>PVH66253 pep chromosome:PHallii_v3.1:1:27202396:27203742:1 gene:PAHAL_1G186600 transcript:PVH66253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPSVNMVEGCDRSARRQLDFTFGINMAESVPCRYARNEEADPCDWSQKGEKEYITEEQYESEGEEYEHRTGKKREDARDHWHCPFFKYCWDSGMSRLSTVDNCPECRSWKRDAEGVLVFQRLGPVPLQHEQTEPPRKRKDFEEEEDKCHRPHWCPDGLNLFQKRRRLRNLEEAEAKYLEMLRKARPNLVDKVHHTQKRESRPPKKEWRPKPTRADAKTSADTHMVFVLPVEFHAQDRDELPVAQLDLGPRPVIFVKPRAKNYRHLKALYLKGYINGQPINKMLVDTGAAVNIIPYSLLRRLGHSTGDLIKTNIMLSDFNGQTSEAQGVLNVDLTVGSKIVPTSFFIINIKSAYTVLLGRDWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSIEISHAAMSIWDAEDQEPIS >PAN08364 pep chromosome:PHallii_v3.1:1:56370005:56374122:1 gene:PAHAL_1G407700 transcript:PAN08364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSPAADVEAGLLAHLNSTGDVPDSRSFASSLGVSHLELEGVIKSLSAFRIVDSTDIIKETWVLTDEAKGYAARGSPEAQLVAAIPPEGASKDALKAKLGDAFDVGMKAAARNKWIGFEKGNKDLVLRKVENVRDELQEQLTKLEKGEVVPDKVIDDLKRRKLITKEKSIWYSLKKGPEFVVKRKTLATDVTTEHLRSGDWKDLEFKDYNYGAQGQPIAKGYVQPLMEVREAIENIFIMMGFTEMPTNKFVESSFWNFDALFQPQQHPARDSHDTFFLKAPAATRQLPEEYLEKVKQVHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGI >PAN07952 pep chromosome:PHallii_v3.1:1:54133220:54138636:-1 gene:PAHAL_1G375600 transcript:PAN07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGNADVIEILISNDERRDRADVEISEDEPRHTKMRSLRKKALHASTRLTHSLKKRGKRKVDCRVPRIAIEDVRDAEEEQAVSSFREILFARSLLPVKHDDYHMMLRFLKARKFDFEKAVQMWADMLQWRKEFGTDTIFEDFEFHELEEVLQYYPHGYHGIDKEGRPVYIELLGKVEPNKLVQITSVERYIKYHVQEFERAFREKFPACSIAAKRHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQSRLLEAIDASQLPEYFGGSCTCPNHGGCLRSNKGPWSDPSIMKLVHSMESLREIGQVSDIEETITGSVRLRALKLPERISDTSNAESGSDVDDLGSPVAPEDVEYPSLAPVREEARESGSTTYSGSDGTSHMADKVARSNQRYNPAGNEARQFNTEQRSLVNGALPAPGRRALNDGVAVGNADDGVLKHLSRTVVAVFIKVLSLLRFFIRRRQHLENVRPHTATVPSNQADLQIIREDRVNPCLERLDRLESVFNQLSKKPPELPQDKDQAIQDSFDRIKSIEFDLEKTKKVLHATVIKQMQMVETLEAVKESDLRRRKFCT >PAN07953 pep chromosome:PHallii_v3.1:1:54133995:54138532:-1 gene:PAHAL_1G375600 transcript:PAN07953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGNADVIEILISNDERRDRADVEISEDEPRHTKMRSLRKKALHASTRLTHSLKKRGKRKVDCRVPRIAIEDVRDAEEEQAVSSFREILFARSLLPVKHDDYHMMLRFLKARKFDFEKAVQMWADMLQWRKEFGTDTIFEDFEFHELEEVLQYYPHGYHGIDKEGRPVYIELLGKVEPNKLVQITSVERYIKYHVQEFERAFREKFPACSIAAKRHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQSRLLEAIDASQLPEYFGGSCTCPNHGGCLRSNKGPWSDPSIMKLVHSMESLREIGQVSDIEETITGSVRLRALKLPERISDTSNAESGSDVDDLGSPVAPEDVEYPSLAPVREEARESGSTTYSGSDGTSHMADKVARSNQRYNPAGNEARQFNTEQRSLVNGALPAPAGRRALNDGVAVGNADDGVLKHLSRTVVAVFIKVLSLLRFFIRRRQHLENVRPHTATVPSNQADLQIIREDRVNPCLERLDRLESVFNQLSKKPPELPQDKDQAIQDSFDRIKSIEFDLEKTKKVLHATVIKQMQMVETLEAVKESDLRRRKFCT >PAN07522 pep chromosome:PHallii_v3.1:1:51834143:51837923:1 gene:PAHAL_1G341000 transcript:PAN07522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACVSVYFISSARGEVSQLRASAMKDFAGLGHLFVVSFLFYFSSFMVIPAITDVTMEAVCPGRDECSVAIYLSGFQNAVTGLGALVVTPVVGNLSDRYGRKALMTLPVTVAILPLFILACNRSDVFFYVYYVANIVAGIFCGGTMHCLCLAYVADHVGPRRRTAAFGLLSGVSAAGFVSGTLTARFLATSSTFKVAAAVAMVAALYLRVFLPESGVVSCSDEACDPLLQASSCTSSTSSSASSSDSDEDLSPRLPPQKGGLPSLSDMFALLTGSLALSGAATITFFYSLGEHGLQTALMFYLKAQFGYSKDEFANLLLIVGFAGMLSQLIVMPILAPILGEEILLIVGLLGGCTHVFLYGIAWSYWVPYFSAAFVILSAFVHPSIRTNVSKNVASNEQGIAQGCISGISSFASILGPLIFTPLTAWFLSETEPFNFKGFSILCAGFCTLIAFIISMRIRGAQSSACKKSTVQHEQA >PVH66408 pep chromosome:PHallii_v3.1:1:43458480:43458829:1 gene:PAHAL_1G236300 transcript:PVH66408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRSNYTHGSLVMKVMLQARTSGTPSSMATPTSKKIAWPERSLSSQCCQR >PAN08411 pep chromosome:PHallii_v3.1:1:56546491:56547618:1 gene:PAHAL_1G411500 transcript:PAN08411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSALPRAASRLAPCGRRPNLRALCAAAAAGEASKSKRRLVLYTKPGCCLCDGLKEKLHAASLLAGTPYSLASLELQERDITTNPEWERLYQYEIPVLAKVLPDGTEEILPRLSPRLSVELIQKKICSVFDQ >PAN04003 pep chromosome:PHallii_v3.1:1:2367048:2374225:1 gene:PAHAL_1G034300 transcript:PAN04003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSENKTVCSNSLRSSKRRKETSSELIPVSNRPSRRNASRQCKSDSPLKGSPRKDRNATLAKSIKKKYHCSPMKRRRGSDSVAGKIVTGLVARRKKKRKRQNIDDAIRLERRARYFLIKIKLEQNLLDAYSGDGWNGQSREKIKPEKELQRAKKQIIKCKIAIRDVIRQLDLYNSTGGVDDPVMPPVARTNSEHIMCSTCKSHESFPSNKIILCEGACKRAYHEKCSEPPLEKNALPTSSLGWLCKFCSCKVKILEAINAHLGTNFTVKCPFEDVFKEATEQIDSEDALDEDWLSEYSGDEDYDPEENEASSSCMNSGEEIMSDDSNGSGSPLYSPNDDIPEFISVEFNNAEGFCHANLDLGIDSSEDDCAQILTHQRPRRDVDYRRLNEEMFGKIIENEEPSEDEDWGHDRRKKRKTRARSVGDNSVEGFSNVISDEKIQKKGRKLFRIPPEAVEVLRKSFAENELPPRDVKENLSKELDISFEKIDKWFKNTRCAALRDRKAEGNSRSTAPSKSSRSKGKAGISGKAERNGHVTASSNNLGTGEEKAILGKVDSGDNSCFVPLSEIINVPTRLQRNLEKRKMESTSSPVRPACSPTDQVKESTSPTSKSCLWTDLSHPINNEVSTGEQAASQLDSGACAEEQAAPQMDTGACAEEQAASEMDTGACAEEQAAPLVDVGASDYQPFLDVIDEMCGLECRLQKLKKNMLSSGAEGCGNGGSDMKNQAVVLVPSAELKEKA >PAN05639 pep chromosome:PHallii_v3.1:1:22897332:22900074:1 gene:PAHAL_1G170600 transcript:PAN05639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLAERSLLLLLVLLSPFVASAGVAKLNSSSPLFGIEFPPFNTAVADTGCDGKLVAAEEAGDERTQPASLSPSLKLHITRRAAAAGRTRKDSFLDSAQKDAARIETMRRRASSARPDGERAPAAYSPRRALSERLVATVESGVAVGSGEYLMDVYVGTPPRRFQMIMDTGSDLNWLQCAPCLDCFEQRGPVFEPAASSSYRNVTCGDQRCGLVAPPEAPRACRRPGEDPCPYYYWYGDQSNTTGDLALESFTVNLTAPGASRRVDGVVFGCGHRNRGLFHGAAGLLGLGRGPLSFASQLRAVYGHTFSYCLVDHGSDVGSKMVFGEDLLLLAHPRLNYTAFAPASSPADTFYYVQLRGVLVGGELLNISSDTWGVSKDGSGGTIIDSGTTLSYFAEPAYRVIRQAFVERMSRSYPLIADFPVLNPCYNVSGVERPQVPELSLAFADGAVWDFPAENYFIRLDDGIMCLAVLGTPRSGMSIIGNFQQQNFHVVYDLLKNRLGFAPRRCAEV >PAN04106 pep chromosome:PHallii_v3.1:1:2935591:2938790:1 gene:PAHAL_1G042500 transcript:PAN04106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable arabinose 5-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT3G54690) UniProtKB/Swiss-Prot;Acc:Q9M1T1] MGSLPVNASPEFAAQRRATVSASDLAPLFSTQRRHLDHFFDRLDMSQAAAFAQALLDAPGAVFFTGVGKSGIVARKIAQTLASLGFARAGFLAPVDALHGDIGALFPGDVLVLLSKSGASDELLALAPCARAKGAHLISLTSAASGADCPLAAACDLNVHLPLQGEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKTLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGSLLVVDEEHHLIGTFTDGDLRRTLKASGPAIFSLTVGEMCNRNPRTITADAMAVEAMEKMESPPSPVQFLPVVDDNNVVCGIITLHGLVSAGL >PVH66539 pep chromosome:PHallii_v3.1:1:47584639:47587139:1 gene:PAHAL_1G275800 transcript:PVH66539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPCSDDDGSSAAVCCMCGDHGLPHELFRCNLCSLRLQHRYCRSAATCTRGCRGRTGDATGASARAAAGGAKRRPNGSRDDDDPIRRRGRGAAVDAPGQPSRRILESRSRSPRGAARGRRSGGRQRRRRRKEGRCSRPAAAARRGSGRRCAGTSSSRR >PAN07461 pep chromosome:PHallii_v3.1:1:51680288:51680848:1 gene:PAHAL_1G338000 transcript:PAN07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTRMVALVLAVLVVAAASLPAATAYGCYDDCYERCANGKDDPVCTKMCNQACGPVDQAAAKQGAAGGAPKA >PAN04002 pep chromosome:PHallii_v3.1:1:2360575:2366336:1 gene:PAHAL_1G034100 transcript:PAN04002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDEDPDWLTAFQAPSTAPVMLSSGSDASPEASPTRTTPSREQGNREKQACSDYAGDRDDPEQNKGKTLSFARRKTLSSKKEGPTTDEKQDNTSCSTPKKDMVTLSSGSDASHGNSPSRADDANHEENSLSTPKRKGVQQTKNKKRKVAGTKSDPDQIGDTSEHQEGVAEDEMQDKLTGNPVSQRLPLMFPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNGPTGSQDLLLDLKGTIYKTTIVPSRTFCVVSVGQSEAKIEAIMNDFIQLEPQFNLFEAETMMEGTLDGFTFDSDEEGDKLPESQAYQNDQNNEDDGQPKAKTKRKAEKPVGKAPKKAKGAGKAPKKGARKTQPAKRTRKAKK >PVH65598 pep chromosome:PHallii_v3.1:1:2360575:2366336:1 gene:PAHAL_1G034100 transcript:PVH65598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDEDPDWLTAFQAPSTAPVMLSSGSDASPEASPTRTTPSREQGNREKQACSDYAGDRDDPEQNKGKTLSFARRKTLSSKKEGPTTDEKQDNTSCSTPKKDMVTLSSGSDASHGNSPSRADDANHEENSLSTPKRKGVQQTKNKKRKVAGTKSDPDQIGGKTYTSEHQEGVAEDEMQDKLTGNPVSQRLPLMFPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNGPTGSQDLLLDLKGTIYKTTIVPSRTFCVVSVGQSEAKIEAIMNDFIQLEPQFNLFEAETMMEGTLDGFTFDSDEEGDKLPESQAYQNDQNNEDDGQPKAKTKRKAEKPVGKAPKKAKGAGKAPKKGARKTQPAKRTRKAKK >PVH65506 pep chromosome:PHallii_v3.1:1:1001647:1002923:-1 gene:PAHAL_1G010500 transcript:PVH65506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGGSNPKSPAELTSMSTTEPTMSMSMSMHHHHVKNCSGSTATSHDQDANISSNGLSGPAPLAQQPFDHQAQQQQPKNFVFSDWVPAPESYSVSAHWPASTASSGNVTPSHGGAFGDQISGSYGALPPHQDHQAGVGSHGATATGIAGSGYFDLLNMGDIYGGFSSTNDDLLF >PAN03617 pep chromosome:PHallii_v3.1:1:1001647:1003400:-1 gene:PAHAL_1G010500 transcript:PAN03617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRSCEKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGGSNPKSPAELTSMSTTEPTMSMSMSMHHHHVKNCSGSTATSHDQDANISSNGLSGPAPLAQQPFDHQAQQQQPKNFVFSDWVPAPESYSVSAHWPASTASSGNVTPSHGGAFGDQISGSYGALPPHQDHQAGVGSHGATATGIAGSGYFDLLNMGDIYGGFSSTNDDLLF >PAN07234 pep chromosome:PHallii_v3.1:1:50593643:50597147:-1 gene:PAHAL_1G320500 transcript:PAN07234 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MRLSIGQVHRNVLALASSRSCFVLGDRLSFRLLSQPRAAGFHQTAWHGSQIVEDRGGPLTLASLEVQNRVEYGNKEKMARTGGPKLSSRGSSSLKVKPRVSSLNVKPVKTVLPKSSGIKKTLKVDEALFSAKSFEELGLPPLLVDQLNKEGLTAPTEVQSAAIPIIAQKHDVVIQSYTGSGKTLAYLLPILSEIGPLKRPMGQDNSEKRSGIEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTTSRDILGPLARRSERQTVLVSATIPFSVIRAARSWGHDPVLVRAKSVVPLDSITVPRPALSQTDANSSSPSNSVNQAAVGSLPPSLEHYYCTAKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGIKATELHGDLGKLARSTVLKKFKDGEYRVLVTNELSARGLDVPECDLVVNLDLPTDSTHYAHRAGRTGRLGRKGIVVTICEENEAFVVRKMRKQLTVPIKPCEFTEGELVVHKEEDVE >PAN07235 pep chromosome:PHallii_v3.1:1:50593636:50597147:-1 gene:PAHAL_1G320500 transcript:PAN07235 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MRLSIGQVHRNVLALASSRSCFVLGDRLSFRLLSQPRAAGFHQTAWHGSQIVEDRGGPLTLASLEVQNRVEYGNKEKMARTGGPKLSSRGSSSLKVKPRVSSLNVKPVKTVLPKSSGIKKTLKVDEALFSAKSFEELGLPPLLVDQLNKEGLTAPTEVQSAAIPIIAQKHDVVIQSYTGSGKTLAYLLPILSEIGPLKRPMGQDNSEKRSGIEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTTSRDILGPLARRSERQTVLVSATIPFSVIRAARSWGHDPVLVRAKSVVPLDSITVPRPALSQTDANSSSPSNSVNQAAVGSLPPSLEHYYCTAKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGIKATELHGDLGKLARSTVLKKFKDGEYRVLVTNELSARGLDVPECDLVVNLDLPTDSTHYAHRAGRTGRLGRKGIVVTICEENEAFVVRKMRKQLTVPIKPCEFTEGELVVHKEEDVE >PAN03631 pep chromosome:PHallii_v3.1:1:1068041:1072988:-1 gene:PAHAL_1G011900 transcript:PAN03631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPHRFHPQSYHSTVPSSQLRLRSLLPPLSRLAPPRWPASQSQPTPLLPMATNHCVLLCRAPRPTPTPTAAALSRSLSSSAAAASPSIATATSRRGRLAAPPPPRCVATPTTAKAEDQTEPEVAMGYTMTEICDKFIEFFMHKKPETKDWRKVLVFREEWQRYKKHFYKRCQVRIDMETDSSLKQKLVVLARKVKKIDDEIEKHMELFTELRDNPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGVARLGAKCLSAIHAYDCTLEQLDIESAQTKFDDILNSSSLEDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDKVKDIMYNIYTTTKESLKSISPPEMKLIKYLLNIEDPEERFGALATAFSPGDEREAKDEDALYTTPNELHKWIKMMLDSYHLNKEETDFMDARKMSDPVIIQRLSLLKETIEEEYMKQYIHPDEPDSMDDEESED >PAN03633 pep chromosome:PHallii_v3.1:1:1068041:1072988:-1 gene:PAHAL_1G011900 transcript:PAN03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPHRFHPQSYHSTVPSSQLRLRSLLPPLSRLAPPRWPASQSQPTPLLPMATNHCVLLCRAPRPTPTPTAAALSRSLSSSAAAASPSIATATSRRGRLAAPPPPRCVATPTTAKAEDQTEPEVAMGYTMTEICDKFIEFFMHKKPETKDWRKVLVFREEWQRYKKHFYKRCQVRIDMETDSSLKQKLVVLARKVKKIDDEIEKHMELFTELRDNPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGVARLGAKCLSAIHAYDCTLEQLDIESAQTKFDDILNSSSLEDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDKVKDIMYNIYTTTKESLKSISPPEMKLIKYLLNIEDPEERFGALATAFSPGDEREAKDEDALYTTPNELHKWIKMMLDSYHLNKEETDFMDARKMSDPVIIQRLSLLKETIEEEYMKQYIHPDEPDSMDDEESED >PVH65512 pep chromosome:PHallii_v3.1:1:1069674:1071901:-1 gene:PAHAL_1G011900 transcript:PVH65512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTMTEICDKFIEFFMHKKPETKDWRKVLVFREEWQRYKKHFYKRCQVRIDMETDSSLKQKLVVLARKVKKIDDEIEKHMELFTELRDNPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGVARLGAKCLSAIHAYDCTLEQLDIESAQTKFDDILNSSSLEDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDKVKDIMYNIYTTTKESLKSISPPEMKLIKYLLNIEDPEERFGALATAFSPGDEREAKDEDALYT >PVH65514 pep chromosome:PHallii_v3.1:1:1068521:1071901:-1 gene:PAHAL_1G011900 transcript:PVH65514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTMTEICDKFIEFFMHKKPETKDWRKVLVFREEWQRYKKHFYKRCQVRIDMETDSSLKQKLVVLARKVKKIDDEIEKHMELFTELRDNPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGVARLGAKCLSAIHAYDCTLEQLDIESAQTKFDDILNSSSLEDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDKVKDIMYNIYTTTKESLKSISPPEMKLIKYLLNIEDPEERFGALATAFSPGDEREAKDEDALYTTPNELHKWIKMMLDSYHLNKEETDFMDARKMSDPVIIQRLSLLKETIEEEYMKQYIHPDEPDSMDDEESED >PAN03632 pep chromosome:PHallii_v3.1:1:1069674:1072700:-1 gene:PAHAL_1G011900 transcript:PAN03632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPHRFHPQSYHSTVPSSQLRLRSLLPPLSRLAPPRWPASQSQPTPLLPMATNHCVLLCRAPRPTPTPTAAALSRSLSSSAAAASPSIATATSRRGRLAAPPPPRCVATPTTAKAEDQTEPEVAMGYTMTEICDKFIEFFMHKKPETKDWRKVLVFREEWQRYKKHFYKRCQVRIDMETDSSLKQKLVVLARKVKKIDDEIEKHMELFTELRDNPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGVARLGAKCLSAIHAYDCTLEQLDIESAQTKFDDILNSSSLEDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDKVKDIMYNIYTTTKESLKSISPPEMKLIKYLLNIEDPEERFGALATAFSPGDEREAKDEDALYT >PVH65513 pep chromosome:PHallii_v3.1:1:1068153:1072988:-1 gene:PAHAL_1G011900 transcript:PVH65513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTMTEICDKFIEFFMHKKPETKDWRKVLVFREEWQRYKKHFYKRCQVRIDMETDSSLKQKLVVLARKVKKIDDEIEKHMELFTELRDNPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGVARLGAKCLSAIHAYDCTLEQLDIESAQTKFDDILNSSSLEDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDKVKDIMYNIYTTTKESLKSISPPEMKLIKYLLNIEDPEERFGALATAFSPGDEREAKDEDALYTTPNELHKWIKMMLDSYHLNKEETDFMDARKMSDPVIIQRLSLLKETIEEEYMKQYIHPDEPDSMDDEESED >PAN07415 pep chromosome:PHallii_v3.1:1:51393932:51396757:-1 gene:PAHAL_1G334100 transcript:PAN07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPPGRHLLRLTNRAVRSSSTSSGLGAGPGASTSIASPRPRTVAGGLPLRASSPPPPSAVAAAAYWESRAFRPDGEEGDWEEVVAGAPGPGEMEEEEEYRVVFWSPPTIDEVTGAVTSIQEVLENPFDVVSDTADRQTALLSTSGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRNVLDAFRLLQKDPTVQKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHDGKENDRKGGASGPSEVLKWILDSAQAKILEFLENVMKIFNMLIHPQEDEEKPDAYSDAVKVSFMLTVFVFIVVAIARINSEHWDFKVW >PVH66160 pep chromosome:PHallii_v3.1:1:22129532:22129770:-1 gene:PAHAL_1G167300 transcript:PVH66160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKIFFLKRFNSELLYRFFLTLSMSTNNSKYLDIFRIGPSQIARIQSTSFSITVFWKPKDSFVWIWKI >PVH66528 pep chromosome:PHallii_v3.1:1:47359853:47360401:-1 gene:PAHAL_1G271800 transcript:PVH66528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPKLTWNETVKRDLKEWNIAKKLAMDRNAWRLAINVPEP >PVH66197 pep chromosome:PHallii_v3.1:1:24527251:24529940:-1 gene:PAHAL_1G175800 transcript:PVH66197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPFSGHHTGSSSLSLARSFSLLFPLPVSPVQAPERSSSPTRGAARPAAWLGAGARASARLGRPGAGGRRLAASAGRAAGEQPQAARALGVGAVAARGLWRQQALGQACGSGSSGAGRARGPRAAQASGPEQRASGRAGTRELARSGGGFADAKRWRGWARAATRGLTVAQGGQDGSRTRGRSAQAGGPRRGVARRGGLCLWLAQRVHRTARAGGVQDACERSRCETRAEASSPE >PAN07243 pep chromosome:PHallii_v3.1:1:50650236:50650805:1 gene:PAHAL_1G321600 transcript:PAN07243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASLLALALAGLVLVTFPGLCAGTAAAHRPAAKCQPSGSLEGPSTGHVCGECCKPGHVYPTYRCSPPVTASTRAVMTLNNFEEGGDGGDPSECDGKYHLNTEPVVALSTGWYNHGKRCGRQIRINAKGRSVLAKVVDECDTLHGCDKPHAFQPPCPHNIVDASQAVWNALGITGDEVGDYPITWSDA >PAN07313 pep chromosome:PHallii_v3.1:1:50884968:50892918:-1 gene:PAHAL_1G326000 transcript:PAN07313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRFLATDYCAPSPSAAASSDRALALASLPFPSLPVPTLPPDPHLPDPLPFPADFLPVASVNGDDLDSLPVASALSEFLASVIPQPLPVPDISAADEGLDDYLYDRGVYGKGYSSTVPVAFKIPKGLDEMSCEKGEKEEGSSLGPSALKKRRELLKELRFEVVEVDLLPVLQRKIASFDDEEPDGGVTFSFGLPDVKIHLDFIDIDTETTITYPAEVAESIYQVEKLPVKHDDDEACPYARDGYCLEIAGLEHGLTIPQLEISRNSWELDECPAKTVISNIFLNIAENLNDGAQVHLPSFDSTEFLRSRDMDMLAFVCEDAPHVEYQAEKTITAKDVAEMDLVRINDNILLEKKSALYPLKPDGTCSDLPCFMLFEKVEIIDFPSDDAFKMLVQSEKAEMNTSDEIFKDDFDQARRFYESVVSSELALVDDTFKSLPTPILTDDKAVRSMIPPIEEVLCSLKPLPLSAADGIYLDWHLLLEGPCSRESCSTHASMVEEVKPCSLSSELQISCQQTPALGIDFLEDFQRSAKLQHEDKQNEIYVPEPISHDPSAKLEAAQKYKQESGHSHMEKPSEKASSLLGSMSQSNELNYYLNVKNGTNKVRNAENVFTSAIPPSKQQAFPFSTRSKVNKLIEIHPVRLSDLIRGLIKDIHVNYTYALQESTYFRHSFSDGQGLNISKQKLLELITAEGSEGLYSLCKNEDKMALIVLYALKQVAYYLCFFGLHAAYLYIGNLTVSFESIPEGLRNIQCCIGEARLKAEKQLFESHPSLSEIEAILRSNTQIGQKILIVSDRAFWLPLGQKLIAMKMTSVEVGTYPSATWMLEELWKSDCILLDSKNIPASFPFSEFCMILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVTVDGEDFPVALVEDNHTDKDLKSTLDAVVHTLQKDLQEKMNKMHIVDSLNFIPTTNQQEHLQEKLSNHLAADPSKKIPVDGQLQNQGNLDEKNIVDSHNFVPAAEQLVTLNRITFVNSQKIVPAVEKSSSTSSVSAIVIKPPQDNQSASASDFPLSVKTDSTKLGRLSAPEVVIVVNTGNHGKHMLFSRRSSYQQILALEKEGMQVVERDVDLPVDLILSAAVCLLWYDSRTFGSSELTISADTSSITNFIEDISTNILMSLSFCFSGCIMVFEVENHFLSPVMEASDSLYASAASLDMNLQLFFSQTPKSTDQIILNCIRNVVRKNLVPPGIPESESLAESFLTAFPSIIPLSAHMILSSGSLVDFLGWSHEQRTQAVEKYCLPPQNICLFSALCKFGELGESGSVMTECSSVDSDISSALLQSTRKKKRRVVDFSVAINDPACPNPRDQLCGDYEHDKVFSPSKLRKFSHIEDTMPELPEVFIVDQNLNMGRKGVSYQPRKHDVDTVARNQMIDDDFIIELSPNFRTYNERTSSMVDTCNFSGQPELGAKQPIRSSFPTRRPSFCRASSHPTFPSALEINNEPCDWDVSCGPNQTCTGHLHGDFTTSSHRNDLASRYQEPRQETMQSPASSLSFLKQDFGYYGASQGSGWEMDYLRQMNENRIARQERSRCNASSAMSNSRMRDSSYRFLSAPPIESFSYQRNTDTPLRDQNPSNTESFRYRRNINTPVRNQSPSNGAHRHGKGRGGTKAQSHSIRMDFKAQPSINPEKSILPSIEPTWTPLDKRARQKLSFATYGKEKQSKLVWRHQSSPGVGCGFRKRYREEGT >PVH66717 pep chromosome:PHallii_v3.1:1:50884612:50893019:-1 gene:PAHAL_1G326000 transcript:PVH66717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRFLATDYCAPSPSAAASSDRALALASLPFPSLPVPTLPPDPHLPDPLPFPADFLPVASVNGDDLDSLPVASALSEFLASVIPQPLPVPDISAADEGLDDYLYDRGVYGKGYSSTVPVAFKIPKGLDEMSCEKGEKEEGSSLGPSALKKRRELLKELRFEVVEVDLLPRKIASFDDEEPDGGVTFSFGLPDVKIHLDFIDIDTETTITYPAEVAESIYQVEKLPVKHDDDEACPYARDGYCLEIAGLEHGLTIPQLEISRNSWELDECPAKTVISNIFLNIAENLNDGAQVHLPSFDSTEFLRSRDMDMLAFVCEDAPHVEYQAEKTITAKDVAEMDLVRINDNILLEKKSALYPLKPDGTCSDLPCFMLFEKVEIIDFPSDDAFKMLVQSEKAEMNTSDEIFKDDFDQARRFYESVVSSELALVDDTFKSLPTPILTDDKAVRSMIPPIEEVLCSLKPLPLSAADGIYLDWHLLLEGPCSRESCSTHASMVEEVKPCSLSSELQISCQQTPALGIDFLEDFQRSAKLQHEDKQNEIYVPEPISHDPSAKLEAAQKYKQESGHSHMEKPSEKASSLLGSMSQSNELNYYLNVKNGTNKVRNAENVFTSAIPPSKQQAFPFSTRSKVNKLIEIHPVRLSDLIRGLIKDIHVNYTYALQESTYFRHSFSDGQGLNISKQKLLELITAEGSEGLYSLCKNEDKMALIVLYALKQVAYYLCFFGLHAAYLYIGNLTVSFESIPEGLRNIQCCIGEARLKAEKQLFESHPSLSEIEAILRSNTQIGQKILIVSDRAFWLPLGQKLIAMKMTSVEVGTYPSATWMLEELWKSDCILLDSKNIPASFPFSEFCMILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVTVDGEDFPVALVEDNHTDKDLKSTLDAVVHTLQKDLQEKMNKMHIVDSLNFIPTTNQQEHLQEKLSNHLAADPSKKIPVDGQLQNQGNLDEKNIVDSHNFVPAAEQLVTLNRITFVNSQKIVPAVEKSSSTSSVSAIVIKPPQDNQSASASDFPLSVKTDSTKLGRLSAPEVVIVVNTGNHGKHMLFSRRSSYQQILALEKEGMQVVERDVDLPVDLILSAAVCLLWYDSRTFGSSELTISADTSSITNFIEDISTNILMSLSFCFSGCIMVFEVENHFLSPVMEASDSLYASAASLDMNLQLFFSQTPKSTDQIILNCIRNVVRKNLVPPGIPESESLAESFLTAFPSIIPLSAHMILSSGSLVDFLGWSHEQRTQAVEKYCLPPQNICLFSALCKFGELGESGSVMTECSSVDSDISSALLQSTRKKKRRVVDFSVAINDPACPNPRDQLCGDYEHDKVFSPSKLRKFSHIEDTMPELPEVFIVDQNLNMGRKGVSYQPRKHDVDTVARNQMIDDDFIIELSPNFRTYNERTSSMVDTCNFSGQPELGAKQPIRSSFPTRRPSFCRASSHPTFPSALEINNEPCDWDVSCGPNQTCTGHLHGDFTTSSHRNDLASRYQEPRQETMQSPASSLSFLKQDFGYYGASQGSGWEMDYLRQMNENRIARQERSRCNASSAMSNSRMRDSSYRFLSAPPIESFSYQRNTDTPLRDQNPSNTESFRYRRNINTPVRNQSPSNGAHRHGKGRGGTKAQSHSIRMDFKAQPSINPEKSILPSIEPTWTPLDKRARQKLSFATYGKEKQSKLVWRHQSSPGVGCGFRKRYREEGT >PAN07314 pep chromosome:PHallii_v3.1:1:50884612:50893020:-1 gene:PAHAL_1G326000 transcript:PAN07314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRFLATDYCAPSPSAAASSDRALALASLPFPSLPVPTLPPDPHLPDPLPFPADFLPVASVNGDDLDSLPVASALSEFLASVIPQPLPVPDISAADEGLDDYLYDRGVYGKGYSSTVPVAFKIPKGLDEMSCEKGEKEEGSSLGPSALKKVLQRKIASFDDEEPDGGVTFSFGLPDVKIHLDFIDIDTETTITYPAEVAESIYQVEKLPVKHDDDEACPYARDGYCLEIAGLEHGLTIPQLEISRNSWELDECPAKTVISNIFLNIAENLNDGAQVHLPSFDSTEFLRSRDMDMLAFVCEDAPHVEYQAEKTITAKDVAEMDLVRINDNILLEKKSALYPLKPDGTCSDLPCFMLFEKVEIIDFPSDDAFKMLVQSEKAEMNTSDEIFKDDFDQARRFYESVVSSELALVDDTFKSLPTPILTDDKAVRSMIPPIEEVLCSLKPLPLSAADGIYLDWHLLLEGPCSRESCSTHASMVEEVKPCSLSSELQISCQQTPALGIDFLEDFQRSAKLQHEDKQNEIYVPEPISHDPSAKLEAAQKYKQESGHSHMEKPSEKASSLLGSMSQSNELNYYLNVKNGTNKVRNAENVFTSAIPPSKQQAFPFSTRSKVNKLIEIHPVRLSDLIRGLIKDIHVNYTYALQESTYFRHSFSDGQGLNISKQKLLELITAEGSEGLYSLCKNEDKMALIVLYALKQVAYYLCFFGLHAAYLYIGNLTVSFESIPEGLRNIQCCIGEARLKAEKQLFESHPSLSEIEAILRSNTQIGQKILIVSDRAFWLPLGQKLIAMKMTSVEVGTYPSATWMLEELWKSDCILLDSKNIPASFPFSEFCMILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVTVDGEDFPVALVEDNHTDKDLKSTLDAVVHTLQKDLQEKMNKMHIVDSLNFIPTTNQQEHLQEKLSNHLAADPSKKIPVDGQLQNQGNLDEKNIVDSHNFVPAAEQLVTLNRITFVNSQKIVPAVEKSSSTSSVSAIVIKPPQDNQSASASDFPLSVKTDSTKLGRLSAPEVVIVVNTGNHGKHMLFSRRSSYQQILALEKEGMQVVERDVDLPVDLILSAAVCLLWYDSRTFGSSELTISADTSSITNFIEDISTNILMSLSFCFSGCIMVFEVENHFLSPVMEASDSLYASAASLDMNLQLFFSQTPKSTDQIILNCIRNVVRKNLVPPGIPESESLAESFLTAFPSIIPLSAHMILSSGSLVDFLGWSHEQRTQAVEKYCLPPQNICLFSALCKFGELGESGSVMTECSSVDSDISSALLQSTRKKKRRVVDFSVAINDPACPNPRDQLCGDYEHDKVFSPSKLRKFSHIEDTMPELPEVFIVDQNLNMGRKGVSYQPRKHDVDTVARNQMIDDDFIIELSPNFRTYNERTSSMVDTCNFSGQPELGAKQPIRSSFPTRRPSFCRASSHPTFPSALEINNEPCDWDVSCGPNQTCTGHLHGDFTTSSHRNDLASRYQEPRQETMQSPASSLSFLKQDFGYYGASQGSGWEMDYLRQMNENRIARQERSRCNASSAMSNSRMRDSSYRFLSAPPIESFSYQRNTDTPLRDQNPSNTESFRYRRNINTPVRNQSPSNGAHRHGKGRGGTKAQSHSIRMDFKAQPSINPEKSILPSIEPTWTPLDKRARQKLSFATYGKEKQSKLVWRHQSSPGVGCGFRKRYREEGT >PVH66715 pep chromosome:PHallii_v3.1:1:50884575:50892166:-1 gene:PAHAL_1G326000 transcript:PVH66715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLAFVCEDAPHVEYQAEKTITAKDVAEMDLVRINDNILLEKKSALYPLKPDGTCSDLPCFMLFEKVEIIDFPSDDAFKMLVQSEKAEMNTSDEIFKDDFDQARRFYESVVSSELALVDDTFKSLPTPILTDDKAVRSMIPPIEEVLCSLKPLPLSAADGIYLDWHLLLEGPCSRESCSTHASMVEEVKPCSLSSELQISCQQTPALGIDFLEDFQRSAKLQHEDKQNEIYVPEPISHDPSAKLEAAQKYKQESGHSHMEKPSEKASSLLGSMSQSNELNYYLNVKNGTNKVRNAENVFTSAIPPSKQQAFPFSTRSKVNKLIEIHPVRLSDLIRGLIKDIHVNYTYALQESTYFRHSFSDGQGLNISKQKLLELITAEGSEGLYSLCKNEDKMALIVLYALKQVAYYLCFFGLHAAYLYIGNLTVSFESIPEGLRNIQCCIGEARLKAEKQLFESHPSLSEIEAILRSNTQIGQKILIVSDRAFWLPLGQKLIAMKMTSVEVGTYPSATWMLEELWKSDCILLDSKNIPASFPFSEFCMILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVTVDGEDFPVALVEDNHTDKDLKSTLDAVVHTLQKDLQEKMNKMHIVDSLNFIPTTNQQEHLQEKLSNHLAADPSKKIPVDGQLQNQGNLDEKNIVDSHNFVPAAEQLVTLNRITFVNSQKIVPAVEKSSSTSSVSAIVIKPPQDNQSASASDFPLSVKTDSTKLGRLSAPEVVIVVNTGNHGKHMLFSRRSSYQQILALEKEGMQVVERDVDLPVDLILSAAVCLLWYDSRTFGSSELTISADTSSITNFIEDISTNILMSLSFCFSGCIMVFEVENHFLSPVMEASDSLYASAASLDMNLQLFFSQTPKSTDQIILNCIRNVVRKNLVPPGIPESESLAESFLTAFPSIIPLSAHMILSSGSLVDFLGWSHEQRTQAVEKYCLPPQNICLFSALCKFGELGESGSVMTECSSVDSDISSALLQSTRKKKRRVVDFSVAINDPACPNPRDQLCGDYEHDKVFSPSKLRKFSHIEDTMPELPEVFIVDQNLNMGRKGVSYQPRKHDVDTVARNQMIDDDFIIELSPNFRTYNERTSSMVDTCNFSGQPELGAKQPIRSSFPTRRPSFCRASSHPTFPSALEINNEPCDWDVSCGPNQTCTGHLHGDFTTSSHRNDLASRYQEPRQETMQSPASSLSFLKQDFGYYGASQGSGWEMDYLRQMNENRIARQERSRCNASSAMSNSRMRDSSYRFLSAPPIESFSYQRNTDTPLRDQNPSNTESFRYRRNINTPVRNQSPSNGAHRHGKGRGGTKAQSHSIRMDFKAQPSINPEKSILPSIEPTWTPLDKRARQKLSFATYGKEKQSKLVWRHQSSPGVGCGFRKRYREEGT >PVH66716 pep chromosome:PHallii_v3.1:1:50884575:50893019:-1 gene:PAHAL_1G326000 transcript:PVH66716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRFLATDYCAPSPSAAASSDRALALASLPFPSLPVPTLPPDPHLPDPLPFPADFLPVASVNGDDLDSLPVASALSEFLASVIPQPLPVPDISAADEGLDDYLYDRGVYGKGYSSTVPVAFKIPKGLDEMSCEKGEKEEGSSLGPSALKKDFIDIDTETTITYPAEVAESIYQVEKLPVKHDDDEACPYARDGYCLEIAGLEHGLTIPQLEISRNSWELDECPAKTVISNIFLNIAENLNDGAQVHLPSFDSTEFLRSRDMDMLAFVCEDAPHVEYQAEKTITAKDVAEMDLVRINDNILLEKKSALYPLKPDGTCSDLPCFMLFEKVEIIDFPSDDAFKMLVQSEKAEMNTSDEIFKDDFDQARRFYESVVSSELALVDDTFKSLPTPILTDDKAVRSMIPPIEEVLCSLKPLPLSAADGIYLDWHLLLEGPCSRESCSTHASMVEEVKPCSLSSELQISCQQTPALGIDFLEDFQRSAKLQHEDKQNEIYVPEPISHDPSAKLEAAQKYKQESGHSHMEKPSEKASSLLGSMSQSNELNYYLNVKNGTNKVRNAENVFTSAIPPSKQQAFPFSTRSKVNKLIEIHPVRLSDLIRGLIKDIHVNYTYALQESTYFRHSFSDGQGLNISKQKLLELITAEGSEGLYSLCKNEDKMALIVLYALKQVAYYLCFFGLHAAYLYIGNLTVSFESIPEGLRNIQCCIGEARLKAEKQLFESHPSLSEIEAILRSNTQIGQKILIVSDRAFWLPLGQKLIAMKMTSVEVGTYPSATWMLEELWKSDCILLDSKNIPASFPFSEFCMILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVTVDGEDFPVALVEDNHTDKDLKSTLDAVVHTLQKDLQEKMNKMHIVDSLNFIPTTNQQEHLQEKLSNHLAADPSKKIPVDGQLQNQGNLDEKNIVDSHNFVPAAEQLVTLNRITFVNSQKIVPAVEKSSSTSSVSAIVIKPPQDNQSASASDFPLSVKTDSTKLGRLSAPEVVIVVNTGNHGKHMLFSRRSSYQQILALEKEGMQVVERDVDLPVDLILSAAVCLLWYDSRTFGSSELTISADTSSITNFIEDISTNILMSLSFCFSGCIMVFEVENHFLSPVMEASDSLYASAASLDMNLQLFFSQTPKSTDQIILNCIRNVVRKNLVPPGIPESESLAESFLTAFPSIIPLSAHMILSSGSLVDFLGWSHEQRTQAVEKYCLPPQNICLFSALCKFGELGESGSVMTECSSVDSDISSALLQSTRKKKRRVVDFSVAINDPACPNPRDQLCGDYEHDKVFSPSKLRKFSHIEDTMPELPEVFIVDQNLNMGRKGVSYQPRKHDVDTVARNQMIDDDFIIELSPNFRTYNERTSSMVDTCNFSGQPELGAKQPIRSSFPTRRPSFCRASSHPTFPSALEINNEPCDWDVSCGPNQTCTGHLHGDFTTSSHRNDLASRYQEPRQETMQSPASSLSFLKQDFGYYGASQGSGWEMDYLRQMNENRIARQERSRCNASSAMSNSRMRDSSYRFLSAPPIESFSYQRNTDTPLRDQNPSNTESFRYRRNINTPVRNQSPSNGAHRHGKGRGGTKAQSHSIRMDFKAQPSINPEKSILPSIEPTWTPLDKRARQKLSFATYGKEKQSKLVWRHQSSPGVGCGFRKRYREEGT >PAN04193 pep chromosome:PHallii_v3.1:1:3340214:3340994:1 gene:PAHAL_1G048500 transcript:PAN04193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSCELLLLALLVAAMAASLPLALAYDPSPLQDFCVADAASDVFVNGLACKDPARVSAGDFAFSGLHAAGDTANALGSRVTLVDARAVPGLNSLGVSMARLDLAPGGLNPPHTHPRAAEVLTVVEGQMHAGFVAADGTLFARVLSAGDAFVFPRGLVHFEFNFGDRPAVGIAGLGSQNPGLIRVADSLFGATPALTDDVLAKTFRIDAATVQRMKAQFATKK >PVH65814 pep chromosome:PHallii_v3.1:1:5794921:5796215:-1 gene:PAHAL_1G083200 transcript:PVH65814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPDTGDRKQEPAAALEPSDMGELIPGLPEDLARECLVRIGFDQLPAARRVSRGWKAEVESPFHHRLRRPRPLLALAQARPPLAGSGPARKYAAAGGYSYRLVLHDPGASTWTPLSALPGARGGGGLPLFCQLAAVGEGPATKLVVLGGWDPETWAPTAAVHVYDFVVGLWRRGADMPPPRRSFFACAAVGGRVFVAGGHDEEKNALRSAAAYDAAADAWAALPDMARERDEARGVRAGARFVALGGYPTEAQGRFAGSAEAFDPATWSWGAVRERVIEDGACPRTCCAAPETATDPMYMLRDGHVMARDAGEGGAWRTLARVPEDGRAAAAEVTALGDGRVAVVGSACHGAEQTVYVLSHGGAATPSWTRAAAPPEFTGHVQAACCVQI >PAN08847 pep chromosome:PHallii_v3.1:1:58598252:58602232:-1 gene:PAHAL_1G440300 transcript:PAN08847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEGNGDEGWRRSGIEVSALQFGYDGQPPLFVRFNLRIAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELRKSAKYSDIEELKNAKNLLTVVESWLRSETKLPKKDPPRSETQPRRSSPFDSSPFRSSRHMAYYR >PAN08846 pep chromosome:PHallii_v3.1:1:58598602:58601091:-1 gene:PAHAL_1G440300 transcript:PAN08846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELRKSAKYSDIEELKNAKNLLTVVESWLRSETKLPKKDPPRSETQPRRSSPFDSSPFRSSRHMAYYR >PAN04012 pep chromosome:PHallii_v3.1:1:2415656:2419030:1 gene:PAHAL_1G035200 transcript:PAN04012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTQTKLQHFFVTPQNRQLATRGSPDPFCKRDPTVPSYRVPPHTSLSFLAPPPRFARRRRIRAAGATTEQRCGGAEQPRGGGPMEAPAGDEELESLLRNFHRVSQGYKDALMEVQASRVNCSAESKKREALESHITDLKRDNERLRRLYTETLFKFTNQVKFHAEAQSLKEELETTNSKLLSMEEEHKREVEQLRHNNEMNSNVLENELSHALVQQATDEAATKQLKLELGAHKAHINMLSSRLEQVTADVHSQYKNEIQDLRDVIAVEQEEKKDMQRKLQNAENELRVMRMKQAEQQRDSVSVQHVETLKQKVMKLRKENESLKRRLASSEA >PVH67154 pep chromosome:PHallii_v3.1:1:58453484:58454142:1 gene:PAHAL_1G438800 transcript:PVH67154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADAGPAGLFVRCSGAGDARLAARDDPESSPPLHAVRFSLTSPVPVSMIVATTHPLGQHQSAHVAVLRLCPRPIHSLHQLFLVLYYY >PAN04429 pep chromosome:PHallii_v3.1:1:4539638:4542157:-1 gene:PAHAL_1G066500 transcript:PAN04429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAAVPPAVAAAAAASAPAHVLLICFPGQGHVNPMLRLAKRVAAKGLLVTFSSVASVGARLVAAAGVSAGGDGVPVGRGRVRFEFLEDGDPGPDLDDLMRHLETAGPPAFAALLRRQAEEGRPVACVVVNPFLPWASDVAASEGIPTAVLWVQSCAVFSLYYHHVHGLVEFPPEDDPAARFRLPGLPEMSAADVPSFLLPSNPFKLLADAIITQFRTIGRASWVLVNSFAELEADVVAALPGVTPRPPELIPVGPLIELQQGEEDDAVRGDLIKAADDCVEWLDAQPPRSVVYASVGSVVVLSPGEVAEMAHGLASTGRPFLWVVRPDTQPHLPAGFLDSVSGRGAVVPWSPQDRVLAHPSTACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDARFLVEELGMGVRLRAAPAGLRREAVRDAVEAAVAGPRAGEMLASARRWSAAARAAVAPGGSSDAHVQAFVDEVARRACGGGAAKARAQAQAQQPPPAVVAEA >PAN06249 pep chromosome:PHallii_v3.1:1:45435238:45437716:1 gene:PAHAL_1G249800 transcript:PAN06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHAQYAAHAFPHDPRAITTRPALDDAMMSASVFLGEPGGGHSLAAAVGGNTAFSGLTCIINNNGDTGCLGPSRKRARVGEVAGAGLIADLQGHRALLPPVPVPVPVPQEFAPAGDVQSRLLCSGAASTSGRPASLAPASQGLLSHLYRHGVEMDALIRIENERLRAGLQEARRRHVRAVVSAVERAAARRLHAAEAELERALVRNAELHERLRQTVAEGRAWQDVAKGHEAVAAGLRATLDSLVRSSPPRAEGAGDAEDAESCCFEQEEEEGAAEASGGARAACRSCGAADASVLLLPCRHLCLCRGCEAGAEACPVCAAAKNASLHVLLP >PAN03707 pep chromosome:PHallii_v3.1:1:1302543:1305233:-1 gene:PAHAL_1G016400 transcript:PAN03707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKEEEFTDAELEVAAILCDLKKTLRARDRRRRRRMQRLQAAPEIPSWGRRRPRSMPEEKPATPAPVPASGVAEKDGAASPDTPLAYPESGGDDAPMEEDDAKKPTSNEQWVQQQHGVVASLSQENAHLLMQIEEYRARLQSSRSTNESLKQLHKVKREEEEEQARKRPRVAAQAPGAADPRAAAAAALGLDLNEPARAPEEDEKARAQAQAAAVAAAAAAEWYRHARVRAAMEKAAVSAGARRRRLEILRAKVACPLVSSRPRRAG >PVH65594 pep chromosome:PHallii_v3.1:1:2276769:2279953:-1 gene:PAHAL_1G032500 transcript:PVH65594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEHARGPRVFSGSIPPARANQQTLPNQASRRTRAERAKSEARKPSPRIPRRAKRNPHGQHLRARRGTRRRTAEVLQPSIPAGFSRNLRVPRRDFAGPRVLVDETMVGFAGKRKELEQVVDGLSDFSLSGPASKSRRLDPGLPPIMEEEPPAPSISFQMLGEKINSDVNMPSVMEGSMSHHVPSEDMALVLYKPVDNPGISSSSFIVSSDLIRGLKNHAFNQANYLELEAESPGRSNSLALVPWKPPQMPIRSDWVASEPESEQTFEVPMEADECEVTSMDFEEAPEATAGGFDGENIHQWQHCMTLPSLPNPSAHVMWSR >PVH65593 pep chromosome:PHallii_v3.1:1:2276769:2279950:-1 gene:PAHAL_1G032500 transcript:PVH65593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHARGPRVFSGSIPPARANQQTLPNQASRRTRAERAKSEARKPSPRIPRRAKRNPHGQHLRARRGTRRRTAEVLQPSIPAGFSRNLRVPRRDFAGPRVLVDETMVGFAGKRKELEQVVDGLSDFSLSGPASKSRRLDPGLPPIMEEEPPAPSISFQMLGEKINSDVNMPSVMEGSMSHHVPSEDMALVLYKPVDNPGISSSSFIVSSDLIRGLKNHAFNQANYLELEAESPGRSNSLALVPWKPPQMPIRSDWVASEPESEQTFEVPMEADECEVTSMDFEEAPEATAGGFDGENIHQWQHCMTLPSLPNPSAHVMWSR >PVH66698 pep chromosome:PHallii_v3.1:1:50533454:50534392:-1 gene:PAHAL_1G318700 transcript:PVH66698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLIEIDMRIPNALRFAFGLCQRPPPAPGQHDEVANFAAVLLPPRDGASEPMDCDEPVAPGGLRMLFDSSYIRAHDEDAHFGHAEAGVVGVADGVGSYRDRVDASAFARGLMTNAYMEVVTGAPGTHVCPRTLLERAHQATAAAGTAAASTAVIVSLAGRTLKWAYVGDSGFAVFRDGRILRRSRPQQHHFNCPYQLNSKRDGLTVAAAAAVGEVPAREGDIVVVGTDGLFDNVTDDELERIVRMGTALGFSPKHLAEVVAGFAFEAAGCTNRDTPFSVLGRRETGGAFPAGGKRDDITVVVAYIASHYI >PVH66755 pep chromosome:PHallii_v3.1:1:51688225:51690134:-1 gene:PAHAL_1G338300 transcript:PVH66755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRFREVAAAEAVFGAMRSRTVVSWNAVIAGCVKNGRAERALEVFEKMVGGGVGIDCATVVSVLPACAQAKDLRTGRAVHRLAEGRGLGNYVAVKNALIDMYGKCGSLEDARRVFDEDKYGKDVVSWTAMIGAYVLSDRAGEALTVGCEMLMRSEAQPNAVTMAHLLSACASLPSGKHAKCTHALCIRLGLQSDIIAETALVDSYAKCGHMKMIEIIVDKGSRRTETWNAAISGYTDRKREKKAIELFKRMIEESVRPDSVTMTSVLPAYAESADLVQAKNIHCFLLTLGFLESAQIATGLIDVYAKVGNLDVTWELFKCLPEKDIVAWTTVIAGYGMHGHARTAILLYDKMVELGVKPNYITMASLLYSCSHAGMIDEGLCLFDGMRNVHGLMPNAEHYSCLVDMLGRAGRIEEAYRLIEDMPFEPTTSVWGALLGACVLHENVEFGEIAAKHLFELEPENTGNYVLLGKVYATADRWGDVQNLRRMIEERGLRKDPGSSVVDAKSLNCAEP >PAN07555 pep chromosome:PHallii_v3.1:1:52820910:52823283:-1 gene:PAHAL_1G355800 transcript:PAN07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGNPNPTGGPPQPRPSHPQQQQPPGGSPATPMTHLRPPSLAGSPFQGVFHTPPSHNPAFQIHMGASSPQTPLMAAAAAAAAQSAKRPPQKPPVRPPAPGSTSAAAAASAAAAYKAAAAAAAVANSGGVDLTPAARRNKKRKLPEKQLPDRVAALFPESALYTQLLEFEARVDAALTRKKVDIQEALKTPPSLQRTLRIYVFNTFANQGPRTIPPPKNADPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSQFFKRVTIALDPQLYPENPLIIWENARSAAQQEGFEVKRKGDKEFVANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPNDPSFFMCDPQLKKVFGEDKLKFAMLSQKISQHLTAPPPINLEHKIKLSGNGASGSACYDVLVDVPFPLQKEMMAFLANTEKHKDIEACDDVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNIDKERRADFYNQPWVEDAVIRYLNRKPANEGPGGGAGGS >PAN05763 pep chromosome:PHallii_v3.1:1:29939857:29941728:-1 gene:PAHAL_1G195800 transcript:PAN05763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >PAN06391 pep chromosome:PHallii_v3.1:1:46530058:46531083:1 gene:PAHAL_1G261000 transcript:PAN06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACATKPGDLKVKGEAPLVAEDAAAAPVASEEKAKADGVVPAAAEADPADASRRRSLSDLLKQDAETIDREAAEKVVTAEPATGAVGDAGATMGDGEADKEAAEEVAAVEPATGAAGDAGATMGDGEADKEAAEEVAAVEPATGAAGDAGATMRDGEADQEAAEKAVAVEPATGAAGDAGATMGDGEADQEAAEKVVAMEPATGAPGDAGAATMGAQAPVQASAATEQDGELKDDPHGNVQAVVEEEKRVDPDSVQVVVPAAAAAAAAPSAEEGKVADDASA >PVH65989 pep chromosome:PHallii_v3.1:1:9407081:9408267:1 gene:PAHAL_1G119800 transcript:PVH65989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRVSANVALLIVFSLMAVAHCVPHEAELATDASAAAVTAAEVDAVSDGEGLLLLPYSDDSVVYDAVSGDGEVPGKEVVVEPVAEPDPDRSHLDSDGEEELTAMKLATEGQQQDDEKTKKQIDGDEDEDEEEEEEKTRKNNHGGVKEEKKKRKKHHDCDGKEKKKTKGHHKSNDEEEEEEEKTKKKIQRHHHVRKTKTKKLTHHNKNDSDDEEEQEKKEKRWRKAISRSMFGHGRRSQREEAAKEEVNN >PAN07824 pep chromosome:PHallii_v3.1:1:53524467:53528723:1 gene:PAHAL_1G365800 transcript:PAN07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g01580 [Source:Projected from Arabidopsis thaliana (AT3G01580) UniProtKB/Swiss-Prot;Acc:Q9SS97] MPPLRKLLEAAAAASTPLAAAHLHAHLLRSGLLHSSHHLTAHVLESYTPGLARDLFDEIPCPTPRLANALLRAHLRARQWRAAILRVPRLRVRPDGFTFPLLLRACAMLPSLAHGRAVHAVAVRSCAASEDAFVAAAVVQMYARCGDMVGAVNAYGALEKSDVVLLTSVVTGYEQNGLAMGAMEFFARNVVGRGVVPTPVTLVSVISAAAQLGDAWNGRASHAYLVRNSLGYNLALMNAVLGFYVKIGDVQAARRLFEGMADKDVVTWSSMIKGYVQSGNAHEALRVYRGMVQVGVQPNSVTLVSILQACALAADVEEGKSIHHIAVNMGCELEVGVATALVDMYMKCSCHEEAMHLFHRMPNKDVVAWGAVISGLTQNGLPDESIQVFKCMLLDDHAPDAVTMVKVLNACSESGVARQAICLHGYLVRSGFDNKVFVTAALLDLYSKCGNLDSAIRVFESTTKKDVVLWSSMISGYGAHGLGQEAVALYQKMIASSIKPNSVTFISVLSACSHSGLVQEGRQIFDSMTRVYGVMPNPEHQSAMVDLLGRAGELQEAIKVIHEMDGRAVAHTWCALLAACREHNNTEMSEAVAENLLKLDPDHVGYYSLLANIYAFDEKWESVKETRDTVRGRGLHRMPGYSAVEVNNVVHTFTAGERPHQDQENIYTLLCDLSRKLRGEDCSFQLDTGLVFEDSALF >PAN07660 pep chromosome:PHallii_v3.1:1:52648271:52652539:-1 gene:PAHAL_1G353100 transcript:PAN07660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRYYWPMVAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTSNRSGSKHAHVYCSLIFVAVDFLAAMLIRSTGRKLQMSRNRSLKSLDLTKAVNNSANVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMVYGACSCLAPLAAFGYVMATHLSLYPAILIVPVILLLGYGPDAPPTKVFLVKSSSDSKSDMSEYDKRTSLKVQRFSWMTVLHFIFWLIIWSCYVLLLSSMILKKVGGLNEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFNMNILFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSAGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVGSMIKHDRKLRLLVTS >PAN03737 pep chromosome:PHallii_v3.1:1:1385689:1389018:-1 gene:PAHAL_1G018100 transcript:PAN03737 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MVALTSLSPAPPRPSPTPAPPRRGPPPRGRAYSPRGVILRAAAVADATPGADDYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEALAAAAGVEEGDVVLEIGPGTGSLTAALLVAGATVFAVEKDKHMATLVKDRFESTERLKVIEEDITKFHIRSHFLPFMKEKYNDTKKRAKVVSNLPYNVSTEVVKLLLPMGDIFSVVVLMLQDETAARLADSSIQTPEYRPINVFVNFYSEPEYKFRVDRENFFPRPKVHGAVISFKLKNAEEYPPVGSPKSFFSMVNSAFNGKRKMLRKSLQHLCSSSEIEAALHKIGLPVTARPSDLKLDDFVRLHSHLVEV >PVH65534 pep chromosome:PHallii_v3.1:1:1384761:1389071:-1 gene:PAHAL_1G018100 transcript:PVH65534 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MVALTSLSPAPPRPSPTPAPPRRGPPPRGRAYSPRGVILRAAAVADATPGADDYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEALAAAAGVEEGDVVLEIGPGTGSLTAALLVAGATVFAVEKDKHMATLVKDRFESTERLKVIEEDITKFHIRSHFLPFMKEKYNDTKKRAKVVSNLPYNVSTEVVKLLLPMGDIFSVVVLMLQDETAARLADSSIQTPEYRPINVFVNFYSEPEYKFRVDRENFFPRPKVHGAVISFKLKNAEEYPPVGSPKSFFSMVNSAFNGKRKMLRKSLQHLCSSSEIEAALHKIGLPVTARPSDLKLDDFVRLHSHLVEV >PVH65535 pep chromosome:PHallii_v3.1:1:1384825:1389071:-1 gene:PAHAL_1G018100 transcript:PVH65535 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MVALTSLSPAPPRPSPTPAPPRRGPPPRGRAYSPRGVILRAAAVADATPGADDYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEALAAAAGVEEGDVVLEIGPGTGSLTAALLVAGATVFAVEKDKHMATLVKDRFESTERLKVIEEDITKFHIRSHFLPFMKEKYNDTKKRAKVVSNLPYNVSTEVVKLLLPMGDIFSVVVLMLQDETAARLADSSIQTPEYRPINVFVNFYSEPEYKFRVDRENFFPRPKVHGAVISFKLKNAEEYPPVGSPKSFFSMVSVNSAFNGKRKMLRKSLQHLCSSSEIEAALHKIGLPVTARPSDLKLDDFVRLHSHLVEV >PAN07188 pep chromosome:PHallii_v3.1:1:50358839:50360485:1 gene:PAHAL_1G316600 transcript:PAN07188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTTSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNKKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKSKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEEFDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPTLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARVYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN08374 pep chromosome:PHallii_v3.1:1:56418940:56422538:1 gene:PAHAL_1G408700 transcript:PAN08374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGGLLSAGFDESSCQSRYRSNLYRKPSPFPLSPYLAQKLRKYEAYHKKCGPGTKRYRRAVKQLKAGRNADHSECKYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLMHVAPEQEGLFCEPFPGSSWVLPGDFPENNPHKLHIGAPESYANMLKSGVVRNDDPNSVPASSLPPYVYLHVEQFQLKLSDNVFCDEDQVVLGKFNWMVLKSDSYFAPALFLTPMFEEELARMFPQKEAVFHHLGRYLFHPTNKVWGIIRRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLMRCIREQRLLPELAGAAEPANHTAGGAAGKVKAVLIASLYSGYYEKIRGMYYESPTRNGEVVAVFQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMTAWSTFGYVAYSFAGVKPWILLRPDWDKEVSAVACVRSASVEPCLHSPPQLGCRAKKNVDVAAVKPYVRHCEDVGFGLKLFDS >PAN08375 pep chromosome:PHallii_v3.1:1:56418940:56422538:1 gene:PAHAL_1G408700 transcript:PAN08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKRSRSPRAPAGGEDDKKRAGWRGAGVRPEMVLVGFLLTLPLLFLVFGGRWGSGSFPSSSPSPPVVARNVAAGDRGATPQSKKPESVTPKNVSASTASSPSPDMLLGGLLSAGFDESSCQSRYRSNLYRKPSPFPLSPYLAQKLRKYEAYHKKCGPGTKRYRRAVKQLKAGRNADHSECKYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLMHVAPEQEGLFCEPFPGSSWVLPGDFPENNPHKLHIGAPESYANMLKSGVVRNDDPNSVPASSLPPYVYLHVEQFQLKLSDNVFCDEDQVVLGKFNWMVLKSDSYFAPALFLTPMFEEELARMFPQKEAVFHHLGRYLFHPTNKVWGIIRRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLMRCIREQRLLPELAGAAEPANHTAGGAAGKVKAVLIASLYSGYYEKIRGMYYESPTRNGEVVAVFQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMTAWSTFGYVAYSFAGVKPWILLRPDWDKEVSAVACVRSASVEPCLHSPPQLGCRAKKNVDVAAVKPYVRHCEDVGFGLKLFDS >PVH65784 pep chromosome:PHallii_v3.1:1:5217568:5218302:1 gene:PAHAL_1G076200 transcript:PVH65784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLEHVGVMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRMLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGRKEEDLEDTVSHLSIYLTGLDALYSEQAAQLKQLIQGIEKITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRYLPIKKRSIRTEEESP >PAN05052 pep chromosome:PHallii_v3.1:1:8453832:8458811:1 gene:PAHAL_1G110800 transcript:PAN05052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGDALLQAFLMPQRAYAALRDDEHYSLPRLRRPLVVAAACCVAAAVAAAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFGISLTYLVAGMSVAYAAPRRHPMICVVENNFCASRRGGVRCLAILNAVFAVVFGLMAIVLGSTLLALGSTCSVPLFWCYEITAWGLAILYGGTAFFLRRKAAVVLDEGDYATHNVGLEMLESKLEVTPEMQRRISDGFKQWMGSSHLSSDDEDEASDDYIEHNVPSPTALVEQHRRENDLET >PVH65969 pep chromosome:PHallii_v3.1:1:8774144:8775687:-1 gene:PAHAL_1G113300 transcript:PVH65969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPVSPLLLVASASSPAPAPTGWLRAHATFYGCGYGSRTAALSMVLFNDGASCGQCYKLACDRNTDPTWCKPSVTVTVTATDFCPPNYGLPSDDGGWCNPPRPRFDMAQPAWEKIGIYRAGIIPVVYRIPCVRRGGVRFMINGHDYFNIVLVSNVAAAGSIKSMEVKTAVSTDWMPMARNWGANWHYMAFLTGKTLSFRVTNSDGQGLQFANVVPKGWKFGQTFASKFLFN >PAN05084 pep chromosome:PHallii_v3.1:1:8728500:8729681:-1 gene:PAHAL_1G112900 transcript:PAN05084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARVLAVVLLAVAWLLAAAAADDAATSASSSPAPVGWLKAHATFYGGADASGTMGGACGYGNLYSQGYGTRTAALSTALFNDGASCGQCYKIACDRKRADPAFCKPGVTVTVTATNFCPPNYALPGDNGGWCNPPRAHFDMAQPAWEKIGVYSGGIIPVMYRRVPCVRRGGVRFTINGHDYFNLVLIANVAAAGSIKSMDVKSSGSDNWMPMARNWGAQWHSLAYLTGQSLSFRVTDTDGQTLEFTNVVPKGWKFGQTFASKIQFK >PAN03621 pep chromosome:PHallii_v3.1:1:1022001:1027924:1 gene:PAHAL_1G010900 transcript:PAN03621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFAGAAPAAGVFAGSGAAAARPFVLHRRGGASRAGFGRLRLLRVPPPRVGGDGGDLPPLDKWDMMELDFGRFLGEDPKLTLAKILVKKSDPDASSLDVEKLIATKKDKLDDILREFMDANKKDQAFKTPESVSLMNTRQPTISKPVEGKSSLNISRPVMGKPKQEGPPLTLLRPAGSKLKQEEPSLSQLRPVGSKAKEDIPPLTLSRPIGSKPIVQGTPVQDSWPSKESLAAATDNSEVGSISRTTDVDVTLRKPIVHQSEDDDLKSKLKMKPNINLKMRKDMNEDLTNISLLQKPDVANPDQDHASASSATISAVEDNSVFEPETNVELQCPQICDPKSLGTKLVTENVHESSGLDDDSSAGLQPSGQTVIQETNTSAGSVDNQSATSNNFSMQAFLQGKPKRENLSAEILPSPVDEKMNATDNRNYVDDGGNVLPSKLEDITEGDWTRLEHYASTGEKVEVELINCSPKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSLGLEDGYDVHDRNIEPESSSVSEVAGEDQESLPSKPKFEDLLRAYNQEKSKFLSSFIGQRLRVSVVLADRNSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVQCTIKRFVYFGIFVEVEGIPALIQQWEVSWDDTLDPAVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPLVDALEAVIGEDLSLGGALEPVQADFEWPEVDALMEEMRKIEAVRDVYKGRFFQSPGLAPTFQVYMAPVVGPKYKLLARYGNNVQEVMVETTLDKERLKEAILTCTNRVS >PAN03622 pep chromosome:PHallii_v3.1:1:1022001:1027924:1 gene:PAHAL_1G010900 transcript:PAN03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFAGAAPAAGVFAGSGAAAARPFVLHRRGGASRAGFGRLRLLRVPPPRVGGDGGDLPPLDKWDMMELDFGRFLGEDPKLTLAKILVKKSDPDASSLDVEKLIATKKDKLDDILREFMDANKKDQAFKTPESVSLMNTRQPTISKPVEGKSSLNISRPVMGKPKQEGPPLTLLRPAGSKLKQEEPSLSQLRPVGSKAKEDIPPLTLSRPIGSKPIVQGTPVQDSWPSKESLAAATDNSEVGSISRTTDVDVTLRKPIVHQSLGTKLVTENVHESSGLDDDSSAGLQPSGQTVIQETNTSAGSVDNQSATSNNFSMQAFLQGKPKRENLSAEILPSPVDEKMNATDNRNYVDDGGNVLPSKLEDITEGDWTRLEHYASTGEKVEVELINCSPKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSLGLEDGYDVHDRNIEPESSSVSEVAGEDQESLPSKPKFEDLLRAYNQEKSKFLSSFIGQRLRVSVVLADRNSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVQCTIKRFVYFGIFVEVEGIPALIQQWEVSWDDTLDPAVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPLVDALEAVIGEDLSLGGALEPVQADFEWPEVDALMEEMRKIEAVRDVYKGRFFQSPGLAPTFQVYMAPVVGPKYKLLARYGNNVQEVMVETTLDKERLKEAILTCTNRVS >PAN04811 pep chromosome:PHallii_v3.1:1:6630114:6634659:1 gene:PAHAL_1G093200 transcript:PAN04811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MDARAGEAAETSGRGVGGAVLPLAVRELLAGGVAGGVAKTAVAPLERVKILFQTGRAEFHGSGLIGSFRTIYRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVNVGFSECKPSEQVYKGIMDCVKTIYRQNGLKGIYRGMAPSLYGIFPYSGLKFYFYEKMKSHVPEEHRKDIIAKLACGSVAGLVGQTITYPLDVVRRQMQVQALSSSSLVGRGTFESLVLIAKQQGWRQLFSGLSINYVKVVPSVAIGFTVYDSMKVWLKVPSREETAVSVLTEERSDAAPIPSS >PAN04810 pep chromosome:PHallii_v3.1:1:6630114:6634531:1 gene:PAHAL_1G093200 transcript:PAN04810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVNVGFSECKPSEQVYKGIMDCVKTIYRQNGLKGIYRGMAPSLYGIFPYSGLKFYFYEKMKSHVPEEHRKDIIAKLACGSVAGLVGQTITYPLDVVRRQMQVQALSSSSLVGRGTFESLVLIAKQQGWRQLFSGLSINYVKVVPSVAIGFTVYDSMKVWLKVPSREETAVSVLTEERSDAAPIPSS >PAN08062 pep chromosome:PHallii_v3.1:1:54705879:54706952:-1 gene:PAHAL_1G383800 transcript:PAN08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLLLLVAAALSAGVAAQMPPFLGGQGPPVVPGGQAQPVVGGPLSPEFYSQSCPRAERIIAEVVQSKQMANPTTAAGVLRVFFHDCFVSGCDASVLIASNQFAKSEHDAEINHSLPGDAFDAVVRAKLALELECPGVVSCADILALASRILVTMTGGPRYPISLGRRDSLSSSPTAPDVELPHANYTVDRLIQMFGAKGFTVQELVALSGAHTLGFSHCKEFADRLYNFRDKGGKPEDFDPSMNPSYAKGLQAVCRDYLKDPTIAAFNDIMTPGKFDNMYFVNLERGLGLLSTDEELFTDPRTKPLVQRYAANSTAFFDDFGRAMEKLSLFGVKTGADGEVRRRCDAYNNGPMPK >PVH66441 pep chromosome:PHallii_v3.1:1:44898148:44900537:1 gene:PAHAL_1G246700 transcript:PVH66441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISIDGDGGVSVSLPEDIIFDVLARLPVKTLCRLQCVSKGWRALISDPAFAATHRSRAAAAPVGGGLRVIDTASGDVLRVVEDVRRPDLVRTRLDLVFIAQGDLGACWVIDSETGGVLTFNREKRGEYPRTNYNRTMNTVGVGVHNRHYSFGRECHHSFARATPSGTYKVLHLRCMRLANGDGADICQIATIGNGVAVEPPTWRQRPEPPILTCHCYTCVAGVDGVLHYFMDRRRRTPNDHGRRCPGYPRIASFDLESEEWRKMVIDAPRTGWSDEVSGCHMRLAELKGTLSVLQTILMLNYYLTASGKDNQDLCGAHLQFYNTSTGALTNLMEMGQGFIGSSLTLYTRNFLA >PVH65895 pep chromosome:PHallii_v3.1:1:7300797:7303830:1 gene:PAHAL_1G100000 transcript:PVH65895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPASSPVEFLLRRPPPRQRRRPPLAGAFFAPTGLSGAPLLRALASLAADLLASPRPPSQRRNLDALMRRLALLSALLDSLLLALGDEGEGAFSDAANLCFRELYVVLFRADLLVSYVASAGRAWALLRGPHLAASFRDLDAELAVVLDVLPAASLRLSWDAAEHLDLLRARCRRRAPGQYHDPAEAALRDRLLAALRHFELGQPLDHSKLKSLLVQIGISDAASCRAEIEYLEEQILSQEEDTDLPLVGGVVALLRYCLFSLFDPGNTKASRVWPSTGDRQRLLSWSSSDDSSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIQEGHSTCPNSGQALADNRLVPNRALRSLISQWCGVHGFQYDSPESNEGMIECVAASCSGKAAIEANKATARILVRMLEEGSDSEKPVAAREIRLLAKTGKQNRAFIAELGAIPLLCRLLLSSDWMAQENAVTALLNLSIYEPNKTRIMEQEGCLRLIVSVLKNGWTTEAKENAAATLFSLSVVHDYKKKIMNEPGAVEELASMLTRGTPRGKKDAVMALFNLSTHPESSSRMLESSAVVALIESLRNDTVSEEAAGALALLMKQPTIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSTLAQRVAKIPGLNTVIQNITLTGTKRAKKKASLIVKMCQRSQMPSALALGSTLTVVDHSLVGNSTLRRAASFGSGELSNPVSISVPVP >PAN05327 pep chromosome:PHallii_v3.1:1:11169457:11170879:1 gene:PAHAL_1G131500 transcript:PAN05327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKREREKSTLAAASAAAISVVIGDCDLLGEIFLRLGLPTDLVRAAAVCRRWLRAASDPAFLRRFRDVHPPRLLGFYLTTFSTDQRFRADFVPMLPQRPELAAVVRRGGFELDSYVSRSTRIMDSRDCRVVVNLFRDGDFTYGVHSPLHRARGFVTFPRLPAMDDKKIYIFREILSRECDHGRLSYFWFELDYSGKEKVTARVYKLQDDAWSMQTSATTQISRFHSSTLNALSTFLVDDKIYMGITVHNILVLDLTSSTFSTIKYPETMAMKGFNGEIMLARADGSGVCLVHVDVNEIQLHVWLHSGCDGSAGDWLLVNTISLRDLCANLKISNSTTEDDDDPDVFIHAVGDSGEFVFLQMYGCVLYLDVRSSAMQKVYGVTDRNARISSTHPFLMPWPPVFPVLKE >PVH66900 pep chromosome:PHallii_v3.1:1:54345274:54346603:1 gene:PAHAL_1G378900 transcript:PVH66900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVSLLLLSSPRPLHGAGLLRVPRRGALLPLPGPARAPSRLLAAPPPPRASNNNDNSGAVEAPDRLVAAVAYLYPFLDGAHHGRFLLAQFPFFSALLRPLAPAARLFHSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIFPDLLAQSFAPSGGVGFEIFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >PAN06891 pep chromosome:PHallii_v3.1:1:48895208:48898040:1 gene:PAHAL_1G295200 transcript:PAN06891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSSCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARHRASVARSLLLECECRNHRPAGGGENTLLRAGYGGWVLYTAASAGDVAFVQELLDRDPLLVFGEGEYGVTDMFYAAARGGSADVFRLLFDHAMSPRCSTNCRDGEGGAGRGSVFRLEMMSRAVHAAARGGSVEMLRELLEEGRSGVSVYLDVRGSTVLHAAAGRGQLQVVKYLLTSFDIINSTDNHGNTALHVAAYRGHQPVVEALVAASPSTLSAVNHAGDTFLHSAVAGFRTPGFRRLDRQMELMRYLISERKTDMQKIINLKNDAGLTALHLAVVGCAHPDLVELLMTTPSIDLNAEDANGMTALALLKQQLRSATSDRLIKQIVSAGGVLNSSILRTRSAIASQIKMQGGIASSPGTTFKVSDAEIFLFSGIGAAESQRPSSCSSNGKDDPAQADANGAENHGSTEKRLSSASRAKDRLKMMLKWPRNKEKMSKALKKSEDSSPLDSIKKLGEHGVETPAPLRQKFTKTTALNGKRTLAVKSSTPGSSATKKKLNTKLIHGIMEAMPQLAPPPARTRSPSDTFPRSSMSSTPTPLAKLKDICLDDDEIAVVAPPVGRLKDIVLDSDDTTEDPSCSNSSMDDGCGGTAESAARKQHGCGNGRLINICFGAQGLTVEDTVSGQPTSKMFKQQCLRVS >PAN06892 pep chromosome:PHallii_v3.1:1:48894527:48898081:1 gene:PAHAL_1G295200 transcript:PAN06892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSSCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARHRASVARSLLLECECRNHRPAGGGENTLLRAGYGGWVLYTAASAGDVAFVQELLDRDPLLVFGEGEYGVTDMFYAAARGGSADVFRLLFDHAMSPRCSTNCRDGEGGAGRGSVFRLEMMSRAVHAAARGGSVEMLRELLEEGRSGVSVYLDVRGSTVLHAAAGRGQLQVVKYLLTSFDIINSTDNHGNTALHVAAYRGHQPVVEALVAASPSTLSAVNHAGDTFLHSAVAGFRTPGFRRLDRQMELMRYLISERKTDMQKIINLKNDAGLTALHLAVVGCAHPDLVELLMTTPSIDLNAEDANGMTALALLKQQLRSATSDRLIKQIVSAGGVLNSSILRTRSAIASQIKMQGGIASSPGTTFKVSDAEIFLFSGIGAAESQRPSSCSSNGKDDPAQADANGAENHGSTEKRLSSASRAKDRLKMMLKWPRNKEKMSKALKKSEDSSPLDSIKKLGEHGVETPAPLRQKFTKTTALNGKRTLAVKSSTPGSSATKKKLNTKLIHGIMEAMPQLAPPPARTRSPSDTFPRSSMSSTPTPLAKLKDICLDDDEIAVVAPPVGRLKDIVLDSDDTTEDPSCSNSSMDDGCGGTAESAARKQHGCGNGRLINICFGAQGLTVEDTVSGQPTSKMFKQQCLRVS >PVH67008 pep chromosome:PHallii_v3.1:1:56395773:56400374:-1 gene:PAHAL_1G408200 transcript:PVH67008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNASSSSTVVQQTQDKHQFEKRRNYSSWCPDPRAQLLRGSTRIQSIQPPSWFFINCMYLRSIAKEHAWRLGQI >PVH66438 pep chromosome:PHallii_v3.1:1:44862507:44863179:1 gene:PAHAL_1G246400 transcript:PVH66438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFPMFQGSTLRAVPTQPDQTACVLARGLSLPVPDGFGPVRWETQSSLFLRKRIYKTDERQRRAFFFFFLLFLSYAKFLFPCGVAMRNGGPTATAGGAGLGPTRGTGQPYRVRVWLLFFFF >PAN07305 pep chromosome:PHallii_v3.1:1:50833269:50835147:-1 gene:PAHAL_1G325300 transcript:PAN07305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTQDKCKTCDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSISSYSSMDGVLYCKTHFEQLFKETGTFSKKFQGGVSSTKNDQAKAPSKLSSAFSGTQDKCAACQKTVYPLEKMTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQKKNEAAEAAPEPPADAGAAE >PVH66480 pep chromosome:PHallii_v3.1:1:46224635:46225443:-1 gene:PAHAL_1G258400 transcript:PVH66480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLALFLALAVLAFAAAVHGCTPYCPTPPVVPTPPVVPTPSGGGSCPINALKLEVCANVLNLLKLNIPSLGNDQCCPLLQGLVDLDAAVCLCTAIKANILGINLNVPIDLSLLLNHCGKVCPADFTCPL >PAN05026 pep chromosome:PHallii_v3.1:1:8789960:8797721:-1 gene:PAHAL_1G113500 transcript:PAN05026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) UniProtKB/TrEMBL;Acc:A0A1I9LMR3] MSSVHFFPASSQTPVPARLLKPSPKPPFPCPRALSSAAFHHGGGNAHARRRPHLRLRRGVRAPAAPAEAPPVGPDGGSGGGGAGGGGGGEDEEEEGERKEKGLLPEWMNVTTEDAKTVLAAVAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVAKGGDVVEVHEGKLVVNGEARKEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSVFRYWPPGRIGGTAKGCLNPELNPETKPGSLIDVKLTK >PAN07712 pep chromosome:PHallii_v3.1:1:52899984:52902415:-1 gene:PAHAL_1G356800 transcript:PAN07712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRAPCCAKVGLNRGSWTPQEDMRLMAYIQKHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTVEEEETIIRLHGMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKKAALREQQKAGAAKNDGAASGGDAGTPVTDSSSASSSTTTTNSSSGGSDSGDQCDTSKEPDAVPVSPLQLEDVDVSEMLVDAPAAAQPMLSASCSSSSLTTCAGGVEDLIELPVIDIEPDIWSIIDGECADASGARRGDATAPCTGAAVSTSEAGEAANDWWLENLEKELGLWGPAEDPQAQTDLLDHTGFGPLVDSEGDPVSTYFQTGPDNAAAELLLDVELELELELEPEPEPSALLL >PAN08391 pep chromosome:PHallii_v3.1:1:56476560:56481086:-1 gene:PAHAL_1G410300 transcript:PAN08391 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Regulation of ABA signaling and biosynthesis, Drought resistanc [Source: Projected from Oryza sativa (Os02g0766700)] MDFPGGSGRRPQQPEPEHLPPMTPLPLARQGSVYSLTFDEFQSALGGAGKDFGSMNMDELLRSIWTAEETHAVAAANAAAASAAAADHAARAASIQRQGSLTLPRTLSQKTVDEVWRDIMCFGGPSAAQAEAAVAPPPPAQRQQTLGEITLEEFLVRAGVVREDMTAPPPVPPVPVTALQPPPPPQPPMLFPHGNVFAPLAPPPLSFGNGLVSGAIGQGGGAAPSAVSPVRPVTSNGFGKMEGGDLSSLSPSPVPYVFNGGLRGRKPPAMEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKELNEELQKKQIEMLEKQKNEVLERMSRQVGPTAKRICLRRTLTGPW >PAN08840 pep chromosome:PHallii_v3.1:1:58582695:58591025:-1 gene:PAHAL_1G440100 transcript:PAN08840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVALVSGGKDSCFAMMRCLDYGHKVVALANLIPLDDGVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSTRDQGLKYNVTAGDEVEDMFALLSEVKRQIPSISAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLQMNESYGINVCGEGGEYETLTLDCPLFCNARIILDDYEVILHSADSIASVGILHPRAFHLEHKPDSSDTIGDGSVTQDISSCVYEVDEVITCTDEEKHTLNPAVDACTNKDLCISKTGKNLRSISCWIQDRSRDLEGLKEDLIAVLSGIDNQLQEEGLGWVNVLYVHLYISNMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQVGLGKAYVEVLVSDELKKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPGGATAELELALQNSEAVANAFSCSIYSSAIHFLVYCSAQLTSDEKDEVEQTLQSSYITRLDCSKTGLYPTVLYVFAPDLPKGARVEIKPILYVPTNDDGVATREMETGMTQPAPSQAWSAQYSDLHDSCCQIHTIDGRICSAVVSVTSDIASKICSTAGQLYHTEDNLKAMARFCAFQIVKILGDNKFSWDSITMLRFYYSVENSVAADAMSRAFSEAFTELAEDNSSLRTDESPCYNIVPVSAKMRLLACCYNDPEMQIDPDTVYPIRPDCRLDAPKTRFKPRAGLTLSPKRWKLLHNEEGVLDIAGVIKRVQRGGIHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIADPNADGGARPSSVGSEQQTTGAPLPKEVIQWKLTLHQIGLDVNRTDRVLVYYESQENLARLWDILSVYSWVDKDIGYCQGMSDLCSPISIILEHEADAFWCFERLMHRVRRNFQSTSTSIGVRAQLTTLSTIMKSVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELQNVAAHVVYGVQSKSLFNVGE >PAN08843 pep chromosome:PHallii_v3.1:1:58581836:58591169:-1 gene:PAHAL_1G440100 transcript:PAN08843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVALVSGGKDSCFAMMRCLDYGHKVVALANLIPLDDGVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSTRDQGLKYNVTAGDEVEDMFALLSEVKRQIPSISAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLQMNESYGINVCGEGGEYETLTLDCPLFCNARIILDDYEVILHSADSIASVGILHPRAFHLEHKPDSSDTIGDGSVTQDISSCVYEVDEVITCTDEEKHTLNPAVDACTNKDLCISKTGKNLRSISCWIQDRSRDLEVGLKEDLIAVLSGIDNQLQEEGLGWVNVLYVHLYISNMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQVGLGKAYVEVLVSDELKKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPGGATAELELALQNSEAVANAFSCSIYSSAIHFLVYCSAQLTSDEKDEVEQTLQSSYITRLDCSKTGLYPTVLYVFAPDLPKGARVEIKPILYVPTNDDGVATREMETGMTQPAPSQAWSAQYSDLHDSCCQIHTIDGRICSAVVSVTSDIASKICSTAGQLYHTEDNLKAMARFCAFQIVKILGDNKFSWDSITMLRFYYSVENSVAADAMSRAFSEAFTELAEDNSSLRTDESPCYNIVPVSAKMRLLACCYNDPEMQIDPDTVYPIRPDCRLDAPKTRFKPRAGLTLSPKRWKLLHNEEGVLDIAGVIKRVQRGGIHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIADPNADGGARPSSVGSEQQTTGAPLPKEVIQWKLTLHQIGLDVNRTDRVLVYYESQENLARLWDILSVYSWVDKDIGYCQGMSDLCSPISIILEHEADAFWCFERLMHRVRRNFQSTSTSIGVRAQLTTLSTIMKSVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPNLFSMLESDTGTSKATTMDESVLRQCGKFERKNLQADKKDEEIPLSVFVVASVLEARNKKLLGEAKGLDDVVKILNEITGSLDAKKACREALQIHEKYLNTVKA >PAN08842 pep chromosome:PHallii_v3.1:1:58581836:58591169:-1 gene:PAHAL_1G440100 transcript:PAN08842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVALVSGGKDSCFAMMRCLDYGHKVVALANLIPLDDGVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSTRDQGLKYNVTAGDEVEDMFALLSEVKRQIPSISAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLQMNESYGINVCGEGGEYETLTLDCPLFCNARIILDDYEVILHSADSIASVGILHPRAFHLEHKPDSSDTIGDGSVTQDISSCVYEVDEVITCTDEEKHTLNPAVDACTNKDLCISKTGKNLRSISCWIQDRSRDLEGLKEDLIAVLSGIDNQLQEEGLGWVNVLYVHLYISNMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQVGLGKAYVEVLVSDELKKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPGGATAELELALQNSEAVANAFSCSIYSSAIHFLVYCSAQLTSDEKDEVEQTLQSSYITRLDCSKTGLYPTVLYVFAPDLPKGARVEIKPILYVPTNDDGVATREMETGMTQPAPSQAWSAQYSDLHDSCCQIHTIDGRICSAVVSVTSDIASKICSTAGQLYHTEDNLKAMARFCAFQIVKILGDNKFSWDSITMLRFYYSVENSVAADAMSRAFSEAFTELAEDNSSLRTDESPCYNIVPVSAKMRLLACCYNDPEMQIDPDTVYPIRPDCRLDAPKTRFKPRAGLTLSPKRWKLLHNEEGVLDIAGVIKRVQRGGIHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIADPNADGGARPSSVGSEQQTTGAPLPKEVIQWKLTLHQIGLDVNRTDRVLVYYESQENLARLWDILSVYSWVDKDIGYCQGMSDLCSPISIILEHEADAFWCFERLMHRVRRNFQSTSTSIGVRAQLTTLSTIMKSVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPNLFSMLESDTGTSKATTMDESVLRQCGKFERKNLQADKKDEEIPLSVFVVASVLEARNKKLLGEAKGLDDVVKILNEITGSLDAKKACREALQIHEKYLNTVKA >PAN08841 pep chromosome:PHallii_v3.1:1:58582695:58591025:-1 gene:PAHAL_1G440100 transcript:PAN08841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVALVSGGKDSCFAMMRCLDYGHKVVALANLIPLDDGVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSTRDQGLKYNVTAGDEVEDMFALLSEVKRQIPSISAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLQMNESYGINVCGEGGEYETLTLDCPLFCNARIILDDYEVILHSADSIASVGILHPRAFHLEHKPDSSDTIGDGSVTQDISSCVYEVDEVITCTDEEKHTLNPAVDACTNKDLCISKTGKNLRSISCWIQDRSRDLEVGLKEDLIAVLSGIDNQLQEEGLGWVNVLYVHLYISNMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQVGLGKAYVEVLVSDELKKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPGGATAELELALQNSEAVANAFSCSIYSSAIHFLVYCSAQLTSDEKDEVEQTLQSSYITRLDCSKTGLYPTVLYVFAPDLPKGARVEIKPILYVPTNDDGVATREMETGMTQPAPSQAWSAQYSDLHDSCCQIHTIDGRICSAVVSVTSDIASKICSTAGQLYHTEDNLKAMARFCAFQIVKILGDNKFSWDSITMLRFYYSVENSVAADAMSRAFSEAFTELAEDNSSLRTDESPCYNIVPVSAKMRLLACCYNDPEMQIDPDTVYPIRPDCRLDAPKTRFKPRAGLTLSPKRWKLLHNEEGVLDIAGVIKRVQRGGIHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIADPNADGGARPSSVGSEQQTTGAPLPKEVIQWKLTLHQIGLDVNRTDRVLVYYESQENLARLWDILSVYSWVDKDIGYCQGMSDLCSPISIILEHEADAFWCFERLMHRVRRNFQSTSTSIGVRAQLTTLSTIMKSVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELQNVAAHVVYGVQSKSLFNVGE >PAN04464 pep chromosome:PHallii_v3.1:1:4710800:4711513:-1 gene:PAHAL_1G069100 transcript:PAN04464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSSGSAGEDAVGGEKRVVRVWPSDEDGGRWPYYVGERDVDNKAAIFIANFHRHQSGVCDCPDQQQAPAAAAAAASAS >PAN08817 pep chromosome:PHallii_v3.1:1:58394285:58396581:-1 gene:PAHAL_1G437800 transcript:PAN08817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGRGGAGRRQERQALMVAFALALLMGTAVYFRIWARQSSDPSFTVDDREELRRQFEQANLEAMDESAEWRMKYDKEFEKNKQLQDEFSKVKASLTGTARRLELLKKDNEMWKRQTESLKQQCNCSLPLKTTKD >PAN05655 pep chromosome:PHallii_v3.1:1:13692826:13698997:1 gene:PAHAL_1G140600 transcript:PAN05655 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFS [Source:Projected from Arabidopsis thaliana (AT1G08490) UniProtKB/TrEMBL;Acc:A0A178WGK3] MAAAAALRCCFPGSAIGGGFIRPSSSRRGRHAAAVVAPSREAEPAASLGHRTRVDFPILHQEFDGAKLVYFDNGATSQKPYSVMKTLDEYYRSYNSNVHRGIHALSAKATDAYEGARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNVKQGDEILLTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEAPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPMEEIVTWSKGVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFMHGTFEMLSSMEPFLGGGEMIADVFNEKSTYAEPPSRKSWQHTSMKALFQFQISGYMVQHLPKQITVLLYALSMLRMFIRLILQKFLISSMA >PAN05656 pep chromosome:PHallii_v3.1:1:13692826:13698997:1 gene:PAHAL_1G140600 transcript:PAN05656 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFS [Source:Projected from Arabidopsis thaliana (AT1G08490) UniProtKB/TrEMBL;Acc:A0A178WGK3] MAAAAALRCCFPGSAIGGGFIRPSSSRRGRHAAAVVAPSREAEPAASLGHRTRVDFPILHQEFDGAKLVYFDNGATSQKPYSVMKTLDEYYRSYNSNVHRGIHALSAKATDAYEGARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNVKQGDEILLTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEAPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPMEEIVTWSKGVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFMHGTFEMLSSMEPFLGGGEMIADVFNEKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSCIGMDQIHEYEKELATYLYESLISVPNIRIYGPAPSQTDHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFINALKDTIHFLTSQH >PVH67108 pep chromosome:PHallii_v3.1:1:57977765:57983630:-1 gene:PAHAL_1G430200 transcript:PVH67108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYDLLENCRDHSFMVSNFGTRKEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEKGSWPVLAFMLAALLIYLGQYSDEQKTLDMLYKQSSLELLEIFSPLNPMPSQIRYLRYISMKNVMPEWPPADRALTLDCVILRMIPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQVIFYFPRAILSSLSDEWYLANGWEKSAYLIIMGQEKSLFHT >PVH67101 pep chromosome:PHallii_v3.1:1:57974765:57983146:-1 gene:PAHAL_1G430200 transcript:PVH67101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYVFDHCFSMKEMEDDHFEAHIRGIAADLLENCRDHSFMVSNFGTRKEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEKGSWPVLAFMLAALLIYLGQYSDEQKTLDMLYKQSSLELLEIFSPLNPMPSQIRYLRYISMKNVMPEWPPADRALTLDCVILRMIPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFMEMMKVPRVESKLRILSFKIKFVTQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PVH67103 pep chromosome:PHallii_v3.1:1:57974765:57981379:-1 gene:PAHAL_1G430200 transcript:PVH67103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFMEMMKVPRVESKLRILSFKIKFVTQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PVH67104 pep chromosome:PHallii_v3.1:1:57974139:57983630:-1 gene:PAHAL_1G430200 transcript:PVH67104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PVH67099 pep chromosome:PHallii_v3.1:1:57974765:57981379:-1 gene:PAHAL_1G430200 transcript:PVH67099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PVH67102 pep chromosome:PHallii_v3.1:1:57974139:57983630:-1 gene:PAHAL_1G430200 transcript:PVH67102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYVFDHCFSMKEMEDDHFEAHIRGIAADLLENCRDHSFMVSNFGTRKEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEKGSWPVLAFMLAALLIYLGQYSDEQKTLDMLYKQSSLELLEIFSPLNPMPSQIRYLRYISMKNVMPEWPPADRALTLDCVILRMIPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PVH67105 pep chromosome:PHallii_v3.1:1:57974139:57983630:-1 gene:PAHAL_1G430200 transcript:PVH67105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFMEMMKVPRVESKLRILSFKIKFVTQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PVH67100 pep chromosome:PHallii_v3.1:1:57974139:57983630:-1 gene:PAHAL_1G430200 transcript:PVH67100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYDLLENCRDHSFMVSNFGTRKEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEKGSWPVLAFMLAALLIYLGQYSDEQKTLDMLYKQSSLELLEIFSPLNPMPSQIRYLRYISMKNVMPEWPPADRALTLDCVILRMIPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFMEMMKVPRVESKLRILSFKIKFVTQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PVH67107 pep chromosome:PHallii_v3.1:1:57977765:57983630:-1 gene:PAHAL_1G430200 transcript:PVH67107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQVIFYFPRAILSSLSDEWYLANGWEKSAYLIIMGQEKSLFHT >PAN08692 pep chromosome:PHallii_v3.1:1:57974765:57983146:-1 gene:PAHAL_1G430200 transcript:PAN08692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYVFDHCFSMKEMEDDHFEAHIRGIAADLLENCRDHSFMVSNFGTRKEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEKGSWPVLAFMLAALLIYLGQYSDEQKTLDMLYKQSSLELLEIFSPLNPMPSQIRYLRYISMKNVMPEWPPADRALTLDCVILRMIPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFMEMMKVPRVESKLRILSFKIKFVTQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PVH67109 pep chromosome:PHallii_v3.1:1:57977894:57983146:-1 gene:PAHAL_1G430200 transcript:PVH67109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYVFDHCFSMKEMEDDHFEAHIRGIAADLLENCRDHSFMVSNFGTRKEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEKGSWPVLAFMLAALLIYLGQYSDEQKTLDMLYKQSSLELLEIFSPLNPMPSQIRYLRYISMKNVMPEWPPADRALTLDCVILRMIPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQVIFYFPRAILSSLSDEWYLANGWEKSAYLIIMGQEKSLFHT >PVH67106 pep chromosome:PHallii_v3.1:1:57974765:57983146:-1 gene:PAHAL_1G430200 transcript:PVH67106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYVFDHCFSMKEMEDDHFEAHIRGIAADLLENCRDHSFMVSNFGTRKEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEKGSWPVLAFMLAALLIYLGQYSDEQKTLDMLYKQSSLELLEIFSPLNPMPSQIRYLRYISMKNVMPEWPPADRALTLDCVILRMIPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNHDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILPGENNSSTVVLKLGNELGMLLSTEPRHIRLDSSSANIQSKSNTTALSPSRTGPKTSGSSMEPSSNTVMQQQSSSPVQPRRVMSDSAVQILPEISESVSAEKSGSQTPVEHSPSPLMANKSASTASLIPLCTPPPLPPPPPTVSLLPVPAKLPKNTSTSTINISLRATVHPSTPSSEPSVPPQGLSRTGKLVPPQGLSRTGKLVKSQEPSNEIRGELQTDSATGPSVFALSSSSSLSLDKELSSTRASLPVDLPELPQTSDTRPLAIPETRSDTMPPPPPPPLPKSGMILFPMSQSEEVTITIEKVVPPQPPPPPPPPPFSNSNPYTVSAISSSQKRARSPTPQAPALAKPSFSSPQPPPPPPPPPPPPLLNNTDGSLSQPQLLEKKDSATSKYLGTVSLTPKFLQAHSVPRLQTPPVPPPPPPQTVPVPPAPPPPSKTPPVPPPPPPRTPPVPPAPPPPSKTPHVPPPPPPPSRPSHVPPPPPPPSQTPPVPPPPPPPSQLFPSISKRNDVAATHPPPPPPPLPSHVTSAPPVPPAPPLPPPKLAAANNVPQKSSTIQPPPPPPGPHPKVSSHSLPSKGSVVSSNPPPPPAFSFGAKDRSTARSKSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQVADLKDSLNTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLTFVRTFERAHAENVKQMELEKKRAQTEAEKEKAKLAADKKGGSQERRISER >PAN06569 pep chromosome:PHallii_v3.1:1:47419250:47420105:1 gene:PAHAL_1G273000 transcript:PAN06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAPVATLLSLSRRGYAAAAAGAEVHQRAAAMAARGSASAGVSNSAAASREVFWMRDPQTGCWAPEDRFADVDAAELRARLLSRKD >PAN08852 pep chromosome:PHallii_v3.1:1:58619942:58624543:-1 gene:PAHAL_1G440700 transcript:PAN08852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSREYEASIIPEWKAAFVDYKGLKKLIKRIKIARRDAGPPPLLAATTAGSSYGFSVLDPVRSLTARFTGAAHHAAASPEGEEESLESDSGELVRSTNKHEQEFLEKADEELDKVNKFYATQEAELLARGEALIEQLRILADVKRILADHAAASRRGRGRALGRAASMPPSLELSPSLNGSSGRHLLSGLASPQSMSDGSVELQQARVAEGAAVAEEVMAALERNGVSFVGGGLAKAKKDGSGKQLMGRAALLQLPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQQATDKFSEKVKRSQFSSSDKVLQLADEVECIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLVGLFTGTFVSLFIIYSVLAHVAGIFSSTGSPAYMDIVYHVFSMFALISLHVFLYGCNLFMWKSTRINNNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGATYTDALPGALLVLSTGVLFCPFNIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGTFRNHAYETCTSSPQYTHLAYVISFLPYYWRAMQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPLWMWMVVISSSGATIYQLYWDFVMDWGFLNPKSKNLWLRDQLILKKKSIYYVSMMLNLALRLAWAQSVMKLHLGQVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFRELETD >PAN08851 pep chromosome:PHallii_v3.1:1:58619443:58625151:-1 gene:PAHAL_1G440700 transcript:PAN08851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSREYEASIIPEWKAAFVDYKGLKKLIKRIKIARRDAGPPPLLAATTAGSSYGFSVLDPVRSLTARFTGAAHHAAASPEGEEESLESDSGELVRSTNKHEQEFLEKADEELDKVNKFYATQEAELLARGEALIEQLRILADVKRILADHAAASRRGRGRALGRAASMPPSLELSPSLNGSSGRHLLSGLASPQSMSDGSVELQQARVAEGAAVAEEVMAALERNGVSFVGGGLAKAKKDGSGKQLMGRAALLQLPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQQATDKFSEKVKRSQFSSSDKVLQLADEVECIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLVGLFTGTFVSLFIIYSVLAHVAGIFSSTGSPAYMDIVYHVFSMFALISLHVFLYGCNLFMWKSTRINNNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGATYTDALPGALLVLSTGVLFCPFNIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGTFRNHAYETCTSSPQYTHLAYVISFLPYYWRAMQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPLWMWMVVISSSGATIYQLYWDFVMDWGFLNPKSKNLWLRDQLILKKKSIYYVSMVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFRELETD >PVH65844 pep chromosome:PHallii_v3.1:1:6133809:6135101:1 gene:PAHAL_1G088500 transcript:PVH65844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWGVLGRFGGGADELAGEMEKVQVVSGGRCYRCLFGPPGPVLARARHGPVNFVPGWSSTTLYRAVLAHGLHRRPKPGSMGMFPAGPARKARVGPAHNPPSHPPDHHCLNSKFHNHSNFTNIQVKEAK >PVH66379 pep chromosome:PHallii_v3.1:1:41937414:41938036:-1 gene:PAHAL_1G230300 transcript:PVH66379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLMGSGRSRGGAPRLLSSQESIHPLSVYGELSLEHRLRFVLNGKMEHLTTHLHRPRTTRSPLSFWGDGGIVSFEPFFHTFPGGLEKAVINRTSLILPS >PVH65750 pep chromosome:PHallii_v3.1:1:4721665:4740771:1 gene:PAHAL_1G069300 transcript:PVH65750 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD9 [Source:Projected from Arabidopsis thaliana (AT3G01460) UniProtKB/TrEMBL;Acc:A0A178VJY9] MKFASMDRDLNADENVPQRSIHLRRRRDLPQTSTLPMVAENHEFGNPGIFMDPSFITKAMEQSTTENGSSLKPPKFLVEKSNHQPHHRTVALPVQYSDFFITSLGEIDNRTSYHNSYQIWPVGFTSYWHDRVTGSLFECEVCDGGNFGPLFKMRRLPCSVFQLPEASTTVCPNVVRKADTIETKESSGVVEDTANDTDDNISMLLSNFSETNQDFMSCLSNNVGKESLGCSNLQTLNMTVPAVLSDSGSFSWAPTKDANLHDKIGDFTFEGTSPSSVWRMIYCATMEACEKMYKEHGHLVLFCTHSSEKTSFDYGSGSQNTDSPCNLLTRFCSSNGPKIPRFIEKENDVESTCALLREWLYQDRIGLDLEFVQEIVESLPKSRACSNYQFLRNRTGFNSSLTIASGALLAVNKSSPSNGDVMSYGRHGSMVTGPQDHAQPSSFSIRELPPGNPFSRKLPPELAGDVFQVLEFLGRFAEIIGLKELPSVEQVEDELIDPWPICANQKDIQNHRDHTPPMNSPANVSTSYSNGESGLTTNEETASVFIPVETSSTSEAVQDKLAAETLGRCSGVVLPEIHLALLKVLFTELVPRVAIFVDPRIDSKESKSKRGRKRDTDTLTRELKIDMLTANKLTWPELARRYLVAVSSLSGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVVGMEKDASLLAEAETLICNSSANEGNKVFMMDYKDSDIVDSPEEPACDTALPDWVKSLEPVRKLPTNVGTRIRKCVYEALERKPPEWAREILEHSISKEVYKGNASGPTKKAVLSVLAEACRKKIPQNPEKPRKERNIISISEAILKKCRIVLRRAISSDESKPFGNLLGTTLTNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYRGSLEAFLDDVQEVIHNLQTAFGDRPEVLVMVAALSQSFESLYKAEVQDLIQKFDKYLSNGNGNSEIHDELQDVLMAANNKLPKAPWEDGVCKVCGIDRDDESVLLCDNCDSEYHTYCLNPPLARIPIGNWYCPSCLSGQKEPNVDHNTHVLMQEEGKCVGEEARVFLERLNKLAMAMDEKEYWELSVPERIYLLKFLCDELLNTALIREHLDQCSDKSNDLQQKLRYLNYELKELKYQLEIRTSYATQSRWMKNDHVSNSSGLVENQQRAMPTASEHLEEAERVNVGVNLNTPAEGSPTGQLNVGKPHSADDISSTSVIEGNKSSGLSKQTSEMVIDRIDGGSIGEVSQSFEKSVGDINMGEAHSATVVNAPNGELPDENAGTPSQDNLEASTTKLADHDNLEASTTKLADHDADNNETNNLLDRISQLQDSISTAELQLSMASLRRECLGRDSVGRLYWVTGRPGKRPRLVADGSMLIAKDRDISMVTSYPQSTFDSRGWNSASIVVYESDEEIKCLVDWLRDTDPREKDLKDSILHWQKSLYHQASFPVSDPPVSNSSKSEPLRDLPDTKAFIVLEEKYGMQLDQDTSELSKRIGRKTKSGSEERIYRCDCLEPVWPSRHHCLTCHETYLTLAEYEGHNGGKCNSSNDSPNESKENDEPKLKGTKSDIKEKDPVDHSCSAEPSNNGKLDPCPFDFDEICRKFITNDSNKETVKEIGLLGSNGVPSFVPSPAFFVDPPALLSENKRKDDDIPNDWTSSLEECQAMSAKKSGQEGSQAGQDCPGNAGDEQMTKSKKPFRDSTSAKEASSSTDKPTRLLTINGGLVPESSLMPVIGRNFHILKQLKINLLDVEAALPEEALRASKSQQIRRRSWRAFVKSAESISHVVLATNFLQSMIKAEFLKKDWWYWSSFTAAIKTTTVTALALRIYTLDDCIMYTKDPAPNPEPADNARSGNKGKRKRDADS >PVH65752 pep chromosome:PHallii_v3.1:1:4721665:4740771:1 gene:PAHAL_1G069300 transcript:PVH65752 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD9 [Source:Projected from Arabidopsis thaliana (AT3G01460) UniProtKB/TrEMBL;Acc:A0A178VJY9] MKFASMDRDLNADENVPQRSIHLRRRRDLPQTSTLPMVAENHEFGNPGIFMDPSFITKAMEQSTTENGSSLKPPKFLVEKSNHQPHHRTVALPVQYSDFFITSLGEIDNRTSYHNSYQIWPVGFTSYWHDRVTGSLFECEVCDGGNFGPLFKMRRLPCSVFQLPEASTTVCPNVVRKADTIETKESSGVVEDTANDTDDNISMLLSNFSETNQDFMSCLSNNVGKESLGCSNLQTLNMTVPAVLSDSGSFSWAPTKDANLHDKIGDFTFEGTSPSSVWRMIYCATMEACEKMYKEHGHLVLFCTHSSEKTSFDYGSGSQNTDSPCNLLTRFCSSNGPKIPRFIEKENDVESTCALLREWLYQDRIGLDLEFVQEIVESLPKSRACSNYQFLRNRTGFNSSLTIASGALLAVNKSSPSNGDVMSYGRHGSMVTGPQDHAQPSSFSIRELPPGNPFSRKLPPELAGDVFQVLEFLGRFAEIIGLKELPSVEQVEDELIDPWPICANQKDIQNHRDHTPPMNSPANVSTSYSNGESGLTTNEETASVFIPVETSSTSEAVQDKLAAETLGRCSGVVLPEIHLALLKVLFTELVPRVAIFVDPRIDSKESKSKRGRKRDTDTLTRELKIDMLTANKLTWPELARRYLVAVSSLSGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVVGMEKDASLLAEAETLICNSSANEGNKVFMMDYKDSDIVDSPEEPACDTALPDWVKSLEPVRKLPTNVGTRIRKCVYEALERKPPEWAREILEHSISKEVYKGNASGPTKKAVLSVLAEACRKKIPQNPEKPRKERNIISISEAILKKCRIVLRRAISSDESKPFGNLLGTTLTNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYRGSLEAFLDDVQEVIHNLQTAFGDRPEVLVMVAALSQSFESLYKAEVQDLIQKFDKYLSNGNGNSEIHDELQDVLMAANNKLPKAPWEDGVCKVCGIDRDDESVLLCDNCDSEYHTYCLNPPLARIPIGNWYCPSCLSGQKEPNVDHNTHVLMQEEGKCVGEEARVFLERLNKLAMAMDEKEYWELSVPERIYLLKFLCDELLNTALIREHLDQCSDKSNDLQQKLRYLNYELKELKYQLEIRTSYATQSRWMKNDHVSNSSGLVENQQRAMPTASEHLEEAERVNVGVNLNTPAEGSPTGQLNVGKPHSADDISSTSVIEGNKSSGLSKQTSEMVIDRIDGGSIGEVSQSFEKSVGDINMGEAHSATVVNAPNGELPDENAGTPSQDNLEASTTKLADHDNLEASTTKLADHDADNNETNNLLDRISQLQDSISTAELQLSMASLRRECLGRDSVGRLYWVTGRPGKRPRLVADGSMLIAKDRDISMVTSYPQSTFDSRGWNSASIVVYESDEEIKCLVDWLRDTDPREKDLKDSILHWQKSLYHQASFPVSDPPVSNSSKSEPLRDLPDTKAFIVLEEKYGMQLDQDTSELSKRIGRKTKSGSEERIYRCDCLEPVWPSRHHCLTCHETYLTLAEYEGHNGGKCNSSNDSPNESKENDEPKLKGTKSDIKEKDPVDHSCSAEPSNNGKLDPCPFDFDEICRKFITNDSNKETVKEIGLLGSNGVPSFVPSPAFFVDPPALLSENKRKDDDIPNDWTSSLEECQAMSAKKSGQEGSQAGQDCPGNAGDEQMTKSKKPFRDSTSAKEASSSTDKPTRLLTINGGLVPESSLMPVIGRNFHILKQLKINLLDVEAALPEEALRASKSQQIRRRSWRAFVKSAESISHVVLATNFLQSMIKAEFLKKDWWYWSSFTAAIKTTTVTALALRIYTLDDCIMYTKDPAPNPEPADNARSGNKGKRKRDADS >PVH65751 pep chromosome:PHallii_v3.1:1:4724120:4740771:1 gene:PAHAL_1G069300 transcript:PVH65751 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD9 [Source:Projected from Arabidopsis thaliana (AT3G01460) UniProtKB/TrEMBL;Acc:A0A178VJY9] MQQESYRLAYLCILRTNISGGAQLSVARLPHFEGVCLNNTALYDGMQFMPQFDLAHCLGMRQKFASMDRDLNADENVPQRSIHLRRRRDLPQTSTLPMVAENHEFGNPGIFMDPSFITKAMEQSTTENGSSLKPPKFLVEKSNHQPHHRTVALPVQYSDFFITSLGEIDNRTSYHNSYQIWPVGFTSYWHDRVTGSLFECEVCDGGNFGPLFKMRRLPCSVFQLPEASTTVCPNVVRKADTIETKESSGVVEDTANDTDDNISMLLSNFSETNQDFMSCLSNNVGKESLGCSNLQTLNMTVPAVLSDSGSFSWAPTKDANLHDKIGDFTFEGTSPSSVWRMIYCATMEACEKMYKEHGHLVLFCTHSSEKTSFDYGSGSQNTDSPCNLLTRFCSSNGPKIPRFIEKENDVESTCALLREWLYQDRIGLDLEFVQEIVESLPKSRACSNYQFLRNRTGFNSSLTIASGALLAVNKSSPSNGDVMSYGRHGSMVTGPQDHAQPSSFSIRELPPGNPFSRKLPPELAGDVFQVLEFLGRFAEIIGLKELPSVEQVEDELIDPWPICANQKDIQNHRDHTPPMNSPANVSTSYSNGESGLTTNEETASVFIPVETSSTSEAVQDKLAAETLGRCSGVVLPEIHLALLKVLFTELVPRVAIFVDPRIDSKESKSKRGRKRDTDTLTRELKIDMLTANKLTWPELARRYLVAVSSLSGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVVGMEKDASLLAEAETLICNSSANEGNKVFMMDYKDSDIVDSPEEPACDTALPDWVKSLEPVRKLPTNVGTRIRKCVYEALERKPPEWAREILEHSISKEVYKGNASGPTKKAVLSVLAEACRKKIPQNPEKPRKERNIISISEAILKKCRIVLRRAISSDESKPFGNLLGTTLTNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYRGSLEAFLDDVQEVIHNLQTAFGDRPEVLVMVAALSQSFESLYKAEVQDLIQKFDKYLSNGNGNSEIHDELQDVLMAANNKLPKAPWEDGVCKVCGIDRDDESVLLCDNCDSEYHTYCLNPPLARIPIGNWYCPSCLSGQKEPNVDHNTHVLMQEEGKCVGEEARVFLERLNKLAMAMDEKEYWELSVPERIYLLKFLCDELLNTALIREHLDQCSDKSNDLQQKLRYLNYELKELKYQLEIRTSYATQSRWMKNDHVSNSSGLVENQQRAMPTASEHLEEAERVNVGVNLNTPAEGSPTGQLNVGKPHSADDISSTSVIEGNKSSGLSKQTSEMVIDRIDGGSIGEVSQSFEKSVGDINMGEAHSATVVNAPNGELPDENAGTPSQDNLEASTTKLADHDNLEASTTKLADHDADNNETNNLLDRISQLQDSISTAELQLSMASLRRECLGRDSVGRLYWVTGRPGKRPRLVADGSMLIAKDRDISMVTSYPQSTFDSRGWNSASIVVYESDEEIKCLVDWLRDTDPREKDLKDSILHWQKSLYHQASFPVSDPPVSNSSKSEPLRDLPDTKAFIVLEEKYGMQLDQDTSELSKRIGRKTKSGSEERIYRCDCLEPVWPSRHHCLTCHETYLTLAEYEGHNGGKCNSSNDSPNESKENDEPKLKGTKSDIKEKDPVDHSCSAEPSNNGKLDPCPFDFDEICRKFITNDSNKETVKEIGLLGSNGVPSFVPSPAFFVDPPALLSENKRKDDDIPNDWTSSLEECQAMSAKKSGQEGSQAGQDCPGNAGDEQMTKSKKPFRDSTSAKEASSSTDKPTRLLTINGGLVPESSLMPVIGRNFHILKQLKINLLDVEAALPEEALRASKSQQIRRRSWRAFVKSAESISHVVLATNFLQSMIKAEFLKKDWWYWSSFTAAIKTTTVTALALRIYTLDDCIMYTKDPAPNPEPADNARSGNKGKRKRDADS >PAN04467 pep chromosome:PHallii_v3.1:1:4721665:4740771:1 gene:PAHAL_1G069300 transcript:PAN04467 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD9 [Source:Projected from Arabidopsis thaliana (AT3G01460) UniProtKB/TrEMBL;Acc:A0A178VJY9] MDRPPHLDIDLNEAPSPPPFEATTPRAPSPPRELAPPAYAAAPPPPPPPPPPPQPQPQPQLPPPPPLLPLVAPSQQQQLRLQQEALEVVLRFHRPPELRNTPFGPIGSVPAGLLPGLGLPLPAPHPGEAGWGYPPAPCASCARQEKLGKTFVCDACDRGFHSDCVRVWPPLLPPPPPPGPPGARRPRAATNEDWICPECEMRGARSTRWKLGPVPLDINAAPPEEPVVVTAHDISRTNISGGAQLSVARLPHFEGVCLNNTALYDGMQFMPQFDLAHCLGMRQKFASMDRDLNADENVPQRSIHLRRRRDLPQTSTLPMVAENHEFGNPGIFMDPSFITKAMEQSTTENGSSLKPPKFLVEKSNHQPHHRTVALPVQYSDFFITSLGEIDNRTSYHNSYQIWPVGFTSYWHDRVTGSLFECEVCDGGNFGPLFKMRRLPCSVFQLPEASTTVCPNVVRKADTIETKESSGVVEDTANDTDDNISMLLSNFSETNQDFMSCLSNNVGKESLGCSNLQTLNMTVPAVLSDSGSFSWAPTKDANLHDKIGDFTFEGTSPSSVWRMIYCATMEACEKMYKEHGHLVLFCTHSSEKTSFDYGSGSQNTDSPCNLLTRFCSSNGPKIPRFIEKENDVESTCALLREWLYQDRIGLDLEFVQEIVESLPKSRACSNYQFLRNRTGFNSSLTIASGALLAVNKSSPSNGDVMSYGRHGSMVTGPQDHAQPSSFSIRELPPGNPFSRKLPPELAGDVFQVLEFLGRFAEIIGLKELPSVEQVEDELIDPWPICANQKDIQNHRDHTPPMNSPANVSTSYSNGESGLTTNEETASVFIPVETSSTSEAVQDKLAAETLGRCSGVVLPEIHLALLKVLFTELVPRVAIFVDPRIDSKESKSKRGRKRDTDTLTRELKIDMLTANKLTWPELARRYLVAVSSLSGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVVGMEKDASLLAEAETLICNSSANEGNKVFMMDYKDSDIVDSPEEPACDTALPDWVKSLEPVRKLPTNVGTRIRKCVYEALERKPPEWAREILEHSISKEVYKGNASGPTKKAVLSVLAEACRKKIPQNPEKPRKERNIISISEAILKKCRIVLRRAISSDESKPFGNLLGTTLTNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYRGSLEAFLDDVQEVIHNLQTAFGDRPEVLVMVAALSQSFESLYKAEVQDLIQKFDKYLSNGNGNSEIHDELQDVLMAANNKLPKAPWEDGVCKVCGIDRDDESVLLCDNCDSEYHTYCLNPPLARIPIGNWYCPSCLSGQKEPNVDHNTHVLMQEEGKCVGEEARVFLERLNKLAMAMDEKEYWELSVPERIYLLKFLCDELLNTALIREHLDQCSDKSNDLQQKLRYLNYELKELKYQLEIRTSYATQSRWMKNDHVSNSSGLVENQQRAMPTASEHLEEAERVNVGVNLNTPAEGSPTGQLNVGKPHSADDISSTSVIEGNKSSGLSKQTSEMVIDRIDGGSIGEVSQSFEKSVGDINMGEAHSATVVNAPNGELPDENAGTPSQDNLEASTTKLADHDNLEASTTKLADHDADNNETNNLLDRISQLQDSISTAELQLSMASLRRECLGRDSVGRLYWVTGRPGKRPRLVADGSMLIAKDRDISMVTSYPQSTFDSRGWNSASIVVYESDEEIKCLVDWLRDTDPREKDLKDSILHWQKSLYHQASFPVSDPPVSNSSKSEPLRDLPDTKAFIVLEEKYGMQLDQDTSELSKRIGRKTKSGSEERIYRCDCLEPVWPSRHHCLTCHETYLTLAEYEGHNGGKCNSSNDSPNESKENDEPKLKGTKSDIKEKDPVDHSCSAEPSNNGKLDPCPFDFDEICRKFITNDSNKETVKEIGLLGSNGVPSFVPSPAFFVDPPALLSENKRKDDDIPNDWTSSLEECQAMSAKKSGQEGSQAGQDCPGNAGDEQMTKSKKPFRDSTSAKEASSSTDKPTRLLTINGGLVPESSLMPVIGRNFHILKQLKINLLDVEAALPEEALRASKSQQIRRRSWRAFVKSAESISHVVLATNFLQSMIKAEFLKKDWWYWSSFTAAIKTTTVTALALRIYTLDDCIMYTKDPAPNPEPADNARSGNKGKRKRDADS >PVH65749 pep chromosome:PHallii_v3.1:1:4730265:4740140:1 gene:PAHAL_1G069300 transcript:PVH65749 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD9 [Source:Projected from Arabidopsis thaliana (AT3G01460) UniProtKB/TrEMBL;Acc:A0A178VJY9] MQFMPQFDLAHCLGMRQKFASMDRDLNADENVPQRSIHLRRRRDLPQTSTLPMVAENHEFGNPGIFMDPSFITKAMEQSTTENGSSLKPPKFLVEKSNHQPHHRTVALPVQYSDFFITSLGEIDNRTSYHNSYQIWPVGFTSYWHDRVTGSLFECEVCDGGNFGPLFKMRRLPCSVFQLPEASTTVCPNVVRKADTIETKESSGVVEDTANDTDDNISMLLSNFSETNQDFMSCLSNNVGKESLGCSNLQTLNMTVPAVLSDSGSFSWAPTKDANLHDKIGDFTFEGTSPSSVWRMIYCATMEACEKMYKEHGHLVLFCTHSSEKTSFDYGSGSQNTDSPCNLLTRFCSSNGPKIPRFIEKENDVESTCALLREWLYQDRIGLDLEFVQEIVESLPKSRACSNYQFLRNRTGFNSSLTIASGALLAVNKSSPSNGDVMSYGRHGSMVTGPQDHAQPSSFSIRELPPGNPFSRKLPPELAGDVFQVLEFLGRFAEIIGLKELPSVEQVEDELIDPWPICANQKDIQNHRDHTPPMNSPANVSTSYSNGESGLTTNEETASVFIPVETSSTSEAVQDKLAAETLGRCSGVVLPEIHLALLKVLFTELVPRVAIFVDPRIDSKESKSKRGRKRDTDTLTRELKIDMLTANKLTWPELARRYLVAVSSLSGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVVGMEKDASLLAEAETLICNSSANEGNKVFMMDYKDSDIVDSPEEPACDTALPDWVKSLEPVRKLPTNVGTRIRKCVYEALERKPPEWAREILEHSISKEVYKGNASGPTKKAVLSVLAEACRKKIPQNPEKPRKERNIISISEAILKKCRIVLRRAISSDESKPFGNLLGTTLTNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYRGSLEAFLDDVQEVIHNLQTAFGDRPEVLVMVAALSQSFESLYKAEVQDLIQKFDKYLSNGNGNSEIHDELQDVLMAANNKLPKAPWEDGVCKVCGIDRDDESVLLCDNCDSEYHTYCLNPPLARIPIGNWYCPSCLSGQKEPNVDHNTHVLMQEEGKCVGEEARVFLERLNKLAMAMDEKEYWELSVPERIYLLKFLCDELLNTALIREHLDQCSDKSNDLQQKLRYLNYELKELKYQLEIRTSYATQSRWMKNDHVSNSSGLVENQQRAMPTASEHLEEAERVNVGVNLNTPAEGSPTGQLNVGKPHSADDISSTSVIEGNKSSGLSKQTSEMVIDRIDGGSIGEVSQSFEKSVGDINMGEAHSATVVNAPNGELPDENAGTPSQDNLEASTTKLADHDNLEASTTKLADHDADNNETNNLLDRISQLQDSISTAELQLSMASLRRECLGRDSVGRLYWVTGRPGKRPRLVADGSMLIAKDRDISMVTSYPQSTFDSRGWNSASIVVYESDEEIKCLVDWLRDTDPREKDLKDSILHWQKSLYHQASFPVSDPPVSNSSKSEPLRDLPDTKAFIVLEEKYGMQLDQDTSELSKRIGRKTKSGSEERIYRCDCLEPVWPSRHHCLTCHETYLTLAEYEGHNGGKCNSSNDSPNESKENDEPKLKGTKSDIKEKDPVDHSCSAEPSNNGKLDPCPFDFDEICRKFITNDSNKETVKEIGLLGSNGVPSFVPSPAFFVDPPALLSENKRKDDDIPNDWTSSLEECQAMSAKKSGQEGSQAGQDCPGNAGDEQMTKSKKPFRDSTSAKEASSSTDKPTRLLTINGGLVPESSLMPVIGRNFHILKQLKINLLDVEAALPEEALRASKSQQIRRRSWRAFVKSAESISHVVLATNFLQSMIKAEFLKKDWWYWSSFTAAIKTTTVTALALRIYTLDDCIMYTKDPAPNPEPADNARSGNKGKRKRDADS >PVH66234 pep chromosome:PHallii_v3.1:1:26334798:26340436:1 gene:PAHAL_1G182400 transcript:PVH66234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQVLDFVRRHVSSGTPLLAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSVMALCVRWYPKGKLNPLADDHG >PAN05987 pep chromosome:PHallii_v3.1:1:26335388:26338657:1 gene:PAHAL_1G182400 transcript:PAN05987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQTTFYWCIGRC >PVH66238 pep chromosome:PHallii_v3.1:1:26334797:26340538:1 gene:PAHAL_1G182400 transcript:PVH66238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQVLDFVRRHVSSGTPLLAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSVMALCVRWYPKERKQTPRKQKAHRAMDDIKESIAELKYYKDNIFKPQKSKR >PAN05986 pep chromosome:PHallii_v3.1:1:26334797:26340538:1 gene:PAHAL_1G182400 transcript:PAN05986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQTTFYWCIGRC >PVH66233 pep chromosome:PHallii_v3.1:1:26335388:26338657:1 gene:PAHAL_1G182400 transcript:PVH66233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPAQVYNPCKFNQAHTLIVVIPKLQFVSNDFIGNFIPCTDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQTTFYWCIGRC >PVH66237 pep chromosome:PHallii_v3.1:1:26334798:26340436:1 gene:PAHAL_1G182400 transcript:PVH66237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPAQVYNPCKFNQAHTLIVVIPKLQFVSNDFIGNFIPCTDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQVLDFVRRHVSSGTPLLAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSVMALCVRWYPKERKQTPRKQKAHRAMDDIKESIAELKYYKDNIFKPQKSKR >PVH66236 pep chromosome:PHallii_v3.1:1:26334798:26340436:1 gene:PAHAL_1G182400 transcript:PVH66236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPAQVYNPCKFNQAHTLIVVIPKLQFVSNDFIGNFIPCTDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQVLDFVRRHVSSGTPLLAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSVMALCVRWYPKERKQTPRKQKAHRAMDDIKESIAELKYYKDNIFKPQKSKR >PVH66232 pep chromosome:PHallii_v3.1:1:26334798:26340436:1 gene:PAHAL_1G182400 transcript:PVH66232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQVLDFVRRHVSSGTPLLAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSVMALCVRWYPKGKLNPLADDHG >PAN05993 pep chromosome:PHallii_v3.1:1:26334797:26340538:1 gene:PAHAL_1G182400 transcript:PAN05993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQVLDFVRRHVSSGTPLLAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSVMALCVRWYPKERKQTPRKQKAHRAMDDIKESIAELKYYKDNIFKPQKSKR >PAN05988 pep chromosome:PHallii_v3.1:1:26334797:26340538:1 gene:PAHAL_1G182400 transcript:PAN05988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQVLDFVRRHVSSGTPLLAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSVMALCVRWYPKERKQTPRKQKAHRAMDDIKESIAELKYYKDNIFKPQKSKR >PVH66235 pep chromosome:PHallii_v3.1:1:26335388:26338657:1 gene:PAHAL_1G182400 transcript:PVH66235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFSALHLDAETSGEDDRADVAQVSSSAEETACREPAQVYNPCKFNQAHTLIVVIPKLQFVSNDFIGNFIPCTDKTEQNDKVIINYEEGTLVSSSGDYKMPLVWIDLEMTGLDIKKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAEAQTTFYWCIGRC >PAN08267 pep chromosome:PHallii_v3.1:1:55718921:55721952:-1 gene:PAHAL_1G399200 transcript:PAN08267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENAHGSGRHAFGDLTNILCKRPALSDPEKSTGGIKIRRIERTWKEFHENAISSSRGKGIVFGHLFDGVAKENFEKPSIFRHTKVQHMAAKAAGLLSKEIGDLRDRSASIDSFDLSDKDQDSSLDSEGEYDEDDDVMDGELPGHFSSSELANKTAANDGECLTQEEIVGSSGNQKPMSSLDFMTGGDMPSSSVSHASARTGGSEEIVATKSCACSFCLKAAFMWTDLHYQDSRSRLSVLKKSIKFARSLEAKKGKGNEYAANVPGYNSKRAVGLEFELSQQQSQLFLYTENALLRESAQLHSAFVKLKELRGNCKTDLETISNPLLGK >PAN05732 pep chromosome:PHallii_v3.1:1:22925635:22929613:1 gene:PAHAL_1G170800 transcript:PAN05732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSDHAGGSAAAAAAAAAAQTHGSDFDSIDPLFHVLLVLPFSFLRPPRTRLRLPSNLALPSPMTVFSLILLTYFAVVSGLVYDVIVEPPGIGSAQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGIGIILLDLAVDRTRPRSLRVSFGGAGAVAIVIAYAMAMLFLRIKIPGYL >PVH65854 pep chromosome:PHallii_v3.1:1:6358957:6364323:1 gene:PAHAL_1G090400 transcript:PVH65854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPKDGAEMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLENSGKHKEGGDEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDATH >PAN04782 pep chromosome:PHallii_v3.1:1:6358957:6364323:1 gene:PAHAL_1G090400 transcript:PAN04782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPKDGAEMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLENSGKHKEGGDEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDATH >PAN06551 pep chromosome:PHallii_v3.1:1:47354134:47358198:-1 gene:PAHAL_1G271700 transcript:PAN06551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGAKGGSEITLEHTPTWIVASVCSVIVVISLLFERLLHRLGKRLSKSHRKPLYEALLKVKEELMLLGFISLMLNVFQGATQKICVRESVMHHLLPCPRPPPRAPKKAAHYGAAAFTGVLGSARRLLAGGGASSDYCLKKGKVPILSTEAIHQLHIFIFALAVTHVVLSAVTLILGVTQTRKWKHWEEKIQQNDDNGPQMIKHVQEFKFIQSHFKGHGKRWGIFGWLRAFFKQFYGSVTEEDYTTLRLGFIMKHCRGHPKFNFYDYMNRALEGDFKKVVGISWYLWALLMIFLLLNVHGWYVYIWLSVVPFIVLLVVGSKMEHIITELALEVAQKHTAIEGDLVVSPSDEYFWFGRPKLVLLLIHIVLFQNAFEIAFFFWLLVIYGFKSCIMGKPAYVIARLVISVISQLLCGYSTLPLYAIISQMGSSFKKAMFDENISEGLANWAQNARQRKRMPATNLGDSSPDGEGIQMVNAQRVSAMEQGTARLIK >PVH66447 pep chromosome:PHallii_v3.1:1:45197177:45198612:1 gene:PAHAL_1G248900 transcript:PVH66447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMMEGYDRSTHRQLDFTLGINMAGHASRQHPRRQEADSRDRPQKEEEDYITEEQVRHVRNQRLVSSHLLRNTSTSINSVSNARLRKKSTSDALESDSGSERIHEIIGIARSSNIAGIPRLGPGQPRREQDKSTHTAGNSEDEEDKYHRPRCCSDGLNQSQKRRVQRLCNLEEAKTQYLETLRKARPDLAEKIHRPQKAEASSFKKVGRPKKSKADVKTSADAHMVFVLPVEFHAPRNEEVPVAQLDLGPRSVIFEKPREKNYRHLKALYLKRYINGQPVSRMLVDTGAAVNIMPYSVLCKLGHYVGDLIKTNITLSDFNGQTSEAQGVLSLGLTVGGKTVLTSFFVVNSKGSYTVLLGRDWIHANCCIPSIMHQCLIQWDGDEVEVVHADDSVEVSHAAMSVWDVEDQEPISGISLEGCDCVEATKNGVRLVLSTGLTE >PAN03712 pep chromosome:PHallii_v3.1:1:1313266:1317800:1 gene:PAHAL_1G016700 transcript:PAN03712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSLVTGVLTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVLERVGENFVSWLPMYSEAKLAFIVYLWYPKTRGTAYVYETFFKPYIAKHETEIDRNLLELRTRAGDMAVVYFQRVANYVQTRSYEILQYIASQSPSQRPRPQGQQQQQRPPPPRTRQVNPGPPPVPAPSAPPMPPQPAQAQVPPAPPRPPVPVAPPGAVPPTQPQPPPAPGAAATNGPQNTEAMQVDPPRASTSSAPPPLPSEETLIEEAIRLTRGRLRRRMAAGSGPPPN >PAN03713 pep chromosome:PHallii_v3.1:1:1313732:1317800:1 gene:PAHAL_1G016700 transcript:PAN03713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSLVTGVLTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVLERVGENFVSWLPMYSEAKLAFIVYLWYPKTRGTAYVYETFFKPYIAKHETEIDRNLLELRTRAGDMAVVYFQRVANYVQTRSYEILQYIASQSPSQRPRPQGQQQQQRPPPPRTRQVNPGPPPVPAPSAPPMPPQPAQAQVPPAPPRPPVPVAPPGAVPPTQPQPPPAPGAAATNGPQNTEAMQVDPPRASTSSAPPPLPSEETLIEEAIRLTRGRLRRRMAAGSGPPPN >PVH65528 pep chromosome:PHallii_v3.1:1:1313533:1317800:1 gene:PAHAL_1G016700 transcript:PVH65528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSLVTGVLTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVLERVGENFVSWLPMYSEAKLAFIVYLWYPKTRGTAYVYETFFKPYIAKHETEIDRNLLELRTRAGDMAVVYFQRVANYVQTRSYEILQYIASQSPSQRPRPQGQQQQQRPPPPRTRQVNPGPPPVPAPSAPPMPPQPAQAQVPPAPPRPPVPVAPPGAVPPTQPQPPPAPGAAATNGPQNTEAMQVDPPRASTSSAPPPLPSEETLIEEAIRLTRGRLRRRMAAGSGPPPN >PAN06961 pep chromosome:PHallii_v3.1:1:49223953:49225127:-1 gene:PAHAL_1G301800 transcript:PAN06961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPHAYASFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIQEEPEQISNYSRSLLHEAEWPGMVDKVTEHSKTPFIARVFMSISGSSQNTFPDIDFTEEGTSGAGPSSPKSLRCLAEPRMVRRIRVVAEKTPTQHVLQPPTIASLLAIIIGMVPVLKDFVFGADAPLSFFTDSLDILAAAVVPSVMLILGGMLAEGPKDNALGIRTIVGIIVARLLVLPCIGIGVVTLADKLNLLVEQDHTHRFVLSLQYSTPSAILLGAIASLRGYGVKEASALLFWQHICAVFSLSLYLIVYFKLMSFI >PAN07603 pep chromosome:PHallii_v3.1:1:52340811:52343096:-1 gene:PAHAL_1G348500 transcript:PAN07603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPARCDPRRGYAYGCGVGSAAQGPPARRQPRQDAAAVASGGVLKRSLAEVERWQQALYLRAVRQRVAAQAAHPPIDVGAVLARAASRGSGFSGPPSGGFAGLSPQPSSTLSSLTTASRMVTPPPPMQQLLQRQVVPAPPAPLQAAQAVSGGPAARPATAREMVLLQELEKQLLGDDDAGETEAEGSACGSTVTSSAWGSTMKELNSITAAPLPSLPMASATSNYNSLPVSRSPANSASSSTASSTASSSPPTSAASSRQLLSEAAAAIADGDRAAAAAHLAVLKVAANPRGDAEQRLVAMMAAALSSRIDPPSSQQLADLCGAEQRAACQLLHDVSPCFGLALHGANLAILDAVAGQRVIHLIDFDVSLAQHIALIHALASHRVAGTCLKVTAVADPTSPFTPALAQALAATGQRLTRHAQQAGLEFRFNAVSCRPGEVDASRLGCEPGEAVAVNLAFALARVPDESVSPANPRDELLRRVRALGPRVVALAEQELNTNTAPLAARFADACAHYGAVLESLDATLACNSAQRARAEAALAGKAANAVAREGPDRLERCEVFGKWRARFGMAGLRPLAIGQGVADRVKARLGHASPGFDVKLESGRLGVGWMGRVVTVASAWR >PAN03795 pep chromosome:PHallii_v3.1:1:1607096:1610268:-1 gene:PAHAL_1G022500 transcript:PAN03795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCHSPTSAAVATAASPIAARSGGLLRSSRPAPVVVRCKKVDSLRAINGSPPCIPVSDRSLLTPVNLPVFRDPSMRNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEEKTFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >PAN03797 pep chromosome:PHallii_v3.1:1:1606580:1610459:-1 gene:PAHAL_1G022500 transcript:PAN03797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCHSPTSAAVATAASPIAARSGGLLRSSRPAPVVVRCKKVDSLRAINGSPPCIPVSDRSLLTPVNLPVFRDPSMRNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEEKTFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >PAN03796 pep chromosome:PHallii_v3.1:1:1606623:1610459:-1 gene:PAHAL_1G022500 transcript:PAN03796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCHSPTSAAVATAASPIAARSGGLLRSSRPAPVVVRCKKVDSLRAINGSPPCIPVSDRSLLTPVNLPVFRDPSMRNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEEKTFPQLTILSVANLLGETIWRVHDDCSVRMEANSCFSTSYTRHI >PAN06353 pep chromosome:PHallii_v3.1:1:46173753:46177527:1 gene:PAHAL_1G258100 transcript:PAN06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSFAAAAAGVSSAPTHAARALAAAPQSVSVARSAGAARPLRLAASRSARATRLVARAGGVDDLPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGERSMKPDPKGSKEYFAAI >PVH65964 pep chromosome:PHallii_v3.1:1:8746197:8749461:1 gene:PAHAL_1G113000 transcript:PVH65964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMAHYLLTEPRKDKENAAVSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVAAADATSSHHARPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGTNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHMVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLLPRFSKLSFNFLIEREHYEFIFSY >PAN05085 pep chromosome:PHallii_v3.1:1:8746197:8749462:1 gene:PAHAL_1G113000 transcript:PAN05085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSRSISSEKSRASAAPRPPLQEAGSRPYMPPLSSGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAVSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVAAADATSSHHARPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGTNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHMVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLLPRFSKLSFNFLIEREHYEFIFSY >PVH65963 pep chromosome:PHallii_v3.1:1:8746197:8749461:1 gene:PAHAL_1G113000 transcript:PVH65963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMAHYLLTEPRKDKENAAVSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVAAADATSSHHARPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGTNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHMVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQMRSTPWLSRQREGAKF >PAN05086 pep chromosome:PHallii_v3.1:1:8746197:8749461:1 gene:PAHAL_1G113000 transcript:PAN05086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSRSISSEKSRASAAPRPPLQEAGSRPYMPPLSSGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAVSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVAAADATSSHHARPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGTNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHMVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLLPRFSKLSFNFLIEREHYEFIFSY >PVH65962 pep chromosome:PHallii_v3.1:1:8746197:8749461:1 gene:PAHAL_1G113000 transcript:PVH65962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSRSISSEKSRASAAPRPPLQEAGSRPYMPPLSSGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAVSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVAAADATSSHHARPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGTNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHMVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQMRSTPWLSRQREGAKF >PVH65966 pep chromosome:PHallii_v3.1:1:8746195:8749461:1 gene:PAHAL_1G113000 transcript:PVH65966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSRSISSEKSRASAAPRPPLQEAGSRPYMPPLSSGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAVSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVAAADATSSHHARPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGTNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHMVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQMRSTPWLSRQREGAKF >PVH65965 pep chromosome:PHallii_v3.1:1:8746197:8749461:1 gene:PAHAL_1G113000 transcript:PVH65965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMAHYLLTEPRKDKENAAVSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVAAADATSSHHARPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGTNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHMVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLLPRFSKLSFNFLIEREHYEFIFSY >PVH65967 pep chromosome:PHallii_v3.1:1:8746197:8749461:1 gene:PAHAL_1G113000 transcript:PVH65967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMAHYLLTEPRKDKENAAVSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVAAADATSSHHARPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGTNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHMVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQMRSTPWLSRQREGAKF >PAN08476 pep chromosome:PHallii_v3.1:1:56827365:56837247:1 gene:PAHAL_1G415700 transcript:PAN08476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEDLRKKVPILYLWYAEMEITVSTSRNNSDSTHRAIYILSCLGSNVKYAPFIGPISRPQVLRARQGFKEQIRSLRSAFASGGIKEESVALICSASLFESMTSGYSSGLEVIEDMLCSDSSHNSEFEDLWVYYIKLLQKNLNQLSLSRVWPSISQGMHKYPYNPKSYSAMLILSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWHKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWSKRLWLDGFLKLSSVLTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >PVH67040 pep chromosome:PHallii_v3.1:1:56827364:56837248:1 gene:PAHAL_1G415700 transcript:PVH67040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEDLRKKVPILYLWYAEMEITVSTSRNNSDSTHRAIYILSCLGSNVKYAPFIGPISRPQVLRARQGFKEQIRSLRSAFASGGIKEESVALICSASLFESMTSGYSSGLEVIEDMLCSDSSHNSEFEDLWVYYIKLLQKNLNQLSLSRVWPSISQGMHKYPYNPKSYSAMLILSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWHKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWLWLDGFLKLSSVLTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >PAN08477 pep chromosome:PHallii_v3.1:1:56827416:56832699:1 gene:PAHAL_1G415700 transcript:PAN08477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEVKL >PVH67044 pep chromosome:PHallii_v3.1:1:56827416:56837220:1 gene:PAHAL_1G415700 transcript:PVH67044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEDLRKKVPILYLWYAEMEITVSTSRNNSDSTHRAIYILSCLGSNVKYAPFIGPISRPQVLRARQGFKEQIRSLRSAFASGGIKEESVALICSASLFESMTSGYSSGLEVIEDMLCSDSSHNSEFEDLWVYYIKLLQKNLNQLSLSRVWPSISQGMHKYPYNPKSYSAMLILSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWHKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWSKRLWLDGFLKLSSVLTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >PVH67045 pep chromosome:PHallii_v3.1:1:56827416:56837220:1 gene:PAHAL_1G415700 transcript:PVH67045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEDLRKKVPILYLWYAEMEITVSTSRNNSDSTHRAIYILSCLGSNVKYAPFIGPISRPQVLRARQGFKEQIRSLRSAFASGGIKEESVALICSASLFESMTSGYSSGLEVIEDMLCSDSSHNSEFEDLWVYYIKLLQKNLNQLSLSRVWPSISQGMHKYPYNPKSYSAMLILSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWHKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWSKRLWLDGFLKLSSVLTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >PAN08475 pep chromosome:PHallii_v3.1:1:56827368:56837246:1 gene:PAHAL_1G415700 transcript:PAN08475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEDLRKKVPILYLWYAEMEITVSTSRNNSDSTHRAIYILSCLGSNVKYAPFIGPISRPQVLRARQGFKEQIRSLRSAFASGGIKEESVALICSASLFESMTSGYSSGLEVIEDMLCSDSSHNSEFEDLWVYYIKLLQKNLNQLSLSRVWPSISQGMHKYPYNPKSYSAMLILSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWHKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWSKRLWLDGFLKLSSVLTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >PVH67041 pep chromosome:PHallii_v3.1:1:56827365:56837247:1 gene:PAHAL_1G415700 transcript:PVH67041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEDLRKKVPILYLWYAEMEITVSTSRNNSDSTHRAIYILSCLGSNVKYAPFIGPISRPQVLRARQGFKEQIRSLRSAFASGGIKEESVALICSASLFESMTSGYSSGLEVIEDMLCSDSSHNSEFEDLWVYYIKLLQKNLNQLSLSRVWPSISQGMHKYPYNPKSYSAMLILSYLYSVSNNLRLTLDKCSQSRDPSIITLLFALSFEWHKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWSKRLWLDGFLKLSSVLTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >PVH67043 pep chromosome:PHallii_v3.1:1:56827372:56837220:1 gene:PAHAL_1G415700 transcript:PVH67043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEDLRKKVPILYLWYAEMEITVSTSRNNSDSTHRAIYILSCLGSNVKYAPFIGPISRPQVLRARQGFKEQIRSLRSAFASGGIKEESVALICSASLFESMTSGYSSGLEVIEDMLCSDSSHNSEFEDLWVYYIKLLQKNLNQLSLSRVWPSISQGMHKYPYNPKSYSAMLILSYLYSVSNNLRLTLDKCSQSRDPSIITLLFALSFEWHKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWSKRLWLDGFLKLSSVLTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >PVH67042 pep chromosome:PHallii_v3.1:1:56827367:56837220:1 gene:PAHAL_1G415700 transcript:PVH67042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSEPSPPVTTATFPAAGEGHESHPSTTSSSLFPLFPLAASTSSASTAESQWLSNPSFSFDASSLNVPSTTTSSLPTPLSPSSDDDAPPAPAPAKYELVPSETDSDEERGSRRKERGRRKRRREKDRYDGASESRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLETRGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVSERNKGSKHLKLSKGDTSAMLAEDFVPLDAQSLSVKRTTAEQELEESWEDEILRRTREFNKMSREHPNDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGRWEQVLTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLVAQENSQEIETGGWSGWFDPSVGNTDTNESVEPSTDGNDAEDLDAEDRPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWATMELSRDNEQWLPLHEKSGIGSLDSGDAPSGEDNNQLSRVILFEDVAEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMISDDILEDLSAVSDIVNKNQDSYSCKLESLLGSMHDLSQRPGLVKFLRNAILLLLDVFPRNHVLEEAILVTTEMYTAQENSSSTANTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTEATAEDLRKKVPILYLWYAEMEITVSTSRNNSDSTHRAIYILSCLGSNVKYAPFIGPISRPQVLRARQGFKEQIRSLRSAFASGGIKEESVALICSASLFESMTSGYSSGLEVIEDMLCSDSSHNSEFEDLWVYYIKLLQKNLNQLSLSRVWPSISQGMHKYPYNPKSYSAMLILSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWHKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWLWLDGFLKLSSVLTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >PAN08017 pep chromosome:PHallii_v3.1:1:54423685:54428476:-1 gene:PAHAL_1G380100 transcript:PAN08017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERRLPITAPAVAGSRRHCRRLRRRCRLILLPAFALALLYLAYLSFSSHANLPFHAMNHGPSNRENLLKRKDLEYSPYFTNISMTRYELEPPKSRKRPRKRYALCEIQFLPAVDDLVEPAHYENFTQFSLNYILKEEVPGNGFFKPLFGGHQSLQDREDTYHAKDQTLHCGFVRGTNDYPSTGFDLDANDRRYMATCHVSVSSCIFGSSDYLRRPTKSRIGSYAKKNVCFVMFMDELTLATLSSEGHMPDENGFVGLWRIVVVKNLPYKDMRRAGKVPKFLSHRLFPSAMYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRSCVWEEVLQNKRLNKYNHAAIDEQFHFYQSDGLVKFNASGQLPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRMNPGKPIHLNMFKDCERRAIAKLFHHRTNETTDPPPANLRLDKTSIQG >PVH66906 pep chromosome:PHallii_v3.1:1:54423571:54427577:-1 gene:PAHAL_1G380100 transcript:PVH66906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNGMLAAMNHGPSNRENLLKRKDLEYSPYFTNISIFTSSDRTRYELEPPKSRKRPRKRYALCEIQFLPAVDDLVEPAHYENFTQFSLNYILKEEVPGNGFFKPLFGGHQSLQDREDTYHAKDQTLHCGFVRGTNDYPSTGFDLDANDRRYMATCHVSVSSCIFGSSDYLRRPTKSRIGSYAKKNVCFVMFMDELTLATLSSEGHMPDENGFVGLWRIVVVKNLPYKDMRRAGKVPKFLSHRLFPSAMYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRSCVWEEVLQNKRLNKYNHAAIDEQFHFYQSDGLVKFNASGQLPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRMNPGKPIHLNMFKDCERRAIAKLFHHRTNETTDPPPANLRLDKTSIQG >PAN08016 pep chromosome:PHallii_v3.1:1:54424248:54428319:-1 gene:PAHAL_1G380100 transcript:PAN08016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERRLPITAPAVAGSRRHCRRLRRRCRLILLPAFALALLYLAYLSFSSHANLPFHAMNHGPSNRENLLKRKDLEYSPYFTNISIFTSSDRTRYELEPPKSRKRPRKRYALCEIQFLPAVDDLVEPAHYENFTQFSLNYILKEEVPGNGFFKPLFGGHQSLQDREDTYHAKDQTLHCGFVRGTNDYPSTGFDLDANDRRYMATCHVSVSSCIFGSSDYLRRPTKSRIGSYAKKNVCFVMFMDELTLATLSSEGHMPDENGFVGLWRIVVVKNLPYKDMRRAGKVPKFLSHRLFPSAMYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRSCVWEEVLQNKRLNKYNHAAIDEQFHFYQSDGLVKFNASGQLPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRMNPGKPIHLNMFKDCERRAIAKLFHHRTNETTDPPPANLRLDKTSIQG >PVH66907 pep chromosome:PHallii_v3.1:1:54423685:54427577:-1 gene:PAHAL_1G380100 transcript:PVH66907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNGMLAAMNHGPSNRENLLKRKDLEYSPYFTNISMTRYELEPPKSRKRPRKRYALCEIQFLPAVDDLVEPAHYENFTQFSLNYILKEEVPGNGFFKPLFGGHQSLQDREDTYHAKDQTLHCGFVRGTNDYPSTGFDLDANDRRYMATCHVSVSSCIFGSSDYLRRPTKSRIGSYAKKNVCFVMFMDELTLATLSSEGHMPDENGFVGLWRIVVVKNLPYKDMRRAGKVPKFLSHRLFPSAMYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRSCVWEEVLQNKRLNKYNHAAIDEQFHFYQSDGLVKFNASGQLPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRMNPGKPIHLNMFKDCERRAIAKLFHHRTNETTDPPPANLRLDKTSIQG >PVH66908 pep chromosome:PHallii_v3.1:1:54423685:54427846:-1 gene:PAHAL_1G380100 transcript:PVH66908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWIARSAMNHGPSNRENLLKRKDLEYSPYFTNISMTRYELEPPKSRKRPRKRYALCEIQFLPAVDDLVEPAHYENFTQFSLNYILKEEVPGNGFFKPLFGGHQSLQDREDTYHAKDQTLHCGFVRGTNDYPSTGFDLDANDRRYMATCHVSVSSCIFGSSDYLRRPTKSRIGSYAKKNVCFVMFMDELTLATLSSEGHMPDENGFVGLWRIVVVKNLPYKDMRRAGKVPKFLSHRLFPSAMYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRSCVWEEVLQNKRLNKYNHAAIDEQFHFYQSDGLVKFNASGQLPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRMNPGKPIHLNMFKDCERRAIAKLFHHRTNETTDPPPANLRLDKTSIQG >PAN05481 pep chromosome:PHallii_v3.1:1:27708093:27709038:-1 gene:PAHAL_1G188400 transcript:PAN05481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPTFLLAAALLALTCFHATAASDPSLLQDFCVVDKMSKVRINGFPCKDAKDVVADEFFFSGLHLAGNTSNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPYGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLFTKVLNKGDVFVFPEGLIHFQFNYGTNSAVAHAALSSQNPGVITVANTVFGSKPSISDDILARAFQVDKQNIDRIQAQF >PAN08397 pep chromosome:PHallii_v3.1:1:56492279:56497986:1 gene:PAHAL_1G410600 transcript:PAN08397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAEAMDVEAPARPPSTVSDTKYRSPHDLLAETRASIEKVAARMLAIKRDGVPKSELRELVTQMSLLLVTLRQVNREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFQRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLELQRSSLLETIASQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIAYTQLLGQKEAFGENIEVEIMGSTKDAQIFAQQQAKKENAGTLSNGDHNRMDDDVIDDDEDAQRRRSRSKKNVMKEANNPAVAYQLHPLKLIVHVYDTEDCSAKRRKLITLRFEYLAKLNVVCVGIEESEGLDNNILCNLFPDDTGLELPHQMAKIYAGECPNFTDKTARPYKWAQHLAGIDFLPEVPPSVGDDSNRALSSSDLSSGLALYRQQNRAETILQRIRSRKVAQMALMWQLDYLTKLKWPRIEHKNTPWASRTPLCSLHSWSLTGSFPEPLSRSSLMVSGAASSVDSDLERRSVTNWEETESIREDGELPVVIHAENETNSSAILPSEMSPEVRSHSRGLSLISKSATPSKLSVSHSFGRNEDDLDILMYSDSELEDQPFIQEETQKGNLIIDKSWEEYASKEFTMVLSKTMKNGPKVVLEAKVKISMEYPIRPPLFSLRLLSEKSGTLKWLNDLRAMETEVNLHILRSLPSSCEEYILTHQVMCLAMLFDMHFDEDYEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRELVIPPFLSFFWYWQN >PAN08399 pep chromosome:PHallii_v3.1:1:56492358:56497102:1 gene:PAHAL_1G410600 transcript:PAN08399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAEAMDVEAPARPPSTVSDTKYRSPHDLLAETRASIEKVAARMLAIKRDGVPKSELRELVTQMSLLLVTLRQVNREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFQRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLELQRSSLLETIASQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIAYTQLLGQKEAFGENIEVEIMGSTKDAQIFAQQQAKKENGTLSNGDHNRMDDDVIDDDEDAQRRRSRSKKNVMKEANNPAVAYQLHPLKLIVHVYDTEDCSAKRRKLITLRFEYLAKLNVVCVGIEESEGLDNNILCNLFPDDTGLELPHQMAKIYAGECPNFTDKTARPYKWAQHLAGIDFLPEVPPSVGDDSNRALSSSDLSSGLALYRQQNRAETILQRIRSRKVAQMALMWQLDYLTKLKWPRIEHKNTPWASRTPLCSLHSWSLTGSFPEPLSRSSLMVSGAASSVDSDLERRSVTNWEETESIREDGELPVVIHAENETNSSAILPSEMSPEVRSHSRGLSLISKSATPSKLSVSHSFGRNEDDLDILMYSDSELEDQPFIQEETQKGNLIIDKSWEEYASKEFTMVLSKTMKNGPKVVLEAKVKISMEYPIRPPLFSLRLLSEKSGTLKWLNDLRAMETEVNLHILRSLPSSCEEYILTHQVMCLAMLFDMHFDEDYEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSSYL >PAN08400 pep chromosome:PHallii_v3.1:1:56492279:56497914:1 gene:PAHAL_1G410600 transcript:PAN08400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAEAMDVEAPARPPSTVSDTKYRSPHDLLAETRASIEKVAARMLAIKRDGVPKSELRELVTQMSLLLVTLRQVNREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFQRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLELQRSSLLETIASQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIAYTQLLGQKEAFGENIEVEIMGSTKDAQIFAQQQAKKENAGTLSNGDHNRMDDDVIDDDEDAQRRRSRSKKNVMKEANNPAVAYQLHPLKLIVHVYDTEDCSAKRRKLITLRFEYLAKLNVVCVGIEESEGLDNNILCNLFPDDTGLELPHQMAKIYAGECPNFTDKTARPYKWAQHLAGIDFLPEVPPSVGDDSNRALSSSDLSSGLALYRQQNRAETILQRIRSRKVAQMALMWQLDYLTKLKWPRIEHKNTPWASRTPLCSLHSWSLTGSFPEPLSRSSLMVSGAASSVDSDLERRSVTNWEETESIREDGELPVVIHAENETNSSAILPSEMSPEVRSHSRGLSLISKSATPSKLSVSHSFGRNEDDLDILMYSDSELEDQPFIQEETQKGNLIIDKSWEEYASKEFTMVLSKTMKNGPKVVLEAKVKISMEYPIRPPLFSLRLLSEKSGTLKWLNDLRAMETEVNLHILRSLPSSCEEYILTHQVMCLAMLFDMHFDEDYEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSSYL >PVH67014 pep chromosome:PHallii_v3.1:1:56493383:56497914:1 gene:PAHAL_1G410600 transcript:PVH67014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHTKKLKQHHAAELLPTPLYIAYTQLLGQKEAFGENIEVEIMGSTKDAQIFAQQQAKKENGTLSNGDHNRMDDDVIDDDEDAQRRRSRSKKNVMKEANNPAVAYQLHPLKLIVHVYDTEDCSAKRRKLITLRFEYLAKLNVVCVGIEESEGLDNNILCNLFPDDTGLELPHQMAKIYAGECPNFTDKTARPYKWAQHLAGIDFLPEVPPSVGDDSNRALSSSDLSSGLALYRQQNRAETILQRIRSRKVAQMALMWQLDYLTKLKWPRIEHKNTPWASRTPLCSLHSWSLTGSFPEPLSRSSLMVSGAASSVDSDLERRSVTNWEETESIREDGELPVVIHAENETNSSAILPSEMSPEVRSHSRGLSLISKSATPSKLSVSHSFGRNEDDLDILMYSDSELEDQPFIQEETQKGNLIIDKSWEEYASKEFTMVLSKTMKNGPKVVLEAKVKISMEYPIRPPLFSLRLLSEKSGTLKWLNDLRAMETEVNLHILRSLPSSCEEYILTHQVMCLAMLFDMHFDEDYEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSSYL >PAN08398 pep chromosome:PHallii_v3.1:1:56492249:56497914:1 gene:PAHAL_1G410600 transcript:PAN08398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAEAMDVEAPARPPSTVSDTKYRSPHDLLAETRASIEKVAARMLAIKRDGVPKSELRELVTQMSLLLVTLRQVNREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFQRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLELQRSSLLETIASQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIAYTQLLGQKEAFGENIEVEIMGSTKDAQIFAQQQAKKENGTLSNGDHNRMDDDVIDDDEDAQRRRSRSKKNVMKEANNPAVAYQLHPLKLIVHVYDTEDCSAKRRKLITLRFEYLAKLNVVCVGIEESEGLDNNILCNLFPDDTGLELPHQMAKIYAGECPNFTDKTARPYKWAQHLAGIDFLPEVPPSVGDDSNRALSSSDLSSGLALYRQQNRAETILQRIRSRKVAQMALMWQLDYLTKLKWPRIEHKNTPWASRTPLCSLHSWSLTGSFPEPLSRSSLMVSGAASSVDSDLERRSVTNWEETESIREDGELPVVIHAENETNSSAILPSEMSPEVRSHSRGLSLISKSATPSKLSVSHSFGRNEDDLDILMYSDSELEDQPFIQEETQKGNLIIDKSWEEYASKEFTMVLSKTMKNGPKVVLEAKVKISMEYPIRPPLFSLRLLSEKSGTLKWLNDLRAMETEVNLHILRSLPSSCEEYILTHQVMCLAMLFDMHFDEDYEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRELVIPPFLSFFWYWQN >PVH66532 pep chromosome:PHallii_v3.1:1:47474861:47476243:-1 gene:PAHAL_1G273900 transcript:PVH66532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGSESPGARRALTVIAAATALLAQLLLSSLPLTDAAAGSPSPAPAPAPLHLPPPPHQQQQAPPLPPAVPGLPGARHPHPRAAPPAEKSAWQRLNFGERFGIALAGVAITMQVALGAFLCARARQLRRRAAAAGKAEEQELVEAAAAAAASSPTPA >PAN06584 pep chromosome:PHallii_v3.1:1:47482186:47485533:1 gene:PAHAL_1G274000 transcript:PAN06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPVPAAPPSPMSATLLTFPSSHPYPSLPSPPKPPAPRPPHLHLVPRVAASPAAAAAAPHRAASATSATERLRTLVRRGNLDEALRLVDSLAGHDPPTRAAAGPCAALIKKLCASGRTADARRVLGACVPDVMAYNAMVAGYCGAGQLDAARRLVGDMPVEPDAYTYNTLIRGLCGRGRTSNALAVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMKLLDDMRAKGCAPDLVTYNVVLNGICQEGRVDDAMEFLKNLPSYGCEPNTVSYNIVMKGLFTAERWEDAEELMAEMAQKGCPPNVVTFNMLISFLCRRGLVEPAIEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDRAMAFVDLMVSRGCYPDIVSYNTLLTALCRNGEVDVAIELLHQLKDKGCSPVLISYNTVIDGLTKAGKTKEALELLNEMISKGLQPDIITYSTIASGLCREDRIEEAITTFCKVQDMGIRPNAVLYNAILLGLCKRRETHNAIDLFAYMILNGCMPNESTYTILLEGLAYEGLAKEARELLGELCSRGVVNKKFMKKGAIKMLDGPATT >PAN06582 pep chromosome:PHallii_v3.1:1:47482186:47485533:1 gene:PAHAL_1G274000 transcript:PAN06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPVPAAPPSPMSATLLTFPSSHPYPSLPSPPKPPAPRPPHLHLVPRVAASPAAAAAAPHRAASATSATERLRTLVRRGNLDEALRLVDSLAGHDPPTRAAAGPCAALIKKLCASGRTADARRVLGACVPDVMAYNAMVAGYCGAGQLDAARRLVGDMPVEPDAYTYNTLIRGLCGRGRTSNALAVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMKLLDDMRAKGCAPDLVTYNVVLNGICQEGRVDDAMEFLKNLPSYGCEPNTVSYNIVMKGLFTAERWEDAEELMAEMAQKGCPPNVVTFNMLISFLCRRGLVEPAIEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDRAMAFVDLMVSRGCYPDIVSYNTLLTALCRNGEVDVAIELLHQLKDKGCSPVLISYNTVIDGLTKAGKTKEALELLNEMISKGLQPDIITYSTIASGLCREDRIEEAITTFCKVQDMGIRPNAVLYNAILLGLCKRRETHNAIDLFAYMILNGCMPNESTYTILLEGLAYEGLAKEARELLGELCSRGVVNKKFMKKGAIKMLDGPATT >PAN06583 pep chromosome:PHallii_v3.1:1:47482396:47485331:1 gene:PAHAL_1G274000 transcript:PAN06583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPVPAAPPSPMSATLLTFPSSHPYPSLPSPPKPPAPRPPHLHLVPRVAASPAAAAAAPHRAASATSATERLRTLVRRGNLDEALRLVDSLAGHDPPTRAAAGPCAALIKKLCASGRTADARRVLGACVPDVMAYNAMVAGYCGAGQLDAARRLVGDMPVEPDAYTYNTLIRGLCGRGRTSNALAVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMKLLDDMRAKGCAPDLVTYNVVLNGICQEGRVDDAMEFLKNLPSYGCEPNTVSYNIVMKGLFTAERWEDAEELMAEMAQKGCPPNVVTFNMLISFLCRRGLVEPAIEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDRAMAFVDLMVSRGCYPDIVSYNTLLTALCRNGEVDVAIELLHQLKDKGCSPVLISYNTVIDGLTKAGKTKEALELLNEMISKGLQPDIITYSTIASGLCREDRIEEAITTFCKVQDMGIRPNAVLYNAILLGLCKRRETHNAIDLFAYMILNGCMPNESTYTILLEGLAYEGLAKEARELLGELCSRGVVNKKFMKKGAIKMLDGPATT >PAN08511 pep chromosome:PHallii_v3.1:1:58665186:58673210:1 gene:PAHAL_1G441300 transcript:PAN08511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSRSRGGGGAGAAAGDDHEDLARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVDEAFVFCCAHSHQVKEYLEKSGWAEKAGAGSMAVTAVESHDAISAGDALRVIYERGVIHGDFILISGDTISNMSLKDALQEHMDRKKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMAIDPETKELLYYEDRADNSHLYVTIDKDILTNNPSLQLHNDMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDVIQRWTYPMVPDVISSGDCSESRLHRQGIYKASDVTLSHSAQIGANSVVGSGTRIGDHCKVLNSVIGEGCKIGKNVLINGSYIWDNVIIEDGCKVSNSLVCGGVHLKAGAIVEPGCVLSFNVEVGKNVGVPAHSKVSLLPQPSNEDSDEELEYADTNSGVTESPPFSSMRSNGDQATVPSEDESGTFEIGTCGVVGYIWTSGDTGILEEWRQSIAPIPKEKLEELQHAVSEDDGSEDESNNPTQPDKDDSSDIAVEETFQRALGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALVAAQSTNDTLLKTTAEALGKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSPLFSKILPFLYDTEVVSEDAIMRWAEEKEHADESDKVFVKQSEAFIQWLKEAEEEDDEEEE >PAN08868 pep chromosome:PHallii_v3.1:1:58665186:58673210:1 gene:PAHAL_1G441300 transcript:PAN08868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSRSRGGGGAGAAAGDDHEDLARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVDEAFVFCCAHSHQVKEYLEKSGWAEKAGAGSMAVTAVESHDAISAGDALRVIYERGVIHGDFILISGDTISNMSLKDALQEHMDRKKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMAIDPETKELLYYEDRADNSHLYVTIDKDILTNNPSLQLHNDMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDVIQRWTYPMVPDVISSGDCSESRLHRQGIYKASDVTLSHSAQIGANSVVGSGTRIGDHCKVLNSVIGEGCKIGKNVLINGSYIWDNVIIEDGCKVSNSLVCGGVHLKAGAIVEPGCVLSFNVEVGKNVGVPAHSKVSLLPQPSNEDSDEELEYADTNSGVTESPPFSSMRSNGDQATVPSEDESGTFEIGTCGVVGYIWTSGDTGILEEWRQSIAPIPKEKLEELQHAVSEDDGSEDESNNPTQPDKDDSSDIAVEDDDPFSKFEKEVEETFQRALGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALVAAQSTNDTLLKTTAEALGKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSPLFSKILPFLYDTEVVSEDAIMRWAEEKEHADESDKVFVKQSEAFIQWLKEAEEEDDEEEE >PAN08867 pep chromosome:PHallii_v3.1:1:58665186:58673210:1 gene:PAHAL_1G441300 transcript:PAN08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSRSRGGGGAGAAAGDDHEDLARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVDEAFVFCCAHSHQVKEYLEKSGWAEKAGAGSMAVTAVESHDAISAGDALRVIYERGVIHGDFILISGDTISNMSLKDALQEHMDRKKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMAIDPETKELLYYEDRADNSHLYVTIDKDILTNNPSLQLHNDMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDVIQRWTYPMVPDVISSGDCSESRLHRQGIYKASDVTLSHSAQIGANSVVGSGTRIGDHCKVLNSVIGEGCKIGKNVLINGSYIWDNVIIEDGCKVSNSLVCGGVHLKAGAIVEPGCVLSFNVEVGKNVGVPAHSKVSLLPQPSNEDSDEELEYADTNSGVTESPPFSSMRSNGDQATVPSEDESGTFEIGTCGVVGYIWTSGDTGILEEWRQSIAPIPKEKLEELQHAVSEDDGSEDESNNPTQPDKDDSSDIAVEDDDPFSKFEKEVEETFQRALGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALVAAQSTNDTLLKTTAEALGKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSPLFSKILPFLYDTEVVSEDAIMRWAEEKEHADESDKVFVKQSEAFIQWLKEAEEEDDEEEE >PAN04116 pep chromosome:PHallii_v3.1:1:2975186:2976168:1 gene:PAHAL_1G043500 transcript:PAN04116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNASAMSSILLIVLLLGLGGHTNASKFSTLHYDVQQPGADESPREEHLQEKLATIMSYNLDWACLPNDPSNPKCRASKFSWPELVGRKGREAKAVIQRENPSVDAVVYAPQDAIVTDKYCCNRVRLVMNCDAGCDYENATVFQIPIVG >PVH66024 pep chromosome:PHallii_v3.1:1:10402307:10406943:1 gene:PAHAL_1G128000 transcript:PVH66024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKAIGVISGINEFGNLFQLVRSAVSHLLSQRSGTHEQHLREDDLLQLQSDLRCLSETLPSMYSLIDRAEWRSHVPCVAQLLPKLKDAVYDVEDILDEFRWYELKLAIEGMATQMSPFTDFFQSVTQGNFSKVTDIQRRVNNLSRQLEKMDLHQATPRFDKSVRPETTSFPTEQNLFGRDKEMNELIRLLGVHRNGSIAPSKRKRTGSGIVVSAENYNEVAMACVPVLPIVGIGGVGKTSLVQRLCEHKQVTSHFDKNIIWICVSDDFDVKRITKELIQILSSREATTDNLDSLQHTDNLDSLQHTLATSMNQKKFLLILDDMWEDVLKESGGCWNRFCAPFMNAIQGSMMLVTTRSQKVADQVGTMVPFQLEGLKDHVFWEFFKLYVFGYDNSNNYPELERIGKQMLPKLRGSPLAAKTIGRLLQMNLDTTHWNSIHKSELWELRQEEADILPALRLSYIYLPFHLKRCFSFCALFPKDYIFEKHRISEIWVAEGLVEPQGDIPILEIGCQYFEDLASRSFFQMVHRYYVMHDLMHDMAQLVSKDECFTIKNESDFLKVPRSVRHLSILSSINSDNPKLLSLCKYKKLRTLIYDGTVSDKTSASPMDCWCSELQYIRLFSCASMRELPESISNMKHLRYLHISKACPFKSLPSGFYCLYNLQVMYARNCEFKSFCNEFVKRLTKLRRFITRRLQYHHGVASSLSLMGYPGESFDFWIQPQKMQDFLTSDETGGSIRLKSMPVSRSSQCQSLNVIPTVLVEKNNDNTDSIFSSLTNITIEGCISLTSLEQFLQPAYLPAVKKIKIANCKRLVSVPTARLGDLLCLEELIVTQCPSISSRGLQVPSLKKLELKESGNLGEDIECCSLNYLHLSYSSLTSINLQLWSLPALKTLSITKCQYLAYIGESTTRDSSSSIRSIKSFSSLTDLIISRCDNLVTFDDILTHDYVPVIERIQVDNCYNELRSLPGERFGTFSTLKALHIRSCPLLSWQSGMALPSSLRLLFIEFCGDLSAWFPSCLENLTSLESLQMVSCERIVSIPGHSNLRSLRYLWIENCPHLVSVGGPEAIENKTMVYISGCPMLKELHQPVCRWQK >PVH66023 pep chromosome:PHallii_v3.1:1:10401976:10406943:1 gene:PAHAL_1G128000 transcript:PVH66023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKAIGVISGINEFGNLFQLVRSAVSHLLSQRSGTHEQHLREDDLLQLQSDLRCLSETLPSMYSLIDRAEWRSHVPCVAQLLPKLKDAVYDVEDILDEFRWYELKLAIEGMATQMSPFTDFFQSVTQGNFSKVTDIQRRVNNLSRQLEKMDLHQATPRFDKSVRPETTSFPTEQNLFGRDKEMNELIRLLGVHRNGSIAPSKRKRTGSGIVVSAENYNEVAMACVPVLPIVGIGGVGKTSLVQRLCEHKQVTSHFDKNIIWICVSDDFDVKRITKELIQILSSREATTDNLDSLQHTDNLDSLQHTLATSMNQKKFLLILDDMWEDVLKESGGCWNRFCAPFMNAIQGSMMLVTTRSQKVADQVGTMVPFQLEGLKDHVFWEFFKLYVFGYDNSNNYPELERIGKQMLPKLRGSPLAAKTIGRLLQMNLDTTHWNSIHKSELWELRQEEADILPALRLSYIYLPFHLKRCFSFCALFPKDYIFEKHRISEIWVAEGLVEPQGDIPILEIGCQYFEDLASRSFFQMVHRYYVMHDLMHDMAQLVSKDECFTIKNESDFLKVPRSVRHLSILSSINSDNPKLLSLCKYKKLRTLIYDGTVSDKTSASPMDCWCSELQYIRLFSCASMRELPESISNMKHLRYLHISKACPFKSLPSGFYCLYNLQVMYARNCEFKSFCNEFVKRLTKLRRFITRRLQYHHGVASSLSLMGYPGESFDFWIQPQKMQDFLTSDETGGSIRLKSMPVSRSSQCQSLNVIPTVLVEKNNDNTDSIFSSLTNITIEGCISLTSLEQFLQPAYLPAVKKIKIANCKRLVSVPTARLGDLLCLEELIVTQCPSISSRGLQVPSLKKLELKESGNLGEDIECCSLNYLHLSYSSLTSINLQLWSLPALKTLSITKCQYLAYIGESTTRDSSSSIRSIKSFSSLTDLIISRCDNLVTFDDILTHDYVPVIERIQVDNCYNELRSLPGERFGTFSTLKALHIRSCPLLSWQSGMALPSSLRLLFIEFCGDLSAWFPSCLENLTSLESLQMVSCERIVSIPGHSNLRSLRYLWIENCPHLVSVGGPEAIENKTMVYISGCPMLKELHQPVCRWQK >PVH66025 pep chromosome:PHallii_v3.1:1:10401976:10406943:1 gene:PAHAL_1G128000 transcript:PVH66025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKAIGVISGINEFGNLFQLVRSAVSHLLSQRSGTHEQHLREDDLLQLQSDLRCLSETLPSMYSLIDRAEWRSHVPCVAQLLPKLKDAVYDVEDILDEFRWYELKLAIEGMATQMSPFTDFFQSVTQGNFSKVTDIQRRVNNLSRQLEKMDLHQATPRFDKSVRPETTSFPTEQNLFGRDKEMNELIRLLGVHRNGSIAPSKRKRTGSGIVVSAENYNEVAMACVPVLPIVGIGGVGKTSLVQRLCEHKQVTSHFDKNIIWICVSDDFDVKRITKELIQILSSREATTDNLDSLQHTDNLDSLQHTLATSMNQKKFLLILDDMWEDVLKESGGCWNRFCAPFMNAIQGSMMLVTTRSQKVADQVGTMVPFQLEGLKDHVFWEFFKLYVFGYDNSNNYPELERIGKQMLPKLRGSPLAAKTIGRLLQMNLDTTHWNSIHKSELWELRQEEADILPALRLSYIYLPFHLKRCFSFCALFPKDYIFEKHRISEIWVAEGLVEPQGDIPILEIGCQYFEDLASRSFFQMVHRYYVMHDLMHDMAQLVSKDECFTIKNESDFLKVPRSVRHLSILSSINSDNPKLLSLCKYKKLRTLIYDGTVSDKTSASPMDCWCSELQYIRLFSCASMRELPESISNMKHLRYLHISKACPFKSLPSGFYCLYNLQVMYARNCEFKSFCNEFVKRLTKLRRFITRRLQYHHGVASSLSLMGYPGESFDFWIQPQKMQDFLTSDETGGSIRLKSMPVSRSSQCQSLNVIPTVLVEKNNDNTDSIFSSLTNITIEGCISLTSLEQFLQPAYLPAVKKIKIANCKRLVSVPTARLGDLLCLEELIVTQCPSISSRGLQVPSLKKLELKESGNLGEDIECCSLNYLHLSYSSLTSINLQLWSLPALKTLSITKCQYLAYIGESTTRDSSSSIRSIKSFSSLTDLIISRCDNLVTFDDILTHDYVPVIERIQVDNCYNELRSLPGERFGTFSTLKALHIRSCPLLSWQSGMALPSSLRLLFIEFCGDLSAWFPSCLENLTSLESLQMVSCERIVSIPGHSNLRSLRYLWIENCPHLVSVGGPEAIENKTMVYISGCPMLKELHQPVCRWQK >PAN05279 pep chromosome:PHallii_v3.1:1:10402307:10406943:1 gene:PAHAL_1G128000 transcript:PAN05279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKAIGVISGINEFGNLFQLVRSAVSHLLSQRSGTHEQHLREDDLLQLQSDLRCLSETLPSMYSLIDRAEWRSHVPCVAQLLPKLKDAVYDVEDILDEFRWYELKLAIEGMATQMSPFTDFFQSVTQGNFSKVTDIQRRVNNLSRQLEKMDLHQATPRFDKSVRPETTSFPTEQNLFGRDKEMNELIRLLGVHRNGSIAPSKRKRTGSGIVVSAENYNEVAMACVPVLPIVGIGGVGKTSLVQRLCEHKQVTSHFDKNIIWICVSDDFDVKRITKELIQILSSREATTDNLDSLQHTDNLDSLQHTLATSMNQKKFLLILDDMWEDVLKESGGCWNRFCAPFMNAIQGSMMLVTTRSQKVADQVGTMVPFQLEGLKDHVFWEFFKLYVFGYDNSNNYPELERIGKQMLPKLRGSPLAAKTIGRLLQMNLDTTHWNSIHKSELWELRQEEADILPALRLSYIYLPFHLKRCFSFCALFPKDYIFEKHRISEIWVAEGLVEPQGDIPILEIGCQYFEDLASRSFFQMVHRYYVMHDLMHDMAQLVSKDECFTIKNESDFLKVPRSVRHLSILSSINSDNPKLLSLCKYKKLRTLIYDGTVSDKTSASPMDCWCSELQYIRLFSCASMRELPESISNMKHLRYLHISKACPFKSLPSGFYCLYNLQVMYARNCEFKSFCNEFVKRLTKLRRFITRRLQYHHGVASSLSLMGYPGESFDFWIQPQKMQDFLTSDETGGSIRLKSMPVSRSSQCQSLNVIPTVLVEKNNDNTDSIFSSLTNITIEGCISLTSLEQFLQPAYLPAVKKIKIANCKRLVSVPTARLGDLLCLEELIVTQCPSISSRGLQVPSLKKLELKESGNLGEDIECCSLNYLHLSYSSLTSINLQLWSLPALKTLSITKCQYLAYIGESTTRDSSSSIRSIKSFSSLTDLIISRCDNLVTFDDILTHDYVPVIERIQVDNCYNELRSLPGERFGTFSTLKALHIRSCPLLSWQSGMALPSSLRLLFIEFCGDLSAWFPSCLENLTSLESLQMVSCERIVSIPGHSNLRSLRYLWIENCPHLVSVGGPEAIENKTMVYISGCPMLKELHQPVCRWQK >PAN05634 pep chromosome:PHallii_v3.1:1:22918582:22921524:-1 gene:PAHAL_1G170700 transcript:PAN05634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66175 pep chromosome:PHallii_v3.1:1:22918582:22922658:-1 gene:PAHAL_1G170700 transcript:PVH66175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PAN05632 pep chromosome:PHallii_v3.1:1:22918900:22922658:-1 gene:PAHAL_1G170700 transcript:PAN05632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQIICISKR >PVH66184 pep chromosome:PHallii_v3.1:1:22912493:22922690:-1 gene:PAHAL_1G170700 transcript:PVH66184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGIICISKR >PVH66169 pep chromosome:PHallii_v3.1:1:22918900:22921524:-1 gene:PAHAL_1G170700 transcript:PVH66169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGIICISKR >PVH66183 pep chromosome:PHallii_v3.1:1:22918582:22921524:-1 gene:PAHAL_1G170700 transcript:PVH66183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66178 pep chromosome:PHallii_v3.1:1:22918582:22922658:-1 gene:PAHAL_1G170700 transcript:PVH66178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66176 pep chromosome:PHallii_v3.1:1:22918900:22922658:-1 gene:PAHAL_1G170700 transcript:PVH66176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQIICISKR >PVH66170 pep chromosome:PHallii_v3.1:1:22918582:22921524:-1 gene:PAHAL_1G170700 transcript:PVH66170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PAN05638 pep chromosome:PHallii_v3.1:1:22918582:22921524:-1 gene:PAHAL_1G170700 transcript:PAN05638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66177 pep chromosome:PHallii_v3.1:1:22917165:22922690:-1 gene:PAHAL_1G170700 transcript:PVH66177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PAN05631 pep chromosome:PHallii_v3.1:1:22918582:22921524:-1 gene:PAHAL_1G170700 transcript:PAN05631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PAN05633 pep chromosome:PHallii_v3.1:1:22918338:22922680:-1 gene:PAHAL_1G170700 transcript:PAN05633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGIICISKR >PVH66173 pep chromosome:PHallii_v3.1:1:22917165:22922690:-1 gene:PAHAL_1G170700 transcript:PVH66173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66179 pep chromosome:PHallii_v3.1:1:22917165:22922680:-1 gene:PAHAL_1G170700 transcript:PVH66179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQIICISKR >PVH66172 pep chromosome:PHallii_v3.1:1:22917165:22922691:-1 gene:PAHAL_1G170700 transcript:PVH66172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66174 pep chromosome:PHallii_v3.1:1:22912638:22922680:-1 gene:PAHAL_1G170700 transcript:PVH66174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPGQVAAAHRQAQAAAPPFSQPLGHASMPCPWIIAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66180 pep chromosome:PHallii_v3.1:1:22918582:22921524:-1 gene:PAHAL_1G170700 transcript:PVH66180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66181 pep chromosome:PHallii_v3.1:1:22917165:22922680:-1 gene:PAHAL_1G170700 transcript:PVH66181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66171 pep chromosome:PHallii_v3.1:1:22917165:22922680:-1 gene:PAHAL_1G170700 transcript:PVH66171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARCQPPNGRGSARSSPPPLTHVGHLQQRAGHLLPSAAHLSRQTTPLLPPQTPAMLDLACCQGYPAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTPTDTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH66182 pep chromosome:PHallii_v3.1:1:22918582:22921524:-1 gene:PAHAL_1G170700 transcript:PVH66182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWYHEGEPLDHAVEQLPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDCVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARECVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLRQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGVILLNSDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PAN06804 pep chromosome:PHallii_v3.1:1:48516404:48521379:-1 gene:PAHAL_1G289400 transcript:PAN06804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRSSPVAPTFFPFGPQAEAWDVTFKVKYGDTLKRFYGCVNGAHFDMNLSALRAKIATAFKFGPDADFILTYIDEDGDAVMLDDDDDLRDAALRQKLNPLRITVQLKRSQPTEQKEKNSTPVKPTPQDPLSQIMSVIEGLKPAQEESLAHIKSAIGEAFKSIPEPIPDALAKLSSEALDAAPQPLAELMKPFVQMMAPSNSGNGPSDAHADGSSSSSSGVTETQAPANKDESKAKACLGLRSVLEEAPAPAPAAPSAGASQGQQPSMYPSVEELLFPSNSVDISVCKGKIDAQSKGKSIMSSATQPAPHAVHAPPPPPPCVSEWFRPRRSQPLQWQSEDNAKVTSDSRWRIPMYKVPYAPPAAVPHAPPGYGPSPHFPYPGRLLSSGHPYGDMSGNMENSAPRSLHRWIQCDGCGVQPIVGPRYKSNVKEDYDLCDSCFHRMGNEMEYTKIDKPVLPHRLLRDPHAYRKVHHPRVVMKSKREKLESRFILDVTVLDGTLMTPSTPFTKIWRMHNNGAIVWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDKEIDVAVDFVAPTRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFANDNNRNAAINLNLPPESNSTNTTNLIDVNIEPAETTLSAHAKRTKEFHFYPMDVPEPKKSQPAPVVVTSMPAAAPANPTVDVPMSSATAAAFVPSYSMPAPELVVPAVPSPPVNVPIVPTAVPVSAPAPAPAPAPAPAFASASGPASTLVPPPVTSAAAPEPFDIDGHNEEKLLRELEEMGFRQIDLNKEILRQNNYNLEQSVDDLCGVNEWDPLLAELEEMGFDDTEMNKELLAKNGGSIKRAVMDLIAREKKDK >PAN08359 pep chromosome:PHallii_v3.1:1:56341660:56349772:1 gene:PAHAL_1G407100 transcript:PAN08359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVALLRTSGRRLLHRCRGGRPAVVPAAASSSLARRPLPSSFPARGYSSLPGGGARFLAAAAPLHCSGRYWPAATPRLARRLSAPAVSTSPSPVPYDTDDVHEYAAKLGFEKVSEQIIDECKSTAVLYKHKKTGAEVMSVLNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELDNPAEEITYKGVVFNEMKGVYSQPDNIMGRVSQQALSPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRILSEYFDQFEASTAPNESKVWPQRLFKEPVRVIEKFPAGEEGDLTKKYMVCINWLLSEEPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIQKVEELVMQTLKNLAEEGFASDAVEASMNTIEFALRENNTGSFPRGLSLMLRSIAKWIYDMDPFEPLKYEQPLQELKARIAGEGSKAVFSPLIEKFILKNAHRVTVEMQPDPEKASRDEAAEREILKQVKARMTQEDLAELARATKELKEKQETPDPPEALKAVPSLSLQDIPKKPIHIPIEVGEINGVKVLQHDLFTNDVVYSEVVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKEDPLTRIIVRGKAMAPRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWIGEQLGGVSYLEYLRELETKIDQDWDSISSSLEEIRKSLFSKNGCLINLTSDWKNLEKSSQHIAKFLDSLPSSPSLVSDPWVSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALTKAIIGTIGDVDAYQLPDAKGYSSLMRYLLGITDEERQQRREEILSTSLKDFKEFADAVESIKDTGVVVAVASPDDVEAANKENPVFPEVKKCL >PVH66471 pep chromosome:PHallii_v3.1:1:46036569:46037272:1 gene:PAHAL_1G256500 transcript:PVH66471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRPVILVFLLVILIVTSQFEWKQQLVNELESTTRNQKHISSREEFVKDKIILSQEKMIQKLNDFIQNLQQQLLQCRENNKTVNSSRTSLTSYFIEIQRQHMMDD >PAN09000 pep chromosome:PHallii_v3.1:1:59262390:59263397:1 gene:PAHAL_1G450900 transcript:PAN09000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRGSTIFPMPQIPAMLFPPPPPPPLPPSYSFSSSTSAHHARSITSFPILVLTVLGILTASVLLLAYYVFIIRCCLKWHRSSPSDAAGHIARRRQRQPATSGTSGLPVSGAPPTEARGLEEAVIQALPAFRYRKAIKNAAAAAAAAAADSAPMSECAVCLGEFEDEERVRMLPACLHVFHVDCIDTWLQGNANCPLCRAAITGHCLLPPLDQLPRLDEVAIQVTPTTEEDEEPTRAQQQQASTAMASCQSAGDTATDQQASSDKRKSSNAWRDIDISSKADECITERRDRDVIPLRRSFSMGEMAGGEVHLQIHNILQRNTHFHGDDGDSSSM >PAN07560 pep chromosome:PHallii_v3.1:1:52096375:52100060:-1 gene:PAHAL_1G345200 transcript:PAN07560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVCVAVWAVTFAMVMAFIMWAYRWSHPKASGRLPPGSLGLPLLGETLQFFAPNPTCDVSPFVKERLNRYGNIFKTSIVGRSVVVSADPDLNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLRAVLLADTDGACRASLASWASHPSVELKDAISTMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMQGRKNAMKVLRKMMRERMADAGRQSEDFFDVLIEEQRRDKPVMTEAIALDLMFVLLFASFETTALALTLGVKLLAENPSVLQALTEEHEAIVRNRKDRDAGLTWADYKSMTFTSQVILEIVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPEIYEDPLAFDPWRWQDKVEITGGTKQFMAFGGGLRFCVGTDLSKVLMATFIHRLVTKYSWRTIKGGNIVRTPGLSFPDGFHVQLFPKEMAPSSVLNTACSV >PVH66955 pep chromosome:PHallii_v3.1:1:55338096:55339554:-1 gene:PAHAL_1G393100 transcript:PVH66955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMSEVLPNQVQCAVEFVCKPKRTEHEHGHAVPYQHRRDATAEQIFQPRRPLYIILVTWTARLRFQTSRSSKKVARGALQSRLFGPIAFHCMAGTRSVVFLDAGLAMLFVANVVLLLWALNRCCRAQASSRVVGERAEEEGGGGLSAEQVGELPCHECKEGPGGGECAVCLEAFRAGDRRRVLPGCEHGFHAECVDTWLRKSRRCPICRAAEVAGEGKNAGEVEEATAVEIVNGR >PAN03665 pep chromosome:PHallii_v3.1:1:1172976:1178647:1 gene:PAHAL_1G014000 transcript:PAN03665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPVPSLLLTLALALTVAAAGKISALRTPISRDIYHSSDSLLREIKALVARHSDKLSMDTITTSNKGYSADLLVVTFNHVKESVDNGSKSFGQHGRELITSEVALRLLYILTEKRKIAGVDFSSFERVLENLVIKVVPMENWNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPEAQIMRELSRSFKPHIWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNHRHFQDSCLVGSGGGSVGYLAHGTTTDYMYDIAKVPMPFTFEIYGDEKASTDDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLRDAQIVSRDTLDNWVPIGGDVVERNVARKTARERRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCTRISKNRNRDSGNVFDP >PAN03667 pep chromosome:PHallii_v3.1:1:1172976:1178647:1 gene:PAHAL_1G014000 transcript:PAN03667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPVPSLLLTLALALTVAAAGKISALRTPISRDIYHSSDSLLREIKALVARHSDKLSMDTITTSNKGYSADLLVVTFNHVKESVDNGSKVHILLSFGQHGRELITSEVALRLLYILTEKRKIAGVDFSSFERVLENLVIKVVPMENWNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPEAQIMRELSRSFKPHIWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNHRHFQDSCLVGSGGGSVGYLAHGTTTDYMYDIAKVPMPFTFEIYGDEKASTDDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLRDAQIVSRDTLDNWVPIGGDVVERNVARKTARERRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCTRISKNRNRDSDEQSA >PAN03666 pep chromosome:PHallii_v3.1:1:1172976:1178730:1 gene:PAHAL_1G014000 transcript:PAN03666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPVPSLLLTLALALTVAAAGKISALRTPISRDIYHSSDSLLREIKALVARHSDKLSMDTITTSNKGYSADLLVVTFNHVKESVDNGSKVHILLSFGQHGRELITSEVALRLLYILTEKRKIAGVDFSSFERVLENLVIKVVPMENWNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPEAQIMRELSRSFKPHIWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNHRHFQDSCLVGSGGGSVGYLAHGTTTDYMYDIAKVPMPFTFEIYGDEKASTDDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLRDAQIVSRDTLDNWVPIGGDVVERNVARKTARERRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCTRISKNRNRDSGNVFDP >PAN03724 pep chromosome:PHallii_v3.1:1:1354190:1361874:-1 gene:PAHAL_1G017400 transcript:PAN03724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHLRLLSAAHLIPAPASLHRLPAPPPAARLRLLRPPRLEESPRLSSAARCRCAGSAVARVAEDGGEQAAAAGIWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSALQLAALGPGTVFCDYLSYIFMFLSVATSNMVATSLAKKDKELAQHQVSMLLFIALACGIGMFLFTKVFGTQALSAFAGSGNYEIISSANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAATVVNGVGDILLCSVCGFGIAGAAWATMVSQVVAAFMMMRNLNNKGFQAFSFTIPSARELLQIFEIAAPVFVTMTSKVAFYALLTYSATSLGAVTLAAHQVMINILCMCTVWGEPLSQTAQSFMPELIYGANRNLTKARMLLKSLVIIGAIAGAVLGAVGTLVPWLFPSLFTNDRMVVQQMHRVLAPYFSALLVTPSVHSLEGTLLAGRDLRYLSQSMGVCFCIGTLLLMVLRNKFGSLPGCWWILVLFQWSRFASGLQRLISPMGMLYNENFNQVDYIKTKAT >PAN06336 pep chromosome:PHallii_v3.1:1:46065887:46067329:1 gene:PAHAL_1G256700 transcript:PAN06336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGLDLKLHLSPPAPARGGAERRASPLSSSDEEWSSSSPSSCLSSEGEREPQPHRLQGHGLQWSDSPEATSMVLAACPRCLMYVMLSEADPRCPRCRSPVLLDFLHHAAGAARASASGGREGDGHSRNGARGGGGGRRNRRA >PAN08792 pep chromosome:PHallii_v3.1:1:58314911:58324987:-1 gene:PAHAL_1G436600 transcript:PAN08792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQESKPVHAVPEAIDLLGSLSSTTSVSAETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08796 pep chromosome:PHallii_v3.1:1:58314417:58325927:-1 gene:PAHAL_1G436600 transcript:PAN08796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08793 pep chromosome:PHallii_v3.1:1:58314911:58325647:-1 gene:PAHAL_1G436600 transcript:PAN08793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQAESKPVHAVPEAIDLLGSLSSTTSVSAETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08799 pep chromosome:PHallii_v3.1:1:58314420:58325926:-1 gene:PAHAL_1G436600 transcript:PAN08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQAIDLLGSLSSTTSVSAETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08803 pep chromosome:PHallii_v3.1:1:58315546:58325926:-1 gene:PAHAL_1G436600 transcript:PAN08803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQAIDLLGSLSSTTSVSAETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQIGC >PAN08800 pep chromosome:PHallii_v3.1:1:58314420:58325926:-1 gene:PAHAL_1G436600 transcript:PAN08800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQESKPVHAVPEAIDLLGSLSSTTSVSETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08794 pep chromosome:PHallii_v3.1:1:58314911:58324987:-1 gene:PAHAL_1G436600 transcript:PAN08794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQAESKPVHAVPEAIDLLGSLSSTTSVSAETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08795 pep chromosome:PHallii_v3.1:1:58314911:58324987:-1 gene:PAHAL_1G436600 transcript:PAN08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08801 pep chromosome:PHallii_v3.1:1:58315546:58325926:-1 gene:PAHAL_1G436600 transcript:PAN08801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQESKPVHAVPEAIDLLGSLSSTTSVSETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQIGC >PAN08798 pep chromosome:PHallii_v3.1:1:58314420:58325926:-1 gene:PAHAL_1G436600 transcript:PAN08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQESKPVHAVPEAIDLLGSLSSTTSVSETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08791 pep chromosome:PHallii_v3.1:1:58314911:58325647:-1 gene:PAHAL_1G436600 transcript:PAN08791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQESKPVHAVPEAIDLLGSLSSTTSVSAETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPSGL >PAN08802 pep chromosome:PHallii_v3.1:1:58315751:58325647:-1 gene:PAHAL_1G436600 transcript:PAN08802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIADPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDVLAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLSIADPDFKGDLTEKLCSIVEKFSQEKLWYLDQMIKVLSLAGNHVKDDVCHALIVVLSNGSELQGYSVRSLYKALQAYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESGAVDAVEIALNRHSADATTGAMCLVALLKLSSRFPSTSERVKQIVARNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNGFLQDLLGIGGVSTGTTGVPSIASTDILMDLLSIGSSPSQNGTPGQESKPVHAVPEAIDLLGSLSSTTSVSAETKPTHLVSQDMDLLDGLSSSTSVSGLEKTVHPSITAFQSATLKITFDFKRQPGNPRETTIHATFTNLTSSTYTDFIFQAAVPKFIQLKLDPASGNTVPANGNGSVTQGLNVTNNQQGQIGC >PAN04416 pep chromosome:PHallii_v3.1:1:4470643:4472643:1 gene:PAHAL_1G065400 transcript:PAN04416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELPLYLLLLLAPLLAAPLFLLLVTTRRTPRGGARLRLPPGPWALPVIGHLHLLARGLPHRVMRDLARRHGPLMLLRFGEVPVVVASSPAAAREVMRTHDAAFASRPIGPMSRLWFQGAEGIIFAPYGDDWRQLRRVCTQELLSARCVQSFRPVREDELRRLLRSVASAAAAAAGPVNLTALISTYIADSTVRAIIGSRRLKDRDAYLRMLEGLFGIMPGMSLPDLFPSSRLAMLVSRAPARIQAYRRSMRQIMDGIIQEHRDRAAPGDAEEEEEDFVDVLLRLQKEVDSQFPLTTENIKTVMLDIFGASTETSATTLDWAMAELLRNPGAMEKAQHEVREALAAAGHSTVTEDSLANLHYLRFVIKETLRLHPPATMLVPRQCQSPCQVLGFDVPAGMTVIVNAWAVGRDPAHWDEPERFAPERFETSAKDFRGADFEFIPFGAGRRICPGMTFGLAHIELALAALLFHFDWTLSGGLPAEELDMTEAFGIATPRRYDLLVVATPRAL >PAN04358 pep chromosome:PHallii_v3.1:1:4179688:4184109:1 gene:PAHAL_1G061600 transcript:PAN04358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAALAPVAFRSAFSPPLSSNPTRNRINIEGAFCLPCSTRKRASYRSFRVYSLFGGKKDKDENGDDAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMELGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >PAN05211 pep chromosome:PHallii_v3.1:1:9691232:9696434:-1 gene:PAHAL_1G122300 transcript:PAN05211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVKWKPISCEYARVAPERSSLRSNCPKNHNFRHFGDLGNGKDANFILHSLKSMLQPKARQCVIVKVNNKDVEENCSSRFAHEDNKIISSPSQRKERSQLKALESYFSKLNTSQQLCSFSEKNKHKNGLSSSYEVDIADDNANLRNRADSLRVQFDRGNSGTKRYRNTSIEDYKEYLIFDEKSFLDMHTDDQMSGFCLTNLLAGINIAVLLFETASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLHSGFLHVALGCWVLLIFGPRVCRAYGQMPFFLIYILGGICGNLTSFAHTPELTVCGTGPVFSLIGAWLVYQSQNKQVIDKDVSESMFWQAVIAASLSFLLSVFGGIDNWAHLGATISGLFFGYLTCPSIELDNAAKNGQKEAVALVRRQASPCKSAAVFVVSILAFAVIAFAYGTQFTNMDLE >PAN05215 pep chromosome:PHallii_v3.1:1:9691232:9701344:-1 gene:PAHAL_1G122300 transcript:PAN05215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARHLMARMVKWKPISCEYARVAPERSSLRSNCPKNHNFRHFGDLGNGKDANFILHSLKSMLQPKARQCVIVKVNNKDVEENCSSRFAHEDNKIISSPSQRKERSQLKALESYFSKLNTSQQLCSFSEKNKHKNGLSSSYEVDIADDNANLRNRADSLRVQFDRGNSGTKRYRNTSIEDYKEYLIFDEKSFLDMHTDDQMSGFCLTNLLAGINIAVLLFETASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLHSGFLHVALGCWVLLIFGPRVCRAYGQMPFFLIYILGGICGNLTSFAHTPELTVCGTGPVFSLIGAWLVYQSQNKQVIDKDVSESMFWQAVIAASLSFLLSVFGGIDNWAHLGATISGLFFGYLTCPSIELDNAAKNGQKEAVALVRRQASPCKSAAVFVVSILAFAVIAFAYGTQFTNMDLE >PAN05217 pep chromosome:PHallii_v3.1:1:9691692:9701344:-1 gene:PAHAL_1G122300 transcript:PAN05217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARHLMARMVKWKPISCEYARVAPERSSLRSNCPKNHNFRHFGDLGNGKDANFILHSLKSMLQPKARQCVIVKVNNKDVEENCSSRFAHEDNKIISSPSQRKERSQLKALESYFSKLNTSQQLCSFSEKNKHKNGLSSSYEVDIADDNANLRNRADSLRVQFDRGNSGTKRYRNTSIEDYKEYLIFDEKSFLDMHTDDQMSGFCLTNLLAGINIAVLLFETASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLHSGFLHVALGCWVLLIFGPRVCRAYGQMPFFLIYILGGICGNLTSFAHTPELTVCGTGPVFSLIGAWLVYQSQNKQVIDKDVSESMFWQAVIAASLSFLLSVFGGIDNW >PAN05212 pep chromosome:PHallii_v3.1:1:9691232:9696435:-1 gene:PAHAL_1G122300 transcript:PAN05212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVKWKPISCEYARVAPERSSLRSNCPKNHNFRHFGDLGNGKDANFILHSLKSMLQPKARQCVIVKVNNKDVEENCSSRFAHEDNKIISSPSQRKERSQLKALESYFSKLNTSQQLCSFSEKNKHKNGLSSSYEVDIADDNANLRNRADSLRVQFDRGNSGTKRYRNTSIEDYKEYLIFDEKSFLDMHTDDQMSGFCLTNLLAGINIAVLLFETASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLGPVFSLIGAWLVYQSQNKQVIDKDVSESMFWQAVIAASLSFLLSVFGGIDNWAHLGATISGLFFGYLTCPSIELDNAAKNGQKEAVALVRRQASPCKSAAVFVVSILAFAVIAFAYGTQFTNMDLE >PAN05213 pep chromosome:PHallii_v3.1:1:9691230:9696434:-1 gene:PAHAL_1G122300 transcript:PAN05213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVKWKPISCEYARVAPERSSLRSNCPKNHNFRHFGDLGNGKDANFILHSLKSMLQPKARQCVIVKVNNKDVEENCSSRFAHEDNKIISSPSQRKERSQLKALESYFSKLNTSQQLCSFSEKNKHKNGLSSSYEVDIADDNANLRNRADSLRVQFDRGNSGTKRYRNTSIEDYKEYLIFDEKSFLDMHTDDQMSGFCLTNLLAGINIAVLLFETASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLHSGFLHVALGCWVLLIFGPRVCRAYGQMPFFLIYILGGICGNLTSFAHTPELTVCGTGPVFSLIGAWLVYQSQNKQVIDKDVSESMFWQAVIAASLSFLLSVFGGIDNWAHLGATISGLFFGYLTCPSIELDNAAKNGQKEAVALVRRQASPCKSAAVFVVSILAFAVIAFAYGTQFTNMDLE >PAN05214 pep chromosome:PHallii_v3.1:1:9691231:9696434:-1 gene:PAHAL_1G122300 transcript:PAN05214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVKWKPISCEYARVAPERSSLRSNCPKNHNFRHFGDLGNGKDANFILHSLKSMLQPKARQCVIVKVNNKDVEENCSSRFAHEDNKIISSPSQRKERSQLKALESYFSKLNTSQQLCSFSEKNKHKNGLSSSYEVDIADDNANLRNRADSLRVQFDRGNSGTKRYRNTSIEDYKEYLIFDEKSFLDMHTDDQMSGFCLTNLLAGINIAVLLFETASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLGPVFSLIGAWLVYQSQNKQVIDKDVSESMFWQAVIAASLSFLLSVFGGIDNWAHLGATISGLFFGYLTCPSIELDNAAKNGQKEAVALVRRQASPCKSAAVFVVSILAFAVIAFAYGTQFTNMDLE >PVH66833 pep chromosome:PHallii_v3.1:1:53360203:53361925:1 gene:PAHAL_1G362700 transcript:PVH66833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARERDGEGRRAHATMVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRGSPAQARPPPLTWRLVGSCFLLGLTGVFGNQLLFLLGLSYTNPTYAAAIQPSIPVFTFILALIMGTETVSLVSNEGRAKIGGTIVCVLGAVLMVLYRGPAVFGSSELELDVHSHGVLADMSQPEPAGSLASVFMTFGLEKWHIGVLCLIGNCLCMATYLALQAPILVKYPSSLSLTAYSYFFGAVLMVMSGVFATNDKGDWSLTQSEFAAVVYA >PAN07786 pep chromosome:PHallii_v3.1:1:53359795:53363079:1 gene:PAHAL_1G362700 transcript:PAN07786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARERDGEGRRAHATMVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRGSPAQARPPPLTWRLVGSCFLLGLTGVFGNQLLFLLGLSYTNPTYAAAIQPSIPVFTFILALIMGTETVSLVSNEGRAKIGGTIVCVLGAVLMVLYRGPAVFGSSELELDVHSHGVLADMSQPEPAGSLASVFMTFGLEKWHIGVLCLIGNCLCMATYLALQAPILVKYPSSLSLTAYSYFFGAVLMVMSGVFATNDKGDWSLTQSEFAAVVYAGVMASALNYVLLTWSNKILGPSMVALYNPLQPVVSAILSMIFLGSPIYLGSIIGGLLIISGLYLVTWARHREKLSGIGVSYVKCASELLDGPSHVTKNVPSISLSRLWDVPHES >PAN07860 pep chromosome:PHallii_v3.1:1:53697933:53700635:1 gene:PAHAL_1G368300 transcript:PAN07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLRHGARAILLLLHYAAASHLLPRAAAGPLPDPALVFPSATPVQPAAATAGGTIPAFPEQSDSLAGSSATCPLAPAPALLPAVRSACDADDGALPPRLRCCPALAAWLFAAYAPAALSQRPPAARPTPAAAAVDMPLPPDDSEACAGAADRALRAGGAALPRPPGGNGTCDVAFCYCGVRLRRLTCGPAPAEGGLWAPADAAARRLERDCAQGGTPGCSKCLRALATIKPNNPGGGAEAAAPAAKGKKQAGRASESDGRDCELMGLMWLLQRNATRYGAAAAAVIRALMAVDEASAAGVAAPAAADDAAPAAACSLPVDDMPLPAEYAQLNGASGEPGLCCFHLVLLLAVLSFRVAYSL >PAN07972 pep chromosome:PHallii_v3.1:1:54216597:54222057:1 gene:PAHAL_1G377000 transcript:PAN07972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGPKSKLQQPPPPAGKKGSKEAPPPKAAKRVPKKQMLLESDDDSDTEQQHLQEEDSDIDIPSDSDAEELSGSDAELSGSDADADGGSSSGSGDEGEEDEEEEEEGDDDSDDDPLADDFLADSDEGSEGGDSGVESDDLEAKSRAIDERKLKAEKDADEELKTNIRSESDEFRLPTAEELEEEAHLPPNLPNLKRRISEIVRVLSNFNKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLVESLIEMFPAVELVELLEAFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATTEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGINSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSIMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRYREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSEPSKASEGAAEKADLSGDDEDKKAVPDEQEKGVPDKDEKAVPMRNHKDTKKTNKGTSVAKETKGVPDRLAKHPKNHKKDAMETDGPESTETNGDGKEVHLEQTKQKGHKRKFDPDRTKKLGPKSTSGIKQKKPVSDKKRKKKWQFKLRRDWEAEKKSDKRRKV >PAN03862 pep chromosome:PHallii_v3.1:1:1824831:1827691:1 gene:PAHAL_1G025600 transcript:PAN03862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQEVKKLLHNQHRRHDTRVAVEDHSQADGTKVWVLGLSGIGGIALPLQQLKPVKTSRRRHDASEEERDAGGEDGEEEEPVTPRGEGWRIPAEATTCPPAPKKPRTAVSIIRSGAGRRCNCDGGEVLDEFFRVPADLEAVFVSRAAKAN >PVH65559 pep chromosome:PHallii_v3.1:1:1824831:1827691:1 gene:PAHAL_1G025600 transcript:PVH65559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQEVKKLLHNQHRRHDTRVAVEDHSQADGTKVWVLGLSGIGGIALPLQQLKPVKTSRRRHDASEEERDAGGEDGEEEEPVTPRGEGWRIPAEATTCPPAPKKPRTAVSIIRSGAGRRCNCDGGEVLDEFFRVPADLEAVFVSRAAKAN >PVH65560 pep chromosome:PHallii_v3.1:1:1825169:1827691:1 gene:PAHAL_1G025600 transcript:PVH65560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQEVKKLLHNQHRRHDTRVAVEDHSQADGTKVWVLGLSGIGGIALPLQQLKPVKTSRRRHDASEEERDAGGEDGEEEEPVTPRGEGWRIPAEATTCPPAPKKPRTAVSIIRSGAGRRCNCDGGEVLDEFFRVPADLEAVFVSRAAKAN >PVH67148 pep chromosome:PHallii_v3.1:1:58409147:58412788:1 gene:PAHAL_1G438100 transcript:PVH67148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALSLSRSPSSSVSSSPVDRCPRSTAVFRRYGPSFAYKPAAGICSASQAIELLPSLYPEIVVRDAQLEDCWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNSNSVNNSFNIECGDPRDARFQKYNLSRGSIAGILTIDTVADYLPRRGPLKQRRYCLYSKCRSAKGGTSERDC >PAN08819 pep chromosome:PHallii_v3.1:1:58409147:58412788:1 gene:PAHAL_1G438100 transcript:PAN08819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALSLSRSPSSSVSSSPVDRCPRSTAVFRRYGPSFAYKPAAGICSASQAIELLPSLYPEIVVRDAQLEDCWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNSNSVNNSFNIECGDPRDARFQKYNLSRGSIAGILTIDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVQEAEVRARSWGCQTMALHCDVNNIAALHLYKNQGFKCIRVPEGAKWPEPKIAKGVQFSFMMKLVPKI >PVH67150 pep chromosome:PHallii_v3.1:1:58409266:58411813:1 gene:PAHAL_1G438100 transcript:PVH67150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALSLSRSPSSSVSSSPVDRCPRSTAVFRRYGPSFAYKPAAGICSASQAIELLPSLYPEIVVRDAQLEDCWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNSNSVNNSFNIECGDPRDARFQKYNLSRGSIAGILTIDTVADYLPRRGPLKQRR >PVH67149 pep chromosome:PHallii_v3.1:1:58409266:58411768:1 gene:PAHAL_1G438100 transcript:PVH67149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALSLSRSPSSSVSSSPVDRCPRSTAVFRRYGPSFAYKPAAGICSASQAIELLPSLYPEIVVRDAQLEDCWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNSNSVNNSFNIECGDPRDARFQKYNLSRGSIAGILTIDTVADYLPRRGPLKQRRIGSKSVCYRL >PAN06500 pep chromosome:PHallii_v3.1:1:47061639:47074374:1 gene:PAHAL_1G267300 transcript:PAN06500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPEAVALEIPAAEEGSPPPARVPPRIRRRLLRARGGGAGETPTAEEIEAKLREAHLRRQQFHETLSSRARRSIRSPSGSSEKEDRGQLLEAKLVAAKQKRLSLLAKEQSRLAKLDELRQAAKNDAETRFEREREELGMRVESRVRQAEKNRMELLHARLQRRAALEERTKRFFVQRLTWENKYRERVRSAILQKRTAAEKRRSGLLESEKRRGQGRLLQVQLAAKTASNQKEIERGKLKEQLEDKLQRAKQQRPDYLKQRGSPHGSMRSSSVKNGEFLSRKLARCWRIFITSRKTTVVLARAFDSLGINRQTVVSMPFEELAICIESPIVLQTTKALLDRLESRFVLSQSSSSSKPENIDHLLKHLGSPKRRIHPRNAGRNKSAPKRAVGNHDSGKLSRYSQRIALCAYMILGHPKSVLSGQGEREKLLVESATNFVKEFELLVKTILDALDGACILSQSVLDVASPGCSSYEESSSIVADRKKFRTQLVAFDKAWCAYLYHFVAWKAKDAKSLEEDLIRAACRLELSMIQACKITNEAQSDNLGGDLKAIQQQVAEDQKLLRERIQHLGGEAGIGRMESALSETRSKFFQAKDDRSSIAATAANIASPSVACSSGQCTVYEIRNNSNIDAEKTSQVVKSLFGSSGSSSESSKGGKHMSSTLSIAVPEKMPTENEQIVNEILHDIHGSFADISDGIGTVEGDFKVKVKETMEKAFWDVVADSMRGDMPDYSYLVSLVKEVREALQELAPTGWKEEISDNINLEILTQVLESGSQDRHYLGQILQYSLDKLRKLSSPAKEDEMKKSHDKLLVELIEVPESDCRGPNSSVLSVIKGLRFTMEELKALKAEVSRARLQLLEPIIKGSGGVEYLQKSFADRYGSPSDALASLPSTACWISSMKGIVEEQWNEHVSSLSILPAADHVQPLVATLRSGLAVPGQLRSAIPAAGSTELPECGGERLGRLIRIGLLQLISSTEGVQRQSVPETFILNWLRLRSVQSRFQQVIVIATSMLVLHQVLVSEYPKITSLEMENATLELFNMLTRLLDTFADVGTEKIIEAMMHSSASRSSSSNEMMETRKQILTRVFLKSLQTDDTVFKKVSQSVYCAFRAITLGGSGEKGRKLADASLRRIGATKLTERVTNTAEVLIRAAMVSEQVHGPWYKQLL >PAN06493 pep chromosome:PHallii_v3.1:1:47061639:47074374:1 gene:PAHAL_1G267300 transcript:PAN06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPEAVALEIPAAEEGSPPPARVPPRIRRRLLRARGGGAGETPTAEEIEAKLREAHLRRQQFHETLSSRARRSIRSPSGSSEKEDRGQLLEAKLVAAKQKRLSLLAKEQSRLAKLDELRQAAKNDAETRFEREREELGMRVESRVRQAEKNRMELLHARLQRRAALEERTKRFFVQRLTWENKYRERVRSAILQKRTAAEKRRSGLLESEKRRGQGRLLQVQLAAKTASNQKEIERGKLKEQLEDKLQRAKQQRPDYLKQRGSPHGSMRSSSVKNGEFLSRKLARCWRIFITSRKTTVVLARAFDSLGINRQTVVSMPFEELAICIESPIVLQTTKALLDRLESRFVLSQSSSSSKPENIDHLLKHLGSPKRRIHPRNAGRNKSAPKRAVGNHDSGKLSRYSQRIALCAYMILGHPKSVLSGQGEREKLLVESATNFVKEFELLVKTILDALDGACILSQSVLDVASPGCSSYEESSSIVADRKKFRTQLVAFDKAWCAYLYHFVAWKAKDAKSLEEDLIRAACRLELSMIQACKITNEAQSDNLGGDLKAIQQQVAEDQKLLRERIQHLGGEAGIGRMESALSETRSKFFQAKDDRSSIAATAANIASPSVACSSGQCTVYEIRNNSNIDAEKTSQVVKSLFGSSGSSSESSKGGKHMSSTLSIAVPEKMPTENEQIVNEILHDIHGSFADISDGIGTVEGDFKVKVKETMEKAFWDVVADSMRGDMPDYSYLVSLVKEVREALQELAPTGWKEEISDNINLEILTQVLESGSQDRHYLGQILQYSLDKLRKLSSPAKEDEMKKSHDKLLVELIEVPESDCRGPNSSVLSVIKGLRFTMEELKALKAEVSRARLQLLEPIIKGSGGVEYLQKSFADRYGSPSDALASLPSTACWISSMKGPAACCHSPKWACSSRSATICDTCSRQYRATRMRGRKTWQVNKDWVVATHQQHGGCAKAVSS >PAN06499 pep chromosome:PHallii_v3.1:1:47061639:47074374:1 gene:PAHAL_1G267300 transcript:PAN06499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPEAVALEIPAAEEGSPPPARVPPRIRRRLLRARGGGAGETPTAEEIEAKLREAHLRRQAKQQRPDYLKQRGSPHGSMRSSSVKNGEFLSRKLARCWRIFITSRKTTVVLARAFDSLGINRQTVVSMPFEELAICIESPIVLQTTKALLDRLESRFVLSQSSSSSKPENIDHLLKHLGSPKRRIHPRNAGRNKSAPKRAVGNHDSGKLSRYSQRIALCAYMILGHPKSVLSGQGEREKLLVESATNFVKEFELLVKTILDALDGACILSQSVLDVASPGCSSYEESSSIVADRKKFRTQLVAFDKAWCAYLYHFVAWKAKDAKSLEEDLIRAACRLELSMIQACKITNEAQSDNLGGDLKAIQQQVAEDQKLLRERIQHLGGEAGIGRMESALSETRSKFFQAKDDRSSIAATAANIASPSVACSSGQCTVYEIRNNSNIDAEKTSQVVKSLFGSSGSSSESSKGGKHMSSTLSIAVPEKMPTENEQIVNEILHDIHGSFADISDGIGTVEGDFKVKVKETMEKAFWDVVADSMRGDMPDYSYLVSLVKEVREALQELAPTGWKEEISDNINLEILTQVLESGSQDRHYLGQILQYSLDKLRKLSSPAKEDEMKKSHDKLLVELIEVPESDCRGPNSSVLSVIKGLRFTMEELKALKAEVSRARLQLLEPIIKGSGGVEYLQKSFADRYGSPSDALASLPSTACWISSMKGPAACCHSPKWACSSRSATICDTCSRQYRATRMRGRKTWQVNKDWVVATHQQHGGCAKAVSS >PAN06498 pep chromosome:PHallii_v3.1:1:47061965:47072773:1 gene:PAHAL_1G267300 transcript:PAN06498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPEAVALEIPAAEEGSPPPARVPPRIRRRLLRARGGGAGETPTAEEIEAKLREAHLRRQQFHETLSSRARRSIRSPSGSSEKEDRGQLLEAKLVAAKQKRLSLLAKEQSRLAKLDELRQAAKNDAETRFEREREELGMRVESRVRQAEKNRMELLHARLQRRAALEERTKRFFVQRLTWENKYRERVRSAILQKRTAAEKRRSGLLESEKRRGQGRLLQVQLAAKTASNQKEIERGKLKEQLEDKLQRAKQQRPDYLKQRGSPHGSMRSSSVKNGEFLSRKLARCWRIFITSRKTTVVLARAFDSLGINRQTVVSMPFEELAICIESPIVLQTTKALLDRLESRFVLSQSSSSSKPENIDHLLKHLGSPKRRIHPRNAGRNKSAPKRAVGNHDSGKLSRYSQRIALCAYMILGHPKSVLSGQGEREKLLVESATNFVKEFELLVKTILDALDGACILSQSVLDVASPGCSSYEESSSIVADRKKFRTQLVAFDKAWCAYLYHFVAWKAKDAKSLEEDLIRAACRLELSMIQACKITNEAQSDNLGGDLKAIQQQVAEDQKLLRERIQHLGGEAGIGRMESALSETRSKFFQAKDDRSSIAATAANIASPSVACSSGQCTVYEIRNNSNIDAEKTSQVVKSLFGSSGSSSESSKGGKHMSSTLSIAVPEKMPTENEQIVNEILHDIHGSFADISDGIGTVEGDFKVKVKETMEKAFWDVVADSMRGDMPDYSYLVSLVKEVREALQELAPTGWKEEISDNINLEILTQVLESGSQDRHYLGQILQYSLDKLRKLSSPAKEDEMKKSHDKLLVELIEVPESDCRGPNSSVLSVIKGLRFTMEELKALKAEVSRARLQLLEPIIKGSGGVEYLQKSFADRYGSPSDALASLPSTACWISSMKGIVEEQWNEHVSSLSILPAADHVSTLLLTASFGHVVTMPCSSTFFNNRSSRLLPLSEVGLQFQVSYDLRYLQQAVQSYQNAGEKDLAG >PVH66655 pep chromosome:PHallii_v3.1:1:49748492:49749052:1 gene:PAHAL_1G307600 transcript:PVH66655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRARRRAPVRYGESPGAGVPPARQQLGLILQQSYALSPTPAAAACGRAAGTALALSRARGTAAAAAPALVSAPERRRSTHARATSALGTCMLASCRGSLQDGVPDERRCAPFIRERKAGRDPIEEITWKSGGGRDNARDEHPEVKLGRRRQAWNNARASDAIDKHVSLASSAGYGVAQVIVTSP >PAN08377 pep chromosome:PHallii_v3.1:1:56424300:56426728:1 gene:PAHAL_1G408900 transcript:PAN08377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAHSKVVPGGERHGAGRDGSGGNEEEAWRWPWPGWRAPARVEVVVMGFLATSTLLVLAFGGAGNPPSFSSSPGSEFVQKPVYEASLVHEHVAGPAPPTRHDQDRLLGGLLSPAFDENSCRSRYASSLYRRPSPFRPSTFLLERLRRYEARHRRCCPGAPLFKEAVEHLRSGRNAARSECQYVVWTPINGLGNRMLSLASTFLYALLTDRVLLVHAPQEFDGLFCEPFPGSSWALPAGFPITDFAGIFTMGSPTSYKNMRQAGAVSRDHRNVTAESLPAYVFLDLIQSFTDAAFCEADQRVLAKFNWVVVKSDVYFATMFFLMPAYERELSRLFPEKEAVFHHLARYLFHPSNDVWGIVRKYYGAYLARADERVGLQVRVFPEMPVAFENMYGQILRCSEQEAGLLPKVAHKDGAAANHSSAVASGSRNNKLTSILVTSLFSDYYERIRGVYYANPTETGEFVEVHQPSHEREQRTEARAHNQRALAEMYLLSFCDRIVTTAVSTFGYIAHGLAGVRPWVLLRPPSPEAPVDPACVRSKTVEPCLQAPPRRVCGVAEGTDIGALVPYAGHCEDEHKGLKLFP >PVH67009 pep chromosome:PHallii_v3.1:1:56422877:56426728:1 gene:PAHAL_1G408900 transcript:PVH67009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRGTAAGSPSVHVKPVPVTGATYFLQRGQRKRARRGKSGCSSALPMDARARTPRGARKVAVGSGEPGGSDKEWRWRGWRAAARAGVVAAVGFLGALVLLVMVFGGRTGRMAAFSSAPGTGFFVQKPVYEASLVHEHVAGPAPPTRHDQDRLLGGLLSPAFDENSCRSRYASSLYRRPSPFRPSTFLLERLRRYEARHRRCCPGAPLFKEAVEHLRSGRNAARSECQYVVWTPINGLGNRMLSLASTFLYALLTDRVLLVHAPQEFDGLFCEPFPGSSWALPAGFPITDFAGIFTMGSPTSYKNMRQAGAVSRDHRNVTAESLPAYVFLDLIQSFTDAAFCEADQRVLAKFNWVVVKSDVYFATMFFLMPAYERELSRLFPEKEAVFHHLARYLFHPSNDVWGIVRKYYGAYLARADERVGLQVRVFPEMPVAFENMYGQILRCSEQEAGLLPKVAHKDGAAANHSSAVASGSRNNKLTSILVTSLFSDYYERIRGVYYANPTETGEFVEVHQPSHEREQRTEARAHNQRALAEMYLLSFCDRIVTTAVSTFGYIAHGLAGVRPWVLLRPPSPEAPVDPACVRSKTVEPCLQAPPRRVCGVAEGTDIGALVPYAGHCEDEHKGLKLFP >PVH67134 pep chromosome:PHallii_v3.1:1:58239304:58248136:1 gene:PAHAL_1G435200 transcript:PVH67134 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MEASSSSSAAAAPSLPPPHSLPTSSDQGVWADASPLLAAACRDLQDGELVHGENFSLFAAMSALEIMDPKMDSGIERSGYNSIEEAIEDGVAPVPLSLDRTVDVQRSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRTLRATCNGVISVVSTARTHEEEDLFTMSFGLPLRDEQDDKCLSILNSVEETISRQLRACKAQALSKKKTLEDLESLQDKPDLEEDYCRALLCRLRFRKHFYHVVMSMRKPHGRGLELARKHVASCLNELSLMLKSREFLKSQSNIMLQDDENCTTASGCQPVGFDVSLNSRLLSPTPPRAVKVLSWSNAIRYFEKLLHDLDVICALSLDPVLENVLHFIVQFQKSVPDLVPRAFLQTLLVQDGKLYGQNLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISMQLELALKREFGETRNVLHHENMCMRVSKQLLIWTQEHAYWVASRFLTLGFELDLYSPGEYCMVYWYMYVVFTKLIEKMQLRVLASNETSRIFKCSARRKGKKKKDHSKDSARDMTFSSSCLLLQCYVLLSEGLSMMLAVLRNESRSCQLPSIFNTEQERFMQHFDLLQKARVPEHISYYSFKESSSRAGITDLVKYNFFKEIQKITPSLRGSFASEPEKLVELRRIEQVAEHNRIALNIISQVGPGDPSLRVSFEFTHHPHFAVAIVKRS >PAN08769 pep chromosome:PHallii_v3.1:1:58239304:58248136:1 gene:PAHAL_1G435200 transcript:PAN08769 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MEASSSSSAAAAPSLPPPHSLPTSSDQGVWADASPLLAAACRDLQDGELVHGENFSLFAAMSALEIMDPKMDSGIERSGYNSIEEAIEDGVAPVPLSLDRTVDVQRSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRTLRATCNGVISVVSTARTHEEEDLFTMSFGLPLRDEQDDKCLSILNSVEETISRQLRACKAQALSKKKTLEDLESLQDKPDLEEDYCRALLCRLRFRKHFYHVVMSMRKPHGRGLELARKHVASCLNELSLMLKSREFLKSQSNIMLQDDENCTTASGCQPVGFDVSLNSRLLSPTPPRAVKVLSWSNAIRYFEKLLHDLDVICALSLDPVLENVLHFIVQFQKSVPDLVPRAFLQTLLVQDGKLYGQNLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISMQLELALKREFGETRNVLHHENMCMRVSKQLLIWTQEHAYWVASRFLTLGFELDLYSPGEYCMVYWYMYVVFTKLIEKMQLRVLASNETSRRKGKKKKDHSKDSARDMTFSSSCLLLQCYVLLSEGLSMMLAVLRNESRSCQLPSIFNTEQERFMQHFDLLQKARVPEHISYYSFKESSSRAGITDLVKYNFFKEIQKITPSLRGSFASEPEKLVELRRIEQVAEHNRIALNIISQVGPGDPSLRVSFEFTHHPHFAVAIVKRS >PVH67132 pep chromosome:PHallii_v3.1:1:58239304:58248136:1 gene:PAHAL_1G435200 transcript:PVH67132 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MVLPQSLLAWTGQLMFNALLMSWIIFSHATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRTLRATCNGVISVVSTARTHEEEDLFTMSFGLPLRDEQDDKCLSILNSVEETISRQLRACKAQALSKKKTLEDLESLQDKPDLEEDYCRALLCRLRFRKHFYHVVMSMRKPHGRGLELARKHVASCLNELSLMLKSREFLKSQSNIMLQDDENCTTASGCQPVGFDVSLNSRLLSPTPPRAVKVLSWSNAIRYFEKLLHDLDVICALSLDPVLENVLHFIVQFQKSVPDLVPRAFLQTLLVQDGKLYGQNLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISMQLELALKREFGETRNVLHHENMCMRVSKQLLIWTQEHAYWVASRFLTLGFELDLYSPGEYCMVYWYMYVVFTKLIEKMQLRVLASNETSRIFKCSARRKGKKKKDHSKDSARDMTFSSSCLLLQCYVLLSEGLSMMLAVLRNESRSCQLPSIFNTEQERFMQHFDLLQKARVPEHISYYSFKESSSRAGITDLVKYNFFKEIQKITPSLRGSFASEPEKLVELRRIEQVAEHNRIALNIISQVGPGDPSLRVSFEFTHHPHFAVAIVKRS >PAN08768 pep chromosome:PHallii_v3.1:1:58239304:58248136:1 gene:PAHAL_1G435200 transcript:PAN08768 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MVLPQSLLAWTGQLMFNALLMSWIIFSHATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRTLRATCNGVISVVSTARTHEEEDLFTMSFGLPLRDEQDDKCLSILNSVEETISRQLRACKAQALSKKKTLEDLESLQDKPDLEEDYCRALLCRLRFRKHFYHVVMSMRKPHGRGLELARKHVASCLNELSLMLKSREFLKSQSNIMLQDDENCTTASGCQPVGFDVSLNSRLLSPTPPRAVKVLSWSNAIRYFEKLLHDLDVICALSLDPVLENVLHFIVQFQKSVPDLVPRAFLQTLLVQDGKLYGQNLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISMQLELALKREFGETRNVLHHENMCMRVSKQLLIWTQEHAYWVASRFLTLGFELDLYSPGEYCMVYWYMYVVFTKLIEKMQLRVLASNETSRRKGKKKKDHSKDSARDMTFSSSCLLLQCYVLLSEGLSMMLAVLRNESRSCQLPSIFNTEQERFMQHFDLLQKARVPEHISYYSFKESSSRAGITDLVKYNFFKEIQKITPSLRGSFASEPEKLVELRRIEQVAEHNRIALNIISQVGPGDPSLRVSFEFTHHPHFAVAIVKRS >PVH67133 pep chromosome:PHallii_v3.1:1:58239304:58248136:1 gene:PAHAL_1G435200 transcript:PVH67133 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MSALEIMDPKMDSGIERSGYNSIEEAIEDGVAPVPLSLDRTVDVQRSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRTLRATCNGVISVVSTARTHEEEDLFTMSFGLPLRDEQDDKCLSILNSVEETISRQLRACKAQALSKKKTLEDLESLQDKPDLEEDYCRALLCRLRFRKHFYHVVMSMRKPHGRGLELARKHVASCLNELSLMLKSREFLKSQSNIMLQDDENCTTASGCQPVGFDVSLNSRLLSPTPPRAVKVLSWSNAIRYFEKLLHDLDVICALSLDPVLENVLHFIVQFQKSVPDLVPRAFLQTLLVQDGKLYGQNLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISMQLELALKREFGETRNVLHHENMCMRVSKQLLIWTQEHAYWVASRFLTLGFELDLYSPGEYCMVYWYMYVVFTKLIEKMQLRVLASNETSRRKGKKKKDHSKDSARDMTFSSSCLLLQCYVLLSEGLSMMLAVLRNESRSCQLPSIFNTEQERFMQHFDLLQKARVPEHISYYSFKESSSRAGITDLVKYNFFKEIQKITPSLRGSFASEPEKLVELRRIEQVAEHNRIALNIISQVGPGDPSLRVSFEFTHHPHFAVAIVKRS >PAN08039 pep chromosome:PHallii_v3.1:1:54529631:54531435:-1 gene:PAHAL_1G381900 transcript:PAN08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVFWGGGRADEVADFDEYDPTPYGGGYDIALTFGRPLPPSEETCYPISTATSSASSYDRPQQHGGRRPGAEESHGSAAGYGGGGGGGGYARRPQPHEEETHGSVGSGYGYGRKGHDDDDDEQKAYRKPKPAYDGDERPSYGRKKKGDDDDSDDDDKRKPRYKKYDDDDSDDDKKKRYEKSNRRRHDDDD >PAN03505 pep chromosome:PHallii_v3.1:1:12339:19507:-1 gene:PAHAL_1G000200 transcript:PAN03505 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL1-3 [Source:Projected from Arabidopsis thaliana (AT3G54960) UniProtKB/TrEMBL;Acc:A0A178VF09] MAPRSLAALLLLLLFAAATYPSTRAATSSSKDEEEDLQYLIDNTGDIPDNDPDGWLPDADGDGDYGDDDDLFEDQDLLDQQPQIDETHVVVLTAANFSSFLAATRHVMVEFYAPWCGHCQELAPDYAAAASHLAAHHSQSHVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKDAIVEWINKKLGPGVQNITAVDQAERILTGDDKAVLAFLDSLSGAHSDELAAASRLEDSVNFYQTSSPDVAKLFHIDPAAKRPCVVLLKKEEKLTFFDGEFKASAIADFVSANKLPLVTTLTQETSPSIFGNSIKKQILLFAVANKSSKVLPIFKEAAKSFKGKLLFIFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEVSLDAIKDFAESFLEDELTPFYKSEPVPESNDGDIKIVVGKNLDLIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKPDGYPTILFYPAGKKSFEPITFEGDRTIVEMYKFIKKYASIPFKLKRQDSSKARTGSTQTEGVRSSGTNLKDEL >PAN05546 pep chromosome:PHallii_v3.1:1:43985108:43991621:-1 gene:PAHAL_1G238400 transcript:PAN05546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPMMEHAMVSVATGVLRPLLGKLAALVEKEYAQLKSVRKEIISLQEELRSMNAVLQKLAVEDDPDVLKKEWQNQIRDLFYDVEDCVDDFLHRVEHDDGNKRPDVGFFHKNFSKLKTLGARHGIADKIRQLKVRVDDVSKRRSRYIYEGASYSAGTASAAVAIDPRLPALSRMEGNLVGIDGPREEITKLLADEGEIGKKLKVVSIVGFGGLGKTTLACEVRRELRGQFDCQVTVQVSQSPDIIKILTMILSEVKGPSQHRICNVSELIEELKSYLLHKRYFIIIDDIWDPLVWEFISCAFPDNNQGSRVITTTRDWSVAATCCNYRHEYVYKMKPLDEEASRRLFFHRIFDSEGACPGELKEVSSQILRKCGGMPLAIIVISSLLASQANKVKEDWEYVQNSIGSNVGTDRLEVMRQILNLSYKNLPPHLKTCFLYLGAYPEDSVVWRDDLVRQWVAEGFLEAIGVAGSCFKELVNRSMIQPVTIDYNGDILSCRVHDMMLDLIIRKYSAEENFLTVVENNSQGIRIRGSTHNIRRLFHHSDAGRPRTLPMPAVGIDPSKVRTFSTWGGSSPGHVPPVSKFKFIRVLILEFIPSTPGEEEDQAIDLTAMCKLFQLRYIKIRSEVRLELPTQIRALQHLETLEVSGARPVFQSGIDLPSDVAQLPCLSVLSILPHMTSLPHGIGAMKCLRSLASFVLEENSLDTITGLRHLSNLKDLYVRLPLDSCFAEAEEARVDVLCSSLPEHGDCRLYLTAWSRWAWFPGVPQWIGCLQKLYSLELGVGELSRDGVAVLAGLPALVRLDLSIRGAPRESTVITAAGFPALKHLIVTCRALCLTFEAGAMPSLQKLKLEFNADGATAEQGGWCGNALAGVEHLSGLEEVNASIGGLGAPAATEDSGRTAAVSALRDAIGLHPNRPRVDIMCTQGRYGLQ >PAN08077 pep chromosome:PHallii_v3.1:1:54818004:54827781:1 gene:PAHAL_1G385500 transcript:PAN08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAMEAIDELVQLSESMRQAASLLADDDPSDETPSRRPSTFLNAVVLGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLARDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGKGRSDEILLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQAAADAKTVSCVQAILSNKGAPRAAADIEWVALIGQSVSIASAQSGSVGSDNSLETAWRAEAETLKSILTGAPQSKLGRIALVDTIAKQIRKRMKIRLPNLLSGLQGKSQIVQAELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNAAANATPGLGRYPPFKREVIAIASNALDAFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNSRSSKKGQDTDQSKMNRASSPQTGSDEAGGSLKSMKDKSNQQDKDTKEGPNLQVAGPGGEITAGYLLKKSAKDNKWSRRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEEISKSSKDSKKANGQEKGPSLVFKITNRVAYKTVLKAHSAVILKAESMADKVEWIKKIKGVIQSKGGSVKGPNTPEDGSMRQSRSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKSKEDMLNQLYSSISAQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYGNDSSGAESPRSPGQSGEDWKSAFNSAANGSVDRSSSQHETRSRSADSRGRRYENGDANSGSRRTPNRLPPAPPSGGRY >PAN05074 pep chromosome:PHallii_v3.1:1:8596820:8600298:-1 gene:PAHAL_1G112000 transcript:PAN05074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEMDFRSVFCCALLLVSVVIMLPVSADASSKLYIVYMGQKKHDDPSVVTASHHDVLASVLGSKDEAQRSMVYTYKHGFSGFAAVLTESQARTIASLPGVITVKANTHYQTHTTRSWDFLGLDHDQSSPSGLLKKAKYGEDIIVGVVDSGIWPESRSFDDSGYGPPPARWRGTCQTGAAFNATSCNRKIVGARWYAGGMSAEALEGEYMSPRDHNGHGTHVASTIAGGQVRNASHGGLGAGAARGGAPRARLAVYKACWGRGTCGAAAVLAAIDDAISDGVDVLSLSLSFQDQEIPGTLHAVERGITVVFSAGNDGSAAQTVSNAVPWVLTVAASTIDRSFPTVLSLGNNEKLVGQSLNYNLTVNSDNFHTLISAGSCDERALSSANVTGAVVLCSASSTPAGQEFAGAASRVAQAGARGLIFAHQSSNIVDNTDICKRAMPCVLVDFEVAHRIATYANSAQMPEVRISKTFSVVGNGVLSPRVAAFSSRGPSAAFPGIIKPDIAAPGVSILAAVGGSYKFMSGTSMACPHVSAVAALLKSIHPDWSPAMIKSAIVTTASVTDRFGMPIQAEGSPRKLADPFDFGGGHINPDKAADPGLVYDVDAGEYTKFFNCTLGPKDDGCESYTGKLYQLNLPSIAVPDLKDSVTVSRTVTNVGPADAAYRAEVEAPAGVDVSVEPSVIRFGGGGDRKATFQVTLTARQRVQGGYTFGSLTWLDGGNHSVRIPVAVRTVIQDFIADSS >PAN06198 pep chromosome:PHallii_v3.1:1:44809289:44812404:1 gene:PAHAL_1G246000 transcript:PAN06198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAPNIEMIASSLRHCSLNGGGGGSSRRRGGSGRRHGGEGGDDSEGVTVELNSDVALPYHWEQCLDIRTGQVYYINWEDGTRTTVDPRTTSAFSPTPHSTSSASRRTRRASTQSSGYTSVSSVGADVNGDWRAAVAGNDSGYDNDDEEEEDDEEDGEDEDEAESSSTTSSSSSSTGSSRGSAVSSTLSSFSPTDESGSGDNGGGLGAGHVLVAAGCRACFMYFMVPKRADVCPKCGSSGLLHLSRNGYA >PAN05080 pep chromosome:PHallii_v3.1:1:8661268:8663078:1 gene:PAHAL_1G112300 transcript:PAN05080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGAASISPLASARLATVCKSWAAAVSERLARPVPHLFVYLPPDNKSDRRGVVVSVPMDSGGPPAEVIPNRVRLADTNGLRCIGAMPSGCLAFANWCWWDTGVLLVNPITGARRRLDVERLCRDPVIAAGGAADSFISIGTDKLVLWWRAGGGEEWSKWTVAAPAAAHRTDGIMSVVNCNGRFYILDRDGQVSLIDATAPPPLLIEKLPVVSLCEQFPTLATATATGHLLESDGEVLFVRRVLASMEHRGVLFCTHDITENLSIVGFEVYRLDVKERRWTEVKKLAGDRALFVSPVSSFSVRSSETEGCSRNCIYFVDKKRYCSSCLRDDGNTWGVYSMEDREVLFKHAVTAPGPCSSATWFLPRVV >PVH65959 pep chromosome:PHallii_v3.1:1:8661268:8663078:1 gene:PAHAL_1G112300 transcript:PVH65959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTRAKAAAMSSSSGTDADDARSSKVSGEAGGCGPVVELPFHLTEKIIYSISPLASARLATVCKSWAAAVSERLARPVPHLFVYLPPDNKSDRRGVVVSVPMDSGGPPAEVIPNRVRLADTNGLRCIGAMPSGCLAFANWCWWDTGVLLVNPITGARRRLDVERLCRDPVIAAGGAADSFISIGTDKLVLWWRAGGGEEWSKWTVAAPAAAHRTDGIMSVVNCNGRFYILDRDGQVSLIDATAPPPLLIEKLPVVSLCEQFPTLATATATGHLLESDGEVLFVRRVLASMEHRGVLFCTHDITENLSIVGFEVYRLDVKERRWTEVKKLAGDRALFVSPVSSFSVRSSETEGCSRNCIYFVDKKRYCSSCLRDDGNTWGVYSMEDREVLFKHAVTAPGPCSSATWFLPRVV >PVH66668 pep chromosome:PHallii_v3.1:1:49910404:49915594:-1 gene:PAHAL_1G310600 transcript:PVH66668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MRCSPQLSHRDFVAALSRCSTRAHLEQLHAHAFVAGRAAAQPTTFHLLRFAALRLSCLPYARRLFDATPHPNVFLYSAMLSAYVSSAAAAGSHHSSHAHARDALDLFLRMLRRGRPAPNQFVYPLALRAACAVGVHLVRSIHSHACKSGFCEYDVLLTSLLDGYSRYGMMADARKLFDGLTERNVVSWTALVSGYARAGKVGDAIVLFERMPERDVAAWNAIIAGCTQNGLFVEAVGILGRMVGEGFRPNATTVSCVLSACGHLGTLKIGKLIHCYAWRTCVGFGSSVLNGLIDMHGKCGNLKGARWIFNEVSDRSLTTWNSLINCLALHGRSKCAIAVFNAMRDEGVEPDVVTFVGLLNACTHGGFVDEGLRYFELMQHEHGIEPEIEHYGCIVDLLGRAGRFQDALNVISNMRIKSDEVIWGSLLNACRIHRQLELAELAIRKLLELDPNNANYVVMLANVYSEGGLWEEVRKVRKSVKEESIGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDDLAASMEL >PAN07094 pep chromosome:PHallii_v3.1:1:49910329:49915594:-1 gene:PAHAL_1G310600 transcript:PAN07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MRCSPQLSHRDFVAALSRCSTRAHLEQLHAHAFVAGRAAAQPTTFHLLRFAALRLSCLPYARRLFDATPHPNVFLYSAMLSAYVSSAAAAGSHHSSHAHARDALDLFLRMLRRGRPAPNQFVYPLALRAACAVGVHLVRSIHSHACKSGFCEYDVLLTSLLDGYSRYGMMADARKLFDGLTERNVVSWTALVSGYARAGKVGDAIVLFERMPERDVAAWNAIIAGCTQNGLFVEAVGILGRMVGEGFRPNATTVSCVLSACGHLGTLKIGKLIHCYAWRTCVGFGSSVLNGLIDMHGKCGNLKGARWIFNEVSDRSLTTWNSLINCLALHGRSKCAIAVFNAMRDEGVEPDVVTFVGLLNACTHGGFVDEGLRYFELMQHEHGIEPEIEHYGCIVDLLGRAGRFQDALNVISNMRIKSDEVIWGSLLNACRIHRQLELAELAIRKLLELDPNNANYVVMLANVYSEGGLWEEVRKVRKSVKEESIGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDDLAASMEL >PAN07096 pep chromosome:PHallii_v3.1:1:49910511:49915594:-1 gene:PAHAL_1G310600 transcript:PAN07096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MRCSPQLSHRDFVAALSRCSTRAHLEQLHAHAFVAGRAAAQPTTFHLLRFAALRLSCLPYARRLFDATPHPNVFLYSAMLSAYVSSAAAAGSHHSSHAHARDALDLFLRMLRRGRPAPNQFVYPLALRAACAVGVHLVRSIHSHACKSGFCEYDVLLTSLLDGYSRYGMMADARKLFDGLTERNVVSWTALVSGYARAGKVGDAIVLFERMPERDVAAWNAIIAGCTQNGLFVEAVGILGRMVGEGFRPNATTVSCVLSACGHLGTLKIGKLIHCYAWRTCVGFGSSVLNGLIDMHGKCGNLKGARWIFNEVSDRSLTTWNSLINCLALHGRSKCAIAVFNAMRDEGVEPDVVTFVGLLNACTHGGFVDEGLRYFELMQHEHGIEPEIEHYGCIVDLLGRAGRFQDALNVISNMRIKSDEVIWGSLLNACRIHRQLELAELAIRKLLELDPNNANYVVMLANVYSEGGLWEEVRKVRKSVKEESIGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDDLAASMEL >PAN07095 pep chromosome:PHallii_v3.1:1:49913993:49915585:-1 gene:PAHAL_1G310600 transcript:PAN07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MRCSPQLSHRDFVAALSRCSTRAHLEQLHAHAFVAGRAAAQPTTFHLLRFAALRLSCLPYARRLFDATPHPNVFLYSAMLSAYVSSAAAAGSHHSSHAHARDALDLFLRMLRRGRPAPNQFVYPLALRAACAVGVHLVRSIHSHACKSGFCEYDVLLTSLLDGYSRYGMMADARKLFDGLTERNVVSWTALVSGYARAGKVGDAIVLFERMPERDVAAWNAIIAGCTQNGLFVEAVGILGRMVGEGFRPNATTVSCVLSACGHLGTLKIGKLIHCYAWRTCVGFGSSVLNGLIDMHGKCGNLKGARWIFNEVSDRSLTTWNSLINCLALHGRSKCAIAVFNAMRDEGVEPDVVTFVGLLNACTHGGFVDEGLRYFELMQHEHGIEPEIEHYGCIVDLLGRAGRFQDALNVISNMRIKSDEVIWGSLLNACRIHRQLELAELAIRKLLELDPNNANYVVMLANVYSEGGLWEEVRKVRKSVKEESIGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDDLAASMEL >PAN04813 pep chromosome:PHallii_v3.1:1:6641011:6644067:1 gene:PAHAL_1G093300 transcript:PAN04813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPSMFAPIGADGMSGGYFMAGGGGGMMSADAPHLHPSVLLEHGGFGFGFADGAVGGAATASDLGANYAAHNSMLASFASQLFPTAAAPPHDDHIGGRTPPEEMDEGYAAGSCVAASLQCPGHSGAMAVWSSPASKKPAGTWSSAGGSRAVSVHEPRHIAGLPDVAGFHYPLIAAANAPASSELSLTLCSKSSSDSALNAADQFSSGGSRSALTELPQALYPRARPRPAHFSVVVARSQYAAVAQEVLNDVVGHMLDGVADVAADSCSGGARPSSGSVGAPSVVSSNRLMASSEDGGEAQRVKSDLFKMLQLMDEKYNQCLDEIQSTAAKFNALMQPGAVSNGSIRAPFAHRAVSAVYRGMRRRIADEIMAAASRAACWGESSSSVTAAGDADRSWESAFIKKHWAAQQLRRGEQQCWRPQRGLPEKSVAVLKAWMFENFLHPYPKDHEKDVLASRSGLTRNQVSNWFINARVRLWKPMIEEMYQDLKKSSGVGGQGAAMEPHTSKRRICEVEEGK >PAN04812 pep chromosome:PHallii_v3.1:1:6640901:6644222:1 gene:PAHAL_1G093300 transcript:PAN04812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPSMFAPIGADGMSGGYFMAGGGGGMMSADAPHLHPSVLLEHGGFGFGFADGAVGGAATASDLGANYAAHNSMLASFASQLFPTAAAPPHDDHIGGRTPPEEMDEGYAAGSCVAASLQCPGHSGAMAVWSSPASKKPAGTWSSAGGSRAVSVHEPRHIAGLPDVAGFHYPLIAAANAPASSELSLTLCSKSSSDSALNAADQFSSGGSRSALTELPQALYPRARPRPAHFSVVVARSQYAAVAQEVLNDVVGHMLDGVADVAADSCSGGARPSSGSVGAPSVVSSNRLMASSEDGGEAQRVKSDLFKMLQLMDEKYNQCLDEIQSTAAKFNALMQPGAVSNGSIRAPFAHRAVSAVYRGMRRRIADEIMAAASRAACWGESSSSVTAAGDADRSWESAFIKKHWAAQQLRRGEQQCWRPQRGLPEKSVAVLKAWMFENFLHPYPKDHEKDVLASRSGLTRNQVSNWFINARVRLWKPMIEEMYQDLKKSSGVGGQGAAMEPHTSKRRICEVEEGK >PAN06821 pep chromosome:PHallii_v3.1:1:48632475:48633307:1 gene:PAHAL_1G290600 transcript:PAN06821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAQGVDDGGGCGVRGTYVRQSQNGESRAAPRTPRTASTMRAMSPCSRASSSSRRSGATAILSN >PAN03528 pep chromosome:PHallii_v3.1:1:412578:419360:1 gene:PAHAL_1G004200 transcript:PAN03528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQLLRHSRKLRSLQNAVDCERSSLVRYFSSSSGSFIVKENGVGKRTGGTRLSKHSQPAKELETFSVGVNRSYTWTRASNSRIPSAVSGLNGSFSCGQVASARPFSSGADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDIEKFKDYKPSSSAEPVAPTESKAQPEPSPPKVEEKEASKTPEPKAPKTDEASQSGDRIFASPLARKLAEDNNVQLSSVKGTGPDGRILKADIEDYLAKGGQREAFAAPGLGYVDIPNAQIRKVTANRLLASKQTIPHYYLTVDARVDKLVKLRGELNPLQDASGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQLAEKARDNSLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSFMSATLSCDHRVIDGAVGAEFLKAFKGYIENPTSMLL >PAN04036 pep chromosome:PHallii_v3.1:1:2504567:2505814:-1 gene:PAHAL_1G036600 transcript:PAN04036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLLMKKVVKPSSFDLDIKFDQSWTEDVTCPICLDIPHNAVLLRCTSYEKGCRPFICDTDQTRSNCLERFKVAHGLPVNVKVSSLTVAPLDSIHIISSNANNRPACPLCRGDVIGWFVIDDARLHLNQKKRCCEESSCSYAGNFHELQKHTQQKHPNSRPSEIDPARRVDWENLQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDEAGDDYEVYHRVRRNWWASCIFCKAFRRSSGGRRRARTRERRDSGTRSSNRSSQESLTLEVPTRSVDIREIRFDEIDDEYIVTGAMPRVAASRRMAGHYRDPRFRHRRSHS >PAN04034 pep chromosome:PHallii_v3.1:1:2503652:2507107:-1 gene:PAHAL_1G036600 transcript:PAN04034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLLMKKVVKPSSFDLDIKFDQSWTEDVTCPICLDIPHNAVLLRCTSYEKGCRPFICDTDQTRSNCLERFKVAHGLPVNVKVSSLTVAPLDSIHIISSNANNRPACPLCRGDVIGWFVIDDARLHLNQKKRCCEESSCSYAGNFHELQKHTQQKHPNSRPSEIDPARRVDWENLQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDEAGDDYEVYHRVRRNWWASCIFCKAFRRSSGGRRRARTRERRDSGTRSSNRSSQESLTLEVPTRSVDIREIRFDEIDDEYIVTGAMPRVAASRRMAGHYRDPRFRHRRSHS >PAN04035 pep chromosome:PHallii_v3.1:1:2503652:2507107:-1 gene:PAHAL_1G036600 transcript:PAN04035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLLMKKVVKPSSFDLDIKFDQSWTEDVTCPICLDIPHNAVLLRCTSYEKGCRPFICDTDQTRSNCLERFKVAHGLPVNVKVSSLTVAPLDSIHIISSNANNRPACPLCRGDVIGWFVIDDARLHLNQKKRCCEESSCSYAGNFHELQKHTQQKHPNSRPSEIDPARRVDWENLQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDEAGDDYEVYHRVRRNWWASCIFCKAFRRSSGGRRRARTRERRDSGTRSSNRSSQESLTLEVPTRSVDIREIRFDEIDDEYIVTGAMPRVAASRRMAGHYRDPRFRHRRSHS >PAN04033 pep chromosome:PHallii_v3.1:1:2504567:2505814:-1 gene:PAHAL_1G036600 transcript:PAN04033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLLMKKVVKPSSFDLDIKFDQSWTEDVTCPICLDIPHNAVLLRCTSYEKGCRPFICDTDQTRSNCLERFKVAHGLPVNVKVSSLTVAPLDSIHIISSNANNRPACPLCRGDVIGWFVIDDARLHLNQKKRCCEESSCSYAGNFHELQKHTQQKHPNSRPSEIDPARRVDWENLQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDEAGDDYEVYHRVRRNWWASCIFCKAFRRSSGGRRRARTRERRDSGTRSSNRSSQESLTLEVPTRSVDIREIRFDEIDDEYIVTGAMPRVAASRRMAGHYRDPRFRHRRSHS >PAN04422 pep chromosome:PHallii_v3.1:1:4499710:4503046:-1 gene:PAHAL_1G065800 transcript:PAN04422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVATHFGPLPPPPPRLCRRGRAAFPAGARRSAAAARGRIRSLRSLSCLVSRTAKASVSRAEPSPAPGGEDANEITDAVAVVSTTPGASFLAKVAVAIGIAATVIVISLIMKQPSSGPSFSLPQIVDASAQSDAAAATIGYTFSVFGKKVIIPEYTPGWVYFCLLMSAGFGLFISEEALNVWAGISLARTLSLDGTWQSLVNSFSANAPYIVSTVLWIYWGVCISDMIPFFLGKLFRQTKASENISSKIGIGNEKALSVSRVVQKYGNLIGFVERFSIGLRNPTAFLAGALGIPADCYFAGVCLGCLFTLPIQLAVGFVLRERPVVALASVAAAVGVCTAFPYAAAACTALFLYLRRRESSS >PVH65837 pep chromosome:PHallii_v3.1:1:6001090:6001499:1 gene:PAHAL_1G086600 transcript:PVH65837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWIQTASNFLSGTIPRCLGARQKNLSIVWLEANQFEATNNVDWEFLTSLTNSSKMKVLDVGTNKLQGVLPNSIANLSTQLEYLVMS >PVH65838 pep chromosome:PHallii_v3.1:1:6018985:6021943:1 gene:PAHAL_1G087000 transcript:PVH65838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHITGKIPESIGNLIGLNGLGMDSNLFERNIPLSIGNLKRLNLLALSDNNLLGSIPLTLGNLTVLSRLGLDGNALSGAIPSSLSCCPLEILYLSHNRLTGSIPKELFLISTLSNSLLLDHNLLTGPIASEVGNLRNIEELDFSSNNISGEIPLPIGNCQSLQYLSISGNFLQGVIPLSVGQLNGLLELDLSHNNLSGRIPDFLGNMRALTNLNISFNNFEGEVPKDGIFLNTTAFSIVGNNGLCGGIPQLKLPLCSSHPTNMNSRKKAMLISSVAGILFLTSVVVLFAILHWRSKPRREETHESQLS >PAN08988 pep chromosome:PHallii_v3.1:1:59198034:59202359:-1 gene:PAHAL_1G450000 transcript:PAN08988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLPFLHGEVKGEDPVTMSASVRSISTTSTERDVRSGSDFTSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKSATRNFSRSLMVGEGGFGCVYRGIIKSSDEPSERIEIAVKQLNRKGLQGQKEWLTEMNVLGIVDHANLVKLIGYCADDDERGVQRLLVYEYMPNGSVDDHLSSRSTSTLSWPMRLKVALDSARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPTEGLTHVSTAVVGTLGYAAPEYIQTGRLTAKSDIWSYGVLLYELITGRRPIDRNRPKSEQKLLDWVKPYIADKKRFPIIIDPRLEGRYNLKSMTKLASVANRCLVRMPKSRPKMSEVYEMVQKIVDSIETGPPQPPLHYHGSVSEPGAKRTKKGSLKRRLQEFKFGCRNIVWRGWKPEIVKTF >PAN08987 pep chromosome:PHallii_v3.1:1:59197638:59203648:-1 gene:PAHAL_1G450000 transcript:PAN08987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLPFLHGEVKGEDPVTMSASVRSISTTSTERDVRSGSDFTSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKSATRNFSRSLMVGEGGFGCVYRGIIKSSDEPSERIEIAVKQLNRKGLQGQKEWLTEMNVLGIVDHANLVKLIGYCADDDERGVQRLLVYEYMPNGSVDDHLSSRSTSTLSWPMRLKVALDSARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPTEGLTHVSTAVVGTLGYAAPEYIQTGRLTAKSDIWSYGVLLYELITGRRPIDRNRPKSEQKLLDWVKPYIADKKRFPIIIDPRLEGRYNLKSMTKLASVANRCLVRMPKSRPKMSEVYEMVQKIVDSIETGPPQPPLHYHGSVSEPGAKRTKKGSLKRRLQEFKFGCRNIVWRGWKPEIVKTF >PAN08989 pep chromosome:PHallii_v3.1:1:59197685:59203603:-1 gene:PAHAL_1G450000 transcript:PAN08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLPFLHGEVKGEDPVTMSASVRSISTTSTERDVRSGSDFTSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKSATRNFSRSLMVGEGGFGCVYRGIIKSSDEPSERIEIAVKQLNRKGLQGQKEWLTEMNVLGIVDHANLVKLIGYCADDDERGVQRLLVYEYMPNGSVDDHLSSRSTSTLSWPMRLKVALDSARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPTEGLTHVSTAVVGTLGYAAPEYIQTGRLTAKSDIWSYGVLLYELITGRRPIDRNRPKSEQKLLDWVKPYIADKKRFPIIIDPRLEGRYNLKSMTKLASVANRCLVRMPKSRPKMSEVYEMVQKIVDSIETGPPQPPLHYHGSVSEPGAKRTKKGSLKRRLQEFKFGCRNIVWRGWKPEIVKTF >PAN04300 pep chromosome:PHallii_v3.1:1:3793712:3809646:-1 gene:PAHAL_1G056300 transcript:PAN04300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGQQPEASPGRYQRRRDEIDDDCADVLGIDVRGPDADPFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKQNGDRELQEILPRGFGIGEEQLTVMTRDHNYSALQEYGGVKGLANLLKTNLEKGIHGDEADLSCRANAFGANRYPRKKGRSFWVFLWEACQDMTLVILIVAAVISLVLGIATEGVKEGWYDGTSIAFAVFLVILVTAVSDYKQSLQFQHLNEEKKNIQVEVIRGGRRIQVSIFDIVVGDVVALKIGDQVPADGVVISSHSLAIDESSMTGESKIVMKDQKTPFLMAGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLFARYFSGHTTNSDGSVQFVKGRTSVKSAIFGSIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVLSTVGGVKLQAPANVDNLSPTVVSLLLEGVAQNTSGSVFEAQDGSVEITGSPTEKAILAWGLELRMKFSEERSRSAIIHVSPFNSEKKRAGVAVAVSDSDVHVHWKGAAEIVLALCTSWIGADGSIHEMTPDKVNQLRKFIEDMAEQSLRCIAFAYRNLDLEDVPSEEQRINWQLPDNDLTLIGIAGMKDPCRPEVREAVELCKKAGVKVRMVTGDNLKTARAIALECGILEDSDASAQAIIEGRVFRAYNDTEREDVAEKISVMARSSPNDKLLLVKALKKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFSSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMRRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGRNLLHLTQDTLEHSSKVKNTVIFNTFVLCQVFNEFNSRKPEELNIFSGVSRNHLFLGVVTITIVMQVIIIEFLGKFTSTVRLNWELWLVSVVIAFVSWPLAFVGKFIPVPKTQLKDLILRCWPKRDEGAAQQGQDERRSESQV >PAN08959 pep chromosome:PHallii_v3.1:1:59053164:59055997:-1 gene:PAHAL_1G448000 transcript:PAN08959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGPMVAFIAGDPPPDAAAEEEVEENSGGEEEEQEDDELELGLCLGSKKQQQQPPSPAPCRILTARDLQPGALSPDSSVSSSSPAAGAAAASKRAKADTAPNATTSPGTVASGHPQSFGVVGWPPIRTFRMNSLFNQAKDNASEAGTKKAADESDMQKDKEESEKKGRVVGWVKVNMDGDIIGRKVDLNAHRSYKTLASALELMFMKPSISLCTSSSAKSLNLLDSSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLKIMRTSDANGLGPRFQGVHRAAASARGRT >PAN08958 pep chromosome:PHallii_v3.1:1:59053164:59055985:-1 gene:PAHAL_1G448000 transcript:PAN08958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGPMVAFIAGDPPPDAAAEEEVEENSGGEEEEQEDDELELGLCLGSKKQQQQPPSPAPCRILTARDLQPGALSPDSSVSSSSPAAGAAAASKRAKADTAPNATTSPGTVASGHPQSSFGVVGWPPIRTFRMNSLFNQAKDNASEAGTKKAADESDMQKDKEESEKKGRVVGWVKVNMDGDIIGRKVDLNAHRSYKTLASALELMFMKPSISLCTSSSAKSLNLLDSSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLKIMRTSDANGLGPRFQGVHRAAASARGRT >PAN08960 pep chromosome:PHallii_v3.1:1:59053679:59055985:-1 gene:PAHAL_1G448000 transcript:PAN08960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGPMVAFIAGDPPPDAAAEEEVEENSGGEEEEQEDDELELGLCLGSKKQQQQPPSPAPCRILTARDLQPGALSPDSSVSSSSPAAGAAAASKRAKADTAPNATTSPGTVASGHPQSSFGVVGWPPIRTFRMNSLFNQAKDNASEAGTKKAADESDMQKDKEESEKKGRVVGWVKVNMDGDIIGRKVDLNAHRSYKTLASALELMFMKPSISLCTSSSAKSLNLLDSSSEYQLTYEDRDGDWMLVGDVPWE >PVH66458 pep chromosome:PHallii_v3.1:1:45631799:45632835:1 gene:PAHAL_1G251600 transcript:PVH66458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLDLPRRHPPPSPPPPLPPYPAAAAPPFPPPLPPPAAAAPSLPPSRRRPSLPLLPSMPPLPSRRPSTSLLSRRSSSTSHTRPRREAAPGSRSADPPPLPSRDRAVARRWAAAWQRRVATACGSDGAKLRRGGARGRRAHGRRGVEQRQQHGVPAACGIFLFYCF >PAN08147 pep chromosome:PHallii_v3.1:1:55253132:55255197:1 gene:PAHAL_1G391500 transcript:PAN08147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKAAPGAAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDASVHSANPLARRHERLRLCPTSPPRHAALEAGAVSTSASASKKRQQVTPGWSRRKARTRRPHVKSVGQLLSGKLIVVPEVTVESSSDERKAEEEEAEEEQLLYRVPNFDRALAELCSPPPIDDPAPGAPCSREDADGTVEHTKTPVVAESPVQQLPDSFVGFGPTDTELREFAADMEALLGQGLHNGNELDESFYMDSLGLMMPAEDGGRVKMEPGSSVISHIEGSLRRGPAELKPEDSAEVLDIDFHCGSPAVIDHDEDSFERKAQFLKRSLDLRLNYEAIIESWGSSPWTGGQRPNVQLEDFWPHAHHSGVWMAGGGRLGGEALTPRLGMMGGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAAAAPCAVT >PVH65976 pep chromosome:PHallii_v3.1:1:9094360:9099700:-1 gene:PAHAL_1G116900 transcript:PVH65976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQPPGPAAATAGVVEPVAAAEPLLPLPGARGVAAAAQQQPGGSGSAGREPVPLRLRLGRARRRAGPGTPAPSWKMEDDGDPEGPGAAVAAAARRSSASASARQLGASLWEIHDVAREGRRHRPRGGRVAPAGREHGGGAEFDQPQSSGGIGRHLADSSMKHHKLHQERSHRIQPFSPASFTSSVGETNVNQTISPTRSLDIMGRSMRVSYGLQTSTELLKVLNRIWSLEEQHTANLSVVKGLKLELQQAQTHIQELMQERLRYRHEVSSLMRQLSENKLARKNKDHGKIDTVVHSLQGELEDERGLRRHSEDLHRKLGKELSEIKSAFLKAVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNVKSYELNFDKSVLHISEAWLDERMQMQNIAVKEDLAHGTTITERLSSEIETFLLSKRADSSKNNEKHMNDSTRMRRQSLESVHLNGATSAPQLAEDDDDDSVASDLHCFELNMHEHTGPRRSDTGSIDVPKRRSEHPRGMAAEGSRMSSMPVYSHKDTTRSGSSKLQHASKIPEIDSQSNARITPAEEQNGTSAQITRGSHNVPSKNSQDAHHVDCLGQESFDHFSRTSLFCEGTTSGDLGVMENTLKAKLLQARLEGRHARMKASSGFSTSRRK >PVH65977 pep chromosome:PHallii_v3.1:1:9094360:9099700:-1 gene:PAHAL_1G116900 transcript:PVH65977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQPPGPAAATAGVVEPVAAAEPLLPLPGARGVAAAAQQQPGGSGSAGREPVPLRLRLGRARRRAGPGTPAPSWKMEDDGDPEGPGAAVAAAARRSSASASARQLGASLWEIHDVAREGRRHRPRGGRVAPAGREHGGGAEFDQSSGGIGRHLADSSMKHHKLHQERSHRIQPFSPASFTSSVGETNVNQTISPTRSLDIMGRSMRVSYGLQTSTELLKVLNRIWSLEEQHTANLSVVKGLKLELQQAQTHIQELMQERLRYRHEVSSLMRQLSENKLARKNKDHGKIDTVVHSLQGELEDERGLRRHSEDLHRKLGKELSEIKSAFLKAVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNVKSYELNFDKSVLHISEAWLDERMQMQNIAVKEDLAHGTTITERLSSEIETFLLSKRADSSKNNEKHMNDSTRMRRQSLESVHLNGATSAPQLAEDDDDDSVASDLHCFELNMHEHTGPRRSDTGSIDVPKRRSEHPRGMAAEGSRMSSMPVYSHKDTTRSGSSKLQHASKIPEIDSQSNARITPAEEQNGTSAQITRGSHNVPSKNSQDAHHVDCLGQESFDHFSRTSLFCEGTTSGDLGNLGSPTRQLKHQCTSLDPEISECSLEQPVGVMENTLKAKLLQARLEGRHARMKASSGFSTSRRK >PAN05131 pep chromosome:PHallii_v3.1:1:9094810:9096642:-1 gene:PAHAL_1G116900 transcript:PAN05131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHKLHQERSHRIQPFSPASFTSSVGETNVNQTISPTRSLDIMGRSMRVSYGLQTSTELLKVLNRIWSLEEQHTANLSVVKGLKLELQQAQTHIQELMQERLRYRHEVSSLMRQLSENKLARKNKDHGKIDTVVHSLQGELEDERGLRRHSEDLHRKLGKELSEIKSAFLKAVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNVKSYELNFDKSVLHISEAWLDERMQMQNIAVKEDLAHGTTITERLSSEIETFLLSKRADSSKNNEKHMNDSTRMRRQSLESVHLNGATSAPQLAEDDDDDSVASDLHCFELNMHEHTGPRRSDTGSIDVPKRRSEHPRGMAAEGSRMSSMPVYSHKDTTRSGSSKLQHASKIPEIDSQSNARITPAEEQNGTSAQITRGSHNVPSKNSQDAHHVDCLGQESFDHFSRTSLFCEGTTSGDLGNLGSPTRQLKHQCTSLDPEISECSLEQPVGVMENTLKAKLLQARLEGRHARMKASSGFSTSRRK >PVH65978 pep chromosome:PHallii_v3.1:1:9094810:9099447:-1 gene:PAHAL_1G116900 transcript:PVH65978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQPPGPAAATAGVVEPVAAAEPLLPLPGARGVAAAAQQQPGGSGSAGREPVPLRLRLGRARRRAGPGTPAPSWKMEDDGDPEGPGAAVAAAARRSSASASARQLGASLWEIHDVAREGRRHRPRGGRVAPAGREHGGGAEFDQPQSSGGIGRHLADSSMKHHKLHQERSHRIQPFSPASFTSSVGETNVNQTISPTRSLDIMGRSMRVSYGLQTSTELLKVLNRIWSLEEQHTANLSVVKGLKLELQQAQTHIQELMQERLRYRHEVSSLMRQLSENKLARKNKDHGKIDTVVHSLQGELEDERGLRRHSEDLHRKLGKELSEIKSAFLKAVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNVKSYELNFDKSVLHISEAWLDERMQMQNIAVKEDLAHGTTITERLSSEIETFLLSKRADSSKNNEKHMNDSTRMRRQSLESVHLNGATSAPQLAEDDDDDSVASDLHCFELNMHEHTGPRRSDTGSIDVPKRRSEHPRGMAAEGSRMSSMPVYSHKDTTRSGSSKLQHASKIPEIDSQSNARITPAEEQNGTSAQITRGSHNVPSKNSQDAHHVDCLGQESFDHFSRTSLFCEGTTSGDLGNLGSPTRQLKHQCTSLDPEISECSLEQPVGVMENTLKAKLLQARLEGRHARMKASSGFSTSRRK >PAN07850 pep chromosome:PHallii_v3.1:1:53624604:53632588:-1 gene:PAHAL_1G367600 transcript:PAN07850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGDAGAAAEAGSPRSPDLYDLSDDSDYAAAAAAASDHTTDLADRGSDVMAGIDVVYEKERVTIHLTQYGSGRISGKLRLFLQKGSLFLSWEPNEGADSLSTSSFGVEIEKYRNLYTIKALPLSDVRFISKHTPTFTLGYIIIVLSSGLAFPPFYFYNGGIRELFATLKQHIFILRSDDNPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSRQNSLSFTGSVDETRHGADERHGEASSISQYGSKQRHKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRDNSGAHGYGRQQHEYVFDNKASDKHKNQYITPEKAYIPSATQESDPLPLVWGKQRDCPLSVEEWTAFLDPEGRVMDSKALRKKVFYGGVDHVLRKEVWKFLLGYHEYDSTYAEREYLAAMKRAEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPFYEGDDNQNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFASLMERLGANFNRDQNGMHAQLLALSKLVELLDPPLHNHFRQNDCLNYFFCFRWVLIQFKREFSFDQVMLLWEVLWTHYLSEHFHLYLCVAILKRYRQRIIGEQMDFDTLLKFINELSGQINLDRAIQDAEALCTIAGENGASCIPPGTPPSMPIETDGGLYVQEDEVL >PAN07849 pep chromosome:PHallii_v3.1:1:53625037:53632415:-1 gene:PAHAL_1G367600 transcript:PAN07849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGDAGAAAEAGSPRSPDLYDLSDDSDYAAAAAAASDHTAMQTDLADRGSDVMAGIDVVYEKERVTIHLTQYGSGRISGKLRLFLQKGSLFLSWEPNEGADSLSTSSFGVEIEKYRNLYTIKALPLSDVRFISKHTPTFTLGYIIIVLSSGLAFPPFYFYNGGIRELFATLKQHIFILRSDDNPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSRQNSLSFTGSVDETRHGADERHGEASSISQYGSKQRHKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRDNSGAHGYGRQQHEYVFDNKASDKHKNQYITPEKAYIPSATQESDPLPLVWGKQRDCPLSVEEWTAFLDPEGRVMDSKALRKKVFYGGVDHVLRKEVWKFLLGYHEYDSTYAEREYLAAMKRAEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPFYEGDDNQNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFASLMERLGANFNRDQNGMHAQLLALSKLVELLDPPLHNHFRQNDCLNYFFCFRWVLIQFKREFSFDQVMLLWEVLWTHYLSEHFHLYLCVAILKRYRQRIIGEQMDFDTLLKFINELSGQINLDRAIQDAEALCTIAGENGASCIPPGTPPSMPIETDGGLYVQEDEVL >PAN04417 pep chromosome:PHallii_v3.1:1:4479630:4483444:1 gene:PAHAL_1G065500 transcript:PAN04417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPLASLLLIPLLVVVLAASPPLSAAGDAHPGYSSGEGTCTVDAGAPPAQAAGGLLRERGPGRVIDITHAYVPDLPAFAQGAVTGPVVRLKHSMANGSEYNLSELRMECHTGTHVDAPGHINQGHFAAGLDVDTLDLDVLNGPALLVDVPRNTNITAEAMEFLKIPKGVRRVLFRTLNTDRKLMWKKEGDLSYVGFTEDGAQWLVDNTDIKLVGVDYLSVAAFDHLISAHVVFFKNPDIIPVEGLKLDDVPVGVYNLHCLPLRLVGAEGSPVRCILIK >PAN04418 pep chromosome:PHallii_v3.1:1:4480751:4483446:1 gene:PAHAL_1G065500 transcript:PAN04418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPPLMAMLLLAVATAPRVLAAGDDGGIAAHPAYADAAGTCGPASAAPAAAEARRLEEYDDGRIVDITHAYRPELPAVGPDGLGPVVFQTMSMANGSICNLSELRMVVHAGTHIDTPGHMIQEHFEAGLDADKLDLAVLNGPALLVDVPRNTNITAEAMEFLKIPKGVRRVLFRTLNTDRKLMWKKEGDLSYVGFTEDGAQWLVDNTDIKLVGVDYLSVAAFDHLISAHVVFFKNPDIIPVEGLKLDDVPVGVYNLHCLPLRLVGAEGSPVRCILIK >PVH66445 pep chromosome:PHallii_v3.1:1:45142307:45145407:1 gene:PAHAL_1G248500 transcript:PVH66445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTSEEESLNNFQQQAKLEACAAGSSKDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPELTCPHHDASRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNSRVNHSLATMVGSLHGHQQDIFSHAVPTFSTSPTDVIANLSSNDHNSDSHLRSLSPYALITRNTALFSNQISPKDSSFPLDGSASSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKNFPSNVTTPRDHMEISSGSQGDSLGNSAANSVGIKTAEDEGSYMNGRNNILMNSPWVSSYRPTTVPLIGLMNHPFAMRAEKESPGIFPGSQTQHSRQEHISGVGDAGLTQDFLGLGGSGNLEMSSETYNADVTALSYSDEQQKQQEHIYSYHQSSLDSTALEKPIWES >PVH65938 pep chromosome:PHallii_v3.1:1:8230136:8238733:-1 gene:PAHAL_1G109100 transcript:PVH65938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSFVPSLIIKKEMCSRQSSSRVGRSHQLYLPIFHHSVSACALSLSPSLSLSAATGRPAMASPSVMRVTMEVDADGVAIIAICNPPVNALHPAIFDGLSEKYGEAMARDDVKAIVLTGAASKFCGGFDINTFARIHQTEGRKPSVAAIQGLALGGGLELTMACHARISTPEAQLGLPELTLGIIPGSGGTQRLARLVGLPKAVEMMLQSKFITAKEGKELGLIDALCSPDELIKMSCRCALEIATCRRPWTKSLGRTDKLGSLSEARAVLSMSRQQVKKIATSMPQYQACLDVIEEGLLFGGHAGVLKENKVFKELVPSKTSRALVHVFLAQRSTTKVPGVTDVQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLKRDRISKAMSLLKGALDYSEFKDVDMVIEAVLENISLKQSIFSDIEKVCSPHCIFATNTSTIDLNVVSEKTNSQERIIGAHFFSPAHIMPLLEIVRAEKTSPQAILDLITLGKRIKKVPVVVGNCTGFAVNRAFFPYGQGSKLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVGLATRQIYAAAFGERNFSSDLMDLMVQDGRQGKNNGKGYYIYEKGRKPKPDPGVQHVIEEYRKRAKTMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRGGLIFWADTVGAPYIHSRLSKWAEIYGAIFKPSSYLEQRAKSSIPLSAPSTWLQASTRSRM >PVH65939 pep chromosome:PHallii_v3.1:1:8230621:8238604:-1 gene:PAHAL_1G109100 transcript:PVH65939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSFVPSLIIKKEMCSRQSSSRVGRSHQLYLPIFHHSVSACALSLSPSLSLSAATGRPAMASPSVMRVTMEVDADGVAIIAICNPPVNALHPAIFDGLSEKYGEAMARDDVKAIVLTGAASKFCGGFDINTFARIHQTGDMSLLPDSVKLVSHMMEEGRKPSVAAIQGLALGGGLELTMACHARISTPEAQLGLPELTLGIIPGSGGTQRLARLVGLPKAVEMMLQSKFITAKEGKELGLIDALCSPDELIKMSCRCALEIATCRRPWTKSLGRTDKLGSLSEARAVLSMSRQQVKKIATSMPQYQACLDVIEEGLLFGGHAGVLKENKVFKELVPSKTSRALVHVFLAQRSTTKVPGVTDVQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLKRDRISKAMSLLKGALDYSEFKDVDMVIEAVLENISLKQSIFSDIEKVCSPHCIFATNTSTIDLNVVSEKTNSQERIIGAHFFSPAHIMPLLEIVRAEKTSPQAILDLITLGKRIKKVPVVVGNCTGFAVNRAFFPYGQGSKLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVGLATRQIYAAAFGERNFSSDLMDLMVQDGRQGKNNGKGYYIYEKGRKPKPDPGVQHVIEEYRKRAKTMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRGGLIFWADTVGAPYIHSRLSKWAEIYGAIFKPSSYLEQRAKSSIPLSAPSTWLQASTRSRM >PVH65940 pep chromosome:PHallii_v3.1:1:8230243:8238733:-1 gene:PAHAL_1G109100 transcript:PVH65940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSFVPSLIIKKEMCSRQSSSRVGRSHQLYLPIFHHSVSACALSLSPSLSLSAATGRPAMASPSVMRVTMEVDADGVAIIAICNPPVNALHPAIFDGLSEKYGEAMARDDVKAIVLTGAASKFCGGFDINTFARIHQTGDMSLLPDSVKLVSHMMEEGRKPSVAAIQGLALGGGLELTMACHARISTPEAQLGLPELTLGIIPGSGGTQRLARLVGLPKAVEMMLQSKFITAKEGKELGLIDALCSPDELIKMSCRCALEIATCRRPWTKSLGRTDKLGSLSEARAVLSMSRQQVKKIATSMPQYQACLDVIEEGLLFGGHAGVLKENKVFKELVPSKTSRALVHVFLAQRSTTKVPGVTDVQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLKRDRISKAMSLLKGALDYSEFKDVDMVIEAVLENISLKQSIFSDIEKVCSPHCIFATNTSTIDLNVVSEKTNSQERIIGAHFFSPAHIMPLLEIVRAEKTSPQAILDLITLGKRIKKVPVVVGNCTGFAVNRAFFPYGQGSKLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVGLATRQIYAAAFGERNFSSDLMDLMVQDGRQGKNNGKGYYIYEKGRKPKPDPGVQHVIEEYRKRAKTMPGGKDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRGGLIFWADTVGAPYIHSRLSKWAEIYGAIFKPSSYLEQRAKSSIPLSAPSTWLQASTRSRM >PAN07376 pep chromosome:PHallii_v3.1:1:51208510:51211142:1 gene:PAHAL_1G330700 transcript:PAN07376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPPAPAMHSPERADGPPGLRALPALSYNTHRALVLGLTFLAYALYHASRKPPSIVKRELARAWPPFADPALLGATDVAFLTSYSLGMFVAGHLGDRLDLRHFLAFGMATSGAAVALFGAGYFLGLHSLAFYVAAQVIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGVWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGLIALGGALVLLFLAPYPQYVGFGPAPIEPVAEESTDGEDSSSSAASGVGKDRRDAVGILKALAIPGVVIFALCLFFAKLVAYTFLYWLPFYLSQTAIGGEHMSAASAGYLSVLFDVGGIIGGILAGFISDQLNARATTAAVFMYLAIPSLFLFHAYGSISKATNIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFLTGFISRRGWDSVFVMLALCAFVAAVLLSSHVKIEIPQIIEKWRNRSTNMRNGNEDSDVQPLLVEES >PVH66293 pep chromosome:PHallii_v3.1:1:30675074:30675946:1 gene:PAHAL_1G197500 transcript:PVH66293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYMCRIFEEHLIPTPMRLFPPAIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTTAAISSEAVAQESLWQIQDRRMQEWTNDGTPVLAIGETRVLVGTPITGWGGLFRTPQAPPEGTEGTAAATGGGAVERPQENGILEDDEEELLIPLEVHSAPENDSPRE >PVH66927 pep chromosome:PHallii_v3.1:1:54884499:54884717:-1 gene:PAHAL_1G386400 transcript:PVH66927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVLPLMMAVLMLLAVLASARRLEGEKWTGGEAAASGEHPVIQFSKHLYMQQLPAGSSCTSNSRNNPCRP >PAN03747 pep chromosome:PHallii_v3.1:1:1422366:1422620:1 gene:PAHAL_1G018900 transcript:PAN03747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSWSSLRVKASAPASHHPKTSWPEVVGMAATPAVEKIMQDRPDVAVEVLPPGTHLLPGANPERVRVFIDARGAVAKTPRVG >PAN08604 pep chromosome:PHallii_v3.1:1:57520847:57533673:1 gene:PAHAL_1G423700 transcript:PAN08604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTATESNDTLLGNGVVGILAETCNMWERRAPLTPSHCARLLLGGGNRTRVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISAAWNLSKQSQSTKRVFQLYGCVVTSRDMVSHKDPTRHFDKADYYAHPEHYAPVFHERIAPYASVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNNSYHDDMEDDGVICLAVDILPTEFSKEASQHFGNILSKFVASLASMKQLVELPSYLRRACIAHDGRLTSLYEYIPRMRKTMIDLAPAKANPSPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFQLVRCEVGQSIDDTSYSELEVGADDTATLDKIVDSLTSLANAHGGDHDARKETELALKIGKVNECGTGDTVDKEGPKVLILGAGRVCRPAAQFLASYPNICSYGVDDNNTDQIHVIVASLYQKDAEEIVEGIKNATATQLDVADIGSLSDLVSQLKKHMVTASYVDESMSNLKQAAKGAGVTILCEMGLDPGIDHMMSMKMIDEAHARNGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGAVRSGKNPAVYKFLGETIHVDGSSLYESAKRLRLPELPAFALEHLPNRNSLIYGDLYGISKEASTIYRATLRYEGFSKIMATLSKIGFFDAANHPLLQDTNRPTYKGFLDELLNANNISTTTTNLNIEASGGYDDELIARILSLGHCKEDIAVKTVKTIKFLGLHEEIQIPKDCSSAFDVICQRMEQRMAYGHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGGSTTTAMALTVGVPAAIGALLLLENKVQTKGVIRPLEPEIYIPALEMLESSGIKLSERVEI >PAN08603 pep chromosome:PHallii_v3.1:1:57522065:57533469:1 gene:PAHAL_1G423700 transcript:PAN08603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTATESNDTLLGNGVVGILAETCNMWERRAPLTPSHCARLLLGGGNRTRVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISAAWNLSKQSQSTKRVFQLYGCVVTSRDMVSHKDPTRHFDKADYYAHPEHYAPVFHERIAPYASVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNNSYHDDMEDDGVICLAVDILPTEFSKEASQHFGNILSKFVASLASMKQLVELPSYLRRACIAHDGRLTSLYEYIPRMRKTMIDLAPAKANPSPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFQLVRCEVGQSIDDTSYSELEVGADDTATLDKIVDSLTSLANAHGGDHDARKETELALKIGKVNECGTGDTVDKEGPKVLILGAGRVCRPAAQFLASYPNICSYGVDDNNTDQIHVIVASLYQKDAEEIVEGIKNATATQLDVADIGSLSDLVSQVEVVVSLLPASFHVAIARVCIELKKHMVTASYVDESMSNLKQAAKGAGVTILCEMGLDPGIDHMMSMKMIDEAHARNGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGAVRSGKNPAVYKFLGETIHVDGSSLYESAKRLRLPELPAFALEHLPNRNSLIYGDLYGISKEASTIYRATLRYEGFSKIMATLSKIGFFDAANHPLLQDTNRPTYKGFLDELLNANNISTTTTNLNIEASGGYDDELIARILSLGHCKEDIAVKTVKTIKFLGLHEEIQIPKDCSSAFDVICQRMEQRMAYGHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGGSTTTAMALTVGVPAAIGALLLLENKVQTKGVIRPLEPEIYIPALEMLESSGIKLSERVEI >PAN08606 pep chromosome:PHallii_v3.1:1:57521883:57533673:1 gene:PAHAL_1G423700 transcript:PAN08606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLHDRDRGTLIGLDMGSTATESNDTLLGNGVVGILAETCNMWERRAPLTPSHCARLLLGGGNRTRVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISAAWNLSKQSQSTKRVFQLYGCVVTSRDMVSHKDPTRHFDKADYYAHPEHYAPVFHERIAPYASVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNNSYHDDMEDDGVICLAVDILPTEFSKEASQHFGNILSKFVASLASMKQLVELPSYLRRACIAHDGRLTSLYEYIPRMRKTMIDLAPAKANPSPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFQLVRCEVGQSIDDTSYSELEVGADDTATLDKIVDSLTSLANAHGGDHDARKETELALKIGKVNECGTGDTVDKEGPKVLILGAGRVCRPAAQFLASYPNICSYGVDDNNTDQIHVIVASLYQKDAEEIVEGIKNATATQLDVADIGSLSDLVSQLKKHMVTASYVDESMSNLKQAAKGAGVTILCEMGLDPGIDHMMSMKMIDEAHARNGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGAVRSGKNPAVYKFLGETIHVDGSSLYESAKRLRLPELPAFALEHLPNRNSLIYGDLYGISKEASTIYRATLRYEGFSKIMATLSKIGFFDAANHPLLQDTNRPTYKGFLDELLNANNISTTTTNLNIEASGGYDDELIARILSLGHCKEDIAVKTVKTIKFLGLHEEIQIPKDCSSAFDVICQRMEQRMAYGHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGGSTTTAMALTVGVPAAIGALLLLENKVQTKGVIRPLEPEIYIPALEMLESSGIKLSERVEI >PVH67078 pep chromosome:PHallii_v3.1:1:57520847:57534153:1 gene:PAHAL_1G423700 transcript:PVH67078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTATESNDTLLGNGVVGILAETCNMWERRAPLTPSHCARLLLGGGNRTRVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISAAWNLSKQSQSTKRVFQLYGCVVTSRDMVSHKDPTRHFDKADYYAHPEHYAPVFHERIAPYASVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNNSYHDDMEDDGVICLAVDILPTEFSKEASQHFGNILSKFVASLASMKQLVELPSYLRRACIAHDGRLTSLYEYIPRMRKTMIDLAPAKANPSPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFQLVRCEVGQSIDDTSYSELEVGADDTATLDKIVDSLTSLANAHGGDHDARKETELALKIGKVNECGTGDTVDKEGPKVLILGAGRVCRPAAQFLASYPNICSYGVDDNNTDQIHVIVASLYQKDAEEIVEGIKNATATQLDVADIGSLSDLVSQVEVVVSLLPASFHVAIARVCIELKKHMVTASYVDESMSNLKQAAKGAGVTILCEMGLDPGIDHMMSMKMIDEAHARNGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGAVRSGKNPAVYKFLGETIHVDGSSLYESAKRLRLPELPAFALEHLPNRNSLIYGDLYGISKEASTIYRATLRYEGFSKIMATLSKIGFFDAANHPLLQDTNRPTYKGFLDELLNANNISTTTTNLNIEASGGYDDELIARILSLGHCKEDIAVKTVKTIKFLGLHEEIQIPKDCSSAFDVICQRMEQRMAYGHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGGSTTTAMALTVGVPAAIGALLLLENKVQTKGVIRPLEPEIYIPALEMLESSGIKLSERVEI >PAN08605 pep chromosome:PHallii_v3.1:1:57521577:57534154:1 gene:PAHAL_1G423700 transcript:PAN08605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLHDRDRGTLIGLDMGSTATESNDTLLGNGVVGILAETCNMWERRAPLTPSHCARLLLGGGNRTRVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISAAWNLSKQSQSTKRVFQLYGCVVTSRDMVSHKDPTRHFDKADYYAHPEHYAPVFHERIAPYASVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNNSYHDDMEDDGVICLAVDILPTEFSKEASQHFGNILSKFVASLASMKQLVELPSYLRRACIAHDGRLTSLYEYIPRMRKTMIDLAPAKANPSPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFQLVRCEVGQSIDDTSYSELEVGADDTATLDKIVDSLTSLANAHGGDHDARKETELALKIGKVNECGTGDTVDKEGPKVLILGAGRVCRPAAQFLASYPNICSYGVDDNNTDQIHVIVASLYQKDAEEIVEGIKNATATQLDVADIGSLSDLVSQVEVVVSLLPASFHVAIARVCIELKKHMVTASYVDESMSNLKQAAKGAGVTILCEMGLDPGIDHMMSMKMIDEAHARNGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGAVRSGKNPAVYKFLGETIHVDGSSLYESAKRLRLPELPAFALEHLPNRNSLIYGDLYGISKEASTIYRATLRYEGFSKIMATLSKIGFFDAANHPLLQDTNRPTYKGFLDELLNANNISTTTTNLNIEASGGYDDELIARILSLGHCKEDIAVKTVKTIKFLGLHEEIQIPKDCSSAFDVICQRMEQRMAYGHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGGSTTTAMALTVGVPAAIGALLLLENKVQTKGVIRPLEPEIYIPALEMLESSGIKLSERVEI >PAN08624 pep chromosome:PHallii_v3.1:1:57666848:57667706:1 gene:PAHAL_1G425400 transcript:PAN08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRAALLLCLQLLAIGAESSSSREAPASYAAASRQGHPPAYARNATVYGVSAALCPGCAAWAESLEFLYYHNLVRLARWELPLAWSPRMESYARWWAAQRRGDCALRHSFPEGQFALGENIFWGGAGGVWRPGDAVQDWAAEGVDYSYAANACSPGRECGHYTQIVWRDTTSVGCARVVCDDGGVFMTCNYYPPGNVVGQRPY >PAN05787 pep chromosome:PHallii_v3.1:1:39011815:39013767:-1 gene:PAHAL_1G223400 transcript:PAN05787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNSWNDGVAGTNCAISPTENWTYVFQAKDEVGSFFYRPSLGLHAAAGGHGPIRVNNRPVIAVPFAQPDSEFDVLIGDWYNMDVKEMRGYLERGRDLPSPDGILINGLGPYAADFTFKPGRTYRLRVSNVGTRTSLSFRIQGHKLLLVEAEGTYTRQKHYASLDVHPGQSLSVLVTADQLPKPSYYMVVSSLFVEPELFGVANVLYAGSGGLQPPGAAPLEGLSSHNGYNRSMEQARTVRMNLTCGAARPNPQGSFRYGRINMSRTLLLRNDEAEIGGRRRCTVNGVSFAGAATPLKLADHFDLAGVFAVVSGRPERRRQPSLGTAVIDARYRDFVQIVFENRVPSLQTWHLDGYNFFVAGMGWGKWSPDARSTYNLVDAIYRSTVQVYPSAWTAVLVSLDNEGMWNLRSQSPDRRYLGQEIYMRVSQGSSEVPDPRDELPMPPNALLCGKAKSSKIGRA >PAN05788 pep chromosome:PHallii_v3.1:1:39011491:39014206:-1 gene:PAHAL_1G223400 transcript:PAN05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEHLAVILATTLVLLGSSMHYTADAENRHVFLDWEVSYAVRSPLGVARRVITIDGRLPGPLLNLTTNDVAHINVVNTLDEPFLLTWNGLQMRRNSWNDGVAGTNCAISPTENWTYVFQAKDEVGSFFYRPSLGLHAAAGGHGPIRVNNRPVIAVPFAQPDSEFDVLIGDWYNMDVKEMRGYLERGRDLPSPDGILINGLGPYAADFTFKPGRTYRLRVSNVGTRTSLSFRIQGHKLLLVEAEGTYTRQKHYASLDVHPGQSLSVLVTADQLPKPSYYMVVSSLFVEPELFGVANVLYAGSGGLQPPGAAPLEGLSSHNGYNRSMEQARTVRMNLTCGAARPNPQGSFRYGRINMSRTLLLRNDEAEIGGRRRCTVNGVSFAGAATPLKLADHFDLAGVFAVVSGRPERRRQPSLGTAVIDARYRDFVQIVFENRVPSLQTWHLDGYNFFVAGMGWGKWSPDARSTYNLVDAIYRSTVQVYPSAWTAVLVSLDNEGMWNLRSQSPDRRYLGQEIYMRVSQGSSEVPDPRDELPMPPNALLCGKAKSSKIGRA >PVH65771 pep chromosome:PHallii_v3.1:1:5085350:5089198:1 gene:PAHAL_1G074300 transcript:PVH65771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLSQRRLPSHVWSTAMEIGFALTQCSGCRADANEAAVILLSSNITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCAVSHLRANKQVSTIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFTNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRIIQKRAKFDIMDLNVLKLAPMMSIPALFGHGLNDMFIQPHHCERIHEAYGGDKRIIKFEGDHNSPRPQSFYDSVSTFFYKTLRPPLLPSARSNKRLMGAYKVGNITNESFFSKIVDGLQSANTATCSSSTDAPNILNAKTPAVELLSESLNQLSVKKETDLGSLLDENHSLSEMDGDSVGLRLQDKISRHNEESCSYTSSNRESWGRCSSVGAASDDESFSGDNNYKQENKAVKALATPLRQSQRKPSEKAKEKKIRVLWKKIKCEKVEMGDSLSQRFRMCLGQSPRHKRNKSSGALTTS >PVH65772 pep chromosome:PHallii_v3.1:1:5083655:5089522:1 gene:PAHAL_1G074300 transcript:PVH65772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLSQRRLPSHVWSTAMEIGFALTQCSGCRADANEAAVILLSSNITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCAVSHLRANKQVSTIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFTNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRIIQKRAKFDIMDLNVLKLAPMMSIPALFGHGLNDMFIQPHHCERIHEAYGGDKRIIKFEGDHNSPRPQSFYDSVSTFFYKTLRPPLLPSARSNKRLMGAYKVGNITNESFFSKIVDGLQSANTATCSSSTDAPNILNAKTPAVELLSESLNQLSVKKETDLGSLLDENHSLSEMDGDSVGLRLQDKISRHNEESCSYTSSNRESWGRCSSVGAASDDESFSGDNNYKQENKAVKALATPLRQSQRKPSEKAKEKKIRVLWKKIKCEKVEMGDSLSQRFRMCLGQSPRHKRNKSSGALTTS >PAN04549 pep chromosome:PHallii_v3.1:1:5083655:5089522:1 gene:PAHAL_1G074300 transcript:PAN04549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQFVNFVIRPPRSEYNPDQYLWDTEFILAGRKYKRLDLELTNARGYILKCSHYVPAFIPEKTALPCVVYCHGNSGCRADANEAAVILLSSNITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCAVSHLRANKQVSTIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFTNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRIIQKRAKFDIMDLNVLKLAPMMSIPALFGHGLNDMFIQPHHCERIHEAYGGDKRIIKFEGDHNSPRPQSFYDSVSTFFYKTLRPPLLPSARSNKRLMGAYKVGNITNESFFSKIVDGLQSANTATCSSSTDAPNILNAKTPAVELLSESLNQLSVKKETDLGSLLDENHSLSEMDGDSVGLRLQDKISRHNEESCSYTSSNRESWGRCSSVGAASDDESFSGDNNYKQENKAVKALATPLRQSQRKPSEKAKEKKIRVLWKKIKCEKVEMGDSLSQRFRMCLGQSPRHKRNKSSGALTTS >PAN05315 pep chromosome:PHallii_v3.1:1:11088439:11095646:1 gene:PAHAL_1G131000 transcript:PAN05315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNTNTSGGGSDKEDKKEDKGKGKDSAEPSFKEGDRVLAYHGPLLYEAKVQRIENLDDEWRYFVHYLGWNKNWDEWVANDRLLKLTEENIRKQQELEKNQVVDKSIKSGRSTQHKLKGSNAEAKADKDDTKSLVKGKKRKSQPGTEEKDRRSSESLLVSQFPLTLKKQLVDDWEYVTQLGKLVKLPRSPTVDEILKKYLEHRAKKDSKINDSYAEILKGLRCYFDKALPAMLLYKKERDQYTEEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQQKLLDILKFLQKNQSTFFVSVYDGNRKGADGSKSK >PAN05316 pep chromosome:PHallii_v3.1:1:11088439:11095646:1 gene:PAHAL_1G131000 transcript:PAN05316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNTNTSGGGSDKEDKKEDKGKGKDSAEPSFKEGDRVLAYHGPLLYEAKVQRIENLDDEWRYFVHYLGWNKNWDEWVANDRLLKLTEENIRKQQELEKNQVVDKSIKSGRSTQHKLKGSNEAKADKDDTKSLVKGKKRKSQPGTEEKDRRSSESLLVSQFPLTLKKQLVDDWEYVTQLGKLVKLPRSPTVDEILKKYLEHRAKKDSKINDSYAEILKGLRCYFDKALPAMLLYKKERDQYTEEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQQKLLDILKFLQKNQSTFFVSVYDGNRKGADGSKSK >PAN05318 pep chromosome:PHallii_v3.1:1:11088439:11095646:1 gene:PAHAL_1G131000 transcript:PAN05318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNTNTSGGGSDKEDKKEDKGKGKDSAEPSFKEGDRVLAYHGPLLYEAKVQRIENLDDEWRYFVHYLGWNKNWDEWVANDRLLKLTEENIRKQQELEKNQVVDKSIKSGRSTQHKLKGSNAEAKADKDDTKSLVKGKKRKSQPGTEEKDRRSSESLLVSQFPLTLKKQLVDDWEYVTQLGKLVKLPRSPTVDEILKKYLEHRAKKDSKINDSYAEILKGLRCYFDKALPAMLLYKKERDQYTEEVKGDVSPSTVYGAEHLLRLFGVIDDVWVGLQLCIDHIPFLYMNDGAVLHFCEIAGVTCFCQYGRRCAEQTTAEIAGHSQVSSEESEHLLCLCV >PAN05317 pep chromosome:PHallii_v3.1:1:11088439:11095646:1 gene:PAHAL_1G131000 transcript:PAN05317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNTNTSGGGSDKEDKKEDKGKGKDSAEPSFKEGDRVLAYHGPLLYEAKVQRIENLDDEWRYFVHYLGWNKNWDEWVANDRLLKLTEENIRKQQELEKNQVVDKSIKSGRSTQHKLKGSNEAKADKDDTKSLVKGKKRKSQPGTEEKDRRSSESLLVSQFPLTLKKQLVDDWEYVTQLGKLVKLPRSPTVDEILKKYLEHRAKKDSKINDSYAEILKGLRCYFDKALPAMLLYKKERDQYTEEVKGDVSPSTVYGAEHLLRLFGVIDDVWVGLQLCIDHIPFLYMNDGAVLHFCEIAGVTCFCQYGRRCAEQTTAEIAGHSQVSSEESEHLLCLCV >PVH66945 pep chromosome:PHallii_v3.1:1:55268469:55275478:-1 gene:PAHAL_1G391900 transcript:PVH66945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGFIIFSSTGRLYEFSSTNMKAVIDRYGKAKEEQLGVNNATSELMLWQREAASLRQQLHNLQESHKQLMGEELSGLGARDLQSLENRLEMSLRNVRMRKDHILKSEIEELHRKGSMIHQENMELCRRVNIMSQQKMELHRKLQASEQRDAADANTSSSTPYSFSIAQDADIPSNLEQIQSHQKEGENHKTGAPELGLQLH >PAN08151 pep chromosome:PHallii_v3.1:1:55269084:55275029:-1 gene:PAHAL_1G391900 transcript:PAN08151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGFIIFSSTGRLYEFSSTNMKAVIDRYGKAKEEQLGVNNATSELMLWQREAASLRQQLHNLQESHKQLMGEELSGLGARDLQSLENRLEMSLRNVRMRKDHILKSEIEELHRKGSMIHQENMELCRRVNIMSQQKMELHRKLQASEQRDAADANTSSSTPYSFSIAQDADIPSNLEQIQSHQKEGENHKTGAPELGLQLH >PAN04097 pep chromosome:PHallii_v3.1:1:2831124:2833387:1 gene:PAHAL_1G041900 transcript:PAN04097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGSRRLEAEQRVAAPVHLVGRLRVRHVQIEGQRRAPRRGGGLVAGGARRAFLAEDGRGRPAVAAAVLLGGRGGAAAAGNPCCRDRGGGARRAAAAPGLDGAAVARRRRGGGRGGVRRRRRRDRGARGREVGPRGGAADGPGGGVVGARGGLGGVEGAEPDRGLPGRVPDLGCVPPRRPPPHPAVLHLRVPRRRRDRRQPRQPHPSSSPPPPPRARCPPPSSPPPLPSSSCPRRLRVWPGPSRAFPLLPFPAFLSLSLSPPAPARLFGRHGDAGG >PAN07318 pep chromosome:PHallii_v3.1:1:50901229:50905336:1 gene:PAHAL_1G326100 transcript:PAN07318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAVPDGRRWSKGGAGSPSSPVTTAVFLFFFIVVVGVLVSARWINTTTHLAITDLDQWRSKPAILTATQTISIPAIPDAPPPPRATYSLSCSAPPLPRDPDIPSNISQTLDLVLSPNASSASTCAAIPDPPPLPATANASSTCPTYFRFIHEDLHPWRAAGGITRAMVDQARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGMLQLLRRYPGRVPDLDLMFDCVDWPVVHADQYEGENATVLPPVFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALQKELARGNRRVKWMDRKPYAYWKGNPDVAAIRQELVKCNVSREHEWNARIYKQDWLKEIKAGYKQSDLSSQCTHRYKIYIEGSAWSVSEKYILACDSMTLVVTPKYYDFYSRVLMPMQHYWPVQDDNKCSSIKYAVDWGNSHKQKAQKIGKQASNFIQKELSMDYVYDYMFHLITEYAKLLRFKPTKPPEAVEVCSESLACQAIGREKKFMEDSMVRSASNAGPCDLPPPFSPEEFKALKRRREKTMKQIETWEQKASKPVDSKP >PAN04746 pep chromosome:PHallii_v3.1:1:6125243:6132979:1 gene:PAHAL_1G088300 transcript:PAN04746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKDTLSSALDRICAKKKVSSVEDLLGNKTPVKPKPRFLRVNTLKTTTEFVVEELSKIHMVAKDDMVPDMLVLPPGTDLHSHPLVTNGEVFLQGKASCMVAVALCPKPGWKVLDACAAPGNKTVHLAALMNGQGGIIACELNKERAKTLQHTIRRSGANNIQTVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDYLLPSHSRDDQDDASTSSRVLKLSAFQKKALSHALSFPSVERVVYSTCSIHQAENEDVVSAVLPLATSLGFELATPFPQWHRRGLPVFEGSEHLLRTDPEDGLEGFFVSLFVRKAAGGDAEEPNEDVTVEARRKESNEDVAVESRKKQARRRRSGVRAFSSLRLSRMILCSNGGFW >PAN04745 pep chromosome:PHallii_v3.1:1:6128879:6132979:1 gene:PAHAL_1G088300 transcript:PAN04745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKDTLSSALDRICAKKKVSSVEDLLGNKTPVKPKPRFLRVNTLKTTTEFVVEELSKIHMVAKDDMVPDMLVLPPGTDLHSHPLVTNGEVFLQGKASCMVAVALCPKPGWKVLDACAAPGNKTVHLAALMNGQGGIIACELNKERAKTLQHTIRRSGANNIQTVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDYLLPSHSRDDQDDASTSSRVLKLSAFQKKALSHALSFPSVERVVYSTCSIHQAENEDVVSAVLPLATSLGFELATPFPQWHRRGLPVFEGSEHLLRTDPEDGLEGFFVSLFVRKAAGGDAEEPNEDVTVEARRKESNEDVAVESRKKQARRRRSGVRAFSSLRLSRMILCSNGGFW >PVH65845 pep chromosome:PHallii_v3.1:1:6125243:6132979:1 gene:PAHAL_1G088300 transcript:PVH65845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKDTLSSALDRICAKKKVSSVEDLLGNKTPVKPKPRFLRVNTLKTTTEFVVEELSKIHMVAKDDMVPDMLVLPPGTDLHSHPLVTNGEVFLQGKASCMVAVALCPKPGWKVLDACAAPGNKTVHLAALMNGQGGIIACELNKERAKTLQHTIRRSGANNIQTVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDYLLPSHSRDDQDDASTSSRVLKLSAFQKKALSHALSFPSVERVVYSTCSIHQAENEDVVSAVLPLATSLGFELATPFPQWHRRGLPVFEGSEHLLRTDPEDGLEGFFVSLFVRKAAGGDAEEPNEDVTVEARRKESNEDVAVESRKKQARRRRSGVRAFSSLRLSRMILCSNGGFW >PAN04744 pep chromosome:PHallii_v3.1:1:6125243:6132979:1 gene:PAHAL_1G088300 transcript:PAN04744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPQHPKNRSRGPAATGGNGRPPRRMASRDAAERAAFFARREAAAVLRRVLRGDATRRAAGSIKSLVYSPTVRNKRATFALVCQTLKYLPILKEVLGSSGVLNSKWKRQEELVIVTAYDILFGQGTAVSGSAEQLIMTHKDTLSSALDRICAKKKVSSVEDLLGNKTPVKPKPRFLRVNTLKTTTEFVVEELSKIHMVAKDDMVPDMLVLPPGTDLHSHPLVTNGEVFLQGKASCMVAVALCPKPGWKVLDACAAPGNKTVHLAALMNGQGGIIACELNKERAKTLQHTIRRSGANNIQTVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDYLLPSHSRDDQDDASTSSRVLKLSAFQKKALSHALSFPSVERVVYSTCSIHQAENEDVVSAVLPLATSLGFELATPFPQWHRRGLPVFEGSEHLLRTDPEDGLEGFFVSLFVRKAAGGDAEEPNEDVTVEARRKESNEDVAVESRKKQARRRRSGVRAFSSLRLSRMILCSNGGFW >PAN06929 pep chromosome:PHallii_v3.1:1:49054840:49055963:1 gene:PAHAL_1G298600 transcript:PAN06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase (EC 3.2.1.14) A [Source: Projected from Oryza sativa (Os02g0605900)] MAPPKQLSPAAAALLALALLAAAAPAAAQRCGCAADLCCSRFGFCGTGGDYCGAGCQSGPCTVPETNNVSVASIVTPAFFDALLAQAAPSCEATGFYTRDAFLAAAGYYPAFGRTGTVDDSKREIAAFFGNANHETIRFCYINEINGPSKNYCDPNNTQWPCQAGKGYYGRGPLQISWNYNYGPAGKSIGFDGLGDPDAVARSAVVAFRAALWYWMNSVHEPLAAGGGFGGTIRAINGALECDGKNPSAVSSRVGYYKQFCQDFGVDPGSNLTC >PAN04415 pep chromosome:PHallii_v3.1:1:4466017:4468277:-1 gene:PAHAL_1G065300 transcript:PAN04415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLPLYLLLAFLLAIPLVLFFAAQRPAPRGSVDARRLPPGPWALPVIGHLHHLAGALPHRALRGLARRHGPLVALRLGELPVAVASSRDAAREVMRTHDAAFASRPLSPMQELAYRGAEGVIFAPHGEGWRRLRGICATELLSTRRVRSFRPVREDEAGRLLRAVAAAAAAGAPVNLSQRLAAYVADATVRAIVGSRFERRDAYLRMLQEGLKIVPGMTLPDLFPSSRLARLVSRAPGQIERHRRGMQQFIDIIITEHRESRASGKDSDDEDLLDVLLRLQKEVDSQYPLTTENIKTVMLDMFGAGSETSATTLQWAMAELMTNPRVMRKAQDEVRRALAGHGKVTEDKLANLHYLPLVIKETLRLHPPAPLLLPRRCGSPCQVLGFDVPEGTMVIVNAWAIGRDPAHWDEPEEFVPERFETSGRDFRGTDFEFIPFGAGRRMCPGIAFGLAHIELALAALLFHFDWELPGGMAAEELDMTESFGVTTQLQSDLVVVAVPSIPVPKP >PAN05864 pep chromosome:PHallii_v3.1:1:15379659:15386921:1 gene:PAHAL_1G145800 transcript:PAN05864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDGVFQVLRNSSSLELAVASFHLLMDLGKQYPRTYLTDSDGHESLVVVKESWLPFLIGNGSLSSEMGGTARISDHLFDPSRFSLLIEAMVEPTNPTDDNNGIKAIENMMLFQYLVNTLEADFVPRHIAYKKSLDWVIFRESLLNMLLGSRKLVFKSFVKDCMYLLLNQFHPEAKDAVEGSIPSEGEAKSASDMDSSLNYLLLESERTLVSLRKLFVMVMELDLIRKEADTLGLTSRADGFRNPIMEVILDELTYNISYLSPFLLAFMEWKWKLEIILQYFSKYCGKGAVRTRRSDNSQQDLKLGSVLSFFSTTTSAKAIVKKMGTEVAQHLLAHAYQVCLSVQSDSSDSTATTEKIGASLQEISCNFISAFQNLRKVAPNIQISPFEKEALFTAATLSRKLKNEER >PAN05865 pep chromosome:PHallii_v3.1:1:15379654:15387342:1 gene:PAHAL_1G145800 transcript:PAN05865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRAPTTTPAAAAAADGGIEENAMAILDSSGIKDSRDLHDDRAAFLEAVRSACLAADNPSAPSWRMYDGVFQVLRNSSSLELAVASFHLLMDLGKQYPRTYLTDSDGHESLVVVKESWLPFLIGNGSLSSEMGGTARISDHLFDPSRFSLLIEAMVEPTNPTDDNNGIKAIENMMLFQYLVNTLEADFVPRHIAYKKSLDWVIFRESLLNMLLGSRKLVFKSFVKDCMYLLLNQFHPEAKDAVEGSIPSEGEAKSASDMDSSLNYLLLESERTLVSLRKLFVMVMELDLIRKEADTLGLTSRADGFRNPIMEVILDELTYNISYLSPFLLAFMEWKWKLEIILQYFSKYCGKGAVRTRRSDNSQQDLKLGSVLSFFSTTTSAKAIVKKMGTEVAQHLLAHAYQVCLSVQSDSSDSTATTEKIGASLQEISCNFISAFQNLRKVAPNIQISPFEKEALFTAATLSRKLKNEER >PVH66091 pep chromosome:PHallii_v3.1:1:15380202:15386877:1 gene:PAHAL_1G145800 transcript:PVH66091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDGVFQVLRNSSSLELAVASFHLLMDLGKQYPRTYLTDSDGHESLVVVKESWLPFLIGNGSLSSEMGGTARISDHLFDPSRFSLLIEAMVEPTNPTDDNNGIKAIENMMLFQYLVNTLEADFVPRHIAYKKSLDWVIFRESLLNMLLGSRKLVFKSFVKDCMYLLLNQFHPEAKDAVEGSIPSEGEAKSASDMDSSLNYLLLESERTLVSLRKLFVMVMELDLIRKEADTLGLTSRADGFRSSRNPIMEVILDELTYNISYLSPFLLAFMEWKWKLEIILQYFSKYCGKGAVRTRRSDNSQQDLKLGSVLSFFSTTTSAKAIVKKMGTEVAQHLLAHAYQVCLSVQSDSSDSTATTEKIGASLQEISCNFISAFQNLRKVAPNIQISPFEKEALFTAATLSRKLKNEER >PVH66089 pep chromosome:PHallii_v3.1:1:15380555:15386139:1 gene:PAHAL_1G145800 transcript:PVH66089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDGVFQVLRNSSSLELAVASFHLLMDLGKQYPRTYLTDSDGHESLVVVKESWLPFLIGNGSLSSEMGGTARISDHLFDPSRFSLLIEAMVEPTNPTDDNNGIKAIENMMLFQYLVNTLEADFVPRHIAYKKSLDWVIFRESLLNMLLGSRKLVFKSFVKDCMYLLLNQFHPEAKDAVEGSIPSEGEAKSASDMDSSLNYLLLESERTLVSLRKLFVMVMELDLIRKEADTLGLTSRADGFRNPIMEVILDELTYNISYLSPFLLAFMEWKWKLEIILQYFSKYCGKGAVRTRRSDNSQQDLKLGSVLSFFSTTTSAKAIVKKMGTEVAQHLLAHAYQFRYISSGLPVCSK >PVH66090 pep chromosome:PHallii_v3.1:1:15379663:15386877:1 gene:PAHAL_1G145800 transcript:PVH66090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRAPTTTPAAAAAADGGIEENAMAILDSSGIKDSRDLHDDRAAFLEAVRSACLAADNPSAPSWRMYDGVFQVLRNSSSLELAVASFHLLMDLGKQYPRTYLTDSDGHESLVVVKESWLPFLIGNGSLSSEMGGTARISDHLFDPSRFSLLIEAMVEPTNPTDDNNGIKAIENMMLFQYLVNTLEADFVPRHIAYKKSLDWVIFRESLLNMLLGSRKLVFKSFVKDCMYLLLNQFHPEAKDAVEGSIPSEGEAKSASDMDSSLNYLLLESERTLVSLRKLFVMVMELDLIRKEADTLGLTSRADGFRSSRNPIMEVILDELTYNISYLSPFLLAFMEWKWKLEIILQYFSKYCGKGAVRTRRSDNSQQDLKLGSVLSFFSTTTSAKAIVKKMGTEVAQHLLAHAYQVCLSVQSDSSDSTATTEKIGASLQEISCNFISAFQNLRKVAPNIQISPFEKEALFTAATLSRKLKNEER >PVH67097 pep chromosome:PHallii_v3.1:1:57967151:57968632:1 gene:PAHAL_1G430000 transcript:PVH67097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLVSGRGRGRLAAGERRGSALQSVAKKERAQIVWRRLPFSTSLIVPVRVYTTGSEFLLNLKQRTGERVRVRSFRFCPCLMSQVCESRGPRYFNSF >PAN08758 pep chromosome:PHallii_v3.1:1:58207671:58210725:1 gene:PAHAL_1G434600 transcript:PAN08758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYETGDSGQPCTPFVKNTSAMFGFSQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRIPMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGNELSGSGVGARIDADQYAADVINLKHIIDSTYQGNPSKPLVLAPGGFFDAAWFTELVSKTKPGQMDVITHHIYNLGPGVDDHLIEKILNPSYLDGEASTFSNLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTTVLSTTFNGTNKIRAYAHCAKNSQGITLLLINLSGNNTNRIYVTSEGAQAQSARKEGRRFSHIPGLGEAAELTREEYHLTPKDGNLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVVPYSIVFAHIPSFYAPACR >PAN08755 pep chromosome:PHallii_v3.1:1:58206232:58210725:1 gene:PAHAL_1G434600 transcript:PAN08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYETGDSGQPCTPFVKNTSAMFGFSQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRIPMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGNELSGSGVGARIDADQYAADVINLKHIIDSTYQGNPSKPLVLAPGGFFDAAWFTELVSKTKPGQMDVITHHIYNLGPGVDDHLIEKILNPSYLDGEASTFSNLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTTVLSTTFNGTNKIRAYAHCAKNSQGITLLLINLSGNNTNRIYVTSEGAQAQSARKEGRRFSHIPGLGEAAELTREEYHLTPKDGNLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVVPYSIVFAHIPSFYAPACR >PAN08757 pep chromosome:PHallii_v3.1:1:58206232:58210725:1 gene:PAHAL_1G434600 transcript:PAN08757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYETGDSGQPCTPFVKNTSAMFGFSQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRIPMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGNELSGSGVGARIDADQYAADVINLKHIIDSTYQGNPSKPLVLAPGGFFDAAWFTELVSKTKPGQMDVITHHIYNLGPGVDDHLIEKILNPSYLDGEASTFSNLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTTVLSTTFNGTNKIRAYAHCAKNSQGITLLLINLSGNNTNRIYVTSEGAQAQSARKEGRRFSHIPGLGEAAELTREEYHLTPKDGNLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVVPYSIVFAHIPSFYAPACR >PAN08760 pep chromosome:PHallii_v3.1:1:58206887:58210725:1 gene:PAHAL_1G434600 transcript:PAN08760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYETGDSGQPCTPFVKNTSAMFGFSQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRIPMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGNELSGSGVGARIDADQYAADVINLKHIIDSTYQGNPSKPLVLAPGGFFDAAWFTELVSKTKPGQMDVITHHIYNLGPGVDDHLIEKILNPSYLDGEASTFSNLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTTVLSTTFNGTNKIRAYAHCAKNSQGITLLLINLSGNNTNRIYVTSEGAQAQSARKEGRRFSHIPGLGEAAELTREEYHLTPKDGNLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVVPYSIVFAHIPSFYAPACR >PAN08759 pep chromosome:PHallii_v3.1:1:58206231:58210726:1 gene:PAHAL_1G434600 transcript:PAN08759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLLLKVVGFCVWALFWLGGTATVSTTALAGGDAVVVDARSAVAATDDDFICATLDWWPPDKCDYGTCSWGLATLLNLNLSNKILLNAVKAFSPLKLRLGGSLQDMLIYETGDSGQPCTPFVKNTSAMFGFSQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRIPMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGNELSGSGVGARIDADQYAADVINLKHIIDSTYQGNPSKPLVLAPGGFFDAAWFTELVSKTKPGQMDVITHHIYNLGPGVDDHLIEKILNPSYLDGEASTFSNLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTTVLSTTFNGTNKIRAYAHCAKNSQGITLLLINLSGNNTNRIYVTSEGAQAQSARKEGRRFSHIPGLGEAAELTREEYHLTPKDGNLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVVPYSIVFAHIPSFYAPACR >PAN04448 pep chromosome:PHallii_v3.1:1:4646353:4652860:1 gene:PAHAL_1G067900 transcript:PAN04448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAAALAAGLLLALAAGPAGADTDSADAAALGNLYTSWNSPSQLAGWSAGGGDPCGAAWQGVTCSGAGVTEIKLPGMGLDGSLGYELSNLFSLKTLDLSSNNLHGSVPYQLPPNLTYLNLASNNFSGNLPYSITNMASIEYLNLSHNSLSNQMGDLFGNLNSLSELDVSFNKLTGDLPNSIGSLSNLSSLYMQNNQFTGSVNVLRGLSPALTTLNIANNNFSGWIPQEFSSIPDLTLGGNSFANGPAPPPPPFMPPPPQRPRNRPKHPQGQGDAPKGSESPTIQSDKKQGLGTGPLVGIIAGSIVAALCVLLLLVCCMRVQKRKDDTSSETKDFVGPLTVNIERASSREIPEQSLENSSIAAVKLPHPEKMTPERVYGKNGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLQDMLHFTDEMSRKLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPEREGDLRDISF >PVH65743 pep chromosome:PHallii_v3.1:1:4646353:4652860:1 gene:PAHAL_1G067900 transcript:PVH65743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAAALAAGLLLALAAGPAGADTDSADAAALGNLYTSWNSPSQLAGWSAGGGDPCGAAWQGVTCSGAGVTEIKLPGMGLDGSLGYELSNLFSLKTDLSSNNLHGSVPYQLPPNLTYLNLASNNFSGNLPYSITNMASIEYLNLSHNSLSNQMGDLFGNLNSLSELDVSFNKLTGDLPNSIGSLSNLSSLYMQNNQFTGSVNVLRGLSPALTTLNIANNNFSGWIPQEFSSIPDLTLGGNSFANGPAPPPPPFMPPPPQRPRNRPKHPQGQGDAPKGSESPTIQSDKKQGLGTGPLVGIIAGSIVAALCVLLLLVCCMRVQKRKDDTSSETKDFVGPLTVNIERASSREIPEQSLENSSIAAVKLPHPEKMTPERVYGKNGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLQDMLHFTDEMSRKLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPEREGDLRDISF >PAN04447 pep chromosome:PHallii_v3.1:1:4646353:4652860:1 gene:PAHAL_1G067900 transcript:PAN04447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDGSLGYELSNLFSLKTLDLSSNNLHGSVPYQLPPNLTYLNLASNNFSGNLPYSITNMASIEYLNLSHNSLSNQMGDLFGNLNSLSELDVSFNKLTGDLPNSIGSLSNLSSLYMQNNQFTGSVNVLRGLSPALTTLNIANNNFSGWIPQEFSSIPDLTLGGNSFANGPAPPPPPFMPPPPQRPRNRPKHPQGQGDAPKGSESPTIQSDKKQGLGTGPLVGIIAGSIVAALCVLLLLVCCMRVQKRKDDTSSETKDFVGPLTVNIERASSREIPEQSLENSSIAAVKLPHPEKMTPERVYGKNGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLQDMLHFTDEMSRKLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPEREGDLRDISF >PAN08540 pep chromosome:PHallii_v3.1:1:57131637:57134492:-1 gene:PAHAL_1G419000 transcript:PAN08540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MAAARLTPNAVTATLAGDTNLKPVVQVVDLRSIAVTGPPGAGPRFRAIISDGVATTQALFAAQLCDLARSGLVRRGAIVQLLEYIVNDVRGRRAMVILNMEVLVPECEIIGNPVLPPESGVSNSNSMRADQFNGTLGSTAGSTLSTVTRSNDNAPVIQRPMAGNSSNMIPRPSDNAQVFQPTVQPSYRPAPNYRNHGTIMKNDAPARIIPISALNPYQGRWAIKARVTVKGGIRRYHNAKGDGKVFSFDLLDSDGGEIRATCFNALVDRFYEAVEVGKVYVVSRGSLKPAKKDYNHLNNEWEIFLESQSTIELCPDENSSIPAMRFSFTSIDKIEDSENNAIVDVIGVVTSVNPSTTIQRKNGMETQKRTITLKDMSCRSVEVTMWGDFCNREGLQLQEMVECGAFPVLAVKAGRVNDYSGKSVGTISSTQLLINPDLAEAHSLRQWFDCGGKDASTQSISRDFTPAASRNEIRKTVAQIKDDGLGMGDKPDWVTVKATVVFFKTDSFCYTACPNMIGDRQCGKKVTKSDSGNWLCDKCNQEFPECDYRYLLQLQIQDHSGTAWATAFQEPGEELLGCSARELYTFKENEDPRYADVLLQGLYRQYLLRLKVKEETYGDERRVKNTVFKVERIDPSAESKFLLDDISRLTGSY >PAN08541 pep chromosome:PHallii_v3.1:1:57131392:57134683:-1 gene:PAHAL_1G419000 transcript:PAN08541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MAAARLTPNAVTATLAGDTNLKPVVQVVDLRSIAVTGPPGAGPRFRAIISDGVATTQALFAAQLCDLARSGLVRRGAIVQLLEYIVNDVRGRRAMVILNMEVLVPECEIIGNPVLPPESGVSNSNSMRADQFNGTLGSTAGSTLSTVTRSNDNAPVIQRPMAGNSSNMIPRPSDNAQVFQPTVQPSYRPAPNYRNHGTIMKNDAPARIIPISALNPYQGRWAIKARVTVKGGIRRYHNAKGDGKVFSFDLLDSDGGEIRATCFNALVDRFYEAVEVGKVYVVSRGSLKPAKKDYNHLNNEWEIFLESQSTIELCPDENSSIPAMRFSFTSIDKIEDSENNAIVDVIGVVTSVNPSTTIQRKNGMETQKRTITLKDMSCRSVEVTMWGDFCNREGLQLQEMVECGAFPVLAVKAGRVNDYSGKSVGTISSTQLLINPDLAEAHSLRQWFDCGGKDASTQSISRDFTPAASRNEIRKTVAQIKDDGLGMGDKPDWVTVKATVVFFKTDSFCYTACPNMIGDRQCVTDPRSLGNSLGNSIPRAWGGATWLLGARTLYV >PAN04530 pep chromosome:PHallii_v3.1:1:5016804:5020724:-1 gene:PAHAL_1G073200 transcript:PAN04530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MRRAAAAVAAPLATDPQLLAAAVEAAIASRSPRLGRAAHARALRLLAPAIPPFICAHLVNLYSKLDLPGPAAAALASDPSPTVVSYTAFISGAAQHGRPAQALSAFAAMLRLGLHPNDFTFPSAFKAAASAPSRSTIGPQVHALALRFGYLPDDAFVACGALDMYFKTGRLALARRLFEEMPYRNVVAWNAVMTNAVLDGRPVETVEAYFGLREAGGMPNVVSVCAFFNACAGATYLSLGEQFHGFVVKCGFEMDVSVSNSMVDFYGKCRCVEKAKAVFDGMGVRNSVSWCCMVVAYAQNGGEEEAFSAYLGARRAGEEPTDFMVSSVLTTCAGLLGLDQGRALHAVAVRSCIDANIFVASALVDMYGKCGGIEDAEQVFLDMPQRNLVTWNAMVGGYAHIGDARNALAVFDDMIKCGETAPNYITLVNVLAACSRGGLTKEGYELFKTMNDRFGIKPRIEHYACVVDLLGRAGMEEQAYEIIQGMPMRPSISVWGALLGACKMHGKTELGKIAAEKLFELDPQDSGNHVLLSNMLASAGRWTEATDVRKEMKNVGIKKDPGRSWITWKNVVHVFQAKDTKHEMNNEIQALLAKLKSQMQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRFHHFKDYECLCKDYW >PAN04532 pep chromosome:PHallii_v3.1:1:5017083:5020724:-1 gene:PAHAL_1G073200 transcript:PAN04532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MRRAAAAVAAPLATDPQLLAAAVEAAIASRSPRLGRAAHARALRLLAPAIPPFICAHLVNLYSKLDLPGPAAAALASDPSPTVVSYTAFISGAAQHGRPAQALSAFAAMLRLGLHPNDFTFPSAFKAAASAPSRSTIGPQVHALALRFGYLPDDAFVACGALDMYFKTGRLALARRLFEEMPYRNVVAWNAVMTNAVLDGRPVETVEAYFGLREAGGMPNVVSVCAFFNACAGATYLSLGEQFHGFVVKCGFEMDVSVSNSMVDFYGKCRCVEKAKAVFDGMGVRNSVSWCCMVVAYAQNGGEEEAFSAYLGARRAGEEPTDFMVSSVLTTCAGLLGLDQGRALHAVAVRSCIDANIFVASALVDMYGKCGGIEDAEQVFLDMPQRNLVTWNAMVGGYAHIGDARNALAVFDDMIKCGETAPNYITLVNVLAACSRGGLTKEGYELFKTMNDRFGIKPRIEHYACVVDLLGRAGMEEQAYEIIQGMPMRPSISVWGALLGACKMHGKTELGKIAAEKLFELDPQDSGNHVLLSNMLASAGRWTEATDVRKEMKNVGIKKDPGRSWITWKNVVHVFQAKDTKHEMNNEIQALLAKLKSQMQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRFHHFKDYECLCKDYW >PAN04531 pep chromosome:PHallii_v3.1:1:5018520:5020716:-1 gene:PAHAL_1G073200 transcript:PAN04531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MRRAAAAVAAPLATDPQLLAAAVEAAIASRSPRLGRAAHARALRLLAPAIPPFICAHLVNLYSKLDLPGPAAAALASDPSPTVVSYTAFISGAAQHGRPAQALSAFAAMLRLGLHPNDFTFPSAFKAAASAPSRSTIGPQVHALALRFGYLPDDAFVACGALDMYFKTGRLALARRLFEEMPYRNVVAWNAVMTNAVLDGRPVETVEAYFGLREAGGMPNVVSVCAFFNACAGATYLSLGEQFHGFVVKCGFEMDVSVSNSMVDFYGKCRCVEKAKAVFDGMGVRNSVSWCCMVVAYAQNGGEEEAFSAYLGARRAGEEPTDFMVSSVLTTCAGLLGLDQGRALHAVAVRSCIDANIFVASALVDMYGKCGGIEDAEQVFLDMPQRNLVTWNAMVGGYAHIGDARNALAVFDDMIKCGETAPNYITLVNVLAACSRGGLTKEGYELFKTMNDRFGIKPRIEHYACVVDLLGRAGMEEQAYEIIQGMPMRPSISVWGALLGACKMHGKTELGKIAAEKLFELDPQDSGNHVLLSNMLASAGRWTEATDVRKEMKNVGIKKDPGRSWITWKNVVHVFQAKDTKHEMNNEIQALLAKLKSQMQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRFHHFKDYECLCKDYW >PAN07449 pep chromosome:PHallii_v3.1:1:51607069:51607944:1 gene:PAHAL_1G336800 transcript:PAN07449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MAPATTPPSAPAKSALLGGLAGLDAAVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLLSASPANASGAVSPLLAGLVVGLVIDLAFVGLVKVVVRRPRPAYNAKDMYVAVAADHWSFPSGHSSRAFLVAAFLAGGGFQPREALFLWAAATSASRVLLGRHYVLDVVAGAFLGVFEAWLSNLLLRFMCAQNTFLVC >PVH66990 pep chromosome:PHallii_v3.1:1:55804058:55808139:1 gene:PAHAL_1G401000 transcript:PVH66990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPQAPAPARARCPAPGVATRLTAADKRHDATEKREPKYPIETHHRTSPNRLEATPPLRRRRHSPQPSSSPRPVRAAPGARGPDERLPILAAAERVARGRLPWREEGGGSMGYLPSLGSKAAHFVSDLTTVILNPVSERETSHLPEVDEVQENFEDGKDSEHNSDSLDGPDTSSFRAFLISFLSSSSSNNNDSMEILPEQNVDMSYPTLTPMGKGSKGRSGLISRGKHSIGKIITKAARIGGFKQATAEPKIDRETVNHTEPVVPVLEIEESKEVASVSSLPTMSEPSVLLSEMMQSILYTSLPVLAQGRNWVLLYSTWRHGISLSTLYRRSMLCPGFSLLVVGDKKGTVFGGLVEAPLQPSSSKKYQGTNNCFVFTNLYDRPVIYRPTGANNYFTVCSTDYLALGGGGHFALYLDGDLLTGSSSNSETFNNECLSHSPDFSVKDVELWGFVYPSKYEEMLTLCRTEKPGICRW >PVH66989 pep chromosome:PHallii_v3.1:1:55804058:55807010:1 gene:PAHAL_1G401000 transcript:PVH66989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPQAPAPARARCPAPGVATRLTAADKRHDATEKREPKYPIETHHRTSPNRLEATPPLRRRRHSPQPSSSPRPVRAAPGARGPDERLPILAAAERVARGRLPWREEGGGSMGYLPSLGSKAAHFVSDLTTVILNPVSERETSHLPEVDEVQENFEDGKDSEHNSDSLDGPDTSSFRAFLISFLSSSSSNNNDSMEILPEQNVDMSYPTLTPMGKGSKGRSGLISRGKHSIGKIITKAARIGGFKQATAEPKIDRETVNHTEPVVPVLEIEESKEVASVSSLPTMSEPSVLLSEMMQSILYTSLPVLAQGRNWVLLYSTWRHGISLSTLYRRSMLCPGFSLLVVGDKKGTVFGGLVEAPLQPSSSKKYQGTNNCFVFTNLYDRPVIYRPTGANNYFTVCSTDYLALGGGGHFALYLDGDLLTGSSSNSETFNNECLSHSPDFSVKDVEQHATVAEMMNSRN >PVH67081 pep chromosome:PHallii_v3.1:1:57554219:57562730:1 gene:PAHAL_1G424300 transcript:PVH67081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MTPRFSSIASIGKGYGQSLQGSASHLFAGALMNGKMLRTIKSHDVAVVCLNWAEDDLLSRPDKDEFLPYEDRTARFFPPAPVMPRIGGLSSGDTGLADENEEAIPQFCSASCQRFNILCSGGTDGCVCFSIFGIFPVGKININKIPINVESSGKNNYQLQDASVSKQVSLSRNLQKLVVLCYGKLIDTENLSHNCEIAGLHCLYLDTSIFFNRKNELHQVSQQASSIQDLVEVVRASISLISKQWSSTMNLFHEKFNTLPNLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLVDGVTESIGMLVVQVERFSRVAATVLYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERIECDMDSARHVEQLVVFGGFTDTQFLERSLVKQFNQLEDSLKEAFLMPFTTISSQIHCQGLLPLYPVTSSDTFSLTCTPTSISFYKDEDSQHEESSHNWTDYVCFKIPDGSLNERNCIGVIKDSGNCCTALSMSSLSGFLLHMPDEYECVDLSLYKDNQVVLLLSERSHSDSPGRSWMVMLQTQNLSFTPLSGTFPTNIYSLQKLVALDLQLDTDYGKVRSIPHTVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDGDEVSDME >PAN08614 pep chromosome:PHallii_v3.1:1:57557500:57562730:1 gene:PAHAL_1G424300 transcript:PAN08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MPLTFQNINKIPINVESSGKNNYQLQDASVSKVSLSRNLQKLVVLCYGKLIDTENLSHNCEIAGLHCLYLDTSIFFNRKNELHQVSQQASSIQDLVEVVRASISLISKQWSSTMNLFHEKFNTLPNLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLVDGVTESIGMLVVQVERFSRVAATVLYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERIECDMDSARHVEQLVVFGGFTDTQFLERSLVKQFNQLEDSLKEAFLMPFTTISSQIHCQGLLPLYPVTSSDTFSLTCTPTSISFYKDEDSQHEESSHNWTDYVCFKIPDGSLNERNCIGVIKDSGNCCTALSMSSLSGFLLHMPDEYECVDLSLYKDNQVVLLLSERSHSDSPGRSWMVMLQTQNLSFTPLSGTFPTNIYSLQKLVALDLQLDTDYGKVRSIPHTVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDGDEVSDME >PVH67084 pep chromosome:PHallii_v3.1:1:57558162:57562730:1 gene:PAHAL_1G424300 transcript:PVH67084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MNLFHEKFNTLPNLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLVDGVTESIGMLVVQVERFSRVAATVLYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERIECDMDSARHVEQLVVFGGFTDTQFLERSLVKQFNQLEDSLKEAFLMPFTTISSQIHCQGLLPLYPVTSSDTFSLTCTPTSISFYKDEDSQHEESSHNWTDYVCFKIPDGSLNERNCIGVIKDSGNCCTALSMSSLSGFLLHMPDEYECVDLSLYKDNQVVLLLSERSHSDSPGRSWMVMLQTQNLSFTPLSGTFPTNIYSLQKLVALDLQLDTDYGKVRSIPHTVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDGDEVSDME >PAN08612 pep chromosome:PHallii_v3.1:1:57554218:57562764:1 gene:PAHAL_1G424300 transcript:PAN08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MAEEQMEEASTAAAEATPFQLQFDKPIPFQIKMAAWNPEKDLLAMVTDDSKVLLHRFNWQRLWTISPGKCITSICWSPDGKIIALGTEDGLVLLHDVENGKMLRTIKSHDVAVVCLNWAEDDLLSRPDKDEFLPYEDRTARFFPPAPVMPRIGGLSSGDTGLADENEEAIPQFCSASCQRFNILCSGGTDGCVCFSIFGIFPVGKININKIPINVESSGKNNYQLQDASVSKVSLSRNLQKLVVLCYGKLIDTENLSHNCEIAGLHCLYLDTSIFFNRKNELHQVSQQASSIQDLVEVVRASISLISKQWSSTMNLFHEKFNTLPNLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLVDGVTESIGMLVVQVERFSRVAATVLYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERIECDMDSARHVEQLVVFGGFTDTQFLERSLVKQFNQLEDSLKEAFLMPFTTISSQIHCQGLLPLYPVTSSDTFSLTCTPTSISFYKDEDSQHEESSHNWTDYVCFKIPDGSLNERNCIGVIKDSGNCCTALSMSSLSGFLLHMPDEYECVDLSLYKDNQVVLLLSERSHSDSPGRSWMVMLQTQNLSFTPLSGTFPTNIYSLQKLVALDLQLDTDYGKVRSIPHTVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDGDEVSDME >PVH67082 pep chromosome:PHallii_v3.1:1:57554219:57562730:1 gene:PAHAL_1G424300 transcript:PVH67082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MTPRFSSIASIGKGYGQSLQGSASHLFAGALMNGKMLRTIKSHDVAVVCLNWAEDDLLSRPDKDEFLPYEDRTARFFPPAPVMPRIGGLSSGDTGLADENEEAIPQFCSASCQRFNILCSGGTDGCVCFSIFGIFPVGKININKIPINVESSGKNNYQLQDASVSKVSLSRNLQKLVVLCYGKLIDTENLSHNCEIAGLHCLYLDTSIFFNRKNELHQVSQQASSIQDLVEVVRASISLISKQWSSTMNLFHEKFNTLPNLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLVDGVTESIGMLVVQVERFSRVAATVLYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERIECDMDSARHVEQLVVFGGFTDTQFLERSLVKQFNQLEDSLKEAFLMPFTTISSQIHCQGLLPLYPVTSSDTFSLTCTPTSISFYKDEDSQHEESSHNWTDYVCFKIPDGSLNERNCIGVIKDSGNCCTALSMSSLSGFLLHMPDEYECVDLSLYKDNQVVLLLSERSHSDSPGRSWMVMLQTQNLSFTPLSGTFPTNIYSLQKLVALDLQLDTDYGKVRSIPHTVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDGDEVSDME >PVH67083 pep chromosome:PHallii_v3.1:1:57554219:57562730:1 gene:PAHAL_1G424300 transcript:PVH67083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MAEEQMEEASTAAAEATPFQLQFDKPIPFQIKMAAWNPEKDLLAMVTDDSKVLLHRFNWQRLWTISPGKCITSICWSPDGKIIALGTEDGLVLLHDVENGKMLRTIKSHDVAVVCLNWAEDDLLSRPDKDEFLPYEDRTARFFPPAPVMPRIGGLSSGDTGLADENEEAIPQFCSASCQRFNILCSGGTDGCVCFSIFGIFPVGKININKIPINVESSGKNNYQLQDASVSKQVSLSRNLQKLVVLCYGKLIDTENLSHNCEIAGLHCLYLDTSIFFNRKNELHQVSQQASSIQDLVEVVRASISLISKQWSSTMNLFHEKFNTLPNLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLVDGVTESIGMLVVQVERFSRVAATVLYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERIECDMDSARHVEQLVVFGGFTDTQFLERSLVKQFNQLEDSLKEAFLMPFTTISSQIHCQGLLPLYPVTSSDTFSLTCTPTSISFYKDEDSQHEESSHNWTDYVCFKIPDGSLNERNCIGVIKDSGNCCTALSMSSLSGFLLHMPDEYECVDLSLYKDNQVVLLLSERSHSDSPGRSWMVMLQTQNLSFTPLSGTFPTNIYSLQKLVALDLQLDTDYGKVRSIPHTVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDGDEVSDME >PVH65557 pep chromosome:PHallii_v3.1:1:1820528:1824492:1 gene:PAHAL_1G025500 transcript:PVH65557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATAAANMRKGNRWIRKKEIMDLSCLFEKQRSGSEFSLDEGNSSCEYEVVIGNEHQATPSHQHRHRPC >PVH65919 pep chromosome:PHallii_v3.1:1:7705182:7706056:1 gene:PAHAL_1G103800 transcript:PVH65919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVAWLLCSLLLLLLLCSRSGCGEFATLSAPAWLPKENGGSEGDVAFFPGRPMRRSTVELIGFFYFVLFSAAGLIWSGCTRGQGPCLNSEHIRRSIPSKRGELRWIA >PVH65811 pep chromosome:PHallii_v3.1:1:5700820:5701824:-1 gene:PAHAL_1G081800 transcript:PVH65811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLAYLNLSTNRFSGQIPPDLGYLRNLKFLDLNYNSLQGSIPDAVTNCSSLRGLYLAGNLLVGEIPKKLALLSSLLHLWLHSNNLTGAIPPDLGNIATLRHVILQSNHLHGSIPEELGKLSNMSDLLLGGNRLTGRIPEALLNFSSLQQLAMPVNMLHGPLPSKIGDSLPNLQLLYLGGNMLGGHIPESLGNASALQSIALENNYGFTGRIPPPLVKLQKFRTLFLHNNNLEAEDSQSWEFLDALTNCTLLVRLSLYGNLLQQGVLPDSVGNLSSNLDYLSLGSNMLYGLVPSSIGNLHKLTTLDLQYNSFTGAIGGWIESMVNLEGLYQCLK >PAN05689 pep chromosome:PHallii_v3.1:1:16968250:16976958:-1 gene:PAHAL_1G150900 transcript:PAN05689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASGGFLAGVNDPWLKPWLLRAVVAERLPQPGGAELPPVELASVLGAVRTHGLLTEGLPDRAPEDPKLAEAWRTAVDSWVERVVALVESDSAYSCWLGTCFLGVTFQECSNERFAESYSNWYEKILSNLQQEPSCLQLVTVISCTSLSDLFVRLAKFLNLKKEASAFAGRVVEPVLRLLNENGLVADEAIDLLRTVIKLYPSSVNRHYNKVESAIVTKVMSTEVNIKPSEKFARTLALLPSIRASEDSWSLMIRRILIVVNNLLNDAFVGLEEEKNGHDIMLLLVPPGADPPPTLGDQVRPGANVHITKKFRVCTVPTISALMHCCSVMLTSYYPVQVNVPMRALVALIRRVLLVDGSLHKKLFPSTTSLHQELICFELPSLHSTFLDLLNATIKGMRSQLLPHGASIIRLVTEYFKIAKLPTLRTKAYSILQLLLTSMGIGTSLHLLEATVSNAIADLNDDGGSDMTIISTNPSKVTNESSSKFYSKKRKQEPQVQNSFVSGSEKAAISPRKRKGSSVQIASKGMTPETTGDARISTPLSVKITALETLEILLNVGGSLRTDHWRPEVDLLLINVAISACDMGGSYKQKPSTFGGASILDLQLASLKALLASFLSSPYARPPYLAKGIELFTKGKLEIGTKLAHFCSRALLALDVLMHPRALSLEKELNYSARGKTVFGGGTCQISAYRDQPQAMEVEDMYDDWLASTKDDEPAEAPVNGSAAGTSKAGTMFADGSQLNPIAEDPKINSLRITAAAQDAPASSKSDVNMVDTAAGEIVKPKTVDNSSSSNAVSAPVYTTNSDSQKHVIPSIPEQKRADEVSHLENRSPAVDAPSSKLGTSDEVSDVPVAAFGFHQAPEGRSTSFAELFGSESGVDSESDDSVPDIVDGDPDSD >PAN05690 pep chromosome:PHallii_v3.1:1:16968248:16976958:-1 gene:PAHAL_1G150900 transcript:PAN05690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASGGFLAGVNDPWLKPWLLRAVVAERLPQPGGAELPPVELASVLGAVRTHGLLTEGLPDRAPEDPKLAEAWRTAVDSWVERVVALVESDSAYSCWLGTCFLGVTFQECSNERFAESYSNWYEKILSNLQEPSCLQLVTVISCTSLSDLFVRLAKFLNLKKEASAFAGRVVEPVLRLLNENGLVADEAIDLLRTVIKLYPSSVNRHYNKVESAIVTKVMSTEVNIKPSEKFARTLALLPSIRASEDSWSLMIRRILIVVNNLLNDAFVGLEEEKNGHDIMLLLVPPGADPPPTLGDQVRPGANVHITKKFRVCTVPTISALMHCCSVMLTSYYPVQVNVPMRALVALIRRVLLVDGSLHKKLFPSTTSLHQELICFELPSLHSTFLDLLNATIKGMRSQLLPHGASIIRLVTEYFKIAKLPTLRTKAYSILQLLLTSMGIGTSLHLLEATVSNAIADLNDDGGSDMTIISTNPSKVTNESSSKFYSKKRKQEPQVQNSFVSGSEKAAISPRKRKGSSVQIASKGMTPETTGDARISTPLSVKITALETLEILLNVGGSLRTDHWRPEVDLLLINVAISACDMGGSYKQKPSTFGGASILDLQLASLKALLASFLSSPYARPPYLAKGIELFTKGKLEIGTKLAHFCSRALLALDVLMHPRALSLEKELNYSARGKTVFGGGTCQISAYRDQPQAMEVEDMYDDWLASTKDDEPAEAPVNGSAAGTSKAGTMFADGSQLNPIAEDPKINSLRITAAAQDAPASSKSDVNMVDTAAGEIVKPKTVDNSSSSNAVSAPVYTTNSDSQKHVIPSIPEQKRADEVSHLENRSPAVDAPSSKLGTSDEVSDVPVAAFGFHQAPEGRSTSFAELFGSESGVDSESDDSVPDIVDGDPDSD >PAN07767 pep chromosome:PHallii_v3.1:1:53239821:53244345:1 gene:PAHAL_1G361000 transcript:PAN07767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKKKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRIRPFPESEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSAYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEIHKICNVIGSPDEQSWPQGLSLAEAMKYQFPQIKGNQLSEVMKSASSEAIDLISSLCSWDPCKRPKATEVLQHTFFQGCTYVPPPVRLKVLPKTPPCVGTKGVSENNVARRFSTGTLSTMKSHSSAPTKLNSLSKTGVQRKLHMDRQAPQKSTRSTDNSNKLTTNRVPARNSPGNPVLRHSRSLPETGRGAMQKVSSITEKLSHMSVTSRTRSTVKPAVPMLKAGHAKSDFLGKSDDIPPAKRLTRKLVS >PAN07020 pep chromosome:PHallii_v3.1:1:49463887:49473819:-1 gene:PAHAL_1G305500 transcript:PAN07020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMGSLCGELRASQDSRSFSRSARSQVYVSKADGFKLGIEIAVLLFIHGSQPPCHLSVFLEVTDPRNTTSEWSCFVSHRLSVINQKVEEKSIMKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQELSDEDSEICSSTSGCQIEALPKRPSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSSGYDPDKNFWVHYKMAIVNQKNSAKTVCKESSICTKTWNNSVLQFMKISDMLDTDAGFLVRDTVIFTCEIIDCCPWFDFSDLEVWASDDDQDELSTDPDELIDSEDSEDMSGDEEDMFRNLLSRAGFSLTYGDNYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSPKSGGKKDASKCDSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEESGSSASTKASPDSNGASSPPELNVEGELTECACSIVYVTAEPNSDGIRDSPAMQDADLATNEIAADNLEHSCFPPETSATDLPADEGPEQASRSKWPEQSEELLGLIVNSLRALDSAVPHGCPEPRRRPQAVQKIALVLEKAPKQLQQDLIALVPKLVDGSEHSLAACALLDHLQKSDTEPSLRLPVFGALSELEFESDIWKQASVHALELLSDSNDEPLVTAITYVLKAASNCQHLSLAVRAVRWRLKDLGTEVPHCVLDFLSKTIQSQPDVAEAILKDIDSDSEPENNCLSSTSSCSTCSTDGLSAEGMYSWQEQSVHGRNHLSDVFALIEMLSIPGLFVEVAQVFERALLRGAFGLQLVAMVLERRHSYRSSSKSGSIVNDSQNKQVLLDGQFEPLSVQENDFTSVLALGEVLSLSTETKVQDFVRMLYAIIFKIYSEDHYRYRILKGLVERATNTSDNCRAVDIDMDVLVFLVKEEYGTARPVLNMLREVAEVAQADRSNLWHQICATEDENIRLREDMEMEQTKFTNEKVALNQRLTESEATISHVKSELKAERDRFTHEKKALSDQMREIENQLEWVRSEKDEQISKLSAEKKNLQVRLNDAESQLSMVKAQKREELKKVTKEKNTLAERLKNAEASRKRFDDELKRYAAETQTREEIRKSLENEVRRLTQTVGQTEGEKKEKEEQISRCEAYIDGMQSKLQVCQQYIQTLESSLQEEMARHAPLYGVGVESLSFDELEALANIHEQSLRQIKAIQHRKGSSHLLGGPSLSHIPGLFSSPPSPSMAVGPPSSRNPTSPIAPNGAGIHGNGHANGAGGRWFNPT >PAN07021 pep chromosome:PHallii_v3.1:1:49463889:49473819:-1 gene:PAHAL_1G305500 transcript:PAN07021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTTSAAAAAAAAAVTTDDPSPSPSDSTSATFTVDRRRDASASCRWTIPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPVSSSSSTTTTTSSKWDCFLSYRLSVVHPTDPAKSLGRDSWHRFSSKKRSHGWCDFAPSSAAAFLFQPHDALVIAADISVLSETASFADSDGRFTWKVLNFSLFRDMIRTQKIMSPAFFPAAASAGGSDCGLRISIYQSNVSGADHLSVCLESKEPVVQVASGSSASALPQSGAGSGVPDGDRGCWCLFRISVLNQRSGGSHIHKDSYGRFGADNASLGWGDYIKMDEFLAADSGYLVDGAVVFSASVHVIKESNSFTRSLPMVLGIGGAGGGRAGARKSDGHYGKFVWRIESFTRLKELLKKRKITGLCIKSRRFQVGNRDCRLIVYPRGQSQPPCHLSVFLEVTDPRNTTSEWSCFVSHRLSVINQKVEEKSIMKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQELSDEDSEICSSTSGCQIEALPKRPSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSSGYDPDKNFWVHYKMAIVNQKNSAKTVCKESSICTKTWNNSVLQFMKISDMLDTDAGFLVRDTVIFTCEIIDCCPWFDFSDLEVWASDDDQDELSTDPDELIDSEDSEDMSGDEEDMFRNLLSRAGFSLTYGDNYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSPKSGGKKDASKCDSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEESGSSASTKASPDSNGASSPPELNVEGELTECACSIVYVTAEPNSDGIRDSPAMQDADLATNEIAADNLEHSCFPPETSATDLPADEGPEQASRSKWPEQSEELLGLIVNSLRALDSAVPHGCPEPRRRPQAVQKIALVLEKAPKQLQQDLIALVPKLVDGSEHSLAACALLDHLQKSDTEPSLRLPVFGALSELEFESDIWKQASVHALELLSDSNDEPLVTAITYVLKAASNCQHLSLAVRAVRWRLKDLGTEVPHCVLDFLSKTIQSQPDVAEAILKDIDSDSEPENNCLSSTSSCSTCSTDGLSAEGMYSWQEQSVHGRNHLSDVFALIEMLSIPGLFVEVAQVFERALLRGAFGLQLVAMVLERRHSYRSSSKSGSIVNDSQNKQVLLDGQFEPLSVQENDFTSVLALGEVLSLSTETKVQDFVRMLYAIIFKIYSEDHYRYRILKGLVERATNTSDNCRAVDIDMDVLVFLVKEEYGTARPVLNMLREVAEVAQADRSNLWHQICATEDENIRLREDMEMEQTKFTNEKVALNQRLTESEATISHVKSELKAERDRFTHEKKALSDQMREIENQLEWVRSEKDEQISKLSAEKKNLQVRLNDAESQLSMVKAQKREELKKVTKEKNTLAERLKNAEASRKRFDDELKRYAAETQTREEIRKSLENEVRRLTQTVGQTEGEKKEKEEQISRCEAYIDGMQSKLQVCQQYIQTLESSLQEEMARHAPLYGVGVESLSFDELEALANIHEQSLRQIKAIQHRKGSSHLLGGPSLSHIPGLFSSPPSPSMAVGPPSSRNPTSPIAPNGAGIHGNGHANGAGGRWFNPT >PVH66645 pep chromosome:PHallii_v3.1:1:49465494:49473719:-1 gene:PAHAL_1G305500 transcript:PVH66645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTTSAAAAAAAAAVTTDDPSPSPSDSTSATFTVDRRRDASASCRWTIPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPVSSSSSTTTTTSSKWDCFLSYRLSVVHPTDPAKSLGRDSWHRFSSKKRSHGWCDFAPSSAAAFLFQPHDALVIAADISVLSETASFADSDGRFTWKVLNFSLFRDMIRTQKIMSPAFFPAAASAGGSDCGLRISIYQSNVSGADHLSVCLESKEPVVQVASGSSASALPQSGAGSGVPDGDRGCWCLFRISVLNQRSGGSHIHKDSYGRFGADNASLGWGDYIKMDEFLAADSGYLVDGAVVFSASVHVIKESNSFTRSLPMVLGIGGAGGGRAGARKSDGHYGKFVWRIESFTRLKELLKKRKITGLCIKSRRFQVGNRDCRLIVYPRGQSQPPCHLSVFLEVTDPRNTTSEWSCFVSHRLSVINQKVEEKSIMKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQELSDEDSEICSSTSGCQIEALPKRPSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSSGYDPDKNFWVHYKMAIVNQKNSAKTVCKESSICTKTWNNSVLQFMKISDMLDTDAGFLVRDTVIFTCEIIDCCPWFDFSDLEVWASDDDQDELSTDPDELIDSEDSEDMSGDEEDMFRNLLSRAGFSLTYGDNYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSPKSGGKKDASKCDSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEESGSSASTKASPDSNGASSPPELNVEGELTECACSIVYVTAEPNSDGIRDSPAMQDADLATNEIAADNLEHSCFPPETSATDLPADEGPEQASRSKWPEQSEELLGLIVNSLRALDSAVPHGCPEPRRRPQAVQKIALVLEKAPKQLQQDLIALVPKLVDGSEHSLAACALLDHLQKSDTEPSLRLPVFGALSELEFESDIWKQASVHALELLSDSNDEPLVTAITYVLKAASNCQHLSLAVRAVRWRLKDLGTEVPHCVLDFLSKTIQSQPDVAEAILKDIDSDSEPENNCLSSTSSCSTCSTDGLSAEGMYSWQEQSVHGRNHLSDVFALIEMLSIPGLFVEVAQVFERALLRGAFGLQLVAMVLERRHSYRSSSKSGSIVNDSQNKQVLLDGQFEPLSVQENDFTSVLALGEVLSLSTETKVQDFVRMLYAIIFKIYSEDHYRYRILKGLVERATNTSDNCRAVDIDMDVLVFLVKEEYGTARPVLNMLREVAEVAQADRSNLWHQICATEDENIRLREDMEMEQTKFTNEKVALNQRLTESEATISHVKSELKAERDRFTHEKKALSDQMREIENQLEWVRSEKDEQISKLSAEKKNLQVRLNDAESQLSMVKAQKREELKIDYSTNPRNKCFLIVSLPK >PVH66440 pep chromosome:PHallii_v3.1:1:44888720:44888986:-1 gene:PAHAL_1G246600 transcript:PVH66440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMRSGLTMLGRRLCRSSGSSANASMRGRGREIHQVFCPVVTPLRPEP >PAN04107 pep chromosome:PHallii_v3.1:1:2938819:2942445:-1 gene:PAHAL_1G042600 transcript:PAN04107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MLRLSRFLPPASRRGFDLKEPLWSGSLTFQQAVSTSAGNLDENLSGKKFASYTVFKGKAALSIHPILPSFSKMESGGSRMNRNGSVMLTFFPAVGQRKYDYTKKQLFALSPTEVGSLISLGPADSCEFFHDPSMKSSHEGQVKKSLSITPLGSDSGYFVNITVLNNLQKTTDRLSVPITKAEFAVMRTALSFALPHIMGWDQVLTHHPAPLTSSKPRVERPHPDSEWER >PAN07554 pep chromosome:PHallii_v3.1:1:52814438:52820082:1 gene:PAHAL_1G355700 transcript:PAN07554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQDGAAGLSPLDSAPGVSAENGDENTEQRQPFFSMCQPIRSVSYSNSWESICAPAANETDHNSGLKSMADDDVLITSSSLGSEQPQPEGISGTVDGDGKSHSPHPINKEASLVEDAMEMEQSVDEIDVPHGETIEQPEPLSTEQLDSIDGWNLWNDKDNQKLIPLNSDLCHSNIGETCDAEDKQFSLSFSYRRQPQSVGAGLSNMGNTCFLNATLQCITHTVPLFLKLRSTDHSTPCSYNKDGFCSFCALKEHVDESIRRSGSVIMPAKFRDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPMSKGKGSSFDEESIVKEIFGGQLKSQLSCCECDHSSETFEPFLDLSLEIDQVDSLEDALKSFTKVEQIGDSEDKLTCEGCNAQVCKNKQLTLHRAPDVIAFHLKRFTTIDNSVEKIDKHVSYPLEVDLKPFHSNPDTAGELKYDLYGVVEHSGLPNYGHYVCSIRSSPSTWYLMNDSHVDSITDERELKQEAYILFYVRQGKFPWFSSLLEGKDALHAENTRGASPVSVLENIDANYSTSGGGSSSNSGDKLEKNDARQLEESEKVETSQYKASFCPEEPSKRSSLVASNINNTRDEISPSRPSLHDDAIRCPRSVETTNLDRPSTPLRSKRLVCHNEFGVFEFEDYDDEETPLLPDRKFPSEAKKTKAVSASKAVKGPCIDQNARRLMSGMPSSRRKGLMDCMLTQQNANQEPRSRRCPASDPLDKKKRKLVLQC >PAN07702 pep chromosome:PHallii_v3.1:1:52814520:52820082:1 gene:PAHAL_1G355700 transcript:PAN07702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQDGAAGLSPLDSAPGVSAENGDENTEQRQPFFSMCQPIRSVSYSNSWESICAPAANETDHNSGLKSMADDDVLITSSSLGSEQPQPEGISGTVDGDGKSHSPHPINKEASLVEDAMEMEQSVDEIDVPHGETIEQPEPLSTEQLDSIDGWNLWNDKDNQKLIPLNSDLCHSNIGETCDAEDKQFSLSFSYRRQPQSVGAGLSNMGNTCFLNATLQCITHTVPLFLKLRSTDHSTPCSCMDNKDGFCSFCALKEHVDESIRRSGSVIMPAKFRDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPMSKGKGSSFDEESIVKEIFGGQLKSQLSCCECDHSSETFEPFLDLSLEIDQVDSLEDALKSFTKVEQIGDSEDKLTCEGCNAQVCKNKQLTLHRAPDVIAFHLKRFTTIDNSVEKIDKHVSYPLEVDLKPFHSNPDTAGELKYDLYGVVEHSGLPNYGHYVCSIRSSPSTWYLMNDSHVDSITDERELKQEAYILFYVRQGKFPWFSSLLEGKDALHAENTRGASPVSVLENIDANYSTSGGGSSSNSGDKLEKNDARQLEESEKVETSQYKASFCPEEPSKRSSLVASNINNTRDEISPSRPSLHDDAIRCPRSVETTNLDRPSTPLRSKRLVCHNEFGVFEFEDYDDEETPLLPDRKFPSEAKKTKAVSASKAVKGPCIDQNARRLMSGMPSSRRKGLMDCMLTQQNANQEPRSRRCPASDPLDKKKRKLVLQC >PAN08256 pep chromosome:PHallii_v3.1:1:55673873:55678363:1 gene:PAHAL_1G398300 transcript:PAN08256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQLVFPLLLLAVAAAASAAEAAATLSSRMVHRLSDEARLEAGPSGERWPRRGSGEYYRALVRSDLQRQKRRLGVKYQLLSLSKGGGTLSSGDALGWLYYTWVDVGTPNTSFLVALDTGSDLFWVPCDCIQCAPLSGYRGSLDRDLGIYKPEESTTSRHLPCSHELCLGSGCTNPKQPCPYNIEYFSENTTSSGLLIEDTLHFYSREGHVPVNASVIIGCGRKQSGDYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKEDNSGRIFFGDQGVSNQQSTPFVPLYGKLQTYAVNVDKSCIGHKCLVGTSFEALVDSGTSFTSLPLDVYKAVTVEFDKQMNASRVPYEDSTLKYCYSASPLEMPDVPTITLTFAVNKSFQAVNPILPFNDKQGALAGFCLAILPSSEPIGIIAQNFLVGYHVVFDRENMKLGWYRSECRDVDNSTTVPLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGTAPPSSATTNLQKLLASSYPLLLLTMSTVFFIS >PVH66977 pep chromosome:PHallii_v3.1:1:55675664:55678239:1 gene:PAHAL_1G398300 transcript:PVH66977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGASIMQDRDLGIYKPEESTTSRHLPCSHELCLGSGCTNPKQPCPYNIEYFSENTTSSGLLIEDTLHFYSREGHVPVNASVIIGCGRKQSGDYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKEDNSGRIFFGDQGVSNQQSTPFVPLYGKLQTYAVNVDKSCIGHKCLVGTSFEALVDSGTSFTSLPLDVYKAVTVEFDKQMNASRVPYEDSTLKYCYSASPLEMPDVPTITLTFAVNKSFQAVNPILPFNDKQGALAGFCLAILPSSEPIGIIAQNFLVGYHVVFDRENMKLGWYRSECRDVDNSTTVPLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGTAPPSSATTNLQKLLASSYPLLLLTMSTVFFIS >PAN08255 pep chromosome:PHallii_v3.1:1:55673873:55678363:1 gene:PAHAL_1G398300 transcript:PAN08255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRQTPPFWLHWTPGATYSGYPVTVSSVLLCLATEEVWCSVMNGASIMQDRDLGIYKPEESTTSRHLPCSHELCLGSGCTNPKQPCPYNIEYFSENTTSSGLLIEDTLHFYSREGHVPVNASVIIGCGRKQSGDYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKEDNSGRIFFGDQGVSNQQSTPFVPLYGKLQTYAVNVDKSCIGHKCLVGTSFEALVDSGTSFTSLPLDVYKAVTVEFDKQMNASRVPYEDSTLKYCYSASPLEMPDVPTITLTFAVNKSFQAVNPILPFNDKQGALAGFCLAILPSSEPIGIIAQNFLVGYHVVFDRENMKLGWYRSECRDVDNSTTVPLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGTAPPSSATTNLQKLLASSYPLLLLTMSTVFFIS >PAN09024 pep chromosome:PHallii_v3.1:1:59354772:59359335:1 gene:PAHAL_1G452900 transcript:PAN09024 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MEAPGSPYASSPESAPKRAPRSPPQQQQPSEEGDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGMFDEVMKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGSTIKSFIEESHAGIKISPQDNNYAGLHDRLVTVTGTFDNQMNAIDLILKKLSEDVHYPPNLSSPFPYAGLTFPSYPGVPVGYMIPQVPYNNAVNYGPNGYGGRYQNNKPSTPMRSPANNDAQESITIGVADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFMPGTTDRKVTITGTPEAIRTAESMIMQRVSASSER >PVH66890 pep chromosome:PHallii_v3.1:1:54205921:54207873:1 gene:PAHAL_1G376700 transcript:PVH66890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLPREKRKKGTAAARPFHPRGPRVTLAPGWGPSPRAPPSSLPLLPLATSPSPPVCIAFPSSPRVSPRLSPPRPLLALLAKPPPSPPPPTQKRGRLLSRGAAEASRPLHRCPAVGWFRAAPERSEPRAAAQRSSYHIGFH >PAN06309 pep chromosome:PHallii_v3.1:1:45893642:45899249:1 gene:PAHAL_1G254800 transcript:PAN06309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGRGRPSRTAPPAPLPSKLITACFFALLLPILLTEEVAVADALSIGVNYGQIANNLPSPARVSWLLRSMRISKVKLYDADPNVLRAFLGTGVEFVVGIGNEAVPAMVSPAAAQAWLQQHLVPHLRAGARVTCVTVGNEVFKGNDTALQAAVLPAMESVHRALGALGLQGRVNVTTAHSLDIMGVSFPPSAGVFHPAALPHLQPFLGFLSATRAPFLINCYPYFAYKDDPARVPLEYVLFQPNAGVADPHTGLRYDNMLYAQVDAVYAAIQALGHTDVEVVVSETGWPSRGDPDEPGATPENAGTYIRNLLQRIEAKQGTPLRPAAPVDVYVFALFNENLKPGPASERNYGLFYPDGSPVYNAGVHGYLPPMLVVSNAARQVIHLFTLVTIASVTFVLS >PAN06310 pep chromosome:PHallii_v3.1:1:45893642:45897577:1 gene:PAHAL_1G254800 transcript:PAN06310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGRGRPSRTAPPAPLPSKLITACFFALLLPILLTEEVAVADALSIGVNYGQIANNLPSPARVSWLLRSMRISKVKLYDADPNVLRAFLGTGVEFVVGIGNEAVPAMVSPAAAQAWLQQHLVPHLRAGARVTCVTVGNEVFKGNDTALQAAVLPAMESVHRALGALGLQGRVNVTTAHSLDIMGVSFPPSAGVFHPAALPHLQPFLGFLSATRAPFLINCYPYFAYKDDPARVPLEYVLFQPNAGVADPHTGLRYDNMLYAQVDAVYAAIQALGHTDVEVVVSETGWPSRGDPDEPGATPENAGTYIRNLLQRIEAKQGTPLRPAAPVDVYVFALFNENLKPGPASERNYGLFYPDGSPVYNAGVHGYLPPMLVVSNAARQVIHLFTLVTIASVTFVLS >PVH65498 pep chromosome:PHallii_v3.1:1:780260:781998:-1 gene:PAHAL_1G008700 transcript:PVH65498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKERSTVCRIVVLATNSLQRRKKSSFYFSWTGLLHLHQGQFISFESLCRSSTTGLNSDGTKQLHQVLKSMHSLITHRRRVHALQLSVCQLQFRAVGIASLFPVP >PVH67090 pep chromosome:PHallii_v3.1:1:57658597:57659869:1 gene:PAHAL_1G425300 transcript:PVH67090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCPPNNISPSLHVFIWSARPRATGGSGSTIHSSAASPPMAMASCSSSSCRRGQLAAGVVVGIALLVLLAGTADAYPGGGGDLRYQFLSQQNAARASMGLAPLVWDERVAAYARWYAQSRRGDCALVHSSGPYGENLFWGSGTGWAPAQAVGAWLSERPRYDYWSNSCYGGMCGHYTQIMWRNTRRVGCAMVTCYNGRGTFITCNYDPPGNYVGVRPY >PAN06880 pep chromosome:PHallii_v3.1:1:48844419:48845617:-1 gene:PAHAL_1G294300 transcript:PAN06880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALRRAAPVPGARPFSKPSRGAAPPAAACGAMARESSSSIRRAGANRKLSVPSTKCTKVVRNGVVVGMEDTPVGGGEAPSAHPIGKRPRIVETATCAAVTKEKKSSARRAGADEQFRSKRAKVTRDGVETSVAPAALGTLRKRMAAELDALHALLRKAEPLSSGKSAEPRSEAPVEASINTPPAKRTKVYDLAMVAKLESPEDDNELIDICGGVYPVAIQKASSVFSLEKTGETGNSESKDIPEDDNEFVDICGGVSPVVPVEKACESGSSPTSSRDSGSSSSSDSDSDSNSENDRNETVDLPVPQAVLTEENGTSVQPAPDPASKMVAQSTEPEKLPTAALIAKAKVRRNLLEMERAVLPDESIHPRDLNDLYIAEYGRPGIMRQLGLFLKADA >PAN05852 pep chromosome:PHallii_v3.1:1:11482521:11485020:-1 gene:PAHAL_1G132900 transcript:PAN05852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFGLLANWQRDYTMENILTQLKKEMAASHNRKLVQPPEGTFF >PAN05853 pep chromosome:PHallii_v3.1:1:11481868:11485207:-1 gene:PAHAL_1G132900 transcript:PAN05853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFGLLANWQRDYTMENILTQLKKEMAASHNRKLVQPPEGTFF >PAN07873 pep chromosome:PHallii_v3.1:1:53774459:53788441:-1 gene:PAHAL_1G369400 transcript:PAN07873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 7 [Source:Projected from Arabidopsis thaliana (AT3G03380) UniProtKB/Swiss-Prot;Acc:Q8RY22] MESPAKEDAGGELAMEIESSVTAEDWRRALSRVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDTSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPVYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYIQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWDGFGTKPESVYIPRGTLQATFHHKGFEETRRLGLRNETEQMVRVVSPAGETGMLVVESVVPEGPAHKHLEPGDVLVRINEEAVTQFLRLETLLDDSVGREIDLQIERGGVPLTVKLQVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIANLDDLIAVLSKLARGSRVPLEYVKYTDRHRNKSVLVTIDQHEWYAPPQLYTRNDATGLWTAKPAIPPESPFLASAHHANHVDTNLNSVPSLSESSPMDLKCQYDSENLADGCIKMQTDDEIVVDGSHSSEDSLVEKKRRRVDEEIAAEGTLPSYGDLEDVQGDLLRHPSNGEGSDLARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVIIHHSDCLGLVAVDRNTVAVSISDIMLSFAAYPIEIPGEVVFLHPVHNFALVAYDPSALGAGASVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSSFSGILTDEQGRVQALWASFSTQEHVLKRIKKRTKRRLTRKLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGMKRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIEKACQELDQSIGSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEINGQPTTDLETFIQVVKGLEDGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFQPETATWRRRTIKALQSTTA >PAN07872 pep chromosome:PHallii_v3.1:1:53774045:53788698:-1 gene:PAHAL_1G369400 transcript:PAN07872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 7 [Source:Projected from Arabidopsis thaliana (AT3G03380) UniProtKB/Swiss-Prot;Acc:Q8RY22] MESPAKEDAGGELAMEIESSVTAEDWRRALSRVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDTSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPVYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYIQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWDGFGTKPESVYIPRGTLQATFHHKGFEETRRLGLRNETEQMVRVVSPAGETGMLVVESVVPEGPAHKHLEPGDVLVRINEEAVTQFLRLETLLDDSVGREIDLQIERGGVPLTVKLQVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIANLDDLIAVLSKLARGSRVPLEYVKYTDRHRNKSVLVTIDQHEWYAPPQLYTRNDATGLWTAKPAIPPESPFLASAHHANHVDTNLNSVPSLSESSPMDLKCQYDSENLADGCIKMQTDDEIVVDGSHSSEDSLVEKKRRRVDEEIAAEGTLPSYGDLEDVQGDLLRHPSNGEGSDLARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVIIHHSDCLGLVAVDRNTVAVSISDIMLSFAAYPIEIPGEVVFLHPVHNFALVAYDPSALGAGASVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSSFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGMKRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIEKACQELDQSIGSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEINGQPTTDLETFIQVVKGLEDGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFQPETATWRRRTIKALQSTTA >PAN05663 pep chromosome:PHallii_v3.1:1:15907167:15911059:-1 gene:PAHAL_1G148000 transcript:PAN05663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCVVTAAAADVAGGAHAQEEEVRIFYQRYGHGATKVLLIIGFAGTYESWGPQVKGLSGAIEPVDEEAPAGDDSGAAEGVEVCCFDNRGMGHSSVLEQKSQYTTAIMAKDALALMDHLGWRKAHVFGHSMGSMIASKLAAMAPDRVASLALLNTTGGGYQCIPKVDWHTISLACRFLRARTPEQRAILDLEVHYTKEYLEEAVGSSTRRQMLYHEYVKGLSSGGMQSRHGFEGQLNACWTHKLSTKELDRIRLAGFFVLIIHGRDDVIAQLYYARRLAEKLQPAAKLVELHGGHLVSHERPAEVNMSLMEMIKASKSNTDLEEWSNLPKKSDAGSLRKRDGDGVNYLIVTYNLLGKLHLILLFLFGVFHLILEHARRALRVLKPARVSASTL >PAN05662 pep chromosome:PHallii_v3.1:1:15907737:15910663:-1 gene:PAHAL_1G148000 transcript:PAN05662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCVVTAAAADVAGGAHAQEEEVRIFYQRYGHGATKVLLIIGFAGTYESWGPQVKGLSGAIEPVDEEAPAGDDSGAAEGVEVCCFDNRGMGHSSVLEQKSQYTTAIMAKDALALMDHLGWRKAHVFGHSMGSMIASKLAAMAPDRVASLALLNTTGGGYQCIPKVDWHTISLACRFLRARTPEQRAILDLEVHYTKEYLEEAVGSSTRRQMLYHEYVKGLSSGGMQSRHGFEGQLNACWTHKLSTKELDRIRLAGFFVLIIHGRDDVIAQLYYARRLAEKLQPAAKLVELHGGHLVSHERPAEVNMSLMEMIKASKSNTDLEEWSNLPKKSDDNRSDFWCLLDQLVLSVSEMVMA >PAN07258 pep chromosome:PHallii_v3.1:1:50701849:50707361:-1 gene:PAHAL_1G322400 transcript:PAN07258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVEAWVREKPIRTFLARLAQRRGAAAAAFLASAAAAAAIDGEGGGEESIPQLSSIANSVVSRCSRILALSTENLRQSFETDFPHNCNEPNTYARELLEYCCHKALHEVTTRPDYLADKNLRRLMFDMMLAWETPGSEDASVENGSTVRDSLEIEDVDEGSIFYANSTRLAVQVDDKKTVGLNAFAQIAPSCPIIADLVTVHNLFDALTSSSGGRLHFLVYDKYLRSLDRELRSVKGIMQSPLASSFHLDAGECILAIDGDKPIHPVLQHIGISAWPGRLVLTTHALYFQSIRVGYGDNIVKYDLATDSNQVIKRDLTGPLGVRLFDKAVMYKSSILTEPIYFDFPELGGPSRRDYWLAITREVLQVNRFIRKFNLGDVQRAEALSKAILGILRYSAVKEAFHIAPSHFKTTLTFSLAEKLPKGDMVLEALYNNYFQLLDSSLSHLATDSAVDKMSENHSVPFSLYALSRMGFLLLKRKDETEKEISFCAVCFGVTKSLEAALKESICYSERIESARATVDQVKVEGIDANLAVMQELLFPFIQVGKIVYSLSQWEDPLKSLLFLAFILYVIQRGLVSYVVPFVFLTFAVLMLWHKYIGDGKLLEVLEVKPPPSKNAVEQILTLQEAISKLEDSLQAVNIALLKFRSVLFASVPKATEVVAAVLIAATTFLVVVPSRHLILMVALELYTREMPLRKQNTEKFRRRIKEWWARIPAAPVQMIGPNETKKKR >PVH66325 pep chromosome:PHallii_v3.1:1:33696227:33696664:1 gene:PAHAL_1G207800 transcript:PVH66325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPQQPGIEPEQVTDTYPLSRDQNLGMAYDVTSAVEAEASLASRTACRCHQMSTFQHTRRSWRRVLDFGVPLVAMAMTPSFHHKHPTRHRLQVSVHTQPTPCPRVHLHTQPSR >PAN05302 pep chromosome:PHallii_v3.1:1:10960702:10965380:-1 gene:PAHAL_1G130400 transcript:PAN05302 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-gulonolactone oxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11540) UniProtKB/Swiss-Prot;Acc:Q9LYD8] METRATFLLISLLLVSCHAPAPALALPPRPPVRCGGGGDCVLSNAYGAWSSDRADCPVSAVAYPASEREVVAAVARASAAGARVKVVSGFAHTIPKLACPGGGGNASTLLISTARLAGVEVDAAARTVTADAGAPLRAVIDAAEAKGLSLAAAPYWEGVSMGGLVSTGSHGSSWWGRGGAVHDHVVGLRLVAPAGEADGWATVLPLRMGDELFPAALVSLGLLGVVTKITLSLEPRFKRSITYEYRDDSTFQDDFTAHAARHEFADITWYPSQHTAVYRVDDRAPLNASGDGVNDFIGFQATPIVATAGLRALETWLERSKNVRSKCALAAAESAAKRLVGNGLKNNGLLFTGYPVVGYQGKMQTSGSCARSPAADLLSACGWDPRFHGIFFYESTAIFSPARFRDFVLDVKRLRDAAGAESLCGVDVYNGLLVRFVKASAAHLGQPEDSVVVDFNYYRASDPAAPRLSEDVWEEVEQLAFVKHGARPHWAKNRLVAFAGVQGKYPRWGQFAAAKRRLDPRGLFDSPWSDEVVGGVEMDKGDGCALDGRCVCSEDRHCSPGQGYYCRPGLVFTEARVCRYSVSQNQ >PAN07527 pep chromosome:PHallii_v3.1:1:51952026:51954558:1 gene:PAHAL_1G343000 transcript:PAN07527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGMGNDGASSSSSRLDPAPLLPHHGSASGEIGLSSQPKTFANVFIAVVGAGVLGLPYTFSHTGWAAGSLLLFAVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFGAHGRFAVDVMLVLSQVSFCIGYLIFISNTMAHLYPIFAPSSSALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVAAWLAKPPPVVAFGGPGALLYGLGVSVYAFEGIGMVLPLEAEAANKKKFGVTLGLSMAFIGVMYGLFGVMGYVAFGDATRDIITTNLGAGWLSAAVQLGLCINLFFTMPVMMNPVYEVAERLLHGKRYCWWLRWLLVVVVGLAAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMAWPGVLSDVLLVVLGLALAVFGTYSSLLQIFHSSSA >PAN08984 pep chromosome:PHallii_v3.1:1:59131904:59134724:-1 gene:PAHAL_1G449700 transcript:PAN08984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPAGYYGPPPGYCDGNCCHLHYAQPDETQLHSSQLTYDLYNPSVGIYLPGSADDHEHDTVYIEPSSSSPGPGSDGYFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIISQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTKRRRWWPFSQHHHH >PAN08985 pep chromosome:PHallii_v3.1:1:59131468:59135435:-1 gene:PAHAL_1G449700 transcript:PAN08985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIISQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTKRRRWWPFSQHHHH >PAN08983 pep chromosome:PHallii_v3.1:1:59131468:59135435:-1 gene:PAHAL_1G449700 transcript:PAN08983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPAGYYGPPPGYCDGNCCHLHYAQPDETQLHSSQLTYDLYNPSVGIYLPGSADDHEHDTVYIEPSSSSPGPGSDGYFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIISQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTKRRRWWPFSQHHHH >PAN08982 pep chromosome:PHallii_v3.1:1:59131904:59134724:-1 gene:PAHAL_1G449700 transcript:PAN08982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPAGYYGPPPGYCDGNCCHLHYGSADDHEHDTVYIEPSSSSPGPGSDGYFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIISQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTKRRRWWPFSQHHHH >PAN07701 pep chromosome:PHallii_v3.1:1:52877689:52879906:-1 gene:PAHAL_1G356200 transcript:PAN07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKHLIPNNAAGLTYAARDHAAREDYGGGDGGGGGDPFEGFPDAVLGLIVSKLPFRSAVAASAISRRWRGAAATAPALDIDFAAAFPAAPRRRAAFAAAATAALAPPCPHPLRSLRLALDGLFDQAFAASAAGHLASWLAAAAARGVERLELRLPRSRLAVLPPSLLACTGLTSLTLRLDHYALPLPSLAPFTRLSRLHLASVSLTGDFFGDLLSHCTELRYLILEQCRIVALCLVGPSRLRSLAITDCSWTQESSLAVLEMPELRSLRYSGAMATRHIIDGDVSLDEVVLAIEKPQVKPREATLRELLTLVGNVRSLLLSPWCIEQFARAEEWLKVRLDRVRQLSCVIDRREEGALSIAPLLSNCRNVEELHVSVVPSQGKRRRCSDGECHGVPRSKGVTVKHLKGVRMQYIDESKSGLELVKILLKDAPALETMNIVPSMDGLEQAKFRRRVLKFRKSSQNASIQFCTAG >PAN07758 pep chromosome:PHallii_v3.1:1:53216978:53220569:1 gene:PAHAL_1G360400 transcript:PAN07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSHSISSEKSRAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAGVVASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSFAEAASSNLQAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASHTGMFNSFNHIR >PAN06575 pep chromosome:PHallii_v3.1:1:47382918:47386815:1 gene:PAHAL_1G272200 transcript:PAN06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKWKLSEPPPRGFRFSQITPTKSYHSRIASFAVVLRLSLCNPLPCSLHYHSITITTTAHASPANPSRGRQWPAMDGDGGGAAAVHHHTRSPEDVFRDFRARRAGIVKALTTDVEKFYQMCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKDARRRLFTMINNLPTVYEVVTGVAKKQSKAPNGSSKSSKSNSKPSKQTNSNSKPAKPAHPKEEEDSGREDAEEEDQAYLCGSCGESYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPSCSSKRSRE >PAN06235 pep chromosome:PHallii_v3.1:1:45136764:45140796:1 gene:PAHAL_1G248300 transcript:PAN06235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAAESPGAAAERLKRNDSLYGDAEKVSNDKYHGSGGSWARTLMLAFQSIGVVYGDIGTSPLYVYSSTFPDGIRHPDDLLGVLSLILYTLILIPMLKYVFVVLHANDDGDGGTFALYSLISRYAKIRMIPDQQTEDTTLSNYSVDTRLRRAQWLKEKLESSNAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQLQVVWISVAILFVLFSVQRFGTDKVGYSFAPIISVWFLLIAGTGMYNLAVHDATILRAFNPMYIVQYFSRNGKEAWVSLGGVILCITGAEAMFADLGHFNIRAIQISFTCILFPSVALCYMGQAAYLRRFPENVADTFFKSIPEPMFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSSKYEGQVYLPEVNFLIGAASVAVTMGFQTTANIGNAYGICVVTVFSITTHLMAVVMLLVWRTPAALVAAFYVVFGLAEFVYLSSILSKFADGGYLPFCFSLVLMGLMAAWHYVHVLRYWHEVDRAVPAAELGAVLARRDVRRVRGVGLLYSELVQGIPPVFQILVDKIPSVHAVFVFVSIKHLPVPRVAAPERLILRRVGPVNHRVFRCVARYGYTDTMEGHREFAAFLLDRLKVFVREEATFGDGVGSHSGRAQTAAAAVEQEQRFIDAEAARGVVYLMGEATVTAAAGSSWAKRVVVNNVYGFLRKNLRESHKALSIPKDQLLRVGVTYEI >PAN06236 pep chromosome:PHallii_v3.1:1:45137229:45140797:1 gene:PAHAL_1G248300 transcript:PAN06236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAAESPGAAAERLKRNDSLYGDAEKVSNDKYHGSGGSWARTLMLAFQSIGVVYGDIGTSPLYVYSSTFPDGIRHPDDLLGVLSLILYTLILIPMLKYVFVVLHANDDGDGGTFALYSLISRYAKIRMIPDQQTEDTTLSNYSVDTRLRRAQWLKEKLESSNAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQLQVVWISVAILFVLFSVQRFGTDKVGYSFAPIISVWFLLIAGTGMYNLAVHDATILRAFNPMYIVQYFSRNGKEAWVSLGGVILCITGAEAMFADLGHFNIRAIQISFTCILFPSVALCYMGQAAYLRRFPENVADTFFKSIPEPMFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSSKYEGQVYLPEVNFLIGAASVAVTMGFQTTANIGNAYGICVVTVFSITTHLMAVVMLLVWRTPAALVAAFYVVFGLAEFVYLSSILSKFADGGYLPFCFSLVLMGLMAAWHYVHVLRYWHEVDRAVPAAELGAVLARRDVRRVRGVGLLYSELVQGIPPVFQILVDKIPSVHAVFVFVSIKHLPVPRVAAPERLILRRVGPVNHRVFRCVARYGYTDTMEGHREFAAFLLDRLKVFVREEATFGDGVGSHSGRAQTAAAAVEQEQRFIDAEAARGVVYLMGEATVTAAAGSSWAKRVVVNNVYGFLRKNLRESHKALSIPKDQLLRVGVTYEI >PVH67096 pep chromosome:PHallii_v3.1:1:57965681:57965913:-1 gene:PAHAL_1G429900 transcript:PVH67096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEVPLPMAVPSPEIPAVNEAPATDSKPKKKICCACPDSKRLRDECVVEHGESACTKWIKAQNRCLRAEGFNV >PVH65608 pep chromosome:PHallii_v3.1:1:2524586:2528757:-1 gene:PAHAL_1G036800 transcript:PVH65608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVSGRFVVAAAVVAVSLAVVATAASAAHDYGDALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTLLSWGVLEYGDGVSAAGELAHALQAIKWGTDYFIKAHTAPNELWAQVGDGDSDHYCWQRPEDMTTSRRAYKVDAENPGSEVAAETAAALAAASLVFRRSGDAHYAHLLLHHAQQLFEFADKFRGRYDDSVEVVKNYYPSSSGYKDELLWAALWLHRATSRRDYLEYALANADEFGGTGWAVSEFSWDIKYAGLQVLASELLVEAKERRLRLSPEERAVVEQLRSKGEYYVCSCMNRNPGGAEHNAGRTPAGLLFIRPWNNLQYASGAAFLLTVYSDVLSALGEPLRCGGEEGAGEAGDVLAFAKSQADYILGSNPMRTSYLVGYGAAYPRRVHHRAASSASYRHDRDFIGCLQGFDSWYSARRENPHDLVGAVVGGPNGEDVFSDRRGAYMQTEACTYNTAPMVGVFSKLMQLEGQQPQRRRPETAEETEPAPAEDL >PAN07186 pep chromosome:PHallii_v3.1:1:50469969:50473602:-1 gene:PAHAL_1G317500 transcript:PAN07186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGEIVCAMAFVLACLAAMPPASAASGDGGLLHIASAASLAHCPSSCGDVDISYPFGIGAGCFRQGFELTCNHATQPPELFLGNSTTQITSMYGSRFVEAPMFFNVTSGSGTNNYNISWNTPAKGITISGQFNTFYAVGCDFDVKLFDNVGNPIGSCMSRCRGEVLPNQRSCNNIGCCFISLEQDISGFHATIVRDGMAARSDSVHPGIIASMGKSDASNYALLPWTNARKLPGLLEFAIMDQPSCERAQTNKASYACATNSDCAIASYGGYTCYCNNNGQFNPYLSEGCTDYNPEPKEQCLRSCGNMSIPFPFGLEDGCFGNERFQLNCTAGNTLFSAGAGQYRVISVSVEDGTLTVSNVLNNASSGKEVVVANVEQVGASYLVGSPVEDAFDFSMEYDIVIRWAITNSTCHKAKQSITSYACRSVYSSCHDVTHGVIFMGYRCNCSSGYKGNPYILDGCQDINECLAPNSCNGICQNTPGSYKCTPCPHGKEFDSTKSRCAISTKQRNLLLGTAVGTGCGLGSIVIALGVIVLANKWKKGIQKRIRRAYFKKNQGLLLEQLISDENATSKTKIFSLEELDKATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQMEIDQFINEVAILSQIIHRNVVKLFGCCLEDEVPLLVYEFISNGTLHELLHMDTTVKCLLSWDDRLRIAMEAAGALAYLHSAASIPIFHRDVKSSNILLDDSYTTKISDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFVNDLGAKQSLSYYFIEGLQEGSLVRMLDSQVLEEADQEEINEIASLTDACLRTKGGERPTMKEVEMRLQFLRTKRLRKRQCLHEKDGDIEPLLCPEAKNPHKHMNIDNDAHITHQGMSGCYSLEQEFASSLFGMPR >PAN07184 pep chromosome:PHallii_v3.1:1:50469955:50473602:-1 gene:PAHAL_1G317500 transcript:PAN07184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSDSVHPGIIASMGKSDASNYALLPWTNARKLPGLLEFAIMDQPSCERAQTNKASYACATNSDCAIASYGGYTCYCNNNGQFNPYLSEGCTDYNPEPKEQCLRSCGNMSIPFPFGLEDGCFGNERFQLNCTAGNTLFSAGAGQYRVISVSVEDGTLTVSNVLNNASSGKEVVVANVEQVGASYLVGSPVEDAFDFSMEYDIVIRWAITNSTCHKAKQSITSYACRSVYSSCHDVTHGVIFMGYRCNCSSGYKGNPYILDGCQDINECLAPNSCNGICQNTPGSYKCTPCPHGKEFDSTKSRCAISTKQRNLLLGTAVGTGCGLGSIVIALGVIVLANKWKKGIQKRIRRAYFKKNQGLLLEQLISDENATSKTKIFSLEELDKATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQMEIDQFINEVAILSQIIHRNVVKLFGCCLEDEVPLLVYEFISNGTLHELLHMDTTVKCLLSWDDRLRIAMEAAGALAYLHSAASIPIFHRDVKSSNILLDDSYTTKISDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFVNDLGAKQSLSYYFIEGLQEGSLVRMLDSQVLEEADQEEINEIASLTDACLRTKGGERPTMKEVEMRLQFLRTKRLRKRQCLHEKDGDIEPLLCPEAKNPHKHMNIDNDAHITHQGMSGCYSLEQEFASSLFGMPR >PAN08312 pep chromosome:PHallii_v3.1:1:55860241:55866211:1 gene:PAHAL_1G402000 transcript:PAN08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSGAGGSPAHAPPGGGSGGGGGGSGSGGPYHHHRSRFGDTTLTKVFVGGLAWETPSEGLRQHFEAYGEILEAVVITDRETGRSKGYGFVIFRDPDSAARAVQNPNPVIAGRRANCNIAAFGPPRPAQARGRGGGGGGGGGRGPHAQDQPPLGAPYRLPSQMTPPHGPAPVFYHPHYGYWYPPDYPYQQALYNPQVLQHYYQMYGPTSPSGPPYQYMGYMSSAPSPRTSFSPMQQPARPPFFQQPAAQMEGSFQPGPSLPPNFRLQLPPHAVSRESDNASGSQPAQPTSSDAATSTNNQEASGPVTSNSDPNTSN >PAN07102 pep chromosome:PHallii_v3.1:1:49929360:49936569:-1 gene:PAHAL_1G310800 transcript:PAN07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPGPLTEWPWQKLGNFKYVVMAPVVVHGAWRVAAGGWGDIDLTFALILPSLLLRMVHNQIWISAARYQTARSKHRIVDRGIEFDQVDRERGWDDQIILNGLFFYVGYLLIPSARYLPAWRTDGAVATALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIVYYILFAIPMLTTVYMGNASVLGFVLYIAYIDFMNNMGHCNFELVPKWVFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYSTMDKSSDQLYESSLKGTEETPDLVHLTHMTDLQSAYHLRIGFASVASKPSNSSMWYMWTLWPLAWLSMVFAWVYGSSAFVVERIKLKKLTMQTWAIPRYNFQFGLNWERESINDLIEKAILDADARGVKVLSLGLLNQAKQLNGGGELFRQKYPKLRVRLVDGSGLAAAVVLKSIPHDAKQVFLHAGPSKIACATAFALCEKGVKVIMNPKKEYDTLKSQIADSRASYLEHSSNHMPQIWLVDNIDDKEQKMAPQGTIFVPISQFPIKKVRKDCTYLSTPAMKIPETMQNIHACENWLPRKVMSAWRIAGILHALEGWTVHECGDAMMDAEKAWSAAISHGFVPLTKA >PVH66097 pep chromosome:PHallii_v3.1:1:15950112:15953526:-1 gene:PAHAL_1G148100 transcript:PVH66097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALFNFHSFVTVVLLLICTCTYLKMHFPSLLIRRTGFRGFFWKAARIGERLSPSVAIGCSVMGISILFC >PVH67171 pep chromosome:PHallii_v3.1:1:58850048:58852788:-1 gene:PAHAL_1G444100 transcript:PVH67171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLYNGTVPPCLSSAITVVPALSEDTMSTCMLAPNFTSGLHAINKSSNGKLCSGPYVTEPSDSDTQLLSTHSSLLCNSRPLMMDFPEVSEQISSNQEQLLGLFDYPSSVGFPNPQNVTAFGQQVQDTIITDPNTRVGGVALQNEWFSSGSSMQLPKNTVDARSATPATPKSYPYCRTQRSLPNPFNCDELCTDNLPSSNSAPKSRIRWTPELHERFVDAVNKLGGSEKATPKAVQKVMKVEGLTIYHVKSHLQKYRTVQHRPESSDGQSVSLWVRLVPIFHADSLHRI >PAN07518 pep chromosome:PHallii_v3.1:1:51902833:51904701:1 gene:PAHAL_1G342400 transcript:PAN07518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGFRRTISFPAPKSPTPPVGGAGTSSKSAAYRARSTSLPCRFHPLVLQLDDDVADLRALVGRLASRASAEAVAEGAERLGRVLVSLSDLLHHPQAQDPLRRLARSPLAERLLDDFLRLADAHGSFRAALVSLAALQAEARAALRREEPARLASAARALRRSGRDLPRIASAARAVAAKAPPPAPADLPADAAAIAAAAVDAAAAVASASAAVFSGVSCLSVAAATARVEVEATPCWMPSPARFGGGTPSATPRHVVVTTRPASSMPRIWWVADLMRWMSRAKRRSAKRQHADDGHGAAAGALPQTDATVDPEELERKTAFERLDNLGRCIADVESSGEKVFRALVNTRVSLLNILSPAF >PVH67215 pep chromosome:PHallii_v3.1:1:59421020:59424150:1 gene:PAHAL_1G454400 transcript:PVH67215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSIHLSVPKFFQAVNHRFLWIRRTARGGGTSFGPFQPTNPPTDRPNVMAHPPKCPPNPPAPRILPDYSLRFGAERNHRMLLHALPQPRRRRLSRRRTDISS >PAN03850 pep chromosome:PHallii_v3.1:1:1775696:1780369:1 gene:PAHAL_1G024900 transcript:PAN03850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPGGGSRVATAAAACLLLLQLCGCVAALPMKSAAAGGDRYKDPSQPLNTRIDDLLRRMTLAEKIGQMSQIERENATAGVINKYFIGSVLSGGGSVPAKNAPPEAWAKMVNGMQGGALSTRLGIPIIYGIDAVHGHGNVYKATIFPHNVGLGCTRDPELAKRIGAAVALEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEHPELVQSMTSIISGFQGEIPAGGRQGAPFVAGQRSVAACSKHYVGDGGTTKGINENNTVATFHELLSVHMPPYYNAVIRGVSTVMISYSSWNGVKMHSNHFLITDFLKKELRFRGFVISDWQGLDRITTPDHADYLLSIKLGILAGIDMIMIPYTYTEFIDDLTLLVQNGTIPMSRIDDAVRRILRVKFAMGLFENPYADPSLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDKPLLPLPKKARGSILVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTILDGIKRAVVPGTDVVYSENPDAGFIQQNKARFDYAIVVVGEPPYAEQFGDNLNLTIPAPGPAIIQNVCGSIKCVVVLLSGRPLVVEPYMNAIDALVAAWLPGTEGQGVADVLFGDYGFAGKLSRTWFRSVEQLPMNVGDAHYDPLFPFGFGLETQPSKY >PVH67161 pep chromosome:PHallii_v3.1:1:58787012:58792564:1 gene:PAHAL_1G442800 transcript:PVH67161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G24170) UniProtKB/Swiss-Prot;Acc:P48641] MARKMLVDGEAPVADGEQYDYDLFVIGAGSGGVRGSRTAASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGASFRGEFEDSKNFGWEINGDINFNWKKLLENKTNEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVSQSDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRRELPLRGFDDEMREVVARNLEGRGIKLHPGTNLSELSKTADGIKVVTDKGEELIADVVLFATGRTPNSNRLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALMEATCFSKTVFGGQQVKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKGDILVFTSSFNPMKNSISKRQEKTVMKLVVDAETERVLGASMCGPDAPEIIQGIAVALKCGATKASFDSTVGIHPSAAEEFVTMRTLTRRVSPTSKPKTNL >PAN03819 pep chromosome:PHallii_v3.1:1:1635631:1640052:-1 gene:PAHAL_1G023200 transcript:PAN03819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDYYNILKVNRNATLDDLKKSYRRLARTWHPDKNPTGGADAEAKFKQITEAYEVLSDPEKRAIYDQYGEEGLKGMPLPGSQSRSSTAAGPSGPSNFRYNPSDPDDFFAEFMASNKTYSFDQDRMRFQQRSHWTSARNSRSEAPSGSRKESGASTSQPEKPLPVEKTLPCTLEELYNGTKRKMKITRNVAKPDGRVEVETEVLAVEVSPGWKKGTKITFPNKGDKLHGQLAQDLTFILDLKPHDVYTLDGNNLLVKKEIPLVDALSGTAINLRTLDGRNLPVRVEEVVRPGYEVVLENEGWPIRKEPGKKGNLVIRFDVAFPTRLSMSQRTAIRQIMGG >PAN03820 pep chromosome:PHallii_v3.1:1:1635628:1640077:-1 gene:PAHAL_1G023200 transcript:PAN03820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDYYNILKVNRNATLDDLKKSYRRLARTWHPDKNPTGGADAEAKFKQITEAYEVLSDPEKRAIYDQYGEEGLKGMPLPGSQSRSSTAAGPSGPSNFRYNPSDPDDFFAEFMASNKTYSFDQDRMRFQQRSHWTSARNSRSEAPSGSRKESGASTSQPEKPLPVEKTLPCTLEELYNGTKRKMKITRNVAKPDGRVEVETEVLAVEVSPGWKKGTKITFPNKGDKLHGQLAQDLTFILDLKPHDVYTLDGNNLLVKKEIPLVDALSGTAINLRTLDGRNLPVRVEEVVRPGYEVVLENEGWPIRKEPGKKGNLVIRFDVAFPTRLSMSQRTAIRQIMGG >PVH65993 pep chromosome:PHallii_v3.1:1:9543616:9546492:1 gene:PAHAL_1G121000 transcript:PVH65993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFRGEVIENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTPIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEYAKKVKNVTKDPVQGRLGKVYMPDQQVGRLTLSNDIKGLKRERREAKKNKEHSNKQKVNPE >PVH65991 pep chromosome:PHallii_v3.1:1:9543616:9546492:1 gene:PAHAL_1G121000 transcript:PVH65991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFGRLKVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFRGEVIENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTPIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEYAKKVKNVTKDPVQGRLGKVYMPDQQVGRLTLSNDIKGLKRERREAKKNKEHSNKQKVNPE >PVH65992 pep chromosome:PHallii_v3.1:1:9543616:9546492:1 gene:PAHAL_1G121000 transcript:PVH65992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAIRVPKTRRARRELLKHAPKLVETGKKMLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFRGEVIENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTPIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEYAKKVKNVTKDPVQGRLGKVYMPDQQVGRLTLSNDIKGLKRERREAKKNKEHSNKQKVNPE >PAN03771 pep chromosome:PHallii_v3.1:1:1540172:1543884:1 gene:PAHAL_1G021000 transcript:PAN03771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFYSSSSAAYGAGWGHDSLKNFRQITPAVQTHLKLVYLTLCVALASSAVGAYLHVSWNIGGMLTMLGCVGSIAWLFSVPVYEERKRYGLLMAAALLEGASVGPLIKLAVDFDPSILVTAFVGTAIAFACFSCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNAADKSEDKKRKKRS >PAN03781 pep chromosome:PHallii_v3.1:1:1565198:1567425:1 gene:PAHAL_1G021500 transcript:PAN03781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSTFSPRPASLRSLRAGAKPHLHLHLLPFPRLRAGRRGSRLERAAAGEAPVEVAPPREPEAEPAPAAASNGSAVKAVEAPAEAAPAPVPAFRDARWVNGTWDLSKFEKGGAVDWDAVIDAEARRRKWLEDYPEATNTDEAVVFDTSIIPWWAWMKRFHLPEAEKLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDLDSLKKIIDETTFYDKQWQATWQDETTGGPKN >PAN03809 pep chromosome:PHallii_v3.1:1:1624506:1627761:1 gene:PAHAL_1G023000 transcript:PAN03809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNDPSTPSKAPKASEQDQPSATTSGAPASVYPEWPSFQAYSAIPPHGFFPPTVAANPQAHPYMWGAQHMVPPYGTPPSPYVVYPPGTVYAHPSTPPGMHPFSHYSMPTNGHAETPGAAPSAPEMNGKNEPGRMSAPSANGITSHSESGSESESEGSDANSQNDSQSKDNDGKEDGSSQNGISYSVSQGMLNQTMPMVPIQPGAMVGVPGSTANLNIGMDYWAAPGSATVPATQGKATSGSARGDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAEALRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATSDSIPDMNEQNDGGGSGYKKQPDSDAQPGNES >PVH65551 pep chromosome:PHallii_v3.1:1:1624506:1627761:1 gene:PAHAL_1G023000 transcript:PVH65551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAQHMVPPYGTPPSPYVVYPPGTVYAHPSTPPGMHPFSHYSMPTNGHAETPGAAPSAPEMNGKNEPGRMSAPSANGITSHSESGSESESEGSDANSQNDSQSKDNDGKEDGSSQNGISYSVSQGMLNQTMPMVPIQPGAMVGVPGSTANLNIGMDYWAAPGSATVPATQGKATSGSARGDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAEALRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATSDSIPDMNEQNDGGGSGYKKQPDSDAQPGNES >PAN03811 pep chromosome:PHallii_v3.1:1:1624506:1627761:1 gene:PAHAL_1G023000 transcript:PAN03811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNDPSTPSKAPKASEQDQPSATTSGAPASVYPEWPSFQAYSAIPPHGFFPPTVAANPQAHPYMWGAQHMVPPYGTPPSPYVVYPPGTVYAHPSTPPGMHPFSHYSMPTNGHAETPGAAPSAPEMNGKNEPGRMSAPSANGITSHSESGSESESEGSDANSQNDSQSKDNDGKEDGVFCQYAGSSQNGISYSVSQGMLNQTMPMVPIQPGAMVGVPGSTANLNIGMDYWAAPGSATVPATQGKATSGSARGDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAEALRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATSDSIPDMNEQNDGGGSGYKKQPDSDAQPGNES >PAN03812 pep chromosome:PHallii_v3.1:1:1624506:1627761:1 gene:PAHAL_1G023000 transcript:PAN03812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAQHMVPPYGTPPSPYVVYPPGTVYAHPSTPPGMHPFSHYSMPTNGHAETPGAAPSAPEMNGKNEPGRMSAPSANGITSHSESGSESESEGSDANSQNDSQSKDNDGKEDGVFCQYAGSSQNGISYSVSQGMLNQTMPMVPIQPGAMVGVPGSTANLNIGMDYWAAPGSATVPATQGKATSGSARGDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAEALRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATSDSIPDMNEQNDGGGSGYKKQPDSDAQPGNES >PVH65552 pep chromosome:PHallii_v3.1:1:1625264:1627534:1 gene:PAHAL_1G023000 transcript:PVH65552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAQHMVPPYGTPPSPYVVYPPGTVYAHPSTPPGMHPFSHYSMPTNGHAETPGAAPSAPEMNGKNEPGRMSAPSANGITSHSESGSESESEGSDANSQNDSQSKDNDGKEDGVFCQYAGSSQNGISYSVSQGMLNQTMPMVPIQPGAMVGVPGSTANLNIGMDYWAAPGSATVPATQGKATSGSARGDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAEALRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATSDSIPDMNEQNDGGGSGYKKQPDSDAQPGNES >PVH65550 pep chromosome:PHallii_v3.1:1:1624506:1627761:1 gene:PAHAL_1G023000 transcript:PVH65550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAQHMVPPYGTPPSPYVVYPPGTVYAHPSTPPGMHPFSHYSMPTNGHAETPGAAPSAPEMNGKNEPGRMSAPSANGITSHSESGSESESEGSDANSQNDSQSKDNDGKEDGSSQNGISYSVSQGMLNQTMPMVPIQPGAMVGVPGSTANLNIGMDYWAAPGSATVPATQGKATSGSARGDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAEALRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATSDSIPDMNEQNDGGGSGYKKQPDSDAQPGNES >PAN03808 pep chromosome:PHallii_v3.1:1:1624506:1627761:1 gene:PAHAL_1G023000 transcript:PAN03808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNDPSTPSKAPKASEQDQPSATTSGAPASVYPEWPSFQAYSAIPPHGFFPPTVAANPQAHPYMWGAQHMVPPYGTPPSPYVVYPPGTVYAHPSTPPGMHPFSHYSMPTNGHAETPGAAPSAPEMNGKNEPGRMSAPSANGITSHSESGSESESEGSDANSQNDSQSKDNDGKEDVSQGMLNQTMPMVPIQPGAMVGVPGSTANLNIGMDYWAAPGSATVPATQGKATSGSARGDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAEALRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATSDSIPDMNEQNDGGGSGYKKQPDSDAQPGNES >PAN07566 pep chromosome:PHallii_v3.1:1:52195087:52197152:1 gene:PAHAL_1G346000 transcript:PAN07566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAAADATVACGDADGAGEEALLTAPLLAPEAVAGELPPPVLLARKPRGRLARAVKEAWSVSLSVTFPMAPSVSAAAAGAEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYGLLGVTTQRTVLMLLAAAVPIAGLWAHMRPLLLLCGQDAGIAAAAETYILASLPDLLLQALLHPVRIYLRTQSINLPLTLCAALAIALHLPINYVLVSVLGLGIRGVALASVLANLNLVLFLFAYILFRGVHRRTGGLALSAESFRGWGELAGLALPSCVSVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRPDHAARAATVGLMLGFAFGGVASAFAYLVRGAWAAMFTADPAIVALTASVLPILGACELGNCPQTAGCGVLRGSARPKDAASINLRSFYLVGTPVALILAFWYHYDFQGLWLGLLAAQAACVVRMLLVISRTDWAAEAKRAQQLAGAGGAVETKEGMEVGAAREDDEPGMPIVVVIERPKDQC >PAN03616 pep chromosome:PHallii_v3.1:1:998293:1001641:1 gene:PAHAL_1G010400 transcript:PAN03616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWPPLAPAAAATPTAAASGDAAIPPPPPAAGAWGAAATAQRKTVVQESAAQAVSRLVASCANSSGVAVAVVDANAVIAGGSALSTAAGRLVTVPEVLEEVRDAAARRRLALLPVPVETVDPAPEFVKKVTKFARETGDIQTLSDVDVKIIALAYMLEAEIHGTSHLREHPPPLREVNVRKLSEAPLPGWGSNVPNLKEWEELDQMSEAGGDINSRILPLKDLENQDIPMSETNSVCEAQDDAGLQPNKDARTAWEDDENNEGWTPAVSRSTHRRYLRRKARRDALKESEQSLETSSGAPSIDADKVLSENGGFEHDLNPTDGPSSASQKINSSTDGLECQFENEHEIAGEHLHSDQLANDDNTDACTKELDNLDIKSETDGGDDARSVDDESSEQSWALRSLSESTVACVTSDYAMQNVILQIGLRLLAPGGMQIRQMHRWVLRCHACYKVTQEVGKIFCPKCGNGGTLRKVSVTVGENGITLASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSSKQEDDFLGVDDIFSHSGDKKAPLKPPVRKALAMFSGKRNPNDNHFSRKKH >PAN04860 pep chromosome:PHallii_v3.1:1:7095611:7096078:-1 gene:PAHAL_1G097500 transcript:PAN04860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGAAQVISTSLLLAVLVVTSWCLAAASTPEAGGANDDDSGMLATSRFFLATAAATREIGQQRACRPGHPGACSAAAGGAAGEEHRFKCCGGACTDVLASAGNCGACGRRCPFGRLCCGGRCAAVAYDAANCGACGRACAAGTPCTYGMCGYAA >PAN06469 pep chromosome:PHallii_v3.1:1:46938411:46940314:1 gene:PAHAL_1G265900 transcript:PAN06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDDFTFPTVAAAREPEGQLGGPPVAPLLHQLPLPDLAAASSPLWPFAGSPKVTVTADGDGRRADEAGGDREERGAAADEEGMDLLWEGATATTAAPQSAGSGAVHAAEPEPPEPKPAPRRTEADGDDKRRAAAVADAERMDKLWESFNEELLLLRRPRTKPAADRNKDCYLCSYPPSDDADDSEDTSASSPAERRGYGCAPTMLRASSRAGGAGQFCGGSPRGGRRRGGGRGAAAGWALLLRLFRRLFAVDKATTVSRTHATIYVP >PAN04613 pep chromosome:PHallii_v3.1:1:5475433:5478065:-1 gene:PAHAL_1G079300 transcript:PAN04613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTEAVNNLSISGGGAAAAGGGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDETRSRPMQKAKIEILLGKTEKFDELMAAAAEEREAAEAEEQS >PAN06354 pep chromosome:PHallii_v3.1:1:46185176:46188953:1 gene:PAHAL_1G258200 transcript:PAN06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGACTVQQALAPEAAVVVKQAVSLARRRGNAQVTPLHVASAMLHQQAPTSSSAAPSTGLLRAACLRSHSHPLQCKALELCFNVALNRLPASASPLLGGHGHVYYPPSLSNALVAAFKRAQAHQRRGSVDTQQQPVLAVKIELEQLVISILDDPSVSRVMREAGFSSTQVKANVEQAVSSIEANNSASTTATAAAGSQNPNPSAVPSEETKPTKLLPLDQVRDEDVAAILECLASRRKRRVMVVAECAAAAEAATRAAVDKIKRGEALRGAQVVGLSVSGFRDLPRSEAERRLAELRCTVKAGGGRAGGVVVVVEDLGWAAEFWAGRAEAGRGRWPSSCCYYCAVEHAVAEVRALACRGGDGVWLVGYGTYQSYMRCRAGQPSLESLWGLQTLAVPAGSLALSLNCVDDSAMAVSHLSSMARREGSSGNGSASRCMSLLDAGGSAGQLTTVVPACCGDCSATKCDAAKELARSVLPASSIIPPWLQHCRNQEPSHCKKWSSTCGESPSHHRTALNFSTVVSPSSSVSSHEQHYHPHKPYQQQPWLVADAHEAKHPWKARCGGGQVHVVVDDEDVKLVSAIKVKSHDSSASNGSVEQVERRSRFKELSAENLKVLCSALEKEVPWQAEIVPEIASTVLQCRSGMARRRDTEASSSRAAGSKEDTWLLFLGGDAEGKARVARELARLVFGSRKCFVSVGTTASSPARSDSAEQRHKRPRLAEASNNDCVESLYEAVRDNPHRVILVEDVEQAGQRRILEAIERGSVRSHGGDEAALGDAIIVLSCESFDARSRTSSPPTTKKAKTEIKEDPKEEAATAGSPSSSCFDLNLNMSLENDDMEESCFTDAGLLRAVDRAFFFRRPDESSD >PAN08388 pep chromosome:PHallii_v3.1:1:56460755:56464222:-1 gene:PAHAL_1G409800 transcript:PAN08388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--nitrite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15620) UniProtKB/Swiss-Prot;Acc:Q39161] MASSASLQRFLPPSAHAASSSSRRRPGRARAAVSVPPPAPAAGEVPSERLEPRVEQREGGYWVLKEKYRTGLNPQEKVKLEKEPMGLFMEGGIKDLAKIPMEEIDAAKLTKDDVDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGADGCADVTTRQNWQIRGVTLPDVPAILEGLRAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSYITNNSQGNPTITNLPRKWNVCVIGTHDLYEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWGEALPLDAWVPGDDIIPVCKAILEAYRDLGNRGNRQKTRMMWLIDELGMEVFRSEVEKRMPNGVLQRAAPEDLIDKKWERRDYLGVHPQKQEGLSYVGLHVPVGRLQAADMFELARLADEYGAGELRLTVEQNIVLPNVRNERIDALLAEPLLRERLSPQPSLLLKGLVACTGNQFCGQAIIETKARALQVTREVEKRVTVPRPVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGNICEAADIFVGGRVGSDSHLADVYKKAVPCKDLVPVVADLLVERFGAVPREREEDEE >PAN09025 pep chromosome:PHallii_v3.1:1:59359480:59363275:1 gene:PAHAL_1G453000 transcript:PAN09025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSPLDASPAAEVPLAPGFRFHPTDEELVSYYLRRRVLGRRLRVDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVYHRGKAVGMKKTLVFHAGRAPKGERSNWVMHEYRLLDADGPQDLHVVCRIFQKHGSGPQNGAQYGAPYMEEEWEEEDDAIENAPASGTSAGMATNTCTVDEESNEEDENGYCKTNELAQAHGMLSSPEMAPLKDQGSKDTSEGSCADGVISLEEIIQEPLSTINAENIGRSEGQNATDDNFSVDDLLSACPRKDNDYVGQDGTLNGSYPADGDYNTHWPLRAYSNQNYANGTLSAEEFFDTQNDSNGNTYSEYQQADGFPAPHQVDGSMVFYDAPSDYNLVDGNDDFVYLNDLLNEPLGNESLFDGNDMMAYFDATENDFKYDILGSAQGSNYQLADMPSDFAQKGDNKDKFALDGISKPSEANAQYGASSSGSHKDLYPDTAVPDDTVDKTFGKRLASMLGSIPAPPAMASEPSAAGKSVGALSAVSPSSIRVTAGIIQLDGLTFTGVSERWPLQKNGDFSLLLSFTVESDVLSKPVGFEQATRMSTIPMVLRSGMYLFFVSAMILLLSYKVGSCICSR >PVH65620 pep chromosome:PHallii_v3.1:1:2731223:2731942:-1 gene:PAHAL_1G039800 transcript:PVH65620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNGIDVEEQLQSLRTTISSSSSTIGTMCDGLRKVGEVYNHIGELASLPSSQLTRQRKAVEQELEHSVVVLDLCNAMQVSFGELKESILDMQLALKRGDDAAVQTKIQSYMRVVKKTQKLFKKISKKSAAAEQEGWKLMKMISEAREIVTSMLESASQLLSKQIAIPSSSKWSLVSKTFQKRRVVCDEEQLQELELDIVDLESGVEILFRIMIQSRVSLLNALSL >PVH65937 pep chromosome:PHallii_v3.1:1:8200099:8200833:-1 gene:PAHAL_1G108600 transcript:PVH65937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDSYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNKQAAQLKKLIHGVEKLTQELEEQRTKAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN08098 pep chromosome:PHallii_v3.1:1:54955111:54959399:1 gene:PAHAL_1G387200 transcript:PAN08098 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYD1 [Source:Projected from Arabidopsis thaliana (AT3G17810) UniProtKB/TrEMBL;Acc:A0A178VD08] MESLMTLRARASPVAASPLQQRRVPGRQRATPVRASAGGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITQPARIALKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCAELQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >PAN04690 pep chromosome:PHallii_v3.1:1:5841285:5844408:1 gene:PAHAL_1G083800 transcript:PAN04690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTASAASPALLAPWMGYARARSTHAPYSLPFPAPRVGERRLAAAVAMPTPPRMPTSSRPRHGHEAAPSLPDEVIVEHILTRVPAPATVRFRAVCWDWRAALTSDHFVHAYQAARAAAQPQPPEIFFFAPGAAADSTFFYSFKFRAQQGASSPARELVTGGDLRANDLVLSGTKPCRGLTLLYQPGESAYHVCNLSTGEDVSLPPCEWAIRVRPYGPHVFSSTGLGFHTAADEHIVVRLFEDWRKQQRCEVYGLRSGGWRPLAGRAPPHAAKGLDGRPPVFVDGCFYWHIYTRTNFSGREEHLYRTPEPILSLSVDTERFGWVGPPEVRARYVFHLAELDGQLCARWWTPASSSNAVGAAGRRPDDAVVVAALPHQPGEPAPADEGSPEPRHPDAPARLVGRKDPPRHEVHAYDPESNSVDTVFSVQEFIDAPREPVLLLNIAMHEETVTVARQGGPSDRRTDDLTVTRQQQVQRMLSAAVALYRDIVNMHN >PAN06705 pep chromosome:PHallii_v3.1:1:47977163:47984232:1 gene:PAHAL_1G281300 transcript:PAN06705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKAKEEQQVVANPNSELKFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSLENQLETSLRGVRSKKDHLLIDEIHELNRKASLFHQENMDLYNKINQIRQENAELYKKIYQTEGPSEVNRESPTPYNFALVENTDVPVQLGLSTLPQQNSIEISNAPKLG >PAN06692 pep chromosome:PHallii_v3.1:1:47977163:47984834:1 gene:PAHAL_1G281300 transcript:PAN06692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKAKEEQQVVANPNSELKFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSLENQLETSLRGVRSKKDHLLIDEIHELNRKASLFHQENMDLYNKINQIRQENAELYKKIYQTEGPSEVNRESPTPYNFALVENTDVPVQLGLSTLPQQNSIEISNAPKLGLQLNP >PVH66593 pep chromosome:PHallii_v3.1:1:48663523:48669231:1 gene:PAHAL_1G291000 transcript:PVH66593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIIVGSILAVVVWVLLACLCRYLLKRKKNTSKPAVPTHLSTHNTTDARNSARHSVSAESIDSSRCVSHLEKIPMHVLKDATNNFNENMVLGKGGFAVVFKGILNGEPVAVKKFNSATMGTEQVKEFLFETDVIGKLSHLNLVKLLGYCVNSTDRFLVYEYMSAGTLHEHLQSSGHTPLTWAQRRKISLDVARGIEYLHGLTQQKFIHRDLNPSNILLGQDLGAKVSDFGLVRTIEDKSSTSKPAGTFGCLAPEYANTGELSTKVDVYSYGVVLMKIVTGRKVIDNPLPQKDKLLVPIFRTNFLDKEKFRNIVDPTLELNGEDWNSLLEVAQLAYHCTAEEPEQRPGIHTCVGPYPKWWISGILQQLALTRVSQVAWD >PVH66592 pep chromosome:PHallii_v3.1:1:48663341:48669231:1 gene:PAHAL_1G291000 transcript:PVH66592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIIVGSILAVVVWVLLACLCRYLLKRKKNTSKPAVPTHLSTHNTTDARNSARHSVSAESIDSSRCVSHLEKIPMHVLKDATNNFNENMVLGKGGFAVVFKGILNGEPVAVKKFNSATMGTEQVKEFLFETDVIGKLSHLNLVKLLGYCVNSTDRFLVYEYMSAGTLHEHLQSSGHTPLTWAQRRKISLDVARGIEYLHGLTQQKFIHRDLNPSNILLGQDLGAKVSDFGLVRTIEDKSSTSKPAGTFGCLAPEYANTGELSTKVDVYSYGVVLMKIVTGRKVIDNPLPQKDKLLVPIFRTNFLDKEKFRNIVDPTLELNGEDWNSLLEVAQLAYHCTAEEPEQRPGIHTCVGPYPKWWISGILQQLALTRVSQVAWD >PAN06369 pep chromosome:PHallii_v3.1:1:46392170:46400892:1 gene:PAHAL_1G259300 transcript:PAN06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MVALASLSSLCPCGLARRRAASASASASASVSISCCAVATPSSGKGPQESRTPRKRLRRTEGATKSLEDSVKRKMEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMELIKKRLKEFGIFLSSRLKVLRIKKRFQAGPFEVEPIRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDREALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKMVFVGMSLRTYLEAAFKDGKAPLDPSTLVKAEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIIMGKDSGLHTSGHAYRDELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKEDFQLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIFRPQKEHASVQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTAGFSEHLEAKSSGNFGASSATSHLSRSPARSLEGSYKTHPDNPDVDSEETLPEAVGTTPDDATTSSNGGEAFFSSDLHQPKTLEHFWESFKSPTAVKIARIVNGGNKQNIGKIGIMSKDSTQSAPASVKSSKKNKWKPEEIKSLIQMRGEMNEKFQSVKGRMVLWEDISGSLMNQGISRTPAQCKSLWTSLVQKYEESKKDEESMKTWPYFSAMDRILSCEGEMAAK >PVH66483 pep chromosome:PHallii_v3.1:1:46392170:46400892:1 gene:PAHAL_1G259300 transcript:PVH66483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MAMKITSVIPALDSSTPIFASSFTMELIKKRLKEFGIFLSSRLKVLRIKKRFQAGPFEVEPIRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDREALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKMVFVGMSLRTYLEAAFKDGKAPLDPSTLVKAEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIIMGKDSGLHTSGHAYRDELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKEDFQLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIFRPQKEHASVQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTAGFSEHLEAKSSGNFGASSATSHLSRSPARSLEGSYKTHPDNPDVDSEETLPEAVGTTPDDATTSSNGGEAFFSSDLHQPKTLEHFWESFKSPTAVKIARIVNGGNKQNIGKIGIMSKDSTQSAPASVKSSKKNKWKPEEIKSLIQMRGEMNEKFQSVKGRMVLWEDISGSLMNQGISRTPAQCKSLWTSLVQKYEESKKDEESMKTWPYFSAMDRILSCEGEMAAK >PVH67139 pep chromosome:PHallii_v3.1:1:58256629:58262804:1 gene:PAHAL_1G435300 transcript:PVH67139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVERPAKEKYIRDLFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLVVIHRALREVDPTFRDEFISYGRTSSHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTIGLLEQLPALQQLLFRLLDCQPQGSSSYNIIIQHALSMVALESVRIQTAINDAILNLVDKFFEMQRDDAITALDMYKRAISQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLATMEEYVSNAPLASTIHRNQAVLAIEYNTKSEAEEPSTPPPPAPVPEPEPVKEVTPAAEPTDLLGMNESTPDTSEIDQKNASALAIVQQADNAPKAPAPTSTESVATSWELALFTAPSSNENAVTSSKLAGGLDLLTLDSLYNEAHRQAQHAQQNASQNPWETVPASGPMMQQPMYDPFYASNSIAAARNVQMVAMAQQQHAFMLQQEQQRQMMMMMAQQQQASSNPFVDPYMHAGAGMQLHASNAYTGTGMM >PAN08774 pep chromosome:PHallii_v3.1:1:58256629:58262804:1 gene:PAHAL_1G435300 transcript:PAN08774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVERPAKEKYIRDLFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLVVIHRALREVDPTFRDEFISYGRTSSHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTIGLLEQLPALQQLLFRLLDCQPQGSSSYNIIIQHALSMVALESVRIQTAINDAILNLVDKFFEMQRDDAITALDMYKRAISQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLATMEEYVSNAPLASTIHRNQAVLAIEYNTKSEAEEPSTPPPPAPVPEPEPVKEVTPAAEPTDLLGMNESTPDTSEIDQKNASALAIVQQDNAPKAPAPTSTESVATSWELALFTAPSSNENAVTSSKLAGGLDLLTLDSLYNEAHRQAQHAQQNASQNPWETVPASGPMMQQPMYDPFYASNSIAAARNVQMVAMAQQQHAFMLQQEQQRQMMMMMAQQQQASSNPFVDPYMHAGAGMQLHASNAYTGTGMM >PVH67136 pep chromosome:PHallii_v3.1:1:58257623:58262465:1 gene:PAHAL_1G435300 transcript:PVH67136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVERPAKEKYIRDLFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLVVIHRALREVDPTFRDEFISYGRTSSHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTIGLLEQLPALQQLLFRLLDCQPQGSSSYNIIIQHALSMVALESVRIQTAINDAILNLVDKFFEMQRDDAITALDMYKRAISQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLATMEEYVSNAPLASTIHRNQAVLAIEYNTKSEAEEPSTPPPPAPVPEPEPVKEVTPAAEPTDLLGMNESTPDTSEIDQKNASALAIVQQADNAPKAPAPTSTESVATSWELALFTAPSSNENAVTSSKLAGGLDLLTLDSLYNEAHRQAQHAQQNASQNPWETVPASGPMMQQPMYDPFYASNSIAAARNVQMVAMAQQQHAFMLQQEQQRQMMMMMAQQQQASSNPFVDPYMHAGAGMQLHASNAYTGTGMM >PVH67137 pep chromosome:PHallii_v3.1:1:58257623:58262465:1 gene:PAHAL_1G435300 transcript:PVH67137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVERPAKEKYIRDLFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLVVIHRALREVDPTFRDEFISYGRTSSHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTIGLLEQLPALQQLLFRLLDCQPQGSSSYNIIIQHALSMVALESVRIQTAINDAILNLVDKFFEMQRDDAITALDMYKRAISQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLATMEEYVSNAPLASTIHRNQAVLAIEYNTKSEAEEPSTPPPPAPVPEPEPVKEVTPAAEPTDLLGMNESTPDTSEIDQKNASALAIVQQDNAPKAPAPTSTESVATSWELALFTAPSSNENAVTSSKLAGGLDLLTLDSLYNEAHRQAQHAQQNASQNPWETVPASGPMMQQPMYDPFYASNSIAAARNVQMVAMAQQQHAFMLQQEQQRQMMMMMAQQQQASSNPFVDPYMHAGAGMQLHASNAYTGTGMM >PVH67135 pep chromosome:PHallii_v3.1:1:58257240:58262465:1 gene:PAHAL_1G435300 transcript:PVH67135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVERPAKEKYIRDLFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLVVIHRALREVDPTFRDEFISYGRTSSHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTIGLLEQLPALQQLLFRLLDCQPQGSSSYNIIIQHALSMVALESVRIQTAINDAILNLVDKFFEMQRDDAITALDMYKRAISQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLATMEEYVSNAPLASTIHRNQAVLAIEYNTKSEAEEPSTPPPPAPVPEPEPVKEVTPAAEPTDLLGMNESTPDTSEIDQKNASALAIVQQADNAPKAPAPTSTESVATSWELALFTAPSSNENAVTSSKLAGGLDLLTLDSLYNEAHRQAQHAQQNASQNPWETVPASGPMMQQPMYDPFYASNSIAAARNVQMVAMAQQQHAFMLQQEQQRQMMMMMAQQQQASSNPFVDPYMHAGAGMQLHASNAYTGTGMM >PVH67138 pep chromosome:PHallii_v3.1:1:58257240:58262465:1 gene:PAHAL_1G435300 transcript:PVH67138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVERPAKEKYIRDLFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLVVIHRALREVDPTFRDEFISYGRTSSHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTIGLLEQLPALQQLLFRLLDCQPQGSSSYNIIIQHALSMVALESVRIQTAINDAILNLVDKFFEMQRDDAITALDMYKRAISQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLATMEEYVSNAPLASTIHRNQAVLAIEYNTKSEAEEPSTPPPPAPVPEPEPVKEVTPAAEPTDLLGMNESTPDTSEIDQKNASALAIVQQDNAPKAPAPTSTESVATSWELALFTAPSSNENAVTSSKLAGGLDLLTLDSLYNEAHRQAQHAQQNASQNPWETVPASGPMMQQPMYDPFYASNSIAAARNVQMVAMAQQQHAFMLQQEQQRQMMMMMAQQQQASSNPFVDPYMHAGAGMQLHASNAYTGTGMM >PAN08048 pep chromosome:PHallii_v3.1:1:54639503:54642480:1 gene:PAHAL_1G383300 transcript:PAN08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGEEFAIGVVISAKTTLGEEFEGQIVAFDRPSNLLVIQEGVGRAERGERRNVRVLKANYIREFSVVSKGDDPLDPAGCTLDLDAIYAREEAALRQAEIEAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIIVMKEVRVRSPYLPENVSGGTAAANERVKKVIDFERKRLHARVPGQFS >PVH65589 pep chromosome:PHallii_v3.1:1:2191364:2192705:1 gene:PAHAL_1G031500 transcript:PVH65589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDEHRGEGDYGDAATMGALRQMHAGYAWADVASLEPAAAAGPAVRVRGAAQAMRAVGPRVAFLVLRQGSATVQCVVAGGSRGMARFMAGLSRESVVDVASVVSLPREPVRGTTQQLVEIQVEKLHCISRAVPNLPISVDDAARSEDDVARAKAAGEQLVHVGRTSGWTTG >PVH66903 pep chromosome:PHallii_v3.1:1:54365116:54370799:1 gene:PAHAL_1G379400 transcript:PVH66903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVATINVSQENSMESVRATMERQTARRTQTDVTQMIESLFANVNLAKDLSARCKGRSLQLKDDEIQNIAQDLDNVLQNIYDELGRIPASAFGSNSYMDVLIKSQSMRGYSEADIYMNVMGNRPRRSLRGNDTPKLVDFLQGMYHELHEYGGQMFNTLPEVAEYIEPLYDAFFCPLTNEIMTDPVTTESGVTCDRRAIEEYIERFSDSSEPIYCPVTKMPMQSKTVMSNASLKSVIEEWTMRNEAMRVRIARTALSLSTADTMVLEAMHELKLLAKLRGKNRELMHKIGVTKFLARLLDNHNAQIQCDALELLCLLAEDEEGKDIIGKTKAIARTVKLLSSNTTGERHAAISFLLELSKSQLLLENIGSTPGGILILTTMKINNTDDPIAAEKAGAVLKNLEKCPKNIKYMAESGYLEPLQSYLVEGSEEMQMEMVSFLSELVQEQELTIDINRSTSEILIKMARSCNPRVRKAAFDVLVQLSLHHPNSKMLVDAGAVPVMIEELFIRKVDDEPVNSMASAATVLANIVESGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDDLNLSIIRVLLALTALAKPLATVVSVIRENHRGHAIVELMSSPTEALSLAATRLLITLSPHIGHTIVERLCKTQGQPRKLVKSISHAGRITERQAALAMLLARLPYRNTSLNVALVQEGAVPTILSAIKEMQNGAARSSKHAVPYMEGLVGALVRLTATLYSPEVLKVAMDHNLASVLTELLSGPAGSDEVQRLAGVGLENLSYLSIKLSQPPPDELLSKKNAILKLLKDSKAHSNNKKSTHHQVNVCLVHRGVCSPATTFCLLEAGTVEGLLGCLDNDNVRVVEAALGALCTLLDERVDVEKSVAALSELDAARRVLGALRQHRQNVLWQKCFCVVEKLLEHGDDRCLREVTGDRMLPTALVSAFHRGDPSTKQAAESILRRLHKMPDYSATYVSMEF >PAN08006 pep chromosome:PHallii_v3.1:1:54365116:54370799:1 gene:PAHAL_1G379400 transcript:PAN08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVATINVSQENSMESVRATMERQTARRTQTDVTQMIESLFANVNLAKDLSARCKGRSLQLKDDEIQNIAQDLDNVLQNIYDELGRIPASAFGSNSYMDVLIKSQSMRGYSEADIYMNVMGNRPRRSLRGNDTPKLVDFLQGMYHELHEYGGQMFNTLPEVAEYIEPLYDAFFCPLTNEIMTDPVTTESGVTCDRRAIEEYIERFSDSSEPIYCPVTKMPMQSKTVMSNASLKSVIEEWTMRNEAMRVRIARTALSLSTADTMVLEAMHELKLLAKLRGKNRELMHKIGVTKFLARLLDNHNAQIQCDALELLCLLAEDEEGKDIIGKTKAIARTVKLLSSNTTGERHAAISFLLELSKSQLLLENIGSTPGGILILTTMKINNTDDPIAAEKAGAVLKNLEKCPKNIKYMAESGYLEPLQSYLVEGSEEMQMEMVSFLSELVQEQELTIDINRSTSEILIKMARSCNPRVRKAAFDVLVQLSLHHPNSKMLVDAGAVPVMIEELFIRKVDDEPVNSMASAATVLANIVESGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDDLNLSIIRVLLALTALAKPLATVVSVIRENHRGHAIVELMSSPTEALSLAATRLLITLSPHIGHTIVERLCKTQGQPRKLVKSISHAGRITERQAALAMLLARLPYRNTSLNVALVQEGAVPTILSAIKEMQNGAARSSKHAVPYMEGLVGALVRLTATLYSPEVLKVAMDHNLASVLTELLSGPAGSDEVQRLAGVGLENLSYLSIKLSQPPPDELLSKKNAILKLLKDSKAHSNNKKSTHHQVNVCLVHRGVCSPATTFCLLEAGTVEGLLGCLDNDNVRVVEAALGALCTLLDERVDVEKSVAALSELDAARRVLGALRQHRQNVLWQKCFCVVEKLLEHGDDRCLREVTGDRMLPTALVSAFHRGDPSTKQAAESILRRLHKMPDYSATYVSMEF >PAN07779 pep chromosome:PHallii_v3.1:1:53298825:53307664:-1 gene:PAHAL_1G362000 transcript:PAN07779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLREGASPGPSWAPSESTAFRGYAAAEGERAGASPSAPGNGVPARSSKLRAVRKRPFVARLTTDIVQTFEKCNPEFKYSESLNPKRFLTNPAVPAHNDGHDNANSDLILYVNLELVNRKSDRRYVVQEMLGQGTFGQVAKCWDAETNNYVAVKVIKNQPAFYQQAIMEVSLLSLLNEKFDPDDQHHIVRMLDFFLYKNHLCIAFEMLGHNLYELLKRNSLRGLQMKYVRIFSRQILDALIVMKDAGIIHCDLKPENILIAPTVKTAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLCRMIEILGGQPPDDLLREAKNTGRFFKHVGSIYPGSEARNGTGSAYRILSEDEIEARESKRPKVGRWYFPRGRLDRLIFTYPWKNLSEETLPETEKADCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPVQETPRIPVGRAAVVDHNPGGGHLLGAGLSPQVGSINRCLRFNNALQPNMPSYGSSCGSYGSHGSFNDNAGFANSYGSYDFNSLNIYNSPMDPPGFNLRSQAGGSFLGSSPDIRRRPHLSYGGGIRLSPGGPGPMSLGASPSQFTPPNSQMQIPGANGKYGASPSRGAHGSSLGKAAAVGQYNRRRNQGYPPMPMPPHEHTSQPIQGHQGDGVSAARFDAYSQGSSGYLHNTLPNSGHYSWRPQRGVGNGLPSDPSSSHGSFPPTNYNGFPPLHSSDVSADTLPSTSSIPDPADWDPNYSDESLLQEDRSLSLELSGLHLRDSSGQTNRSSRLAPIPSHDIASSNPSALNRRTGHLFHSSSHGDSAHPPGHVTLDGYNHANYSQQSLPSFHGQPFQQYNNMTSSYIRPMRTQHNGRPVWTNYSLAEPPPTNMGDGMPWGGRAGHSFAASGLPPSVARKDFGRIF >PAN07231 pep chromosome:PHallii_v3.1:1:50590477:50592046:1 gene:PAHAL_1G320400 transcript:PAN07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASRGTSAVLSRAARMRQKLQSALEASALEIEDVSYQHAGHAAVKDNANETHFNIKVVSPKFEGQNLVKRHRMVYDLLSDELNSGLHAISIVAKTPKESGS >PAN08015 pep chromosome:PHallii_v3.1:1:54420219:54423508:1 gene:PAHAL_1G380000 transcript:PAN08015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGPPRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQSTMTWRLKEISKSIETAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTAHRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIDRERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLEVSASFYSGESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVDMENSGLVNMLVDDKYEDLGRMYALFRRVPDGLSRIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLDEKDKHDKIISVAFGNDKTFQNALNSSFEFFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCNLPTEILTVCEKFRSYYLGTHNGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADGLTYKDIERDTEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >PVH66828 pep chromosome:PHallii_v3.1:1:53255305:53257056:1 gene:PAHAL_1G361200 transcript:PVH66828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFGVDDDDTATPVTPSSNSINKNLFQDGLDLNSDAEEFPNLTSYQELLQSRSDGAYGHAGRRTGVHVTGSTSVGHRGVGSMQFRIPRAAGVGSSVGGGGAAASGARGAVASGARGVARSGGRVGRGVVRGGGPSGGRSSAGGGRAIASSSARGCGRGGMSPPLGNLPYDGYEGNMDSMGFSPTTGTYSPPNVDDSQEDTIDPFGTQSTMGDEHRDKANWTDAQNNTTFCELCVEQIREGNRVNGHMTGRGFKIIAEKFYLSTGLRHNRIQLKNRWGQLKGLYNFWLWCNKQKGLGRANGTVVADEEWWKKHTKGHSEWKKLKLGPPENLEHLEQMFEHTAIDGSSSCIPGEQKDGDQDTDEEEPMDEEPVEGDQGCRRKRPNSSNTGATRHLKNGKTPMVKVMKGIWGTMQSNSAFTKKVM >PVH67190 pep chromosome:PHallii_v3.1:1:59012594:59018764:1 gene:PAHAL_1G447400 transcript:PVH67190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKIMITYKRKRVTSQDHTADDTVFDSSPAASSNVVASNLPPKFEAHAENTIANEDNFVTPVKQQRLSMQKSIKEECEPDRGQNELQVSLPQKEQPEICSAMILTAVAHSELLQCSEDTNNQIPVSSSVCDLMYADGTVGQTKDPNTSAIVETNYHKVPESTMGSRQYKNRSSPLLTFRRRAKKKINLDEPTEEICSPENEKQHSTLTCSQPSSSINDKPLLKYTAGDPLDTEDKVASVRSTGLSAQAEHMPEQESSQIIKSSVQPMVPQSADGGNRNMTLEGDGAPMSKFGTPMSKFTCVQEVREQDATVEDSSKTLPITIEVPNVIDMQGEGHGIGQTTMQQLPRQNLNVSWLKPTNKSAAEDVPESQGSTKNVAIIVLDDDSDERGKEMENSEALDQGGLHQNKSISLGTIDLNCADLRQEDSLHLGDSSFQKLTDQDLVGSTRKQMSQPIERMFFTKEKDTIHGKQQQHEERSTMHTSYSNLFGLTPPWNTGSLKGPSSLPSELKFRIMDKAPEFSLDLSLDSFQENRVSALRSDKLFLGGTSSISHKLTERLGAYSYRRHSAPWSEEELDFLWIGVRRYGVNNWNAMLRDTRLWFSNSRMPEDLAKQWGKEQKKLLTSGLGPAPPLHIAEDCLSRASCSGCSKSPFLGAQTDLSLGDVYLRNARASERDQHHLSSLGMVNLHGTDSGPRNLSLGGFLGASSSHARSGSRRRRASKLQKSYYDSKSPWFREPSERAPQLFPMNQQRLINSLPQWLTKVAETGTNRIDREMWPSLAPAPGHSAAEPPRESLGVNLFSDDLKPHVLPDASLKRAMRRNADWRSFSKRLFQTGDALDPNRGTAAAAIAGANGATPSNTGASSEETVSDS >PAN08951 pep chromosome:PHallii_v3.1:1:59012616:59018764:1 gene:PAHAL_1G447400 transcript:PAN08951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKIMITYKRKRVTSQDHTADDTVFDSSPAASSNVVASNLPPKFEAHAENTIANEDNFVTPVKQQRLSMQKSIKEECEPDRGQNELQVSLPQKEQPEICSAMILTAVAHSELLQCSEDTNNQIPVSSSVCDLMYADGTVGQTKDPNTSAIVETNYHKVPESTMGSRQYKNRSSPLLTFRRRAKKKINLDEPTEEICSPENEKQHSTLTCSQPSSSINDKPLLKYTAGDPLDTEDKVASVRSTGLSAQAEHMPEQESSQIIKSSVQPMVPQSADGGNRNMTLEGDGAPMSKFGTPMSKFTCVQEVREQDATVEDSSKTLPITIEVPNVIDMQGEGHGIGQTTMQQLPRQNLNVSWLKPTNKSAAEDVPESQGSTKNVAIIVLDDDSDERGKEMENSEALDQGGLHQNKSISLGTIDLNCADLRQEDSLHLGDSSFQKLTDQDLVGSTRKQMSQPIERMFFTKEKDTIHGKQQQHEERSTMHTSYSNLFGLTPPWNTGSLKGPSSLPSELKFRIMDKAPEFSLDLSLDSFQENRVSALRSDKLFLGGTSSISHKLTERLGAYSYRRHSAPWSEEELDFLWIGVRRYGVNNWNAMLRDTRLWFSNSRMPEDLAKQWGKEQKKLLTSGLGPAPPLHIAEDCLSRASCSGCSKSPFLGAQTDLSLGDVYLRNARASERDQHHLSSLGMVNLHGTDSGPRNLSLGGFLGASSSHARSGSRRRRASKLQKSYYDSKSPWFREPSERAPQLFPMNQQRLINSLPQWLTKVAETGTNRIDREMWPSLAPAPGHSAAEPPRESLGVNLFSDDLKPHVLPDASLKRAMRRNADWRSFSKRLFQTGDALDPNRGTAAAAIAGANGATPSNTGASSEETVSDS >PAN08949 pep chromosome:PHallii_v3.1:1:59015346:59018466:1 gene:PAHAL_1G447400 transcript:PAN08949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRFHSVCTRTYQCICIKVASVRSTGLSAQAEHMPEQESSQIIKSSVQPMVPQSADGGNRNMTLEGDGAPMSKFGTPMSKFTCVQEVREQDATVEDSSKTLPITIEVPNVIDMQGEGHGIGQTTMQQLPRQNLNVSWLKPTNKSAAEDVPESQGSTKNVAIIVLDDDSDERGKEMENSEALDQGGLHQNKSISLGTIDLNCADLRQEDSLHLGDSSFQKLTDQDLVGSTRKQMSQPIERMFFTKEKDTIHGKQQQHEERSTMHTSYSNLFGLTPPWNTGSLKGPSSLPSELKFRIMDKAPEFSLDLSLDSFQENRVSALRSDKLFLGGTSSISHKLTERLGAYSYRRHSAPWSEEELDFLWIGVRRYGVNNWNAMLRDTRLWFSNSRMPEDLAKQWGKEQKKLLTSGLGPAPPLHIAEDCLSRASCSGCSKSPFLGAQTDLSLGDVYLRNARASERDQHHLSSLGMVNLHGTDSGPRNLSLGGFLGASSSHARSGSRRRRASKLQKSYYDSKSPWFREPSERAPQLFPMNQQRLINSLPQWLTKVAETGTNRIDREMWPSLAPAPGHSAAEPPRESLGVNLFSDDLKPHVLPDASLKRAMRRNADWRSFSKRLFQTGDALDPNRGTAAAAIAGANGATPSNTGASSEETVSDS >PAN08950 pep chromosome:PHallii_v3.1:1:59012610:59018764:1 gene:PAHAL_1G447400 transcript:PAN08950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKIMITYKRKRVTSQDHTADDTVFDSSPAASSNVVASNLPPKFEAHAENTIANEDNFVTPVKQQRLSMQKSIKEECEPDRGQNELQVSLPQKEQPEICSAMILTAVAHSELLQCSEDTNNQIPVSSSVCDLMYADGTVGQTKDPNTSAIVETNYHKVPESTMGSRQYKNRSSPLLTFRRRAKKKINLDEPTEEICSPENEKQHSTLTCSQPSSSINDKPLLKYTAGDPLDTEDKVASVRSTGLSAQAEHMPEQESSQIIKSSVQPMVPQSADGGNRNMTLEGDGAPMSKFGTPMSKFTCVQEVREQDATVEDSSKTLPITIEVPNVIDMQGEGHGIGQTTMQQLPRQNLNVSWLKPTNKSAAEDVPESQGSTKNVAIIVLDDDSDERGKEMENSEALDQGGLHQNKSISLGTIDLNCADLRQEDSLHLGDSSFQKLTDQDLVGSTRKQMSQPIERMFFTKEKDTIHGKQQQHEERSTMHTSYSNLFGLTPPWNTGSLKGPSSLPSELKFRIMDKAPEFSLDLSLDSFQENRVSALRSDKLFLGGTSSISHKLTERLGAYSYRRHSAPWSEEELDFLWIGVRRYGVNNWNAMLRDTRLWFSNSRMPEDLAKQWGKEQKKLLTSGLGPAPPLHIAEDCLSRASCSGCSKSPFLGAQTDLSLGDVYLRNARASERDQHHLSSLGMVNLHGTDSGPRNLSLGGFLGASSSHARSGSRRRRASKLQKSYYDSKSPWFREPSERAPQLFPMNQQRLINSLPQWLTKVAETGTNRIDREMWPSLAPAPGHSAAEPPRESLGVNLFSDDLKPHVLPDASLKRAMRRNADWRSFSKRLFQTGDALDPNRGTAAAAIAGANGATPSNTGASSEETVSDS >PVH66387 pep chromosome:PHallii_v3.1:1:42224339:42224764:1 gene:PAHAL_1G231900 transcript:PVH66387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKVFLMAVPFQVPHAGLPDLRPDDRRKKPHWCGNEVFLEDPAQVKESLSDGQGIGIPMFKSCGSHSPRLDVGVAGASGDGENHPTATSTEVFVLPTVVPWALGNTEALQGIDQKAGKTRFFLANSLGPPILGHLKTKVE >PAN04135 pep chromosome:PHallii_v3.1:1:3034408:3040472:1 gene:PAHAL_1G045000 transcript:PAN04135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRFVLLFVAAAALIKLSGGVQAEEDWERFLLQWRHHSSLPSPLLNGDLVDKIWSICLRDMVGAEEILGNVMSFASDELLSHLSEIVLKTVLFLELLALLSHENLSTTCDCIRANYFGLGIPQESSVALGTYLESHELLLGSNFYSRRHLTGQSIGDAPSMAPAFVPSMSSSDEVQFPQSVTETPSTPSDSLNTEPPNQPHHHKPAQKHQGVPPISPLEKHKNYVKLVLIAVLPTAAFSFIAAFLIFYCCGCNKSKVSVSEQRDDHPLLHMQLAIVPGSSPDARCPASPLHKDDQMVGPSKAGVSMGQCFSCCFKGSSDTTSASQVIGGTLENDATSDAPKPMPPPPPPPPPPPPIKKAPPPPPGPPKGSKATLAQLSPVESSRSEGSSTGEQTSESSEAEVNAPRAKLRPLYWDKVLANPDHSMAWHDIKFGSFHVNEDMIEELFGYSTANKNILKDKELPSTDTGSQNISLLNVKKSCNLAVVFKAMNVRVQEIHDALIEGNELPRVLLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFKRINALLFMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFHGGANAFKLDTLLKLSDVKGADGKTTLLHFVVREIIRSEGIREARLATESGTSPSTSTSDDNANGSLEEDGDYYSRRGLKIVSGLSTEMDNVKRVAALDAEALSASVMNLSHELLKSKEILSKIAMIEEKSGFRHSFESFVEFADNETNFLMKEEKRLRSLVKKTIRYFHGNDSKDDDFRLFVIVRDFLVMLDKACKEVGASQKGTNKSRSNGNGIPTSQSIQQEQQFPAAIDDHSDSSDSND >PAN04137 pep chromosome:PHallii_v3.1:1:3034408:3040472:1 gene:PAHAL_1G045000 transcript:PAN04137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRFVLLFVAAAALIKLSGGVQAEEDWERFLLQWRHHSSLPSPLLNGDLVDKIWSICLRDMVGAEEILGNVMSFASDELLSHLSEIVLKTVLFLELLALLSHENLSTTCDCIRANYFGLGIPQESSVALGTYLESHELLLGSNFYSRRHLTGQSIGDAPSMAPAFVPSMSSSDEVQFPQSVTETPSTPSDSLNTEPPNQPHHHKPAQKHQGVPPISPLEKHKNYVKLVLIAVLPTAAFSFIAAFLIFYCCGCNKSKVSVSEQRDDHPLLHMQLAIVPGSSPDARCPASPLHKDDQMVGPSKAGVSMGQCFSCCFKGSSDTTSASQVIGGTLENDATSDAPKPMPPPPPPPPPPPPIKKAPPPPPGPPKGSKATLAQLSPVESSRSEGSSTGEQTSESSEAEVNAPRAKLRPLYWDKVLANPDHSMAWHDIKFGSFHVNEDMIEELFGYSTANKNILKDKELPSTDTGSQNISLLNVKKSCNLAVVFKAMNVRVQEIHDALIEGNELPRVLLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFKRINALLFMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFHGGANAFKLDTLLKLSDVKGADGKTTLLHFVVREIIRSEGIREARLATESGTSPSTSTSDDNANGSLEEDGDYYSRRGLKIVSGLSTEMDNVKRVAALDAEALSASVMNLSHELLKSKEILSKIAMIEEKSGFRHSFESFVEFADNETNFLMKEEKRLRSLVKKTIRYFHGNDSKDDDFRLFVIVRDFLVMLDKACKEVGASQKGTNKSRSNGNGIPTSQSIQQEQQFPAAIDDHSDSSDSND >PAN04136 pep chromosome:PHallii_v3.1:1:3034408:3040472:1 gene:PAHAL_1G045000 transcript:PAN04136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAEEILGNVMSFASDELLSHLSEIVLKTVLFLELLALLSHENLSTTCDCIRANYFGLGIPQESSVALGTYLESHELLLGSNFYSRRHLTGQSIGDAPSMAPAFVPSMSSSDEVQFPQSVTETPSTPSDSLNTEPPNQPHHHKPAQKHQGVPPISPLEKHKNYVKLVLIAVLPTAAFSFIAAFLIFYCCGCNKSKVSVSEQRDDHPLLHMQLAIVPGSSPDARCPASPLHKDDQMVGPSKAGVSMGQCFSCCFKGSSDTTSASQVIGGTLENDATSDAPKPMPPPPPPPPPPPPIKKAPPPPPGPPKGSKATLAQLSPVESSRSEGSSTGEQTSESSEAEVNAPRAKLRPLYWDKVLANPDHSMAWHDIKFGSFHVNEDMIEELFGYSTANKNILKDKELPSTDTGSQNISLLNVKKSCNLAVVFKAMNVRVQEIHDALIEGNELPRVLLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFKRINALLFMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFHGGANAFKLDTLLKLSDVKGADGKTTLLHFVVREIIRSEGIREARLATESGTSPSTSTSDDNANGSLEEDGDYYSRRGLKIVSGLSTEMDNVKRVAALDAEALSASVMNLSHELLKSKEILSKIAMIEEKSGFRHSFESFVEFADNETNFLMKEEKRLRSLVKKTIRYFHGNDSKDDDFRLFVIVRDFLVMLDKACKEVGASQKGTNKSRSNGNGIPTSQSIQQEQQFPAAIDDHSDSSDSND >PVH66152 pep chromosome:PHallii_v3.1:1:21218139:21219034:1 gene:PAHAL_1G164000 transcript:PVH66152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSASSWAVTASREESSATHQHRDLFTPGCPRKEEMMHRRRPKSNPCLPSSTDPPRTSLRAGVVLAWTRMRRSGPSLPSLAAAATPSPSAATTAPIPFPTVLRVYSSRASVLMRTTGCLWFLAVRSSSLGVEQTNPSRHPSETRA >PVH65615 pep chromosome:PHallii_v3.1:1:2621502:2625421:-1 gene:PAHAL_1G038400 transcript:PVH65615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKHFSCKKHGIRPRMPSIGLALVLLISLASCASSCTELERTSLLQFLTELSQDADLTKFWQGTDCCAWEGIACNQNGTVTTVSLPYKGLEGRISQSLGNLTGLQHLNLSYNSLSGGLPPGLVSSSSIIVLDVSFNQLNGDLHELPSSAHGHSLQVLNISSNLFTGQFTSTTLKGMENLISLNASNNSFTGQIPSHFCNISPSFSVLELCYNKLSGGIPPGLGNCSKLRVLKAGHNNLSGIIPEELFNATLLEHLSFSSNGLQGKLDGTHIVKLSNMAILDLGENNFNGMIPDSIGQLKRLQELHLDYNSMSGELPPTLSNCTNLITIDLKSNTFSGELNKVNFANFPNLKTVDLIWNNFSGTIPESIYSCRNLIALRLSANKFHGKLSEGLGNLKSLSFLSLSNNSFSNITNALQILRSSKNLTTLLLGMNFMNETMPDDARIDGFDNLQVLAIEDCLLSGKIPFWILELANLEMLFLRGNRLSGPIPTWINTLDYLFYLDISNNSLTGEIPTALMTMPMLEKTAAHLGPRIFDLPVYDTPSLQYRIPIAFPKVLNLSSNKFTGMIPPEIGQLKALISLDISCNNLTGPVPLSIGNLTNLQVLDLSNNNLTGEIPAALRNLNFLSKFNVSNNNLEGPIPTGGQFSTFQNSSFYGNPKLCGSMLVRQCSSAQAHPAFTKERKRKAIFAIAFGVFFAGIAVVLLLGLLLVLIRLKSLTSTNRREDNRDVQTTSFDSSSEHELIMMPQVRGDKKLTFSDIVKATNNFDQEHIIGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFNAEVEALSMAHHDHLVPLWGYGIQGNSRFLIYSFMENGSLDDWLHNRDDDASTFLDWPTRLRIAQGASCGLSYIHNVCKPHIVHRDIKSSNILLDKELKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELLTGLRPVPVLSTSKELVPWVLEMRSQGKQIEVLDPTLRGTGHEEQMLKVLEVACKCVNYNPSMRPPIMEVVSWLESINTGLQTQKSVKKKCSFPVIHENLVAK >PAN04056 pep chromosome:PHallii_v3.1:1:2621502:2625565:-1 gene:PAHAL_1G038400 transcript:PAN04056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILDLGENNFNGMIPDSIGQLKRLQELHLDYNSMSGELPPTLSNCTNLITIDLKSNTFSGELNKVNFANFPNLKTVDLIWNNFSGTIPESIYSCRNLIALRLSANKFHGKLSEGLGNLKSLSFLSLSNNSFSNITNALQILRSSKNLTTLLLGMNFMNETMPDDARIDGFDNLQVLAIEDCLLSGKIPFWILELANLEMLFLRGNRLSGPIPTWINTLDYLFYLDISNNSLTGEIPTALMTMPMLEKTAAHLGPRIFDLPVYDTPSLQYRIPIAFPKVLNLSSNKFTGMIPPEIGQLKALISLDISCNNLTGPVPLSIGNLTNLQVLDLSNNNLTGEIPAALRNLNFLSKFNVSNNNLEGPIPTGGQFSTFQNSSFYGNPKLCGSMLVRQCSSAQAHPAFTKERKRKAIFAIAFGVFFAGIAVVLLLGLLLVLIRLKSLTSTNRREDNRDVQTTSFDSSSEHELIMMPQVRGDKKLTFSDIVKATNNFDQEHIIGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFNAEVEALSMAHHDHLVPLWGYGIQGNSRFLIYSFMENGSLDDWLHNRDDDASTFLDWPTRLRIAQGASCGLSYIHNVCKPHIVHRDIKSSNILLDKELKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELLTGLRPVPVLSTSKELVPWVLEMRSQGKQIEVLDPTLRGTGHEEQMLKVLEVACKCVNYNPSMRPPIMEVVSWLESINTGLQTQKSVKKKCSFPVIHENLVAK >PVH65865 pep chromosome:PHallii_v3.1:1:6508262:6515994:-1 gene:PAHAL_1G092000 transcript:PVH65865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYIFFVLFISLDICFDGLICSPANSVCILIILLLVSVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQDYPVARHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTTNNALFLLSTNDKTIKYWKVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRHQVSNPARPTRTLTSLTRAVRRGGENTSVEANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >PAN04795 pep chromosome:PHallii_v3.1:1:6508759:6517586:-1 gene:PAHAL_1G092000 transcript:PAN04795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPMSSDDRPEAAAAAAAESVQEQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQDYPVARHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTTNNALFLLSTNDKTIKYWKVQEKKMKQVSVMNLDTSQSSGNGSTSSLGTSSCKDLPNGGCSEKLYRPNNNMSFPPGGCASLRLPVVVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRHQVSNPARPTRTLTSLTRAVRRGGENTSVEANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >PVH65866 pep chromosome:PHallii_v3.1:1:6508759:6517586:-1 gene:PAHAL_1G092000 transcript:PVH65866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPMSSDDRPEAAAAAAAESVQEQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQDYPVARHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTTNNALFLLSTNDKTIKYWKVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRHQVSNPARPTRTLTSLTRAVRRGGENTSVEANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >PVH65863 pep chromosome:PHallii_v3.1:1:6505381:6517723:-1 gene:PAHAL_1G092000 transcript:PVH65863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPMSSDDRPEAAAAAAAESVQEQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQDYPVARHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTTNNALFLLSTNDKTIKYWKVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRHQVSNPARPTRTLTSLTRAVRRGGENTSVEANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >PVH65862 pep chromosome:PHallii_v3.1:1:6508258:6517733:-1 gene:PAHAL_1G092000 transcript:PVH65862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPMSSDDRPEAAAAAAAESVQEQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQDYPVARHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTTNNALFLLSTNDKTIKYWKVQEKKMKQVSVMNLDTSQSSGNGSTSSLGTSSCKDLPNGGCSEKLYRPNNNMSFPPGGCASLRLPVVVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRHQVSNPARPTRTLTSLTRAVRRGGENTSVEANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >PVH65864 pep chromosome:PHallii_v3.1:1:6505381:6517723:-1 gene:PAHAL_1G092000 transcript:PVH65864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPMSSDDRPEAAAAAAAESVQEQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQDYPVARHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTTNNALFLLSTNDKTIKYWKVQEKKMKQVSVMNLDTSQSSGNGSTSSLGTSSCKDLPNGGCSEKLYRPNNNMSFPPGGCASLRLPVVVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRHQVSNPARPTRTLTSLTRAVRRGGENTSVEANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >PVH65861 pep chromosome:PHallii_v3.1:1:6508262:6517733:-1 gene:PAHAL_1G092000 transcript:PVH65861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPMSSDDRPEAAAAAAAESVQEQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQDYPVARHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTTNNALFLLSTNDKTIKYWKVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRHQVSNPARPTRTLTSLTRAVRRGGENTSVEANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >PAN05903 pep chromosome:PHallii_v3.1:1:34176834:34178700:-1 gene:PAHAL_1G209200 transcript:PAN05903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILLSSVLGEVMARSINFIISKGSTPPAPALEDSLQRALLRAQVIVDEATERHVTNQAMLLQLDMLRDAMHKGIYALDSFRYQPHAAEDDDRDQAARRSVPLFIASCAKIPRFSRGSTRILAQLREALDLLSSMVADADVLVLLLMSYPRRRYRQPYSMHLMLYNCMFGRQMETEHVISFLLHAQPSHGAEEPEVLPIVGPGMVGKTTLVTHVCKDERVRDRFSEIVLLNDLDFTDADLAAFRRRCSVRNGNRGSSWNRKDGKFLVVVEVAGDFNEDAWSRLYSASKRWVPRGSKIIVTSRSDKITKVGTVRPLTLEFLPREAYWYFFRTLAFGSADPGAYPRLANMAMEVAGNMNGAFISAHITARFLRGNLDARFWGKVLALYREFVDKHGSRFGGNPFATLNQGGPALFGSMGGAFADLMIHHHNECSSPVEVPDVMTVQWLDLLMLGSEVVIRAQGKFQFMWTSPIPPYYSHIYTCEILGSKPAAAKRKRSVENGVALS >PVH67217 pep chromosome:PHallii_v3.1:1:59483523:59483828:-1 gene:PAHAL_1G455700 transcript:PVH67217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWATWVGTSVASAFFASLERCSCINLSTDDDDDRDEEEEAKDRPLILSSAPRHDDATAASKPTDHSPSPSARTRSKSSRPCRPYEWITCFLSPHLLQSY >PAN06889 pep chromosome:PHallii_v3.1:1:48798976:48807259:-1 gene:PAHAL_1G293600 transcript:PAN06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAPDAACRKRLRSHDSTMIKIQSDDNEEGKKMSGGGSEVKNRKTSIDAFLEKGKQKEGRTNSNKNMMADDQEREERIIWNGHHKVKSTKKVSTASPEKMKKQKLCNANNKKKMQIDDDEEGKNRHNGDHKLTNRKESPTLCEKDKNKGKLNKTHKEKMWAADRKERKILSRHNSIKSGEVSTALFGKEKKGKRLNKTNNEELQRDEDEENAPFIPVVKEKRMRPSESTEAMMCHEKTNRRSVSSIVSKEKKIDTSSGSKYKKRKRDHTLPKKGKRSDNKIHSGRVQEKKICGGGKEKNKHTPFASFAFFKFIYNRFEEFLLIPPAVAHKLTDLSNQHVYLEDSEERRSKVRLSVVNDSLAFHQGWNIFVSDHSIKRGEFLLFEYIATKTFSVRVFGMNSHERLCFKKGPKRVQHGVGSGPVPQDNNNENYACMRSQVKTAILISDSVASAHNEDVVNLTTSDADSTHHVTINTNKDLERVQSGVGNLPDGECGTKCISPACNEGKTSSEIIVNDAAPLMHENDGRVGNELQVHDLDEDLIRKQGINCIPLGSIIAVEKHQIHSTMNISQNFCRKYAAAGGFRCLEKWWRAGIVNSRAALDGTVLTEPENTEKTDSKLVDGYGSIGLNTVDEYFCSEGNHTHVPPVFTMAVKGPLSADRVSKCRHGETEIDHSINEKCGGAAIQIKTQGEQLEPVGSIVNSQRNNIPVSANAVVPGKYSAIGLNPVGPEVTCAIVEPMLTVPVEKPSSPDEISKYESSRTEIDHNVNGKGTIVQLETKVDQVEPVGSSVCSQSSNNATYGSCVAAHVSEHCFSKQEGRKSINCAVQESLLPMKDKILELDDHSLLKFSLQLCVPDTTRKWLKRHDRNVILLNDPMKRLWPVLYHENPVFVGFTAGWRNFVAANNLQAGDLCDLIKEPDDDELVYSVLITRQ >PAN06865 pep chromosome:PHallii_v3.1:1:48799611:48805246:-1 gene:PAHAL_1G293600 transcript:PAN06865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAPDAACRKRLRSHDSTMIKIQSDDNEEGKKMSGGGSEVKNRKTSIDAFLEKGKQKEGRTNSNKNMMADDQEREERIIWNGHHKVKSTKKVSTASPEKMKKQKLCNANNKKKMQIDDDEEGKNRHNGDHKLTNRKESPTLCEKDKNKGKLNKTHKEKMWAADRKERKILSRHNSIKSGEVSTALFGKEKKGKRLNKTNNEELQRDEDEENAPFIPVVKEKRMRPSESTEAMMCHEKTNRRSVSSIVSKEKKIDTSSGSKYKKRKRDHTLPKKGKRSDNKIHSGRVQEKKICGGGKEKNKHTPFASFAFFKFIYNRFEEFLLIPPAVAHKLTDLSNQHVYLEDSEERRSKVRLSVVNDSLAFHQGWNIFVSDHSIKRGEFLLFEYIATKTFSVRVFGMNSHERLCFKKGPKRVQHGVGSGPVPQDNNNENYACMRSQVKTAILISDSVASAHNEDVVNLTTSDADSTHHVTINTNKDLERVQSGVGNLPDGECGTKCISPACNEGKTSSEIIVNDAAPLMHENDGRVGNELQVHDLDEDLIRKQGINCIPLGSIIAVEKHQIHSTMNISQNFCRKYAAAGGFRCLEKWWRAGIVNSRAALDGTVLTEPENTEKTDSKLVDGYGSIGLNTVDEYFCSEGNHTHVPPVFTMAVKGPLSADRVSKCRHGETEIDHSINEKCGGAAIQIKTQGEQLEPVGSIVNSQRNNIPVSANAVVPGKYSAIGLNPVGPEVTCAIVEPMLTVPVEKPSSPDEISKYESSRTEIDHNVNGKGTIVQLETKVDQVEPVGSSVCSQSSNNATYGSCVAAHVSEHCFSKQEGRKSINCAVQESLLPMKDKILELDDHSLLKFSLQLCVPDTTRKWLELPKSLPNAVKQKRHDRNVILLNDPMKRLWPVLYHENPVFVGFTAGWRNFVAANNLQAGDLCDLIKEPDDDELVYSVLITRQ >PAN06866 pep chromosome:PHallii_v3.1:1:48798976:48807259:-1 gene:PAHAL_1G293600 transcript:PAN06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAPDAACRKRLRSHDSTMIKIQSDDNEEGKKMSGGGSEVKNRKTSIDAFLEKGKQKEGRTNSNKNMMADDQEREERIIWNGHHKVKSTKKVSTASPEKMKKQKLCNANNKKKMQIDDDEEGKNRHNGDHKLTNRKESPTLCEKDKNKGKLNKTHKEKMWAADRKERKILSRHNSIKSGEVSTALFGKEKKGKRLNKTNNEELQRDEDEENAPFIPVVKEKRMRPSESTEAMMCHEKTNRRSVSSIVSKEKKIDTSSGSKYKKRKRDHTLPKKGKRSDNKIHSGRVQEKKICGGGKEKNKHTPFASFAFFKFIYNRFEEFLLIPPAVAHKLTDLSNQHVYLEDSEERRSKVRLSVVNDSLAFHQGWNIFVSDHSIKRGEFLLFEYIATKTFSVRVFGMNSHERLCFKKGPKRVQHGVGSGPVPQDNNNENYACMRSQVKTAILISDSVASAHNEDVVNLTTSDADSTHHVTINTNKDLERVQSGVGNLPDGECGTKCISPACNEGKTSSEIIVNDAAPLMHENDGRVGNELQVHDLDEDLIRKQGINCIPLGSIIAVEKHQIHSTMNISQNFCRKYAAAGGFRCLEKWWRAGIVNSRAALDGTVLTEPENTEKTDSKLVDGYGSIGLNTVDEYFCSEGNHTHVPPVFTMAVKGPLSADRVSKCRHGETEIDHSINEKCGGAAIQIKTQGEQLEPVGSIVNSQRNNIPVSANAVVPGKYSAIGLNPVGPEVTCAIVEPMLTVPVEKPSSPDEISKYESSRTEIDHNVNGKGTIVQLETKVDQVEPVGSSVCSQSSNNATYGSCVAAHVSEHCFSKQEGRKSINCAVQESLLPMKDKILELDDHSLLKFSLQLCVPDTTRKWLELPKSLPNAVKQKRHDRNVILLNDPMKRLWPVLYHENPVFVGFTAGWRNFVAANNLQAGDLCDLIKEPDDDELVYSVLITRQ >PVH65920 pep chromosome:PHallii_v3.1:1:7706252:7706691:-1 gene:PAHAL_1G103900 transcript:PVH65920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGAAQYKKTAMAVPVAPSTPLFASFCSFEEAGHGGRYAQPGTESSPLGPGTAQLIFLQGRPLSPIHARRWMCSKFNFCIVPFLMVT >PVH66754 pep chromosome:PHallii_v3.1:1:51677770:51679545:-1 gene:PAHAL_1G337900 transcript:PVH66754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILSRPRSSIPDPSSHPPVLLLLSLSGVRRDLDRLRRDPRESACSFAVLRRNMGALRRATPVPRARPSSKRPRGAAPADCGAMARESSTRRVGADQKPSAPSPRCTKVVRNGIVAEMEVTTVAGGVASPNGRPFVKWPQVVEPAAATCCTMAREKKSARRAGADEEFSAPSPKRATVTRNAVKTSVDPALGTLRERMAAELDALHALLRKAELLSSGKNDRSMDTA >PAN04869 pep chromosome:PHallii_v3.1:1:7119903:7124264:1 gene:PAHAL_1G098000 transcript:PAN04869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTARGSGTNGYVQTNKFFIKPRSTGGPGGPHRPPLPDAAGGDGTGLGGMRKPNRDILEHDRKRQVELKLLVLRDALEEQGYTEGEIEERVEEARRAAEAEAAAAAAAEEAGGGRPSLPGSRGFTDTQSHHVAARKEKQLETLRAAFGLDAEDVKKKGDVESDVESGELVPGKYSEELDTAGQKDGKDLKDGGKDAKKSKKKKGSDKRSRSKSSRKSKYDSDSEQEHDTKTKKKKKKSSRHDSEDDSETDYDEKKEEKHSKKTRLVSDGDDSETDRKKARPGKNSHHDSESDTDSDYGKKKTERAKNIRDERKKIPVKSSRHDSESDTDNEYGKKKTEHAKNNRDDRNKIPVKNSRDDSESNTDSDHGKKKTENVKNSRDEKKKMLVKSSRHDSESDTDSDYGKKKTELEKNNRDERKKIPTKSSRQSFEDEKPRESRYKDSSRHYSDDGKPIKYKESSRHDSEDEKPRNYEGSSRRDLDEKPRMSKYKGGSHSDYDQKRQKSVRKSNYSSESESDSDSDQGERSKQGNSSHHKSERDRLKSDPRDDDYRTNKSVKNLNHGSDGEKPRSKVLRKDKYSDESETDSDTRVKAKKKLEKSYHHNPTEDKQAQKIKGKEYNYGKNIDKRKRHDTDSESDGYSRDRKRQLNAAVTKKDVPEKKRVASSSESSDYSSSSLSSSESDMSADSYEEQKRSQVERRRDELDTQRQKEEERKELEKKKQREEERKELEKQKQREEERIEMEKKRQREREEERLRERDQDRRKGGNGLERDYKRKLEDDRYDPNSSRVREEGYRGHQNRGDERRHEEHGRHRARDLDIHDSKRSRHDDGSYYNSRRDREDRYSRDEHRDRRRH >PAN04868 pep chromosome:PHallii_v3.1:1:7119903:7124264:1 gene:PAHAL_1G098000 transcript:PAN04868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTARGSGTNGYVQTNKFFIKPRSTGGPGGPHRPPLPDAAGGDGTGLGGMRKPNRDILEHDRKRQVELKLLVLRDALEEQGYTEGEIEERVEEARRAAEAEAAAAAAAEEAGGGRPSLPGSRGFTDTQSHHVAARKEKQLETLRAAFGLDAEDVKKKGDVESDVESGELVPGKYSEELDTAGQKDGKDLKDGGKDAKKSKKKKGSDKRSRSKSSRKSKYDSDSEQEHDTKTKKKKKKSSRHDSEDDSETDYDEKKEEKHSKKTRLVSDGDDSETDRKKARPGKNSHHDSESDTDSDYGKKKTERAKNIRDERKKIPVKSSRHDSESDTDNEYGKKKTEHAKNNRDDRNKIPVKNSRDDSESNTDSDHGKKKTENVKNSRDEKKKMLVKSSRHDSESDTDSDYGKKKTELEKNNRDERKKIPTKSSRQSFEDEKPRESRYKDSSRHYSDDGKPIKYKESSRHDSEDEKPRNYEGSSRRDLDEKPRMSKYKGGSHSDYDQKRQKSVRKSNYSSESESDSDSDQGERSKQGNSSHHKSERDRLKSDPRDDDYRTNKSVKNLNHGSDGEKPRSKVLRKDKYSDESETDSDTRVKAKKKLEKSYHHNPTEDKQAQKIKGKEYNYGKNIDKRKRHDTDSESDGYSRDRKRQLNAAVTKKDVPEKKRVASSSESSDYSSSSLSSSESDMSADSYEEQKRSQVERRRDELDTQRQKEEERKELEKKKQREEERKELEKQKQREEERIEMEKKRQREREEERLRERDQDRRKGGNGLERDYKRKLEDDRYDPNSSRVREEGYRGHQNRGDERRHEEHGRHRARDLDIHDSKRSRHDDGSYYNSRRDREDRYSRDEHRDRRRH >PAN04285 pep chromosome:PHallii_v3.1:1:3745332:3748964:-1 gene:PAHAL_1G055400 transcript:PAN04285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTKNWIVKLKTLIVVHRLLREGDPTFKEEFLAYTYRGNILHMANFKDDSSQLAWDCSAWVRTYALFLEERLECFRALKYDIETERLMKSPQCSTKGHSRTRTLPCPELLEQLPALQQLLFRVVGVQPEGSACSNYLIQYALALVVKESFKIYCAINDGIINLVDMFFDMPKYDSTKALTIYKRAGLQAENLAEFYDFCKQLELARTFQLPTLRQPPPSFLATMEEYIREAPRPSVKSVESEEPKLPTYDKEAPKEPEKPAEEEKVEPEQEPESQPEPELESQPQQTTGDLLNLDAEVNPSVVELEECNALALTIVAPGDQNKTSTSQNLFDANSSGWELALVTAPSTHISQPVKTNLGGGFDKLLLDSLYEDEARRQQIASVTYAGSLGTANPSDANANDPFAMSSSFAPPSNVQLALMTQQQPQYYQVQQQYFQPQQHQYFQQQQQIMAMPTPNMYHHQYQYAAPPSGAPNPFGDPFSDLVAVGAPGKQGNSSFL >PAN04287 pep chromosome:PHallii_v3.1:1:3745332:3749250:-1 gene:PAHAL_1G055400 transcript:PAN04287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRKAYGALKDSTRVGLAKVNSDFKVKLKTLIVVHRLLREGDPTFKEEFLAYTYRGNILHMANFKDDSSQLAWDCSAWVRTYALFLEERLECFRALKYDIETERLMKSPQCSTKGHSRTRTLPCPELLEQLPALQQLLFRVVGVQPEGSACSNYLIQYALALVVKESFKIYCAINDGIINLVDMFFDMPKYDSTKALTIYKRAGLQAENLAEFYDFCKQLELARTFQLPTLRQPPPSFLATMEEYIREAPRPSVKSVESEEPKLPTYDKEAPKEPEKPAEEEKVEPEQEPESQPEPELESQPQQTTGDLLNLDAEVNPSVVELEECNALALTIVAPGDQNKTSTSQNLFDANSSGWELALVTAPSTHISQPVKTNLGGGFDKLLLDSLYEDEARRQQIASVTYAGSLGTANPSDANANDPFAMSSSFAPPSNVQLALMTQQQPQYYQVQQQYFQPQQHQYFQQQQQIMAMPTPNMYHHQYQYAAPPSGAPNPFGDPFSDLVAVGAPGKQGNSSFL >PAN04288 pep chromosome:PHallii_v3.1:1:3745475:3748646:-1 gene:PAHAL_1G055400 transcript:PAN04288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKYSSQPPRIALALTSHTAYTHCQEECPRPRTGYVLQVKLKTLIVVHRLLREGDPTFKEEFLAYTYRGNILHMANFKDDSSQLAWDCSAWVRTYALFLEERLECFRALKYDIETERLMKSPQCSTKGHSRTRTLPCPELLEQLPALQQLLFRVVGVQPEGSACSNYLIQYALALVVKESFKIYCAINDGIINLVDMFFDMPKYDSTKALTIYKRAGLQAENLAEFYDFCKQLELARTFQLPTLRQPPPSFLATMEEYIREAPRPSVKSVESEEPKLPTYDKEAPKEPEKPAEEEKVEPEQEPESQPEPELESQPQQTTGDLLNLDAEVNPSVVELEECNALALTIVAPGDQNKTSTSQNLFDANSSGWELALVTAPSTHISQPVKTNLGGGFDKLLLDSLYEDEARRQQIASVTYAGSLGTANPSDANANDPFAMSSSFAPPSNVQLALMTQQQPQYYQVQQQYFQPQQHQYFQQQQQIMAMPTPNMYHHQYQYAAPPSGAPNPFGDPFSDLVAVGAPGKQGNSSFL >PAN04286 pep chromosome:PHallii_v3.1:1:3745475:3749155:-1 gene:PAHAL_1G055400 transcript:PAN04286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRKAYGALKDSTRVGLAKVNSDFKELDIAIVKATNHVECPPKERHVRKIFIATSANRPRADVTYCIYALSRRMSKTKNWIVKLKTLIVVHRLLREGDPTFKEEFLAYTYRGNILHMANFKDDSSQLAWDCSAWVRTYALFLEERLECFRALKYDIETERLMKSPQCSTKGHSRTRTLPCPELLEQLPALQQLLFRVVGVQPEGSACSNYLIQYALALVVKESFKIYCAINDGIINLVDMFFDMPKYDSTKALTIYKRAGLQAENLAEFYDFCKQLELARTFQLPTLRQPPPSFLATMEEYIREAPRPSVKSVESEEPKLPTYDKEAPKEPEKPAEEEKVEPEQEPESQPEPELESQPQQTTGDLLNLDAEVNPSVVELEECNALALTIVAPGDQNKTSTSQNLFDANSSGWELALVTAPSTHISQPVKTNLGGGFDKLLLDSLYEDEARRQQIASVTYAGSLGTANPSDANANDPFAMSSSFAPPSNVQLALMTQQQPQYYQVQQQYFQPQQHQYFQQQQQIMAMPTPNMYHHQYQYAAPPSGAPNPFGDPFSDLVAVGAPGKQGNSSFL >PAN07455 pep chromosome:PHallii_v3.1:1:51651821:51652992:1 gene:PAHAL_1G337500 transcript:PAN07455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRKRQVNSQQHKPTNKAWSRSVAFNHWPRAGAFCRIMAATEQQQQTNQRSPRECPSLSARTQRAACSCHLRFALLCFALLSQVRAAGGGWPVDFFYASKLVVDLASSLIDRWRRATGSICPPPRGPGRARDFSLIPSDPAAKQEIAAACCRSVIRVAPHIALGLSIFPALAIHPSMIHPPIIR >PVH65830 pep chromosome:PHallii_v3.1:1:5966615:5967711:-1 gene:PAHAL_1G086000 transcript:PVH65830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPRLLALAWLAAALWLAAGGGCLCRHVLPPPPPPSSLPPPPVGAPHVPAPRSPFRPRPVCRRPFCRPPICPPEGCSGQGTGT >PVH66966 pep chromosome:PHallii_v3.1:1:55511521:55512226:1 gene:PAHAL_1G395800 transcript:PVH66966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWWEKELAHLSKKDRRLKAALMIYAGWNIWKARNKKFFEQRTMTPGEVMQEIKAEMHCRAMACGKPEMSSFND >PAN07623 pep chromosome:PHallii_v3.1:1:52504208:52508981:1 gene:PAHAL_1G350100 transcript:PAN07623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAPPRGSPRDAVGQRWLAVFAFQAVLSAAASALHLALSPRGRRHPLLGVPAGLLLALHPLLACAATGLLALALLLTASPRPRPPPLQRRALATALLAAAGALSVGAAAAILPEDARWAAVAGLGFRGAVLGAVFAAHYFGRGRWLLQFPVVQRPLFYGLKMGLLPSGKRALKVSLQAFCLSFVLILLLPQQFRIGGSIGSQILTQISIFIVTAGVSFCLEISHHFVQVVHTRRCSFAPPQSTAAAETNPTEFILETLEQSDPRSLIQYLAYQDLCMVSECNLEPWRRGAFFEESGETYKRIVTACLKPLEEFTSIIAKALEEYSPDSLSQQSILFSAFDDSQICTWCARTLSGLTARSRQEDRYGVAQLTGCNAAVMTTLLSALLVIEACLGKKTNPQPALGPENIKWANFSTGRKGTAIAIASTQKGGLHKKVYAMADVLRTSVYQIVSAFIDDLRANAKPASLEKNWISEGRKPVYGSQAVLVQKLSLFIEYRAV >PVH67177 pep chromosome:PHallii_v3.1:1:58862104:58863823:-1 gene:PAHAL_1G444500 transcript:PVH67177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHNTSRSCFAHRSRQRDERAHGARRAPPATLSPAMDEVGVEVPLPRDKLSIELRRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRVRFCELACESSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGSLKVMLLCGSDLLESFSTPGVWIPDQVRTICRDFGVICIRREGKDVGNLIAGSDILQECRDNIISVDEIVPNQISSSRVRDCIKRCLSIKYLTCDEVIEYITEHKLFTEAEGSDTPL >PVH67176 pep chromosome:PHallii_v3.1:1:58862104:58863823:-1 gene:PAHAL_1G444500 transcript:PVH67176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHNTSRSCFAHRSRQRDERAHGARRAPPATLSPAMDEVGVEVPLPRDKLSIELRRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPDLLPAAHRVRFCELACESSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGIYSSLKVMLLCGSDLLESFSTPGVWIPDQVRTICRDFGVICIRREGKDVGNLIAGSDILQECRDNIISVDEIVPNQISSSRVRDCIKRCLSIKYLTCDEVIEYITEHKLFTEAEGSDTPL >PVH67173 pep chromosome:PHallii_v3.1:1:58862417:58863823:-1 gene:PAHAL_1G444500 transcript:PVH67173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHNTSRSCFAHRSRQRDERAHGARRAPPATLSPAMDEVGVEVPLPRDKLSIELRRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRVRFCELACESSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGSLKVMLLCGSDLLESFSTPGVWIPDQVRTICRDFGVICIRREGKDVGNLIAGSDILQECRVQICSQRILKWEQYKLFY >PVH67179 pep chromosome:PHallii_v3.1:1:58860502:58863844:-1 gene:PAHAL_1G444500 transcript:PVH67179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHNTSRSCFAHRSRQRDERAHGARRAPPATLSPAMDEVGVEVPLPRDKLSIELRRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRVRFCELACESSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGSLKVMLLCGSDLLESFSTPGVWIPDQDNIISVDEIVPNQISSSRVRDCIKRCLSIKYLTCDEVIEYITEHKLFTEAEGSDTPL >PVH67174 pep chromosome:PHallii_v3.1:1:58862417:58863823:-1 gene:PAHAL_1G444500 transcript:PVH67174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHNTSRSCFAHRSRQRDERAHGARRAPPATLSPAMDEVGVEVPLPRDKLSIELRRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRVRFCELACESSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGIYSSLKVMLLCGSDLLESFSTPGVWIPDQVRTICRDFGVICIRREGKDVGNLIAGSDILQECRVQICSQRILKWEQYKLFY >PVH67175 pep chromosome:PHallii_v3.1:1:58860502:58863844:-1 gene:PAHAL_1G444500 transcript:PVH67175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHNTSRSCFAHRSRQRDERAHGARRAPPATLSPAMDEVGVEVPLPRDKLSIELRRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRVRFCELACESSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGIYSSLKVMLLCGSDLLESFSTPGVWIPDQDNIISVDEIVPNQISSSRVRDCIKRCLSIKYLTCDEVIEYITEHKLFTEAEGSDTPL >PVH67180 pep chromosome:PHallii_v3.1:1:58860502:58863844:-1 gene:PAHAL_1G444500 transcript:PVH67180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHNTSRSCFAHRSRQRDERAHGARRAPPATLSPAMDEVGVEVPLPRDKLSIELRRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPDLLPAAHRVRFCELACESSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGSLKVMLLCGSDLLESFSTPGVWIPDQVRTICRDFGVICIRREGKDVGNLIAGSDILQECRDNIISVDEIVPNQISSSRVRDCIKRCLSIKYLTCDEVIEYITEHKLFTEAEGSDTPL >PVH67178 pep chromosome:PHallii_v3.1:1:58862104:58863823:-1 gene:PAHAL_1G444500 transcript:PVH67178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHNTSRSCFAHRSRQRDERAHGARRAPPATLSPAMDEVGVEVPLPRDKLSIELRRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRVRFCELACESSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGIYSSLKVMLLCGSDLLESFSTPGVWIPDQVRTICRDFGVICIRREGKDVGNLIAGSDILQECRDNIISVDEIVPNQISSSRVRDCIKRCLSIKYLTCDEVIEYITEHKLFTEAEGSDTPL >PAN07900 pep chromosome:PHallii_v3.1:1:53909299:53910524:1 gene:PAHAL_1G371200 transcript:PAN07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILENIQKTRFLPTRPLKDELPTFQGGLGGGGGGGKESHLMGLRKRLSSFSGKIQPISSASAEWAFRRSKSAPSLGAAFAASGGSLRQWWEWGVGWLLSKKPGFAGDLEMNEEEVAALGRQSRGSLGHILYKMRASVRRLVMSAHSLPTTQKQSLPSAAAPHSVQCKPAAAFAYAQRQSFHHNGHAMAH >PAN07308 pep chromosome:PHallii_v3.1:1:50853341:50860095:1 gene:PAHAL_1G325500 transcript:PAN07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRARYPPGMGNGRGGNPNYYGRGPPPHQPHQHNYQHQQTSGAHHHQQYAQRQQQQHHQNHNHNHHQQQQQHHNHHQQQQQQQWLRRNQIAREAVGAAGTSEPKALVPSTAADGVDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPDNNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >PAN07895 pep chromosome:PHallii_v3.1:1:53899704:53900852:1 gene:PAHAL_1G371000 transcript:PAN07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLPVLFLCLAFAACSANQHHDPSVVGYSQEDLASPNKLLDLFTSWSVKHSKIYASPKEKVKRYRVFKQNLMHIAETNRKNGSYWLGLNQFADIAHEEFKASYLGLKPGLSKMGAPPRPATTFRYANAVDLPWAVDWRYKGAVTPVKNQGECGSCWAFSTVAAVEGINQIATGKLVSLSEQELMDCDTTFDHGCGGGIMDFAFAFIMGNQGIHTDEDYPYLMEEGYCKEKQPHASVVTITGYEDVPENSEVSLLKALAHQPVSVGIAAGSKDFQFYKGVSTIVCLYFQMMSQKYSCNLRMSSDWLAAGGV >PAN07896 pep chromosome:PHallii_v3.1:1:53899346:53901322:1 gene:PAHAL_1G371000 transcript:PAN07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLPVLFLCLAFAACSANQHHDPSVVGYSQEDLASPNKLLDLFTSWSVKHSKIYASPKEKVKRYRVFKQNLMHIAETNRKNGSYWLGLNQFADIAHEEFKASYLGLKPGLSKMGAPPRPATTFRYANAVDLPWAVDWRYKGAVTPVKNQGECGSCWAFSTVAAVEGINQIATGKLVSLSEQELMDCDTTFDHGCGGGIMDFAFAFIMGNQGIHTDEDYPYLMEEGYCKEKQPHASVVTITGYEDVPENSEVSLLKALAHQPVSVGIAAGSKDFQFYKGGVFDGACSAELDHALTAVGYGSSYGQDYIVMKNSWGKNWGDQGYVRMKRGTGKPDGVCGIYSMASYPVKNATHWGA >PAN04955 pep chromosome:PHallii_v3.1:1:7728770:7732039:1 gene:PAHAL_1G104400 transcript:PAN04955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRTHELPDRSSLSVVGSSRRGDQIKKPDSRSPPRARPPGCRECPPVAWPTAATLEIPSPSVSNPESRTPLLRPAPSSLPSVQVTYQPPRAAPPIPRAGSSLQPTPLEPRDYRACATPDLNG >PVH66986 pep chromosome:PHallii_v3.1:1:55763322:55763570:1 gene:PAHAL_1G400100 transcript:PVH66986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGQVTECLRESGWSTHKLLLIMYFPCLLVVVWQSVHVTSVRIVLVKSRLIWSVTYASGVSCRIIRGGMSMGSHRSRSHTT >PAN09023 pep chromosome:PHallii_v3.1:1:59351391:59354534:1 gene:PAHAL_1G452800 transcript:PAN09023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT1G65650) UniProtKB/TrEMBL;Acc:A0A178WM46] MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDVDSLSELRPVYGLIFLFKWIPGEKDERPVVRDPNPNLFFAHQVINNACATQAILSVLMNRPEIDIGPELSQLKEFTGAFTPDLKGLAISNSESIRIAHNSFARPEPFISDEQRAATKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGQCDGSDDLDWLRMVQPVIQERIERYSQSEIRFNLMAIIKNRKEVYSAELEELEKRREQILQEMNNTSATESLNNSLTEVISAIETVSEKIIMEEEKFKKWKTENIRRKHNYIPFLFNLLKVLAEKQQLKPLVEKAKQQKSSSASTR >PAN05826 pep chromosome:PHallii_v3.1:1:35612816:35617124:-1 gene:PAHAL_1G212200 transcript:PAN05826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPPFEFRRLRKKMLKEEPVRSVGVVAAVEGTTVNPLESALYRVADIFEASPGRPPTGSERIDGSPTACGSSPGPPRISVPLGSTAEACPLRISISITLPMALRPESLSVQNPSPRPPSPWRDHLVPRIRNSGPARHCMLGKEKKKKVAVVS >PAN05820 pep chromosome:PHallii_v3.1:1:35612816:35617124:-1 gene:PAHAL_1G212200 transcript:PAN05820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPPFEFRRLRKKMLKEEPVRSVGVVAAVEGTTVNPLESALYRVADIFEASPGRPPTGSERIDGSPTACGSSPGPPRISVPLGSTAEACPLRISISITLPMALRPESLSVQNPSPRPPSPWRDHLVPRIRNSGPARHCMLGKEKKKKVAVVS >PAN05823 pep chromosome:PHallii_v3.1:1:35612632:35617124:-1 gene:PAHAL_1G212200 transcript:PAN05823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPPFEFRRLRKKMLKEEPVRSVGVVAAVEGTTVNPLESALYRVADIFEASPGRPPTGSERIDGSPTACGSSPGPPRISVPLGSTAEACPLRISISITLPMALRPESLSVQNPSPRPPSPWRDHLVPRIRNSGPARHCMLGKEKKKKVAVVS >PAN05822 pep chromosome:PHallii_v3.1:1:35616498:35616962:-1 gene:PAHAL_1G212200 transcript:PAN05822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPPFEFRRLRKKMLKEEPVRSVGVVAAVEGTTVNPLESALYRVADIFEASPGRPPTGSERIDGSPTACGSSPGPPRISVPLGSTAEACPLRISISITLPMALRPESLSVQNPSPRPPSPWRDHLVPRIRNSGPARHCMLGKEKKKKVAVVS >PAN05824 pep chromosome:PHallii_v3.1:1:35616498:35616962:-1 gene:PAHAL_1G212200 transcript:PAN05824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPPFEFRRLRKKMLKEEPVRSVGVVAAVEGTTVNPLESALYRVADIFEASPGRPPTGSERIDGSPTACGSSPGPPRISVPLGSTAEACPLRISISITLPMALRPESLSVQNPSPRPPSPWRDHLVPRIRNSGPARHCMLGKEKKKKVAVVS >PAN05825 pep chromosome:PHallii_v3.1:1:35612801:35617124:-1 gene:PAHAL_1G212200 transcript:PAN05825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPPFEFRRLRKKMLKEEPVRSVGVVAAVEGTTVNPLESALYRVADIFEASPGRPPTGSERIDGSPTACGSSPGPPRISVPLGSTAEACPLRISISITLPMALRPESLSVQNPSPRPPSPWRDHLVPRIRNSGPARHCMLGKEKKKKVAVVS >PAN05821 pep chromosome:PHallii_v3.1:1:35616498:35616962:-1 gene:PAHAL_1G212200 transcript:PAN05821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPPFEFRRLRKKMLKEEPVRSVGVVAAVEGTTVNPLESALYRVADIFEASPGRPPTGSERIDGSPTACGSSPGPPRISVPLGSTAEACPLRISISITLPMALRPESLSVQNPSPRPPSPWRDHLVPRIRNSGPARHCMLGKEKKKKVAVVS >PAN03855 pep chromosome:PHallii_v3.1:1:1796169:1798242:1 gene:PAHAL_1G025100 transcript:PAN03855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trivalent AI influx transporter, Aluminum (Al) toleranc [Source: Projected from Oryza sativa (Os02g0131800)] MYLHMNSTLQAARIAVRVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAKEVVKGKFVPSLQGKDAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIEYSLAFIVALLINVSVVVVAGTICNANNLSPADANTCSDLTPQSTPMLLRNVLGRSSSVVYAVALLASGQSTTINCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIGPSLIVSIMVLSFEMPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNHLPKYANALVSIVVFSLMAAYLVFVVYLTFRRDTVSTYVPVSERAQGQVEAGGAQAVASAADADQPAPFRKDLADDSM >PAN05832 pep chromosome:PHallii_v3.1:1:35370311:35376123:1 gene:PAHAL_1G211200 transcript:PAN05832 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G60800) UniProtKB/TrEMBL;Acc:A0A178VIL0] MHRSGTAMAWNVFKFCTALRGLGSIMILLVLGIVGVTYYALVLCNYGPALLAATGALDALVALAVLLLFHFLLVMLLWSYFSVVFTDPGGVPPNWRPDVDEERAETAPLSTTELSDTGSPRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKFFLLFLFYTFLETALVTLSLLPHFIAFFSDVEIPGTPGALATTFLTFVLNLAFTLSVLGFMIMHVSLVSGNTTTIEAYEKKTTPFWKYDLGRKRNFAQVFGNNKWYWFIPSYSEEDLRIIPALQGLDYPVRSDFDGQGF >PVH66424 pep chromosome:PHallii_v3.1:1:44231529:44231846:1 gene:PAHAL_1G241300 transcript:PVH66424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPVVIASAGLGMLAGLAMANRSLGDGLPAASRWDARPRCATCGGSGRVECLCNRWSDGDSGCRTCAGSGRMPCRSCGGSGTGRPLPARLTVQHHKPPPPAGYN >PVH66810 pep chromosome:PHallii_v3.1:1:52711690:52713863:1 gene:PAHAL_1G354300 transcript:PVH66810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYSKLIYAYLNPCTGRLTEVQDSFLPDGWSQLSWTFLVGSGQTKAGWFYPIQLYYSTVQTWNEPPTQGTHPSFSSSDVNMLCRQKISSFVCLGTEWDGGQVHGRKQRERSSAHCIFRSTVNFDLPELFCSYICPCLDPSVWLRDFEKEKYAVQTPSNCEICYFFKKTVKSA >PVH66298 pep chromosome:PHallii_v3.1:1:31202821:31203552:1 gene:PAHAL_1G199500 transcript:PVH66298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISGGTLRCDIMVFVEKSARYPDVDPWFISTVGFRFLDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERRGRTWIARIRGLGREEEDLEDTVSHLSIYLTGLDALYREQTAQLRELIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEEPMETHWDKGTQTEDRSIPIKKRPIRTEEESP >PAN09133 pep chromosome:PHallii_v3.1:1:59786941:59788717:-1 gene:PAHAL_1G460900 transcript:PAN09133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phospholipase A2 precursor (EC 3.1.1.4) (Phosphatidylcholine 2- acylhydrolase) (Group IB phospholipase A2) [Source: Projected from Oryza sativa (Os02g0831700)] MGAAIQATTPTMSPRRTLVAILLAAAVLLASASSTQPPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVKKAGKVGFSVKCPYEMAMATMTQGMDMAIMLSQLGSHKLEL >PAN06549 pep chromosome:PHallii_v3.1:1:47316048:47318292:1 gene:PAHAL_1G271500 transcript:PAN06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLTSPPGGRTTTAHLVPGRHKCHDPSTPRGFCAKYFSVESCLLLALITVLLLVLPLVLPPLPPPPLAVLLVPVALMAVLLALALTPAAGGRNEVVDPASYL >PVH66339 pep chromosome:PHallii_v3.1:1:35844284:35844820:1 gene:PAHAL_1G213600 transcript:PVH66339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFSSPQSRLSPLCRALRNAAQFLAAIVSTTAADETVTIRAAVRAGGVDKLGALELGAGSVAGRSRGASTVGRRSVWSQRRGLSTRGGGGQRGSNRTAERGDARLSAGWRCGAEPWAWRRRCGVEHGVEAAARGAPPLAKRAWSWRAMSVRARLSRRKGAEEGRASGRSKGEGVEAE >PAN06396 pep chromosome:PHallii_v3.1:1:46563736:46570210:1 gene:PAHAL_1G261500 transcript:PAN06396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTTAQHLPRTEARSLSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQNSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDNNCLLANCLDSTVRLLDKSSGELLQEYKGHACKSFKMDCCLTSDDAFVVGGSEDGYVFFWELVDAPVVARFRAHSSVVTSISYHPTKACMLTSSVDGSIRVWT >PAN06397 pep chromosome:PHallii_v3.1:1:46563735:46570210:1 gene:PAHAL_1G261500 transcript:PAN06397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTTAQHLPRTEARSLSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQNSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDNNCLLANCLDSTVRLLDKSSGELLQEYKGHACKSFKMDCCLTSDDAFVVGGSEDGYVFFWELVDAPVVARFRAHSSVVTSISYHPTKACMLTSSVDGSIRVWT >PAN06399 pep chromosome:PHallii_v3.1:1:46563735:46570210:1 gene:PAHAL_1G261500 transcript:PAN06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTTAQHLPRTEARSLSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQNSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDNNCLLANCLDSTVRLLDKSSGELLQEYKGHACKSFKMDCCLTSDDAFVVGGSEDGYVFFWELVDAPVVARFRAHSSVVTSISYHPTKACMLTSSVDGSIRVWT >PAN06398 pep chromosome:PHallii_v3.1:1:46563761:46570210:1 gene:PAHAL_1G261500 transcript:PAN06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTTAQHLPRTEARSLSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQNSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDNNCLLANCLDSTVRLLDKSSGELLQEYKGHACKSFKMDCCLTSDDAFVVGGSEDGYVFFWELVDAPVVARFRAHSSVVTSISYHPTKACMLTSSVDGSIRVWT >PAN07810 pep chromosome:PHallii_v3.1:1:53464830:53465510:-1 gene:PAHAL_1G364600 transcript:PAN07810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPLERSQGGPISDWRPTNLTMTRCCCLHGPYDCPCLTWDCKVEVAAVPPCQV >PAN03908 pep chromosome:PHallii_v3.1:1:1984539:1987366:-1 gene:PAHAL_1G028400 transcript:PAN03908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALVLYAAGHAALLTPTGASSAAAGSHLDAFASRASCGFLTLRSPPTSPSTTGAEENSSTILELAQLLDVYDHLYPGKNVETGQEVAQVDPQELVVPKLSERFMGLRAAMVTNCPRISSFAANLGFHVFQTNDFAAQFGSSSVTKEVGVINRAFGLLGFSDGNVQEASEFDLVFMHVAMENTSSKLGKLGMKADLNRLEKLVGAIMDAAPIGSAIASRIHVSLILSYGSASGNKDEFSILTSSTETDSDLNLLRPRQSYTMKAGHALEDVRLHHPILLAQWQEGVTRVDLVKVFSFEEFMKHGGNLAMLAERFLPEVAFKLWKAPKYGA >PAN03907 pep chromosome:PHallii_v3.1:1:1982616:1987617:-1 gene:PAHAL_1G028400 transcript:PAN03907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALVLYAAGHAALLTPTGASSAAAGSHLDAFASRASCGFLTLRSPPTSPSTTGAEENSSTILELAQLLDVYDHLYPGKEVAQVDPQELVVPKLSERFMGLRAAMVTNCPRISSFAANLGFHVFQTNDFAAQFGSSSVTKEVGVINRAFGLLGFSDGNVQEASEFDLVFMHVAMENTSSKLGKLGMKADLNRLEKLVGAIMDAAPIGSAIASRIHVSLILSYGSASGNKDEFSILTSSTETDSDLNLLRPRQSYTMKAGHALEDVRLHHPILLAQWQEGVTRVDLVKVFSFEEFMKHGGNLAMLAERFLPEVAFKLWKAPKYGA >PVH65580 pep chromosome:PHallii_v3.1:1:1984539:1987366:-1 gene:PAHAL_1G028400 transcript:PVH65580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALVLYAAGHAALLTPTGASSAAAGSHLDAFASRASCGFLTLRSPPTSPSTTGAEENSSTILELAQLLDVYDHLYPGKNVETGQEVAQVDPQELVVPKLSERFMGLRAAMVTNCPRISSFAANLGFHVFQTNDFAAQFGSSSVTKEVGVINRAFGLLGFSDGNVQEASEFDLVFMHVAMENTSSKLGKLGMKADLNRLEKLVGAIMDAAPIGSAIASRIHVSLILSYGSASGNKDEFSILTSSTETDSDLNLLRPRQSYTMKAGHALEDVRLHHPILLAQWQEGVTRVDLVKVFSFEEFMKHGGNLAMLAERFLPEVAFKLWKAPKYGA >PVH65579 pep chromosome:PHallii_v3.1:1:1983233:1987617:-1 gene:PAHAL_1G028400 transcript:PVH65579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALVLYAAGHAALLTPTGASSAAAGSHLDAFASRASCGFLTLRSPPTSPSTTGAEENSSTILELAQLLDVYDHLYPGKEVAQVDPQELVVPKLSERFMGLRAAMVTNCPRISSFAANLGFHVFQTNDFAAQFGSSSVTKEVGVINRAFGLLGFSDGNVQEASEFDLVFMHVAMENTSSKLGKLGMKADLNRLEKLVGAIMDAAPIGSAIASRIHVSLILSYGSASGNKDEFSILTSSTETDSDLNLLRPRQSYTMKAGHALEDVRLHHPILLAQWQEGVTRVDLVKVFSFEEFMKHGGNLAMLAERFLPEVAFKLWKAPKYGA >PAN08644 pep chromosome:PHallii_v3.1:1:57765128:57770302:-1 gene:PAHAL_1G427100 transcript:PAN08644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDLFAAWWSSTTRLFSRIFRGSADPPGPPPMKPAIPLHQKQAGLAASKLGVGTSKKHRAFVAGDEQWYNKIFDPSSDFILTWNRVFLVSCFAALFIDPLYFYVPKITYGSSISCVGTDIHLAVIVTLLRSVADMLYVLHIIIKFRTAYINPSATLRVFGRGDLVTNPKEIAWKYLRSDFAVDVVAALPLPQIIIWFVIPAIKYSTSEHNNNILVLIVLAQYLPRLYLIFPLTYEIVKATGVVAKTAWEGAAYNLVLYLIASHVLGALWYLLSVDRQTACWKKNCRDEIGCAIKFLDCDDTPNTTWANTTTIFRNCNASNGTIDFDFGMFLPALTNQAPAESFVMKYFYSLWWGLQNLSCYGQTLVVSTYLGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFSQMDDQLLDAICERLVSSLCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGDFCGEELLGWALVPKPTTNLPSSTRTVKALIEVEAFSLQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAKDLCMRESFNSVRLDEVDEEDESPAKNSLALKFIARTRKVPQSMKELPKLRKPDEPDFSAEPDD >PAN04527 pep chromosome:PHallii_v3.1:1:4986071:4987635:-1 gene:PAHAL_1G072800 transcript:PAN04527 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1-type zinc finger protein, Regulation of plant growth and abiotic stress response, Modulation of gibberellins (GA) and abscisic acid (ABA) biosynthesi [Source: Projected from Oryza sativa (Os02g0195600)] MIRSRTHPVRPSAHAPAIGRRLPHLAQATPRHRPQIIRNARGTQVTSPRVRPFYLAPPPSPGPTTPPFRGSSLRFQSPHASFASASASLRLIVVMEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDGGKGPIIAATAEVAVAQVEEKTIVVQPPFVAETSEDAAIIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >PAN07536 pep chromosome:PHallii_v3.1:1:51979124:51986679:1 gene:PAHAL_1G343600 transcript:PAN07536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSAAAVAALFGIRDGDHQEQIKPPLLAQPHQQLPPAPLLSAAASSSAAGSAQAAAAASPPVKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPLQAQRRRVYLCPEPTCAHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPGLTASHLYGASSAANMALSLSQVGSHLASTLGGDAHGHHHQDLLRLGGAVNRFDHLLGPSSASAFRPLPPPPPSAFLMGAPQEFGDGDGTGSHAFLSQGKPFQGLMHLPDLQGNGAGGPSASSAPGLFNLGYIANSANSSGTSSHGHASQGHLTSDQFSEGGGGGGGGGSETSAAMLFSGGGSFAGGDHQVAPGGMYNDQAVMLPQMSATALLQKASQMRSSSSAHGGAAVFGGLVGSSAPSAAHGRAPMLDQSQMHLQSLMNSLAAGGMFGGANSGSMIDPRMYDMDQDVKFSQGRGGAEMTRDFLGVGGGGVMRGMPVARGDHHDGAGDMSSLEAEMKSASSSFTGGRMQ >PAN07535 pep chromosome:PHallii_v3.1:1:51979124:51986679:1 gene:PAHAL_1G343600 transcript:PAN07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSAAAVAALFGIRDGDHQEQIKPPLLAQPHQQLPPAPLLSAAASSSAAGSAQAAAAASPPVKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPLQAQRRRVYLCPEPTCAHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPGLTASHLYGASSAANMALSLSQVGSHLASTLGGDAHGHHHQDLLRLGGAVNRFDHLLGPSSASAFRPLPPPPPSAFLMGAPQEFGDGDGTGSHAFLSQGKPFQGLMHLPDLQGNGAGGPSASSAPGLFNLGYIANSANSSGTSSHGHASQGHLTSDQFSEGGGGGGGGGSETSAAMLFSGGGSFAGGDHQVAPGGMYNDQAVMLPQMSATALLQKASQMRSSSSAHGGAAVFGGLVGSSAPSAAHGRAPMLDQSQMHLQSLMNSLAAGGMFGGANSGSMIDPRMYDMDQDVKFSQGRGGAEMTRDFLGVGGGGVMRGMPVARGDHHDGAGDMSSLEAEMKSASSSFTGGRMQ >PAN07088 pep chromosome:PHallii_v3.1:1:49887975:49892000:-1 gene:PAHAL_1G310000 transcript:PAN07088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLFNLPKAAKRIGVKFLSVASAECFGQDISPMHFASLLKECRSVNTVRQIHQKIIASGLLSCPASLLSVSLPPLPSHSYVSPKSLGTGVVASYLSCGATSDALSVLERVTPSPAVWWNLLIREHIKEGRLDRAIGVSCRMLRAGTRPDHFTLPYTLKACGELPSYRCGSTFHGLICCNGFESNVFVCNALVAMYARCGSLDDASLVFDEITWRGIDDVISWNSIVAAHVKGNNPWTALDLFSKMAMVVHEKATNDRSDIVSIVNILPACASLKALPQTKEIHGYAIRNGTFPDAFVCNSLIDTYAKCRSMEDAVKIFNTMEFKDVVSWNAMVTGYSQNGDFEAAFKIFKNMRKENIPLDVITWSAVISGYAQRGCGQEALDAFRQMLIYGPEPNSITIISLLSACASLGALSQGMETHAYSLKKCLLLLDNHFGGDGDGEDLMVHNALIDMYSKCRSFKAARSIFDYLPRKERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMACAHLAALRVGKQIHAYVTRHHQYEASMYFVANCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGTEVVDIFEKMQKSGFVPDDICFLVLLYACSHSGMVDQGLDYFDSMRKDYGVVASAEHYACVIDLLARSGRLDKAWKTVQEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVDMKAENDGSYTLISNIYATARRWKDVARIRQLMKKSGIKKRPGCSWVQSKKGAASFFVGDRSHPLSPEIYALLERLIDRIKAMGYVPETDFALHDVDEEEKNNLLAEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGSCSCGNYW >PVH66665 pep chromosome:PHallii_v3.1:1:49889174:49891834:-1 gene:PAHAL_1G310000 transcript:PVH66665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLFNLPKAAKRIGVKFLSVASAECFGQDISPMHFASLLKECRSVNTVRQIHQKIIASGLLSCPASLLSVSLPPLPSHSYVSPKSLGTGVVASYLSCGATSDALSVLERVTPSPAVWWNLLIREHIKEGRLDRAIGVSCRMLRAGTRPDHFTLPYTLKACGELPSYRCGSTFHGLICCNGFESNVFVCNALVAMYARCGSLDDASLVFDEITWRGIDDVISWNSIVAAHVKGNNPWTALDLFSKMAMVVHEKATNDRSDIVSIVNILPACASLKALPQTKEIHGYAIRNGTFPDAFVCNSLIDTYAKCRSMEDAVKIFNTMEFKDVVSWNAMVTGYSQNGDFEAAFKIFKNMRKENIPLDVITWSAVISGYAQRGCGQEALDAFRQMLIYGPEPNSITIISLLSACASLGALSQGMETHAYSLKKCLLLLDNHFGGDGDGEDLMVHNALIDMYSKCRSFKAARSIFDYLPRKERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMACAHLAALRVGKQIHAYVTRHHQYEASMYFVANCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGTEVVDIFEKMQKSGFVPDDICFLVLLYACSHSGMVDQGLDYFDSMRKDYGVVASAEHYACVIDLLARSGRLDKAWKTVQEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVDMKAENDGSYTLISNIYATARRWKDVARIRQLMKKSGIKKRPGCSWVQSKKGAASFFVGDRSHPLSPEIYALLERLIDRIKAMGYVPETDFALHDVDEEEKNNLLAEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGSCSCGNYW >PAN07089 pep chromosome:PHallii_v3.1:1:49887974:49892000:-1 gene:PAHAL_1G310000 transcript:PAN07089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLFNLPKAAKRIGVKFLSVASAECFGQDISPMHFASLLKECRSVNTVRQIHQKIIASGLLSCPASLLSVSLPPLPSHSYVSPKSLGTGVVASYLSCGATSDALSVLERVTPSPAVWWNLLIREHIKEGRLDRAIGVSCRMLRAGTRPDHFTLPYTLKACGELPSYRCGSTFHGLICCNGFESNVFVCNALVAMYARCGSLDDASLVFDEITWRGIDDVISWNSIVAAHVKGNNPWTALDLFSKMAMVVHEKATNDRSDIVSIVNILPACASLKALPQTKEIHGYAIRNGTFPDAFVCNSLIDTYAKCRSMEDAVKIFNTMEFKDVVSWNAMVTGYSQNGDFEAAFKIFKNMRKENIPLDVITWSAVISGYAQRGCGQEALDAFRQMLIYGPEPNSITIISLLSACASLGALSQGMETHAYSLKKCLLLLDNHFGGDGDGEDLMVHNALIDMYSKCRSFKAARSIFDYLPRKERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMACAHLAALRVGKQIHAYVTRHHQYEASMYFVANCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGTEVVDIFEKMQKSGFVPDDICFLVLLYACSHSGMVDQGLDYFDSMRKDYGVVASAEHYACVIDLLARSGRLDKAWKTVQEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVDMKAENDGSYTLISNIYATARRWKDVARIRQLMKKSGIKKRPGCSWVQSKKGAASFFVGDRSHPLSPEIYALLERLIDRIKAMGYVPETDFALHDVDEEEKNNLLAEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGSCSCGNYW >PAN07087 pep chromosome:PHallii_v3.1:1:49887975:49892563:-1 gene:PAHAL_1G310000 transcript:PAN07087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLFNLPKAAKRIGVKFLSVASAECFGQDISPMHFASLLKECRSVNTVRQIHQKIIASGLLSCPASLLSVSLPPLPSHSYVSPKSLGTGVVASYLSCGATSDALSVLERVTPSPAVWWNLLIREHIKEGRLDRAIGVSCRMLRAGTRPDHFTLPYTLKACGELPSYRCGSTFHGLICCNGFESNVFVCNALVAMYARCGSLDDASLVFDEITWRGIDDVISWNSIVAAHVKGNNPWTALDLFSKMAMVVHEKATNDRSDIVSIVNILPACASLKALPQTKEIHGYAIRNGTFPDAFVCNSLIDTYAKCRSMEDAVKIFNTMEFKDVVSWNAMVTGYSQNGDFEAAFKIFKNMRKENIPLDVITWSAVISGYAQRGCGQEALDAFRQMLIYGPEPNSITIISLLSACASLGALSQGMETHAYSLKKCLLLLDNHFGGDGDGEDLMVHNALIDMYSKCRSFKAARSIFDYLPRKERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMACAHLAALRVGKQIHAYVTRHHQYEASMYFVANCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGTEVVDIFEKMQKSGFVPDDICFLVLLYACSHSGMVDQGLDYFDSMRKDYGVVASAEHYACVIDLLARSGRLDKAWKTVQEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVDMKAENDGSYTLISNIYATARRWKDVARIRQLMKKSGIKKRPGCSWVQSKKGAASFFVGDRSHPLSPEIYALLERLIDRIKAMGYVPETDFALHDVDEEEKNNLLAEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGSCSCGNYW >PVH66664 pep chromosome:PHallii_v3.1:1:49889174:49891834:-1 gene:PAHAL_1G310000 transcript:PVH66664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLFNLPKAAKRIGVKFLSVASAECFGQDISPMHFASLLKECRSVNTVRQIHQKIIASGLLSCPASLLSVSLPPLPSHSYVSPKSLGTGVVASYLSCGATSDALSVLERVTPSPAVWWNLLIREHIKEGRLDRAIGVSCRMLRAGTRPDHFTLPYTLKACGELPSYRCGSTFHGLICCNGFESNVFVCNALVAMYARCGSLDDASLVFDEITWRGIDDVISWNSIVAAHVKGNNPWTALDLFSKMAMVVHEKATNDRSDIVSIVNILPACASLKALPQTKEIHGYAIRNGTFPDAFVCNSLIDTYAKCRSMEDAVKIFNTMEFKDVVSWNAMVTGYSQNGDFEAAFKIFKNMRKENIPLDVITWSAVISGYAQRGCGQEALDAFRQMLIYGPEPNSITIISLLSACASLGALSQGMETHAYSLKKCLLLLDNHFGGDGDGEDLMVHNALIDMYSKCRSFKAARSIFDYLPRKERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMACAHLAALRVGKQIHAYVTRHHQYEASMYFVANCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGTEVVDIFEKMQKSGFVPDDICFLVLLYACSHSGMVDQGLDYFDSMRKDYGVVASAEHYACVIDLLARSGRLDKAWKTVQEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVDMKAENDGSYTLISNIYATARRWKDVARIRQLMKKSGIKKRPGCSWVQSKKGAASFFVGDRSHPLSPEIYALLERLIDRIKAMGYVPETDFALHDVDEEEKNNLLAEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGSCSCGNYW >PAN08618 pep chromosome:PHallii_v3.1:1:57575451:57582021:1 gene:PAHAL_1G424600 transcript:PAN08618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAPGGSEAEGAPRMAKFLCSFGGSILPRPLDGCLRYVGGDTRIVMLPRDISYADLAARMRELYKDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKVIASGEVFTRLRIFLFSQNLDDDAASAVVHYNVDERETERRYVDALNSLGDVNTPSSPVSVEQLFGIVGNDSGIPDFAGLRHLNVPRPSQSQSYGEMDSPWSPAYISPGQYAVHDPRDFPISPSSARFQVGAEDFDERIPDDFVRQSPKYRHYEPQSPPHVDNLVWLPPGAVIQQNAGFPGNLSRSNNFLDGNSVSDHCRSPFHKGQGSVTDPRYMDPRWTRTVQQHFDQPSKTSEYPGHPSNSCSNCCRPGEHYVGGQDVRMENGVYVKEQNGGHAPMFYNESHSHERVRHAHTSQSHQRYEDPRLHLPGNGRVIESYIVDTSSVNSAFAPNKVYEIHSASLGRSSHESPHYFHGSSEFINDAYHNQQVGGSGPYLQPAGFEESPGQHYNHSSTYGADSFYQMQQNLPPIQSLRRRASSPVHAASPYDSPHLPMPNGSINTNFVRNTGDVSPRIPGQPAYDRMPNPWAPPNGSIPYRVVGHDVPVAMENTSALGPRSNPITAQYVQPFIAPESIQHQHGAPSRDVNPERAYAEHMPSSYVDGRVAVSALPLADQSSKLDTKTMKKPGPEVDSSTQTVNEGAPLHAVDEPSTLPHHVGAVREVDPKLGKPTEHESRTKQHETGATALQERGDISEDRLNFLPELIVSVKKAALEDATQTHIAKSDANAAVAPVPDDDDNRKKLDVATAGNTDANQDSDLHGSNDQQKSSKIESTTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAEIIGGIVNDSLRPQIPSWCDPEWKALMESCWSSDPTGRPSFTDISQRLRKMAAAMNVK >PAN08619 pep chromosome:PHallii_v3.1:1:57576350:57582021:1 gene:PAHAL_1G424600 transcript:PAN08619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAPGGSEAEGAPRMAKFLCSFGGSILPRPLDGCLRYVGGDTRIVMLPRDISYADLAARMRELYKDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKVIASGEVFTRLRIFLFSQNLDDDAASAVVHYNVDERETERRYVDALNSLGDVNTPSSPVSVEQLFGIVGNDSGIPDFAGLRHLNVPRPSQSQSYGEMDSPWSPAYISPGQYAVHDPRDFPISPSSARFQVGAEDFDERIPDDFVRQSPKYRHYEPQSPPHVDNLVWLPPGAVIQQNAGFPGNLSRSNNFLDGNSVSDHCRSPFHKGQGSVTDPRYMDPRWTRTVQQHFDQPSKTSEYPGHPSNSCSNCCRPGEHYVGGQDVRMENGVYVKEQNGGHAPMFYNESHSHERVRHAHTSQSHQRYEDPRLHLPGNGRVIESYIVDTSSVNSAFAPNKVYEIHSASLGRSSHESPHYFHGSSEFINDAYHNQQVGGSGPYLQPAGFEESPGQHYNHSSTYGADSFYQMQQNLPPIQSLRRRASSPVHAASPYDSPHLPMPNGSINTNFVRNTGDVSPRIPGQPAYDRMPNPWAPPNGSIPYRVVGHDVPVAMENTSALGPRSNPITAQYVQPFIAPESIQHQHGAPSRDVNPERAYAEHMPSSYVDGRVAVSALPLADQSSKLDTKTMKKPGPEVDSSTQTVNEGAPLHAVDEPSTLPHHVGAVREVDPKLGKPTEHESRTKQHETGATALQERGDISEDRLNFLPELIVSVKKAALEDATQTHIAKSDANAAVAPVPDDDDNRKKLDVATAGNTDANQDSDLHGSNDQQKSSKIESTTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAEIIGGIVNDSLRPQIPSWCDPEWKALMESCWSSDPTGRPSFTDISQRLRKMAAAMNVK >PVH66125 pep chromosome:PHallii_v3.1:1:17990973:17991473:1 gene:PAHAL_1G154900 transcript:PVH66125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSVSSNSPSSSSIISITSPDSNTSKFDPIASYEAHAPLHWDAEEWDYNTWSEDDEPLTDDEDLQILLHGDLDEDDNEDSCDDDFLSFSEEDAKETSINDDSVEGGFLRGGSSTSEGDGDTSDDASNDGCDSSSTGGGDGSSDDDTSASPPYKRRKTLGTYWW >PAN06271 pep chromosome:PHallii_v3.1:1:45588839:45591589:-1 gene:PAHAL_1G251500 transcript:PAN06271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVAPPADQKAGPGPRQSRHAGTLASPREPLAQRSASFHGRGGGAEQQRDGLLLRQRPRTHPDLLAGVSERSFRRAGMDRGGDPAAAAHAPWAGAGGRAAPSKVLVTVAVQRSMWPLHVMARAEWRVADLVAAAVDLYIRERRRPLLPFADPSAFGLHYSQFSLQSLDPDEKVVELGSRSFFLCPKAAAAAASSSTCPSEARETRAAPADRPSMLPPWLGFVHFWPLL >PAN07731 pep chromosome:PHallii_v3.1:1:53022056:53026519:-1 gene:PAHAL_1G358300 transcript:PAN07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MITVAAPEAQPQAAGAVAVAEMVAPEVTVFRSKLPDIEIPSHLPLHEYCFARAAELPDAPCLIAAATGTTYTYAETRRLCRKAAASLRGLGVGQGDRVMILLQNSVEFVLTFFGASFLGAVTTAANPFCTPQEIHKQFKASGARLIVTQSAYVDKLRHEAFPRIDAAGSEGEGNALTVVTVDDAASTPEGCLAFWELVASADEAALPEVSISPDDPVALPFSSGTTGLPKGVVLTHGGQVSGVAQQVDGANPNLYMREGDVALCVLPLFHIFSLNSVLLCALRAGAAVMLMPRFEMGAMLEGIQRWRVTVAAVVPPLVLALAKNPALEKYDLSSIRIVLSGAAPLGKELVDALRARVPQAIFGQGYGMTEAGPVLSMCPAFAKEPSPAKPGSCGTVVRNAELKVVDPDTGRSLGRNLPGEICIRGPQIMKGYLNDLEATARTIDVDGWLHTGDIGYVDDDEEVFIVDRVKELIKFKGFQVPPAELEALLLAHPSIADAAVVPQKDDAAGEVPVAFVVRAADSDIAEDAIKEFISKQVVFYKRLHRVYFTHSIPKSASGKILRRELRAKLAAPAPA >PAN07669 pep chromosome:PHallii_v3.1:1:52629405:52632076:1 gene:PAHAL_1G352900 transcript:PAN07669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPPPAAGDPFAATLPPSLPSPPPPSSSSSSLNLSPSLLIIAALLAFVFCASVSIHFLLRCLARASSSSSSSHPSPSPFLARARGASGAEAEEVGAGSARRSAAAVGPEEAHSAEAVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFSPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVSLPHPPLPTAAPAAAGPGAQEPLDARVGSSNNNSRSFRVEIGSVSNRRSSAAGDDRRTYSLGSFDYRVDEEVEAVVSRITRPAVSAAAKSATHQAAAATPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSARWSQGHGHHSRSQSQSHRPDDSWRWDPEAAAGAAMHRAPDEEEPGFVALYRWIVGV >PVH67123 pep chromosome:PHallii_v3.1:1:58035842:58036811:1 gene:PAHAL_1G431400 transcript:PVH67123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRSRGRRRKSKIKQGGNDNGDGRCPPHPGFVRGRCSRCGAKEENAEGGAPRPRVAPCPAHRGFLRGVKDGGAGGGVVGKIQECPAVPASATTSIPRASDLDTLLRARKLTLILDLDHTLLNSTEIRQLSPTEQSNGFTRHTMDDPRTGLFQLDVHVLTKLRPFVRGFLEQASTMFEMHVYTLGGQEYARAVAKQLDPMASTSARGSCRARSRPGGT >PAN04276 pep chromosome:PHallii_v3.1:1:3662394:3665830:1 gene:PAHAL_1G054200 transcript:PAN04276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAADLQCVIQALPAHSKAVQPPPLVQALPAHHSPAKTHPPPAPASSPAGPKRRPPPTPPGPAPPSPRRTRSGGAPEWTPAETLALVAEVAAVDDGWSRSVSAFQKWAMVAENLAASEALASSGTARRRGSKRAAAECRRRWEALAAEYGAVRRWEVRTGGRYWEMGAAARRKAGLPVEFDLELYGAMDALIRVEEALLAGAAGGGGGGEEVEGLVGSGAGVEVGEHDGGDDHEVGEDEVQEDETVEEVKEEGEAEEEGEEEEEEDDDDDDESEEHEEMQVDDGNADASNDLEGHEVGTNSKPEKSQNNAWDLANKLQENAQHIHTILNDETDEDAGQNHALAGLVSPDAMATTRQKADELIKSLGGLVSYLNQFTELVKESGFENIVGMT >PVH67027 pep chromosome:PHallii_v3.1:1:56691004:56692461:1 gene:PAHAL_1G413200 transcript:PVH67027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLIIGIQSYPKHYLVRDGFIFAVKEATLIGPESNAKQSASQLEQEILLLSQLEHKNIVHYFGAKKEETVLSIFLEFVSEGSLVSVYEKRQLEESTVSAYTRQILTGFAYLHHHNRY >PAN04210 pep chromosome:PHallii_v3.1:1:3366110:3379106:-1 gene:PAHAL_1G049000 transcript:PAN04210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNITNILEKMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTSTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDKVVEMTNKLCDKLINGKEQHRDTASIALKTIIAEVTTPSLAEKILISIAPQLIKGVNTAKSAEIKCECLDILGDVLHRFGNLITKDHEYMLTALLSQLGSNQASVRKKSVSCIASLAPSLSDDLLAKATLQVVQLLKNRSAKSDITRTNIQMIGSLSRSVGYRFGPHLAETVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCEDILNLALEYVSYDPNFTDSMEEDTDEEGQEEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSASVFHPYIKALSAPILSAIGDRYYKVTAEALRVCGELVRVLRPNLEASAVDFRPYIGPIYNAILGRLANQDQDQEVKECAISCMSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDVLLDSLISAAKPSQSGGLAKQALSSIAKCVAVLCLAAGDQKCAATIEMLKGILKDDSASNSAKQHMALLCLGEIGRRKDLSNHVHIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQTVDHNGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPKKLIPALKVRTSSPAANTRATVAIAIKYSIVERPEKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVIKEELVRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSIVEPIEKTISHKPKGDAVKQEVDRNEDMIRSALRAISSLSRISGSDYSIRFKNLMNKIVSTPALAEKYNSVRSE >PAN04209 pep chromosome:PHallii_v3.1:1:3368713:3377572:-1 gene:PAHAL_1G049000 transcript:PAN04209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNITNILEKMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTSTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDKVVEMTNKLCDKLINGKEQHRDTASIALKTIIAEVTTPSLAEKILISIAPQLIKGVNTAKSAEIKCECLDILGDVLHRFGNLITKDHEYMLTALLSQLGSNQASVRKKSVSCIASLAPSLSDDLLAKATLQVVQLLKNRSAKSDITRTNIQMIGSLSRSVGYRFGPHLAETVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCEDILNLALEYVSYDPNFTDSMEEDTDEEGQEEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSASVFHPYIKALSAPILSAIGDRYYKVTAEALRVCGELVRVLRPNLEASAVDFRPYIGPIYNAILGRLANQDQDQEVKECAISCMSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDVLLDSLISAAKPSQSGGLAKQALSSIAKCVAVLCLAAGDQKCAATIEMLKGILKDDSASNSAKQHMALLCLGEIGRRKDLSNHVHIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQTVDHNGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPKKLIPALKVRTSSPAANTRATVAIAIKYSIVERPEKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVIKEELVRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSIVEPIEKTISHKPKGDAVKQEVDRNEDMIRSALRAISSLSRISGSDYSIRFKNLMNKIVSTPALAEKYNSVRSE >PAN04212 pep chromosome:PHallii_v3.1:1:3368374:3379179:-1 gene:PAHAL_1G049000 transcript:PAN04212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNITNILEKMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTSTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDKVVEMTNKLCDKLINGKEQHRDTASIALKTIIAEVTTPSLAEKILISIAPQLIKGVNTAKSAEIKCECLDILGDVLHRFGNLITKDHEYMLTALLSQLGSNQASVRKKSVSCIASLAPSLSDDLLAKATLQVVQLLKNRSAKSDITRTNIQMIGSLSRSVGYRFGPHLAETVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCEDILNLALEYVSYDPNFTDSMEEDTDEEGQEEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSASVFHPYIKALSAPILSAIGDRYYKVTAEALRVCGELVRVLRPNLEASAVDFRPYIGPIYNAILGRLANQDQDQEVKECAISCMSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDVLLDSLISAAKPSQSGGLAKQALSSIAKCVAVLCLAAGDQKCAATIEMLKGILKDDSASNSAKQHMALLCLGEIGRRKDLSNHVHIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQTVDHNGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPKKLIPALKVRTSSPAANTRATVAIAIKYSIVERPEKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVIKEELVRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSIVEPIEKTISHKPKGDAVKQEVDRNEDMIRSALRAISSLSRISGSDYSIRFKNLMNKIVSTPALAEKYNSVRSE >PAN04211 pep chromosome:PHallii_v3.1:1:3368713:3377572:-1 gene:PAHAL_1G049000 transcript:PAN04211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNITNILEKMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTSTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDKVVEMTNKLCDKLINGKEQHRDTASIALKTIIAEVTTPSLAEKILISIAPQLIKGVNTAKSAEIKCECLDILGDVLHRFGNLITKDHEYMLTALLSQLGSNQASVRKKSVSCIASLAPSLSDDLLAKATLQVVQLLKNRSAKSDITRTNIQMIGSLSRSVGYRFGPHLAETVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCEDILNLALEYVSYDPNFTDSMEEDTDEEGQEEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSASVFHPYIKALSAPILSAIGDRYYKVTAEALRVCGELVRVLRPNLEASAVDFRPYIGPIYNAILGRLANQDQDQEVKECAISCMSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDVLLDSLISAAKPSQSGGLAKQALSSIAKCVAVLCLAAGDQKCAATIEMLKGILKDDSASNSAKQHMALLCLGEIGRRKDLSNHVHIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQTVDHNGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPKKLIPALKVRTSSPAANTRATVAIAIKYSIVERPEKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVIKEELVRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSIVEPIEKTISHKPKGDAVKQEVDRNEDMIRSALRAISSLSRISGSDYSIRFKNLMNKIVSTPALAEKYNSVRSE >PAN05713 pep chromosome:PHallii_v3.1:1:17640785:17645083:1 gene:PAHAL_1G153500 transcript:PAN05713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDESAAAAAAEAALGLSPQVFVDEVLDIIADVSAEAFEYCLQEAAAPGVLGATTADQKAAELQRGLNAIRHVVKDALDKRMSNWEKYCFQHCFNIPEGFVVPEDFYLHSLVATLSLSVYHHAYIHDNSCAKESHKVGTSDSDLDVELDSLRRKLESANKESENLQREMSSLERQTTYKRKLDSAIAEIQKLFEDKFVQENFEDLAKVIPLLQQKIIGMKKTRTETGSLIDQQVWNMNGLRDNKRPALGLTACTEDIQEIVSILQNK >PAN05712 pep chromosome:PHallii_v3.1:1:17640785:17645083:1 gene:PAHAL_1G153500 transcript:PAN05712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDESAAAAAAEAALGLSPQVFVDEVLDIIADVSAEAFEYCLQEAAAPGVLGATTADQKAAELQRGLNAIRHVVKDALDKRMSNWEKYCFQHCFNIPEGFVVPEDDNSCAKESHKVGTSDSDLDVELDSLRRKLESANKESENLQREMSSLERQTTYKRKLDSAIAEIQKLFEDKFVQENFEDLAKVIPLLQQKIIGMKKTRTETGSLIDQQVWNMNGLRDNKRPALGLTACTEDIQEIVSILQNK >PVH66119 pep chromosome:PHallii_v3.1:1:17640785:17645083:1 gene:PAHAL_1G153500 transcript:PVH66119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDESAAAAAAEAALGLSPQVFVDEVLDIIADVSAEAFEEAAAPGVLGATTADQKAAELQRGLNAIRHVVKDALDKRMSNWEKYCFQHCFNIPEGFVVPEDDNSCAKESHKVGTSDSDLDVELDSLRRKLESANKESENLQREMSSLERQTTYKRKLDSAIAEIQKLFEDKFVQENFEDLAKVIPLLQQKIIGMKKTRTETGSLIDQQVWNMNGLRDNKRPALGLTACTEDIQEIVSILQNK >PVH66120 pep chromosome:PHallii_v3.1:1:17640785:17645084:1 gene:PAHAL_1G153500 transcript:PVH66120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDESAAAAAAEAALGLSPQVFVDEVLDIIADVSAEAFEYCLQEAAAPGVLGATTADQKAAELQRGLNAIRHVVKDALDKRMSNWEKYCFQHCFNIPEGFVVPEDDNSCAKESHKVGTSDSDLDVELDSLRRKLESANKESENLQREMSSLERQTTYKRKLDSAIAEIQKLFEDKFVQENFEDLAKVIPLLQQKIIGMKKTRTETGSLIDQQVWNMNGLRDNKRPALGKGLTACTEDIQEIVSILQNK >PAN04978 pep chromosome:PHallii_v3.1:1:7885066:7885980:-1 gene:PAHAL_1G106000 transcript:PAN04978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEPAEDDGTSCSRWSCDFAVAHAIFGTGFITAPVAVLHLLRRPHSGAATFFAAFAVFFATISLVLCCRFYAELKRPPCPRWLSAAPESSSRGSGDYEAATGAVLSHDHLREPVMMVRVETQAALAGDRIPSYEHCDGAADCAVCLGEVEKGEAVRRLPACKHVFHTECIGMWLRTHATCPVCRRGVVLALERPPEVVVDIGAVQAQPGPT >PVH66729 pep chromosome:PHallii_v3.1:1:51222113:51223489:-1 gene:PAHAL_1G330900 transcript:PVH66729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAVIAGLVLLAAAAVSPAYATDHVVGDASGWTSGVDYTTWTKGKTFSVGDNLVFQYSAMHTVAEVSSADYSACSASNSLQSYSDQNTKIPLTAPGTRYFICGTPGHCSGGMKLAVTVSAAAATAPAASSPPATPGTPGDDDDTPPATTTPSASTPPATTAAPTTRSTSTSAAGEDPLAIGVLAGAAGLVGLALMA >PAN04752 pep chromosome:PHallii_v3.1:1:6163261:6168872:-1 gene:PAHAL_1G088700 transcript:PAN04752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASAFAVSSSYSAEQEATARDSSVIVAVDRDKNSQQAAKWAVDKLLSRGSTLTLVHVRAEGGKDEGDAEITQLFISFRGYCARKGMHLKEVILDNSDISKAIIEYATSNAITDIVVGASTKNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKVKAIQVKAAKSPAPFATLPPKQYSQPIIESDASRASRGDWKKTSHSHTSSPMASRNSVDRLSAYAKAPSRDRPLTGARTAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDLAMSMELPSIDFAESMELSSAMSMESLSSAGDVEAEMRRLRLELKQTMEMYNSACKEAIDAKQKTAQMHQMKMEESKKFQELRNAEEEALALVQMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNKNDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSVRFRIAADIATGLLFLHQARPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITARSPMGLTHHVENAIERGTFQEILDQTVTDWPVEEALEFAKLALRCAELRKKDRPDLGKEILPELNRLRNLGHEYEASQVSSASTNCSSSAPYSFSNDDI >PAN04750 pep chromosome:PHallii_v3.1:1:6164402:6167223:-1 gene:PAHAL_1G088700 transcript:PAN04750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKEVILDNSDISKAIIEYATSNAITDIVVGASTKNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKVKAIQVKAAKSPAPFATLPPKQYSQPIIESDASRASRGDWKKTSHSHTSSPMASRNSVDRLSAYAKAPSRDRPLTGARTAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDLAMSMELPSIDFAESMELSSAMSMESLSSAGKDVEAEMRRLRLELKQTMEMYNSACKEAIDAKQKTAQMHQMKMEESKKFQELRNAEEEALALVQMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNKNDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSVRFRIAADIATGLLFLHQARPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITARSPMGLTHHVENAIERGTFQEILDQTVTDWPVEEALEFAKLALRCAELRKKDRPDLGKEILPELNRLRNLGHEYEASQVSSASTNCSSSAPYSFSNDDI >PAN04751 pep chromosome:PHallii_v3.1:1:6164032:6167462:-1 gene:PAHAL_1G088700 transcript:PAN04751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKEVILDNSDISKAIIEYATSNAITDIVVGASTKNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKVKAIQVKAAKSPAPFATLPPKQYSQPIIESDASRASRGDWKKTSHSHTSSPMASRNSVDRLSAYAKAPSRDRPLTGARTAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDLAMSMELPSIDFAESMELSSAMSMESLSSAGDVEAEMRRLRLELKQTMEMYNSACKEAIDAKQKTAQMHQMKMEESKKFQELRNAEEEALALVQMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNKNDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSVRFRIAADIATGLLFLHQARPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITARSPMGLTHHVENAIERGTFQEILDQTVTDWPVEEALEFAKLALRCAELRKKDRPDLGKEILPELNRLRNLGHEYEASQVSSASTNCSSSAPYSFSNDDI >PAN04753 pep chromosome:PHallii_v3.1:1:6164032:6168872:-1 gene:PAHAL_1G088700 transcript:PAN04753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASAFAVSSSYSAEQEATARDSSVIVAVDRDKNSQQAAKWAVDKLLSRGSTLTLVHVRAEGGKDEGDAEITQLFISFRGYCARKGMHLKEVILDNSDISKAIIEYATSNAITDIVVGASTKNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKVKAIQVKAAKSPAPFATLPPKQYSQPIIESDASRASRGDWKKTSHSHTSSPMASRNSVDRLSAYAKAPSRDRPLTGARTAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDLAMSMELPSIDFAESMELSSAMSMESLSSAGKDVEAEMRRLRLELKQTMEMYNSACKEAIDAKQKTAQMHQMKMEESKKFQELRNAEEEALALVQMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNKNDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSVRFRIAADIATGLLFLHQARPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITARSPMGLTHHVENAIERGTFQEILDQTVTDWPVEEALEFAKLALRCAELRKKDRPDLGKEILPELNRLRNLGHEYEASQVSSASTNCSSSAPYSFSNDDI >PVH65876 pep chromosome:PHallii_v3.1:1:6753874:6756235:1 gene:PAHAL_1G094700 transcript:PVH65876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGTIPLVLRRFLSELWPAQKAEAVQPVWLCAPQTQHASMRQRDPCTTNVMWRSTEHSVGFATVLHWTYYLRHVLLTDLPSKMCNDWHLFFFYILHSSWCQNYEFIILQIYLRQHPLVVLLIHNIFANKLYDCNI >PVH65715 pep chromosome:PHallii_v3.1:1:4045066:4045404:1 gene:PAHAL_1G059700 transcript:PVH65715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLPETTEDPERGVLTTYTMFECLSLLAAMAEEPYIGEVMCWLSEDRLLR >PVH66129 pep chromosome:PHallii_v3.1:1:18209524:18210273:-1 gene:PAHAL_1G155900 transcript:PVH66129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPQHPFRSQWQPIEVIVMGYRIVDTIEGAALEAIYLFYNQHPREVAGQPIGLFSTTDPKESEWNLRVIPESHRLEGPIEEVLRGMIRFMNVQYHYQLLLRREMGQLVHAARSHFREANRLITQVDQLRAVVVEKDGIITARNETIQHREDQINESDAIITQRNTIIEFLQEQIQDLILEVDDAHAQINELQQQPVPPVVPAPEDEEEEDPEEIEGVSEIDSEHGDPVISPHHSFSGSQSSVGNLDDF >PAN04701 pep chromosome:PHallii_v3.1:1:5897988:5899452:1 gene:PAHAL_1G084700 transcript:PAN04701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATLRVSRVHAMLVLCILLPSFLPVSLSARPVVDGKLEGTTVPSRQMLGGHQLIRNGSMRRDRILWHGGPDWGHPVPINSARKTTRGKPGN >PAN04700 pep chromosome:PHallii_v3.1:1:5890317:5899452:1 gene:PAHAL_1G084700 transcript:PAN04700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATLRVSRVHAMLVLCILLPSFLPVSLSARPVVDGKLEGTTVPSRQMLGGHQLIRNGSMRRDRILWHGGPDWGHPVPINSARKTTRGKPGN >PVH65821 pep chromosome:PHallii_v3.1:1:5890317:5899452:1 gene:PAHAL_1G084700 transcript:PVH65821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATLRVSRVHAMLVLCILLPSFLPVSLSARPVVDGKLEGTTVPSRQMLGGHQLIRNGSMRRDRILWHGGPDWGHPVPINSARKTTRGKPGN >PAN07746 pep chromosome:PHallii_v3.1:1:53126366:53128713:1 gene:PAHAL_1G359500 transcript:PAN07746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVEYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIINDPLMLNSVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKELQASGRLPSGGTSLF >PAN05227 pep chromosome:PHallii_v3.1:1:9795218:9799081:1 gene:PAHAL_1G123000 transcript:PAN05227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTFLALLLITLFLTSDSDAAQPNDAELLTLLTIERDWGNPTALSGWNNNRSNTTASASFTHCEWAGVTCNDNGQVIALAFQNFNLSHPIPASICSLKNLAYLDLSYNNLTGDFPAAALHGCSSLQYLDLSNNFFSGVLPTDINKLSLSMEHLNLSSNGFSGSVPLAIAQFPKLKSLVLDTNSFNGSYRGSAIGNLTKLETLTLATNPFSPGPIPVEFGKLKNLKILWLSDMNLTGGIPDRLSSLTELTTLALYDNKLGGEIPAWVWKLQKLEFLYLYDNSFTGGIGPGVTAVSMRQLDLSANWLTGTIPNAIGKMKNLTLLYLYYNKFTGVIPSSIGLLPNLVDIRLFNNRLSGPLPPELGKHSPLGNLEVSNNFLTGEVPGTLCSNKKLYDIVLFNNNFSGVFPPFLEACDTLDNIMLQDNHFTGEFPEKVWSAFPKLTTVEIQNNNFTGFLPSTLSSNLTRIEIGNNRFSGAIPESATGLRWFDAESNWFSHVPANMTKLANLIDLNLAGNQISGSIPTSMGALERLNYLNLSSNQITGAIPAEIGLLPMLIVLDLSKNQLTGGIPEDFTNNNHISFLNLSYNQLTGEVPASLQIPAYYDSFLDNPDLCVESSSALPLQTCSGGGGRNSRRKIILSVKISSFALFGFVAAGVGCIMHWRKKGRQDVTSWKMTPFRALDFTEHDVLSNIREENLIGRGGSGKVYRIHLGSQKSAAKDGGDEAGGHPTVAVKKIGNAGKADANLDKEFEAEVASLGGLRHGNIVDLLCCISGGDDTKLLVYEYMENGSLDRWLHRRRKRGPPLGWPTRLSIAVDVARGLSYMHHGFTRPVIHRDVKCSNILLDRGFGAKIADFGLARILARAGESEPTSGICGTFGYIAPEYVSRAKVSEKVDVYSFGVVLLELATGRGPEDGGTESGSCLVKWASKRYESSEPCADQLVDGEILDPAYLDDMVAVLELGVACTGEDPSSRPPMSEVLRRLLQCGRSQMAVDDDDDDHSAKDVCGVDSFECMV >PAN08305 pep chromosome:PHallii_v3.1:1:55834716:55838754:1 gene:PAHAL_1G401500 transcript:PAN08305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFRRISLRRRNKTHNARYWADGNETTSKTRSASLSPPLPARVRFLRPGTIWEPCTSASLYLPFLHGLALFPAPATMETQQPMQQLRTPMDPLASLASSFFSVFSPLLSSSAQQQQQQGSTFLLLPLPVAAARALTVLRRLLLLATQAFISLFFMFLSALVPAPPPQPPALAPTLPRMEPGSPGGDSCVGRALAHVLSVASRLPVASRKYELVRGLAERLLDDNVRAGGARVGAVNRAALAGAFARTLRQLESAAGGEWPGMELAVRAVRTGMRWWRPTAASSLDEGFGGPAAEKLAAELLWLGQKMAECGAAREAVVQFGDAARLGSRALVADPALQVSLLRLAVFLFKHANSREFEQSTGGKEDKGAVAEQRMAMLRSWLPLLCRGSTGTDAPVLTGRERAEMVVVLEDLIDKLSWEQREEVLSLWLHHFAACPDTDWPNLESCYTRWYAESRRLLA >PAN06229 pep chromosome:PHallii_v3.1:1:44999038:44999790:-1 gene:PAHAL_1G247400 transcript:PAN06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSYFFSSSSEKKSSKRRQQQQQQQDSGNETRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAIAYDRAARNLRGANARTNFAYPDLPPGSSVTPYLSPDLSADQLQHYYANPLVTAAQPTPPACGQPAHGGAGGEAYQYHVPAEMSSYGSVDASMAYGGNGAGMDMYFDGGSGAASGGDRAWCDASELDFGGYNDDAAAAANASHGMYFEEGYVHSPLFSPMPAADEVDGFQLGGSSSSYYY >PAN04878 pep chromosome:PHallii_v3.1:1:7187259:7199974:1 gene:PAHAL_1G098800 transcript:PAN04878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMARGGGGFRLLVLLLLGLACSNGGRCSWARTTLLPQQEVEALKGIATKLNKTDWDFSVDPCSASGNWANSTGFLISNVTCDCSFKNNTECHIIRLELMRQNLSGVLPDEVVNLTYLRYLDLSRNFIQGPIPASWGDLPVYNLSLQGNRISGILPKELGRMPMLKSIQLEGNQIEGPIPPELGNISTLQRFFISANNITGELPSTFSRLTNMTDFRIDGNSISGKIPSFIKNWQSVNRIDMQGTSMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQNALHLTEVVLRNCSIYGEIPYYLGQMLYLKVLDISFNKLTGKVPETFGGMAALQYLYLTENMLTGDFPSWMLKNKASNKVNMDISYNNFTGNPPSECQQVNLNMVSSFSSSNDNLLQPCLRKRLPCTTRPRHSSLFVNCGGKSVSVNGITYEDDSSQIGTSTFVLSDDKKWAYSSTGDFVGNENGDYIARNTSKLTLAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLDDFNIKDQAGGAHREITRTFTTNITDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQSRNHHRLSTRVVIAIVVGAASFTVILLLIAFYFKVFSKKNAKGNGRHSFYQGRKTNTSELQTRAQYFFSLKEIESATEHFDPGNKIGEGGFGPVYKGTLPDGTIVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGDQLLLIYEFLENNSVGRALFGRAEHQLKLDWTTRYNICLGTAKGLAYLHEESTLKIVHRDIKPSNILLDKKLQPKISDFGLAKLNDDCGRVSTRIAGTVGYMAPEYATRGCLTRKADVYSYGVVALEIVSGMSNINSMSNEEYLHLLDLAERLKQQGKLLELVDERLGSDYSQEQALRLLNIALLCTKTSPTQRPRMSSVVNMLCGQIPIQVVPEDDNLSEDLRFDIARSQCSMNDSKTDWSQMPSQCSMNDSKTDWSQMPSSDPSILRHTSKDSGYLPSSSSSSLKL >PVH65892 pep chromosome:PHallii_v3.1:1:7187259:7198568:1 gene:PAHAL_1G098800 transcript:PVH65892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNLSGVLPDEVVNLTYLRYLDLSRNFIQGPIPASWGDLPVYNLSLQGNRISGILPKELGRMPMLKSIQLEGNQIEGPIPPELGNISTLQRFFISANNITGELPSTFSRLTNMTDFRIDGNSISGKIPSFIKNWQSVNRIDMQGTSMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQNALHLTEVVLRNCSIYGEIPYYLGQMLYLKVLDISFNKLTGKVPETFGGMAALQYLYLTENMLTGDFPSWMLKNKASNKVNMDISYNNFTGNPPSECQQVNLNMVSSFSSSNDNLLQPCLRKRLPCTTRPRHSSLFVNCGGKSVSVNGITYEDDSSQIGTSTFVLSDDKKWAYSSTGDFVGNENGDYIARNTSKLTLAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLDDFNIKDQAGGAHREITRTFTTNITDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQSRNHHRLSTRVVIAIVVGAASFTVILLLIAFYFKVFSKKNAKGNGRHSFYQGRKTNTSELQTRAQYFFSLKEIESATEHFDPGNKIGEGGFGPVYKGTLPDGTIVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGDQLLLIYEFLENNSVGRALFGRAEHQLKLDWTTRYNICLGTAKGLAYLHEESTLKIVHRDIKPSNILLDKKLQPKISDFGLAKLNDDCGRVSTRIAGTV >PAN04882 pep chromosome:PHallii_v3.1:1:7187259:7199974:1 gene:PAHAL_1G098800 transcript:PAN04882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNLSGVLPDEVVNLTYLRYLDLSRNFIQGPIPASWGDLPVYNLSLQGNRISGILPKELGRMPMLKSIQLEGNQIEGPIPPELGNISTLQRFFISANNITGELPSTFSRLTNMTDFRIDGNSISGKIPSFIKNWQSVNRIDMQGTSMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQNALHLTEVVLRNCSIYGEIPYYLGQMLYLKVLDISFNKLTGKVPETFGGMAALQYLYLTENMLTGDFPSWMLKNKASNKVNMDISYNNFTGNPPSECQQVNLNMVSSFSSSNDNLLQPCLRKRLPCTTRPRHSSLFVNCGGKSVSVNGITYEDDSSQIGTSTFVLSDDKKWAYSSTGDFVGNENGDYIARNTSKLTLAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLDDFNIKDQAGGAHREITRTFTTNITDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQSRNHHRLSTRVVIAIVVGAASFTVILLLIAFYFKVFSKKNAKGNGRHSFYQGRKTNTSELQTRAQYFFSLKEIESATEHFDPGNKIGEGGFGPVYKGTLPDGTIVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGDQLLLIYEFLENNSVGRALFGRAEHQLKLDWTTRYNICLGTAKGLAYLHEESTLKIVHRDIKPSNILLDKKLQPKISDFGLAKLNDDCGRVSTRIAGTVGYMAPEYATRGCLTRKADVYSYGVVALEIVSGMSNINSMSNEEYLHLLDLAERLKQQGKLLELVDERLGSDYSQEQALRLLNIALLCTKTSPTQRPRMSSVVNMLCGQIPIQVVPEDDNLSEDLRFDIARSQCSMNDSKTDWSQMPSQCSMNDSKTDWSQMPSSDPSILRHTSKDSGYLPSSSSSSLKL >PVH65891 pep chromosome:PHallii_v3.1:1:7187259:7194056:1 gene:PAHAL_1G098800 transcript:PVH65891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMARGGGGFRLLVLLLLGLACSNGGRCSWARTTLLPQQEVEALKGIATKLNKTDWDFSVDPCSASGNWANSTGFLISNVTCDCSFKNNTECHIIRLELMRQNLSGVLPDEVVNLTYLRYLDLSRNFIQGPIPASWGDLPVYNLSLQGNRISGILPKELGRMPMLKSIQLEGNQIEGPIPPELGNISTLQRFFISANNITGELPSTFSRLTNMTDFRIDGNSISGKIPSFIKNWQSVNRIDMQGTSMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQNALHLTEVVLRNCSIYGEIPYYLGQMLYLKVLDISFNKLTGKVPETFGGMAALQYLYLTENMLTGDFPSWMLKNKASNKVNMDISYNNFTGNPPSECQQVNLNMVSSFSSSNDNLLQPCLRKRLPCTTRPRHSSLFVNCGGKSVSVNGITYEDDSSQIGTSTFVLSDDKKWAYSSTGDFVGNENGDYIARNTSKLTLAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLDDFNIKDQAGGAHREITRTFTTNITDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQTGRNHHRLSTRVVIAIVVGAASFTVILLLIAFYFKVFSKKNAKGNGKFVSYSKPLSFTSYVMLPAFVLILLFL >PAN04880 pep chromosome:PHallii_v3.1:1:7187259:7199974:1 gene:PAHAL_1G098800 transcript:PAN04880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMARGGGGFRLLVLLLLGLACSNGGRCSWARTTLLPQQEVEALKGIATKLNKTDWDFSVDPCSASGNWANSTGFLISNVTCDCSFKNNTECHIIRLELMRQNLSGVLPDEVVNLTYLRYLDLSRNFIQGPIPASWGDLPVYNLSLQGNRISGILPKELGRMPMLKSIQLEGNQIEGPIPPELGNISTLQRFFISANNITGELPSTFSRLTNMTDFRIDGNSISGKIPSFIKNWQSVNRIDMQGTSMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQNALHLTEVVLRNCSIYGEIPYYLGQMLYLKVLDISFNKLTGKVPETFGGMAALQYLYLTENMLTGDFPSWMLKNKASNKVNMDISYNNFTGNPPSECQQVNLNMVSSFSSSNDNLLQPCLRKRLPCTTRPRHSSLFVNCGGKSVSVNGITYEDDSSQIGTSTFVLSDDKKWAYSSTGDFVGNENGDYIARNTSKLTLAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLDDFNIKDQAGGAHREITRTFTTNITDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQTGRNHHRLSTRVVIAIVVGAASFTVILLLIAFYFKVFSKKNAKGNGRHSFYQGRKTNTSELQTRAQYFFSLKEIESATEHFDPGNKIGEGGFGPVYKGTLPDGTIVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGDQLLLIYEFLENNSVGRALFGRAEHQLKLDWTTRYNICLGTAKGLAYLHEESTLKIVHRDIKPSNILLDKKLQPKISDFGLAKLNDDCGRVSTRIAGTVGYMAPEYATRGCLTRKADVYSYGVVALEIVSGMSNINSMSNEEYLHLLDLAERLKQQGKLLELVDERLGSDYSQEQALRLLNIALLCTKTSPTQRPRMSSVVNMLCGQIPIQVVPEDDNLSEDLRFDIARSQCSMNDSKTDWSQMPSQCSMNDSKTDWSQMPSSDPSILRHTSKDSGYLPSSSSSSLKL >PAN04877 pep chromosome:PHallii_v3.1:1:7188168:7200527:1 gene:PAHAL_1G098800 transcript:PAN04877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNLSGVLPDEVVNLTYLRYLDLSRNFIQGPIPASWGDLPVYNLSLQGNRISGILPKELGRMPMLKSIQLEGNQIEGPIPPELGNISTLQRFFISANNITGELPSTFSRLTNMTDFRIDGNSISGKIPSFIKNWQSVNRIDMQGTSMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQNALHLTEVVLRNCSIYGEIPYYLGQMLYLKVLDISFNKLTGKVPETFGGMAALQYLYLTENMLTGDFPSWMLKNKASNKVNMDISYNNFTGNPPSECQQVNLNMVSSFSSSNDNLLQPCLRKRLPCTTRPRHSSLFVNCGGKSVSVNGITYEDDSSQIGTSTFVLSDDKKWAYSSTGDFVGNENGDYIARNTSKLTLAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLDDFNIKDQAGGAHREITRTFTTNITDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQSRNHHRLSTRVVIAIVVGAASFTVILLLIAFYFKVFSKKNAKGNGRHSFYQGRKTNTSELQTRAQYFFSLKEIESATEHFDPGNKIGEGGFGPVYKGTLPDGTIVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGDQLLLIYEFLENNSVGRALFGRAEHQLKLDWTTRYNICLGTAKGLAYLHEESTLKIVHRDIKPSNILLDKKLQPKISDFGLAKLNDDCGRVSTRIAGTVGYMAPEYATRGCLTRKADVYSYGVVALEIVSGMSNINSMSNEEYLHLLDLAERLKQQGKLLELVDERLGSDYSQEQALRLLNIALLCTKTSPTQRPRMSSVVNMLCGQIPIQVVPEDDNLSEDLRFDIARSQCSMNDSKTDWSQMPSQCSMNDSKTDWSQMPSSDPSILRHTSKDSGYLPSSSSSSLKL >PVH66946 pep chromosome:PHallii_v3.1:1:55280400:55284045:1 gene:PAHAL_1G392000 transcript:PVH66946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTAAAGAPLRLLSSSSARLSKPLLPRPHCLTLCSPISFQRLTARSAASPSPSSSTTSSSPSGSGSVDPSQLPPALRDIVGLFQSVPDARTRYKQLLAYAARLPPMDPALKTDSNRVRGCVSQVWVHAEPQEGDGGGLSVRFHADSDAQLTKGLAALLVLGLSGAPAADVAKVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKRKALEIAADAGGDSTTSQQSAQEVAEPRAEGMENKGSEFAAFGAQEDEKLVADMPSQEEQLEEVPDNFVEGNGSSLGGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSLLKRHRAVYDLLQDELKAGLHALSIDAKTPSEV >PAN08152 pep chromosome:PHallii_v3.1:1:55280393:55284045:1 gene:PAHAL_1G392000 transcript:PAN08152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTAAAGAPLRLLSSSSARLSKPLLPRPHCLTLCSPISFQRLTARSAASPSPSSSTTSSSPSGSGSVDPSQLPPALRDIVGLFQSVPDARTRYKQLLAYAARLPPMDPALKTDSNRVRGCVSQVWVHAEPQEGDGGGLSVRFHADSDAQLTKGLAALLVLGLSGAPAADVAKVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKRKALEIAADAGGDSTTSQQSAQEVAEPRAEGMENKGSEFAAFGAQEDEKLVADMPSQEEQLEEVPDNFVEGNGSSLGGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSLLKRHRAVYDLLQDELKAGLHALSIDAKTPSEV >PVH66947 pep chromosome:PHallii_v3.1:1:55280400:55284045:1 gene:PAHAL_1G392000 transcript:PVH66947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTAAAGAPLRLLSSSSARLSKPLLPRPHCLTLCSPISFQRLTARSAASPSPSSSTTSSSPSGSGSVDPSQLPPALRDIVGLFQSVPDARTRYKQLLAYAARLPPMDPALKTDSNRVRGCVSQVWVHAEPQEGDGGGLSVRFHADSDAQLTKGLAALLVLGLSGAPAADVAKVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKRKALEIAADAGGDSTTSQQSAQEVAEPRAEGMENKGSEFAAFGAQEDEKLVADMPSQEEQLEEVPDNFVEGNGSSLGGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSLLKRHRAVYDLLQDELKAGLHALSIDAKTPSEV >PAN08153 pep chromosome:PHallii_v3.1:1:55280393:55284045:1 gene:PAHAL_1G392000 transcript:PAN08153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTAAAGAPLRLLSSSSARLSKPLLPRPHCLTLCSPISFQRLTARSAASPSPSSSTTSSSPSGSGSVDPSQLPPALRDIVGLFQSVPDARTRYKQLLAYAARLPPMDPALKTDSNRVRGCVSQVWVHAEPQEGDGGGLSVRFHADSDAQLTKGLAALLVLGLSGAPAADVAKVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKRKALEIAADAGGDSTTSQQSAQEVAEPRAEGMENKGSEFAAFGAQEDEKLVADMPSQEEQLEEVPDNFVEGNGSSLGGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSLLKRHRAVYDLLQDELKAGLHALSIDAKTPSEV >PVH66948 pep chromosome:PHallii_v3.1:1:55280400:55284045:1 gene:PAHAL_1G392000 transcript:PVH66948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTAAAGAPLRLLSSSSARLSKPLLPRPHCLTLCSPISFQRLTARSAASPSPSSSTTSSSPSGSGSVDPSQLPPALRDIVGLFQSVPDARTRYKQLLAYAARLPPMDPALKTDSNRVRGCVSQVWVHAEPQEGDGGGLSVRFHADSDAQLTKGLAALLVLGLSGAPAADVAKVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKRKALEIAADAGGDSTTSQQSAQEVAEPRAEGMENKGSEFAAFGAQEDEKLVADMPSQEEQLEEVPDNFVEGNGSSLGGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSLLKRHRAVYDLLQDELKAGLHALSIDAKTPSEV >PAN08154 pep chromosome:PHallii_v3.1:1:55280400:55284045:1 gene:PAHAL_1G392000 transcript:PAN08154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTAAAGAPLRLLSSSSARLSKPLLPRPHCLTLCSPISFQRLTARSAASPSPSSSTTSSSPSGSGSVDPSQLPPALRDIVGLFQSVPDARTRYKQLLAYAARLPPMDPALKTDSNRVRGCVSQVWVHAEPQEGDGGGLSVRFHADSDAQLTKGLAALLVLGLSGAPAADVAKVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKRKALEIAADAGGDSTTSQQSAQEVAEPRAEGMENKGSEFAAFGAQEDEKLVADMPSQEEQLEEVPDNFVEGNGSSLGGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSLLKRHRAVYDLLQDELKAGLHALSIDAKTPSEV >PVH67022 pep chromosome:PHallii_v3.1:1:56626553:56629583:-1 gene:PAHAL_1G412600 transcript:PVH67022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRGFVMAVAAVVLVAVVSLAPRAAEAKTTIEPCSGSDSCQALLGYTLYADMKVSEVAALFAADPAALLAANTLDFGAPGAAHRILPMGLFVRVPTRCSCADGVRKSVSVRYAARPADTLATVADVVFAGLASADQIRNENGLASTDPDAPLDAGQKLVIPLPCACFNSSDNNLPAMYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPVAAPGDILAIPLPACASAFPKSASDHGLIVANGTYALTAGNCVQCSCGPGSLNLYCTPASLSGSCPSMQCPNSNVMLGNVSTHPTGAGCNVSSCSYGGFVNGTITTSLSAGLQPRCPGPHQIPALTDPPTTVNHDSTYLPPLSAPGPAEAGGVMPEPGSASSPVQGGSFTLPKVSTANGPVGSVSEAPLMDKPRHILSFFVSCLVFYLQL >PAN03974 pep chromosome:PHallii_v3.1:1:2243638:2245324:1 gene:PAHAL_1G032200 transcript:PAN03974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPPAAAAAGPHSVFVYGTLMAEEVVRVLLGRAPPSSPALLPGHRRFSLRGRVYPAILPVPGHAVNGKVFKGLTDRELHVFDLFEDEEYVKKTVEVSLADTSEKSLAYAYIWANEGDPDLYGEWDYEEWRKVHLKDYLEMTREFMEEVGQF >PVH66602 pep chromosome:PHallii_v3.1:1:48923031:48930214:1 gene:PAHAL_1G295500 transcript:PVH66602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRHAGYRSHDVARGRELDPERSRRSKEYRHRHPSRDRDSDRGDGGRSGGRDIGHRRHRSPYPPPRSRPSRRENGREPGEVSSGSGSEESGGRPLKAGVPREDGFLGVCKDGSVLPPSKKRKHSPVVLDAHVSKLQAIDDVRSRGVVDTAAAEFPLPSPPPLSNDSPMATVGGCSSPTKHLDVSVVTHEAECCHEHEKNGVMVGEEECPTMGNIFTSRWAEADDEEEEVIVPQKKKSVSPANLSEWRSTEKVAIPELGEVPRVQTSRGSSSSSSNSMGSENWNIQVDRGDCMDVEKEDVIDSSVGYTDFGSDARRSKTPEAVRPPRRCFNMLQGCRSIDEFERLNTINEGTYGVVFRVRDKKSGEIVALKKVKMDKEREGFPLTSLREINILLSFDHPSIVDVKEIVVGGHDDDTFMVMEYMEHDLKGVMEKMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGGPLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFTGKNEIGQLDKIFRILGTPNEERWHGYSKLPGAKCKFVKQRYNRLRDKFPAVSFTGGLTLSEAGFDLLNRLLTYDPEKRISAGDALNHEWFREVPLPKTKDFMPTFPALNEQDRRIKKYMKSPDPLVEQQMKEQGSSGDRGLFG >PVH66601 pep chromosome:PHallii_v3.1:1:48923031:48930219:1 gene:PAHAL_1G295500 transcript:PVH66601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRHAGYRSHDVARGRELDPERSRRSKEYRHRHPSRDRDSDRGDGGRSGGRDIGHRRHRSPYPPPRSRPSRRENGREPGEVSSGSGSEESGGRPLKAGVPREDGFLGVCKDGSVLPPSKKRKHSPVVLDAHVSKLQAIDDVRSRGVVDTAAAEFPLPSPPPLSNDSPMATVGGCSSPTKHLDVSVVTHEAECCHEHEKNGVMVGEEECPTMGNIFTSRWAEADDEEEEVIVPQKKKSVSPANLSEWRSTEKVAIPELGEVPRVQTSRGSSSSSSNSMGSENWNIQVDRGDCMDVEKEDVIDSSVGYTDFGSDARRSKTPEAVRPPRRCFNMLQGCRSIDEFERLNTINEGTYGVVFRVRDKKSGEIVALKKVKMDKEREGFPLTSLREINILLSFDHPSIVDVKEIVVGGHDDDTFMVMEYMEHDLKGVMEKMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGGPLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFTGKNEIGQLDKIFRILGTPNEERWHGYSKLPGAKCKFVKQRYNRLRDKFPAVSFTGGLTLSEAGFDLLNRLLTYDPEKRISAGDALNHEWFREVPLPKTKDFMPTFPALNEQDRRIKKYMKSPDPLVEQQMKEQGSSGDRGLFG >PVH66600 pep chromosome:PHallii_v3.1:1:48923031:48930214:1 gene:PAHAL_1G295500 transcript:PVH66600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRHAGYRSHDVARGRELDPERSRRSKEYRHRHPSRDRDSDRGDGGRSGGRDIGHRRHRSPYPPPRSRPSRRENGREPGEVSSGSGSEESGGRPLKAGVPREDGFLGVCKDGSVLPPSKKRKHSPVVLDAHVSKLQAIDDVRSRGVVDTAAAEFPLPSPPPLSNDSPMATVGGCSSPTKHLDVSVVTHEAECCHEHEKNGVMVGEEECPTMGNIFTSRWAEADDEEEEVIVPQKKKSVSPANLSEWRSTEKVAIPELGEVPRVQTSRGSSSSSSNSMGSENWNIQVDRGDCMDVEKEDVIDSSVGYTDFGSDARRSKTPEAVRPPRRCFNMLQGCRSIDEFERLNTINEGTYGVVFRVRDKKSGEIVALKKVKMDKEREGFPLTSLREINILLSFDHPSIVDVKEIVVGGHDDDTFMVMEYMEHDLKGVMEKMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGGPLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFTGKNEIGQLDKIFRILGTPNEERWHGYSKLPGAKCKFVKQRYNRLRDKFPAVSFTGGLTLSEAGFDLLNRLLTYDPEKRISAGDALNHEWFREVPLPKTKDFMPTFPALNEQDRRIKKYMKSPDPLVEQQMKEQGSSGDRGLFG >PAN06896 pep chromosome:PHallii_v3.1:1:48923031:48930219:1 gene:PAHAL_1G295500 transcript:PAN06896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRHAGYRSHDVARGRELDPERSRRSKEYRHRHPSRDRDSDRGDGGRSGGRDIGHRRHRSPYPPPRSRPSRRENGREPGEVSSGSGSEESGGRPLKAGVPREDGFLGVCKDGSVLPPSKKRKHSPVVLDAHVSKLQAIDDVRSRGVVDTAAAEFPLPSPPPLSNDSPMATVGGCSSPTKHLDVSVVTHEAECCHEHEKNGVMVGEEECPTMGNIFTSRWAEADDEEEEVIVPQKKKSVSPANLSEWRSTEKVAIPELGEVPRVQTSRGSSSSSSNSMGSENWNIQVDRGDCMDVEKEDVIDSSVGYTDFGSDARRSKTPEAVRPPRRCFNMLQGCRSIDEFERLNTINEGTYGVVFRVRDKKSGEIVALKKVKMDKEREGFPLTSLREINILLSFDHPSIVDVKEIVVGGHDDDTFMVMEYMEHDLKGVMEKMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGGPLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFTGKNEIGQLDKIFRILGTPNEERWHGYSKLPGAKCKFVKQRYNRLRDKFPAVSFTGGLTLSEAGFDLLNRLLTYDPEKRISAGDALNHEWFREVPLPKTKDFMPTFPALNEQDRRIKKYMKSPDPLVEQQMKEQGSSGDRGLFG >PVH66777 pep chromosome:PHallii_v3.1:1:52059577:52065109:1 gene:PAHAL_1G344600 transcript:PVH66777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGALGALCRAGGWSYAAIWRSDRRDPRLLTIGECHCEDEARKVVEKMVNQVHVVGEGVIGRALISGEYQWISDDIPFSLSQISDADNLGLFQGYTWWQHQFLSGIKTIAVVPIQAFGVAHFGSMQKVSESLEFLDQVKGALFLKESISWPPSTKDVQKDVFTYNPQFQLDSPSTAEGLVHIKAEPENTKLLEDTITVDSLKNFAIASSNHSLHSFNGFTSNESCIGLNPHIVAMPVNSKSISSVKVFLSDSNSRHSNISENALQITSTKQPGSSLTTAATSYSSLTDLPRMEHGLSCTPNKLRYCLQSEKSSFLDSYSSIFSTEADLKSTLFDNDTPFVQGDVIHEVGTAGSTYACELQELPNEIWGEGAGPTKQVRKVDSENHGLLESTAFDPVMNDWWDDTAQLAGNTTHFGATGTNSVAGQANTDQVSVEEKGLFSECTFEELFGFDGNVSHASTDPLGGSVSGCRLPRYNLQDSLSVCNAQIPALLLPSINYASENDQTGASKATPMSLQNLSMDDCGSLNTANSKVSQVKKPEGVKVVKKRARPGETTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISKDSSAVLNDNSSGVVLKDDPSAGSSGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLTKVINNGVPSFAEHQQSPISIPVGLAGR >PVH66778 pep chromosome:PHallii_v3.1:1:52060671:52065109:1 gene:PAHAL_1G344600 transcript:PVH66778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQVHVVGEGVIGRALISGEYQWISDDIPFSLSQISDADNLGLFQGYTWWQHQFLSGIKTIAVVPIQAFGVAHFGSMQKVSESLEFLDQVKGALFLKESISWPPSTKDVQKDVFTYNPQFQLDSPSTAEGLVHIKAEPENTKLLEDTITVDSLKNFAIASSNHSLHSFNGFTSNESCIGLNPHIVAMPVNSKSISSVKVFLSDSNSRHSNISENALQITSTKQPGSSLTTAATSYSSLTDLPRMEHGLSCTPNKLRYCLQSEKSSFLDSYSSIFSTEADLKSTLFDNDTPFVQGDVIHEVGTAGSTYACELQELPNEIWGEGAGPTKQVRKVDSENHGLLESTAFDPVMNDWWDDTAQLAGNTTHFGATGTNSVAGQANTDQVSVEEKGLFSECTFEELFGFDGNVSHASTDPLGGSVSGCRLPRYNLQDSLSVCNAQIPALLLPSINYASENDQTGASKATPMSLQNLSMDDCGSLNTANSKVSQVKKPEGVKVVKKRARPGETTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISKDSSAVLNDNSSGVVLKDDPSAGSSGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLTKVINNGVPSFAEHQQSPISIPVGLAGR >PAN07601 pep chromosome:PHallii_v3.1:1:52336393:52340651:1 gene:PAHAL_1G348400 transcript:PAN07601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPRLLPALLLLLCLCALPAPARSQNATAPAPASVEGFNCSASRAYPCPAYALYRAGFAGVPLDFAAIGDLFSVSRFMVAHANNLSTSIAPASGQPLLVPLQCGCPSRSRNAYAPTQYQIIHGDTYWIISTTKLQNLTQYQAVERVNPTLVPTNLPDGVMVTFPVFCQCPAAADNATALVTYVMQPGDTYASVAAAFAVDAQSLVSLNGPESRAGQFAEILVPLRRQVSEILPPIVRVNNASATPASPNMTVVSKDRDGVVTGLAVGLGVVGALWLLQMLLLACLCRRLKAKGRRGDAVVSGDGVEGGRFAKSSSGGGGGAGAGERFLVSDISEWLDKYRVFKVEELERGTGGFDDAHLINGSVYKANIDGEVFAVKRMKWDACEELKILQKVNHSNLVKLEGFCINSATGDCFLVYEYVENGSLDLWLLDRDRARRLDWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDARMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAADETGEPLWADAEDRVFRGRDERLEARVAAWMDPALAGQTCPPGSVASVVSVARACLHKDPSKRPSMVDVAYTLSKADEHFADYSGESVSVDGSGEIAAR >PVH65754 pep chromosome:PHallii_v3.1:1:4759627:4760948:-1 gene:PAHAL_1G069600 transcript:PVH65754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATTTASLFTLSPAAGKRFVLSFPSLAFSSRRLAAPAGPGLRLRAAAASRATSRRRASASASPIVATIAVGDKLPDATLSYFDPADGELKTVTVAELTAGKKAVLFAVPGAFTPTCSQKHLPGFVERAAELQAKGVETLACVSVNDAFVMKAWREALGVPDGVLMLSDGNLELTRALGAEMDLSDKPVGLGVRSRRYALLAEDGVVKVLNLEDGGAFTSSSAEDMLQALG >PAN03951 pep chromosome:PHallii_v3.1:1:2162414:2169359:-1 gene:PAHAL_1G031200 transcript:PAN03951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGQRAGDKSDSRYCGVEVLDFPAGEGLPAVLNHSLASSFDFILAPLVDPNYRPTPGAVLPVSASDLVLGPAQWSNHVVGKISEWIDLDAEDEQLQLDSELTLKQEIAWASHLSLQACVLPAPRRSSCGNYARVVNQILQGLTNMHLWLRLPLEKSEPMDDDLDKVKHNNNALQNEMVDSWELWNSFRLLCDHSSQLCVALDISSTLPSINSLGRWFGEPVRAAILQTNAFLTNGRGYPCLSKRHQKLLTGFFNHSVQVILSGRSNHNVPPVSGGVLLGDENHTEDASVRHALSPYLEYTAYLYRRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDVVKYTQYQRAVAKALVDRVPDDAVSTTRTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTVISSDMRCWDAPEKADVLVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETAYVVKLHRIATLAPPQQVFTFTHPDFSPNVSNQRYTKLQFEMPPDMGSCLVHGFAGYFDSVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPDRSPIEVHFWRCCAPTKVWYEWAVTAPTPSPIHNSNGRSYWVGL >PAN03950 pep chromosome:PHallii_v3.1:1:2162413:2169361:-1 gene:PAHAL_1G031200 transcript:PAN03950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGQRAGDKSDSRYCGVEVLDFPAGEGLPAVLNHSLASSFDFILAPLVDPNYRPTPGAVLPVSASDLVLGPAQWSNHVVGKISEWIDLDAEDEQLQLDSELTLKQEIAWASHLSLQACVLPAPRRSSCGNYARVVNQILQGLTNMHLWLRLPLEKSEPMDDDLDKVKHNNNANEMVDSWELWNSFRLLCDHSSQLCVALDISSTLPSINSLGRWFGEPVRAAILQTNAFLTNGRGYPCLSKRHQKLLTGFFNHSVQVILSGRSNHNVPPVSGGVLLGDENHTEDASVRHALSPYLEYTAYLYRRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDVVKYTQYQRAVAKALVDRVPDDAVSTTRTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTVISSDMRCWDAPEKADVLVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETAYVVKLHRIATLAPPQQVFTFTHPDFSPNVSNQRYTKLQFEMPPDMGSCLVHGFAGYFDSVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPDRSPIEVHFWRCCAPTKVWYEWAVTAPTPSPIHNSNGRSYWVGL >PVH65971 pep chromosome:PHallii_v3.1:1:8902254:8907070:1 gene:PAHAL_1G114500 transcript:PVH65971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVGIKMILFLAFSSAVAQNVTESKVEEFHVGVVLDLGTTVGKVAHTSISIAVEDFYVVHPNYTTRIVLHVSDSLSDDVQAASEVLDLLENYNVQAIIGPQKSSQAVFISALGNKHQVPIISFTATSTSLSSRRLPYFVQATARDSAQVVPIYVDNDYGRRIIPDLVDVLEGIDAHIPYRSAIDEPATGEQITQELYKLMTMQTRVFVVHMAAYLGSLFFTKAKEIGMMSKGFVWIITDGLASLIDSLNPSVVEAMNGALGVESYVPKSTELDNFTVRWYTRSRNDHPNYPTLKLNIFGLRSYDTIWAVAQAAEKAKVTEAKFQRPPALKNYTSSKTLENSRNGPATLKVILQTKFEGLSGYFDLSDGQLQVSMFQIINVVGKAHRVIGTARNLNIVIWPGESTEVPRGWEIPTNGKKLQVGIVAGGGTSLCFRVSDISYITKYDIAVVDITIRYNRSLNVDFTLPYTESGTAMVVPVKESINKNAWIFLKPLTPGMWFGTIMLFIYTGIVIWLLELLGNKNVHGPIPRQLATMIYFSLIEEKEKVKRFISRIVLVIWLFFIVVLKSCYTASLTSMLTVQQLQPTVTNVGELLKTGECVGYGRGSYIKGVLEDLGFDKSKIKPYDTLEDFHGALSKGSKTGGSAAFVGEIPYINLFLAEHCKGYTMVGPIYKTAGFGYALQKGSPIIGGISQAILNITGGDTIIQIEKKWIGDQNNCQNVGTISGTGSLTFDSFAGLIIATGVASTTSLMVALIIYFCKNKQVGHENGDSEQIMPQEENKDSGDGEKQCQEAAGARGMHEQINNVMRNGSLVICRGERIRSSWVSSSSARF >PAN06637 pep chromosome:PHallii_v3.1:1:47745451:47750743:-1 gene:PAHAL_1G277800 transcript:PAN06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTARSPTAEASPPAAAEKHRSGSAAGSGLRSLASAASGWWDRWAVMGSGLSKLERAFGDQFPEGERYFGLENFGNTCYCNSVLQALYYCTPFREQLLEYYAKNRNLEDAEENLLTCLADLFSQISASKKKTGVIAPKRFIQMVKKLNEYFRGYMHQDAHEFLNFLLNEIVDILEKESSSAKVSPATTSPEKVSNGAAVDGVRNEPLVTWVHKNFQGTLTNETRCLMCETVTAKDETFFDLSVDIEQNSSLTSCLKNFFSTETLNADDKFFCDKCCSLQEAEKRMKIKKVPQILVIHLKRFKFIEQLNRHKKLSYRVVYPLELKLSSNSDDADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSGNTDHGYILFYERVGGNCNGKADSPEGGM >PAN06652 pep chromosome:PHallii_v3.1:1:47745756:47749239:-1 gene:PAHAL_1G277800 transcript:PAN06652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEQALYYCTPFREQLLEYYAKNRNLEDAEENLLTCLADLFSQISASKKKTGVIAPKRFIQMVKKLNEYFRGYMHQDAHEFLNFLLNEIVDILEKESSSAKVSPATTSPEKVSNGAAVDGVRNEPLVTWVHKNFQGTLTNETRCLMCETVTAKDETFFDLSVDIEQNSSLTSCLKNFFSTETLNADDKFFCDKCCSLQEAEKRMKIKKVPQILVIHLKRFKFIEQLNRHKKLSYRVVYPLELKLSSNSDDADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSGNTDHGYILFYERVGGNCNGKADSPEGGM >PAN06636 pep chromosome:PHallii_v3.1:1:47745451:47750742:-1 gene:PAHAL_1G277800 transcript:PAN06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEQALYYCTPFREQLLEYYAKNRNLEDAEENLLTCLADLFSQISASKKKTGVIAPKRFIQMVKKLNEYFRGYMHQDAHEFLNFLLNEIVDILEKESSSAKVSPATTSPEKVSNGAAVDGVRNEPLVTWVHKNFQGTLTNETRCLMCETVTAKDETFFDLSVDIEQNSSLTSCLKNFFSTETLNADDKFFCDKCCSLQEAEKRMKIKKVPQILVIHLKRFKFIEQLNRHKKLSYRVVYPLELKLSSNSDDADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSGNTDHGYILFYERVGGNCNGKADSPEGGM >PAN07338 pep chromosome:PHallii_v3.1:1:50913474:50916250:-1 gene:PAHAL_1G326300 transcript:PAN07338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, DNA-binding intermediate protein for SLR1, Modulation of gibberellin signaling pathway, Regulation of plant growth and developmen [Source: Projected from Oryza sativa (Os02g0643200)] MMSSSSSSSAAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPAAPPANQLSFGGGHSLLSPTSPHGLLDEFAFQAPSLLMDQASANLSSTITGRSNSSSCASNLPAAPMPAAKPPVQQEPEMPKSAPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTFKTQVSKHTRLEP >PAN07320 pep chromosome:PHallii_v3.1:1:50912780:50916250:-1 gene:PAHAL_1G326300 transcript:PAN07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, DNA-binding intermediate protein for SLR1, Modulation of gibberellin signaling pathway, Regulation of plant growth and developmen [Source: Projected from Oryza sativa (Os02g0643200)] MMSSSSSSSAAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPAAPPANQLSFGGGHSLLSPTSPHGLLDEFAFQAPSLLMDQASANLSSTITGRSNSSSCASNLPAAPMPAAKPPVQQEPEMPKSAPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTFKTQDGAEDMLLKDGLYAAAAAAAAANMGITPF >PAN07438 pep chromosome:PHallii_v3.1:1:51566087:51568168:-1 gene:PAHAL_1G336100 transcript:PAN07438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSMQQPFNNLLPPTTKQPPSPAASAGAAKGYRPRSGHLAADLLGRPCPRRRATRAVRAAVPTNSNSSEFICVKNNTIQDTKLPREGKFFEVEMAVRDCELDKYGVVSSAVLAAFMETARQEMLTSLGVPTGSIARAGRALAVSKLTVKYMAPLKSGAKFVVMVRVVQIKGVRMLTEHLIATLPDHEKLWPPSSASTKTTGRLACSRKWRSYCTSSLLTRINHGICCCRLHIWTSVSGYKYAVLVSGTKK >PAN07440 pep chromosome:PHallii_v3.1:1:51566087:51568236:-1 gene:PAHAL_1G336100 transcript:PAN07440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSMQQPFNNLLPPTTKQPPSPAASAGAAKGYRPRSGHLAADLLGRPCPRRRATRAVRAAVPTNSNSSEFICVKNNTIQDTKLPREGKFFEVEMAVRDCELDKYGVVSSAVLAAFMETARQEMLTSLGVPTGSIARAGRALAVSKLTVKYMAPLKLVSEAMATIVCLNKDYRPTRMFPEMAKLLHVFSPN >PAN07439 pep chromosome:PHallii_v3.1:1:51566511:51568152:-1 gene:PAHAL_1G336100 transcript:PAN07439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSMQQPFNNLLPPTTKQPPSPAASAGAAKGYRPRSGHLAADLLGRPCPRRRATRAVRAAVPTNSNSSEFICVKNNTIQDTKLPREGKFFEVEMAVRDCELDKYGVVSSAVLAAFMETARQEMLTSLGVPTGSIARAGRALAVSKLTVKYMAPLKSGAKFVVMVRVVQIKGVRMLTEHLIATLPDHELVSEAMATIVCLNKDYRPTRMFPEMAKLLHVFSPN >PAN06457 pep chromosome:PHallii_v3.1:1:46902174:46905995:1 gene:PAHAL_1G265400 transcript:PAN06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAWGKNAKMKRQPVVVSTKPGLPFGVDSDTDETEKEETAGANTNCPGTKPLDTAESLQRQGDKLAEEGKYHEALSRWEAALTLAPDNAILHEQKAQVLLEVGDAWHALTAATRATELDPLWPEAWVTLGRAQLNFGEPDSAILSFDKALAIKPDHDGVKADRKTAVHLVKKRGQLHSSGLSTNKRRFTVGENSDKGAEGEEKADETAVQSG >PAN06460 pep chromosome:PHallii_v3.1:1:46902174:46905995:1 gene:PAHAL_1G265400 transcript:PAN06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAWGKNAKMKRQPVVVSTKPGLPFGVDSDTDETEKEETAGANTNCPGTKPLDTAESLQRQGDKLAEEGKYHEALSRWEAALTLAPDNAILHEQKAQVLLEVGDAWHALTAATRATELDPLWPEAWVTLGRAQLNFGEPDSAILSFDKALAIKPDHDGVKADRKTAVHLVKKRGQLHSSGLSTNKRRFTVGENSDKGAEGEEKADETAVQSG >PAN06458 pep chromosome:PHallii_v3.1:1:46902206:46905922:1 gene:PAHAL_1G265400 transcript:PAN06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDLLLRSTMKIAWGKNAKMKRQPVVVSTKPGLPFGVDSDTDETEKEETAGANTNCPGTKPLDTAESLQRQGDKLAEEGKYHEALSRWEAALTLAPDNAILHEQKAQVLLEVGDAWHALTAATRATELDPLWPEAWVTLGRAQLNFGEPDSAILSFDKALAIKPDHDGVKADRKTAVHLVKKRGQLHSSGLSTNKRRFTVGENSDKGAEGEEKADETAVQSG >PAN06459 pep chromosome:PHallii_v3.1:1:46902233:46905906:1 gene:PAHAL_1G265400 transcript:PAN06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDLLLRSTMKIAWGKNAKMKRQPVVVSTKPGLPFGVDSDTDETEKEETAGANTNCPGTKPLDTAESLQRQGDKLAEEGKYHEALSRWEAALTLAPDNAILHEQKAQVLLEVGDAWHALTAATRATELDPLWPEAWVTLGRAQLNFGEPDSAILSFDKALAIKPDHDGVKADRKTAVHLVKKRGQLHSSGLSTNKRRFTVGENSDKGAEGEEKADETAVQSG >PAN06461 pep chromosome:PHallii_v3.1:1:46902205:46905925:1 gene:PAHAL_1G265400 transcript:PAN06461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDLLLRSTMKIAWGKNAKMKRQPVVVSTKPGLPFGVDSDTDETEKEETAGANTNCPGTKPLDTAESLQRQGDKLAEEGKYHEALSRWEAALTLAPDNAILHEQKAQVLLEVGDAWHALTAATRATELDPLWPEAWVTLGRAQLNFGEPDSAILSFDKALAIKPDHDGVKADRKTAVHLVKKRGQLHSSGLSTNKRRFTVGENSDKGAEGEEKADETAVQSG >PAN03529 pep chromosome:PHallii_v3.1:1:405238:412313:1 gene:PAHAL_1G004100 transcript:PAN03529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFGPDDQADADFFDKLVDDDDAPASAPANSALARDVSDISLADDDPPTLPPAPEAAPPEGGSPGSTKAGAGVHTTVKQVQWASFGGGPDDGADPFAELSGGAGDDSFFGTQTPETSEGTSDHDLFGGNQSLAAPVTDQDFFGGTSSSNQNVDGQLERTGSGAVDSTDPKYLEAMYPGWKYDEATQQWYQVDTFNTIGNAAQLVDNSSQNLQQQLDASYLQNSAHAGLETIAEEGTATAGVSSWGQGGASEYPPNMFFYAEYPGWYFDTNTQQWHSLESYQQAAMQAGTANVVQTGANDGAVVTSVGTGYNAKQTEDPAVHNPVTQHNSFTNSFAPQSQRQMTAAFGNTMQSESATDNSLTSSFYGFDQQANAETSSSSTSQQVGFNTAETVTDHYGAHKGFESSLQSGYSSSDSQQSSYKAVEPSTGYHASYKAFEPAMGRQTSHNVFEQSAGNQGGYKAFEPSMSNQSGYKAFEPSTGHHSASKGFMPSTGHQTGYKGSEASTVNQASYNEFETSTGYNTSFKIFEPSSAQHAGYMGSQPSSGHQPNYLGFDTSANHQGYVDVNGAADTQGFVPMQSTYHGQNQASANPQGHLSKSYLGTENSMNFNQQQFLIANTSNLQFGHSHDGRSSAGRPPHALIAFGFGGKLIVMKETSSMTTNFNSGNQGNSSGTVSVLNLSEVVFDKVDPSSITNGSTFGYFNALCRQPVPGPLVGGSASSKDVHKWLDEMIAWYEPSSTELQRGDTRKLLISLLKILCQHYGKLRSPFGADPQEETDGPEMAVTKLFSSFKRSSVHMGDYGSTVHCMKNIPSEGQMQAVAQEVQNLLVSGRRKEALQYAQGGQLWGPAIILALQLGDQFYVDTVKRMAHSHFVSGSPLRTLCLLIAGQPADVFNVENNVNSDYGTSHQPMEPGPNGMLDDWEENLAIITVNRTKGDDLVITHLGDCLWKEKIEVAAAHSCYLVAELNIDSYSESARLCLIGADHLKCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKISDSLRYCQASLKVLKASGRAPELEAWKQLFSSLEERIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPHGSVSDRESHAAPASAKFVNSQSVMAMSSLMPSASMQSMTEIAENSGGAGRKIAHNRSVSEPDFGRTSKQGAGSDGTQSSASGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGDQNKFYYDEKLKRWVEEGAAVPAEEPPLPPPPTKPSFQNGMPDHKLNGLMSGSHAPNGVTEWKSSNSSEQGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKSGASGAVPSYNKPAAPSVTPPAGAKFFMPTALASDQMVPHQAAEIHSETIHRDERSASPPAETSFSSPPPSAQFSAPMSSTIHRQSSMDNISTPYQGSGVSSLSSNSSFSRSRAASWSGTYSEQLSAFASTKSPEGQTMPSPLMPGKPSHSRSNSISSVQLNGLTEDLHEVEL >PVH65476 pep chromosome:PHallii_v3.1:1:405238:412313:1 gene:PAHAL_1G004100 transcript:PVH65476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFGPDDQADADFFDKLVDDDDAPASAPANSALARDVSDISLADDDPPTLPPAPEAAPPEGGSPGSTKAGAGVHTTVKQVQWASFGGGPDDGADPFAELSGGAGDDSFFGTQTPETSEGTSDHDLFGGNQSLAAPVTDQDFFGGTSSSNQNVDGQLERTGSGAVDSTDPKYLEAMYPGWKYDEATQQWYQVDTFNTIGNAAQLVDNSSQNLQQQLDASYLQNSAHAGLETIAEEGTATAGVSSWGQGGASEYPPNMFFYAEYPGWYFDTNTQQWHSLESYQQAAMQAGTANVVQTGANDGAVVTSVGTGYNAKQTEDPAVHNPVTQHNSFTNSFAPQSQRQMTAAFGNTMQSESATDNSLTSSFYGFDQQANAETSSSSTSQQVGFNTAETVTDHYGAHKGFESSLQSGYSSSDSQQSSYKAVEPSTGYHASYKAFEPAMGRQTSHNVFEQSAGHHSASKGFMPSTGHQTGYKGSEASTVNQASYNEFETSTGYNTSFKIFEPSSAQHAGYMGSQPSSGHQPNYLGFDTSANHQGYVDVNGAADTQGFVPMQSTYHGQNQASANPQGHLSKSYLGTENSMNFNQQQFLIANTSNLQFGHSHDGRSSAGRPPHALIAFGFGGKLIVMKETSSMTTNFNSGNQGNSSGTVSVLNLSEVVFDKVDPSSITNGSTFGYFNALCRQPVPGPLVGGSASSKDVHKWLDEMIAWYEPSSTELQRGDTRKLLISLLKILCQHYGKLRSPFGADPQEETDGPEMAVTKLFSSFKRSSVHMGDYGSTVHCMKNIPSEGQMQAVAQEVQNLLVSGRRKEALQYAQGGQLWGPAIILALQLGDQFYVDTVKRMAHSHFVSGSPLRTLCLLIAGQPADVFNVENNVNSDYGTSHQPMEPGPNGMLDDWEENLAIITVNRTKGDDLVITHLGDCLWKEKIEVAAAHSCYLVAELNIDSYSESARLCLIGADHLKCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKISDSLRYCQASLKVLKASGRAPELEAWKQLFSSLEERIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPHGSVSDRESHAAPASAKFVNSQSVMAMSSLMPSASMQSMTEIAENSGGAGRKIAHNRSVSEPDFGRTSKQGAGSDGTQSSASGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGDQNKFYYDEKLKRWVEEGAAVPAEEPPLPPPPTKPSFQNGMPDHKLNGLMSGSHAPNGVTEWKSSNSSEQGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKSGASGAVPSYNKPAAPSVTPPAGAKFFMPTALASDQMVPHQAAEIHSETIHRDERSASPPAETSFSSPPPSAQFSAPMSSTIHRQSSMDNISTPYQGSGVSSLSSNSSFSRSRAASWSGTYSEQLSAFASTKSPEGQTMPSPLMPGKPSHSRSNSISSVQLNGLTEDLHEVEL >PAN06983 pep chromosome:PHallii_v3.1:1:49309404:49318440:-1 gene:PAHAL_1G303300 transcript:PAN06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRIKQNAAGMLQLVECCLCFRIIYAGEKINCSVRCCPEVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWQVDGETRVGVFASRSIKVGEPLTYDYRFVHFGEKVECHCEAPNCQGYLGNQIKNPTQNALAIKEELEKSHEHSPIQHGSTASILHSMSHLLPWTNPNCIEVSFNLRSKSKITRICWVGKRKRTSLVASYNKHGNVGE >PAN06989 pep chromosome:PHallii_v3.1:1:49308999:49319225:-1 gene:PAHAL_1G303300 transcript:PAN06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRIKQNAAGMLQLVECCLCFRIIYAGEKINCSVRCCPEVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKGDFVIEYVGEVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWLTVRQELVSSPLVPSKLESP >PAN06982 pep chromosome:PHallii_v3.1:1:49309404:49318987:-1 gene:PAHAL_1G303300 transcript:PAN06982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLSTVYLPPFPELSVDAAGDLADDHAGDAAAAAASIDAAPASASSSASVEDGGGGGGGGPLMLPVECRWSGRVRTFDMSGAGGAVACPAARRGGGGGKKPSFAPSPGPSRTQAPAPGKTLEERVSEWAARKAAAGVPAHHCVLPFLTGAPKAVECCLCFRIIYAGEKINCSVRCCPEVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKGDFVIEYVGEVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWQVDGETRVGVFASRSIKVGEPLTYDYRFVHFGEKVECHCEAPNCQGYLGNQIKNPTQNALAIKEELEKSHEHSPIQHGSTASILHSMSHLLPWTNPNCIEVSFNLRSKSKITRICWVGKRKRTSLVASYNKHGNVGE >PAN06987 pep chromosome:PHallii_v3.1:1:49308999:49319225:-1 gene:PAHAL_1G303300 transcript:PAN06987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRIKQNAAGMLQLVECCLCFRIIYAGEKINCSVRCCPEVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWLTVRQELVSSPLVPSKLESP >PAN06981 pep chromosome:PHallii_v3.1:1:49308999:49317082:-1 gene:PAHAL_1G303300 transcript:PAN06981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKGDFVIEYVGEVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWQVDGETRVGVFASRSIKVGEPLTYDYRFVHFGEKVECHCEAPNCQGYLGNQIKNPTQNALAIKEELEKSHEHSPIQHGSTASILHSMSHLLPWTNPNCIEVSFNLRSKSKITRICWVGKRKRTSLVASYNKHGNVGE >PAN06988 pep chromosome:PHallii_v3.1:1:49309404:49318440:-1 gene:PAHAL_1G303300 transcript:PAN06988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRIKQNAAGMLQLVECCLCFRIIYAGEKINCSVRCCPEVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKGDFVIEYVGEVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWQVDGETRVGVFASRSIKVGEPLTYDYRFVHFGEKVECHCEAPNCQGYLGNQIKNPTQNALAIKEELEKSHEHSPIQHGSTASILHSMSHLLPWTNPNCIEVSFNLRSKSKITRICWVGKRKRTSLVASYNKHGNVGE >PAN06984 pep chromosome:PHallii_v3.1:1:49309404:49318987:-1 gene:PAHAL_1G303300 transcript:PAN06984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLSTVYLPPFPELSVDAAGDLADDHAGDAAAAAASIDAAPASASSSASVEDGGGGGGGGPLMLPVECRWSGRVRTFDMSGAGGAVACPAARRGGGGGKKPSFAPSPGPSRTQAPAPGKTLEERVSEWAARKAAAGVPAHHCVLPFLTGAPKAVECCLCFRIIYAGEKINCSVRCCPEVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWQVDGETRVGVFASRSIKVGEPLTYDYRFVHFGEKVECHCEAPNCQGYLGNQIKNPTQNALAIKEELEKSHEHSPIQHGSTASILHSMSHLLPWTNPNCIEVSFNLRSKSKITRICWVGKRKRTSLVASYNKHGNVGE >PAN06985 pep chromosome:PHallii_v3.1:1:49308999:49319225:-1 gene:PAHAL_1G303300 transcript:PAN06985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLSTVYLPPFPELSVDAAGDLADDHAGDAAAAAASIDAAPASASSSASVEDGGGGGGGGPLMLPVECRWSGRVRTFDMSGAGGAVACPAARRGGGGGKKPSFAPSPGPSRTQAPAPGKTLEERVSEWAARKAAAGVPAHHCVLPFLTGAPKAVECCLCFRIIYAGEKINCSVRCCPEVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWLTVRQELVSSPLVPSKLESP >PAN06986 pep chromosome:PHallii_v3.1:1:49308999:49319225:-1 gene:PAHAL_1G303300 transcript:PAN06986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLSTVYLPPFPELSVDAAGDLADDHAGDAAAAAASIDAAPASASSSASVEDGGGGGGGGPLMLPVECRWSGRVRTFDMSGAGGAVACPAARRGGGGGKKPSFAPSPGPSRTQAPAPGKTLEERVSEWAARKAAAGVPAHHCVLPFLTGAPKAVECCLCFRIIYAGEKINCSVRCCPEVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPMIHLKDDRGSAICWRHPSDWLLQNENADFTNSIEEVFHRLPLPYVNEDFNIDSTIRDFAEAVYKPPPYTSIRRNVYLIKKKRIGVRADTGCTNCRADSICKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTERCGWGAVALEPLEKGDFVIEYVGEVIDDAICEQRLWDIRDRGDKNFYMCEISKDFTIDATFKGNASRFLNHSCEPNCKLEKWLTVRQELVSSPLVPSKLESP >PAN06156 pep chromosome:PHallii_v3.1:1:44351903:44353677:1 gene:PAHAL_1G242200 transcript:PAN06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLANGRHGAPNGGVAAGAEETVVRRRKQMELVREAIHGLLDERRRDCQGEEKGLAARQQLQEEEEGLLSSLLTKLDALEGDPDSGISEPRSLHPNHQPRKGESSDEEVGLADVAKDLNKIKRQNTVTHLLLGAVIVLTAVWQVNEVSFLLWVQRKLSNPFKSLGDMIKSSLTLKGRKPMIESSPLPPVGVPDISRADLPMLVIGSTEDMDR >PVH67001 pep chromosome:PHallii_v3.1:1:56291308:56293648:1 gene:PAHAL_1G406000 transcript:PVH67001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSGRAGAEEQAACTNTSRRRNPVRRQGETQQHHHRPLILLAAHRNLKTQPGRPPQKVRRGEEKENPRNRGESMELCVSTAASARATTAPFAPLRSGACPVTAVQLRRRLQAPGWRCASAAVPDPVPSEEPASASSTVVVTDKPDSPADEKVEEVGAASSGSAEAPVAELVSSEASPSPDDVNLDDILSKLNIEVTPTLILTGAGAFVALWVLSSVVSAIDSVPLLPKVLELVGTGYTIWFTTRYLIYKESRDSLFGKIEDLKQRII >PAN04420 pep chromosome:PHallii_v3.1:1:4488275:4492121:-1 gene:PAHAL_1G065600 transcript:PAN04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVPGAAASSPLPRALLLLAALALVTLSFLSLRSLRPAAGPSLPTAWVTSSLGAADRPRPVLPLHPSSSVYHSPEAFAAGYAEMERSFKVYIYPDGDPETFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGRGTSYENMTVIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDALDWRKFAVVLRERDVYQLKSILKSISQEEFVSLHKSLVQVQKHFVWHSPPVPYDAFHMVMYELWLRHNVIKY >PAN05276 pep chromosome:PHallii_v3.1:1:10376716:10381605:1 gene:PAHAL_1G127900 transcript:PAN05276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYTHGQPATARFPSEMAAPAADEAWCRETVPRVMELVSPRLPQRDVCALLAVSPWCYRALVANPRLWEVFDLREMKNAGDRLITALPLARYRHLKILNLEFAQDIEDRHFVHLKEMSGISLKNLEFLNLNACQKISDKGIEAVTSLCPNLQALCIYWIVGLKDSSIGHITKNCRQIVDLNLSGCKNISDKGMRLIANSYQGLKKLNITRCIKLTDDGLTEVLRKCSSLESLNLYALSSFTDKVYKEIGLLSNLTFLDLCGAQNLSDDGLVWISRCAGLTYLNLTWCVHVTDAGVVAIAQGCRSIELLSLFGIVGVTDACLDVLSKSCSHSLTTLDVNGCIGIKRRSQGDLLKLFPLLSCFKVHS >PAN05275 pep chromosome:PHallii_v3.1:1:10376716:10381605:1 gene:PAHAL_1G127900 transcript:PAN05275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYTHGQPATARFPSEMAAPAADEAWCRETVPRVMELVSPRLPQRDVCALLAVSPWCYRALVANPRLWEVFDLREMKNAGDRLITALPLARYRHLKILNLEFAQDIEDRHFVHLKEMSGISLKNLEFLNLNACQKISDKGIEAVTSLCPNLQALCIYWIVGLKDSSIGHITKNCRQIVDLNLSGCKGLKKLNITRCIKLTDDGLTEVLRKCSSLESLNLYALSSFTDKVYKEIGLLSNLTFLDLCGAQNLSDDGLVWISRCAGLTYLNLTWCVHVTDAGVVAIAQGCRSIELLSLFGIVGVTDACLDVLSKSCSHSLTTLDVNGCIGIKRRSQGDLLKLFPLLSCFKVHS >PAN06385 pep chromosome:PHallii_v3.1:1:46497640:46501045:1 gene:PAHAL_1G260500 transcript:PAN06385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVGSGLADLGASMNRNGKAATAAAEPLPMEMDPPADVMAAAAAAAAAAEGKAAGEEAASNGRREIVMGRNVHTSCFAVKEPDADDEETGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWDLEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKILQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEESGFEDRFYIHCDGALFGLMIPFVKKAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLKHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLDELVEKRATWYEDGISKPPCIARDLGEENCLCGLHK >PAN04519 pep chromosome:PHallii_v3.1:1:4966497:4973707:1 gene:PAHAL_1G072400 transcript:PAN04519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFDQALFLYLNGQDQTSAIIQDQPQTLNIFPSQPMHVVEPAPPKGSMLGTNNTTPNAGAGPSSKRAPPSSNNAVPPAGGSKKDGKPAAVKREAGSSGGAMGSGTPSTSNQQEGPRTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELHRSRNQGAVFGGGVLSGGNGGLSPEAAWFDMEHARWQEEDGKMMRHLRAALEAEEHAAAPPAAEAQLRQMVDAAAAHHGLLAELKAAVARADAFHLVSGAWVSAAERCFLWIGGFRPSELIKVAVRHAEPLTEPQAMGVCGVQQWAREAEAALDHELQAMHRSVSEAVSSDAAALLCPYSDVPGYMATMSLAISKLASLEAFVRQADALRLQALHRLPQILTARQSARCFLAIADYSHRLRALSELWHTRPRHEPPVTTAAAGSSHGPPYQSRDGLL >PAN04514 pep chromosome:PHallii_v3.1:1:4966497:4973707:1 gene:PAHAL_1G072400 transcript:PAN04514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRTWQQPHEQASYPHAGMVQAASSSAHGGIIRKEAGGGYDMAEFDQALFLYLNGQDQTSAIIQDQPQTLNIFPSQPMHVVEPAPPKGSMLGTNNTTPNAGAGPSSKRAPPSSNNAVPPAGGSKKDGKPAAVKREAGSSGGAMGSGTPSTSNQQEGPRTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELHRSRNQGAVFGGGVLSGGNGGLSPEAAWFDMEHARWQEEDGKMMRHLRAALEAEEHAAAPPAAEAQLRQMVDAAAAHHGLLAELKAAVARADAFHLVSGAWVSAAERCFLWIGGFRPSELIKVAVRHAEPLTEPQAMGVCGVQQWAREAEAALDHELQAMHRSVSEAVSSDAAALLCPYSDVPGYMATMSLAISKLASLEAFVRQADALRLQALHRLPQILTARQSARCFLAIADYSHRLRALSELWHTRPRHEPPVTTAAAGSSHGPPYQSRDGLL >PAN04517 pep chromosome:PHallii_v3.1:1:4966497:4973707:1 gene:PAHAL_1G072400 transcript:PAN04517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRTWQQPHEQASYPHAGMVQAASSSAHGGIIKEAGGGYDMAEFDQALFLYLNGQDQTSAIIQDQPQTLNIFPSQPMHVVEPAPPKGSMLGTNNTTPNAGAGPSSKRAPPSSNNAVPPAGGSKKDGKPAAVKREAGSSGGAMGSGTPSTSNQQEGPRTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELHRSRNQGAVFGGGVLSGGNGGLSPEAAWFDMEHARWQEEDGKMMRHLRAALEAEEHAAAPPAAEAQLRQMVDAAAAHHGLLAELKAAVARADAFHLVSGAWVSAAERCFLWIGGFRPSELIKVAVRHAEPLTEPQAMGVCGVQQWAREAEAALDHELQAMHRSVSEAVSSDAAALLCPYSDVPGYMATMSLAISKLASLEAFVRQADALRLQALHRLPQILTARQSARCFLAIADYSHRLRALSELWHTRPRHEPPVTTAAAGSSHGPPYQSRDGLL >PVH65763 pep chromosome:PHallii_v3.1:1:4967953:4973707:1 gene:PAHAL_1G072400 transcript:PVH65763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRCAAMAIKPLNRFKFPSFLFVKLFLSGKSQFQQKGKKGIPASSRRVTCNKQLLMYVFILETLNIFPSQPMHVVEPAPPKGSMLGTNNTTPNAGAGPSSKRAPPSSNNAVPPAGGSKKDGKPAAVKREAGSSGGAMGSGTPSTSNQQEGPRTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELHRSRNQGAVFGGGVLSGGNGGLSPEAAWFDMEHARWQEEDGKMMRHLRAALEAEEHAAAPPAAEAQLRQMVDAAAAHHGLLAELKAAVARADAFHLVSGAWVSAAERCFLWIGGFRPSELIKVAVRHAEPLTEPQAMGVCGVQQWAREAEAALDHELQAMHRSVSEAVSSDAAALLCPYSDVPGYMATMSLAISKLASLEAFVRQADALRLQALHRLPQILTARQSARCFLAIADYSHRLRALSELWHTRPRHEPPVTTAAAGSSHGPPYQSRDGLL >PAN04518 pep chromosome:PHallii_v3.1:1:4966497:4973707:1 gene:PAHAL_1G072400 transcript:PAN04518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFDQALFLYLNGQDQTSAIIQDQPQTLNIFPSQPMHVVEPAPPKGSMLGTNNTTPNAGAGPSSKRAPPSSNNAVPPAGGSKKDGKPAAVKREAGSSGGAMGSGTPSTSNQQEGPRTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELHRSRNQGAVFGGGVLSGGNGGLSPEAAWFDMEHARWQEEDGKMMRHLRAALEAEEHAAAPPAAEAQLRQMVDAAAAHHGLLAELKAAVARADAFHLVSGAWVSAAERCFLWIGGFRPSELIKVAVRHAEPLTEPQAMGVCGVQQWAREAEAALDHELQAMHRSVSEAVSSDAAALLCPYSDVPGYMATMSLAISKLASLEAFVRQADALRLQALHRLPQILTARQSARCFLAIADYSHRLRALSELWHTRPRHEPPVTTAAAGSSHGPPYQSRDGLL >PVH65795 pep chromosome:PHallii_v3.1:1:5368221:5368889:1 gene:PAHAL_1G078400 transcript:PVH65795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDTDAGCSFAAAVSNGDDGEQLVTLQPNFISSVPVACNCRAAMQSLSPSEISTGFI >PAN05237 pep chromosome:PHallii_v3.1:1:9853999:9865587:-1 gene:PAHAL_1G123800 transcript:PAN05237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G32770) UniProtKB/Swiss-Prot;Acc:Q94FY7] MNLAVAAAVPGVAPLPRAARPRCARLPRRGGVLSARAATSSAASASTSSAAAAAPVYAPTPQDRPLRTPHSGYHFDGTARPFFEGWYFKVAIPECRQSFCFMYSIENPLFRNGMSDLDKLVHGPRFTGMGAQILGADDKYICQFSEKSNNFWGSRHELMLGNTFIPNKDSTPPQGEVPPQEFSQRVLEGFQVTPIWHQGFIRDDGRSKYVPNVQTARWEYSTRPVYGWGDVKSKQLSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFSGASGEVSLTAAGGLRKIGIGDTYESPSLIGVHYEGNFFEFVPWTGTVSWDIALWGSWKMSGENKTHLVEIEATTTELGTTLRAPTMEAGLVPACKDTCYGDLKLQLWEKKYDGGKGKLILDATSNMAAVEVGGGPWFNGWKGTTVVNEAVNNIVGTQIDVESLFPIPFLKPPGL >PAN04471 pep chromosome:PHallii_v3.1:1:4768475:4769714:-1 gene:PAHAL_1G069800 transcript:PAN04471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSALSCIFHASSVSGGAQQPARSAAPPARVIAADGSLKEVPISDPPLASASDVLGSADAASASSSFFVCNSDALYFGEPPPALGAAERLRPGQMYFVLPAAMLGRALSSADMAALAARAIAALPPEKPRRRRGRGRVVPVLSREDGKDGEEAGVFHEALNEQTLGGFAAVTSRARSGDRVVAVAATRQTRPSALKRALSMIREEAE >PVH65577 pep chromosome:PHallii_v3.1:1:1938170:1939801:-1 gene:PAHAL_1G027600 transcript:PVH65577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMAAAAPRPRLDSVLSFGDAMPGAGADRPAADDELATLASSDAGSEYDYDDDDAAGFEFAFAPPLAAPGSSAGDVLDLASADDLFAHGRILPAYPVFDRHLLESDGEAAPAPAAPQASSTAPTSPDTYCAWAPRSAPGSPTRDPAPPFPKSASTGEARRFWRLRGLVSGGGGRSHSDGKEKFVFLQPTTPSKTAGNKTTVDGDSRRPAAKPSAPLQKQSKKKSSKAAGAAATEMDMATAHKLFYGKPGGGALAGDRRQQQQQSYLPYRPGIVGFFTAAHALGRSHHPY >PAN09018 pep chromosome:PHallii_v3.1:1:59335809:59338908:1 gene:PAHAL_1G452400 transcript:PAN09018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPARGRGGRGGRFDGGGRGGGGRGFGGGRGGRGDRGGRGGGGGRTPRGGRGGRGGRGGGMKGGSKAVVVPHKHAGIFISKSKEDALCTKNMVPGESVYSEKRVSVQNEDGTKVEYRIWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPEGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFAAEVEKLKLEQFKPSEQVTLEPFERDHACVVGGYRMPKKQKATTS >PVH67025 pep chromosome:PHallii_v3.1:1:56676056:56676547:-1 gene:PAHAL_1G412900 transcript:PVH67025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPIAAYEARAPLHWDEEEWDFRYQSEDDESLTDGEDLALLLGAELEEDEDDASWGEDLSSSEERADSFFSEEDPMAGTFLLSGSSDDSSDSSEGAEGDDNFTSNNSGDDNDSGSDTGDSGPSIAPSPKRRKTSGVYWW >PAN06491 pep chromosome:PHallii_v3.1:1:47052870:47059038:-1 gene:PAHAL_1G267200 transcript:PAN06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANHESEAASAAAPGAGTTVDPMRLASRWRSPAEWEFAAAELDSEPLPSELNTVNSSGLFAVVSTDKLSVKYLGSYHHGHDVGVVQADRPAPTRRAVYYFEMGVKNAGYKGQTSIGFTSGSFKMRRQPGWESNSCGYHGDDGYLYRGQGKGEPFGPKFTSGDTIGAGINYLSQEFFFTKNGSLVGAFPKDIKGPLYPTVAVHSQGEELTVNFGKEPFCFDIEGYILEEKMRQQSMTDKMKLEENISHVIVRSYLLHYGYQDTLNSFDMANATDPPGSRQNGHREPPEMYGLSHRKILRQLIMSGDIDSTFKRLEEWYPQVIKDEKSVICFLLHSQRFIEYIRAEQLEDAVTYARANLASFLTHKAFEGLLKESVALLAYEKPAESCIGYLLDCPQREFVADAVNAAVLSTNPAMKDPESCLYSCLERLLRQLTVCSFERRAFNNDQGDAFLLHKEVRNCERSQRS >PAN06490 pep chromosome:PHallii_v3.1:1:47052893:47059038:-1 gene:PAHAL_1G267200 transcript:PAN06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANHESEAASAAAPGAGTTVDPMRLASRWRSPAEWEFAAAELDSEPLPSELNTVNSSGLFAVVSTDKLSVKYLGSYHHGHDVGVVQADRPAPTRRAVYYFEMGVKNAGYKGQTSIGFTSGSFKMRRQPGWESNSCGYHGDDGYLYRGQGKGEPFGPKFTSGDTIGAGINYLSQEFFFTKNGSLVGAFPKDIKGPLYPTVAVHSQGEELTVNFGKEPFCFDIEGYILEEKMRQQSMTDKMKLEENISHVIVRSYLLHYGYQDTLNSFDMANATDPPGSRQNGHREPPEMYGLSHRKILRQLIMSGDIDSTFKRLEEWYPQVIKDEKSVICFLLHSQRFIEYIRAEQLEDAVTYARANLASFLTHKAFEGLLKESVALLAYEKPAESCIGYLLDCPQREFVADAVNAAVLSTNPAMKDPESCLYSCLERLLRQLTVCSFERRAFNNDQGDAFLLHKEVRNCERSQRS >PAN07563 pep chromosome:PHallii_v3.1:1:52146197:52151516:1 gene:PAHAL_1G345700 transcript:PAN07563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSSILGADGEWGETSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAVKLPANYRYLAALAAAADDEGRGEGDGNGKRFSLAATKKEIYARLCRPTLFDAGRKEFWILKNKGGLCISVSSKAMTITGIDDRRYWSHLATEESRFHSVAYLQQIWWLEVDGELEFCFPAGSYSLFFHLHLGRPYRRMGRRLCATEHVHGWDVTPTRFQLSTSDEQQATSEYYLHLHEQGGWKLYHVGDFVVSNSDEPTKLKFSMMQIDCTHMKGGLCVDSVFIYPKGYKPEKANIVCM >PAN03627 pep chromosome:PHallii_v3.1:1:1055091:1057929:-1 gene:PAHAL_1G011500 transcript:PAN03627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVGDPREGPPTEHAFEGEPVRAWTEQITVRSVVASVLLGTILSSVGMNLVFMTGVVPSLNIPAAMLSLFLLKIWTHILRRFDAFHEPFTRQENTIIQTCVVACATMVSSGGFGSFLLSMSSREAVETKAGQDDINISAPSLGKLIVFYLLISFVGLFAIIPMRKSMIIRHRLTYATGTATAHLINSFHTPQGVQQARRQVSVMLQSFAGSLCWNIFQWFYTGGPNCGLASIPTFGLKAYQRGFYFNFSATYVGVGMICPTVVSISMLAGSILSSGIMLPYIESKKGVWYNAAYKESSMMGIYGYKVLISIAMMLGDGLFQLLLIPFKAMRNLRRKQQQLAAATNAFRSVDAVRRTVLSFDDRRRTHIFLKDSIPFSYAIIGYTVLAIISTIAVPHIYCQIKYQHIIVAYIFAPLLAFCNAYGTGITDLNLYNQYAKIVIMIFGFWITAAKGGVVGGLVICVIMTLIIGMAGDFMQDLKTGYLTLTSPRSMLLAQAIGTAIGCIVNPTIFWVFYHCYLHDPIGSYPAPFARVYHIIALVGAGGFVELPKYSIALSIPIFFLAIATGAIREVAMHKNWRIQHYIPSIAAMSVAFLIHPTTSIDMCFGSLILLAWNKVDPEDANLLASVVASGLICGEGMFAIPDSLMGIYNVTPPMCIRFLASDVNEKVDAFLAKQAS >PAN04665 pep chromosome:PHallii_v3.1:1:5724802:5725267:-1 gene:PAHAL_1G082300 transcript:PAN04665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKRWGVASHMLKPEETQCSERTNGEPGRLWLDQLGIPASDPLDQRVSTVWWRR >PAN04808 pep chromosome:PHallii_v3.1:1:6625456:6628905:-1 gene:PAHAL_1G093100 transcript:PAN04808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFFFVLPDLRSSLLPRRLSRACRQRLMTCLPAPPLSTDERVSFLVNCYALGMSRQPPISGSLESFGFDGAGSVIYTHLVVGKEVHMAPIHILSCCSTPYGASPNLSIFHCCQLLWKRETSYMEDLWT >PAN04807 pep chromosome:PHallii_v3.1:1:6625456:6628905:-1 gene:PAHAL_1G093100 transcript:PAN04807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFFFVLPDLRSSLLPRRLSRACRQRLMTCLPAPPLSTDERVSFLVNCYALGMSRQPPISGSLESFGFDGAGSVIYTHLVVGKEESMSTNATIWLTKYYY >PVH65873 pep chromosome:PHallii_v3.1:1:6628113:6628595:-1 gene:PAHAL_1G093100 transcript:PVH65873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFFFVLPDLRSSLLPRRLSRACRQRLMTCLPAPPLSTDERVSFLVNCYALGMSRQPPISGSLESFGFDGAGSVIYTHLVVGKEGSNKNPSYKFSSCPLFSLSWSEFTSTKSVASFFHYHTFGQNVTRACTQCSCDRFWKKKASTRNMFQLLYPVLEKI >PAN04806 pep chromosome:PHallii_v3.1:1:6627611:6628595:-1 gene:PAHAL_1G093100 transcript:PAN04806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFFFVLPDLRSSLLPRRLSRACRQRLMTCLPAPPLSTDERVSFLVNCYALGMSRQPPISGSLESFGFDGAGSVIYTHLVVGKEESMSTNATIWLTKYYY >PAN07640 pep chromosome:PHallii_v3.1:1:52557984:52560174:-1 gene:PAHAL_1G351500 transcript:PAN07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLVEAPPSGSGAPADSLNSDMILILAGLLCALVCVLGLGLVARCACSRRWVAAVRAPPPANKGVKKEVLRSLPTVTYVPDGSKAAGEGADECAICLAEFEDGQAMRVLPQCGHAFHAACVDTWLRGHSSCPSCRRVLAAELPAGERCRRCGARPGLGISALWKAPCSAEGTTFLA >PVH66647 pep chromosome:PHallii_v3.1:1:49526376:49530530:1 gene:PAHAL_1G306400 transcript:PVH66647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEEALRSCMERLVIAREEREQIIVEAANEISSEKKKVRELQQKLEDASKKAAKLAAENNSLRKAVDAKDALIGELRESEAAAGGKLADATARLESAQKQAGSLQYEVRMLQKELEVRGQEREYDLKSVDAARRQQAEHLKRIAQLEAECLRLRGMVRKRLPGPAAIAKMRDEVEQQAPTASPRRPRPATPSSPRSGAPFSPRTPSPSPRRSVSDAEGYAFKLRSVEDENRALKQELAKRESELQFVQMKYADEACKLTVVQRQLKELTEENKQLSDANCQSESWASALISELEQFRAASQNGVSIMASSEMNLLHDFAEIEKMEMASGDLNGNAAPASLKKADTTPVKPEKNGSDPAVNGTIPNGHPETERVHDIWNLVVHKHEASGESIETILQEIQNAVSNNREDSEVPYDRSEIEKTVRDLIEKITSMVGTSAGDNVARSGPLSHDKSELCSRLEHLVQVCHDLLHGEANLEKFIDEVCLILKYIVGQYLSNQDLAGTVDSDEKNFDEGKSTGTVTTESNQDIQSAKSSAALDIQKEAQEGTNQSAEDHIMASDQEKLDEELAIVVLAQDDNIQPGRKSACCDEIESPAAEASVQHWVAQEESHLATDSEILAAADKLAECQETITILSKQLQALKIPATSSGPLPLDGSICNPRPSSARSDYKPQSLASILAEEFVAYAEGSRSPATPTRSTALERNNAGADDEESTQIVVHPVFAAEPRQEDDASADARRKKKRGQSLLGRIMFRKRVDGGS >PAN07039 pep chromosome:PHallii_v3.1:1:49526360:49530530:1 gene:PAHAL_1G306400 transcript:PAN07039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEEALRSCMERLVIAREEREQIIVEAANEISSEKKKVRELQQKLEDASKKAAKLAAENNSLRKAVDAKDALIGELRESEAAAGGKLADATARLESAQKQAGSLQYEVRMLQKELEVRGQEREYDLKSVDAARRQQAEHLKRIAQLEAECLRLRGMVRKRLPGPAAIAKMRDEVEQQAPTASPRRPRPATPSSPRSGAPFSPRTPSPSPRRSVSDAEGYAFKLRSVEDENRALKQELAKRESELQFVQMKYADEACKLTVVQRQLKELTEENKQLSDANCQSESWASALISELEQFRAASQNGVSIMASSEMNLLHDFAEIEKMEMASGDLNGNAAPASLKKADTTPVKPEKNGSDPAVNGTIPNGHPETERVHDIWNLVVHKHEASGESIETILQEIQNAVSNNREDSEVPYDRSEIEKTVRDLIEKITSMVGTSAGDNVARSGPLSHDKSELCSRLEHLVQVCHDLLHGEANLEKFIDEVCLILKYIVGQYLSNQDLAGTVDSDEKNFDEGKSTGTVTTESNQDIQSAKSSAALDIQKEAQEGTNQSAEDHIMASDQEKLDEELAIVVLAQDDNIQPGRKSACCDEIESPAAEASVQHWVAQEESHLATDSEILAAADKLAECQETITILSKQLQALKIPATSSGPLPLDGSICNPRPSSARSDYKPQSLASILAEEFVAYAEGSRSPATPTRSTALERNNAGADDEESTQIVVHPVFAAEPRQEDDASADARRKKKRGQSLLGRIMFRKRVDGGS >PAN07040 pep chromosome:PHallii_v3.1:1:49527366:49530530:1 gene:PAHAL_1G306400 transcript:PAN07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEEALRSCMERLVIAREEREQIIVEAANEISSEKKKVRELQQKLEDASKKAAKLAAENNSLRKAVDAKDALIGELRESEAAAGGKLADATARLESAQKQAGSLQYEVRMLQKELEVRGQEREYDLKSVDAARRQQAEHLKRIAQLEAECLRLRGMVRKRLPGPAAIAKMRDEVEQQAPTASPRRPRPATPSSPRSGAPFSPRTPSPSPRRSVSDAEGYAFKLRSVEDENRALKQELAKRESELQFVQMKYADEACKLTVVQRQLKELTEENKQLSDANCQSESWASALISELEQFRAASQNGVSIMASSEMNLLHDFAEIEKMEMASGDLNGNAAPASLKKADTTPVKPEKNGSDPAVNGTIPNGHPETERVHDIWNLVVHKHEASGESIETILQEIQNAVSNNREDSEVPYDRSEIEKTVRDLIEKITSMVGTSAGDNVARSGPLSHDKSELCSRLEHLVQVCHDLLHGEANLEKFIDEVCLILKYIVGQYLSNQDLAGTVDSDEKNFDEGKSTGTVTTESNQDIQSAKSSAALDIQKEAQEGTNQSAEDHIMASDQEKLDEELAIVVLAQDDNIQPGRKSACCDEIESPAAEASVQHWVAQEESHLATDSEILAAADKLAECQETITILSKQLQALKIPATSSGPLPLDGSICNPRPSSARSDYKPQSLASILAEEFVAYAEGSRSPATPTRSTALERNNAGADDEESTQIVVHPVFAAEPRQEDDASADARRKKKRGQSLLGRIMFRKRVDGGS >PVH66192 pep chromosome:PHallii_v3.1:1:24116437:24116937:-1 gene:PAHAL_1G174200 transcript:PVH66192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSASSIISFESESSREPTLEYNPIAAYEILAPLHWDVGEWDFQSWSEDDESLTDGKDLLLLFDDELEGDDKDDASWEEELFSSEEKADSSSTEEDSATRNFLLGGSSEDVDEDDEETEDDGSFTNSSSGDDDSDENSSSDGSDTSTAPPAKRRKTSGVYWW >PAN05203 pep chromosome:PHallii_v3.1:1:9622199:9625640:1 gene:PAHAL_1G121700 transcript:PAN05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRVSLSRFCPSPEPLLRRSARRQGSLLVLPSGMGKNSEPLQEQTVFSVRTIDVASHSPSSSIHATTSTLATRSHLVGVGGRAIIVTTSNAVHQRRPRSFPAVCEVASDEAKASHISLSPADDRVLSFGSSNADYRMLLLPQQQTLQHQPPCMLILAKLHVG >PAN08564 pep chromosome:PHallii_v3.1:1:57321654:57330839:1 gene:PAHAL_1G421000 transcript:PAN08564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGVVSRELLPACERLCFICPSLRTRSRHPVKRYKKLLAEIFPRIPDEAPADRKIGKLCEYISRNPMRVPRITVYLEQKCYKEMRAERYGLVKVVMAIYRKVICSCQEQLPLFANSFLTIVETLLEQNRHDDLRKIACQTLFDFVNNQVDSTYMFNLENQIPKLCHLAQEMGEKEKIRILHAAGLQALSSMIWFMGEHSHISAELDNVVSAVMENYESPYANSDNDDAPVEDRRIRWVTEVLKSEGHEPPATTILTRVPSWKDIRTVHGGLSLTIEESENPNFWSGICLHNLARISREATTVRRVLEAIFRYFDNNNLWSSSKGLALCVLLDMQIVMDKFGQNAHILLSMLVKHLEHKNVLKQPDMVVDIIKVTACLAEHSKAQSSTALMAAISDVVRHMAKSMQSLASDAGPGDNMVKWSNGHGKAIDECLVQLSRKVGDAGPILDILAVVLENISSSTIVARSTISAAYRTAQIVASLPNLTHQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSDTSQTSKIDLRRTLSRTTSVFSSSAALFGKLKRDMLSFRESPLLDNTKLTPISENADEISTNDAKLFKSQTIQRMASTKDISSPSSTDTSTSSAPTKKKDAVTLMLSVRQANLLLSSLWTQALSPENVPRNYEAISHTYSLMLLFSGDKGSSIEVLVGSFQLAFSLRSISLQAGFLPPSRRRSLFTSATSMLVFFSKAFNIPSLIPVVKHVLTKSTVDPFLRLVEDSRLQALDSTTEPCYGSKQDDDLALKSLSNIDMNEEQSKETSVSNILNSLEELSESELSTIRKQLLEEFSADDICSLGETHSKSQSQNGKLPQKSMEVIPLGFVFEDDTLVEPSDSLAEPQLRHQPDSSLLDVNQLLDSVSETSRHVERLSASTNHDLPFKEVANQCEALLIGKQQKLSVCMSVSQKEDGESSTEKLESSPEDPQADRFLCTADGQWDSNLCKLPVLSPYDQFLAPSGC >PVH67067 pep chromosome:PHallii_v3.1:1:57321655:57330839:1 gene:PAHAL_1G421000 transcript:PVH67067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGVVSRELLPACERLCFICPSLRTRSRHPVKRYKKLLAEIFPRIPDEAPADRKIGKLCEYISRNPMRVPRITVYLEQKCYKEMRAERYGLVKVVMAIYRKVICSCQEQLPLFANSFLTIVETLLEQNRHDDLRKIACQTLFDFVNNQVDSTYMFNLENQIPKLCHLAQEMGEKEKIRILHAAGLQALSSMIWFMGEHSHISAELDNVVSAVMENYESPYANSDNDDAPVEDRRIRWVTEVLKSEGHEPPATTILTRVPSWKDIRTVHGGLSLTIEESENPNFWSGICLHNLARISREATTVRRVLEAIFRYFDNNNLWSSSKGLALCVLLDMQIVMDKFGQNAHILLSMLVKHLEHKNVLKQPDMVVDIIKVTACLAEHSKAQSSTALMAAISDVVRHMAKSMQSLASDAGPGDNMVKWSNGHGKAIDECLVQLSRKVGDAGPILDILAVVLENISSSTIVARSTISAAYRTAQIVASLPNLTHQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSDTSQTSKIDLRRTLSRTTSVFSSSAALFGKLKRDMLSFRESPLLDNTKLTPISENADEISTNDAKLFKSQTIQRMASTKDISSPSSTDTSTSSAPTKKKDAVTLMLSVRQANLLLSSLWTQALSPENVPRNYEAISHTYSLMLLFSGDKGSSIEVLVGSFQLAFSLRSISLQAGFLPPSRRRSLFTSATSMLVFFSKAFNIPSLIPVVKHVLTKSTVDPFLRLVEDSRLQALDSTTEPCYGSKQDDDLALKSLSNIDMNEEQSKETSVSNILNSLEELSESELSTIRKQLLEEFSADDICSLGETHSKSQSQNGKLPQKSMEVIPLGFVFEDDTLVEPSDSLAEPQLRHQPDSSLLDVNQLLDSVSETSRHVERLSASTNHDLPFKEVANQCEALLIGKQQKLSVCMSVSQKEDGESSTEKLESSPEDPQADRFLCTADGQWDSNLCKLPVLSPYDQFLAPSGC >PVH67064 pep chromosome:PHallii_v3.1:1:57265238:57267549:1 gene:PAHAL_1G420400 transcript:PVH67064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVGVVCVLLASATAAAAETEAQSSYIVHVASEQAPRPSHPRLLARAYTSFLRDSLPASVARPAPRLLYSYAHAATGFAARLTERQAAHLASQPSVLAVAADGIRQLHTTLTPSFLRLSASSGLLPASNGATNVVIGVIDTGIYPMDRAAFADDPSMPPPPTSFRGSCVSTPSFNASAYCNRKLVGAKFFHEGYEAAYGKRLDETEDPRSPFDSNGHGTHTASTAAGSEVAGAAFYNYAKAAYEVCWTNGCADSDILKAIDAAIDDGVDVISLSLGAVGEAPNFYEDQMAIGAFSAVRRGIVVSASAGNEGPGNGKTFTGASLYTGRPLGEAKIPLVYGGDVGSGFCEAGKLNTSLVTGKIVVCDPGVNGRTAKGEAVKLAGGAGAILVSTEKFGEQAMANAHILAATAVKFADAEKIKQYIRTNASPVATIVFQSTVVGRKPSSPRMASFSSRGPNLRALEILKPDITAPGVDILAAWTATLDSDTRRVKYNIISGTSMSCPHVSGIAALLRQAHPDWSPAAIKSALMTTAYNVDNAGDTIRDMSTGQASTPFVRGAGHVDPNRAVDPGLVYDAGADDYFSFLCALGYTAEQIAKFTTTDDRPVNCSARRTTSAGDLNYPAFSVVLNSTKSEVTQRRTVRNVGSNVRARYRVTLTSPAGVRVTVKPRILRFSRLRRTQEYAVTFTPLRGANVTEKYTFGSIVWRDNKHTVTSPIAITWPASQVAAM >PAN07091 pep chromosome:PHallii_v3.1:1:49898571:49899810:1 gene:PAHAL_1G310300 transcript:PAN07091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSNPDSMDTDPPGGLSIAVERNPPESRLLQLGVRSWPKWGCPTGKFPVKFDARQTCYLLKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVVAAVDKYYKFDSS >PAN03697 pep chromosome:PHallii_v3.1:1:1278681:1282462:-1 gene:PAHAL_1G015900 transcript:PAN03697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G64050) UniProtKB/Swiss-Prot;Acc:Q9FEA2] MVEEMTAPLCIWLGPDVGGEFGPYRQSERNSLYKEYAEKLLDSGAVYRCFCSNEELEQMKEVAKQRQLPPVYMGKWATASDVEVEQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMQISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRSFPTDELIKAFEDRWKDTGVLQESESGFAKEAAELLKDGIDLITEADAALTNLLSYPLHATLSSDEAKPVVQDKISEVALGLISAYDSGELTQALAEGRDGWQKWVKSFGKSLKRKGKGLFMPLRVLLTGKLHGPDMGASITLIHKAGICGAVTPQSNFVTVDERFRMLKEVDWESLVKEQESPSESAVPAAS >PAN03699 pep chromosome:PHallii_v3.1:1:1279049:1283109:-1 gene:PAHAL_1G015900 transcript:PAN03699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G64050) UniProtKB/Swiss-Prot;Acc:Q9FEA2] MAATALLAGSPWLRLRLRPDGPARRLRRALSSRARASASDPAGPVRVRFAPSPTGNLHVGGARTALFNYLFARSNGGRFVLRVEDTDLERSTRKSEEAVLADLAWLGLQWDEGPDVGGEFGPYRQSERNSLYKEYAEKLLDSGAVYRCFCSNEELEQMKEVAKQRQLPPVYMGKWATASDVEVEQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMQISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRSFPTDELIKAFEDRWKDTGVLQESESGFAKEAAELLKDGIDLITEADAALTNLLSYPLHATLSSDEAKPVVQDKISEVALGLISAYDSGELTQALAEGRDGWQKWVKSFGKSLKRKGKGLFMPLRVLLTGKLHGPDMGASITLIHKAGICGAVTPQSNFVTVDERFRMLKEVDWESLVKEQESPSESAVPAAS >PVH65526 pep chromosome:PHallii_v3.1:1:1279049:1281947:-1 gene:PAHAL_1G015900 transcript:PVH65526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G64050) UniProtKB/Swiss-Prot;Acc:Q9FEA2] MTLFVASFIKVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMQISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRSFPTDELIKAFEDRWKDTGVLQESESGFAKEAAELLKDGIDLITEADAALTNLLSYPLHATLSSDEAKPVVQDKISEVALGLISAYDSGELTQALAEGRDGWQKWVKSFGKSLKRKGKGLFMPLRVLLTGKLHGPDMGASITLIHKAGICGAVTPQSNFVTVDERFRMLKEVDWESLVKEQESPSESAVPAAS >PAN03698 pep chromosome:PHallii_v3.1:1:1278681:1283128:-1 gene:PAHAL_1G015900 transcript:PAN03698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G64050) UniProtKB/Swiss-Prot;Acc:Q9FEA2] MTLFVASWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMQISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRSFPTDELIKAFEDRWKDTGVLQESESGFAKEAAELLKDGIDLITEADAALTNLLSYPLHATLSSDEAKPVVQDKISEVALGLISAYDSGELTQALAEGRDGWQKWVKSFGKSLKRKGKGLFMPLRVLLTGKLHGPDMGASITLIHKAGICGAVTPQSNFVTVDERFRMLKEVDWESLVKEQESPSESAVPAAS >PAN04220 pep chromosome:PHallii_v3.1:1:3410544:3414319:1 gene:PAHAL_1G049600 transcript:PAN04220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLSCFRGDSAPSGDLRDPLVRESRLGDAFLNDDKKIEASGRLDVEAANGGVVDEELLREANYLKSCGTISQTPPEILEVPSSASSEETNEINDTSTDVQVMRETKLLEGNLTEVSKSDEQPDTLIDEQNIDEGILRVESESRSSSQDNPSFENIIDHKTDSSDSPYPTPFVLRGDIQTPATVYTACMGNSKPGKRARASRQFIYPVLRPIENKLQWMELKAESPVVASNPPKRRNLSADFSEKPQQTFASSTATQTKSSKSESFPFHDNCAEQDEVISPEETKGQNVNQQLFEGGEPLNQNSEHGKHGVSSLSYWLKPSSADDERHSDANTEDNFGKESCYENSVFDAPIFPASGFNWDNDNPTPVLPKTWDGNGIPNTTTKYKEDQKVSWHATPFEERLLKVLSDEKPHHERKISGKLIHLEENAE >PAN07965 pep chromosome:PHallii_v3.1:1:54196860:54200909:-1 gene:PAHAL_1G376500 transcript:PAN07965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVPNGKHKGSVNRPGVVVLDSSDSGSDSEGFVEELTPVHSKSNGKASSGSLKTGGKGSSFSKGEASHGKAYSGGKGGKGSSSNVVPTKSDAELKLELDIPPNSKMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNHFRTASSVKQALEPLKKCGVNDGEICMIANIGPETVEEVYALVPSLKANRSVNEGPITEVLAALANIKAVK >PAN07963 pep chromosome:PHallii_v3.1:1:54197367:54200259:-1 gene:PAHAL_1G376500 transcript:PAN07963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVPNGKHKGSVNRPGVVVLDSSDSGSDSEGFVEELTPVHSKSNGKASSGSLKTGGKGSSFSKGEASHGKAYSGGKGGKGSSSNVVPTKSDAELKLELDIPPNSKMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNHFRTASSVKQALEPLKKCGVNDGEICMIANIGPETVEEVYALVPSLKANRSVNEGPITEVLAALANIKAVK >PAN07966 pep chromosome:PHallii_v3.1:1:54196860:54200909:-1 gene:PAHAL_1G376500 transcript:PAN07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVPNGKHKGSVNRPGVVVLDSSDSGSDSEGFVEELTPVHSKSNGKASSGSLKTGGKGSSFSKGEASHGKAYSGGKGGKGSSSNVVPTKSDAELKLELDIPPNSKMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNHFRTASSVKQALEPLKKCGVNDGEICMIANIGPETVEEVYALVPSLKANRSVNEGPITEVLAALANIKAVK >PAN07964 pep chromosome:PHallii_v3.1:1:54197367:54200259:-1 gene:PAHAL_1G376500 transcript:PAN07964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVPNGKHKGSVNRPGVVVLDSSDSGSDSEGFVEELTPVHSKSNGKASSGSLKTGGKGSSFSKGEASHGKAYSGGKGGKGSSSNVVPTKSDAELKLELDIPPNSKMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNHFRTASSVKQALEPLKKCGVNDGEICMIANIGPETVEEVYALVPSLKANRSVNEGPITEVLAALANIKAVK >PVH66103 pep chromosome:PHallii_v3.1:1:16761884:16763420:-1 gene:PAHAL_1G150400 transcript:PVH66103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGREFVGVYVDFLENVGSGNKGDEELPGPPFEMSTLVEVVSFVIAWPRSHVKKATSSIQT >PAN08335 pep chromosome:PHallii_v3.1:1:56252251:56253975:1 gene:PAHAL_1G405200 transcript:PAN08335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPRHGRALLIALLASALNAASAQPSPPGVYDDYMSGQVHVSTAMIALLAAVIAVFVFIAFFTIYLRHCSGYALRHDDDRAAAPNFDALVSRSRRQRRPRGLDAEVVEAFPTMKYAEARALRVGKGGALECAVCLSEFEDEERLRLLPKCSHAFHPECIGEWLASHVTCPVCRCNLDPNKDTSSDEEASFPSIPVASSISSESVVARMGDGPLPVAVVIDVVPEEEEERRQEALELQQIGTQRRAMRSRSGRRPAPAQLARSHSTGHSLAVRLDRDLERFTLRLPEHVRREMVAAGEHSSQLRRGRRAGEGSSRGGRSAPLGRPGRWQSLIARTFSGKLSFFSVSRMSVSSDRGEVSSSSSTRLRGKRVAAVDAADVPPKASVCLDRIGGSASGAKAGAASREVAAAADEEKAVTQQLPT >PAN03701 pep chromosome:PHallii_v3.1:1:1290115:1292410:-1 gene:PAHAL_1G016100 transcript:PAN03701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARQRGTVKWFNDTKGFGFISPEDGSEDLFVHQSSIKSEGFRSLAEGEEVEFSVSEGDDGRTKAVDVTGPDGSFVKGGSGGGGGGGGFGSRGGAGSGGGGRSYGGSWGGGRRSGAGGGAGACYKCGEPGHMARDCPSADGGGGYGGGGGYGGGGGGGCFKCGEPGHMARDCSSGGGGYGGGGYGGGGGGGACYNCGQTGHMARDCATGGGGGGGRFGGGGGGDRSCYNCGEAGHIARDCHA >PVH66324 pep chromosome:PHallii_v3.1:1:33673190:33679249:-1 gene:PAHAL_1G207700 transcript:PVH66324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTKNKKQIKKRNGWKEISKELTGGEVERETPTPSRAGEAAVEQERDKSRVVSPRRRSEGGERGGLERETKVVDVSHPLCIPRQLLLPTSFPHPLSSPAVSRPLRTAAALHPPPPARPVPLGGISRMVSLRRRRLLGLCSGKDSLPVDLPKPIENEKPVDVAHSNVKPFSVHPLPPTKTSDVLTKSSNGSDDSKEEKTQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKQELRKYTWEDFLAITRNTITSKKQRKVGLLRRSKADLFMGQSDGDTEMANGGGSSNSDNGEAETSAS >PVH66323 pep chromosome:PHallii_v3.1:1:33673564:33679239:-1 gene:PAHAL_1G207700 transcript:PVH66323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTKNKKQIKKRNGWKEISKELTGGEVERETPTPSRAGEAAVEQERDKSRVVSPRRRSEGGERGGLERETKVVDVSHPLCIPRQLLLPTSFPHPLSSPAVSRPLRTAAALHPPPPARPVPLGGISRMVSLRRRRLLGLCSAFVSGKDSLPVDLPKPIENEKPVDVAHSNVKPFSVHPLPPTKTSDVLTKSSNGSDDSKEEKTQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKQELRKYTWEDFLAITRNTITSKKQRKVGLLRRSKADLFMGQSDGDTEMANGGGSSNSDNGEAETSAS >PAN06645 pep chromosome:PHallii_v3.1:1:47703101:47710867:1 gene:PAHAL_1G277200 transcript:PAN06645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTKQTARKSTGGKAPRKQLASKAARKSAPTTGGVKKPHHYRPATVALREIRKYQKSTELLIRKLPSQRLVREIAQDFKRILISCLRKVSSSCPIPKSGVVPLQ >PAN06626 pep chromosome:PHallii_v3.1:1:47703101:47715854:1 gene:PAHAL_1G277200 transcript:PAN06626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTKQTARKSTGGKAPRKQLASKAARKSAPTTGGVKKPHHYRPATVALREIRKYQKSTELLIRKLPSQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLGAIHAKRVTIMPKDIQLARRIRGERA >PVH66545 pep chromosome:PHallii_v3.1:1:47703101:47715854:1 gene:PAHAL_1G277200 transcript:PVH66545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTKQTARKSTGGKAPRKQLASKAARKSAPTTGGVKKPHHYRPATVALREIRKYQKSTELLIRKLPSQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLGAIHAKRVTIMPKDIQLARRIRGERA >PAN03750 pep chromosome:PHallii_v3.1:1:1434171:1434383:-1 gene:PAHAL_1G019200 transcript:PAN03750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKTSWPEVVGWPASAAVTQINSDRPDVAIEVVPAGTNVAPGYNASRVRVYFDAGDATGPVLYTPVVG >PAN07505 pep chromosome:PHallii_v3.1:1:51852975:51854605:-1 gene:PAHAL_1G341300 transcript:PAN07505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterodimeric geranylgeranyl pyrophosphate synthase small subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38460) UniProtKB/Swiss-Prot;Acc:Q39108] MALSSIFLPLPMPKLLSSSRSHRLLPVRASSAAPAASASFDLRRYWTSLIADVESELDAAMPMRPPESIHAAMRYAVLPGAGKEGTAKRAPPVLCVAACELLGAPRSAALPAAAALEMLHAASLVHDDLPCFDAAPTRRGRPSTHAAFGTDMAVLAGDALFPLAYTHVIAHTPSPDPVPHAVLLRVMAELARAVGSTGMAAGQFLDLAGATALGEAEVMQVLTKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYELVDDIRSASGNGKMRRNASVLRALGMDRALGIVEELKAQAKTEADRFADKYGDRVLPLYSFVDYAVDRGFELQDAAATP >PAN05259 pep chromosome:PHallii_v3.1:1:10093490:10095233:1 gene:PAHAL_1G125700 transcript:PAN05259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHVVVVPYPGSGNINPALQVAKLLHRHGAYVTFVNTEHNHRLLRVTEGAGAVRGREGFCFETIPDGLSKADRGKQDYSTGLAASITATCAAPFRALVARLDGGGEPGVPRVTCVLATMLMSFALDVAREMGVPAVAFWTGSAASLMADMRLRDLRERGYLPLKDESYLTNGYLETTVIDWIPGMPPMSLGSFSSFLRTADPDDFCLHLIEAEANSCAKAGALILNTFDALEADVLAARRAEYPRIYTIGPLGSLLRRGAAAAEDSTDGSSGLSLWKQDAGCLARLDTQEPRSVVYANLGSNTVLSTEQLAEFARGLAASGHDLVRCGGGGGSLAALPPVFAAEAAAGRCRVTAWCPQEEVHLTCEAWGVGVRLDAEVRREQVAERVREVMASEEMRRKAARWKAEAAAAAGPGGSSYENLLAMVRSLSSSSDSSQA >PAN08417 pep chromosome:PHallii_v3.1:1:56550725:56553571:-1 gene:PAHAL_1G411700 transcript:PAN08417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALALAVVLAVASLHAEAVWLDVPQSGTKCVSEEIQSNVVVLADYSIMYESHPYSHPTIAVKVTSPFGNTLHHNENATVGQFAFTTAEAGNYLACFWIDSAEKGSGVSVNLDWKIGFATKDWDAIAKKEKIEGVELELRKLEVAVQAIHQNLIYLKAREAEMRTVSEKTNARVAWFSILSLGVCIAVSILQLWHLQGFFRKKKLI >PVH66053 pep chromosome:PHallii_v3.1:1:11964834:11968098:-1 gene:PAHAL_1G135600 transcript:PVH66053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMLQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEVFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >PAN05753 pep chromosome:PHallii_v3.1:1:11964834:11970354:-1 gene:PAHAL_1G135600 transcript:PAN05753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDSIECVSYSDGMEDDDDAAAVTSAQLPRPFLKSASSAGSAAAAVNVVVVSDRAGAAGPGGAGPGAGPLVISPATGVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEVFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >PAN05752 pep chromosome:PHallii_v3.1:1:11967086:11969921:-1 gene:PAHAL_1G135600 transcript:PAN05752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDSIECVSYSDGMEDDDDAAAVTSAQLPRPFLKSASSAGSAAAAVNVVVVSDRAGAAGPGGAGPGAGPLVISPATGVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEVFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTISCWRIFTYRTISGLQHPLLLKSKTDAQCMKQINQNFLITFHYHGFSLFRAVLLLALRGLPAWNGTGIHGFPPVYG >PVH66310 pep chromosome:PHallii_v3.1:1:32049163:32050014:1 gene:PAHAL_1G202800 transcript:PVH66310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGVVNQRKKSATQMEMKPIRNSLGRNPLSDALHRNPGVVLNGQELGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIHLGSWNVGSLTGKLRELVDVAIRRCVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDNSLKDGVVDVRRQGDRINLVRLVIGDLVLNVISAYAPQVGLSESSKSQFWEDLDSIVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSWNEGGRMF >PAN06323 pep chromosome:PHallii_v3.1:1:45963783:45968057:1 gene:PAHAL_1G255600 transcript:PAN06323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPQRVLLAPCALLLLAVALQLAGASHVIHRSLEAEAAPPSVPASIVSPLHRTGYHFQPPRNWINDPNAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIALEPAIYPSIPSDQYGCWSGSATLLPDGTPAITYTGISRSDINYQVQNIAFPKNKSDPLLREWVKPAELNPIAVPEGGINATQFRDPTTAWYADGHWRMLVGGVRGTRGLAFVYRSRDFRRWARAKHPLHSALTGMWECPDFFPVSGSGQENGLDTSESGAKYVLKNSLDLTRYDYYTVGSYDKRKDRYVPDDPAGDERRLRYDYGNFYASKTFYDPAKRRRVLLGWANESDSVPDDKAKGWAGIHAIPRKIWLDPSGKQLLQWPVEEVEKLRGKPVSVAGKVVRPGEHVEVTGLATYQADVEVSFEVSSLEKAEPFDPAYANDAQKLCGVKGADARGGVGPFGLWVLASADLQEKTAVFFRVFKDGYGKPKVLMCTDPTKSSLSPDLYRPTFAGFVDTDISSGKITLRSLIDRSVVESFGAGGRTCILSRVYPSIAIGKDAHLYVFNNGEADVKVSHLTAWEMKKPLMNGA >PAN04403 pep chromosome:PHallii_v3.1:1:4399993:4406483:-1 gene:PAHAL_1G064300 transcript:PAN04403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAILPELATQVLIPVAAAVGIAFAVLQWVLVSKVRLTPERRADGGAAKTGPGDYLIEEEEGLNDHNVVVKCAEIQSAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVNEIEPALKKQLIISTVVMTIGIALISWLGLPYTFTIFNFGVQKTVHSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >PVH67199 pep chromosome:PHallii_v3.1:1:59091345:59093611:1 gene:PAHAL_1G449000 transcript:PVH67199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKKRSGGGGGGGEGGGGGGGGQQQKPAAEASGTAEEGEEQAVAADNDASDEAAAEDNKNKDHNDKDKRKDQENKDSKDKGKKPPPPLPVVTAVLKVDMHCDGCAKRIRGSVHRYPGKAEAAPFPLPPSLRLSLSEPGDADHRCRLPGVEGVAMEVDKGTMTVVGRFDAKKLRNRVAKKTRKKVDLVGNNNAGNKGGGGNNKGGGGGGSNQQKGASEEDGKPEKEHDGEKEDKGKGKDDHQEEHKGKDNKGGGGGGNGGGGKGKGGGKDNKKPTVPVVGTVVLKIGSTGLHCEGCMNRIRSKLFKIRGVEQVKMDMAKNQVTVTGTMDAKALPEKLRKKLRRPVDVVPAKDGKQQDGGGGKDKDVKEKDGGKDAATKKLTAELEAWKAAFYDQQSLTNVEFMLSDENPNACAVM >PAN08971 pep chromosome:PHallii_v3.1:1:59091345:59093611:1 gene:PAHAL_1G449000 transcript:PAN08971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKKRSGGGGGGGEGGGGGGGGQQQKPAAEASGTAEEGEEQAVAADNDASDEAAAEDNKNKDHNDKDKRKDQENKDSKDKGKKPPPPLPVVTAVLKVDMHCDGCAKRIRGSVHRYPGVEGVAMEVDKGTMTVVGRFDAKKLRNRVAKKTRKKVDLVGNNNAGNKGGGGNNKGGGGGGSNQQKGASEEDGKPEKEHDGEKEDKGKGKDDHQEEHKGKDNKGGGGGGNGGGGKGKGGGKDNKKPTVPVVGTVVLKIGSTGLHCEGCMNRIRSKLFKIRGVEQVKMDMAKNQVTVTGTMDAKALPEKLRKKLRRPVDVVPAKDGKQQDGGGGKDKDVKEKDGGKDAATKKLTAELEAWKAAFYDQQSLTNVEFMLSDENPNACAVM >PAN06538 pep chromosome:PHallii_v3.1:1:47259759:47266256:1 gene:PAHAL_1G270600 transcript:PAN06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEFISLEAPCEGEAKGEEGGDLQVEAPDVNGAAPQASELQPEGEAGTVGTNLKPSDGNIDLEEGQVEDMDLEDDDVVVKDELLDASVQPEVSVAAVQTVIGFEVKLDKGIGAESAPIYGSNSISVEESPARGVKRARVESKEPSVQVIYSGLTRESKRKLMELMQQWSQWQARRQHKVKEAVEEILESGEETYYPALHVGSEKSCAVSFWVDNQARESATMDDDSVPLYDREFTLGSTPLGDSSNTESRADKDDSRCFNCGSYSHALKDCPKPRDNVAISNARKQHNLKRNQSNANRVQNRYYQKTPGKFDDLKAGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDSEVKLEYEEGELPEQGEASPPRKRMTVEFPGINAPIPENGDPWLWGSTPPQSSARHHSSDSRDYRDRGPPGADHYSSRYHSHDYGQLSPSLGRSHSDRGWRSPPRYDNLPAEDGAWTPHSYPSRQYSGHYSSSSEMSSRHYRDRDRDRDRHDSRHYHHRR >PVH66523 pep chromosome:PHallii_v3.1:1:47259759:47266257:1 gene:PAHAL_1G270600 transcript:PVH66523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEFISLEAPCEGEAKGEEGGDLQVEAPDVNGAAPQASELQPEGEAGTVGTNLKPSDGNIDLEEGQVEDMDLEDDDVVVKDELLDASVQPEVSVAAVQTVIGFEVKLDKGIGAESAPIYGSNSISVEESPRGVKRARVESKEPSVQVIYSGLTRESKRKLMELMQQWSQWQARRQHKVKEAVEEILESGEETYYPALHVGSEKSCAVSFWVDNQARESATMDDDSVPLYDREFTLGSTPLGDSSNTERADKDDSRCFNCGSYSHALKDCPKPRDNVAISNARKQHNLKRNQSNANRVQNRYYQKTPGKFDDLKAGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDSEVKLEYEEGELPEQGEASPPRKRMTVEFPGINAPIPENGDPWLWGSTPPQSSARHHSSDSRDYRDRGPPGADHYSSRYHSHDYGQLSPSLGRSHSDRGWRSPPRYDNLPAEDGAWTPHSYPSRQYSGHYSSSSEMSSRHYRDRDRDRDRHDSRHYHHRR >PAN06539 pep chromosome:PHallii_v3.1:1:47259759:47266256:1 gene:PAHAL_1G270600 transcript:PAN06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEFISLEAPCEGEAKGEEGGDLQVEAPDVNGAAPQASELQPEGEAGTVGTNLKPSDGNIDLEEGQVEDMDLEDDDVVVKDELLDASVQPEVSVAAVQTVIGFEVKLDKGIGAESAPIYGSNSISVEESPARGVKRARVESKEPSVQVIYSGLTRESKRKLMELMQQWSQWQARRQHKVKEAVEEILESGEETYYPALHVGSEKSCAVSFWVDNQARESATMDDDSVPLYDREFTLGSTPLGDSSNTERADKDDSRCFNCGSYSHALKDCPKPRDNVAISNARKQHNLKRNQSNANRVQNRYYQKTPGKFDDLKAGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDSEVKLEYEEGELPEQGEASPPRKRMTVEFPGINAPIPENGDPWLWGSTPPQSSARHHSSDSRDYRDRGPPGADHYSSRYHSHDYGQLSPSLGRSHSDRGWRSPPRYDNLPAEDGAWTPHSYPSRQYSGHYSSSSEMSSRHYRDRDRDRDRHDSRHYHHRR >PVH66522 pep chromosome:PHallii_v3.1:1:47259759:47266257:1 gene:PAHAL_1G270600 transcript:PVH66522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEFISLEAPCEGEAKGEEGGDLQVEAPDVNGAAPQASELQPEGEAGTVGTNLKPSDGNIDLEEGQVEDMDLEDDDVVVKDELLDASVQPEVSVAAVQTVIGFEVKLDKGIGAESAPIYGSNSISVEESPRGVKRARVESKEPSVQVIYSGLTRESKRKLMELMQQWSQWQARRQHKVKEAVEEILESGEETYYPALHVGSEKSCAVSFWVDNQARESATMDDDSVPLYDREFTLGSTPLGDSSNTESRADKDDSRCFNCGSYSHALKDCPKPRDNVAISNARKQHNLKRNQSNANRVQNRYYQKTPGKFDDLKAGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDSEVKLEYEEGELPEQGEASPPRKRMTVEFPGINAPIPENGDPWLWGSTPPQSSARHHSSDSRDYRDRGPPGADHYSSRYHSHDYGQLSPSLGRSHSDRGWRSPPRYDNLPAEDGAWTPHSYPSRQYSGHYSSSSEMSSRHYRDRDRDRDRHDSRHYHHRR >PAN04916 pep chromosome:PHallii_v3.1:1:7403592:7409190:-1 gene:PAHAL_1G101300 transcript:PAN04916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPGGAHAAGAADPMQVDQPRPAAAAAAAPVPADAKHAGSMIEGGDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPPLFNFKQE >PAN04917 pep chromosome:PHallii_v3.1:1:7404345:7409190:-1 gene:PAHAL_1G101300 transcript:PAN04917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPGGAHAAGAADPMQVDQPRPAAAAAAAPVPADAKHAGSMIEGGDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPPLFNFKQELANASPELINRLIPDHARRHLGLTFLPAAGP >PVH66830 pep chromosome:PHallii_v3.1:1:53291974:53292835:-1 gene:PAHAL_1G361800 transcript:PVH66830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLVQDSVMPVLHPGKPASTCSSPLHCSLLHLDGKVLIIEAKRWMDSSCISCCTSSQLLPANPCAPMHNF >PVH66831 pep chromosome:PHallii_v3.1:1:53292255:53292969:-1 gene:PAHAL_1G361800 transcript:PVH66831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAGAPRHPTKAMLNSGAAGRPDSVMPVLHPGKPASTCSSPLHCSLLHLDGKVLIIEAKRWMDSSCISCCTSSQLLPANPCAPMHNF >PAN04976 pep chromosome:PHallii_v3.1:1:7875751:7879287:1 gene:PAHAL_1G105800 transcript:PAN04976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASKIVTSLLLNLALFLPCLSAAVSSNPSSFHPSMSKGPIHHDYTRFADVERHCQSVLASAADLKADADRAGDLMHVHQLSFMNGDWSQDAGQAPLLPFHGSYADAAAVPGPELLEAVPLASFMLTHTDMAPRRGARTAFNVSGLLSFTITRNCCCSYTEPRASPEFELRPGVARLHVLLQGVYTETKSSSGSGDGGGGERVLCMVGDAVLPVRGSNITDPWAWAKQNNGGDSNFKPPVVTADNILLVLRYPMTATLTTRAVRGEMTSTSAKSDGAYFDTVRLVSQLAGGYDSGYQFQPEDAALDAVAGCSDDPLFRDGDAMGMEHLNRGGSLCDIVYQSAPSHQVMEVIPNWNCKGTDAFCSQVGPFERGRPVTRAMQDVAFTRSGITVQGLQCKPASSTDGAAGARLAAVFRYVPPWEDQPTAARRTGLSAMTLSAEGVWMASAGRVCMVACLGGDERACHYRVTLSVRKTFSMTRRGSSVGQITAMDGSHPPLLFRHRVNPREQRSPEMRMSYIYTKVEQARELLRFKPTGFRDNIVARSLLSYPSIAGPAEDMVSLSNLADDLNLRFKCAVKPPFVPDWIEEPFFELQILSVGTLVGSYPQFQPQFQGEFSMRIELLGRARVHAVEKQQILNVSAEFTVSRKNFVSPSPVMSLEGVYDPEDGRMYLIGCRNVHAPWRVLSKRRDLEDGMDCSVEMTVEYPPTTTRWLISRAAKVSVASTRADDDPLHFNRTELRTLPVAYRDQRRDELTEPVVEGLLCVTMLSATIAATVGQLRYVKSHADVAPYVSLVTLGVQALGYSLTLVTDARMLPAWPTRRYMPYYAGHLGWIMDRSVKALTLAALLLTARLAQVVSRSRARARARSPLEPRRVPGDGAVLLCSAGVHLGGLVFVLAVHWLSTYGASTADEPPSGVIYGEEQGMPWPARARAAIVERYAGVAKEWFLLPQVVGNALWRVNCKPLAARYYAGVTAVWLLPHVYGYLRPPVVNAYSEAHGDVVGFYSKASAVVVPVVGFVLALVVYVQQRWNYKIVGWAMRTEKNKLQHVY >PAN08945 pep chromosome:PHallii_v3.1:1:58977147:58979007:1 gene:PAHAL_1G446800 transcript:PAN08945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKESNEQGAEIGEAESTIAEVEAVVKPTEE >PAN04611 pep chromosome:PHallii_v3.1:1:5444384:5466029:-1 gene:PAHAL_1G079100 transcript:PAN04611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPRELLAVFEAALLGPAPPSPSQRVELLHAVRDAAPAFRALLSFPGPKASDRTQVESKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVALDQGLDADLMSEIEEQLQPLFNDGLRQRIIALVKELNREEPAGIGRPSSERYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPKEVKDAFSILKDCAAEANQNTSVELQITYGVLFSLVATFISDALSTSHEKGSLSSYDSSFRHEFHELVMRTGNNMTVEGFVGVVRLAWSVHLMLTQDRSNSREISDIWSCLEIICRQNSFEFLLEQVLKTAAYQNDDEDIVYMYTGYTHKLMMCFISHPTSRDKIKEIKKKAMTALSPYGPPRDHREDPARNGEQGGQPTNEPFVSLLELIREIYQKEPELVHGNEELWTFVIYAGEDHTNTQTLVAFLELLSTLASTEVGAAKVYELLQGKIYRSVGWSTLFDCLSIYEEKFKKSIQSSASILPDFPEGDAQALVAYLAVLQKVVENGNPMERRKWFPDIEPLFKLLSYENVPPYLKGALRNSIAAFIKVSPLLKDAIWNYLEQYDLPVVTAPVGHHTATQIYDMRFELNEVEARRESYPSTISFLKLINALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPQEKWELALACLEHFRMVLSMYDINDDDIYASVNTSAPSSIERQLPLLELLKDFMSGKVAFRNIMNIILVGVDSIINERTTQTYGILLEKTVHLSLEIFILVMEKDLALADVFRPLYQPLDVILAKNHRQIIALLEFIRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLEADVGKTVIEDYAACLEFRFDDFQVIEDTKDDIGVLILQLLVDNICRPAPNITHLLLRFDVNGSIERTALKPKSHYSCLKVILDNLEKVTKPDINALLHEFSFQLLYELCLDPLTCGPVMDLLSTKKYQFFSKHVGTIGVTPLPKRNTNQSLRISMLHERAWLLKMLALALHLSDISSPAYREACVAILYHTFGQCADNFQSTSLVHSRDASTGIGNEPANRNKVLDLLEVLQFRCPDTSMKYPQLLSNLGVESKIEEILRNSATCEFGGVYYYSERGDRLIDLDAFHGKLLQISQELNSQLSEPEKSELKESVHHLLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMSLLDDRSQLLFELLDASLSATTSPDCSVKMAYILTNVSLTCMAKLRDERFICPAGADSDAVTCLDIISSKQLPNAACNSLLFKLVTAILRNESSETLRRRQYALLLSYFQYCRSILDSDVPPSVLRFLLLEEQEADDDDFTLQKVLKEHNELVQANFSIIRKEAQAIVDLVTKDAVHGSEAGKAISFYVLDALISIDHEKYFLNQLQSRGILRSCLSDVTNYLSKDSSFTSESSQRFCTVDAQFSLLLRISHQYGNHGSQILLSMGVLQNLSSCNLMGVQKKGNSRAISNIIKERAGEIDKKKSLIAPVLRIVTSFTSLVDSTDFLEVKNKIVREIVDFSKQHQSVFNSILRENVSGANLFTLERLSLVVSILSKVWAYEENEECSYIQDLFALMHSLFSLDFGSLNFMQSPNMIENQKSEFIAFGLCFSLISYLYVLATKKNMRFQVSYDHNNDQQQPTLQMVSDLLNSVTRALERVAEEKCMLLNKVRDLNELSRKEVDEIIKLCMKQDCISPNDNIRKRRYIAMIDLSCMAGNRDQLITLLLQIAECAITILLVHFQDEACAKDLSPFSDELLPVLERLEHFKEDKVGRSLKLFHRSVTTLKEMTIRSMTI >PAN04610 pep chromosome:PHallii_v3.1:1:5444472:5466029:-1 gene:PAHAL_1G079100 transcript:PAN04610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPRELLAVFEAALLGPAPPSPSQRVELLHAVRDAAPAFRALLSFPGPKASDRTQVESKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVALDQGLDADLMSEIEEQLQPLFNDGLRQRIIALVKELNREEPAGIGRPSSERYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPKEVKDAFSILKDCAAEANQNTSVELQITYGVLFSLVATFISDALSTSHEKGSLSSYDSSFRHEFHELVMRTGNNMTVEGFVGVVRLAWSVHLMLTQDRSNSREISDIWSCLEIICRQNSFEFLLEQVLKTAAYQNDDEDIVYMYTGYTHKLMMCFISHPTSRDKIKEIKKKAMTALSPYGPPRDHREDPARNGEQGGQPTNEPFVSLLELIREIYQKEPELVHGNEELWTFVIYAGEDHTNTQTLVAFLELLSTLASTEVGAAKVYELLQGKIYRSVGWSTLFDCLSIYEEKFKKSIQSSASILPDFPEGDAQALVAYLAVLQKVVENGNPMERRKWFPDIEPLFKLLSYENVPPYLKGALRNSIAAFIKVSPLLKDAIWNYLEQYDLPVVTAPVGHHTATQIYDMRFELNEVEARRESYPSTISFLKLINALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPQEKWELALACLEHFRMVLSMYDINDDDIYASVNTSAPSSIERQLPLLELLKDFMSGKVAFRNIMNIILVGVDSIINERTTQTYGILLEKTVHLSLEIFILVMEKDLALADVFRPLYQPLDVILAKNHRQIIALLEFIRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLEADVGKTVIEDYAACLEFRFDDFQVIEDTKDDIGVLILQLLVDNICRPAPNITHLLLRFDVNGSIERTALKPKSHYSCLKVILDNLEKVTKPDINALLHEFSFQLLYELCLDPLTCGPVMDLLSTKKYQFFSKHVGTIGVTPLPKRNTNQSLRISMLHERAWLLKMLALALHLSDISSPAYREACVAILYHTFGQCADNFQSTSLVHSRDASTGIGNEPANRNKVLDLLEVLQFRCPDTSMKYPQLLSNLGVESKIEEILRNSATCEFGGVYYYSERGDRLIDLDAFHGKLLQVISQELNSQLSEPEKSELKESVHHLLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMSLLDDRSQLLFELLDASLSATTSPDCSVKMAYILTNVSLTCMAKLRDERFICPAGADSDAVTCLDIISSKQLPNAACNSLLFKLVTAILRNESSETLRRRQYALLLSYFQYCRSILDSDVPPSVLRFLLLEEQEADDDDFTLQKVLKEHNELVQANFSIIRKEAQAIVDLVTKDAVHGSEAGKAISFYVLDALISIDHEKYFLNQLQSRGILRSCLSDVTNYLSKDSSFTSESSQRFCTVDAQFSLLLRISHQYGNHGSQILLSMGVLQNLSSCNLMGVQKKGNSRAISNIIKERAGEIDKKKSLIAPVLRIVTSFTSLVDSTDFLEVKNKIVREIVDFSKQHQSVFNSILRENVSGANLFTLERLSLVVSILSKVWAYEENEECSYIQDLFALMHSLFSLDFGSLNFMQSPNMIENQKSEFIAFGLCFSLISYLYVLATKKNMRFQVSYDHNNDQQQPTLQMVSDLLNSVTRALERVAEEKCMLLNKVRDLNELSRKEVDEIIKLCMKQDCISPNDNIRKRRYIAMIDLSCMAGNRDQLITLLLQIAECAITILLVHFQDEACAKDLSPFSDELLPVLERLEHFKEDKVGRSLKLFHRSVTTLKEMTIRSMTI >PAN06355 pep chromosome:PHallii_v3.1:1:46199168:46200883:1 gene:PAHAL_1G258300 transcript:PAN06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLPSQCIIDRGTPGAITYLGAVTALLNQPLFPSAMAAVSEVVIVPPPMAICPARASLVPELRSGEVIPARRGHLHPRSFAPVISRRRAARRPPRRECTNDRCSAS >PAN04983 pep chromosome:PHallii_v3.1:1:7933597:7934232:1 gene:PAHAL_1G106600 transcript:PAN04983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMYPRHQLQLHASSAADGDENVDDGVYYNPFYAIAVVCVSIFLFCVLAAYVSVWKALASAALAALLLGAAGCFAPKGWFRPSGRGASAEVVVVTVTSAAARPGYPCAQVDAPPAFAFRCPLEAGGGAGEAASSVVCSVCLEDVRGGEMVRQVPACRHVFHVGCIDMWLHSHRTCPMCRCVVSPPSQAATPKAAAEEPPESSAEDELPPV >PAN07533 pep chromosome:PHallii_v3.1:1:51965736:51968522:-1 gene:PAHAL_1G343400 transcript:PAN07533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHAAGAVHLQYFMPRHQPQEAATDLQAQGSSSAVCRRSSSSSTSPAATMWEYHQAAHAAALQTASPSASSFPSWSSSYAGTTAALLGSGSAFATDASSPPDLRLPASTEHGHVHAWSQHGEQSNSTCYRENFLDLLASKNVTQEMFEDVPAGHYTAQALSGRLGAGSDVAPIKYETTGGSPLLFGGTTGMHQGMNMAACTPCYPYADHHHQMKEGGNQQQELAAPAMASFLQQLSSNASAGMHAGLDYSGIGLDKICQEGRAMEASSFGMRSLPDLSSFSGYRSNAESTSSVQPYLRSSNLPDSSKQEQDIVSARSSSSGSGAASDRKKRPSEERTSTVKKSKQEGSKPSPPKQQVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNSGRNKGNLPWAVEHAETSKGDQAQAEHDLRDRGLCLVPVSWTPEVYRDGTAMDYWTPAYRGCLYR >PVH66772 pep chromosome:PHallii_v3.1:1:51966802:51968485:-1 gene:PAHAL_1G343400 transcript:PVH66772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHAAGAVHLQYFMPRHQPQEAATDLQAQGSSSAVCRRSSSSSTSPAATMWEYHQAAHAAALQTASPSASSFPSWSSSYAGTTAALLGSGSAFATDASSPPDLRLPASTEHGHVHAWSQHGEQSNSTCYRENFLDLLASKNVTQEMFEDVPAGHYTAQALSGRLGAGSDVAPIKYETTGGSPLLFGGTTGMHQGMNMAACTPCYPYADHHHQMKEGGNQQQELAAPAMASFLQQLSSNASAGMHAGLDYSGIGLDKICQEGRAMEASSFGMRSLPDLSSFSGYRSNAESTSSVQPYLRSSNLPDSSKQEQDIVSARSSSSGSGAASDRKKRPSEERTSTVKKSKQEGSKPSPPKQQVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQVNKSIPQTPRIALHILT >PAN07532 pep chromosome:PHallii_v3.1:1:51965741:51968522:-1 gene:PAHAL_1G343400 transcript:PAN07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHAAGAVHLQYFMPRHQPQEAATDLQAQGSSSAVCRRSSSSSTSPAATMWEYHQAAHAAALQTASPSASSFPSWSSSYAGTTAALLGSGSAFATDASSPPDLRLPASTEHGHVHAWSQHGEQSNSTCYRENFLDLLASKNVTQEMFEDVPAGHYTAQALSGRLGAGSDVAPIKYETTGGSPLLFGGTTGMHQGMNMAACTPCYPYADHHHQMKEGGNQQQELAAPAMASFLQQLSSNASAGMHAGLDYSGIGLDKICQEGRAMEASSFGMRSLPDLSSFSGYRSNAESTSSVQPYLRSSNLPDSSKQEQDIVSARSSSSGSGAASDRKKRPSEERTSTVKKSKQEGSKPSPPKQQVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQKSKRNFTTDEQ >PVH65638 pep chromosome:PHallii_v3.1:1:3019469:3021794:1 gene:PAHAL_1G044600 transcript:PVH65638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVIVHHTTRYKTYPGEITGIVVFACLIASVAGCIFGYDIGLTSGLTSTEPFLVKFFPSIYEETKKQVVVNQYCKFDSQLLTLFCSSLFLSAMTAAFFAGPLTRAFGRKWTLFTAGSAYVCGAVLGGVSVNFPMLLTGRILVGAGVGLSIQASPLYISEMAPAQQRGMLNIMFQLMITVGILTANMTNYFASKIPGGWGWRIAVAFGAVPAGVIALGSLAIPDTPTSLIQRGDTATARKTLAQIRGVGDVREEFDDLSTASEEAKAVENPWRELFFGGKYKPQLTFSLLIPFFQQLTGINVIMFYAPVLFKTVGFKQNASLVSSIITGLVNVFSTFVAVLTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGMSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEIYPLAVRSAAMSVTVAVNMFFTAFIGQIFLTLLCHLRFGLFYFFGGWVLLMTLFIAMLLPETKNVPVEEMAHIWKKHWFWRKFVVDTSPDARSAEMRKRIALEMS >PVH66817 pep chromosome:PHallii_v3.1:1:52920227:52924406:1 gene:PAHAL_1G357100 transcript:PVH66817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCPAQLLPTKIQAQWLLASRLSLLPPTSPNPRRLPIPNPKPSRFQWVVRRGDHGGGRMAKGGGGSGLIWATAEDLARNRPVVLSLYRQILRALNSPELPLGYAARLAKKAECRAIFIFGAEERSLHNIRDLLDTARHTLGLLNRGRVP >PAN05006 pep chromosome:PHallii_v3.1:1:8226146:8228815:1 gene:PAHAL_1G109000 transcript:PAN05006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVNLNASVGWVRAVSMLPSLRVLSLPQCGLTTSPSSPANLTRLRKLDLSSNAINTSTVDAWFWNVPTLTYLDLSGNSLSSPFPDAIGNMTGLQVLNLDGNGMVGMIPATLQRLCSLQVLDVTVNQIGGDMSEFMDRLPRCALGNVLVLQLSAANISGRLPEWIGDMAQLKYLDLSFNNLVGEIPQGIGKLSKLTRLFLNKNSLNGSLSEAHFVNLVSLEWIDLSQNSMSMEIRPSWRPPCKLVYAYFPDVRMGPQFPAWIKHQPDIKYLDISHSGIVDTLPQWFWKSFSDAVYLNISVNQISGRLPSSLRFMNSSLAIYLGANNLTGSVPLLPEKLLVLDLSRNSLSGPIPSEFGAPELVELDVSSNRINGTVPASLCQFPNLLHLDVSNNDLTGHLPQCRNVSSDDLGLTTLILYNNNLSGEFPVFLKHCKAMTFLDLAQNMFSGIVPEWIGRKLPSLTHLRLRSNMFSGNIPTQLAELGDLQLLDLADNRISGSIPRSLGNMTGMTQEHPPLSLNPLTGYGASGNDRIVDSLPIVTKGQDRDYTSGVIYMVSLDLSDNVLSGEIPEELSALTGLVNLNLSRNHLNGTIPWNIGDIKKLESLDLSMNMLSGGIPSSLSDLTSLSHLNVSYNNLSGRIPQGNQLQSLANPAYIYIGNAGLCGPPLWKNCSSGGDDSVQQAPLHGDKSLSKIVFFYLGLGVGFVVGLWLVFFSLLFVKTWRFAYFQAIDMLYDVVYVFVAVRLSRRSAEESATS >PVH66312 pep chromosome:PHallii_v3.1:1:32554199:32555014:-1 gene:PAHAL_1G203700 transcript:PVH66312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIDYDAPYSLTVIIASNTSARRRMSGHQQRQKKTERGRYALALLVRISSYFAFGRWLTISSLSMLKVKLFSSCTEEMYIY >PAN03561 pep chromosome:PHallii_v3.1:1:267902:280892:-1 gene:PAHAL_1G001500 transcript:PAN03561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAPPEPASPPPPTTVRRNPPRRARPPPTPLASAKPKPSSLSRFLQDEAAASIPAPLPSSSSPQEERLKVFLRIRPLPDRDRCKAVARPPPGKDPRRKPKQAGGGDVCLVPTGPNSVALTVPQSKLVDPKRGRTEVFDGFSAVFSPDSTQLDIFVQVMNPLVDDFLGGKSGLLVAMGPTGSGKTHTIFGSPRNPGILPLMLRQIFNAQDENKVASQPTRLFSLSMFEILSEGKGERILDLLSDATECVLQQSSIKGLQEVPLVNLADAESLVSRGMLKRSTAATNANSKSSRSQCIITIRGVHKRSDADSEHLLSNAVLTIADLAGAERERKTGNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNQKKPLEKHFKNSMILNVKPADDDYLDTSFLLRQASPYMKIKYTSLEDSSDLVSQKRSNASLICQENKKKRKVQKPEVLGVEQKENIETDNIAKVSEEDDTQHKFLNSELRRVSRSEAIMTNFARALWTVLKQYKHKLLESENAAKSMKQLIRDQDIQIVELKKELEVLNSCCSCKNVPVTEDTSIDQDDSVSSGQAGRSLGFLSNKPNLGSYDASVDNFHLVAEEVSKELKSHGPENSSGESVSCDASSISLIDEQELSSIGLKPEKPCCADAFGPKSHAEEGNTKVEVQAIHKKLDASECFTEQTSEHTGGVTPSSSHSDNQSDQSLTEHHMLPCLKTERVSLSPQFTSCSKKATIEQSEDETEESCKITVEDIHHDVSRREVKHPDYLNSSQRVSSDTEDVSSSQSSLEFPGMVAPQKKLEELDLEPERCEPGVVNATVECGIGHSQAPEQMSDCGVRVPCLLKEITCRAKASTAPSKVSEAEEAADKNKEGSASRPRDTKKTRRRLQPAAAMMLKEFTGADLDVDTKREERGKSQSSAASGRSDALVRLLKARPVRRA >PAN03562 pep chromosome:PHallii_v3.1:1:268295:280736:-1 gene:PAHAL_1G001500 transcript:PAN03562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAPPEPASPPPPTTVRRNPPRRARPPPTPLASAKPKPSSLSRFLQDEAAASIPAPLPSSSSPQEERLKVFLRIRPLPDRDRCKAVARPPPGKDPRRKPKQAGGGDVCLVPTGPNSVALTVPQSKLVDPKRGRTEVFDGFSAVFSPDSTQLDIFVQVMNPLVDDFLGGKSGLLVAMGPTGSGKTHTIFGSPRNPGILPLMLRQIFNAQDENKVASQPTRLFSLSMFEILSEGKGERILDLLSDATECVLQQSSIKGLQEVPLVNLADAESLVSRGMLKRSTAATNANSKSSRSQCIITIRGVHKRSDADSEHLLSNAVLTIADLAGAERERKTGNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNQKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILNVKPADDDYLDTSFLLRQASPYMKIKYTSLEDSSDLVSQKRSNASLICQENKKKRKVQKPEVLGVEQKENIETDNIAKVSEEDDTQHKFLNSELRRVSRSEAIMTNFARALWTVLKQYKHKLLESENAAKSMKQLIRDQDIQIVELKKELEVLNSCCSCKNVPVTEDTSIDQDDSVSSGQAGRSLGFLSNKPNLGSYDASVDNFHLVAEEVSKELKSHGPENSSGESVSCDASSISLIDEQELSSIGLKPEKPCCADAFGPKSHAEEGNTKVEVQAIHKKLDASECFTEQTSEHTGGVTPSSSHSDNQSDQSLTEHHMLPCLKTERVSLSPQFTSCSKKATIEQSEDETEESCKITVEDIHHDVSRREVKHPDYLNSSQRVSSDTEDVSSSQSSLEFPGMVAPQKKLEELDLEPERCEPGVVNATVECGIGHSQAPEQMSDCGVRVPCLLKEITCRAKASTAPSKVSEAEEAADKNKEGSASRPRDTKKTRRRLQPAAAMMLKEFTGADLDVDTKREERGKSQSSAASGRSDALVRLLKARPVRRA >PAN04640 pep chromosome:PHallii_v3.1:1:5581358:5585848:-1 gene:PAHAL_1G080700 transcript:PAN04640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TAT-binding protein homolog (Fragment) [Source: Projected from Oryza sativa (Os02g0205300)] MATVAMDISKPTPAASGDEAAAAAKGRSGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >PAN04785 pep chromosome:PHallii_v3.1:1:6400641:6403765:-1 gene:PAHAL_1G091000 transcript:PAN04785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYPEDNQHALNGYEEEEVDEEEGHPGRRGGRDGGSGYGDAGAEDGRGAGGDSSGKIFVGGVAWETTEETFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDELKDHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDSVESVISEGRMRDLGGKQVEIKKAEPKKHGSDHSSNGRSSHGGGGGYRSSYRSGGAGSGSGGNSGGGAGGGGYGYGGAYRSGAAAGYGYDGGAGAGYGYGRGYGYGGNAGFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGGYGAGGYGGYGGAGGAGGAAGGSSGARGSSRYHPYGK >PAN04787 pep chromosome:PHallii_v3.1:1:6400146:6404022:-1 gene:PAHAL_1G091000 transcript:PAN04787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQRTGGEPAATRLGRFSSGALLGRRLKVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDELKDHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDSVESVISEGRMRDLGGKQVEIKKAEPKKHGSDHSSNGRSSHGGGGGYRSSYRSGGAGSGSGGNSGGGAGGGGYGYGGAYRSGAAAGYGYDGGAGAGYGYGRGYGYGGNAGFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGGYGAGGYGGYGGAGGAGGAAGGSSGARGSSRYHPYGK >PAN04786 pep chromosome:PHallii_v3.1:1:6400641:6403765:-1 gene:PAHAL_1G091000 transcript:PAN04786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYPEDNQHALNGYEEEEVDEEEGHPGRRGGRDGGSGYGDAGAEDGRGAGGDSSGKIFVGGVAWETTEETFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDELKDHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDSVESVISEGRMRDLGGKQVEIKKAEPKKHGSDHSSNGRSSHGGGGGYRSSYRSGGAGSGSGGNSGGGAGGGGYGYGGAYRSGAAAGYGYDGGAGAGYGYGRGYGYGGNAGFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGGYGAGGYGGYGGAGGAGGAAGGSSGARGSSRYHPYGK >PAN04788 pep chromosome:PHallii_v3.1:1:6400146:6404022:-1 gene:PAHAL_1G091000 transcript:PAN04788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQRTGGEPAATRLGRFSSGALLGRRLKVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDELKDHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDSVESVISEGRMRDLGGKQVEIKKAEPKKHGSDHSSNGRSSHGGGGGYRSSYRSGGAGSGSGGNSGGGAGGGGYGYGGAYRSGAAAGYGYDGGAGAGYGYGRGYGYGGNAGFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGGYGAGGYGGYGGAGGAGGAAGGSSGARGSSRYHPYGK >PVH66358 pep chromosome:PHallii_v3.1:1:38105642:38106367:-1 gene:PAHAL_1G220700 transcript:PVH66358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAGGPRGALRGRRRGSAQARASAHCSGGRPREERRPAWAQTRGPSARGGGPGGGSAWSARRRAAAAGRGQARAAAGAGGWRRAEERGARAQARGAGPDQAHAGIGASGGGGSALRWRRGSSARPGAGEAQGGERSMQAGWRFGARVGGAAARRARVSYGRSPSAWKQAGCSGWRWTEHGQAERRRADGRKGAGVRKRLGRAVRLVAEYAGRPCAWERARVSGSERLVAARVQTPGEHIG >PVH65935 pep chromosome:PHallii_v3.1:1:8097281:8100804:-1 gene:PAHAL_1G108200 transcript:PVH65935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G15220) UniProtKB/TrEMBL;Acc:A0A178W6T6] MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGIAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLEILTPPPPPRKWWWPGQ >PVH65934 pep chromosome:PHallii_v3.1:1:8097281:8100802:-1 gene:PAHAL_1G108200 transcript:PVH65934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G15220) UniProtKB/TrEMBL;Acc:A0A178W6T6] MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGIAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLEILTPPPPPRKWWWPGQ >PAN08373 pep chromosome:PHallii_v3.1:1:56415468:56415959:-1 gene:PAHAL_1G408600 transcript:PAN08373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRRIAMAALFMFALMAAAQVARAAEKPENPEERAKKEVEELDKEEEKLEKAERKAAGKPEKEAVKLRKEAGKLEKAEKKAEKLEKKAEKDDKFVKEFKKSCKGVCAKVCSKEKDVPACNTNCLKECKQMNDKEIDNIFTEISKIVQAAAAKEQPAAAAGKV >PAN05193 pep chromosome:PHallii_v3.1:1:9521703:9526399:1 gene:PAHAL_1G120700 transcript:PAN05193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIQRLDSCWRQACSNQTGCHPTSLYCETNTFGNNAFLQNVCATNGNAKPDPTFGIFLPALKNVSSSKSFFEKLFYCFWWGLQNLSSLGQNMITSTNTLENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRMKRRDTEQWMAHRLLPENLKDRIMRHEQYRWQETRGVDEEGLLTNLPKDLRREIKRHLCLSLLMKVPMFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPGSTRTVRTLTEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEETLYEKEKRLQAAIVCDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPVRLLQKPAEPNFFVEDQ >PAN05189 pep chromosome:PHallii_v3.1:1:9518141:9526399:1 gene:PAHAL_1G120700 transcript:PAN05189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREDRYVRFQDWRSEQSVSVVSDRVVSEKGHNVFGLLKGRTAGAFSFLGNSSHSETLNKSASEERKRKTRVLDPQGPFLQRWNKIFVISCLFAVFVDPLFLYIPVINGDNKCMHLDKKLETAASILRFFTDIFYLLHIMFQFRTGFIAPSSRVFGRGVLVEDMCAIAKRYMSTFFLVDFLAVLPLPQVFVLVVLPRLEGSEIMKAKIVLLIIIICQYVPRLLRIIPLYLQITRSAGILTETAWAGAAFNLIIYMLASHGFGALWYMLSIQRLDSCWRQACSNQTGCHPTSLYCETNTFGNNAFLQNVCATNGNAKPDPTFGIFLPALKNVSSSKSFFEKLFYCFWWGLQNLSSLGQNMITSTNTLENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRMKRRDTEQWMAHRLLPENLKDRIMRHEQYRWQETRGVDEEGLLTNLPKDLRREIKRHLCLSLLMKVPMFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPGSTRTVRTLTEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEETLYEKEKRLQAAIVCDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPVRLLQKPAEPNFFVEDQ >PAN05191 pep chromosome:PHallii_v3.1:1:9518141:9526399:1 gene:PAHAL_1G120700 transcript:PAN05191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREDRYVRFQDWRSEQSVSVVSDRVVSEKGHNVFGLLKGRTAGAFSFLGNSSHSETLNKSASEERKRKTRVLDPQGPFLQRWNKIFVISCLFAVFVDPLFLYIPVINGDNKCMHLDKKLETAASILRFFTDIFYLLHIMFQFRTGFIAPSSRVFGRGVLVEDMCAIAKRYMSTFFLVDFLAVLPLPQVFVLVVLPRLEGSEIMKAKIVLLIIIICQYVPRLLRIIPLYLQITRSAGILTETAWAGAAFNLIIYMLASHGFGALWYMLSIQRLDSCWRQACSNQTGCHPTSLYCETNTFGNNAFLQNVCATNGNAKPDPTFGIFLPALKNVSSSKSFFEKLFYCFWWGLQNLSSLGQNMITSTNTLENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRMKRRDTEQWMAHRLLPENLKDRIMRHEQYRWQETRGVDEEGLLTNLPKDLRREIKRHLCLSLLMKVPMFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPGSTRTVRTLTEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEETLYEKEKRLQAAIVCDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPVRLLQKPAEPNFFVEDQ >PAN05192 pep chromosome:PHallii_v3.1:1:9520536:9526399:1 gene:PAHAL_1G120700 transcript:PAN05192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKIVLLIIIICQYVPRLLRIIPLYLQITRSAGILTETAWAGAAFNLIIYMLASHGFGALWYMLSIQRLDSCWRQACSNQTGCHPTSLYCETNTFGNNAFLQNVCATNGNAKPDPTFGIFLPALKNVSSSKSFFEKLFYCFWWGLQNLSSLGQNMITSTNTLENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRMKRRDTEQWMAHRLLPENLKDRIMRHEQYRWQETRGVDEEGLLTNLPKDLRREIKRHLCLSLLMKVPMFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPGSTRTVRTLTEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEETLYEKEKRLQAAIVCDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPVRLLQKPAEPNFFVEDQ >PAN05190 pep chromosome:PHallii_v3.1:1:9518954:9526399:1 gene:PAHAL_1G120700 transcript:PAN05190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREDRYVRFQDWRSEQSVSVVSDRVVSEKGHNVFGLLKGRTAGAFSFLGNSSHSETLNKSASEERKRKTRVLDPQGPFLQRWNKIFVISCLFAVFVDPLFLYIPVINGDNKCMHLDKKLETAASILRFFTDIFYLLHIMFQFRTGFIAPSSRVFGRGVLVEDMCAIAKRYMSTFFLVDFLAVLPLPQVFVLVVLPRLEGSEIMKAKIVLLIIIICQYVPRLLRIIPLYLQITRSAGILTETAWAGAAFNLIIYMLASHGFGALWYMLSIQRLDSCWRQACSNQTGCHPTSLYCETNTFGNNAFLQNVCATNGNAKPDPTFGIFLPALKNVSSSKSFFEKLFYCFWWGLQNLSSLGQNMITSTNTLENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRMKRRDTEQWMAHRLLPENLKDRIMRHEQYRWQETRGVDEEGLLTNLPKDLRREIKRHLCLSLLMKVPMFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPGSTRTVRTLTEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEETLYEKEKRLQAAIVCDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPVRLLQKPAEPNFFVEDQ >PAN04388 pep chromosome:PHallii_v3.1:1:4376850:4378711:-1 gene:PAHAL_1G063900 transcript:PAN04388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMQAMWKPAWLEALNTQKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKNLSAYLRPCKILQLGPDFFIPHDADDDTTHSTLVDVDEPMGSSDSENLSAPCTNFVRKKRSGPYICARSANRVSDEDMATNMSRRKGVPHRSPLC >PVH65536 pep chromosome:PHallii_v3.1:1:1473754:1475992:-1 gene:PAHAL_1G019900 transcript:PVH65536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMHGLLRAVIAVAITVAAAQWVCPARLAGASAPPPVVRCGVAGCTVTNAYGVFPDRSACRAAAAAFPASERELLAVVANATAAGTRMKVATRYSHSVPKLACPAGDRGLIISTNALNRVVAVDAARGEVTVESGVTLGQLIDAAAKAGLAVPYTPYWLGLTVGGLLSTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAAEGYARVRVLGAGDPELDAAKVSLGVLGVISQVTLALQPMFKRSVRFERSDDGDLADRVVAFAKEHEFADILWYPGHGKAVYRVDDRVPLNTSGDGVYDFIGFRPTPTLALKADRLAEEGVEATKNSGGRCVTALATRAILSTGNYGLMKNGLLPPLPGRPVVGFQNRIQSSGRCLAGPDDALLTACPWDPRVSHGTFYFQSGISVPLSRAASFIRDVQRLRDLNPDALCGVEVYNGILLRYVKASSAHLGKSEDSVDFDLTYYRSRDPAAPRLHGDAVEEVEQMALRKYGGLPHWGKNQNAAFEGAAGRYGAARVAAFVAVKRAFDPDGLFSSEWSDAVLGIGGGGVSVVRDGCALEGLCVCAQDSHCAPSKGYFCRPGRVYKEARVCRRDDDDD >PAN04660 pep chromosome:PHallii_v3.1:1:5707547:5711243:1 gene:PAHAL_1G082000 transcript:PAN04660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCFFSFPSICISCTSVALCRSQLLLSRHKEVENKGLTMAAKAKQHMKPGMLMPWAMVILLLSCGAGTISCTTRDGDDTDLVSLLDFKRAIRSDPRGALSSWNSSVHFCGWKGVSCGRPERVVALNLSGQALDGQISPSLGNMSYLASLNLSTNRFSGQIPPDLGYLRRLKFLDLKYNSLQGSIPDAVTNCSSLRGLYLAGNLLVGEIPKKLALLSSLLHLWLHSNYLTGTIPPDLGNITTLRHVILQANQLHGSIPEELGKLSNMSDLLLGGNRLTGRIPEALLNLSSLQQLAMPVNMLHGPLPSRIGDFLPNLRLLYLGANMLGGHIPESLGNASALQSIELEYNYGFTGRIPPSLGKLQKLRTLGLNDNNLEAKDSQSWEFLDALTNCTRLVKLSLYGNLLRGVLPDSVGNLSSNLDYLTLGSNMLYGLVPSSIGNLHKLTKLDLQNNSFTGAIGGWIENMVNLEGLYIQSNHFSGHIPDSIGNFSKLTELFLGENQFYGPIPSSLGKLPQLSNLYLSYNNLQGNIPKSLIAPTIVQCSLSNNNLEGQIPDLGNLQQINYLDLSSNKLTGAIPLSLGTCQQLQTVLMELNLLSGSIPMSFGNLNSLAMLNLSHNNFSGSIPITLSKLQLLTELDLSHNHLEGEVPKEGVFKNTTAISLEGNWQLCGGVLELHMPPCPNTVTQRRTGRRHYFVRILTPMLGIVSLTLLIYFIISRKKVSRAQSSLSFSDEQFPKVSYKDLAQSTDNFSESNLVGRGSHGSVYKGRLITPEPVVVAVKVFDLAVEGTDRSFMSECQALRNIRHRNLLPILTVCSTIDNRGNDFKALVYRFMPNGNLDSWLHPPGYGNNANNLNLSQRLKIAVDIADALQYIHHDCESPILHCDLKPSNILLDNDMTARLGDFGIARFYLETKSQTAGDSRSTGTISLKGTIGYIAPEYAGGSYLSTSGDVYSFGVVLMEMLTGKRPTDPLFCNGLSIINFCETNFPDQILDIIDADLLEEYKDCARANPEKGNGALQCLLALVEVALSCTCQAPGDRMNMREAAAELHEIRQSSSIGVDAKLLSMIH >PAN05939 pep chromosome:PHallii_v3.1:1:30538772:30542445:-1 gene:PAHAL_1G197300 transcript:PAN05939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSPSPPPPPMIGRAGNLTVFITPPSPTSTPRGASRTPPPPPESPRSDFSTPTPQRAAPLPSPSPSPRKPANPAAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASAGGKHDGSTFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYEATGKEVDYVKGGKPKELTTTKVQKV >PAN08081 pep chromosome:PHallii_v3.1:1:54860654:54862863:1 gene:PAHAL_1G385900 transcript:PAN08081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPVSRSRHRPRAVRVAAAEIPLATRRTTRQPAPAAAEAAVPGHFLCPISLEMMQDPVAAPTGITYDRDSVEGWLERGHATCPVTGRSLRAEDLIPNHATRRMIQEWCVANRALGVERVPTPRVPVSAADAAELLAAVSAAARRGDGQACRQLAARARALGKESERNRRCLVAGGAARALSSAFVQLVDRRAVTALTTTGALEEILAALVVFFPLDEESRRHIASPASLDAIVSILSHGEITARVSAAVVLREVASSSDAQCLEAMSETSGIHDALVKLLEKPVSLQATKAALVTAYYLVQSADRAASRLVDLGMVQLLVELLVDSDNGTTEKALAVLDSLLLTEEGRGRAYAHALAVPVLVKKMQHVSDMATEFAVSALWRLCKNFPGEGPCKAEALQVGAFQKLLLLLQVGCMGVTKERASELLRLLNGSRGGVECIESVDFKGLKRPFV >PAN04510 pep chromosome:PHallii_v3.1:1:4906631:4910325:-1 gene:PAHAL_1G071900 transcript:PAN04510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHLNLKQPLVLPGHQGSVVGSSPPAAAAAGARRVGPSSSRRHVMPRISCRATEEFDGAVSAATVEKMLTVKATVEASPAIGRMYATRGLDDIGDLLGKTLLLELISSELDPKTGLEKERVTAFAHKTLVEGRYEAEFQVPASFGPVGAVLVENEHHKETFIREIKLVTGGDTSSAVTFDCNSWVHSKFDNPEKRVFFTVKSFLPSETPKGLEELRKKELQTLRGDGHGERRSFERVYDYDVYNDLGDPDRNPAHHRPVLGGSDKYPYPRRCRTGRARTKKDPRTERREGHNYVPRDEWFSEVKQLTFGATTLRSGMHALLPMLRPLLIKKELRFPHFPAIDDLYSDGIPLPPQTGFDVIRTVVPRMVKLVEDTTDHVLRFEIPGMMERDRFSWFRDEEFARQTLAGLNPICIQLLTEFPIKSKLDPAVYGPAESAITREILEKQMNCNLTVEEALAAKRLFILDYHDVFLPYVHKVREQQDATLYGSRTVFFLTDLGTLMPLAIELTRPKSPTKPQWKQVFTHGPDATDAWLWKLAKAHVLTHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTMEINALARESLINADGIIEQAFWPGKYSVELSSVAYGATWQFDTEALPNDLVKRGLAVRKDDGELELTIKDYPYAHDGLMIWDSIKQWAADYVNVYYKSDEAVAADPELQAFWEEVRTVGHADKKDEPWWPVLDTRDSLVETLTTIIWVTSGHHSAVNFGQYHFGGYFPNRPTTIRKNMPVEENREDEMKKFREAPEATLLDMLPTQMQAITVMTTLDILSSHSPDEEYMGEHAEPSWLAEPMVKAAFEKFGGRMKEIEGFVDECNNNPELRNRCGAGIVPYELLKPFSKPGVTGRGIPNSISI >PAN07447 pep chromosome:PHallii_v3.1:1:51590831:51592240:-1 gene:PAHAL_1G336600 transcript:PAN07447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAAVRGAPQWLRGLLSEEFFDACAAHPGERKNDKNHFCVDCAAALCRHCLPHEPAHDVLQIWKYASCFVVRVDDLRLFDCAGIQSHTVSDHEVVFLNERTARKRSASAENPCAACARPLTSGHDYCSLFCKVKHLGESEHGLRRALRARRQEEAAAPELQGGKRRPSPASDAGPSCAGSLRKRSRKQPEPERAPFC >PAN05210 pep chromosome:PHallii_v3.1:1:9681947:9683621:-1 gene:PAHAL_1G122200 transcript:PAN05210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSCSPLTVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKLEPWDLKDRCRIGTGPQDEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGNAGRKIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNAEVPITEEGWVVCRVFMKKSIQRGFDQQGVVAAGDDDELQSFHHSPGGATPPVDQKLGLHQFMHGCFPAFDPSMHLPHLTSAEAAPLGAPAFMSGTPPAAAVNPLDMGSAPHNLAKLTASCRTAGDMLLNGADRFGAAGADWSILDKLLVSHQNLDQLFHGKLGGTGSAVGVPHHYHQQQQLMGMSASTLQRLPLHYLGCDAADLKFSM >PAN06061 pep chromosome:PHallii_v3.1:1:14125836:14127009:1 gene:PAHAL_1G142900 transcript:PAN06061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFTCLFKRANKCSKFQVQDCKIVTRHEDKFIDSIFADGFCVENMDCRVKEFLSIW >PAN07084 pep chromosome:PHallii_v3.1:1:49874397:49875052:-1 gene:PAHAL_1G309700 transcript:PAN07084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFRAQAEPYDDERRCCCGCWRHVRKHKCCWFLFVLWAVVGGFAIGALAWIAHDAKEPRYSAAIASVSGLDPARDLGRATLDPEFNLTLRIASRSHMRGACVDVGAALDVSYPASGSRAPRHRTSSPAMAAPVVAWGTSVRVPGFVLDGLAGDMRRGAAAFDVTLTVPSVQDSRQGKLVRCLARRVGDAGALLDPCAVIDVTAVAVPQPGA >PAN03778 pep chromosome:PHallii_v3.1:1:1567794:1571341:-1 gene:PAHAL_1G021600 transcript:PAN03778 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MTSAHSKLYSDDVSLVVVVVDTNPLFWAAAALPFADFFANLVHFVNSLLLLNHLNRVVVIAAGVSSCAYIFDSNDASPSGGVGVMATFDKASRKVEEFIAQDARATAGNSSVASANAASLLSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITRGVYLKPQELNGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKNQKKCSTCGSEFSRVMPDLNSMPDQSK >PAN03780 pep chromosome:PHallii_v3.1:1:1568864:1571341:-1 gene:PAHAL_1G021600 transcript:PAN03780 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MTSAHSKLYSDDVSLVVVVVDTNPLFWAAAALPFADFFANLVHFVNSLLLLNHLNRVVVIAAGVSSCAYIFDSNDASPSGGVGVMATFDKASRKVEEFIAQDARATAGNSSVASANAASLLSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITRGVYLKPQELNGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASYVLLNFLC >PAN03779 pep chromosome:PHallii_v3.1:1:1567426:1571506:-1 gene:PAHAL_1G021600 transcript:PAN03779 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MTSAHSKLYSDDVSLVVVVVDTNPLFWAAAALPFADFFANLVHFVNSLLLLNHLNRVVVIAAGVSSCAYIFDSNDASPSGGVGVMATFDKASRKVEEFIAQDARATAGNSSVASANAASLLSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITRGVYLKPQELNGLFQYLAMFLP >PAN08684 pep chromosome:PHallii_v3.1:1:57939705:57941963:-1 gene:PAHAL_1G429500 transcript:PAN08684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEILESCMVTPGEAATPKHGVWLSNLDLLVARSHTPTVYVYRPSPGPAFFSPDVLKAALSKALVPFYPLAGRLARDGAGRPEIHCTGDGVLFVTARADATLQDLGGFVPSDELRRMLVPSADGGDDRAGILAMFQVTFFKCGGVCVGAAIHHTAADGLAALDFVNTWAAIARGVGEAAPRPWLDRTLLRARSPPAVRFDHAEYSRRGGGSTKAAKVPFDSAILPVSKAQIDALKGGKKLSTFKALVAHVWRCACKARGLAATEDTRLYMTADARSRVRPPLPDGYLGNAIFRASTVAKVGDVVSEPLDAAADRISGATARLDDEYIRSLVDYLDQVVSDAAGLRKGEWVMPETDLWVISWQGLQIYDADFGWGRPAFMSRACLQFSGLVYLVPGPDGDGRLDVVVAMEPKSLARFKKLLYEELK >PVH65809 pep chromosome:PHallii_v3.1:1:5694055:5694318:-1 gene:PAHAL_1G081500 transcript:PVH65809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLLARMSTGQPAKLPSWAELFKLMQSQCTHIGPSPKTSISLVYQSICFTPKSHGNCKQVSGFKAMEQSKRNQTDMIVSGFTVHLL >PVH65828 pep chromosome:PHallii_v3.1:1:5920315:5924099:1 gene:PAHAL_1G085300 transcript:PVH65828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLLTGSIPQSFMNLKSMREMDLSQNKLTGKIPEFLTSLSSLQELNLSFNDFEGPVPSGGSFSNGSIVCVKGNHRLCSNAPGLILPLCPELGSKGKDKSVVLKTMIPIAFSCVLVFFLCLLDVHIKRRKEKNGSEHSSMHMDKLSYEDIARATNGFSLVQLLGLGSFGSVYKGNLPFEDDPVAIKVFNLRRYGAPSSFIAECEVLRNTRHRNLVKVISLCSTVDPTGSDFKALVFHYMPNGSLDRWLHPEDHGCDKRRFLSLCERINIALDVAYALDYLHNQCASPVIHCDLKPSNVLLDLKMTAHVSDFGLAKFMCASSFEGRASSTSLASLKGSIGYIAPEYGMGGPISKKGDVYSYGVLLLEMFTGKRPTDKEFKDGLSLHKHVDTKFPQGTAEILDPAILQSDLLGGNLEMMQTCVLPMIKVGLLCSMASPQGRLGMGQVSTEILTVKRVFHELCSRNGVSSLDKYC >PVH65826 pep chromosome:PHallii_v3.1:1:5920262:5924099:1 gene:PAHAL_1G085300 transcript:PVH65826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLSCLPADIFRSSAYGVTPSKLLGLGSFGSVYKGNLPFEDDPVAIKVFNLRRYGAPSSFIAECEVLRNTRHRNLVKVISLCSTVDPTGSDFKALVFHYMPNGSLDRWLHPEDHGCDKRRFLSLCERINIALDVAYALDYLHNQCASPVIHCDLKPSNVLLDLKMTAHVSDFGLAKFMCASSFEGRASSTSLASLKGSIGYIAPEYGMGGPISKKGDVYSYGVLLLEMFTGKRPTDKEFKDGLSLHKHVDTKFPQGTAEILDPAILQSDLLGGNLEMMQTCVLPMIKVGLLCSMASPQGRLGMGQVSTEILTVKRVFHELCSRNGVSSLDKYC >PVH65825 pep chromosome:PHallii_v3.1:1:5920262:5924099:1 gene:PAHAL_1G085300 transcript:PVH65825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNTPVCTWTNYHMKILPGQLMGSLWYSYLAWDPSDLFTRAICHLRMILLLLRFSTSGGSDFKALVFHYMPNGSLDRWLHPEDHGCDKRRFLSLCERINIALDVAYALDYLHNQCASPVIHCDLKPSNVLLDLKMTAHVSDFGLAKFMCASSFEGRASSTSLASLKGSIGYIAPEYGMGGPISKKGDVYSYGVLLLEMFTGKRPTDKEFKDGLSLHKHVDTKFPQGTAEILDPAILQSDLLGGNLEMMQTCVLPMIKVGLLCSMASPQGRLGMGQVSTEILTVKRVFHELCSRNGVSSLDKYC >PVH65827 pep chromosome:PHallii_v3.1:1:5920263:5924103:1 gene:PAHAL_1G085300 transcript:PVH65827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLSCLPADIFRSSAYGVTPSKELNLSFNDFEGPVPSGGSFSNGSIVCVKGNHRLCSNAPGLILPLCPELGSKGKDKSVVLKTMIPIAFSCVLVFFLCLLDVHIKRRKEKNGSEHSSMHMDKLSYEDIARATNGFSLVQLLGLGSFGSVYKGNLPFEDDPVAIKVFNLRRYGAPSSFIAECEVLRNTRHRNLVKVISLCSTVDPTGSDFKALVFHYMPNGSLDRWLHPEDHGCDKRRFLSLCERINIALDVAYALDYLHNQCASPVIHCDLKPSNVLLDLKMTAHVSDFGLAKFMCASSFEGRASSTSLASLKGSIGYIAPEYGMGGPISKKGDVYSYGVLLLEMFTGKRPTDKEFKDGLSLHKHVDTKFPQGTAEILDPAILQSDLLGGNLEMMQTCVLPMIKVGLLCSMASPQGRLGMGQVSTEILTVKRVFHELCSRNGVSSLDKYC >PVH65824 pep chromosome:PHallii_v3.1:1:5920262:5924099:1 gene:PAHAL_1G085300 transcript:PVH65824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLLRFSTSGGSDFKALVFHYMPNGSLDRWLHPEDHGCDKRRFLSLCERINIALDVAYALDYLHNQCASPVIHCDLKPSNVLLDLKMTAHVSDFGLAKFMCASSFEGRASSTSLASLKGSIGYIAPEYGMGGPISKKGDVYSYGVLLLEMFTGKRPTDKEFKDGLSLHKHVDTKFPQGTAEILDPAILQSDLLGGNLEMMQTCVLPMIKVGLLCSMASPQGRLGMGQVSTEILTVKRVFHELCSRNGVSSLDKYC >PVH66669 pep chromosome:PHallii_v3.1:1:49937919:49939331:-1 gene:PAHAL_1G310900 transcript:PVH66669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPKYMGGLGFRDIEFFNLALLARQAWRLLINPNSLCAQILKAVYFPCGDILRAQVGNNPSKTWRAICDGIAVLKQGLIKRIGDGESTHIWNCSWISRTGMFTPIASKVANPPSRVCELIDHTSMSWKSEEVRKFFLEIDSEAILQIPLSMMKQEDCWAWHHERNGLFTVRSAYRMLIEAKRRREDYFEGRGSCSDAGKIYKEWKQLWKLKLPSKIKVFCWRLALDSIPTASVLKRKNLAVTPECKICGAKDDTWDHSLLRCTMSRYVWTLLDEDLSELLASLRIVDPKQWLFFMCSNVPQAESTHILVTCWAIWNARRKFIHEGIMQSPFSIMTMAKRLIEELEFVNRVQSKEISKCQPRLGSQCWRASESGFSKLKTDAAVSKSGSHGAVAAVCRDNQGAFIACSAFVVQKVSDPETLEAMACLEALAPAEDCRINKVLVASDCLNVINNINVMPRCVYMMVFQNIH >PAN09020 pep chromosome:PHallii_v3.1:1:59339071:59341996:1 gene:PAHAL_1G452500 transcript:PAN09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWDGGGRSVGKKRKDLRTAPHATPLSPSPSPSPSSAACDRRGEEATPLLAENMEPDHSGTDQTSPRGNDWEVVQLTASTYAAAPGPAPRRPEPSEESEAKKYSTKGDDSAAALLMSGHFSVSQTEVESLLIGADSKEPHKELCSQDAVSNEGDEQKYQETCKHKLEDDLPSIPSLDKGKNVTLGDMEFDDGKALQGMSLVGEESVGFSSPIYSSIEAEKDLGRSAMESRNEKKTEESTLHIVNPKTGSSNVVSSDEQNKPDGSGPRDAWWKKQLLSLYKNAKESNNFWPIVAAAAAAAALVGLAYFGHRWHKGKLQLQLGKQPPSGNKEKINDAVRPLNRIKDILVAGNHPSPGIHGHARASGM >PAN03783 pep chromosome:PHallii_v3.1:1:1572067:1575325:-1 gene:PAHAL_1G021700 transcript:PAN03783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKLRAILFPFQMEPRREELLHELGEMWDEIGEGEEDRRGMLQALEEECLNVYRAKVEQVRQHRAQLKREIADSVAEVAAICATIGEPPATVQTACSSLQGTGSLKEELGSIAPELAEMRRRREERRRQFSDVTERVNRIHQEMNLGGGQPRVVADSSDLTLTKLEELRAYLQHLQSEKESRTRKVAELMASLHSSSLVLGMNPREIIATHGGDQAGHFSDDAIARLASEIERLREIKRSRMGKLQDLLASMLELWNLMDTPAEEQRRFQGVACNIAASEDEITEPNALSMDFIRNVEAEVVRLETLKECRMKDLVVKKYDELKEIRRRARLPEEDDGDAVAMFDAIDSDAERALILERLEVQISEAKDLEFSRKDVLERMDKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALTAKVVAWEKERGVKFERRCWTCWRSTATRGRRRSRSGRGSGTRGGCRARRRSGTRRRWPGRRPRTSRT >PAN03785 pep chromosome:PHallii_v3.1:1:1572315:1574854:-1 gene:PAHAL_1G021700 transcript:PAN03785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKLRAILFPFQMEPRREELLHELGEMWDEIGEGEEDRRGMLQALEEECLNVYRAKVEQVRQHRAQLKREIADSVAEVAAICATIGEPPATVQTACSSLQGTGSLKEELGSIAPELAEMRRRREERRRQFSDVTERVNRIHQEMNLGGGQPRVVADSSDLTLTKLEELRAYLQHLQSEKESRTRKVAELMASLHSSSLVLGMNPREIIATHGGDQAGHFSDDAIARLASEIERLREIKRSRMGKLQDLLASMLELWNLMDTPAEEQRRFQGVACNIAASEDEITEPNALSMDFIRNVEAEVVRLETLKECRMKDLVVKKYDELKEIRRRARLPEEDDGDAVAMFDAIDSDAERALILERLEVQISEAKDLEFSRKDVLERMDKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALTAKVVAWEKERGVKFEYDGEALLDMLEEYSNARKEKEQERKRQRDQRRLQGAAPERDASPVARPPPKNIKNVTRTLSMGGSARKASASSSRPGTPSFLKSPMSARRGGSDEGQMMASDSFE >PAN06721 pep chromosome:PHallii_v3.1:1:48119118:48123525:-1 gene:PAHAL_1G283500 transcript:PAN06721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGAAAGRLLPQVDWEREAYPAYDDFLALPAFVLFFPTVRFFLDRFVFERVARRLILGKGHQRTNNETEEIRKKIRKFKESAWKCVYFLSGELLSLSVTYNEPWFTNTKYFWVGPGEQVWPDQKIKLKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSIVLAIHDASDVFLEVGKMSKYSHCDWLANVSFLLFVVSWVLLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIMTRNVGDDVRSDSEGEDEHED >PAN08546 pep chromosome:PHallii_v3.1:1:57184999:57192551:1 gene:PAHAL_1G419400 transcript:PAN08546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRLRALAALLLLAAVAVADDGATLLEIKKSFRNGGNALHDWSGEGASLGYCSWRGVLCDNVTFAVRALNLSGLNLEGEISPAIGSLKRVVSIDLKSNGLSGQIPDEIGDCSLLETLDLSSNHLEGDIPFSISKLKHLENLILKNNQLVGVIPSTLSQLPNVKILDLAQNKLSGEIPNLIYWNEVLQYLGLRSNNLEGSLSPDMCQLTGLWYFDVKNNSLVGTIPETIGNCTSFQVLDLSNNQLTGEIPFNIGFLQVATLSLQGNKFFGPIPSVIGLMQALAVLDLSFNELSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNLLTGFIPPDLGKLTELFDLNLANNNLGGPIPENISSCINLISLNAYGNKLNGTIPSSFHKLESLTYLNLSSNHLGGALPIEVARMRNLDTLDLSCNMITGSIPSAIGRLEHLLRLNLSKNGLVGHFPAEFGNLRSIMEIDLSNNHLRGLIPQEVGMLQNLILLKLENNSITGDIFPLTNCFSLNILNLSYNNFAGFVPTDNNFTRFSPDSFLGNPGLCGYWPGSRTSCSPLSSSLEHKKRSSVSKAAFLGIGVGGLVILFVILVAACWPHSSLVLKDISVSKPDNLGAASSNVPPKLVILHMNMALYVYDDIMRMTENLSEKYIIGYGASSTVYRCDLKNCKSVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYMENGSLWDVLHVSSSKKKKLDWEARLKIALGAAQGLAYLHHECSPRIIHRDVKSKNILLDRDYEAHLADFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDDECNLHHLILSKTADNTVMEMVDPDITDTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDSLVCPDLSPKQAQPQGSGQSAAAPSYVSEYVSLRGGSALSCANSSSASDAELFMKFGEAISRNTE >PAN04029 pep chromosome:PHallii_v3.1:1:2473699:2478824:1 gene:PAHAL_1G036300 transcript:PAN04029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYSDRRSRTEIAFRRRGSRFSYRNQSPEERTNHNSDGLGSSTRLNHMKTGVTDNQERPRYLRDSFKSSSSKVGSASSSKFPLRKFEEKRRQPLSTGVDIAESGRRKVEPSKQLEGSKKIIVGDESSDTLRIESKGFTTEQGRLLTPGPEGSHFAGASGVSAHRAESLVRTASLSSRTHRQKEKEVNLGTPGACSSSFKEVNLGTPGACSSSLTNQSTMPRNSTTGVRPAYGHVSGVQRRGLKNLGCTSASDVQPSGCSPDSVYSRRFEFMRKRASDPESSSRSRSFSGPSNSDHSSPTYIRDTGPRIRMNEQLLSQQIRRSSSRNQQESAVSVRTRRPSHATTLRAPDERADGMLSLHESSTRNGQSAQEHLSLEEVSAESSIRPFFAELPHDIYSFSRHQSLNTRDERGRPSYLFEESPPQMFHGLMGERDGHRRITMGGIAEVLLALQRSEQQAELAYEQLLVLETNLFLGAFASHDRHRDMRMDIDNMSYEELLALEERIGSVSTALSDEQFAKCLRRSIYCPVATGVNKSVIDDIKCSICQEEYKEGEEIGQLPCEHRYHVCCIGQWLRQKNWCPVCKASAVPSMD >PVH66094 pep chromosome:PHallii_v3.1:1:15390551:15390754:1 gene:PAHAL_1G146000 transcript:PVH66094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHSQMLACVNNKIIEGYRIGKAYLIAPKELLAGRGEGASSPAQSREPAEITRRKEYGRYDSLNLLR >PAN04151 pep chromosome:PHallii_v3.1:1:3116239:3120774:-1 gene:PAHAL_1G045800 transcript:PAN04151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVMASAATGVMGSVIGKLTAMLGEKYQLARDAEDGIRFLKEELSTMDAVLQNLAEKDDDQIDPLAKDWRSKVRELSYDIEDCIDRFRLNHSHGGSKANFVRKAVRKVKILLQDRGLAEEIQKLKSLVIEQSERAKRYDIHAASPQPVLLDLRAPALFAEARDLVGIDGPRKEIIELLTCEEMQHKVVSIYGTAGQGKTTLAMEVYRKITEAFDCRAFVSISQTPDIKKLLRDILSEISNNQFDDQTERWEMEQLIRNMRDYLIDKRYLILIDDIWSVEAWEHIESALPRNDNGSIVITTTRSKTIAKSCCAGIGAHMYEAQPLGDDDSQRLFFKRLFCSREDCPQDLREVSSDILKKCGGLPLAIISIAGLLANKRQTVEVWVNTLKSISAAGDKDSHIDKMKRILLLSYFDLPRHLKSCLLYLSVFPEDYLIDCRELILLWVAEALIPGQDRESMEQLGRSYLNDLINRSLVQPAKVGADGSTVKTCRVHDVILEFIVSKAVEDNFVTIWNRNGFSQNYTCNKIRRLSIQEDISGPAEEMVKTIKHAHIRSINIFGCNNSGLVKHASKFLSNQVLRVLNIRGPRVAIDGECYLGQVKRISQMKYFGIINISFSRGCALPEDLEKLQHLETLDISNTYISKLPASIIQLQRLVRLNVGPLVQLPDGIGNLQALEELSTITLSFQSVKFIQGLSDLTNLKVLKIFWMDATEVRDVEGHEKEKACISSLTKLFTRLRQFSVEGWPDATLSFMSLCVGTPPPLQRLVLGGNLSAVPHQISSLLNLTRLRIDLWGEVSKEGINILASLPILVSLTVFLLPGKEGESSSLPILGIFHPRHAIKSQGFQRLAKFTVRCWCEAALEFEPGAMPKLQRLKLVLLARCQFKYGDGGLVLGLQNLAGLKYVGLLIDCEAATSDEVDSLEDDIRGAAGVHHNRPIVQVERMKIFHGLRVQP >PVH65952 pep chromosome:PHallii_v3.1:1:8501109:8501909:1 gene:PAHAL_1G111300 transcript:PVH65952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFRRTLTSLVRACGPPASPCACTRWRRRCTTRRARYWRGRTRPCRRGPPGSPTAPAARGASWPGSRTCSTTRRGATRSSGTPGRSSSSTTSSSSPTCGELPPVARRTQTTPSRDARHAAPARREPPRGGAAGAAAVGAGGVLPGVRRAAPDNDPDEATLADAFAAATAIVSVASSAVLAGVSTASVESAASAAPSPRTLTPYSPARARQPDVAGDRPAPAAADRVVRGRGTEGAHGQGSVERERNYELGMGPMSKIIFSHLNY >PAN06223 pep chromosome:PHallii_v3.1:1:44945465:44949052:1 gene:PAHAL_1G246900 transcript:PAN06223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGRRLSSALAWRPAAAAAPASGTRAPVAGALPGRDDDDDARDRRARFAIDSPFFTAARGFSAETLVPRNQDAGLAELPATVAAVKNPSAKIVYDEYNHERYPSGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >PAN08780 pep chromosome:PHallii_v3.1:1:58274660:58275998:1 gene:PAHAL_1G435700 transcript:PAN08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDWRDGESLATLQLATLMPLSNAAQFSFLLLFGGKKAKIANKLASQHGATGFGTYGRRRLTDCFYNFQLSEFFIVPLEKVSRACIQVTCSFLQT >PAN08778 pep chromosome:PHallii_v3.1:1:58274737:58275998:1 gene:PAHAL_1G435700 transcript:PAN08778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSNAAQFSFLLLFGGKKAKIANKLASQHGATGFGTYGRRRLTDCFYNFQLSEFFIVPLEKVSRACIQVTCSFLQT >PVH67140 pep chromosome:PHallii_v3.1:1:58274660:58275998:1 gene:PAHAL_1G435700 transcript:PVH67140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLLLLDPIPAASCGKPQGCRRRSRLGSIWLYKEGSSEQMAAGDWRDGESLATLQLATLMPLSNAAQFSFLLLFGGKKAKIANKLASQHGATGFGTYGRRRLTDCFYNFQLSEFFIVPLEKVSRACIQVTCSFLQT >PAN05531 pep chromosome:PHallii_v3.1:1:43529989:43532690:-1 gene:PAHAL_1G236500 transcript:PAN05531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLLILLSLHGEAASSSPDDGQFAYQGFAGANLTLDGLAALLPGGLLALTNFTYQTKAHAFHPTPIRFLNRPAATNATAAARSFSTSFVFAIVSRYDGLSDHGLAFVVAPTTDFAAANAGQYLGLLNATNGAASDPILAVELDTILTPEFRDINSNHVGIDVNSLVSRQARPAGYYDDGGAFRNLSLNSRKPMQVWVDYDGRSKQLEVTLSPLHTPKPRKPLLSEAIDLSSLMADAMYVGFSASSGVVFTHHYVLGWSFGLDGPAPPLDLSKLPALPRLGPKPRSKVLDVVLPLATALLVAAALAAVFFFVWQRRRFAEVREDWEDEFGPHRYAYKDLHRATDGFRERNLLGVGGFGRVYKGVLPASNLEIAVKRISHDSRQGVREFVAEVVSIGRLRHRNLVQLLGYCRRKDELLLVYDYMSNGSLEKYLHDPHTPAIFWPKRYSIIKGVAAGLLYLHEDWEKVVVHRDIKASNVLLDEQMNGHLGDFGLARLYDHGTDAQTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVACGRRPIEHGEHDNRIVLVDWVLQHHRSGSILEAVDPRLTGKFDIEEVTLVLKLGLLCSHPLPNARPSMRKVMQYLEHGKPAPDLSPTYVSYGMMALMQIEGFDSFVMSSCPTSATSIGAVSCGSSVSVLAEGR >PVH65740 pep chromosome:PHallii_v3.1:1:4528807:4531038:-1 gene:PAHAL_1G066400 transcript:PVH65740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQYSMGAVLPCLATTVHDAQKVFEEMIASNPVKLYPSPNTFVDKGPWQSILNVEHVGILPKPPWSLFCLENDNYKAWSSGQHQFNKFSLQHPLEGILVIDSLHQFNKSLVSQHGLEELLLHCVHCSFMKLMASLTVKNLCWRSDTMTTCLWRAGLTCYSDSIVKFASSRYLQINGLDHRNDVDKFQLDVKIPVTCHSYYLELLTVVEIKFNSQPSVTHCQTEEQQLDYKAYKLSEVKWSSAARIRKPSKLSHLVGYTRKAPLVLFTSSVSFTWRNFGHFAWWCSNVQNKNKWLSTEADWPAHDCYLILKFRVLFQSHVAVVQWPQLPSSLQQNNGVRLWACIVEIFQLSILIQMEIKCANNMLLFSQLVLL >PAN07879 pep chromosome:PHallii_v3.1:1:53828103:53828886:1 gene:PAHAL_1G369900 transcript:PAN07879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQYSPSQESYVRLQGRRWRRAGARGFRLCPRNRFSVRRLRAELLTFLGIVGRYVRHLVRRLSTSGGGNGCGRSGSRRVLVGGGKDAAAASKGPRRAAPFARSNSFYSQAIADCLEFIKRNSVPVEDYGTVSARQ >PAN07988 pep chromosome:PHallii_v3.1:1:54290344:54291876:-1 gene:PAHAL_1G378300 transcript:PAN07988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRSAPCRSSASSIGSMSLTSTRLLIMLLRCKMRMDHFLVISGVKSTLVFCCVGTLAIAGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTRFILNCQDKENDGISVSDRPDNAVDIYHTYFGVAGAYFLSGLVFLTCGFH >PAN07989 pep chromosome:PHallii_v3.1:1:54289969:54292373:-1 gene:PAHAL_1G378300 transcript:PAN07989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRSAPCRSSASSIGSMSLTSTRLLIMLLRCKMRMDHFLVISGVKSTLVFCCVGTLAIAGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTRFILNCQDKENDGISVSDRPDNAVDIYHTYFGVAGAYFLSGLVFLTAFIDGVPWGEAYGSCLCAAVGCCYQSDFLEKIELYMYEICSTDDVV >PVH66895 pep chromosome:PHallii_v3.1:1:54289969:54291442:-1 gene:PAHAL_1G378300 transcript:PVH66895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAVDFVVSCKNLDCGFGAMPGGESHAGQIFCCVGTLAIAGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTRFILNCQVPIYYSHKWHLDNHAALDS >PVH66896 pep chromosome:PHallii_v3.1:1:54290595:54291876:-1 gene:PAHAL_1G378300 transcript:PVH66896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRSAPCRSSASSIGSMSLTSTRLLIMLLRCKMRMDHFLVISGVKSTLVFCCVGTLAIAGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTRFILNCQVPIYYSHKWHLDNHAALDS >PAN06967 pep chromosome:PHallii_v3.1:1:49254454:49255938:1 gene:PAHAL_1G302200 transcript:PAN06967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEVEIQSWVELVENNVGSSELDADEFNFGVLPNEQTCTDATTDASPPLKIGNKNKKRTRNFSKQKDILLASAWLEVSMDPVQGVDQTRSTYWQRINEFCNMHKTFESDRNICSLTHRWGIIQGSVSRFCSWYSQVLRSNQSGVTEQDRIQQACVLHKDANSDKRSFGLMHYCNLLLQHAQKWKDLPCNSNNKKQKTSNTTNPRSATLGTHENRHVDEEEGPSHTSPRKGRPDDQKKEKER >PAN07250 pep chromosome:PHallii_v3.1:1:50690715:50691624:1 gene:PAHAL_1G322100 transcript:PAN07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVHALEKWDGYDCGGRVTGIDKAALAHGFRPYDRCAAALADDGAVGNMNLALGRRPRRTRARRSSGAGCGPSRDERRYWVEVGRHTAALGGAARRGRR >PAN04346 pep chromosome:PHallii_v3.1:1:4100139:4101686:-1 gene:PAHAL_1G060500 transcript:PAN04346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAATTMAKPAAGTTGGGRRYALLLALWDSEYSSKVYGGYYNVFVAAFGGAGGDGERWDCFRVIAGEFPAPEDLASYDGFVVSGSPHDAYGEEPWIADLCALIQTLHAMGKRVLGICFGHQVLCRALGGRIGKARNGWDVGVKMVTFVRDLEGFGFLGDLEELPSSASLIEVHQDEVWELPPGATVLAFSEKTRVEAFAVGEHALGIQGHPEYTADILHNLIDRLTSQSAIPASVGEDARRTVSETGGPDRAFWMALCKGFLNGGRRSCSLPTPVREPAPEVSSRAIVAGCFTAGTAPVVQLACRASTGQLARAFSLHDW >PAN05578 pep chromosome:PHallii_v3.1:1:19642556:19644552:1 gene:PAHAL_1G160000 transcript:PAN05578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAFHLLLPLPVLLLASLLLPTATAASHNNNGAPATHAHLLHHHGHGHAHHHRSPSTMTATARFDTAPSMHQNRIESEENRQSLRVLDPFFTPAAAQAPSGEEAMAAMGAAAADVDPAPIDQPQPPSPPPSFVATADLPPLAPQAEDARWSAPEATSAPPPVDEPMATTTTTLPLPSPYREVASPPPPAHSAAAGMVSSDDDLGLQQLAKVLASLGYNEMASAATLLADSPSLATWPGAITVFAAPDIFLQAACPGCSRRHLLLDHMALGYFPYAELTATPTVKLPSASVGFCLDVAAEHGPFSVHHARLYVDGVEISHPELYDDGRYVVHGLHGILPPLTHASCIEGAHHHQVHVHHHSRRHHHLSARSAAASAATAASVVRIMIRDAISRLRDSGFGFVALAMRVKFAELEKLANLTVFALDDQAIFTGGGHGYVSAVRFHIVPGHRLTRAELLRLHPGAILPTLAGEDQKLVITRGAGSDTDEVRINYIPVKEPDAVINSRVAVHGIYVPFPRLHLVNLAASVAVASAIQMNGTCDVGGPFGDCASTTMTSATIPAAQGYGEGQ >PAN08066 pep chromosome:PHallii_v3.1:1:54722999:54724862:1 gene:PAHAL_1G384200 transcript:PAN08066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAMGAPLVSRVAAVVAVMVFAAVSPASAFPTDLPPGVPPFPNPWAALQNLSGCHMGEERQGLAGLKDYLSHFGYLPPPPPSSPFSDAFDQDLEAAIATYQRNFGLNATGALDTPTVAQMVSPRCGVADVINGTSTMARSSSADPHGRHLYAYFPGAPTWPPFRRDLKYAITATSATSIDRSTLSDVFARAFSRWAAATNLRFTETASESDADITIGFHAGSHGDGEPFDGPLGTLAHAFSPTDGRFHLDAAEAWVAGSDVSRASSPGAVDLESVAVHEIGHLLGLGHSSVPDAIMYPTIRTGTRKVELEADDVQGIQSLYGSNPNFKGVTPTSPATSSREMDGGAGAGLRPDSVFVGVVAAVGLLLAP >PAN03544 pep chromosome:PHallii_v3.1:1:356805:359037:1 gene:PAHAL_1G003300 transcript:PAN03544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYAWGDCKPTATMLAVVVVFAVLNTLTKMAFNQGMHTTVLITLRQFTAFLFLAPIAYFRERKTRPKLTLEIFVYLFFSAVLGASLTQWLFFVGLRYTTATFACAFINMTPMFTFVVALPFGMEKLDLKTGAGLAKVFGTIVGFTGAIILALYQGPSLMTKSSSAPQPMGAGHGAHRWVTGSVALLAGAACWSFWFILQSRLGKKYPALYSGNALMFLLSFLQMAAVGMATERDLSVWILRTKLQIITVLFVGIMGSGIGFLAMSWCIEQRGPVFTTAFTPLIQLIAGAINIVALHEQLHLGSALGSALVIVGLYLVLWAKTKEASDAAPSSSNVNVLMPMVEEKNKQATQEAQDV >PVH66835 pep chromosome:PHallii_v3.1:1:53406377:53410995:-1 gene:PAHAL_1G363800 transcript:PVH66835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAQSNSGHNRHSRNDSSIKQKSGYEPSDTETEWHESPWNDGVLTSERTRFPKDPGRNGQVGIRRPNISPNRTRDYHVEKTSNLRYSRTPPRVTEQKRQPSPHVAGKNESRKKNSRTPPRFRSSMESFSKSSIKARFSRNRSISTPKLRPQEKEHPSRAPAFPGTNPVPARPEREAADNIEEDSHAENCSQEISELIGNGKLPNYRYNEYAFTSTESIPTGDIFFSRDCRAPLEKTSTKHNNIDESLTMPSNALAENDGGATQDNNSNLGQLSQFVSARTGLSRTTTKSSYATGRHSQISSTNTLSSPYNGGRLSGESGKFSDFTGKLVGGVMKFTSSKVQNDAWLPCVTGKACRKSRTPINKTNDESESSFIQKALVVEKIRLLWADKYRPRNLNGFTCHREQVHQLKQLVSAEICPHIIFKGPPGSGKRSLCRAVLTEIFGDSSLNVQP >PAN08686 pep chromosome:PHallii_v3.1:1:57947392:57948871:1 gene:PAHAL_1G429700 transcript:PAN08686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPSHLAGAGDGAEPFRVFVGYDSREDIAYRVCRRSLLRRSSIPLDVIPIVQQELREAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARLADPRYAVLCVHHDYAPREATKMDGAVQTVYPRKNWSSMVLFNCGHPKNRAALTPEAVSTQTGAYLHRFMWLDDDEVGEVPFVWNFLVGHNKVDPADEAGTAPRAIHYTSGGPWFERYKDCEFADLWVQERDAYEAEEEKEKVGEDDVKAAIQMTPALSVDA >PAN03965 pep chromosome:PHallii_v3.1:1:2224376:2234934:-1 gene:PAHAL_1G031900 transcript:PAN03965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNGFLGRAVWEFDPDAGTPEERAEVERLRREFADHRFQRKESADLLMRMQCAKQSSHQRRNLPRIKLQKDEPVTEEIALNSLRRALDEFSSLQASDGHWPGDFSGIMFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQFERDPTPLHHAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PAN03967 pep chromosome:PHallii_v3.1:1:2224393:2234661:-1 gene:PAHAL_1G031900 transcript:PAN03967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNGFLGRAVWEFDPDAGTPEERAEVERLRREFADHRFQRKESADLLMRMQCAKQSSHQRRNLPRIKLQKDEPVTEEIALNSLRRALDEFSSLQASDGHWPGDFSGIMFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PAN03962 pep chromosome:PHallii_v3.1:1:2224377:2230817:-1 gene:PAHAL_1G031900 transcript:PAN03962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PVH65590 pep chromosome:PHallii_v3.1:1:2224393:2232141:-1 gene:PAHAL_1G031900 transcript:PVH65590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKFHYLMQQEQSLKLVGVYVNIEGSHAHAVNTAWAMLALIYAEQFERDPTPLHHAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PAN03972 pep chromosome:PHallii_v3.1:1:2224766:2234332:-1 gene:PAHAL_1G031900 transcript:PAN03972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNGFLGRAVWEFDPDAGTPEERAEVERLRREFADHRFQRKESADLLMRMQCAKQSSHQRRNLPRIKLQKDEPVTEEIALNSLRRALDEFSSLQASDGHWPGDFSGIMFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKFHYLMQQEQSLKLVGVYVNIEGSHAHAVNTAWAMLALIYAEQFERDPTPLHHAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PAN03964 pep chromosome:PHallii_v3.1:1:2224393:2234661:-1 gene:PAHAL_1G031900 transcript:PAN03964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNGFLGRAVWEFDPDAGTPEERAEVERLRREFADHRFQRKESADLLMRMQCAKQSSHQRRNLPRIKLQKDEPVTEEIALNSLRRALDEFSSLQASDGHWPGDFSGIMFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PVH65592 pep chromosome:PHallii_v3.1:1:2224393:2234935:-1 gene:PAHAL_1G031900 transcript:PVH65592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNGFLGRAVWEFDPDAGTPEERAEVERLRREFADHRFQRKESADLLMRMQCAKQSSHQRRNLPRIKLQKDEPVTEEIALNSLRRALDEFSSLQASDGHWPGDFSGIMFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQFERDPTPLHHAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PAN03963 pep chromosome:PHallii_v3.1:1:2224766:2230550:-1 gene:PAHAL_1G031900 transcript:PAN03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQFERDPTPLHHAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PAN03969 pep chromosome:PHallii_v3.1:1:2224377:2232150:-1 gene:PAHAL_1G031900 transcript:PAN03969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PAN03966 pep chromosome:PHallii_v3.1:1:2224766:2234332:-1 gene:PAHAL_1G031900 transcript:PAN03966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNGFLGRAVWEFDPDAGTPEERAEVERLRREFADHRFQRKESADLLMRMQCAKQSSHQRRNLPRIKLQKDEPVTEEIALNSLRRALDEFSSLQASDGHWPGDFSGIMFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQFERDPTPLHHAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PAN03961 pep chromosome:PHallii_v3.1:1:2224766:2231570:-1 gene:PAHAL_1G031900 transcript:PAN03961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKVYVNIEGSHAHAVNTAWAMLALIYAEQFERDPTPLHHAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PVH65591 pep chromosome:PHallii_v3.1:1:2224766:2234332:-1 gene:PAHAL_1G031900 transcript:PVH65591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNGFLGRAVWEFDPDAGTPEERAEVERLRREFADHRFQRKESADLLMRMQCAKQSSHQRRNLPRIKLQKDEPVTEEIALNSLRRALDEFSSLQASDGHWPGDFSGIMFIMPSLIFALHVTNSLNVVVSLEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDENSALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPKFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYSTWYVNIDWSKARNACAKEDLLCPRTDLQNAVWTALYRCVEPVLSSWPVNKLRDRALDNLMEHIHYEDESTQYVCICSVNKALNMVCCWVENPNSSAFKRHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTMQRAYEFMKHSQVMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPIEKERLHDAADCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNIVVDYPYPECTSSVIQALILFKELYPSYRTEEINESIRKAAIFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACQFLLSKQLSTGGWGESHVSNETKFHYLMQQEQSLKLVGVYVNIEGSHAHAVNTAWAMLALIYAEQFERDPTPLHHAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYHRCLRANKSNGSM >PVH65653 pep chromosome:PHallii_v3.1:1:3233668:3240034:1 gene:PAHAL_1G047400 transcript:PVH65653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVLLFRCLRLVVGWCIFLKATVAASTNKEMESQIPNYPSLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGSASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFNQQPPAQELIAKDLHGNDWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPLSKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSPFALGLKRPWPTGLPSLYGGRDDGLTSSLMWLRDGANPSFQSLNFGGLGASPWMQPRMDNSLLGLQSDMYQTIAAAAALQGTTKQISPSVMQFQQPQNIVGRSALLSSQIMQQARPQFQQIYNQNINDSTVQGHTQAEYLQEQLQCSQSFNEQKPQLHPQQQHQESQQQQECVQTPQNQQLHCLPNALSAFSQLSSVTQSPSSTLPTVPAFSNQNFPDTNVSSLTPSGGSSMQGMLGQLPSEAASNLPCVARTTPLPISDPWSSKRVAVESVNPSRPHAVSTQIEQLDMTPCNLPQNSALAPLPGRGCLVDQDGSSDPQNHLLFGVNIDSQSLLMQGGIPGHQNDNVSRTIPYSTSNFLSPSQDDFPLNQPLPSAGCLDESGYVPCAENSEQANQQFSTFVKVYKSGTIGRLLDITRFSSYDELRSEVGRLFGLEGQLEDPLRSGWQLVFVDGEDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGIQLLSSAPARRLSNGCDSYVSRQESRSLSTGMAQVGSVEF >PAN04176 pep chromosome:PHallii_v3.1:1:3233668:3240034:1 gene:PAHAL_1G047400 transcript:PAN04176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLSAGGGLQDQPASPEEAEENKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHGEQVAASTNKEMESQIPNYPSLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGSASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFNQQPPAQELIAKDLHGNDWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPLSKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSPFALGLKRPWPTGLPSLYGGRDDGLTSSLMWLRDGANPSFQSLNFGGLGASPWMQPRMDNSLLGLQSDMYQTIAAAAALQGTTKQISPSVMQFQQPQNIVGRSALLSSQIMQQARPQFQQIYNQNINDSTVQGHTQAEYLQEQLQCSQSFNEQKPQLHPQQQHQESQQQQECVQTPQNQQLHCLPNALSAFSQLSSVTQSPSSTLPTVPAFSNQNFPDTNVSSLTPSGGSSMQGMLGQLPSEAASNLPCVARTTPLPISDPWSSKRVAVESVNPSRPHAVSTQIEQLDMTPCNLPQNSALAPLPGRGCLVDQDGSSDPQNHLLFGVNIDSQSLLMQGGIPGHQNDNVSRTIPYSTSNFLSPSQDDFPLNQPLPSAGCLDESGYVPCAENSEQANQQFSTFVKVYKSGTIGRLLDITRFSSYDELRSEVGRLFGLEGQLEDPLRSGWQLVFVDGEDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGIQLLSSAPARRLSNGCDSYVSRQESRSLSTGMAQVGSVEF >PVH66970 pep chromosome:PHallii_v3.1:1:55614769:55616597:-1 gene:PAHAL_1G397000 transcript:PVH66970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGLQIRTANYTRLCHRKSILTVNGRFPGPTIYARKGDVVTVDVHNQGDKNVTIHWHGVDQPRNPWSDGPEFITQCPIQPGASFTYRIVLSWEEGTLWWHAHSDFDRATVHGAIVIRPRRGTSYPFKKPHKEIPIFLGEWWKGNVRQLLADALQTGGEFQPSDANTINGQPGDLFPCSRDGTFTLPVEHGKTYMLRLINAALANEFFFGVAGHRLTVVGTDASYVKPFTVDHVFLAPGQTVTALLRADRASRGPRNTRYYMAARPLSTNPLVLFNNSTATAVLEYTDAAAPPSLATPDFPILPDINDCAAADAYTARLRSLASDAHPARVPRRVDEHMLVTIAMNEIACAPDENCQGPRGNRLAASLNNVSFEAPRHTGILGAYYHHRSAGVVRETDFPSNPPLPFNFTADDLPPELRLTARGTRVKVLEYGTVVEVVLQDTAILGAESHPIHLHGYSFYVVGTGSGNFDDGRDPAGYNLVDPPYQNTVAVPRGGWSAIRFRAENPGVWFMHCHFERHMVWGMDTVFIVKDGNGAEEKMMPPPLGMPRC >PAN06283 pep chromosome:PHallii_v3.1:1:45653177:45657613:-1 gene:PAHAL_1G252100 transcript:PAN06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLDQCNPLVKKFCFARDLLKEHNGIDVSIRIIGADKNDPVQFEMPNSDELVLLIVGDISLENYKRDIIVQSEDQSLHEISILHPAFMALQYPLLFPYDAVEKENLHGDQIGKKTLLPSSHTGCRRYMIQNYHDGIAICRVYGPPDLFITFTCNPKWSLINQMLSKGITSIRSGVVFGPTNAILYSIEFQKRGLPHVHILVWLANTNGPDINIEMIDHFISAEIPDPNTDPLGYILIAEHMMHGPCGNKDPHCPLERLPVYLPFMNIVPSKSNAKLKKLIENSDLQKTMLTEWFIANQKYEKAHELTYCEFPLKWAWDKKKSTMECKEYKNYIMNRLIEEELSYDIDKLEEQANTLYACLNSEQRNAFHIIIKNVLDNIGGFYFISGYGGTGQTYLWNAIVSYLRARKKIVLTVASSGVASLLLPNGRTAHSRFKIPLDIDESSVCDIKRGTLMTNRRCFEALDRSLRDIISQINSQAKEIPFGGKVVVLGGDLRQILPVIENGTRTQIIDAAIIKSYLWKYVQVLKLTENMCLKITNTLVNCIYPQFEQKYYKPEYLKERAILAPTNEDINTHILTLVPQEDKKYLNADSISKCLDTCNDADILYPVEYLNTLTATNFPQHKLVLKIGVPIILLRNLNQSIGLCNGTRLIVTNLGDNIIEAIIITGSNIREKVYIPRINLTTRGCKWPFILNRRQFPIKMCYAMTINKSQGQTLTNVGIYLKKPVFAHGQLYVAISRVTSKSGLKILIENEDGTCGNQTRNIVYREIFNYI >PAN08078 pep chromosome:PHallii_v3.1:1:54828265:54832045:-1 gene:PAHAL_1G385600 transcript:PAN08078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVAAARRQQPHAKAPGGGSPLAMSGAGAASSCRQRRQLGGALLLLVAVALAGVLGGAEGKAHNYEDALQKSLLYFEAQRSGRLPHSQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGGDVAAAGELGHALEAVKWGTDYFIKAHTQPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDHPGSDVAGETAAAMAAASIVFRDANPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGRADYLDYVVDNADSFGGTGWAINEFSWDVKYAGVQILATRLLLRGEHAARHRSTLERYREKAEHYVCACLGRNADGGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSAYSDYLAEAGVGAVSCAGAGEGDVAAAEVFALARAQVDYVLGTNPRGVSYLVGYGPKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLHRMARQEREQGPTPAAPVTSTAAEV >PAN08542 pep chromosome:PHallii_v3.1:1:57134974:57137252:-1 gene:PAHAL_1G419100 transcript:PAN08542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVDQKVVVHVRSTGDAPILKQSKFKISGRDKFLKVVEFLRRQLHQDTLFVYINSAFSPNPDELVIDLYNNFGIDGKLVVNYALSAAWG >PAN06640 pep chromosome:PHallii_v3.1:1:47755660:47759370:1 gene:PAHAL_1G277900 transcript:PAN06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGGVVLPVNGGGGPGPGDGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEAFFPDIIEKMNNATQDEYCIFNSQLLTTFVSSLYLAGMLACLVAGHITKRIGRRNSMLIGASLFFVGAVLNCAAVNIAMLVVGRVLLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNIGIFVADLVNYRANTIPVWGWRLSLGVGIIPATVILLGAAFIPDSPNSLVLRGKVEAARASLRRIRGRSADVDVELKDIMQAAEEDRRYEEGAFRRIVRREYRPHLVMAIAIPVFFELTGMIVVTLFTPLLFYTVGFTSQKAILGSIITDVVSLVSIAIAAMAVDRVGRRSLFMVGGGILLACLVAMTWIFGAELGTNGGKAMPRPYAVAVVAVVCLFTAGFGVSWGPLKWIIPSEIYPLEVRSAGQGMSEAISLALTFVQTQSFLNMLCSFKYGTFAYNAGWVVLMTAFIFFFLPETKGVPIESLREVWARHWYWKRFVKPLTPAPEPSAPKVADGPA >PAN08053 pep chromosome:PHallii_v3.1:1:54672915:54695547:1 gene:PAHAL_1G383600 transcript:PAN08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFISDEELRLLGGDTAAVAERADAAIRELRRQVDTVRAEADAAAIAAEQTCALLEQRYASLSAEFDRSQAEAAELTAAAERRAAELASSQAEIHQLRIQAIAKDGEVERLKVEISELHKSKCQSLELIEQRDAEIKEKDGIIQSYYDKIVNLADSSASKEARIQEVEAKFTHCQAMCNRITQEKELLEKHNLWLDEELKVKVKNLAELRKTNMDEEARMSARIAELEREISESSSSLRRGKERISELEQRVSYMEKELCSTKDAAAANEQRLGAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETLSRRDLEKEAADLKQKLEKCEFDLENTRKSSELSLIPLTNVAADSTDLVDSRRQELPMFDDLNQNDLMVIPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALLHERRGRRHAEAVLERVLHEIEEKAELILDERAEHERMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSVAQKEIDDLQKQVAVLLKECQDIQLRCGSSLPNVGNGAFSASVGSVLSNVEHNIKDNMTFKDINGLVQQNIQLRNQVHMLSAELDKKDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVESVPNNLQDSGRTDLMVLFEGSQEVSQKAYEQVSERARSLDEELTKLRTELVSLRSERDKAVLEADFARDRLNGFTAELEHQRKETNSISLRNAELMHLIVDYERRLREDSDSKQALEENSRNLLMEVSVLKGGKEILEKSEKRALDEVHDLTERVHRLQATIDTIHTTEEVRENARSMERRNHEEHIKRLERDWAELKKELQEQRDHVRILTLDKKNAFDSCMKQVEDMRKELQSSWKAASDAESRAAISEAKCSDLEAKLKSRKVIFRDAGREISATSEDNDELFQLKEELEKYKEEAQANKNYMVQYKEIAHSNEVALKQLESAHQDYKAEAEVVKKALEDEIAKLRDKLSDMEKSYVMKCEETAIAIESKEKQITSLMNEISVLRTEISERLPQVEHLEMELASSKGALDEQYKRWRTAQDNYERQVILQSETIQELTNTSKQLSSLQHEIAILRQTADAQKTENDALRTLGEQEKIGLLKEKDDALRKYNELNDQNRILHNQLEALHIRLAEKERSIAGLSSQRTDSHGEDDLHSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQTDSARTLMLKDEEFKSLQLQVREINLLRESNIQLREENRHNFEECQKFRDEAQKAKMESERLQSLVLEKEVDAEMCKKELEMQKVEIANLNQKISELVENSKGIDLNTYEGMKNELQNIKSTLRENSMELENAKKLLSEKEVAIRNLEEKLAVCQSELDSREKKLNDVESSMKSEMDRLKKINFSIKRKLDNVVKEKEEVTKENQSLLKQMEDLRSSQKTTSETTFEQAIKEKDFRIQTLERTLEKERDDNKKEKANRKRNEKLQLNILQRVQQEKKQVEESIEKHKQAVKEVIENYPGLSSEVPPVSALEEQILSYFRMANDMEESSPFRDAAVTQSPAVETALTDAPGSASGRLVDTPPRLTKAKMMEDRAVATLSKQSTEVRRPGGRRPLVRPTLERTEEPQADTDTSAADASMVGQDKGGPSLERDASGSLPVLQPSSRKRLISSSQTIDSASQGEPNDANPPSKKPKEEESSQGTSEVKSDQPPVGEIAAQVGVLPSTDDQDGQQSTEEMEPIEEAEATKDDDVGDKDDSGTNVDASLDTKGQDADVSIDINAVPVEDAQAKSDAVTESFDEDQKIEDSKEDAQLTTATDVDDEMEEGELPEEPEPPSETALGETNREPTSDIGEQAGNVFRAASPGGLTEKSDVDMSEIEGGTTADHAAVEPDQSPVAQSGAADASPSRTADASPAREPSLNPVQAGASSRPQNTGTTTEAREPSPNPAQAGASSEQRNTSTVTEAAETRSRTINLTERARQNRQARFQLRAQPATARGRGQQSASQRKDAAGRGSRGRGGRQT >PVH66921 pep chromosome:PHallii_v3.1:1:54672915:54695547:1 gene:PAHAL_1G383600 transcript:PVH66921 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFISDEELRLLGGDTAAVAERADAAIRELRRQVDTVRAEADAAAIAAEQTCALLEQRYASLSAEFDRSQAEAAELTAAAERRAAELASSQAEIHQLRIQAIAKDGEVERLKVEISELHKSKCQSLELIEQRDAEIKEKDGIIQSYYDKIVNLADSSASKEARIQEVEAKFTHCQAMCNRITQEKELLEKHNLWLDEELKVKVKNLAELRKTNMDEEARMSARIAELEREISESSSSLRRGKERISELEQRVSYMEKELCSTKDAAAANEQRLGAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETLSRRDLEKEAADLKQKLEKCEFDLENTRKSSELSLIPLTNVAADSTDLVDSRRQELPMFDDLNQNDLMVIPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALLHERRGRRHAEAVLERVLHEIEEKAELILDERAEHERMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSVAQKEIDDLQKQVAVLLKECQDIQLRCGSSLPNVGNGAFSASVGSVLSNVEHNIKDNQMTFKDINGLVQQNIQLRNQVHMLSAELDKKDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVESVPNNLQDSGRTDLMVLFEGSQEVSQKAYEQVSERARSLDEELTKLRTELVSLRSERDKAVLEADFARDRLNGFTAELEHQRKETNSISLRNAELMHLIVDYERRLREDSDSKQALEENSRNLLMEVSVLKGGKEILEKSEKRALDEVHDLTERVHRLQATIDTIHTTEEVRENARSMERRNHEEHIKRLERDWAELKKELQEQRDHVRILTLDKKNAFDSCMKQVEDMRKELQSSWKAASDAESRAAISEAKCSDLEAKLKSRKVIFRDAGREISATSEDNDELFQLKEELEKYKEEAQANKNYMVQYKEIAHSNEVALKQLESAHQDYKAEAEVVKKALEDEIAKLRDKLSDMEKSYVMKCEETAIAIESKEKQITSLMNEISVLRTEISERLPQVEHLEMELASSKGALDEQYKRWRTAQDNYERQVILQSETIQELTNTSKQLSSLQHEIAILRQTADAQKTENDALRTLGEQEKIGLLKEKDDALRKYNELNDQNRILHNQLEALHIRLAEKERSIAGLSSQRTDSHGEDDLHSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQTDSARTLMLKDEEFKSLQLQVREINLLRESNIQLREENRHNFEECQKFRDEAQKAKMESERLQSLVLEKEVDAEMCKKELEMQKVEIANLNQKISELVENSKGIDLNTYEGMKNELQNIKSTLRENSMELENAKKLLSEKEVAIRNLEEKLAVCQSELDSREKKLNDVESSMKSEMDRLKKINFSIKRKLDNVVKEKEEVTKENQSLLKQMEDLRSSQKTTSETTFEQAIKEKDFRIQTLERTLEKERDDNKKEKANRKRNEKLQLNILQRVQQEKKQVEESIEKHKQAVKEVIENYPGLSSEVPPVSALEEQILSYFRMANDMEESSPFRDAAVTQSPAVETALTDAPGSASGRLVDTPPRLTKAKMMEDRAVATLSKQSTEVRRPGGRRPLVRPTLERTEEPQADTDTSAADASMVGQDKGGPSLERDASGSLPVLQPSSRKRLISSSQTIDSASQGEPNDANPPSKKPKEEESSQGTSEVKSDQPPVGEIAAQVGVLPSTDDQDGQQSTEEMEPIEEAEATKDDDVGDKDDSGTNVDASLDTKGQDADVSIDINAVPVEDAQAKSDAVTESFDEDQKIEDSKEDAQLTTATDVDDEMEEGELPEEPEPPSETALGETNREPTSDIGEQAGNVFRAASPGGLTEKSDVDMSEIEGGTTADHAAVEPDQSPVAQSGAADASPSRTADASPAREPSLNPVQAGASSRPQNTGTTTEAREPSPNPAQAGASSEQRNTSTVTEAAETRSRTINLTERARQNRQARFQLRAQPATARGRGQQSASQRKDAAGRGSRGRGGRQT >PAN08844 pep chromosome:PHallii_v3.1:1:58591606:58595846:-1 gene:PAHAL_1G440200 transcript:PAN08844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRASERTSGAAGPSMDKIEKTPGEASGRRNPTGSMNQSDNYAQRPRETVSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPGSSVEPSEQQYNRTSRLFSSNSGSRPSSTQRVNPSPGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >PAN08845 pep chromosome:PHallii_v3.1:1:58591990:58595257:-1 gene:PAHAL_1G440200 transcript:PAN08845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRASERTSGAAGPSMDKIEKTPAGEASGRRNPTGSMNQSDNYAQRPRETVSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPGSSVEPSEQQYNRTSRLFSSNSGSRPSSTQRVNPSPGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >PAN07912 pep chromosome:PHallii_v3.1:1:53956537:53959415:1 gene:PAHAL_1G372400 transcript:PAN07912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MEEDSPLTRTVKGAVTGLAAGTIWGTVVATWYDVPRVERHVALPGLIRTLKMCGTYGATFATIGGLYIGVEQLVQSQRKKRDFVNGAVGAFVAGASVCGYRGKSIQSALIGGSCLAFTSAVLDIGGNTTRVDNGKEYYPYTTEKKPAH >PAN07923 pep chromosome:PHallii_v3.1:1:53956537:53959406:1 gene:PAHAL_1G372400 transcript:PAN07923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MEEDSPLTRTVKGAVTGLAAGTIWGTVVATWYDVPRVERHVALPGLIRTLKMCGTYGATFATIGGLYIGVEQLVQSQRKKRDFVNGAVGAFVAGASVCGYRGKSIQSALIGGSCLAFTSAVLDIGGNTTRVDNGKEYYPYTTEKKPAH >PAN05208 pep chromosome:PHallii_v3.1:1:9675380:9676525:-1 gene:PAHAL_1G122100 transcript:PAN05208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLIRAKRHPRSMLRRAADGQIAGSIFAKLQTSTPGEAFRAAMAGGGGVPAPYVQGGTCERNLPAQARHKNEARPAYTIPGVPMPVRPAPKQPSTRPKAPPSPSRPGLKDKAKAKAALHQKAGETSEMKDRVEKFFSIFLGNRDKKK >PVH66615 pep chromosome:PHallii_v3.1:1:49071162:49076867:-1 gene:PAHAL_1G299000 transcript:PVH66615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCLSWALGLLLPSLWEAEVAVSATALLIAALVLFLLTSDQHTAKPTAAGGGGSSLAASSSSRPSAAAACRCDAGRGRSGARGEAVSEISCAPGAGGYVIKLELLSAKYLIGANLDGSSDPFAVISCSEQKRFSSMVPSPRNPLWGEEFNFLVDQLPVEVTITIYDWDTACKCKVIGSVTIAVLSEDETGASWYELDSKFGQICLRLRSTKAFPDSDSFEECTGVESPRKMILKKQRREMIEGIGPLQTIYKLPHDEIVHQSYSCALERCFLQHGRMYISQWHLCFHSNVFSKQLNVIIPLQDIDEIKRSQHSLINPAITIFLNAGAGGHGSGTPRSCSQNGRVRYTFASFWSRNRTFRALETALHSYEATLEAEKQVRAHVLLQKESNSVLGSKTDSTKTPGKNIEKSITFQPFINKHVLADVTSKFFPGTPEKFFSTILGDNSMFFQQYRDARKDTDLKLSKWCASEEYGGKVREVTFRSQCHSPLCPPDTAVTEWQHASFSKDKRSLIYETKHQAHDVPFGSFFEIHSRWSLRTTSSSTCQVNIKIGVNMKKWCILQSRIKSGATDEYRREVCKILEAACDYFLKSESNGHDSDEIVMASSP >PVH66614 pep chromosome:PHallii_v3.1:1:49072008:49076867:-1 gene:PAHAL_1G299000 transcript:PVH66614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCLSWALGLLLPSLWEAEVAVSATALLIAALVLFLLTSDQHTAKPTAAGGGGSSLAASSSSRPSAAAACRCDAGRGRSGARGEAVSEISCAPGAGGYVIKLELLSAKYLIGANLDGSSDPFAVISCSEQKRFSSMVPSPRNPLWGEEFNFLVDQLPVEVTITIYDWDTACKCKVIGSVTIAVLSEDETGASWYELDSKFGQICLRLRSTKAFPDSDSSFEECTGVESPRKMILKKQRREMIEGIGPLQTIYKLPHDEIVHQSYSCALERCFLQHGRMYISQWHLCFHSNVFSKQLNVIIPLQDIDEIKRSQHSLINPAITIFLNAGAGGHGSGTPRSCSQNGRVRYTFASFWSRNRTFRALETALHSYEATLEAEKQVRAHVLLQKESNSVLGSKTDSTKTPGKNIEKSITFQPFINKHVLADVTSKFFPGTPEKFFSTILGDNSMFFQQYRDARKDTDLKLSKWCASEEYGGKVREVTFRSQCHSPLCPPDTAVTEWQHASFSKDKRSLIYETKHQAHDVPFGSFFEIHSRWSLRTTSSSTCQVNIKIGVNMKKWCILQSRIKSGATDEVCNTTLDMGTS >PAN08341 pep chromosome:PHallii_v3.1:1:56279727:56282357:-1 gene:PAHAL_1G405800 transcript:PAN08341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGYDCAASVLLCAEDNAAILGLDEDGEESSWAGATPPRDTVAGGGGGIAVEGFLTELPLQSDEYVAALVERETGHMPAEGYPQKLKRRHGGLDLAAVRRDAVDWIWKVTEHYNFAPLTAVLSVNYLDRFISTYEFPEGKPWMPQLLTVACLSLAMKIEETFAPLPLDLQVVESKFAFEGRTIKRMELLVFSTLNWRIHAVTACSFIEYFLHKLSDLGAPSLLARSRSADLILSTAKDAAFVVFRPSEIAASVALAAIGECRSSVIERAATSCKYLDKERVLRCHEMIQEKITMGSIILKSAGSSISPVPQSPIGVLDAAACLSQQSDDATVGSPATCYHSSSTSKRRRITRRLL >PAN08045 pep chromosome:PHallii_v3.1:1:54599021:54601970:-1 gene:PAHAL_1G382800 transcript:PAN08045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLSPLAVTHLLQHTLRSLCAHDDAQWVYAVFWRILPRNYPPPKWDLQGGFYDRTRGNRRNWILAWEDGFCNFAASGGDHEGAAAPAAAAAYTECAAGQGAKQQQGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFQEAQPQEHEINLISSWSNPADSHPRTWEAQFQSGIKTIALIAVREGVVQLGSMRKVAEDLSYVVMLRRKFGYLESIPGVLLPHPSSAAFPADGCVGGGPAEAACGWAPGLVVPQMDLCYDPYGQATAAAAAAQMHIVPSMSSLEALLSKLPSVDPAAPPGGMAKDEADRGECHGAVDVATGSGGESTSSVAAATASYYVNVASSNPGEGF >PAN05176 pep chromosome:PHallii_v3.1:1:9402756:9404348:1 gene:PAHAL_1G119700 transcript:PAN05176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPHLASTNTVPTRAFRRRHRVPLPSTHATMARRLSSAAPLLLLVLSLVAVAHCRPLEADPESAAAVAAGDDENGPPNPTLPTEAGAGEVVLPAEQQRQHGFLRLPSHGYRHRPCRHGLFQRHLWWARHHGAFGEDAPRRFHRHGEALSHSHLIASPSGEAREVKAVAEPDPDRSLPDGDGEGQQSFGDADGAHAHEEAASNEDEGAAVRAWRKEMQRRWWLHHHGMRLHRHHRDEEEEGAEGLKRFHHHDEEEKRFRRAGEHDDESDSEDEDEDEEVEELVRRFRKAIMRRRSGHGRRFHHHHRYAGEAEKADAAQEEGGVVSWIKDLIMNRF >PAN06805 pep chromosome:PHallii_v3.1:1:48524497:48525818:-1 gene:PAHAL_1G289600 transcript:PAN06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKAMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PAN07609 pep chromosome:PHallii_v3.1:1:52379832:52386026:-1 gene:PAHAL_1G349100 transcript:PAN07609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHFAAASGRFSRSEAADEEQEADASNSRREISFMPAAAAATASSSAAASGSASTSASASASGSSSAAPFRSASGDGAGASGSGGGGGSGDAEAVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDAAANEKGLLLSFEDSAGKHWRFRYSYWNSSQSYVMTKGWSRFVKEKRLVAGDTVSFSRAAAEDARHRLFIDWKRRVDTRGPLRFSGLALPMPLASHYGPHHYSPWGFGGGGGGGGFFMPPSPPATLYEHRLRQGLDFRGMTYPAPTVGRQLLFFGSARTMPPHAPLQPRAPTLPLHYTVQPSAAGVTAASRPVVLDSVPVIESPTTAAKRVRLFGVNLDNNPQQDGGEASHQGNALSLQMPGWQQRTPTLRLLELPRHGAESSAASSPSSSSSSKREARSALDLDL >PAN04511 pep chromosome:PHallii_v3.1:1:4918823:4927618:-1 gene:PAHAL_1G072000 transcript:PAN04511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETLDPPPDAAPSTPTPPVTPAALLRPRREAFEYGLLPIPKLIFPEGTLAQTLAQLKEKLAAAAGPGGRVGAAALAEALQIPQEQAALALGTLAAVLPGEDPALGDGAGDAAGADIRDVLLFLYIQSYKRLVPRNAHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMESFEHLGFLVQFSEGTSLSQAATFFANSDPDMPAAPVTAAHVLDWMSQNIASSLEYSAERSAAKESNQQTVSDLDVTMAEANTSHPRNSTPSSNPAYYRNVTFVEGFSKTSVVKHASDVKGNSIKVLNCHDSVIYILAPLKYATVYGCSDATVVLGAVGKAVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLVLGDNHKLQVAPFNTCYPQLRDHLMQVGVDPSINKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLIPSWFGDERQEPTSCNPFPLPEIYGAFQSKKRSALEDIQKTIRELQLDENRKRELATALHAQFKDWLYASGNIRQLYCLQGD >PAN04234 pep chromosome:PHallii_v3.1:1:3449714:3450422:-1 gene:PAHAL_1G050800 transcript:PAN04234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPSVNVERLWSMVPADKAAEAAAGADKAPLVDVTQFGYFKVLGKGMLPPKPIVVKAKLISKVAEKKIKAAGGAVVLTA >PAN05791 pep chromosome:PHallii_v3.1:1:37987007:37987958:1 gene:PAHAL_1G220500 transcript:PAN05791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKILLSLLVLAAVSPAELAAFDVIQMLVDKPQYAGFSKVLEQTKVAGEANQLKAASLLVVPEKTVKSLVALPADKLRQAVANHVLLSYFDPIKLDEMKTRTALLPTLLSNTDKALGVLNYSKADDGQMYFGAPGAPCVAKLVKVVAARPYTVSIMEISEPILPLGSGKPDAAPGRRGKGGKGKIKPAGLDESSEVTGKTDDAAGKAESPESAAAAPSPAS >PVH66984 pep chromosome:PHallii_v3.1:1:55756666:55759866:1 gene:PAHAL_1G400000 transcript:PVH66984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVHTKSVRLARKIKHMRKVTHVNYPDTAMSLALLQGYSSAEEDEDHTAGAGAELSESGDSSAEEAGSDGDQASAPPKPASKPRRRPNPNGGDAAGGEGDSSLPSALEAFADVSGPPEFLRHRVAEPEEGTEALGVLDRRGKEGSKHPPPGAVLVAKPQLVAIRERVTTTSSNPPGSVTSGSVDGKRIIGAANPGPEDAADLLRMCLQCGVPKTYSHGKGMVCPVCGDRPAQTKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >PVH66985 pep chromosome:PHallii_v3.1:1:55757296:55760121:1 gene:PAHAL_1G400000 transcript:PVH66985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALLQGYSSAEEDEDHTAGAGAELSESGDSSAEEAGSDGDQASAPPKPASKPRRRPNPNGGDAAGGEGDSSLPSALEAFADVSGPPEFLRHRVAEPEEGTEALGVLDRRGKEGSKHPPPGAVLVAKPQLVAIRERVTTTSSNPPGSVTSGSVDGKRIIGAANPGPEDAADLLRMCLQCGVPKTYSHGKGMVCPVCGDRPAQTKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >PAN07665 pep chromosome:PHallii_v3.1:1:52662226:52664027:-1 gene:PAHAL_1G353400 transcript:PAN07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRCKKHPFQAAGGVCATCLRDRLLVLAAERNGASSSPAHAQAQAPPAPEPAAFPRSVSPYVSRRKSDAAGGGGALRHHPSLLFFRTPQVGPAYGGGGALEEGDIGYEYEKRRAGKFSVLATLFGQHHRSEVEDKHRGRARERKKLSWLAGIIPRRRKKQAPAAASSSSPPSAPPRRSCRVVSNRGLSPERDSHGSGDESSSPAADPPWRPSPSPMRKTPCRRRQTSSMPSGFAVCLSPLVRPSPGRRHRPVQPPDPGAFSCELRPSPLHNLSSAAPVTRCRSRKLAGGGRFR >PVH65942 pep chromosome:PHallii_v3.1:1:8262034:8267786:-1 gene:PAHAL_1G109300 transcript:PVH65942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rf2 protein [Source: Projected from Oryza sativa (Os02g0274000)] MLQLGTRALPGAAIYGTSTRRFGGSQFKQLKVNHISFEGKVFAKATMRSVRCKATQTQSVQKKSSSATVQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKHLLTRRHRASDDAGHGLLQP >PVH66678 pep chromosome:PHallii_v3.1:1:50095134:50102711:1 gene:PAHAL_1G313300 transcript:PVH66678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNEICTRPHELEKLEIARRGEEDKASYNPELEEGEFRKDEPFVFKKLVRKDVVASTAVKLPSSAQVAIKNGQVNTRRPTSPERGSHQGGIANTVMQSKYRSIRDQPDNVRQSSSHRIYSEKYRQSCSLSPYIRSKEKHEQRTRNCFSYHDYHHVLKKIEEVCLERLGKLLLQQNKDRKEFNITLKKLEFKCFQEHAYSYRVHYEHVIPTARYHRMKLPKLTFCILRKVFRRYMQSQIIKFVKQQINDRNKEKRIKERWRFEATAGYLKKSFDETSLAYSGFEMEKSNYHMHAYSEGEQQLKYLDMQPLTIEIEEIASSRELEGSHTNKESDVFDPEPVIENLQSPLEANGGAEHHLSVDAPEEIAIVNSMSSQSNYAPTMESEKVGTQVILSLPPQNKEEIMERSCSQFATDEALVLDNATSADSESAPPVFGENQSCISPAVDASEGSCSMCQRKFPHGSDSNIHESTLRHEEPQVGRLPSVNVDEMEEADIAGSKEVSSGDTSSSGQVTEQRSTIATSSTLVQPSTQPQLYDPTCQSSSYPYQPSGVNTCSVSTGLDSHGALNAQMQSANQTTSSSMVEHMPESGLQSDPVTNEFSQLLMLISNHTSSSAQVTEQQIASNSFLRQQYGDQACQTSAHQHRASNVQRQSNNQTTTGSTSGLPESHLQSDPLTIEMSQLLVLHDLMTKRHLSKRQKIILEREIEMAELKRKFDEQFHNLEMETLQKKKDIEILQEKICKQQILAETFRVLHKASTGVASCSQIGAPRREPNQPSGQQVLQFSSSATMYQSPQPAAQPSTNNFLRQPVVILP >PVH66677 pep chromosome:PHallii_v3.1:1:50095466:50102711:1 gene:PAHAL_1G313300 transcript:PVH66677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNEICTRPHELEKLEIARRGEEDKASYNPELEEGEFRKDEPFVFKKLVRKDVVASTAVKLPSSAQVAIKNGQVNTRRPTSPERGSHQGGIANTVMQSKYRSIRDQPDNVRQSSSHRIYSEKYRQSCSLSPYIRSKEKHEQRTRNCFSYHDYHHVLKKIEEVCLERLGKLLLQQNKDRKEFNITLKKLEFKCFQEHAYSYRVHYEHVIPTARYHRMKLPKLTFCILRKVFRRYMQSQIIKFVKQQINDRNKEKRIKERWRFEATAGYLKKSFDETSLAYSGFEMEKSNYHMHAYSEGEQQLKYLDMQPLTIEIEEIASSRELEGSHTNKESDVFDPEPVIENLQSPLEANGGAEHHLSVDAPEEIAIVNSMSSQSNYAPTMESEKVGTQVILSLPPQNKEEIMERSCSQFATDEALVLDNATSADSESAPPVFGENQSCISPAVDASEGSCSMCQRKFPHGSDSNIHESTLRHEEPQVGRLPSVNVDEMEEADIAGSKEVSSGDTSSSGQVTEQRSTIATSSTLVQPSTQPQLYDPTCQSSSYPYQPSGVNTCSVSTGLDSHGALNAQMQSANQTTSSSMVEHMPESGLQSDPVTNEFSQLLMLISNHTSSSAQVTEQQIASNSFLRQQYGDQACQTSAHQHRASNVQRQSNNQTTTGSTSGLPESHLQSDPLTIEMSQLLVLHDLMTKRHLSKRQKIILEREIEMAELKRKFDEQFHNLEMETLQKKKDIEILQEKICKQQILAETFRVLHKASTGVASCSQIGAPRREPNQPSGQQVLQFSSSATMYQSPQPAAQPSTNNFLRQPVVILP >PAN07136 pep chromosome:PHallii_v3.1:1:50095134:50102711:1 gene:PAHAL_1G313300 transcript:PAN07136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNEICTRPHELEKLEIARRGEEDKASYNPELEEGEFRKDEPFVFKKLVRKDVVASTAVKLPSSAQVAIKNGQVNTRRPTSPERGSHQGGIANTVMQSKYRSIRDQPDNVRQSSSHRIYSEKYRQSCSLSPYIRSKEKHEQRTRNCFSYHDYHHVLKKIEEVCLERLGKLLLQQNKDRKEFNITLKKLEFKCFQEHAYSYRVHYEHVIPTARYHRMKLPKLTFCILRKVFRRYMQSQIIKFVKQQINDRNKEKRIKERWRFEATAGYLKKSFDETSLAYSGFEMEKSNYHMHAYSEGEQQLKYLDMQPLTIEIEEIASSRELEGSHTNKESDVFDPEPVIENLQSPLEANGGAEHHLSVDAPEEIAIVNSMSSQSNYAPTMESEKVGTQVILSLPPQNKEEIMERSCSQFATDEALVLDNATSADSESAPPVFGENQSCISPAVDASEGSCSMCQRKFPHGSDSNIHESTLRHEEPQVGRLPSVNVDEMEEADIAGSKEVSSGDTSSSGQVTEQRSTIATSSTLVQPSTQPQLYDPTCQSSSYPYQPSGVNTCSVSTGLDSHGALNAQMQSANQTTSSSMVEHMPESGLQSDPVTNEFSQLLMLISNHTSSSAQVTEQQIASNSFLRQQYGDQACQTSAHQHRASNVQRQSNNQTTTGSTSGLPESHLQSDPLTIEMSQLLVLHDLMTKRHLSKRQKIILEREIEMAELKRKFDEQFHNLEMETLQKKKDIEILQEKICKQQILAETFRVLHKASTGVASCSQIGAPRREPNQPSGQQVLQFSSSATMYQSPQPAAQPSTNNFLRQPVVILP >PAN07378 pep chromosome:PHallii_v3.1:1:51224645:51229241:-1 gene:PAHAL_1G331000 transcript:PAN07378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATTARHVHIEGLQTAVPTRKVEPGRARPVSVAAPPLPAAALQRRARVVLYYRAEADGAAAWGPEEALLVKESLSEAVADHPEMAGRLRRRADGSWEVKLNDTGVRLVLATAETTVDDFVGGGAGREAALAPWTDVDAEDPDMSALFFVQLTRFQGDGGYTVGVSCSLMLCDPLALARFLLSWARTHAEIKARSKAAPIPMMQYAGYFQRPGTMTRRVRSVPVDAFAAGAGAETVLFRVTPSGAPDHRALARACVDEASERIGAGTKVPRFSLLAVARDGVGDNPRGMTVETCAADSLPVSGHELEVAQWQDLGLEKFALRESKPVHVSYSIVTGGDEALVVVMPDGKGFLVTATVPKQTEK >PVH66549 pep chromosome:PHallii_v3.1:1:47763673:47767414:-1 gene:PAHAL_1G278100 transcript:PVH66549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSMMALFPNIATAKLELHSLYRKYVDMFGTSSNNSTVGGHITRNMSHLPVLLIDRTFFSTGTAIRSIAISYGALILRWLLSKTSVVQRTLISRAIRSLSCWCGSLPFFVGIDVLMARLCDCTMIHNHLYGRQFILGNNPNLQGESAITMENNELCNEQPSLSSLFGHKEDYVRLALQFICYPASLKVVDVTEKKLSFNRQRLRNGYYASAFWRIMARNEQYLAYMMVLVALQLFLRITRVNVTTLFLPMLSRATSSRSSPAVIGNIVLVLVNSCGVLGSALATKQYGREVTFTMGAVLMVFCQVAIPLILEVQIGVGGGTRMPTGYTTAMFALTCVVSCGLSWSWGSFFWAIPGRKVHPAGQVLTMVLNFGVCFAQMQYFLLMLCRLKNAILAYYAMWIWS >PVH66548 pep chromosome:PHallii_v3.1:1:47763673:47765752:-1 gene:PAHAL_1G278100 transcript:PVH66548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLTSVATTVRPDNLLRHAGALKMQSSMMALFPNIATAKLELHSLYRKYVDMFGTSSNNSTVGGHITRNMSHLPVLLIDRTFFSTGTAIRSIAISYGALILRWLLSKTSVVQRTLISRAIRSLSCWCGSLPFFVGIDVLMARLCDCTMIHNHLYGRQFILGNNPNLQGESAITMENNELCNEQPSLSSLFGHKEDYVRLALQFICYPASLKVVDVTEKKLSFNRQRLRNGYYASAFWRIMARNEQYLAYMMVLVALQLFLRITRVNVTTLFLPMLSRATSSRSSPAVIGNIVLVLVNSCGVLGSALATKQYGREVTFTMGAVLMVFCQVAIPLILEVQIGVGGGTRMPTGYTTAMFALTCVVSCGLSWSWGSFFWAIPGRKVHPAGQVLTMVLNFGVCFAQMQYFLLMLCRLKNAILAYYAMWIWS >PVH66550 pep chromosome:PHallii_v3.1:1:47763673:47767379:-1 gene:PAHAL_1G278100 transcript:PVH66550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSMMALFPNIATAKLELHSLYRKYVDMFGTSSNNSTVGGHITRNMSHLPVLLIDRTFFSTGTAIRSIAISYGALILRWLLSKTSVVQRTLISRAIRSLSCWCGSLPFFVGIDVLMARLCDCTMIHNHLYGRQFILGNNPNLQGESAITMENNELCNEQPSLSSLFGHKEDYVRLALQFICYPASLKVVDVTEKKLSFNRQRLRNGYYASAFWRIMARNEQYLAYMMVLVALQLFLRITRVNVTTLFLPMLSRATSSRSSPAVIGNIVLVLVNSCGVLGSALATKQYGREVTFTMGAVLMVFCQVAIPLILEVQIGVGGGTRMPTGYTTAMFALTCVVSCGLSWSWGSFFWAIPGRKVHPAGQVLTMVLNFGVCFAQMQYFLLMLCRLKNAILAYYAMWIWS >PAN06643 pep chromosome:PHallii_v3.1:1:47763981:47765486:-1 gene:PAHAL_1G278100 transcript:PAN06643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSMMALFPNIATAKLELHSLYRKYVDMFGTSSNNSTVGGHITRNMSHLPVLLIDRTFFSTGTAIRSIAISYGALILRWLLSKTSVVQRTLISRAIRSLSCWCGSLPFFVGIDVLMARLCDCTMIHNHLYGRQFILGNNPNLQGESAITMENNELCNEQPSLSSLFGHKEDYVRLALQFICYPASLKVVDVTEKKLSFNRQRLRNGYYASAFWRIMARNEQYLAYMMVLVALQLFLRITRVNVTTLFLPMLSRATSSRSSPAVIGNIVLVLVNSCGVLGSALATKQYGREVTFTMGAVLMVFCQVAIPLILEVQIGVGGGTRMPTGYTTAMFALTCVVSCGLSWSWGSFFWAIPGRKVHPAGQVLTMVLNFGVCFAQMQYFLLMLCRLKNAILAYYAMWIWS >PVH66551 pep chromosome:PHallii_v3.1:1:47763981:47765486:-1 gene:PAHAL_1G278100 transcript:PVH66551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSMMALFPNIATAKLELHSLYRKYVDMFGTSSNNSTVGGHITRNMSHLPVLLIDRTFFSTGTAIRSIAISYGALILRWLLSKTSVVQRTLISRAIRSLSCWCGSLPFFVGIDVLMARLCDCTMIHNHLYGRQFILGNNPNLQGESAITMENNELCNEQPSLSSLFGHKEDYVRLALQFICYPASLKVVDVTEKKLSFNRQRLRNGYYASAFWRIMARNEQYLAYMMVLVALQLFLRITRVNVTTLFLPMLSRATSSRSSPAVIGNIVLVLVNSCGVLGSALATKQYGREVTFTMGAVLMVFCQVAIPLILEVQIGVGGGTRMPTGYTTAMFALTCVVSCGLSWSWGSFFWAIPGRKVHPAGQVLTMVLNFGVCFAQMQYFLLMLCRLKNAILAYYAMWIWS >PAN08607 pep chromosome:PHallii_v3.1:1:57533674:57537656:-1 gene:PAHAL_1G423800 transcript:PAN08607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >PVH67198 pep chromosome:PHallii_v3.1:1:59083912:59085674:1 gene:PAHAL_1G448800 transcript:PVH67198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCKCNGCIKKINDGVKEISLSEGVERADLLIETGEVKVSGRMDPEKLRSLLHAVTKKCVEIVTQSTLSEGHTAPSQQNKNVYGQAPPDRFAFFVTPSAPPLPEEAWSETVPSERCWYRWSAPLSSLGVWAASDITGTLAMYEL >PVH66578 pep chromosome:PHallii_v3.1:1:48280911:48286774:1 gene:PAHAL_1G286000 transcript:PVH66578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPPKPSMRGATWVVDASHCQGCSVQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRAQRATTKAASKPEDEILSEILGGDGVQTQYSRKASLDSELPGRTASSASASSSSSRRTSTNFSMDANGDDSLSAAAHNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAGLELELRRSRRMATKTPNVAAVGSALTTDISEEAETKRSSTGKRVKKENDLASELRELGWSDADLRDETKTAPVSVEGELSQLLREVAPKSLEGKKTGGIDKSQVNALKRQALLLKREGRLAEAKEELKKAKILEKQLEEQEILGEAEDSDDDLAAIIRNMDDDKHDDILMDDPKFPAFSFEQILGGSNDLAIDGQFDVTDDDLNDPDMAAALKSFGWSEDDDKQLENHESVSFLTNQEAIKEQVLALKREAVANRRSGNVAEAMSLLKKAKLLEKDLETEEADSKVPSPEGQKTANAEDVTFALTNARPVSAPKSKLAIQRELLALKKKALALRREGKVDESEEELKKGSILEKQLEELENSSKPPAAKETRSFASNPPYKVEPPSLNLADEGFEPEVTDNDMQDPALLSVLKNMGWEDVDTDSAKTTDKPSISSHVVPQKSSKTKGQLQKELLGIKRKALALRREGKNIEADEELEKAKVLEQQLAEIEESSSLTASQQGVSTAGHQITESKYGVQHLPSVDATVPPSSVRKAMKGDDDILPGLACEPGMSVDTLDGSPSKPQTETTGSKQGNVAKEISGRTSSALPSPAFTDPLGFEKGSHSPSEVFDHKDPQKTHGDDTLKDEILLHKRKAVAFKREGKMAEAKEELKLAKLLEKRLEGAQQDSVDGGHESTTAVLQSNMVQQPASSSNDTDAVASAPPAQASKSTQPQKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEESDNQGSSSGGRSGEANDAVVEDLLDPQIMSALKSIGWSDMDLSMQSSSSQPPKHTQSSNAQPPKKVEAKPAVAATSKPQSERSQLEEEIKAEKLKALNLKREGKQAEALEALRSAKRLEKKLASLS >PAN06751 pep chromosome:PHallii_v3.1:1:48280981:48286774:1 gene:PAHAL_1G286000 transcript:PAN06751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPPKPSMRGATWVVDASHCQGCSVQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRAQRATTKAASKPEDEILSEILGGDGVQTQYSRKASLDSELPGRTASSASASSSSSRRTSTNFSMDANGDDSLSAAAHNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAGLELELRRSRRMATKTPNVAAVGSALTTDISEEAETKRSSTGKRVKKENDLASELRELGWSDADLRDETKTAPVSVEGELSQLLREVAPKSLEGKKTGGIDKSQVNALKRQALLLKREGRLAEAKEELKKAKILEKQLEEQEILGEAEDSDDDLAAIIRNMDDDKHDDILMDDPKFPAFSFEQILGGSNDLAIDGQFDVTDDDLNDPDMAAALKSFGWSEDDDKQLENHESVSFLTNQEAIKEQVLALKREAVANRRSGNVAEAMSLLKKAKLLEKDLETEEADSKVPSPEGQKTANAEDVTFALTNARPVSAPKSKLAIQRELLALKKKALALRREGKVDESEEELKKGSILEKQLEELENSSKPPAAKETRSFASNPPYKVEPPSLNLADEGFEPEVTDNDMQDPALLSVLKNMGWEDVDTDSAKTTDKPSISSHVVPQKSSKTKGQLQKELLGIKRKALALRREGKNIEADEELEKAKVLEQQLAEIEESSSLTASQQGVSTAGHQITESKYGVQHLPSVDATVPPSSVRKAMKGDDDILPGLACEPGMSVDTLDGSPSKPQTETTGSKQGNVAKEISGRTSSALPSPAFTDPLGFEKGSHSPSEVFDHKDPQKTHGDDTLKDEILLHKRKAVAFKREGKMAEAKEELKLAKLLEKRLEGAQQDSVDGGHESTTAVLQSNMVQQPASSSNDTDAVASAPPAQASKSTQPQKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEESDNQGSSSGGRSGEANDAVVEDLLDPQIMSALKSIGWSDMDLSMQSSSSQPPKHTQSSNAQPPKKVEAKPAVAATSKPQSERSQLEEEIKAEKLKALNLKREGKQAEALEALRSAKRLEKKLASLS >PAN06749 pep chromosome:PHallii_v3.1:1:48280910:48286774:1 gene:PAHAL_1G286000 transcript:PAN06749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRAQRATTKAASKPEDEILSEILGGDGVQTQYSRKASLDSELPGRTASSASASSSSSRRTSTNFSMDANGDDSLSAAAHNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAGLELELRRSRRMATKTPNVAAVGSALTTDISEEAETKRSSTGKRVKKENDLASELRELGWSDADLRDETKTAPVSVEGELSQLLREVAPKSLEGKKTGGIDKSQVNALKRQALLLKREGRLAEAKEELKKAKILEKQLEEQEILGEAEDSDDDLAAIIRNMDDDKHDDILMDDPKFPAFSFEQILGGSNDLAIDGQFDVTDDDLNDPDMAAALKSFGWSEDDDKQLENHESVSFLTNQEAIKEQVLALKREAVANRRSGNVAEAMSLLKKAKLLEKDLETEEADSKVPSPEGQKTANAEDVTFALTNARPVSAPKSKLAIQRELLALKKKALALRREGKVDESEEELKKGSILEKQLEELENSSKPPAAKETRSFASNPPYKVEPPSLNLADEGFEPEVTDNDMQDPALLSVLKNMGWEDVDTDSAKTTDKPSISSHVVPQKSSKTKGQLQKELLGIKRKALALRREGKNIEADEELEKAKVLEQQLAEIEESSSLTASQQGVSTAGHQITESKYGVQHLPSVDATVPPSSVRKAMKGDDDILPGLACEPGMSVDTLDGSPSKPQTETTGSKQGNVAKEISGRTSSALPSPAFTDPLGFEKGSHSPSEVFDHKDPQKTHGDDTLKDEILLHKRKAVAFKREGKMAEAKEELKLAKLLEKRLEGAQQDSVDGGHESTTAVLQSNMVQQPASSSNDTDAVASAPPAQASKSTQPQKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEESDNQGSSSGGRSGEANDAVVEDLLDPQIMSALKSIGWSDMDLSMQSSSSQPPKHTQSSNAQPPKKVEAKPAVAATSKPQSERSQLEEEIKAEKLKALNLKREGKQAEALEALRSAKRLEKKLASLS >PAN06750 pep chromosome:PHallii_v3.1:1:48280911:48286774:1 gene:PAHAL_1G286000 transcript:PAN06750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPPKPSMRGATWVVDASHCQGCSVQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRAQRATTKAASKPEDEILSEILGGDGVQTQYSRKASLDSELPGRTASSASASSSSSRRTSTNFSMDANGDDSLSAAAHNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAGLELELRRSRRMATKTPNVAAVGSALTTDISEEAETKRSSTGKRVKKENDLASELRELGWSDADLRDETKTAPVSVEGELSQLLREVAPKSLEGKKTGGIDKSQVNALKRQALLLKREGRLAEAKEELKKAKILEKQLEEQEILGEAEDSDDDLAAIIRNMDDDKHDDILMDDPKFPAFSFEQILGGSNDLAIDGQFDVTDDDLNDPDMAAALKSFGWSEDDDKQLENHESVSFLTNQEAIKEQVLALKREAVANRRSGNVAEAMSLLKKAKLLEKDLETEEADSKVPSPEGQKTANAEDVTFALTNARPVSAPKSKLAIQRELLALKKKALALRREGKVDESEEELKKGSILEKQLEELENSSKPPAAKETRSFASNPPYKVEPPSLNLADEGFEPEVTDNDMQDPALLSVLKNMGWEDVDTDSAKTTDKPSISSHVVPQKSSKTKGQLQKELLGIKRKALALRREGKNIEADEELEKAKVLEQQLAEIEESSSLTASQQGVSTAGHQITESKYGVQHLPSVDATVPPSSVRKAMKGDDDILPGLACEPGMSVDTLDGSPSKPQTETTGSKQGNVAKEISGRTSSALPSPAFTDPLGFEKGSHSPSEVFDHKDPQKTHGDDTLKDEILLHKRKAVAFKREGKMAEAKEELKLAKLLEKRLEGAQQDSVDGGHESTTAVLQSNMVQQPASSSNDTDAVASAPPAQASKSTQPQKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEESDNQGSSSGGRSGEANDAVVEDLLDPQIMSALKSIGWSDMDLSMQSSSSQPPKHTQSSNAQPPKKVEAKPAVAATSKPQSERSQLEEEIKAEKLKALNLKREGKQAEALEALRSAKRLEKKLASLS >PAN07349 pep chromosome:PHallii_v3.1:1:51094255:51099306:-1 gene:PAHAL_1G328700 transcript:PAN07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPTPSPFVASTRRFAPRLRSLLPGAAMSSAAASVPNPTSGAGEEAQAPPLPHSTLEIAGARRGLLSGFASLRAPYRAFPVLASNRHVETIFAAFTRSLPAVKLRRECLRAPDDGAVALDWAAGDDRALPRDSPVLILLPGLTGGSDDTYVRHMLMRARSKGWRVVVFNSRGCADSPVTTPKFYSASFTGDLRQVIDHVLCRYPQSNVYAVGWSLGANILVRYLGEETDKCPLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALARALRTIFKKHALLFEDMEGEYDIPKAANARTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKDVCIPLLCIQADNDPIAPCRGIPREDIKANPNCLLIVTPKGGHLGWVAGDEAPFGCAWTDPVVMEYLEYLQSEKNSSTKSNIPYDQQGVSEASAPHLTVHVQT >PAN04864 pep chromosome:PHallii_v3.1:1:7108010:7111685:1 gene:PAHAL_1G097800 transcript:PAN04864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPYKPKNILITGAAGFIASHVATRIVKKYPDYNIVILDKLDYCSNLKNLLPISSSPNFKFVKGDIASADLVNFILVTENIDTVMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACRQITGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGEPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKKERTVMNVAKDICKLFNLEANKAIKFVDNRPFNDQRYFLDDEKLKSLGWSERTHWEDGLRKTMEWYVANSNYWGDVSGALLPHPKAMVMPGGCEGSKEIKGMLAQFNNIQTEVAPTSDSVLETHPFKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLQERSSLILDIQTIKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVVGTLTLADVCREHGLLMINYATGCIFEYDAHHPEGSGVGFKEEDTPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFVTKISRYDKVVNIPNSMTILDELLPISIEMAKRNLRGIYNFTNPGVVSHNEILEMYKQYIDPSFKWTNFTLEEQAKVIVAPRSNNEMDTTKLKEEFPELLPIKASLIKYVFEPNRKVAAN >PAN07943 pep chromosome:PHallii_v3.1:1:54096563:54101067:-1 gene:PAHAL_1G374900 transcript:PAN07943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPVRSSLGISLASSDQIHTRTQLQQTAALAVGLWTAGARVAGPRGPSVGRPGPPCLSLFLCHCHSAVLVLALAVSPSWPPHPAAFPRRRRRPPPCKNRHLSTHASALVNLSALPPRLASATPDLDAACAPLTDTPPAAWRGVTMSACDDDHASLLRSQPAGAPLSPSGPSPRGGAAGHHQQQQHHADVEADEATVTASPRRAGGVRGLLRHLERRMSARGSGPGRRPHQQHYQQLDRPVLTEEPSQRQRERPEAGEDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLVKSGQRQGINFLGAIFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANACSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGARPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFDRLVAWFSRLFGRIKEKCDFPIIVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDNEPSGFRTPRRGYSSISSEDRNGSSKRADGADDLELSIIQSDINNYGTYNEEMLLDDLKVSQAMSKIYVKVLPSATVTEAFKLLHDNQQNCALVVDPEDYLEGIITLGDIRRIGFELHEESFIDGDYPKTDVCLHELILHFVMCYSRFSVSGE >PAN07945 pep chromosome:PHallii_v3.1:1:54095544:54101088:-1 gene:PAHAL_1G374900 transcript:PAN07945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPVRSSLGISLASSDQIHTRTQLQQTAALAVGLWTAGARVAGPRGPSVGRPGPPCLSLFLCHCHSAVLVLALAVSPSWPPHPAAFPRRRRRPPPCKNRHLSTHASALVNLSALPPRLASATPDLDAACAPLTDTPPAAWRGVTMSACDDDHASLLRSQPAGAPLSPSGPSPRGGAAGHHQQQQHHADVEADEATVTASPRRAGGVRGLLRHLERRMSARGSGPGRRPHQQHYQQLDRPVLTEEPSQRQRERPEAGEDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLVKSGQRQGINFLGAIFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANACSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGARPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFDRLVAWFSRLFGRIKEKCDFPIIVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDNEPSGFRTPRRGYSSISSEDRNGSSKRADGADDLELSIIQSDINNYGTYNEEMLLDDLKVSQAMSKIYVKVLPSATVTEAFKLLHDNQQNCALVVDPEDYLEGIITLGDIRRIGFELHEESFIDGDYPKTDNSSSISSCVTRGFQYRGSERGLLTCFPDTDLTTAKNLMEARGIKQLPVVKCGVGHRPTGRRKPIALLHYDSIGCCLREEIENWKTIYQRMAC >PAN08457 pep chromosome:PHallii_v3.1:1:56752832:56755895:-1 gene:PAHAL_1G414400 transcript:PAN08457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVPARQRSQDAEQNDKAVGALGIDLWKNHPTRLPLPLPEAEAGGALVPPLNFAMVDDGIFRSGLPDADNFRFLLSLNLRSIVYLCPEPYPEENARFLRQNGIELHQFGIEGSKSGLTMPETLLLQEPLAYIPEETIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYLHFAAAKARSTDQRFMELFDASSLMHLTASQ >PAN08456 pep chromosome:PHallii_v3.1:1:56752418:56756335:-1 gene:PAHAL_1G414400 transcript:PAN08456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVPARQRSQDAEQNDKAVGALGIDLWKNHPTRLPLPLPEAEAGGALVPPLNFAMVDDGIFRSGLPDADNFRFLLSLNLRSIVYLCPEPYPEENARFLRQNGIELHQFGIEGSKEPLAYIPEETIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYLHFAAAKARSTDQRFMELFDASSLMHLTASQ >PAN08651 pep chromosome:PHallii_v3.1:1:57778009:57779924:1 gene:PAHAL_1G427300 transcript:PAN08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHSREDIDLTSSDDEEDYEEDYDARSPISEPAASAGQRDDDLLRTATPASLEAIDAKLRSLDLKYQRPTAAKLYLHVGGASTSARWVLDERRATYAFVDKASDDASSPRWFLEVRPGPRVSAPVDSALQLKTNPAQRRADFAAGGSVWALRLPTDAEFRRFGVEYERCLFENTYGVEATDEGRKEVFGADFAAWARPEEADDAVWADAEESLHPPDAAPARDLLEEFEEEAGDGDSIQSLALGALDNSFLVGGAGIQVVKNFQHGLHGKGASVRISGGRGGSGSAYSTPKKALLMRGETNMLLMSPGESLRSNGVHHVDIETGKVVAEWRFEKDGADITMRDIANDSKGAQLESSGSTFLGLDDNRLCRWDMRDARGRVQTVGSSSELPVLNWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDSVLAGTDNKFHGGQFSWGEELVHSVKCSTHFTSDSRLFQGGKN >PAN08650 pep chromosome:PHallii_v3.1:1:57777771:57780967:1 gene:PAHAL_1G427300 transcript:PAN08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHSREDIDLTSSDDEEDYEEDYDARSPISEPAASAGQRDDDLLRTATPASLEAIDAKLRSLDLKYQRPTAAKLYLHVGGASTSARWVLDERRATYAFVDKASDDASSPRWFLEVRPGPRVSAPVDSALQLKTNPAQRRADFAAGGSVWALRLPTDAEFRRFGVEYERCLFENTYGVEATDEGRKEVFGADFAAWARPEEADDAVWADAEESLHPPDAAPARDLLEEFEEEAGDGDSIQSLALGALDNSFLVGGAGIQVVKNFQHGLHGKGASVRISGGRGGSGSAYSTPKKALLMRGETNMLLMSPGESLRSNGVHHVDIETGKVVAEWRFEKDGADITMRDIANDSKGAQLESSGSTFLGLDDNRLCRWDMRDARGRVQTVGSSSELPVLNWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDSVLAGTDNKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQQGLKSCFCYKVVLKDESIVDSRFMHEKFAASDSPEAPLVVATPMKVSSFSIANRR >PAN06200 pep chromosome:PHallii_v3.1:1:44841475:44845343:-1 gene:PAHAL_1G246100 transcript:PAN06200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSLQPDHLASGSTGLQGNGTQMAARKSQAVNANHLLNFQYDPISRPQTRGPRTYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWEDIICVRFYSPSEVQCPICLESPLCPQITSCGHIYCFACILRYLTMGKEDYKGECFKKCPLCFMMVSTKELYTIHITQVQNFRAGDTATFTLLSRSRNSLTPSIKSTSSECCLADEVQCNVFSKFILTSDVELSVREAKSDLTDWLHMADLGLVDDLEKLPYVSAALEQLEERMKYWTEYRNYGCSPPLKDSFSPGSSYKSRNSFDVNTSHQNNGHKISPVSDRDMVSGISALSMSPESNKSSDKGALSKMNEKCTTTTDSNEHDLYTFYQVSDGQHLILHPLNMRCLLNHFGGSDMLPPRITGKILELETVTQSEAIRKRYRFLSHFSLTTTFQFCEIDLSDIVPPSSLAPFLDEIKKREKQRKRTAKKEESERVKAEVAAAVQASAMRFEFTNFSQSHNDVMFSLDDFEALGNNAGPSTSPPASERKLFSDVTRLGFASAQDSPPLRIETGDARGKNDSARDQGPSAAPALSFASIISSSRAATATDNNSEMQKANGAGKKGKKPTRVLLSTGGGRRY >PAN06199 pep chromosome:PHallii_v3.1:1:44841106:44847189:-1 gene:PAHAL_1G246100 transcript:PAN06199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPRERTGGSYYPRAPNPTPSPQHGTERRRHGRRSPPATVSPPGAAAAPGAGGSGASSGELFLRGQLASLSRSPAPADGLPDPADPAIRKSINAPEKVVGFRISGEENDTCTQEVGNISECHSSEQGNLGFPVDSVSSVRTYPERTEMVGSLQPDHLASGSTGLQGNGTQMAARKSQAVNANHLLNFQYDPISRPQTRGPRTYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWEDIICVRFYSPSEVQCPICLESPLCPQITSCGHIYCFACILRYLTMGKEDYKGECFKKCPLCFMMVSTKELYTIHITQVQNFRAGDTATFTLLSRSRNSLTPSIKSTSSECCLADEVQCNVFSKFILTSDVELSVREAKSDLTDWLHMADLGLVDDLEKLPYVSAALEQLEERMKYWTEYRNYGCSPPLKDSFSPGSSYKSRNSFDVNTSHQNNGHKISPVSDRDMVSGISALSMSPESNKSSDKGALSKMNEKCTTTTDSNEHDLYTFYQVSDGQHLILHPLNMRCLLNHFGGSDMLPPRITGKILELETVTQSEAIRKRYRFLSHFSLTTTFQFCEIDLSDIVPPSSLAPFLDEIKKREKQRKRTAKKEESERVKAEVAAAVQASAMRFEFTNFSQSHNDVMFSLDDFEALGNNAGPSTSPPASERKLFSDVTRLGFASAQDSPPLRIETGDARGKNDSARDQGPSAAPALSFASIISSSRAATATDNNSEMQKANGAGKKGKKPTRVLLSTGGGRRY >PAN06201 pep chromosome:PHallii_v3.1:1:44841106:44847195:-1 gene:PAHAL_1G246100 transcript:PAN06201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPRERTGGSYYPRAPNPTPSPQHGTERRRHGRRSPPATVSPPGAAAAPGAGGSGASSDPADPAIRKSINAPEKVVGFRISGEENDTCTQEVGNISECHSSEQGNLGFPVDSVSSVRTYPERTEMVGSLQPDHLASGSTGLQGNGTQMAARKSQAVNANHLLNFQYDPISRPQTRGPRTYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWEDIICVRFYSPSEVQCPICLESPLCPQITSCGHIYCFACILRYLTMGKEDYKGECFKKCPLCFMMVSTKELYTIHITQVQNFRAGDTATFTLLSRSRNSLTPSIKSTSSECCLADEVQCNVFSKFILTSDVELSVREAKSDLTDWLHMADLGLVDDLEKLPYVSAALEQLEERMKYWTEYRNYGCSPPLKDSFSPGSSYKSRNSFDVNTSHQNNGHKISPVSDRDMVSGISALSMSPESNKSSDKGALSKMNEKCTTTTDSNEHDLYTFYQVSDGQHLILHPLNMRCLLNHFGGSDMLPPRITGKILELETVTQSEAIRKRYRFLSHFSLTTTFQFCEIDLSDIVPPSSLAPFLDEIKKREKQRKRTAKKEESERVKAEVAAAVQASAMRFEFTNFSQSHNDVMFSLDDFEALGNNAGPSTSPPASERKLFSDVTRLGFASAQDSPPLRIETGDARGKNDSARDQGPSAAPALSFASIISSSRAATATDNNSEMQKANGAGKKGKKPTRVLLSTGGGRRY >PVH66370 pep chromosome:PHallii_v3.1:1:39658912:39659367:1 gene:PAHAL_1G225200 transcript:PVH66370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGCGTPKDFHECVSQDQLREAIKQGQQVMTNTITQAITAAIKDLRLHESIECLDKWISILNDRVVVLETHPPPNEDEDVVYDFHGNIDEAATRDARLRRRLHTNTMGMGGNRNRAPDDPYAKIKFSIPSFSSHYDAEGYLDWEMTVK >PAN07383 pep chromosome:PHallii_v3.1:1:51239104:51243642:1 gene:PAHAL_1G331200 transcript:PAN07383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPIDGKYTVYGAEHEINQVLPSLESQGIHQLYPKGPNTDFKKELRTLNRELQLHILELADILVERPSHYARRVEDISLIFQNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLGKSLLVIDGSQTN >PAN07381 pep chromosome:PHallii_v3.1:1:51239185:51243642:1 gene:PAHAL_1G331200 transcript:PAN07381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPIDGKYTVYGAEHEINQVLPSLESQGIHQLYPKGPNTDFKKELRTLNRELQLHILELADILVERPSHYARRVEDISLIFQNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLGKSLLVIDGSQTN >PAN07380 pep chromosome:PHallii_v3.1:1:51239185:51243631:1 gene:PAHAL_1G331200 transcript:PAN07380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPIDGKYTVYGAEHEINQVLPSLESQGIHQLYPKGPNTDFKKELRTLNRELQLHILELADILVERPSHYARRVEDISLIFQNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLGKSLLVIDGSQTN >PAN07382 pep chromosome:PHallii_v3.1:1:51239104:51243642:1 gene:PAHAL_1G331200 transcript:PAN07382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPIDGKYTVYGAEHEINQVLPSLESQGIHQLYPKGPNTDFKKELRTLNRELQLHILELADILVERPSHYARRVEDISLIFQNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLGKSLLVIDGSQTN >PAN04850 pep chromosome:PHallii_v3.1:1:6882847:6883968:1 gene:PAHAL_1G096400 transcript:PAN04850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGEAPAMAAAVVPLLATIIGSFGSGEHRRQQRIPSSHELRSCVADHDKEVEDGGHDGGGGGGSDPRDAARPAAEVEDAQCECCGMSEECTPGYIGAVRRRFSGRWVCGLCAEAVAEEAGKNGGDREAALAAHMAVCRRFNGFGRTHQALFQADAVIDIVRRLAGPRSPKFSAGTRAIGDGAKNGLAGSSGCMALVAGVRTDQAVTN >PAN07936 pep chromosome:PHallii_v3.1:1:54062600:54068306:1 gene:PAHAL_1G374200 transcript:PAN07936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAPTAAAAAPAASLAGKRRRGDDVAGLRRVAEIVMVLAAAGEMRGGREPTAAERALAAEARERLAAAVAEGSVRPKDLFPGEAVRAVVEDLGLNRAKDPAAMGFRPPKASIADRLILTKRKMEEVKEAPVQPTVSTPQTVVSGMSDFHSFNGASKFGVGVPRNPQVAAVAATAPLTSTSPVILKPPGSSPVKPVANSSGVALPHIGPSHLKLDKDVNGPLNLARSGATVVHPNKSSTLDTSARPNVNAVQSSNQLLKNQDTKPVAVQAATGNTVVGHRATQGVAFVPPKPTFVNHNEIAKSVQQFLHQPTNHPSWTPPSTEYMHSRLGCQICKVAITDTDSLLVCDACERGVHLKCLQQYGNKGVPKAEWHCSACLTQSKGKPLPPKYGKVTRTVVASKVAPPGGGAQASLQGSAGNMAAKENHQKLAANGNLMKPISTQGVSTIHNINVLALGAMAAGSQSQLASTLRPPIVNTVKAEPSSNGKEGIGQQGSSTTHPDVKSPLNKRLRSGSSLNSVGSGNDTMNSEQTAEMSGAEAKIKSEANFEPPVSRDEELVDSSGTSVEQTKIVATGEKPRAQATFETDKLKDEEMTTNTGTSTDQGRNFATEEKLPSEATSEALTINDVKMTINTGIPVQQSNIVTIEEKFQIDAASDPHRIQDMEMSTSNGPPADQSSNIVSEEMPQSEKTSSIGDTDVTANAVIPTDQTQHSNGSTENAVKQPPNGEPYKDKLGCNIVSDCVSTQKIASNGILYPKDETSCVSENEAVGCNTESKEAN >PVH66879 pep chromosome:PHallii_v3.1:1:54062600:54068306:1 gene:PAHAL_1G374200 transcript:PVH66879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAPTAAAAAPAASLAGKRRRGDDVAGLRRVAEIVMVLAAAGEMRGGREPTAAERALAAEARERLAAAVAEGSVRPKDLFPGEAVRAVVEDLGLNRAKDPAAMGFRPPKASIADRLILTKRKMEEVKEAPVQPTVSTPQTVVSGMSDFHSFNGASKFGVGVPRNPQVAAVAATAPLTSTSPVILKPPGSSPVKPVANSSATVVHPNKSSTLDTSARPNVNAVQSSNQLLKNQDTKPVAVQAATGNTVVGHRATQGVAFVPPKPTFVNHNEIAKSVQQFLHQPTNHPSWTPPSTEYMHSRLGCQICKVAITDTDSLLVCDACERGVHLKCLQQYGNKGVPKAEWHCSACLTQSKGKPLPPKYGKVTRTVVASKVAPPGGGAQASLQGSAGNMAAKENHQKLAANGNLMKPISTQGVSTIHNINVLALGAMAAGSQSQLASTLRPPIVNTVKAEPSSNGKEGIGQQGSSTTHPDVKSPLNKRLRSGSSLNSVGSGNDTMNSEQTAEMSGAEAKIKSEANFEPPVSRDEELVDSSGTSVEQTKIVATGEKPRAQATFETDKLKDEEMTTNTGTSTDQGRNFATEEKLPSEATSEALTINDVKMTINTGIPVQQSNIVTIEEKFQIDAASDPHRIQDMEMSTSNGPPADQSSNIVSEEMPQSEKTSSIGDTDVTANAVIPTDQTQHSNGSTENAVKQPPNGEPYKDKLGCNIVSDCVSTQKIASNGILYPKDETSCVSENEAVGCNTESKEAN >PVH66747 pep chromosome:PHallii_v3.1:1:51510203:51511733:1 gene:PAHAL_1G335500 transcript:PVH66747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNTCKLCSRRFASPRALAGHMRSHSIAASQAAAAAAAVKQQISSASSAATSIAAADEDSGFKMPASTYGLRENPKRSLRVADAAFSDRESEAESTPPHAKRVNAAAAAWGEAEPVSSLSEVATPEEDVALSLMMLSRDSWPSAAVDEDDYYDYGSDEGYAPPAPLPPAPARARAPAEKRTQFPCVACKKVFRSYQALGGHRASNVRGGRGGCCAPPVAPPPLPQPQPPASPFPENHDGDEDMDARQQPRECPHCYRVFASGQALGGHKRSHVCGAAAAVAAQASTATATAAASAPPSPINSPGMIDLNVAPPSEEVELSAVSDPRFNPGA >PVH66076 pep chromosome:PHallii_v3.1:1:13888502:13889003:1 gene:PAHAL_1G142000 transcript:PVH66076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRPAKHALHGCTSEDGQLIVFIVKLGKTNHLAKMTNMLLSSNKIFVSGNI >PVH66239 pep chromosome:PHallii_v3.1:1:26602064:26602924:1 gene:PAHAL_1G183200 transcript:PVH66239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKMLRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPATGEDHVLLGTPIIGWGPLFGNTQAPPGNPESFAAAVERDAEAQPLAGGNPEDREQGSLALSAPEEGLPRE >PAN08292 pep chromosome:PHallii_v3.1:1:55793814:55794817:1 gene:PAHAL_1G400700 transcript:PAN08292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCEQDHTAAAARTALANTGYQPCAARRSLQLAAHHQPGKQAVPPAAAALQPRRGKNKKHGSSRSRPSSSRRSSTTVVATDVDNFRAMVQELTGFPAAAIFRPLPRRVHAASPFAAGGGGAGQGCSGSDRHGHGGSEATNNSTAGGVGSSSPDAPAPLPAMAPPLGVFDFDGLTDLGSPEFDTSWGDLSIEY >PAN04781 pep chromosome:PHallii_v3.1:1:6348544:6352057:1 gene:PAHAL_1G090200 transcript:PAN04781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFVLGQESRLRILQRAAARVPGCAYLCAWAALPAAQPAAASSSSAATSARRLLCCLNAWFCDGGANCRGDAGRVRALFDAYRGSLCAAVSGCVPGWAYKDGRAFMELPAHDLASSASLPAQQQFYQMAAFMGCESGEIEVGMSTAASGSPMSLESSLQQVFSEDFFQQSLLEELLQLPPTGPSSPSSSLPSVSVGSPVAEGSTSLLRTMAVTPAATPSSRSERQVPVPPHPLYPRPPLAAPFSRHGRVHFPSPDADDAAMAEAMLAVISASSSSALPTPSTSTAASPPGNHHHSARRWPRRRGTTTTAFRAYNAALAPRAPWRPPGAPGQRMIKMGISILRRMHMLRFNRERSGGTAMAQRVPEEEEDPPPAPTSSQLNHMISERRRRERLNESFEALRGLLPPGSKKDKATVLAKTLDYMNILVAQIADLEARNRSLESRAHQHSNGGGGWKERPYSSPEQQEVVVLQGLSGASERVQVHVTAAAAAGSASTPSSSSSSSAAAREVTVRVEARAAHGDVAELVARALAVIREMGCFTVAAVDGGRRPSDGVAHATFTLRATAGEFDEASLREAVMKAAEDSAAPPPSDDS >PAN06337 pep chromosome:PHallii_v3.1:1:46074189:46075082:1 gene:PAHAL_1G257000 transcript:PAN06337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPTARVLAAAALVAALALSVVPGSGGTPETTCAAAAARDRRVDYGFCVSRLSHHHDSPDADTWGLAKVAADVGIATAGDAVYDINALLAAKPAGGADARARAALEQCKAQYDAAEMAFAEAYDGINRRDYAAGKAEAAEAASLARRCGRAFARAGAPPPPQVARWADESDKIAVVCAAITDLIK >PVH66859 pep chromosome:PHallii_v3.1:1:53842084:53843217:-1 gene:PAHAL_1G370100 transcript:PVH66859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEETAAAAAGAEPQDQVAQSAGPQGQQPTASQSSGVTGGDAGGGGMSLYNLAGNVGAYQLPGDNFGGWSGGGGGGVRPQF >PAN08785 pep chromosome:PHallii_v3.1:1:58288887:58295705:-1 gene:PAHAL_1G436300 transcript:PAN08785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALSTLPFVPSNPSPSSSTTPAAAAFPPRRVHLAAAGRSGGGVLPLACAAPRHRGRAPRRRRGGSLVVWASADYYATLGVPRSATNKDIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEVLSDEKKKALYDQYGEAGVKSAVGGSGGAYTTNPFDLFETFFGASMGGFSGMDQSTFRTRRRSTAVQGEDIRYDVILGFTEAIFGTEKDIILSHLETCDTCGGSGSKAGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCVGEGEVISEYCGKCSGEGRIRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLYVCLDIEEPSDIKRDGINLYSTVSISYVEAILGTTKMVRTVDGTSELRIPPGTQPSDVIVLAKQGVPSLNRPSIRGDHLFTVTVTIPKRISGRERELLEELASLSNGFARTAAKPKPVHEEKEFGTSLEDTDKSNEGEGDWLKKLTDFAGSVVNGAAKWLKDNL >PVH66542 pep chromosome:PHallii_v3.1:1:47623087:47638304:1 gene:PAHAL_1G276300 transcript:PVH66542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAAPVLRHVGFRPPTVELRRQRLPLLRPQQRHRGFIVEARQSEAPEAVAHKPVVEKTQHKGEPTRHIDEMIGTVRASLREMGGGDISFSPYDTAWVALVKKLDGGEGPQFPSCIDWIANNQLPDGSWGDDAFFLVQDRLINTLACVIALKTWNVHSDRCNKGLSFVHENIKRLPEDDENWMLAGFETIFPTLLEMAKDVGLDMPCDDPALQDIYAKRDLKLAKIPKDVLHSVPTALLLSLEGMPGLDWDRLFKLQSPDGSFLSSAAPTAYALMQTGNKKCLEYLTDIVHKFNGGAPFVYPVELYERIWVVDRLERLGLASYFRAEIDSNLAYAYRHWSDEGIGFTWDCMVKDIDDTVMGFRLLRQHGYHVSTEALKHFETKDGEFVVYRGQTNQSVSAMYNLYRAADQAAFPGDDGVVRRAKAYSYAFLQERRASGDLNDKWIISSGLPSEVAYGLDFPWKANLPRVETRMYLEQYGGSDNVWIGKVLHRMHLFNNELFLKLAKADFSNFQRQCRLEWQGLKRWCEKNNLEMYGVTPQSAMRAYFLAAANIFEPDRAAERLGWARTAVIAQAISSCFLSSNAYATDSMLEGLIGELTSDGHNLARRGGNYSTTENGLLNALHELIDLSAPGKDASDNLREAWKTWLMELTTNGGHESCGGNTALLLVRTVEICSGRHSSANQNLKPAEYSQLEKLTSSICSKLGSRSLSQVNQNGTTMENTENLEQQVDQEMQELAQCVFKSRDAISRVTKQTFLHVTRSYCYVAHSSPETIDSHISKVIFEDVV >PAN06618 pep chromosome:PHallii_v3.1:1:47632141:47638304:1 gene:PAHAL_1G276300 transcript:PAN06618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLTAPAAASPATALLRRRTAGTQQLQHALPGRALRWRRERSHHGFIVEARQSEAPEAVAHKPVVEKTQHKGEPTRHIDEMIGTVRASLREMGGGDISFSPYDTAWVALVKKLDGGEGPQFPSCIDWIANNQLPDGSWGDDAFFLVQDRLINTLACVIALKTWNVHSDRCNKGLSFVHENIKRLPEDDENWMLAGFETIFPTLLEMAKDVGLDMPCDDPALQDIYAKRDLKLAKIPKDVLHSVPTALLLSLEGMPGLDWDRLFKLQSPDGSFLSSAAPTAYALMQTGNKKCLEYLTDIVHKFNGGAPFVYPVELYERIWVVDRLERLGLASYFRAEIDSNLAYAYRHWSDEGIGFTWDCMVKDIDDTVMGFRLLRQHGYHVSTEALKHFETKDGEFVVYRGQTNQSVSAMYNLYRAADQAAFPGDDGVVRRAKAYSYAFLQERRASGDLNDKWIISSGLPSEVAYGLDFPWKANLPRVETRMYLEQYGGSDNVWIGKVLHRMHLFNNELFLKLAKADFSNFQRQCRLEWQGLKRWCEKNNLEMYGVTPQSAMRAYFLAAANIFEPDRAAERLGWARTAVIAQAISSCFLSSNAYATDSMLEGLIGELTSDGHNLARRGGNYSTTENGLLNALHELIDLSAPGKDASDNLREAWKTWLMELTTNGGHESCGGNTALLLVRTVEICSGRHSSANQNLKPAEYSQLEKLTSSICSKLGSRSLSQVNQNGTTMENTENLEQQVDQEMQELAQCVFKSRDAISRVTKQTFLHVTRSYCYVAHSSPETIDSHISKVIFEDVV >PVH66543 pep chromosome:PHallii_v3.1:1:47632140:47638304:1 gene:PAHAL_1G276300 transcript:PVH66543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLTAPAAASPATALLRRRTAGTQQLQHALPGRALRWRRFIVEARQSEAPEAVAHKPVVEKTQHKGEPTRHIDEMIGTVRASLREMGGGDISFSPYDTAWVALVKKLDGGEGPQFPSCIDWIANNQLPDGSWGDDAFFLVQDRLINTLACVIALKTWNVHSDRCNKGLSFVHENIKRLPEDDENWMLAGFETIFPTLLEMAKDVGLDMPCDDPALQDIYAKRDLKLAKIPKDVLHSVPTALLLSLEGMPGLDWDRLFKLQSPDGSFLSSAAPTAYALMQTGNKKCLEYLTDIVHKFNGGAPFVYPVELYERIWVVDRLERLGLASYFRAEIDSNLAYAYRHWSDEGIGFTWDCMVKDIDDTVMGFRLLRQHGYHVSTEALKHFETKDGEFVVYRGQTNQSVSAMYNLYRAADQAAFPGDDGVVRRAKAYSYAFLQERRASGDLNDKWIISSGLPSEVAYGLDFPWKANLPRVETRMYLEQYGGSDNVWIGKVLHRMHLFNNELFLKLAKADFSNFQRQCRLEWQGLKRWCEKNNLEMYGVTPQSAMRAYFLAAANIFEPDRAAERLGWARTAVIAQAISSCFLSSNAYATDSMLEGLIGELTSDGHNLARRGGNYSTTENGLLNALHELIDLSAPGKDASDNLREAWKTWLMELTTNGGHESCGGNTALLLVRTVEICSGRHSSANQNLKPAEYSQLEKLTSSICSKLGSRSLSQVNQNGTTMENTENLEQQVDQEMQELAQCVFKSRDAISRVTKQTFLHVTRSYCYVAHSSPETIDSHISKVIFEDVV >PAN08460 pep chromosome:PHallii_v3.1:1:56777825:56778634:1 gene:PAHAL_1G414700 transcript:PAN08460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASGHAHMRRGGGRPRAEEAGPPEPGGLATPYLPRVMTMASCLMVVVANIHVPTRPPHVIPEPCPPRRRAGEHTTGTRQHWYVPVAVAAAIVVRGRYSCQDAGWGGAGGGSNPRATGWISSTGSAPFSAASKERTPPRNKLSFPRDAGARGRAAQGEAVVVDLARRGSLARQSRA >PVH66811 pep chromosome:PHallii_v3.1:1:52727604:52728506:1 gene:PAHAL_1G354500 transcript:PVH66811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVMALLQRGSAEARLHAMDIVTKISNAGAGDWTAGIEVDDVLKSLLELLSDEVSTKLSSRALDVLLDVVERSRNGPAKAVEVGAVHVLVELLADADDRHDAERILLLLKRLCKCPEGRLAFAENDLSVAAVAKTMLRVSQLATQLAVKVLWLVSVVAPSEKVLEDMVLTGAVAKLLGLLHVETPPATKQKTVRMVRINGGFWRQYACFPTDLRDYLRLLD >PAN07179 pep chromosome:PHallii_v3.1:1:50283195:50294195:-1 gene:PAHAL_1G316100 transcript:PAN07179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAQNENRADTAQNENRADTKKDDEARQSKPDDEEARLEEYKKIIDQKTSLRWSNLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSAEHLKDREATQTNLSLLSTFARQGRFLVGLQSHGQEAYDEFFKDLNVTADQKKFFKKALNSYYDVVAELLQSEHVSLRLMEAENAKVLSAKGELSDENAASYEKLRKSFDQLLRGVSSLAEALDMQPPVMPDDGNTTRVTTGTDVSPSSGKESSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEVEPKSNEQHAKGREQSSESTSEQETEVHDNAQTSATEHQLEVKVDDGVKDSEDKDKDRGKDGEKEKSKEKDLDKKNEREKEKVRALDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVNRTSLELLPYYSRLVATLSTCMKDVPIMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARISKVRPPLHQYIRKLLFSDLDKSSVEHVQRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRHHDDFAIAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYRHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLVITLLQTCGHYFSKGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDIQDLFADLRPNMSRYSSIEELNAALVELEENERSAPVEKAENERHSDNESQKRQPRDGAPSVNGQSATNGVEENGKDHEVADSESYSGSGSIDGREDEDILSEDKSNDGSDNEGDDEDDGIPVGSDEDENVEVRQKVMQVDLKEQEDFDRELKALLQESLESRKSEARSRLPLNMMVPMNVLEGSKDQRATESESGEETVDEEGGNVGSSKVRVKVLMKKGHKQQTKQMLIPADSSLVLSTKQQEAAELEEKQSIKRRILEYNEREEEELNGGASQMGNWGQGASATSSIRSGGRGSWDGSTRGGGRQRHHIAGSGGFYHSYGRRR >PAN07171 pep chromosome:PHallii_v3.1:1:50283195:50293682:-1 gene:PAHAL_1G316100 transcript:PAN07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAQNENRADTAQNENRADTKKDDEARQSKPDDEEARLEEYKKIIDQKTSLRWSNLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSAEHLKDREATQTNLSLLSTFARQGRFLVGLQSHGQEAYDEFFKDLNVTADQKKFFKKALNSYYDVVAELLQSEHVSLRLMEAENAKVLSAKGELSDENAASYEKLRKSFDQLLRGVSSLAEALDMQPPVMPDDGNTTRVTTGTDVSPSSGKESSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEVEPKSNEQHAKGREQSSESTSEQETEVHDNAQTSATEHQLEVKVDDGVKDSEDKDKDRGKDGEKEKSKEKDLDKKNEREKEKVRALDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVNRTSLELLPYYSRLVATLSTCMKDVPIMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARISKVRPPLHQYIRKLLFSDLDKSSVEHVQRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRHHDDFAIAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYRHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLVITLLQTCGHYFSKGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDIQDLFADLRPNMSRYSSIEELNAALVELEENERSAPVEKAENERHSDNESQKRQPRDGAPSVNGQSATNGVEENGKDHEVADSESYSGSGSIDGREDEDILSEDKSNDGSDNEGDDEDDGIPVGSDEDENVEVRQKVMQVDLKEQEDFDRELKALLQESLESRKSEARSRLPLNMMVPMNVLEGSKDQRATESESGEETVDEEGGNVGSSKVRVKVLMKKGHKQQTKQMLIPADSSLVLSTKQQEAAELEEKQSIKRRILEYNEREEEELNGGASQMGNWGQGASATSSIRSGGRGSWDGSTRGGGRQRHHIAGSGGFYHSYGRRR >PAN08148 pep chromosome:PHallii_v3.1:1:55255810:55257173:-1 gene:PAHAL_1G391600 transcript:PAN08148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAYSTALLGGARLAAVGGGAAAVPPSILLLRRRNGNLTPLRLQDAPRQSPLRVRASSDDTSAASGDELIADLKAKWDAVENKTIVITYAGGAIVALWLTSVIVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKEGRKELADDIESLKKRIAGTE >PVH66635 pep chromosome:PHallii_v3.1:1:49228783:49231042:1 gene:PAHAL_1G301900 transcript:PVH66635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTECCRRYNRQKQVLARYLTTRGSARRVKWEMLVLLALLLLCRGIGSTHGSPDDHAGDGDMMSLLDFKRAITSDPKRALASWNTSNPLCRWAGVTCGRAHRGRVITSLELAGQGLEGEITSSVRNLTFLRTLNLSANSFSGRLPPLGRLGKLEVLDLSRNSLRDAIPDGIANCSSLRILDLSNNSLVGELHPKLGLLSGLSALNLYENRLTGTIPPSLGNMTHLEELHLRNNSLSGSIPAELGKLSNLSILFLSKNSLSGGIPQSLLNLSSLWHLALDHNMLGGVLPPNIGDALPNLRWFFLHHNMFGGHIPASIGNCSKIEDIVLASNNFSGPVPGSFGKLSKLSFLVLDENMIEASNDESWGFLHAMGNCTLLQMISLEDNQLQGGIPSSIGNMSVNLQYLALSGNRLSGTVPPSIGNLHGLIYLFLTGNDLAGTIEGRFEKMTHLWYLHLQANYFTGAIPPSIGNLTNLIHLLLSENAFSSHIPASLENLQFLLSLNISDNNLSGKIPRTLGNLQQLMVMDLGNNDLSGKIPRTLGNLQQLIIMELGNNNLSGKIPRTLGNLQQLTFMDLSHNNLEGDIPSNLSDLGQLYHLDLSDNKLKGIIP >PAN08724 pep chromosome:PHallii_v3.1:1:58088839:58090322:-1 gene:PAHAL_1G432600 transcript:PAN08724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPADAAPASFLSAALVEKLHRFNRASVQAAQRQREAAAAAASAAAASAAMPVGGDAWTACDSSGAEWGGRFLEEQHVEQMIEELLDSNFSMEICY >PAN03580 pep chromosome:PHallii_v3.1:1:607607:609942:-1 gene:PAHAL_1G006700 transcript:PAN03580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRHLAYPLHLPLLSRKPLAPAPLSRRTLCASSTLDTPSPAPAAGAPAETPEGAATSTEAAAAPANPPRREEPLHETVLHMIRRRQWTTRLENSIRLLSPTLDAPLVHGVISGAAAAGRADLALQFFRFAYRRAGFRPERDTFALLVPALASRRMLNHARCLVLDTMPSFSIAPDEATLAALIAAYGKAAIPQEAVKLFRMMPDLGIPRTAHSYNAVLKAILCRGREAMARRIYNAMIAEGVAPDLSTYNTLIWGFGLCKKMDTAVRVFGDMKAHGVTPDATTYNTLLNAWVRAGDLESARKVFDEMTGEGIERNSVSYNVMIKGYVEAKKVEEAVALFTEMGEKGLRLSEKTFAALMPGLCDDQERVAEARKAVDDMAERRLTPKDKSVFMRLVTTLCRAGDLNGALEVHRKSGQFKHVLVDPRQYGVLMESLCAGGKCDSAVEVLDELMEKGTLLSPKSPVLEASAYNPVIEYLCNNGSTTKAATFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRREVPTDPESHTLLVDSFLKKNEPTDAKTALDGMMQQGHLPSPALFKSVMEALFNDGRVQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEGIGRVNLMVENGCMPDLDKLLVGLCENDRVMEAQKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVNQKGCDALMESLKSEGYSKQADILSRILTENAPSTPKRGKRVAMGA >PAN04090 pep chromosome:PHallii_v3.1:1:2779904:2780143:1 gene:PAHAL_1G040900 transcript:PAN04090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSHPDIARTVSEPKMPPAGARAERRACSAFHAMDYGEGMAPARGFSASKSSCSSGSSAKDLGKILGACRRTDPRRPP >PAN07755 pep chromosome:PHallii_v3.1:1:53201250:53207927:-1 gene:PAHAL_1G360200 transcript:PAN07755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSVEVGADGDGGCCGVGDTSPGTIVWVRRRNGSWWPGRILGPEELPPSQIMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKAEATQGTLVKKREKYARREDAILHALELERKQLASKYQTQGFRPGPPGNISACTKHRKDLGSTRYKSKKSKKRKDASVPPEVKKEAGQCFLHAGSKRNISECLAQGNVVSNHMGDFSHLRYSQGGATLESKERSTIVKKNRSDGSDFEDSLVSKSDRRRPFAQVLQSSEKSPHHLQQDDHGALLIGENNNPSLASSRSRRSKYTYMGSDSGETQSHSDLPSVQMASTGVDFENESYLQPGYFSEEHTSSDFVEKQITESSERECSESETEDDAELLQTANVILPIESRAPDPYSIPASDKFRHVDYDDNETTYSTYMPQLNESEEEDGSSELGVSQWHMKGKRNSRNAAKRLVDMTDGNTWLNKYNSSLKGPLHKTNGGNPRKESMQTSGEQFHGQSFYQVKEEPNYDSEETDLFEDTSHSEANLYHGKKYHSSLRTTRDLNRSYSYFNDYENGSTNLSSLNKDADQVYRVDRNAYWDGPTFYQRKFTSRFGGMGPMLFDVDLKVQASYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVFCSDSSIQESTAAPPAWPTGRRTAMQRVPRSNPSGALLDGDNDVGLAYSDWEMKPTLRKYSSSSNHQVKASKKSSSNARRSSAKSHKKSSKKTNLSSQKVRALSSISTGKKHHGEGSQAKAHWRNDIFGGLIRSGPGGAVPLVTCVPTKVVFTRILEAVGRPPLSVAHRVRMASPSVRDPP >PAN07334 pep chromosome:PHallii_v3.1:1:50984371:50989315:-1 gene:PAHAL_1G327400 transcript:PAN07334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGDELVPWDKMAGPEAVNGGAGRMDRIQVLVRLRPLSEKEVARGEPAEWECINDTTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVREVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYISKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKEVARLESELRQPASNSSLEALVKEKDNQIRKMEKEIKELKSQRDLAQSRLQNLLQTVGDHPKHSGSGKRSARSPPSIVMPPGISRDDSSQISHDDSDLYKEVRCIETSGTGGNEQLDMSAGESSSPQGSNMNSSLRGNGSNTSVNSRRSRLLGESPITLEQHLENIRRPFATVGRDLGSSTRNSSGSRILGRSRSCKSLTATTMFDGMVVDDDTPVHRSLVGFPGRPEGDHRKGSALNYDAESETLSRAGSIVSTKTNGACDAEFTGIGEFVAELKEMAQVHYQKQYGGQNANGEFGEGTIKSIGLDPIADASQSPSRWPLEFEKKQQEIIELWHSCSISLVHRTYFFLLFKGDQADSIYMEVELRRLSFLRDTYSRGSPPSNVVVGSLNSSPAVSAKKLQREREMLARQMQKRLTAVEREHVYTKWGISLDSKKRKLQVARRLWTQTKDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQQQPPRRRSSNGWKYGLPSFG >PAN07336 pep chromosome:PHallii_v3.1:1:50983934:50990255:-1 gene:PAHAL_1G327400 transcript:PAN07336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGDELVPWDKMAGPEAVNGGAGRMDRIQVLVRLRPLSEKEVARGEPAEWECINDTTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVREVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYISKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKEVARLESELRQPASNSSLEALVKEKDNQIRKMEKEIKELKSQRDLAQSRLQNLLQTVGDHPKHSGSGKRSARSPPSIVMPPGISRDDSSQISHDDSDLYKEVRCIETSGTGGNEQLDMSAGESSSPQGSNMNSSLRGNGSNTSVNSRRSRLLGESPITLEQHLENIRRPFATVGRDLGSSTRNSSGSRILGRSRSCKSLTATTMFDGMVVDDDTPVHRSLVGFPGRPEGDHRKGSALNYDAESETLSRAGSIVSTKTNGACDAEFTGIGEFVAELKEMAQVHYQKQYGGQNANGEFGEGTIKSIGLDPIADASQSPSRWPLEFEKKQQEIIELWHSCSISLVHRTYFFLLFKGDQADSIYMEVELRRLSFLRDTYSRGSPPSNVVVGSLNSSPAVSAKKLQREREMLARQMQKRLTAVEREHVYTKWGISLDSKKRKLQVARRLWTQTKDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQQQPPRRRSSNGWKYGLPSFG >PAN07335 pep chromosome:PHallii_v3.1:1:50983934:50989817:-1 gene:PAHAL_1G327400 transcript:PAN07335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGDELVPWDKMAGPEAVNGGAGRMDRIQVLVRLRPLSEKEVARGEPAEWECINDTTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVREVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYISKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKEVARLESELRQPASNSSLEALVKEKDNQIRKMEKEIKELKSQRDLAQSRLQNLLQTVGDHPKHSGSGKRSARSPPSIVMPPGISRDDSSQISHDDSDLYKEVRCIETSGTGGNEQLDMSAGESSSPQGSNMNSSLRGNGSNTSVNSRRSRLLGESPITLEQHLENIRRPFATVGRDLGSSTRNSSGSRILGRSRSCKSLTATTMFDGMVVDDDTPVHRSLVGFPGRPEGDHRKGSALNYDAESETLSRAGSIVSTKTNGACDAEFTGIGEFVAELKEMAQVHYQKQYGGQNANGEFGEGTIKSIGLDPIADASQSPSRWPLEFEKKQQEIIELWHSCSISLVHRTYFFLLFKGDQADSIYMEVELRRLSFLRDTYSRGSPPSNVVVGSLNSSPAVSAKKLQREREMLARQMQKRLTAVEREHVYTKWGISLDSKKRKLQVARRLWTQTKDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQQQPPRRRSSNGWKYGLPSFG >PVH65791 pep chromosome:PHallii_v3.1:1:5235850:5240743:-1 gene:PAHAL_1G076600 transcript:PVH65791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAAGPPGRAEPGHHDAPMLLRVHVMEARGLPSIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRLPLTAIMETDDLSLGTKWYQLQPRSGGKFRRKRRGEICLRVYLSVRATLSEDTRQAPPQLIDDISCSSYRSVATTDSSLSATTGSLDLSACGSMDRASLRSLDGFTQSIMEQQGSRSTGPPSCISTGQSILLEPEEDDGGSIADTSSVVEVMSRYFRKSADAAHSVAPDPVTDQLRDAKMHSDSRENGENCMLPEASLHELLKIMESKDQACEMPANLPGGVLVDQSYSIAPAELNSMLFTANSDFWPEVAELQGTSGFHIEPWKHENSENCLKRTLTYTKAASKLVKSVKATEEQKYLRASGNSFAVLSSVSTPDVPCGNCFKVEILYRIIPGPQSASEEQTAQLNVSWRLNFVQSTMLKGMIENGTRQGLAEGYSQFSEVLSRKVKVAELDDANSKDKILASLQPQKESNWKLVARFLGSFAFLFSLSTALYIITHLHLAKPNMVHGGLEYFGIDLPDSIGEVVFCIILIIQGHNIIKVGRRFLQAWKQHGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGLPDPYVIFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVVVHDSDGPSNETPIGQTEVNFVKNNLSDLGDMWLPLAGRFPQGHQPKLHLRIFLSNSRGTEVVLDYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRIAGFYANIFGRKTKFFFLWEDIDDIQVIPPKLATVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSSGLEQKGEIIDKESELKELPYEEGSLLANDDVKMSEVYSAVLSVDWFDGDVLRRFTGT >PVH65790 pep chromosome:PHallii_v3.1:1:5234418:5240880:-1 gene:PAHAL_1G076600 transcript:PVH65790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAAGPPGRAEPGHHDAPMLLRVHVMEARGLPSIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRLPLTAIMETDDLSLGTKWYQLQPRSGGKFRRKRRGEICLRVYLSVRATLSEDTRQAPPQLIDDISCSSYRSVATTDSSLSATTGSLDLSACGSMDRASLRSLDGFTQSIMEQQGSRSTGPPSCISTGQSILLEPEEDDGGSIADTSSVVEVMSRYFRKSADAAHSVAPDPVTDQLRDAKMHSDSRENGENCMLPEASLHELLKIMESKDQACEMPANLPGGVLVDQSYSIAPAELNSMLFTANSDFWPEVAELQGTSGFHIEPWKHENSENCLKRTLTYTKAASKLVKSVKATEEQKYLRASGNSFAVLSSVSTPDVPCGNCFKVEILYRIIPGPQSASEEQTAQLNVSWRLNFVQSTMLKGMIENGTRQGLAEGYSQFSEVLSRKVKVAELDDANSKDKILASLQPQKESNWKLVARFLGSFAFLFSLSTALYIITHLHLAKPNMVHGGLEYFGIDLPDSIGEVVFCIILIIQGHNIIKVGRRFLQAWKQHGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGLPDPYVIFTCNGKRKTSSVKYQTSEPKWNARLDVVVHDSDGPSNETPIGQTEVNFVKNNLSDLGDMWLPLAGRFPQGHQPKLHLRIFLSNSRGTEVVLDYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRIAGFYANIFGRKTKFFFLWEDIDDIQVIPPKLATVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSSGLEQKGEIIDKESELKELPYEEGSLLANDDVKMSEVYSAVLSVDWFDGDVLRRFTGT >PVH65787 pep chromosome:PHallii_v3.1:1:5235320:5240743:-1 gene:PAHAL_1G076600 transcript:PVH65787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAAGPPGRAEPGHHDAPMLLRVHVMEARGLPSIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRLPLTAIMETDDLSLGTKWYQLQPRSGGKFRRKRRGEICLRVYLSVRATLSEDTRQAPPQLIDDISCSSYRSVATTDSSLSATTGSLDLSACGSMDRASLRSLDGFTQSIMEQQGSRSTGPPSCISTGQSILLEPEEDDGGSIADTSSVVEVMSRYFRKSADAAHSVAPDPVTDQLRDAKMHSDSRENGENCMLPEASLHELLKIMESKDQACEMPANLPGGVLVDQSYSIAPAELNSMLFTANSDFWPEVAELQGTSGFHIEPWKHENSENCLKRTLTYTKAASKLVKSVKATEEQKYLRASGNSFAVLSSVSTPDVPCGNCFKVEILYRIIPGPQSASEEQTAQLNVSWRLNFVQSTMLKGMIENGTRQGLAEGYSQFSEVLSRKVKVAELDDANSKDKILASLQPQKESNWKLVARFLGSFAFLFSLSTALYIITHLHLAKPNMVHGGLEYFGIDLPDSIGEVVFCIILIIQGHNIIKVGRRFLQAWKQHGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGLPDPYVIFTCNGKRKTSSVKYQTSEPKWNARLDVVVHDSDGPSNETPIGQTEVNFVKNNLSDLGDMWLPLAGRFPQGHQPKLHLRIFLSNSRGTEVVLDYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRIAGFYANIFGRKTKFFFLWEDIDDIQVIPPKLATVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSSGLEQKGEIIDKESELKELPYEEGSLLANDDVKMSEVYSAVLSVDISGLMEMFSGGSLEHKVMERAGCVDYSATEWELLNRDIYQRRISFRFDKSLSRYGGEATTTQKKYKLPNQEGWVIEEVMTLQGVQHEDYSSIQLKYHMTSTPLRLNTCSLKVLLGVAWLKGAKHQKKAAKNVIVNSTNRLREIFVEVEKEITSRKGTLSKATG >PVH65788 pep chromosome:PHallii_v3.1:1:5234417:5240879:-1 gene:PAHAL_1G076600 transcript:PVH65788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAAGPPGRAEPGHHDAPMLLRVHVMEARGLPSIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRLPLTAIMETDDLSLGTKWYQLQPRSGGKFRRKRRGEICLRVYLSVRATLSEDTRQAPPQLIDDISCSSYRSVATTDSSLSATTGSLDLSACGSMDRASLRSLDGFTQSIMEQQGSRSTGPPSCISTGQSILLEPEEDDGGSIADTSSVVEVMSRYFRKSADAAHSVAPDPVTDQLRDAKMHSDSRENGENCMLPEASLHELLKIMESKDQACEMPANLPGGVLVDQSYSIAPAELNSMLFTANSDFWPEVAELQGTSGFHIEPWKHENSENCLKRTLTYTKAASKLVKSVKATEEQKYLRASGNSFAVLSSVSTPDVPCGNCFKVEILYRIIPGPQSASEEQTAQLNVSWRLNFVQSTMLKGMIENGTRQGLAEGYSQFSEVLSRKVKVAELDDANSKDKILASLQPQKESNWKLVARFLGSFAFLFSLSTALYIITHLHLAKPNMVHGGLEYFGIDLPDSIGEVVFCIILIIQGHNIIKVGRRFLQAWKQHGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGLPDPYVIFTCNGKRKTSSVKYQTSEPKWNARLDVVVHDSDGPSNETPIGQTEVNFVKNNLSDLGDMWLPLAGRFPQGHQPKLHLRIFLSNSRGTEVVLDYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRIAGFYANIFGRKTKFFFLWEDIDDIQVIPPKLATVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSSGLEQKGEIIDKESELKELPYEEGSLLANDDVKMSEVYSAVLSVDISGLMEMFSGGSLEHKVMERAGCVDYSATEWELLNRDIYQRRISFRFDKSLSRYGGEATTTQKKYKLPNQEGWVIEEVMTLQGVQHEDYSSIQLKYHMTSTPLRLNTCSLKVLLGVAWLKGAKHQKKAAKNVIVNSTNRLREIFVEVEKEITSRKVPGKS >PAN04576 pep chromosome:PHallii_v3.1:1:5235320:5240743:-1 gene:PAHAL_1G076600 transcript:PAN04576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAAGPPGRAEPGHHDAPMLLRVHVMEARGLPSIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRLPLTAIMETDDLSLGTKWYQLQPRSGGKFRRKRRGEICLRVYLSVRATLSEDTRQAPPQLIDDISCSSYRSVATTDSSLSATTGSLDLSACGSMDRASLRSLDGFTQSIMEQQGSRSTGPPSCISTGQSILLEPEEDDGGSIADTSSVVEVMSRYFRKSADAAHSVAPDPVTDQLRDAKMHSDSRENGENCMLPEASLHELLKIMESKDQACEMPANLPGGVLVDQSYSIAPAELNSMLFTANSDFWPEVAELQGTSGFHIEPWKHENSENCLKRTLTYTKAASKLVKSVKATEEQKYLRASGNSFAVLSSVSTPDVPCGNCFKVEILYRIIPGPQSASEEQTAQLNVSWRLNFVQSTMLKGMIENGTRQGLAEGYSQFSEVLSRKVKVAELDDANSKDKILASLQPQKESNWKLVARFLGSFAFLFSLSTALYIITHLHLAKPNMVHGGLEYFGIDLPDSIGEVVFCIILIIQGHNIIKVGRRFLQAWKQHGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGLPDPYVIFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVVVHDSDGPSNETPIGQTEVNFVKNNLSDLGDMWLPLAGRFPQGHQPKLHLRIFLSNSRGTEVVLDYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRIAGFYANIFGRKTKFFFLWEDIDDIQVIPPKLATVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSSGLEQKGEIIDKESELKELPYEEGSLLANDDVKMSEVYSAVLSVDISGLMEMFSGGSLEHKVMERAGCVDYSATEWELLNRDIYQRRISFRFDKSLSRYGGEATTTQKKYKLPNQEGWVIEEVMTLQGVQHEDYSSIQLKYHMTSTPLRLNTCSLKVLLGVAWLKGAKHQKKAAKNVIVNSTNRLREIFVEVEKEITSRKGTLSKATG >PVH65789 pep chromosome:PHallii_v3.1:1:5234418:5240879:-1 gene:PAHAL_1G076600 transcript:PVH65789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAAGPPGRAEPGHHDAPMLLRVHVMEARGLPSIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRLPLTAIMETDDLSLGTKWYQLQPRSGGKFRRKRRGEICLRVYLSVRATLSEDTRQAPPQLIDDISCSSYRSVATTDSSLSATTGSLDLSACGSMDRASLRSLDGFTQSIMEQQGSRSTGPPSCISTGQSILLEPEEDDGGSIADTSSVVEVMSRYFRKSADAAHSVAPDPVTDQLRDAKMHSDSRENGENCMLPEASLHELLKIMESKDQACEMPANLPGGVLVDQSYSIAPAELNSMLFTANSDFWPEVAELQGTSGFHIEPWKHENSENCLKRTLTYTKAASKLVKSVKATEEQKYLRASGNSFAVLSSVSTPDVPCGNCFKVEILYRIIPGPQSASEEQTAQLNVSWRLNFVQSTMLKGMIENGTRQGLAEGYSQFSEVLSRKVKVAELDDANSKDKILASLQPQKESNWKLVARFLGSFAFLFSLSTALYIITHLHLAKPNMVHGGLEYFGIDLPDSIGEVVFCIILIIQGHNIIKVGRRFLQAWKQHGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGLPDPYVIFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVVVHDSDGPSNETPIGQTEVNFVKNNLSDLGDMWLPLAGRFPQGHQPKLHLRIFLSNSRGTEVVLDYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRIAGFYANIFGRKTKFFFLWEDIDDIQVIPPKLATVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSSGLEQKGEIIDKESELKELPYEEGSLLANDDVKMSEVYSAVLSVDISGLMEMFSGGSLEHKVMERAGCVDYSATEWELLNRDIYQRRISFRFDKSLSRYGGEATTTQKKYKLPNQEGWVIEEVMTLQGVQHEDYSSIQLKYHMTSTPLRLNTCSLKVLLGVAWLKGAKHQKKAAKNVIVNSTNRLREIFVEVEKEITSRKVPGKS >PAN03727 pep chromosome:PHallii_v3.1:1:1351523:1355261:1 gene:PAHAL_1G017300 transcript:PAN03727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDARAAEDGGAPPPRPVADGFLRFLIPAPKPRPAAPTTAPARLVPPHRLVAPPPAAPALLRPEERLHIVPPTRPDWLPPPPPRQPPAPPLRSPSPWVRPRPFPPAFGEPRTRNAGRFAGQVNGARGRSPASVAHSSGGGRSGSQKPKAAAAHKEKKAWVAVEKKGEDAGDDDRAAVSEGYSCGDETGTEAEDQLEPEGEQDTGGHRRGLDREDDAKNSLDMAANQECSGGGGERPSEQLVCQSNQATHSRGRMGRSQVECRPDIDTFTPGLLALYESLKPSEEHKSKQKQLVDSLAKSVSKEWPNAQLHLYGSCANSFGTSHSDVDVCLEMEIGTASTVEVLLRLADVLRADNFDNVEAITSARVPIVKMSDSGSGFSCDICINNLFAVANTKLLKDYAQIDQRLLQLAFLVKHWAKLRGVNETYRGTLSSYAYVLMCINFLQLREPKILPCLQAMEQTYTMNVDGTECAYFDEVHQLQYFGAENKESIAELLWAFFHFWAFHHDYRRDVISVRTGSTISKQEKNWTTRVGNDRHLMCIEDPFETGHDLGRVVDRQTIRILREEFERAAAVLQYNDDPCVALFEPYDYEN >PAN03726 pep chromosome:PHallii_v3.1:1:1351523:1355261:1 gene:PAHAL_1G017300 transcript:PAN03726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDARAAEDGGAPPPRPVADGFLRFLIPAPKPRPAAPTTAPARLVPPHRLVAPPPAAPALLRPEERLHIVPPTRPDWLPPPPPRQPPAPPLRSPSPWVRPRPFPPAFGEPRTRNAGRFAGQVNGARGRSPASVAHSSGGGRSGSQKPKAAAAHKEKKAWVAVEKKGEDAGDDDRAAVSEGYSCGDETGTEAEDQLEPEGEQDTGGHRRGLDREDDAKNSLDMAANQECSGGGGERPSELVCQSNQATHSRGRMGRSQVECRPDIDTFTPGLLALYESLKPSEEHKSKQKQLVDSLAKSVSKEWPNAQLHLYGSCANSFGTSHSDVDVCLEMEIGTASTVEVLLRLADVLRADNFDNVEAITSARVPIVKMSDSGSGFSCDICINNLFAVANTKLLKDYAQIDQRLLQLAFLVKHWAKLRGVNETYRGTLSSYAYVLMCINFLQLREPKILPCLQAMEQTYTMNVDGTECAYFDEVHQLQYFGAENKESIAELLWAFFHFWAFHHDYRRDVISVRTGSTISKQEKNWTTRVGNDRHLMCIEDPFETGHDLGRVVDRQTIRILREEFERAAAVLQYNDDPCVALFEPYDYEN >PAN03729 pep chromosome:PHallii_v3.1:1:1351564:1353201:1 gene:PAHAL_1G017300 transcript:PAN03729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDARAAEDGGAPPPRPVADGFLRFLIPAPKPRPAAPTTAPARLVPPHRLVAPPPAAPALLRPEERLHIVPPTRPDWLPPPPPRQPPAPPLRSPSPWVRPRPFPPAFGEPRTRNAGRFAGQVNGARGRSPASVAHSSGGGRSGSQKPKAAAAHKEKKAWVAVEKKGEDAGDDDRAAVSEGYSCGDETGTEAEDQLEPEGEQDTGGHRRGLDREDDAKNSLDMAANQECSGGGGERPSEQLVCQSNQATHSRGRMGRSQVECRPDIDTFTPGLLALYESLKPSEEHKSKQKQLVDSLAKSVSKEWPNAQLHLYGSCANSFGTSHSDVDVCLEMEIGTASTVEVLLRLADVLRADNFDNVEAITSARVPIVKMSDSGSGFSCDICINNLFAVANTKLLKDYAQIDQRLLQLAFLVKHWAKLRGVNETYRGTLSSYA >PVH65727 pep chromosome:PHallii_v3.1:1:4161813:4165423:1 gene:PAHAL_1G061300 transcript:PVH65727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGRVVAKFCAKYLHSQVLKSEAYSTGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNNQQDDWASEEGPHSDFAGPTCGCTACIALIRNNQLIVANAGDSRCVISRAGQAYNLSRDHKPELAAERERIMKAGGFIHMGRVNGSLNLSRAIGDVEFKQNKFLPPEKQIVTANPDINVVELCDEDDFVVVACDGIWDCMSSQQLVNFIHEHLNKESSLSAVCEKVLDRCLAPSTITGEGCDNMTMILVQFKKPVNRNKKTEVAKQSTSSADETEIHVAEE >PVH65725 pep chromosome:PHallii_v3.1:1:4160115:4165589:1 gene:PAHAL_1G061300 transcript:PVH65725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGRVVAKFCAKYLHSQVLKSEAYSTGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNNQQDDWASEEGPHSDFAGPTCGCTACIALIRNNQLIVANAGDSRCVISRAGQAYNLSRDHKPELAAERERIMKAGGFIHMGRVNGSLNLSRAIGDVEFKQNKFLPPEKQIVTANPDINVVELCDEDDFVVVACDGIWDCMSSQQLVNFIHEHLNKESSLSAVCEKVLDRCLAPSTITGEGCDNMTMILVQFKKPVNRNKKTEVAKQSTSSADETEIHVAEE >PVH65724 pep chromosome:PHallii_v3.1:1:4161661:4165423:1 gene:PAHAL_1G061300 transcript:PVH65724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGRVVAKFCAKYLHSQVLKSEAYSTGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNNQQDDWASEEGPHSDFAGPTCGCTACIALIRNNQLIVANAGDSRCVISRAGQAYNLSRDHKPELAAERERIMKAGGFIHMGRVNGSLNLSRAIGDVEFKQNKFLPPEKQIVTANPDINVVELCDEDDFVVVACDGIWDCMSSQQLVNFIHEHLNKESSLSAVCEKVLDRCLAPSTITGEGCDNMTMILVQFKKPVNRNKKTEVAKQSTSSADETEIHVAEE >PVH65726 pep chromosome:PHallii_v3.1:1:4160139:4165588:1 gene:PAHAL_1G061300 transcript:PVH65726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGRVVAKFCAKYLHSQVLKSEAYSTGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNNQQDDWASEEGPHSDFAGPTCGCTACIALIRNNQLIVANAGDSRCVISRAGQAYNLSRDHKPELAAERERIMKAGGFIHMGRVNGSLNLSRAIGDVEFKQNKFLPPEKQIVTANPDINVVELCDEDDFVVVACDGIWDCMSSQQLVNFIHEHLNKESSLSAVCEKVLDRCLAPSTITGEGCDNMTMILVQFKKPVNRNKKTEVAKQSTSSADETEIHVAEE >PAN04074 pep chromosome:PHallii_v3.1:1:2729080:2729805:-1 gene:PAHAL_1G039700 transcript:PAN04074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILRSTSLPSSLRSEEINIEEQLQSLKATISSAATEKVVDGIRRLGELYNSIEEMMCSPSGQVSLCRPQQRKAVEQELEKSLIFLDLCNAMQENFSELKTSIQEMQLVIKRGPYSALKSKIQSYIRLAKKVQKQLKKISKKPITVDQESCRVTKQLAEAREIGISMLESLPHLLLNQIAMPSSSKWSLLSRTTHKRRLTCEEEQLQAMELVIVDLEIGIETLFRKLIQSRVLVLNTLSL >PAN08830 pep chromosome:PHallii_v3.1:1:58487618:58494851:1 gene:PAHAL_1G439200 transcript:PAN08830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRLLVGAAMRAPPPPPPTPQFRRRRLTHPRGGAHCLPFARAARTTLLTRCSYSRKGNSNSRGKPRRESSSTVRLEVEESSDQGKNSTNDQRKGDIRELFSQAQRNILYLNKQRLLAMEELKKLQDENELLLQEIEVLEMEVQGIPLEAIQSSRFCELLLRIDTMVISGMINMQEASDLREKVVNNRSIIESTFSDINHKANTELLSELRLFLHKPIEKPLHVVHICSELDPIASCGSLSTYVAGVSSAVQGKGNLVEVILPKYTSINTDGIHGLRKAEAEYESYFGGIWHKNRIWTGMSSGVGLILIEPIQLSYFNRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDILHIHNWETAIVAPLFWDIFAHQGLENTRILLTCQDLDSQCLEEPNKLEMCGLDPRKLHRADRLQDPNETHLVNVLKGGIVYSNKVILMSSIHSRDVLTRGLRHGLETTLTVHKEKILVASHGLDGELWDPSKDIYLPRRYSANDIEGKSICREALKRRLGFHSGSSIIVGCICDGYSDIHNLKEAVHVALRRSAQVIFMEKLGSVANSTIRALKEEFINLDDSIAFIEEYDETLAHLVYAGSDIILCSSFEDPSLQIAMKAIKYGCAPMQINFPNDKSRQSEGNDCRNRVMSKYIISTYGELSLLQALDSFKNDPSLWDQRIKDGMVKGLAWNAECYDLHWEAYSFVRKL >PAN08838 pep chromosome:PHallii_v3.1:1:58568101:58570884:-1 gene:PAHAL_1G439900 transcript:PAN08838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIELTLPPGFRFYPSDEELVCHYLHSKVANERIAGAGGAMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKAAGRAVVVGMRKTLVFYRGRAPNGIKTSWVMHEFRMENPHTPPKEDWVLCRVFYKKKADAMDYAMDSEQDVAMPHSADHPSYSPPFPALGSSHYHLPPPSSDHHGGAGAGSLNDFPAAMALLHHQHNSMFNFPAQPHDGGNVLAAAGSRDGSGAGDQCGSGVLMDLGLDEHYNYNYNSLMQM >PVH66009 pep chromosome:PHallii_v3.1:1:10107007:10108688:-1 gene:PAHAL_1G126000 transcript:PVH66009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYINIDEREFGVGACRQIKENWDDFYCPSVLEIQIPYYADDLPFKLPHLSSGLSRLKRLRLSNLKLDSHFSELIGSGCPALEDLELTCCLNYSRDIKSSTALPIKMHQRSLLRGLFNVTSLELTHYKTMAMLDRNSDKFPMFPNLRPLSLKRCFLDDECDCDMGRKLEDLGSFLQNAPCLEKLTLWCCMRRSICLKSQDRKTFRCPMLKLIEVMYEHDHEHLLVELMWGIARRLPDASVKLTKI >PAN03527 pep chromosome:PHallii_v3.1:1:419361:421746:1 gene:PAHAL_1G004300 transcript:PAN03527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASSLSELGFDAGDASSGFFRPVADGGATPTAHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDLAVTRGSDLAIVTAGARQIPGESRLNLLQRNVALFRKIVPALAEHSPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQASHRCFDEEALESIRRSVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPAENDVFLSLPARLGRGGVLGVAEMELTEEEARRLRRSAKTLWENCQQLGL >PAN06819 pep chromosome:PHallii_v3.1:1:48619825:48622836:1 gene:PAHAL_1G290500 transcript:PAN06819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGTRPDTFFTSESVRSVCTEVATDLQILVDGCVYHLHKFPLLSKCMLLQALCADSGASGGGGGDVVELPGFPGGGEAFEACAKFCYGITITVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALGVLSSTRHYALLCEDLGLTSRCVDAVAALIINPDTALPAKSTSASPWWAHDIAELGVDLFWRIMVAVKATGAVHEKTVGDALKAYARRWLPNVAKDGLSADQPFDDDAGSADVKQITTRHRLLLEKIVSLLPAERDAVSCGFLLKLLKAANILSASAASKAELVRRVAWQLEEASVADLLVPSLSCVSETLYDVDAVAAILDEFSLRYAAVAPAPPPPLALSGSPDDSPAHSGGHRRSRSAESVSFDGTRRSLSAAPVSQGALARVGKLVDGFLIEVAKDPNMPLDKLLAIAEAVPDSARPEHDGLYKVVDTYLKAHPEMSKSARKRLCRVLNCRKLSEKASAHAAQNELLPLRVVVQVLFFEHARAAALASGEHAAVAAADLPSNIRALLSKASGSSEDDEADRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLAEEDEDHDADEFARKAGLARSASLRFRAFCAIPAGKPKRMLSKLWPLGRSGVSH >PVH66369 pep chromosome:PHallii_v3.1:1:39478646:39483524:1 gene:PAHAL_1G224900 transcript:PVH66369 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein OR23 [Source:Projected from Arabidopsis thaliana (AT4G03030) UniProtKB/Swiss-Prot;Acc:Q0V7S6] MASSSSSGAGRVAGTLALRSGSAVPAASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAASLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWPLPPLPCSPQLYGLANFAALSVGRHLYVLGGSCFDARSYPLGHPSPSAAAYRLDLAHSRHYWERLPDMQIPRGSFACAPAPSGGVVIVAGGGSRHPTFPSNGSRTDSTEWYDATARTWRGAASMPRHRAGCVGFVAHGAGDGGEDEFWVMGGYDGYTTLGGVVPTDVYCRNAVALGLWSGNWREIGDMWEEGERRRLGPVATLSADDGMITEVFMLDGNDIFRMEEDDCIISIKGDPLL >PAN05615 pep chromosome:PHallii_v3.1:1:39478557:39487066:1 gene:PAHAL_1G224900 transcript:PAN05615 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein OR23 [Source:Projected from Arabidopsis thaliana (AT4G03030) UniProtKB/Swiss-Prot;Acc:Q0V7S6] MASSSSSGAGRVAGTLALRSGSAVPAASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAASLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWPLPPLPCSPQLYGLANFAALSVGRHLYVLGGSCFDARSYPLGHPSPSAAAYRLDLAHSRHYWERLPDMQIPRGSFACAPAPSGGVVIVAGGGSRHPTFPSNGSRTDSTEWYDATARTWRGAASMPRHRAGCVGFVAHGAGDGGEDEFWVMGGYDGYTTLGGVVPTDVYCRNAVALGLWSGNWREIGDMWEEGERRRLGPVATLSADDGMITEVFMLDGNDIFRMEEDDCIISIKGDPLL >PAN05613 pep chromosome:PHallii_v3.1:1:39478557:39487066:1 gene:PAHAL_1G224900 transcript:PAN05613 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein OR23 [Source:Projected from Arabidopsis thaliana (AT4G03030) UniProtKB/Swiss-Prot;Acc:Q0V7S6] MASSSSSGAGRVAGTLALRSGSAVPAASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAASLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWPLPPLPCSPQLYGLANFAALSVGRHLYVLGGSCFDARSYPLGHPSPSAAAYRLDLAHSRHYWERLPDMQIPRGSFACAPAPSGGVVIVAGGGSRHPTFPSNGSRTDSTEWYDATARTWRGAASMPRHRAGCVGFVAHGAGDGGEDEFWVMGGYDGYTTLGGVVPTDVYCRNAVALGLWSGNWREIGDMWEEGERRRLGPVATLSADDGMITEVFMLDGNDIFRMEEDDCIISIKGDPLL >PAN05616 pep chromosome:PHallii_v3.1:1:39478556:39487066:1 gene:PAHAL_1G224900 transcript:PAN05616 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein OR23 [Source:Projected from Arabidopsis thaliana (AT4G03030) UniProtKB/Swiss-Prot;Acc:Q0V7S6] MASSSSSGAGRVAGTLALRSGSAVPAASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAASLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWPLPPLPCSPQLYGLANFAALSVGRHLYVLGGSCFDARSYPLGHPSPSAAAYRLDLAHSRHYWERLPDMQIPRGSFACAPAPSGGVVIVAGGGSRHPTFPSNGSRTDSTEWYDATARTWRGAASMPRHRAGCVGFVAHGAGDGGEDEFWVMGGYDGYTTLGGVVPTDVYCRNAVALGLWSGNWREIGDMWEEGERRRLGPVATLSADDGMITEVFMLDGNDIFRYAFATNRWLREATTRRRIPNTESCGFVSMNGELYVLRSAKIPVEASGPWRQLKKKLALEFQVYNPGTKKWRVLTTHPPVDAPIDFRTAALCTVEL >PVH67128 pep chromosome:PHallii_v3.1:1:58057069:58060083:-1 gene:PAHAL_1G432100 transcript:PVH67128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARRSRRLAGFLLLACRTPNPKPLSSSASSAPPTTARVREDIDLTRRLLRLRFRPPRGAAAAAVERWARERGHVSQPELRRAIVQLRGTRRYEHALEVFSWMDSCNTLKLSSWDHAARLGLIAKAHGASQAEEYYKKLKNAAAKRAASFPLLHCYVSERNVKKAETFMSELQSCGLPVDPHSFNEMMKLYVATCQYEKVLSVIDLMKRNNIPRNVLSYNLWINACAQVSGVASVQSVFKEMVNDDMVEIGWSTYGTLANIFRMHGLTTKAQACLRKAETKLSPTGRLGYSFLMTCYAALNDSDGVMRLWEASKSVPGRIPNANYMTAMLCSIKVGDISRAEWIFGSWEVVCRKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGARPNYKTWEILMEGYIQSTQMDKAVDAMKKGLSLLKSCHWRPPLELLEAIAKHFEEQGSADDAYRYAKVLQRFKLTSLPLYKSLLRAYINADIVPPNILEMIAKDQIIMDEEMDRLIILAGKIDITCNGLPFTGTGNHQSNDK >PVH67187 pep chromosome:PHallii_v3.1:1:58920634:58921946:1 gene:PAHAL_1G446200 transcript:PVH67187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWSPDFHTFTDLLQSNGSQASPRDDPSPMHRRSDVNSSPQPRALFPPAAPPAPGAPPPPYHYPYGPYSYPPPPYAPPPGTRSGTEGPYPLPSYALPPYAPPPYAPPPYAPPPYAPPPYGPYPPPPHAAPTVPSSVSVESQNEGVEATEPKRQKRLDWMIAEEEKLVHAWVYHSNGSITGNNQTGSSFWGQIAETFNSTTEPSCHCTVKQLKDHWNVCNRDVALFNGYYIQEERVRQSGADDAMVMEGAMVRYENDPKVTTAFKRHHWWRAVRHEPKWAAKHGPDSGSDVSSKRTRLGVSGEYSSGGTEDTEQDNETRPIGRDRAKAAKRKEKAKGKEKGKEFSSSSAVGSGLVKAKLFKKWNIMKSRSTADMDEAEKCTHFKAIKMVEKELGLDENSEED >PAN04958 pep chromosome:PHallii_v3.1:1:7747161:7748054:1 gene:PAHAL_1G104800 transcript:PAN04958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQMWNLQGEDGGRAMGESYHAGLGQATDLSEAEGALLMELMEDLPPSDALDGDVDRLSHVIRSLEAEIGGGGDVAAGMVDGESVARASGEDGAMLEDMLRLELDHHEGGLWFGCWPEVSLIGHEAEGNWCVYSSGYEGGVVGYEAIDHQHHCCVEGSVEQVCSPLWE >PAN05756 pep chromosome:PHallii_v3.1:1:12348175:12350828:1 gene:PAHAL_1G136400 transcript:PAN05756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPTVSSSFAGRHTASAAASAEPELGSNPSSSFAAAADSSMASLIERATSTTAPAVDPALLRAIKSSARASDGAIRDAFRLLLSLMSKPHSHVRLLAFSIADELFMRSKLFRSLLADALDGFLPLAVGFRRAHPLPPPTASAALLRKAAVQALERWHHLFGAHYRQLRLAVEYLKVSARVQFPVLRATVEARAAREARTQEILAAKVEQLRGNLASIKDEIRSTMDEIRNGLEIIRADYEKFEGYGNDDDAEEEIASLSMRSIRMASLMAGEWVLETQENEAVFDALREAYRLLVSKHLVTVKEWISVLIRVDLPDNRFRDSALKEFIDIKNEIRAVRDRCTELGLNLDNVSRRKGDQEEEDDEFWVEGNIEAPSPARVQSSVDAASTSRDTGKGKRVVGGEKSDIGKSPVAANGTRNLDPEKSKLFAEAPVVPWSSVLDRWGSSGDAHVNQRGLELDSHWGRVDNDAVIPAAKIAELNVHSSVYREAPVEILPCHAPLKKGGLCQRRDLKVCPFHGSIVPRDAEGNPIEQHGGSSGAEVDPVEHCDTTGNSNELNGNSDGDYVEEASSSRMTDLSNDDYGSTVGTHDLGKITVEQLVRQAIKNVRKRDMDHKALERAQRQRIRQHNEDVLREAAIASTSHSAAAYEQPPEARGRGRRGKTKAPTLASMLKKKITTKDRIAERLLNTRATDATIREASHIEDMSYREAFPNQW >PAN03933 pep chromosome:PHallii_v3.1:1:2089027:2092737:-1 gene:PAHAL_1G030100 transcript:PAN03933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGQNKLTGSVPESLRHILRLLDLDLSFNSLSGYVPLPLYNVSSLRYFSLGSNALAGQVPSDIGYSLPNLQVLIMQNNNLAGLIPTSLENASSLQVLDLSNNSLHGRVPSLGSLAKLRQVLLGRNQLEAYDWQFLASLTNCTQLTKLSLEGNMLNGSLPGSISNLSTGLQSLLLGSNQISGSIPVEIGNLVNLTMLSMENNLLSGGIPATVGKLRNLFILNLPKNKLSGQIPSSIGNISQLGKLFLDDNDLSGSIPGSLGGCLGLVQLNLSYNSLDGFLPKELFTGPSLSFGLDVSRNNLTGEIPEEIGRLVNIVLLNVSNNLFYGVIPSALGGLSALSSLRMGSNKLQGPIPASFEMLLSIQDINLSRNELHGNVPEFLERLTMLEKLDLSYNNLEGPVPTGGRFLNSSLDVILNGNKGLCSSSRSSKLALPNCDGAPETKNHALLLLVLIPLVAITSVLLLWFLVTLWKKRVFEFPQWKDFAITKFLCFIAQPKRSEVQTLPRNCETLKKVSYSDILRATNCLSSVHAISSTRTGSVYVGRFMYDRSLVAIKVFNLNEAAAYQSYFTECEVLRSTRHRNLMRPVTLCSTVDTGNHDFKALIFKFMVNGSLERWLHCEYYSGMPERVLSLGQRICIAADVASALDYIHNQVTPPLVHCDLKPSNILLDNDMTARLGDFGSAKFLFPGLIIPKSLVEVGGTIGYMAPEYGMGSEITTGGDVHSFGVLLLEMLTGKQPTDDLFVDDLSLHSFTHSMFPDRLAEIIDPHMMREESQPGTEVWMQSYIIPLVALGFSCSMKSPKERPGMRDVCAKLSAIKEGFTKSHTGSQLAV >PAN03935 pep chromosome:PHallii_v3.1:1:2089027:2092734:-1 gene:PAHAL_1G030100 transcript:PAN03935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPLLIFLLLVAHPLIFLPRPAAILAAESANESEIDRQALLSFLQGITFDTLGVLSLWGGNASLYCSWRGVTCGRALPFRVVSLQLNSLQLVGELSLSLGNLTSLARLDLGNNLFSGGIPEELGNGLSLSYVNLANNSLTGGIPPALARSSSSSLSKLILSRNNLSGQIPATLFANASALTRVDLRMNSLTGALPPFGRVTSLKYLCVTENFLSGSIPPSVGNVSSLRFMLLGQNKLTGSVPESLRHILRLLDLDLSFNSLSGYVPLPLYNVSSLRYFSLGSNALAGQVPSDIGYSLPNLQVLIMQNNNLAGLIPTSLENASSLQVLDLSNNSLHGRVPSLGSLAKLRQVLLGRNQLEAYDWQFLASLTNCTQLTKLSLEGNMLNGSLPGSISNLSTGLQSLLLGSNQISGSIPVEIGNLVNLTMLSMENNLLSGGIPATVGKLRNLFILNLPKNKLSGQIPSSIGNISQLGKLFLDDNDLSGSIPGSLGGCLGLVQLNLSYNSLDGFLPKELFTGPSLSFGLDVSRNNLTGEIPEEIGRLVNIVLLNVSNNLFYGVIPSALGGLSALSSLRMGSNKLQGPIPASFEMLLSIQDINLSRNELHGNVPEFLERLTMLEKLDLSYNNLEGPVPTGGRFLNSSLDVILNGNKGLCSSSRSSKLALPNCDGAPETKNHALLLLVLIPLVAITSVLLLWFLVTLWKKRVFEFPQWKDFAITKFLCFIAQPKRSEVQTLPRNCETLKKVSYSDILRATNCLSSVHAISSTRTGSVYVGRFMYDRSLVAIKVFNLNEAAAYQSYFTECEVLRSTRHRNLMRPVTLCSTVDTGNHDFKALIFKFMVNGSLERWLHCEYYSGMPERVLSLGQRICIAADVASALDYIHNQVTPPLVHCDLKPSNILLDNDMTARLGDFGSAKFLFPGLIIPKSLVEVGGTIGYMAPEYGMGSEITTGGDVHSFGVLLLEMLTGKQPTDDLFVDDLSLHSFTHSMFPDRLAEIIDPHMMREESQPGTEVWMQSYIIPLVALGFSCSMKSPKERPGMRDVCAKLSAIKEGFTKSHTGSQLAV >PAN03715 pep chromosome:PHallii_v3.1:1:1321452:1324554:1 gene:PAHAL_1G016900 transcript:PAN03715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPARTFSMPSVEPLLLSASPAATRNDQRGRSHGGSIRPSVAVSETLLPSDFDLQEGLTSMQKILQQRRSSGREMMATVDNLKRLCIDHYFEEEIESAMGACMDLLHSDDLFDATLAFRLVREAGHDVSPADDVLRRFTDDTGEFKLALSKDIRGLLSLHDMSHLDMGGEASLHKAKEFSSKHLASAIRYLEPGLARYVRQSLDHPYHLSLMQYKARHHLSYLQSLPTRDTAMEGLAVAEFQLSKQLHQEEIQEVKRWWTDLGLSDEIPVVRDQVLKWYMWAMTSLQGSSFSRYRIEITKIIALVYVVDDIFDLVGTPEELSLFTQAIRMWNTAAADSLPSGMRPCYKAIYTTTNEIADMVEEEHGFNPVNHLRNAWAVLFDGFMVEARWLATDQAPTAEDYLRNGAVTSGVPLTFAHIFSMLGYDKSNEAAANLADDHIPSVISCPAKILRLWDDMGSAEDEAQEGLDGSYRDFYLMENPSCTPGDAEAHMRRLIAREWEELNRECFSRRTFSSRLTQACLNAARMVSVMYSYDKEQRLLVLEDYATMLLL >PAN03716 pep chromosome:PHallii_v3.1:1:1321342:1324554:1 gene:PAHAL_1G016900 transcript:PAN03716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPARTFSMPSVEPLLLSASPAATRNDQRGRSHGGSIRPSVAVSETLLPSDFDLQEGLTSMQKILQQRRSSGREMMATVDNLKRLCIDHYFEEEIESAMGACMDLLHSDDLFDATLAFRLVREAGHDVSPDDVLRRFTDDTGEFKLALSKDIRGLLSLHDMSHLDMGGEASLHKAKEFSSKHLASAIRYLEPGLARYVRQSLDHPYHLSLMQYKARHHLSYLQSLPTRDTAMEGLAVAEFQLSKQLHQEEIQEVKRWWTDLGLSDEIPVVRDQVLKWYMWAMTSLQGSSFSRYRIEITKIIALVYVVDDIFDLVGTPEELSLFTQAIRMWNTAAADSLPSGMRPCYKAIYTTTNEIADMVEEEHGFNPVNHLRNAWAVLFDGFMVEARWLATDQAPTAEDYLRNGAVTSGVPLTFAHIFSMLGYDKSNEAAANLADDHIPSVISCPAKILRLWDDMGSAEDEAQEGLDGSYRDFYLMENPSCTPGDAEAHMRRLIAREWEELNRECFSRRTFSSRLTQACLNAARMVSVMYSYDKEQRLLVLEDYATMLLL >PVH65530 pep chromosome:PHallii_v3.1:1:1319206:1324554:1 gene:PAHAL_1G016900 transcript:PVH65530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATECVFSFLLPLRRSASPSQASKSLLFSDDLHLQEGLTSMQKILQQRRSSGREMMATVDNLKRLCIDHYFEEEIESAMGACMDLLHSDDLFDATLAFRLVREAGHDVSPADDVLRRFTDDTGEFKLALSKDIRGLLSLHDMSHLDMGGEASLHKAKEFSSKHLASAIRYLEPGLARYVRQSLDHPYHLSLMQYKARHHLSYLQSLPTRDTAMEGLAVAEFQLSKQLHQEEIQEVKRWWTDLGLSDEIPVVRDQVLKWYMWAMTSLQGSSFSRYRIEITKIIALVYVVDDIFDLVGTPEELSLFTQAIRMWNTAAADSLPSGMRPCYKAIYTTTNEIADMVEEEHGFNPVNHLRNAWAVLFDGFMVEARWLATDQAPTAEDYLRNGAVTSGVPLTFAHIFSMLGYDKSNEAAANLADDHIPSVISCPAKILRLWDDMGSAEDEAQEGLDGSYRDFYLMENPSCTPGDAEAHMRRLIAREWEELNRECFSRRTFSSRLTQACLNAARMVSVMYSYDKEQRLLVLEDYATMLLL >PAN03714 pep chromosome:PHallii_v3.1:1:1319206:1324554:1 gene:PAHAL_1G016900 transcript:PAN03714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATECVFSFLLPLRRSASPSQASKSLLFSDDLHLQEGLTSMQKILQQRRSSGREMMATVDNLKRLCIDHYFEEEIESAMGACMDLLHSDDLFDATLAFRLVREAGHDVSPDDVLRRFTDDTGEFKLALSKDIRGLLSLHDMSHLDMGGEASLHKAKEFSSKHLASAIRYLEPGLARYVRQSLDHPYHLSLMQYKARHHLSYLQSLPTRDTAMEGLAVAEFQLSKQLHQEEIQEVKRWWTDLGLSDEIPVVRDQVLKWYMWAMTSLQGSSFSRYRIEITKIIALVYVVDDIFDLVGTPEELSLFTQAIRMWNTAAADSLPSGMRPCYKAIYTTTNEIADMVEEEHGFNPVNHLRNAWAVLFDGFMVEARWLATDQAPTAEDYLRNGAVTSGVPLTFAHIFSMLGYDKSNEAAANLADDHIPSVISCPAKILRLWDDMGSAEDEAQEGLDGSYRDFYLMENPSCTPGDAEAHMRRLIAREWEELNRECFSRRTFSSRLTQACLNAARMVSVMYSYDKEQRLLVLEDYATMLLL >PVH67056 pep chromosome:PHallii_v3.1:1:56914341:56915287:-1 gene:PAHAL_1G417300 transcript:PVH67056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASFLSLSPELRDALVKVAVFVLVQGLVYLILRNSSNVFSKDGGLRSLSFRPMRSMSIRRVLAPLSDVPVGTDEPSTSPSLSSAASRRRVSRED >PVH65657 pep chromosome:PHallii_v3.1:1:3243825:3248222:1 gene:PAHAL_1G047500 transcript:PVH65657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKFPEITNGVLLLQTWIYYEQGDLKKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVIAMLTGEMDVESEKISKPAIISDFMDLKVRSMRKPLEIATSSTFLSSLNAHSSPMLSNETTQTSMISTGVSDHE >PVH65661 pep chromosome:PHallii_v3.1:1:3243825:3248225:1 gene:PAHAL_1G047500 transcript:PVH65661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKFPEITNGVLLLQTWIYYEQGDLKKIIDSSLGDDLDVTQACR >PAN04177 pep chromosome:PHallii_v3.1:1:3243825:3248179:1 gene:PAHAL_1G047500 transcript:PAN04177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKFPEITNGVLLLQTWIYYEQGDLKKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVIAMLTGEMDVESEKISKPAIISDFMDLKVRSMRKPLEIATSSTFLSSLNAHSSPMLSNETTQTSMISTGVSDHE >PVH65658 pep chromosome:PHallii_v3.1:1:3243825:3248179:1 gene:PAHAL_1G047500 transcript:PVH65658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKTWIYYEQGDLKKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVIAMLTGEMDVESEKISKPAIISDFMDLKVRSMRKPLEIATSSTFLSSLNAHSSPMLSNETTQTSMISTGVSDHE >PVH65655 pep chromosome:PHallii_v3.1:1:3243825:3248223:1 gene:PAHAL_1G047500 transcript:PVH65655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKTWIYYEQGDLKKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVIAMLTGEMDVESEKISKPAIISDFMDLKVRSMRKPLEIATSSTFLSSLNAHSSPMLSNETTQTSMISTGVSDHE >PVH65660 pep chromosome:PHallii_v3.1:1:3243338:3251781:1 gene:PAHAL_1G047500 transcript:PVH65660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKTWIYYEQGDLKKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVIAMLTGEMDVESEKISKPAIISDFMDLKVRSMRKPLEIATSSTFLSSLNAHSSPMLSNETTQTSMISTGVSDHE >PVH65656 pep chromosome:PHallii_v3.1:1:3243339:3249013:1 gene:PAHAL_1G047500 transcript:PVH65656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKFPEITNGVLLLQTWIYYEQGDLKKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVIAMLTGEMDVESEKISKPAIISDFMDLKVRSMRKPLEIATSSTFLSSLNAHSSPMLSNETTQTSMISTGVSDHE >PVH65662 pep chromosome:PHallii_v3.1:1:3243825:3248225:1 gene:PAHAL_1G047500 transcript:PVH65662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKTWIYYEQGDLKKIIDSSLGDDLDVTQACR >PVH65654 pep chromosome:PHallii_v3.1:1:3243339:3248227:1 gene:PAHAL_1G047500 transcript:PVH65654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKTWIYYEQGDLKKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVIAMLTGEMDVESEKISKPAIISDFMDLKVRSMRKPLEIATSSTFLSSLNAHSSPMLSNETTQTSMISTGVSDHE >PVH65659 pep chromosome:PHallii_v3.1:1:3243338:3251781:1 gene:PAHAL_1G047500 transcript:PVH65659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTRRQPSSHHNEDVPGGPNIKRYTYRELVRATENFSQSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYYAEGDQRILVYNHIENNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKFPEITNGVLLLQTWIYYEQGDLKKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVIAMLTGEMDVESEKISKPAIISDFMDLKVRSMRKPLEIATSSTFLSSLNAHSSPMLSNETTQTSMISTGVSDHE >PVH65904 pep chromosome:PHallii_v3.1:1:7367283:7370668:1 gene:PAHAL_1G100900 transcript:PVH65904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQVNYFTNLLSQEAVDVFESDDNEELENDFQEFNDMNSQPKSKGRSKNFTEQEDVLLVSAHPNVGKNAIAGRDQKDGKFWERVETYFHVNKTFESDRNWSSLRHRWSLINREINSFRGFLDKIERKNESEKIMNDKIAEAKALFLERKKKPFSIFHCWNLLKDEPKYRSRQNPDSRNANEDGPLNAQRPLGRKAEKERARKCDETESDPFIEEVKKMREAREETDRERKARDDQFLEIEKSKFDLEREQHDNLIMQTDTSTMDDEAKQYFKFMKQEILARRFGTSLQ >PAN04909 pep chromosome:PHallii_v3.1:1:7367283:7370668:1 gene:PAHAL_1G100900 transcript:PAN04909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGAGAAARPQGLAPSPVVQSTPSSTDPSWMMPEYSTMPSQVNYFTNLLSQEAVDVFESDDNEELENDFQEFNDMNSQPKSKGRSKNFTEQEDVLLVSAHPNVGKNAIAGRDQKDGKFWERVETYFHVNKTFESDRNWSSLRHRWSLINREINSFRGFLDKIERKNESEKIMNDKIAEAKALFLERKKKPFSIFHCWNLLKDEPKYRSRQNPDSRNANEDGPLNAQRPLGRKAEKERARKCDETESDPFIEEVKKMREAREETDRERKARDDQFLEIEKSKFDLEREQHDNLIMQTDTSTMDDEAKQYFKFMKQEILARRFGTSLQ >PVH67051 pep chromosome:PHallii_v3.1:1:56863816:56864357:-1 gene:PAHAL_1G416200 transcript:PVH67051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKPSVKGYFILVSEFTETYKEEQTCMHKRSQACPAHFQKRQSQEHLFGALQLVLSVDLWWKDGGL >PAN04149 pep chromosome:PHallii_v3.1:1:3107202:3108431:1 gene:PAHAL_1G045700 transcript:PAN04149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQRLILSRIPCRVDRGRISLVCRAWRDMVRSQQHMLVGRLLPQPRSLQWLLLRAPFPAGSNRVVCVLSGCRVHHYINVVPPDARCFGAHGGAWLFVDTREPVHSAAAVNARTGAFRNLPRELLRRADPYVYRMVIHAAALSSSPDDANCVGAAIVTAWQNAAPGAGPPPRRRCVALWRRDWPRAWDFVPPGQDDVSLNVEDVLYLNHNGAFAFVTQGEHIRICVPLRLSENMLSTKWGTLRFRPGGPLYDHFVRSRYLVVSGGELLMVVRFTPHPNMPTSKFKVFRTAKRNVNDDNADFPIALYPWAWSELDTLGGWMLFVGHGCSRSYKVDKYPGFKEGIYFLDDGKFYDDAVIFDNGNGNHYPCSDDGKWSEGQIQRCFPRSDPSVHSAPVWLLPGGGDMFSGTL >PVH66330 pep chromosome:PHallii_v3.1:1:34372399:34373351:1 gene:PAHAL_1G209400 transcript:PVH66330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGGGVVQWPSAMSSFMLTYLSQLVASGTKTSTGFKQVHLNACAKALNETMGTHYTGTHVGNHLRKWKRIYAKIEKLKNLSGALWVQETCTISLEKEHYIGHVQDHRDDAKYLNTPIEHYHEMATIFGNSLATGAYAKGAYDPLATVVTETENAPKDTEDGAATAEQVGADDATTGNLANLEDPTMAMVAMLGDNLGKLATAITNMTKIVASDDDDIPEGLYEDLMSIPGFEATHLDDYYAHLCKHPREARQFYKLPTLSSKMIWVARYIKMYLADGGL >PAN08149 pep chromosome:PHallii_v3.1:1:55260358:55261626:-1 gene:PAHAL_1G391700 transcript:PAN08149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARGRGGASGGALAGALLAIGLLVVATSAPLAEAAAAYMVGDYGGWKFNVDRWAKGRTFRAGDQLVFNYNRAVHDVAVVNAAAYRSCVVPRGARVLRSGRDKVRLGRGTHYFVCTVRGHCQAGMKIAVRAV >PVH67165 pep chromosome:PHallii_v3.1:1:58793081:58799372:1 gene:PAHAL_1G442900 transcript:PVH67165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRRRRDYKTERVVVSQAPRCRLLNFEPKSPIARRATSASFCRTAASSTPSHQRPPPPRPSQQRPPPHSGEATRAIPRSRLCRPDPGGAARGQMWTTAKAVAGLVDSWGRSSPRRDYPRSIQTSRVEKISICS >PVH67163 pep chromosome:PHallii_v3.1:1:58793081:58796682:1 gene:PAHAL_1G442900 transcript:PVH67163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRRRRDYKTERVVVSQAPRCRLLNFEPKSPIARRATSASFCRTAASSTPSHQRPPPPRPSQQRPPPHSGEATRAIPRSRLCRPDPGGAARGQMWTTAKAVAGLVDSWGRSSPRRDYPRSIQTSRVEKISICS >PVH67164 pep chromosome:PHallii_v3.1:1:58793041:58796811:1 gene:PAHAL_1G442900 transcript:PVH67164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRRRRDYKTERVVVSQAPRCRLLNFEPKSPIARRATSASFCRTAASSTPSHQRPPPPRPSQQRPPPHSGEATRAIPRSRLCRPDPGGAARGQMWTTAKAVAGLVDSWGRSSPRRDYPRSIQTSRVEKISICS >PVH67166 pep chromosome:PHallii_v3.1:1:58793081:58796682:1 gene:PAHAL_1G442900 transcript:PVH67166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRRRRDYKTERVVVSQAPRCRLLNFEPKSPIARRATSASFCRTAASSTPSHQRPPPPRPSQQRPPPHSGEATRAIPRSRLCRPDPGGAARGQMWTTAKAVAGLVDSWGRSSPRRDYPRSIQTSRVEKISICS >PAN04961 pep chromosome:PHallii_v3.1:1:7753823:7778335:1 gene:PAHAL_1G104900 transcript:PAN04961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDRLSTGRGVRQFKTTLLQRLEQDDRSTKLKMTQRNDPREMKLFYEKKKQANAHELLPVLAEVLKALLSGTGLENLVAGEDFTDKSGLLRYNILPLHPKFSQRPIMLLPEVRVAFSAVFNVRSLPSANMKDDKTQTDILRWLQSWFGFQTGNVANQREHLILLLANMHARLNPKPSSAQMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNVRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLNDVVKPIYNVIFQEAQKNKNGASDHSTWRNYDDLNEFFWSTDCFKLGWPMRLNNDFFLIPDATKNSQSLCGSRLSRVPHGFESCASCVPLVSVDTSHQNEQKNSQLPHESSSTENYLHPEGAEQRQQQTTSPRSQQRWLGKTNFVEVRSFWHLFRSFDRMWTVLVLGLQVLIIMAWHGLVSPTQLLDPIIFEDILSIFITNAVLRVIQVVLDIAFSWRTKRTMRSDQILRFTLKLSLAVAWAIILPIFYASSQNYRACSAKRSKTFLGMFCLSKYMMVVALYLASNVIGMALFFVPAVTNYIETSTWRICSMLSWWCQPQLYVGRGMQEGLVPLLKYTAFWMILLSSKFLFSYYFEIKPLVGPTKEIMKINVNKYEWHEFFPQVKSNAGAILAVWSPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFSTLPEAFNMSLVPPAMPKEKKGMFPSFLEKKIFKKLGKAERLDPTKFALVWNQIINSFRSEDIISNREMELMTMPMSLEHSSGSIRWPLFLLAKKFSEAVDMAANFTGKSAQLFWKIKKDSYMFCSINDFYELTKTIFRFLIIGEAEKRVVAAIFDKIEKSIQNLSLLTDFKMDHLPSLFSKFDRLTELLYLNKQEHRYEVTILLQDIVDILIQDMIVDAQSILDEVNSSERLISDDDGAFGYYEPELFASVSSITNIRYPFLDGQLSQQKEQVKRLYLLLNTKEKVAEIPSNLEARRRISFFATSLFMAMPAAPKVRSMLSFSIITPYFMEEVKFSDEELYSNQDESSILSYMQKIYPDEWKNFSERLGSKVTNDEIRYWASYRGQTLSRTVRGMMYYRKALRLQAFLDRTSDQELYKGPLATEQGKNKRTIHQSLSAEIEALADMKFSYIISCQKFGEQKIKGDPHAQDIIDLMTRYSALRVAYIEEKEVIENNVPHKVYSSVLIKAENNLDQEIYRIKLPGPAIIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAYKMRNVLQEFVIHPRDKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRIFHLTRGGVSKASKTINLSEDVFAGYNSILRRGNITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVIGVYVFLYGQLYLVLSGLQRALLHDAQTQNIKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRAALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVVFHASFTENYQLYSRSHFVKGFELVFLLIVYHIFRRSYVSSVLHVMITYSTWFMAVTWLFTPFLFNPAGFAWHKIVEDWADWNRWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLNISQDNKNFLVYLLSWVVIIAIIGLVKLVNCASRRLSTKHQLIFRVIKLLIFLMVVTSLILLYCLCQLSIMDLIICCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFSPIAVLAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >PAN04959 pep chromosome:PHallii_v3.1:1:7752984:7778335:1 gene:PAHAL_1G104900 transcript:PAN04959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEIVEVGPSSRPLRCVPRRLEQWHAPPPPPPPPPPPPHHHHHHQHGGEADAGEPAAPVAQQFDSEKLPQTLVSEIRPFLRVANQVEAENPRVAYLCRFHAFEKAHMMDRLSTGRGVRQFKTTLLQRLEQDDRSTKLKMTQRNDPREMKLFYEKKKQANAHELLPVLAEVLKALLSGTGLENLVAGEDFTDKSGLLRYNILPLHPKFSQRPIMLLPEVRVAFSAVFNVRSLPSANMKDDKTQTDILRWLQSWFGFQTGNVANQREHLILLLANMHARLNPKPSSAQMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNVRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLNDVVKPIYNVIFQEAQKNKNGASDHSTWRNYDDLNEFFWSTDCFKLGWPMRLNNDFFLIPDATKNSQSLCGSRLSRVPHGFESCASCVPLVSVDTSHQNEQKNSQLPHESSSTENYLHPEGAEQRQQQTTSPRSQQRWLGKTNFVEVRSFWHLFRSFDRMWTVLVLGLQVLIIMAWHGLVSPTQLLDPIIFEDILSIFITNAVLRVIQVVLDIAFSWRTKRTMRSDQILRFTLKLSLAVAWAIILPIFYASSQNYRACSAKRSKTFLGMFCLSKYMMVVALYLASNVIGMALFFVPAVTNYIETSTWRICSMLSWWCQPQLYVGRGMQEGLVPLLKYTAFWMILLSSKFLFSYYFEIKPLVGPTKEIMKINVNKYEWHEFFPQVKSNAGAILAVWSPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFSTLPEAFNMSLVPPAMPKEKKGMFPSFLEKKIFKKLGKAERLDPTKFALVWNQIINSFRSEDIISNREMELMTMPMSLEHSSGSIRWPLFLLAKKFSEAVDMAANFTGKSAQLFWKIKKDSYMFCSINDFYELTKTIFRFLIIGEAEKRVVAAIFDKIEKSIQNLSLLTDFKMDHLPSLFSKFDRLTELLYLNKQEHRYEVTILLQDIVDILIQDMIVDAQSILDEVNSSERLISDDDGAFGYYEPELFASVSSITNIRYPFLDGQLSQQKEQVKRLYLLLNTKEKVAEIPSNLEARRRISFFATSLFMAMPAAPKVRSMLSFSIITPYFMEEVKFSDEELYSNQDESSILSYMQKIYPDEWKNFSERLGSKVTNDEIRYWASYRGQTLSRTVRGMMYYRKALRLQAFLDRTSDQELYKGPLATEQGKNKRTIHQSLSAEIEALADMKFSYIISCQKFGEQKIKGDPHAQDIIDLMTRYSALRVAYIEEKEVIENNVPHKVYSSVLIKAENNLDQEIYRIKLPGPAIIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAYKMRNVLQEFVIHPRDKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRIFHLTRGGVSKASKTINLSEDVFAGYNSILRRGNITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVIGVYVFLYGQLYLVLSGLQRALLHDAQTQNIKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRAALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVVFHASFTENYQLYSRSHFVKGFELVFLLIVYHIFRRSYVSSVLHVMITYSTWFMAVTWLFTPFLFNPAGFAWHKIVEDWADWNRWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLNISQDNKNFLVYLLSWVVIIAIIGLVKLVNCASRRLSTKHQLIFRVIKLLIFLMVVTSLILLYCLCQLSIMDLIICCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFSPIAVLAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >PVH67121 pep chromosome:PHallii_v3.1:1:58034609:58035770:-1 gene:PAHAL_1G431300 transcript:PVH67121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAHELAAAATTSALGVAPGVRLLVALSSSRALKPLADATSAAVATLRPPRPPRSPPSLLHPRPHPSPTRPQARSAPPRASPSSPPPSASRSPSQPQPPGLGGGVSA >PAN07906 pep chromosome:PHallii_v3.1:1:53937527:53940679:-1 gene:PAHAL_1G372000 transcript:PAN07906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTVEPKWMSPTTEDGSMDRRGNPAVRAATGRWRSAILLLANYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANNISKWTGTVYIFSLIGAFLSDSYWGRYVTCAIFQIIYVTGLVILSLASWFLLVKPSGCGGVNARCDEPSAPGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPKEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDSGRWVMGFWVSAAAAALALVLFLLGTPNYRHFNPSGNPLTRIAQVFVAAFRKWHAEVPREELLHEVEREDPKISGIRKILHSDELRFLDKAATVTEEEYGAPEKMKDPWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGATMNTNIGSFHFPAASMSLFDILSVLAFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVIGMAAMVVAGVVEVERLRRVAAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSALCMASISLGNYVSIMLVSVVTSLTAGERRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYIACAAWYKGIKLDGGGETRKASAHV >PAN03775 pep chromosome:PHallii_v3.1:1:1547467:1551961:1 gene:PAHAL_1G021200 transcript:PAN03775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPEEEAAIDGIPEGGVEEEEEEEEDPQEVEPWFPSSDSEPEPDADRPALEPLDPFPAAAETELQPARMAVQEEKGDGEEARPRWPGWPGASVFRLLVPAEKVGGLIGRRGSTIKRLCDETRARVRVIDAAHAAADRIVLVSATEEVEAELPPAMNAAIKIFKHINRIEEINSDGTLSASAPDICSVRLLVPAAQAVHLIGTQGVTIKSIQESIGAMIRIIDEDELLNFEALDESIVEIYGVSVKVHSALKSVLGLLRKFLVDHGVLHLFERKNQEVAQPQDTSKENQFIDAYHLEVNQDFWLYDQRGYGTPISSRPFWGHDPSFCDPYSSDIIHATDSLMAQPGHAKPKGSRFLYGCDPSFHNQYSPDLSQPTDQLITQTMKIPLPHAEEIIGARGENIEFIRSASGAVVILEEIGDYPEEVLVMIKGSPSQVQTAYQVLQEVLSGNREPPPPPRICYRDARRSWATAAELLPSCWRAAAELPAHWAEVAALPPTTRRCNKPGLAAMAPRR >PVH65540 pep chromosome:PHallii_v3.1:1:1548750:1551961:1 gene:PAHAL_1G021200 transcript:PVH65540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAIKIFKHINRIEEINSDGTLSASAPDICSVRLLVPAAQAVHLIGTQGVTIKSIQESIGAMIRIIDEDELLNFEALDESIVEIYGVSVKVHSALKSVLGLLRKFLVDHGVLHLFERKNQEVAQPQDTSKENQFIDAYHLEVNQDFWLYDQRGYGTPISSRPFWGHDPSFCDPYSSDIIHATDSLMAQPGHAKPKGSRFLYGCDPSFHNQYSPDLSQPTDQLITQTMKIPLPHAEEIIGARGENIEFIRSASGAVVILEEIGDYPEEVLVMIKGSPSQVQTAYQVLQEVLSGNREPPPPPRICYRDARRSWATAAELLPSCWRAAAELPAHWAEVAALPPTTRRCNKPGLAAMAPRR >PAN03774 pep chromosome:PHallii_v3.1:1:1547467:1551961:1 gene:PAHAL_1G021200 transcript:PAN03774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPEEEAAIDGIPEGGVEEEEEEEEDPQEVEPWFPSSDSEPEPDADRPALEPLDPFPAAAETELQPARMAVQEEKGDGEEARPRWPGWPGASVFRLLVPAEKVGGLIGRRGSTIKRLCDETRARVRVIDAAHAAADRIVLVSATEEVEAELPPAMNAAIKIFKHINRIEEINSDGTLSASAPDICSVRLLVPAAQAVHLIGTQGVTIKSIQESIGAMIRIIDEDELLNFEALDESIVEIYGVSVKVHSALKSVLGLLRKFLVDHGVLHLFERKNQEVAQPQDTSKENQFIDAYHLEVNQDFWLYDQRGYGTPISSRPFWGHDPSFCDPYSSDIIHATDSLMAQTMKIPLPHAEEIIGARGENIEFIRSASGAVVILEEIGDYPEEVLVMIKGSPSQVQTAYQVLQEVLSGNREPPPPPRICYRDARRSWATAAELLPSCWRAAAELPAHWAEVAALPPTTRRCNKPGLAAMAPRR >PVH66650 pep chromosome:PHallii_v3.1:1:49544642:49544851:-1 gene:PAHAL_1G306800 transcript:PVH66650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWRDRGGEMTVAAARKVYGHGKKRKKMCGATFGFAEKRLKRGSGGRRKKGGWAGDERLAKFFPSCI >PAN06131 pep chromosome:PHallii_v3.1:1:44165186:44172420:1 gene:PAHAL_1G240200 transcript:PAN06131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLRCGDCGAQLRSVEEAQAHAEVTNHANFVESTEAVLNLVCSDCGKPCRSQTEVDLHTKRTGHKDFADKTAEAAKPIDLEAPLKPASSSEAMDVDAPASASEEPQEMVVPEVNKEMLADLEGMGFATARATRALHFSGNSTIEGAINWLSEHQEDADIDEMPLVPANSKTEANKPSLTPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEQNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAASKPSAPPPVEEKKSALPIRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLELCGFEKLEGNEFLFLPRDKVDKAILNTAGAELNSAITNPFFGVL >PAN09117 pep chromosome:PHallii_v3.1:1:59725989:59738386:1 gene:PAHAL_1G460100 transcript:PAN09117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRSSHHLSAAAAAAAAGVSYPPPLPPTPPSAPPDMGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTEKFFIELNSRRIDSPALRSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLDIVVSPANQQVGLDLLQVYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPHLIEEVGRNDKMTDIIPQHGISIDPGVREEAVQVLNRIVRFLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDMQNTRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVQDARLEVIRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDNREELPLKPAREVFHMIFPSLRHGSEGYALAATSALGHSHLEVCETMFGELSAFLEEVSSETEGKPKWKQNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFIKFIEETYRQITMSLPDSFQDLQPLRYALASVLRYLAPEFIDANSERFDNRMRKRLFDLLLTWSEDSGSSWGQDSSSDYRREIERYKTSQHTRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKMTGRVISWINSLFMEPSARAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDPLIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDASANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVQIPSLGSASPLQEQNQKAYYVASNISVWCRAKSLDDLAEVFRAYSYGEIMSLEDLFARASPAICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEAGFGENGHGVGEKVLQSMLLPQSSFKARSGPLQYAAGSGFGSLMSQGGGSATDSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >PVH67244 pep chromosome:PHallii_v3.1:1:59725989:59738386:1 gene:PAHAL_1G460100 transcript:PVH67244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRSSHHLSAAAAAAAAGVSYPPPLPPTPPSAPPDMGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRISSVTEKFFIELNSRRIDSPALRSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLDIVVSPANQQVGLDLLQVYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPHLIEEVGRNDKMTDIIPQHGISIDPGVREEAVQVLNRIVRFLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDMQNTRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVQDARLEVIRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDNREELPLKPAREVFHMIFPSLRHGSEGYALAATSALGHSHLEVCETMFGELSAFLEEVSSETEGKPKWKNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFIKFIEETYRQITMSLPDSFQDLQPLRYALASVLRYLAPEFIDANSERFDNRMRKRLFDLLLTWSEDSGSSWGQDSSSDYRREIERYKTSQHTRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKMTGRVISWINSLFMEPSARAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDPLIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDASANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVQIPSLGSASPLQEQNQKAYYVASNISVWCRAKSLDDLAEVFRAYSYGEIMSLEDLFARASPAICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEAGFGENGHGVGEKVLQSMLLPQSSFKARSGPLQYAAGSGFGSLMSQGGGSATDSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >PVH67245 pep chromosome:PHallii_v3.1:1:59725989:59738386:1 gene:PAHAL_1G460100 transcript:PVH67245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRSSHHLSAAAAAAAAGVSYPPPLPPTPPSAPPDMGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRISSVTEKFFIELNSRRIDSPALRSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLDIVVSPANQQVGLDLLQVYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPHLIEEVGRNDKMTDIIPQHGISIDPGVREEAVQVLNRIVRFLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDMQNTRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVQDARLEVIRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDNREELPLKPAREVFHMIFPSLRHGSEGYALAATSALGHSHLEVCETMFGELSAFLEEVSSETEGKPKWKQNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFIKFIEETYRQITMSLPDSFQDLQPLRYALASVLRYLAPEFIDANSERFDNRMRKRLFDLLLTWSEDSGSSWGQDSSSDYRREIERYKTSQHTRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKMTGRVISWINSLFMEPSARAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDPLIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDASANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVQIPSLGSASPLQEQNQKAYYVASNISVWCRAKSLDDLAEVFRAYSYGEIMSLEDLFARASPAICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEAGFGENGHGVGEKVLQSMLLPQSSFKARSGPLQYAAGSGFGSLMSQGGGSATDSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >PAN09118 pep chromosome:PHallii_v3.1:1:59725989:59738386:1 gene:PAHAL_1G460100 transcript:PAN09118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRSSHHLSAAAAAAAAGVSYPPPLPPTPPSAPPDMGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTEKFFIELNSRRIDSPALRSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLDIVVSPANQQVGLDLLQVYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPHLIEEVGRNDKMTDIIPQHGISIDPGVREEAVQVLNRIVRFLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDMQNTRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVQDARLEVIRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDNREELPLKPAREVFHMIFPSLRHGSEGYALAATSALGHSHLEVCETMFGELSAFLEEVSSETEGKPKWKNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFIKFIEETYRQITMSLPDSFQDLQPLRYALASVLRYLAPEFIDANSERFDNRMRKRLFDLLLTWSEDSGSSWGQDSSSDYRREIERYKTSQHTRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKMTGRVISWINSLFMEPSARAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDPLIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDASANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVQIPSLGSASPLQEQNQKAYYVASNISVWCRAKSLDDLAEVFRAYSYGEIMSLEDLFARASPAICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEAGFGENGHGVGEKVLQSMLLPQSSFKARSGPLQYAAGSGFGSLMSQGGGSATDSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >PVH67243 pep chromosome:PHallii_v3.1:1:59726903:59738056:1 gene:PAHAL_1G460100 transcript:PVH67243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTEKFFIELNSRRIDSPALRSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLDIVVSPANQQVGLDLLQVYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPHLIEEVGRNDKMTDIIPQHGISIDPGVREEAVQVLNRIVRFLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDMQNTRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVQDARLEVIRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDNREELPLKPAREVFHMIFPSLRHGSEGYALAATSALGHSHLEVCETMFGELSAFLEEVSSETEGKPKWKQNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFIKFIEETYRQITMSLPDSFQDLQPLRYALASVLRYLAPEFIDANSERFDNRMRKRLFDLLLTWSEDSGSSWGQDSSSDYRREIERYKTSQHTRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKMTGRVISWINSLFMEPSARAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDPLIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDASANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVQIPSLGSASPLQEQNQKAYYVASNISVWCRAKSLDDLAEVFRAYSYGEIMSLEDLFARASPAICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEAGFGENGHGVGEKVLQSMLLPQSSFKARSGPLQYAAGSGFGSLMSQGGGSATDSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >PAN09119 pep chromosome:PHallii_v3.1:1:59726903:59738056:1 gene:PAHAL_1G460100 transcript:PAN09119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTEKFFIELNSRRIDSPALRSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLDIVVSPANQQVGLDLLQVYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPHLIEEVGRNDKMTDIIPQHGISIDPGVREEAVQVLNRIVRFLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDMQNTRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVQDARLEVIRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDNREELPLKPAREVFHMIFPSLRHGSEGYALAATSALGHSHLEVCETMFGELSAFLEEVSSETEGKPKWKNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFIKFIEETYRQITMSLPDSFQDLQPLRYALASVLRYLAPEFIDANSERFDNRMRKRLFDLLLTWSEDSGSSWGQDSSSDYRREIERYKTSQHTRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKMTGRVISWINSLFMEPSARAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDPLIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDASANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVQIPSLGSASPLQEQNQKAYYVASNISVWCRAKSLDDLAEVFRAYSYGEIMSLEDLFARASPAICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEAGFGENGHGVGEKVLQSMLLPQSSFKARSGPLQYAAGSGFGSLMSQGGGSATDSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >PVH66311 pep chromosome:PHallii_v3.1:1:32051518:32052335:1 gene:PAHAL_1G202900 transcript:PVH66311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTREIQGDIPWCMLFADDVVLVDESRAGVNTKLELWRHTTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWQQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLHRFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKWGDNIRRGRGRPRLTWDEKVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN08658 pep chromosome:PHallii_v3.1:1:57848387:57852917:1 gene:PAHAL_1G427900 transcript:PAN08658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTGEKAAGGGGAERRKYPIHVDDYELYEEIGQGVSAIVYRALCKPLDEVVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFTKDQTLWVIMPYMAGGSCLHIMKSVHPTGFEEAVIATVLREVLRGLEYLHHHGHIHRDVKAGNILVDSRGGIKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFRADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRNFKQMIAMCLVKDPSKRPSAKKLLKQPFFKQARSTDFIARKLLEGLPGLGARYQALKEKDESLMAQKKMSDGKKEEISQDEYKRGISSWNFDMDDLRSQASLGTECEDSICKDSDASFFDLDSLQEQATEGPNMSTDFSMKYDTDIENDVIANDKSTVSSPDQPACLLRNASMRGMPINGLVKKDNCMENNDLECQERHSDIIPTSSLLERKFSLSSCSSDGLLSSKESSKLQSNIHNRDKGNGAPWHVSDETSPEAAPKLHKAAEDHDDRSKPPLIRGRFKVIPGHVDFDKAQSPGLQKCHSMQTISRLPSLSIPSSAEAASSIIGGSFYMQLYSVLQTNMLQRVKPPVKDTDRREHPPIKQFGMKA >PAN08659 pep chromosome:PHallii_v3.1:1:57848012:57853978:1 gene:PAHAL_1G427900 transcript:PAN08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTGEKAAGGGGAERRKYPIHVDDYELYEEIGQGVSAIVYRALCKPLDEVVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFTKDQTLWVIMPYMAGGSCLHIMKSVHPTGFEEAVIATVLREVLRGLEYLHHHGHIHRDVKAGNILVDSRGGIKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFRADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRNFKQMIAMCLVKDPSKRPSAKKLLKQPFFKQARSTDFIARKLLEGLPGLGARYQALKEKDESLMAQKKMSDGKKEEISQDEYKRGISSWNFDMDDLRSQASLGTECEDSICKDSDASFFDLDSLQEQATEGPNMSTDFSMKYDTDIENDVIANDKSTVSSPDQPACLLRNASMRGMPINGLVKKDNCMENNDLECQERHSDIIPTSSLLERKFSLSSCSSDGLLSSKESSKLQSNIHNRDKGNGAPWHVSDETSPEAAPKLHKAAEDHDDRSKPPLIRGRFKVIPGHVDFDKAQSPGLQKCHSMQTISRLPSLSIPSSAEAASSIIGGSFYMQLYSVLQTNMLQREQILHAMKQLSGCDMASPGVPSMASPCIPSTSRSTSPSASISVDRSMVIVYTR >PAN08660 pep chromosome:PHallii_v3.1:1:57848012:57853978:1 gene:PAHAL_1G427900 transcript:PAN08660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTGEKAAGGGGAERRKYPIHVDDYELYEEIGQGVSAIVYRALCKPLDEVVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFTKDQTLWVIMPYMAGGSCLHIMKSVHPTGFEEAVIATVLREVLRGLEYLHHHGHIHRDVKAGNILVDSRGGIKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFRADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRNFKQMIAMCLVKDPSKRPSAKKLLKQPFFKQARSTDFIARKLLEGLPGLGARYQALKEKDESLMAQKKMSDGKKEEISQDEYKRGISSWNFDMDDLRSQASLGTECEDSICKDSDASFFDLDSLQEQATEGPNMSTDFSMKYDTDIENDVIANDKSTVSSPDQPACLLRNASMRGMPINGLVKKDNCMENNDLECQERHSDIIPTSSLLERKFSLSSCSSDGLLSSKESSKLQSNIHNRDKGNGAPWHVSDETSPEAAPKLHKAAEDHDDRSKPPLIRGRFKVIPGHVDFDKAQSPGLQKCHSMQTISRLPSLSIPSSAEAASSIIGGSFYMQLYSVLQTNMLQREQILHAMKQLSGCDMASPGVPSMASPCIPSTSRSTSPSASISVDRSMLEAAHEKEKELMNEILELQWRLLCTQDEVQRLKAKAAQI >PVH67094 pep chromosome:PHallii_v3.1:1:57848012:57853978:1 gene:PAHAL_1G427900 transcript:PVH67094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTGEKAAGGGGAERRKYPIHVDDYELYEEIGQGVSAIVYRALCKPLDEVVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFTKDQTLWVIMPYMAGGSCLHIMKSVHPTGFEEAVIATVLREVLRGLEYLHHHGHIHRDVKAGNILVDSRGGIKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFRADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRNFKQMIAMCLVKDPSKRPSAKKLLKQPFFKQARSTDFIARKLLEGLPGLGARYQALKEKDESLMAQKKMSDGKKEEISQDEYKRGISSWNFDMDDLRSQASLGTECEDSICKDSDASFFDLDSLQEQATEGPNMSTDFSMKYDTDIENDVIANDKSTVSSPDQPACLLRNASMRGMPINGLVKKDNCMENNDLECQERHSDIIPTSSLLERKFSLSSCSSDGLLSSKESSKLQSNIHNRDKGNGAPWHVSDETSPEAAPKLHKAAEDHDDRSKPPLIRGRFKVIPGHVDFDKAQSPGLQKCHSMQTISRLPSLSIPSSAEAASSIIGGSFYMQLYSVLQTNMLQRVKPPEQILHAMKQLSGCDMASPGVPSMASPCIPSTSRSTSPSASISVDRSMLEAAHEKEKELMNEILELQWRLLCTQDEVQRLKAKAAQI >PVH66126 pep chromosome:PHallii_v3.1:1:18024307:18025041:-1 gene:PAHAL_1G155000 transcript:PVH66126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYRTLRCDIMVFVGKSTRYPDVDPWFISTTGFRFPDSYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRAANAEYSLAALQAQVQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN04654 pep chromosome:PHallii_v3.1:1:5711244:5715387:1 gene:PAHAL_1G082100 transcript:PAN04654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWNRTSGVHPGRKHGRGTELACSSSDSAPAVPLLQSPRARVTKHQSPRTARMPPALPRRGVLRGACCCRAADLSTSTPVRTDRPAATLQRTPRRPVERASISTHAQRLEQPSVEPRAPPASIASLRWRQLTTDPSTWEAGTRRQLGKRAVLETWATRRAAVLGTALAGSDPRGRRYFVYRPGVDGK >PVH66613 pep chromosome:PHallii_v3.1:1:49056073:49059998:1 gene:PAHAL_1G298700 transcript:PVH66613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTFEDAEALRSLVSSQEARVDEKRRWLESMILKPDGCSSRAKRPKFLNEAYLPESYIRSEEISCKKVRDSIKKSLSSECNGYTHHAVQDGLQLFHFQKKENEPLGPEYLGIMQCTISKLTYETLQSVASIVSHNEVSFDKSRLAMEKIVKVHLPSYLANLDQKDIICQLFNIFRSPCSYRSGSVRLVTPVSPQLLSAISHALVGLDEMPMLPLVAMNRKIREKSYTPKFGLVARSFKRGHIIEVVRKRCNKILAELEEGNYLPKNLAKAMSVANLYQKQKLRSVDISQSEFFPFTKETISLQNDILNALWSLPQLKHGKLKLLHPMLDQDSKVERKHLEAALRNYLTECLFECDEGSLPDEALRAIAFINRISGRQQFVSTEEKEEVEVDAVLNLSSHLQALAHCCVEECSCGEDLINLGNDNCNEDNDFILSGTSYFNLSSEQQQTQEPCCSSNIATDVLRECCWSETVGDTHNVYGAEDSGSKSEEILRKSCLRTEDSGGIGHYSGNEAVGSVMEPYADLSVDVNPSKKSRCSEIIGICDETSIVAHNLIGQILDKWLLVENNEVDEPTRLHLGGGSQKPQDDDNEPANSAENLEVA >PAN06930 pep chromosome:PHallii_v3.1:1:49056073:49059998:1 gene:PAHAL_1G298700 transcript:PAN06930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTFEDAEALRSLVSSQEARVDEKRRWLESMILKPDGCSSRAKRPKFLNEAYLPESYIRSEEISCKKVRDSIKKSLSSECNGYTHHAVQDGLQLFHFQKKENEPLGPEYLGIMQCTISKLTYETLQSVASIVSHNEVSFDKSRLAMEKIVKVHLPSYLANLDQKDIICQLFNIFRSPCSYRSGSVRLVTPVSPQLLSAISHALVGLDEMPMLPLVAMNRKIREKSYTPKFGLVARSFKRGHIIEVVRKRCNKILAELEEGNYLPKNLAKAMSVANLYQKQKLRSVDISQSEFFPFTKETISLQNDILNALWSLPQLKHGKLKLLHPMLDQDSKVERKHLEAALRNYLTECLFECDEGSLPDEALRAIAFINRISGRQQFVSTEEKEEVEVDAVLNLSSHLQALAHCCVEECSCGEDLINLGNDNCNEDNDFILSGTSYFNLSSEQQQTQEPCCSSNIATDVLRECCWSETVGDTHNVYGAEDSGSKSEEILRKSCLRTEDSGGIGHYSGNEAVGSVMEPYADLSVDVNPSKKSRCSEIIGICDETSIVAHNLIGQILDKWLLVENNEVDEPTRLHLGGGSQKPQDDDNEPANSAENLEGDIFIHAVERLLPNLPKSCIDEVKRLMS >PVH66612 pep chromosome:PHallii_v3.1:1:49056604:49059641:1 gene:PAHAL_1G298700 transcript:PVH66612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKPDGCSSRAKRPKFLNEAYLPESYIRSEEISCKKVRDSIKKSLSSECNGYTHHAVQDGLQLFHFQKKENEPLGPEYLGIMQCTISKLTYETLQSVASIVSHNEVSFDKSRLAMEKIVKVHLPSYLANLDQKDIICQLFNIFRSPCSYRSGSVRLVTPVSPQLLSAISHALVGLDEMPMLPLVAMNRKIREKSYTPKFGLVARSFKRGHIIEVVRKRCNKILAELEEGNYLPKNLAKAMSVANLYQKQKLRSVDISQSEFFPFTKETISLQNDILNALWSLPQLKHGKLKLLHPMLDQDSKVERKHLEAALRNYLTECLFECDEGSLPDEALRAIAFINRISGRQQFVSTEEKEEVEVDAVLNLSSHLQALAHCCVEECSCGEDLINLGNDNCNEDNDFILSGTSYFNLSSEQQQTQEPCCSSNIATDVLRECCWSETVGDTHNVYGAEDSGSKSEEILRKSCLRTEDSGGIGHYSGNEAVGSVMEPYADLSVDVNPSKKSRCSEIIGICDETSIVAHNLIGQILDKWLLVENNEVDEPTRLHLGGGSQKPQDDDNEPANSAENLEGDIFIHAVERLLPNLPKSCIDEVKRLMS >PAN07167 pep chromosome:PHallii_v3.1:1:50264626:50268673:1 gene:PAHAL_1G315900 transcript:PAN07167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGMELRGCVCRIKSSALELLSMEEDLVTDLDDDLWDLVRRDLQLKATFLYIDLRRVIAHNECEERREEITLLANNFFYFMDELGDAVASRSVSVVKVCYGNAARTLREVVAAVAPMAAA >PAN07168 pep chromosome:PHallii_v3.1:1:50263953:50268812:1 gene:PAHAL_1G315900 transcript:PAN07168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGMELRGCVCRIKSSALELLSMEEDLVTDLDDDLWDLVRRDLQLKATFLYIDLRRVIAHNECEERREEITLLANNFFYFMDELGDAVASRSVSVVKVCYGNAARTLREVVAAVAPMAAA >PAN07169 pep chromosome:PHallii_v3.1:1:50263953:50268812:1 gene:PAHAL_1G315900 transcript:PAN07169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGMELRGCVCRIKSSALELLSMEEDLVTDLDDDLWDLVRRDLQLKATFLYIDLRRVIAHNECEERREEITLLANNFFYFMDELGDAVASRSVSVVKVCYGNAARTLREVVAAVAPMAAA >PAN04406 pep chromosome:PHallii_v3.1:1:4433291:4435267:-1 gene:PAHAL_1G064600 transcript:PAN04406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPAFYHMCLFLALLLPLALLRQLIRKRDGGGSVRLPPGPWQLPVIGSLHHLVGRPLVHRAFADLARRLDAPLMYLRLGEVPVVVATSRDAAREVMRTHDATFATRPWSPTIRIMMEDGQGLVFAPYGDLWRQLRRISILELLSARRVQSFRRVREDEAARLVAGVAAAPPGEAVNVSRRIAVLVADSAVRAMIGDRFSRRDEFLVSLEEGLKLVSGFSLGDLFPSSPLVSFFSGTARRAYANHRKNFELMDCAIKQHEERRAMAAANGTDDQQEEEEDLVDVLLRVQKEGGLDVPLTIGIIKAVILDLFSAGSETSATTLEWAMSELMRYPDVMKKAQAELRGTLNGKPKVTEDDLAHVKYLKLVIKETLRLHPPAPLLLPREARESCKVLGYDVPKGTTVFVNAWAIGRDPRYWDDPEEFKPERFESGTVDFKGMDFEFIPFGAGRRMCPGMVFAQSNIELALAALLYHFDWKLADGLKPSELDMAEDIGITVRKKNDLLLHPIVRVSLQATQ >PAN07804 pep chromosome:PHallii_v3.1:1:53410953:53414939:-1 gene:PAHAL_1G363900 transcript:PAN07804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pinoresinol-lariciresinol reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G34540) UniProtKB/Swiss-Prot;Acc:O65679] MSEAAAGTRSRVLVVGATGRLGGSLARASLAAGHPTFALVRPHHLARPDSPSLGPLVAAGATLLEGSLEDYQSLLKAVRQVDVVICAVPTKQALEQKPLIRAIKEAGCVKRFIPAEFGLDPTKVQIGDMAYGFYEKKIEIRHLIETEGIPHTYICCNLFMRYLLPSLVQPGLNAPPRDEIKIFGEGNTKGVFVKEGDVAQFTICTIEDPRTLNQTLYLRPPGNACSMNELADLWEMKINKSLKRVYITEEQLLKEILDAPFPLKMDLIFIYSAFVKGDHIYFEIDLLSEGTQLYPHVKYTTVGKYLDTLV >PAN04189 pep chromosome:PHallii_v3.1:1:3331400:3336617:1 gene:PAHAL_1G048300 transcript:PAN04189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDDPVVSAQWLHQHLGAPDVKVLDASWYMPVENRDPWEEYQVAHIPGALFFDIDGIVDRTTDLPHMLPSEEAFAAAVSALHIKNQDKVIVYDGKGFFSAPRVWWMFRVFGHNKVWVLDGGLPQWRASGFDLGSASPDDAVLKSKTATKAVERVYNGEQTNTITFKTEFQPHLFWTLEKVSHNVAAKNYQQVDARSKGRFDGVAPEPREGVRSGHIPGSKCVPFPEMFDGAPRLLSADELRQKFQQAGISLDHPIVVSCGSGVTACILALGLYRIGKHDVPVYDGSWTEWEAQSDSDYPKATTTAS >PVH65668 pep chromosome:PHallii_v3.1:1:3332212:3336617:1 gene:PAHAL_1G048300 transcript:PVH65668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLRTSKIVMVLDASWYMPVENRDPWEEYQVAHIPGALFFDIDGIVDRTTDLPHMLPSEEAFAAAVSALHIKNQDKVIVYDGKGFFSAPRVWWMFRVFGHNKVWVLDGGLPQWRASGFDLGSASPDDAVLKSKTATKAVERVYNGEQTNTITFKTEFQPHLFWTLEKVSHNVAAKNYQQVDARSKGRFDGVAPEPREGVRSGHIPGSKCVPFPEMFDGAPRLLSADELRQKFQQAGISLDHPIVVSCGSGVTACILALNWEA >PAN04190 pep chromosome:PHallii_v3.1:1:3331956:3336617:1 gene:PAHAL_1G048300 transcript:PAN04190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLRTSKIVMVLDASWYMPVENRDPWEEYQVAHIPGALFFDIDGIVDRTTDLPHMLPSEEAFAAAVSALHIKNQDKVIVYDGKGFFSAPRVWWMFRVFGHNKVWVLDGGLPQWRASGFDLGSASPDDAVLKSKTATKAVERVYNGEQTNTITFKTEFQPHLFWTLEKVSHNVAAKNYQQVDARSKGRFDGVAPEPREGVRSGHIPGSKCVPFPEMFDGAPRLLSADELRQKFQQAGISLDHPIVVSCGSGVTACILALGLYRIGKHDVPVYDGSWTEWEAQSDSDYPKATTTAS >PVH65667 pep chromosome:PHallii_v3.1:1:3331428:3336617:1 gene:PAHAL_1G048300 transcript:PVH65667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDDPVVSAQWLHQHLGAPDVKVLDASWYMPVENRDPWEEYQVAHIPGALFFDIDGIVDRTTDLPHMLPSEEAFAAAVSALHIKNQDKVIVYDGKGFFSAPRVWWMFRVFGHNKVWVLDGGLPQWRASGFDLGSASPDDAVLKSKTATKAVERVYNGEQTNTITFKTEFQPHLFWTLEKVSHNVAAKNYQQVDARSKGRFDGVAPEPREGVRSGHIPGSKCVPFPEMFDGAPRLLSADELRQKFQQAGISLDHPIVVSCGSGVTACILALNWEA >PAN04188 pep chromosome:PHallii_v3.1:1:3331428:3336617:1 gene:PAHAL_1G048300 transcript:PAN04188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDDPVVSAQWLHQHLGAPDVKVLDASWYMPVENRDPWEEYQVAHIPGALFFDIDGIVDRTTDLPHMLPSEEAFAAAVSALHIKNQDKVIVYDGKGFFSAPRVWWMFRVFGHNKVWVLDGGLPQWRASGFDLGSASPDDAVLKSKTATKAVERVYNGEQTNTITFKTEFQPHLFWTLEKVSHNVAAKNYQQVDARSKGRFDGVAPEPREGVRSGHIPGSKCVPFPEMFDGAPRLLSADELRQKFQQAGISLDHPIVVSCGSGVTACILALGLYRIGKHDVPVYDGSWTEWEAQSDSDYPKATTTAS >PAN06884 pep chromosome:PHallii_v3.1:1:48861893:48864692:1 gene:PAHAL_1G294600 transcript:PAN06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRVRVLNVCHVLPAAGADEAASPHRQPLPYDRLLKLSFMDCLFVGVVPMQRLFFYEGPGVPPFRCLVQSLRSSLAAALGDFLPLAGKLDYRPSAAGGLVVDCSPAAVSPGVRFVEAQYDGRIGDMRRLACGEEHDPEALVRLGPELEVGRLPAPVLAVQVTRPAAGDGGVVVGVSVHHAVADGHSVWQFMRAWSAVSRSSASQGLALRPTFDRAKIRHPEAEELARRFLQTIAPALPTVRSSPCRPTLALDRRRRSFLIRADQIQSVKERIMAQSVAIGEQLEKLPSTYVAVSSLVWTSIVRAKSLDHGEGSGDACYFLVPVDCRRHLPGAGEGYFGNCLSLSYAKAAARDLTEPDAGVAHAAAAIRDAAREKLANPLRGAERWAEAYAGMPRERFTPTGSSDRFMAYETDMGWGAPSLVELVSPSDRGMVLLLGAPNGGVQVTVDLDGVHMDHFAANFLQV >PAN08065 pep chromosome:PHallii_v3.1:1:54719001:54720257:-1 gene:PAHAL_1G384100 transcript:PAN08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAGEQEPSQIQHEPAEAMLSQAVSLSSQPSLPSLPSLGPRDQNVSPSHHQCLATLRGHSSYVSALAVDGDSLYSASSDGRIRVWPLEEASGRQEQQKGDDGCSATVVAAACDSSVKCLLAVGDGLLLSSHQDGKIRAWRAGSRKDGTPRLVLRAVLPTCVDRLRTFLLPWSYVQVRRHRWRTWVHHVDAVTALAVSPDGALLYSASWDRSLKVWRPPGFRCVESIAPAHDDAINALAVSPDGHVYTGSADKKIKAWRRHPERRNKHVLVQTMERHRSVVNALALGVDGKVLYSGACDRSVVVWERAGSGDGRMEATGTLRGHRKAILCLAAAGDVVCSGSADSTVRVWRRGAENTDYTCLAVLEGHCAPVKSLALVYGRDRGSSAAAGGGSALVCSGAMNGEVKIWSVLVPWLLER >PAN03559 pep chromosome:PHallii_v3.1:1:283673:285169:-1 gene:PAHAL_1G001800 transcript:PAN03559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSAFLEEEAEDGRRRIISHHHIVSLTSSTYGILTYSDAEPVHSPPPPTTTTTTSAPPPPPPPPPPPPTNLKPESHPQPQPEEVINSWELMAGLLDPSTPARPPAPSPSCKPKRRIRFPLRPIDGNAKPGAGAPPPAPAVLYTTSLRGVRATFEACNAVRAALQAHGVAFRERDVSMDRGFREELRQLLSPAACSLPRLFVRGRYVGGAEEVLRLDEQGLLAPLLEGLPRGTYCCDGCGGMSFLPCFDCSGSRKVAVPVPGTQPGCRRRRTTVVVRCGECNENGLVLCPICS >PVH65543 pep chromosome:PHallii_v3.1:1:1579837:1586339:1 gene:PAHAL_1G021800 transcript:PVH65543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSSASAAPAGAAERRPSKEDRKRRRGGASPEGAAKAAAAAAAAPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRQSGDRVAVKRIDKAKMTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDSERWGIRCQAAFSKFDLDGDGYITPEELRMMQHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPPNPQAL >PAN03786 pep chromosome:PHallii_v3.1:1:1579837:1586339:1 gene:PAHAL_1G021800 transcript:PAN03786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSSASAAPAGAAERRPSKEDRKRRRGGASPEGAAKAAAAAAAAPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRQSGDRVAVKRIDKAKMTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDSERWGIRCQAAFSKFDLDGDGYITPEELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPPNPQAL >PVH65545 pep chromosome:PHallii_v3.1:1:1579837:1586338:1 gene:PAHAL_1G021800 transcript:PVH65545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDSERWGIRCQAAFSKFDLDGDGYITPEELRMMQHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPPNPQAL >PVH65544 pep chromosome:PHallii_v3.1:1:1579837:1586338:1 gene:PAHAL_1G021800 transcript:PVH65544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDSERWGIRCQAAFSKFDLDGDGYITPEELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPPNPQAL >PVH65625 pep chromosome:PHallii_v3.1:1:2787818:2789050:1 gene:PAHAL_1G041100 transcript:PVH65625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATSSSDKEQVLPTIDADQAHALLISGHGYIDVRMQEDFDKGHAPGARNVPYYLSVTPQGKEKNPRFIEEVAALFGKDDGFIVGCNTGNRSRFATADLLHAGFKNARNLQGGYRSFLQSANQQPAQQQ >PAN09104 pep chromosome:PHallii_v3.1:1:59668141:59672587:1 gene:PAHAL_1G458700 transcript:PAN09104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHLSPQEWEQLLDDFSSSPSRRHRWLHLPLLDLAVSSLPRRDLPSHLKPLLLSLIDDHLLPPSPRSLPILLTSFLSFPPDHPLRDHLLVTVVSAFASALAAPLSKDHDAPPLAALVDALLAAANRPNHAPDRAARALACDALRALDAALPGLLAEALGHLYALAAAERSPAAQSYLLLLASAARHAVRLGRLRATASILAVAGPPTPFSVPAHLLSPPAASMAPPPSEVNVRDIRKVLALLMDRPQVLTPAAAMEMTAILAEIASAVLKWAPAIAAHIKVQFGGMLYSSNPMLLHSLLTLFLQFPDAFGAEDECTMARRLALAAREAHRPLAARLLALHWLLGSTMFRNLVPGLARWFYPNLFDPLALKAKKLECLAYVAARVDGDKVAGGRASEQATGLLDDGLVCVSAFRWLPAWSTETGVAFRALHRVLIIAAPHSTEDMRCSGAGELLNSTIFHHLQAILVDMASEHRGLVPVIAEFTNRLLACNSHQWAGEQLLRTLDERLLPRLEPGYQLASYYPLFEEIAQNETVPQLRLIELLTKQMVSLTKKHGPDTELKSWSQGSKVVGICRVMLKHHHSSHIFLPLSHLLVLTIESFPDLEVRDHARICLRMLSCVPGKKLRHLMGVGEQPSGVTPSHPGSLFDIPSPRPAQDLTSMTDMASYIHLERIVPLVVKQSWALTLPNFSVCSRPSGHILSIQDVSSAPSEQEKATGPAIERIGYTQETLRVMDSKGAETLQILRRYFACIPDYLHSSGLKIRIPCTFRFDSEPFNHAWRSDSPVSGSDEADELPALYAVMITFSSSAQFGKIPSCHVPFILGEPPGSGMDIVPIDNQNLEESSYCASVVIELEPREPSPGLIDVSIAANTENCQVLSGSLKPVTVGIEDMFMMASVPPDTLKEGVAVYYQDLFHALWEACDSSSNTGRETFPLSGGKGSAAINGTRSVKLMEVTPKVLIGAVERYLASFVVSVAGDSLVNILRGNGIIKNIVWEESVSDASVGADALVPYSPDSNLQLQLIDDDDIGVGSERYGHESKRDMGVMRVMIFLPPRNFWNKDEKNIRQVHMLDVLTLLCAAKGTVCSCITNTVSSGNSSSNVHSL >PAN09103 pep chromosome:PHallii_v3.1:1:59668347:59671817:1 gene:PAHAL_1G458700 transcript:PAN09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHLSPQEWEQLLDDFSSSPSRRHRWLHLPLLDLAVSSLPRRDLPSHLKPLLLSLIDDHLLPPSPRSLPILLTSFLSFPPDHPLRDHLLVTVVSAFASALAAPLSKDHDAPPLAALVDALLAAANRPNHAPDRAARALACDALRALDAALPGLLAEALGHLYALAAAERSPAAQSYLLLLASAARHAVRLGRLRATASILAVAGPPTPFSVPAHLLSPPAASMAPPPSEVNVRDIRKVLALLMDRPQVLTPAAAMEMTAILAEIASAVLKWAPAIAAHIKVQFGGMLYSSNPMLLHSLLTLFLQFPDAFGAEDECTMARRLALAAREAHRPLAARLLALHWLLGSTMFRNLVPGLARWFYPNLFDPLALKAKKLECLAYVAARVDGDKVAGGRASEQATGLLDDGLVCVSAFRWLPAWSTETGVAFRALHRVLIIAAPHSTEDMRCSGAGELLNSTIFHHLQAILVDMASEHRGLVPVIAEFTNRLLACNSHQWAGEQLLRTLDERLLPRLEPGYQLASYYPLFEEIAQNETVPQLRLIELLTKQMVSLTKKHGPDTELKSWSQGSKVVGICRVMLKHHHSSHIFLPLSHLLVLTIESFPDLEVRDHARICLRMLSCVPGKKLRHLMGVGEQPSGVTPSHPGSLFDIPSPRPAQDLTSMTDMASYIHLERIVPLVVKQSWALTLPNFSVCSRPSGHILSIQDVSSAPSEQEKATGPAIERIGYTQETLRVMDSKGAETLQILRRYFACIPDYLHSSGLKIRIPCTFRFDSEPFNHAWRSDSPVSGSDEADELPALYAVMITFSSSAQFGKIPSCHVPFILGEPPGSGMDIVPIDNQNLEESSYCASVVIELEPREPSPGLIDVSIAANTENCQVLSGSLKPVTVGIEDMFMMASVPPDTLKEGVAVYYQDLFHALWEACDSSSNTGRETFPLSGGKGSAAINGTRSVKLMEVTPKVLIGAVERYLASFVVSVAGDSLVNILRGNGIIKNIVWEESVSDASVGADALVPYSPDSNLQLQLIDDDDIGVGSERYGHESKRDMGVMRVMIFLPPRYHLLFLMEVGCASTLVRIRTDHWPCLAYVDEYLEALIS >PVH66473 pep chromosome:PHallii_v3.1:1:46067608:46068239:1 gene:PAHAL_1G256800 transcript:PVH66473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPIKSPVVRLHEPTQHGFLPSEILNPVCERACIATRRPLIAAAPLVNFATATTPADPHHQRFRREAPHVSPSLPSRLPLLLRTNHVIFDVIAATNIKLQLENNFTVTTSLQKKKRKKQAVVNAIAFGHRLGGEGTGGAGKRRSEPSTAGPNRQPEARRNPDATSTKPDATRH >PVH66420 pep chromosome:PHallii_v3.1:1:44162509:44163481:1 gene:PAHAL_1G240100 transcript:PVH66420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSKSRKPNAQWDPTAAKIFNEICVEQVLANNRPQGCLNNKGYANLIAQFNERTGRNYNRSQMKNRWDALKNDYTTWKTLLLAASGLGRDPRTGSIAADAEWWKEKIEAMPACKKFRLAPLENEEDLEIMFSGASCTNVYAAIPGAKEGTAGAKEGTSGANERSDGTDDEVEEVLPFSPPGANAKKRGAAHKSPMKKTKKNFRDLQFKRFVDSFVEKASSSKTSATSSPNDYVRQEIAEMLESVIEAGACEGSDEHFYATQLLIKKEYRDVFSTLKTPAGKLAWLKRTWEERKTR >PAN06859 pep chromosome:PHallii_v3.1:1:48785158:48789587:1 gene:PAHAL_1G293300 transcript:PAN06859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGIWISVWSFFKFLPFFFALLLLGIIKGALFGPWAWLIMTIGISALILGLWPMHVIWTYYCIIRTKMVGPVVKLLLLIAVTVILVLWLIIGIMGSILAGLAYGFLAPAMATFDAVGEGKEKPLLHCFLDGTWSTITGSCTVVRDVKDMLMHSYFSVMDEVRLHAPPDGKPYEIRLLHIPGAILAAACGLVVDAIMFTLIALYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVIGAFLASIICSVPFGAYAAVVVYQESSLFLGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRFKYRKDEASSHGGSLSRPTSFKDNHDVKKAPQRVTSFKSSFDEFNPFKLLDLLFIECKHHGKDLVAEGVITPKDIEGTKAGKVSTGVLNVGLPAYVILRALLRSARANSDGLVLSDGSEITSDNRPKSKLFEWFFDPLMVIKDQIKAENFTEEEEAYLEKQVLLISDPKRVKENLIRLPSLSEQKQAEIEAFARRLQGITKSISRYPTAKRRFDVLVKALSEELARTMGGSQSANGSQVRKVRSSIVRMLSQRSLGKTTGIRGDDHEAQLTSDVNTE >PAN06858 pep chromosome:PHallii_v3.1:1:48785158:48789587:1 gene:PAHAL_1G293300 transcript:PAN06858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGIWISVWSFFKFLPFFFALLLLGIIKGALFGPWAWLIMTIGISALILGLWPMHVIWTYYCIIRTKMVGPVVKLLLLIAVTVILVLWLIIGIMGSILAGLAYGFLAPAMATFDAVGEGKEKPLLHCFLDGTWSTITGSCTVVRDVKDMLMHSYFSVMDEVRLHAPPDGKPYEIRLLHIPGAILAAACGLVVDAIMFTLIALYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVIGAFLASIICSVPFGAYAAVVVYQESSLFLGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRFKYRKDEASSHGGSLSRPTSFKDNHDVKKAPQRVTSFKSSFDEFNPFKLLDLLFIECKHHGKDLVAEGVITPKDIEGTKAGKVSTGVLNVGLPAYVILRALLRSARANSDGLVLSDGSEITSDNRPKSKLFEWFFDPLMVIKDQIKAENFTEEEEAYLEKQVLLISDPKRVKENLIRLPSLSEQKQAEIEAFARRLQGITKSISRYPTAKRRFDVLVKALSEELARTMGGSQSANGSQVRKVRSSIVRMLSQRSLGKTTGIRGDDHEAQLTSDVNTE >PVH66597 pep chromosome:PHallii_v3.1:1:48785158:48789587:1 gene:PAHAL_1G293300 transcript:PVH66597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGISALILGLWPMHVIWTYYCIIRTKMVGPVVKLLLLIAVTVILVLWLIIGIMGSILAGLAYGFLAPAMATFDAVGEGKEKPLLHCFLDGTWSTITGSCTVVRDVKDMLMHSYFSVMDEVRLHAPPDGKPYEIRLLHIPGAILAAACGLVVDAIMFTLIALYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVIGAFLASIICSVPFGAYAAVVVYQESSLFLGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRFKYRKDEASSHGGSLSRPTSFKDNHDVKKAPQRVTSFKSSFDEFNPFKLLDLLFIECKHHGKDLVAEGVITPKDIEGTKAGKVSTGVLNVGLPAYVILRALLRSARANSDGLVLSDGSEITSDNRPKSKLFEWFFDPLMVIKDQIKAENFTEEEEAYLEKQVLLISDPKRVKENLIRLPSLSEQKQAEIEAFARRLQGITKSISRYPTAKRRFDVLVKALSEELARTMGGSQSANGSQVRKVRSSIVRMLSQRSLGKTTGIRGDDHEAQLTSDVNTE >PAN06860 pep chromosome:PHallii_v3.1:1:48785158:48789587:1 gene:PAHAL_1G293300 transcript:PAN06860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGISALILGLWPMHVIWTYYCIIRTKMVGPVVKLLLLIAVTVILVLWLIIGIMGSILAGLAYGFLAPAMATFDAVGEGKEKPLLHCFLDGTWSTITGSCTVVRDVKDMLMHSYFSVMDEVRLHAPPDGKPYEIRLLHIPGAILAAACGLVVDAIMFTLIALYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVIGAFLASIICSVPFGAYAAVVVYQESSLFLGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRFKYRKDEASSHGGSLSRPTSFKDNHDVKKAPQRVTSFKSSFDEFNPFKLLDLLFIECKHHGKDLVAEGVITPKDIEGTKAGKVSTGVLNVGLPAYVILRALLRSARANSDGLVLSDGSEITSDNRPKSKLFEWFFDPLMVIKDQIKAENFTEEEEAYLEKQVLLISDPKRVKENLIRLPSLSEQKQAEIEAFARRLQGITKSISRYPTAKRRFDVLVKALSEELARTMGGSQSANGSQVRKVRSSIVRMLSQRSLGKTTGIRGDDHEAQLTSDVNTE >PAN03832 pep chromosome:PHallii_v3.1:1:1697520:1698030:-1 gene:PAHAL_1G023900 transcript:PAN03832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWGHAWHNLSKWVSTQHSTNHPQLNHDPAGHCTKPQLSQWGGKEYMTNLTRTRYLMGNLYAAAIKHGGLWCSADRAGVLWPPAHEKPGLLQLLVLIRPGPLPPKQKLSTQPLPFHSNL >PAN03830 pep chromosome:PHallii_v3.1:1:1697214:1701589:-1 gene:PAHAL_1G023900 transcript:PAN03830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWGHAWHNLSKWVSTQHSTNHPQLNHDPAGHCTKPQLSQWGGKEYMTNLTRTRYLMGNLYAAAIKHGGLWCSADRAGVLWPPAHEKPGLLQLLVLIRPGPLPPKQKLSTQPLPFHSNL >PAN03835 pep chromosome:PHallii_v3.1:1:1697279:1701588:-1 gene:PAHAL_1G023900 transcript:PAN03835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWGHAWHNLSKWVSTQHSTNHPQLNHDPAGHCTKPQLSQWGGKEYMTNLTRTRYLMGNLYAAAIKHGGLWCSADRAGVLWPPAHEKPGLLQLLVLIRPGPLPPKQKLSTQPLPFHSNL >PAN03831 pep chromosome:PHallii_v3.1:1:1697279:1701590:-1 gene:PAHAL_1G023900 transcript:PAN03831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWGHAWHNLSKWVSTQHSTNHPQLNHDPAGHCTKPQLSQWGGKEYMTNLTRTRYLMGNLYAAAIKHGGLWCSADRAGVLWPPAHEKPGLLQLLVLIRPGPLPPKQKLSTQPLPFHSNL >PAN03833 pep chromosome:PHallii_v3.1:1:1697279:1701587:-1 gene:PAHAL_1G023900 transcript:PAN03833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHWYHGPAWCRTQHSTNHPQLNHDPAGHCTKPQLSQWGGKEYMTNLTRTRYLMGNLYAAAIKHGGLWCSADRAGVLWPPAHEKPGLLQLLVLIRPGPLPPKQKLSTQPLPFHSNL >PVH66851 pep chromosome:PHallii_v3.1:1:53718583:53722417:-1 gene:PAHAL_1G368600 transcript:PVH66851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELVCVHKAVSTSFLASGISRRSKSEKKPRFSILGHGFVPYDVKTEILHIAGQEGSSGAPSTKVSQTMVAEQLENIDEESEDLAPEFPLRTKNTNTSVSELLEDLQGRSGSSVRKPSALHQHMLNIREQEVSSRVPPDKVSQALKAELFGNIKEGSEDLPSEVTCPAKKANLSVAELLEDLQGRSSSSVGTVLLHQHTRSKDWKPKPPSSEKKTLAILGERSIDSEDPLEHIIDGTSSEEEDVTDNHLTLVNKDVKQHTMADLFQEVFNPTNMEVAMLPLRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEQGCLGDSKGITVQVMSRSLEGKLTVCCCLFQEKSNSTIRSDASTDHAMNESRTKRTIIFSPKICDNVDLLVGNIIRIFPPWKEVKLQDEDVMLCTYFSHHGA >PAN07863 pep chromosome:PHallii_v3.1:1:53718583:53722417:-1 gene:PAHAL_1G368600 transcript:PAN07863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELVCVHKAVSTSFLASGISRRSKSEKKPRFSILGHGFVPYDVKTEILHIAGQEGSSGAPSTKVSQTMVAEQLENIDEESEDLAPEFPLRTKNTNTSVSELLEDLQGRSGSSVRKPSALHQHMLNIREQEVSSRVPPDKVSQALKAELFGNIKEGSEDLPSEVTCPAKKANLSVAELLEDLQGRSSSSVGTVLLHQHTRSKDWKPKPPSSEKKTLAILGERSIDSEDPLEHIIDGTSSEEEDVTDNHLTLVNKDVKQHTMADLFQEVFNPTNMEVAMLPLRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEQGDSKGITVQVMSRSLEGKLTVCCCLFQEKSNSTIRSDASTDHAMNESRTKRTIIFSPKICDNVDLLVGNIIRIFPPWKEVKLQDEDVMLCTYFSHHGA >PVH66850 pep chromosome:PHallii_v3.1:1:53718882:53721520:-1 gene:PAHAL_1G368600 transcript:PVH66850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELVCVHKAVSTSFLASGISRRSKSEKKPRFSILGHGFVPYDVKTEILHIAGQEGSSGAPSTKVSQTMVAEQLENIDEESEDLAPEFPLRTKNTNTSVSELLEDLQGRSGSSVRKPSALHQHMLNIREQEVSSRVPPDKVSQALKAELFGNIKEGSEDLPSEVTCPAKKANLSVAELLEDLQGRSSSSVGTVLLHQHTRSKDWKPKPPSSEKKTLAILGERSIDSEDPLEHIIDGTSSEEEDVTDNHLTLVNKDVKQHTMADLFQEVFNPTNMEVAMLPLRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEQGCLGDSKGITVQVMSRSLEGKLTVCCCLFQEKSNSTIRSDASTDHAMNESRTKRTIIFSPKICDNVDLLVGNIIRIFPPWKEVKLQDEDVMLCTYFSHHGA >PVH66855 pep chromosome:PHallii_v3.1:1:53719154:53721520:-1 gene:PAHAL_1G368600 transcript:PVH66855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELVCVHKAVSTSFLASGISRRSKSEKKPRFSILGHGFVPYDVKTEILHIAGQEGSSGAPSTKVSQTMVAEQLENIDEESEDLAPEFPLRTKNTNTSVSELLEDLQGRSGSSVRKPSALHQHMLNIREQEVSSRVPPDKVSQALKAELFGNIKEGSEDLPSEVTCPAKKANLSVAELLEDLQGRSSSSVGTVLLHQHTRSKDWKPKPPSSEKKTLAILGERSIDSEDPLEHIIDGTSSEEEDVTDNHLTLVNKDVKQHTMADLFQEVFNPTNMEVAMLPLRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEQGCLGDSKGITVQVMSRSLEGKLTVCCCLFQEKSNSTIRSDASTDHAMNESRTKRTIIFSPKICDNVDLLVGNIIRIFPPCGKDEN >PVH66853 pep chromosome:PHallii_v3.1:1:53719154:53721520:-1 gene:PAHAL_1G368600 transcript:PVH66853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELVCVHKAVSTSFLASGISRRSKSEKKPRFSILGHGFVPYDVKTEILHIAGQEGSSGAPSTKVSQTMVAEQLENIDEESEDLAPEFPLRTKNTNTSVSELLEDLQGRSGSSVRKPSALHQHMLNIREQEVSSRVPPDKVSQALKAELFGNIKEGSEDLPSEVTCPAKKANLSVAELLEDLQGRSSSSVGTVLLHQHTRSKDWKPKPPSSEKKTLAILGERSIDSEDPLEHIIDGTSSEEEDVTDNHLTLVNKDVKQHTMADLFQEVFNPTNMEVAMLPLRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEQGCLGDSKGITVQVMSRSLEGKLTVCCCLFQEKSNSTIRSDASTDHAMNESRTKRTIIFSPKICDNVDLLVGNIIRIFPPCGKDEN >PVH66852 pep chromosome:PHallii_v3.1:1:53719041:53722338:-1 gene:PAHAL_1G368600 transcript:PVH66852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELVCVHKAVSTSFLASGISRRSKSEKKPRFSILGHGFVPYDVKTEILHIAGQEGSSGAPSTKVSQTMVAEQLENIDEESEDLAPEFPLRTKNTNTSVSELLEDLQGRSGSSVRKPSALHQHMLNIREQEVSSRVPPDKVSQALKAELFGNIKEGSEDLPSEVTCPAKKANLSVAELLEDLQGRSSSSVGTVLLHQHTRSKDWKPKPPSSEKKTLAILGERSIDSEDPLEHIIDGTSSEEEDVTDNHLTLVNKDVKQHTMADLFQEVFNPTNMEVAMLPLRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEQGDSKGITVQVMSRSLEGKLTVCCCLFQEKSNSTIRSDASTDHAMNESRTKRTIIFSPKICDNVDLLVGNIIRIFPPCGKDEN >PVH66854 pep chromosome:PHallii_v3.1:1:53719041:53722338:-1 gene:PAHAL_1G368600 transcript:PVH66854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELVCVHKAVSTSFLASGISRRSKSEKKPRFSILGHGFVPYDVKTEILHIAGQEGSSGAPSTKVSQTMVAEQLENIDEESEDLAPEFPLRTKNTNTSVSELLEDLQGRSGSSVRKPSALHQHMLNIREQEVSSRVPPDKVSQALKAELFGNIKEGSEDLPSEVTCPAKKANLSVAELLEDLQGRSSSSVGTVLLHQHTRSKDWKPKPPSSEKKTLAILGERSIDSEDPLEHIIDGTSSEEEDVTDNHLTLVNKDVKQHTMADLFQEVFNPTNMEVAMLPLRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEQGDSKGITVQVMSRSLEGKLTVCCCLFQEKSNSTIRSDASTDHAMNESRTKRTIIFSPKICDNVDLLVGNIIRIFPPCGKDEN >PVH66748 pep chromosome:PHallii_v3.1:1:51543984:51544259:1 gene:PAHAL_1G335700 transcript:PVH66748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVVLDPPVSPCSMPIRRRPLHHSPPPPPPSRDRSTSSSFPICLRPRAPSVPSSNPANPAQAAAAAAVPPLIRTRAGVDLPTCRSPSLP >PAN05736 pep chromosome:PHallii_v3.1:1:11638550:11641886:1 gene:PAHAL_1G133800 transcript:PAN05736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPYAVKGRKKKRRLDEVAASDAAPPDEEAEDLPPPEEAGGEDKGEEDGAAAGEDEEEHAAVEGLPVVPRPVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPYVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGARKVGLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQICNYPLSAACCLNRICSALEQKWNIQ >PVH66547 pep chromosome:PHallii_v3.1:1:47740332:47745044:-1 gene:PAHAL_1G277700 transcript:PVH66547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTPAAAAASRRRVALYLTLLTLQYGAQPLISKRFVRQDTIVTSLVLATEAAKVICAIVLLIAEGSLKKQFRNWTLAGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLWTAFFTYLILGQKQSSKQILALTLLISAAVLLSVGESSSKGSKGGSSDYVLLYGIIPVTVASMLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCMLASTYRSPDGEAIRKYGFFHEWTFWTVVPVLMNAVGGILVGLVTTYAGGVRKGFVIVSALLVTALLQFIFDGKPPSHYCLMALPLVMTSIFIYQKYPYADRKKKD >PAN06635 pep chromosome:PHallii_v3.1:1:47740880:47745136:-1 gene:PAHAL_1G277700 transcript:PAN06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTPAAAAASRRRVALYLTLLTLQYGAQPLISKRFVRQDTIVTSLVLATEAAKVICAIVLLIAEGSLKKQFRNWTLAGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLWTAFFTYLILGQKQSSKQILALTLLISAAVLLSVGESSSKGSKGGSSDYVLLYGIIPVTVASMLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCMLASTYRSPDGEAIRKYGFFHEWTFWTVVPVLMNAVGGILVGLVTTYAGGVRKGFVIVSALLVTALLQFIFDGKPPSHYCLMALPLVMTSIFIYQKYPYADRKKKD >PAN05178 pep chromosome:PHallii_v3.1:1:9413014:9413685:-1 gene:PAHAL_1G119900 transcript:PAN05178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLLSARSRPTRVVGGGESDDRRAGPGNRACYGIAACAVALLLFCALAASVSVWMAFAFGGLALVAFAVAGCLAPGGWRVGPTAESDAAAAAAALDAAGAARARRRFGTPKAAIDALTTFAYMPKGADQGGDGGDLESGAAEQCPVCLEDVQAGEMVRRLPACKHLFHVGCIDMWLHSHRTCPVCRCNLLRSQRHVAAVKAAPAAAAAAEEELPAEIALPPV >PVH67047 pep chromosome:PHallii_v3.1:1:56848684:56851218:-1 gene:PAHAL_1G415900 transcript:PVH67047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNDVDMVWLADPFPYLVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKQRKSNDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKDTKVKHVIVHNNYITGFEKKIKRFRDHGLWLVDEHSHESPLGRI >PVH67048 pep chromosome:PHallii_v3.1:1:56849141:56851211:-1 gene:PAHAL_1G415900 transcript:PVH67048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNDVDMVWLADPFPYLVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKQRKSNDQPAFNWALNKTAGQEIRLQVDVYLLPQSAFPTGGLYFKNKTWVKDTKVKHVIVHNNYITGFEKKIKRFRDHGLWLVDEHSHESPLGRI >PVH66352 pep chromosome:PHallii_v3.1:1:36860324:36860815:1 gene:PAHAL_1G217300 transcript:PVH66352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEHDPITAYEARAPLHWDEEEWDFRYQSEDDDPPTDGEDLALLLGAELEEDEDDASWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSGDSSDSSTGADGDDSFTSDDNGDDGDSSSDTGDSGPSVVPSPKRRKTSSVYWW >PVH65910 pep chromosome:PHallii_v3.1:1:7593949:7607752:1 gene:PAHAL_1G102900 transcript:PVH65910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMSSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSQIMSDSAMNDNSSAGTPEWMAPELILNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPEGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >PVH65912 pep chromosome:PHallii_v3.1:1:7593949:7607752:1 gene:PAHAL_1G102900 transcript:PVH65912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMRCSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSQIMSDSAMNDNSSAGTPEWMAPELILNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPEGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >PAN04934 pep chromosome:PHallii_v3.1:1:7593949:7607752:1 gene:PAHAL_1G102900 transcript:PAN04934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMSSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSQIMSDSAMNDNSSAGTPEWMAPELILNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPEGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >PAN04935 pep chromosome:PHallii_v3.1:1:7593581:7607752:1 gene:PAHAL_1G102900 transcript:PAN04935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMRCSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSQIMSDSAMNDNSSAGTPEWMAPELILNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPEGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >PVH65913 pep chromosome:PHallii_v3.1:1:7593632:7607752:1 gene:PAHAL_1G102900 transcript:PVH65913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMSSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSQIMSDSAMNDNSSAGTPEWMAPELILNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPEGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >PVH65911 pep chromosome:PHallii_v3.1:1:7593632:7607752:1 gene:PAHAL_1G102900 transcript:PVH65911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMRCSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSQIMSDSAMNDNSSAGTPEWMAPELILNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPEGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >PVH65909 pep chromosome:PHallii_v3.1:1:7593604:7607752:1 gene:PAHAL_1G102900 transcript:PVH65909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMRCSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDIWASCACTG >PAN04933 pep chromosome:PHallii_v3.1:1:7593949:7607752:1 gene:PAHAL_1G102900 transcript:PAN04933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMRCSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSQIMSDSAMNDNSSAGTPEWMAPELILNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPEGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >PVH65908 pep chromosome:PHallii_v3.1:1:7593604:7607752:1 gene:PAHAL_1G102900 transcript:PVH65908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMSSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDIWASCACTG >PAN04936 pep chromosome:PHallii_v3.1:1:7593581:7607752:1 gene:PAHAL_1G102900 transcript:PAN04936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEDEGRSQTQPSDPNWHSHLDHKFQSLSLTKQEKILDSAYSSLDSREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDAIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLTSNPAAMIKKVAGLVSDFYKRPNLHLSPARTSSEELSYFLENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEEPHGYDDSSKHMSVVVTMKSSEFLVDLTRFPGQLVPFSSKALITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKATSLRNIMLKSTNSMEGKMSSSHSDPNVANAFCGRSRKKVADEHQRTASSSPEHPLFRVRGRSMLGDRQQGTSVAVSRSDGASTSNACKARRRSISITPEISDDIVRAVRAISESMRQNRLSRVQNDGSLGSSNDSQKHEPACDSHDDEVSTRRPSALEGLRRHISSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWRIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMKDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKTHKMKLHWKRRLKMLCDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSQIMSDSAMNDNSSAGTPEWMAPELILNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPEGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >PAN03592 pep chromosome:PHallii_v3.1:1:692151:693631:-1 gene:PAHAL_1G007700 transcript:PAN03592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGSGPAAVGDQPGCRVLPAIEEEPDQPEMTRLAERRKAIVARMRELLSRAAAAQSAHSKLRRSTVATAKKWKRAVGRIQRRSKNHQQVAALQEDGGMLSSSSSVSSQSSFSWDAAAESCSCCSASASCLSPANVSPLLWPAFVSTQRAEATEDQQRREMSSPATSGLRLSCGSSWSEDDDMRMAHWVTTDSDFLVLEL >PAN09056 pep chromosome:PHallii_v3.1:1:59476141:59478749:1 gene:PAHAL_1G455400 transcript:PAN09056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEERKRERVLVVGGSGYLGQHLLAALALAADRLDVAFTHHSQAPPQPLLDALPSVRAFRADLRSGDGLEAISASFGQPHVVVNCAAISVPRACEMDPPAAMATNVPSSLVNWLLSFGNDESLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVAAEKFIVEKCSNYAILRSSIIYGPQTISPVAKSLPIQWMDSVLSQGQQVEFFNDEFRCPVYVKDMVDVISSLAKTWLSDGKKVQVLLNVGGPDRVSRLNMAESVATVRGYNHNIIKSVSASSVNRGVASPSDISMDITKLTQMLSIKPISFQDGVRATLDAEAS >PAN05754 pep chromosome:PHallii_v3.1:1:11987545:11996919:-1 gene:PAHAL_1G136000 transcript:PAN05754 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSAAVRSRAAAAALSAARRIHGGRAAGQKQQGGGGDGDGPGALRRPWTLFRRQQQQEHGRLPHAAAAAPDPATDRGAGGSDDGEPPEIWRQPGEAPGERAAAAAVGRIDVVRVAVPGGGDGFDGKDGAGETAGWGGSNLGRRFPTPKEICRGLDKFVIGQQRAKKVLSVAVYNHYKRIYCESLSSRSAGDCSESDSCTSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVTAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVNLEKTISERRHDSSIGFRARVRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTEPKNALGRQYTKLFEMNDVKLHFTEKALRLIAKRAIAKNTGARGLRSILESILTEAMYEIPETRTGKDKIDAVVVESVGSANQHGIGAKILCGEGALDLYLAKHVNKESMRQQPEKSNGESEIDTEAPSRVASM >PAN06289 pep chromosome:PHallii_v3.1:1:45690982:45696804:1 gene:PAHAL_1G252700 transcript:PAN06289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAEVALHTMLGAFAAHSPASNLPLVADARRRKRNAYLVPNARALQGLLKFPRLRSVRRQCQRIDDLARVTEGNGNWVKDAMNNASQVLDDVGVADQAVGGNGGLNGNTVKPAPQRWKTSSVEDEAWELLQESMVYYCGSPVGTIAANDPNDSDLVNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWLDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPELAAKAIEVAERRIATDKWPEYYDTKRARFIGKQSRLYQTWSIAGFLVAKLLMEKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTYIV >PVH66463 pep chromosome:PHallii_v3.1:1:45692282:45696804:1 gene:PAHAL_1G252700 transcript:PVH66463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAEVALHTMLGAFAAHSPASNLPLVADARRRKRNAYLVPNARALQGLLKFPRLRSVRRQCQRIDDLARVTEGNGNWVKDAMNNASQVLDDVGVADQAVGGNGGLNGNTVKPAPQRWKTSSVEDEAWELLQESMVYYCGSPVGTIAANDPNDSDLVNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWLDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPELAAKAIEVAERRIATDKWPEYYDTKRARFIGKQSRLYQTWSIAGFLVAKLLMEKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTYIV >PVH66935 pep chromosome:PHallii_v3.1:1:55073290:55074107:1 gene:PAHAL_1G388600 transcript:PVH66935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDISGCMLFANDVVLVDESRAGGFRLSRTKTEYMMCDFSPIRYEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRYRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRKDRVRNEEIRDRVGVTPIEEKLIQHRLRWFGHVQRRPPETPVRSGVLKRGDNVRRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN03877 pep chromosome:PHallii_v3.1:1:1889599:1897046:-1 gene:PAHAL_1G026600 transcript:PAN03877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRLLETTKQAASSASKSAITAAVAPKPATSASASASASKGDTAVAAPKLCTLKRPFSGRRAAVTPATAVTRASLLRLKQAAASKKTTLPSSLIQAHAHALDEDDAPEALTKALMSVLDVQCSGPDDAEEATPSEAPVDSEDTGEVATSNNILDFEWFKSPPSGDPLMHWRREVAREKKKQYIFKNVESRRYTKLMQLCANKLGTESTIEFFGKLGRETGVREFNSLIRLCLDKARACKDVDSAVEYIYRAYHLFETMRDKGFTIEQDVYGPFLLYLVDVGLLEEFEMFTAFFKDVNPQSFSRIAYYEMLLCIRVQDEEKIQELCHSVEDYNKEAHYDIAESYMLAFAESGRKEDLIALLDLLDLMKVSGSKYISNIFKSLGRLELENYADKLLQGMRSKGCADGTISLLILDYAANIPNIMVEDMLVAFHKWHDKFEVAPSIAAYNKIISRCCNSLKISLALDVADSMCKSGSNVPIESFHPIIDACEQAGELHMARPMYDLIRHHNLKLKSETFRSMISLFVKMKDFEGAYNILTDAEESGEISTVSLYNVIMLGYYREKNYNGAQMVMSQMQIAGVKPDSETFSYLIVNCESEENIAKYHDQLRQDGIQITKHIYMALINAYTRLGNFDMAKQVLLDKEIPRKLLSDIKSALVGALASNGQVLDALRMYDDIKQSGGSLEPKAAIALIDHIRTEGELDRMHQLLDELNDSSCWFDGCGRAVLYCVQHNYPDAAIDLLKQLKEKDEMSTYMVVDQVFCQIWEMEITNLDLGMVLLHAVKELRLNVSRTSLDFLLSACVKSKNSQRAQQIWSEYESAGLLHNVLTSLRMYQALLSSGGRKAAEKLLKKISKKDEHVRYIIGACRITYCSEDLKPSATIRFGLENRSSSKQIAANEGAEG >PAN03876 pep chromosome:PHallii_v3.1:1:1889599:1897046:-1 gene:PAHAL_1G026600 transcript:PAN03876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRLLETTKQAASSASKSAITAAVAPKPATSASASASASKGDTAVAAPKLCTLKRPFSGRRAAVTPATAVTRASLLRLKQAAASKKTTLPSSLIQAHAHALDEDDAPEALTKALMSVLDGPDDAEEATPSEAPVDSEDTGEVATSNNILDFEWFKSPPSGDPLMHWRREVAREKKKQYIFKNVESRRYTKLMQLCANKLGTESTIEFFGKLGRETGVREFNSLIRLCLDKARACKDVDSAVEYIYRAYHLFETMRDKGFTIEQDVYGPFLLYLVDVGLLEEFEMFTAFFKDVNPQSFSRIAYYEMLLCIRVQDEEKIQELCHSVEDYNKEAHYDIAESYMLAFAESGRKEDLIALLDLLDLMKVSGSKYISNIFKSLGRLELENYADKLLQGMRSKGCADGTISLLILDYAANIPNIMVEDMLVAFHKWHDKFEVAPSIAAYNKIISRCCNSLKISLALDVADSMCKSGSNVPIESFHPIIDACEQAGELHMARPMYDLIRHHNLKLKSETFRSMISLFVKMKDFEGAYNILTDAEESGEISTVSLYNVIMLGYYREKNYNGAQMVMSQMQIAGVKPDSETFSYLIVNCESEENIAKYHDQLRQDGIQITKHIYMALINAYTRLGNFDMAKQVLLDKEIPRKLLSDIKSALVGALASNGQVLDALRMYDDIKQSGGSLEPKAAIALIDHIRTEGELDRMHQLLDELNDSSCWFDGCGRAVLYCVQHNYPDAAIDLLKQLKEKDEMSTYMVVDQVFCQIWEMEITNLDLGMVLLHAVKELRLNVSRTSLDFLLSACVKSKNSQRAQQIWSEYESAGLLHNVLTSLRMYQALLSSGGRKAAEKLLKKISKKDEHVRYIIGACRITYCSEDLKPSATIRFGLENRSSSKQIAANEGAEG >PAN03874 pep chromosome:PHallii_v3.1:1:1889343:1897264:-1 gene:PAHAL_1G026600 transcript:PAN03874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRLLETTKQAASSASKSAITAAVAPKPATSASASASASKGDTAVAAPKLCTLKRPFSGRRAAVTPATAVTRASLLRLKQAAASKKTTLPSSLIQAHAHALDEDDAPEALTKALMSVLDGPDDAEEATPSEAPVDSEDTGEVATSNNILDFEWFKSPPSGDPLMHWRREVAREKKKQYIFKNVESRRYTKLMQLCANKLGTESTIEFFGKLGRETGVREFNSLIRLCLDKARACKDVDSAVEYIYRAYHLFETMRDKGFTIEQDVYGPFLLYLVDVGLLEEFEMFTAFFKDVNPQSFSRIAYYEMLLCIRVQDEEKIQELCHSVEDYNKEAHYDIAESYMLAFAESGRKEDLIALLDLLDLMKVSGSKYISNIFKSLGRLELENYADKLLQGMRSKGCADGTISLLILDYAANIPNIMVEDMLVAFHKWHDKFEVAPSIAAYNKIISRCCNSLKISLALDVADSMCKSGSNVPIESFHPIIDACEQAGELHMARPMYDLIRHHNLKLKSETFRSMISLFVKMKDFEGAYNILTDAEESGEISTVSLYNVIMLGYYREKNYNGAQMVMSQMQIAGVKPDSETFSYLIVNCESEENIAKYHDQLRQDGIQITKHIYMALINAYTRLGNFDMAKQVLLDKEIPRKLLSDIKSALVGALASNGQDHIRTEGELDRMHQLLDELNDSSCWFDGCGRAVLYCVQHNYPDAAIDLLKQLKEKDEMSTYMVVDQVFCQIWEMEITNLDLGMVLLHAVKELRLNVSRTSLDFLLSACVKSKNSQRAQQIWSEYESAGLLHNVLTSLRMYQALLSSGGRKAAEKLLKKISKKDEHVRYIIGACRITYCSEDLKPSATIRFGLENRSSSKQIAANEGAEG >PAN03875 pep chromosome:PHallii_v3.1:1:1889343:1897264:-1 gene:PAHAL_1G026600 transcript:PAN03875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRLLETTKQAASSASKSAITAAVAPKPATSASASASASKGDTAVAAPKLCTLKRPFSGRRAAVTPATAVTRASLLRLKQAAASKKTTLPSSLIQAHAHALDEDDAPEALTKALMSVLDVQCSGPDDAEEATPSEAPVDSEDTGEVATSNNILDFEWFKSPPSGDPLMHWRREVAREKKKQYIFKNVESRRYTKLMQLCANKLGTESTIEFFGKLGRETGVREFNSLIRLCLDKARACKDVDSAVEYIYRAYHLFETMRDKGFTIEQDVYGPFLLYLVDVGLLEEFEMFTAFFKDVNPQSFSRIAYYEMLLCIRVQDEEKIQELCHSVEDYNKEAHYDIAESYMLAFAESGRKEDLIALLDLLDLMKVSGSKYISNIFKSLGRLELENYADKLLQGMRSKGCADGTISLLILDYAANIPNIMVEDMLVAFHKWHDKFEVAPSIAAYNKIISRCCNSLKISLALDVADSMCKSGSNVPIESFHPIIDACEQAGELHMARPMYDLIRHHNLKLKSETFRSMISLFVKMKDFEGAYNILTDAEESGEISTVSLYNVIMLGYYREKNYNGAQMVMSQMQIAGVKPDSETFSYLIVNCESEENIAKYHDQLRQDGIQITKHIYMALINAYTRLGNFDMAKQVLLDKEIPRKLLSDIKSALVGALASNGQDHIRTEGELDRMHQLLDELNDSSCWFDGCGRAVLYCVQHNYPDAAIDLLKQLKEKDEMSTYMVVDQVFCQIWEMEITNLDLGMVLLHAVKELRLNVSRTSLDFLLSACVKSKNSQRAQQIWSEYESAGLLHNVLTSLRMYQALLSSGGRKAAEKLLKKISKKDEHVRYIIGACRITYCSEDLKPSATIRFGLENRSSSKQIAANEGAEG >PAN06791 pep chromosome:PHallii_v3.1:1:48481805:48482999:-1 gene:PAHAL_1G288700 transcript:PAN06791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSVLLETTHKSHPGKPPAQIISKATLVIHGAKAKHKQVSPAAASSFLQRCFLCHTELAEGRDIYMYRGDRAFCSEECRCRQIFMDEDASSSNCCANGAGAATARGSRRVPGGGRRRVAY >PVH65984 pep chromosome:PHallii_v3.1:1:9267585:9272173:1 gene:PAHAL_1G118300 transcript:PVH65984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDTRPAAERPAEPFLPLRWESTGDQWWYATPIDWAAAGGHYDLVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLAGAARNRAAVARRLLRDCDPGEPRGVGPSNRLVRAGYGGWLLYTAAAAGDAGFVRELLAAQPLLVFGEGEYGVTDILYAAARSGCPDVFRLLLDAVLSPASCPVGEEFRSEMMNRAVHAAARGGSLEVLRDLLRGCSDAAAYRDAQGSTILHAAAARGQVEVVKDLIASFDIVNSVDDQGNTALHIAAFRGYLPVVEALVTASSSLVSATNEAGDTFLHMALTGFGTPGFRRLDRQMELIRQLVGGAFVDVSSIINVQNDDGNTVLHLAVVGNLHSNLVELLMSAPSIDLNIRDNDGMTPLDLLRKQPRTASSEILIKQLILAGGITNSRDHETRSAIASQLKMHCIVGSPGTSFKISDAEIFLHAGIDVAGISERTTSFSSSVGRVDAEIPRPKLKKLNSFQDAAKHIKVLLKWPHRKGKKPGGDQKDLDDDASSVSSIKSCSHVETPTPLRQRHSRISSLFNNKRTYAGNGSPSESTKKSGLVQSECIPASASWSSSSLVDKIEAVHLENDQPSPYLSRLIRHTPKKYGSLNSRLLNQSFRLGA >PAN05156 pep chromosome:PHallii_v3.1:1:9267585:9272173:1 gene:PAHAL_1G118300 transcript:PAN05156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDTRPAAERPAEPFLPLRWESTGDQWWYATPIDWAAAGGHYDLVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLAGAARNRAAVARRLLRDCDPGEPRGVGPSNRLVRAGYGGWLLYTAAAAGDAGFVRELLAAQPLLVFGEGEYGVTDILYAAARSGCPDVFRLLLDAVLSPASCPVGEEFRSEMMNRAVHAAARGGSLEVLRDLLRGCSDAAAYRDAQGSTILHAAAARGQVEVVKDLIASFDIVNSVDDQGNTALHIAAFRGYLPVVEALVTASSSLVSATNEAGDTFLHMALTGFGTPGFRRLDRQMELIRQLVGGAFVDVSSIINVQNDDGNTVLHLAVVGNLHSNLVELLMSAPSIDLNIRDNDGMTPLDLLRKQPRTASSEILIKQLILAGGITNSRDHETRSAIASQLKMHCIVGSPGTSFKISDAEIFLHAGIDVAGISERTTSFSSSVGRVDAEIPRPKLKKLNSFQDAAKHIKVLLKWPHRKGKKPGGDQKDLDDDASSVSSIKSCSHVETPTPLRQRHSRISSLFNNKRTYAGNGSPSESTKKSGLVQSECIPASASWSSSSLVDKIEAVHLENDQPSPYLSRLIRHTPKKYGSLNSRLLNQSFRLGA >PVH65982 pep chromosome:PHallii_v3.1:1:9267585:9272173:1 gene:PAHAL_1G118300 transcript:PVH65982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDTRPAAERPAEPFLPLRWESTGDQWWYATPIDWAAAGGHYDLVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLAGAARNRAAVARRLLRDCDPGEPRGVGPSNRLVRAGYGGWLLYTAAAAGDAGFVRELLAAQPLLVFGEGEYGVTDILYAAARSGCPDVFRLLLDAVLSPASCPVGEEFRSEMMNRAVHAAARGGSLEVLRDLLRGCSDAAAYRDAQGSTILHAAAARGQVEVVKDLIASFDIVNSVDDQGNTALHIAAFRGYLPVVEALVTASSSLVSATNEAGDTFLHMALTGFGTPGFRRLDRQMELIRQLVGGAFVDVSSIINVQNDDGNTVLHLAVVGNLHSNLVELLMSAPSIDLNIRDNDGMTPLDLLRKQPRTASSEILIKQLILAGGITNSRDHETRSAIASQLKMHCIVGSPGTSFKISDAEIFLHAGIDVAGISERTTSFSSSVGRVDAEIPRPKLKKLNSFQDAAKHIKVLLKWPHRKGKKPGGDQKDLDDDASSVSSIKSCSHVETPTPLRQRHSRISSLFNNKRTYAGNGSPSESTKKSGLVQSECIPASASWSSSSLVDKIEAVHLENDQPSPYLSRLIRHTPKKYGSLNSRLLNQSFRLGA >PVH65983 pep chromosome:PHallii_v3.1:1:9267585:9272173:1 gene:PAHAL_1G118300 transcript:PVH65983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDTRPAAERPAEPFLPLRWESTGDQWWYATPIDWAAAGGHYDLVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLAGAARNRAAVARRLLRDCDPGEPRGVGPSNRLVRAGYGGWLLYTAAAAGDAGFVRELLAAQPLLVFGEGEYGVTDILYAAARSGCPDVFRLLLDAVLSPASCPVGEEFRSEMMNRAVHAAARGGSLEVLRDLLRGCSDAAAYRDAQGSTILHAAAARGQVEVVKDLIASFDIVNSVDDQGNTALHIAAFRGYLPVVEALVTASSSLVSATNEAGDTFLHMALTGFGTPGFRRLDRQMELIRQLVGGAFVDVSSIINVQNDDGNTVLHLAVVGNLHSNLVELLMSAPSIDLNIRDNDGMTPLDLLRKQPRTASSEILIKQLILAGGITNSRDHETRSAIASQLKMHCIVGSPGTSFKISDAEIFLHAGIDVAGISERTTSFSSSVGRVDAEIPRPKLKKLNSFQDAAKHIKVLLKWPHRKGKKPGGDQKDLDDDASSVSSIKSCSHVETPTPLRQRHSRISSLFNNKRTYAGNGSPSESTKKSGLVQSECIPASASWSSSSLVDKIEAVHLENDQPSPYLSRLIRHTPKKYGSLNSRLLNQSFRLGA >PAN08380 pep chromosome:PHallii_v3.1:1:56434099:56436530:1 gene:PAHAL_1G409100 transcript:PAN08380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRKPKFCAAEGAAPEIPVSQGAMACDGWPEAEGARAERWPLPRKKSLALDRKRWNTVVNVVLVAFVMAVPPFVVVYGGGGVAPAVWIAAARARLRRDSGDGSFPYARSPPDKLLGGLLPDGLDERSCRSRYESSMYRRNPGRRPSPHLIAKLRKHEELQRRCGPNTNAYNLAIEQLRTGRSVGSPECKYVVSISYRGLGNRILAAASAFLYAALTDRVLLVDPSNEMDELFCEPFPGTTWLLPRDFPLASYTNFSIDTAESYGNMLRNKVLSADAPAAELPAFAYLHLDHDYGHEDKMFFCDDDQRLLSNVQWLVMRTDLYTVPGLFLLTAFQEELDALFPERDAVFHHLVRYLFHPTNHVWGLVTRYYRAYLARADLRLGIQVRNFDPRHAQSPHILQQITSCVWREKLLPELLATEEHAAPAPGARSTAVLMTSLRSWYYERIKGMYWDRATATGEDVSVHQPSHEGQQQFGKRSHDGRAWAEMYLLSLCDVLVTSGWSTFGYVAQGLGGARPWVLHKQPENLTSAPDPPCFRDVSMEPCFHAPHVYDCKMKRGMDTGEVLPHVRHCQDVSWGLKLVDPKLYKG >PVH66244 pep chromosome:PHallii_v3.1:1:26742934:26745200:-1 gene:PAHAL_1G184100 transcript:PVH66244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGGSSDGELPGGSGGGAARLGGAAAAWRGVAERSGAAGQRQRRRASQGELRARRGGSLPGRSPPAAAGSFPG >PAN06823 pep chromosome:PHallii_v3.1:1:48640511:48642260:-1 gene:PAHAL_1G290700 transcript:PAN06823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPGLPAGVKFDPSDLELLEHLEEKIGLGGSKPHVLIDEFIPTIDNDEGICYSHPENLPGMKTDGSNAHFFHRLSKSYNCGKRKRRRVISCSDNTVTDDHVRWHKTGRSKPIYDNGVKKGWKEIMVLYKSSQRGGKPDRAHWVMHQYHLGEEEDEKDGDLVVSKIFYQMPNKSTEVSGTETAYDEPDASASVIGPKTPKTNTPQPRHPNNSPCETEKNAFILQDQLLLQDEGEPTISIVSLEDDAVNPAWCAGAEEQQAVGGASRAQLNPDEPLLCREDPNSLNDEALFPLDYPILSQCRNEILDRNQNTFYGLPDLHNVDLGTPPDLQLGDLQFGSQESLGSWLDRI >PVH66591 pep chromosome:PHallii_v3.1:1:48640087:48642812:-1 gene:PAHAL_1G290700 transcript:PVH66591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPGLPAGVKFDPSDLELLEHLEEKIGLGGSKPHVLIDEFIPTIDNDEGICYSHPENLPGMKTDGSNAHFFHRLSKSYNCGKRKRRRVISCSDNTVTDDHVRWHKTGRSKPIYDNGVKKGWKEIMVLYKSSQRGGKPDRAHWVMHQYHLGEEEDEKDGDLVVSKIFYQMPNKSTEVSGTETAYDEPDASASVIGPKTPKTNTPQPRHPNNSPCETEKNAFILQDQLLLQDEGEPTISIVSLEDDAVNPAWCAGAEEQQAVGGASRAQLNPDEPLLCREDPNSLNDEALFPLDYPILSQCRNEILDRNQNTFYGLPDLHNVDLGTPPDLQLGDLQFGSQESLGSWLDRI >PAN06822 pep chromosome:PHallii_v3.1:1:48640087:48643627:-1 gene:PAHAL_1G290700 transcript:PAN06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWLITGRGIAKKIRYTAPSANHKISEFIAEARRECPNCSHVIDNSDVTMLWPGLPAGVKFDPSDLELLEHLEEKIGLGGSKPHVLIDEFIPTIDNDEGICYSHPENLPGMKTDGSNAHFFHRLSKSYNCGKRKRRRVISCSDNTVTDDHVRWHKTGRSKPIYDNGVKKGWKEIMVLYKSSQRGGKPDRAHWVMHQYHLGEEEDEKDGDLVVSKIFYQMPNKSTEVSGTETAYDEPDASASVIGPKTPKTNTPQPRHPNNSPCETEKNAFILQDQLLLQDEGEPTISIVSLEDDAVNPAWCAGAEEQQAVGGASRAQLNPDEPLLCREDPNSLNDEALFPLDYPILSQCRNEILDRNQNTFYGLPDLHNVDLGTPPDLQLGDLQFGSQESLGSWLDRI >PAN08056 pep chromosome:PHallii_v3.1:1:54695544:54700865:-1 gene:PAHAL_1G383700 transcript:PAN08056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MRSPEPSLPAPARLVVVALLLAALTGGAVGVDGYGRARRLHMKNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNFTEFERGILCLSENLTFDVDARINLFECNIRLLGGLISAHLLAKDYSSHLKDGLYQDQLLHLAENLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGVLSRLTGDSRYEAAALRALRKLWSLRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGILHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEKFLTTHVPSNWTFVKNGMG >PAN08058 pep chromosome:PHallii_v3.1:1:54696263:54700733:-1 gene:PAHAL_1G383700 transcript:PAN08058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MRSPEPSLPAPARLVVVALLLAALTGGAVGVDGYGRARRLHMKNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNFTEFERGILCLSENLTFDVDARINLFECNIRLLGGLISAHLLAKDYSSHLKDGLYQDQLLHLAENLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGVLSRLTGDSRYEAAALRALRKLWSLRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGILHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEKFLTTHVPSNWTFVKNGMG >PAN08059 pep chromosome:PHallii_v3.1:1:54695096:54700865:-1 gene:PAHAL_1G383700 transcript:PAN08059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MRSPEPSLPAPARLVVVALLLAALTGGAVGVDGYGRARRLHMKNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNFTEFERGILCLSENLTFDVDARINLFECNIRLLGGLISAHLLAKDYSSHLKDGLYQDQLLHLAENLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGVLSRLTGDSRYEAAALRALRKLWSLRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGILHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEKFLTTHVPSNWTFVKDESQPIRVSALSSQVCPETVFQKSVGSPWESACHVPDVHASHRCRTDDDCGIEAVTCKKRTCSMAGYCGLWLVIY >PAN08057 pep chromosome:PHallii_v3.1:1:54695096:54699862:-1 gene:PAHAL_1G383700 transcript:PAN08057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNFTEFERGILCLSENLTFDVDARINLFECNIRLLGGLISAHLLAKDYSSHLKDGLYQDQLLHLAENLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGVLSRLTGDSRYEAAALRALRKLWSLRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGILHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEKFLTTHVPSNWTFVKDESQPIRVSALSSQVCPETVFQKSVGSPWESACHVPDVHASHRCRTDDDCGIEAVTCKKRTCSMAGYCGLWLVIY >PAN08055 pep chromosome:PHallii_v3.1:1:54696263:54699683:-1 gene:PAHAL_1G383700 transcript:PAN08055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNFTEFERGILCLSENLTFDVDARINLFECNIRLLGGLISAHLLAKDYSSHLKDGLYQDQLLHLAENLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGVLSRLTGDSRYEAAALRALRKLWSLRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGILHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEKFLTTHVPSNWTFVKNGMG >PAN06371 pep chromosome:PHallii_v3.1:1:46401263:46406779:-1 gene:PAHAL_1G259400 transcript:PAN06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLDFDGRDDIFFDVSDDIRSSTYSTARCSISDQLSASWRPEYELWTSEPMSVNERRHRFLIGMGLVQPIPTGISFSQWQGDTLPDRAFRDLEERISSICSSYQSSFSHCAPAPDSAYCTRDLGTGNRVVVHENEHDGLTGILEEVGTDKIMNINQSEGFLSFSQLVNEFLRKGGGRSHVRGANITATDKQKDPKSFCGRFTRKKAEDRICMYDAPMNSLKTSTFSRTKVDQQNKKWMDFSAVYMCQEIQAHGGSIRVMKFSPSGWYLASVGEDCIVRIWMIQEVESSPDLYGREAPVEYMDRNKGLKMKVGKGWRRTLAIIPKKVFNIAETPLHEFHGHTSDILDMTWSKSDFLLTSSKDKTVRMWKVGCDGCLAVFKHRDYVTCVQFNPVDERYFVSGSIDGKVRVWDVSEKRVVDWADTRDIISAVSYQPDAKGLIVGTVAGRCRFYDQSGENMEVEKELKVTKKKSASSQITSLQFSRGDPAGIVIASAGPKIRVSEGADISRKFEGRRGSKALAPPSLTSDGRYLVSAGADSNVYIWNFDKLRGKSAKGARTVRSCEHFFSEGVTSVATWPGLLHQEGCAGGLQSSEKGPTLCRDRDCCSFGAWFFADGMGGAATWPEEKLLPSLKYVNCGGVDERRPKVPAAWNTVVVTGGRDGVIRCFHNYGLPVKL >PAN06370 pep chromosome:PHallii_v3.1:1:46401263:46406835:-1 gene:PAHAL_1G259400 transcript:PAN06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLDFDGRDDIFFDVSDDIRSSTYSTARCSISDQLSASWRPEYELWTSEPMSVNERRHRFLIGMGLVQPIPTGISFSQWQGDTLPDRAFRDLEERISSICSSYQSSFSHCAPAPDSAYCTRDLGTGNRVVVHENEHDGLTGILEEVGTDKIMNINQSEGFLSFSQLVNEFLRKGGGRSHVRGANITATDKQKDPKSFCGRFTRKKAEDRICMYDAPMNSLKTSTFSRTKVDQQNKKWMDFSAVYMCQEIQAHGGSIRVMKFSPSGWYLASVGEDCIVRIWMIQEVESSPDLYGREAPVEYMDRNKGLKMKVGKGWRRTLAIIPKKVFNIAETPLHEFHGHTSDILDMTWSKSDFLLTSSKDKTVRMWKVGCDGCLAVFKHRDYVTCVQFNPVDERYFVSGSIDGKVRVWDVSEKRVVDWADTRDIISAVSYQPDAKGLIVGTVAGRCRFYDQSGENMEVEKELKVTKKKSASSQITSLQFSRGDPAGIVIASAGPKIRVSEGADISRKFEGRRGSKALAPPSLTSDGRYLVSAGADSNVYIWNFDKLRGKSAKGARTVRSCEHFFSEGVTSVATWPGLLHQEGCAGGLQSSEKGPTLCRDRDCCSFGAWFFADGMGGAATWPEEKLLPSLKYVNCGGVDERRPKVPAAWNTVVVTGGRDGVIRCFHNYGLPVKL >PVH66280 pep chromosome:PHallii_v3.1:1:29017092:29018018:-1 gene:PAHAL_1G193500 transcript:PVH66280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPAGHLHTNTLHWEGFPHLLWESLRSFLYTEPPQYDAVEYLEEGVRRYRVRMTIPQHPFRSQWQPIEVDVVGYRIVDTIEGAALEAIHLFCNQHPRKVAGRPIGLFPTTDPNDPEWNLREVPESHRLEGSTEEALQGTMRFMNVQHHYQLLLRRGMGQLISIAQGHFRNADRQVTQIQQLQASIRDLILEVDDAHAQINDLQQQLAPPAVPAPKAEEEDPEEIEGVSDLDSEHGDPVLSPHHSSSGSQSSVGNYDDF >PVH65502 pep chromosome:PHallii_v3.1:1:932547:935776:1 gene:PAHAL_1G009300 transcript:PVH65502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYPARTPRAGGGGRCRSTAIGGGRGRYTRAARKTRGQSRGDRTTEFGPRSQPRAAHLYTGGAGRRSWRGGVTGEAGRGAGVLASAMEPHCRRHGDERPQSWSLEAMATGASQADGDCGERASEPWWASTPRGGWAAQSMDRCAGELGRMDLAGLYGPGSFQGNSLASAMGQVAAK >PVH66354 pep chromosome:PHallii_v3.1:1:37004579:37005439:-1 gene:PAHAL_1G217500 transcript:PVH66354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDPITYDELSAEHKQRYDEIKIQFEADLIGSFERTRNHGVRWKGFSPEGALDGVDLSIPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQYSPTGPTLGSHRGELPFQTKPPLPYTLAAAESHGAPAYVVYKEGGDPMDHQFFSEPPKEIPHGYVCMYIPDSNNPVHPVQKTVGGVSGADADKQAWLATYATGPSHDSMHSAPGLQTAEQISNILRDQLGILPKRRAIGYTKPYPGDYDLIPLPPKYRLPEFTKFSGAEGSSSIEQVS >PVH65900 pep chromosome:PHallii_v3.1:1:7362153:7364372:1 gene:PAHAL_1G100800 transcript:PVH65900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHCLLVASCCRLQLEEEDGGDPACCFLRPNRVACFRQAQQKNSQYGLNSTKAAKQAHESPSHLTSRRHPPLSTPISPRRCRCRRRHPRRRRRDPAVSFHGVREEEAVEPDAGDQGTEARPQHLRRPDRRPPHPRRQGARAARRLVPRVVQGEVHGPVVRHPACREDRVLRDGQGRQGDAAAR >PVH65899 pep chromosome:PHallii_v3.1:1:7362153:7363368:1 gene:PAHAL_1G100800 transcript:PVH65899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHCLLVASCCRLQLEEEDGGDPACCFLRPNRVACFRQAQQKNSQYGLNSTKAAKQAHESPSHLTSRRHPPLSTPISPRRCRCRRRHPRRRRRDPAVSFHGVREEEAVEPDAGDQGTEARPQHLRRPDRRPPHPRRQGARAARRLVPRVVQGNSEVHGPVVRHPACREDRVLRDGQGRQGDAAAR >PVH65903 pep chromosome:PHallii_v3.1:1:7362153:7364372:1 gene:PAHAL_1G100800 transcript:PVH65903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHCLLVASCCRLQLEEEDGGDPACCFLRPNRVACFRQAQQKNSQYGLNSTKAAKQAHESPSHLTSRRHPPLSTPISPRRCRCRRRHPRRRRRDPAVSFHGVREEEAVEPDAGDQGTEARPQHLRRPDRRPPHPRRQGARAARRLVPRVVQGNSEVHGPVVRHPACREDRVLRDGQGRQGDAAAR >PVH65898 pep chromosome:PHallii_v3.1:1:7362153:7363368:1 gene:PAHAL_1G100800 transcript:PVH65898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHCLLVASCCRLQLEEEDGGDPACCFLRPNRVACFRQAQQKNSQYGLNSTKAAKQAHESPSHLTSRRHPPLSTPISPRRCRCRRRHPRRRRRDPAVSFHAGVREEEAVEPDAGDQGTEARPQHLRRPDRRPPHPRRQGARAARRLVPRVVQGNSEVHGPVVRHPACREDRVLRDGQGRQGDAAAR >PVH65901 pep chromosome:PHallii_v3.1:1:7362153:7364372:1 gene:PAHAL_1G100800 transcript:PVH65901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHCLLVASCCRLQLEEEDGGDPACCFLRPNRVACFRQAQQKNSQYGLNSTKAAKQAHESPSHLTSRRHPPLSTPISPRRCRCRRRHPRRRRRDPAVSFHAGVREEEAVEPDAGDQGTEARPQHLRRPDRRPPHPRRQGARAARRLVPRVVQGEVHGPVVRHPACREDRVLRDGQGRQGDAAAR >PVH65902 pep chromosome:PHallii_v3.1:1:7362153:7364372:1 gene:PAHAL_1G100800 transcript:PVH65902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHCLLVASCCRLQLEEEDGGDPACCFLRPNRVACFRQAQQKNSQYGLNSTKAAKQAHESPSHLTSRRHPPLSTPISPRRCRCRRRHPRRRRRDPAVSFHAGVREEEAVEPDAGDQGTEARPQHLRRPDRRPPHPRRQGARAARRLVPRVVQGNSEVHGPVVRHPACREDRVLRDGQGRQGDAAAR >PVH66415 pep chromosome:PHallii_v3.1:1:43978473:43981241:1 gene:PAHAL_1G238200 transcript:PVH66415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRGRLPAAPWLVAVTLSALLLAAARPAVGAAAWCIARSGASEKALQSALDYACGPAGGADCAPIQASGLCYLPNTLAAHASYAFNSIFQRSRAAPGACDFAGTATVTLTDPSQLWIMHLPFISKHCRAIRNTRLSILHSELHV >PAN05544 pep chromosome:PHallii_v3.1:1:43978266:43981768:1 gene:PAHAL_1G238200 transcript:PAN05544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRGRLPAAPWLVAVTLSALLLAAARPAVGAAAWCIARSGASEKALQSALDYACGPAGGADCAPIQASGLCYLPNTLAAHASYAFNSIFQRSRAAPGACDFAGTATVTLTDPSYGSCTYPSSPSTAGQSGTPGSASSTPSSTFKSPPGTGGLSPPDVDSTDSYAETPPAASFLSLALSCFMYMFLQLW >PVH65622 pep chromosome:PHallii_v3.1:1:2732781:2735296:-1 gene:PAHAL_1G039900 transcript:PVH65622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNGTNVEEHIQSLKAAVSSPSATIETIVDGLSKLGNIYSCIDELICFPSSQRQQRKAVEEELERSLVLLDLCKAIQESFAELRTSLQEMQLGLKRGDDVAVQAKAQSYARLVKKAQKQLKKINIKVVLDTDSCRVVKLLSEAREIALSMLESTLYLLSKEILMPSASKWSLVSKAFQKKRVACKEEQLQVLELEIVDLESELQIVFRRLIQCRVSLLNTLSM >PVH65623 pep chromosome:PHallii_v3.1:1:2732934:2733647:-1 gene:PAHAL_1G039900 transcript:PVH65623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNGTNVEEHIQSLKAAVSSPSATIETIVDGLSKLGNIYSCIDELICFPSSQRQQRKAVEEELERSLVLLDLCKAIQESFAELRTSLQEMQLGLKRGDDVAVQAKAQSYARLVKKAQKQLKKINIKVVLDTDSCRVVKLLSEAREIALSMLESTLYLLSKEILMPSASKWSLVSKAFQKKRVACKEEQLQVLELEIVDLESELQIVFRRLIQCRVSLLNTLSM >PVH65621 pep chromosome:PHallii_v3.1:1:2732781:2735889:-1 gene:PAHAL_1G039900 transcript:PVH65621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNGTNVEEHIQSLKAAVSSPSATIETIVDGLSKLGNIYSCIDELICFPSSQRQQRKAVEEELERSLVLLDLCKAIQESFAELRTSLQEMQLGLKRGDDVAVQAKAQSYARLVKKAQKQLKKINIKVVLDTDSCRVVKLLSEAREIALSMLESTLYLLSKEILMPSASKWSLVSKAFQKKRVACKEEQLQVLELEIVDLESELQIVFRRLIQCRVSLLNTLSM >PVH65610 pep chromosome:PHallii_v3.1:1:2569144:2569967:1 gene:PAHAL_1G037600 transcript:PVH65610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLIRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKAKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVSEP >PAN06477 pep chromosome:PHallii_v3.1:1:46972939:46978671:1 gene:PAHAL_1G266500 transcript:PAN06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MLPYFDPEYENFNQRINPPRVCIDNTTCSDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKVTDDKTIKYIEKALGPESNLLGAKGSNSPGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGQAIDDPCRVSRIETRLRHVLRGYGDGGGDDGCRGALANFAVGSAPHHVDRRLHQLMHADVDVDGDGDGAPAAGGEGGSRPVVTVEHCEEKSYSVVNVRCRDRSKLLFDIVCTLTDMQYVVFHAAVSSEANYGIQELYIRRKDGKTLLKDEAERVIRCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQATNVFYVRNPSGQPVDMKTIEGLRGRVGQTVMLNVKSVPAAVAKAPEPGSGGMARTSFFSFGSLFAKLRA >PAN06478 pep chromosome:PHallii_v3.1:1:46973469:46978671:1 gene:PAHAL_1G266500 transcript:PAN06478 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MLPYFDPEYENFNQRINPPRVCIDNTTCSDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKVTDDKTIKYIEKALGPESNLLGAKGSNSPGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGQAIDDPCRVSRIETRLRHVLRGYGDGGGDDGCRGALANFAVGSAPHHVDRRLHQLMHADVDVDGDGDGAPAAGGEGGSRPVVTVEHCEEKSYSVVNVRCRDRSKLLFDIVCTLTDMQYVVFHAAVSSEANYGIQELYIRRKDGKTLLKDEAERVIRCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQATNVFYVRNPSGQPVDMKTIEGLRGRVGQTVMLNVKSVPAAVAKAPEPGSGGMARTSFFSFGSLFAKLRA >PAN06475 pep chromosome:PHallii_v3.1:1:46972939:46978671:1 gene:PAHAL_1G266500 transcript:PAN06475 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MLPYFDPEYENFNQRINPPRVCIDNTTCSDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKVTDDKTIKYIEKALGPESNLLGAKGSNSPGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGQAIDDPCRVSRIETRLRHVLRGYGDGGGDDGCRGALANFAVGSAPHHVDRRLHQLMHADVDVDGDGDGAPAAGGEGGSRPVVTVEHCEEKSYSVVNVRCRDRSKLLFDIVCTLTDMQYVVFHAAVSSEANYGIQELYIRRKDGKTLLKDEAERVIRCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQATNVFYVRNPSGQPVDMKTIEGLRGRVGQTVMLNVKSVPAAVAKAPEPGSGGMARTSFFSFGSLFAKLRA >PAN06476 pep chromosome:PHallii_v3.1:1:46974792:46978671:1 gene:PAHAL_1G266500 transcript:PAN06476 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MLPYFDPEYENFNQRINPPRVCIDNTTCSDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKVTDDKTIKYIEKALGPESNLLGAKGSNSPGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGQAIDDPCRVSRIETRLRHVLRGYGDGGGDDGCRGALANFAVGSAPHHVDRRLHQLMHADVDVDGDGDGAPAAGGEGGSRPVVTVEHCEEKSYSVVNVRCRDRSKLLFDIVCTLTDMQYVVFHAAVSSEANYGIQELYIRRKDGKTLLKDEAERVIRCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQATNVFYVRNPSGQPVDMKTIEGLRGRVGQTVMLNVKSVPAAVAKAPEPGSGGMARTSFFSFGSLFAKLRA >PAN06528 pep chromosome:PHallii_v3.1:1:47206459:47209913:-1 gene:PAHAL_1G269700 transcript:PAN06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVGQRFSGWSCSDLPYNDHSTQDSSVQQMVLNHGSVSFGRFAAESLSWEKRSVFEHNRRQEELSKLTAPGLVAQKKAFFEEYYKRARQLKARGAMHQTEATMDERNDDSTLGHSSQVDELPADMSEDPVASAPSSISEPITEVSSSDERKCQDGHELGYLTFNPLFSQTASMQNIQEEERSSSGQKKYLDQEFPCAAQTSSNHGFSCEAIERKILAPKRVVSNDNGESNAAGSRIVLPVASLQPEGLDVDHDKRAARKSVSIVNRSMKILKDLPTSVIHTQRVDLRSNSENRPSQDLKDPFHKRVEMKLRALSDRLDADRTAASSRSASYQHPDRAITSCRSSYRNNDRAATSSRSSLRQNTNRVLAPSKLAAQASQKYLNGVQRSNALPRGVSFNKGSSASYVASGNSTATGKLAAKTLVMPSSSQVSARTSKTAQVTLKRSAGLTSINDGSKNKRKQLSTPAALDENSRKRGYVRASASPSASPLCRSSSENSPHTAKAPKTTNMPNVVSKTEPAQKSRSASHTVGARRTTTKRSVTSEQNRKVLRSGGGRLAESGTSNPKGGPSLTKNKPRQERPRWR >PAN06527 pep chromosome:PHallii_v3.1:1:47205919:47210774:-1 gene:PAHAL_1G269700 transcript:PAN06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVGQRFSGWSCSDLPYNDHSTQDSSVQQMVLNHGSVSFGRFAAESLSWEKRSVFEHNRRQEELSKLTAPGLVAQKKAFFEEYYKRARQLKARGAMHQTEATMDERNDDSTLGHSSQVDELPADMSEDPVASAPSSISEPITEVSSSDERKCQDGHELGYLTFNPLFSQTASMQNIQEEERSSSGQKKYLDQEFPCAAQTSSNHGFSCEAIERKILAPKRVVSNDNGESNAAGSRIVLPVASLQPEGLDVDHDKRAARKSVSIVNRSMKILKDLPTSVIHTQRVDLRSNSENRPSQDLKDPFHKRVEMKLRALSDRLDADRTAASSRSASYQHPDRAITSCRSSYRNNDRAATSSRSSLRQNTNRVLAPSKLAAQASQKYLNGVQRSNALPRGVSFNKGSSASYVASGNSTATGKLAAKTLVMPSSSQVSARTSKTAQVTLKRSAGLTSINDGSKNKRKQLSTPAALDENSRKRGYVRASASPSARSSSENSPHTAKAPKTTNMPNVVSKTEPAQKSRSASHTVGARRTTTKRSVTSEQNRKVLRSGGGRLAESGTSNPKGGPSLTKNKPRQERPRWR >PAN08347 pep chromosome:PHallii_v3.1:1:56311156:56313196:-1 gene:PAHAL_1G406300 transcript:PAN08347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGFEKEILRAGTGPKPTKGQKVTVHCTGYGKDRDLSKKFWSTKDPGQQPFSFNIGLGSVIKGWDEGVMTMQVGEVARIQCTPDYAYGAGGFPDWGIQPNSVLLFEIEVLGAQ >PVH65484 pep chromosome:PHallii_v3.1:1:572106:573904:-1 gene:PAHAL_1G005800 transcript:PVH65484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEPPPPSGTGFFGMLSFRRSATAVASFDPAQDDELLALDALQAHVADRLQALSAHASSPASPALSLPFLSKLLDAVLSSDAAFRAVLAVGPVAAALARPPADRLAADLLDRAVKTLDVLNAASFTLASLRAAHRAALTAATCLLAPALHRAHLARARRAIARLFSDDAKAGGGGSAPSPSSRTMRALSFSVSKNWSAGRHMNAMAAHLAPPPQAPAPAAGAGCGLGLALYTMSSVLVFAMWALVAAVPCQDRSSAAISPPVTPPKQAQWAAHMSALQERIAEEWRRREKKGSSSGPAPTAGLLAEMQAVERGARDLNSLLEEIAEEEEEEEHGIVGEERAREVTERAEELAAACRALEDGLAPLERQVRAVFHRVVACRAEVVRCIDHSSRTATANAAASASGVPPQHQHSF >PAN04233 pep chromosome:PHallii_v3.1:1:3446451:3449007:1 gene:PAHAL_1G050700 transcript:PAN04233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAAASPDENPVQQAPPHVGVPPIEGPAHAVAPNPGGRRPDERPIQQAPHAVVALEESSGQQSPNSSGRRPSQEARDGDRRDEGPDRRLSPTTGSSPRPAQRRPPGDGHGLGSLSWLEFFGFLFLSYNSGMAVYQSWGDVAAISVVAFMFVNIASLVVCLKLFQAAPPNSTGRNRLRASAWLLTMSLTFGYVYQVIGTATRPTLQVALLQWAMPAATGIAVFILFCQEDRSAPPLAEELLDVV >PVH66342 pep chromosome:PHallii_v3.1:1:36430032:36430787:-1 gene:PAHAL_1G215300 transcript:PVH66342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEVDVVGYHLVDTIEAAAPEAIRIFYNQHPMEVAGYPIGLFPTIDSSDPEWNFRIAHYGHLLGDSAKETIRGIIRFMNVQHHYQILLRRSIGQLTGVAQGHYRNADRQVTQIVELQALVTEKEEIITAREEAILHREDQINESDAINSQHNTIIEFLQEQIHDLILEADDVHAHIEELQQQQVPPAAPIVPEGGEEDLEEIEGVSDLDSEHGDPEPNPQPDYSSSGSQSSVGNLDDF >PAN07861 pep chromosome:PHallii_v3.1:1:53701737:53710927:1 gene:PAHAL_1G368400 transcript:PAN07861 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MIASSSLQSWLKCGSDNNLARVISLEFRRGRSKRFGSKMRFRNALGSRLFQWCSRENHTSVRKLLEVDGTSERSKLLKKVSVLMGYSNAQDLVEQQRARRESATELISVFKEIDFPEISAKFPCIKIGDASPIDLYEDSTNMTCKETVLSENLTNFIRGSGGNLKTAYEFPNECHPLRQTPTTADDASITEETSLMAHHISQEPAVDKEARPESLADATTSDNSMLDKSIRCLPGTTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGPIEDGQYIMLFGTVISSRGIKVKSTLGFLEVVVGCSIVETELSSSVKSSHSDAEQKTIHLHLKKFFSGTRFSSQYFLNCMSAKHKEGDLVYVSGKVKKALANGHYELKEYTIDGLEGEGEQSSMLDRRPHPIYPSKAGLKPNLLGLSISRALKLLTPDVDPMPPDVLVEFNLPNLFDAYMGIHKPKDRNEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLYKCKNHELNTVGVDDWSPRTKKLLKALPYSLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVVSSGFQAAFMVPTEVLAVQHYEHLTSLLNKFDGDDKPNIALLTGSTSTRESRIIRNGLKTGDIAMVIGTHSLIADKTDFSALRISVIDEQQRFGVVQRGRFNSKLYTSSSKLSDENASSDEASDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQIMDLPPGRQPIETLALEGNDAGFKTVFQMMRDELIDGGKVYLVYPIIDESEHLPQLRAATAEFDSIKEKFEGYPCGLLHGRMRSDEKDEALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGERKSRCIFLASTPSTLPRLKVLEKSADGFYLANADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGGILQEAHHAALKVLAASNDLALYPRLKVELSMRQPLCILGD >PAN08634 pep chromosome:PHallii_v3.1:1:57723393:57724090:-1 gene:PAHAL_1G426400 transcript:PAN08634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIRVGRRGPGADGELGRLRWRRREGWRPSGDSAITGSGVVNSGAATLIWVSENRMVQRRSQIRGSLAVFAELK >PAN08451 pep chromosome:PHallii_v3.1:1:56717649:56733321:-1 gene:PAHAL_1G413900 transcript:PAN08451 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MEAAAADLLAALSSPSSHAGLRSRFEAYLQPFTPYLPTANPNPKPPPKRATKQNKQPPPPPDAATLRPIVKRFLPFIARALQLLPPLVRASPGSGDAGGGAPDELLEIYGLLLDCLEAISPCLAGKPYSVLLQRGRFVCCLESRGHLARANAEAAAALDALRSSLSPPTTSTKSRRGAASVAPILLPDPGSAGDAGADPEVTILAVELTVCLANCASKGNVREAAPYERVLSLFEQLQPWLRILADDVSTKYRTLLVNAMSRCTLFLVSQSSSFNTDDLVHKFCVSTIQEYAKAQMIERLPAVARKICSSVDFSWGGSTKLLLHVLKNVADSVVCVKAVLPKAVNEFLVFVSYFARCILSADRDLCIGASELLYEQGGYFPEVSSPTASVLRLYATGLYYCTQKEGSETSCLSADILNHQKYLQALDKAVGSLAHMSHDSISLLTYLDSMEFVCKVLWQHANAVWKSFSEGEAIHYSGNMDSILTTLHQFIDSSLKAYSCTKMSERDNERLLEQRGTLLRTLVSTTKISLVTNKNVKESLAAINSAISSKWITLEERKFLISSLGNIGVTLYNTGHDKEAPKALELCCHAIWAHVRFSYRGLSSRTEENRTMEHLPKDTLKDIIFDAFARLAKMVEILHRCGSKRTHEIIAMSLSKLLADDMSEYFDSSLILIKLWVKITCKDFEGNQGVDRPPLLYHSLLDCLSPIPRKLIGLILEQELLAYGLMETRGSKFCAAMQIRVIDVLLDKIYYSEEHCLQRSRFLIRKAGALRACGAQNIESCLKSLSEAISLLKTISEDSSQSNTTVINQLAIAQCLYAHCTLEGNPGCEVIFKNINSALSSWSKVETFDYSSPGSVLQRPSQTIVPLLCSLVDLLAMKGCFKLQFDLCELMIKIWKQENLPLEKIFCFLFTSGRLSHAYCHLPLDKEFISKAAEHLGVDCHHTDFWRNCFEGERPSLFMFLQRMLLSDLFFPESCEQYLRSDVSVDEVNKAALSLVSEATSNDQATFLAGYLYYDLSERLFSCGQILQAFSYGKEALHLRKKLLKKKFKINLGSSGNMESQCCGQDFSSLEAWGPTIAEIWPDSSNSTSTRDSFLTSWSVLRCYLESTLQVAMMHELIGNGTEAEVLLRTGKEISNFHGLSVFRIAFTSLLGQLYSKRQLWDEADSELKNAQDLLLEHDAIVSCKLCKLTLEVSVDMKVGDLFWSRFENDFQKLSTVNLPMALGMYRSALEKLNSTDMEFLTGSFDSLKTACHVCSRDCIISTEHGVCNGKEPVVSKDGMLLPCTVCVLLRQASVDHCNKPTTSKARMKITRNAEAGPPLDVKTKRTSRNSSRLAKEQNAETNAKTRTRSSKRTVHVKGDGLPSDALVCGESECFPGGIDLRKDGLCNMFGCWKCLLVKSLNSGCIQNILQFRWDCVRRRYRVSLLLKIARALGSHRGNYGDHEVHSVYWQCISMLYFRSFPQGCYKTYEPHLVGLITDGSTGDFFPLEHAEILCSMSFFLLKGSLSEQSRDVCCCLSSVQMSDVVTWLLKAFVLSRESPSLCQEICKLLACTFLLSMTGSSIHLPLYSQESLSLSHWAAYFHQMSVGTYHNYHYLATFQALPRKKFLKGTLEDSRSETHECVSEFLRFPSMDINHIKKDIMEFFEKLPDVPVVCISMIGGDYVDVLEEFLLLPSSFPAWMLLSRFDSACKPTTMLLPVIAISEEMQSADSSIKDLGNPMKIDKKWQCPWGYAITDYVAPIFKNILEENFVSLSSATITTNAVQADHVRWWSHRMKLNNYLDSTLKNMEKSWFGPWKCLLLGHQLSDKDIDAASASIITGLETKFEVNPALIKAILGGALSVDEVQECVYQLILYKGYFGRGGCCGKDRLRAFSSCQIEDEALETLKCLITNALYELPEPADRDPVILVLDVNVQMLPWENMPVLRNQEIYRMPSIGSIFLALSRNKDDNAIAPPFPVIDPSNTFYLLNPSGDLSSTQEEFHELFRNYEWKGMAGAWDGQKTNELVLALTNHDLFLYFGHGSGTQYVSGKEIEKLNNCAAALLMGCSSGTLHCKGSYAPRGAPLSYLFAGSPAVIANLWDVSDKDIDRFSKALLNSWLQENFTDGNNCSKCSLLTQEFESMNIASKDNGRTRRKGTRAKKPQQVNDSTKCCSCRQRRIASYLSEARRACRLPFLIGASPVCYGVPTIIRKKVMTDSATGDKR >PVH67030 pep chromosome:PHallii_v3.1:1:56723260:56733321:-1 gene:PAHAL_1G413900 transcript:PVH67030 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MEAAAADLLAALSSPSSHAGLRSRFEAYLQPFTPYLPTANPNPKPPPKRATKQNKQPPPPPDAATLRPIVKRFLPFIARALQLLPPLVRASPGSGDAGGGAPDELLEIYGLLLDCLEAISPCLAGKPYSVLLQRGRFVCCLESRGHLARANAEAAAALDALRSSLSPPTTSTKSRRGAASVAPILLPDPGSAGDAGADPEVTILAVELTVCLANCASKGNVREAAPYERVLSLFEQLQPWLRILADDVSTKYRTLLVNAMSRCTLFLVSQSSSFNTDDLVHKFCVSTIQEYAKAQMIERLPAVARKICSSVDFSWGGSTKLLLHVLKNVADSVVCVKAVLPKAVNEFLVFVSYFARCILSADRDLCIGASELLYEQGGYFPEVSSPTASVLRLYATGLYYCTQKEGSETSCLSADILNHQKYLQALDKAVGSLAHMSHDSISLLTYLDSMEFVCKVLWQHANAVWKSFSEGEAIHYSGNMDSILTTLHQFIDSSLKAYSCTKMSERDNERLLEQRGTLLRTLVSTTKISLVTNKNVKESLAAINSAISSKWITLEERKFLISSLGNIGVTLYNTGHDKEAPKALELCCHAIWAHVRFSYRGLSSRTEENRTMEHLPKDTLKDIIFDAFARLAKMVEILHRCGSKRTHEIIAMSLSKLLADDMSEYFDSSLILIKLWVKITCKDFEGNQGVDRPPLLYHSLLDCLSPIPRKLIGLILEQELLAYGLMETRGSKFCAAMQIRVIDVLLDKIYYSEEHCLQRSRFLIRKAGALRACGAQNIESCLKSLSEAISLLKTISEDSSQSNTTVINQLAIAQCLYAHCTLEGNPGCEVIFKNINSALSSWSKVETFDYSSPGSVLQRPSQTIVPLLCSLVDLLAMKGCFKLQFDLCELMIKIWKQENLPLEKIFCFLFTSGRLSHAYCHLPLDKEFISKAAEHLGVDCHHTDFWRNCFEGERPSLFMFLQRMLLSDLFFPESCEQYLRSDVSVDEVNKAALSLVSEATSNDQATFLAGYLYYDLSERLFSCGQILQAFSYGKEALHLRKKLLKKKFKINLGSSGNMESQCCGQDFSSLEAWGPTIAEIWPDSSNSTSTRDSFLTSWSVLRCYLESTLQVAMMHELIGNGTEAEVLLRTGKEISNFHGLSVFRIAFTSLLGQLYSKRQLWDEADSELKNAQDLLLEHDAIVSCKLCKLTLEVSVDMKVGDLFWSRFENDFQKLSTVNLPMALGMYRSALEKLNSTDMEFLTGSFDSLKTACHVCSRDCIISTEHGVCNGKEPVVSKDGMLLPCTVCVLLRQASVDHCNKPTTSKARMKITRNAEAGPPLDVKTKRTSRNSSRLAKEQNAETNAKTRTRSSKRTVHVKGDGLPSDALVCGESECFPGGIDLRKDGLCNMFGCWKCLLVKSLNSGCIQNILQFRWDCVRRRYRVSLLLKIARALGSHRGNYGDHEVHSVYWQCISMLYFRSFPQGCYKTYEPHLVGLITDGSTGDFFPLEHAEILCSMSFFLLKGSLSEQSRDVCCCLSSVQMSDVVTWLLKAFVLSRESPSLCQEICKLLACTFLLSMTGSSIHLPLYSQESLSLSHWAAYFHQMSVGTYHNYHYLATFQALPRKKFLKGTLEDSRSETHECVSEFLSLYTKPVQSCLGLAWQWILNPCWHCHITVMCVSTDNWLNSHSHFPFSFQSKSLA >PVH67032 pep chromosome:PHallii_v3.1:1:56724994:56733322:-1 gene:PAHAL_1G413900 transcript:PVH67032 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MEAAAADLLAALSSPSSHAGLRSRFEAYLQPFTPYLPTANPNPKPPPKRATKQNKQPPPPPDAATLRPIVKRFLPFIARALQLLPPLVRASPGSGDAGGGAPDELLEIYGLLLDCLEAISPCLAGKPYSVLLQRGRFVCCLESRGHLARANAEAAAALDALRSSLSPPTTSTKSRRGAASVAPILLPDPGSAGDAGADPEVTILAVELTVCLANCASKGNVREAAPYERVLSLFEQLQPWLRILADDVSTKYRTLLVNAMSRCTLFLVSQSSSFNTDDLVHKFCVSTIQEYAKAQMIERLPAVARKICSSVDFSWGGSTKLLLHVLKNVADSVVCVKAVLPKAVNEFLVFVSYFARCILSADRDLCIGASELLYEQGGYFPEVSSPTASVLRLYATGLYYCTQKEGSETSCLSADILNHQKYLQALDKAVGSLAHMSHDSISLLTYLDSMEFVCKVLWQHANAVWKSFSEGEAIHYSGNMDSILTTLHQFIDSSLKAYSCTKMSERDNERLLEQRGTLLRTLVSTTKISLVTNKNVKESLAAINSAISSKWITLEERKFLISSLGNIGVTLYNTGHDKEAPKALELCCHAIWAHVRFSYRGLSSRTEENRTMEHLPKDTLKDIIFDAFARLAKMVEILHRCGSKRTHEIIAMSLSKLLADDMSEYFDSSLILIKLWVKITCKDFEGNQGVDRPPLLYHSLLDCLSPIPRKLIGLILEQELLAYGLMETRGSKFCAAMQIRVIDVLLDKIYYSEEHCLQRSRFLIRKAGALRACGAQNIESCLKSLSEAISLLKTISEDSSQSNTTVINQLAIAQCLYAHCTLEGNPGCEVIFKNINSALSSWSKVETFDYSSPGSVLQRPSQTIVPLLCSLVDLLAMKGCFKLQFDLCELMIKIWKQENLPLEKIFCFLFTSGRLSHAYCHLPLDKEFISKAAEHLGVDCHHTDFWRNCFEGERPSLFMFLQRMLLSDLFFPESCEQYLRSDVSVDEVNKAALSLVSEATSNDQATFLAGYLYYDLSERLFSCGQILQAFSYGKEALHLRKKLLKKKFKINLGSSGNMESQCCGQDFSSLEAWGPTIAEIWPDSSNSTSTRDSFLTSWSVLRCYLESTLQVAMMHELIGNGTEAEVLLRTGKEISNFHGLSVFRIAFTSLLGQLYSKRQLWDEADSELKNAQDLLLEHDAIVSCKLCKLTLEVSVDMKVGDLFWSRFENDFQKLSTVNLPMALGMYRSALEKLNSTDMEFLTGSFDSLKTACHVCSRDCIISTEHGVCNGKEPVVSKDGMLLPCTVCVLLRQASVDHCNKPTTSKARMKITRNAEAGPPLDVKTKRTSRNSSRLAKEQNAETNAKTRTRSSKRTVHVKGDGLPSDALVCGESECFPGGIDLRKDGLCNMFGCWKCLLVKSLNSGCIQNILQFRWDCVRRRYRVSLLLKIAVKISCNRCQIFCVPLWLGLTPIW >PVH67031 pep chromosome:PHallii_v3.1:1:56723626:56733321:-1 gene:PAHAL_1G413900 transcript:PVH67031 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MEAAAADLLAALSSPSSHAGLRSRFEAYLQPFTPYLPTANPNPKPPPKRATKQNKQPPPPPDAATLRPIVKRFLPFIARALQLLPPLVRASPGSGDAGGGAPDELLEIYGLLLDCLEAISPCLAGKPYSVLLQRGRFVCCLESRGHLARANAEAAAALDALRSSLSPPTTSTKSRRGAASVAPILLPDPGSAGDAGADPEVTILAVELTVCLANCASKGNVREAAPYERVLSLFEQLQPWLRILADDVSTKYRTLLVNAMSRCTLFLVSQSSSFNTDDLVHKFCVSTIQEYAKAQMIERLPAVARKICSSVDFSWGGSTKLLLHVLKNVADSVVCVKAVLPKAVNEFLVFVSYFARCILSADRDLCIGASELLYEQGGYFPEVSSPTASVLRLYATGLYYCTQKEGSETSCLSADILNHQKYLQALDKAVGSLAHMSHDSISLLTYLDSMEFVCKVLWQHANAVWKSFSEGEAIHYSGNMDSILTTLHQFIDSSLKAYSCTKMSERDNERLLEQRGTLLRTLVSTTKISLVTNKNVKESLAAINSAISSKWITLEERKFLISSLGNIGVTLYNTGHDKEAPKALELCCHAIWAHVRFSYRGLSSRTEENRTMEHLPKDTLKDIIFDAFARLAKMVEILHRCGSKRTHEIIAMSLSKLLADDMSEYFDSSLILIKLWVKITCKDFEGNQGVDRPPLLYHSLLDCLSPIPRKLIGLILEQELLAYGLMETRGSKFCAAMQIRVIDVLLDKIYYSEEHCLQRSRFLIRKAGALRACGAQNIESCLKSLSEAISLLKTISEDSSQSNTTVINQLAIAQCLYAHCTLEGNPGCEVIFKNINSALSSWSKVETFDYSSPGSVLQRPSQTIVPLLCSLVDLLAMKGCFKLQFDLCELMIKIWKQENLPLEKIFCFLFTSGRLSHAYCHLPLDKEFISKAAEHLGVDCHHTDFWRNCFEGERPSLFMFLQRMLLSDLFFPESCEQYLRSDVSVDEVNKAALSLVSEATSNDQATFLAGYLYYDLSERLFSCGQILQAFSYGKEALHLRKKLLKKKFKINLGSSGNMESQCCGQDFSSLEAWGPTIAEIWPDSSNSTSTRDSFLTSWSVLRCYLESTLQVAMMHELIGNGTEAEVLLRTGKEISNFHGLSVFRIAFTSLLGQLYSKRQLWDEADSELKNAQDLLLEHDAIVSCKLCKLTLEVSVDMKVGDLFWSRFENDFQKLSTVNLPMALGMYRSALEKLNSTDMEFLTGSFDSLKTACHVCSRDCIISTEHGVCNGKEPVVSKDGMLLPCTVCVLLRQASVDHCNKPTTSKARMKITRNAEAGPPLDVKTKRTSRNSSRLAKEQNAETNAKTRTRSSKRTVHVKGDGLPSDALVCGESECFPGGIDLRKDGLCNMFGCWKCLLVKSLNSGCIQNILQFRWDCVRRRYRVSLLLKIARALGSHRGNYGDHEVHSVYWQCISMLYFRSFPQGCYKTYEPHLVGLITDGSTGDFFPLEHAEILCSMSFFLLKGSLSEQSRDVCCCLSSVQMSDVVTWLLKAFVLSRESPSLCQEICKLLACTFLLSMTGSSIHLPLYSQESLSLSHWAAYFHQMSVGTYHNYHYLATFQALPRKKFLKGTLEDSRSETHECVSEFLRFKILRNKPTFSP >PVH65842 pep chromosome:PHallii_v3.1:1:6080208:6082985:1 gene:PAHAL_1G087900 transcript:PVH65842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKSILLDLDAMDTSEIEQPAPQMAHVHRASKLRLLAICSAPISAACNSDRPDLLRLDPPGPPTTLTAPLGLAAAGTRPDPRRVLVLPAGSDQVPKRTT >PAN04731 pep chromosome:PHallii_v3.1:1:6080208:6082985:1 gene:PAHAL_1G087900 transcript:PAN04731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKSILLDLDAMDTSEIEQPAPQMAHVHRASKLRLLAICSAPISAACNSDRPDLLRLDPPGPPTTLTAPLGLAAAGTRPDPRRVLVLPAGSDQVPAVPKRTT >PAN08598 pep chromosome:PHallii_v3.1:1:57508668:57510611:-1 gene:PAHAL_1G423300 transcript:PAN08598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFARASSREWQGKRRLLPVAAWSCAMAMVLALATAAGVTSTTKGENQATSTGTVGAVSRISTSAAAPPTRQNVMLICQSTPYPSACETALSSAEARSAGDPFAASVQFAIARATTAHALARNLSASTPTEPPSGMRDCVELLDITLHQLRDALAGSASDAEGARTWLSAAMTYQDTCNESLAAVPASAGRDAVRQQVGALAQFIGTALALHVSRMEGRKGTAPSAAPAPAPEGITFPSWLSEHDRRLLESPVANITPDAVVALDGSGTHRSINDAIADVTAARSRPASGGHGAGASRRVVLHVKAGRYVETVRVPNANVMLVGDGKGKTILDGRKSAGDGYTTYNSATVVVLGAGFVGKGLSIINSAGPGKGQAVALVVSGDRSVLYQCDIQAYQDTLYTQANRQFYAENDVSGTVDFIFGNAAVVFQNCGIQARKPITGQRDTITAQGRDDRNQNTGISLQKCRITGAPDLGSTPVYLGRPWRKFARVGVMESDLDGSISAAGWLEWSDPSALSTLFYGEFANTGPGAATNGRVAWNGVHASMSVAEATEFTVKNLIAGDTWLGDTGVPYASGLIE >PVH65916 pep chromosome:PHallii_v3.1:1:7623893:7626413:1 gene:PAHAL_1G103200 transcript:PVH65916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFLGWVLIRLSGQLFVQMREHQKKASITHIGAPMESSASTVAASPRAHVVLVASPGAGHLIPMAELARRLVAHHGLAATLVTFTDLSAPNAHPTVLSSLSATQVSTVALPAVPLDDLPADTRIQTVLWELIGRSIPHLRALLRDISSTSPLAALVPDLFGSPVLPLAAELGVPAYVFFPSNATALALMRSAVELNDGAASGEYRDLPDVLRLPGGVLLRREDYADGFENSKEPAYARLIEEGRRYRAADGFLVNTFDEMELGNPEEIKQAVEQSTFPPVYPVGPLIRPSSDEDGASACLEWLDSQPTGSVVFLSFGSAGALSVEQTAELADGLEDSGHRFLWVVRMPSLDAINFAMFTGHRSDRDDPLAWLPEGFVERTSGRGLAVAAWVPQVRVLSHPATAAFVSHCGWNSTLESVASGVPMVAWPLYAEQSMNAVLLSENVGVALRPRATRADGLIAREAIAAAVRELMDGGEKGRTVRLRAGELQQAVVRACAPEGSSWQMLQEVAGKWKAAALGRQKQ >PVH66535 pep chromosome:PHallii_v3.1:1:47558980:47560448:1 gene:PAHAL_1G275300 transcript:PVH66535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNSAFSFPVPRPADPADEVVREFGPLLRIYKSGRVERPLVAPPVGPGHDAATGVHSRDVDLGACSVRLYLPQAAVAADGAGKLPVVVYVHGGGFVAESAASPGYHLFLNRLAAAGPALGVSVDYRLAPEHPLPAGYDDCLAALKWALSAADPWVAAHGDLGRVFVAGDSAGANICHHLAMHPDVRGHAAATADGTAPGRAPLKGAVLIHPWFWGSEAVGEEPRDPALRAMGAGLWFFACPGTSDMDDPRMNPMAPGAPGLGALACERVMVCAAEGDFLRWRGRAYAEAVAAARWGALEDAGGGVELLETMGEGHVFYLFKPDCDKAKEMLAKMVAFITAP >PAN03683 pep chromosome:PHallii_v3.1:1:1244782:1246867:1 gene:PAHAL_1G015200 transcript:PAN03683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAPAPARMTTVSRHYFGGGASERDHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQQRARFSGCKVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRRICALNNASCTVSGLTWGDWDEPIFDFHSDIILGADVLYDSATFDNLFATVTFLLENSPGSVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIVLDKEKHK >PAN06601 pep chromosome:PHallii_v3.1:1:47556601:47557891:1 gene:PAHAL_1G275100 transcript:PAN06601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSPTSAPDLSSLVADLVFGAADRCLCSPDLASLLVSTARFGYASVQLRHLRLHRRSPSSSYAITSYKLPSSSLGSTVLTPPSSSPAILEPIRDNHLHFGASVNPHQLRRRCFVPGLGGSSSTSALRCRRPLPPRRPYWCQSTATTSPASASSSSRSGAATRYLSSL >PVH67247 pep chromosome:PHallii_v3.1:1:59758133:59765952:-1 gene:PAHAL_1G460800 transcript:PVH67247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGAGWEGARPSPARPIPRHRNKTAGGLLVRRVVACTRNCNPLFPPLPLLPSLTALDSIHRNPLLLKPGSPSPGMGSRRGRQQQHHAAAEGSQPPHPQPAAAGRGGRAQQAPQRGGRGGNQGRPSPVHEAHHQQPRGRPGEHPARGHARGGTGTRPQQYPRAGAATGGQGSSTGSSSPLAPELRQAMEAPHALPQASPLQAGPSQSPPEIQPMEERKLEATAGHQVVPAIPSSTKSLRFPLRPGKGSVGTSCLVKANHFFAELPDRDLHQYDVSITPEVTSRVLSRAIIKELVNLHKQSYLGGRLPVYDGRKSLYTAGPLPFTSQEFHITLLDDDDGSGSERRRREFKVVIKFAARADLHRLQLFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIEFVAQLLNSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTTQATRELTFPVDEGGAMKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQHPRVRERNIIQMVKHNAYEKDDYAQEFGITISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMKNKKMVNGGKVSSWICVNFARNVQESVVREFCHELALMCQASGMDFAREPVLPPLYARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKVCQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFVVVQKRHHTRLFAHNHNDQNSIDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFSADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSVASGPAGRGPQSASRSTRAPGGSAVRPLPALKDNVKKVMFYC >PVH66302 pep chromosome:PHallii_v3.1:1:31318337:31319773:-1 gene:PAHAL_1G200400 transcript:PVH66302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTIHCLRYDDIVHKEDSMRYRVQAKNVEKVYMDDGKTKTEEFKILRQCLESEIEHYSDITKAKLIFMPVCVGKHYFVYCINLIHNRIDILDSIDYFWAGTSPKPHHQSIYDKLPIINAAFQKVTKDKFSQFDNWSRPFIDVPKQAGPNDRMFFLWKYMEF >PAN05369 pep chromosome:PHallii_v3.1:1:31318356:31319773:-1 gene:PAHAL_1G200400 transcript:PAN05369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTIHCLRYDDIVHKEDSMRYRVFLTTGFFVQAKNVEKVYMDDGKTKTEEFKILRQCLESEIEHYSDITKAKLIFMPVCVGKHYFVYCINLIHNRIDILDSIDYFWAGTSPKPHHQSIYDKLPIINAAFQKVTKDKFSQFDNWSRPFIDVPKQAGPNDRMFFLWKYMEF >PAN04398 pep chromosome:PHallii_v3.1:1:4394149:4398880:-1 gene:PAHAL_1G064200 transcript:PAN04398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVDDTATVSAAAATGGGAEPAVPSGAGQEGEEEEALKDDVYTGAAYGDLEKLHRLVEREGRSVAEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIVAKWNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTSLHWAAIRGNLESCTVLVQAGKMEDLTVQDKTGLTPAQLAADKNHQHIAFFLGNARRVHERGCGGNGYFGKLSKFGLAPLLWCIIIVLIFVYVHSIILGDYNTNMTVPFGLFSWLGVFLATAGLAMFYRCSRKDPGYISKNIRDSQNQRDDEPLLKRGLDNPELLDGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKGNILKTTLIGPAGTEEQENIVLPLVDKLHILFGYPILKINEKS >PAN04396 pep chromosome:PHallii_v3.1:1:4393804:4398880:-1 gene:PAHAL_1G064200 transcript:PAN04396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVDDTATVSAAAATGGGAEPAVPSGAGQEGEEEEALKDDVYTGAAYGDLEKLHRLVEREGRSVAEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIVAKWNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTSLHWAAIRGNLESCTVLVQAGKMEDLTVQDKTGLTPAQLAADKNHQHIAFFLGNARRVHERGCGGNGYFGKLSKFGLAPLLWCIIIVLIFVYVHSIILGDYNTNMTVPFGLFSWLGVFLATAGLAMFYRCSRKDPGYISKNIRDSQNQRDDEPLLKRGLDNPELLDGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKRNKWEFFMFLLLEVSAMIITGVTAIIRSVQDPASPASFSGWLGYTAINHSWVVSFVIMDFLLFFGVITLTVIQASQV >PAN04399 pep chromosome:PHallii_v3.1:1:4394149:4398880:-1 gene:PAHAL_1G064200 transcript:PAN04399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVDDTATVSAAAATGGGAEPAVPSGAGQEGEEEEALKDDVYTGAAYGDLEKLHRLVEREGRSVAEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIVAKWNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTSLHWAAIRGNLESCTVLVQAGKMEDLTVQDKTGLTPAQLAADKNHQHIAFFLGNARRVHERGCGGNGYFGKLSKFGLAPLLWCIIIVLIFVYVHSIILGDYNTNMTVPFGLFSWLGVFLATAGLAMFYRCSRKDPGYISKNIRDSQNQRDDEPLLKRGLDNPELLDGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKGNILKTTLIGPAGTEEQENIVLPLVDKLHILFGYPILKINEKS >PAN04397 pep chromosome:PHallii_v3.1:1:4392420:4398999:-1 gene:PAHAL_1G064200 transcript:PAN04397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVDDTATVSAAAATGGGAEPAVPSGAGQEGEEEEALKDDVYTGAAYGDLEKLHRLVEREGRSVAEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIVAKWNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTSLHWAAIRGNLESCTVLVQAGKMEDLTVQDKTGLTPAQLAADKNHQHIAFFLGNARRVHERGCGGNGYFGKLSKFGLAPLLWCIIIVLIFVYVHSIILGDYNTNMTVPFGLFSWLGVFLATAGLAMFYRCSRKDPGYISKNIRDSQNQRDDEPLLKRGLDNPELLDGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKRNKWEFFMFLLLEVSAMIITGVTAIIRSVQDPASPASFSGWLGYTAINHSWVVSFVIMDFLLFFGVITLTVIQASQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGVRKNCSDFFLKGYNEDIERVVQTLQPDEEMGPIQTSAVLQNGESVPLHVNGTDHSSTDSQVNSKSHSQSSAKCCSHNKKSERTPLGLGLGLGCSNPSSRYVRSLLPL >PAN04393 pep chromosome:PHallii_v3.1:1:4392421:4399000:-1 gene:PAHAL_1G064200 transcript:PAN04393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVDDTATVSAAAATGGGAEPAVPSGAGQEGEEEEALKDDVYTGAAYGDLEKLHRLVEREGRSVAEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIVAKWNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTSLHWAAIRGNLESCTVLVQAGKMEDLTVQDKTGLTPAQLAADKNHQHIAFFLGNARRVHERGCGGNGYFGKLSKFGLAPLLWCIIIVLIFVYVHSIILGDYNTNMTVPFGLFSWLGVFLATAGLAMFYRCSRKDPGYISKNIRDSQNQRDDEPLLKRGLDNPELLDGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKEVYKTQLLQHHLVDGLAIQLLTIPGWCLLS >PAN04392 pep chromosome:PHallii_v3.1:1:4392421:4398999:-1 gene:PAHAL_1G064200 transcript:PAN04392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVDDTATVSAAAATGGGAEPAVPSGAGQEGEEEEALKDDVYTGAAYGDLEKLHRLVEREGRSVAEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIVAKWNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTSLHWAAIRGNLESCTVLVQAGKMEDLTVQDKTGLTPAQLAADKNHQHIAFFLGNARRVHERGCGGNGYFGKLSKFGLAPLLWCIIIVLIFVYVHSIILGDYNTNMTVPFGLFSWLGVFLATAGLAMFYRCSRKDPGYISKNIRDSQNQRDDEPLLKRGLDNPELLDGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKEVYKTQLLQHHLVDGLAIQLLTIPGWCLLS >PVH66279 pep chromosome:PHallii_v3.1:1:28951483:28951794:-1 gene:PAHAL_1G193100 transcript:PVH66279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLMADSHGGRSGEARRAARQAGGGWAARRGEEGGRRGWGEAGRRGWREAAVLHGEWMGGGARRRDDLAGGRSPCCMAPTRLEGGGGAGRQEIIKGIQIITI >PAN09077 pep chromosome:PHallii_v3.1:1:59590229:59593059:-1 gene:PAHAL_1G456800 transcript:PAN09077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLPPLAKTPLLSLFPAFPRPPPQPRPLHVFVPAAVAGRGGAGENAAAASGTTARERRLVKVREERRRREYDREHTYPGWAKVLENACRDDDELRAILGDSIGNPELMKQRIQERVRKKGRAQFNKSKTGSIVAFKVSFRDFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMLDYDPSYDSDEASSVMPSSFHDISDVEFQDNWARVWVDLGTSDYLALDVLLNCLTQLSSEHLGIKQVVFGGKRMGDWEEGMTSSDYGYKHFKI >PVH65799 pep chromosome:PHallii_v3.1:1:5437561:5437812:-1 gene:PAHAL_1G078900 transcript:PVH65799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYCLPCAPSPPFRQSSTPCHRLMCSFLPPVLAFTPCHMVLTSIGFDSSKTICKLSFLWKKVILVLVNSNVIYPCLRSYWCP >PVH65933 pep chromosome:PHallii_v3.1:1:8055082:8055630:-1 gene:PAHAL_1G107900 transcript:PVH65933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNFEICPGSDPLDIRRSSLPSRTAAPALLPSGGAALARPSPTPATLDLLAPPPLRDPPLPETATLRPPLTLLPPAPPPCAALPSPAPAGLPPPAPRPCGPPRTARAPPLPSGTAAPAPVLHRRRRPCTARPSYPPETLDLPPPTPPPLRGPPLPATATYAALPSPFSHQRRRPARPSSHR >PAN09147 pep chromosome:PHallii_v3.1:1:59862181:59865414:1 gene:PAHAL_1G462600 transcript:PAN09147 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35020 [Source:Projected from Arabidopsis thaliana (AT4G35020) UniProtKB/TrEMBL;Acc:Q5PNX9] MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKRKKKKAQKGCVIL >PAN04318 pep chromosome:PHallii_v3.1:1:3878042:3879976:-1 gene:PAHAL_1G057400 transcript:PAN04318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEAPPPLSSARAEYVLRGACAAMAAAGALLLGLSAQTKTVLFVQKKAVPKDVQALWVLIVAASAAAGYHVVQLARCLYMARLSTAGAGGGSRRLSREIACVSFLLDKGCAYMVFATTVAALQACFVGLIGVEALQWSKLCNIYTRFCEQAAAGMVCSMLAAGGMAILAAFSARELFRRPDASSAAGALGWNGSQGSSSEL >PAN05601 pep chromosome:PHallii_v3.1:1:23930344:23940554:1 gene:PAHAL_1G173600 transcript:PAN05601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPRRCQLLQLGLALAVLLAATPAAEAWTGEIRGRVVCDVCGDAAIGPEDHALEGAEVAVLCITKSGEVINYQAFTNSKGTYTVAETMPESDRWESCLARPISSFHQHCTRRGDTHSGVKFTYSKPSGNSHTVKTFLYKPANAPLYCS >PVH65840 pep chromosome:PHallii_v3.1:1:6016485:6018120:-1 gene:PAHAL_1G086800 transcript:PVH65840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRRLSPGRSSAMTRPWRSLLRPHATPRHWHTGMDWLPQDANPLDGSLFMCALKDKSATRSVALLVEALWVSGRDGSPGVAPAEQHTTRRKVKTNGNATMGFIIDAL >PVH67223 pep chromosome:PHallii_v3.1:1:59603666:59604634:1 gene:PAHAL_1G457100 transcript:PVH67223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCRPCVRLQPQREARCLVCGLHPISCPRSLSFSTAPRELPVPSLPFPSLRDRVAREVKARLRPDSGASPLPSGGLVGAGGVGEAGSTGCLYIFSFRYLFKDPHNSSHEGFSWFLVSIGICCDDGEAKFLFLFFISLFISVSCAQSCIVGCFILAAGEYLSSDTNSDERR >PVH67111 pep chromosome:PHallii_v3.1:1:57995378:57996414:1 gene:PAHAL_1G430500 transcript:PVH67111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAGAKLPPALLISCLAVLSLLLPCTRVRETATIDGSRTSQHLNLRGSLLRGPESVAFDGAGAGPYSGVSDGRVLNGTGRGAADRPTRTARGTAPRPAPRQGFSRRNSPRASAAGLRFHYGSGDLYIADAYKGLMRVGPGGGEAKVLVTEADGVPLRFTNGVDADQVTGEVFFTDSSMNYQRSQHEKVTATGDSTGRLMKFDPRTNRVTVLQAGITYPNGLAISADRTHLVVALTGPCKLMRYWIKGPKAGTSEPLANLPGYPDNVRADVNDGFWVALHREKMELPFGPDNHMLAVRINADGQVVQVMRGPKSVRPTEVVEREGGKLYMGSVELPYIAVVNL >PVH66856 pep chromosome:PHallii_v3.1:1:53728046:53729085:1 gene:PAHAL_1G368900 transcript:PVH66856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRAFSIFLWPPRRRPGAYKRSALQIPRGTVRHLLIELDTCRQSTSSNTPHSSRKAEASMSLALSRMLLDRFFPDAGAGDAGRPPMDWKETRDAHVFRMDVPGLAKDQVAVELVDGRILRVRGGKRGDDDADAKDGEAAVHGEEKAEEEEAGDGAVRWHCRERPGARAFETRFRLPEDAAADEVRAAMADGVLTVTVPKRKGGGKKRHHGGNKPACCRFWP >PAN08715 pep chromosome:PHallii_v3.1:1:58069710:58073445:-1 gene:PAHAL_1G432300 transcript:PAN08715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSSVAARLAFFPPEPATYGVEPAQDGAGAGGLLRMTGVSSDAGVEVRALPTRAGTRVVSAFWRHPSARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYYDIEAVYDCLKKEYGIDEEELILYGQSVGSGPTLHLASRLEKLRGVVLHSGILSGIRVLYPVKVTLWFDIFKNIDKIKQVDCPVLVIHGTADDIVDFTHGKRLWELAKEKYEPLWVKGGGHCNLETHPEYIRHLRKFINAMEKLAKDKAPKASQVAPSSSMADVKHNKCLKFGKK >PAN04100 pep chromosome:PHallii_v3.1:1:2834775:2838758:-1 gene:PAHAL_1G042000 transcript:PAN04100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHPTRTRGRGRGKTEGRRPVRFLSHLRRCSCSCSSPRRRQRRPSTTAGRREMEIARAAAGVACSKKHQRIYAEWFALADPDGDGRVTGADATSFFGMSGLSRADLKQVWAIADSKRQGYLGFAEFVTAMQLVSLAQAGNEITQDSLKREDLSTLDPPVMEGVDEVVARSKAVVKRVHPDDNGTSQVQAPSIYHWFGSKSAQKAQMPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFANPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHVGPEPTTDRFVVVMSGSDGRTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNDSAVGPIGKDLFEREQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLEDEFAKVQREYHLPAGDFPDVEHFKQVLGGYSIDKFEKLKPKMVQAVDDMLAYDIPELLKNFRNPYE >PAN04101 pep chromosome:PHallii_v3.1:1:2834018:2838766:-1 gene:PAHAL_1G042000 transcript:PAN04101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHPTRTRGRGRGKTEGRRPVRFLSHLRRCSCSCSSPRRRQRRPSTTAGRREMEIARAAAGVACSKKHQRIYAEWFALADPDGDGRVTGADATSFFGMSGLSRADLKQVWAIADSKRQGYLGFAEFVTAMQLVSLAQAGNEITQDSLKREDLSTLDPPVMEGVDERSKAVVKRVHPDDNGTSQVQAPSIYHWFGSKSAQKAQMPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFANPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHVGPEPTTDRFVVVMSGSDGRTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNDSAVGPIGKDLFEREQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLEDEFAKVQREYHLPAGDFPDVEHFKQVLGGYSIDKFEKLKPKMVQAVDDMLAYDIPELLKNFRNPYE >PAN03840 pep chromosome:PHallii_v3.1:1:1732020:1735129:-1 gene:PAHAL_1G024300 transcript:PAN03840 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MAVVGVLALQGSYNEHLAALRRIGVRGVEVRKPEQLLGLDSLIIPGGESTTMAKLANYHNLFPALREFVSGGKPVWGTCAGLIFLANKAVGQKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPKLAEKEGGNGTCRGVFIRAPAILEVGSDVEILADCPVPANRPSIIISSGEGAEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRFFLDMDKESQAKALAALSLSSMDAEDLPKKKPLDLPIFE >PAN04122 pep chromosome:PHallii_v3.1:1:2997024:3000159:1 gene:PAHAL_1G043800 transcript:PAN04122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLISIRFDSAQLHRRRPRGAGTAAPSRHCPVAAWCRTPGFTAAFAPGRHLALASPPLAARRTAGRARTRSRCFWGGVTAGSKDQATHILHLMLASSNFWTPWSTLPGSLLSISVSEGRTVQCRTEVFQVTMYH >PVH65635 pep chromosome:PHallii_v3.1:1:2997366:2997889:1 gene:PAHAL_1G043800 transcript:PVH65635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLISIRFDSAQLHRRRPRGAGTAAPSRHCPVAAWCRTPGFTAAFAPGRHLALASPPLAARRTAGRARTRSRCFWGGVTAGSKDQATHILHLMLELDSIEQLLDPMVNSTRLFA >PAN09065 pep chromosome:PHallii_v3.1:1:59493682:59500888:-1 gene:PAHAL_1G455900 transcript:PAN09065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRILKSARESGSLNLSNRSLREIPKEVYNNLDTGSQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNKISSLPAAIGDLPLLKSLDASFNQINTLPEEIGLATALVKVDLSNNFLTELPASLAKCPDLLELKASNNTISRIPDALAGCSKLSKLDLEGNKLVTISENMFASWTMLTELNLAKNLLTTTPDSIGALPKLIRLDMHQNKITSIPPSIKGCSSLAELYMGNNLLSSIPADIGTLSKLGILDLHSNQLKEYPVGACNLKLSVLDLSNNSLSGLPAELGKMTTLRKLLLTGNPMRTLRSSLVSGPTTALLKYLRSRLSSDEEGSGSTSTPTKDDQIAAARRLSLSSKELDLSGLGVNSVPAAAWETSDVVKLDLSKNSIEDLPDELSLCSSLQSLVLSNNKIKRLPHTVISSLTNLSSLKLDNNPLAEISSTDLVSLSKLEVLDLSGNASALPEPSAVSALTQLQELYLRRMKLHEFPNGLLDLKQLRILDLSQNNLTTVPQGIKNFTALIELDLSDNNITALPAELGLLEPNLQVLKLDGNPLRSIRRTLLERGTKAILKYLKEKLPAE >PAN07586 pep chromosome:PHallii_v3.1:1:52302206:52304203:-1 gene:PAHAL_1G347800 transcript:PAN07586 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63970) UniProtKB/Swiss-Prot;Acc:Q9CAK8] MATASSLFLASSPISTAPRTRTGPAASCPPSARPSLRLRQRPSPAVAAAVQAEHQPAVAAAPKPPALPFRVGHGFDLHRLEPNLPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKLSPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >PVH66391 pep chromosome:PHallii_v3.1:1:42518384:42518710:-1 gene:PAHAL_1G232600 transcript:PVH66391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTPSSIPITSSKMEQSLNQAAPAHVFDGPINRSRAKQLQQEVHALLCEIPFINENYMLPKSCMLLLLRVTKKDDKDMPRLNQRGELHRTSSACQNCHEETVISLDS >PVH66098 pep chromosome:PHallii_v3.1:1:15964198:15964935:-1 gene:PAHAL_1G148200 transcript:PVH66098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTPYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRRHLQQTPMGFFPPAEGRGRTWIARMRGLRREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIHGIEKLTQELEEQQTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN06615 pep chromosome:PHallii_v3.1:1:47619895:47622464:1 gene:PAHAL_1G276200 transcript:PAN06615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLNTGFIVEALQSEGSEPVAYKPVVGKTQHKGEPRHINEMIGTVRAALTSMGGGDISFSPYDTAWVALLKKLDGAEGPQFPSCIDWIAKNQLPDGSWGDDAFFLVQDRLIKTLASRGVTNLPLGLPPPVRLPRGWSGPGAWSWWGLLLARWSFLELVWRTEGIRFCLSSSTYARWVSSRASSVSGHCGRLHRRNIASTMLANGV >PVH66541 pep chromosome:PHallii_v3.1:1:47619861:47623033:1 gene:PAHAL_1G276200 transcript:PVH66541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLNTGFIVEALQSEGSEPVAYKPVVGKTQHKGEPRHINEMIGTVRAALTSMGGGDISFSPYDTAWVALLKKLDGAEGPQFPSCIDWIAKNQLPDGSWGDDAFFLVQDRLIKTLASRGVTNLPLGLPPPVRLPRGWSGPGAWSWWGLLLARWSFLELVWRTEGIRFCLSSSTYARWVSSRASSVSGHCGRLHRRNIASTMLANGV >PAN06613 pep chromosome:PHallii_v3.1:1:47619873:47623033:1 gene:PAHAL_1G276200 transcript:PAN06613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLNTGFIVEALQSEGSEPVAYKPVVGKTQHKGEPRHINEMIGTVRAALTSMGGGDISFSPYDTAWVALLKKLDGAEGPQFPSCIDWIAKNQLPDGSWGDDAFFLVQDRLIKTLASRGVTNLPLGLPPPVRLPRGWSGPGAWSWWGLLLARWSFLELVWRTEGIRFCLSSSTYARWVSSRASSVSGHCGRLHRRNIASTMLANGV >PVH66663 pep chromosome:PHallii_v3.1:1:49847998:49851389:1 gene:PAHAL_1G309200 transcript:PVH66663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR1 [Source:Projected from Arabidopsis thaliana (AT5G61380) UniProtKB/Swiss-Prot;Acc:Q9LKL2] MLKYIARNKDLRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRLMLGLPEKNFFNDNFELVLSEPSDANTNSTTLLSDETDDRPKENMNQETGTSNQREYESNPSATETEQRGKTEGVPGSVVDADQASSPGRMFSRPIKTNLRVGKSSAFLAYVKSSTPVTNSFDSELQRGGSRLESFDNQGNCSSATDRSDTGTDVNIRDKEAYEMPVQYPMVCFSSSNIHMERSNEGQNDTSGTPPMYHYPFYYPGMVEHSIALSSVQNFQANMNNAQGHAPPTMIPQYNAYPQCHGVPMMPSFQFNPGGMIMHSNHLPTQNMWSSGSSTPVPEETCSRSERRAAALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQASNTDIISTGDDISEDEDDGPSSRAVEMVSSPE >PAN07077 pep chromosome:PHallii_v3.1:1:49847998:49851389:1 gene:PAHAL_1G309200 transcript:PAN07077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR1 [Source:Projected from Arabidopsis thaliana (AT5G61380) UniProtKB/Swiss-Prot;Acc:Q9LKL2] MVGGGEGDRVGGSGGAGVGVGAGQQFVDRSKVRILLCDGDATSSREVLRLLCNCSYQVTCAKSPRQVINILNYEGSEIDIILAEVDLPVTKCFKMLKYIARNKDLRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRLMLGLPEKNFFNDNFELVLSEPSDANTNSTTLLSDETDDRPKENMNQETGTSNQREYESNPSATETEQRGKTEGVPGSVVDADQASSPGRMFSRPIKTNLRVGKSSAFLAYVKSSTPVTNSFDSELQRGGSRLESFDNQGNCSSATDRSDTGTDVNIRDKEAYEMPVQYPMVCFSSSNIHMERSNEGQNDTSGTPPMYHYPFYYPGMVEHSIALSSVQNFQANMNNAQGHAPPTMIPQYNAYPQCHGVPMMPSFQFNPGGMIMHSNHLPTQNMWSSGSSTPVPEETCSRSERRAAALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQASNTDIISTGDDISEDEDDGPSSRAVEMVSSPE >PVH66863 pep chromosome:PHallii_v3.1:1:53901498:53905136:1 gene:PAHAL_1G371100 transcript:PVH66863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFAVSVGQRNSEFSVNERAGRGGASLLSPGPRSLGVPNFFLRNGVSSTGSQASRVARALLPRLSSVAGDLCPAFVFSGHNRKHREGPEKMHLSANEGIEGVRFVVTGGQGFVGAALCLELLRRGAREVRSLDLRAASPWSQQLLDAGVRIIQGDIRKKDDVGRALRGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHCVRRLVYVSTYNVVFGGKPIVNGNEASPYFPIEDHVDAYGRSKSVAEQLVLKSNGRPAKSDKSTRLYTCAIRPAAIYGPGEERHLPRILSLAKLGLAFFRIGGPDVKTDWVYIDNLVLALILASMGLLDDIPDRKGTPVAAGQAYFICDGSPCNTFEFIISPLFRSLGYAVPRVTLDTSVALAISRIFLFMSTLFYPWLDSKWIPEPLILPAEVYKVGVTHYFSFLKARNELGYVPMVSPQEGLAATISYWQEQKRRELDGPTIFTWLAVTIGMLATFSAACLPPVGPLKWVLDIHLFFFRSLLVIQLVFAAAVAAHVGEAVYAWFLAKKVDPRNAAGWFWQTFALGFFSLRYLLKRARG >PAN09146 pep chromosome:PHallii_v3.1:1:59860185:59861655:1 gene:PAHAL_1G462500 transcript:PAN09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT5G42180) UniProtKB/TrEMBL;Acc:A0A178UJR4] MASAAGIMEAALLVAAALLLVLGGGAEALSLDLYEERCPEAEAAVTAAVRQAMAKDRTVAAGLLRMHFHDCFVRGCDGSVLLDSTANVTAEKDGPPNVSLHAFYVIDNAKAALEALCPGVVSCADILALAARDAVALSGGPSWVVPVGRRDGRVSLAAETSTLPGPRASFEQLKQAFHARGLSTKDLVVLSGGHTLGFAHCSSFQDRIHPDKAQQQDPSLSPSFAASLRRACPANNTARAAGSGLDATSAAFDNTYYRMLQGGRGLLASDEALLTHPKTRAWVALYAASQEAFFRAFAKSMLRMGGLNGGDEVRANCRRVNQ >PAN05721 pep chromosome:PHallii_v3.1:1:17820404:17825055:-1 gene:PAHAL_1G154000 transcript:PAN05721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAASVATLLLAISLLLPLRLLSHALRPRFSHPSAAALLTVAALVSAICAAPDPGALPGVATSADADADALRSEIEALRLKVAQLESLLEALNSKSTILEEDNKLIEAMERDIQLLMDGPESTKDSKTKSYSAGNIKSMEDEVQQLQQEVSKINKNSDTIESLAHDTERRVETLSSEVKKIEDIIAEQWIQIRQFEQAFVLTKMMASKVHERSRPSEMVYKWPGKETILKRSIIRKVLFFSYI >PAN05718 pep chromosome:PHallii_v3.1:1:17819571:17824939:-1 gene:PAHAL_1G154000 transcript:PAN05718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAASVATLLLAISLLLPLRLLSHALRPRFSHPSAAALLTVAALVSAICAAPDPGALPGVATSADADADALRSEIEALRLKVAQLESLLEALNSKSTILEEDNKLIEAMERDIQLLMDGPESTKDSKTKSYSAGNIKSMEDEVQQLQQEVSKINKNSDTIESLAHDTERRVETLSSEVKKIEDIIAEQWIQIRQFEQAFVLTKMMASKVHERSRPSEMVYKWPGKETILKAYRFRKAIRRQYIPDTDRPDVFFLGGSVSRSSIAIPYNQFKFFISSTQKFHHKVQVFLHDALQSNRYSRGLANEPVTFILAYLLVVSPMWMAWFLYSTRFGSRK >PAN05720 pep chromosome:PHallii_v3.1:1:17819339:17825055:-1 gene:PAHAL_1G154000 transcript:PAN05720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAASVATLLLAISLLLPLRLLSHALRPRFSHPSAAALLTVAALVSAICAAPDPGALPGVATSADADADALRSEIEALRLKVAQLESLLEALNSKSTILEEDNKLIEAMERDIQLLMDGPESTKDSKTKSYSAGNIKSMEDEVQQLQQEVSKINKNSDTIESLAHDTERRVETLSSEVKKMMASKVHERSRPSEMVYKWPGKETILKYAADMNLNDIFLRGASYARSCFSHTYKESSSFLQEINRYYHEAYRFRKAIRRQYIPDTDRPDVFFLGGSVSRSSIAIPYNQFKFFISSTQKFHHKVQVFLHDALQSNRYSRGLANEPVTFILAYLLVVSPMWMAWFLYSTRFGSRK >PAN05719 pep chromosome:PHallii_v3.1:1:17819571:17824939:-1 gene:PAHAL_1G154000 transcript:PAN05719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAASVATLLLAISLLLPLRLLSHALRPRFSHPSAAALLTVAALVSAICAAPDPGALPGVATSADADADALRSEIEALRLKVAQLESLLEALNSKSTILEEDNKLIEAMERDIQLLMDGPESTKDSKTKSYSAGNIKSMEDEVQQLQQEVSKINKNSDTIESLAHDTERRVETLSSEVKKIEDIIAEQWIQIRQFEQAFVLTKMMASKVHERSRPSEMVYKWPGKETILKYAADMNLNDIFLRGASYARSCFSHTYKESSSFLQEINRYYHEAYRFRKAIRRQYIPDTDRPDVFFLGGSVSRSSIAIPYNQFKFFISSTQKFHHKVQVFLHDALQSNRYSRGLANEPVTFILAYLLVVSPMWMAWFLYSTRFGSRK >PVH66124 pep chromosome:PHallii_v3.1:1:17820357:17824939:-1 gene:PAHAL_1G154000 transcript:PVH66124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAASVATLLLAISLLLPLRLLSHALRPRFSHPSAAALLTVAALVSAICAAPDPGALPGVATSADADADALRSEIEALRLKVAQLESLLEALNSKSTILEEDNKLIEAMERDIQLLMDGPESTKDSKTKSYSAGNIKSMEDEVQQLQQEVSKINKNSDTIESLAHDTERRVETLSSEVKKIEDIIAEQWIQIRQFEQAFVLTKMMASKVHERSRPSEMVYKWPGKETILKYAADMNLNDIFLRGASYARSCFSHTYKESSSFLQEINRYYHEVPAITPYLMLLVLF >PAN05717 pep chromosome:PHallii_v3.1:1:17819571:17824939:-1 gene:PAHAL_1G154000 transcript:PAN05717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAASVATLLLAISLLLPLRLLSHALRPRFSHPSAAALLTVAALVSAICAAPDPGALPGVATSADADADALRSEIEALRLKVAQLESLLEALNSKSTILEEDNKLIEAMERDIQLLMDGPESTKDSKTKSYSAGNIKSMEDEVQQLQQEVSKINKNSDTIESLAHDTERRVETLSSEVKKMMASKVHERSRPSEMVYKWPGKETILKAYRFRKAIRRQYIPDTDRPDVFFLGGSVSRSSIAIPYNQFKFFISSTQKFHHKVQVFLHDALQSNRYSRGLANEPVTFILAYLLVVSPMWMAWFLYSTRFGSRK >PAN05716 pep chromosome:PHallii_v3.1:1:17819200:17825055:-1 gene:PAHAL_1G154000 transcript:PAN05716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAASVATLLLAISLLLPLRLLSHALRPRFSHPSAAALLTVAALVSAICAAPDPGALPGVATSADADADALRSEIEALRLKVAQLESLLEALNSKSTILEEDNKLIEAMERDIQLLMDGPESTKDSKTKSYSAGNIKSMEDEVQQLQQEVSKINKNSDTIESLAHDTERRVETLSSEVKKAYRFRKAIRRQYIPDTDRPDVFFLGGSVSRSSIAIPYNQFKFFISSTQKFHHKVQVFLHDALQSNRYSRGLANEPVTFILAYLLVVSPMWMAWFLYSTRFGSRK >PAN04725 pep chromosome:PHallii_v3.1:1:6043682:6044128:-1 gene:PAHAL_1G087300 transcript:PAN04725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGQKMCMDEALLKEPVNQPAPKNQDGLWPSLLTVVGFVFLTFNSVMAVYRSNGDIGGISFVVFSYMDLVVLFYFLRQFERTPPESPRRQHIKMVVWLQTTMLTAAFSYKVAKITPFPVQVLVWTMAGVTVLGGFYASFLHWEGTKA >PAN07247 pep chromosome:PHallii_v3.1:1:50543146:50544880:-1 gene:PAHAL_1G319000 transcript:PAN07247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVPLISFSVRVWILVSDRSCSCSGYNPWAVGWLVFMSILGVLSRDILGPCHRFCFAEGPQQEQLESWR >PAN06972 pep chromosome:PHallii_v3.1:1:49276403:49280510:-1 gene:PAHAL_1G302600 transcript:PAN06972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDPRVTAREIEDEFRTFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRELDGKNGWRVELSTKAGGGRGRDRNGSDMKCYECGETGHFARECRLRIGSGGLGSGRRRSRSPRYRSRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRKRSYSRSPPPRARSPSRSPPPARARSYSRSPPPPPPRNNSSRSPPATRELSRSPPPPPARRSRSYSRSPGQQPQRDESPYGNDA >PAN06971 pep chromosome:PHallii_v3.1:1:49276397:49280510:-1 gene:PAHAL_1G302600 transcript:PAN06971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDPRVTAREIEDEFRTFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRELDGKNGWRVELSTKAGGGRGRDRNGSDMKCYECGETGHFARECRLRIGSGGLGSGRRRSRSPRYRSRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRKRSYSRSPPPRARSPSRSPPPARARSYSRSPPPPPPRNNSSRSPPATRELSRSPPPPPARRSRSYSRSPGQQPQRDESPYGNDA >PVH65954 pep chromosome:PHallii_v3.1:1:8517004:8517543:-1 gene:PAHAL_1G111500 transcript:PVH65954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFANDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMICDFSLTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIEEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGVECWPTKTRHVQQLSVAKMRMPRWFCGHTRRDRVRNEEIRDRVGVAPIG >PAN08857 pep chromosome:PHallii_v3.1:1:58633255:58636340:-1 gene:PAHAL_1G440800 transcript:PAN08857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNGHSSSKSLERVLSRKAVQAGSSALCKIWATGFLCGVCIMYLFGVALPPLRIPQNRSVYPPLRRAILWNFSLTEHDGVAATGESASAQEKIEERKRNYHATEARIVQLYNAWSSMLNTTSDEVLKSSDLPWPPHLNDCKLNVERNKRFDSYGDNGTFPHWTLWKGSLGLELLNQKYSENANQYRQYPPWIVGSDEENYPLTRRVQRDIWIHQHPPNCSDPSLRFLVADWESLPGFGIGAQLAGMAGLLAIAIKEKRILVTNYYNRADHNGCHGASRSSWSCYFFVETSSDCRKRAFELMKRKASWAEGSVKVKENYTSKQIWVGRIPRMWGEPWKYLQPTTEINGRLITNHRKMDRRWWIAQATRYLMRFPTEHMCGLLNVARHSAFGLEAAKLVLESIQNDSPKVGTTRTKSDIERLVWSDYKPYIPRPLVSMHVRMGDKACEMSVVGFEEYMKLAGNLRRRFPSLKNIWLSTEMQEVVEKTKLYPDWNFYFTNVARQGGNITMALYEASLGRETSTNYPLVNFMMATEADFFVGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW >PAN08854 pep chromosome:PHallii_v3.1:1:58631677:58637156:-1 gene:PAHAL_1G440800 transcript:PAN08854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNGHSSSKSLERVLSRKAVQAGSSALCKIWATGFLCGVCIMYLFGVALPPLRIPQNRSVYPPLRRAILWNFSLTEHDGVAATGESASAQEKIEERKRNYHATEARIVQLYNAWSSMLNTTSDEVLKSSDLPWPPHLNDCKLNVERNKRFDSYGDNGTFPHWTLWKGSLGLELLNQKYSENANQYRQYPPWIVGSDEENYPLTRRVQRDIWIHQHPPNCSDPSLRFLVADWESLPGFGIGAQLAGMAGLLAIAIKEKRILVTNYYNRADHNGCHGASRSSWSCYFFVETSSDCRKRAFELMKRKASWAEGSVKVKENYTSKQIWVGRIPRMWGEPWKYLQPTTEINGRLITNHRKMDRRWWIAQATRYLMRFPTEHMCGLLNVARHSAFGLEAAKLVLESIQNDSPKVGTTRTKSDIERLVWSDYKPYIPRPLVSMHVRMGDKACEMSVVGFEEYMKLAGNLRRRFPSLKNIWLSTEMQEVVEKTKLYPDWNFYFTNVARQGGNITMALYEASLGRETSTNYPLVNFMMATEADFFVGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW >PAN08853 pep chromosome:PHallii_v3.1:1:58631677:58637191:-1 gene:PAHAL_1G440800 transcript:PAN08853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNGHSSSKSLERVLSRKAVQAGSSALCKIWATGFLCGVCIMYLFGVALPPLRIPQNRSVYPPLRRAILWNFSLTEHDGVAATGESASAQEKIEERKRNYHATEARIVQLYNAWSSMLNTTSDEVLKSSDLPWPPHLNDCKLNVERNKRFDSYGDNGTFPHWTLWKGSLGLELLNQKYSENANQYRQYPPWIVGSDEENYPLTRRVQRDIWIHQHPPNCSDPSLRFLVADWESLPGFGIGAQLAGMAGLLAIAIKEKRILVTNYYNRADHNGCHGASRSSWSCYFFVETSSDCRKRAFELMKRKASWAEGSVKVKENYTSKQIWVGRIPRMWGEPWKYLQPTTEINGRLITNHRKMDRRWWIAQATRYLMRFPTEHMCGLLNVARHSAFGLEAAKLVLESIQNDSPKVGTTRTKSDIERLVWSDYKPYIPRPLVSMHVRMGDKACEMSVVGFEEYMKLAGNLRRRFPSLKNIWLSTEMQEVVEKTKLYPDWNFYFTNVARQGGNITMALYEASLGRETSTNYPLVNFMMATEADFFVGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW >PAN08855 pep chromosome:PHallii_v3.1:1:58633678:58636340:-1 gene:PAHAL_1G440800 transcript:PAN08855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNGHSSSKSLERVLSRKAVQAGSSALCKIWATGFLCGVCIMYLFGVALPPLRIPQNRSVYPPLRRAILWNFSLTEHDGVAATGESASAQEKIEERKRNYHATEARIVQLYNAWSSMLNTTSDEVLKSSDLPWPPHLNDCKLNVERNKRFDSYGDNGTFPHWTLWKGSLGLELLNQKYSENANQYRQYPPWIVGSDEENYPLTRRVQRDIWIHQHPPNCSDPSLRFLVADWESLPGFGIGAQLAGMAGLLAIAIKEKRILVTNYYNRADHNGCHGASRSSWSCYFFVETSSDCRKRAFELMKRKASWAEGSVKVKENYTSKQIWVGRIPRMWGEPWKYLQPTTEINGRLITNHRKMDRRWWIAQATRYLMRFPTEHMCGLLNVARHSAFGLEAAKLVLESIQNDSPKVGTTRTKSDIERLVWSDYKPYIPRPLVSMHVRMGDKACEMSVVGFEEYMKLAGNLRRRFPSLKNIWLSTEMQVSQFAHPA >PAN08860 pep chromosome:PHallii_v3.1:1:58631677:58637156:-1 gene:PAHAL_1G440800 transcript:PAN08860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNGHSSSKSLERVLSRKAVQAGSSALCKIWATGFLCGVCIMYLFGVALPPLRIPQNRSVYPPLRRAILWNFSLTEHDGVAATGESASAQEKIEERKRNYHATEARIVQLYNAWSSMLNTTSDEVLKSSDLPWPPHLNDCKLNVERNKRFDSYGDNGTFPHWTLWKGSLGLELLNQKYSENANQYRQYPPWIVGSDEENYPLTRRVQRDIWIHQHPPNCSDPSLRFLVADWESLPGFGIGAQLAGMAGLLAIAIKEKRILVTNYYNRADHNGCHGASRSSWSCYFFVETSSDCRKRAFELMKRKASWAEGSVKVKENYTSKQIWVGRIPRMWGEPWKYLQPTTEINGRLITNHRKMDRRWWIAQATRYLMRFPTEHMCGLLNVARHSAFGLEAAKLVLESIQNDSPKVGTTRTKSDIERLVWSDYKPYIPRPLVSMHVRMGDKACEMSVVGFEEYMKLAGNLRRRFPSLKNIWLSTEMQISSSEPWDQLGATSLME >PAN06176 pep chromosome:PHallii_v3.1:1:44471918:44477706:1 gene:PAHAL_1G243900 transcript:PAN06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPGGAGIVWQTPANPPEPHDYVIRNGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRSREYYARAVKCGRLQVDGQMVHKDYIVKSSQKISHFLHRHEPPVFAGDISILQNEVDVVTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNAERAECFRQQIEAGLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTVEISGGAGKETNGKQALTRFQRICTDGTHSIVLCKPVTGRTHQIRIHLKHTGYPIANDELYLSGDFCPRSIKGTSASTEMAPSDSDNSAAEHGSKDAETDEGFDIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWAFLDSVSTKKMKL >PAN06179 pep chromosome:PHallii_v3.1:1:44471874:44477706:1 gene:PAHAL_1G243900 transcript:PAN06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPGGAGIVWQTPANPPEPHDYVIRNGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRSREYYARAVKCGRLQVDGQMVHKDYIVKSSQKISHFLHRHEPPVFAGDISILQNEVDVVTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNAERAECFRQQIEAGLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTVEISGGAGKETNGKQALTRFQRICTDGTHSIVLCKPVTGRTHQIRIHLKHTGYPIANDELYLSGDFCPRSIKGTSASTEMAPSDSDNSAAEHGSKDAETDEGFDIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWAFLDSVSTKKMKL >PAN06177 pep chromosome:PHallii_v3.1:1:44471918:44477613:1 gene:PAHAL_1G243900 transcript:PAN06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPGGAGIVWQTPANPPEPHDYVIRNGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRSREYYARAVKCGRLQVDGQMVHKDYIVKSSQKISHFLHRHEPPVFAGDISILQNEVDVVTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNAERAECFRQQIEAGLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTVEISGGAGKETNGKQALTRFQRICTDGTHSIVLCKPVTGRTHQLRWRRGRTMVALCTIHGS >PAN06178 pep chromosome:PHallii_v3.1:1:44472970:44476986:1 gene:PAHAL_1G243900 transcript:PAN06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKDYIVKSSQKISHFLHRHEPPVFAGDISILQNEVDVVTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNAERAECFRQQIEAGLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTVEISGGAGKETNGKQALTRFQRICTDGTHSIVLCKPVTGRTHQIRIHLKHTGYPIANDELYLSGDFCPRSIKGTSASTEMAPSDSDNSAAEHGSKDAETDEGFDIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWAFLDSVSTKKMKL >PAN05580 pep chromosome:PHallii_v3.1:1:19804264:19804566:-1 gene:PAHAL_1G160400 transcript:PAN05580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGPTVTMSMGMAKPNGTAGARDQHQQQAERKECGAFGGGSDGFRMPLHYPRYKKVDYEAMPEWRVDCLLREYGLPADGDLDSKRRFAMGAFHWPDQY >PAN08180 pep chromosome:PHallii_v3.1:1:55362678:55364380:-1 gene:PAHAL_1G393600 transcript:PAN08180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRLIFNATGSGAGQMLFLDCGAGGGLFHRGGRPMLGLEEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDVLLQDNHRLRSQVASLTEKLQEKEATEGGADAEVLPVDVKASLADDIEEPATEAAAAFEAHQVKSEDRLSTGSGGSAVVDTDALLCGRFAAAVDSSVESYFPGGEGHYHDCGMGPVNHCAGGIQSDDDGAGSDEGCSYYPEEAEAAAAAAVAAAFFAGHAHPHADDDEDASQIGWWMWN >PAN08179 pep chromosome:PHallii_v3.1:1:55363040:55364106:-1 gene:PAHAL_1G393600 transcript:PAN08179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLEEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDVLLQDNHRLRSQVASLTEKLQEKEATEGGADAEVLPVDVKASLADDIEEPATEAAAAFEAHQVKSEDRLSTGSGGSAVVDTDALLCGRFAAAVDSSVESYFPGGEGHYHDCGMGPVNHCAGGIQSDDDGAGSDEGCSYYPEEAEAAAAAAVAAAFFAGHAHPHADDDEDASQIGWWMWN >PAN08178 pep chromosome:PHallii_v3.1:1:55362678:55364237:-1 gene:PAHAL_1G393600 transcript:PAN08178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLEEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDVLLQDNHRLRSQVASLTEKLQEKEATEGGADAEVLPVDVKASLADDIEEPATEAAAAFEAHQVKSEDRLSTGSGGSAVVDTDALLCGRFAAAVDSSVESYFPGGEGHYHDCGMGPVNHCAGGIQSDDDGAGSDEGCSYYPEEAEAAAAAAVAAAFFAGHAHPHADDDEDASQIGWWMWN >PAN09032 pep chromosome:PHallii_v3.1:1:59374005:59378735:1 gene:PAHAL_1G453400 transcript:PAN09032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELGEAIILGPAAALRLQDRDDDEQQPQPVYCAVGGIAGKEEWKANLQWVLANVPRSKRLVLAHLRRPPSRINMMGAWVPVSQLAEEEVTAFRQLEEDKIGKVLDDLLDICKSQKVNASKIIVASDDTARGLVQLVDDHGVTELVMGAASDRAYTRKMRAPRSKKALTVQRKANPSCKIWFVCKGNLICTREASEGANRAESSTASTSPRSSTSDYSRSKSSPRLHRKTFSTQESNDPATASVDETPTSRWVDAMDHTMEGSASVSEIVESGEEPAAEQLLHAEVEEDQEAPSPDGSDAGGMMDDALYEKLKDALMEAENLRHEAYEETRRRQMAERELAEASRMADDAESSYRREAKHRKEMEEMLARERAAMEQDRRELNDILDKIRQVDDRSAELELQISSSERMMSDLEARLSESYSLLETLQPTTAAAREETEGAHDGGQGASFLRLGYSELDEATTHFDESARVDGGGDGGRGKVYRGELRNMAVAVKVLRRDVAVDEARFAREVGRISGVRHPNLVTLVGACPEARAVAYELVPGGSLEDHLCRGALPWRERCGIAYGACSALAFLHSASTAVHGDVRPANILVVGSLSSSCKLAGLGTRGLVRPGCAEALAYADPHYLATGELSPQCDVHALGVVLLRLVTGMPAFLARKAAREAARGGKAWHEVVDAGAGWPAERGREVALLGLRCCGDNVGVSPHEVLEEARGVLEAASTASGDGAPGRLLSDDGAAPSYFVCPILKEVMRDPQIAGDGFTYEAEAIKEWLGSGHDTSPMTNLKLPTQKLLPNHALRDAIHHWRAMHY >PVH67210 pep chromosome:PHallii_v3.1:1:59374005:59378735:1 gene:PAHAL_1G453400 transcript:PVH67210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPRSKKALTVQRKANPSCKIWFVCKGNLICTREASEGANRAESSTASTSPRSSTSDYSRSKSSPRLHRKTFSTQESNDPATASVDETPTSRWVDAMDHTMEGSASVSEIVESGEEPAAEQLLHAEVEEDQEAPSPDGSDAGGMMDDALYEKLKDALMEAENLRHEAYEETRRRQMAERELAEASRMADDAESSYRREAKHRKEMEEMLARERAAMEQDRRELNDILDKIRQVDDRSAELELQISSSERMMSDLEARLSESYSLLETLQPTTAAAREETEGAHDGGQGASFLRLGYSELDEATTHFDESARVDGGGDGGRGKVYRGELRNMAVAVKVLRRDVAVDEARFAREVGRISGVRHPNLVTLVGACPEARAVAYELVPGGSLEDHLCRGALPWRERCGIAYGACSALAFLHSASTAVHGDVRPANILVVGSLSSSCKLAGLGTRGLVRPGCAEALAYADPHYLATGELSPQCDVHALGVVLLRLVTGMPAFLARKAAREAARGGKAWHEVVDAGAGWPAERGREVALLGLRCCGDNVGVSPHEVLEEARGVLEAASTASGDGAPGRLLSDDGAAPSYFVCPILKEVMRDPQIAGDGFTYEAEAIKEWLGSGHDTSPMTNLKLPTQKLLPNHALRDAIHHWRAMHY >PVH67211 pep chromosome:PHallii_v3.1:1:59374005:59378735:1 gene:PAHAL_1G453400 transcript:PVH67211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASDRAYTRKMRAPRSKKALTVQRKANPSCKIWFVCKGNLICTREASEGANRAESSTASTSPRSSTSDYSRSKSSPRLHRKTFSTQESNDPATASVDETPTSRWVDAMDHTMEGSASVSEIVESGEEPAAEQLLHAEVEEDQEAPSPDGSDAGGMMDDALYEKLKDALMEAENLRHEAYEETRRRQMAERELAEASRMADDAESSYRREAKHRKEMEEMLARERAAMEQDRRELNDILDKIRQVDDRSAELELQISSSERMMSDLEARLSESYSLLETLQPTTAAAREETEGAHDGGQGASFLRLGYSELDEATTHFDESARVDGGGDGGRGKVYRGELRNMAVAVKVLRRDVAVDEARFAREVGRISGVRHPNLVTLVGACPEARAVAYELVPGGSLEDHLCRGALPWRERCGIAYGACSALAFLHSASTAVHGDVRPANILVVGSLSSSCKLAGLGTRGLVRPGCAEALAYADPHYLATGELSPQCDVHALGVVLLRLVTGMPAFLARKAAREAARGGKAWHEVVDAGAGWPAERGREVALLGLRCCGDNVGVSPHEVLEEARGVLEAASTASGDGAPGRLLSDDGAAPSYFVCPILKEVMRDPQIAGDGFTYEAEAIKEWLGSGHDTSPMTNLKLPTQKLLPNHALRDAIHHWRAMHY >PAN06872 pep chromosome:PHallii_v3.1:1:48811406:48820525:1 gene:PAHAL_1G293800 transcript:PAN06872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSDSDSSPKSSSSSSSSPSARRRSSPHRGRAHSDESGSSDGVLVELPAQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLAAAGSRSARALDESMAVIDFPEVSSAAPELRKYQEEKEAFAREAVALRRMLQEMVGQVASVSLHGEDLDETPLHSMLDDCSRLVLELNSVARAREQEIESLHTRVAEAEVSKEVADVYLGSWREGSEQVVGRMLASIDAVVGQDDASFEGADQDGISILERKTLSLLEKYKQVSMGIEQLEQVLAEVKPGFVATGQGDLATILGIVTEELVSSKRNEVDFLQKLNTFAEEKKALADELEEVKAARDAANAEASKAKAEFEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAELQSCMAELQKKSDALQAAEGTVEELRVSLDEKTIEHEKCLDELRETYSAWEAAKSSIEQLNEENSAFTSLQASLSLKDGVLQRIEEIMSEATFPEDLLSLEMADRLGWLIEQKKIADMIFSEHHKVKGILSSVDIPHSVLTGELDSQISWLVSSLNQAKDDVARLHSESADMLARLAAHESKLVSMHEEIDRLTIVLLEEKQEKDMLVNEHSELMSLYNDAIDKLSVASSQNNELIKAFAEFSDVTLEGNDPLDTAKLVQQSLSNIQQRTKSFPIGTDSFEKLQTFLYTLDQESSLCKIILEEDIIDRSVRTGELHRMMEEIHVLKNEKDLVQEELERVEERSSLLREKLSMAVKKGKGLVHEREGLKQVLDQKSSEIENLKQVLEGKNSEIEKLKYALNENKSETENIKEVLDIKNSEIEKLKHALDENNSITDDLKQALDGKNSEIERLKHALDESCMETENLNQALIEKTSEADKIKQELGAKNIDIENLRHEIESRESSMTDLREHVEHVSLQSAHFEKLQLDIVTLNDEKGKVESMLEEARASLGTLSDSISSLALPVDQPFEEPMEKISQIAQYIQESQVAKSSFDYELHKANEQITLHASRLSDALSTINVLEDELRKVNDHISSISEEKRQVQLHTAAIEEELEKTNEELAINVNKLEDANATINLLQDELSQARSNISILDAEKNEAEVKHETEINALNAKLAKCLEELDRTHGNLQSHSTEHHGYLEKLSMLVMDDSHLSLMAEEFGKTINSLREMGLIVKSMHEQLAAKGFQNDAVAEESELSTLLSLPDYDSFVTERLVNSKTRKGNVDDTSSFSTIVEQLSSQAEYFSSFSKDLSSYMNGNIMLVLRALQLASNNFAHTLEEHGTLKIELGNKDAHNRAQESEVLSLQKELRAMSSKCIYCIQQIKIVFDDVVDLGYAIELATGRSSTRSELEVTVSDLMDEDANDYNKVADALLSTVTILKSKSEELSAIKGCVITSLDEFKIRLKQAESATEIAAHDHQLLLERTCMLEKELETLQDECNRMELKMQEYQEREGTLKAKELELLSLEHTQITTDRGKTEDAISKNQMEALLEKINKLNLLSDESHLQREEAALPSPIDKFFAVIDGFSALQREVETLRYENEDLQLNVESYTREIEQLREVSRNSDLNSRELESKSSELLEVTVSMERMIQRLGYLGGKDLVEDNKPTTTQALLSKLEKLIIASSTEAGNAKSIIQELGAKLQSREKAVDELSTKVKMLENLYHARLAQPDSSKDRSFEASSSAIGSDVSEIEDVGPMGKASISSVSTAAHARTMRKGSSDHLVLNIGSESERLIASQDSDDKGRIKSLHTSGLIPAQGKHIADRVDAIWVSGSQILMNRPRARLGLMVYWLFLHLWLLGSIL >PVH65676 pep chromosome:PHallii_v3.1:1:3438225:3439739:-1 gene:PAHAL_1G050300 transcript:PVH65676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTKKKRERKSLNNSLLGLRELLRAVDSQTPSSISSSARTRLNTEPKMEHATPPAAAAGGITATVDLSPAATDLGGAHLLPCGIRQNGGAPVSDYFKPRSTGVEVEGVRVEEAFFRGRKLQGATLALPDGYRGYVLEKKSRGKDAQNSEGEVSNFVSRAEFQNITYWNHDTTPSAEDSLPRCFHWLTVANAMHKPVTAEELANMSAMQNQDS >PAN05510 pep chromosome:PHallii_v3.1:1:43165639:43167025:1 gene:PAHAL_1G234900 transcript:PAN05510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWWDSDWKDRSGPEYRCFVGNLPYGTSESSLKNAFSSYGPLYSEIAVDPETGRSRGFGFVQFDDQKSMDNAIQGMNGQQVGGRNITVSQANHRPRRWRA >PAN06326 pep chromosome:PHallii_v3.1:1:45980461:45983216:-1 gene:PAHAL_1G255800 transcript:PAN06326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MSCLAAPPAAPPAERVLLLVPAAPSRARSRGMHCAARGAAGGGARCGRRQLVLRRCSPAGESRVAGDGAPSSFCIIEGPETIEDFVKMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRTAESKGASSEENEMPEIPSTIPFMPHASAKTMKQLYMTSFSVISGIIIFGGLIAPVLELKLGLGGTSYEDFIRTLHLPLQLRWRSWCYLSFNVG >PAN06325 pep chromosome:PHallii_v3.1:1:45980428:45983216:-1 gene:PAHAL_1G255800 transcript:PAN06325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MSCLAAPPAAPPAERVLLLVPAAPSRARSRGMHCAARGAAGGGARCGRRQLVLRRCSPAGESRVAGDGAPSSFCIIEGPETIEDFVKMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRTAESKGASSEENEMPEIPSTIPFMPHASAKTMKQLYMTSFSVISGIIIFGGLIAPVLELKLGLGGTSYEDFIRTLHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGYLPCARCSASGSGMLLSTKPFSLTGNNMWSTNERCQNCSGARKVMCPTCLCTGMAMASEHDPRIDPFD >PAN06327 pep chromosome:PHallii_v3.1:1:45980766:45983216:-1 gene:PAHAL_1G255800 transcript:PAN06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MSCLAAPPAAPPAERVLLLVPAAPSRARSRGMHCAARGAAGGGARCGRRQLVLRRCSPAGESRVAGDGAPSSFCIIEGPETIEDFVKMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRTAESKGASSEENEMPEIPSTIPFMPHASAKTMKQLYMTSFSVISGIIIFGGLIAPVLELKLGLGGTSYEDFIRTLHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGYLPCARCSASGSGMLLSTKPFSLTGNNMWSTNERCQNCSGARKVKLQGYSAD >PAN04593 pep chromosome:PHallii_v3.1:1:5318279:5319752:1 gene:PAHAL_1G077800 transcript:PAN04593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSAAKFLLLLVAAASSFLSVASHGLPPRQLKRNASCVPHERDALLAFKENITSDPEGILSSWRRGRKDCCRWMGVTCSNQTGHVLELDLSYRNLAGQISPSLLSLEHLEHLDLGGTYLSGHDGRFPEFLCSFKNLRYLDLSFLSFASRLPAQLGNLSTLEYLYLNDAYSLPSEVPPQLGNLSNLRHLGLAYNYLYTTDISWLVRLHQLEYLDMAGINLSTIDNWLHVVNMIPSLKSLSLRNCSLPRANQSLTHINLTKLEMLVLSKNYFGHPIASSWFWNITSIQQLELYSTYLYGPFPDALGRMTSLSYLGFWENGNSATMAVDLKNLCELDTLLLDGSLSSGNITEFIEKLPQCSSSKLQHLNLNDNNMTGIMPHVMGHLTSLAALSLSNNSISGSISPGLQNFTSLEELFLSSNHLSGQIPLLPRGLVWAFSLRSSKY >PAN06801 pep chromosome:PHallii_v3.1:1:48507470:48511071:1 gene:PAHAL_1G289100 transcript:PAN06801 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MSQSSRFFSIARAHAGAGGRAAAATLLLRQPVAQLPPIHSLRCFSSARVTDAKTLRSHLSLPRALLSSFADADDGSGTKAGDAEAREERNGESEMSEMAKAFHISPRMAMSISVMIAFAALTAPLAMRSLVCHGTFKMSVLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLASAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVYGGVNAVFWSSLAKVSSSWVISPLMGAAVSFLVYKCIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFVAFPLSKVFPIALLQALSCGAVGAIIVTRVIQKQLGELLSSEAEKIASAEKADVQQVGFLSDIAGPTGAQLKIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLAMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWLVTIPVGAVLCIFYTMILTKILAYFM >PAN03740 pep chromosome:PHallii_v3.1:1:1395147:1399236:1 gene:PAHAL_1G018300 transcript:PAN03740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYKLWVRRNRDLVRSLESLANGLTWILPERFANSEIAPEAVYSLLGIVSSVNQHIIDAPTENHSFASKEQSIPWGLVVSVLKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEVTVLEDNYGVNGNGVPVIYPMNGHPQNGHQAVTNGLDGKNEFVSKSLERRAVAALNKFGENAKMMSDPMWMRRLQPAPEPIVSVVEKPTLASIWSAKGGTGRLFVLGEVVHIFRPLVYVLLIRKFGIRSWTPWLVSLAVELTSLGIHSHATDLNHRGGKVHQLSSAERDELKRRKMMWALYVMRDPFFASYTKRHLQKAEQVLNPVPLIGFLTGKLVELLEGVQTRYTYTSGS >PVH65766 pep chromosome:PHallii_v3.1:1:4985728:4986066:-1 gene:PAHAL_1G072700 transcript:PVH65766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGCDLQDSIMHLCCFIVEHPILVCCHIPESFNTMMLTHPGSCKNSSSVESKMVCVLAMLCKLIQLFMVVSVGIVAFPFR >PAN07509 pep chromosome:PHallii_v3.1:1:51866825:51868341:-1 gene:PAHAL_1G341600 transcript:PAN07509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTVEKDAAGGEPALLLERSRAITLQGRDRKGRAVVRVVGSYFPARALGGRAEEALRAYLRERVLPAIGDREFVVVYMHSRVDRGGNFPGVGAIRGAYESLPAAAKERLRAVYFVHPALQSRLFFATFGRFLFSSGLYEKLRYMSRLEYVWAHMDKGQLEVPGCVREHDEELERRPLMDYGIEATESRCVYDAASMDTSASLHSLRCVS >PVH66671 pep chromosome:PHallii_v3.1:1:49944056:49944518:-1 gene:PAHAL_1G311100 transcript:PVH66671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNTRLQSGREIESDLNSLLQHLEIREDEEQGIVLEENLEELKAEARWTVLAKVSSPKAFSHAAFIANMKYAWGLAKEASFKAIEENLFVIQFSCLGHAVLLEEYDGVTKPSKVSGRKI >PAN08139 pep chromosome:PHallii_v3.1:1:55194697:55207707:1 gene:PAHAL_1G390800 transcript:PAN08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MDGGHGLRQPMSPAISASAVVPQQRQMQLHHHPARPAIADLFTLYLGINSKQRPEDPSRESSNKLQKRVTALNRDLPPRDEQFISDYEQLRMQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDSLLPSLLNAVSSIEAPVGQGVPVTSGGPVTPSSSAITMPNAPNFHPSNPASPLSVMNTIGSPTQSGIDQPVGANVSPIKAAEFSSSAQLGTAARGDQSRRGAEISYLHHLSCRILLAGLESNLKPATHAVIFQHMVNWLVNWDQRPHNMDEADAMQTWRLEKPLHEWMHLCLDVIWILVNEEKCRIPFYELVRCNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVTNLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSQSQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSNQQVLRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKTLDANNIGPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFTNLDERATGMFWVLSFTMAQPACEAIMNWFTSAGMADLIQGPNMQPNERIMMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLKDFFFVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQFEVQRGHLAQDLLSKAITNLSFFIWTHELLPLDILLLALIDRDDDPYALRLVISLLEKPELQQRVKAFCSSRSPEHWLKNQHPKRVELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSSLLAFHPLRFTFVRDILAYFYGHLPIKLIGRILNLLGVSTKTPFSESFAKYLVSSNSSVCPPPEYFANLLLNLVNNVIPPLSSKSKSNPADTTRSTFNKHHASSQPGGIGNNDGQRAFYQNQDPGSYTQLVLETAAIEILSLPVSAAQIVSSLVQIIAHVQAMLIQSNSGQGMSGGLGQSSGLPTSPSGGGAEPAGSNQTNSAASGINATNFVSRSGYSSQQLSVFMIQACGLLLAQLPPEFHMQLYSEAARVIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPQEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQVSNPVDASEITDIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVIEILRPDVQHLLSHLKTDPNCSIYAATHPKLVQNTS >PAN04496 pep chromosome:PHallii_v3.1:1:4862593:4865901:1 gene:PAHAL_1G071400 transcript:PAN04496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLGGPAVAPPGRMMMGGPPTPTVKTRLCNKYNTAEGCKWGGKCHFAHGERELGKPMLMDSSMHSPVGPRPTGHFAPPPMANPGMATPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTSLKNIELEGTFDQIKHASAMVRELIVNISGSAPPLAKNPPRGPHRGGGTGSNFKTKMCENFAKGSCTFGDRCHFAHVENELRKPAAA >PAN04498 pep chromosome:PHallii_v3.1:1:4862593:4865901:1 gene:PAHAL_1G071400 transcript:PAN04498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLGGPAVAPPGRMMMGGPPTPTVKTRLCNKYNTAEGCKWGGKCHFAHGERELGKPMLMDSSMHSPVGPRPTGHFAPPPMANPGMATPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTSLKNIELEGTFDQIKHASAMVRELIVNISGSAPPLAKNPPRGPHRGGGTGSNFKTKMCENFAKGSCTFGDRCHFAHVENELRKPAAA >PAN04497 pep chromosome:PHallii_v3.1:1:4862593:4865901:1 gene:PAHAL_1G071400 transcript:PAN04497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRKRGTADGANGASAGGKRARESESFQTGVGSKTKPCTKFFSTSGCPFGEGCHFLHCFPGGYQAVSKMTNLGGPAVAPPGRMMMGGPPTPTVKTRLCNKYNTAEGCKWGGKCHFAHGERELGKPMLMDSSMHSPVGPRPTGHFAPPPMANPGMATPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTSLKNIELEGTFDQIKHASAMVRELIVNISGSAPPLAKNPPRGPHRGGGTGSNFKTKMCENFAKGSCTFGDRCHFAHVENELRKPAAA >PAN06933 pep chromosome:PHallii_v3.1:1:49067828:49070842:1 gene:PAHAL_1G298900 transcript:PAN06933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAEEDGYSSRCDLCDTEVIHSMAELLLRGLATASVDSTTGDIFKSASSVAVAVKTELENYLLVRTEALIRESVDGGEDHSDKLMKASTRPTEFLSDLIDDFVASKRNVLSHVSGFLSSESRLSKINDFMQKMEMENVWALDVRKATAETILESIDIKCIFHCPERFVEQNELADHRSQCKFRVVNCKNDGCSASFSAIHIEEHDSICPFKALPCDQLCDQHVMRCEMDKHCATVCPMKLINCPFYQVGCETAFPQGNLDNHCSKLLQTHMLYVLQATTRQNATVDDMNQRLQLLEKAQSLNKMSGALDVRSLTLITKEQEAKIKKLERDIKTQEAKIKKLENEFRSRNA >PVH66619 pep chromosome:PHallii_v3.1:1:49067921:49074463:1 gene:PAHAL_1G298900 transcript:PVH66619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAEEDGYSSRCDLCDTEVIHSMAELLLRGLATASVDSTTGDIFKSASSVAVAVKTELENYLLVRTEALIRESVDGGEDHSDKLMKASTRPTEFLSDLIDDFVASKRNVLSHVSGFLSSESRLSKINDFMQKMEMENVWALDVRKATAETILESIDIKCIFHCPERFVEQNELADHRSQCKFRVVNCKNDGCSASFSAIHIEEHDSICPFKALPCDQLCDQHVMRCEMDKHCATVCPMKLINCPFYQVGCETAFPQGNLDNHCSKLLQTHMLYVLQATTRQNATVDDMNQRLQLLEKAQSLNKMSGALDVRSLTLITKEQEAKIKKLERDIKTQEAKIKKLENEFRSRNA >PVH66616 pep chromosome:PHallii_v3.1:1:49067908:49071777:1 gene:PAHAL_1G298900 transcript:PVH66616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAEEDGYSSRCDLCDTEVIHSMAELLLRGLATASVDSTTGDIFKSASSVAVAVKTELENYLLVRTEALIRESVDGGEDHSDKLMKASTRPTEFLSDLIDDFVASKRNVLSHVSGFLSSESRLSKINDFMQKMEMENVWALDVRKATAETILESIDIKCIFHCPERFVEQNELADHRSQCKFRVVNCKNDGCSASFSAIHIEEHDSICPFKALPCDQLCDQHVMRCEMDKHCATVCPMKLINCPFYQVGCETAFPQGNLDNHCSKLLQTHMLYVLQATTRQNATVDDMNQRLQLLEKAQSLNKMSGALDVRSLTLITKEQEAKIKKLERDIKTQEAKIKKLENEFRSRNA >PVH66618 pep chromosome:PHallii_v3.1:1:49068261:49070842:1 gene:PAHAL_1G298900 transcript:PVH66618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAEEDGYSSRCDLCDTEVIHSMAELLLRGLATASVDSTTGDIFKSASSVAVAVKTELENYLLVRTEALIRESVDGGEDHSDKLMKASTRPTEFLSDLIDDFVASKRNVLSHVSGFLSSESRLSKINDFMQKMEMENVWALDVRKATAETILESIDIKCIFHCPERFVEQNELADHRSQCKFRVVNCKNDGCSASFSAIHIEEHDSICPFKALPCDQLCDQHVMRCEMDKHCATVCPMKLINCPFYQVGCETAFPQGNLDNHCSKLLQTHMLYVLQATTRQNATVDDMNQRLQLLEKAQSLNKMSGALDVRSLTLITKEQEAKIKKLERDIKTQEAKIKKLENEFRSRNA >PVH66617 pep chromosome:PHallii_v3.1:1:49067829:49070842:1 gene:PAHAL_1G298900 transcript:PVH66617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAEEDGYSSRCDLCDTEVIHSMAELLLRGLATASVDSTTGDIFKSASSVAVAVKTELENYLLVRTEALIRESVDGGEDHSDKLMKASTRPTEFLSDLIDDFVASKRNVLSHVSGFLSSESRLSKINDFMQKMEMENVWALDVRKATAETILESIDIKCIFHCPERFVEQNELADHRSQCKFRVVNCKNDGCSASFSAIHIEEHDSICPFKALPCDQLCDQHVMRCEMDKHCATVCPMKLINCPFYQVGCETAFPQGNLDNHCSKLLQTHMLYVLQATTRQNATVDDMNQRLQLLEKAQSLNKMSGALDVRSLTLITKEQEAKIKKLERDIKTQEAKIKKLENEFRSRNA >PVH66893 pep chromosome:PHallii_v3.1:1:54283436:54284224:-1 gene:PAHAL_1G378100 transcript:PVH66893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-6 [Source:Projected from Arabidopsis thaliana (AT5G47670) UniProtKB/Swiss-Prot;Acc:Q84W66] MDSASGFLPAAGAANGSAAGGGAQQQPIREQDRLMPIANVIRIMRRVLPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGEARGVVGLGAPGAARGGSGDHHHHHHPSMLKSRAPGAMAPHHHDMQLHASMYGGAAVPPHHGHGFVSMPHHGGGHQYLPYPYEAAYGGEHAMAAYYGSAAAYGAPGNGGSGDGSGSSGGSASRTPQGGSFEHRHPFASYK >PVH65581 pep chromosome:PHallii_v3.1:1:2046588:2048603:-1 gene:PAHAL_1G029200 transcript:PVH65581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAVGIAGGALAPLLPPQRGARRCAVSFRRAASVAVRASYEAGVGVMATKVGMMTYFDPATGKPVPVTVVGFREGNVVTQVKTAATDGYDAVQVGYHGVREDKLTRPELGHLGKAGAPPLRHLQEFRLTAVDAFEPGQELDFAELFKEGDLVDVSGNSIGKGFQGGIKRHNFKRGLMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLRVLMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >PVH66059 pep chromosome:PHallii_v3.1:1:12829002:12831416:-1 gene:PAHAL_1G137800 transcript:PVH66059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLILFTSLFYLSIPPRCVGMDTIIPGQALAASDKLISKNGRYALGFFKTSTKSTQNTFNWYLGIWFNTVPQFTSAWVANRDSPFNSTNSLELTISHNGNLVVLNQSTESIIWSTQANATRDSTLAILSSTGNLILRDSSNSSKVFWQSFDHPTDTLLPGAKIGWNKITGLKCRLVSSKNSINPATGLYCFDLDPSGVNQILITPLNSSVPYWSSGVWNGKYFSSPETPGYVGPINNDLNDVTMVDNDQEKYFAINIADEHVVFRLVIDASGQAKEFVWFEGSQDWAMAYAQPKAECDVYGACGPFTICNDDVLPHCSCMDGFTVTSPQEWELDDRSGGCLRKNPLECISNKSTKLSTDKFYTLPCVRPPRNAQKVEDSTSIRNCAQFCLNNCSCTAYSFSNGECSIWHNGLLDIRQLQCNSMNSLDVETLYIRVSTKDLQSLKSNRVRIVIGVVIAICISSALIILLIIWSNKRKGFPRILNGAQGTNGIIAFRYTDLQKATKNFKHRLGEGSFGSVFKGFLNDSIAIAVKRLDRAFQGEKQFRAEVSSIGAVQHVNLVKLVGFCCDGSKRLLVYDYMSNCSLDILLFRENSMVLTWDTRYQIAVGVARGLAYLHESCRHCIIHCDIKPENILLDGSFVPKIADFGMAKIMGRDFSRALTTMRGTFGYLAPEWITGTPITPKVDVYSYGMVLLEIISGRRNSQTPCFTGEDHDVYFPVYVAHKLVEGDVGSLADHMLHGDINLDEVERACKVACWCIQDNEFDRPTMGEVVQILEGLVELTMPPMPRLLQAIANTSHSTSS >PAN05932 pep chromosome:PHallii_v3.1:1:12828247:12831474:-1 gene:PAHAL_1G137800 transcript:PAN05932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQFTSAWVANRDSPFNSTNSLELTISHNGNLVVLNQSTESIIWSTQANATRDSTLAILSSTGNLILRDSSNSSKVFWQSFDHPTDTLLPGAKIGWNKITGLKCRLVSSKNSINPATGLYCFDLDPSGVNQILITPLNSSVPYWSSGVWNGKYFSSPETPGYVGPINNDLNDVTMVDNDQEKYFAINIADEHVVFRLVIDASGQAKEFVWFEGSQDWAMAYAQPKAECDVYGACGPFTICNDDVLPHCSCMDGFTVTSPQEWELDDRSGGCLRKNPLECISNKSTKLSTDKFYTLPCVRPPRNAQKVEDSTSIRNCAQFCLNNCSCTAYSFSNGECSIWHNGLLDIRQLQCNSMNSLDVETLYIRVSTKDLQSLKSNRVRIVIGVVIAICISSALIILLIIWSNKRKGFPRILNGAQGTNGIIAFRYTDLQKATKNFKHRLGEGSFGSVFKGFLNDSIAIAVKRLDRAFQGEKQFRAEVSSIGAVQHVNLVKLVGFCCDGSKRLLVYDYMSNCSLDILLFRENSMVLTWDTRYQIAVGVARGLAYLHESCRHCIIHCDIKPENILLDGSFVPKIADFGMAKIMGRDFSRALTTMRGTFGYLAPEWITGTPITPKVDVYSYGMVLLEIISGRRNSQTPCFTGEDHDVYFPVYVAHKLVEGDVGSLADHMLHGDINLDEVERACKVACWCIQDNEFDRPTMGEVVQILEGLVELTMPPMPRLLQAIANTSHSTSS >PAN08670 pep chromosome:PHallii_v3.1:1:57891963:57893502:-1 gene:PAHAL_1G428500 transcript:PAN08670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSGRRPRHHPAVNDILSTLADASAALADVQRRLDLEFRASYPDHANPAKLVARVKRVQEEVAALKELCRDLLTQKQELIDRIHMSLMAQRSTTQRLLAASGLPSLSDVDEAAHNSLNAVIDEWTAHVSPITGEDKAKDANQILFSAII >PAN06259 pep chromosome:PHallii_v3.1:1:45526070:45533700:1 gene:PAHAL_1G250700 transcript:PAN06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTANGEQPAAGASSDKLRHVESMSQLPSGAGKISGINAVVLGESLAAEENDLIFPSPDFSANALVSSPKQYQEMYQRSIKDPSGFWSEIAETFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIESGNGDKIAMYWEGNEPGQDGKLTYSELLEKVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGAKPILLKDIVDAALVESEKNGVSVGLCLTYENQSAMKREDTKWQAERDVWWQDVVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMLYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVSIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSAELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDTSTLADPGVVEQLIALSDC >PAN06258 pep chromosome:PHallii_v3.1:1:45525673:45533700:1 gene:PAHAL_1G250700 transcript:PAN06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQRSIKDPSGFWSEIAETFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIESGNGDKIAMYWEGNEPGQDGKLTYSELLEKVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGAKPILLKDIVDAALVESEKNGVSVGLCLTYENQSAMKREDTKWQAERDVWWQDVVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMLYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVSIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSAELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDTSTLADPGVVEQLIALSDC >PAN04945 pep chromosome:PHallii_v3.1:1:7640625:7647232:1 gene:PAHAL_1G103500 transcript:PAN04945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAPMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLGELGSKLTSLPPGDSIVVASSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVSQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADELHRSSKRAAKHYIEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHLLTTGISEIPEDATFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDSITTHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPLTEETADVLGAFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKHYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVAEDLQSFGEQLRKNYEETKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPAFQVSPQPALSKEFVDESQPAELVQLNPESEYAPGLEDTLILTMKGIAAGMQNTG >PAN03887 pep chromosome:PHallii_v3.1:1:1926595:1930399:1 gene:PAHAL_1G027300 transcript:PAN03887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSARLLAAAAVTAAGYRRAVLGRVPVAASLSFPRGHTPPFRGRAGLSCSAASASLSLPSTGGGCSPPGSVPFNLLPPDSEPFIEWDPHPADSAASPLGGGGPGEGATLVVLLGWLGARQKHLRRYADLYRERGVGAVRFVVPVRELIGLDLGRRVESRVADLSDEIAAWCDADRRRTLLFHTFSNTGWIAYGAVLENLQSRADITERIRGCIIDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSTESLDGPIVNGTLNTVNSNVMQPSWGECFLLSTLQKFFEMVLHVPDINTRLRKVLSVLSDKQPPCPQFYLYSSADRVIPGECVESFMDLQRSLGRSVYSHNFVSSPHVDHYRSFPHIYSAKIDEFLKICSTVKVSVS >PAN09142 pep chromosome:PHallii_v3.1:1:59834850:59836226:1 gene:PAHAL_1G462100 transcript:PAN09142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCFISCLRRAHPDDGDPCTSPSAQNSPLLHTTRKFTLAQLSAATGGFHSSNLVGEGGFGRVYRGRLDHVGEVAVKKLRRGGAQGSREFMVECTMLMLLHHPNVVSLVGYCAQAQERLLVYEFFPRGSLDAHLFPAAAGGQGQEPNKLGWDARVKRYLHEVVTPPVIYRDLKPSNILLGDDLSPMLSDLGLAKLGPVSDDTHVSTRVMGTYGYCAPDYAVTGKLSVKSDVYSFGVVLLELITGRRAIDAGRDEEQRLLVWARPYLQRQWGRYPRRGLYQAAVIASLCLHDKPNLRPTMSDVTKALEHVASQPWVAAGGSVVDDDRQQTGGSRRGAAAAAAAM >PAN08183 pep chromosome:PHallii_v3.1:1:55380375:55382051:1 gene:PAHAL_1G393900 transcript:PAN08183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPASSGSQVAIRRAASTTGLLFVLLLVAFTASNYSSLNTDRLVDAASSSRAKAAATCDVARGEWVPDQAEPYYTNATCPFIDSRQDCMRYGKPELGSILRWRWRPHGCDLPRFDPAAFLRLARHRSMAFVGDSVARNHMQSLMCLLSAAEHPQEIQPRDCVHCTRSYHYRAHNFTVTVFWAPFLFMDPHNVYLDEADPEWARRVAGYDYVVLNGAKWFTRPAVLHERGRVLGCSGDCGDPGAARVPPHRAVRAAFRTALRELRDLPGLRGRVVVRTVAPPHYENGKWYDGGNCLRTRPVRSDETALPETEAAFHAAQVEEFRAAAAASAADPGRFVLMDVSDMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPIDAWSELLLHLLTN >PAN06384 pep chromosome:PHallii_v3.1:1:46489943:46492228:-1 gene:PAHAL_1G260400 transcript:PAN06384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAWPLNARGWAEMRSSCWSSTAPCLGGSGGDRRNVEEPKAYERDTSLEEERRNFILEDEEIRELNFGTTCPPVLRPHPLPHPGAPLRGRHQSRRRHLPPASRSAPKFGGNMEGPSATAAEHRNGLKS >PAN06383 pep chromosome:PHallii_v3.1:1:46491496:46492169:-1 gene:PAHAL_1G260400 transcript:PAN06383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAWPLNARGWAEMRSSCWSSTAPCLGGSGGDRRNVEEPKAYERDTSLEEERRNFILEDEEIRELNFGTTCPPVLRPHPLPHPGAPLRGRHQSRRRHLPPASRSAPKFGGNMEGPSATAAEHRNGLKS >PVH66918 pep chromosome:PHallii_v3.1:1:54587810:54589650:-1 gene:PAHAL_1G382600 transcript:PVH66918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMANGDLRGRVAGSGPHLLASRTPSHPPPPRTSPAVDCIHLLRPRRLPAAAPASQAAATGAAPVHLAGRRPRGVPLLRPRRLPAAALASRAAADGAWRRPPAPRTSPVVVLACVHLLRPCRRRARVAGRHRRRPAPPLPPRTSPAVVQHPATHATLIG >PAN03662 pep chromosome:PHallii_v3.1:1:1163573:1164503:1 gene:PAHAL_1G013700 transcript:PAN03662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLLSGEFCRCSVNCYPDCREGHPGPGWFCTRCPSPRSVPAAASLPSAARRPAESRRRVSGTTVLPQLQLELMMTAQTGAITIHYKIQRILFLRAEWQIQRN >PAN06505 pep chromosome:PHallii_v3.1:1:47080419:47082275:-1 gene:PAHAL_1G267700 transcript:PAN06505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPAQYMLSASSCIFLRSAKPQASLVRGAVIGGVSSSRPFLLTCSASSSPSPSSPAPAQEDSDCNEEECAPEKEVGSLSAEWLAEERTRVVGTFPPRKRGWTGLVEKDTAGQTNIYSVEPTVYVAESAISSGAAGTSAEGAENTAAIAAGLVLITVAAASSILIQVSKKQPQVPEAPYSGPPLSYYVAKFQPAVEAPAPAEPLEAPTPAEEAVVAQDSPAVEASAAAPEAEQLSS >PAN06508 pep chromosome:PHallii_v3.1:1:47082276:47086176:-1 gene:PAHAL_1G267800 transcript:PAN06508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFNKKPFSLLEPPKDLDSKEKVFQIRFTKEIFRDYQEYLKRLNLYRQRVWTCKMSGKSNLTFEEALVSEHNAMEKAQNMPTELMAYVLRMTQYSTLGLYELINKIYANLLEEVFEGIELLAKKDGSVVPCKILKILDSDGTKTCEVGWLRRDKTLINTSVVKAADLFYRRAPVSRNTLKIFIRDSTTQTTPWVIHENLAKKYGIPTDPPNNIMHYEGLHKKGRKREENGTIENGRKKMKKDEGHVPIKYPIDDLLVRPGGDDPALFKRPPLATDFRVPRCSVGDLLMVWDFCSSFGRVLNLSPFLLTDMENAICHKESNVLVVEIHAAMFHLLIKDKGDYFSVLQNKKRKLKVSLVAWAEYLCDFLEMTKNEELSSNIATIRRGYYGHIDTDIKLKILHELVEEAIKTSAIREILSERVDQKQALNATRRESTRKDREEQNLNTETTMKNEENQTDAMQDGNETVDELTRGKEEKDKSNVSRSKTEGKRHLVRHLETEFDKLSIRSSPLGKDRHHNRYWFFRREGRLLVESADSREWGYYSTKEELDALMGSLNVKGIRERALKRQLEKFYNKISNALEKRTKDIMNKMLLEEGVLRRSTRVRAQPKDNPSMAFLKYVNKWKDN >PAN04714 pep chromosome:PHallii_v3.1:1:5974242:5976217:-1 gene:PAHAL_1G086200 transcript:PAN04714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRWSRPGSLLLVAAAFLASAAASSAGIADLDEHWQKRKELAEASAREAYRPDPYNVTNSFNIAVHRATSLRREMGEKKKKKKNKSGGPCKATNPIDKCWRCRKDWATDRQRMARCARGFGHLATGGLGGKIYIVTDPGDVDVLNPRPGTLRWGAIQTGPLWITFARSMIIELTQELLVSSDKTIDGRGAQVHIANGGGITVQFARNVIIHNLHVHDVKHTAGGMMRDSPTHTGPRTKADGDGISLFGATNVWIDHISMSNCEDGLVDVVQSSTGVTISNCHFTNHNDVMLFGASDSYPQDQVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSNAPTIISQGNRYIAPPNIAAKVITKHYADEGVWKNWVWHTENDLFMNGAVFQPSGGAVPRKVKKDDWVKPKPGSYVTRLTRNSGTLECSPGKPC >PAN07347 pep chromosome:PHallii_v3.1:1:50978498:50979802:1 gene:PAHAL_1G327200 transcript:PAN07347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPERSLLQQNVLAVAFLSVSQTEPGVSDEGTAALQQPGSVRLRLARPRRRAAGGARRARREPALHAGAVEPVPARREHADAPAARQLRQTDGALRLAPLPCCAGGACRYSGARGVGGAARELGLIHPRRAAAREAAEDEARRRVEGEGEQGDAGQDDENGGHVGEEGPGAGVGAPRPRGGRRRPRGRRRRGDHGRRRGLRHGGRGCVVGPALHGLASSGL >PAN08813 pep chromosome:PHallii_v3.1:1:58387375:58389704:1 gene:PAHAL_1G437600 transcript:PAN08813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALVIARPGGPEVLEAREVEDPPAPGEGEVLLEVAAAGVNRVDTIQRMGLYPSPAGESPYPGVECSGTILALGPNVPSRWAVGDKVCALLSGGGYAEKVVVPAGQLLPIPEWVSLTDAAGLPEVACTVWSTVFMISHLSPGESFLVHGGSSGIGTFAIQMAKHLGIKVFVTAGVDVILDNVGGSYLQRNLNSLGVDGRLFIIGFQGGAVAEVNLQAVLIRRLTIQGAGLRNRSAANKAQIVGEVEKNVWPAVAAGKVKPVIYKTFPLSEAAEAHRLMEASTHIGKILLLP >PAN08812 pep chromosome:PHallii_v3.1:1:58387375:58389704:1 gene:PAHAL_1G437600 transcript:PAN08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALVIARPGGPEVLEAREVEDPPAPGEGEVLLEVAAAGVNRVDTIQRMGLYPSPAGESPYPGVECSGTILALGPNVPSRWAVGDKVCALLSGGGYAEKVVVPAGQLLPIPEWVSLTDAAGLPEVACTVWSTVFMISHLSPGESFLVHGGSSGIGTFAIQMAKHLGIKVFVTAGSEEKLSACKGLGADVCINYKTEDFVEHIKKETNGKGVDVILDNVGGSYLQRNLNSLGVDGRLFIIGFQGGAVAEVNLQAVLIRRLTIQGAGLRNRSAANKAQIVGEVEKNVWPAVAAGKVKPVIYKTFPLSEAAEAHRLMEASTHIGKILLLP >PAN07375 pep chromosome:PHallii_v3.1:1:51205264:51208206:-1 gene:PAHAL_1G330600 transcript:PAN07375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAAATASGVVLPHAFLSHRSPPPQVVAVASSFRRLSLCASPRRTTHLVARADASAEAGEPEPEAEAGPVTGSADAEEGEAEGAVAVAVAEEEEAEEPPPPPSKPKVKFGEIIGILNKQFIEEAEKVKTVPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKAGIHTTIRVRRIIAGVGVEITFPVYSPRIKEITVIRHKKVRRAKLYYLKHKLPRFSTFK >PAN06341 pep chromosome:PHallii_v3.1:1:46108385:46108924:1 gene:PAHAL_1G257300 transcript:PAN06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSKRLLATAVALATLLAADATVETTCKAAAGADTRVDYGFCVSELSKHRDSPGADAWGLAKVAANLGVNNAGGAVRDADAVLSSPPGAGADAKKRAALGQCRRLYFDMELEFAGAYDEINARDYAAGEEMAAEAVALARRCDDVFAEAGVPSPLARRGEYAGKIGAVCMAITDLIK >PAN05162 pep chromosome:PHallii_v3.1:1:9306700:9307840:-1 gene:PAHAL_1G118800 transcript:PAN05162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWFPAVPPALAAADGGRIKKRAGLPKLLHKLFIKVLRLRPASAAEEFYDYRMAGAGDEEYCYYYSYGGAGASWAGVLSSIPEEDDSSEEGTPDVSPGPAVLRKAKSERFVVGPPDAATVVRVEVLL >PAN08406 pep chromosome:PHallii_v3.1:1:56522254:56525881:-1 gene:PAHAL_1G411200 transcript:PAN08406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRKDQLLARLQELKIDFTCYDHPVVLTVEEQAKHVGHLGGALSKNLLLKDKKHRLYVVSALAETKVDMKILSQRLGLGKGGLRMAPEENLLQVLQVPLGCVTPFALINESASAVSLLLDKGFKSKQTCYFHPLTNDVTIALSSSNLDKFIISIGKQPAYVNFEDAPAVGKDSPPDLAHLVPSGVPNSSEQPVENTTPTNVPHQNNVPKATEVKAKPKVQSKGPETLQSKVDKPTNASSVDNFVNDVFDIISPLFLSEALKKLDIKKEELSSIIDGIRGRAAPDLESIARSLKSASYTSGFHAGFETMLNRGLSGRPSRN >PVH67015 pep chromosome:PHallii_v3.1:1:56522076:56526119:-1 gene:PAHAL_1G411200 transcript:PVH67015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRKDQLLARLQELKIDFTCYDHPVVLTVEEQAKHVGHLGGALSKNLLLKDKKHRLYVVSALAETKVDMKILSQRLGLGKGGLRMAPEENLLQVLQVPLGCVTPFALINESASAVSLLLDKGFKSKQTCYFHPLTNDVTIALSSSNLDKFIISIGKQPAYVNFEDAPAVGKDSPPDLAHLVPSGVPNSSEQPVENTTPTNVPHQNNVPKATVKAKPKVQSKGPETLQSKVDKPTNASSVDNFVNDVFDIISPLFLSEALKKLDIKKEELSSIIDGIRGRAAPDLESIARSLKSASYTSGFHAGFETMLNRGLSGRPSRN >PAN04069 pep chromosome:PHallii_v3.1:1:2715356:2716063:-1 gene:PAHAL_1G039300 transcript:PAN04069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHHRSISLPSRPASKVEEDLRILEACTSSSTTTIETTCDGLKKLGDIYSSIEEVMCLPCNQVCSSQQRKLLDGEMECSLQLLDLCNAMQEDFAELKAIVQDLQVSLRKGDDAAVQAKIQSYFRLVKKAKKHFKKAAKKASSDKEDCRILRLLSEAREITTSLLESTVQLLTKQIAMPKSSIVLKAFQKRTSVVCKEEQLQVLECNIKDLEDGAGLLFRRLIQSRVTLLNILSS >PAN08866 pep chromosome:PHallii_v3.1:1:58658463:58659925:1 gene:PAHAL_1G441200 transcript:PAN08866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSYSKASNGGEQMVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADSKNAHLLSLEGARERLTLCRADVLDYESLRAAFTGCHGVLHVASPVSNDPELVPVAVEGTRNVINAAADEGVRRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYEFCERTNNLYCCAKMMAEITATEAAAARGLPLAVVVPCVTTGPLLQQTLNFSSNHVARYLMGTKRSYPNAVAAYVDVRDVARAHVLAYERPGASGRYLCIGTVLHRAQLVAMLRELFPQYPVTAKCEDDGKPMAKPYKFSNQRARELGLEFTPLKKSLYEAVVCMQQNGHLPVISQQQRSYL >PAN07467 pep chromosome:PHallii_v3.1:1:51696902:51700745:1 gene:PAHAL_1G338500 transcript:PAN07467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPAPLLSCLRAAAAATPPSSAAPLRYGRHARVASPFAVPLPCPRFPRAAAVSPHALHPIAGGFALFSMASAASSASSVHDFTVKDASGKDADLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGSLFGENIKWNFSKFLVDKEGRVVERYAPTTSPLSIEKDIKKLLGSSSTL >PAN05915 pep chromosome:PHallii_v3.1:1:12427944:12431228:-1 gene:PAHAL_1G136800 transcript:PAN05915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKTPPPPPVAAAVAAAAAISSVLGDDDLLREILLRLGLPTSLLRAALVCRRWLRHASDPAFLRRFRGLHPPRLLGAYFSTSAGPAPRLRFLPIRPVPELAAAARRAGAFFDAFKGSATSVYDSRGGRLLVTTFDDRHDSTRQVCGPLSPAGDTAVVPPPPPPPPIQLANDDECLIYHYGEFLPDDGDGCSYFCVVMGYSELQTTVFLYELQDMSWVVRASAAAQLPLLPPRSRVMLFDNAKFYMLSATNKILVCDFPSSSISVMELPNGVENKHGGCIMLSRGDGSGIFLVHVKESQLQIFHCGKDSDNPGNWFLVDYICLRQVCANLDMTTWHSVDEQSASVKIYVVGDNARFVFLEMFRAVVFLDITSRQADKVYQLTPEDKELVSVRPLMLIWPPVFPPMKEGCDQKDSCTAQTAA >PAN03940 pep chromosome:PHallii_v3.1:1:2145218:2146505:1 gene:PAHAL_1G030700 transcript:PAN03940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPTRPGGAPAGQAGRRLGPGVLRLLQAPAVVALAAALAVSAPAPAAPGPAGASEPLCNLPPTLSGEEGGRQGETNRIRHPKSDRAARCTSKCVSTCVLGGYGAPGVAGPFNIRRPLVVFKDTFRSRQYCLVECSDICNLLKDGEDDQ >PAN03941 pep chromosome:PHallii_v3.1:1:2145218:2146505:1 gene:PAHAL_1G030700 transcript:PAN03941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPTRPGGAPAGQAGRRLGPGVLRLLQAPAVVALAAALAVSAPAPAAPGPAGASEPLCNLPPTLSGEEGGRQGETNRIRHPKSDRAARCTSKCVSTCVLGGYGAPGVAGPFNIRSFFVGMGGGRTRARRPLVVFKDTFRSRQYCLVECSDICNLLKDGEDDQ >PVH65774 pep chromosome:PHallii_v3.1:1:5128963:5129133:1 gene:PAHAL_1G074900 transcript:PVH65774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQKLPSPENWDLSDSVIHRWTILGAAPSASRKGLRTLIILVCWEIWKETNARTF >PAN05453 pep chromosome:PHallii_v3.1:1:28550139:28554213:1 gene:PAHAL_1G191400 transcript:PAN05453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTPVASAEEAAALRRRLRRLVAAVAAGSADAEAFDEAAEALAKLRDAELGPRKDRAVAGCGGVNKGGTEAAAVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIPNHLVRSMILQWCTDNGITLPPVENQEEDLVTNNERKTFSKIFERIASSSNLSEQREAIKDLRLLTKCNSSLRAAIGEKPDSISQMISTVSNPELENNAEVLEDMVTTVLNLSIHESNKKIIGDDSMAIPFLIRALQSGTMEARSNAAAAIFSLSALNSNKAKIGELGAMRPLVDLLEHGSMIAKKDAASAIFNLCMLHENKSRATKSGVIDVTLKAIVDDSLVDESLAILALLSGDHETVEEIGETGGVASMLRVIKEDQCKRNKENAAAVLFAVCMYDRTKLREVAEDENLNGSLAWLAQNGTSRARRKAAGILDKMKRAVHHTHYSC >PAN09048 pep chromosome:PHallii_v3.1:1:59454478:59459065:-1 gene:PAHAL_1G454800 transcript:PAN09048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRESTVASGPNFSFYAQHRGIGAPGVPSHSPGLHGPPPGGYRQHLDAVSAGYAFQTPHVGGPHIGQGYHHVEASPPVAQHSAGGGASSGGAMDIGMGVAVSADAKGDQGSVAGQDEQVKKKRGRPRKYKPDGAVTLGLSPSSSSTPHSSNSGMGTMVSTPGSGFGSGGSGSGAPSEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIIQPGEDVAARIMAFSQQGPRAVCIISATGAVSTATLHQDSDSGGVVTYEGRFEILCLSGSYLVLDDGGTRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKGKAEPDMEHEEVNAGEEEAAPAMALQEHNMPPHVMSGWPPGMMREMDSQTSNIDINSIRE >PVH65836 pep chromosome:PHallii_v3.1:1:5992245:5996528:1 gene:PAHAL_1G086500 transcript:PVH65836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRKPSFLLAFVLLASSAGLVVPAASSPVPSTGSSVTDRLALISFGSLVRGDPSRALASWGNLSVPMCRWRGVACGLRGRRRGRVVELGLAELNLVGTISPALGNLTYLRHLRLPWNRFHGVLPPELGNLRDLQTLNLSYNSIQGRIPPSLSNCSRLVSISLYGNKLQGEIPSELSSLRSLQLLSLGENLLSGTIPASIGGLVNLRRLALQWNNLTGEIPPEIGSLGNLVALNLVSNQLSGTIPASLGNLSAVTTLNLEGNKLEGSIPPLQGLSSVKYLHLGLNKLEGTIPPWIGNLSTLVSLDLQKNGLVGQIPETLGNLQQLKVLSLAVNSLSGSIPSALGNLHALTGLYLPINKLEGPLPSSVLNLSSLEMLYVEYNNLTGVFPHNIGSKLSKLNYFLVSYNEFHGVLPLSLCNASQLQVVQTVYNFLSGTIPQCLGAHQKNLSSVHLAGNQFEATNEADWGFLTSLTNCSNMRELALNSNKLQGALPNSIGNISTRLEYLDIEHNNITGTLTEGIGNLINLEKFYMDRNTLTGMIPTSLGNLKKLSQLDLSNNAFSGSIPVTLGNLTQLTILTLSSNAISGAIPSTLNKCPLEALDLSYNNLSGPIPKELFSIPTLSSFINLAQNSLSGTLPSEVGDLKNLNQLDFSNNRISGEIPASIGECRSLEYLNTSGNLLQGTIPLSVGNLRGLLVLDLSYNNLSGMIPEILGSLPGLSSLNLSFNKFQGGVPQEGVFLNATAIFITGNDGLCGGILHLKLPPCLNHTTKKPSQKLVIIVSICSACVFITLVFALAAFYRKNEKIKANLQSSVIREQHVRISYAELANATNGFSPENLIGAGSFGSVFKGRMRVNEQHVPVAVKVINLMQRGASQSFIAECETLKCARHRNLVKILTVCSSIDFQGHDFKALVYEFLPNGNLDQRLHQHIMEDGEQKALDLIERLHIAIDVASSLDYLHQHKPTPIIHCDLKPSNVLLDSDMVAHVGDFGLARFLYQDLEKSSGWASMRGSIGYAAPEYGLGNEVSTHGDVYSYGILLLEMFTGKRPTDDEFGEAIGLHKYVQMSLPDSMASIIDHQLLTEMEGDQVSNSNSSSIREMRTACISSVLQVGIWCSEEMPTDRPPIGDALRELQAIRDKLKSHLCGEGASPNR >PVH65835 pep chromosome:PHallii_v3.1:1:5992257:5995379:1 gene:PAHAL_1G086500 transcript:PVH65835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRKPSFLLAFVLLASSAGLVVPAASSPVPSTGSSVTDRLALISFGSLVRGDPSRALASWGNLSVPMCRWRGVACGLRGRRRGRVVELGLAELNLVGTISPALGNLTYLRHLRLPWNRFHGVLPPELGNLRDLQTLNLSYNSIQGRIPPSLSNCSRLVSISLYGNKLQGEIPSELSSLRSLQLLSLGENLLSGTIPASIGGLVNLRRLALQWNNLTGEIPPEIGSLGNLVALNLVSNQLSGTIPASLGNLSAVTTLNLEGNKLEGSIPPLQGLSSVKYLHLGLNKLEGTIPPWIGNLSTLVSLDLQKNGLVGQIPETLGNLQQLKVLSLAVNSLSGSIPSALGNLHALTGLYLPINKLEGPLPSSVLNLSSLEMLYVEYNNLTGVFPHNIGSKLSKLNYFLVSYNEFHGVLPLSLCNASQLQVVQTVYNFLSGTIPQCLGAHQKNLSSVHLAGNQFEATNEADWGFLTSLTNCSNMRELALNSNKLQGALPNSIGNISTRLEYLDIEHNNITGTLTEGIGNLINLEKFYMDRNTLTGMIPTSLGNLKKLSQLDLSNNAFSGSIPVTLGNLTQLTILTLSSNAISGAIPSTLNKCPLEALDLSYNNLSGPIPKELFSIPTLSSFINLAQNSLSGTLPSEVGDLKNLNQLDFSNNRISGEIPASIGECRSLEYLNTSGNLLQGTIPLSVGNLRGLLVLDLSYNNLSGMIPEILGSLPGLSSLNLSFNKFQGGVPQEGVFLNATAIFITGNDGLCGGILHLKLPPCLNHTTKKPSQKLVIIVSICSACVFITLVFALAAFYRKNEKIKANLQSSVIREQHVRISYAELANATNGFSPENLIGAGSFGSVFKGRMRVNEQHVPVAVKVINLMQRGASQSFIAECETLKCARHRNLVKILTVCSSIDFQGHDFKALVYEFLPNGNLDQRLHQHIMEDGEQKALDLIERLHIAIDVASSLDYLHQHKPTPIIHCDLKPSNVLLDSDMVAHVGDFGLARFLYQDLEKSSGWASMRGSIGYAAPGVVLLALALYSPSIKLVVLGFI >PVH66018 pep chromosome:PHallii_v3.1:1:10317143:10319430:-1 gene:PAHAL_1G127500 transcript:PVH66018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALPATAGRGAVACAAAPVPRRSLLLSTAAAGAALNAEQLPLRLTRGATGAAAKIRASMDAAQAATFTSTDDAQTWAKKDSRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVVELTYNYGVDKYDIGAGFGHFGVGVDDVAKTVELVRAKGGKVTREPGPVKGGRTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKIDNPEYKYTLAMMGYGPEEKNAVLELTYNYGVTEYAKGNAYAQIAIGTDDVYKTAEVVKLFGGQVVREPGPLPGISTKITSILDPDGWKSVFVDNIDFAKELE >PAN04479 pep chromosome:PHallii_v3.1:1:4813817:4815976:1 gene:PAHAL_1G070500 transcript:PAN04479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSSPPSHYLSQPHPDLQFTPETTRTPPLPSATIHPPPHLLVTTRLPPLPPAPKYLPPNLVSARLPPLPPRPRRLLSQGRSQRQRQVTSSQTTRNGEAKAWPMQDPNGDDFSAPKLHKKKVVYRPLPSGLLKGEPELLRREVPHSSGNVQKPPKRSLKSERRATPPSDRETPYSLPDSGPTDEYRALRRKYMMLEEENFALDQELSMEDEEIKALEEEKLAFLDELVVLEGLVEPSQLLFHRRP >PAN07706 pep chromosome:PHallii_v3.1:1:52891692:52895436:-1 gene:PAHAL_1G356600 transcript:PAN07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALPARFLASPEPGWWRRRPRRGSLQPVSAALMTNPAYFEVGRFLGGYGFMNITSYSSSQFGESSNVAGIQDLGLGYSAEELERLRVQDIGEGEVTIRLYEGRVVQGPLRGTQAVFKVYPGARAGASEADLMALNELRTHAFLQSDASNICGNIQFLLGAFETETGEQWLAFRDDGKYSAADYAKITSERQLKEQSGDTPFWNPFNRAYKLELRRYFVLKLLNGAMSGLLHMHNHDRLHQSLGPSSVILNTVMEKEGPYLVPRLRDLAFSVDIGYSSVGAGALSDGLWRRASAAGASTPLEKRAFGIADDIYGAGLLVAYMAFIPFCEAGIMDGISLQRLLENTFRLDIYAAREYCLADERLLEAVNFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFITGAVLRNY >PAN07707 pep chromosome:PHallii_v3.1:1:52892163:52895062:-1 gene:PAHAL_1G356600 transcript:PAN07707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALPARFLASPEPGWWRRRPRRGSLQPVSAALMTNPAYFEVGRFLGGYGFMNITSYSSSQFGESSNVAGIQDLGLGYSAEELERLRVQDIGEGEVTIRLYEGRVVQGPLRGTQAVFKVYPGARAGASEADLMALNELRTHAFLQSDASNICGNIQFLLGAFETETGEQWLAFRDDGKYSAADYAKITSERQLKEQSGDTPFWNPFNRAYKLELRRYFVLKLLNGAMSGLLHMHNHDRLHQSLGPSSVILNTVMEKEGPYLVPRLRDLAFSVDIGYSSVGAGALSDGLWRRASAAGASTPLEKRAFGIADDIYGAGLLVAYMAFIPFCEAGIMDGISLQRLLENTFRLDIYAAREYELQTQNKLPFYLILESFLLEKTIMLCIVWQMSGCWKQ >PAN06313 pep chromosome:PHallii_v3.1:1:45905150:45908265:1 gene:PAHAL_1G255000 transcript:PAN06313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLCSASPRPLEAPGQPRRGARPPRTVAVAAGARASGAEARASLVLALASQALAASQRRAADLAAEAAKYAFPSRRFEPRTLEEALMSVPDLETVPFRVLKREADYEIREVESYFVAETTMPGRFGFDFNGSSQSFNVLASYLFGKNVASEQMEMTTPVITRKGEPSSETMEMTTPVITKKSAGENKWKMSFVMPAKYGSNLPRPKDPSVTIKEVPSKIVAVAAFSGLVTDDDISKRESKLRESLQKDTEFRVKDGSVVEVAQYNPPFTLPFTRRNEIALEVKRNDISS >PAN06378 pep chromosome:PHallii_v3.1:1:46465732:46468832:1 gene:PAHAL_1G260000 transcript:PAN06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCRISWRYGGKKILYFSRVPSHTIPSSLITSTSCDPFIAYVVLFPVQSHKHLSRISRTRSTLMIYVKSYPEAAVCRLSALAIWVLSNMVSPSLPLIFC >PAN06377 pep chromosome:PHallii_v3.1:1:46465732:46468832:1 gene:PAHAL_1G260000 transcript:PAN06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCRISWRYGGKKILYFSRVPSHTIPSSLITSTSCDPFIAYVVLFPVQSHKHLSRISRTRSTLMIYVKSYPEAAVCRLSALAIWVLSNMVSPSLPLIFC >PAN03857 pep chromosome:PHallii_v3.1:1:1803029:1807728:1 gene:PAHAL_1G025300 transcript:PAN03857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLRPPLPGPSLCARSRVSPSPAAGRLGSAALVGGGRRCRRGLAVAASAAPSWMEEAGVAVLEEGVRRNPSVSDSYRPAGLPRPNATVLEAQGRVCTGPGQTRPLGEEQAMRVLDTILRSAMGELKEEPASSAQLGAFFAGMTIRANSFPEATRWSEGERRAMSIFWPRLVQVLPPEVKFIADPEGTIMGANGLTGPRYVGQGTGEMRLVGALREVLAGGHLGYEEVQCVLKDILPIGSNSSSTVVSEALLAAFLIGQRMNRETDRELKAYCLAFDDELGPPPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESCLLHGVEWMPPKGGITEGQMLKFMGANIHLSPTQVKTLLEDENAGFAYLNLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRARGRESMIAGFYHEGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRTPNSINSSETDGIARESFKVIVNAQELGFESTETPRTDRSILKNLELGLAALGGEKGAAYDRIVLNAAMADHLLGCSGAEDINTALDRAREAIDSGKALRRLMSYIKISHKVT >PVH66143 pep chromosome:PHallii_v3.1:1:19354320:19355180:-1 gene:PAHAL_1G159300 transcript:PVH66143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPGLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCWIFEEHLAPTPAKFFPPAIKTPVWEARMRNLERRRHEEGPLYQVATYLTALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGTLFRNTQAPPENPESSAAAAEGDAAAQPSTNGNPEDGEQGSLTLPAPEEGTPRE >PAN06163 pep chromosome:PHallii_v3.1:1:44379082:44382479:1 gene:PAHAL_1G242800 transcript:PAN06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKAKEAAAAPAVKGKEAEEKKGEGGGGEEKKEDAPPPPEEVVMRVFMHCEGCARKVKKILKGFDGVEDVSADSKAHKVVVKGKKAAADPLKVVERVQKKTGRKVELLSPMPPAEEEKKEEEKKEEPEPPKPEEKKEEPTVLAVVLKVHMHCEACAQVIKKKILKMKGVQSVEADLKASQVTVKGVFEEAKLADYVHRRTGKHATIVKSEPVAAESAGDGNAKDDKKAAEGGEEKKDDGKEEKKDGGDAGGDGKDADKQKDGGNAGEGEDKDPAAMAHLYMHYPRFNHQSGYGYAYQYPPQLFSDENPNACSVM >PAN06447 pep chromosome:PHallii_v3.1:1:46856497:46860903:1 gene:PAHAL_1G264700 transcript:PAN06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGAALAALALLVLLPVAAASDSDHKYQADEPVTLWVNKVGPYNNPQETYNYYSLPFCHATENHVHKWGGLGEVLGGNELIDSQIDIKFRKNVDKGTICSLDLDPDKAKQLSDAIENSYWFEFFIDDLPLWGFVGEADRNNDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDANKAMDMTYSVKWEPTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDIETLERDVNEESGWKLVHGDVFRPPRNLVLLSSLVGIGTQLAALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMAMTASLFPFMCFGIGLVLNTIAIFYGSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTVVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYHMKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >PAN05920 pep chromosome:PHallii_v3.1:1:13189091:13195485:-1 gene:PAHAL_1G139100 transcript:PAN05920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDTKFDASQYAFFGNNAVEEVELGGLDDDDGNTASIEHGDEEYPLYGRDNMLEDEGVGSFTDIDDLAGTFSKLTRIVNDPKQPGVVGHRGSVSRQSSNADWAQDTGSSYWPMQPELDTDRGLDKKNWWSQPPHSVDFIDSRLHRTSSSPHQDAQYNPVEPILGANPSPLQRTSSYPQQEPQYNNTEPIPVPKSSFISYPPSGAASHSSPSQPHHMNMPSPTAFQMPMSAKNDRALSQFHHGGTPPGPPFGRELAHMGPMGGATNTVQQNHVLNSGQMHGNGARFMPGLMQHQLQRPNGLMPPQMQPPRQQLGMLPIQQSPPHFSQLHAQMLGPRHSPPQSMQMFGPKHPPPQVRGRFDANLGMPDLSDPRARSFLQHGRLGQRYPHQGYELNNTRMDNGWPRFRSRYMSTEEIENIARMQQAATHINDPYIDDYYHQACLAKKSEGAQLKHHFCPTLIRDPSSRARSRDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQASAQSENTEKSVSKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSHQQDGGLQLRNRRQALLEQLAESLQLVDPLAPNKNAPLTSYDDLVFLRIVTLPKGRKLLSRYLELVTSGSELARIACMAVFRHLRTIFGNMPSDISAAETMTRLARAISTCVVRMELSDLSACLAAIVCSSLQPPLRPLGSPAGDWASVIIKSVLDRATVLLTDQHVASTYSMQNRALWQASFDAFFGLLTQYCMSKFDSVVHTVQLQPAAAAVISREMPVELLRASLPHTNADQRKQLLSFAQRTVPVGTHSSHGSDSGPMTSDSVQS >PVH66924 pep chromosome:PHallii_v3.1:1:54870998:54871680:-1 gene:PAHAL_1G386000 transcript:PVH66924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARKSFVLPLLMAVLILLVVSGAARRLDDGDRWAAGEAASGVGGHPIIQFLKRLYLQQLSSGLCRHDVTSDPNSTPACPPPP >PAN07574 pep chromosome:PHallii_v3.1:1:52267006:52270899:1 gene:PAHAL_1G347000 transcript:PAN07574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVATNGSAAEQAPAPALKFLIYGRTGWIGGLLGGLCAARGIPFAYGAGRLENRAQLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETVRANVVGTLTLADVCRARGLVLINYATGCIFEYDDAHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFNLEEQAKVIVAPRSNNELDQTKLKNEFPELLSIKESLIKYVFEPNRKTSKA >PVH66804 pep chromosome:PHallii_v3.1:1:52598439:52598957:-1 gene:PAHAL_1G352500 transcript:PVH66804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPERNACDAINGSAGAAWQWHPLAPIRARQAGTTFDPNPLPLHRARLPFLCPHLMRVGVGDGRTTTLPLPRPRLASCEPPSLPRAPPNHRPPLHQTPVTSSPPRHRHPPPRARPSPPATRTNEAARPPRSRVSRASSPPRFNHARTQRGAGFDRPFVHGWSRASRPGAPIE >PAN03575 pep chromosome:PHallii_v3.1:1:584207:588373:-1 gene:PAHAL_1G006100 transcript:PAN03575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT4G10840) UniProtKB/Swiss-Prot;Acc:O81629] MPGLAASDNSPPAAAPPPRRLSSPLPRRAPPSPSPSTSSRAKPRKPPAAPAEADESLDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGSELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTPPPPPAEGEGEAAGENHQQQPQPEADQRGEEWSLAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGDRDPRVAETCRYLAEAHVQALQFDDAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVSIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPTVASVYVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEIAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMIGRYADSRNSFESAVGKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARTVLEQECGATHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLENLFGTNSQRAKKEAGRRWSNFGFRS >PVH65953 pep chromosome:PHallii_v3.1:1:8509754:8511197:1 gene:PAHAL_1G111400 transcript:PVH65953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTVRRHHVRHLLRQSVGLQLHPCSPPMGHPVVRQLHQNAFWHGAGVGHYRRSAAGGKGARFAATSSGSSAGSGAVVAASSSTAGEASGRRPGATGPPASRTTHWSPEKLGELLAQEMTQMASTEVARKRRTSIKGNRQIASHLTFQIGDLFTSFLSSSVFRAADNMLEIV >PAN06520 pep chromosome:PHallii_v3.1:1:47173491:47180666:-1 gene:PAHAL_1G269100 transcript:PAN06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSPRPPRRPEREGSYNHDIESMDGSGAGGGPPWRSQNGSSEALLRYDDGGSAREPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKKQIFQYIVLKWSLVLLIGLLTGLVGFFNNLAVENIAGLKLLLTSRLMLNESYFKAFFVYGGCNLVLAASAAAICAYVAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIVLGIIGGIFGGLFNFLLDKILRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSGNYKNFQCPQGYYNDLASLFFNTNDDAIRNLFSNGTANEFRMSSLFIFFTAIYCLGLVTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPITETPELVGLVTRSHLLVLLNSKNFMKGRVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLLVVPKTPDRPPIVGILTRHDFMPEHIHSLFPNLNPHRYHSASMAG >PAN03644 pep chromosome:PHallii_v3.1:1:1126543:1132100:1 gene:PAHAL_1G013000 transcript:PAN03644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILFLMLFLVSLFLFPNVIHKSLAARPSVVSIGSILRFNSTTGGVSVVAIHAALEDINSDPTVLNGTMLQVDMRDTNCDDGFLGMVEALQFMETDAIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTMPSDLYEMAAVAAVVDYYQWKIVTAIYVDDDYGRNGIAALDDELTARRCKISYKVGFPSNAKRSELLNLLVTVSNMESRVIILHTGAEPGLKLLSLANGLNMMGNGYVWIATDWLSSYIDANSSVPAETINGMQGVLTVRPHIPKSKMKSNLMSKWSSLSKKYNHSDLRLSAYGFYVYDSVWAVARALDAFFNDGGRISFKNDSRLRDETGGSLHLEAMSVFDMGNKLLGKIRQVNFTGASGQVQFNAQGELIHPAYDIISIIGNGVRTIGFWSNYTRLLSTVLPEDLYLKPPNTSLANQHLYDVIWPGETAQKPRGWVFPSNAKELIIGVPNRFSFKEFVTLDNGTGKMTGYCIDVFTQALSLLPYPVTYRFEAFGSGTENPHYDQLVQKIVDNEFDAAVGDIAITMSRTQTLDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLGMWCVVGLSFLVVGVVIWVLEHRINDEFRGSPRQQLITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGLDDLKNSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERPYVELFLSTNCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGECLADNTQFVDSNQLRLESFLGLFLICGAACVLALLIYFGIMLRRYLKHEPPESISAEPGSSKSKRSLKRFFSFVDDREPPKQKRSLRLSGSSMPTTPTSNVDIERPVRPIRNGGVVNIES >PAN03650 pep chromosome:PHallii_v3.1:1:1126542:1132100:1 gene:PAHAL_1G013000 transcript:PAN03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILFLMLFLVSLFLFPNVIHKSLAARPSVVSIGSILRFNSTTGGVSVVAIHAALEDINSDPTVLNGTMLQVDMRDTNCDDGFLGMVEALQFMETDAIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTMPSDLYEMAAVAAVVDYYQWKIVTAIYVDDDYGRNGIAALDDELTARRCKISYKVGFPSNAKRSELLNLLVTVSNMESRVIILHTGAEPGLKLLSLANGLNMMGNGYVWIATDWLSSYIDANSSVPAETINGMQGVLTVRPHIPKSKMKSNLMSKWSSLSKKYNHSDLRLSAYGFYVYDSVWAVARALDAFFNDGGRISFKNDSRLRDETGGSLHLEAMSVFDMGNKLLGKIRQVNFTGASGQVQFNAQGELIHPAYDIISIIGNGVRTIGFWSNYTRLLSTVLPEDLYLKPPNTSLANQHLYDVIWPGETAQKPRGWVFPSNAKELIIGVPNRFSFKEFVTLDNGTGKMTGYCIDVFTQALSLLPYPVTYRFEAFGSGTENPHYDQLVQKIVDNEFDAAVGDIAITMSRTQTLDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLGMWCVVGLSFLVVGVVIWVLEHRINDEFRGSPRQQLITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGLDDLKNSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERPYVELFLSTNCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTEPGSSKSKRSLKRFFSFVDDREPPKQKRSLRLSGSSMPTTPTSNVDIERPVRPIRNGGVVNIES >PVH65517 pep chromosome:PHallii_v3.1:1:1127684:1132100:1 gene:PAHAL_1G013000 transcript:PVH65517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILFLMLFLVSLFLFPNVIHKSLAARPSVVSIGSILRFNSTTGGVSVVAIHAALEDINSDPTVLNGTMLQVDMRDTNCDDGFLGMVEALQFMETDAIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTMPSDLYEMAAVAAVVDYYQWKIVTAIYVDDDYGRNGIAALDDELTARRCKISYKVGFPSNAKRSELLNLLVTVSNMESRVIILHTGAEPGLKLLSLANGLNMMGNGYVWIATDWLSSYIDANSSVPAETINGMQGVLTVRPHIPKSKMKSNLMSKWSSLSKKYNHSDLRLSAYGFYVYDSVWAVARALDAFFNDGGRISFKNDSRLRDETGGSLHLEAMSVFDMGNKLLGKIRQVNFTGASGQVQFNAQGELIHPAYDIISIIGNGVRTIGFWSNYTRLLSTVLPEDLYLKPPNTSLANQHLYDVIWPGETAQKPRGWVFPSNAKELIIGVPNRFSFKEFVTLDNGTGKMTGYCIDVFTQALSLLPYPVTYRFEAFGSGTENPHYDQLVQKIVDNEFDAAVGDIAITMSRTQTLDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLGMWCVVGLSFLVVGVVIWVLEHRINDEFRGSPRQQLITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGLDDLKNSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERPYVELFLSTNCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTEPGSSKSKRSLKRFFSFVDDREPPKQKRSLRLSGSSMPTTPTSNVDIERPVRPIRNGGVVNIES >PAN03649 pep chromosome:PHallii_v3.1:1:1126542:1132100:1 gene:PAHAL_1G013000 transcript:PAN03649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILFLMLFLVSLFLFPNVIHKSLAARPSVVSIGSILRFNSTTGGVSVVAIHAALEDINSDPTVLNGTMLQVDMRDTNCDDGFLGMVEALQFMETDAIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTMPSDLYEMAAVAAVVDYYQWKIVTAIYVDDDYGRNGIAALDDELTARRCKISYKVGFPSNAKRSELLNLLVTVSNMESRVIILHTGAEPGLKLLSLANGLNMMGNGYVWIATDWLSSYIDANSSVPAETINGMQGVLTVRPHIPKSKMKSNLMSKWSSLSKKYNHSDLRLSAYGFYVYDSVWAVARALDAFFNDGGRISFKNDSRLRDETGGSLHLEAMSVFDMGNKLLGKIRQVNFTGASGQVQFNAQGELIHPAYDIISIIGNGVRTIGFWSNYTRLLSTVLPEDLYLKPPNTSLANQHLYDVIWPGETAQKPRGWVFPSNAKELIIGVPNRFSFKEFVTLDNGTGKMTGYCIDVFTQALSLLPYPVTYRFEAFGSGTENPHYDQLVQKIVDNEFDAAVGDIAITMSRTQTLDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLGMWCVVGLSFLVVGVVIWVLEHRINDEFRGSPRQQLITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGLDDLKNSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERPYVELFLSTNCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTEPGSSKSKRSLKRFFSFVDDREPPKQKRSLRLSGSSMPTTPTSNVDIERPVRPIRNGGVVNIES >PVH65516 pep chromosome:PHallii_v3.1:1:1126543:1132100:1 gene:PAHAL_1G013000 transcript:PVH65516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILFLMLFLVSLFLFPNVIHKSLAARPSVVSIGSILRFNSTTGGVSVVAIHAALEDINSDPTVLNGTMLQVDMRDTNCDDGFLGMVEALQFMETDAIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTMPSDLYEMAAVAAVVDYYQWKIVTAIYVDDDYGRNGIAALDDELTARRCKISYKVGFPSNAKRSELLNLLVTVSNMESRVIILHTGAEPGLKLLSLANGLNMMGNGYVWIATDWLSSYIDANSSVPAETINGMQGVLTVRPHIPKSKMKSNLMSKWSSLSKKYNHSDLRLSAYGFYVYDSVWAVARALDAFFNDGGRISFKNDSRLRDETGGSLHLEAMSVFDMGNKLLGKIRQVNFTGASGQVQFNAQGELIHPAYDIISIIGNGVRTIGFWSNYTRLLSTVLPEDLYLKPPNTSLANQHLYDVIWPGETAQKPRGWVFPSNAKELIIGVPNRFSFKEFVTLDNGTGKMTGYCIDVFTQALSLLPYPVTYRFEAFGSGTENPHYDQLVQKIVDNEFDAAVGDIAITMSRTQTLDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLGMWCVVGLSFLVVGVVIWVLEHRINDEFRGSPRQQLITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGLDDLKNSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERPYVELFLSTNCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGECLADNTQFVDSNQLRLESFLGLFLICGAACVLALLIYFGIMLRRYLKHEPPESISAEPGSSKSKRSLKRFFSFVDDREPPKQKRSLRLSGSSMPTTPTSNVDIERPVRPIRNGGVVNIES >PAN06879 pep chromosome:PHallii_v3.1:1:48841175:48843189:-1 gene:PAHAL_1G294200 transcript:PAN06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGGVSLRPPSAPAPARIGQLSSVDVGGRFVLRAAPRRYPARRALAVEARGGRSWSERQMLQQRRGPQLPKVEDDGNPRFVIFIRTANVYFWYPLNVITGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDEIIDTAKAQYRVLKTENEFRYGYKVVEKGNIRSALTTSNVIELPKKEELKTVVDKVKDFFGDVTAGAKDSFAQITGTAETKEEEAEGKEKFRSKRRKKQRKSKQGLKTEK >PVH65670 pep chromosome:PHallii_v3.1:1:3347636:3347987:1 gene:PAHAL_1G048700 transcript:PVH65670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSRCSIVVSIPACHAGDPGSIPGNGAPFLLIILGMQVCCSINSSYRSFGVTPVVRLSECAPSFLCTSFFTYALTTGTSRISNGHRKDL >PVH66151 pep chromosome:PHallii_v3.1:1:21089714:21090502:1 gene:PAHAL_1G163100 transcript:PVH66151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSIGSLMVGNYSGCLCIRASRIWDFYDPRDETKLLHIDLVLIDKEGNSIHAQIYPPITEMFKPLIKEGSVYNISFIQVKKSNRMYKPVKNDIIINFTRWTTVEEVVEVPTAFPVITYSLTPIDKLPSHVEDREYFTDVIGTVTGISGVSPVRPRSQQADTLKRTVTIRDARSAVHV >PAN04426 pep chromosome:PHallii_v3.1:1:4517911:4519864:1 gene:PAHAL_1G066200 transcript:PAN04426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVESECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTPEEDAAILAAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLATTTLEAGDAEPPCKRASPGPGSPTGSERSELSHGSGGGGAQVFRPVPRAGGFDAISAADARPPPPPRDEDPLTSLSLSLPGLEQASSGFRHDSARSHFQELSPSRSPSPPSPSSSTTGPYPFSGELVAAMQEMIRAEVRKYMSGAGLRAGCGAGAVGEACMPQLVEGVMRAAAERVGAVVTRP >PAN03684 pep chromosome:PHallii_v3.1:1:1247995:1249506:-1 gene:PAHAL_1G015300 transcript:PAN03684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAALEAPPLERPSLGEATLDDETRALVVPDAADLPASPPSAVEANFARYFIADFMNPGHDQYVYRHPNGLCVVGLAPAHVAFKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCVNDKSFVVRLLPLTLQTKEVLC >PAN03685 pep chromosome:PHallii_v3.1:1:1246838:1249717:-1 gene:PAHAL_1G015300 transcript:PAN03685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAALEAPPLERPSLGEATLDDETRALVVPDAADLPASPPSAVEANFARYFIADFMNPGHDQYVYRHPNGLCVVGLAPAHVAFKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCVNDKSFVVRCCVKGSLLEINDRLIKQPDLLNTAADREGYIAIFQPKPADWLKIKDKFLSYKDYRNLRGAC >PAN05089 pep chromosome:PHallii_v3.1:1:8899411:8900055:-1 gene:PAHAL_1G114400 transcript:PAN05089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSTSAMGGSGAVVKPPSRRLRCALVSCGIGSILIAAALLAVTLTVYRVREPVMTMNAISLKDPGAAAAYSSSPSSSTPPPLLTLTVVADVSVKNPNAASLRYAATETSVYYRARQVGEATGPPGTAPARRTVRMNVTVDVAVGALLGDPAFLGDVGAGAVAVATATRVRGRVAVLGGLVRRRVVLEMNCTATIAVADMSISDQSCEQRVRLR >PAN04026 pep chromosome:PHallii_v3.1:1:2465399:2467337:-1 gene:PAHAL_1G036100 transcript:PAN04026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQPCDPQLRACSMKVKLEAAGKQASMAPSRSLPRCASELADPPSPFSSNPAHHPVSLPTTPSGLSSSASYAAKPGAGSPPSTPGKPKSKPTTPAAAMAAYYASQWSPRRLMQRAARAFRSSRSRRVRSRDVAGEERGSSPTSKVSDTTCAVSLGGGGAAEQAHNDGNGDAAAGVQPQQQEEERHDHPEAVPEKIIHEMNHHAPPAVAEDECGAKTAPAEDECEAKTTPAEEEKENETAAAAAPATEEVESPKKEAGPAPAPAPEPVAAAAEEVADKFVAVVKAAIRKHEEEQGEKKGMARKFQGSRVRTAMEARAESEHPRRREATPRSNDVIEEARSKLLEMRQCSRVRALVGAFETVMDSNKDAAGSTPRQHCRRSA >PAN05305 pep chromosome:PHallii_v3.1:1:11047974:11056422:1 gene:PAHAL_1G130500 transcript:PAN05305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQTLTLRGSPSARRAAPPPASSAHLAAPGPCALRVPRSLRRRRPRTLRASASLEQEVKEVAGSPVPSAGKSCQATRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLADDLGPLFEAILRCIPEPRIEKDGALQMLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRVGKVSELFVYQNFSRVPVESVSAGDICAVCGMNDIMIGETIADKITGTPLPTIKVEEPTVRMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKLLEPYEIAAVEVPEEYMGSVVELLGKRRGQMVNMEASGPEGTTLLKYKIPTRGLIGLRNAILTASRGRAILNTIFDSYGSWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGLLFVQPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEPLSYSLDDCIEFIQEDELVEVTPSSIRMCKNPKISKKK >PVH66449 pep chromosome:PHallii_v3.1:1:45271167:45271906:-1 gene:PAHAL_1G249500 transcript:PVH66449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLITRWGFYSVYNIDTKLRRVKSITKTPISTEINNNNFKNQLKIWRLCNNILRFQESDSPYLNYIHVTHTTQ >PVH66200 pep chromosome:PHallii_v3.1:1:24795739:24800257:-1 gene:PAHAL_1G176700 transcript:PVH66200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPIAAGTTCSPSHPAAAVSPHPFPPTNHKPQRTRIRLAAAAGTSRWVNPRAPAPPPRRGAGGGGANQRLNHLVRLGDLDAALLLVESMRDPDRPAVVPCTLLIKKLCAAGRLDDAERVLGASERAGTADAVARNTLVAGYCRAGRRLADAERMLSSLAASGAADVVTYNTLVAGYCREGRLGDARRLVAGMPLAPNSYTNSTLLKGLCSAKEWDDAEELVAEMIRSGCPPNHLTFGMVIHSLCQNGLVDRAMGVLDQMSECGCTRSVIVYNEIISCLAELGRVEEALDLFNRMPCKPDIFSYNAAVKGLCRGERWEDAGKLITEMVRKDCPPDEVTFNTVISYLCHRGLVDCAMEVVEKMPEYGCKPDNFTYSALVNAFSEHGWVDDALELLRSIPWRPNTVCYRSVLKGLCRADRWEDVGKLVAEMIRNNLTIDEVTFGLIIDCLCQKGLVGYGVEVLEEMSNYGCSPDIIMYNSLINGFVEYGSVDDALKLFKSMPCKRNVVTYNYMLKGLCRANQWEDAGKLVAEMGNDECLPNEVTFSTLISYLCQKGLVECAIEVFEKMPKYNCLPNVIIYNTLINGLSEQDCVDDALKLLNNMPSKADTICYSSALKGLCRAERWEDAGELILEMIRKNCPPDEVTFSILINNLCHKGFVEYAIEISELMHKYKCKPNIVIYSSLINGFSEQNRVEEALKLLRSMPCEPDTICYSAALKGLCRAKRWEDARELIAEMFRKQCPPDEATFSMLIGLLCQNGLVELVTEVFEQMSEYGCSPNSMIRHSLVNSYSEQGCADEALKLSGSMAGKPPISVVLH >PAN05406 pep chromosome:PHallii_v3.1:1:24796761:24800257:-1 gene:PAHAL_1G176700 transcript:PAN05406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPIAAGTTCSPSHPAAAVSPHPFPPTNHKPQRTRIRLAAAAGTSRWVNPRAPAPPPRRGAGGGGANQRLNHLVRLGDLDAALLLVESMRDPDRPAVVPCTLLIKKLCAAGRLDDAERVLGASERAGTADAVARNTLVAGYCRAGRRLADAERMLSSLAASGAADVVTYNTLVAGYCREGRLGDARRLVAGMPLAPNSYTNSTLLKGLCSAKEWDDAEELVAEMIRSGCPPNHLTFGMVIHSLCQNGLVDRAMGVLDQMSECGCTRSVIVYNEIISCLAELGRVEEALDLFNRMPCKPDIFSYNAAVKGLCRGERWEDAGKLITEMVRKDCPPDEVTFNTVISYLCHRGLVDCAMEVVEKMPEYGCKPDNFTYSALVNAFSEHGWVDDALELLRSIPWRPNTVCYRSVLKGLCRADRWEDVGKLVAEMIRNNLTIDEVTFGLIIDCLCQKGLVGYGVEVLEEMSNYGCSPDIIMYNSLINGFVEYGSVDDALKLFKSMPCKRNVVTYNYMLKGLCRANQWEDAGKLVAEMGNDECLPNEVTFSTLISYLCQKGLVECAIEVFEKMPKYNCLPNVIIYNTLINGLSEQDCVDDALKLLNNMPSKADTICYSSALKGLCRAERWEDAGELILEMIRKNCPPDEVTFSILINNLCHKGFVEYAIEISELMHKYKCKPNIVIYSSLINGFSEQNRVEEALKLLRSMPCEPDTICYSAALKGLCRAKRWEDARELIAEMFRKQCPPDEATFSMLIGLLCQNGLVELVTEVFEQMSEYGCSPNSMIRHSLVNSYSEQGCADEALKLSGSMAGKPPISVVLH >PAN05404 pep chromosome:PHallii_v3.1:1:24795737:24800281:-1 gene:PAHAL_1G176700 transcript:PAN05404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPIAAGTTCSPSHPAAAVSPHPFPPTNHKPQRTRIRLAAAAGTSRWVNPRAPAPPPRRGAGGGGANQRLNHLVRLGDLDAALLLVESMRDPDRPAVVPCTLLIKKLCAAGRLDDAERVLGASERAGTADAVARNTLVAGYCRAGRRLADAERMLSSLAASGAADVVTYNTLVAGYCREGRLGDARRLVAGMPLAPNSYTNSTLLKGLCSAKEWDDAEELVAEMIRSGCPPNHLTFGMVIHSLCQNGLVDRAMGVLDQMSECGCTRSVIVYNEIISCLAELGRVEEALDLFNRMPCKPDIFSYNAAVKGLCRGERWEDAGKLITEMVRKDCPPDEVTFNTVISYLCHRGLVDCAMEVVEKMPEYGCKPDNFTYSALVNAFSEHGWVDDALELLRSIPWRPNTVCYRSVLKGLCRADRWEDVGKLVAEMIRNNLTIDEVTFGLIIDCLCQKGLVGYGVEVLEEMSNYGCSPDIIMYNSLINGFVEYGSVDDALKLFKSMPCKRNVVTYNYMLKGLCRANQWEDAGKLVAEMGNDECLPNEVTFSTLISYLCQKGLVECAIEVFEKMPKYNCLPNVIIYNTLINGLSEQDCVDDALKLLNNMPSKADTICYSSALKGLCRAERWEDAGELILEMIRKNCPPDEVTFSILINNLCHKGFVEYAIEISELMHKYKCKPNIVIYSSLINGFSEQNRVEEALKLLRSMPCEPDTICYSAALKGLCRAKRWEDARELIAEMFRKQCPPDEATFSMLIGLLCQNGLVELVTEVFEQMSEYGCSPNSMIRHSLVNSYSEQGCADEALKLSGSMAGKPPISVVLH >PAN05405 pep chromosome:PHallii_v3.1:1:24797804:24800257:-1 gene:PAHAL_1G176700 transcript:PAN05405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPIAAGTTCSPSHPAAAVSPHPFPPTNHKPQRTRIRLAAAAGTSRWVNPRAPAPPPRRGAGGGGANQRLNHLVRLGDLDAALLLVESMRDPDRPAVVPCTLLIKKLCAAGRLDDAERVLGASERAGTADAVARNTLVAGYCRAGRRLADAERMLSSLAASGAADVVTYNTLVAGYCREGRLGDARRLVAGMPLAPNSYTNSTLLKGLCSAKEWDDAEELVAEMIRSGCPPNHLTFGMVIHSLCQNGLVDRAMGVLDQMSECGCTRSVIVYNEIISCLAELGRVEEALDLFNRMPCKPDIFSYNAAVKGLCRGERWEDAGKLITEMVRKDCPPDEVTFNTVISYLCHRGLVDCAMEVVEKMPEYGCKPDNFTYSALVNAFSEHGWVDDALELLRSIPWRPNTVCYRSVLKGLCRADRWEDVGKLVAEMIRNNLTIDEVTFGLIIDCLCQKGLVGYGVEVLEEMSNYGCSPDIIMYNSLINGFVEYGSVDDALKLFKSMPCKRNVVTYNYMLKGLCRANQWEDAGKLVAEMGNDECLPNEVTFSTLISYLCQKGLVECAIEVFEKMPKYNCLPNVIIYNTLINGLSEQDCVDDALKLLNNMPSKADTICYSSALKGLCRAERWEDAGELILEMIRKNCPPDEVTFSILINNLCHKGFVEYAIEISELMHKYKCKPNIVIYSSLINGFSEQNRVEEALKLLRSMPCEPDTICYSAALKGLCRAKRWEDARELIAEMFRKQCPPDEATFSMLIGLLCQNGLVELVTEVFEQMSEYGCSPNSMIRHSLVNSYSEQGCADEALKLSGSMAGKPPISVVLH >PVH66201 pep chromosome:PHallii_v3.1:1:24795738:24800281:-1 gene:PAHAL_1G176700 transcript:PVH66201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPIAAGTTCSPSHPAAAVSPHPFPPTNHKPQRTRIRLAAAAGTSRWVNPRAPAPPPRRGAGGGGANQRLNHLVRLGDLDAALLLVESMRDPDRPAVVPCTLLIKKLCAAGRLDDAERVLGASERAGTADAVARNTLVAGYCRAGRRLADAERMLSSLAASGAADVVTYNTLVAGYCREGRLGDARRLVAGMPLAPNSYTNSTLLKGLCSAKEWDDAEELVAEMIRSGCPPNHLTFGMVIHSLCQNGLVDRAMGVLDQMSECGCTRSVIVYNEIISCLAELGRVEEALDLFNRMPCKPDIFSYNAAVKGLCRGERWEDAGKLITEMVRKDCPPDEVTFNTVISYLCHRGLVDCAMEVVEKMPEYGCKPDNFTYSALVNAFSEHGWVDDALELLRSIPWRPNTVCYRSVLKGLCRADRWEDVGKLVAEMIRNNLTIDEVTFGLIIDCLCQKGLVGYGVEVLEEMSNYGCSPDIIMYNSLINGFVEYGSVDDALKLFKSMPCKRNVVTYNYMLKGLCRANQWEDAGKLVAEMGNDECLPNEVTFSTLISYLCQKGLVECAIEVFEKMPKYNCLPNVIIYNTLINGLSEQDCVDDALKLLNNMPSKADTICYSSALKGLCRAERWEDAGELILEMIRKNCPPDEVTFSILINNLCHKGFVEYAIEISELMHKYKCKPNIVIYSSLINGFSEQNRVEEALKLLRSMPCEPDTICYSAALKGLCRAKRWEDARELIAEMFRKQCPPDEATFSMLIGLLCQNGLVELVTEVFEQMSEYGCSPNSMIRHSLVNSYSEQGCADEALKLSGSMAGKPPISVVLH >PVH66137 pep chromosome:PHallii_v3.1:1:19045335:19050828:-1 gene:PAHAL_1G158100 transcript:PVH66137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRTVVWVANRDTPIKGGNGSLTLSTNSLDLLDRRGNNVWSSRSRFSTNSPQAFLLDSGNLVINDSMSGTPNPLWQSFADPCDTLLSGMTIGYDTSPTIRYMQLTSWKSLSDPSTGSYSIKLDPRRRPPELLLFNDTTLLCRTGPWNGQGFSGQPYLKTTNKLAFNMTVHDDSAYYSFTSLDSSVQWRFVMSSDGIAHRWYSNPSKDDWVEYWHWPQSQCDTYAFCGPNGACLNDDCTCLQEFVPRSPNDWTQRNFTGGCVRNATLSCSSANGFYRLQHVKVPDTLNATMVGGKSLDDCKELCLKNCSCSAYALLGESNCVVWSGDLVDVVLFVDGINDLYTRVSSNNPSRPGPNVAIVVSISVVGVLLSVSAMLGFCYHRSRQKHLPLALEQEHAPGPKLAAKNLDLDAIRVATNNFANQNCIVSTRSRTIFKGTLPNFGDLAIKRLNTEAGLEELKNEVKMLAKLDHPNIIRMLGSCTGNNENVICYEYMPGGSLDAVLFAEDEKSAVLDWPSRLHIMQGICEGLLYLHEHFRIIHRDIDPSNILLTEGLVPKISDFGLATMLDQGQSEGKDQNFRGTRYSAPELFYGKSYSMKSDVYSFGIVLLEIVTGSKAASFCKEDTDDLPTYVRQRWTHGTAYQLKDPRMGDAAPRGEIERCIHIGVRCVQDDPTMRPLMSYIRNTLAAIHP >PAN05562 pep chromosome:PHallii_v3.1:1:19045595:19050700:-1 gene:PAHAL_1G158100 transcript:PAN05562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRTVVWVANRDTPIKGGNGSLTLSTNSLDLLDRRGNNVWSSRSRFSTNSPQAFLLDSGNLVINDSMSGTPNPLWQSFADPCDTLLSGMTIGYDTSPTIRYMQLTSWKSLSDPSTGSYSIKLDPRRRPPELLLFNDTTLLCRTGPWNGQGFSGQPYLKTTNKLAFNMTVHDDSAYYSFTSLDSSVQWRFVMSSDGIAHRWYSNPSKDDWVEYWHWPQSQCDTYAFCGPNGACLNDDCTCLQEFVPRSPNDWTQRNFTGGCVRNATLSCSSANGFYRLQHVKVPDTLNATMVGGKSLDDCKELCLKNCSCSAYALLGESNCVVWSGDLVDVVLFVDGINDLYTRVSSNNPSRPGPNVAIVVSISVVGVLLSVSAMLGFCYHRSRQKHLPLALEQEHAPGPKLAAKNLDLDAIRVATNNFANQNCIVSTRSRTIFKGTLPNFGDLAIKRLNTEAGLEELKNEVKMLAKLDHPNIIRMLGSCTGNNENVICYEYMPGGSLDAVLFAEDEKSAVLDWPSRLHIMQGICEGLLYLHEHFRIIHRDIDPSNILLTEGLVPKISDFGLATMLDQGQSEGKDQNFRGTRRYSAPELFYGKSYSMKSDVYSFGIVLLEIVTGSKAASFCKEDTDDLPTYVRQRWTHGTAYQLKDPRMGDAAPRGEIERCIHIGVRCVQDDPTMRPLMSYIRNTLAAIHP >PVH66145 pep chromosome:PHallii_v3.1:1:19446830:19447756:1 gene:PAHAL_1G159700 transcript:PVH66145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQPPVGPSRLAAAPVRHSRSHKINEPSWLLVLHRAASGPSASTRTEARPHWGRALHGRLRQAISARGLAAPWAGPPRAAAPQLLPRTRACTLQRRQPRAPLQRRLRAPPCTPSPVLRPLGHLAGSAPGHLLVLHARGLAAPVRDHAKPLRRGSSRARAPARRRRSPTGEEALGAAAACPGKEAPGATSPAQARRTARIHAGLKRRGREAGQAPQEEAPPVEQKKSTRERKNRGEGEIGFSQGLVRKFRKLQGPLGKVKFLINLKT >PVH66001 pep chromosome:PHallii_v3.1:1:9816890:9818436:-1 gene:PAHAL_1G123300 transcript:PVH66001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVRGGMDSQSRRVRAAAALDEVRDLGARVWGCCVASAAVESRDNWDLREIISCQGMLSRCVISVMN >PVH66084 pep chromosome:PHallii_v3.1:1:14875502:14877344:1 gene:PAHAL_1G144600 transcript:PVH66084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSTYLLEIKFLGNPKKVRKDVRCFCFDKVVDSDTTNLKDLVDEITDMYPPGYLEVAHVQYYDADLKSFPVVNTDQELMLMFQKHIDSKVVHMFIAYSDPSGCYEPIIEWEGYPSNSNQFSEPTIPSSSTQAYEETSTENPITGNEHVGVDEEGLYLKINPVLDLAVVACNKKTGLYSRG >PAN06360 pep chromosome:PHallii_v3.1:1:46297812:46302176:1 gene:PAHAL_1G258800 transcript:PAN06360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPPRSVSVGGGGFGGSRGDDSAFSFLSKGWREVRDSATADLRLMRARADSLRTLADRELEHLLASASTALAAAPPPPPPLAAGAPIAELEFVRTRIQPKISELRRRYASRERDLGRRVLEGWAPSGPARARIDLSGITAIRNAIVSEADGGERWRRAAWKGEAEEGKEWEVVRMIRDGIKEFERRSQTSEIFEGLRSTGELVEKFKSSLKSFNMESQGSKEIPPLDLTEILANLVKQSEPFLDQLGVRRDQCDRLVEALYKKQNHSLSEDTSLLVNDNSSDELELRIASVLQSTGYHADDGLWSEPAKYEVSDSKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKGTKQDVTLVVPWLCKSDQELVYPSSMTFSSPEEQETYIRNWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSRFIPSREADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGEKITADRESGEPSFSKGAYFLGKMVWAKGYRELIDLMAKHKSDLEGFKLDVYGSGEDSHEVQSTARKLDLSLNFFKGRDHADNSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNKFFMSFPNCLTYKTSEEFVTRVKEAMDREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLNDEVVQPGQARARNKLRRTSQPNLSDIMDGGLAFAHRCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >PAN07955 pep chromosome:PHallii_v3.1:1:54147086:54150491:1 gene:PAHAL_1G375800 transcript:PAN07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARMPSSRWISASLLVLLLSLHPAVHAFYLPGTFMHTYSPGEAISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARNLYQVNMILDNLPVMRFTEQNGVTIQWTGFPVGYNPTGSNEDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKVDSVNCPLELEKSQAIHENDRITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTSCFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIDYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >PAN04612 pep chromosome:PHallii_v3.1:1:5472785:5474680:1 gene:PAHAL_1G079200 transcript:PAN04612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMAVMTTVTKSKESWSLQLPKLALPWKPLDGKTELEFPRRAMFASVNLSACSGVAPGRDPLEHNTKARPADNCDIARQLGVTVPGEHDREDMEEVAKKKKKGGKKQLVGGLRKVRVKIANPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGCIGANSMAGVFQWIMQNEGWTGLFRGNAVNVLRVAPSKAIEHFTYDTAKKFLTPKTDEPPKVPIPTPLVAGAMAGVASTLCTYPMELIKTRVTIEKDAYDNVAHAFVKILRDEGPSELYRGLAPSLIGVVPYAACNFYAYETLKRLYRRATGRRPGADVGAAATLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYRHVLHAMYCILKKEGATGLYRGLGPSCIKLMPAAGISFMCYEACKKILVDKEDEEEEDEGDEAGVGDKNKVA >PAN08805 pep chromosome:PHallii_v3.1:1:58361455:58363581:1 gene:PAHAL_1G436900 transcript:PAN08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLVQGSLLKKVLEAIRELVTDANFDCSGTGFSLQAMDSSHVALVALLLRAEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFMRICKDLSSIGDTVVISVTKEGVKFSTSGEIGSANIVCRQNQTVDKPEEATIIEMQEPVSLTFALRYMNSFTKASSLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKP >PAN06564 pep chromosome:PHallii_v3.1:1:47407224:47409964:-1 gene:PAHAL_1G272700 transcript:PAN06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVAPFVLPGLACGAAPVLGVQPTTYAGGVPAAAWCGYGYDADISALLRGAVMLHGIHSIHVPGRGLMASFPMDAHDDAAPTTPVAMLRAPRSYGDDAAEDDAAVTIKTPPPEMRPQQEQCGSEYDASIDATFRVMEKDPAERPSPDYLSDTQAGDMMMIDRATLIEKMHHFTRYYDLAPGTLHRAVSYVDRFLSARKMNGGERQLLLLGAAAVFAAAKYEDRKTTQRINADTVAAYVRCTRSEALDAERELVAALGYRLSGPTAYTFVDHFLRHAQDQEEEGSAAALVRALAHHLADMGLLDYRCVALRPSAVAASAIVLARLVLGYYSTAPVAGYAIEELSECMEAIYDMHENLEAWPGCGPMMEDWELTTRLRYSLPHYSVLTRRK >PVH66044 pep chromosome:PHallii_v3.1:1:11505044:11507416:1 gene:PAHAL_1G133100 transcript:PVH66044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRNPEELAIVVWKPLDGGISQENIDTNNNVSDHENPEVVQAESAHVDEGPFFSIDIYDPRNWGRLDNKARDILVEKGPIREQNLEFPLDENSRHFSYAHYSKVLKNGEVHDRKWLVYSKHIDKVFCFCCKIFKSNNMKSSLASDGLRDWRHLSVRLKEHEGTVEHKISMNTWNELRIRLRKQETIDKELQVQFKKEKEHMKQVLFRLVAIVKFLSKRSLAFRGSSEKIYSESNGNFLACVEMIAEFDPVLQEHLRRMQNKEIHYHYLSHKIQNELISLLASSITNSIIEVVKRAKYFSIILDCTPDVSHQEQMTVIVRSVNMSEDKIKIEEYFLGFLEVDDTSGLGLFNVLIECMESFGLNIDDIRGQGYDNGSNMKGKHQGVQKRLLDINPRALYMPCACHSLNLTLCDMANSSRKAISFFGIVQRIYVLFSGSPKRWKVLLQHIQNFTVKSLCNTHWESRIKSVKAIRYQAPQLRSALSQLRDASDSEASTKSDAKNLFDLLGSFEFILGMVIWHDILFAVDNVSKKLQSTSMCIDSALQQIEGIMQYFRNYRNEGFQSSLKIAKELATEMGIQSSFPFKRQGTRKKQFDESDCSEEILQAEKDFEVNYFLVMVDMAISSLKNRFEELQVFKNIFGFLLSSRTLTSLDDIELRNCCIKFDKTFSFGNSSDVDLNDLISELKVLQMTLPDKQMSAMDIFEFVREVDSYPNVVIAYRILFTMPVTVASAERSFSKLKLLKNYLRSVMSQERLNGLATLCIEKQLLDDIDIDSIINDFASRSVRRNIFK >PAN06080 pep chromosome:PHallii_v3.1:1:32882175:32887866:-1 gene:PAHAL_1G204700 transcript:PAN06080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHGRQTPEDGFFHPRDADLSQRTECKAQGPSSTRKVQKADREKMRRDKLNEQFQELGNTLDPDRPRNDKATILGDTIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKASLKSEVDNLNNQYQQRMRVLYPWAGMEPSVVIGPPPAYPYPVPLPIPSGSVPMHPQLQAYPFFRSQTSGTIPNACTPYMAYTQPCHPPNDQPSNQLNSPVAHSSSHRSNSPGRDCRSKSSTLQQVSCGVRSTGVGDVATDLELKTPGSSGPSHSEITNKDASFDSKTKKQCIKQINGSTLTEGTSSSRCSSSGPPDVSNSVGDGCL >PAN06082 pep chromosome:PHallii_v3.1:1:32881400:32888033:-1 gene:PAHAL_1G204700 transcript:PAN06082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTRATPTSDPDRPRNDKATILGDTIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKASLKSEVDNLNNQYQQRMRVLYPWAGMEPSVVIGPPPAYPYPVPLPIPSGSVPMHPQLQAYPFFRSQTSGTIPNACTPYMAYTQPCHPPNDQPSNQLNSPVAHSSSHRSNSPGRDCRSKSSTLQQVSCGVRSTGVGDVATDLELKTPGSSGPSHSEITNKDASFDSKTKKQCIKQINGSTLTEGTSSSRCSSSGPPDVSNSVGDGCL >PAN06081 pep chromosome:PHallii_v3.1:1:32882175:32886447:-1 gene:PAHAL_1G204700 transcript:PAN06081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCLKRTSAGWVGLVKHFGFDKYQDPDRPRNDKATILGDTIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKASLKSEVDNLNNQYQQRMRVLYPWAGMEPSVVIGPPPAYPYPVPLPIPSGSVPMHPQLQAYPFFRSQTSGTIPNACTPYMAYTQPCHPPNDQPSNQLNSPVAHSSSHRSNSPGRDCRSKSSTLQQVSCGVRSTGVGDVATDLELKTPGSSGPSHSEITNKDASFDSKTKKQCIKQINGSTLTEGTSSSRCSSSGPPDVSNSVGDGCL >PVH66004 pep chromosome:PHallii_v3.1:1:9922379:9924138:1 gene:PAHAL_1G124300 transcript:PVH66004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGPDGGEATVLATEADGVPLRFTNGFDVDQVTGEVFFTDSGMNYQRWQHERVTATGDSTGRLLKYDPKTNSVAVLQSGITYPNGLAISADRTHLVVALTGPCKLLRYWIKGPKAGTSEPLADLPGYPDNIRADGKGGFWVALHREKLELPFGPDSHLLAVRINADGQIVQVMRGPKSVRPTEVVEREDGKLYVGSVELPYVAVVSLIGSSISISSALFWFVIFLSCCMF >PVH66848 pep chromosome:PHallii_v3.1:1:53615077:53615307:-1 gene:PAHAL_1G367400 transcript:PVH66848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRPPPSRPRRPVARNASWCIEKMGVVMLEEWAPGVEPSRRKREETKSMATVGRGRASGCGLGILACPALGQGRW >PAN07387 pep chromosome:PHallii_v3.1:1:51265610:51270451:1 gene:PAHAL_1G331700 transcript:PAN07387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRRLLAVSGHLGGRRAPAVAPAFAASSHSTLFVRALQILAQPGPVRLQKLSAPDAGIMELRLERPEAKNAIGKEMLQGLRSAIEEVEADATANVVLVASSVPKVFCAGADLKERRLMGPAEVRDFVNSLRSTFSSIEALSIPTIAVVEGAAFGGGLELALSCDLRICGKDAKFSLPETSLAIIPGAGGTQRLPRIVGRSRAKELIFTGRRFDAAEAVTMGVVNYCVPAGEAYQKALELAREINQKGPLAIKMAKKAINQGAEVDMTSALAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >PVH66771 pep chromosome:PHallii_v3.1:1:51956232:51957037:-1 gene:PAHAL_1G343100 transcript:PVH66771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLLFNASTTVTIGNGKKARFWHHAWLDGEAPRNPELILRTRSPGNGHRIESILRGQHIVLSLSDPFGASTRTENKCKAVWNQVLSWERWALPQQTQPANFDCISDWWEATAKTVHKIQRRDFNGLAIYIMWNLWKEQNRRIFENKLESAQQVAERIKKDLVQFKRAFSNTAF >PAN07254 pep chromosome:PHallii_v3.1:1:50693663:50698064:1 gene:PAHAL_1G322200 transcript:PAN07254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASKWRDPGVRQRRLSSVGEVVVFLPGLRVPRNIDFSQTLSDHLGKSVVERLTALRARVVVMATQESATALKPRRRVATRHGGSSTANLLQALEEYLPALIGLAKEGSELRNKLQFVWANQEDVAEETTMVDPWYEVLSVLHLMAMVCFVQANTLLLPRSYADGHGPRVSEESRQATVDLFLKAAGYLECAIHHVLTQIPPERRRELPVDLAEGNLKALSLQGLSQGVDMQLGLAIDNPKATLAVKRRLACEMAKCWKQVKDSIPELPSSDGWGKKHALFVKWKYVEAKAAAYYFHGLILDEGETEKAQEMAIAALQASEEFLNESKRASEAFHAAPPASRSPAPFGTTKYLLDMISKDVQSKVQSYQDLYTQQRASNIGVSKIIATPPPLPDFPLALSPEDYELPQLDPLWKGANQR >PAN07253 pep chromosome:PHallii_v3.1:1:50694445:50697870:1 gene:PAHAL_1G322200 transcript:PAN07253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASKWRDPGVRQRRLSSVGEVVVFLPGLRVPRNIDFSQTLSDHLGKSVVERLTALRARVVVMATQESATALKPRRRVATRHGGSSTANLLQALEEYLPALIGLAKEGSELRNKLQFVWANQEDVAEETTMVDPWYEVLSVLHLMAMVCFVQANTLLLPRSYADGHGPRVSEESRQATVDLFLKAAGYLECAIHHVLTQIPPERRRELPVDLAEGNLKALSLQGLSQGVDMQLGLAIDNPKATLAVKRRLACEMAKCWKQVKDSIPELPSSDGWGKKHALFVKWKYVEAKAAAYYFHGLILDEGETEKAQEMAIAALQASEEFLNESKRASEAFHAAPPASRSPAPFGTTKYLLDMISKDVQSKVQSYQDLYTQQRASNIGVSKIIATPPPLPDFPLALSPEDYELPQLDPLWKGANQR >PAN07256 pep chromosome:PHallii_v3.1:1:50694038:50697870:1 gene:PAHAL_1G322200 transcript:PAN07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASKWRDPGVRQRRLSSVGEVVVFLPGLRVPRNIDFSQTLSDHLGKSVVERLTALRARVVVMATQESATALKPRRRVATRHGGSSTANLLQALEEYLPALIGLAKEGSELRNKLQFVWANQEDVAEETTMVDPWYEVLSVLHLMAMVCFVQANTLLLPRSYADGHGPRVSEESRQATVDLFLKAAGYLECAIHHVLTQIPPERRRELPVDLAEGNLKALSLQGLSQGVDMQLGLAIDNPKATLAVKRRLACEMAKCWKQVKDSIPELPSSDGWGKKHALFVKWKYVEAKAAAYYFHGLILDEGETEKAQEMAIAALQASEEFLNESKRASEAFHAAPPASRSPAPFGTTKYLLDMISKDVQSKVQSYQDLYTQQRASNIGVSKIIATPPPLPDFPLALSPEDYELPQLDPLWKGANQR >PAN07252 pep chromosome:PHallii_v3.1:1:50694265:50697870:1 gene:PAHAL_1G322200 transcript:PAN07252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASKWRDPGVRQRRLSSVGEVVVFLPGLRVPRNIDFSQTLSDHLGKSVVERLTALRARVVVMATQESATALKPRRRVATRHGGSSTANLLQALEEYLPALIGLAKEGSELRNKLQFVWANQEDVAEETTMVDPWYEVLSVLHLMAMVCFVQANTLLLPRSYADGHGPRVSEESRQATVDLFLKAAGYLECAIHHVLTQIPPERRRELPVDLAEGNLKALSLQGLSQGVDMQLGLAIDNPKATLAVKRRLACEMAKCWKQVKDSIPELPSSDGWGKKHALFVKWKYVEAKAAAYYFHGLILDEGETEKAQEMAIAALQASEEFLNESKRASEAFHAAPPASRSPAPFGTTKYLLDMISKDVQSKVQSYQDLYTQQRASNIGVSKIIATPPPLPDFPLALSPEDYELPQLDPLWKGANQR >PAN07255 pep chromosome:PHallii_v3.1:1:50693663:50698064:1 gene:PAHAL_1G322200 transcript:PAN07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASKWRDPGVRQRRLSSVGEVVVFLPGLRVPRNIDFSQTLSDHLGKSVVERLTALRARVVVMATQESATALKPRRRVATRHGGSSTANLLQALEEYLPALIGLAKEGSELRNKLQFVWANQEDVAEETTMVDPWYEVLSVLHLMAMVCFVQANTLLLPRSYADGHGPRVSEESRQATVDLFLKAAGYLECAIHHVLTQIPPERRRELPVDLAEGNLKALSLQGLSQGVDMQLGLAIDNPKATLAVKRRLACEMAKCWKQVKDSIPELPSSDGWGKKHALFVKWKYVEAKAAAYYFHGLILDEGETEKAQEMAIAALQASEEFLNESKRASEAFHAAPPASRSPAPFGTTKYLLDMISKDVQSKVQSYQDLYTQQRASNIGVSKIIATPPPLPDFPLALSPEDYELPQLDPLWKGANQR >PAN08849 pep chromosome:PHallii_v3.1:1:58610116:58614547:1 gene:PAHAL_1G440500 transcript:PAN08849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVSKYAHSPAHLAVARRDHAALRRLVAGLPRLPRAGEVTTEQESIAGEAVADAVSAVIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREEAIATIIARHYQPLAWAKWCRRLPRILASISRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTETEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGQWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNNEEESEERGEEGDSGADHMDANGVVKDKKGWFGWGGKKGSKSDDKPSKAGSKDESGDPGKQKEKGSRKKKNTGPSGDSLKTESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKAKESEGSGSWYSWVRGGRGTQSGDSGDSRNWKDEVDPFHIPSDYTWVDTTEKKRRMKAKKAKSRRGTARKQSSKNTSEGGGHRPMMDGFEE >PVH66806 pep chromosome:PHallii_v3.1:1:52667241:52669680:-1 gene:PAHAL_1G353500 transcript:PVH66806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQPAGDVSSPPFGPGMPRGCGSCQWRVISAHHGSHHSSVYWTSAFTVPVRQLARTTTSSAATISRGRAREANLTGSTALALWQGRDGRIAVPLERLHLSIRFSPFLQG >PAN03634 pep chromosome:PHallii_v3.1:1:1073347:1076663:1 gene:PAHAL_1G012000 transcript:PAN03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDLRQRRAPAQDGGEGASSAGDEAAANGKEGAGGPRGKREALGWLEWGRGWMAVVGEFLFQRIAASHLANPLELPPLEGVSIIVTGATSGIGLEIARQLTQAGAHVVMAVRRPKVAQELIQKWQNESSETGTPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFAIGEPQRFSKDGHEEHMQVNHLAPALLAMLLIPSLLRGSPSRIVNVNSIMHTVGFVDAEDMNLRKRKYRSWLGYSNSKLAQIKFSSMLHKRIPAEAGVNVICASPGIVDTNVARDLPKVVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPEYCEMLKSEDWPVCACISYDCNPMNASEEAHNLETSQLVWEKTLEMIGLPPDALEKLIAGESVQCRYGQQEA >PAN04281 pep chromosome:PHallii_v3.1:1:3719343:3722436:1 gene:PAHAL_1G055000 transcript:PAN04281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCASELELEAFIDAAAAAEHKPGHDIAAQAAFAAGVFSPGDLSGFSFADSNTLNGSIPNHLWSHNHNVRHPAVSTTIESQSSICAAASPTSATNLYLKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPQDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALSCGLGSLGLSPVLNPRQACRGPDVLSGLDFAGDGACFAGLSPTEQVQNSPLQSIASLESLENRMNSEVTSCGGPGVDVWPWDGGLSK >PAN08026 pep chromosome:PHallii_v3.1:1:54469763:54473218:1 gene:PAHAL_1G380900 transcript:PAN08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATASPGLHAAALRLTLPAPAAGSQARCVVRLPRRRPGRRASLRAAASAAAAEAAPPAKEGAEDLGFLEMTSGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGTLVQVEGPDAPLFALEINPEKAREEFRTASQKNGGTGVKDFMDSMGLGVLVEQLGELKLGELLDTPPPGLDEAIAISKVIQFLEAQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMIKVRELFRDTESTEFIIVTIPTVMAISESSRLHSSLQKESVPVRRLIVNQVLPPSTSDCKFCAIKRKDQTRALDMIRNDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >PAN04278 pep chromosome:PHallii_v3.1:1:3702758:3704538:1 gene:PAHAL_1G054700 transcript:PAN04278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVHTASLRLKHIRGQVVAFCANAKGSRFIQRAVDGATTEEIIMVYKEIMPYVRTLAVDMFGNHAVQKILNHGQRSYKRIFIRHLMGDVLGLSLHMYGCRVIQKAFEVAEHDQKLVMAMELDRKVLRCVRDQYANYVIQKCIECVPSKHIQFIFRSLYGVGKAKMLSTHPYGCHVVQKVLAYCNPEIHHAMTAEIIESVQMLSADRFGNYVVQHLLEHGGAARRSTMVEKFATQIVAMSYHKYASNVIEKCLTFGCHHDRQLITSEIIAAGGGQHLDDHFMDMMIDPYASSVIQKMVVTAEERQVRVLVGVARSNAAKLMRYTHGRRVIAAIERFLAARGTHAMPCPGYRC >PAN06002 pep chromosome:PHallii_v3.1:1:26022669:26023055:-1 gene:PAHAL_1G181500 transcript:PAN06002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPETEASRSQPALSPPPSLHTPTDTPFFLIPPPPTPPQPPSPGPQARRGDPRRAARLLALPPLLLQSSSSRRTARSAATSASSPCCPSRSAGSSTTLGGPSSSPDCSGSRSGRSMWSRRSFFLSR >PAN06342 pep chromosome:PHallii_v3.1:1:46115277:46116032:-1 gene:PAHAL_1G257400 transcript:PAN06342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVALQWIANDSTRRATFKKRRKGLMKKASELATLCGVDVFVAVYVEGEAQPEVWPDAPGEAERIAARFKAVPDLDQCKKKLDMEGLLRQQNDKSRDQLHKAQLENRERERQLLLHDAIAGRRPGLVGLSVEQVVTLGLMVEQRIQAVMDAIARLQGEGHDLPAATAPPQPPLAPCSTGAGAGRRDIMMPQAPHPQGWMMAVGDTRAPAYSGFIGAGGTSAGGDMPLQFSGMGFGFAGPDAGQSFPSM >PAN09082 pep chromosome:PHallii_v3.1:1:59593937:59595887:-1 gene:PAHAL_1G456900 transcript:PAN09082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMAAVDLSRPLCAAVIKTSFRPHLPLLAASPALLAAVLQRLSPLPSAALAFFRALPPPPPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLMLPSLLADHDPHVPSWLLLLLSQSARPDDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMTRAGVAISTHVCNAMLHVCLKAGDAARAEALITRMDAAAVRLDQFSFNTIIALYCRKGMRYEAMCVRERMENQGIQADTVTWNSLIHGLCKDGRVKEAAGLFTEMIAAQVPPDNVTYTTLIDGYCRAGDIEEAVKLREEMEARGMLPGVATYNAILRKLCEDGNIKEVNQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVRRKMLESGLQLNQFTYKALIHGFCKAKELDKAKEALFEMVDAGFSPNYSVFSWLVDGFCKKNSADAVLLIPDELMRRGLPPDKAVYRSLIRRLCRKGLVDQAQKVFDRMQGKGLVGDSLVYATLAYAYLAEGKPAAASNTLDGMAKDQLRITPHIYNCLCTTYADEKETLNMLWVRAIERGLITKSVYKLLHQARLLEST >PAN09078 pep chromosome:PHallii_v3.1:1:59593090:59595960:-1 gene:PAHAL_1G456900 transcript:PAN09078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMAAVDLSRPLCAAVIKTSFRPHLPLLAASPALLAAVLQRLSPLPSAALAFFRALPPPPPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLMLPSLLADHDPHVPSWLLLLLSQSARPDDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMTRAGVAISTHVCNAMLHVCLKAGDAARAEALITRMDAAAVRLDQFSFNTIIALYCRKGMRYEAMCVRERMENQGIQADTVTWNSLIHGLCKDGRVKEAAGLFTEMIAAQVPPDNVTYTTLIDGYCRAGDIEEAVKLREEMEARGMLPGVATYNAILRKLCEDGNIKEVNQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVRRKMLESGLQLNQFTYKALIHGFCKAKELDKAKEALFEMVDAGFSPNYSVFSWLVDGFCKKNSADAVLLIPDELMRRGLPPDKAVYRSLIRRLCRKGLVDQAQKVFDRMQGKGLVGDSLVYATLAYAYLAEGKPAAASNTLDGMAKDQLRITPHIYNCLCTTYADEKETLNMLWVRAIERGLITKSVYKLLHQARLLEST >PAN09080 pep chromosome:PHallii_v3.1:1:59593274:59595887:-1 gene:PAHAL_1G456900 transcript:PAN09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMAAVDLSRPLCAAVIKTSFRPHLPLLAASPALLAAVLQRLSPLPSAALAFFRALPPPPPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLMLPSLLADHDPHVPSWLLLLLSQSARPDDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMTRAGVAISTHVCNAMLHVCLKAGDAARAEALITRMDAAAVRLDQFSFNTIIALYCRKGMRYEAMCVRERMENQGIQADTVTWNSLIHGLCKDGRVKEAAGLFTEMIAAQVPPDNVTYTTLIDGYCRAGDIEEAVKLREEMEARGMLPGVATYNAILRKLCEDGNIKEVNQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVRRKMLESGLQLNQFTYKALIHGFCKAKELDKAKEALFEMVDAGFSPNYSVFSWLVDGFCKKNSADAVLLIPDELMRRGLPPDKAVYRSLIRRLCRKGLVDQAQKVFDRMQGKGLVGDSLVYATLAYAYLAEGKPAAASNTLDGMAKDQLRITPHIYNCLCTTYADEKETLNMLWVRAIERGLITKSVYKLLHQARLLEST >PAN09083 pep chromosome:PHallii_v3.1:1:59593273:59595960:-1 gene:PAHAL_1G456900 transcript:PAN09083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMAAVDLSRPLCAAVIKTSFRPHLPLLAASPALLAAVLQRLSPLPSAALAFFRALPPPPPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLMLPSLLADHDPHVPSWLLLLLSQSARPDDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMTRAGVAISTHVCNAMLHVCLKAGDAARAEALITRMDAAAVRLDQFSFNTIIALYCRKGMRYEAMCVRERMENQGIQADTVTWNSLIHGLCKDGRVKEAAGLFTEMIAAQVPPDNVTYTTLIDGYCRAGDIEEAVKLREEMEARGMLPGVATYNAILRKLCEDGNIKEVNQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVRRKMLESGLQLNQFTYKALIHGFCKAKELDKAKEALFEMVDAGFSPNYSVFSWLVDGFCKKNSADAVLLIPDELMRRGLPPDKAVYRSLIRRLCRKGLVDQAQKVFDRMQGKGLVGDSLVYATLAYAYLAEGKPAAASNTLDGMAKDQLRITPHIYNCLCTTYADEKETLNMLWVRAIERGLITKSVYKLLHQARLLEST >PAN09079 pep chromosome:PHallii_v3.1:1:59593201:59595887:-1 gene:PAHAL_1G456900 transcript:PAN09079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMAAVDLSRPLCAAVIKTSFRPHLPLLAASPALLAAVLQRLSPLPSAALAFFRALPPPPPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLMLPSLLADHDPHVPSWLLLLLSQSARPDDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMTRAGVAISTHVCNAMLHVCLKAGDAARAEALITRMDAAAVRLDQFSFNTIIALYCRKGMRYEAMCVRERMENQGIQADTVTWNSLIHGLCKDGRVKEAAGLFTEMIAAQVPPDNVTYTTLIDGYCRAGDIEEAVKLREEMEARGMLPGVATYNAILRKLCEDGNIKEVNQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVRRKMLESGLQLNQFTYKALIHGFCKAKELDKAKEALFEMVDAGFSPNYSVFSWLVDGFCKKNSADAVLLIPDELMRRGLPPDKAVYRSLIRRLCRKGLVDQAQKVFDRMQGKGLVGDSLVYATLAYAYLAEGKPAAASNTLDGMAKDQLRITPHIYNCLCTTYADEKETLNMLWVRAIERGLITKSVYKLLHQARLLEST >PAN09081 pep chromosome:PHallii_v3.1:1:59593937:59595887:-1 gene:PAHAL_1G456900 transcript:PAN09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMAAVDLSRPLCAAVIKTSFRPHLPLLAASPALLAAVLQRLSPLPSAALAFFRALPPPPPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLMLPSLLADHDPHVPSWLLLLLSQSARPDDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMTRAGVAISTHVCNAMLHVCLKAGDAARAEALITRMDAAAVRLDQFSFNTIIALYCRKGMRYEAMCVRERMENQGIQADTVTWNSLIHGLCKDGRVKEAAGLFTEMIAAQVPPDNVTYTTLIDGYCRAGDIEEAVKLREEMEARGMLPGVATYNAILRKLCEDGNIKEVNQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVRRKMLESGLQLNQFTYKALIHGFCKAKELDKAKEALFEMVDAGFSPNYSVFSWLVDGFCKKNSADAVLLIPDELMRRGLPPDKAVYRSLIRRLCRKGLVDQAQKVFDRMQGKGLVGDSLVYATLAYAYLAEGKPAAASNTLDGMAKDQLRITPHIYNCLCTTYADEKETLNMLWVRAIERGLITKSVYKLLHQARLLEST >PAN04697 pep chromosome:PHallii_v3.1:1:5911055:5911446:-1 gene:PAHAL_1G085200 transcript:PAN04697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARLRVRSQAVLVPSLLLLLALAFLHGAAGDELQTREGMKPFSGGKGRDEGHCLPPAKLARPLRILAETGPAMTYAWSGDHEVPVSPGHG >PAN07390 pep chromosome:PHallii_v3.1:1:51280199:51281915:-1 gene:PAHAL_1G332000 transcript:PAN07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNPACEAAALDSIRHHLLDEPAAASAAPRPVYRRSTSFGSLVADQWSESLPFRPDDADDMVVFGALRDAFSRGWLPDGSFAAVKPEPPASPDSPYEFGSCLGGRGLPVSPEEEEPETPTAGAASAAMTPGGSEEAAAVGRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDSAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAATAPAPAVGEKRPSAEPAASSDSSSPLSSSSSSGTPKRRKRGEAAAATMAMALVPPTPAQPPVQLTLPVHPWFAAGPAQQLVS >PAN07211 pep chromosome:PHallii_v3.1:1:50545497:50547108:1 gene:PAHAL_1G319100 transcript:PAN07211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSALALAHLVAASPLPAASAPRPSPRPGSLSLAGLGPRRLVAAAPPRAFFSSSPYQPPQPEGFSPHREYGLVPMVIETTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGAPGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKMRDRLNKIYQKHTRQPIDKIEQCMERDLFMDPDEARDWGLIDEVIENRPASLMPDGLGGGGLDVPNLGGGGGGRGRDVEEPSAV >PAN09099 pep chromosome:PHallii_v3.1:1:59655111:59657124:-1 gene:PAHAL_1G458300 transcript:PAN09099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSTPPPVVRPKRKKVLVDYLVTFRWIPAIFVALPLSALIYLCIYIGGMLSSMKSEERRRREHEENVKKVVKRLKQRDPRKDGLVCTARKPWVVVGMRNVDYKRARRFEVDLSAFHNILEIDTERMVAKVEPLVSMGQITKVTCPMNLSLAVAPEFDDLTVGGLINSYGISGSSHIYGLFSDTVVAMEVVLADGRVVRATKDNEHSDLFYGMPWSQGTIGFLVSAEIKLIPIKEYMRLTYTPVRGTLKEIAQAYADSFVPSSKDAKVPDFVEGMVYSPSEGVMMTGVYATEEEAKKKGNRINRVGWWFKPWFYQYAETALKRGEFVEYIPTREYYHRHTRSLYWEAKLIIPFGDQFWFRFLLGWLMPPKITLLKITQGEAIRNYYHDNHVIQDVLVPLHKVGDVLEFAQRELEVYPVWLCPHRLYKLPVKAMVQPEAGFEQHLRQGDTAYAQMYTDVGFYYAPGAALRGEEFNGAEAVRKLEQWLISNHGYQAQYAVSELSEKDFWRMFEPSHYDHCRRKYGAAGTFMSNYYKSKKGKKTEKEVREAEAEAEAAIVEPNKDADAE >PVH65781 pep chromosome:PHallii_v3.1:1:5197429:5198237:1 gene:PAHAL_1G075700 transcript:PVH65781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPFISRMCTGAVSLTRRSTPRSSPSAISASGGPSTRGTASSGAGKARRRGGRRAVAPRGGRPLPEARRGHAHAGRGAGGDHAPEELRLPPPPAPARTSRASSTAPSTPTSSWTPTPRPNYFMIRTDFPSRDPCVLASSAHPFGHSSNTEGMHLQNTRGVGIKSSSVGCGDDWVSIETGCTSVQIKS >PAN05539 pep chromosome:PHallii_v3.1:1:43959537:43960800:1 gene:PAHAL_1G237800 transcript:PAN05539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNLGQDPVGIGHAVVILTVTTGLLVHRVPAPVRLPGASSNRAAMADDVASVASSRSGAVWPWCRWPRLVVAASSSWNTAAAGNCCLAVAHDTLRVVGVAGESHPWYSRSNNDDARRRRCPRWRRCHCNFYIISRGFLSSPYSRHEDDMHHAAARAAEGSNSEV >PAN04674 pep chromosome:PHallii_v3.1:1:5768064:5774816:1 gene:PAHAL_1G083000 transcript:PAN04674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKQGQAPMPNNLGSQPVPSSNVQSNQAEYASVFYPSLPGDWGSQPMFSVGASVPVSSYYIVPMSQQSVQVGASRPEVARPLGAQSLLSRVSLRPPQQVLNIQTSLPATIGSQPSPSTVGRKSQQPVASPKVQMLKSPSFQSSNKRSAQKEPPSKVQPQQLESVRSKFRESLVAALRLDSDQQNKIQSPDNVQPDGSADKLKPAEGDAVQDPVATTSKDVSTVNSDVAPTVAPKRCEGDEKLSSDLVSNMITSINGDMQQQSNLVSSEDELMGQCMVADELLQGHGLSWVSDLDAGISEPNAESNLKRPRTSDDPGATESLVESESKRIKSENELAIDKERLNQKAEGLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDIAPERLCSMTAEELASKELSEWRLAKAEEFAQMVVLPNTEVDPRRLVRKTHKGEFQVEVEEPDGISVEVELGSNLTNIPSKAVEDQTKSNEKASMDGKEGVQEKDKASDSSSQDEDGGTGNNDMSGDLEYIDNEKTDLMQELILDDMKDTENLPPIPSLDEFMQGLDSEPPFVDLSVGTPQEDGNDSEEPDTTLEPEELPETEDKASAPEKSASESDKPSAQDNNEPKLESPGHAAVPNSDLTEPRDGELSKSSPGKDETTKTASDHVSNPDAVLNTKTTNIPMIRESIWEGAIQLTVSSLSNVVAIFKSGEKPSLKDWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMITELCWKEGSPESGRQHILQTIDAYISDERVGLAEPAEGIELYLCPPHGKTVEILSRHLPKEHQESLSVAGSSFIGVVVWRRPNIPRVPTSHHRHDGSRRQSILRKPQVTNPAARPSLPLNSYGAPPGFPNQRHHREEEDVTDDAPPGFGPGVARDEDDLPEFNFVNSSHPAANVTAHAYKGRPHVPPPSARPAEQMRELVQKYGKRSSVQAHRWDDDDDDDIPEWNPTQATNHQPIRQQPLPAAPQQLPLPPPPPVQQMHPYHHQQQQQYHVPGAVQPQVPISSLSHAYLRTQQQQPVQQLHLQQQQQQLQSGQAWQQTNNAWWPAQGAAAAAATAPVTSIVQQPQYGVVPGSGSVQGYDASGVSGMAWRPR >PAN04675 pep chromosome:PHallii_v3.1:1:5768064:5774816:1 gene:PAHAL_1G083000 transcript:PAN04675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKQGQAPMPNNLGSQPVPSSNVQSNQAEYASVFYPSLPGDWGSQPMFSVGASVPVSSYYIVPMSQQSVQVGASRPEVARPLGAQSLLSRVSLRPPQQVLNIQTSLPATIGSQPSPSTVGRKSQQPVASPKVQMLKSPSFQSSNKRSAQKEPPSKVQPQQLESVRSKFRESLVAALRLDSDQQNKIQSPDNVQPDGSADKLKPAEGDAVQDPVATTSKDVSTVNSDVAPTVAPKRCEGDEKLSSDLVSNMITSINGDMQQQSNLVSSEDELMGQCMVADELLQGHGLSWVSDLDAGISEPNAESNLKRPRTSDDPGATESLVESESKRIKSENELAIDKERLNQKAEGLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDIAPERLCSMTAEELASKELSEWRLAKAEEFAQMVVLPNTEVDPRRLVRKTHKGEFQVEVEEPDGISVEVELGSNLTNIPSKAVEDQTKSNEKASMDGKEGVQEKDKASDSSSQDEDGGTGNNDMSGDLEYIDNEKTDLMQELILDDMKDTENLPPIPSLDEFMQGLDSEPPFVDLSVGTPQEDGNDSEEPDTTLEPEELPETEDKASAPEKSASESDKPSAQDNNEPKLESPGHAAVPNSDLTEPRDGELSKSSPGKDETTKTASDHVSNPDAVLNTKTTNIPMIRESIWEGAIQLTVSSLSNVVAIFKSGEKPSLKDWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMITELCWKEGSPESGRQHILQTIDAYISDERVGLAEPAEGIELYLCPPHGKTVEILSRHLPKEHQESLSVAGSSFIGVVVWRRPNIPRVPTSHHRHDGSRRQSILRKPQVTNPAARPSLPLNSYGAPPGFPNQRHHREEEDVTDDAPPGFGPGVARDEDDLPEFNFVNSSHPAANVTAHAYKGRPHVPPPSARPAEQMRELVQKYGKRSSVQAHRWDDDDDDDIPEWNPTQATNHQPIRQQPLPAAPQQLPLPPPPPVQQMHPYHHQQQQQYHVPGAVQPQVPISSLSHAYLRTQQQQPVQQLHLQQQQQQLQSGQAWQQTNNAWWPAQGAAAAAATAPVTSIVQQPQYGVVPGSGSVQGYDASGVSGMAWRPR >PVH66785 pep chromosome:PHallii_v3.1:1:52251543:52252080:1 gene:PAHAL_1G346700 transcript:PVH66785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTAPAAMTAVFAIHPMKDPTPASRPRFGVPVFLSSVLFVLVPSCAAPTGSSPLCKRLGLETYFRGYRSSSTKELVTRFE >PVH67035 pep chromosome:PHallii_v3.1:1:56739172:56740147:-1 gene:PAHAL_1G414100 transcript:PVH67035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAWHHVSEFWRRQAGTGSGWRETPKAIKETGQSQDRRQDGWTQRVANRGRLASRKLGTRAPPAS >PAN07068 pep chromosome:PHallii_v3.1:1:49810222:49817948:-1 gene:PAHAL_1G308700 transcript:PAN07068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVHELGLSDLNLIYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAIKCIDPQIRLAKRAGKEKKEYKISLISDTSYEKIRNLSEAPIEEVFTDSSYGKFERGEALEKITQSVKAKLEEENDEDSLKFLSKAVDTVRKQVIRKRIIEEGLRLDGRQLDEVRPLYCESNTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSLVDPTTGDFSNYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISTARAINDGSGPRLATLSFSSDSLRKLLFHRKTIEKETGARVSVSDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGHQGLLHISELSHEPVSKVSDILTVGQVLSLMCIGQDVRGNIKLSLKATLPQPRKKDVKSKDPLPSQEVGWAAVENMPSVGADAEPSSSKHEDGTTEAPAFSTPSVIIRSEADCDAQDVANGPKKRAKVAKSSPRPYKAPSNCQEVRTATAKKAPGTTKKTKKVKIEESGSGGLETSGSDVPEQTASNTLDLKQSPVNFQSGSMKLGDVVTAKVYQIRAFGLVLELSDGARGMHKFEENGQKEFEVGQELLVKCQSFNAKGIPVFSLLD >PAN07070 pep chromosome:PHallii_v3.1:1:49812407:49817948:-1 gene:PAHAL_1G308700 transcript:PAN07070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MSMAVAASLRSLARRRPRLRLPAAPLAVPGARAAFLSGAAEEAAADAAAAPPAPGRKVLESFREEFEIGGRSIAFETGKMARFANGSVVISMEDTNVLATVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEIQIMVNVLSSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVHELGLSDLNLIYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAIKCIDPQIRLAKRAGKEKKEYKISLISDTSYEKIRNLSEAPIEEVFTDSSYGKFERGEALEKITQSVKAKLEEENDEDSLKFLSKAVDTVRKQVIRKRIIEEGLRLDGRQLDEVRPLYCESNTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSLVDPTTGDFSNYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISTARAINDGSGPRLATLSFSSDSLRKLLFHRKTIEKETGIKLSIHLPLFMFFPCALV >PAN07069 pep chromosome:PHallii_v3.1:1:49810560:49817776:-1 gene:PAHAL_1G308700 transcript:PAN07069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MSMAVAASLRSLARRRPRLRLPAAPLAVPGARAAFLSGAAEEAAADAAAAPPAPGRKVLESFREEFEIGGRSIAFETGKMARFANGSVVISMEDTNVLATVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEIQIMVNVLSSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVHELGLSDLNLIYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAIKCIDPQIRLAKRAGKEKKEYKISLISDTSYEKIRNLSEAPIEEVFTDSSYGKFERGEALEKITQSVKAKLEEENDEDSLKFLSKAVDTVRKQVIRKRIIEEGLRLDGRQLDEVRPLYCESNTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSLVDPTTGDFSNYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISTARAINDGSGPRLATLSFSSDSLRKLLFHRKTIEKETGARVSVSDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGHQGLLHISELSHEPVSKVSDILTVGQVLSLMCIGQDVRGNIKLSLKATLPQPRKKDVKSKDPLPSQEVGWAAVENMPSVGADAEPSSSKHEDGTTEAPAFSTPSVIIRSEADCDAQDVANGPKKRAKVAKSSPRPYKAPSNCQEVRTATAKKAPGTTKKTKKVKIEESGSGGLETSGSDVPEQTASNTLDLKQSPVNFQSGSMKLGDVVTAKVYQIRAFGLVLELSDGARGMHKFEENGQKEFEVGQELLVKCQSFNAKGIPVFSLLD >PAN05220 pep chromosome:PHallii_v3.1:1:9727835:9728661:1 gene:PAHAL_1G122500 transcript:PAN05220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQHGGGGVKVTYIETRFVTSDAAGFKDLVQRLTGRSPAGEKPSPAAAPHRPRACPAASGGDWTTAAAAGARAPQGYDDYRPVAEVCFPAVAGRAPPCQEKLLLGMDDFSDLFYVGAGDQWRHGLSGGYSDLPYF >PAN06678 pep chromosome:PHallii_v3.1:1:47920848:47922485:-1 gene:PAHAL_1G280500 transcript:PAN06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAMHLILCSSTYSSPSQRRRRPLPPGATGAGGSDKPQQQAPPPPPHSATRRLAVAASTALAATAALAARRPAAPPPAMAAEAAFVPAPTPPGAVPRWGTKSYVRERFFEPELTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDIDLTTAMAGVPAARRADYVRVANELVDNMTEFDRFVRTPKVYESYLFYEKALKSLDDVAEFLV >PAN06757 pep chromosome:PHallii_v3.1:1:48315497:48321132:-1 gene:PAHAL_1G286400 transcript:PAN06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFVGVHVSDPGLQSQFTQVQLRTLKAKFVAAKKPDVDHVTIKDLPPVMEKLRGIHEVLSEEEVNKFLNETYPDMNQPIEFEPFLKEYLNLQAKGTNKNGGKKKLKGSVSFLKSSTTTLLHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPASNELFNLVRDGVLLCKLINVAVPGTIDERAINTKKQLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAEIVAGDSGKEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPEHSSKSMIETSDPKERAKKVLETAEKLDCTRYVTSKDIVEGSTNLNLAFVAQIFQNRNGLSTNTVAPVEDTPDDVEASREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPRSVNWKHASKPPIIMPFRKVENCNQVIKIGKELNFSLVNVAGNDIMQGNKKLILAFLWQLMRTSILQLLKNLRSHSKEKEITDADILIWANNRVKESGKTSHIESFKDKSIADGVFFLELLSAVQSRVVDWSLVKKGEDEEEKKMNATYIISVARKLGCTVFLLPEDIMKVNPKMTLTLTASIMYWSLQKHGPYQSPGPQDALPEEEEEEEEEEEGEEDFEGGIEDGVSNLTT >PVH67115 pep chromosome:PHallii_v3.1:1:58005937:58011538:1 gene:PAHAL_1G430900 transcript:PVH67115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWIVLGRLQIEYATCKSLARLHMPSTRRRLPDFEFPIAPRVAATRLALPCATPPSAPTRVPVVIEQIRVGRCGSGADGELETAKAEAGGMGALSVDSATTGSGVVTSGAATLIWIPEN >PVH67116 pep chromosome:PHallii_v3.1:1:58005937:58010113:1 gene:PAHAL_1G430900 transcript:PVH67116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWIVLGRLQIEYATCKSLARLHMPSTRRRLPDFEFPIAPRVAATRLALPCATPPSAPTRVPVVIEQIRVGRCGSGADGELETAKAEAGGMGALSVDSATTGSGVVTSGAATLIWIPEN >PVH67117 pep chromosome:PHallii_v3.1:1:58005935:58010038:1 gene:PAHAL_1G430900 transcript:PVH67117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWIVLGRLQIEYATCKSLARLHMPSTRRRLPDFEFPIAPRVAATRLALPCATPPSAPTRVPVVIEQIRVGRCGSGADGELETAKAEAGGMGALSVDSATTGSGVVTSGAATLIWIPEN >PAN08327 pep chromosome:PHallii_v3.1:1:55929219:55931659:-1 gene:PAHAL_1G403400 transcript:PAN08327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRNHLFSDVRAAFPPPHHHPFSTTTPATSPARFAAEEYLVATCGLTPAQAAKASKGLAHLKSPANPDAVLSFLAGAGFAKEDIAVGVARFPMLLCCKVDKTLTPRFAQILSIGLSPAQISRITSIVPQIFVAPSMIHRIQFYLSSLGTFDLLHVALKRYPYLLGQKLEVVKPNMALLLQCGLTASDVAVFAKLLTRKPELAKEIVACAEKLGVPRNTGMFKRALRAVQCFGHDSIGAKMDLLKATLGCSEAELALAVRRAPQILTISEGNLSRTLKFLKVDVGLKLQYILLRPPILGYSMQRRLVPRHYFINILKAKGLVKENIDFYKAVCISEKKFFQKFIDPHRETIPGLANADATACAGKIPHGIKM >PAN08419 pep chromosome:PHallii_v3.1:1:56576423:56579373:-1 gene:PAHAL_1G411900 transcript:PAN08419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKVLNDVKPYLAMILLQVGFAGMYIVAVASLKRGMSHFVLVVYRNLVATAVMAPFALWFERPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALILRMERVRLRSMHSQAKIVGTALTVAGAVLMILYHGPVMQFPWTKGQHHDAAAAAAQAAGSGFLAGTIYVIAACVAWSGFFVLQSNTLQSYPAELSLTALICLMGSLMSGAVALVAERRNTHAWVIGFDTRLFTAVYAGIVCSGVAYYVQGLVSRQRGPVFVTAFNPLCMIVTSVMGSIILKEQITLGSVIGAVIIVLGLYALIWGKSKDHENQVADVSASGGSKGGAAAGELPITLAAQPNGNGKHEQLGNTRGHVFDVETPATNGHY >PAN08420 pep chromosome:PHallii_v3.1:1:56576845:56579232:-1 gene:PAHAL_1G411900 transcript:PAN08420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKVLNDVKPYLAMILLQVGFAGMYIVAVASLKRGMSHFVLVVYRNLVATAVMAPFALWFERSVRPKMTFTIFLKIMGLAFLEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALILRMERVRLRSMHSQAKIVGTALTVAGAVLMILYHGPVMQFPWTKGQHHDAAAAAAQAAGSGFLAGTIYVIAACVAWSGFFVLQSNTLQSYPAELSLTALICLMGSLMSGAVALVAERRNTHAWVIGFDTRLFTAVYAGIVCSGVAYYVQGLVSRQRGPVFVTAFNPLCMIVTSVMGSIILKEQITLGSVIGAVIIVLGLYALIWGKSKDHENQVADVSASGGSKGGAAAGELPITLAAQPNGNGKHEQLGNTRGHVFDVETPATNGHY >PAN06286 pep chromosome:PHallii_v3.1:1:45673340:45675002:-1 gene:PAHAL_1G252400 transcript:PAN06286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGEMECKEQQMQIVCVRSASTGEEAMAEWAAEQSSSRSALSLFKEKEEEIERRKLEVRDKVFSMLGRVEEETKRLAFIRQELEVMADPTRREVDAIRKRIDKVNKQLKPLGKTCLRKEKEYKMCLEAYNEKSNEKATLVNRLMELVSESERLRMKKLEELNKTIESLY >PAN06171 pep chromosome:PHallii_v3.1:1:44415691:44435525:1 gene:PAHAL_1G243500 transcript:PAN06171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRRPAAGGGGGGGGGGGGNWRRSGSAAAKEQRLRLGAEELLESRLGFAPYTDGERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFRPYFYAGTKDKMELEVEAYIRRRYEGEISDIEIVEKEDLDLKNHLSGLKRKYLKLQFDTVQQLMRVRNDLLHVVEKNEEKLDAVEAFETIHGVKRVERPQDYMNYIIDLREYDVPYHVRFAIDNDVRCGQWYNVSVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFRVKNVSTELDLLKAWFAHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANVICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIGNLDRDLQYAISVEGKLDIGSVTNYDEVKDAINQKLVSLRDHPIREERPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRNLEWVWRGETYMAKKNDYYHIKRQIESELIQSGGIASSKPFLDLSKPEHLLKLKDRLKKYCQKAHKRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCVLPGSFPENFTFKTKAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYSAVASVANRWLDLLDNQGIDIADSELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDPEIAKHYLRKWCRVSSDASIRSIVDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDIFSPLAKDEGMQNLNGAGDMEDLLMSNKDLRKNSSHGLDIDKENNPNGASVGAGSNNSKKQQNSVTGLNVPLSSQIQNVAADETTDRSTDYQGWLDAKKRKWKHVREQKKRRRLGAAATFDGPTNALLSSRNVSQLPGNSRNRATFFQKQELALFRSHWQIIQLASSTTAGRFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTTEEQFRAEGKKLAAHLAEPDVEGIYETKIPPELNAVIQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVFYFPTSFRILAVVVNPFRNTEISPSFLEKQFRDACQALGSVPENLTFHVDYQTSIDAGTKYVQRMLLEYRQQHPGPVIGIIECPKLQAIKAAVRALDDFPCVTIPSNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLARYAHVPLGNFELDWLLYTADVFFSRALRDQQQGILGPTNWATLP >PAN06172 pep chromosome:PHallii_v3.1:1:44415691:44439905:1 gene:PAHAL_1G243500 transcript:PAN06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRRPAAGGGGGGGGGGGGNWRRSGSAAAKEQRLRLGAEELLESRLGFAPYTDGERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFRPYFYAGTKDKMELEVEAYIRRRYEGEISDIEIVEKEDLDLKNHLSGLKRKYLKLQFDTVQQLMRVRNDLLHVVEKNEEKLDAVEAFETIHGVKRVERPQDYMNYIIDLREYDVPYHVRFAIDNDVRCGQWYNVSVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFRVKNVSTELDLLKAWFAHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANVICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIGNLDRDLQYAISVEGKLDIGSVTNYDEVKDAINQKLVSLRDHPIREERPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRNLEWVWRGETYMAKKNDYYHIKRQIESELIQSGGIASSKPFLDLSKPEHLLKLKDRLKKYCQKAHKRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCVLPGSFPENFTFKTKAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYSAVASVANRWLDLLDNQGIDIADSELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDPEIAKHYLRKWCRVSSDASIRSIVDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDIFSPLAKDEGMQNLNGAGDMEDLLMSNKDLRKNSSHGLDIDKENNPNGASVGAGSNNSKKQQNSVTGLNVPLSSQIQNVAADETTDRSTDYQGWLDAKKRKWKHVREQKKRRRLGAAATFDGPTNALLSSRNVSQLPGNSRNRATFFQKQELALFRSHWQIIQLASSTTAGRFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTTEEQFRAEGKKLAAHLAEPDVEGIYETKIPPELNAVIQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVFYFPTSFRILAVVVNPFRNTEISPSFLEKQFRDACQALGSVPENLTFHVDYQTSIDAGTKYVQRMLLEYRQQHPGPVIGIIECPKLQAIKAAVRALDDFPCVTIPSNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLARYAHVPLGNFELDWLLYTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVSVELKIHHLAVNSLLKSSQLDEMEGGSISSFGNDMPPGPHATESDFDDASLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALLLAEFRKLGANVIFANFSKIIIDTGKVDLASAHAYCDSLLKTLQTRDLFEWIELEPLHFWHSLLFMDQYNYGGIQAKTQNVDSSDGDNDIDIVSSWNIAEYLPKDTQDHFVLIVSEFLYIPWKFMKEQVATQATIRDDTSCTPSITVMAAENLEGQVIEHLRDKISTYFADKLLRTVSDILHHFKGKSKSESDEPASRESDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSDQCSCGGSFRCKEESSYFLSKMQVFRDVAVSQKFQLLQDCVEWILEVR >PVH66606 pep chromosome:PHallii_v3.1:1:48994859:48996070:-1 gene:PAHAL_1G297000 transcript:PVH66606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILLAAFVALLLVVGPCHARPEPEHAAKSAAKGKTTVVDGITAIYNFGDSLSDTGNLLREGAGGMLQYTTAPPYGSAIGGATGRCSDGYLMIDFLAKDLGLPLLNPYLDKGADFTHGVNFAVAGATALDAAALARRGVSVPHANSSLAVQLQQFKDFMNANTRSPQETREKLARSLVMVGEIGGNDYNYAFSANRPAGDGERNLYNFGRMAAGVVEATALVPDVVRSVTSAARELLDMGATRLVIPGNFPLGCVPSYMSAVDEKDPAAYDGNGCLAALNLFAQMHNVLLQQGIRELRRSYPGATIAYADYFYAYVRMLRDAGETGFDEGALTRACCGAGAGAYNFDMDRMCGAPGASVCARPDERISWDGVHLTQRAYRVMTDLLYHKGFASPAPVEFPRA >PAN08589 pep chromosome:PHallii_v3.1:1:57436852:57438000:1 gene:PAHAL_1G422800 transcript:PAN08589 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MTELFDTAVTSLLHLPEVLDRLAAADGDRRSGGHHGAHHHGHARVHGLGGGGGGAPVDIVETPGEYVFLLDVPGLSKSDIQVTLEEDRVLVMKGGNNNNGKRKREEEEEDGEGCRYIRLERRASPRSFVRKFRLPEDADAGGIAARCENGVLTVTVKKQPPPEKKTKSVQVTIA >PAN08745 pep chromosome:PHallii_v3.1:1:58155551:58158071:-1 gene:PAHAL_1G433700 transcript:PAN08745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSEPLLAEIIKRITRTSDLNSLSLVSKQLYNAEAEERGIIHVGCSLHPATEVLSSLCFRFPNLWKVEINYSGWTSKQGKQLDNQGILVLSSQCPLLTDLTLSFCSYINDTGIGYLAYCKKLIALRLNFAPAISSSGLLSVAVGCKSLSAFHLVDCMKVSSVEWLEYLGRAGSVVELVVKDCKGISQYDLLKFGPGWMKLEKFEFEINDNYWLAGPPPDPSYDAHYLYKYDIYCENLKDLRLAHIITVPEIGLRFLLGNCKALEKLCLDYVVGLDESEMIALFQNCSNLRSLSLRLMPLRCGPDMDFRTALTDESLKALGLSCPMLEFVELTFTFCSSSYPSEIGFTQEGMVTLVQSCPIRVLMLNGANSLNDEGMKGLSSAQFLETLELVDCERITDAGMSFITSTPSLSSLTLRQCNKVTDNGMAELARSQKLESLTVVGCRRISQKAVQGAAGLVHYSKESESFANLKGMKKMETSTLFR >PAN08744 pep chromosome:PHallii_v3.1:1:58155664:58158053:-1 gene:PAHAL_1G433700 transcript:PAN08744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSEPLLAEIIKRITRTSDLNSLSLVSKQLYNAEAEERGIIHVGCSLHPATEVLSSLCFRFPNLWKVEINYSGWTSKQGKQLDNQGILVLSSQCPLLTDLTLSFCSYINDTGIGYLAYCKKLIALRLNFAPAISSSGLLSVAVGCKSLSAFHLVDCMKVSSVEWLEYLGRAGSVVELVVKDCKGISQYDLLKFGPGWMKLEKFEFEINDNYWLAGPPPDPSYDAHYLYKYDIYCENLKDLRLAHIITVPEIGLRFLLGNCKALEKLCLDYVVGLDESEMIALFQNCSNLRSLSLRLMPLRCGPDMDFRTALTDESLKALGLSCPMLEFVELTFTFCSSSYPSEIGFTQEGMVTLVQSCPIRVLMLNGANSLNDEGMKGLSSAQFLETLELVDCERITDAGMSFITSTPSLSSLTLRQCNKVTDNGMAELARSQKLESLTVVGCRRISQKAVQGAAGLVHYSKESESFANLKGMKKMETSTLFR >PVH65673 pep chromosome:PHallii_v3.1:1:3405061:3405670:1 gene:PAHAL_1G049300 transcript:PVH65673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVISEVALVGNFFGKMFQNWSVNSKKVRAGPEAFKPFLHYLTYNHAFTSMSVIRNKQKLKPKPVDRSVMDAELEAKHPKKQILPDYDLGDGDEDVAALAAAKDDGIDEEELEDEDIAAAAKDEDTTEELEDEMPRWRMARRGMPVPRREKLLARRRVPPPRRAGHQGWKDQKCFFSLSPLCV >PAN08208 pep chromosome:PHallii_v3.1:1:54776518:54780408:1 gene:PAHAL_1G385000 transcript:PAN08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALLRRLPAARAPATAFMAARPISDSTAALTIETSVPFTSHLVDPPSRDVTTTPAELMTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGREAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYKKEDTATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHAVANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLIFAHDLATPAELKDMEKEIRKQVDDAIAKAKESSMPGTSELFTNVYKKGFGVESFGPDRKELRATLP >PAN07730 pep chromosome:PHallii_v3.1:1:52931009:52937147:-1 gene:PAHAL_1G357400 transcript:PAN07730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIGADDRGLAAAGKEEEGVAGGGGGGEEEEEASPIEQVRLTVPSTDDPSLPVWTFRMWSIGLLSCALMSFLNQFFTYRTEPLIVTQITVQVASLPVGHFMARVLPRTRFRVPALLGGGEWSLNPGPFNMKEHVLISIFANAGFAFGGGNAYAVGIIDIIRAFYHRHISFFTAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKDDAGTGSRQISRSKFFMVALACSFAWYAVPGYLFPALMSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSTVSSFLFSPLISPFFATVNIFFGYVFFVYLIMPIAYWGFNLYNAKTFPIFSSHLFMSNGTKYDIPSIVNTQFQLDKDAYNQHGKVNLSIFFALSYGFSFATIAATITHVGLFYGKEIYHRFKASQQETPDIHTRLMKKYDDIPAWWFYSLMALSVTVSLLLCTVLKREVQLPWWGLIFACGMAFIFTLPISIITATTNQSPGLNVITEYAMGLIMPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNLGTAWWLLGSIKDICSDSLPPDSPWTCPGDRVFFDASVIWGLVGPRRIFGSAGNYGALNWFFLIGAAGPVIVYALHRMFPNQRWIPLINLPVLLGATASMPPATAVNYNSWLLIGTIFNFFVFRYRKKWWTRYNYILSAALDAGVAFMGVVLYFSLTMENKSIDWWGTAGEHCPLASCPTAKGVDLGPDSVCPVF >PVH66252 pep chromosome:PHallii_v3.1:1:27175003:27176849:-1 gene:PAHAL_1G186100 transcript:PVH66252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFFGLPHLYCYGVCLLALLLARVLFRACNKPGPRLPPGPWQLPVIGSLHHLLLRRGLPHHTMRHLSLRHGPLMLLRICERAAVVVSSAEAAREVFKGNDAVFSQRPGSPGIDELSRHGQGVIFAPYGDHWRLLRRILMTELLSARRVEAFRHIREDEAARLVASLAASCTPRGQAPVDVDERLREFIADSSVRAILGDRLRNRAAFLRMIKEGQDPSALFDLRDLFPSSRLVRMLPCGGKAERHRLEMFRLMEDVLLNHRERTTTDDQDRAGEQDNMADVLLRIQNEGDMRVSLNHGVIRAVLTDVVGAALDTSSTTLQWAMAELIANPRVMQKAQLEVRSVLAGQRRVREAALSDLHYLKAVIKETLRLHPPAPFVPRVCLDDCKIQGYDVPQGTIAITNVWAISRDPKYWEDPDMFRPERFESGHGFDYKGFDFQFTPFGVGRRMCPGINFSHANVEIALASLLYHFDWKLPNGGKPEEMDMTEVWGVTVTRKAKLFLHPIPCIPLEDEYEFMGKEHVNPSENLLLRI >PVH66871 pep chromosome:PHallii_v3.1:1:53962217:53974753:-1 gene:PAHAL_1G372600 transcript:PVH66871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSKGGKNKGKAQGAGQSVSAEPEVPVTDGAEVVNPENGEVSDPPAAESSVADAEKTDGDAPVAAQPVKKPAEAGELHLFPVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHSKDGSTHQLEDYNEISEIADITSGGCSLEMVAGIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKSAGSEKTVIQELDGLNFMEDSAGALTNLLASAPAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGSSKSFYVNCSNGSILDPKPTKQSLEASTLVGLLQKISAKFKKGFREVLDRRASAHPFENVQSLLPVTSWLGAHPVPEHRRDAARAEESVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHIPKDRKPDCQNGSSRSTKVTSSGVERKCDMNHAETTEVSNSVSDNSLDASAEAQIADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEPFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYMGLEHRFCVLRPELVASFVEAESTKQATRQKVPDASEESNDQVASTSDAKASSVEGDDKSEESSAPTLEENSNSNAEILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLLEMVIPKFVQDLCSLDISPMDGQTLTDALHLHGINVRYLGKIAGMIKHLPHLWDLFSAEIIVRSAKHVIKEILRQSPDHDIGPAIAHFLNCFVGKVSGASTKGSHGNAQSKTQKGHESSQTQKSTKGQKLNNTAASRKGLSTYSHLTSDGIWSSIKEFAKSKYQFEVPDDARLSAKRVSVLRNLCQKVGITIAARKYNLDASTPFEALDILNLQPVVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYLAMVLYHAGDTAGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSCMSLYKLSIQHEKKTYDILAKQLGENDSRTKDSENWLNTFKHREEQVNAQKQKGQGTNASENAINFLKANPAFLQAMKAAAIQSGDGSANVNRSLNAAVVGEGVPRLRGVDERAARATAEARKKAAARGLNVRSGPGANNASDELAQILKIINSAAAVSSTSATANAQQSVSEGQATNGPAQNGNASEGKAADTNGPSVKSTGNTPVGLGTTLESKKQKSKQKS >PAN07915 pep chromosome:PHallii_v3.1:1:53962217:53974753:-1 gene:PAHAL_1G372600 transcript:PAN07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSKGGKNKGKAQGAGQSVSAEPEVPVTDGAEVVNPENGEVSDPPAAESSVADAEKTDGDAPVAAQPVKKPAEGELHLFPVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHSKDGSTHQLEDYNEISEIADITSGGCSLEMVAGIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKSAGSEKTVIQELDGLNFMEDSAGALTNLLASAPAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGSSKSFYVNCSNGSILDPKPTKQSLEASTLVGLLQKISAKFKKGFREVLDRRASAHPFENVQSLLPVTSWLGAHPVPEHRRDAARAEESVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHIPKDRKPDCQNGSSRSTKVTSSGVERKCDMNHAETTEVSNSVSDNSLDASAEAQIADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEPFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYMGLEHRFCVLRPELVASFVEAESTKQATRQKVPDASEESNDQVASTSDAKASSVEGDDKSEESSAPTLEENSNSNAEILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLLEMVIPKFVQDLCSLDISPMDGQTLTDALHLHGINVRYLGKIAGMIKHLPHLWDLFSAEIIVRSAKHVIKEILRQSPDHDIGPAIAHFLNCFVGKVSGASTKGSHGNAQSKTQKGHESSQTQKSTKGQKLNNTAASRKGLSTYSHLTSDGIWSSIKEFAKSKYQFEVPDDARLSAKRVSVLRNLCQKVGITIAARKYNLDASTPFEALDILNLQPVVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYLAMVLYHAGDTAGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSCMSLYKLSIQHEKKTYDILAKQLGENDSRTKDSENWLNTFKHREEQVNAQKQKGQGTNASENAINFLKANPAFLQAMKAAAIQSGDGSANVNRSLNAAVVGEGVPRLRGVDERAARATAEARKKAAARGLNVRSGPGANNASDELAQILKIINSAAAVSSTSATANAQQSVSEGQATNGPAQNGNASEGKAADTNGPSVKSTGNTPVGLGTTLESKKQKSKQKS >PVH66873 pep chromosome:PHallii_v3.1:1:53961840:53975341:-1 gene:PAHAL_1G372600 transcript:PVH66873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSKGGKNKGKAQGAGQSVSAEPEVPVTDGAEVVNPENGEVSDPPAAESSVADAEKTDGDAPVAAQPVKKPAEGELHLFPVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHSKDGSTHQLEDYNEISEIADITSGGCSLEMVAGIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKSAGSEKTVIQELDGLNFMEDSAGALTNLLASAPAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGSSKSFYVNCSNGSILDPKPTKQSLEASTLVGLLQKISAKFKKGFREVLDRRASAHPFENVQSLLPVTSWLGAHPVPEHRRDAARAEESVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHIPKDRKPDCQNGSSRSTKVTSSGVERKCDMNHAETTEVSNSVSDNSLDASAEAQIADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEPFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYMGLEHRFCVLRPELVASFVEAESTKQATRQKVPDASEESNDQVASTSDAKASSVEGDDKSEESSAPTLEENSNSNAEILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLLEMVIPKFVQDLCSLDISPMDGQTLTDALHLHGINVRYLGKIAGMIKHLPHLWDLFSAEIIVRSAKHVIKEILRQSPDHDIGPAIAHFLNCFVGKVSGASTKGSHGNAQSKTQKGHESSQTQKSTKGQKLNNTAASRKGLSTYSHLTSDGIWSSIKEFAKSKYQFEVPDDARLSAKRVSVLRNLCQKVGITIAARKYNLDASTPFEALDILNLQPVVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYLAMVLYHAGDTAGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSS >PVH66870 pep chromosome:PHallii_v3.1:1:53962217:53974753:-1 gene:PAHAL_1G372600 transcript:PVH66870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSKGGKNKGKAQGAGQSVSAEPEVPVTDGAEVVNPENGEVSDPPAAESSVADAEKTDGDAPVAAQPVKKPAEGELHLFPVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHSKDGSTHQLEDYNEISEIADITSGGCSLEMVAGIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKSAGSEKTVIQELDGLNFMEDSAGALTNLLASAPAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGSSKSFYVNCSNGSILDPKPTKQSLEASTLVGLLQKISAKFKKGFREVLDRRASAHPFENVQSLLPVTSWLGAHPVPEHRRDAARAEESVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHIPKDRKPDCQNGSSRSTKVTSSGVERKCDMNHAETTEVSNSVSDNSLDASAEAQIADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEPFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYMGLEHRFCVLRPELVASFVEAESTKQATRQKVPDASEESNDQVASTSDAKASSVEGDDKSEESSAPTLEENSNSNAEILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLLEMVIPKFVQDLCSLDISPMDGQTLTDALHLHGINVRYLGKIAGMIKHLPHLWDLFSAEIIVRSAKHVIKEILRQSPDHDIGPAIAHFLNCFVGKVSGASTKGSHGNAQSKTQKGHESSQTQKSTKGQKLNNTAASRKGLSTYSHLTSDGIWSSIKEFAKSKYQFEVPDDARLSAKRVSVLRNLCQKVGITIAARKYNLDASTPFEALDILNLQPVVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYLAMVLYHAGDTAGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSCMSLYKLSIQHEKKTYDILAKQLGENDSRTKDSENWLNTFKHREEQVNAQKQKGQGTNASENAINFLKANPAFLQAMKAAAIQSGDGSANVNRSLNAAVVGEGVPRLRGVDERAARATAEARKKAAARGLNVRSGPGANNASDELAQILKIINSAAAVSSTSATANAQQSVSEGQATNGPAQNGNASEGKAADTNGPSVKSTGNTPVGLGTTLESKKQKSKQKS >PVH66872 pep chromosome:PHallii_v3.1:1:53961840:53975341:-1 gene:PAHAL_1G372600 transcript:PVH66872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSKGGKNKGKAQGAGQSVSAEPEVPVTDGAEVVNPENGEVSDPPAAESSVADAEKTDGDAPVAAQPVKKPAEGELHLFPVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHSKDGSTHQLEDYNEISEIADITSGGCSLEMVAGIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKSAGSEKTVIQELDGLNFMEDSAGALTNLLASAPAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGSSKSFYVNCSNGSILDPKPTKQSLEASTLVGLLQKISAKFKKGFREVLDRRASAHPFENVQSLLPVTSWLGAHPVPEHRRDAARAEESVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHIPKDRKPDCQNGSSRSTKVTSSGVERKCDMNHAETTEVSNSVSDNSLDASAEAQIADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEPFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYMGLEHRFCVLRPELVASFVEAESTKQATRQKVPDASEESNDQVASTSDAKASSVEGDDKSEESSAPTLEENSNSNAEILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLLEMVIPKFVQDLCSLDISPMDGQTLTDALHLHGINVRYLGKIAGMIKHLPHLWDLFSAEIIVRSAKHVIKEILRQSPDHDIGPAIAHFLNCFVGKVSGASTKGSHGNAQSKTQKGHESSQTQKSTKGQKLNNTAASRKGLSTYSHLTSDGIWSSIKEFAKSKYQFEVPDDARLSAKRVSVLRNLCQKVGITIAARKYNLDASTPFEALDILNLQPVVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYLAMVLYHAGDTAGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSS >PVH66782 pep chromosome:PHallii_v3.1:1:52145210:52145864:1 gene:PAHAL_1G345600 transcript:PVH66782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHPPHALAAPSMQLAVMGKDRDSESSSSSSRRTTAHGGGWMDPPEHTSLAAAANHVPAVVEHRLGREDTAQGGVQPVRSSGYPSIGSAASIVVCSLAVAPGAGRNGRFLLFRGGGAYVGVRVAASPVTGELVYSIIRVVCSAYVPALLDRHEFGLFFLGSRRPLRFYRGRASEDVDLVT >PVH66297 pep chromosome:PHallii_v3.1:1:31192915:31193987:1 gene:PAHAL_1G199400 transcript:PVH66297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRANRQAGPRVTQRYGADGHLRLARRMEAQSGVAPSYIETYIRGHHGPDPTQPDLLCSDNATQTLARYGDEMVARHGEEYDWRTSDVDVGALYSSGGGKKHGRFSMLNGVIDTSGALSEARCSQSTPNSRGYQRQSQRETAMQEQIRQHEEAMQRQEEWARQQHEYMQGFFAQHRQIQEMLAATLGSQFNLPPLPSPPPPPPNFIPFARVPSPQVGSTSTHPRGVSASPSTPPSAPRNISGGDCGSGHNITSPP >PVH66529 pep chromosome:PHallii_v3.1:1:47386842:47389365:-1 gene:PAHAL_1G272300 transcript:PVH66529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSSAPLLPKPAHPTPSSASLPTTKPRFRRQRATPEQHDQHVDGKWRRDPSGPPRRGGGAKRRLRSLVLRGEIEDALALVDSMSSGGSGNRPPAVPCNILIKRLCSAGRVADAERVFAALGASATVVTYNTMVNGYCRAGRIEDARRLIGEMPFPPDTFTFNPLIRALCVRGRVPDALAMFDDMLHRGCSPSVVTYSILLDATCKASGYRQAMVLLDEMRAKGCEPDIVTYNVLINAMCNEGDVDEALSVLRSLPSRGCKPDAVTYTPVLKSLCGSEQWKEAEELLAEMASNKCAPDEVTFNTVITSLCQKGLVDHAIKVIDRMSEHGCIPDIVTYSSILDGLSNEGRVDDAVELLSTLQSYGCKPDSIAYTTVLKGLCSTERWEHAEELLAEMVRSDCPPDEVTFNTIIASLCQKGLVNRAIKVVEQMLEHGYIPDIITYNCVIDGLCNEKCIDDAMELLSNLQSYGCKPDTITYNTVLKGLCSADCWEDAGQLMVNMMHSDFPPDETTFNTVISSLCQKGLLLQAIETLEIMADNSCIPNSTTYNIVVDALLKAGKTQIALELLSGTTIGTSGLTTYNKVISNVAKAGKMEEALDLLRVMVSGGICPYTTTYQSLAYGISREDRTDRAISMLCRVQDMGLSPDTTFYNAVLLGLCENWRTDIAIDCFAHMVSHGCMPDESTYIILLEALAYEGLLGEAEELLGDLSSRGALDKSLIQEERRYS >PVH65576 pep chromosome:PHallii_v3.1:1:1936893:1937153:1 gene:PAHAL_1G027500 transcript:PVH65576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITATPTRISLALAMRTMHRCESDRRWGRDSHTRAVRSNQTIVTEQLPQKHYRTGGRRWRRWVEAERREGWASREREGSRRRRQP >PAN05527 pep chromosome:PHallii_v3.1:1:43444805:43454884:1 gene:PAHAL_1G236100 transcript:PAN05527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAFTISSQRTEQLENKNRGGLFTPSGHPCGINARPGAERGRWSSPPPQVRSSFSSASSPVPWGRGRGCSPRCRGAAAMHMAAVAEAEADLGPRLGISPATPDIVRKPDQQSRTTCADGSCCTTLFTGAASAPAAGSGKAESDGLASAPSPVQEQSQSAAEDLGEVECAPVSTQESGTLPPLMGESFCKVAQQEQQQEEGVASVSDAGGAASVPTPEKVEPTPRRRWKKSAKGVLRFKVVKDKVMKPKMTAKTATPRKVKKDKRKRTLEDSSQHVGAGSSNSARRKLDLDSDSSQSKTCFSRAKLVDNLKCLAKSHGLSVQPTRRTRSKRGRKWQLMVPYQGTLTGASSSALVPLWGSGQLDIACHGNHGKKLWNKVLGLTEETLRVCDVLAKWDGSDSESFEGFDIGSGPYWNQTRHMFEKLVDIFIAAVLDLMGPRKFSPWGGSVIDSVVGTFLTQNVADNLSSHAFMNLAAKFPSRKRCHKAEDCSNTAPSIDDVDENFNQNEASDTFDSVGSDFYEYIDSEEEDGHGTEIKGHYGEEYSRLIESFIANLKEKGISTWDSDLMNLVKDKSGNPICTERTLRKFIASLRPVRSSIWKELREEAYGKGYSDRSRTGTSDAVDWESVLHAPIAEVAKCIEVRGQHYILALRIQVFLMHVKNAQDGSFDLDWLRYISREKAKNFLLSIHGIGEKSADCIRLLSLRHKAFPVDVNVARIVTRLGWVKLQPLNGAEFHLINSYPIMRDVQRYLWPRLCTIDKEKLYELHCLMITFGKVMCTKINPNCSACPFSANCKYYSSSLARKSLPPPEKHEHEHREQQARMVASGRFLLSNDNCMPSSHHMYQHQIEISRTAETPSIIHNCEPIVEMPQSPEYEYEEAPNVQEDSYEDDQDLEDIIPGVQYDGEIDLCSSKHVLNNRSWTPNCGKDLVMINPNSSFGPNKKLKNIGRLRTEHNAYVLPDDHLILEEFEERVREDLCPYLLVVISCPDDYTVTGTVLIPCRTATRGKFPLNGTYFQANEVFADHSSSRHPITIPRECIGMLDRSIVYFGASIHSITRGQTRHGIEECFKKGYICVRGFHRRTRTPMRLCGTLHATNTVKKEALKKEAVKKEGEEKLAKRARTSPEGKTKEK >PAN08566 pep chromosome:PHallii_v3.1:1:57339549:57345040:-1 gene:PAHAL_1G421300 transcript:PAN08566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVLPIKGASAASGREKKAPKELENVLNQYFGYSGFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVRAGIVLVISPLIALMENQVASLKNKGIPAEFLSSTQTSHTKQTIHEDLDSGKPSLKLLYVTPELVVTPGFMAKLKKLYHRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRKQFPDIPLLALTATAVPKVQKDVISSLCLQNPVILQASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIIYCLERAACDDLSMHLSQQGVSSAAYHAGLNSKVRSSVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSVLYYGLDDRRKMEFILRNTKTKKSQLSSSSNELSEKALADFSQIVDYCESSSCRRKKIIESFGEKVQPTLCQRSCDACKHPNLVSSRLEELRRVPNCRFNKISPVFQSSLVNPAHLDTEFWNREDEASISAEDISDSDDGNEVVSNIAISKIPSNAALDAKFKALERAENAYYQGKGQTKQQGGLVDKKSISQALRDACWKRLLDALGQAKLRLGNLPCDEAASATHLETECFKKYEKVGKTFYNSQIAATVRWLSSATSNQMHDRFHTLIDQATDHSVSSSPDIVPESPPAPTEVVSASPGETISYEANDKPQNIHDLEKTKHSNESAKTIVASAGNMELPTIPSFREFLSQKGKDRAKSSSHSNAGSQPSGFRRKSSGLVEKQESSKKMKS >PAN06182 pep chromosome:PHallii_v3.1:1:44549250:44552035:1 gene:PAHAL_1G244300 transcript:PAN06182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLNMEQQTWAFTFGILGNIISLMVFLSPLPTFYRVYRKKATEGFQSTPYVVTLFSCMLWIFYALLKSGAELLVTINGVGCVIETVYITMYLVYAPRAARVLTAKMLLGLNVGVFGLVALVTMVLSKGSLRVHVLGWVCVSVALSVFAAPLSIMRQVIRTKSVEFMPFSLSFFLVLSAVIWFAYGALKKDVFVAFPNVLGFVFGVAQMALYMAYRNKKPAAAVVLVEEVKLPEHAKEVAAAAAAAAPEGRASCGAEVHPIDILPAEEPPVVAAVAVAARDDPQQVAVAIDVEPVTCAAAAAAAGGVDGLVAAELATMKPDTAIAVEV >PAN07920 pep chromosome:PHallii_v3.1:1:53987779:53989533:-1 gene:PAHAL_1G373000 transcript:PAN07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKRGAGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDED >PAN07841 pep chromosome:PHallii_v3.1:1:53595009:53597567:1 gene:PAHAL_1G366800 transcript:PAN07841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRRESSETLRNKCAACYRQYNRMEHLVEHMKVNYHSVHEPRCGVCGKHCRSFESLREHLIGPLPKVECARVFSVRGCSICLNIFDSNAAVRHHRAACQYTRAAPMPRGGITGRAVALACKMVGGVNDGSVDLCARVCLIGEDENIIFQTYVKPTAPVTNYRYEVTGIRPEYLRDAMPLKVAQRRIQEILCNGEPLWKLRPRSYGRAKILVGHGLDHDLERLGLEYPAFMIRDTAKYPPLMKTSKMSNSLKYLTQAYLGYEIQTGIEDPYEDCVAAMRLYIRMRSQAHPRDYNSGSGEVQNNYPAWRQRELERMSPEELLALSASDYYCWCLDY >PVH66185 pep chromosome:PHallii_v3.1:1:22934407:22936220:-1 gene:PAHAL_1G170900 transcript:PVH66185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPSLAHKLGGPSSAHGGSCYGAGDDAGKKGAYRDDDCGGSVRQKEDTEEIDALLSSEDDDDVVSTGRTPGASRDDGSSPDSTCSSSRRGGGGEPRKKERMSKMMRTLRGIVPGGSQMDTPAVLDGAVRYLKSLKVEAKKLGVRGSGR >PVH66313 pep chromosome:PHallii_v3.1:1:32632515:32635363:-1 gene:PAHAL_1G203900 transcript:PVH66313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLERTKEIKAEVIMGTESTPEKVKLLLGVLVLQCCLAGFHVVTRAALNMGISKIVFTVYRNSIALALLAPFAYMLEKKNRPPLTFSLLVQFFFLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFVLAACLRLEQVNINKRYGMAKVIGTVVSIGGATIITLYKGMPLMNLKLSHMLGGSFASPNHVLNWTVGCLFLLGNCFAWSGWMVLQAPVLKRYPARLSVLALTLVFGLVQLLAIAAFCENDSEKWKLHSGGELFTILYAGLVASGVALSLQIWCIDRGGALFTAIFQPVQTVMVAIMSAVILGDELYTGGIIGAVLIVIGLYFVLWGKNEEKRSSNNQGNESDLSRHLLRDGSSPQAKSVTNEIP >PVH67074 pep chromosome:PHallii_v3.1:1:57417558:57423667:-1 gene:PAHAL_1G422600 transcript:PVH67074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSLAQRNAAMSGLPSSGVQQPGGSISGRFTSNNLPVAMSQIPHAHSGVSGRGMNVGAGPAFSSSMNIGGNIQGLSSSLGAGGSRNSVPGMSVSPSLGNLGPRITSSVGNIIGGSNIGRNISSGGLSVPSIASRMNLSGNTVSGNLNVQGSSRMMNGLLQQASPQLINMLGSSYPTSGGSLSQNQIQAGNNSLSSIGMLHDANDTAPFDINDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGFKGNSSDYAMELHHKEQLHENVPVMQTQQYPMARSVGFNLGSSYPPNRQQHQQGANSVQNAGTQNIGLRPLNQTPSLASYDQLLQQYQQPQTQNPFRMQQMPSAAHSFRDQIQKIQGGPSPPDPYGLLGLLGVIRMNDAELASLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGDPDFHIPACYFSEQTPALQPILFQKLHLATLFYIFYSMPKDEAQLYAAHELYSRGWFYHKESRLWFTKIPNVEPLVKTHLYERGSYGFFDPQIWETVRKDNFVLHYELVEKRPALPSVAQNVR >PAN08587 pep chromosome:PHallii_v3.1:1:57415961:57425500:-1 gene:PAHAL_1G422600 transcript:PAN08587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSNLNGSASNLPDSTGRPFTASFSGQSGSIPGFHHSGLHNIHGSFNLPNMPGSLAQRNAAMSGLPSSGVQQPGGSISGRFTSNNLPVAMSQIPHAHSGVSGRGMNVGAGPAFSSSMNIGGNIQGLSSSLGAGGSRNSVPGMSVSPSLGNLGPRITSSVGNIIGGSNIGRNISSGGLSVPSIASRMNLSGNTVSGNLNVQGSSRMMNGLLQQASPQLINMLGSSYPTSGGSLSQNQIQAGNNSLSSIGMLHDANDTAPFDINDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGFKGNSSDYAMELHHKEQLHENVPVMQTQQYPMARSVGFNLGSSYPPNRQQHQQGANSVQNAGTQNIGLRPLNQTPSLASYDQLLQQYQQPQTQNPFRMQQMPSAAHSFRDQIQKIQGGPSPPDPYGLLGLLGVIRMNDAELASLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGDPDFHIPACYFSEQTPALQPILFQKLHLATLFYIFYSMPKDEAQLYAAHELYSRGWFYHKESRLWFTKIPNVEPLVKTHLYERGSYGFFDPQIWETVRKDNFVLHYELVEKRPALPSVAQNVPP >PAN08586 pep chromosome:PHallii_v3.1:1:57417558:57424408:-1 gene:PAHAL_1G422600 transcript:PAN08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSNLNGSASNLPDSTGRPFTASFSGQSGSIPGFHHSGLHNIHGSFNLPNMPGSLAQRNAAMSGLPSSGVQQPGGSISGRFTSNNLPVAMSQIPHAHSGVSGRGMNVGAGPAFSSSMNIGGNIQGLSSSLGAGGSRNSVPGMSVSPSLGNLGPRITSSVGNIIGGSNIGRNISSGGLSVPSIASRMNLSGNTVSGNLNVQGSSRMMNGLLQQASPQLINMLGSSYPTSGGSLSQNQIQAGNNSLSSIGMLHDANDTAPFDINDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGFKGNSSDYAMELHHKEQLHENVPVMQTQQYPMARSVGFNLGSSYPPNRQQHQQGANSVQNAGTQNIGLRPLNQTPSLASYDQLLQQYQQPQTQNPFRMQQMPSAAHSFRDQIQKIQGGPSPPDPYGLLGLLGVIRMNDAELASLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGDPDFHIPACYFSEQTPALQPILFQKLHLATLFYIFYSMPKDEAQLYAAHELYSRGWFYHKESRLWFTKIPNVEPLVKTHLYERGSYGFFDPQIWETVRKDNFVLHYELVEKRPALPSVAQNVR >PVH67075 pep chromosome:PHallii_v3.1:1:57415961:57425500:-1 gene:PAHAL_1G422600 transcript:PVH67075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSLAQRNAAMSGLPSSGVQQPGGSISGRFTSNNLPVAMSQIPHAHSGVSGRGMNVGAGPAFSSSMNIGGNIQGLSSSLGAGGSRNSVPGMSVSPSLGNLGPRITSSVGNIIGGSNIGRNISSGGLSVPSIASRMNLSGNTVSGNLNVQGSSRMMNGLLQQASPQLINMLGSSYPTSGGSLSQNQIQAGNNSLSSIGMLHDANDTAPFDINDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGFKGNSSDYAMELHHKEQLHENVPVMQTQQYPMARSVGFNLGSSYPPNRQQHQQGANSVQNAGTQNIGLRPLNQTPSLASYDQLLQQYQQPQTQNPFRMQQMPSAAHSFRDQIQKIQGGPSPPDPYGLLGLLGVIRMNDAELASLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGDPDFHIPACYFSEQTPALQPILFQKLHLATLFYIFYSMPKDEAQLYAAHELYSRGWFYHKESRLWFTKIPNVEPLVKTHLYERGSYGFFDPQIWETVRKDNFVLHYELVEKRPALPSVAQNVPP >PAN07961 pep chromosome:PHallii_v3.1:1:54182032:54190710:-1 gene:PAHAL_1G376300 transcript:PAN07961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTGNPNPNPNPPFELGKLFRPPNPMPTATAAPIFPGAAGGPAGPPPPSGPYSYPPVTPPFHRGPYLHYPQDPHAMPRPVVSFPMPNPNLNPNPSANPNAAVPGPNPGVRLMQLLGNSGPTQLETAVSMPPPTSEFAQPQPLPAMPSAAPARMLSSTSSKVPRGRLLGGGERAVHDIDSRLPGEAHPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGDVEAYHPRICWHSHKQEILFVGIGNCVLRIDTTKVGRGRDFAVEEPVKCHLEKLIDGVRLVGKHDGDVTDLSISQWMSTRLASGSKDGTVKIWDDRKPVPLSILKPHDGQAVYSVAFLTAPERPNHINLITAGPLNREIKIWASTNEDGWLLPSDSESWNCTQTLELVSSLEPRVEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHEGQSDGEQVVQVYCVQTMAIQQYGLELSLCSPPTADTTGFGRDPAISRVYEAPPEVAGTELSTTTSKPPAVDQSAEFDPKPSASPLAYSEGDGSVHLPSAPLASKMEVPVSGAAPGTRDMDQSAFDYTTNRNMERDALKRQDTPMPMRKDILGKDELRDGHGDVAMLPNPRLMFQVGGNATHLVTPSEIISGTLSSTENNDVSKSDGGKIQDVSSRSSRIAEVEPKQIDESKPDQNSGLETVKETQIVCENMEKTRSLEQTVEMISERSVTTDKYSVEESELPSDKPTLDHTGVADENVIKKSVEMPEKSDYSAASREQSSSYTKEEKVLHPQTSGQPSPPVSAFNSTESHEPLSSAYPPISSFPEVAATQGMLQQLIGMQKDMEKQLSTMIPASVAKESKKLETSLGRSMEKSIKAHIDAIWFRLQEENTKREKADTERMQQLVTLITNSINKDIPANLEKSLKKEISSLGPVVARAISPIIEKCLASAVSDSVQKGVGDKVCNQLDKSISGKLEATLARQIQMQFHTSVKQALQDALRTSFESLLVPAFEQSCKTMFEQVDGAFQKGMSEHTVAIQQQVEAAHTPLALTLKETINSASSITQSFSSELLDGQRKLLALVASGNTKAHNTNSLQPINGPLSGPQEVEAPLDPMKELGRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALLAMVPVPLNQGVLLALLQQLAVDINNETSRKVQWMTDVAMAINPADPMIAVHVRPIFEQVYSQLAHQRALPTTSASDGTSIRVIMHVINSVLLSYK >PAN06973 pep chromosome:PHallii_v3.1:1:49282306:49285855:-1 gene:PAHAL_1G302700 transcript:PAN06973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSTSLCFSSTIPSPASCSSGSSRFLAIQQVSSAPSLFRWTIQYKQCGHTLHRRSHMLAFASADAPQGKRSSGENVVMVDPLEAKRLAAKQMQEIRAKEKLKKQRQAEAINGALAMIGLTAGLVVEGQTGKGILEQLAGYLAAISSLFEQ >PAN08507 pep chromosome:PHallii_v3.1:1:56865785:56867973:1 gene:PAHAL_1G416300 transcript:PAN08507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKRTIGIGMDYSPSSKAAARWAVDNLVKAGDRIILVHVLPKGADASHKELWKSTGSPLIPLPEFMEMNVQARYGLNPDKEVLEILQAASKAKQVEVLAKIYWGDAREKLCEAVDDLRADSFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRGPTMSSA >PVH66596 pep chromosome:PHallii_v3.1:1:48756513:48757178:1 gene:PAHAL_1G292700 transcript:PVH66596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRVAPRAMPCLGRAQNGASVRPILHAHRRLLRPPRFGPTCQRAALLVVRQRRAWRSGAEAGGQARRRRWRCRHHPARHHRTNPRTDTPATRTRAARDAPQRCQHARQDKSSAPPRSRKAAVQSKAKRRRSSSLRSALQSPPPANPEIYLPSSRSFVSPGPRDRRTLLPRRGCRWLPVAVGAAAAGMPAATATTARLRLPLLEAARRSPDSARPRLDCRR >PAN08836 pep chromosome:PHallii_v3.1:1:58519221:58521036:1 gene:PAHAL_1G439600 transcript:PAN08836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHHHHHHHHHHMIPGQEPSAADGGAAPDSFFLGPAAAVIFSGGAGASGAGSSSSGVAALGSSAGGGGPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSASAAAAGPSSDGSPPPGPSGGGGPDTSASPQFIMP >PAN04851 pep chromosome:PHallii_v3.1:1:6887728:6888082:-1 gene:PAHAL_1G096500 transcript:PAN04851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSFARPAAAAFLRSAASRSPAASLPRSLAPVPRAPAVGRLMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >PVH65881 pep chromosome:PHallii_v3.1:1:6887728:6888082:-1 gene:PAHAL_1G096500 transcript:PVH65881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSFARPAAAAFLRSAASRSPAASLPRSLAPVPRAPAVGRLMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >PAN04854 pep chromosome:PHallii_v3.1:1:6884094:6888166:-1 gene:PAHAL_1G096500 transcript:PAN04854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSFARPAAAAFLRSAASRSPAASLPRSLAPVPRAPAVGRLMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >PAN04852 pep chromosome:PHallii_v3.1:1:6884093:6888166:-1 gene:PAHAL_1G096500 transcript:PAN04852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSFARPAAAAFLRSAASRSPAASLPRSLAPVPRAPAVGRLMALARSLQPLHSAVSAARLTSRLGAEVARAVSQETGLSVPR >PAN04853 pep chromosome:PHallii_v3.1:1:6885237:6888166:-1 gene:PAHAL_1G096500 transcript:PAN04853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSFARPAAAAFLRSAASRSPAASLPRSLAPVPRAPAVGRLMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGDVCPSWCSGVVSLEIGLHFIH >PVH65882 pep chromosome:PHallii_v3.1:1:6884094:6888166:-1 gene:PAHAL_1G096500 transcript:PVH65882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSFARPAAAAFLRSAASRSPAASLPRSLAPVPRAPAVGRLMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGDVCPSWCSGVVSLEIGLHFIH >PAN07265 pep chromosome:PHallii_v3.1:1:50719745:50722173:-1 gene:PAHAL_1G322800 transcript:PAN07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSKKKPPATPAGGAKKPGKIADDKAKKTAQQPKRAAKAAAAAVEPAASGKAAVLVVRGKDAAEGGGERKKRPGSQEAAAAEKPLPVVVVPSAPVRTSSCTKEEVDAILIQCGRLSRSSSGTGRAASSEAASGHRRRRSGSKRSYDFDQDARSGGGAGADEDWERQGAAAVSRSSPHRGSPQRKRSGSRERSSGGGGGSGSRRASRSPGRRTDGAAATAGSSGGGERARQQPGKMVSVPAREKGRAPSPAAASGKRCASPRSSSPARMVPANENAGCGPVVGPTPALSRSSSRKAEQSPYRRNPMAELDENSLRNNSNHSAKPQKKSIENAVAATPKKKAMERGKEPTVAASCRSGMEKPEIAEEGATIAAASETRAPSSKTNATRTASIVAVGQPGSSRSRRVSRDFDQNSGSYTTQLLEDIQNYHQQSTSIAVPATPATPSFSLPACVAKACSIVEAVADLNSSSSENCTHEYEPVLSADDKGSVNAPLGSDGSVEPSAARKPVQPVRDFRVETEPQESAGSNSVSGHQWTPSWEPPSVESTDRTWSTGDEVVEQSGSHVARCSPMNRPRQSKQRPSQPETSGRGSRAGSGNVGNTLHRGRSAHRGSSSSAASGRSGVRVVSAAS >PAN04894 pep chromosome:PHallii_v3.1:1:7290196:7294987:1 gene:PAHAL_1G099900 transcript:PAN04894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGHVGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDSSLLSSDIILVIGAVLAGYIFSGLQHGFGFSSSEKVEAPETEQHESSTIGKPPLVVESLKEEPGAGWPSFGTLISDLLKLAIEGVGKLLLSVVPQRLQHGKRNLTPLKDRLVMPEDREKTAIAQKLSSTPMRPEMLHAPNAASEVAPKAQKSIKPSKFRDSTLSSKHRSSKRQEYADFYGTSESAVSAKVPKDRLRHRHREKSGEVAYGTVHSELKPAEAKPTEYNDPKYDHYVRSKYTAESGYRY >PAN04893 pep chromosome:PHallii_v3.1:1:7290197:7294987:1 gene:PAHAL_1G099900 transcript:PAN04893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSWCLTVFADGHVGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDSSLLSSDIILVIGAVLAGYIFSGLQHGFGFSSSEKVEAPETEQHESSTIGKPPLVVESLKEEPGAGWPSFGTLISDLLKLAIEGVGKLLLSVVPQRLQHGKRNLTPLKDRLVMPEDREKTAIAQKLSSTPMRPEMLHAPNAASEVAPKAQKSIKPSKFRDSTLSSKHRSSKRQEYADFYGTSESAVSAKVPKDRLRHRHREKSGEVAYGTVHSELKPAEAKPTEYNDPKYDHYVRSKYTAESGYRY >PAN04892 pep chromosome:PHallii_v3.1:1:7289339:7294987:1 gene:PAHAL_1G099900 transcript:PAN04892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSLLLLLHIAAAALRSDAAAFASPSTGSIVKQLSSVVKWPRGAAAPHGGPKQPAHAQYADGHVGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDSSLLSSDIILVIGAVLAGYIFSGLQHGFGFSSSEKVEAPETEQHESSTIGKPPLVVESLKEEPGAGWPSFGTLISDLLKLAIEGVGKLLLSVVPQRLQHGKRNLTPLKDRLVMPEDREKTAIAQKLSSTPMRPEMLHAPNAASEVAPKAQKSIKPSKFRDSTLSSKHRSSKRQEYADFYGTSESAVSAKVPKDRLRHRHREKSGEVAYGTVHSELKPAEAKPTEYNDPKYDHYVRSKYTAESGYRY >PAN03558 pep chromosome:PHallii_v3.1:1:289174:290650:1 gene:PAHAL_1G001900 transcript:PAN03558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGGGGGGGGGGGGSGGGGGMDEEAARIPMVDAEEACALLSAATHLQYLDVRMWEDFDKGHVAGARNVPYYLSVTPHGKEKNPHFVEQVSALYPQDQHMIVGCRSGIRSKLATADLLSAGFKNVRNLEGGYLSLLRSANQAAAPPPGTTRLPSH >PAN08557 pep chromosome:PHallii_v3.1:1:57260595:57264028:1 gene:PAHAL_1G420300 transcript:PAN08557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALESGRGLGGGPRFGRVARCGYAASPPPSAGRGGSSSVGRDSDSPAAAAQWEWDGEEVEGGDGEVQSSYKGSPFDTMDALQEALPFRKGVCKFYNGKSGSFANLQDAVILSPPQKDLPKPETPSPRKRKGLLPFSFKWGKPQNKEVFPEDDVVNSPTNCRRLTISPAATSSSGSNSGSDDEHCRSQKPSSRRPHRRPSNAMDAFASPPAPRPPQLFSAPMRSHSMLNLQDVTDSTAMVTPRDKRMKN >PAN09060 pep chromosome:PHallii_v3.1:1:59479099:59479863:1 gene:PAHAL_1G455500 transcript:PAN09060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNNRGVGDSHSHVPKLILLTKVGAPTLWCNSARTSYLSSAEYSYQQRYYSI >PVH65651 pep chromosome:PHallii_v3.1:1:3218770:3219612:-1 gene:PAHAL_1G047200 transcript:PVH65651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPWIATKHSGLISYIFASFLTCQISGAPVQQNSIIAKTLKLSHRLLMEVHTFMVEMPNEELWTIKSWLFCSIRCKHRKTKISPYVLSCGKMLRSISYDMPRFVEKSSPWKIVIPFCCRPN >PAN05680 pep chromosome:PHallii_v3.1:1:16595129:16599499:-1 gene:PAHAL_1G150100 transcript:PAN05680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPDTIRTAIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPVPYVATLLNCMMWVLYGLPMVHPHSMLVITINGTGMAIELTYVTLFLLYSTGAARRKVFLLLAAEVAFVCAVAALVLSLAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVLFAVAQVVLYAIYYKSTQEIMEARKRKADQVAMTEIVVDGKENSHAGAGHY >PAN07451 pep chromosome:PHallii_v3.1:1:51618516:51619411:1 gene:PAHAL_1G337100 transcript:PAN07451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAFLLVALNLLLFAVANACGGGCPTPTPPTPTPPTPTPSSKGKCPKNALKLAACANVAGLVSAKVGQPPAEPCCSLLGGLADLEAAACLCTAIKANVLGINLDIPVKLSLIVNYCGKNLPSGFICA >PAN04213 pep chromosome:PHallii_v3.1:1:3379284:3381158:1 gene:PAHAL_1G049100 transcript:PAN04213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MLMESDSPVSKKSRLVNSVDCAMEEAPSNAVGANQSLHWTQWQVLENTGSLLLPFVYCASKSPDTAAWVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFIEIQALQDLRRTLLSSKNVSFHHAPIFGLICGLVGFDSETVQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVAADAERMVQKWRDRDVSEASQTAPLLDALQGCHAYMFSRLFCS >PAN04216 pep chromosome:PHallii_v3.1:1:3379285:3381049:1 gene:PAHAL_1G049100 transcript:PAN04216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MLMESDSPVSKKSRLVNSVDCAMEEAPSNAVGANQSLHWTQWQVLDSILPTGGFAHSYGLEAAMQSRMVNNQEDLRSFVIQVLENTGSLLLPFVYCASKSPDTAAWVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFIEIQALQDLRRTLLSSKNVSFHHAPIFGLICGLVGFDSETVQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVAADAERMVQKWRDRDVSEASQTAPLLDALQGCHAYMFSRLFCS >PVH65672 pep chromosome:PHallii_v3.1:1:3379284:3381057:1 gene:PAHAL_1G049100 transcript:PVH65672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MLMESDSPVSKKSRLVNSVDCAMEEAPSNAVGANQSLHWTQWQVLDSILPTGGFAHSYGLEAAMQSRMVNNQEDLRSFVIQVLENTGSLLLPFVYCASKSPDTAAWVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFIEIQALQDLRRTLLSSKNVSFHHAPIFGLICGLVGFDSETVQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVAADAERMVQKWRDRDVSEASQTAPLLDALQGCHAYMFSRLFCS >PAN04214 pep chromosome:PHallii_v3.1:1:3379284:3381158:1 gene:PAHAL_1G049100 transcript:PAN04214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MLMESDSPVSKKSRLVNSVDCAMEEAPSNAVGANQSLHWTQWQVLENTGSLLLPFVYCASKSPDTAAWVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFIEIQALQDLRRTLLSSKNVSFHHAPIFGLICGLVGFDSETVQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVAADAERMVQKWRDRDVSEASQTAPLLDALQGCHAYMFSRLFCS >PVH65671 pep chromosome:PHallii_v3.1:1:3379284:3381057:1 gene:PAHAL_1G049100 transcript:PVH65671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MLMESDSPVSKKSRLVNSVDCAMEEAPSNAVGANQSLHWTQWQVLDSILPTGGFAHSYGLEAAMQSRMVNNQEDLRSFVIQVLENTGSLLLPFVYCASKSPDTAAWVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFIEIQALQDLRRTLLSSKNVSFHHAPIFGLICGLVGFDSETVQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVAADAERMVQKWRDRDVSEASQTAPLLDALQGCHAYMFSRLFCS >PAN04215 pep chromosome:PHallii_v3.1:1:3379285:3381049:1 gene:PAHAL_1G049100 transcript:PAN04215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MLMESDSPVSKKSRLVNSVDCAMEEAPSNAVGANQSLHWTQWQVLDSILPTGGFAHSYGLEAAMQSRMVNNQEDLRSFVIQVLENTGSLLLPFVYCASKSPDTAAWVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFIEIQALQDLRRTLLSSKNVSFHHAPIFGLICGLVGFDSETVQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVAADAERMVQKWRDRDVSEASQTAPLLDALQGCHAYMFSRLFCS >PVH66319 pep chromosome:PHallii_v3.1:1:33123785:33125167:-1 gene:PAHAL_1G205700 transcript:PVH66319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDRSTRRQLDFTLGINMAGHITRQHARKQEADSRDRPQKEERDYITEEQVRHVRNQRPISSHLLRKYQYQYQQRLQRETEQEEYERRTGKRLRKRKDTRDHWHCPFFKYCWDSGMKRLPTLEDCPDVSVFQRLGPEQPRHGQAESSRIGGNSEGEEDKYHRPRWCPDGLNQSQKRRVQRLRSLEEAEAQYLEILRKARPDLAKKVHRPQKAEISSSKKVWHPKKSKADVKTSADAHMVFVLPAEFHAPGHEEVPVAQHDLRPRPVIFEKPRERNYRHLKALYLKGYINGQPVSRMLVDTGAAVNIMPYSMLRWLGQTSEVQGVLSMDLTVGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCYIPSTMHQCLIQWDGDEVEVVHADDSVEVSHAAMSVWDAKDQEPISGISLEDCDRVEATKNGVRLVLSTSLME >PAN06790 pep chromosome:PHallii_v3.1:1:48478210:48481180:-1 gene:PAHAL_1G288500 transcript:PAN06790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSTWQPPAASFAILLALLFFSPVASAGQPKGVCVSPGGRFPAFSSEGKPPGRASKGRRDLALCRIFRQNTCCDVTQTFPALISVRNLALTGEGSQECIHLWELLECSICDPRVGVRPGPPAICASFCDMVFKACSESYFSIDTKTQALSPCGLGDILCGKAHKWVSNGTELCHLAGFSVQVSGTSSGLVDDIFCYGGKASLDSISDSWTSSKDRPTLSSVTSWDVQDFQRWARDMPVGERVSWAIGGMVLTAGLIFISKRKSYSHRQKQAAIARNMRLRRLDPRANPQQTRRS >PAN04592 pep chromosome:PHallii_v3.1:1:5311332:5316443:1 gene:PAHAL_1G077700 transcript:PAN04592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSAAKFLLLLVAAASSFLSVASHGLPPRQLKRNASCLPHERDALLAFKENITSDPEGILSSWRRGRKDCCRWMGVTCSNQTGHVLELDLSYRNLTGQISPSLLSLEHLEHLDLGGTYLSGHDGRFPEFLCSFKNLRYLDLSLLSFASRLPAQLGNLSTSLEYLHLNNAYSLPSEVPPQLGNLSNLRHLGLASDYLYTTDISWLVRLHQLEFLEMADINLSTIDNWPLVVNMIPSLKSLSLWNCSLPRANQSLTHINLTKLERLDLSTNYFGHPIASSWFWNITSIQRLELSSTYLYGPFPDALGRMTSLFDLEFSENGNSATMTLDLRNLCELRYLWLDGSLSSGNITEFIEKLPQCSSSKLYLLSLSDNNMTGIMPQVMGHLTSLAALSLSNNSISGSISPGLQNFTSLEVLSLSSNHLSGQIPLLPRGLEILEVSMNFLSGHFHFGAPNIKVLILSSNKISGPIPEKFCELQYLHDLDLSNNSFAGELPICSSMPSLRSLLLSNNEFSGKFPSMVQTLSSLILLDLSWNKFDGTLPIWIGHLAELRFLDLSHNMLYGSIPVNITHLRQLQLLNLSFNNISGSIPQSLSKLMAMTKTHMPGPITTNRFDWYIGWVNYEFLSAVTKHQQHKYAEKSIFYIVDIDLSVNHLTGGIPDEMASLDGLRYLNLSRNCLRGNIPKNIGAMELVESVDFSWNSLSGEIPASLSDLTFLSVLDLSYNNLSGRIPSGRQLETVYDNNPTIYDGNNNLCGPPLQRNCSSGNSDPKHGNEQASGENSESLFFYFGLVSGFAVGLWGVLCALLFKKP >PAN06463 pep chromosome:PHallii_v3.1:1:46906578:46909394:1 gene:PAHAL_1G265500 transcript:PAN06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MWGKGKKRFGGGGGGEPPAKRQAAGEEGPSESAEDGTVVAEISKNKKVSVRSWKGKVYVDMREFYVKDGKTLPTRKGISLQLDQWKILRDNIKAIDEAIKENT >PAN05584 pep chromosome:PHallii_v3.1:1:20058362:20064312:-1 gene:PAHAL_1G160700 transcript:PAN05584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPSNKKEAEAKFKKISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPSGSSYYGGNASTFQFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGGAEWGMRGSRFGMFGDDIFGSYPQFPGEASMHVPQRPSKAPPIENRLPCNLADLYKGISKKMKISREILDAGGRTMVVEEILTIDIKPGWKKGTKITFPEKGNEAPHIIPADIVFIIDEKPHDIFTRDGNDLVMTQKISLAEALTECTVNVTTLDGRNLTVPINSVIYPGYEEVVPREGMPIPKDSSKKGNLRIKFSIKFPSRLTSEQKAEIKRLLGS >PAN06917 pep chromosome:PHallii_v3.1:1:49011762:49014755:-1 gene:PAHAL_1G297500 transcript:PAN06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAAASAVSLLRSQIPFQTDGELVLPPRGVGLVLVDLCNGFCTVGAGNLAPVAPNKQIEKMVGEAARLSKLFCDRNWPIFAFLDTHYPDKPEPPYPPHCIIGTGEENFVPDLEWLEKEPNVTIKRKSCIDGYISCMEKDGSSVFADWVAKNQIKTILVLGICTDICVLDFASSTLAARNIDRVPPLQDVVIYSEGCATYDLPVEVVANVKGALAHPQDLMHHVGLYMARGRGAKVVDRVVVEP >PAN06487 pep chromosome:PHallii_v3.1:1:47015860:47020231:-1 gene:PAHAL_1G266800 transcript:PAN06487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFACAHAEKRHRLDRTFLSKKDCIGRYYAKDAKYRPFSALLPEGSSGKMLYVKLVLVLLMCGSFMGLLHSPSIHPADEQHRTQSEASKASWMSHPDAPNSGYASSLKIDWSQIETAVKQVSSAEDGGAPRVALLNFDDSEVEEWRARLPHTEASTVRLDPFGGNVTWEHLYPEWIDEEELYGAPSCPDLPEPAVAEAEAYDVVAVKLPCGRAASWSKDVARLHLQLAAARVAARHGRRRPHVLVVSRCFPAPNLFKCRDEVARDGDVWLYRPDAEELDRKLALPVGSCELAMPFKALGDPYVSAAPHREAYATILHSEQLYACGALTAAQSIRMAGSGRDMVALVDETIGARHRGALEAAGWKVRAIRRIRNPRASRDAYNEWNYSKFWLWTLTEYERVIFLDADLLVQRPMEPLFAMPEVSATGNNGTYFNSGVMVVEPCECTFRLLTGHVGDIESYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDTAERAAARRRVLAADPPVALAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWKVHDAMPPRLRGFCLLDERQKALLRWDAAHAREANASDGHWGVRIADPRRSMCAGDGEACREREIAGRRVEGDRITTSYAKLIDNF >PAN06486 pep chromosome:PHallii_v3.1:1:47015860:47019266:-1 gene:PAHAL_1G266800 transcript:PAN06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFACAHAEKRHRLDRTFLSKKDCIGRYYAKDAKYRPFSALLPEGSSGKMLYVKLVLVLLMCGSFMGLLHSPSIHPADEQHRTQSEASKASWMSHPDAPNSGYASSLKIDWSQIETAVKQVSSAEDGGAPRVALLNFDDSEVEEWRARLPHTEASTVRLDPFGGNVTWEHLYPEWIDEEELYGAPSCPDLPEPAVAEAEAYDVVAVKLPCGRAASWSKDVARLHLQLAAARVAARHGRRRPHVLVVSRCFPAPNLFKCRDEVARDGDVWLYRPDAEELDRKLALPVGSCELAMPFKALGDPYVSAAPHREAYATILHSEQLYACGALTAAQSIRMAGSGRDMVALVDETIGARHRGALEAAGWKVRAIRRIRNPRASRDAYNEWNYSKFWLWTLTEYERVIFLDADLLVQRPMEPLFAMPEVSATGNNGTYFNSGVMVVEPCECTFRLLTGHVGDIESYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDTAERAAARRRVLAADPPVALAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWKVHDAMPPRLRGFCLLDERQKALLRWDAAHAREANASDGHWGVRIADPRRSMCAGDGEACREREIAGRRVEGDRITTSYAKLIDNF >PVH66511 pep chromosome:PHallii_v3.1:1:47015145:47020231:-1 gene:PAHAL_1G266800 transcript:PVH66511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFACAHAEKRHRLDRTFLSKKDCIGRYYAKDAKYRPFSALLPEGSSGKMLYVKLVLVLLMCGSFMGLLHSPSIHPADEQHRTQSEASKASWMSHPDAPNSGYASSLKIDWSQIETAVKQVSSAEDGGAPRVALLNFDDSEVEEWRARLPHTEASTVRLDPFGGNVTWEHLYPEWIDEEELYGAPSCPDLPEPAVAEAEAYDVVAVKLPCGRAASWSKDVARLHLQLAAARVAARHGRRRPHVLVVSRCFPAPNLFKCRDEVARDGDVWLYRPDAEELDRKLALPVGSCELAMPFKALGDPYVSAAPHREAYATILHSEQLYACGALTAAQSIRMAGSGRDMVALVDETIGARHRGALEAAGWKVRAIRRIRNPRASRDAYNEWNYSKFWLWTLTEYERVIFLDADLLVQRPMEPLFAMPEVSATGNNGTYFNSGVMVVEPCECTFRLLTGHVGDIESYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDTAERAAARRRVLAADPPVALAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWKVHDAMPPRLRGFCLLDERQKALLRWDAAHAREANASDGHWGVRIADPRRSMCAGDGEACREREIAGRRVEGDRITTSYAKLIDNF >PAN04343 pep chromosome:PHallii_v3.1:1:4078521:4081966:1 gene:PAHAL_1G060100 transcript:PAN04343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSRALSLSSLCATTLAAARAPAPFLAPAHRGLLPLRLAAAMSSSSSCPAPAASGDAAAPAPAPAPSNAIDFLTLCYRLKTTKRAGWVKRGVQAPESVADHMYRMGVMALVAADLPGVNRDRCVKMAIVHDIAEAIVGDITPSDNVPKEEKSRMEKEALDHMCELLGGGPRAQEIRELWMEYENNASLEAKVVKDFDKIEMILQALEYEKEQGRDLEEFFQSTAGKFQTDIGKAWAAEIASRRKPK >PAN08596 pep chromosome:PHallii_v3.1:1:57487225:57490211:-1 gene:PAHAL_1G423000 transcript:PAN08596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILANLTKQPGPRRLTERDLWQEKKKPKRSAGGGKRWFLAEEDEDFEADFEDFQGDSDESDLELGEGEDDDVVEIKPFAAKRTSSKDGLSTMTTAGYDGPAARSAKRKRKNQYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDAPTVAQKRHRGPAAAKAPKSSVEQKPTVKPAVNNLANANASFYPPAGYASNKPFVQHANMPFHPAMNSASPIEDPVMNLHSDQGSNSFDCSDFSWENDTKTSDITSIASISTIAEGDESAFVNSNLNNSLVPSVMENNAVDLTDGLTDLEPYMRFLLDDGASESIDNLLNLDGSQDVMSNMDLWSFDDMPAGDFY >PAN04633 pep chromosome:PHallii_v3.1:1:5522717:5533294:1 gene:PAHAL_1G080300 transcript:PAN04633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRNPIVFLDVSIGDELDGRMIFELFADVAPLTSENFRALCTGELGKTKKPLCYKGSTFHCVIKGFIAQGGDLAKGNGSGGESIYSGKFGDEACVLRHDDRGLLTTADTGSQFCITFKPNSHLDRKHTVFGKLVVGNDVLKRIEQVDVHEPDSTPVVPVRIVDCGELTDRKYHDSVTTENDKKRAAKSKFLKDISSDEESNDGQHKGRRKKSSKRKRKKRRYSYSESDSSSESETESSDSESDSDTYSSDSSDVSSSSDDRRRRRKRHSKKNKRKRSRRKRDHRRERRRRKRDRKAKQKLKKMIESDSEAESTSDSSSEDARSKRHSRGRKSKASSQVSAENLAAVAVLKEATSTQQTSGMPRSLAQEDNSPLQNGEIHTNGVNESKTERNAATMPVLAGNRSKSRSQSMSANHSMSKSMSISPRRSPIKRSITTRKRSASRSPVHHSRSRSPVRVPKTSKSRSPARRSITRSPARRSPSKSSPRDASRSATPRTSRSPVKGQRRSISRSSARSMQRRTPSRSPERTHLHKSVSPSPPVEKRRSITRTSARSPLRSVSRSPARFSRSPHRPSRRSPIRSPRRNIHRSVSRSPVRIPRRSVSRSPVRGGRPRRNISRSPSPPRRAISPPPNNGRSPSRTGSPDGSPKRIRRGRGFTQRYSFARQYRSPSADRSHRYGGRSDRDRYMGYRGSRHRSPPRRYRSPPRGRPSSPRYRRRSRSTSRSPVHRERGRGGGYSRSPVRSRSPPAGKPRSHGERARSVSRSRLSGSRSRSPPPAHDRSPPDSQSPKRASDEKSRSPSPSRSRSLSSSPSPGGKKGLVSYGDGSPDSAGK >PAN04634 pep chromosome:PHallii_v3.1:1:5522717:5533294:1 gene:PAHAL_1G080300 transcript:PAN04634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRNPIVFLDVSIGDELDGRMIFELFADVAPLTSENFRALCTGELGKTKKPLCYKGSTFHCVIKGFIAQGGDLAKGNGSGGESIYSGKFGDEACVLRHDDRGLLTTADTGSQFCITFKPNSHLDRKHTVFGKLVVGNDVLKRIEQVDVHEPDSTPVVPVRIVDCGELTDRKYHDSVTTENDKKRAAKSKFLKDISSDEESNDGQHKGRRKKSSKRKRKKRRYSYSESDSSSESETESSDSESDSDTYSSDSSDVSSSSDDRRRRRKRHSKKNKRKRSRRKRDHRRERRRRKRDRKAKQKLKKMIESDSEAESTSDSSSEDARSKRHSRGRKSKASSQVSAENLAAVAVLKEATSTQQTSGMPRSLAQEDNSPLQNGEIHTNGVNESKTERNAATMPVLAGNRSKSRSQSMSANHSMSKSMSISPRRSPIKRSITTRKRSASRSPVHHSRSRSPVRVPKTSKSRSPARRSITRSPARRSPSKSSPRDASRSATPRTSRSPVKGQRRSISRSSARSMQRRTPSRSPERTHLHKSVSPSPPVEKRRSITRTSARSPLRSVSRSPARFSRSPHRPSRRSPIRSPRRNIHRSVSRSPVRIPRRSVSRSPVRGGRPRRNISRSPSPPRRAISPPPNNGRSPSRTGSPDGSPKRIRRGRGFTQRYSFARQYRSPSADRSHRYGGRSDRDRYMGYRGSRHRSPPRRYRSPPRGRPSSPRRSRYRRRSRSTSRSPVHRERGRGGGYSRSPVRSRSPPAGKPRSHGERARSVSRSRLSGSRSRSPPPAHDRSPPDSQSPKRASDEKSRSPSPSRSRSLSSSPSPGGKKGLVSYGDGSPDSAGK >PAN07792 pep chromosome:PHallii_v3.1:1:53384112:53386845:1 gene:PAHAL_1G363200 transcript:PAN07792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLLLPAPSPRPCLIASLRRPPRSCKDRFLLPGTRRRPRLRRLGLRMAEMARVGSGGEPLPEVGVSVESDPMLGGEESPGQRREASRWAPVEAALNRMSKWLVAGCFTLAVLWKHDAEIIWISLGAVANSLLSMILKKMLNHERPSSALRSDPGMPSSHAQSIFYAATVLILSLYCWIGTNYLTVILGPATLSVAAYLSWLRVSQRLHTLNQVMVGAAVGSAFGALWFVLWHSLVQEAFAASLLVRIVVILGSSVFCVGFGIYMIRYWLKDE >PAN07794 pep chromosome:PHallii_v3.1:1:53384112:53386849:1 gene:PAHAL_1G363200 transcript:PAN07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLLLPAPSPRPCLIASLRRPPRSCKDRFLLPGTRRRPRLRRLGLRMAEMARVGSGGEPLPEVGVSVESDPMLGGEESPGQRREASRWAPVEAALNRMSKWLVAGCFTLAVLWKHDAEIIWISLGAVANSLLSMILKKMLNHERPSSALRSDPGMPSSHAQSIFYAATVLILSLYCWIGTNYLTVILGPATLSVAAYLSWLRVSQRLHTLNQVMVGAAVGSAFGALCCRPAMGD >PAN07793 pep chromosome:PHallii_v3.1:1:53384110:53386845:1 gene:PAHAL_1G363200 transcript:PAN07793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLLLPAPSPRPCLIASLRRPPRSCKDRFLLPGTRRRPRLRRLGLRMAEMARVGSGGEPLPEVGVSVESDPMLGGEESPGQRREASRWAPVEAALNRMSKWLVAGCFTLAVLWKHDAEIIWISLGAVANSLLSMILKKMLNHERPSSALRSDPGMPSSHAQSIFYAATVLILSLYCWIGTNYLTVILGPATLSVAAYLSWLRVSQRLHTLNQVMVGAAVGSAFGALWPAMGD >PVH66516 pep chromosome:PHallii_v3.1:1:47127255:47132532:1 gene:PAHAL_1G268500 transcript:PVH66516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKPVDVKTVQRLSGADKKKLRRTAKERFPQASDADLDAILPPKGEITLAKYPNHALVYAIEGEFPMIFNIDARGHDLFPTVYALWKVPHLLPAFMLKGGEVSRYVIGGADLMFPGISIPPEGFPSFEAGQPWAVKVPGNPAPIAVGITTMSSTEALKAGLRGKALRILHYYRDMLWDSADGRYVPNEGFFEDIVVEDPNFVSTSQSPDSAEGTPEVTHESEDAAVDSSGTADPGVHIEGTEEITDGINELKLPENKSTEQAPDEKEHQNLTTEEIDSLLDKCLLQALYTSIKEKDFPMPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLISAKEDKYKKEVILLGINRKHPDYMAFKPEKRVQEPVEQEKAVAESSVTKQLEVAEIYKPSSHVKPIFMAVEADMEKYYSASEASDVVFRYVEKENLVKPTDKAKVILDVTLCDALYKGAIKKGSAYPTEIHKKDLGSTLLNRMQVHHKVTRGTQEVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQYEVLVQGGVIDDLAKHLVDHYGVPKRYIEVYDKTKR >PAN06515 pep chromosome:PHallii_v3.1:1:47127131:47132532:1 gene:PAHAL_1G268500 transcript:PAN06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKPVDVKTVQRLSGADKKKLRRTAKERFPQASDADLDAILPPKGEITLAKYPNHALVYAIEGEFPMIFNIDARGHDLFPTVYALWKVPHLLPAFMLKGGEVSRYVIGGADLMFPGISIPPEGFPSFEAGQPWAVKVPGNPAPIAVGITTMSSTEALKAGLRGKALRILHYYRDMLWDSADGRYVPNEGFFEDIVVEDPNFVSTSQSPDSAEGTPEVTHESEDAAVDSSGTADPGVHIEGTEEITDGINELKLPENKSTEQAPDEKEHQNLTTEEIDSLLDKCLLQALYTSIKEKDFPMPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLISAKEDKYKKEVILLGINRKHPDYMAFKPEKRVQEPVEQEKAVAESSVTKQLEVAEIYKPSSHVKPIFMAVEADMEKYYSASEASDVVFRYVEKENLVKPTDKAKVILDVTLCDALYKGAIKKGSAYPTEIHKKDLGSTLLNRMQVHHKVTRGTQEVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQYEVLVQGGVIDDLAKHLVDHYGVPKRYIEVYDKTKR >PVH66205 pep chromosome:PHallii_v3.1:1:25062375:25063247:1 gene:PAHAL_1G177600 transcript:PVH66205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPAIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPIPAIGETRVLVGTPITGWGGLFRTPQAPPEGTEGTAAATGGGAVERPQENGILEDDEEELLIPLEVHSAPENDSPRE >PVH65883 pep chromosome:PHallii_v3.1:1:6976972:6977697:-1 gene:PAHAL_1G096800 transcript:PVH65883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEVSSPASSGASSSLPQSPAEAGPRRSRRGGEKRARDGGSHPSFRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPEMAAALPRPASAAPRDVQAAAARAAAMEPPPIPGASAAAGAALPASAPAPPAAGQQEDDELEAIVELPPLDEDAAELVTLGATSFSFHDAAQASWCLPEWIDDGAGYMAAAHDELFGLGGPDGDHGWGQSVGALLWNL >PAN07368 pep chromosome:PHallii_v3.1:1:51167032:51168497:1 gene:PAHAL_1G330000 transcript:PAN07368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCEQLRERALVVALALLVLAFALVQCAGVADGEKQGGGPAETDPATRQTGPPLPPGWTGDSGSGHGSSPDGGSWEYGWGWAAGPDGKGGGFGFGYGGSGGEGGGGGGGGGGPGSGKAFGFGIGGYKDHHGGFGDGGGGGGGSGGYGGSDAGGFGGGDSAGGFGGGQHGEAGNGEEYGGYAGGFGGGGPGRHGGAGAGARDGDGAGYEGPFRGGGWSKRGHFRGGRSARKDGGGNN >PAN07367 pep chromosome:PHallii_v3.1:1:51167032:51168497:1 gene:PAHAL_1G330000 transcript:PAN07367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCEQLRERALVVALALLVLAFALVQCAGVADGEKQGGGPAETDPATRQTGPPLPPGWTGDSGSGHGSSPDGGSWEYGWGWAAGPDGKGGGFGFGYGGSGGEGGGGGGGGGGPGSGKAFGFGIGGYKDHHGGFGDGGGGGGGSGGYGGSDAGGFGGGDSAGGFGGGQHGEAGNGEEYGGYAGGFGGGGPGRHGGAGAGARDGDGAGYEGPFRGGGWSKRGHFRGGRSARKDGGGNN >PAN07078 pep chromosome:PHallii_v3.1:1:49851660:49855089:-1 gene:PAHAL_1G309300 transcript:PAN07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MYLQYYINEKGDKVYTTKKESPLGVATQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >PVH67189 pep chromosome:PHallii_v3.1:1:58984796:58987654:-1 gene:PAHAL_1G447000 transcript:PVH67189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQPNWRAGTAASRLSYKNATIAVCAFNLLVAALLLHNYYSSWTRIAGGDQFDSAQLRYIWESEELRRAMEPVDLIRRVKAIEQEAYGEHGMATQEDPKQTAAVDLSNRLKDLRAGNDGSSQKALEEWRKRKMERARQRAIEKNGISGAKTL >PVH66294 pep chromosome:PHallii_v3.1:1:30848612:30849541:1 gene:PAHAL_1G198300 transcript:PVH66294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPEGHLHTNALHWEGFPRLLWESLQAFYYTESPQYDAIEHVEDGVHRAHVRMSIPQHPFRSQWQPIEISTTGYRIMDTIEAAALEAIYAFCSQHPEEVVGQPIGLFATTDPGEAERDLGTIPESHRLEGPPEEVVQGMRRYTGVQYHYHMLLRREIGHLITAARSFHGDAARYFTQADQLQAVVLEKNGIIAAQNETIHHREDQINESDHIITQRDTVIEFLQAQVQDLILAVDDAQAQIEELQQPPIPPVAPATPEVEEEDPEEIEGVSELDSEHGDPVVSPHHSSSGSQSSVGNFDDF >PVH67053 pep chromosome:PHallii_v3.1:1:56882878:56883636:1 gene:PAHAL_1G416700 transcript:PVH67053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTNSSHPSHEPSTAGSKLAENTPYGTPRRTPNSRNATQIGGCRSSPVTSAAARSAASPAADAASAAAGTLRATRHSTSSDSRNASSPSRSRRRAHSSHSSSLTDASTTSRDPSRNDASTRAPAASPRYPSSAGTPAKESAATAGSFHSTLRSGSPPGRSASMSARRRSSSSAPPKTSDSSITPASTRFWRKVRRSLLLLRAPRSSLFLALPRSPSPSSVPFEPPGLEAERQM >PAN07292 pep chromosome:PHallii_v3.1:1:50802592:50803056:-1 gene:PAHAL_1G324300 transcript:PAN07292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAPSPAMLLLLLFMGIELTTFTCSGRGLKSDVEAAAREHGGAALWTERVPPRTAPSVGGGDVGAWAAQGESKRLVPQGPNPLHN >PAN08014 pep chromosome:PHallii_v3.1:1:54418000:54419326:1 gene:PAHAL_1G379900 transcript:PAN08014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSSEDYQSQVSILGPVGYGPTTLPLRHSDLCGNLLCLPF >PAN04676 pep chromosome:PHallii_v3.1:1:5774902:5776319:1 gene:PAHAL_1G083100 transcript:PAN04676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGSTEMDMDLDVAAAKRMKRPAAAAVEDLDDDEERRLEEQLRTKVPKANFGAYPPDISEILHKGRLVKMDKTMSYTPGATPSASSAAAGLFLPVVAAGATSTSIISISIGLSSLYFFD >PVH66773 pep chromosome:PHallii_v3.1:1:51971866:51972213:-1 gene:PAHAL_1G343500 transcript:PVH66773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGILTIISPFGVVNAFDSVTMFACPISRLRKAQEESKINLQPSHSLSHMNEHRA >PAN06416 pep chromosome:PHallii_v3.1:1:46686641:46691185:-1 gene:PAHAL_1G262700 transcript:PAN06416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAVGGGMRRSASHTSLSESDDFELTRLLSKPRINVERQRSFDDRSLSDVSHSGGYGRGGAFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTVAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVRNFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVMHDAKKGVETLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQMLKHDNEGKEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSAAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDRAMLKPVLKRSASWTN >PVH65779 pep chromosome:PHallii_v3.1:1:5130884:5133479:1 gene:PAHAL_1G075000 transcript:PVH65779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVGGNSNANSIYEAFLPEGYQKPHPDSSPEEREKFIRSKYELQEFLKPSLRIVSHQTSDSGKHAGGAPHSDGSKSEIGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGHQKAQTSVIKGNLNPVWNEELKLSVPRQYGPLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFGDPELLGDIQIGRWLKSDDNALVRDSAVVVAGGKVKQGVALKLQHTESGELELEMEWMPLNM >PVH65780 pep chromosome:PHallii_v3.1:1:5130006:5133278:1 gene:PAHAL_1G075000 transcript:PVH65780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKELLHRSENHICADCSAPDPKWASANIGVFICVKCSGVHRSLGTHISKVLSATLDKWTENEVESMIEVGGNSNANSIYEAFLPEGYQKPHPDSSPEEREKFIRSKYELQEFLKPSLRIVSHQTSDSGKHAGGAPHSDGSKSEIGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGHQKAQTSVIKGNLNPVWNEELKLSVPRQYGPLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFGDPELLGDIQIGRWLKSDDNALVRDSAVVVAGGKVKQGVALKLQHTESGELELEMEWMPLNM >PVH65776 pep chromosome:PHallii_v3.1:1:5130006:5133278:1 gene:PAHAL_1G075000 transcript:PVH65776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGHGDTGASATMAKLKELLHRSENHICADCSAPDPKWASANIGVFICVKCSGVHRSLGTHISKVLSATLDKWTENEVESMIEVGGNSNANSIYEAFLPEGYQKPHPDSSPEEREKFIRSKYELQEFLKPSLRIVSHQTSDSGKHAGGAPHSDGSKSEIGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGHQKAQTSVIKGNLNPVWNEELKLSVPRQYGPLKLVLH >PVH65777 pep chromosome:PHallii_v3.1:1:5130628:5132442:1 gene:PAHAL_1G075000 transcript:PVH65777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGHGDTGASATMAKLKELLHRSENHICADCSAPDPKWASANIGVFICVKCSGVHRSLGTHISKVLSATLDKWTENEVESMIEVGGNSNANSIYEAFLPEGYQKPHPDSSPEEREKFIRSKYELQEFLKPSLRIVSHQTSDSGKHAGGAPHSDGSKSEIGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGHQKAQTSVIKGNLNPVWNEELKLSVPRQYGPLKLVLH >PVH65778 pep chromosome:PHallii_v3.1:1:5129844:5133479:1 gene:PAHAL_1G075000 transcript:PVH65778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGHGDTGASATMAKLKELLHRSENHICADCSAPDPKWASANIGVFICVKCSGVHRSLGTHISKVLSATLDKWTENEVESMIEVGGNSNANSIYEAFLPEGYQKPHPDSSPEEREKFIRSKYELQEFLKPSLRIVSHQTSDSGKHAGGAPHSDGSKSEIGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGHQKAQTSVIKGNLNPVWNEELKLSVPRQYGPLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFGDPELLGDIQIGRWLKSDDNALVRDSAVVVAGGKVKQGVALKLQHTESGELELEMEWMPLNM >PVH65775 pep chromosome:PHallii_v3.1:1:5130006:5133278:1 gene:PAHAL_1G075000 transcript:PVH65775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGHGDTGASATMAKLKELLHRSENHICADCSAPDPKWASANIGVFICVKCSGVHRSLGTHISKVLSATLDKWTENEVESMIEVGGNSNANSIYEAFLPEGYQKPHPDSSPEEREKFIRSKYELQEFLKPSLRIVSHQTSDSGKHAGGAPHSDGSKSEIGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGHQKAQTSVIKGNLNPVWNEELKLSVPRQYGPLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFGDPELLGDIQIGRWLKSDDNALVRDSAVVVAGGKVKQGVALKLQHTESGELELEMEWMPLNM >PAN08190 pep chromosome:PHallii_v3.1:1:55429369:55431869:1 gene:PAHAL_1G394600 transcript:PAN08190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDTETEKKRTPLALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANVPYIYVPSKEDLATAGTTKRPTCCVLVLTKPTKGELSEEVKEKLKSDYDQVVTEVAEATSAMF >PAN04364 pep chromosome:PHallii_v3.1:1:4260171:4262098:-1 gene:PAHAL_1G062200 transcript:PAN04364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFISWAVCARAFTSLREESILLRADETPADRRFVNRSFFRGMDVTILAQKTLLYCAHATRHPWCPAVPTRQDQDSRRDSIQPPPTLQASGTPASGGSTYGHSEAAMRELQPWGPRGEDQPWVDTPGKTRTHASLLSRQCHVDGSETKWLPQPAIGGEQYRMMGKGTCTSNG >PVH65707 pep chromosome:PHallii_v3.1:1:3921917:3926754:1 gene:PAHAL_1G058000 transcript:PVH65707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGSSASVFLGVDVGTGSARAGIFDQKGKLLGSASSPMQIWKEKDCIEQSSTDIWHAVCAAVKSACSLANVAPEDVAGLGFTATCSLVAVDADGSPVSVSWSGDTRRNIIVWMDHRAVNQAERINASNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDNVFWEEIGLGDLVEGNCAKIGRSVAFPGHPLGSGLTATAAKELGLLPGTPVGTPLIDAHAGGVGVMESIPDGEFKADLPDEEAICHRMVLVCGTSTCHMAVSKNKLFVPGVWGSFWSAMVPEFWLTEGGQSATGALLDYITENHVAAPLLSKRASSQSISIYELLNKMLLSMSHEKNSPFLSALTQDIHVLPDFHGNRSPMADPKSKGVIYGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKDAL >PVH65708 pep chromosome:PHallii_v3.1:1:3921917:3926754:1 gene:PAHAL_1G058000 transcript:PVH65708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGSSASVFLGVDVGTGSARAGIFDQKGKLLGSASSPMQIWKEKDCIEQSSTDIWHAVCAAVKSACSLANVAPEDVAGLGFTATCSLVAVDADGSPVSVSWSGDTRRNIIVWMDHRAVNQAERINASNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDNVFWEEIGLGDLVEGNCAKIGRSVAFPGHPLGSGLTATAAKELGLLPGTPVGTPLIDAHAGGVGVMESIPDGEFKADLPDEEAICHRMVLVCGTSTCHMAVSKNKLFVPGVWGSFWSAMVPEFWLTEGGQSATGALLDYITENHVAAPLLSKRASSQSISIYELLNKMLLSMSHEKNSPFLSALTQDIHVLPDFHGNRSPMADPKSKGVIYGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSIYIQEHANIVGCPIILPRENEPVLLGAAVLGAVAGKKFPGVRDAMKALNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSTMAQALQ >PAN07698 pep chromosome:PHallii_v3.1:1:52856769:52860097:-1 gene:PAHAL_1G356000 transcript:PAN07698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLLFFQKQVAKRRGKLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATAIGLIPAAYVTVRAGIALSDLRSLNDLYDPKSIVVLFLIGLVSVTPTLLGKNETQGRAPADMAASTN >PAN07697 pep chromosome:PHallii_v3.1:1:52856769:52860098:-1 gene:PAHAL_1G356000 transcript:PAN07697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDRFPVWEAALLAAVAAVFAAALGGVYVSVPHSDYSFLKLPRNLQELQVLTNHLEGYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLLFFQKQVAKRRGKLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATAIGLIPAAYVTVRAGIALSDLRSLNDLYDPKSIVVLFLIGLVSVTPTLLGKNETQGRAPADMAASTN >PAN07696 pep chromosome:PHallii_v3.1:1:52856769:52858374:-1 gene:PAHAL_1G356000 transcript:PAN07696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDECSITMFLTVECLTCSNHLEGYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLLFFQKQVAKRRGKLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATAIGLIPAAYVTVRAGIALSDLRSLNDLYDPKSIVVLFLIGLVSVTPTLLGKNETQGRAPADMAASTN >PVH66649 pep chromosome:PHallii_v3.1:1:49541824:49543833:-1 gene:PAHAL_1G306600 transcript:PVH66649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTSVLPGGTEQRPEPLGDLPIDEIRGGAAAGSVEVRSLSAPEYRASEGPTVPVGQRRCCRSTTASPQIRVATVRSFSRANRSSPFSRIGGSASAIEVSPASSRVRGGEDETAELRRRAWVGVGAAHAGSDRESKISQQDPIGAERGAGGGRGEACGISAKREGAAQTDVEEPLGWPPPRGAGGGWRAEGRWRRERWRTMRYGRDEAEGIGGRGGEQLQHRAQTL >PAN07480 pep chromosome:PHallii_v3.1:1:51740341:51745264:1 gene:PAHAL_1G339400 transcript:PAN07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAGGEAAHRRWDTSSSSQYSFRTSVSSAADITAGDEVEEASPPPVEGRVFVAVPEEARHGKSTLLWALENLAKDGAGVVVAHVHCPAQMIPMMGAKVHYTTVNPQRVNDHRKKVSCEKLIIENEDVAKGLEELISLHGITRLVMGAAADKHHSKKMKSLKSKTALRLMETAASSCKIWFTCKGHLICTREANTTVPAIPASPVFTNASNSSATSVVNHLRSVTISHSHSENEASSSNGSPRHDLIRSRTEVGLYPSLEAISTPSRLHESYGRPTSTSRSSIDSWSEFGRLQNSWSDPSRNYDAVTVSGSAMPHQMHEPNDENFSSPSHELENPGVDANIYDRLTEALSEAELYKKEAYEESTKRLRAERDMILALQKAKEIESLYQHEIKQRKTTEETLVRQAQEIEEIKTQHHAISNELQDVKEQKFALEQQINEMASVIKDHEEKMAANKHLLGVLQTDNEKLQQERDAAVAEANGLRQKNDQKMAMPLPAETLNTEFSYFELEQATQGFDEGLKIGEGGFGSVYKGFLRNTTVAIKLLNPQSMQGQSEFNQEVAVLGRVRHPNLVTLIGSCQEASGLVYEFLPNGSLEDRLACINNTPPLTWQVRTKIICEMCSALIFLHSNQPHPVVHGDLKPGNILLDANFVSKLGDFGICRLLSQSNTAMSNTTRSITTKLHRTTTPKGTFAYMDPEFLSTGELTPRSDVYSFGIIILRLLTGRPPKRIAEVVEDAMERGELHAILDPTAGSWPFVQANQLAHIGLRCAEMSRRRRPDLAGEVWKVVEPLMKAASLTAGRLSFAPSLGDAHAPSYFVCPIFQEVMSDPHIAADGFTYEAEAIMGWLDSGHDTSPMTNLKLEHCELTPNRALRSAILEWQQQLHYRT >PAN07483 pep chromosome:PHallii_v3.1:1:51740341:51745265:1 gene:PAHAL_1G339400 transcript:PAN07483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKSKTALRLMETAASSCKIWFTCKGHLICTREANTTVPAIPASPVFTNASNSSATSVVNHLRSVTISHSHSENEASSSNGSPRHDLIRSRTEVGLYPSLEAISTPSRLHESYGRPTSTSRSSIDSWSEFGRLQNSWSDPSRNYDAVTVSGSAMPHQMHEPNDENFSSPSHELENPGVDANIYDRLTEALSEAELYKKEAYEESTKRLRAERDMILALQKAKEIESLYQHEIKQRKTTEETLVRQAQEIEEIKTQHHAISNELQDVKEQKFALEQQINEMASVIKDHEEKMAANKHLLGVLQTDNEKLQQERDAAVAEANGLRQKNDQKMAMPLPAETLNTEFSYFELEQATQGFDEGLKIGEGGFGSVYKGFLRNTTVAIKLLNPQSMQGQSEFNQEVAVLGRVRHPNLVTLIGSCQEASGLVYEFLPNGSLEDRLACINNTPPLTWQVRTKIICEMCSALIFLHSNQPHPVVHGDLKPGNILLDANFVSKLGDFGICRLLSQSNTAMSNTTRSITTKLHRTTTPKGTFAYMDPEFLSTGELTPRSDVYSFGIIILRLLTGRPPKRIAEVVEDAMERGELHAILDPTAGSWPFVQANQLAHIGLRCAEMSRRRRPDLAGEVWKVVEPLMKAASLTAGRLSFAPSLGDAHAPSYFVCPIFQEVMSDPHIAADGFTYEAEAIMGWLDSGHDTSPMTNLKLEHCELTPNRALRSAILEWQQQLHYRT >PVH66761 pep chromosome:PHallii_v3.1:1:51740341:51745264:1 gene:PAHAL_1G339400 transcript:PVH66761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKSKTALRLMETAASSCKIWFTCKGHLICTREANTTVPAIPASPVFTNASNSSATSVVNHLRSVTISHSHSENEASSSNGSPRHDLIRSRTEVGLYPSLEAISTPSRLHESYGRPTSTSRSSIDSWSEFGRLQNSWSDPSRNYDAVTVSGSAMPHQMHEPNDENFSSPSHELENPGVDANIYDRLTEALSEAELYKKEAYEESTKRLRAERDMILALQKAKEIESLYQHEIKQRKTTEETLVRQAQEIEEIKTQHHAISNELQDVKEQKFALEQQINEMASVIKDHEEKMAANKHLLGVLQTDNEKLQQERDAAVAEANGLRQKNDQKMAMPLPAETLNTEFSYFELEQATQGFDEGLKIGEGGFGSVYKGFLRNTTVAIKLLNPQSMQGQSEFNQEVAVLGRVRHPNLVTLIGSCQEASGLVYEFLPNGSLEDRLACINNTPPLTWQVRTKIICEMCSALIFLHSNQPHPVVHGDLKPGNILLDANFVSKLGDFGICRLLSQSNTAMSNTTRSITTKLHRTTTPKGTFAYMDPEFLSTGELTPRSDVYSFGIIILRLLTGRPPKRIAEVVEDAMERGELHAILDPTAGSWPFVQANQLAHIGLRCAEMSRRRRPDLAGEVWKVVEPLMKAASLTAGRLSFAPSLGDAHAPSYFVCPIFQEVMSDPHIAADGFTYEAEAIMGWLDSGHDTSPMTNLKLEHCELTPNRALRSAILEWQQQLHYRT >PAN07481 pep chromosome:PHallii_v3.1:1:51740341:51745264:1 gene:PAHAL_1G339400 transcript:PAN07481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAGGEAAHRRWDTSSSSQYSFRTSVSSAADITAGDEVEEASPPPVEGRVFVAVPEEARHGKSTLLWALENLAKDGAGVVVAHVHCPAQMIPMMGAKVHYTTVNPQRVNDHRKKVRAEAEEKLDEYVKMCIRKKVSCEKLIIENEDVAKGLEELISLHGITRLVMGAAADKHHSKKMKSLKSKTALRLMETAASSCKIWFTCKGHLICTREANTTVPAIPASPVFTNASNSSATSVVNHLRSVTISHSHSENEASSSNGSPRHDLIRSRTEVGLYPSLEAISTPSRLHESYGRPTSTSRSSIDSWSEFGRLQNSWSDPSRNYDAVTVSGSAMPHQMHEPNDENFSSPSHELENPGVDANIYDRLTEALSEAELYKKEAYEESTKRLRAERDMILALQKAKEIESLYQHEIKQRKTTEETLVRQAQEIEEIKTQHHAISNELQDVKEQKFALEQQINEMASVIKDHEEKMAANKHLLGVLQTDNEKLQQERDAAVAEANGLRQKNDQKMAMPLPAETLNTEFSYFELEQATQGFDEGLKIGEGGFGSVYKGFLRNTTVAIKLLNPQSMQGQSEFNQEVAVLGRVRHPNLVTLIGSCQEASGLVYEFLPNGSLEDRLACINNTPPLTWQVRTKIICEMCSALIFLHSNQPHPVVHGDLKPGNILLDANFVSKLGDFGICRLLSQSNTAMSNTTRSITTKLHRTTTPKGTFAYMDPEFLSTGELTPRSDVYSFGIIILRLLTGRPPKRIAEVVEDAMERGELHAILDPTAGSWPFVQANQLAHIGLRCAEMSRRRRPDLAGEVWKVVEPLMKAASLTAGRLSFAPSLGDAHAPSYFVCPIFQEVMSDPHIAADGFTYEAEAIMGWLDSGHDTSPMTNLKLEHCELTPNRALRSAILEWQQQLHYRT >PAN08466 pep chromosome:PHallii_v3.1:1:56796867:56803750:1 gene:PAHAL_1G415200 transcript:PAN08466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGVYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLDGNEVAKKKSFVTPPKFFSVDEAREMHIRVDRRRNRDSGEYFDVVGSLMFKDGFLYKTFSMKSIRTQNIQPSFDELEKFKRPCDDLNEDVASLSTLFSDRKKGHFMKGDAVIVIKGDLRNLKGSVEKVEDGTVHIQPKLPGLPRTLAFSEKDLCKYFNPGDHVKVVSGVQEGATGMVVKVERHVLIILSDTTKEHIRVFADHVVESSEVTTGITRIGDYELHDLVLLNNLSFGIIIRVESEAFQILKGVPDKPELVLVKLREVKSKLDRRTSAKDRSNNIVSTKDIVRVVEGACKGKQGPVQHIHRGILFIYDRHHLEHSGFICAKSQSCLLVGGSASSHCGNAMDTADPNFRAFSYPARISQSPGRLPPRAGGRFGGRGGGGRGHDALVNRSIKIKSGPYKGYRGRVKEVTGALVRIELDSLMKIVTVKREDIGDTAAVATPFRETRYSRGVETPVHPSQTPLNPIQTPMRDPGATPIRDGMRTPMPNRAWVPMSPPRDNWEDGDPFTCASSPYYQPGTPQARPYEAPTPGSVWAGWGDASGKAQSTCATGWGGTSGNAQSTCAPSTPIVQSTTPVPAASYLPGTPGGQPMTPGDAGMDSMSPVIGGEAEGNWLLPDVLVSVSRGGDEVTDGVVKEVLPDGSCRVALGTQGNGDELIATANELEVIRPKKNEKLKIMNGSMRGVTGKLIGVDGSDGIVRVEGSLDVKIVDMVILGKVAA >PVH67039 pep chromosome:PHallii_v3.1:1:56796867:56803750:1 gene:PAHAL_1G415200 transcript:PVH67039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMSTTTVPMASPDDDDIRGNDVRRRPMPHPSSMMEDEEALEEYLRRLRERSGCGTTSHSDYVEEVTEVEQQALLPSVKDPKLWMVKCAIGHERETAVCLMQKFIDRSDLQIKTVVALEHLKNFIYIEAEKEAHVKEACKGLRNIIASAKIILVPIREMADVLSVESKSVDLSRDSWVRMKLGVYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLDGNEVAKKKSFVTPPKFFSVDEAREMHIRVDRRRNRDSGEYFDVVGSLMFKDGFLYKTFSMKSIRTQNIQPSFDELEKFKRPCDDLNEDVASLSTLFSDRKKGHFMKGDAVIVIKGDLRNLKGSVEKVEDGTVHIQPKLPGLPRTLAFSEKDLCKYFNPGDHVKVVSGVQEGATGMVVKVERHVLIILSDTTKEHIRVFADHVVESSEVTTGITRIGDYELHDLVLLNNLSFGIIIRVESEAFQILKGVPDKPELVLVKLREVKSKLDRRTSAKDRSNNIVSTKDIVRVVEGACKGKQGPVQHIHRGILFIYDRHHLEHSGFICAKSQSCLLVGGSASSHCGNAMDTADPNFRAFSYPARISQSPGRLPPRAGGRFGGRGGGGRGHDALVNRSIKIKSGPYKGYRGRVKEVTGALVRIELDSLMKIVTVKREDIGDTAAVATPFRETRYSRGVETPVHPSQTPLNPIQTPMRDPGATPIRDGMRTPMPNRAWVPMSPPRDNWEDGDPFTCASSPYYQPGTPQARPYEAPTPGSVWAGWGDASGKAQSTCATGWGGTSGNAQSTCAPSTPIVQSTTPVPAASYLPGTPGGQPMTPGDAGMDSMSPVIGGEAEGNWLLPDVLVSVSRGGDEVTDGVVKEVLPDGSCRVALGTQGNGDELIATANELEVIRPKKNEKLKIMNGSMRGVTGKLIGVDGSDGIVRVEGSLDVKIVDMVILGKVAA >PAN08467 pep chromosome:PHallii_v3.1:1:56796867:56803750:1 gene:PAHAL_1G415200 transcript:PAN08467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSHDEEEEEEEYDMEEEEDEDEEDDKKGRGGKRSRGGGRGKRSLGVESYIDDAASEDDDADDDDEDDDYGGGGRGRGSKRMRSSILIDDMAQVDDDDDVDDDGSDGEPDFIDDTQDDDDIRGNDVRRRPMPHPSSMMEDEEALEEYLRRLRERSGCGTTSHSDYVEEVTEVEQQALLPSVKDPKLWMVKCAIGHERETAVCLMQKFIDRSDLQIKTVVALEHLKNFIYIEAEKEAHVKEACKGLRNIIASAKIILVPIREMADVLSVESKSVDLSRDSWVRMKLGVYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLDGNEVAKKKSFVTPPKFFSVDEAREMHIRVDRRRNRDSGEYFDVVGSLMFKDGFLYKTFSMKSIRTQNIQPSFDELEKFKRPCDDLNEDVASLSTLFSDRKKGHFMKGDAVIVIKGDLRNLKGSVEKVEDGTVHIQPKLPGLPRTLAFSEKDLCKYFNPGDHVKVVSGVQEGATGMVVKVERHVLIILSDTTKEHIRVFADHVVESSEVTTGITRIGDYELHDLVLLNNLSFGIIIRVESEAFQILKGVPDKPELVLVKLREVKSKLDRRTSAKDRSNNIVSTKDIVRVVEGACKGKQGPVQHIHRGILFIYDRHHLEHSGFICAKSQSCLLVGGSASSHCGNAMDTADPNFRAFSYPARISQSPGRLPPRAGGRFGGRGGGGRGHDALVNRSIKIKSGPYKGYRGRVKEVTGALVRIELDSLMKIVTVKREDIGDTAAVATPFRETRYSRGVETPVHPSQTPLNPIQTPMRDPGATPIRDGMRTPMPNRAWVPMSPPRDNWEDGDPFTCASSPYYQPGTPQARPYEAPTPGSVWAGWGDASGKAQSTCATGWGGTSGNAQSTCAPSTPIVQSTTPVPAASYLPGTPGGQPMTPGDAGMDSMSPVIGGEAEGNWLLPDVLVSVSRGGDEVTDGVVKEVLPDGSCRVALGTQGNGDELIATANELEVIRPKKNEKLKIMNGSMRGVTGKLIGVDGSDGIVRVEGSLDVKIVDMVILGKVAA >PVH67038 pep chromosome:PHallii_v3.1:1:56796867:56803750:1 gene:PAHAL_1G415200 transcript:PVH67038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSHDEEEEEEEYDMEEEEDEDEEDDKKGRGGKRSRGGGRGKRSLGVESYIDDAASEDDDADDDDEDDDYGGGGRGRGSKRMRSSILIDDMAQVDDDDDVDDDGSDGEPDFIDDTQDDDDIRGNDVRRRPMPHPSSMMEDEEALEEYLRRLRERSGCGTTSHSDYVEEVTEVEQQALLPSVKDPKLWMVKCAIGHERETAVCLMQKFIDRSDLQIKTVVALEHLKNFIYIEAEKEAHVKEACKGLRNIIASAKIILVPIREMADVLSVESKSVDLSRDSWVRMKLGVYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLDGNEVAKKKSFVTPPKFFSVDEAREMHIRVDRRRNRDSGEYFDVVGSLMFKDGFLYKTFSMKSIRTQNIQPSFDELEKFKRPCDDLNEDVASLSTLFSDRKKGHFMKGDAVIVIKGDLRNLKGSVEKVEDGTVHIQPKLPGLPRTLAFSEKDLCKYFNPGDHVKVVSGVQEGATGMVVKVERHVLIILSDTTKEHIRVFADHVVESSEVTTGITRIGDYELHDLVLLNNLSFGIIIRVESEAFQILKGVPDKPELVLVKLREVKSKLDRRTSAKDRSNNIVSTKDIVRVVEGACKGKQGPVQHIHRGILFIYDRHHLEHSGFICAKSQSCLLVGGSASSHCGNAMDTADPNFRAFSYPARISQSPGRLPPRAGGRFGGRGGGGRGHDALVNRSIKIKSGPYKGYRGRVKEVTGALVRIELDSLMKIVTVKREDIGDTAAVATPFRSETRYSRGVETPVHPSQTPLNPIQTPMRDPGATPIRDGMRTPMPNRAWVPMSPPRDNWEDGDPFTCASSPYYQPGTPQARPYEAPTPGSVWAGWGDASGKAQSTCATGWGGTSGNAQSTCAPSTPIVQSTTPVPAASYLPGTPGGQPMTPGDAGMDSMSPVIGGEAEGNWLLPDVLVSVSRGGDEVTDGVVKEVLPDGSCRVALGTQGNGDELIATANELEVIRPKKNEKLKIMNGSMRGVTGKLIGVDGSDGIVRVEGSLDVKIVDMVILGKVAA >PVH66590 pep chromosome:PHallii_v3.1:1:48602395:48605090:1 gene:PAHAL_1G290400 transcript:PVH66590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAKIPAAALWLLLGVVVALGVAATPAQAFRRKTNHYDFVITETNVTRLCQEKTILTVNGQFPGPTIHARQGDVVFVNVHNRGRKNITLHWHGVDQPRNPWFDGPEFITQCPIQPGANFTYRIVFSNEEGTLWWHAHSDFDRATVHGAIVVRPKLGSAYPYPEPHRDIPIILGEWWNVNVEHLLEETMRAGGDFNISDANTINGQPGDLFPCSRKGTFRVPVERGRTYMLRVINAGLTNDMFFAVAGHNLTVVGSDGHYLKPFTVGHIMIAAGQTMNVLLHADRAANASSRYYMAARTFATNMQIPVNNSTATAVLEYSDAPPSAGPPAALPDLPAVDDLAAATAYTARLRSLATDGHPVDVPTRVDERMLVTISVNALPCATCEGPINGTRFAASLNNVSFVAPAVDILDAYYSSVRGVYEPDFPDRPPLFYNFTAPDPADELLFTKRGTKVKVVEYGAVVEVVFQGTALGAEPHPMHLHGFAFYAVGRGFGNFDESKDRATYNLVDPPRQNTVSVPAGGWAAIRFRATNPGVWFMHCHFDRHTVWGMDTVFIVKNGKTPDTQMLPRPPTMPKC >PAN08316 pep chromosome:PHallii_v3.1:1:55881181:55882260:-1 gene:PAHAL_1G402500 transcript:PAN08316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSPHRALLAAVLLGFLLGAATSIRTAKFSSSQNLAEDKSRLGSTPPSCRNRCSACNPCTPVQVTTVPGLGGAARVTDDTVAGFSRYSNYKPLGWKCRCDGRLYDP >PVH65718 pep chromosome:PHallii_v3.1:1:4084022:4085083:1 gene:PAHAL_1G060200 transcript:PVH65718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIAPRRRDLLCSSVTATVMRKVEEELARAPPPLSMGAARERSSQGRCHRGGVAPSRAMENPWHGRSICLRWWWWPSDFVVPLERWRCRGSRRWLDPSPLHPPQAIIHRKLDLLGTGGARFLVLVVL >PAN08865 pep chromosome:PHallii_v3.1:1:58654837:58656492:1 gene:PAHAL_1G441100 transcript:PAN08865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSKANNGGEGQLVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADSKNAHLLALEGAKERLTLCRADVLGYESLRAAFTGCHGVFHVASPVSNDPELVPVAVEGTRNVINAAADEGARRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEITATEEAAARGLQLSVVVPCMTMGPMLQQTLNFSSNHVARYLMGTKRSYPNAVAAYVDVRDVARAHVLAYERPGARGRYLCIGTVLHRTQLIAMLRELFPQYPVTAKCEDDGKPMAKPYKFSNQRLRDLGLEFTPLKKSLYEAVVCMQQKGHLPVIREQQRAYL >PAN04184 pep chromosome:PHallii_v3.1:1:3327468:3330795:1 gene:PAHAL_1G048200 transcript:PAN04184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFPVRLPQAQPSSTTRAAAAAAAAVGAVIAAVALRRYLSTSRRRPSASVAMSALRSSSSSSASAATTLVAYGKSPQDQELLASAAGSVAPGEGGSAGEFAVALSYAGAGFDAGAYMGALRARRFGRWMLWSPRIGSTQDLIAQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMQDVRKLPLMQYVVCLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEAKVYNICTGIGLNVDNEKPSTCLNAALQEANAISPRLKREDVLAYFFNKFENLFEIFSNQGFQALEEQYYNSWLHSGQTVVVQDAHECQSVGSVVTIQGLTPTGYLYAIGEDDKSYELHPDGNSFDFFTGLVRRKMEA >PVH65666 pep chromosome:PHallii_v3.1:1:3327468:3330795:1 gene:PAHAL_1G048200 transcript:PVH65666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFPVRLPQAQPSSTTRAAAAAAAAVGAVIAAVALRRYLSTSRRRPSASVAMSALRSSSSSSASAATTLVAYGKSPQDQELLASAAGSVAPGEGGSAGEFAVALSYAGAGFDAGAYMGALRARRFGRWMLWSPRIGSTQDLIAQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMQDVRKLPLMQYVVCLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEAKVYNICTGIGLNVDNEKPSTCLNAALQEANAISPRLKREDDFRLLRSNTITHGFIVARQLSYKMHMNASL >PAN04186 pep chromosome:PHallii_v3.1:1:3327468:3330795:1 gene:PAHAL_1G048200 transcript:PAN04186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFPVRLPQAQPSSTTRAAAAAAAAVGAVIAAVALRRYLSTSRRRPSASVAMSALRSSSSSSASAATTLVAYGKSPQDQELLASAAGSVAPGEGGSAGEFAVALSYAGAGFDAGAYMGALRARRFGRWMLWSPRIGSTQDLIAQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMQDVRKLPLMQYVVCLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEAKVYNICTGIGLNVDNEKPSTCLNAALQEANAISPRLKREDVLAYFFNKFENLFEIFSNQGFQALEEQYYNSWLHSGQTVVVQDAHECQSVGSVVTIQGLTPTGYLYAIGEDDKSYELHPDGNSFDFFTGLVRRKMEA >PAN07405 pep chromosome:PHallii_v3.1:1:51339106:51340832:-1 gene:PAHAL_1G333100 transcript:PAN07405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATPSPTQTSHVSGRAMAIPAGLVPAAVLLAAAVGLLALLPSLAQAVWEVPHLALLGLVISYGVFTQRNADAGGDGSAAKEGSLAWNARYHPDGPLVVVADHAAPSGGGGGGQRGARERPLSLPVRRLKTAPSSAKQSETDGDAGDAFGEETDSCASSSGFWAGARAVPSPPSVLDADMGLSPCSQPQSPRPFFIHSANTSHGLNAATPSTMSRGFAPGYRPSVPHDQPCSDDGEGSDWDDEDADGSDEMTVSSERSVRGDFAAACANDHSEGDTSVDEELLELAAKAGPDGEEEVDRKADEFIAKFREQIRLQRH >PVH67033 pep chromosome:PHallii_v3.1:1:56714236:56718465:1 gene:PAHAL_1G413800 transcript:PVH67033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSARARRHVARQLRPTPYPIPSNRWKPMKESNQKKPLPALQKMDWEDANCSVCMEYPHNAVLILCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPANGVGTNLDSAPLTAGEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPVLEQKWRLLEIERERQDALSTITATMGRAVVLGDYVLDLEDGVDLEDVESDADVDDGHGTENTRRMLLFIMRQVAQHHQNQRLQNATGASDNAEDDYVVSSGANGTTPYSYPLEGEDEDDVVVAGGRSTDVLRPERRRRRRRRNRGRLFLGAN >PVH67034 pep chromosome:PHallii_v3.1:1:56714104:56717505:1 gene:PAHAL_1G413800 transcript:PVH67034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSARARRHVARQLRPTPYPIPSNRWKPMKESNQKKPLPALQKMDWEDANCSVCMEYPHNAVLILCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPANGVGTNLDSAPLTAGEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPVLEQKWRLLEIERERQDALSTITATMGRAVVLGDYVLDLEDGVDLEDVESDADVDDGHGTENTRRMLLFIMRQVAQHHQNQRLQNATGASDNAEDDYVVSSGANGTTPYSYPLEGEDEDDVVVAGGRSTDVLRPERRRRRRRRNRGRLFLGAN >PAN08450 pep chromosome:PHallii_v3.1:1:56714405:56717428:1 gene:PAHAL_1G413800 transcript:PAN08450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSARARRHVARQLRPTPYPIPSNRWKPMKESNQKKPLPALQKMDWEDANCSVCMEYPHNAVLILCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPANGVGTNLDSAPLTAGEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPVLEQKWRLLEIERERQDALSTITATMGRAVVLGDYVLDLEDGVDLEDVESDADVDDGHGTENTRRMLLFIMRQVAQHHQNQRLQNATGASDNAEDDYVVSSGANGTTPYSYPLEGEDEDDVVVAGGRSTDVLRPERRRRRRRRNRGRLFLGAN >PVH66194 pep chromosome:PHallii_v3.1:1:24445635:24446808:1 gene:PAHAL_1G175000 transcript:PVH66194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAIGYVLGNAAISGDVSRAAWNSAYEKGLVEILHVYKDNPKYKGQIGWVSEGRRIITTKFNERFPVAHFTKQQIQEKEKELIANYKALKGAKGESGNGWNESLCMILAEPKIWEKLIKNHPKVAKFRKKPFPLFYQLDPLVVAPVVSPTVVPTVAPAERSISEQSLHNDLRNFGNNPFASSFDGQETSSACNEQNEAQSAPSEGGSGRKRKQSHIGSALEDFVEFKKSQTSKTLEALNEKKKREEEFSIEKCADQVDSMNELTNEEKSYAMELFESDRNREVYMKTKNCDVRLIWLKRKIRYVSLNMLSVLS >PVH66885 pep chromosome:PHallii_v3.1:1:54127447:54129877:-1 gene:PAHAL_1G375400 transcript:PVH66885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDRGFLLLYLLSAAAALAGVASAAEPFLSDGVFQVTAGSTGRSLLQAKKDCPVSFEFQNYTIITSRCKGPKFPASQCCSALKEFACPFYMYLNDESNSCASTMFTYINLYGKYPPGLFSSECKEGKLGLSCADVPQRDISTANGGQHAQHSLLGWITVMSVVVVSLFH >PAN06772 pep chromosome:PHallii_v3.1:1:48387557:48391370:1 gene:PAHAL_1G287100 transcript:PAN06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRTASRPALAGHSGGGFFIRRVASPGIVVAKCTIKPLARQARTPLSNKENVPPAGAVKAAPKRRTPLPEWYPRTPLRDITSIIKALERRNLLQDAAARQQIQWIEDSSQSVDPTTPVQAEQNDPQSTLQAQETQVAAVPDPGSTSVVANLTASVTEGKPVASSSPSDCSLQTVSSNPNDSALPDLMEKKLSRSIEKIEKMVSQRLKETPQAAQPSKVAVQRRTLMFMR >PAN09134 pep chromosome:PHallii_v3.1:1:59790685:59793010:1 gene:PAHAL_1G461100 transcript:PAN09134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCRGSSTPTYSTAAASDYCYGSRRGTSFTSSSAASSSRSTTTSSSAAADQQRRRRHPCNSNSNCTGTMRGHQQHLSSPTAVLGHATPQLRDLYALGRKLGQGQFGTTYLCTDLTTGTAFACKSIAKRKLLTPEDVDDVRREIQIMHHLAGHDSVVTIKGAYEDPLYVHIVMELCEGGELFDRIVDRGYFSERKAAEIARVIVGVVEACHSLGVMHRDLKPENFLLKDRGHEASLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIIYILLSGVPPFWAETQQGIFDAVLKGAIDFDSEPWPSISDSAKDLIRHMLRSPPADRLTAHQVLCHPWICENGVAPDRALAPAVLSRLKQFSAMNRLKKMALRVIAQNLSEEELAGLKEMFKAMDTDGSGAITFEELKEGLRRHGSNLRESEIRDLMDAADVDRSGTIDYDEFIAATVHMSKLEREEHLLAAFAYFDKDGSGYITVDELEQACREQNMTDVGIDDIIREVDQDNDGRIDYGEFVAMMKKGIIGHGRLTMRHTSDGSVLQGAG >PAN09067 pep chromosome:PHallii_v3.1:1:59504033:59508981:1 gene:PAHAL_1G456100 transcript:PAN09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAGGGGATAIRVPYRHLRDAEMELVSLNGSPRAGEGPPKDPHQDADGARQAGGAGTSRTKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICAAVTLIGFSADLGYFLGDTTEHCRTYKGSRYRAATVFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNILGFSAGASGNWHKWFPFLTTRACCEACGNLKAAFLVAVIFLLFCMSVTLYFAEEIPLEPKDAQGLSDSAPLLNGSRDDGRALNEQNNERFPNGHVDRNNVSANTNTEEFTEVNSDLNRDNGEVFNDVPGAVLVNILTSMRHLPPGMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRMIGARLVWAISNFTVFICMMATTILSWISSDLYSSKLHHIIGANKTVKNSALVVFSLLGLPLSITYSVPFSVTAELTAGSGGGQGLATGVLNLAIVFPQIVVSLGAGPWDALYGGGNIPAFALASIFSLAAGVLAVLKLPKLSNSYQSAGFHGFG >PVH66759 pep chromosome:PHallii_v3.1:1:51736341:51739238:1 gene:PAHAL_1G339300 transcript:PVH66759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNPTRLAALLSLRVAVFLLASLLASPAAAHNDHGLHRNYLIIVRTPYEYDRDLFKDVSSWHASLLASVCDMAEEELDKDPSAMARLIYSYRHVVNGFAARLTDEEMLGLTGKAFHGGLWGKSNMGEGIIIGVLDDGIRPGHPSFDATGMKPPPAKWKGRCDFNSSVCNNKLIGARSFYESAKWKWQGIDDPVLPVSEGSHGTHTSSTAAGAFVPGANVMGNGLGTASGMAPRAHIALYQVCFEDKGCDRDDILAALDDAVEEGVDVLSLSLGDDEAGDFAYDPIALGGYTAIMKGVFVSAAGGNMGPQHATVANEAPWLLTVAAATTDRRFVVSVKLGNGVELDGESLFQPKDFLSMQRPLVRDLSDGTCSDEKVLTPQNVGGKIVVCDAGGNFTALEMGAALREAGAAGMVVINIQEFGSVIQPKAHALPASQVPYSVGQKIRAYMNSTDDATGELIFKGTVLGNRDSPVVAAFSSRGPSKQNQGILKPDITGPGVNIIAGVPRPAGLMTPPNPLAANFDILSGTSMATPHLSGIAAVIKKAHPTWSPAAIKSALITTADTTDHRRKPIAAHDGYPANLLTLGAGFVNPMKALRPGLVYNLSAADYVPYLCGLRYSDHEINSIIHPLPPVSCAQMPPVAQKDLNYPSITAFLDQAPYVVTVSREVTNVGRARSVYVAQVEVPSLLSVTVTPDTLRFTKVNEAKRFTVTIRPAGARKEKGIAEGQLKWVSHKNVVRTPILVSYRKFVQDNSSTAHMTH >PVH66760 pep chromosome:PHallii_v3.1:1:51736341:51739238:1 gene:PAHAL_1G339300 transcript:PVH66760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNPTRLAALLSLRVAVFLLASLLASPAAAHNDHGLHRNYLIIVRTPYEYDRDLFKDVSSWHASLLASVCDMAEEELDKDPSAMARLIYSYRHVVNGFAARLTDEEVRAMESRDWFVKAIPEKTYRLMTTHTPQMLGLTGKAFHGGLWGKSNMGEGIIIGVLDDGIRPGHPSFDATGMKPPPAKWKGRCDFNSSVCNNKLIGARSFYESAKWKWQGIDDPVLPVSEGSHGTHTSSTAAGAFVPGANVMGNGLGTASGMAPRAHIALYQVCFEDKGCDRDDILAALDDAVEEGVDVLSLSLGDDEAGDFAYDPIALGGYTAIMKGVFVSAAGGNMGPQHATVANEAPWLLTVAAATTDRRFVVSVKLGNGVELDGESLFQPKDFLSMQRPLVRDLSDGTCSDEKVLTPQNVGGKIVVCDAGGNFTALEMGAALREAGAAGMVVINIQEFGSVIQPKAHALPASQVPYSVGQKIRAYMNSTDDATGELIFKGTVLGNRDSPVVAAFSSRGPSKQNQGILKPDITGPGVNIIAGVPRPAGLMTPPNPLAANFDILSGTSMATPHLSGIAAVIKKAHPTWSPAAIKSALITTADTTDHRRKPIAAHDGYPANLLTLGAGFVNPMKALRPGLVYNLSAADYVPYLCGLRYSDHEINSIIHPLPPVSCAQMPPVAQKDLNYPSITAFLDQAPYVVTVSREVTNVGRARSVYVAQVEVPSLLSVTVTPDTLRFTKVNEAKRFTVTIRPAGARKEKGIAEGQLKWVSHKNVVRTPILVSYRKFVQDNSSTAHMTH >PAN08422 pep chromosome:PHallii_v3.1:1:56787335:56788098:1 gene:PAHAL_1G415000 transcript:PAN08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIRPHQTASWTTGRQRNFEGAIHRAGERAWPRGRGPWRGHETRRRGLIRRVEKRGQLGNGESGRASPRPPPGAPCAPRRRPLAPPRPRREARQDDPAGGGRERGDPAGCQCSCGGKWGKQLWPPDCSLPRSGTFAIGGGGSPASASVRFWRPCAGAGLSGSAALSPTLF >PAN03583 pep chromosome:PHallii_v3.1:1:619012:631442:-1 gene:PAHAL_1G006900 transcript:PAN03583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGGSLIDGAAGSNTTSGNGSRNAINGPHLRSRQLFSLPPARRSSRSTPNRYRGYPPTTLGGEASGSYEKKRKVPMEEAPHGISEAVKVSGSAPLTPRDPNRSYSSKDGAKGRLSEHAMFGSARSSTKKRKCMPMSNYLSQFKPSSKVVATAVVVRSEQSSIPTKTNKVKESPFQKLQRLPHGCHPDFDNDHLYSVNKLREFWHKSQGAVFVDDKEHVMKTILFILSVLPDACQPFLLLTNASLPLWEAEFSRFAPSINIIVYDGEKDVHKLVQNPEFHENGRHTMLHVILAHPDAILENIKTLECIGWEAVIVDYCQSSVLEHVKKLKQLPTDFRVVLLSSPLKDNLLEYKNLLAFLNSEQEDNGAYVDADALAMLRARFTRHIAYERKAGSSKFLEYWVPAYLSQVQLELYSSILLANSSILQSQTATDSVGALCDIVICLWKCCNHPCLVGLQHSLSNTCDVTESTDGRMHESGKLLLLEKMIKEIRDKRLRVIVLFQSDGAVGDGMGNILEEFVRKKFGPDSYERVQNRSAFSVKQEAMNMFNDTTKGRFVFLIDSRACHSSINLSSVDTIIIYGSDLNPLNDLKALRKIKIESQLKYVRIFRLYTPFTVEEKGLVLAKQSMIIDSNGQDMLPSLSHCLLSWGISFLFSRVEDLQQDNCASKSCERNTVLMMDKVLLEFLTELSTDIDDSCKINSAIISKACMSGEFYSRNIILIGERVGVSSLDGDPPKFWLKLLNGKSCCQRNEPIIVPTEETNEARRKLSKKGEIKGSSSKFSSDVTNNNLFPETGTPSSADAHLLPEAGIENLSTPKSLHAELKRELSKLIKVLKLPDNVCFKAKQLLEYVLKNHLVVRKPQGILHAFNIALCWHAASLLKYSKLDHRESLALAADCLNYEYNEELIGFFYKKLGILGGRRNRIQNDRFSPHESSSVNLRSDHISPKQAMDLHGNFTDGTQESLSAAEQMVSDGQELVSSPEANRECHLSSEESPGRIATKRIDLFNNIFSLREKNILEKQQLEILELTSQRDNQVLRLKEVCHAVVHHIRISDIDEEIRKDQIKLVIKWFTMFMLAFFVHMKLQLAELDALQSKTWVKEQMMKEKLKQEVLLSGQLDKFLDLCNTLPDSDFDIEEFIHFKKQNGDNHVDNSLDLGCDQLLDDRLMEVTIVRNLVPSEAQMTSGAGAASESVALPDDNIHCSSDGTELQRAFSASTIPASHDSINQESSTGEARSIEHAKRDNIADPSVLPGIETSLVKGINADDDGRDLQTELQTSCPTLDTQHQMMYPDDSSQMNLERDTTSEILQEGTTSDHLGDSSMGFKDENVDAAAAYPLNSENQSYIAPHNTTVSPDAWEAETQIDQSSLPAQQNLVISGHPPAEAEPSSNLDTDAAWSLQPDIQPSSLMLDADSSQTRCQPETSPVLSQGGSTYHHLADGRMEIHVDNNGAVCAHQAHSESSTFAAPQSTMLPFSSEVGTHANLSSTSCLQSSDAPSTAPAAVAESSGMLGAQVEQDLHPEMAPSTSFLDVPVQRMLLDDRSQTCCRPDKATDLSEEGETEYLSCATCNLATLPVSSEAETENGQASMPAQEMRSPHAQHSLATLQLTVDDLQPPTSILPEEEERAGMLCATAARDLQHGMQPSVTAQDLQPELQASSAMHDQPAEAEGAGTSRITISAQNLQFESQPSTSVQHIPPERTHPDERIQIGLQPNTTLSPEQFTQLFTVAPAASNNFLCSSEPMRNELERLNYVIAMLSKEHEKKKSQLQTECNQEMEKIMKKYESLLQKETCTYHRWTTVLNDNYRKVFMQHSLAENWEKFMKSTPAQERSVSPRIWQAPHPFPQASSSTSATRPPVLTSFHSTGPCVQPSQVVRPSAFEAVQLQPVLPGNFYRTTPSPVGSMPARNGSFIAAGTQSHGPVPILQQFQQSRGTQSPSAMVRGDQQQLGAINPGITSLRQYAPGLLESFASVSALAGVPLTSTAAGSVQQAMPSASNSLPPFPESSLVPGSAPVSMGNFVQSPSTIPFAMAAQQAPGLIPGLHRMPGGPLNGAAGIWQAGGHLAGTNQAAPEPSPDALRLLQRQWGHAMAPPSSVQQTVTASASNPHPGPVAPSTNRYLMAVQQALIPNPARGNVAGQVNAAVGVWQHGAALPAVASQPTARGPSSSNAQPGARTGPQTSGVGAQGGSGEEVVCLSDDDE >PAN03586 pep chromosome:PHallii_v3.1:1:620226:630855:-1 gene:PAHAL_1G006900 transcript:PAN03586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGGSLIDGAAGSNTTSGNGSRNAINGPHLRSRQLFSLPPARRSSRSTPNRYRGYPPTTLGGEASGSYEKKRKVPMEEAPHGISEAVKVSGSAPLTPRDPNRSYSSKDGAKGRLSEHAMFGSARSSTKKRKCMPMSNYLSQFKPSSKVVATAVVVRSEQSSIPTKTNKVKESPFQKLQRLPHGCHPDFDNDHLYSVNKLREFWHKSQGAVFVDDKEHVMKTILFILSVLPDACQPFLLLTNASLPLWEAEFSRFAPSINIIVYDGEKDVHKLVQNPEFHENGRHTMLHVILAHPDAILENIKTLECIGWEAVIVDYCQSSVLEHVKKLKQLPTDFRVVLLSSPLKDNLLEYKNLLAFLNSEQEDNGAYVDADALAMLRARFTRHIAYERKAGSSKFLEYWVPAYLSQVQLELYSSILLANSSILQSQTATDSVGALCDIVICLWKCCNHPCLVGLQHSLSNTCDVTESTDGRMHESGKLLLLEKMIKEIRDKRLRVIVLFQSDGAVGDGMGNILEEFVRKKFGPDSYERVQNRSAFSVKQEAMNMFNDTTKGRFVFLIDSRACHSSINLSSVDTIIIYGSDLNPLNDLKALRKIKIESQLKYVRIFRLYTPFTVEEKGLVLAKQSMIIDSNGQDMLPSLSHCLLSWGISFLFSRVEDLQQDNCASKSCERNTVLMMDKVLLEFLTELSTDIDDSCKINSAIISKACMSGEFYSRNIILIGERVGVSSLDGDPPKFWLKLLNGKSCCQRNEPIIVPTEETNEARRKLSKKGEIKGSSSKFSSDVTNNNLFPETGTPSSADAHLLPEAGIENLSTPKSLHAELKRELSKLIKVLKLPDNVCFKAKQLLEYVLKNHLVVRKPQGILHAFNIALCWHAASLLKYSKLDHRESLALAADCLNYEYNEELIGFFYKKLGILGGRRNRIQNDRFSPHESSSVNLRSDHISPKQAMDLHGNFTDGTQESLSAAEQMVSDGQELVSSPEANRECHLSSEESPGRIATKRIDLFNNIFSLREKNILEKQQLEILELTSQRDNQVLRLKEVCHAVVHHIRISDIDEEIRKDQIKLVIKWFTMFMLAFFVHMKLQLAELDALQSKTWVKEQMMKEKLKQEVLLSGQLDKFLDLCNTLPDSDFDIEEFIHFKKQNGDNHVDNSLDLGCDQLLDDRLMEVTIVRNLVPSEAQMTSGAGAASESVALPDDNIHCSSDGTELQRAFSASTIPASHDSINQESSTGEARSIEHAKRDNIADPSVLPGIETSLVKGINADDDGTVAANPDHLHSPILASPPNLMTLQHSSAEAEPTDPLLAMTGRDLQTELQTSCPTLDTQHQMMYPDDSSQMNLERDTTSEILQEGTTSDHLGDSSMGFKDENVDAAAAYPLNSENQSYIAPHNTTVSPDAWEAETQIDQSSLPAQQNLVISGHPPAEAEPSSNLDTDAAWSLQPDIQPSSLMLDADSSQTRCQPETSPVLSQGGSTYHHLADGRMEIHVDNNGAVCAHQAHSESSTFAAPQSTMLPFSSEVGTHANLSSTSCLQSSDAPSTAPAAVAESSGMLGAQVEQDLHPEMAPSTSFLDVPVQRMLLDDRSQTCCRPDKATDLSEEGETEYLSCATCNLATLPVSSEAETENGQASMPAQEMRSPHAQHSLATLQLTVDDLQPPTSILPEEEERAGMLCATAARDLQHGMQPSVTAQDLQPELQASSAMHDQPAEAEGAGTSRITISAQNLQFESQPSTSVQHIPPERTHPDERIQIGLQPNTTLSPEQFTQLFTVAPAASNNFLCSSEPMRNELERLNYVIAMLSKEHEKKKSQLQTECNQEMEKIMKKYESLLQKETCTYHRWTTVLNDNYRKVFMQHSLAENWEKFMKSTPAQERSVSPRIWQAPHPFPQASSSTSATRPPVLTSFHSTGPCVQPSQVVRPSAFEAVQLQPVLPGNFYRTTPSPVGSMPARNGSFIAAGTQSHGPVPILQQFQQSRGTQSPSAMVRGDQQQLGAINPGITSLRQYAPGLLESFASVSALAGVPLTSTAAGSVQQAMPSASNSLPPFPESSLVPGSAPVSMGNFVQSPSTIPFAMAAQQAPGLIPGLHRMPGGPLNGAAGIWQAGGHLAGTNQAAPEPSPDALRLLQRQWGHAMAPPSSVQQTVTASASNPHPGPVAPSTNRYLMAVQQALIPNPARGNVAGQVNAAVGVWQHGAALPAVASQPTARGPSSSNAQPGARTGPQTSGVGAQGGSGEEVVCLSDDDE >PVH65492 pep chromosome:PHallii_v3.1:1:619449:631442:-1 gene:PAHAL_1G006900 transcript:PVH65492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGGSLIDGAAGSNTTSGNGSRNAINGPHLRSRQLFSLPPARRSSRSTPNRYRGYPPTTLGGEASGSYEKKRKVPMEEAPHGISEAVKVSGSAPLTPRDPNRSYSSKDGAKGRLSEHAMFGSARSSTKKRKCMPMSNYLSQFKPSSKVVATAVVVRSEQSSIPTKTNKVKESPFQKLQRLPHGCHPDFDNDHLYSVNKLREFWHKSQGAVFVDDKEHVMKTILFILSVLPDACQPFLLLTNASLPLWEAEFSRFAPSINIIVYDGEKDVHKLVQNPEFHENGRHTMLHVILAHPDAILENIKTLECIGWEAVIVDYCQSSVLEHVKKLKQLPTDFRVVLLSSPLKDNLLEYKNLLAFLNSEQEDNGAYVDADALAMLRARFTRHIAYERKAGSSKFLEYWVPAYLSQVQLELYSSILLANSSILQSQTATDSVGALCDIVICLWKCCNHPCLVGLQHSLSNTCDVTESTDGRMHESGKLLLLEKMIKEIRDKRLRVIVLFQSDGAVGDGMGNILEEFVRKKFGPDSYERVQNRSAFSVKQEAMNMFNDTTKGRFVFLIDSRACHSSINLSSVDTIIIYGSDLNPLNDLKALRKIKIESQLKYVRIFRLYTPFTVEEKGLVLAKQSMIIDSNGQDMLPSLSHCLLSWGISFLFSRVEDLQQDNCASKSCERNTVLMMDKVLLEFLTELSTDIDDSCKINSAIISKACMSGEFYSRNIILIGERVGVSSLDGDPPKFWLKLLNGKSCCQRNEPIIVPTEETNEARRKLSKKGEIKGSSSKFSSDVTNNNLFPETGTPSSADAHLLPEAGIENLSTPKSLHAELKRELSKLIKVLKLPDNVCFKAKQLLEYVLKNHLVVRKPQGILHAFNIALCWHAASLLKYSKLDHRESLALAADCLNYEYNEELIGFFYKKLGILGGRRNRIQNDRFSPHESSSVNLRSDHISPKQAMDLHGNFTDGTQESLSAAEQMVSDGQELVSSPEANRECHLSSEESPGRIATKRIDLFNNIFSLREKNILEKQQLEILELTSQRDNQVLRLKEVCHAVVHHIRISDIDEEIRKDQIKLVIKWFTMFMLAFFVHMKLQLAELDALQSKTWVKEQMMKEKLKQEVLLSGQLDKFLDLCNTLPDSDFDIEEFIHFKKQNGDNHVDNSLDLGCDQLLDDRLMEVTIVRNLVPSEAQMTSGAGAASESVALPDDNIHCSSDGTELQRAFSASTIPASHDSINQESSTGEARSIEHAKRDNIADPSVLPGIETSLVKGINADDDGTVAANPDHLHSPILASPPNLMTLQHSSAEAEPTDPLLAMTGRDLQTELQTSCPTLDTQHQMMYPDDSSQMNLERDTTSEILQEGTTSDHLGDSSMGFKDENVDAAAAYPLNSENQSYIAPHNTTVSPDAWEAETQIDQSSLPAQQNLVISGHPPAEAEPSSNLDTDAAWSLQPDIQPSSLMLDADSSQTRCQPETSPVLSQGGSTYHHLADGRMEIHVDNNGAVCAHQAHSESSTFAAPQSTMLPFSSEVGTHANLSSTSCLQSSDAPSTAPAAVAESSGMLGAQVEQDLHPEMAPSTSFLDVPVQRMLLDDRSQTCCRPDKATDLSEEGETEYLSCATCNLATLPVSSEAETENGQASMPAQEMRSPHAQHSLATLQLTVDDLQPPTSILPEEEERAGMLCATAARDLQHGMQPSVTAQDLQPELQASSAMHDQPAEAEGAGTSRITISAQNLQFESQPSTSVQHIPPERTHPDERIQIGLQPNTTLSPEQFTQLFTVAPAASNNFLCSSEPMRNELERLNYVIAMLSKEHEKKKSQLQTECNQEMEKIMKKYESLLQKETCTYHRWTTVLNDNYRKVFMQHSLAENWEKFMKSTPAQGPCVQPSQVVRPSAFEAVQLQPVLPGNFYRTTPSPVGSMPARNGSFIAAGTQSHGPVPILQQFQQSRGTQSPSAMVRGDQQQLGAINPGITSLRQYAPGLLESFASVSALAGVPLTSTAAGSVQQAMPSASNSLPPFPESSLVPGSAPVSMGNFVQSPSTIPFAMAAQQAPGLIPGLHRMPGGPLNGAAGIWQAGGHLAGTNQAAPEPSPDALRLLQRQWGHAMAPPSSVQQTVTASASNPHPGPVAPSTNRYLMAVQQALIPNPARGNVAGQVNAAVGVWQHGAALPAVASQPTARGPSSSNAQPGARTGPQTSGVGAQGGSGEEVVCLSDDDE >PVH65491 pep chromosome:PHallii_v3.1:1:619087:631442:-1 gene:PAHAL_1G006900 transcript:PVH65491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGGSLIDGAAGSNTTSGNGSRNAINGPHLRSRQLFSLPPARRSSRSTPNRYRGYPPTTLGGEASGSYEKKRKVPMEEAPHGISEAVKVSGSAPLTPRDPNRSYSSKDGAKGRLSEHAMFGSARSSTKKRKCMPMSNYLSQFKPSSKVVATAVVVRSEQSSIPTKTNKVKESPFQKLQRLPHGCHPDFDNDHLYSVNKLREFWHKSQGAVFVDDKEHVMKTILFILSVLPDACQPFLLLTNASLPLWEAEFSRFAPSINIIVYDGEKDVHKLVQNPEFHENGRHTMLHVILAHPDAILENIKTLECIGWEAVIVDYCQSSVLEHVKKLKQLPTDFRVVLLSSPLKDNLLEYKNLLAFLNSEQEDNGAYVDADALAMLRARFTRHIAYERKAGSSKFLEYWVPAYLSQVQLELYSSILLANSSILQSQTATDSVGALCDIVICLWKCCNHPCLVGLQHSLSNTCDVTESTDGRMHESGKLLLLEKMIKEIRDKRLRVIVLFQSDGAVGDGMGNILEEFVRKKFGPDSYERVQNRSAFSVKQEAMNMFNDTTKGRFVFLIDSRACHSSINLSSVDTIIIYGSDLNPLNDLKALRKIKIESQLKYVRIFRLYTPFTVEEKGLVLAKQSMIIDSNGQDMLPSLSHCLLSWGISFLFSRVEDLQQDNCASKSCERNTVLMMDKVLLEFLTELSTDIDDSCKINSAIISKACMSGEFYSRNIILIGERVGVSSLDGDPPKFWLKLLNGKSCCQRNEPIIVPTEETNEARRKLSKKGEIKGSSSKFSSDVTNNNLFPETGTPSSADAHLLPEAGIENLSTPKSLHAELKRELSKLIKVLKLPDNVCFKAKQLLEYVLKNHLVVRKPQGILHAFNIALCWHAASLLKYSKLDHRESLALAADCLNYEYNEELIGFFYKKLGILGGRRNRIQNDRFSPHESSSVNLRSDHISPKQAMDLHGNFTDGTQESLSAAEQMVSDGQELVSSPEANRECHLSSEESPGRIATKRIDLFNNIFSLREKNILEKQQLEILELTSQRDNQVLRLKEVCHAVVHHIRISDIDEEIRKDQIKLVIKWFTMFMLAFFVHMKLQLAELDALQSKTWVKEQMMKEKLKQEVLLSGQLDKFLDLCNTLPDSDFDIEEFIHFKKQNGDNHVDNSLDLGCDQLLDDRLMEVTIVRNLVPSEAQMTSGAGAASESVALPDDNIHCSSDGTELQRAFSASTIPASHDSINQESSTGEARSIEHAKRDNIADPSVLPGIETSLVKGINADDDGRDLQTELQTSCPTLDTQHQMMYPDDSSQMNLERDTTSEILQEGTTSDHLGDSSMGFKDENVDAAAAYPLNSENQSYIAPHNTTVSPDAWEAETQIDQSSLPAQQNLVISGHPPAEAEPSSNLDTDAAWSLQPDIQPSSLMLDADSSQTRCQPETSPVLSQGGSTYHHLADGRMEIHVDNNGAVCAHQAHSESSTFAAPQSTMLPFSSEVGTHANLSSTSCLQSSDAPSTAPAAVAESSGMLGAQVEQDLHPEMAPSTSFLDVPVQRMLLDDRSQTCCRPDKATDLSEEGETEYLSCATCNLATLPVSSEAETENGQASMPAQEMRSPHAQHSLATLQLTVDDLQPPTSILPEEEERAGMLCATAARDLQHGMQPSVTAQDLQPELQASSAMHDQPAEAEGAGTSRITISAQNLQFESQPSTSVQHIPPERTHPDERIQIGLQPNTTLSPEQFTQLFTVAPAASNNFLCSSEPMRNELERLNYVIAMLSKEHEKKKSQLQTECNQEMEKIMKKYESLLQKETCTYHRWTTVLNDNYRKVFMQHSLAENWEKFMKSTPAQGPCVQPSQVVRPSAFEAVQLQPVLPGNFYRTTPSPVGSMPARNGSFIAAGTQSHGPVPILQQFQQSRGTQSPSAMVRGDQQQLGAINPGITSLRQYAPGLLESFASVSALAGVPLTSTAAGSVQQAMPSASNSLPPFPESSLVPGSAPVSMGNFVQSPSTIPFAMAAQQAPGLIPGLHRMPGGPLNGAAGIWQAGGHLAGTNQAAPEPSPDALRLLQRQWGHAMAPPSSVQQTVTASASNPHPGPVAPSTNRYLMAVQQALIPNPARGNVAGQVNAAVGVWQHGAALPAVASQPTARGPSSSNAQPGARTGPQTSGVGAQGGSGEEVVCLSDDDE >PAN03526 pep chromosome:PHallii_v3.1:1:429820:431528:1 gene:PAHAL_1G004400 transcript:PAN03526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASSLSELGFDANGAASGFFRPVADDGLCTPTSHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSGTDIAVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEHSPDAILLIVSNPVDILTYVAWKLSGFPVNRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAIWSTVSVAGMPVLKSLQESHSSFDEEALEGIRRAVVNSAYEVINLKGYTSWAIGYSVANLVSSILRDQRRIHPVSVLATGFHGIADDHEVFLSLPARLGRGGVLGVADMELTEEEARRLRQSAKTLWENSQLLGL >PAN08807 pep chromosome:PHallii_v3.1:1:58373592:58377282:-1 gene:PAHAL_1G437200 transcript:PAN08807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSILHRALSFSNVPVHRHDVPPLKEASAASNRHEGLALLTAIAEDTGFCNPSPLPNHLALEKSLISKILVLREALDLPCRSSCDALDPLLLDTLEALKITYPKCLSGLSGNHTSSEQQGLVHLRKVLMSVQERSQLSNLGVEKQTIIESESLDRVGGHVAMIFDQVIPVAKEIFSFMESSTSAKAAAAAAVRPEKRSLPPALCRTRSLVHRRSAGHHPSDSAEVATPCPDGAMRHAKQLKFQKPVPRQEADEGGCTGEGGQTSRRDPPSTPTGDSVLLQSTPSSMSPHPLSAPPPSPMPLLGMPMLLQSLEAMQQDDNASPAVVSPPDAQPAKVAAPTAQQDTVDVDDSAISVSMEAGQPSSPSSMDGNAAVPSVLPPPPPPLPANGDRVQGGPSTDKPAEVVASASSPPQGGPPANVSRAPPPPPPGNISAALRAKKAGKLKRSTQMGTLYRHLRDRVEGPCAHGGKRQTGNKPRTPGGSKSEAGQGMADALAEMTKRSAYFRQIEEDAENHAAAILELKDAIGSFESRDMAELVRFHQHVEQQLVCLTDETQVLARFEGFPSKKLESLRMAAALYSKLDGTVSKLKGWKLAAPLSNQLDRVEGYFNKIKDDVDMIERNKDEEAKRFLSHNIHFDFAVLVRIKECMVDLSSNCLELALKESKHARETSAVQSPGASPQAAAPSKMLWRVFQLAFRVYNFAGGQDERADRLTATLAHEIEAHPL >PVH66251 pep chromosome:PHallii_v3.1:1:27112644:27113515:-1 gene:PAHAL_1G185900 transcript:PVH66251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYHSREYEHLGTRRCRVVLSIARSTRHPDIEPWRVNATGFRHQDAYPLAIRKALRYLCRIFEEHLVPTPMRLFPPDASLAGLHEELERRRQHEDLLYHVVVYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTSGGTPVPAIGETQVLIGTPITGWGGLFRTPQAPPEGTERTAAAIEGGAVEQPRENGILEDDEEELLIPLEVHSAPEDDSPHE >PAN06887 pep chromosome:PHallii_v3.1:1:48869016:48870508:-1 gene:PAHAL_1G294800 transcript:PAN06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGEDVAKEGPAAEAATSTDGELAGPQPVGQAGAGSGVSTGLRGADLCPRDGRDLLRPAVGETVFDSSPGLGGARSTGLGGAVLRPRARTRRVRAHGGHDDRAIGAPGPVGGVGDLGSPDQPERHLPGTEHVRVADQRPPGGRRSDHRGPEQDRLGVVVVRVRVDFQLSAAMRSAALLVMFLAATALIGERDALGRNQFRALMLWLAGCIGLFRWILSRR >PVH66045 pep chromosome:PHallii_v3.1:1:11511466:11512248:1 gene:PAHAL_1G133200 transcript:PVH66045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARVQQAVALALIQQQGTGAQPDVVISRASQQRSSCTSTVTLGDELLENMLATACELHVKIKNITALVAHGLALLVIPGGTNHGRSVPPGYSVVTVEQIMEAGGQNEKLEIDFVGGDREKTLGEALHGVILWCKAYITLIGNIAAPVDPPSLPGGDNDDCDFGGPSSPPPRPPSPSSPPRARSTLTPPAPAKGKKQTSYLPSTGTPKKRKTIKNKIGPKKKLAYEMTDEELAEHTRQELKDHFKP >PVH66938 pep chromosome:PHallii_v3.1:1:55085189:55089106:-1 gene:PAHAL_1G388900 transcript:PVH66938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLRNSRSVLSRLLRHRPHVAAPPAPPPAPQSPASRYYSFYASRVLRSKPAVSPPPPPPPQLPGPRHYYTSGRQELIHFTRRRGGSRWYHDQRKLTAAVFITGGGAVAFYFGHLEAVPYTNRSHFIIFSPKLDRQLGESLFPEFKKEFGPKILPPLHPDSIRVRLIASEIVRAVHRGLAGHQRYDASYGYGDISDDHTIKNRDADATAAMLGGSPRKNATAAAAAQRDDEVLDDRWVTESRNRGKGKGAQPQTSHLDGVNWEVIVVRDDDVNAMCLPGGKIVVFTGLLDQFRADAEVATVLGHEVGHVIARHTAERITKNMWWAILRIVVLQVIYMPDLINAMSKLLLRLPFSRRMELEADHIGLLLLGAAGYDPRVAPSVYEKLGKTGGDSALKNYLSTHPSSKKRAELLSRANVMNEALELYREVSVGQSTGGSL >PVH66937 pep chromosome:PHallii_v3.1:1:55078782:55089106:-1 gene:PAHAL_1G388900 transcript:PVH66937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLRNSRSVLSRLLRHRPHVAAPPAPPPAPQSPASRYYSFYASRVLRSKPAVSPPPPPPPQLPGPRHYYTSGRQELIHFTRRRGGSRWYHDQRKLTAAVFITGGGAVAFYFGHLEAVPYTNRSHFIIFSPKLDRQLGESLFPEFKKEFGPKILPPLHPDSIRVRLIASEIVRAVHRGLAGHQRYDASYGYGDISDDHTIKNRDADATAAMLGGSPRKNATAAAAAQRDDEVLDDRWVTESRNRGKGKGAQPQTSHLDGVNWEVIVVRDDDVNAMCLPGGKIVVFTGLLDQFRADAEVATVLGHEVGHVIARHTAERITKNMWWAILRIVVLQVIYMPDLINAMSKLLLRLPFSRSKEEKQAILFHFARKSSFLMEV >PAN08730 pep chromosome:PHallii_v3.1:1:58116837:58119339:-1 gene:PAHAL_1G433200 transcript:PAN08730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGALLLLVAAASAATLLLSALPGARGFYLPGSYPHKYNPGEPLNVKVNSLTSIDTEMPFSYYSLPFCVPPEGVKDSAENLGELLMGDRIENSPYRFKMYTNESDVFLCRSAPLGPDAFTLLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEDANVARVMGAADATDVIPTGGKGGGAASSGWMVVGFEVVPCSIKQNPEDVKSHKMYDRYPSKIKCDPTTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTKYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYVGVRVWKTIKCGDHSGWVGVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVVLLLLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPPQRYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFVVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYIGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >PVH66683 pep chromosome:PHallii_v3.1:1:50295906:50296244:-1 gene:PAHAL_1G316200 transcript:PVH66683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPGGGGEGGRGRGRSGVGGAAGRRSGPRAPGCGGAAARGSGAGGAGGAGGGGPGAREPAGGAGAGAWGSGARPPGEQGRGRRGSRGAAAVENPSAMVRDGEKREENEGKE >PAN03849 pep chromosome:PHallii_v3.1:1:1765873:1773717:1 gene:PAHAL_1G024800 transcript:PAN03849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQAAAAADGVGRSCTGAAVVVTAAAAALVLLQLCTCVVAAAGAGGDGAKYKDPTQPLNARIDDLLARMTLAEKIGQMSQIEREKATPDVISSYFIGSVLSGGGSVPAANAPPEAWAKMVNGMQSGALATRLGIPVLYGIDAVHGHGNAYKATIFPHNVGLGCTRDPELVKRIGAAVAVEVRATGIPYIFAPCVAVCRDPRWGRCYESFGEHPELVRSMTSIVSGFQGEIPAGGRKGAPFVAGRRNVAACAKHYVGDGGTAGGINANDTAATFHELLAVHMPPYYNAVIRGVSTVMVSYSSWNGAKMHADHFLITDFLKKRLRFRGFVISDYQGLDFITTPEHADYLLSIKLGILAGIDMVMIPFNYTEFIDGLTLLVQNGTVPMSRIDDAVRRILRVKFTMGLFENPYADTGLAGELGKKEHRDLARQAVRKSLVLLKNGKPGDKPLLPLPKKAHGSILVAGSHADDLGSQCGGWTITWQGFTGNNLTAGTTILDGIRRAVGPAGTDVVYAETPDAGFVRENEARFDYAVVVVGEPPYAETAGDNANLTIPAPGQAIIRNVCGSIRCVVVLVSGRPLVVEPYLGAVDVLVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFRSVEQLPMNAGDAHYDPLFPFGFGLGTQPSKY >PAN03547 pep chromosome:PHallii_v3.1:1:345358:347990:-1 gene:PAHAL_1G003000 transcript:PAN03547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGMARIDEWRPVMAMLVFNLISAVMTALVKEALEQGLNSLVLITLRQLVATVFLAPIAYFKERNKRPRLTLEIFVYHFFSAVLGAALSQYTFFYGLKLTTATFAITFANMAPVLTFLIAIALRVESLNMKSKAGSAKILGTLMSFGGALLLSLYKGVAVTHQSTAATVLSSAHHAAAAPAASSQVAGADRKSWMLGTVSLLANCLFFSLWLLLQSRLTKKYPALYSSTAIMFFFSALQAGALTVTIEGHSSSVWVVTRRLEILTILYSGIMASAAGFLIMTWCVHKRGPVFTAAFIPIIQIMVAIIDFFFLHEQIYLGSILGSALMIFGLHLLLWGKKKDASACCSTDSKQVDEEADRQ >PVH65694 pep chromosome:PHallii_v3.1:1:3666165:3668954:1 gene:PAHAL_1G054300 transcript:PVH65694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRPPGHIRVALAVAVAAALLSPRPAATQGGGVTEADVLIAFRNTLRGPDGAPPGPLRSWGTPGPCQGNSSSWYGVSCHGNGSVQGLQLERLGLAGAAPDLAALAVLPGLRALSLSDNALTGAFPNVSALGVLKMLYLSRNRLSGVIPEGTFRPMRGLRKLHLAFNEFSGPVPGSITSPRLLELSLANNRFEGCLPDFSQPELRFVDVSNNNLSGPIPAGLSRFNSSMFSGNKFLCGKPLDAECNGLGVPTSGMSTMMKIAIALIVLGLLLCAVGIATGVLGRRRRKPRRAAAESLGGGDQTPSNPKLNTAPAVNIESAASTNQPRAGAATTAGGAAAAKRQRRDEHGRLVFIQEGRTRFEIEDLLRASAEVLGSGNFGSSYKATLCEGPAVVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLLPLVAYLYKKEEKLLVTDYIVNGSLAQLLHGNRGSLLDWGKRLRIIKGAARGLAHLYDELPMLTVPHGHLKSSNVLLDGTFEAVLSDYALVPVVTSQIAAQVMVAYKAPECIAPQGKPSKKSDVWSLGILILEVLTGKFPANYLQKGRQGGDLASWVQSVVTEERTDEVFDKDITGARGYEADMVKLLQVGLGCCDADVDRRLELKTVIARIDEIREPEPAAAAAAADSTSSSS >PAN04277 pep chromosome:PHallii_v3.1:1:3666165:3668534:1 gene:PAHAL_1G054300 transcript:PAN04277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRPPGHIRVGGGVTEADVLIAFRNTLRGPDGAPPGPLRSWGTPGPCQGNSSSWYGVSCHGNGSVQGLQLERLGLAGAAPDLAALAVLPGLRALSLSDNALTGAFPNVSALGVLKMLYLSRNRLSGVIPEGTFRPMRGLRKLHLAFNEFSGPVPGSITSPRLLELSLANNRFEGCLPDFSQPELRFVDVSNNNLSGPIPAGLSRFNSSMFSGNKFLCGKPLDAECNGLGVPTSGMSTMMKIAIALIVLGLLLCAVGIATGVLGRRRRKPRRAAAESLGGGDQTPSNPKLNTAPAVNIESAASTNQPRAGAATTAGGAAAAKRQRRDEHGRLVFIQEGRTRFEIEDLLRASAEVLGSGNFGSSYKATLCEGPAVVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLLPLVAYLYKKEEKLLVTDYIVNGSLAQLLHGNRGSLLDWGKRLRIIKGAARGLAHLYDELPMLTVPHGHLKSSNVLLDGTFEAVLSDYALVPVVTSQIAAQVMVAYKAPECIAPQGKPSKKSDVWSLGILILEVLTGKFPANYLQKGRQGGDLASWVQSVVTEERTDEVFDKDITGARGYEADMVKLLQVGLGCCDADVDRRLELKTVIARIDEIREPEPAAAAAAADSTSSSS >PAN05087 pep chromosome:PHallii_v3.1:1:8755579:8756661:-1 gene:PAHAL_1G113200 transcript:PAN05087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALAPVLAAVLLALGWAVAVAADGVTTNASAPAPTTGWLKAHATFYGGADASDTMGGACGYGDLYLQGYGTRTAALSTALFNDGASCGQCYEIACDHETDPTWCKPGATVTVAATNFCPPNYALPSDNGGWCNPPRPHFDMAQPAWERIGVYRAGIIPVMYKRVPCVRRGGVRFMINGHDYFNLVLVTNVAAAGSIKSMEVKAADSTDWTPMARNWGANWHSMAYLTGKMLSFRVTNTDGQALEFANVVPRGWKFGQTFASKLQFS >PAN06427 pep chromosome:PHallii_v3.1:1:46707720:46711581:1 gene:PAHAL_1G262900 transcript:PAN06427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEIPIAYRNSSSSPDWLNKGDNAWQMTSATFVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVIWAYNMSFGDRLLPFWGKARPALGQSFLVAQSELTATTVRYHNGSLEAGMLHPFYPAATMVYFQCMFATITIIILAGSLLGRMNIKAWMAFVPLWITFSYTICAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLVWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQKIDDTLGVFHTHAVAGFLGGTTTGLFAEPVLCNLFLSIPDSRGAFYGGDGASQFGRQIAGALFIIAWNIIITSIICVLVSLVLPLRISDEQLLIGDDAVHGEEAYAIWAEGELNDITHHDESRHSGIAVGVTQNI >PAN06747 pep chromosome:PHallii_v3.1:1:48266293:48269284:-1 gene:PAHAL_1G285800 transcript:PAN06747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHHRTTHGPFKPISQPSPQIGKGFYVSFLAPKQLEAAAPPAKALALPPPRRPPPSASKMKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTSGKPLGRGRGRGRGRGRGRGR >PVH65685 pep chromosome:PHallii_v3.1:1:3498760:3502572:-1 gene:PAHAL_1G051600 transcript:PVH65685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSQPQPVMGVATGGSQAYPAAAAYAPPAMVPGAPAVVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAVGATTDSYPPYYYMTAQQMPPGGGMIYGGQQGHPVTYMWQQPQGQEEEPPEEQQQQRSA >PVH65683 pep chromosome:PHallii_v3.1:1:3498756:3502576:-1 gene:PAHAL_1G051600 transcript:PVH65683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSQPQPVMGVATGGSQAYPAAAAYAPPAMVPGAPAVVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAVGATTDSYPPYYYMTAQQMPPGGGMIYGGQQGHPVTYMWQQPQGQEEEPPEEQQQQRSA >PVH65684 pep chromosome:PHallii_v3.1:1:3499142:3499918:-1 gene:PAHAL_1G051600 transcript:PVH65684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSQPQPVMGVATGGSQAYPAAAAYAPPAMVPGAPAVVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAVGATTDSYPPYYYMTAQQMPPGGGMIYGGQQGHPVTYMWQQPQGQEEEPPEEQQQQRSA >PAN04242 pep chromosome:PHallii_v3.1:1:3498760:3502576:-1 gene:PAHAL_1G051600 transcript:PAN04242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSQPQPVMGVATGGSQAYPAAAAYAPPAMVPGAPAVVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAVGATTDSYPPYYYMTAQQMPPGGGMIYGGQQGHPVTYMWQQPQGQEEEPPEEQQQQRSA >PAN08526 pep chromosome:PHallii_v3.1:1:56944978:56956340:-1 gene:PAHAL_1G418100 transcript:PAN08526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPASRQRKTGSASAAAAKGPHHQQQPQSGSPTSTNTTTTSSSRLTPELSLDGPASPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPNVAYAYDRVFAPTTTTRHVYDVAAQHVISGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVDGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGEANEGEAVTFSQLNLIDLAGSESSRAETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDTGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATPTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIVMDNESNELLLPVEGFGVSLEDSSKEEKKNRKGLLNWFKLRKRDGASILTSSEGDKSSLTKSTAPSTPIGESVNFHAEPRISNSLAGENVSADLFSIGHGEFPSGSIHGEEIPLTSGKMIDHVDLLREQLKILSGEVALQTSVLKRLTEEAGRSPQSENIQMEMKKISDEIKGKKRQIASLEREIAHATLGSQGKADELELSPSYPELLEQLNEKSFELEVKAADNRVIQEQLNEKISECMELQAEVTHLKEQLSQALEAKALLSNSMMQNNRGVNHEVERHADQGSAVPREVSTEPLQKQQQSIEVDELKQKVSELIEIKAQLEDRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLATELASLRSPTPRRVSNGPRGARRESMSRRHEPASRRDTNASHEREKALENMLMEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSQGYEHEDSEAKHNVT >PAN07996 pep chromosome:PHallii_v3.1:1:54322331:54323634:-1 gene:PAHAL_1G378600 transcript:PAN07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFQSIPGLAGRLFGGAAAADLRRAQAQQGPGARCGGVSPASAAPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSSSSSSTSASSAPSTPTSAADTKNPRRASASSPRSNSGSPTAATTTAPAPTPTTPSSNNIASLTSHHANPFSTVDVAPPAPIFADQAAALASLFAPPPPPPIPVFTFAPQPKEESAAASALQLAGQAAPPEAPSSASADMAPFASLDASGMFDLGDAPAAAYWSAGSCWTDVQDPSMYLP >PAN08330 pep chromosome:PHallii_v3.1:1:55956614:55958373:-1 gene:PAHAL_1G403600 transcript:PAN08330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGKARSGKAEGARCRRHPRHRQQGAGVCASCLRDRLAHLSLSASLPSVVRGDEEDGFYEEEGASSCSEASTAYSSEGSSAASSGRASPAAEPAFHDEMRRAPRVSLLMRHERVVGDADAVAAFLQARREQRRRTATSFWAKLLHATRGGGGGKKEEACSMAARAKTLEERGAAAKWVLF >PVH65887 pep chromosome:PHallii_v3.1:1:7124253:7129411:-1 gene:PAHAL_1G098100 transcript:PVH65887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADPARGRLAVLSSHLAAGAVKSEGLERSPVSAAAPGPRAGALAVVDGRTGKRHEVKVSEDGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGNLPTQSQLASWEFAISQHSAVPQGLLDIVQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPSNALSYSENFLYMLDSLGNKSYKPNPRLARALDILFILHAEHEMNCSTAAVRHLASSGVDVFTALSGGVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIVGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGIWLRHYAPVRERVPPSQSEELGQIATSNATRRRRAGSAL >PVH67218 pep chromosome:PHallii_v3.1:1:59567483:59568081:1 gene:PAHAL_1G456500 transcript:PVH67218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTPPLGPSILSIGIRASLLIWIIRLHRLELWPRWVVRRLTSRARTLPIGKFAWPHTLMRLPPKYGWQLKSGSPEIPPPTN >PVH65761 pep chromosome:PHallii_v3.1:1:4958541:4962602:1 gene:PAHAL_1G072200 transcript:PVH65761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFPSYCAQLERCHCDTVEMKRETNFLSDSGSKKRKPPSSDLDILELSYTSDSDRESESDGDMSDECDSESVETCESRAKQSLSTSFFEILKQLTIEELNEPTRQWHCPACRNAPGAVVWYKGLKDLMHHVQTESSRVSHHRKLAALLEDPHGTSLLAADKPFDNGKGLQKSSLCRPVAKP >PAN03955 pep chromosome:PHallii_v3.1:1:2185153:2190437:1 gene:PAHAL_1G031400 transcript:PAN03955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFRMDWNQKGSVLWDWENLPLIGTNGNESAKMTATQAEAKFSGAEVTRHGSVHSSCGTFSSNSEMGYGSSKSSISATIDSSPKARKNMELSFAPARVSDKNTGRNTVLGKVDDARTSPSSVIAISSGEPVLSLKLGKRTYFEDVCGGQSVKSLPSDTSAVTPASVKKAKAAQNAQNTYCQVEGCKIDLSSAKDYHRKHKVCESHSKAPKVVVAGLERRFCQQCSRFHGLDLFDQKKRSCRRRLNDHNARRRKPQPEAISFGSSRLSTMFYDARQQTTLHFGQAPYGQIRSCASSSWDNPGGAFKFAETKAPWLKPTRAAGIDGLHLSSQQVWNNIMPHGGHQDFDGFMAFKGTSAKVLNQGVEASAAVSDSNGNPDLQRALSLLSNNSAGAGNNQPTTQLHPGLSTVASTSDAVMQASSPGLWQDGAALDLHARFQALDPLGSGSTIATAHELQLPRPSLYDGSSSHYDLMH >PAN08389 pep chromosome:PHallii_v3.1:1:56465816:56469971:-1 gene:PAHAL_1G409900 transcript:PAN08389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPSPRAHAMAAPALARPCILPGAHLASTSCLLVLRVRGRELGHGRAVAAVRAREHGAAPPDPAAILRRPEVATTTSAEEERDIDAGSSLDRPVEEEVPEEEGVQGRGKAPEREWVDWEDLILEDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYITVGLHPEFENSRCLFIVRKDGEQVDFSFWKCVKGLIRQKYPMYADSFILRHFRRRQDY >PAN06691 pep chromosome:PHallii_v3.1:1:47962431:47964194:1 gene:PAHAL_1G281200 transcript:PAN06691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKEAGLKKGPWTPEEDQKLLAFIEQHGHGCWRSLPAKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRADAGGAGAAASGARYRAAAHLSHTAQWESARLEAEARLAREAKLRALASPPPPPAPAASGLESPTSTLSFSESALFAGAGHDDALGAARAPAVLTLRSYGEAFGEQHSFGDDADAPGGFLAGVLLDCAVAGAEQRFAAASADASVGEQQQEEDKGYWSSILNMVNSSMSSSSSSLTSEAVTDPAMYLPAAAEF >PAN06818 pep chromosome:PHallii_v3.1:1:48560227:48562990:-1 gene:PAHAL_1G290000 transcript:PAN06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAKIPAAALWLLLGVVVALGVAATPAQAFRRKTNRYDFVITETNVTRLCQEKTILTVNGQFPGPTIHARQGDVVFVNVHNRGRKNITLHWHGVDQPRNPWFDGPEFITQCPIQPGANFTYRIVFSNEEGTLWWHAHSDFDRATVHGAIVVRPKLGSAYPYPEPHRDIPIILGEWWNVNVEHLLEETMRAGGDFNISDANTINGQPGDLFPCSRKGTFRVPVERGRTYMLRVINAGLTNDMFFAVAGHNLTVVGSDGHYLKPFTVGHIMIAAGQTMNVLLHADRAANASSRYYMAARTFATNMQIPVNNSTATAVLEYSDAPPSAGPPAALPDLPAVDDLAAATAYTARLRSLATDGHPVDVPTRVDERMLVTISVNALPCATCEGPINGTRFAASLNNVSFVAPAVDILDAYYSSVRGVYEPDFPDRPPLFYNFTAPDPADELLFTKRGTKVKVVEYGAVVEVVFQGTALGAEPHPMHLHGFAFYAVGRGFGNFDESKDRATYNLVDPPRQNTVSVPAGGWAAIRFRATNPGVWFMHCHFDRHTVWGMDTVFIVKNGKTPDTQMLPRPPTMPKC >PAN05094 pep chromosome:PHallii_v3.1:1:8917998:8922803:1 gene:PAHAL_1G114700 transcript:PAN05094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAKSRAPNRRRRRRRRSDRAKDAHPRRSTNSGRRIHHHSHGSGLPQHDITGLPFAALIARHPARSSIGADASVNRQRHPCSGHSCAWPGTLRLSPTTLRPPTAAGRQAGGANAVCSSSMPCAPSGN >PAN08186 pep chromosome:PHallii_v3.1:1:55386805:55388461:-1 gene:PAHAL_1G394100 transcript:PAN08186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQKSSPSSSRKLSVAVATPILLLLALAVVSLYDFSFAADSYQYYIRRASSASSTSSPPANTSSPSTAPATSSPSSGPPPTTNSSSSAATAIEACDLTRGQWVPDDEPPYYTNLTCPFIDDLQNCMKFGKPSLEFMRWRWQPDGCDLPRFDAARFLDAMRGRSMAFVGDSLARNHVKSLLCILSQVAQPVEVVTTAEVDVTGRAVRRDFHYGSHGFNVSLFWSPFLVKANLSNAELGLGLWDMHLDTPDARWAAHIADFDYVVLSGTNWFFRPSVYREGGRVVGRNGGAASGAHGAKAEVPVSGAVRAAFRTALGAIAAREGFRGKAFVRTVTPAHFENGEWNTGGDCVRTRPFRRGERDRDAVVAEFRAAQVDALRETAAASQRNGAELRLLDITEAMELRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLFQMLVAHQ >PAN06969 pep chromosome:PHallii_v3.1:1:49261907:49268540:-1 gene:PAHAL_1G302400 transcript:PAN06969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAAPLDEANAKSVLRQVEFYFSDSNLPRDKFLREKVEQSDDGLVSLALICSFSRMKSHLGLDAAVKPETVPEETVLAVAEVLRRSPVLRVSEDGKKIGRASELLKPDEIIEQVDSRTVAASPLPYNVKLEDVQSFFAQYAKVNSVRLPRHIANKKHFCGTALVEFSEEEEAKKVLENSLVFAGANLEIRPKKEFDAEQEYKKEEHKKAHPVKDSQDEGYPKGLIVAFKLEKTMADSVVQQNSVDKVDDSATKLETSSSTVNPSGEASEEKIAESSDSNEEKSSEIMTKEKEVNISEATESEKCIGDALVESEKHGDSESGNLKNPISREDLKAALNKFGTVRYVDFSIGDDSGYLRFEDSKAAEKARMAAVLADEGGLIIKDHIITLEPVTGEAEKDYWSTIRGIQEKYKDNRSYKGRAAKNYRGGKQFSGKRGRNPDSEKNPNKAQKVEAAT >PAN03522 pep chromosome:PHallii_v3.1:1:529486:534866:-1 gene:PAHAL_1G005200 transcript:PAN03522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAAAAAAAIALRRPLLLSLKPARLLTSLAAPSPGIRHPRALRPTGPLPADTAEDTDDPDAGDGSAEPFKKSRNALKREARRAVQWGMDLAKFPPPQIKRILRAASLETEVFDALMLVKRFGPDVREGKRRQFNYIGRLLRNAQPELMDTLIQASKDGDDSKLHALLSEEKLLVEDEEVEELPDEEEDDGEYMKIADRWFDGLLCKDISITNEVYAIHNVEFDRQELRQLVRRVQMVEESTSKDDEEGSNGKLSRAKKPLLRFLRSLAKEACAE >PVH65481 pep chromosome:PHallii_v3.1:1:529275:534862:-1 gene:PAHAL_1G005200 transcript:PVH65481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAAAAAAAIALRRPLLLSLKPARLLTSLAAPSPGIRHPRALRPTGPLPADTAEDTDDPDAGDGSAEPFKKSRNALKREARRAVQWGMDLAKFPPPQIKRILRAASLETEVFDALMLVKRFGPDVREGKRRQFNYIGRLLRNAQPELMDTLIQASKDGDDSKLHALLSEEKLLVEDEEVEELPDEEEDDGEYMKIADRWFDGLLCKDISITNEVYAIHNVEFDRQELRQLVRRVQMVEESTSKDDEEGSNGKLSRAKKPLLRFLRSLAKEACAE >PAN03520 pep chromosome:PHallii_v3.1:1:529525:534855:-1 gene:PAHAL_1G005200 transcript:PAN03520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAAAAAAAIALRRPLLLSLKPARLLTSLAAPSPGIRHPRALRPTGPLPADTAEDTDDPDAGDGSAEPFKKSRNALKREARRAVQWGMDLAKFPPPQIKRILRAASLETEVFDALMLVKRFGPDVREGKRRQFNYIGRLLRNAQPELMDTLIQASKDGDDSKLHALLSEEKLLVEDEEVEELPDEEEDDGEYMKIADRWFDGLLCKDISITNEVYAIHNVEFDRQELRQLVRRVQMVEESTSKDDEEGSNGKLSRAKKPLLRFLRSLAKEACAE >PAN03521 pep chromosome:PHallii_v3.1:1:529274:534855:-1 gene:PAHAL_1G005200 transcript:PAN03521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAAAAAAAIALRRPLLLSLKPARLLTSLAAPSPGIRHPRALRPTGPLPADTAEDTDDPDAGDGSAEPFKKSRNALKREARRAVQWGMDLAKFPPPQIKRILRAASLETEVFDALMLVKRFGPDVREGKRRQFNYIGRLLRNAQPELMDTLIQASKDGDDSKLHALLSEEKLLVEDEEVEELPDEEEDDGEYMKIADRWFDGLLCKDISITNEVYAIHNVEFDRQELRQLVRRVQMVEESTSKDDEEGSNGKLSRAKKPLLRFLRSLAKEACAE >PAN05828 pep chromosome:PHallii_v3.1:1:35529868:35531287:1 gene:PAHAL_1G211900 transcript:PAN05828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWCPEMASKAYIDGVRALSGRDDLAGSAEVAELVAAMAGGWNAQLVVEAPDVSAQSSSSSSLPPATSLALAAAARRTGGRYACVLPEGDSAAAAAVYAGFVGPQEDAAGAESPSPSPTVVVASDADEAMARLEGVDLLVIDARRRDAAAVLRAARPGARGMVVLRHGDGRRRGATALAAASMAAGTRLVRSVYLPIGKGVEVLHVGVGKGPSLHSRGGRRGTGRWIRHVNHDTGEEHVFRRQ >PAN04598 pep chromosome:PHallii_v3.1:1:5398195:5399355:-1 gene:PAHAL_1G078500 transcript:PAN04598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSMGGSLALAAATAVAFSGSLVIFSLCRAHLSHDADADPAPAGALRPCLSSEKRRRGGRVRRSKAEKRVRFAADVVDNEGAPRPTRTSPAAAGTCRGAAAAEPDERTMMPPNREALYRGMLRDRSAHRVTCSY >PAN05978 pep chromosome:PHallii_v3.1:1:26767887:26768856:-1 gene:PAHAL_1G184400 transcript:PAN05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSKSRKPNAQWDPTAAKIFNEICVEQVLANNRPQGCLNNKGYANLIAQFNERTGRNYNRSQMKNRWDALKNDYTTWKTLLLAASGLGRDPRTGSIAADAEWWKEKIEAMPACKKFRLAPLENEEDLEIMFSGASCTNVYAAIPGAKEGTAGAKEGTSGANERSDGTDDEVEEVLPFSPPGANAKKRGAAHKSPMKKTKKNFRDLQFKRFVDSFVEKASSSKTSATSSPNDYVRQEIAEMLESVIEAGACEGSDEHFYATQLLIKEYRDVFSTLKTPAGKLAWLKRTWEERKTR >PAN06900 pep chromosome:PHallii_v3.1:1:48941549:48942796:1 gene:PAHAL_1G296000 transcript:PAN06900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRKRPRKVEPPAERVHRETADGEVDGEDFVATNPPMAEPSAAGEREEAEEAIGKLLEPFTRDELLDLLTDACLRDPALLSRLAASAASDAAHRRLFVHGLGPGATAASLAAAFAPFGALDECHAVADRATGRCRGYGFVTFRRRSDARRALADSSKRVDGRPVACQLAALGPIAQSSSSDRKLFVDNVPERAAHDDLRGFFSKFGEIEEGPLAADRDTGLFRGYAIFFYKTPEGLRKALEEPTKVFDGCELQCRRAYRVTNRKHATAAPVDTGVQSNGGAIAAVLPSVQAKDLALTSKQSLLSSNPPIVLTAKGSSSTTATVLFRQNVPAGGAGILGAAPVATAVPSSLIHGTSSKPPSHCGAAIGHIGLGDTTRAGTSTIEPIIGAKNSLGASHPGRLSARPGLIQQYLGR >PAN07326 pep chromosome:PHallii_v3.1:1:50952077:50955017:1 gene:PAHAL_1G326700 transcript:PAN07326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPMISCLAVAAVILLHVGVAPGTFVYAGCSPSRYAPNTAFESNLNSLLASMASAASSGATYNSFTSGAGGEALAAAADGAVSSAAYGLYQCRGGLRPGECSSCVRDTVARLGAVCANARAASLQSDGCLVRYGARDLVGRAADTSVAYRRCSAGTSSDAGFLSARGAVLSELQQGVENTTAAASSGGYKVSASGPVRGVAQCLGGIPASQCAACVSQAVAQLGGTCGAALAADVDLVQCSVRYWANSNSYRSSQDNSGDDVGRTVAIIIGIMAGLALLVVFISFLRKACN >PAN04462 pep chromosome:PHallii_v3.1:1:4699410:4700509:-1 gene:PAHAL_1G068900 transcript:PAN04462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLARIAMAMEDGGGASSSVAQPATDYGRHFQPPPFWSTPTPYLFIGFAVVMALIAVALAVLLCTRRKEDEGRRGDEGEVVAVSVLAPLDREDAAVPKVLVVMAGHSAPSFLASAAPFATFAAAGDDAKPQHGGGGGGGGKDGAAVV >PVH65807 pep chromosome:PHallii_v3.1:1:5622087:5624546:1 gene:PAHAL_1G081100 transcript:PVH65807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAEPAVAAATTTTATLPPPPPPPPPHFVLVPFVAQGHIIPLVDLARLLAERGARASVVTTPLNVARLRGVAEQAARVGLPLELVELPFPPPGSGLPDDCQNADMVADNYQFLPFFFALPELAGPFEAYVRALAPRPSCIIADWCNPWTAGVAKSLGIPRLFFHGPSCFFSLCDLQAANHGLHERIATGGDEDQHAVPEVPVPVTVTKATAPGFLNHPATEVLRDEAMEAMRTADGVVVNTLLDLEAQFVACYEAALGKPVWVVGPLSLCNRDVESTASRGKTAAAGRQQAITAWLDEQAPGSVVFVSFGSITRKLPRQLFEVGHGLEYSGRPFIWVVKEPEAAAPEVREWLEALEARTAGRGLVVRGWAPQLAVLSHRAVGGFVTHCGWNSLLESIAHGVPVVTWPHFADQFLNEQLAVSVLGVGVPVGVTAPVMIFDDDTVAVPREDVVRAVSALMGGGAEADGRRRKAKEYAEKARRAMEKGGSSYENLTQLIESFRQSGGKEG >PAN04208 pep chromosome:PHallii_v3.1:1:3360178:3361235:1 gene:PAHAL_1G048900 transcript:PAN04208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLRRLSRTVAAAEDGGPPAAPRQVRTKKGKGVPEGHVPVCVGEEGGPVERFAVRAELLGEPAFAALLRRAAQEYGYAHPGALRIPCPAAEFRRLLLRLSDGDPAATSC >PAN04986 pep chromosome:PHallii_v3.1:1:7945481:7948614:-1 gene:PAHAL_1G106900 transcript:PAN04986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTFRIAPDLPPVSRLCFGTMTMGEQSGTTSSLRLLDAAFDAGVNFFDSAEMYPVPQRSETSGRSEELLGRWLRARRAPRDQVVVATKVAGPSGQMTWIRGGPTSLDSQNITDAIDDSLCRLGVDYIDLYQIHWPDRYVPMFGETEYDPSSQYTSVPMEEQLEALGRAIDAGKIRYIGLSNETPYGLMKFLQLSKDFQLHSKLLTVQNSYNLLCRNFDSGLAECCHHERISLLAYSPMAMGILSGKYHSSDDSGPPEARMNLFKGRYSEGESRYKLQSPEVKLAVKEYTQIAAKYGISPATLAIAFVLRHPLVASAVFGATKLWQLYEVLQAARIHLPEEILVEINDVHARYPNPCP >PVH65930 pep chromosome:PHallii_v3.1:1:7946518:7948505:-1 gene:PAHAL_1G106900 transcript:PVH65930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTFRIAPDLPPVSRLCFGTMTMGEQSGTTSSLRLLDAAFDAGVNFFDSAEMYPVPQRSETSGRSEELLGRWLRARRAPRDQVVVATKVAGPSGQMTWIRGGPTSLDSQNITDAIDDSLCRLGVDYIDLYQIHWPDRYVPMFGETEYDPSSQYTSVPMEEQLEALGRAIDAGKIRYIGLSNETPYGLMKFLQLSKDFQLHSKLLTVQNSYNLLCRNFDSGLAECCHHERISLLAYSPMAMGILSGKYHSSDDSGPPEARMNLFKGRYSEGESRYKLQSPEVKLAVKVSK >PVH66609 pep chromosome:PHallii_v3.1:1:49021646:49021879:1 gene:PAHAL_1G297700 transcript:PVH66609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEDQSRSESLAAGGRNKFGFSTMCNRSPVVFYAVVRPKHSRVQSTGQLQVEALSRSALASGRFSMCRTPCNRCV >PAN06738 pep chromosome:PHallii_v3.1:1:48200323:48201331:-1 gene:PAHAL_1G284800 transcript:PAN06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIKVQMSCDKCRSKALALVAAAGGVDSVAIAGADRDQVVVVGEGVDSIHLTTALRRKVGPAHILQVGENTKEADKKPPAAHPLPDYYPWCYPPPPAQPAGFLCDPYGYTRTESSGCSIM >PAN07610 pep chromosome:PHallii_v3.1:1:52399091:52399288:1 gene:PAHAL_1G349200 transcript:PAN07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVAIVAELMEEYTVLVARVLEQLLHGAPFPRRMRFLMLRSLPFAAPPLPPPPPAHALHVDTRG >PAN07139 pep chromosome:PHallii_v3.1:1:50109943:50113773:-1 gene:PAHAL_1G313500 transcript:PAN07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLIVENDPLNWGAAAAELAGSHLDEVKRMVAQARQPVVKIEGSTLRVGQVAAVAAARDASGVAVELDEEARPRVKASSEWILECIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGRDGHTLPSEVVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKIAGIEGGFFKLNPKEGLAIVNGTSVGSALAATVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILEGSSFMKQAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLGNPITNHVQSAEQHNQDVNSLGLVSARKTAEAIDILKLMSSTYIVALCQAVDLRHLEENIKTSVKNTVTQVSKKVLTMNPTGDLSAARFSEKDLITAIDREGVFTYAEDAASASLPLMQKLRAVLVDHALSSGDAEREPSVFSKITKFEEELRAVLPREVEAARVAVAEGTAPVPNRIKDSRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFVGISEGKLIDPMLECLKEWDGKPLPIN >PVH66167 pep chromosome:PHallii_v3.1:1:22732271:22733128:1 gene:PAHAL_1G170000 transcript:PVH66167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAERAIRARLSLVDLILEVRVARVPASSAFEPLHLRRPVEPDGRQVYMLNKGDLAEAYEIKKWMAYMKKKRTCPCIAVNSHKRESTKELLSVVRSRIKHGESDCTGTVLLIGIPNVGKSAIVNAMHHIGGIGAAGILLSRSM >PVH66165 pep chromosome:PHallii_v3.1:1:22731839:22735000:1 gene:PAHAL_1G170000 transcript:PVH66165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAERAIRARLSLVDLILEVRVARVPASSAFEPLHLRRPVEPDGRQVYMLNKGDLAEAYEIKKWMAYMKKKRTCPCIAVNSHKRESTKEGSFCLEACEGTEVAPVACWGI >PVH66166 pep chromosome:PHallii_v3.1:1:22731839:22735000:1 gene:PAHAL_1G170000 transcript:PVH66166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAERAIRARLSLVDLILEVRVARVPASSAFEPLHLRRPVEPDGRQVYMLNKGDLAEAYEIKKWMAYMKKKRTCPCIAVNSHKRESTKEGFEQVNLRPCALQISLPLSYSPPFSSMLECICMHVIEWRKCRHVLGSCNCDPLQSLVIILLFVVF >PAN06366 pep chromosome:PHallii_v3.1:1:46350752:46352521:-1 gene:PAHAL_1G259000 transcript:PAN06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSSSEAAEVPHYFLCPISLEVMRDPVTLATGITYDRASIERWLFSDGHATCPVTRRALAPEEMDATPNHTLRRLIQAWCAAHQVERFPTPRPPLDSCRVAALLDEGRHGKEAAALREIRAVAAESDRNRRCVEATPGALEFLVSVVTKHSAPVSSKAPRQEADEFLEVLDSPTPTSSPAEDALGVLYSLKPSERSLAQILGREPDFLDTLTSVLRRPSYRSRTYGILLLKSLIAVMEPTRLMTVSADLVHEVVRVVSDRVSSKAVKAALHVLCRLCPWGRNRVKAVEAGAVATLVELLLDEGGRRVTELAVVAIDHLCGCAEGRSDLVAHPTGLAVVSKKAMRVSLVATESAVRALHAVARHSPTPAVLQEMLAVGVVAKLMLVLQVDAGEKTRLRAKEMLKAHARVWKDSPCLQAHLKASYPR >PAN03815 pep chromosome:PHallii_v3.1:1:1628246:1635203:-1 gene:PAHAL_1G023100 transcript:PAN03815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVDEDWEDVTFLDELFRATDDAIASRNPNPTPTPAPTPVPISYLPPATPISHLPPATPISHLPAASASSSTSTSTSVSYLAAASAPVSYLPAASYPSPALRFSPPRELTQRPPLPRPSDASSDGEAMAMVGRGFSPPRELSQRPAPEKTDLTTAASSGPAGGRVSAKEERETRELERLKRELSRVSKQVNDLKNECTELRKDRTKKDIQIKAKEVEIENLKKANVFANKDVCGAGMDIDQSFHAPANGALHAGGSSWASTRRTDKTNGKDNDANSLQVDLYLKHGDQTDLPEAMELRRRTMIDNGISTSGVLSLEENTHFEPRSIMCKEIKAIGVQTDSTSDSGHLECKKISAERISSNLRAIWGMPTNTLSRRNLISKIIVSCSEEILSLLKSTRLTDNCQTSSEASSSMNEAISQVYDMFTKQMNNEKITIQTFLEALLNLCAFDNAAIVSRTLRILLSILQHLLNYGTKSSERTNVSVEPYANMHMEDNHKDSSALLSPLDAENLLRQHNMSLPFAFWSSVFTVMLQIVVKYSEETIRTDALSVMILILRTTDPKEERHRFGFTSVMTRLHLLLQKENGLLVKKHSVRLLFLLLNCPVMLKLLCSGGKDGSEQMESEGCKNDRLKLLCSGGKDGSEQMESEGRENDRSQVISSVLVDLSECLSCEATCSLEIELCRLVIVLLAYIASSGKLGYEMLIGPVSARGASFLELIMQVLASQMQYETQELLKERCLLMREALILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANRLPQTRMGNDLAELAQKFRSRVYAFLEEKPLAIDGPNPGAAKFIS >PAN03813 pep chromosome:PHallii_v3.1:1:1628246:1635203:-1 gene:PAHAL_1G023100 transcript:PAN03813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVDEDWEDVTFLDELFRATDDAIASRNPNPTPTPAPTPVPISYLPPATPISHLPPATPISHLPAASASSSTSTSTSVSYLAAASAPVSYLPAASYPSPALRFSPPRELTQRPPLPRPSDASSDGEAMAMVGRGFSPPRELSQRPAPEKTDLTTAASSGPAGGRVSAKEERETRELERLKRELSRVSKQVNDLKNECTELRKDRTKKDIQIKAKEVEIENLKKANVFANKDVCGAGMDIDQSFHAPANGALHAGGSSWASTRRTDKTNGKDNDANSLQVDLYLKHGDQTDLPEAMELRRRTMIDNGISTSGVLSLEENTHFEPRSIMCKEIKAIGVQTDSTSDSGHLECKKISAERISSNLRAIWGMPTNTLSRRNLISKIIVSCSEEILSLLKSTRLTDNCQTSSEASSSMNEAISQVYDMFTKMNNEKITIQTFLEALLNLCAFDNAAIVSRTLRILLSILQHLLNYGTKSSERTNVSVEPYANMHMEDNHKDSSALLSPLDAENLLRQHNMSLPFAFWSSVFTVMLQIVVKYSEETIRTDALSVMILILRTTDPKEERHRFGFTSVMTRLHLLLQKENGLLVKKHSVRLLFLLLNCPVMLKLLCSGGKDGSEQMESEGCKNDRLKLLCSGGKDGSEQMESEGRENDRSQVISSVLVDLSECLSCEATCSLEIELCRLVIVLLAYIASSGKLGYEMLIGPVSARGASFLELIMQVLASQMQYETQELLKERCLLMREALILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANRLPQTRMGNDLAELAQKFRSRVYAFLEEKPLAIDGPNPGAAKFIS >PAN03818 pep chromosome:PHallii_v3.1:1:1628246:1635203:-1 gene:PAHAL_1G023100 transcript:PAN03818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVDEDWEDVTFLDELFRATDDAIASRNPNPTPTPAPTPVPISYLPPATPISHLPPATPISHLPAASASSSTSTSTSVSYLAAASAPVSYLPAASYPSPALRFSPPRELTQRPPLPRPSDASSDGEAMAMVGRGFSPPRELSQRPAPEKTDLTTAASSGPAGGRVSAKEERETRELERLKRELSRVSKQVNDLKNECTELRKDRTKKDIQIKAKEVEIENLKKANVSFANKDVCGAGMDIDQSFHAPANGALHAGGSSWASTRRTDKTNGKDNDANSLQVDLYLKHGDQTDLPEAMELRRRTMIDNGISTSGVLSLEENTHFEPRSIMCKEIKAIGVQTDSTSDSGHLECKKISAERISSNLRAIWGMPTNTLSRRNLISKIIVSCSEEILSLLKSTRLTDNCQTSSEASSSMNEAISQVYDMFTKQMNNEKITIQTFLEALLNLCAFDNAAIVSRTLRILLSILQHLLNYGTKSSERTNVSVEPYANMHMEDNHKDSSALLSPLDAENLLRQHNMSLPFAFWSSVFTVMLQIVVKYSEETIRTDALSVMILILRTTDPKEERHRFGFTSVMTRLHLLLQKENGLLVKKHSVRLLFLLLNCPVMLKLLCSGGKDGSEQMESEGCKNDRLKLLCSGGKDGSEQMESEGRENDRSQVISSVLVDLSECLSCEATCSLEIELCRLVIVLLAYIASSGKLGYEMLIGPVSARGASFLELIMQVLASQMQYETQELLKERCLLMREALILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANRLPQTRMGNDLAELAQKFRSRVYAFLEEKPLAIDGPNPGAAKFIS >PAN03814 pep chromosome:PHallii_v3.1:1:1627893:1635447:-1 gene:PAHAL_1G023100 transcript:PAN03814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVDEDWEDVTFLDELFRATDDAIASRNPNPTPTPAPTPVPISYLPPATPISHLPPATPISHLPAASASSSTSTSTSVSYLAAASAPVSYLPAASYPSPALRFSPPRELTQRPPLPRPSDASSDGEAMAMVGRGFSPPRELSQRPAPEKTDLTTAASSGPAGGRVSAKEERETRELERLKRELSRVSKQVNDLKNECTELRKDRTKKDIQIKAKEVEIENLKKANVSFANKDVCGAGMDIDQSFHAPANGALHAGGSSWASTRRTDKTNGKDNDANSLQVDLYLKHGDQTDLPEAMELRRRTMIDNGISTSGVLSLEENTHFEPRSIMCKEIKAIGVQTDSTSDSGHLECKKISAERISSNLRAIWGMPTNTLSRRNLISKIIVSCSEEILSLLKSTRLTDNCQTSSEASSSMNEAISQVYDMFTKQMNNEKITIQTFLEALLNLCAFDNAAIVSRTLRILLSILQHLLNYGTKSSERTNVSVEPYANMHMEDNHKDSSALLSPLDAENLLRQHNMSLPFAFWSSVFTVMLQIVVKYSEETIRTDALSVMILILRTTDPKEERHRFGFTSVMTRLHLLLQKENGLLVKKHSVRLLFLLLNLMLKLLCSGGKDGSEQMESEGCKNDRLKLLCSGGKDGSEQMESEGRENDRSQVISSVLVDLSECLSCEATCSLEIELCRLVIVLLAYIASSGKLGYEMLIGPVSARGASFLELIMQVLASQMQYETQELLKERCLLMREALILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANRLPQTRMGNDLAELAQKFRSRVYAFLEEKPLAIDGPNPGAAKFIS >PVH65553 pep chromosome:PHallii_v3.1:1:1627893:1635447:-1 gene:PAHAL_1G023100 transcript:PVH65553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVDEDWEDVTFLDELFRATDDAIASRNPNPTPTPAPTPVPISYLPPATPISHLPPATPISHLPAASASSSTSTSTSVSYLAAASAPVSYLPAASYPSPALRFSPPRELTQRPPLPRPSDASSDGEAMAMVGRGFSPPRELSQRPAPEKTDLTTAASSGPAGGRVSAKEERETRELERLKRELSRVSKQVNDLKNECTELRKDRTKKDIQIKAKEVEIENLKKANVFANKDVCGAGMDIDQSFHAPANGALHAGGSSWASTRRTDKTNGKDNDANSLQVDLYLKHGDQTDLPEAMELRRRTMIDNGISTSGVLSLEENTHFEPRSIMCKEIKAIGVQTDSTSDSGHLECKKISAERISSNLRAIWGMPTNTLSRRNLISKIIVSCSEEILSLLKSTRLTDNCQTSSEASSSMNEAISQVYDMFTKMNNEKITIQTFLEALLNLCAFDNAAIVSRTLRILLSILQHLLNYGTKSSERTNVSVEPYANMHMEDNHKDSSALLSPLDAENLLRQHNMSLPFAFWSSVFTVMLQIVVKYSEETIRTDALSVMILILRTTDPKEERHRFGFTSVMTRLHLLLQKENGLLVKKHSVRLLFLLLNLMLKLLCSGGKDGSEQMESEGCKNDRLKLLCSGGKDGSEQMESEGRENDRSQVISSVLVDLSECLSCEATCSLEIELCRLVIVLLAYIASSGKLGYEMLIGPVSARGASFLELIMQVLASQMQYETQELLKERCLLMREALILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANRLPQTRMGNDLAELAQKFRSRVYAFLEEKPLAIDGPNPGAAKFIS >PAN03816 pep chromosome:PHallii_v3.1:1:1628246:1635203:-1 gene:PAHAL_1G023100 transcript:PAN03816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVDEDWEDVTFLDELFRATDDAIASRNPNPTPTPAPTPVPISYLPPATPISHLPPATPISHLPAASASSSTSTSTSVSYLAAASAPVSYLPAASYPSPALRFSPPRELTQRPPLPRPSDASSDGEAMAMVGRGFSPPRELSQRPAPEKTDLTTAASSGPAGGRVSAKEERETRELERLKRELSRVSKQVNDLKNECTELRKDRTKKDIQIKAKEVEIENLKKANVSFANKDVCGAGMDIDQSFHAPANGALHAGGSSWASTRRTDKTNGKDNDANSLQVDLYLKHGDQTDLPEAMELRRRTMIDNGISTSGVLSLEENTHFEPRSIMCKEIKAIGVQTDSTSDSGHLECKKISAERISSNLRAIWGMPTNTLSRRNLISKIIVSCSEEILSLLKSTRLTDNCQTSSEASSSMNEAISQVYDMFTKMNNEKITIQTFLEALLNLCAFDNAAIVSRTLRILLSILQHLLNYGTKSSERTNVSVEPYANMHMEDNHKDSSALLSPLDAENLLRQHNMSLPFAFWSSVFTVMLQIVVKYSEETIRTDALSVMILILRTTDPKEERHRFGFTSVMTRLHLLLQKENGLLVKKHSVRLLFLLLNCPVMLKLLCSGGKDGSEQMESEGCKNDRLKLLCSGGKDGSEQMESEGRENDRSQVISSVLVDLSECLSCEATCSLEIELCRLVIVLLAYIASSGKLGYEMLIGPVSARGASFLELIMQVLASQMQYETQELLKERCLLMREALILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANRLPQTRMGNDLAELAQKFRSRVYAFLEEKPLAIDGPNPGAAKFIS >PAN03817 pep chromosome:PHallii_v3.1:1:1627893:1635447:-1 gene:PAHAL_1G023100 transcript:PAN03817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVDEDWEDVTFLDELFRATDDAIASRNPNPTPTPAPTPVPISYLPPATPISHLPPATPISHLPAASASSSTSTSTSVSYLAAASAPVSYLPAASYPSPALRFSPPRELTQRPPLPRPSDASSDGEAMAMVGRGFSPPRELSQRPAPEKTDLTTAASSGPAGGRVSAKEERETRELERLKRELSRVSKQVNDLKNECTELRKDRTKKDIQIKAKEVEIENLKKANVSFANKDVCGAGMDIDQSFHAPANGALHAGGSSWASTRRTDKTNGKDNDANSLQVDLYLKHGDQTDLPEAMELRRRTMIDNGISTSGVLSLEENTHFEPRSIMCKEIKAIGVQTDSTSDSGHLECKKISAERISSNLRAIWGMPTNTLSRRNLISKIIVSCSEEILSLLKSTRLTDNCQTSSEASSSMNEAISQVYDMFTKMNNEKITIQTFLEALLNLCAFDNAAIVSRTLRILLSILQHLLNYGTKSSERTNVSVEPYANMHMEDNHKDSSALLSPLDAENLLRQHNMSLPFAFWSSVFTVMLQIVVKYSEETIRTDALSVMILILRTTDPKEERHRFGFTSVMTRLHLLLQKENGLLVKKHSVRLLFLLLNLMLKLLCSGGKDGSEQMESEGCKNDRLKLLCSGGKDGSEQMESEGRENDRSQVISSVLVDLSECLSCEATCSLEIELCRLVIVLLAYIASSGKLGYEMLIGPVSARGASFLELIMQVLASQMQYETQELLKERCLLMREALILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANRLPQTRMGNDLAELAQKFRSRVYAFLEEKPLAIDGPNPGAAKFIS >PAN05057 pep chromosome:PHallii_v3.1:1:8476811:8481132:-1 gene:PAHAL_1G111100 transcript:PAN05057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCGGGAPGRRSSGGSTCCSGEIDWITDERGELLRPSKHLNDAEHKRTAECLPHKKGNKLKRVCRWSQEENGNLIRMVNLHSSKSWSTVARSIPGRSPNQCRDRWMFYLDPAVNNQPWSEHEDIKLIQAHKIHGSKWSKLAKLFPGRTGKAVKNHWPSLMRKQMKSDLVSGLPEQFLYMPNDPSVTKNKGSSTIQSDEDSSTNIHVSSDLAVRPKSEQGLAENGRNESTLKGKSYDSMHGNGSVSHSVNVSEKVDGQIATSNSLSSMDQKATSATASFPGSLPKEESTNFLEVTPNRGLSTMYNHLSNDYFDGMCSSADPESQGLHLSSIADLLDMPYCKSLMIVSPDSPNHGNYTDGM >PAN05691 pep chromosome:PHallii_v3.1:1:16978401:16982374:1 gene:PAHAL_1G151000 transcript:PAN05691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGGEVAWRFGAVNPSLEAASALSLRALVHRLYGCVDRSDPRPLAPLGHGDPSPFACFRTTATAEEAVAAAATSGKCNSYPTAAGLTEACSAVAAYLSRYLPYELSTGDIVLTAGCNHAIETMMAVLATPGANVLLPRPGYPLYEARAALGGLEFRHYNLLHEKGWEVDIEGVEALADNNTVAIVMVNPNNPCGSVYSYEHLAKIAETARKLGVMVISDEIYEHCAFGSKPFVPMGVFGEVAPVVTLGGISKRWMVPGWRLGWIAMTDPKGVLRKKKIFEAIITYRGISVDPAAIVQAAIPQIIANTDDVFFKNTMNTMREAAEICYRKLKGIECLTCPHKPEGSMFVMVKLDMSYFDGIHDDIDFCTKLAKEESVVICPGSGLGMKNWLRVTFAVDPPLLEAGLERLRSFCLRHAKPNRKQVTS >PAN05692 pep chromosome:PHallii_v3.1:1:16978750:16982374:1 gene:PAHAL_1G151000 transcript:PAN05692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLATPGANVLLPRPGYPLYEARAALGGLEFRHYNLLHEKGWEVDIEGVEALADNNTVAIVMVNPNNPCGSVYSYEHLAKIAETARKLGVMVISDEIYEHCAFGSKPFVPMGVFGEVAPVVTLGGISKRWMVPGWRLGWIAMTDPKGVLRKKKIFEAIITYRGISVDPAAIVQAAIPQIIANTDDVFFKNTMNTMREAAEICYRKLKGIECLTCPHKPEGSMFVMVKLDMSYFDGIHDDIDFCTKLAKEESVVICPGSGLGMKNWLRVTFAVDPPLLEAGLERLRSFCLRHAKPNRKQVTS >PAN08269 pep chromosome:PHallii_v3.1:1:55723069:55725428:1 gene:PAHAL_1G399300 transcript:PAN08269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAGASTEEDAVVVVAADAIATSAAPNAGDPEPQPDKGRRRRKKRRRPRAPTEEELAARRSLLRWACPGREVASDDGQAAEAGRARLRRPRVAVELHAHSACSDGTLSPAELVERAHRNGVKVFALTDHDTMAGVPEAVEAAKRHPVRIIPGVEISAVHSPSDESGSGAEEPVHILAYYGSRGPARPQELERFLAGIREGRYARANKMLLKLRGLGMPMKLEDVCTIAGNGVAPGRLHVARAMVDAGYVEDLRQAFSRYLYDGGPAYAT >PAN08271 pep chromosome:PHallii_v3.1:1:55723069:55725428:1 gene:PAHAL_1G399300 transcript:PAN08271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAGASTEEDAVVVVAADAIATSAAPNAGDPEPQPDKGRRRRKKRRRPRAPTEEELAARRSLLRWACPGREVASDDGQAAEAGRARLRRPRVAVELHAHSACSDGTLSPAELVERAHRNGVKVFALTDHDTMAGVPEAVEAAKRHPVRIIPGVEISAVHSPSDESGSGAEEPVHILAYYGSRGPARPQELERFLAGIREGRYARANKMLLKLRGLGMPMKLEDVCTIAGNGVAPGRLHVARAMVDAGYVEDLRQAFSRYLYDGGPAYATGSEPTAESVVQLVCRTGGVAVLAHPWALKNPGAVIKNLKAAGLHGIEVYRSDGKVSGLSDLADTYELLKLGGSDFHGRDDKEEPDVGSVDLPVLAVFKFLEIAKPIWHNAIREIFASISERTTDLNGSNGFQRISSAGDFCIPRHSSEDPELTSVSEVEVLRTEVTDIVLTHQ >PAN08272 pep chromosome:PHallii_v3.1:1:55723069:55725428:1 gene:PAHAL_1G399300 transcript:PAN08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAGASTEEDAVVVVAADAIATSAAPNAGDPEPQPDKGRRRRKKRRRPRAPTEEELAARRSLLRWACPGREVASDDGQAAEAGRARLRRPRVAVELHAHSACSDGTLSPAELVERAHRNGVKVFALTDHDTMAGVPEAVEAAKRHPVRIIPGVEISAVHSPRGSEPTAESVVQLVCRTGGVAVLAHPWALKNPGAVIKNLKAAGLHGIEVYRSDGKVSGLSDLADTYELLKLGGSDFHGRDDKEEPDVGSVDLPVLAVFKFLEIAKPIWHNAIREIFASISERTTDLNGSNGFQRISSAGDFCIPRHSSEDPELTSVSEVEVLRTEVTDIVLTHQ >PAN08270 pep chromosome:PHallii_v3.1:1:55723853:55725126:1 gene:PAHAL_1G399300 transcript:PAN08270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVPEAVEAAKRHPVRIIPGVEISAVHSPSDESGSGAEEPVHILAYYGSRGPARPQELERFLAGIREGRYARANKMLLKLRGLGMPMKLEDVCTIAGNGVAPGRLHVARAMVDAGYVEDLRQAFSRYLYDGGPAYATGSEPTAESVVQLVCRTGGVAVLAHPWALKNPGAVIKNLKAAGLHGIEVYRSDGKVSGLSDLADTYELLKLGGSDFHGRDDKEEPDVGSVDLPVLAVFKFLEIAKPIWHNAIREIFASISERTTDLNGSNGFQRISSAGDFCIPRHSSEDPELTSVSEVEVLRTEVTDIVLTHQ >PAN03541 pep chromosome:PHallii_v3.1:1:367031:373913:1 gene:PAHAL_1G003500 transcript:PAN03541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAAPDQRQIVPSDASRKKGRRGRREMRRIEDTTSRQVTFSKRRSGLFKKAHELSVLCDAEVALIVFSPRHRLYQFASATDLQNTIDRYLNHTKGTPTDERVDEPGVEKWKYEATTLGQKIDAIEAYKRKLLGESLGSCSIQELQELELQLEKSLSSIRQRKQKKLMDQILELRQKEQKLAKENAMLRDQCKALPLLLLNDIKGRMDAAAGGEEEADDGRMEDVETELAIGIGRRWPSNSIVMDKTIMSNQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCSHWDPSAGFEFTMDAQAADIYSSDGLICASHAYAPKGRWADWGIGQPLPSWGEVRDLQGPYYQGTFHQTVNCPGFVAAQAVSSLQIREDSSEITSPSQGAAAATVVNRMLGGANRLNLYREI >PAN03693 pep chromosome:PHallii_v3.1:1:1268034:1268724:1 gene:PAHAL_1G015600 transcript:PAN03693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVVFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTHKYAMG >PAN04092 pep chromosome:PHallii_v3.1:1:2803518:2806361:-1 gene:PAHAL_1G041400 transcript:PAN04092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRIPLFGSQQQQTDPNFQDIPTQSWYPPSVVGSSSRPSTPTSSSASPHQRASDHPQSSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETAQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGQTSLR >PAN04091 pep chromosome:PHallii_v3.1:1:2803210:2807201:-1 gene:PAHAL_1G041400 transcript:PAN04091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRIPLFGSQQQQTDPNFQDIPTQSWYPPSVVGSSSRPSTPTSSSASPHQRASDHPQSSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETAQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGQTSLR >PAN08403 pep chromosome:PHallii_v3.1:1:56505232:56506774:-1 gene:PAHAL_1G410800 transcript:PAN08403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVHRSVQELAASLGALPPEFVRPEHEQPAATTFPGGAAPDAPVIDLSEPGCGARVAAAAREWGLFQVVNHGVPSPVVAELQRVGRAFFSLPREEKERYAMDPASGRIEGYGTRLQRDLEGKKTWNDFFFHVVAPPEKVDHGAWPRSPAGYREANEAYCCHVQRLARELLGHLSLGLGLEEGAMAEAFGGGDLVFLQKINLYPPCPQPELTLGVAPHTDMSTLTVLVPNEVQGLQVFKDGHWYDAKYVPDALIIHIGDQIEIFSNGAYKAVLHRTTVSKEKTRMSWPVFVEPPGELVVGPHPQLVAGESPAKYKARKYQEYQHCKINKLPM >PAN06700 pep chromosome:PHallii_v3.1:1:48017236:48018734:-1 gene:PAHAL_1G281700 transcript:PAN06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKDEEEGAAPAATGFPVSGGGGGGTGGATAAFVVPAPPAPWSTGLFDCFDDVGNCCVTCLFPCITFGQVAEIVDRGSTSCGASAALYTLIVALTGYGFQSIFSCFYRAKLRAQFGLEESPCPDCLVHFFCEWCALCQEYRELSNRGFDMNIGWHANMERQGHAAATMPPQMQPGMTR >PAN04784 pep chromosome:PHallii_v3.1:1:6455700:6457422:1 gene:PAHAL_1G091800 transcript:PAN04784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMERSMSCAERGTMYGGAAGADIRSYSASYARPAPAPSAASRVKRARSVSSWTRPAPPHVQRSGSTKSVAAGRPASGLNLRSYSASYAASYGPTVAADGGAGAGGQLKRSGSVTNWSSANRRSVNLRGYTPSFAALDDTAAAPAAVPAAKKAAALDDAELQRRKRLVVYKTYDVEGKVRESVRRGVSWIKGKCSRVVYGWW >PAN04794 pep chromosome:PHallii_v3.1:1:6455700:6458291:1 gene:PAHAL_1G091800 transcript:PAN04794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMERSMSCAERGTMYGGAAGADIRSYSASYARPAPAPSAASRVKRARSVSSWTRPAPPHVQRSGSTKSVAAGRPASGLNLRSYSASYAASYGPTVAADGGAGAGGQLKRSGSVTNWSSANRRSVNLRGYTPSFAALDDTAAAPAAVPAAKKAAALDDAELQRRKRLVVYKTYDVEGKVRESVRRGVSWIKGKCSRVVYGWW >PAN07548 pep chromosome:PHallii_v3.1:1:52042541:52047261:1 gene:PAHAL_1G344400 transcript:PAN07548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPDNLDRLYTEVHLLKSLKHGNVMKFYYSWIDDQNKTINVITELFTSGSLRHYRQKHPRVNLKAIKNWARQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTPRAKSVIGTPEFMAPELYDESYDELVDIYSFGMCLLEIFTLEYPYSECTNPAQIFKKVSTGVKPAALAKIADPQVKQFIEKCLVPASERSSAKELLQDPFLCHDNKHGPAVTKFSPAPKAADISLASLQMDVDTCESSPASSGKENGYVAPHAQVLEFTRTNKNTELKLKGEKLDSNSVSLVLRIADLSGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGWRPVNDAAANSYRQSESELVITSHQDLSGLVSDYALVDGIMRQKDVNASSNGYVDSVSSATNLGGSQGSEGSVISVQLAGSSKSVSDYGAENYGSMDCGGYKEGINKLDFSHVLGDGSRSIFHIDQASPCLELASSGSSISTADNNQDVLNGELVLIEAQYKHLVDELTRMREEAMEGARKKWLPEK >PAN07546 pep chromosome:PHallii_v3.1:1:52042541:52047261:1 gene:PAHAL_1G344400 transcript:PAN07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPDNLDRLYTEVHLLKSLKHGNVMKFYYSWIDDQNKTINVITELFTSGSLRHYRQKHPRVNLKAIKNWARQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTPRAKSVIGTPEFMAPELYDESYDELVDIYSFGMCLLEIFTLEYPYSECTNPAQIFKKVSTGVKPAALAKIADPQVKQFIEKCLVPASERSSAKELLQDPFLCHDNKHGPAVTKFSPAPKAADISLASLQMDVDTCESSPASSGKENGYVAPHAQVLEFTRTNKNTELKLKGEKLDSNSVSLVLRIADLSGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGWRPVNDAAANSYRQSESELVITSHQDLSGLVSDYALVDGIMRQKDVNASSNGYVDSVSSATNLGGSQGSEGSVISVQLAGSSKSVSDYGAENYGSMDCGGYKEGINKLDFSHVLGDGSRSIFHIDQASPCLELASSGSSISTADNNQDVLNGELVLIEAQYKHLVDELTRMREEAMEGARKKWLPEK >PAN07549 pep chromosome:PHallii_v3.1:1:52043813:52047261:1 gene:PAHAL_1G344400 transcript:PAN07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPDNLDRLYTEVHLLKSLKHGNVMKFYYSWIDDQNKTINVITELFTSGSLRHYRQKHPRVNLKAIKNWARQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTPRAKSVIGTPEFMAPELYDESYDELVDIYSFGMCLLEIFTLEYPYSECTNPAQIFKKVSTGVKPAALAKIADPQVKQFIEKCLVPASERSSAKELLQDPFLCHDNKHGPAVTKFSPAPKAADISLASLQMDVDTCESSPASSGKENGYVAPHAQVLEFTRTNKNTELKLKGEKLDSNSVSLVLRIADLSGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGWRPVNDAAANSYRQSESELVITSHQDLSGLVSDYALVDGIMRQKDVNASSNGYVDSVSSATNLGGSQGSEGSVISVQLAGSSKSVSDYGAENYGSMDCGGYKEGINKLDFSHVLGDGSRSIFHIDQASPCLELASSGSSISTADNNQDVLNGELVLIEAQYKHLVDELTRMREEAMEGARKKWLPEK >PAN07550 pep chromosome:PHallii_v3.1:1:52042541:52047261:1 gene:PAHAL_1G344400 transcript:PAN07550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGGRDEVLDAEYAEIDPSGRYMRYNVILGRGAFKTVYKAFDEVEGIEVAWNQINIDEVMQCPDNLDRLYTEVHLLKSLKHGNVMKFYYSWIDDQNKTINVITELFTSGSLRHYRQKHPRVNLKAIKNWARQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTPRAKSVIGTPEFMAPELYDESYDELVDIYSFGMCLLEIFTLEYPYSECTNPAQIFKKVSTGVKPAALAKIADPQVKQFIEKCLVPASERSSAKELLQDPFLCHDNKHGPAVTKFSPAPKAADISLASLQMDVDTCESSPASSGKENGYVAPHAQVLEFTRTNKNTELKLKGEKLDSNSVSLVLRIADLSGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGWRPVNDAAANSYRQSESELVITSHQDLSGLVSDYALVDGIMRQKDVNASSNGYVDSVSSATNLGGSQGSEGSVISVQLAGSSKSVSDYGAENYGSMDCGGYKEGINKLDFSHVLGDGSRSIFHIDQASPCLELASSGSSISTADNNQDVLNGELVLIEAQYKHLVDELTRMREEAMEGARKKWLPEK >PAN08063 pep chromosome:PHallii_v3.1:1:54707642:54712408:-1 gene:PAHAL_1G383900 transcript:PAN08063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNFGSFKGEKGESAAAAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPIASEKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVEISQLQLGKSVCRKVEKQTAHFYSVDITDKEAKMGLVCRVHSTAKSKFKLLYFEPEDNGGLSLALQEDSAKTGKVTSAGMFFLGFPVYRFEQNNSAAAAKDPDSAFFKRLDGFQPCEVNELKAGTHYFAVYGDNFFKSASYTIEVVCAEPFSAEKERLRSVEAKIIAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDDLLKERNAIHASYTNNPTLQLSSSSSKGKSPSKGSKREDDQTVKKEKKSKSQPMPMDGSRGDDEGTKNKKEKKPKDRIRRKKWFNIHLKVDKRRPC >PAN06357 pep chromosome:PHallii_v3.1:1:46257411:46258528:-1 gene:PAHAL_1G258600 transcript:PAN06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGEKHHHRHEHHLRRCCGCMASCLLALVLVVAFVALVVYLALRPSKPSFYLQDLQLRRPISVGDPSLSASAQVTLASRNPNDRVGILYKRLDVFVTYRDEPVTAPVSLPPQYQGHRDVTVWSPVLAAQAVPVAGYVADAMKQDVAAGFVALQVKVDGRIKWKVGSWVSGSYHLFVSCPAVLSAGYPAGGFGGSNATVSALKFAQPTGCSVEV >PAN03791 pep chromosome:PHallii_v3.1:1:1596501:1599752:-1 gene:PAHAL_1G022300 transcript:PAN03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPAPAATHFQPPFLLLPSPPRPHPPLRLRFLLPSPPPLRLRRRFPLLAAAAVSAGGGGGEEAARKADKARQLQKRVLVGVAIGVGAGGIVVAGGWVFAAAVSAAVLAGSREYFELVRSTAGGGGTPPPRYVSRVCSAICALLPILTLYYGRMDVTVTFSAFIIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNSRIAYNWPILLGGQAHWTVGLVATLIAISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLAGCVLTTVLLSTVLRWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVRVALPLYGV >PAN03792 pep chromosome:PHallii_v3.1:1:1595741:1599848:-1 gene:PAHAL_1G022300 transcript:PAN03792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPAPAATHFQPPFLLLPSPPRPHPPLRLRFLLPSPPPLRLRRRFPLLAAAAVSAGGGGGEEAARKADKARQLQKRVLVGVAIGVGAGGIVVAGGWVFAAAVSAAVLAGSREYFELVRSTAGGGGTPPPRYVSRVCSAICALLPILTLYYGRMDVTVTFSAFIIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNSTYNWPILLGGQAHWTVGLVATLIAISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLAGCVLTTVLLSTVLRWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVRVALPLYGV >PAN03790 pep chromosome:PHallii_v3.1:1:1595741:1599778:-1 gene:PAHAL_1G022300 transcript:PAN03790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPAPAATHFQPPFLLLPSPPRPHPPLRLRFLLPSPPPLRLRRRFPLLAAAAVSAGGGGGEEAARKADKARQLQKRVLVGVAIGVGAGGIVVAGGWVFAAAVSAAVLAGSREYFELVRSTAGGGGTPPPRYVSRVCSAICALLPILTLYYGRMDVTVTFSAFIIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNSTYNWPILLGGQAHWTVGLVATLIAISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLAGCVLTTVLLSTVLRWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVRVALPLYGV >PAN03793 pep chromosome:PHallii_v3.1:1:1595729:1599854:-1 gene:PAHAL_1G022300 transcript:PAN03793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPAPAATHFQPPFLLLPSPPRPHPPLRLRFLLPSPPPLRLRRRFPLLAAAAVSAGGGGGEEAARKADKARQLQKRVLVGVAIGVGAGGIVVAGGWVFAAAVSAAVLAGSREYFELVRSTAGGGGTPPPRYVSRVCSAICALLPILTLYYGRMDVTVTFSAFIIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNSRIAYNWPILLGGQAHWTVGLVATLIAISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLAGCVLTTVLLSTVLRWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVRVALPLYGV >PAN08454 pep chromosome:PHallii_v3.1:1:56743190:56749834:-1 gene:PAHAL_1G414200 transcript:PAN08454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSVAGALVPSVPKPEAAPSGDASTAAAATTAALALALAEEAGTRAASASPQGPAAEGDGPADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLSHKSDCPCCGHYLTKAQLYPNFLLDKVLKKISARQIAKTASPIDQFRYALQQGNEMGVKELDSLMTLIAEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDIGAVERHRLELYRTKERYSMKLRMLLDDPAAQKMWPSPIDKASSLFLPNSRTPLSASCPGSLQNKKLDLKAQVSHHGFQRRDALTCSDPPNSPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRQEERDIVAMNREGYHAGLQDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSSVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVINIDMKANICSVKYNPGSSFYVAVGSADHHIHYFDLRNPSAPVHVFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAASHRFVSSDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >PAN08928 pep chromosome:PHallii_v3.1:1:58886433:58888400:-1 gene:PAHAL_1G445400 transcript:PAN08928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAMDHDGGGDVVTPGELLGNSLTLVAGRGAYAEGRSVRASFTGHRRIVPPAPGSDDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMANADIMCVDSKAIKEKFTGLIRQQDVRATEIDKVDMYQSYRPGDIVRAVVLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPISWTEMQCDLTGQIEQRKVAKVE >PAN08929 pep chromosome:PHallii_v3.1:1:58885568:58888400:-1 gene:PAHAL_1G445400 transcript:PAN08929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAMDHDGGGDVVTPGELLGNSLTLVAGRGAYAEGRSVRASFTGHRRIVPPAPGSDDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMANADIMCVDSKAIKEKFTGLIRQQDVRATEIDKVDMYQSYRPGDIVRAVVLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPISWTEMQCDLTGQIEQRKVAKVE >PVH67182 pep chromosome:PHallii_v3.1:1:58886495:58888339:-1 gene:PAHAL_1G445400 transcript:PVH67182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAMDHDGGGDVVTPGELLGNSLTLVAGRGAYAEGRSVRASFTGHRRIVPPAPGSDDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMANADIMCVDSKAIKEKFTGLIRQQDVRATEIDKVDMYQSYRPGDIVRAVVLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPISWTEMQCDLTGQIEQRKVAKVE >PAN07938 pep chromosome:PHallii_v3.1:1:54080012:54082893:1 gene:PAHAL_1G374700 transcript:PAN07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPVARLLLPLLLCAGALGFLSRCHGARDAEAPRYVTVSAASFKPGSTCRDPDPVAPRRNGTSAVLRLTHRHGPCAPARASSLAAPSVADTLRADQRRAEYILRRVSGAGAQQLVGSKAAATVPASWGYDIGTLNYVVTASLGTPGVAQTLEVDTGSDLSWVQCKPCAASSCYSQKDPLFDPARSSSYAAVPCGGSACAGLGLYASGCSAAQCGYVVSYGDGSNTRGVYGSDTLTLTATDAVQGFLFGCGHAQSGLLTGIDGLLGLGRLPVSLVGQTAGAYGGAFSYCLPTKPSTTGYLTLGGAASAAAPGFATTQLLTSPGAPTYYIVMLTGISVGGQALSVPASAFAGGTVVDTGTVVTRLPPAAYAALRSAFRSGMTAYPSAAPNGILDTCYDLSGYSTVTLPSVALVLSSGATLTLGADGILSFGCLAFAASGSDGGMAILGNVQQRSFEVRIDGDSVGFRPGAC >PAN04825 pep chromosome:PHallii_v3.1:1:6697547:6701200:-1 gene:PAHAL_1G094000 transcript:PAN04825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin [Source: Projected from Oryza sativa (Os02g0227200)] MAGRSFLNRSPKEESDAAVKEAVLLGAKNAAIAGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKTILRNARQNTIGKLDK >PAN06219 pep chromosome:PHallii_v3.1:1:44905133:44909710:1 gene:PAHAL_1G246800 transcript:PAN06219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTKRDRLLTLKLGVSEHNLPNPSKFGELTVQAEESAGSKAIMEMVFRCSDLEIKDLLTKSDPFLLISRISDSGMPVPICKTEVRKNDLNPRWKPVILNLQQIGSKENPLIIECFNFSSNGKHDLVGKIVKSVAELENMYHRQNGEHFFVPASNAHECHSKEVLKSQLYVEKYVESNRHTFLDYISAGCQLNFMVGVDFTASNGNPRLPDSLHYIDPSGRPNVYQKAILEIGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVDGIQGIMSAYISALRNVSLAGPTLFGQLIGTAMAIASQSLADNQQKYFILLIVTDGVVTDFQETIDAIIRASDFPMSIIVIGVGGADFKEMEFLDPNKGEKLESSTGRVASRDMIQFAPMKDVQGSGVSTVQSLLAEIPGQFMTYMRTREIQTVS >PAN06217 pep chromosome:PHallii_v3.1:1:44900906:44909709:1 gene:PAHAL_1G246800 transcript:PAN06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDEAGHGGAHPVGPAAAKAASAAADRFLRSRGAGASTQIELSLSASNLGDQEYFPKSNPMVVVYSKRKDGPLEEIGRSEVTLNSLNPSWMTKISVQYQFEVLQPLVFQVFDIDPQLHDVSEKMLKLEEQQFLGEATCLLSEVVTKRDRLLTLKLGVSEHNLPNPSKFGELTVQAEESAGSKAIMEMVFRCSDLEIKDLLTKSDPFLLISRISDSGMPVPICKTEVRKNDLNPRWKPVILNLQQIGSKENPLIIECFNFSSNGKHDLVGKIVKSVAELENMYHRQNGEHFFVPASNAHECHSKEVLKSQLYVEKYVESNRHTFLDYISAGCQLNFMVGVDFTASNGNPRLPDSLHYIDPSGRPNVYQKAILEIGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVDGIQGIMSAYISALRNVSLAGPTLFGQLIGTAMAIASQSLADNQQKYFILLIVTDGVVTDFQETIDAIIRASDFPMSIIVIGVGGADFKEMEFLDPNKGEKLESSTGRVASRDMIQFAPMKDVQGSGVSTVQSLLAEIPGQFMTYMRTREIQTVS >PAN08111 pep chromosome:PHallii_v3.1:1:55054260:55057578:-1 gene:PAHAL_1G388400 transcript:PAN08111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPLSHQALFAAVRSADAAAVRALLADAEASGTSLAALAAAQTDAGETALYVAAEAGSEELVRILLPLYDLEDASVRSRLDLDAFHVAAKQGHTGVVKEFLGRWPELCSVCDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKGNTALHIATRKWRPQMVQLLLSYESLEVNAINSQNETAMDLADKVPYGESKTEIIEWLTEAGAKNARNVGKVDEASELRRTVSDIKHNVQAQLSENAKTNKRVTGIRKELQKLHREAIQNTINSVTMVATLIASIAFVAIFNLPGQYFQDVKDGGDIGEAHIAKLNGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKQVIKIVNKLMWAACLSTAAAFISLAYVVVGPQHAWMAFTASAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSWSLHDGFSDLEAFSDHEKKIYAL >PAN08110 pep chromosome:PHallii_v3.1:1:55054252:55057578:-1 gene:PAHAL_1G388400 transcript:PAN08110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPLSHQALFAAVRSADAAAVRALLADAEASGTSLAALAAAQTDAGETALYVAAEAGSEELVRILLPLYDLEDASVRSRLDLDAFHVAAKQGHTGVVKEFLGRWPELCSVCDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKGNTALHIATRKWRPQMVQLLLSYESLEVNAINSQNETAMDLADKVPYGESKTEIIEWLTEAGAKNARNVGKVDEASELRRTVSDIKHNVQAQLSENAKTNKRVTGIRKELQKLHREAIQNTINSVTMVATLIASIAFVAIFNLPGQYFQDVKDGGDIDHLGCLGNWCPEASHQDCK >PVH65767 pep chromosome:PHallii_v3.1:1:5005959:5009490:1 gene:PAHAL_1G072900 transcript:PVH65767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSRARVSRDADADADADASEEGRAAAPAATGSKSLYEILGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDAEKRALYDETGITDDDALVGEAADNLQEYFRTMYKKVTEADIEEFEAKYRGSDSEKKDLKDLYTKYKGNMNRLFCSMICSEPKLDSHRFKDIIDEAIAEGELKSTKSYEKWAKKISEMEPPTNPLERRVKKKRKSEENDLILAISQRRAERKNQFNSILSSIMSKCDPKASSSEPTEEEFEQARQRLESKRAKKRK >PVH66295 pep chromosome:PHallii_v3.1:1:30897040:30898288:-1 gene:PAHAL_1G198600 transcript:PVH66295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKGHHHQTIQCLMMFLGLLQVKQGVNQYANMYKGEISETSGVEKIDKVKVKYSLRWKIAHTGLLVFMLQVSIISTMVSKNYWFSVRATPINSGRNM >PAN08908 pep chromosome:PHallii_v3.1:1:58857157:58859320:1 gene:PAHAL_1G444300 transcript:PAN08908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >PAN08907 pep chromosome:PHallii_v3.1:1:58856702:58859320:1 gene:PAHAL_1G444300 transcript:PAN08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >PAN03606 pep chromosome:PHallii_v3.1:1:950173:950931:-1 gene:PAHAL_1G009700 transcript:PAN03606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMTVPSAAMRRLRREGLEISRRRAALAPTGGVVAKKPSRRREESPPPYYVLALPAPPPRSNPAPKTTRPPPAPRRAGGGDGARLERAPPPLPAAVARSRSRHAAAPADRAEIVKSKCIGKGAQVRVRTRVATARTGQPIVLWLRAVVDGSAADEDGYLHVTYDYVDGKLPRTARVAPSDIRMHDVPHADARGAAAVSTGSSSAVITSDPTVLPPQQNKKTAPRPTVAGKKLPLLKKLEKEMKSRSKAIVG >PAN09107 pep chromosome:PHallii_v3.1:1:59679421:59681392:-1 gene:PAHAL_1G459000 transcript:PAN09107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALCRSPSLLCRRHLLIRLLSTHTHTQLQAPPPTPTTPADLSRLKSSIRDAATTPDALAALFLSALPHPAFLADRPLFALSVRRLASAGRRDLVASILSSSLTALPSPHPSEGFLIRLIALYSAAAMPDHSLTAFRLVKPPSDRALSALLSAYHDNRLYDRVVQAFNTLPAELGIKPGLVSHNVLLKTLVASGDLAAARVLFDEMPHTAGVQPDIVSCNEILKGYLNTGDDAAFDQLLKEIAGPERHFKPNVGTYNLRMTLLCARGRSFEAEELLDAMGARGVPPNRACFNTVIKGLCNEGEVGAAMALFRKMPEVPRQNGTGVSPNFETYIMLLEALVNKGVFDPAMEICKECLRNKWAPPFQAVKGLVQGLLKSRQAKRGKEVLMAMRKVVKGDAKAEWMKVEAQLSLLLADKKA >PAN09089 pep chromosome:PHallii_v3.1:1:59613118:59616422:1 gene:PAHAL_1G457400 transcript:PAN09089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITTTTSNDHDDEVQLQVLLASARPFLRGELEAADPALPGLAAVLRAAGAGECYHKHGTFLAHLLDVYRILRLWGVPDAVARCGLFHSSYSNSYVNLAIFQPDTGRAHVRAIVGAPAERLAHLFCVVPRHRIIHDDLLFHYTDQELRDHLKASSSSLDQLDPSEPEPEPEPEPAWRRKLRSLLPAEGVVARHIRTGEPVALSRRVLAAFLLMTVADFSDQYTDYQDDLFGNEDGRLEFGGDNWGALWPGTGKPGLWVSAMSRMTALYNLIARDDKLQLRRIGAGGAGKHDDDNEVVGELVIPPVFEGCTGVLDPEEQKAARDLYWEAITSSNKQGPAEGLLRESIRKNPFVGEPQLVLAQVLLNGGRYEEAAAAAARGVRLLLEWGSSWDKRMSWEGWVSWGRLMRDKARRREWPRTAWGIINLGLVEGVANANSS >PAN07063 pep chromosome:PHallii_v3.1:1:49795330:49797350:1 gene:PAHAL_1G308400 transcript:PAN07063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAALGRLLVSVLCLLAAAAEPAAAAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHRATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGVKLLVGANFASAGVGILNDTGIQFVNIIRIGDQLQYFQEYQRKLRALIGEEQAAQLVSQALVLITLGGNDFVNNYYLVPMSVRSRQYALPDYVRFLVSEYRNILSRLYELGARKVIVTGTGPIGCVPAELAMRSPNGECVAELMRAVTLFNPQLVDMVRGLNRAIGADVFVTANTMRMNFDYINNPQRFGFTNVQVACCGQGPYNGIGLCTPASNVCANRDVFAFWDAFHPTERASRIIVDQFMHGNTDYMHPMNLSTILAMDREGL >PAN05037 pep chromosome:PHallii_v3.1:1:8372814:8379243:-1 gene:PAHAL_1G110100 transcript:PAN05037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVMVERATSDMLIGPDWAMNLEICDILNRDPGQAKDVVKSLKKRIIHKKPKVQLLALTLLETMIKNCGDIVHMHVAERDILHEMVKIVKKRNDYHVKERILTQIDTWQEVFGGERARYPQFFAAYQELLRLGAVFPQRSNGSVPIITPPQTQPLQNYPPPLRISQQDEPELSIPDFPALSLTEIQNARGIMDILSEMLDALDPSNREGLRQDVIVDLVDQCRSYKQRAVELVNSTSNEELLSQGLSLNDDMQRILAKHDAIAAGIAIWVEKPESLQSQIESSATRKPDTGKEPVQRSSASTSATNQSPFEILALPAPPSSSSSKAAVAPAPKIDLLSGDDYIKPEPANSLALVPVTEYSASDQNVLAFADMFEQNTANKSNNNLPNSFNSSSSNSISSQTYPPPVRPALSQHPAAYPNEATSNAIAPYDQQPQLNSTSSSNGQPTYGANHQKQTLYYGTDNRNGDIPPAPWEIKRSTNPFDDDKPVGTALQPESVQPQPVQVSQQGNGFMPAQPMPRGQPGGMQLQPVAGAQLGPPQPQPMQLNMQYGVMYPSMQMNQGMVMYPQPAFGGGYFGMNQQQLYGVQISGNGYGQPSGGYYIPNAAYAYVSANELSHRMNSLSVQNGGSNGTTANKQSRPEDSLFGDLLSIAKMKQSKPAAGKVGG >PAN05033 pep chromosome:PHallii_v3.1:1:8371655:8379775:-1 gene:PAHAL_1G110100 transcript:PAN05033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVMVERATSDMLIGPDWAMNLEICDILNRDPGQAKDVVKSLKKRIIHKKPKVQLLALTLLETMIKNCGDIVHMHVAERDILHEMVKIVKKRNDYHVKERILTQIDTWQEVFGGERARYPQFFAAYQELLRLGAVFPQRSNGSVPIITPPQTQPLQNYPPPLRISQQDEPELSIPDFPALSLTEIQNARGIMDILSEMLDALDPSNREGLRQDVIVDLVDQCRSYKQRAVELVNSTSNEELLSQGLSLNDDMQRILAKHDAIAAGIAIWVEKPESLQSQIESSATRKPDTGKEPVQRSSASTSATNQSPFEILALPAPPSSSSSKAAVAPAPKIDLLSGDDYIKPEPANSLALVPVTEYSASDQNVLAFADMFEQNTANKSNNNLPNSFNSSSSNSISSQTYPPPVRPALSQHPAAYPNEATSNAIAPYDQQPQLNSTSSSNGQPTYGANHQKQTLYYGTDNRNGDIPPAPWEIKRSTNPFDDDKPVGTALQPESVQPQPVQVSQQGNGFMPAQPMPRGQPGGMQLQPVAGAQLGPPQPQPMQLNMQYGVMYPSMQMNQGMVMYPQPAFGGGYFGMNQQQLYGVQISGNGYGQPSGGYYIPNAAYAYVSANELSHRMNSLSVQNGGSNGTTANKQSRPEDSLFGDLLSIAKMKQSKPAAGKVGG >PAN04458 pep chromosome:PHallii_v3.1:1:4680314:4680948:1 gene:PAHAL_1G068600 transcript:PAN04458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHQFPSDFPRSDTPCILQGAQGGSGKQVTLLVKHIAE >PVH65746 pep chromosome:PHallii_v3.1:1:4683955:4689523:-1 gene:PAHAL_1G068800 transcript:PVH65746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEPAQAPADLSAAAQVSALPLQVDLLQLPPEVPAPGAPALRGVLDLLFAHWLSLPDTAAQVASLVQKAKASGGGAVGASMLPSMLQGGAAVPPLSPRSPRLSRRPSGLGAGQPNRSASPLRPAAARPAKEVIPQFYFQDGRPPTYEVKKQCIATVDQLFAGHSNGLRAQEFRMVTRELCKLPTFFTTVLFDKIDKESTGFVAREAFIDFWVNSNLMSMDSATQVFTILKQQNRNYLAKEDFKPVLKDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLNRIGSGHLSLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKAEGKMGYEDFVHFILSEEDKSTGPSQEYWFKCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENESYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDESLESPF >PVH65747 pep chromosome:PHallii_v3.1:1:4683963:4689523:-1 gene:PAHAL_1G068800 transcript:PVH65747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEPAQAPADLSAAAQVSALPLQVDLLQLPPEVPAPGAPALRGVLDLLFAHWLSLPDTAAQVASLVQKAKASGGGAVGASMLPSMLQGGAAVPPLSPRSPRLSRRPSGLGAGQPNRSASPLRPAAARPAKEVIPQFYFQDGRPPTYEVKKQCIATVDQLFAGHSNGLRAQEFRMVTRELCKLPTFFTTVLFDKIDKESTGFVAREAFIDFWVNSNLMSMDSATQVFTILKQQNRNYLAKEDFKPVLKDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLNRIGSGHLSLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKAEGKMGYEDFVHFILSEEDKSTGPSQEYWFKCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENESYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDESLESPF >PAN04460 pep chromosome:PHallii_v3.1:1:4684081:4690050:-1 gene:PAHAL_1G068800 transcript:PAN04460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEPAQAPADLSAAAQVSALPLQVDLLQLPPEVPAPGAPALRGVLDLLFAHWLSLPDTAAQVASLVQKAKASGGGAVGASMLPSMLQGGAAVPPLSPRSPRLSRRPSGLGAGQPNRSASPLRPAAARPAKEVIPQFYFQDGRPPTYEVKKQCIATVDQLFAGHSNGLRAQEFRMVTRELCKLPTFFTTVLFDKIDKESTGFVAREAFIDFWVNSNLMSMDSATQVFTILKQQNRNYLAKEDFKPVLKDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLNRIGSGHLSLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKAEGKMGYEDFVHFILSEEDKSTGPSQEYWFKCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENESYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDESLESPF >PAN04461 pep chromosome:PHallii_v3.1:1:4684068:4689548:-1 gene:PAHAL_1G068800 transcript:PAN04461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEPAQAPADLSAAAQVSALPLQVDLLQLPPEVPAPGAPALRGVLDLLFAHWLSLPDTAAQVASLVQKAKASGGGAVGASMLPSMLQGGAAVPPLSPRSPRLSRRPSGLGAGQPNRSASPLRPAAARPAKEVIPQFYFQDGRPPTYEVKKQCIATVDQLFAGHSNGLRAQEFRMVTRELCKLPTFFTTVLFDKIDKESTGFVAREAFIDFWVNSNLMSMDSATQVFTILKQQNRNYLAKEDFKPVLKDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLNRIGSGHLSLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKAEGKMGYEDFVHFILSEEDKSTGPSQEYWFKCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENESYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDESLESPF >PAN04652 pep chromosome:PHallii_v3.1:1:5636043:5639405:-1 gene:PAHAL_1G081300 transcript:PAN04652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKLTHKADEALSAAYEMASEANHQQFGPMHLAAALAADRSGILRQAIAHASGGNEDAADSFERVVTAAVEGLPSQPERTDTVPLSPELARVLRSAQSAQKARRDFYLSVDVLLVSLLEELQISDALTEAGVAASRVKAEVEKLRGGGRRVESAPGDANFQPLRAYGRDLVEVAGKLDPVIGRDDEIRRVAVILSRRTKNNPVLIGAPGVGKTAIVEGLAQRVLRGDVPGGLRGVRVVELDVGALVAGATYHGQFEERLKAVLREVEEADGKVILFVDEIHLVLGAGRAGGSMDAANLLKTMLARGQLRCIGATTLDEYRRYVEKDAAFERRFQQVFVAEPSVKDTVSILRGLKEKHEGHHGVMIQDRALVVAAELSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEINRLVRKRIELQVHLHALGKETDKQRKAQLVEVRKELDDLRAQLQPLQTKYRKEKERIDEMRKLKQRREEMLPNLEEAERRQRLDRAADIRYGALPEVEAAIAKLEAEAGDNMMLVEAVSPEQIAEVVNRWTGIPVTSLGQNEKGEADWFV >PVH65808 pep chromosome:PHallii_v3.1:1:5637211:5639338:-1 gene:PAHAL_1G081300 transcript:PVH65808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKLTHKADEALSAAYEMASEANHQQFGPMHLAAALAADRSGILRQAIAHASGGNEDAADSFERVVTAAVEGLPSQPERTDTVPLSPELARVLRSAQSAQKARRDFYLSVDVLLVSLLEELQISDALTEAGVAASRVKAEVEKLRGGGRRVESAPGDANFQPLRAYGRDLVEVAGKLDPVIGRDDEIRRVAVILSRRTKNNPVLIGAPGVGKTAIVEGLAQRVLRGDVPGGLRGVRVVELDVGALVAGATYHGQFEERLKAVLREVEEADGKVILFVDEIHLVLGAGRAGGSMDAANLLKTMLARGQLRCIGATTLDEYRRYVEKDAAFERRFQQVFVAEPSVKDTVSILRGLKEKHEGHHGVMIQDRALVVAAELSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEINRLVRKRIELQVHLHALGKETDKQRKAQLVEVRKELDDLRAQLQPLQTKYRKEKERIDEMRKLKQRREEMLPNLEEAERRQRLDRAADIRYGALPEVEAAIAKLEAEAGDNMMLVEAVSPEQIAEVVNRWTGIPVTSLGQNEKGEADWFV >PAN04649 pep chromosome:PHallii_v3.1:1:5636072:5639435:-1 gene:PAHAL_1G081300 transcript:PAN04649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKLTHKADEALSAAYEMASEANHQQFGPMHLAAALAADRSGILRQAIAHASGGNEDAADSFERVVTAAVEGLPSQPERTDTVPLSPELARVLRSAQSAQKARRDFYLSVDVLLVSLLEELQISDALTEAGVAASRVKAEVEKLRGGGRRVESAPGDANFQPLRAYGRDLVEVAGKLDPVIGRDDEIRRVAVILSRRTKNNPVLIGAPGVGKTAIVEGLAQRVLRGDVPGGLRGVRVVELDVGALVAGATYHGQFEERLKAVLREVEEADGKVILFVDEIHLVLGAGRAGGSMDAANLLKTMLARGQLRCIGATTLDEYRRYVEKDAAFERRFQQVFVAEPSVKDTVSILRGLKEKHEGHHGVMIQDRALVVAAELSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEINRLVRKRIELQVHLHALGKETDKQRKAQLVEVRKELDDLRAQLQPLQTKYRKEKERIDEMRKLKQRREEMLPNLEEAERRQRLDRAADIRYGALPEVEAAIAKLEAEAGDNMMLVEAVSPEQIAEVVNRWTGIPVTSLGQNEKGEADWFV >PAN04650 pep chromosome:PHallii_v3.1:1:5636226:5640113:-1 gene:PAHAL_1G081300 transcript:PAN04650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKLTHKADEALSAAYEMASEANHQQFGPMHLAAALAADRSGILRQAIAHASGGNEDAADSFERVVTAAVEGLPSQPERTDTVPLSPELARVLRSAQSAQKARRDFYLSVDVLLVSLLEELQISDALTEAGVAASRVKAEVEKLRGGGRRVESAPGDANFQPLRAYGRDLVEVAGKLDPVIGRDDEIRRVAVILSRRTKNNPVLIGAPGVGKTAIVEGLAQRVLRGDVPGGLRGVRVVELDVGALVAGATYHGQFEERLKAVLREVEEADGKVILFVDEIHLVLGAGRAGGSMDAANLLKTMLARGQLRCIGATTLDEYRRYVEKDAAFERRFQQVFVAEPSVKDTVSILRGLKEKHEGHHGVMIQDRALVVAAELSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEINRLVRKRIELQVHLHALGKETDKQRKAQLVEVRKELDDLRAQLQPLQTKYRKEKERIDEMRKLKQRREEMLPNLEEAERRQRLDRAADIRYGALPEVEAAIAKLEAEAGDNMMLVEAVSPEQIAEVVNRWTGIPVTSLGQNEKGEADWFV >PAN04998 pep chromosome:PHallii_v3.1:1:8083748:8097280:1 gene:PAHAL_1G108100 transcript:PAN04998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATQGGTSGARVGVGVANKQQQTSSSSTTRRWWWWPWPSRNSSCATRRSLPAVCVVAAAVAAAVFVLTATDGAWWGRSPDGMPASMFFDDPRDWDPRSNITANHLLDGLLTADFTYGSCRSRYQFAGYRKESSHKPSPYLIAKLRKQEALQKRCGPGTAAYKEAVRRLDSGEGVVDDGCRYLVYISYRGLGNRILAITSSFLYAVLTERVLLVDGGKDAADLFCEPFPGTTWLLPLPGWFSSSPLRRLQGYLGGSKESLGNMLQSDAVTVSAGGNVSWSAPRPPPYLYLHLSGGYGFHDKLFFCGAHQRLLGEVPWLFMWTDNYVVPGLFLTPPFTGELEAMFPEKDAVFYHLGRYLFHPTNAVWHAVTRYYRSNLASAAQRVGVQIRVFQKKQPPQVVLEQVLSCVRGEKLLPETTAANGTSSHAVLVTSLSSWYYERIRDEFGGRVAGGVHQPSHEGRQRWGDAAHDMRALSEIYLLSMCDVLVTSGYSTFGYVAQGLAGLRPWVMARAPMWADDWREGLDPQEPPCRRAASVEPCFHSPSAYDCAAGRDVDLDKVTPYIRRCVDVKCGIKLVNESSSQW >PVH66161 pep chromosome:PHallii_v3.1:1:22281153:22282580:1 gene:PAHAL_1G167900 transcript:PVH66161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYNRSTRRQLDFTLGINMAGHTSRQQSRRQEADSRDRPQKEERDYITEEQVRHVRNQRPVSSHLLRKYQYQYQQRLQHETEEEEYERRTGKRLRRREDTRDHWHCPFFMYCWDSGMKRLPTLEDCPKCNSQKKDTRSASVFQRLGPGQSRREQDKSTCTVGNPEDEEDKYHRPRWYPDGLNRLEEAEAQYLETLRKARPDLVEKVHNPQKVEGSSKKVWRPKKSKADVNTSADAHMVFVLPAEFHAPGREEVLVAQLDLGPRPVIFEKPREKNYRHLKALYLKGYINGQSVSRMLVDTGAAINIMPYSVLRKLGHSVGDLIKTNITLSDFNGQTSEAQGVLSVDLTVGGKTVPTSFFVVNSKGSYTILLGRDWIHANCCIPSTMHQCLIQWDGNEVVHADDSAEVSHAAMSVWDAEDQEPISGISLEGCDRVEATKNGVRLVLSTGLTE >PAN03799 pep chromosome:PHallii_v3.1:1:1613708:1616926:1 gene:PAHAL_1G022700 transcript:PAN03799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWELTAITAYFLGLKRTYRLALRIQRRLIPPNRPRIRNFVYRRTRDVFNVAVSVHKNIQQRDIEVGRNLGNAILRWLDRMKPSAEIRPRLPDPPNGSSEQFKHLSSTGRSTGSQKTASKTSPHDSSGKMLFSRLNIRPKSFPVLPTMAQPNRISASSQCRRISYSPFPSVTAKRKGLMEGVFRKDIAQLMV >PAN03838 pep chromosome:PHallii_v3.1:1:1726467:1729274:1 gene:PAHAL_1G024100 transcript:PAN03838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLISPPRLRAAANAGGTATPPRPAVILPGLGNNTGDYARLAAALRDDYGLPAAVVARVTRLDWLRNAAGLLDANYWRGTLRPRPVLDWYLKRVDEAVSEARELCAPGGKVSLIGHSAGGWLARVYMEEFDASDISLLLTLGTPHLPPLKGVPGVIDQTRGLLNYVEKNCAPAVYTPGLRYVCIAGRYIQGAPLLGNSAVASDEVLAVDSPEGGEAVIISTNDKSTPSSVTWRARFVGQGYKQVCGRADVWGDGVVPEMAAHLEGALNISFDGVYHSPVGADDEQRPWYGSPAILKQWVHHLLS >PAN04579 pep chromosome:PHallii_v3.1:1:5249494:5255191:1 gene:PAHAL_1G076800 transcript:PAN04579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADCEDAVKQLSSLMEQVEAPLKRSFQNVHQGYPKETLMRFLKAREWNISKTHKMIVDSLNWRIQNEIDSVLERPIVPVDLYRSIRDSQLVGMSGYTKEGLPVFAIGVGHSTYDKASVHYYVQSHIQINEYRDRIILPRLSQQFGRPVTSCIKVLDMTGLKLSALSQIKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLSGCGRDELLKIMDYSSLPHFCRREGSGSSKHSAPDIDNCFSLDHPFHKELYGYIKEQASHRELIKMGSLHVSIPEPDLNDAKIVEVIQAEFQKIGEQDGSTNGHKD >PAN07935 pep chromosome:PHallii_v3.1:1:54060826:54062051:1 gene:PAHAL_1G374100 transcript:PAN07935 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG5 [Source:Projected from Arabidopsis thaliana (AT1G12060) UniProtKB/TrEMBL;Acc:A0A178WFD4] MGSYHYASTSQFVFAAVDSNPKPAHKPKATVQIPIASPSEEGPPPDAAAAAAKIQAAFRGHQVRRHVAGVRAADAEATRLERLLRRQETVDAVRGDERERARFSEALMAALLRLDAVPGRYPAVRDARRAVSRRVVGLQEVFDAVVAAPEAQTCGVPASLEQVLEGIWGAGEPPVAVAAEEEVRRSGTSWGRFLGGV >PAN05169 pep chromosome:PHallii_v3.1:1:9372888:9387577:1 gene:PAHAL_1G119400 transcript:PAN05169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSYASRSSGELANAASGGGRPVRVIPLRHPPGFGSVSSSSSSPWWRAAVGRARGMGPVEWAEAALPCVAWMQKYRWKEDLRADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVNSSSELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYNVTRSSKIIPLIESIIAGADQFSWPPFVMGSAFLAVLLIMKNLGKSNKRLHFLRASGPLTAVVLGTIFVKIFHPPAISVVGEIPQGLPKFSIPRGFEHVLSLTPTAVLITGVAILESVGIAKALAAKNGYELDSNKELFGLGIANICGSFFSAYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGSALLFMTPLFSDIPQCALAAIVISAVTGLVDYEEAIFLWGIDKKDFFLWAITFITTLVFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGLDVGRVYFVILEMSPVTYIDSSAVQALKDLHQEYRARHIQIAIANPNQQVHLLLSRSGILDLIGAAWCFVRVHDAVQVCLQHVQNASSSALKLASQASGDSADSISTSKEGQQRIKQDGFFKWKAKNGNADSGAEVQPLLRQNLV >PVH65961 pep chromosome:PHallii_v3.1:1:8706730:8711156:1 gene:PAHAL_1G112700 transcript:PVH65961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCVILFPIAVTFYVTWWFFRFVDGFFSPIYAHLGINIFGLGFVTSIAFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQVCLVSLLDSVDRPLCHIIMIIFHVFYLCNRIQGFLIFLVMLLAYCLKMMLLKNMMQPISSYTNSCCPAEVFLL >PAN05082 pep chromosome:PHallii_v3.1:1:8706191:8711158:1 gene:PAHAL_1G112700 transcript:PAN05082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGEAKPSVLIPMPSRDRDRDRDLLVPPAAVATHASPSTRAGAGSDDDDDESKPSSASAAAAAAQSGREAFHKVVHSWASKKFMTGCVILFPIAVTFYVTWWFFRFVDGFFSPIYAHLGINIFGLGFVTSIAFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEHMCCVYVPTNHLYIGDIFLVSSNDVIRPNISVREGIEIVVSGGMSMPQVLSILESEPNQLSRIRSNRS >PAN05081 pep chromosome:PHallii_v3.1:1:8706197:8711156:1 gene:PAHAL_1G112700 transcript:PAN05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGEAKPSVLIPMPSRDRDRDRDLLVPPAAVATHASPSTRAGAGSDDDDDESKPSSASAAAAAAQSGREAFHKVVHSWASKKFMTGCVILFPIAVTFYVTWWFFRFVDGFFSPIYAHLGINIFGLGFVTSIAFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQVCLVSLLDSVDRPLCHIIMIIFHVFYLCNRIQGFLIFLVMLLAYCLKMMLLKNMMQPISSYTNSCCPAEVFLL >PVH65960 pep chromosome:PHallii_v3.1:1:8706197:8711156:1 gene:PAHAL_1G112700 transcript:PVH65960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCVILFPIAVTFYVTWWFFRFVDGFFSPIYAHLGINIFGLGFVTSIAFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEHMCCVYVPTNHLYIGDIFLVSSNDVIRPNISVREGIEIVVSGGMSMPQVLSILESEPNQLSRIRSNRS >PAN05432 pep chromosome:PHallii_v3.1:1:28963367:28966896:1 gene:PAHAL_1G193400 transcript:PAN05432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGVNEVSMEGKGGVGGAVRDGEMGAATEAASVAAVSLEALRKRMADFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVGQCKGSSKKHTHYGSTNSVSANDNVNTMNKEHNNGV >PAN06852 pep chromosome:PHallii_v3.1:1:48761257:48764719:-1 gene:PAHAL_1G292900 transcript:PAN06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPMDAAASGTSPVMQCHSIPDESTSHSSPLPTVLERSQRHCYGDGTPGEFPLAVSPSIVLHVLSACDLDPKDLAALEATCTLFSKPANFAPYFALSLPELASFDMCQKRTMFKLMTQEEKECLKQQCGGSWKHVLRYILVREKNVSRVIAGPGHSIVVTTSGDVYSFGANCSGQLGLGDSEDRFKPCLIRSLQGIRITQAAVGSRQTMLVSDTGRVYAFGKDSFRGAESVEAAHTNQITTPKVVESLKGVFVVQAVIGGYFSAVLSREGRVYTFSWGKSERLGHNSEPSDVEPRLLSELEDVPVAYISAGNCYLLMLAYQPNGMSVYSVGCGLGGKLGHGCKNNKGMPKLIEQFRSLSFNPVSIAAGTWHAAALGADGRVCTWGWGHTGCLGHGDEEYKAVPTVVEGLRNVKAVHLSTGEYTTFVVADNGDVYSCGSGESLNLAFQGDDEAEEEEGPDFSTPSIVESLKALNKKVAQVCPTNASYWLNSEMGHPHTFAVMESGELCAFGGGTRGQLGVKLLEGVEKVSVPMHVPIELS >PAN08622 pep chromosome:PHallii_v3.1:1:57596843:57598126:1 gene:PAHAL_1G424800 transcript:PAN08622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRFPFVAAATAAALLLVVTLLLAGATAADEAAARGRRSPASSFLDPHNAARRAVGLRPLRWDEGLAAYARRYAAARSSDCALVHSHGPYGENLFRGSGGAGWTPADVVSAWVRERALYDPRANACRGAPGACGHYTQIVWRSTTAVGCALVTCGGGRATFGVCSYNPPGNYVGMRPY >PAN04311 pep chromosome:PHallii_v3.1:1:3820769:3822170:1 gene:PAHAL_1G056500 transcript:PAN04311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPRGAAAPRIAVRGRAVAWWRARVVAPARRAWLAVVAGRRDTRRAESGGIVDLHRDVQTCGYHDVQVMWDMLGLETAAGAPTAPERRKRAPPFWTPSFWHFLPSRRAAAAAAR >PAN05495 pep chromosome:PHallii_v3.1:1:42968111:42970936:1 gene:PAHAL_1G233600 transcript:PAN05495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRINDRVPKHKGEFTQFCFDFDKLDLDNECSPAPMRCTDRDDLVPSMSMVDKYDNDDEDCADLEPFFFDEPEAVADHERRMRREQEEARRKEQREHDLKVHNAAMDRILEYEPKLGCTTFTRISFCNLSKFNLDEESPLGPMRYTNADALAVKIASSDDGTAKQFIPGTSANVITVKIASSDVGFPIDVYGTVIARDSLDLKCVYLFKCDRDHCQHITSKDNLLILTGPKRGIALIDGIYFEVNLKIKGGPGRQKDKQLSKGLLTLDGRPAVLEDRVVGRGSFDTRLTKVVITYAVVKRAIEATIAIEVLLQGRFSGLITACTTSMKNSIVLHDSKVTKVMASDSKGAIELLQRVVSVSLKEKLVVTIATRTGLKSTIKFTPRVNGGDENEVTCGSIKMRVKVTWSIISYEYL >PAN05494 pep chromosome:PHallii_v3.1:1:42968111:42970936:1 gene:PAHAL_1G233600 transcript:PAN05494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCTDRDDLVPSMSMVDKYDNDDEDCADLEPFFFDEPEAVADHERRMRREQEEARRKEQREHDLKVHNAAMDRILEYEPKLGCTTFTRISFCNLSKFNLDEESPLGPMRYTNADALAVKIASSDDGTAKQFIPGTSANVITVKIASSDVGFPIDVYGTVIARDSLDLKCVYLFKCDRDHCQHITSKDNLLILTGPKRGIALIDGIYFEVNLKIKGGPGRQKDKQLSKGLLTLDGRPAVLEDRVVGRGSFDTRLTKVVITYAVVKRAIEATIAIEVLLQGRFSGLITACTTSMKNSIVLHDSKVTKVMASDSKGAIELLQRVVSVSLKEKLVVTIATRTGLKSTIKFTPRVNGGDENEVTCGSIKMRVKVTWSIISYEYL >PVH66394 pep chromosome:PHallii_v3.1:1:42968111:42970936:1 gene:PAHAL_1G233600 transcript:PVH66394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRINDRVPKHKGSPAPMRCTDRDDLVPSMSMVDKYDNDDEDCADLEPFFFDEPEAVADHERRMRREQEEARRKEQREHDLKVHNAAMDRILEYEPKLGCTTFTRISFCNLSKFNLDEESPLGPMRYTNADALAVKIASSDDGTAKQFIPGTSANVITVKIASSDVGFPIDVYGTVIARDSLDLKCVYLFKCDRDHCQHITSKDNLLILTGPKRGIALIDGIYFEVNLKIKGGPGRQKDKQLSKGLLTLDGRPAVLEDRVVGRGSFDTRLTKVVITYAVVKRAIEATIAIEVLLQGRFSGLITACTTSMKNSIVLHDSKVTKVMASDSKGAIELLQRVVSVSLKEKLVVTIATRTGLKSTIKFTPRVNGGDENEVTCGSIKMRVKVTWSIISYEYL >PVH66005 pep chromosome:PHallii_v3.1:1:9926301:9927250:-1 gene:PAHAL_1G124400 transcript:PVH66005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVAAMLVPFFLALSLALVASASAAASAAAAAGVPPASAPWVPIADTGNFFYRQVGNFSLLIRALVFREYLDLVEVVSGSVQAAGAGNNYSLLLRAADRNGTVGRYQTVVWGVPGSRDWTWKVISFQRITGN >PVH66156 pep chromosome:PHallii_v3.1:1:21412023:21413669:-1 gene:PAHAL_1G165400 transcript:PVH66156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMIKQDSSSSNEEDDHHKSSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEEFDPNKFTNLINEYTSVIKREKGKVKILESTHAKLELPHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSIEKFAHEKVNASTSCDDLLIDAYATNVVPKLVSSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTLSPEIKISFIKEVGSYCQHCQVTEHHTRECTLPSRPLPNLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN04225 pep chromosome:PHallii_v3.1:1:3402949:3404502:1 gene:PAHAL_1G049200 transcript:PAN04225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRGAGAAAPAAACRDCVGGMEVVAARAFAGGAELVENACAGRVRFMVAAGGGLGPRIWISPSPSPGGERRRRAGPTSRIREPSTAIPAGGHGSQGPPPPWSRRSSGGPMPFPGGERWGVRRPTPRWGRVAAYHPPMGFASGARCTASPAASDGQGGDPPPRIPSRRRAAAVGPSHCPPSSQGPSLGRGTSCLHSGERRTHRRPWKP >PAN05328 pep chromosome:PHallii_v3.1:1:11172827:11173211:1 gene:PAHAL_1G131600 transcript:PAN05328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSSSAGESHKNGMPDLSLIECPECHCRMLMGMMVRTKKNFGHFFVCPSRQRDGSGCQLWRWDNEYENYLMTKGHVPATYQPIFASNLALVQDERNEVA >PVH66454 pep chromosome:PHallii_v3.1:1:45557200:45561168:-1 gene:PAHAL_1G251100 transcript:PVH66454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKLGEGSAVALRASPSAAAMARGLRGGSNPLEEWSARVKAIEARFRGWMAKQPIHIEAAVSTAVGAVQGGALGGLMGSLTADGGSPFPMPQPPPNANPEAMASLKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGQEDIQGRLGRNSPSHRLKIHTTLGQEACCTNWALKSTRRISRKDSSLIKHYLSSLTVL >PVH66455 pep chromosome:PHallii_v3.1:1:45557201:45561167:-1 gene:PAHAL_1G251100 transcript:PVH66455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKLGEGSAVALRASPSAAAMARGLRGGSNPLEEWSARVKAIEARFRGWMAKQPIHIEAAVSTAVGAVQGGALGGLMGSLTADGGSPFPMPQPPPNANPEAMASLKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGQEDIQGSMVAAFGSGALFSIVSGMGTPNPVANAITTGAAFAVFQGGFFMIGQKFSKPPTEDTYYSRTRSMLHQLGLEKYEKNFKKGLLTDQTLPLLTDSALRDVKIPPGPRLLILDQIKRDPELVRAE >PVH65597 pep chromosome:PHallii_v3.1:1:2348011:2348733:1 gene:PAHAL_1G033800 transcript:PVH65597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNVPLNGVQARPNSNSSQLGGERTLRKEIKGPGRGGHGPNSGTSRSPPREPQSKPYCPCRESATPTQTRRGGERGGEAERVEPRPASVGTPYKPPPPKPSLPLAPIPAPARAPPPNRIRDADLGFAAAAVPAAPSAWRRPSSSSRSPPPRRSSGNAEICPRALCFVWSDGARSACGRCSLLPVSVGLCRMQ >PAN05805 pep chromosome:PHallii_v3.1:1:21094915:21100222:-1 gene:PAHAL_1G163200 transcript:PAN05805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCKHEIVIQTDPKNTEYVIIKGAEKKTEDFDVEDAETLLLPADEDRDKLADPMYRLEHQEEDLQKKKEAEPVLVRLQRLSDSRHSDDYVLNKALRDRLRSQKKRVAEEKSSARKMGLGVRLLPPSAEDAATAASVKFASKFEKSRKDKRAAIKAASIFPESSSSASKGKLDLALKRRNIKASAVTALMAGRVKPSSWRSAGSASSRTQMPVLAVRK >PAN04381 pep chromosome:PHallii_v3.1:1:4333357:4334256:-1 gene:PAHAL_1G063000 transcript:PAN04381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVAKERGRTWLNLNGGGGGNGHRGHSGGGASAAPVELNLLAGMVSTAGARPNTVRAAAEMPVVVLPDVARSVAVAVAEPDEPPQLFACHYCRRQFYSSQALGGHQNAHKRERTLARHRADADQLVLAHHAHAPFAVHGAFMRRQAAAAPALEWMRPPSGRPPPAAVAAGDRRLTGGYVDSPGGGQEELPKLDLTLKL >PAN07545 pep chromosome:PHallii_v3.1:1:52029060:52031376:1 gene:PAHAL_1G344300 transcript:PAN07545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAREAQGGLEWRVTVPEGASVAVEHEAGAAARAWAWALARVAAAWSAVAGFAGKVWRIGADDPRRAVHGLKVGLALALVSVFYYTRPLYDGVGGAAMWAIMTVVVVFEYTVGGSVYKCFNRAVATASAGVLALGVHWVAGKTGEFEPYILTGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELAALAQQRLSTIAIGIFMCLAVAVFVCPVWAGQELHLLTTRNMDKLADALEGCVEDYFAGGPAQAKSGGYRCVLNSKASEDAQANLARWEPPHGQFGFRHPYAQYGKVGASMRACAYCVEALGSCAGAEAQAPEHAKRLLRGACARVAARCARVLREASRSVATMTASRALDFAAADMDTALHELQGDMRSLPSTLAAELAAETSLMDTMPVFTVASLLVEISARVEGVVDAVDELATLASFKQVDGDDDDDGKKGEAEMTMKVHPLNEPDTDVEAPSPENQAAKA >PAN03909 pep chromosome:PHallii_v3.1:1:1987515:1994752:1 gene:PAHAL_1G028500 transcript:PAN03909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKRAQTDLAVPEAVPASDASRDDDAAAAEAPAKKKKLAMERKKQRKELDKERHRQSAESDAAKPQPPAAEAAAPVNPPSAPAAAGPGLHMNVFRDLASPEASVREAAAEALVAELREVQKAHEKGARKGDKEAADRDGSSQMEAEKDDGLENCAPSVRYAIRRLIRGISSSREYARQGFALGLAVVLESIRSIRIEALMKLIPNLLEYSSSMKGPEAKDNLLGRLFGFGAIARSGRVSRQWTRDKSSPIVKDFVSEVVELSSKKRYLTEPAVAVILDLVRKLPDEAILSEVLEAPGVQDWFNRAADIGDPDALFLALKLQERTSVQKEIFGKLLPCPFSPDNFFAEHHLKSIAACFKESAFCLPRIHSLWLVITEMLVREAASQNDINTSCGKKHKKNKKASSSEDTKKNLRNFCEVVIEGSLLLSSHDRKHLAFNILLSILPKLSPSAIQVVLSSKVVHGLMDILSNESSWLYNAGKHFLNELASVVSHDNDRRAAVIINLQKYSGGRFDSMTKTKTVKQLIGEFQSVEDCLCLVQNLMALFVDEEAVSDEPSDQSQTTDENSEIGPTEEQDPFGHGNVDVLKSWVVNTISCVLKNLKLTSKGNSDSEMVKCIEEKFQVQTEILKFLAVQGLFSASLGTEVTSFELQEKFKWPKNPISTSLRNECIEQLQFLLEDAQKDEALHVASEVKSNDLGYYFMRFINTVCNIPSVSLFRTLSGNDDNAFKKLLAIESLLFQEERKAGPGLDSTKMHVMRYLLIQLLLQVLLHPDEYWEAAVDVTICCKKSFPAIAQGDSSSGQESGERGPQESDEDEPEESDEDGSGDSNGEVSLEFMDVLVQTFLSILPHASGPVCFTIEQVFRVFCDDITETGLLDMLRVVKIDLKGRQTDSDDEDDGRVDIEDDETVMEDAEVGEIDDVADDLDEDTEDDSNDEGDADQDDLKDGDKAEATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLDIYLQRNPGKTLVLEVYSFLMQAFVKSHGADGGEQFKQRIAGILQRRIFKGREYPEGNGIEFSKLEKLLEKALRLASRSRYSTVASVAQNAAFWILKIINSMNCSEEELASVVDKFRSILNDYDRKKSRLKLGFVKEVVRRNPWLGQELFGFVVQKVEGTGADYRRNQMLELVDCILKSWVGDASEVWTNHLAQLCELIQEVLSKVPENKSRRKEVRNFCTRILQTVLKLNLKEQFQNALSSETYSLCQAQLGTAFAPFRKDSN >PAN05974 pep chromosome:PHallii_v3.1:1:26792050:26793584:1 gene:PAHAL_1G184500 transcript:PAN05974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQLNRHRHRHRHRHRHLATSADQDVQSNMEDNAVATPEDNPILDETRKERTPEPSTDAKISLDIPSSSAIMPLELPSPAFNPEEQDTPSSILFSTDTEEVIGTEEISSEQHQSIPNSVKFKLQGMLQLLEQDLDSLVQDAGPIRSILAEVKDLLTPNLALVLALAAYIKGFEPLILEAKRSIAHHATHQSQKEKDRAHAKEIKQKISDIEPSSAMISVELDRLKIKEAEQLRELQKTQDAIASETSRLERVPILVSQAKDEYSAFTR >PAN05973 pep chromosome:PHallii_v3.1:1:26792050:26793584:1 gene:PAHAL_1G184500 transcript:PAN05973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNAVATPEDNPILDETRKERTPEPSTDAKISLDIPSSSAIMPLELPSPAFNPEVDLRWKQEQDTPSSILFSTDTEEVIGTEEISSEQHQSIPNSVKFKLQGMLQLLEQDLDSLVQDAGPIRSILAEVKDLLTPNLALVLALAAYIKGFEPLILEAKRSIAHHATHQSQKEKDRAHAKEIKQKISDIEPSSAMISVELDRLKIKEAEQLRELQKTQDAIASETSRLERVPILVSQAKDEYSAFTR >PAN05976 pep chromosome:PHallii_v3.1:1:26792050:26793584:1 gene:PAHAL_1G184500 transcript:PAN05976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNAVATPEDNPILDETRKERTPEPSTDAKISLDIPSSSAIMPLELPSPAFNPEEQDTPSSILFSTDTEEVIGTEEISSEQHQSIPNSVKFKLQGMLQLLEQDLDSLVQDAGPIRSILAEVKDLLTPNLALVLALAAYIKGFEPLILEAKRSIAHHATHQSQKEKDRAHAKEIKQKISDIEPSSAMISVELDRLKIKEAEQLRELQKTQDAIASETSRLERVPILVSQAKDEYSAFTR >PAN05975 pep chromosome:PHallii_v3.1:1:26792050:26793584:1 gene:PAHAL_1G184500 transcript:PAN05975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQLNRHRHRHRHRHRHLATSADQDVQSNMEDNAVATPEDNPILDETRKERTPEPSTDAKISLDIPSSSAIMPLELPSPAFNPEVDLRWKQEQDTPSSILFSTDTEEVIGTEEISSEQHQSIPNSVKFKLQGMLQLLEQDLDSLVQDAGPIRSILAEVKDLLTPNLALVLALAAYIKGFEPLILEAKRSIAHHATHQSQKEKDRAHAKEIKQKISDIEPSSAMISVELDRLKIKEAEQLRELQKTQDAIASETSRLERVPILVSQAKDEYSAFTR >PAN09051 pep chromosome:PHallii_v3.1:1:59464353:59466425:-1 gene:PAHAL_1G455100 transcript:PAN09051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSAGLLTPRPSAFRPPSSCPTTRSSVGSTSSSSANAAASKLPAPAANPREFASNAKVVAKCLAYDDDDITLAAAAAAQEDDLGPLLDLPDPDVSVDTSAAPDDALTASSDSCVTEVPALADSIIDSEAPLPVEFSVVLAELHGASGLSPRSKRLLAALTEAAAFELAPSATARRLRRAAFWGKVRVAVLAGTLAAVVAVDMALAAYLYARRANDRYHVLPPT >PVH66621 pep chromosome:PHallii_v3.1:1:49136843:49138492:1 gene:PAHAL_1G299900 transcript:PVH66621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKKSARRAGAGEEFSAPSPKRAKVTRNAVKTSVDPALGTLRKRMAAELDALHALLRKAELLSSGKNGRYMANAEPRSEAPVEASIKTPPAKRTKVYDLAKVAKIASPEDDNELIDICGGVSPVAILKASPIVPLEKEVETGNSEIMDPPEDDSEIIDICGGVSPVAILKSSPVVSVEKAGETGNSESKDIPEDDNEFVDICGGVSPVAPVEKACESGNSTSSSSDSGSSSSSDSDSDSDSESDRDETVDLPVPQAVLPEEDGTSAQPAPEPASEAAQSTEPEKLPMATLIAKANARRKLLEMERARCPTRASTHET >PAN07240 pep chromosome:PHallii_v3.1:1:50637453:50639235:-1 gene:PAHAL_1G321300 transcript:PAN07240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSMTEGHVVGIPVSSRAYGIEEPEFPEEQTPDHGEFPSSFQSSYDANSSTTADRPTSKHGRKGDKIAQGIKEHVMLGPKLSDTVKGKLTLGAKILQAGGVEKMFRQWFSVDKNEKLLRASQCYLSTTAGPIAGLLFVSTARVAFRSDRTLAVSTPRGDKGRVPYKVTIPLRKVKAVRPSENKHRPEQKYVQLVTNDAFEFWFLGFVSYNRSLQHLEQAVAYSQQQVQ >PAN07386 pep chromosome:PHallii_v3.1:1:51138731:51143421:1 gene:PAHAL_1G329500 transcript:PAN07386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGSGSGVRRIKDEPFDDFGCDWVPSPAGKSALVAVAPPGTSCAKRRRKREHPSTSGAKRDARGDNHALDRSSSASGAGRRAAGRPDEARSSKKRNNVKSRETGSGSAGGRSNSTKKAPVPSEESRGAPGKAKRGGSTRSGGRSSSALPASWSGTTVGSRIRSRSRQQGRVQHATYPARVSSEETEEDDDEEEVQKQEQIRGEDVEAMKVDDDEDSGAANEVAQESEQEEDVEGRSSQDGHGDSEDGYKEGKDSAAVAENEEEVAEKELLEDEGENQEYNHSIYDGQEEDEDDESDDEQELDEADEMQPFTPSNTMVGGSVRSGGNGPRVFRRRIFEGLHLLQNPDKTVGKGIEGRTRSKRKCKDKKLLRRGTFSKPYNIDLPDSTSDSEEDIVQQEPQPVLMSSSDEGTRIFGKRKRRRARNKGRHKRLSTSSDECMEYRAYAKDARRPFRRLKKGVSNLQVGKDGSNPGRAKYNGPNGRNPMGMANTQDDISFKRKAHMIRMKKRSRAARAAYDELLNSLFAEWENHIDVPDHAETGNSLPLVFSFGDEDEPFEKTENDKYQEDLWREYDIAFESMNIGSHACEEDRKEVPPVEQISCKNGKHEFIIDEQIGVWCKHCHVVDLEIRHVLPAMGKFSAERDSAIEPELDSICKEMLNLFEENDVLVSNGHEVPCNFGGHKAGSVWDLIPGVKEDMFPHQQDAFEFMWTKLAGGTTIEQLKHTASTDGGGCVISHAPGTGKTRLAITFVQSYLEVFPHCSPVIIAPRGMLATWEKEFRKWKVKLPFHVLNSTEINWSEDKTIQEQAAKNGTFHQRLLTEKMDQNYRRLVKLGSWMNGTSIIGVSYSLFRKLVNDEGMDGDKVTKLLLEKPDLLVLDEGHTPRNKKSLIWKAFSEVRTEKRIILSGTPFQNNFEELYNTLCLVRPKDAILLEKDEGKDFWTSLRLSDITKANIDQVRKKLDPVVHIHSGKFLQKSLPGLRESVVILNPLPYQKEVIASMEKTMAIGLEAEYKISLASIHPSLIARAKLSEKEESMVDKSKLESLRSCPSEGVKTRFVSEIVNLCEALNERVLVFSQYLDPLSLIMEQLKARFNWAEGKEILLMSGNVLVKNRQTMMEAFNNMKSKAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLIAEGTTEKSKYDRQAKKEHMSKLLFSKETEHGNLPPEITFNDRILEEMTAREDLKKLFVKIYVDNQTDGSPGEMEKNITCLDAS >PVH66229 pep chromosome:PHallii_v3.1:1:26028811:26032407:1 gene:PAHAL_1G181600 transcript:PVH66229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATLSDSLPPSRAPPPPFLPLALRRRAPPPSSLLTTPLSSFLATPQSPGGGAGGGGRQWRGHRRLAGRRISAGGVDGAPTLGCGVVGGAAPARSSGFRGAPGAAMGPAAGGGMAVRLGSAGVGCGWPAGGVGGATPEVVEKGGGGGSAAAGGGGGQRRVPLARRASGRTLGDPVVLLVGISLPAICGVGDDLATDVRGVQPAGDGVARCTNPSAVGSSST >PVH66227 pep chromosome:PHallii_v3.1:1:26028151:26030721:1 gene:PAHAL_1G181600 transcript:PVH66227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATLSDSLPPSRAPPPPFLPLALRRRAPPPSSLLTTPLSSFLATPQSPGGGAGGGGRQWRGHRRLAGRRISAGGVDGAPTLGCGVVGGAAPARSSGFRGAPGAAMGPAAGGGMAVRLGSAGVGCGWPAGGVGGATPEVVEKGGGGGSAAAGGGGGQRRVPLARRASGRTLGDPVVLLVGISLPAICGVGDDLATDVRGVQPAGDGVARCTNPSAVGSSST >PVH66228 pep chromosome:PHallii_v3.1:1:26028811:26032407:1 gene:PAHAL_1G181600 transcript:PVH66228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATLSDSLPPSRAPPPPFLPLALRRRAPPPSSLLTTPLSSFLATPQSPGGGAGGGGRQWRGHRRLAGRRISAGGVDGAPTLGCGVVGGAAPARSSGFRGAPGAAMGPAAGGGMAVRLGSAGVGCGWPAGGVGGATPEVVEKGGGGGSAAAGGGGGQRRVPLARRASGRTLGDPVVLLVGISLPAICGVGDDLATDVRGVQPAGDGVARCTNPSAVGSSST >PVH66226 pep chromosome:PHallii_v3.1:1:26028811:26032407:1 gene:PAHAL_1G181600 transcript:PVH66226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATLSDSLPPSRAPPPPFLPLALRRRAPPPSSLLTTPLSSFLATPQSPGGGAGGGGRQWRGHRRLAGRRISAGGVDGAPTLGCGVVGGAAPARSSGFRGAPGAAMGPAAGGGMAVRLGSAGVGCGWPAGGVGGATPEVVEKGGGGGSAAAGGGGGQRRVPLARRASGRTLGDPVVLLVGISLPAICGVGDDLATDVRGVQPAGDGVARCTNPSAVGSSST >PAN04671 pep chromosome:PHallii_v3.1:1:5757528:5762636:-1 gene:PAHAL_1G082800 transcript:PAN04671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVASSAFFPAPAPAPKNGTGGSSKDSLDMRGVAGNAGVVRSSARLRATVPKVSGGGGKAAVTDGEDEAARPSAPRTFYNQLPDWSVLLAAITTIFLAAERQWTLVDWKPKRPDMLIDTFGFSGQVFRQDFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRNLFWVVSQMQVLVEQYPCCKWVMMHKHTRKLARFPDEVRTEIAPYFFERTAIADEDRRKLPKLPGNGGAMASKYVRTGLTPRWADLDLNQHVNNVRYIGWILESAPISIFENHELASIVLDYKRECGRDSVLQSHTTVFTDCIDGSGETTLQCEHLLCLESGHTIVKARTMWRPKKTNAMGLLTPVSASEF >PAN04670 pep chromosome:PHallii_v3.1:1:5758410:5761915:-1 gene:PAHAL_1G082800 transcript:PAN04670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVASSAFFPAPAPAPKNGTGGSSKDSLDMRGVAGNAGVVRSSARLRATVPKVSGGGGKAAVTDGEDEAARPSAPRTFYNQLPDWSVLLAAITTIFLAAERQWTLVDWKPKRPDMLIDTFGFSGQVFRQDFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRNLFWVVSQMQVLVEQYPCWGDTVGIDTWVSGHGKNGMRRDWHLHDCNTGQTILRATSKWVMMHKHTRKLARFPDEVRTEIAPYFFERTAIADEDRRKLPKLPGNGGAMASKYVRTGLTPRWADLDLNQHVNNVRYIGWILESAPISIFENHELASIVLDYKRECGRDSVLQSHTTVFTDCIDGSGETTLQCEHLLCLESGHTIVKARTMWRPKKTNAMGLLTPVSASEF >PVH66789 pep chromosome:PHallii_v3.1:1:52312416:52313279:1 gene:PAHAL_1G348000 transcript:PVH66789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLISPSPDNERRGRNGRGGQRERERAHGFAATAASDGTAGRAGSRRSRRPPLRRRGPSRAAPPSSAPAPPAPARSGTVRTPPRCGWPCTGSPAPRSARRRCRRRTSRGTRPRGPWAGWCPRTTGSS >PVH65474 pep chromosome:PHallii_v3.1:1:277501:277959:1 gene:PAHAL_1G001600 transcript:PVH65474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTRRGKCEDPCSFFTTPGRRCSAPQRLRRPDPCSLIIVPGSRYDAPLRG >PVH66031 pep chromosome:PHallii_v3.1:1:10747839:10749010:-1 gene:PAHAL_1G129400 transcript:PVH66031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLWSSHCASSACRLILWQEYPCMPNSFFSTRVRISYTTMLKVLGYLELAIHQLQIGGTVIESRWWPDLSAGKSTRNSTRNVAVRAASQRGSGSPGAGGGKPRKRAPLWPRRPRLRRRASRR >PVH67222 pep chromosome:PHallii_v3.1:1:59596051:59600266:-1 gene:PAHAL_1G457000 transcript:PVH67222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLAERLAALRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQALEEYLPVLLGLVKEGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRMSPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLIDSWGEKHRLFVNWKYIEAKAAAYYYHGLILDEGNTEKSRRMAVAALQSAEELLKESKDVAEAFHAAPPVSRSPPVCGSMKYLHDKIQKDSSCKVRINKDLYSNDSIHEAVPALPDFAVALKPEEYRLPAVTVDAANG >PAN09084 pep chromosome:PHallii_v3.1:1:59596230:59599350:-1 gene:PAHAL_1G457000 transcript:PAN09084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLAERLAALRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQALEEYLPVLLGLVKEGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRMSPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLIDSWGEKHRLFVNWKYIEAKAAAYYYHGLILDEGNTEKSRRMAVAALQSAEELLKESKDVAEAFHAAPPVSRSPPVCGSMKYLHDKIQKDSSCKVRINKDLYSNDSIHEAVPALPDFAVALKPEEYRLPAVTVDAANG >PVH67220 pep chromosome:PHallii_v3.1:1:59596052:59601393:-1 gene:PAHAL_1G457000 transcript:PVH67220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLAERLAALRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQALEEYLPVLLGLVKEGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRMSPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLIDSWGEKHRLFVNWKYIEAKAAAYYYHGLILDEGNTEKSRRMAVAALQSAEELLKESKDVAEAFHAAPPVSRSPPVCGSMKYLHDKIQKDSSCKVRINKDLYSNDSIHEAVPALPDFAVALKPEEYRLPAVTVDAANG >PVH67221 pep chromosome:PHallii_v3.1:1:59596051:59600792:-1 gene:PAHAL_1G457000 transcript:PVH67221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLAERLAALRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQALEEYLPVLLGLVKEGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRMSPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLIDSWGEKHRLFVNWKYIEAKAAAYYYHGLILDEGNTEKSRRMAVAALQSAEELLKESKDVAEAFHAAPPVSRSPPVCGSMKYLHDKIQKDSSCKVRINKDLYSNDSIHEAVPALPDFAVALKPEEYRLPAVTVDAANG >PVH66109 pep chromosome:PHallii_v3.1:1:17117183:17117638:-1 gene:PAHAL_1G151900 transcript:PVH66109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTQSLILLTIIALLVSAHAGARAQQFQPGHCTRSNLSQSGMHCTPNDACPFICDKLKGRDGKCEDGACMCTFCSPPSPPSVRSAARG >PVH65690 pep chromosome:PHallii_v3.1:1:3599455:3604397:1 gene:PAHAL_1G053000 transcript:PVH65690 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MLCHRSRLPPSAMAATAPAAATLLPGPVAGSPRHGSSTSAPSASRRRRLLAVRCQSTSVDNEQQQQQPPKPKQRNLLDNASNLLTNFLSGGSVGTMPVAEGAVTDLFGKPLFFSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRIFTKCSERTISKLEELTEREGQVMVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPIIKAVYGTLFEAEHRSTFYIPYWNLPFTKWLVPRQRKFHSDLKVINDCLDNLIKNAKETRQEADVEKLQQRDYSSQKDVSLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQSPTKMRKAQAEVDSVLSNGAITVESLKKLEYIKLIILEALRLYPQPPLLIRRSLRPDKLPGGYNGAKDGYEIPTGTDIFVSVYNLHRSPYFWDRPNEFEPERFSVPKKDESIEGWSGFDPSRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALSLLLQKFNVELRGSPDEVEMVTGATIHTKSGLWCRLGRRT >PAN03845 pep chromosome:PHallii_v3.1:1:1752995:1758054:1 gene:PAHAL_1G024600 transcript:PAN03845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFTTGGGGSSSARSYEPMATADTTDLRYWLQWRVGLCALWVLGCMSVAAYLIWRHEGTGADRRPGGASPSSSAAGGEAGGGKGRRPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLVVIVISDGGNIFYYYTQWTFILVTIYFGLGTALSIYGCSKFADENVAAVTADMELGTAYIARGAAAKPSFEEHDGAREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPCLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLSFPWFRIAYFFLYTALYVIFQWIVHAATPIWWPYPFLDLSSNLVPLWYLAVAVMQLPCYVVFRLVIKLKHHLLAKWFPGSFVRGC >PAN03844 pep chromosome:PHallii_v3.1:1:1744352:1757989:1 gene:PAHAL_1G024600 transcript:PAN03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEILPAGGGGSSSARSYEPMATADTTDLRYWLQWRVGLCALWVLGCMSVAAYLIWRHEGTGADRRPGGASPSSSAAGGEAGGGKGRRPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLVVIVISDGGNIFYYYTQWTFILVTIYFGLGTALSIYGCSKFADENVAAVTADMELGTAYIARGAAAKPSFEEHDGAREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPCLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLSFPWFRIAYFFLYTALYVIFQWIVHAATPIWWPYPFLDLSSNLVPLWYLAVAVMQLPCYVVFRLVIKLKHHLLAKWFPGSFVRGC >PAN07248 pep chromosome:PHallii_v3.1:1:50659450:50660139:-1 gene:PAHAL_1G321800 transcript:PAN07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEGLMQNLKNHGAMGTVAGDGASRRRRRWSVLSSSILDLLDVLHELVAVAIYQP >PVH66335 pep chromosome:PHallii_v3.1:1:35354549:35368332:1 gene:PAHAL_1G211100 transcript:PVH66335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYIPVQGTEEEVQVALDQLPHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAPQKEAHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDSFKIVLDEDGNNFPALLGQASVYFLMGEAEQQHKKSLEHYRNALDLYKRALRAYSNCPAAVRLGIAFCRYKLGQVEKARQAFQRVLQLDPQNVDALVALAIMDLQTNEAGGIRRGMEKMKRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAYYNLARSYHSKGDIETAGRYYMASVKEISKPQDFVLPYIGLGQIQLKFGDFKSSLTSFEKVLEVHPENCESLKAIAHIHAKSGETEKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTARNLLKKAGEDVPVELLNGIGLLHFEKGEFEMAEQSFKEALGDGLWVLIIDGKVGSPMANGSVQYKDQSFFHQLEEEGVPLELHPNKVTTLFNYARLLEEIHDSVRASLFYRFIIFKYPDYIDAYLRLAAIAKQRNNVQLSIELIGDALKIDEKNPNALSRLGSLELQSDETWLTAKEHFRNAKEATKGDAYSLLQLGNWNYYAANRPEKKAPKFEATHREKAMELYQSQVLKQHHSNMFAANGIGILYAEKAKWDVAKELFTQVHEAASGSIFVHMPDVWINLAHVYFAQGHFQQAVKMYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTVEEVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALAEEARRRAEEQRKFQLERRREEDELKQIKQQEEHFERVKEQWKTSSHIPGKRKDRSKNEDEEGGSEKRRRKGNKRRKDQKTKTQYGEDEEDEYRDEPEAEDDYANLSRDDGDNSERAPDHLLAAAGLEDSDAEDDMGHPQSAIERKRRAWSESEDDEPVQRPAAQPSPGANDLSE >PVH66334 pep chromosome:PHallii_v3.1:1:35354549:35368299:1 gene:PAHAL_1G211100 transcript:PVH66334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYIPVQGTEEEVQVALDQLPHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAPQKEAHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDSFKIVLDEDGNNFPALLGQASVYFLMGEAEQQHKKSLEHYRNALDLYKRALRAYSNCPAAVRLGIAFCRYKLGQVEKARQAFQRVLQLDPQNVDALVALAIMDLQTNEETALSSSNHGLLKSHAYYNLARSYHSKGDIETAGRYYMASVKEISKPQDFVLPYIGLGQIQLKFGDFKSSLTSFEKVLEVHPENCESLKAIAHIHAKSGETEKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTARNLLKKAGEDVPVELLNGIGLLHFEKGEFEMAEQSFKEALGDGLWVLIIDGKVGSPMANGSVQYKDQSFFHQLEEEGVPLELHPNKVTTLFNYARLLEEIHDSVRASLFYRFIIFKYPDYIDAYLRLAAIAKQRNNVQLSIELIGDALKIDEKNPNALSRLGSLELQSDETWLTAKEHFRNAKEATKGDAYSLLQLGNWNYYAANRPEKKAPKFEATHREKAMELYQSQVLKQHHSNMFAANGIGILYAEKAKWDVAKELFTQVHEAASGSIFVHMPDVWINLAHVYFAQGHFQQAVKMYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTVEEVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALAEEARRRAEEQRKFQLERRREEDELKQIKQQEEHFERVKEQWKTSSHIPGKRKDRSKNEDEEGGSEKRRRKGNKRRKDQKTKTQYGEDEEDEYRDEPEAEDDYANLSRDDGDNSERAPDHLLAAAGLEDSDAEDDMGHPQSAIERKRRAWSESEDDEPVQRPAAQPSPGANDLSE >PVH66333 pep chromosome:PHallii_v3.1:1:35354549:35368299:1 gene:PAHAL_1G211100 transcript:PVH66333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYIPVQGTEEEVQVALDQLPHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAPQKEAHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDSFKIVLDEDGNNFPALLGQASVYFLMGEAEQQHKKSLEHYRNALDLYKRALRAYSNCPAAVRLGIAFCRYKLGQVEKARQAFQRVLQLDPQNVDALVALAIMDLQTNEAGGIRRGMEKMKRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAYYNLARSYHSKGDIETAGRYYMASVKEISKPQDFVLPYIGLGQIQLKFGDFKSSLTSFEKVLEVHPENCESLKAIAHIHAKSGETEKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTARNLLKKAGEDVPVELLNGIGLLHFEKGEFEMAEQSFKEALGDGLWVLIIDGKVGSPMANGSVQYKDQSFFHQLEEEGVPLELHPNKVTTLFNYARLLEEIHDSVRASLFYRFIIFKYPDYIDAYLRLAAIAKQRNNVQLSIELIGDALKIDEKNPNALSRLGSLELQSDETWLTAKEHFRNAKEATKGDAYSLLQLGNWNYYAANRPEKKAPKFEATHREKAMELYQSQVLKQHHSNMFAANGIGILYAEKAKWDVAKELFTQVHEAASGSIFVHMPDVWINLAHVYFAQGHFQQAVKMYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTVEEVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALAEEARRRAEEQRKFQLERRREEDELKQIKQQEEHFERVKEQWKTSSHIPGKRKDRSKNEDEEGGSEKRRRKGNKRRKDQKTKTQYGEDEEDEYRDEPEAEDDYANLSRDDGDNSERAPDHLLAAAGLEDSDAEDDMVRGHPQSAIERKRRAWSESEDDEPVQRPAAQPSPGANDLSE >PAN06174 pep chromosome:PHallii_v3.1:1:44462236:44468602:1 gene:PAHAL_1G243700 transcript:PAN06174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPSAAAERLAAQWVADALAADETIDFSVIKALVGDSSEFLVGAPDAARERVALRCLQELAAFAVSDEDGVAAAAAPSGILRADASRSCEDLLIELTGQVGSSISFEKDRILPFRQDIQDFICIKKPTLPGTSLELLREVDPEIQSVVAPSPVDQNGIKKHDNNQSLCNVNHLHSNVEKPRPPTVSTELPPENLTHVVKETEIGNFQQCPIKPTVDFDQPCASDLRFYNQPQEDAINAASVGASTTEKNLSNVDSDLSVAAVPASASCNATSQGNIVEPLSKKDVVDKTAVVQPQPCKGKSSNPNDDGTCDQSLKDPSHENQTFQATMAPAFVRTNDVLPTDTSEASHMVNQNLDGSASIPPVEKDPVHLRAASGIPSVPCNGAMQGDKSGTNHPSTEHSTMFEEQIGTKSQLEVSCADKNKHTLYDDGTMLEKNTVCGGLNVQTAPESHSCNVTLHDKISEANSLSEQNIETNTTEVQKRSCSISVPNSSHDGDRERAKQDSKKQTVGKTVAETSHVHNADDSFSGFAAARLLSMTGKMPLCSQVQEANDCLGVSQEQDLCIKCGKDGQLLQCSSCLLSAHDSCFGSSVTFEDSGQFYCPVCICTKATEAYQKAKKTYIEARKNLVAFLGTEQLLKHHDEQQTNGEDQLNVQDQLNVRNSSKRQKGCSQTKDDDLAHQGEESDRQRKKQKISATSDACNGVVIEKASSVRNADVAPMNKHSVLQNNSNQPHNSEKDHHVENTEAREDAGNGHSSHERRNSSQKRCTPAANPEVEAYKEDVPTNSHQSDDSDEIEASSSNDSGKRSAPPWRTMKHHKAKPQEREATAASNSRKAFGQQDQHMPSPSGKRKYAYPPKRYSNPVAPTGRRSKLCWTEEEEAALRDAMEKFTPRDNGAIPWVQILEHGRNVFHKTRLPCDLRVKWRNMKKKAVS >PAN04728 pep chromosome:PHallii_v3.1:1:6052787:6053810:-1 gene:PAHAL_1G087500 transcript:PAN04728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLIAGLFLALALLVANTPQPSGATRAEPTPSPAPSSSLSEPPATPYCISWFMVLTPCMDFLTDASVAEPSSGCCKGLEWVVDAAPMCLCYARNGEIDNLMPANTDFSRVADLPSTCGVTLPVEALSECETEPVPPLIPPSPPAA >PVH67156 pep chromosome:PHallii_v3.1:1:58484541:58485550:-1 gene:PAHAL_1G439100 transcript:PVH67156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTARIARPAACVPAPPSHEKAKACTFLRHHIHLDLKMEYLEMKDPLVLWLKLQEPFGKQKTVLLPQARHDWAQLRFVDFKTVELRLCGQVVTELEMIEKSLETFHPTNMVLQQQYRNNKYIKYYDLINVGKFKKHMNGGQKSNGNGKGKSLQGSKGNANGAKHSNEDCFRCGSKKHWSCTSTAEPHLIGLYREWKKRQNPEAHFVQAAIDANTGLHLLEPSVPPKQIDTAAMDVDPSAVSDATAGEEDTQMGNDDYDLEDEDLLDL >PVH66697 pep chromosome:PHallii_v3.1:1:50506916:50508466:-1 gene:PAHAL_1G318100 transcript:PVH66697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFQLLAVLPHVRLTDLALAVFWIIVINRVSFLLVADQSQFGDNYIVILLLILRVTRYAYSPCNMLRASSCQFLVKIIALPDMGT >PVH66847 pep chromosome:PHallii_v3.1:1:53610169:53611234:1 gene:PAHAL_1G367300 transcript:PVH66847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAPPTCITTSNTKQSGSLVNSCSVVAPLFHRRILLALDARMAATGSTPTMPATVTPLPGYGYQGSAAGAEPLRSSSGSIGTFFGVFAAVLLLTLLSCVFGRVCAAQAEGPDERYDCTRLARRWCGWPAHRRAVVKREAKPPPPVVEAPAALPPPEEP >PVH67073 pep chromosome:PHallii_v3.1:1:57412630:57414444:-1 gene:PAHAL_1G422500 transcript:PVH67073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFNKLWPALMESKLAIALLAGLFVGAVVLFSVPPQFSVHGGNGSPAEKMNGHAQPPLGEEIAPVSVLPPPLKPICDLSDRRYGCEMWGEARPASGANRSSVYFIPPPSQLATTAVATWSIRSQSRKIVGVREVIVRSLNLSSLREAPNCTVRRSLPAVVFALGGLTFNYCDVLVPLFTTARAFCGEVELVATGAQGWFLNKYGRVLGALSRYAVVDLDADGEVRCYPHLIVGLRGHRYFDIDSARAPNGYDMLAFRIFVRAAYSLPPPTAALPCRSGGTKPRFMIILRGATRRFVNADAVVSAIERAGFQVVRMEPTSTAGMDAVAREVDACDVLVGAHGAGLTNMVFLRTGAVVVQVIPWGKMKPYGEGFFGAPAAHMGIRHVAYSVAAEESTLYDKYGKAHPVITDPDVFYRNGSNAKLYWQEQNIRLNTTRFAPTLETVKRMLRL >PVH65562 pep chromosome:PHallii_v3.1:1:1842355:1845898:-1 gene:PAHAL_1G025800 transcript:PVH65562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMFAKHVGPVRGLSFGYRSYTLLASGGSHGRMLVWDLNDLSKDEIPTFEFTGADRVDTSSLSWSRSDIIASASNTGTSIWDINVKSPLVRNLPWTRDSSAVEWSPSNENQMVAAGYYFDLPSVQLWDMRKAGRPAKQFCEDAKGVLALSWCPLGGEDVLLACTGSNKIVLLNVKKGEVVHEKAAPGACLDIRWSRKSEDHFALSTREDVHLYLRAPEI >PAN05292 pep chromosome:PHallii_v3.1:1:10726508:10728284:-1 gene:PAHAL_1G129300 transcript:PAN05292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWKSKVLPKIKVVFAKSGGKKAAAAAELVKSFDESKEGINGEFEEKKADLQPKVVEIYESVPAPLKVLIKERSKVSGIKKNSAAITKFFEDLAKIEFPGAKQVSEGISKVGPALLSGPIFATFEKVSSLLPAAVEEAPKEAPAVAAAEDAAEKKDAAAAAEEKKEEAPAGEKKEEVPAAVEEKKEEAAAPAAAAETAPPAADAPAADPAAEAALAEAAAEAAAPAEPAAKAEEETPKA >PAN05847 pep chromosome:PHallii_v3.1:1:11447400:11451859:1 gene:PAHAL_1G132700 transcript:PAN05847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRRGGAVGGGGEIDKSSALGEVKRQLRLAAPLAAALLLQKVIQTISILFVGRLGELPLASASLATSFANVTGFSLLSGMASSLDTLCGQAFGAGQRHLLGVYKQRAMLVLAVASAPVALVWAYTGEILVWFRQDREIAAGAGSYIRCMIPALFLFGQLQCHVRFLQPQNVVVPVMASSAATAGAHVAVCWLLVRRLGMGANGAALANAVSNLVNLTVLALYVRVSPACKDSWTGFSREAFRGIPAFLKLAVASAAMVCMEWWSFEILVLLTGLLPNPKLETAVMSICFNTYVFAFMLPQGLGAAASIRVSNEIGAGRPQAARLATRVVVLLAISLGVCEGLVMVLARNLLGYAYSNEEEVALYTARLTPILAVCTLFDSLQCALSGVVRGCGRQRIGAFINLSAFYIVGIPAASIFAFVFHLRGTGLWFGILCGVAVQMLLLLCITLCTNWNKEALKANDRVFSSSLPVGNTLTSGGSEHPNGCSFVGKDAQGTNEGTKGSTTPSTG >PAN05846 pep chromosome:PHallii_v3.1:1:11447400:11451859:1 gene:PAHAL_1G132700 transcript:PAN05846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLDTLCGQAFGAGQRHLLGVYKQRAMLVLAVASAPVALVWAYTGEILVWFRQDREIAAGAGSYIRCMIPALFLFGQLQCHVRFLQPQNVVVPVMASSAATAGAHVAVCWLLVRRLGMGANGAALANAVSNLVNLTVLALYVRVSPACKDSWTGFSREAFRGIPAFLKLAVASAAMVCMEWWSFEILVLLTGLLPNPKLETAVMSICFNTYVFAFMLPQGLGAAASIRVSNEIGAGRPQAARLATRVVVLLAISLGVCEGLVMVLARNLLGYAYSNEEEVALYTARLTPILAVCTLFDSLQCALSGVVRGCGRQRIGAFINLSAFYIVGIPAASIFAFVFHLRGTGLWFGILCGVAVQMLLLLCITLCTNWNKEALKANDRVFSSSLPVGNTLTSGGSEHPNGCSFVGKDAQGTNEGTKGSTTPSTG >PVH66422 pep chromosome:PHallii_v3.1:1:44180550:44181952:-1 gene:PAHAL_1G240600 transcript:PVH66422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETITQNRIHPGQKQTNTKVAGWISREIISDDLPASLYSLQATKPQNICLIATAGSPRLWPPSGVAAALARSPGGSSAHRNNPTQRGTESLQLDDLIGIYLFTGCWSSHRPSSHATSSYFFYFFVLLSVQLTSS >PVH65698 pep chromosome:PHallii_v3.1:1:3812212:3813138:1 gene:PAHAL_1G056400 transcript:PVH65698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLYFLVFYLLCLVDSFVNRKCLTDPRTDHFDWICAQNEPLGDRCLPPGSSKILTTHLRKANHKAKGQKSKTGKRRKEQQPAGSPPPAPALSARMRTHAARRGAGRRPGMKHVARTSVKLLDRPRRRGPPEKKRAPQTESSIRRSSEAHACCWTLTLCSIC >PVH66122 pep chromosome:PHallii_v3.1:1:17701632:17702563:1 gene:PAHAL_1G153800 transcript:PVH66122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHTHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYEEEGVPRCRVKMTVPPHPTLSLWQPIEVNVIGHRLADTFEAAAIEAIHIFCDQHPEEVAGYPIGLFPAMDSHDPEWTFRLTYCDHLLGTLAGETLRTSVRFMNAQYRYQTFQQHGIYRLTNIAQRYGNQVGRQNIQIEELQATVTAREEEQIVESDTLITQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPVQPVVDEFESEEEEEDPEEVEGVSEIDSEHGDPMLSPHHSSSSSQSSVGNLDDF >PVH66510 pep chromosome:PHallii_v3.1:1:46985144:46991987:-1 gene:PAHAL_1G266700 transcript:PVH66510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYEHKCTMEEKDLVSRSAPREHHKFFDVPVTNDREVHLKNLEASTSGASLHGRSFHKDTRRARTRSLSPSPRKNAFASSYASPGDLGFSPRSSVKRMDDLKSLSQPLPRPPAPITCCPIPSSLTASTQSQSEWKKGKLLGSGTFGQVYLGFNSESGKFCATKEVQVILDDSKSKERLRQLNQEVDILRQLSHQNIVQYYGSELTDEALSIYLEYVSGGSIHKLLTDYGPFKEPVIRNYTRQILSGLAYLHGRKTVHRDIKGANILVGPNGEVKLADFGMAKHITSCTEIHSLRGSAYWMAPEVIMNKNGYSLEVDIWSLGCTVIEMGTGRHPWHPHGDVPAMFKIVNTKDIPEIPESFSKEGKDFLSLCLKRDPAQRPSATQLLGHPFVVQDHQAIRATKCNISQLRNRLSLPAEACHKKYIIEPSSKRSIAPLRGIGGLRGRGFTGFSSAYPSPNKTSSSRIDVRANMSLPVSPCSSPLRQVKQSNWSCVASPSHPAFSSGSAAYNPVSYMQNQMRGSDPVPDPWHGIDQRPQSPYGSPKRF >PAN04019 pep chromosome:PHallii_v3.1:1:2441490:2444968:1 gene:PAHAL_1G035600 transcript:PAN04019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGPWGPPPPAARLSPPPLPVLVLLLLSLLLAGRPASCSCRSEEVAGVAAAAAARMGLDGDGPPDAAGHISNSENGRFIYGVASSPGKRASMEDFYEARIDDVDGEKVGMFGVYDGHGGVRAAEYVKQHLFSNLIKHPKFITDTKAAIAETYNHTDSEFLKADSSQTRDAGSTASTAIIVGDRLLVANVGDSRAVICKGGQAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIQDPQEAANKLLQEASKRGSSDNITVVIVRFLEGATSGDKSGEEKEKEKEAANDQTS >PAN04021 pep chromosome:PHallii_v3.1:1:2442821:2444968:1 gene:PAHAL_1G035600 transcript:PAN04021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEILCTGFWVDRIFLCSNSENGRFIYGVASSPGKRASMEDFYEARIDDVDGEKVGMFGVYDGHGGVRAAEYVKQHLFSNLIKHPKFITDTKAAIAETYNHTDSEFLKADSSQTRDAGSTASTAIIVGDRLLVANVGDSRAVICKGGQAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIQDPQEAANKLLQEASKRGSSDNITVVIVRFLEGATSGDKSGEEKEKEKEAANDQTS >PAN05586 pep chromosome:PHallii_v3.1:1:20071394:20073612:-1 gene:PAHAL_1G160800 transcript:PAN05586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFLVLVAEASVVAVLLFKTPLRKLAVLGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISCRATDPDSGGGLTPTDQVLFSRHLLEASLMGYSLFLVLVIDRLHQYIRDLRAFKKNLEAVSKHNKMLEEAKHGISEETKKYQEDIATLNKEMKKLKLQVQEKTEEFHVAEDKALAIQKQSEGLLIEYDRLLEDNQHLRIQLQSIDLKLSSSS >PAN04798 pep chromosome:PHallii_v3.1:1:6531731:6537800:1 gene:PAHAL_1G092100 transcript:PAN04798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDHYSSKRKYEDPSPPPRRTGFSSGPPPASPPAGAPSYNSVPPPPDEIQLAKQRAQEIAARIFNAAEAKRPRVDNGDDDVGGYGGGGSLGSSGGGGGGGGGRVGGGGLGYSSSAGGGHGSSIPPLSSQSGASQYSSYGGYQGTSKKIEIPNGRVGVIIGKAGETIRYLQLQSGAKIQVTRDNEAEPGAQTRPVELSGTPEQISKAEQLIKEVLAEADAGSSGTGSGGRKYNAPQPGADTFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVIPLHLPAGDTSTERTVHIDGTQEQIEIAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGQPGAPPQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPTSGGYQTGWDQSQNQQSHTTPPGTGYDYYNQQQQPQQQSAPGTATSTDATSYNYGQPPAYTSQGYDSTYSQQSGGQQVYDYSGYQTQGQQQGYSQQTGYDQQGYGASAYGSAANSTQDGSAPSYGGTGGASQASPGQQTSTPTAGSHPGYASQPPTSAASSYPAQASAPPSGYGAPPPQSGYGTQPPQQGGYGQGAYGQPSPQGQKPPSSSPYGQAPPPGSAQGGYGQYGYSQPGYGAPPPYPGAPPASHPGYGQQQSYGDAYGSGSYGQPPAYSTEATTAASQDPSAAPGSTGAATTSAPAPANSGGAQTSAES >PAN05678 pep chromosome:PHallii_v3.1:1:21115746:21116606:-1 gene:PAHAL_1G163300 transcript:PAN05678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSNEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGTLFRNTQAPPENPESSAAAAKENAAAQPSTDGNPEDGDQGSLTLSAPEEGLPRE >PVH66265 pep chromosome:PHallii_v3.1:1:27793135:27793831:-1 gene:PAHAL_1G189000 transcript:PVH66265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAYGNHSRTMNNLLVEGESYDFFRVGFAPTLNDPLAHIFHLCSHYFVLLSPHTVICEPLKTVWTVVFPRTFRHFEDLLRQLENTFTNIIGLVVYVDDIWDRNNFRRRPNRHVVLMNESCVCCLGFAGRLLIVHIQDPHLVRHIWQWRPAASGFRTMAAFHVRKNAVTCTPITSVHSNLIST >PVH65524 pep chromosome:PHallii_v3.1:1:1261390:1264371:-1 gene:PAHAL_1G015500 transcript:PVH65524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSSSACVNPSLAVAPRPPVAGADGPPARRIVVAHRLPLRASPDQNSPFGFAFSLDPDALSLQLSRGLPAPVTYIGTLPASAESKIVPSDELDCYLLENFSCLPVHLDGDRHAEFYDGFCKHYLWPLLHYMLPFTPADVVGRLRFDAASYRAFIAANRQFADRVIQVISPDAGDLVIVHDYHLWALPTFLRRKCPRAGVGFFLHSPFPSAEIFRSVPVRNELLRGLLNADLVGFHTFDYAHQFVSCCSRLLGISNTSLHGHMGINYHGRTVPVKIFSVGVDMGQLRAALASPEAAAKAKEIAEAYRGRVIMVGVDDVDLFKGVGLKFLALEKMLDAHKDMIRQVVLVQINNPARSRGRDVDAVRVETQRIEQRINRRFGVDGAGGSARIVTIDGLVPMSEKVAYYAAADCCVVSAVRDGLNRIPYFYTVCREEAPGARKSGAVVLSEFAGCSASLGGAIRVNPWNPEALADAMRAAVTMGAEEKQARHRTNYSYLSAHDAAAWARSFDEALQVACRDHPAMGFVGLGLGMSYRAVAVRPSFQMLTAEHVRPAYRRAARRLILLGYDGTMVPELLDEGKRAPSGGVLCLLNELCADPKNVVFLVSGRRKDQLAAWFAPCEKLGICAEHGYFTRWSRDDPWEVSDKHQEVASGTGWEAVAERATRQYSEATHGSYMEMKETAMAWHYQNADPVLGPCQAKELHDHLVGVLANEPASMAIGSQTVEVNPQQGVSRGVAVEGLLGAMARRGNAPDMVLCAGDGEAMFAALAKAARAAGGGCAGALLPAGASVFACTVGRKASKAAFYVDEPADVVGLLAALVAR >PVH65525 pep chromosome:PHallii_v3.1:1:1261111:1264371:-1 gene:PAHAL_1G015500 transcript:PVH65525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSSSACVNPSLAVAPRPPVAGADGPPARRIVVAHRLPLRASPDQNSPFGFAFSLDPDALSLQLSRGLPAPVTYIGTLPASAESKIVPSDELDCYLLENFSCLPVHLDGDRHAEFYDGFCKHYLWPLLHYMLPFTPADVVGRLRFDAASYRAFIAANRQFADRVIQVISPDAGDLVIVHDYHLWALPTFLRRKCPRAGVGFFLHSPFPSAEIFRSVPVRNELLRGLLNADLVGFHTFDYAHQFVSCCSRLLGISNTSLHGHMGINYHGRTVPVKIFSVGVDMGQLRAALASPEAAAKAKEIAEAYRGRVIMVGVDDVDLFKGVGLKFLALEKMLDAHKDMIRQVVLVQINNPARSRGRDVDAVRVETQRIEQRINRRFGVDGAGGSARIVTIDGLVPMSEKVAYYAAADCCVVSAVRDGLNRIPYFYTVCREEAPGARKSGAVVLSEFAGCSASLGGAIRVNPWNPEALADAMRAAVTMGAEEKQARHRTNYSYLSAHDAAAWARSFDEALQVACRDHPAMGFVGLGLGMSYRAVAVRPSFQMLTAEHVRPAYRRAARRLILLGYDGTMVPELLDEGKRAPSGGVLCLLNELCADPKNVVFLVSGRRKDQLAAWFAPCEKLGICAEHGYFTRWSRDDPWEVSDKHQEVASGTGWEAVAERATRQYSEATHGSYMEMKETAMAWHYQNADPVLGPCQAKELHDHLVGVLANEPASMAIGSQTVEVNPQGVSRGVAVEGLLGAMARRGNAPDMVLCAGDGEAMFAALAKAARAAGGGCAGALLPAGASVFACTVGRKASKAAFYVDEPADVVGLLAALVAR >PVH66741 pep chromosome:PHallii_v3.1:1:51417970:51424855:-1 gene:PAHAL_1G334600 transcript:PVH66741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPGGRCHGDLGLGKRQLLKGWPDLWLAAGDAPGLRARPMAAATPPPEPDQTLALPDALLLRVLACLPEPHLTGAASLVCRRWTRLAGRLRRRLAVRDWGFVAHRLPYRFPDLADLDLFPASIAAPTTTAPHAAPAAAPLLTCGAVSLTLDTSADPPLGACRFLDDDALDRGLAAVAASFPNLRRLSATAAAESGGLMAIAGGCPTLQELELHRCTDLALRPVSAFAHLQILRIVAASPALYSTGEAGGVTDIGLTILAHGCKRLVKLELLGCEGSYDGIAAVGRCCAMLEELTIADHRMDGGWLAALAFCGNLKTLRLQGCSRIDDDPGPAEHLGACLTLESLQLHRCQLRDRRALHALFLVCEGAREIQVQNCWGLEDDMFALVGLCRRVKFLSLERCSLLTTHGLESVVTSWSDLQSLEVVSCNKIKDEEITPALSELFSNLKELKWRPDNKSLLAASLVGTGMGKKGRVFFKRKMAAAAAGAPAPVPEGVSSCGSTNVRRADHLPPLPIPLPCIGEPTAASRVSPGSSPARSEASRGAPCYAADAEPDPVPQVEASVGRSTQMLLAMAAMGGRGGHYGRRPASSYGSCAAWSAGSLTKHRPASPSPICSPVSSRGGGGDDHEPHGADDDASFVTPRMEEEQGRLPTRADFMKPSATPRNIRIQTPRLERRVDGANQVPPRFIHKATPARLMRRVRSSHNFRQRVGAIDAINEWRLPKVSEGEDEGGDQKEWQTETVSSRISSARDWNFESDGAFEGGNHSDRAFGDSGGENCPVPVPRMERRLPASGLKPQGNFVHAKLVAWKDAQVAKLIEKLKRKETDIDDWQRNKIAKARHKMRTTELNLEKKRAEAGEKMQKEIKRAQRKADKKKVKEQAATANQIAGVERALVKMSRTGKLPWSLAFL >PAN06814 pep chromosome:PHallii_v3.1:1:48534422:48540142:1 gene:PAHAL_1G289800 transcript:PAN06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGFYAGEGLSIDPKWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEMTKREGRFLREVSMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVNLRPRSLEPHVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQENLAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >PAN06812 pep chromosome:PHallii_v3.1:1:48534422:48540142:1 gene:PAHAL_1G289800 transcript:PAN06812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGFYAGEGLSIDPKWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEMTKREGRFLREVSMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVNLRPRSLEPHVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQENLAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >PAN06811 pep chromosome:PHallii_v3.1:1:48534422:48540142:1 gene:PAHAL_1G289800 transcript:PAN06811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGFYAGEGLSIDPKWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEMTKREGRFLREVSMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVNLRPRSLEPHVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQENLAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >PAN06810 pep chromosome:PHallii_v3.1:1:48534367:48540143:1 gene:PAHAL_1G289800 transcript:PAN06810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGFYAGEGLSIDPKWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEMTKREGRFLREVSMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVNLRPRSLEPHVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQENLAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >PAN06813 pep chromosome:PHallii_v3.1:1:48534367:48540143:1 gene:PAHAL_1G289800 transcript:PAN06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGFYAGEGLSIDPKWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEMTKREGRFLREVSMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVNLRPRSLEPHVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQENLAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >PAN07593 pep chromosome:PHallii_v3.1:1:52321391:52326766:-1 gene:PAHAL_1G348200 transcript:PAN07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAAGGGGKESPAAFLLRFVLLLLLPLTVLYIFYTLHAILSSTPACPPDDLVTAKGMMATALPVSHLTSNNSLESSMPLPPPSPPPPPAAPAATTLQHVVFGIAASARLWEKRKEYIKIWWRPGGGMRGFVWMDRGVRPSSVPEGLPPVKVSSDTSDFPYTHRRGHRSAIRISRIVSETFRLGLPDVRWFVMGDDDTVFLPDNLLAVLGRLDHRQPYYIGSPSESHLQNIYFSYGMAFGGGGFAISQPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAAVRRLFEGPVMLDSAGVMQQSICYDEAKRWTVSVAWGFVVMVARGVISPREMETPARTFLNWYRRADYKSHAFNTRPLARNPCEQPALYYLAAARRAVARGGETTVTRYQRWRRRNEVRPACRWKIPDPDALLDSVLVVKKPDPALWERSPRRNCCRVLSSPGVGDDRNKTMTIDVGVCEDWEVNQL >PAN07594 pep chromosome:PHallii_v3.1:1:52324605:52326766:-1 gene:PAHAL_1G348200 transcript:PAN07594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAAGGGGKESPAAFLLRFVLLLLLPLTVLYIFYTLHAILSSTPACPPDDLVTAKGMMATALPVSHLTSNNSLESSMPLPPPSPPPPPAAPAATTLQHVVFGIAASARLWEKRKEYIKIWWRPGGGMRGFVWMDRGVRPSSVPEGLPPVKVSSDTSDFPYTHRRGHRSAIRISRIVSETFRLGLPDVRWFVMGDDDTVFLPDNLLAVLGRLDHRQPYYIGSPSESHLQNIYFSYGMAFGGGGFAISQPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAAVRRLFEGPVMLDSAGVMQQSICYDEAKRWTVSVAWGFVVMVARGVISPREMETPARTFLNWYRRADYKSHAFNTRPLARNPCEQPALYYLAAARRAVARGGETTVTRYQRWRRRNEVRPACRWKIPDPDALLDSVLVVKKPDPALWERSPRRNCCRVLSSPGVGDDRNKTMTIDVGVCEDWEVNQL >PVH66118 pep chromosome:PHallii_v3.1:1:17633531:17637782:1 gene:PAHAL_1G153400 transcript:PVH66118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCQTLLTAAAAAAASTPVWLHRLHAKEGLSFPSHLNIDDLLYGGRQAQPPPPPTPPVPPPPPSSHNQTSLDVVFREPPPKAAAKPRQPQQQPRPPRNPSRPNPSSSNSPQPPPPQQQQHLQLAAVISDVFAVPSSAPPGTPPPKAFRKQSRPRPRTDDDQPISALPPQPRPHKDKKEKIAKAKKRRRAERAAEADGERTSKTDVTVIDTSIDGWKAAKVLIRRGDIWKVRDKKPSAVSELEDAIAKGKRRAGLVSKLLRDKEREKLKEKEATSAGYIQAGNGDVTKESDDSDKMLVVLNQSQKAK >PAN05710 pep chromosome:PHallii_v3.1:1:17633531:17637729:1 gene:PAHAL_1G153400 transcript:PAN05710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCQTLLTAAAAAAASTPVWLHRLHAKEGLSFPSHLNIDDLLYGGRQAQPPPPPTPPVPPPPPSSHNQTSLDVVFREPPPKAAAKPRQPQQQPRPPRNPSRPNPSSSNSPQPPPPQQQQHLQLAAVISDVFAVPSSAPPGTPPPKAFRKQSRPRPRTDDDQPISALPPQPRPHKDKKEKIAKAKKRRRAERAAEADGERTSKTDVTVIDTSIDGWKAAKVLIRRGDIWKVRDKKPSAVSELEDAIAKGKRRAGLVSKLLRDKEREKLKEKEATSAGYIQAGNGDVTKESDDSDKMLVVLNQSQKAK >PAN06653 pep chromosome:PHallii_v3.1:1:47810396:47812228:1 gene:PAHAL_1G278600 transcript:PAN06653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSILSATGSTAKSMDRHETSGTDPNKRVKVSTYEYGTNPRIIPTLPDELSFQILARLPRIYYLNLKMVCRAWKAAITSHELSQLRRELGVSEEWLYVLTKVEANKLDWYALDPLFQKWQRLPPMPSFVNEEESSRTGSSGFRMWNVVGSSIRIADFVRSWFWRRNGLDQMPFCGCSVGVADCYLYVVGGFSKAVALNCVWRYDPFVNFWEEVSPMMTGRAFCKATFLNGKLYVVGGVSRGRNGLLPLRSAEVFDPQTGLWSELPEMPFAKAQVLPTAFLADVLKPIATGMAPYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWSTMPDGLGDGWPARQAGTKLGVVIDDKLYTLEPSSSLDSGQIKRYNSEEDTWVTIAPQVPVNDFTDAEAPYLLAGLHGRLHVITKAANNTLQVMQAVVQNNTDNRASEENLLWNTVASKNFGTAELVSCQVLDV >PAN08326 pep chromosome:PHallii_v3.1:1:55926312:55927430:1 gene:PAHAL_1G403300 transcript:PAN08326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVASKRVVPLVHALEKLLAASPAPCAGSSLRPVAVAGGLRGYNTGAPLRRYEGTESEDDSVREYEGRRVGRDFAVPSLFSDVFRDPFRAPQSLGRLLNLMDDIATAAPGRAGAVRRGWNAREDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEEEAGEDESAPPPRYTGRIELSPDVYRMDKIKAEMKNGVLRVVVPKVKEEQRKDVFQVNVE >PAN08249 pep chromosome:PHallii_v3.1:1:55926361:55927411:1 gene:PAHAL_1G403300 transcript:PAN08249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVASKRVVPLVHALEKLLAASPAPCAGSSLRPVAVAGGLRGYNTGAPLRRYEGTESEDDSVREYEGRRVGRDFAVPSLFSGNVFRDPFRAPQSLGRLLNLMDDIATAAPGRAGAVRRGWNAREDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEEEAGEDESAPPPRYTGRIELSPDVYRMDKIKAEMKNGVLRVVVPKVKEEQRKDVFQVNVE >PAN08688 pep chromosome:PHallii_v3.1:1:57959464:57965450:1 gene:PAHAL_1G429800 transcript:PAN08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTRRRGAAVAAASVRLLVAITSCALLAGGAVAAGAGAGVEECEERARSDRVEALPGQPPVAFAQYSGYVTVNEERGRALFYWLTEADGDAATKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLFLNKYSWNREANLLFLESPAGVGFSYTNTTSDLKTMGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNKASLYPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKAILKSCNFSSTNISRFCNRAMNYAMNQEFGDIDQYSIYTPSCAAARSNATVLRFKSTLIRRRSFGYDPCTETYAEKYYNRLDVQKAMHANTTRIQYRWTACSDELIKTWQDSEFSMLPTYKMLMKAGLRIWVFSGDTDSVVPVTATRFAISHLGLKIKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLVGEPLPKS >PAN06292 pep chromosome:PHallii_v3.1:1:45734002:45737235:-1 gene:PAHAL_1G252900 transcript:PAN06292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEGMGYNSKGGGGGGGGLPMTAPRPRGASPLGHHHSRSRKIHRTFNNLKITVLCGLVTILVLRGTIGLNLSLPSQPSDAEALAGAKAVEDIDRILREIRSDSGPDPDDEGGDFSAASGFNASALSAAEAAAAYEAAAGKYTLGPKISDWDEQRREWLAQNPGFPATVAGGKPRIMLVTGSQPGPCDNPLGDHYLLKSTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYEGHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDQAGKVLTANLKGRPAFEADDQSALIYLLLSQKDKWMDKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFSHKGLESPKIKRIRDQTTRPINDVENLDMKARISMAS >PVH65907 pep chromosome:PHallii_v3.1:1:7530401:7532803:-1 gene:PAHAL_1G102300 transcript:PVH65907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQPCALLALSPSSLAAGDSLKPPNYITSPSGDFAFGFHALDANDTSNSSFLLALWFRFDASRVVWFATDAGSGSAVVAPGQSVLSLTTAGQLSLANPGSDNALWNPYTDPRPNYGSLLVLRDNGNLQFVASDGKTVVWETFAHPTDTLLPGQSMPPGSSLRSRASDMDFLVGRFSFNVQGDGNIVGYIVDLPGVTNPINAYWDTGTCCAGNTTLFFNAGLVGHLYYLLTDGTKHNLTTPQSLYSSNNNRLFYQHAKLDPDGIFRVYILPKNTTGNGASTWHVMDLVPSDGCTKVTNIGQHGMCGPYSYCIYDANKRLDCECTSGYSFLDTQFRYKGCTPAFLPDSCDGKSHASEFKLMELPNTHWKSIVYYKWHQSITEEECQDLCLNNCLCGAALFDGNTCVEAAMLTSGFQANDTTTRAMIKVRTKRPPVLILPYVVIAGLAMLLLATTCILLVYCYITKKAGKNRLSAMVFTRKELHRATNGFSKLLGQGGFGKVYHGIVKSLEPHDVAVKELRSRDEYQEVEFENEVQSIGRIHHKNLVRMVGYCKEGVHRMLVFEFMPRGSLGDILFKSERPSWSWRAEAALGIARGLEYLHYGCTSQIIHCDIKPDNILLDDKCVPKITDFGIARLLNGNKVKQTITHVRGTLGYLAPEWFNNERKVDSKVDVFSFGIVLLEMICCRKYPSEDGRQCNDDASDPGMPVTLRAWVSDLIREGSIERIVQGDNSALQDLQRVERFARIAVWCVQGDPSTRPMMRNVVCMMEGTMEVYPLPSDPPRVHHDFPPPLSPSSGSGMIE >PAN08668 pep chromosome:PHallii_v3.1:1:57878113:57882161:-1 gene:PAHAL_1G428300 transcript:PAN08668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEDEAAAIERQLEQQLQEQQSSLAAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLDLKHSRLVKQIDDVFPNEEPASQAPEIAAEPLEPDDVEPEPLVPHDFSIGSKCRFRHNNGRWYNGCIIGFEGSSDARISFLTPTSENMAMCKFFLQQRCRFGSNCRMSHGIVIPTSALKRFTPTRWQQSLVGSSILAASGHHSGLWRRAELESWDDNLKLGQVVFQDDGSSARLPSDSLSVSEYADMSDEDDEGSSSEEESEFSDDADQEDGCVHQGLGLLEPTNFSGIQTDTVIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPIPVKVLPPKQSLDHALAASEADGSIGSGKKRSRGGKRKREKKFAEQARAAKAEEAERSVFSFINSHLVSQDMPEASANKVRKGPSGEANGHAKKEDRRSLVAYDEEVKELRIRVEKLEEMKNRNRKDKAVFEAASRKLEETRKALADAEATHASATNAVARKEKEKKWLKF >PAN07573 pep chromosome:PHallii_v3.1:1:52261753:52264791:1 gene:PAHAL_1G346900 transcript:PAN07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNSAQAMAVFTVASFFALLCMAQQARAVNYTFMREAVDAPPVAYYDYIIIGGGTAGCPLAATLSQRSRVLLLERGGSPYEDGRVLSMLHFSDVLADTSASSPSQRFVSEDGVINSRPRVLGGGSCINAGFFTRAGAGYVRAVGWDPREVLAAYRWVEDVVAFQPALGPWQAAVRRGLLETGVLPDSGFTYDHIPGTKVGGSIFDADGRRHTAADLLRYSNPDGIDLFLRARVARILFGYKGTKPVARGVVFRDAQGGAHVAYLNRGAANEVILSAGALGSPQQLMLSGIGPADHLRSLSIDVILDLPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITRFGSYIEGASGANWNSHPSGTQPPPRNFGMFSPQTGQLATVPPAQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGSLALRNLDPDDNPSVRFNYFAHPDDLRRCVAGISAIERVIRSRAFSRFTYPNFAFPAALNVTADFPVNTLHGGRRVGGDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRDYKVLGVEALRVIDGSTFNASPGTNPQATVMMLGRYMGVKLLKERMLVEGPGAR >PVH67242 pep chromosome:PHallii_v3.1:1:59710086:59712036:-1 gene:PAHAL_1G459700 transcript:PVH67242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNRTATKKGKKLKRETGGCLDSFLFILINGTAAAPPPEESARMAATPDRSLSFLSALYSRLRAAASAWRRKDAHTHTHTHTHTHTHLGQEATVARRPGAPPPRRFGRNLAFVSFNLELLLFVYAFWRARRWNFNWRQPLQLLLMLVIPALATLIYAAFVRFTRTLDPKDKKTLQHQNDSLQRGSASHHNRQSSAKKCDPMDDATNFSSDTDPAETSKLGKHHSSSVNLRDDGGGDVSWGHSKPMRSDKTHITNSSAFRQLINWSSEHLSDNPEDPNHLEGTTAEHHSNSGADGAVCSTSQRSSLLRGCSIVHIVSNATVHADFSSSMNCPKSNGCLAEEDAVQTAPTAPKSDLELRHEGVENESSKFSLAEDNWMPFISEKELLASSYAVKNIEPHSGTSGFTLCSEETEKEDVAGGFCFVKISPELSFLSPSELVVEGGKDTSEKKSLELDKKDGNNVADNSEEAC >PVH65818 pep chromosome:PHallii_v3.1:1:5872217:5878277:1 gene:PAHAL_1G084400 transcript:PVH65818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANCLAQTNALFRKNLAIQRRNCRANCCLVCFPFLICLLLGGTQLIVIIAYRSSHRSGIDCGYCAAGTRSWVDSKVGGLDCPIQCPLPVAPKWPPVLQLPLDSDLVNELGSLKSTNLTDTSTRRAKHSPVTFLVTGADQTFAQSVMSNVLSKHDDGLKFVDDISTLADFVLGTNAMRVMSSATFFFLQSNCTANSKLSFPIQAGRGNFTKDAECIEGLFRWRENSSVMNSELYRDHYQEDKETNKIASAYDLTSSDLNKFNLVISYNSSYKGATQFSLSPLSSLSFSPIMLRVPRLLNLVSNAYLQLRGSDTKMQFEFVKDMPRAAQPEMTIDISFLVGKVIFVWMIMLLFPVILSNLVYEKQQKLRTIMKMHGLGDMAYWTISYCYFLLLSLLYMLFLVVFGSWAGIMLFKMSEYRVQFLVYFAYMNLQISFAFLMATYFSNVRTASVTGYLFTIGSGYLAEYLFRPIFEDMSLSRSWTTLMEFFPPFSLYRIIYEFSPPPSPFYRTDFSGIQWGDLSDRKNGMKEILIIMALEWATFLLLTFFLDEFGTLRNGIRKMVSVCHSSVDESSPASQKQTIQLQEFKYSVEMDRIDVLREREIVEQLLQESDSGYSIICDNLKKVYHGKDGNAEKIAVTGLSLSMQRGQCFGILGPNGAGKTSLISMLTGFTKPTSGTAYINGMDIRLDMGKIYTGIGVCPQFDLLWETLTGREHLMFYCRLKNLRCAALDRAVEQSLKSVRLFDGGVADKRVAEYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRRALWNAVMSAKQNRAIILTTHSMEEAEALCDRIGIMVNGSLQCIGNSKELRAKYGGTYVLTVTTAASEEEVVEQLVRSLCPAANRVYRISGTQKFEMPKQGLRISQVLQVMEHAKSWLNIAAWGLSDATLEDVFIKVASESDISSV >PAN06709 pep chromosome:PHallii_v3.1:1:48052127:48053013:1 gene:PAHAL_1G282400 transcript:PAN06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCARLAALLVHLLVLAAGNASSSRLAGGAPGSAGAPDGVPAAPRTACLEELLPCTAYLKTAKHPSQTCCTAMHNAAAAEMPCLCRLFADPELLSTFNVTRDQMFRLPARCGLPVGCRAGATAAHEPVVEAPPPPPAGTHHQHGASPRTSEFWSACSVAASVVLGQMVPMAAVF >PAN07419 pep chromosome:PHallii_v3.1:1:51409184:51410409:-1 gene:PAHAL_1G334400 transcript:PAN07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTTVCSMCGDVGFPDKLFRCARCRRRFQHSYCTNYYGDAAPAEAGAGVCDWCLSDDVGSGKKRPYISSASSGCSKQQQAAQGRGEQQPPFPPTGCGKGAGKVVIGGEHEGGRRARRYKLLKDVLC >PVH66041 pep chromosome:PHallii_v3.1:1:11455170:11457950:1 gene:PAHAL_1G132800 transcript:PVH66041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPLLTVTADTEKRAGGDAEGSPWSEVRKQLYLAGPLVAGYLLVNTVQMVSLMFAGHLGELELAGVSVATSFASVTGLSVLAGMATGLDTLCGQAFGAGRHRLLGVHKQRAMLVLTLLSVPVAGVWACAGEILAWCGQDPEIAAAAGSYTRWLIPALLVYAPLQCHVRFLQAQKLVLPVMLSSGAAALGHPAVCWLLVRRLGLGSRGVALANAVSYLGNLSLMALYPSCRTTWTGFSGEAFRGIPGLLKLAVPSAAMICMEWWSFELLVLLAGLLPNTKLETAVLSNCTRVSNELGAGRPRAAGLATRVVMFLAFSVCVSEGLAMVLVRNILGYAYSNDDEVAKYTARLMPALAVCILFDGLQNVLSGVVRGCGRQKLGAVINLVAYYAAGIPAAFLFAFVCRLGGMGLWFGLLCELVVQMLLLLPISACANWNGEVSIYKFQIQSNWFSCSQLVLRLISWVSKH >PVH66042 pep chromosome:PHallii_v3.1:1:11455170:11457950:1 gene:PAHAL_1G132800 transcript:PVH66042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPLLTVTADTEKRAGGDAEGSPWSEVRKQLYLAGPLVAGYLLVNTVQMVSLMFAGHLGELELAGVSVATSFASVTGLSVLAGMATGLDTLCGQAFGAGRHRLLGVHKQRAMLVLTLLSVPVAGVWACAGEILAWCGQDPEIAAAAGSYTRWLIPALLVYAPLQCHVRFLQAQKLVLPVMLSSGAAALGHPAVCWLLVRRLGLGSRGVALANAVSYLGNLSLMALYPSCRTTWTGFSGEAFRGIPGLLKLAVPSAAMICMEWWSFELLVLLAGLLPNTKLETAVLSNCTRVSNELGAGRPRAAGLATRVVMFLAFSVCVSEGLAMVLVRNILGYAYSNDDEVAKYTARLMPALAVCILFDGLQNVLSGVVRGCGRQKLGAVINLVAYYAAGIPAAFLFAFVCRLGGMGLWFGLLCELVVQMLLLLPISACANWNGEVSIYKFQIQSNWFSCSQLVLRLISWVSKH >PAN05113 pep chromosome:PHallii_v3.1:1:9024977:9026219:-1 gene:PAHAL_1G115900 transcript:PAN05113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARNIIFRRLKTLTVCPALASGATSQHRQLQQRAPASGTAKGKSKLKAGQPLKRSTIGAKKGTPSTGGGGGGGGRGRREAMERITQISESCLNASAPLRHLPPKERLREAKREELGLVSKERERELDIAKAKAKAKSKGTGADDGDHVLMDPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLRAAAMVPDVTPFPANRYMATLTPPIEGYIEKVRDAAKKHSVKEKLR >PAN06526 pep chromosome:PHallii_v3.1:1:47201895:47205386:-1 gene:PAHAL_1G269600 transcript:PAN06526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAASEISDWEVLSAASGCGGGAADDDSEVVVVSGGGGDVLHDHFALAPAGPGAGSPVEGPWPEPGDAWEGLELLDGFDPIPEASFDLAAGVWSERLPPTGGVDEARGGSILEATVARGTTLGADGNQAEAVDGEVDQESNAVTDHGELGSMLPPEHHGLGETLESDAATRAGASLQSEASESSPVQLDGGEIDAGVGSPCLEDAVASDGIHGEQEEQEQVGSASAASSCDESDSEAKDGALPLAHTPGTEEGDKQVVVWWRLPFRLLHYCAWKVKPVWSFSIAAALLGLVVLGRRMYRMKCKAKGLPQIKIAFDDKRTSQFADRAARLNEAFLVARRVPLLRTSAGAVLPWSMVQER >PAN06867 pep chromosome:PHallii_v3.1:1:48807332:48809660:-1 gene:PAHAL_1G293700 transcript:PAN06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDALAAACCVLPVLLALLAVRFAYVLWRSGQPSSRSPTAGLRCLIVLGSGGHTAEMMNIVTALQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQSGEKIIKNAHFMQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCVCAFVLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFYVQWPQLQQKYPRAYYAGRLM >PAN06869 pep chromosome:PHallii_v3.1:1:48807657:48808492:-1 gene:PAHAL_1G293700 transcript:PAN06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVTALQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQSGEKIIKNAHFMQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCVCAFVLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFYVQWPQLQQKYPRAYYAGRLM >PAN06870 pep chromosome:PHallii_v3.1:1:48807657:48808492:-1 gene:PAHAL_1G293700 transcript:PAN06870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVTALQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQSGEKIIKNAHFMQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCVCAFVLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFYVQWPQLQQKYPRAYYAGRLM >PAN06868 pep chromosome:PHallii_v3.1:1:48807657:48808492:-1 gene:PAHAL_1G293700 transcript:PAN06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVTALQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQSGEKIIKNAHFMQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCVCAFVLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFYVQWPQLQQKYPRAYYAGRLM >PVH66138 pep chromosome:PHallii_v3.1:1:19056243:19056569:-1 gene:PAHAL_1G158200 transcript:PVH66138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWGRVRTFVTRPCRISSQPCLFVGIDYRCSSSCPLRRLCRATAIWYVHTNIPMWYLLWGFTEELVISRVTSHLVHDVCWRTMLLWSCKHVRHWWPGLRRQLPFVTS >PAN07197 pep chromosome:PHallii_v3.1:1:50515511:50520604:1 gene:PAHAL_1G318300 transcript:PAN07197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARATTAAGGRGGGDEEVSEAGASPELRHRHAGKGAGEAGGGEWPAGGNGDAASVERVFADKAVPSWREQLTLRAFVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRMWTAAAERMGFLRQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSDKIARQATEAKDANNIKDPHLGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYKHRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADIGDSSLHGLQAYRVFISIALILGDGLYNFIKVLIRTIAGFITMVQQNSKSMLPVSDIGSSMSTAEAASFDEERRTELFLKDQIPKSVAYGGYVAVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLAHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFGSLPKNCLTLCYIFFAVAIAINLVRDLAPQRVSRFIPLPMAMAIPFYIGSYFAIDMFLGSAILFVWARLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVNPPICMKFLSRATNAKVDSFLGLS >PAN07198 pep chromosome:PHallii_v3.1:1:50517151:50519829:1 gene:PAHAL_1G318300 transcript:PAN07198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGGFGSYLFGMSDKIARQATEAKDANNIKDPHLGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYKHRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADIGDSSLHGLQAYRVFISIALILGDGLYNFIKVLIRTIAGFITMVQQNSKSMLPVSDIGSSMSTAEAASFDEERRTELFLKDQIPKSVAYGGYVAVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLAHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFGSLPKNCLTLCYIFFAVAIAINLVRDLAPQRVSRFIPLPMAMAIPFYIGSYFAIDMFLGSAILFVWARLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVNPPICMKFLSRATNAKVDSFLGLS >PVH65858 pep chromosome:PHallii_v3.1:1:6409398:6414226:1 gene:PAHAL_1G091300 transcript:PVH65858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAAAAATRKPSAAPTLALTLALALASAGLLFLLLRLSPSSPSPTPHPHRRLRLRDRAHAHEHHQIPFDPVVADLERRLEDREWERLAAAGLHAPGMEAAPVPEDLSDGEDYINDAARFNVTSRVEELFPRIDVGPADGAVTGDELAAWNLANARREVLHRTARELELHDRDHDGRLAFGEYERPSWAWRFDDHNSTNDVVGWWKEEHFSAADMDDDGFLNLTEFNDFLHPADTANPKLIHWLCKEEVRERDKDNDGKLNFQEFFSGLFYSIRHYDDEGITDDTGGSDAPAKKSFSHLDLDNDGLLTADELKPIIDNLHPSEHFYAKQQADYVISQADTNKDGQLSMKEMIENPYVFYNALFTENDYGFHDELR >PAN04790 pep chromosome:PHallii_v3.1:1:6409398:6414226:1 gene:PAHAL_1G091300 transcript:PAN04790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAAAAATRKPSAAPTLALTLALALASAGLLFLLLRLSPSSPSPTPHPHRRLRLRDRAHAHEHHQIPFDPVVADLERRLEDREWERLAAAGLHAPGMEAAPVPEDLSDGEDYINDAARFNVTSRVEELFPRIDVGPADGAVTGDELAAWNLANARREVLHRTARELELHDRDHDGRLAFGEYERPSWAWRFDDHNSTNDVVGWWKEEHFSAADMDDDGFLNLTEFNDFLHPADTANPKLIHWLCKEEVRERDKDNDGKLNFQEFFSGLFYSIRHYDDEGITDDTGGSDAPAKKSFSHLDLDNDGLLTADELKPIIDNLHPSEHFYAKQQADYVISQADTNKDGQLSMKEMIENPYVFYNALFTENDYGFHDELR >PAN07187 pep chromosome:PHallii_v3.1:1:50476979:50491799:-1 gene:PAHAL_1G317600 transcript:PAN07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVSAALEEVCARLAPGIPVADLWPALRGALDVAGLPLGPPVKRALWARLLALDVVNLVEGEGDGAPVAAGDPVEKDVEEAERRGVRLVASAAIRDNFLGMYERRFAKTELSAVQKAALECVAASRTSGVTQNELCKNFKMKGNNFHFIVKSLESQRLIVRQSTIIKMKDHGADREDTSQNKQVINTNSLYLSRYAKDLNMTSQQRIEITKPELLGSNEETNVDALQEDGTSGVNSKNDISIYDYLPAMKAICDKLEEASGKALVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAQLVEIFDAQVDDKVVRCLRLLKKFDPNEFQPKSTASTYKFGKKGQATDQVLELPLENCIYDMISAEGTKGITLVEIGKRLGHNNSKRLHKRVSSMLKKFNLTWEAEVPDKTSQYRVWTSENFSLYKAGTALQNFGVLSEDCDDDSDLWSLVPSKESDPSSPHDNLLLLEQESHDEPIGHHIQNDLDASTGACQLVEEDKLPLGQRKRRRSRPLTSDDQRHRRILHMLKKKKFVLKVELHKWLERLEKKDGKIMDRKTLTRTLNKLQKEGSCKCIKVSVPLVTNYTRSRLIDVVLHSSVGDLSPELVEQFRIRQRNFDTESRAVAAAKVKQNQHTTAITGLRISRRVNVYKPLLLEAMYANGFIGAKMIRAKLFHKFLWAYVNASPDWCNVFGCVKEGHYDKSFNQSNLLFSMEAATKEMPLELFLQVVGSAKKIDNMITWCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLINILDKLKLVQFAKEFIEDAGVPSDSIPTHSMELRPYIEEPIPRILPSSQLNNHKKVRHDFVLLKQEFVNSYWETLECCYLTAGLAEPFSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNVSEKGKIPFKDCVRIARELNLSVEQVLRLSYERQSRLREQPSITAKQKQQKVRSGLTSEKRKRSADEITLKFFKRKVQACGSVEQISDQSTLDEEVPETISSSPTDQTNQSDQPVSRTGSTSTHHADEDRESSPVISRSTILRKTCMRSKRFLWTYESDRKLLMIYIRMRAILGARYYRVAWNSLSDLPAPPNTCRRRMAILKGNENIRGAVMCICNLLGKRYTRYLEKERRSKKRRLLPQISESSNETSLDSDSEQFNWDDFEVPEIKSALNEVLELIRTEKVDQTKRVGAKNEKNNNNDDDVTKNTISLQELSATRGKTKTRTPVPESGFCDQEKICRDSNEVQPSESMDVRCKPQEKTIKDHRNKIIERGVRKSLPVANALELLKLVFLSRSSGSDVQASLAATLQLYSESEIFTAVSLLKEKNFLVTGSGGKPYTLSSKFLTNACTSPFPFGSGRKAFEFSNWLITQQKNATDNGIYLYPDIQCGEIVHLFSLLLSGKLFILPFLPSDGVGEADEPNSSTVDTGGLVDSSQKRKADMMKQKSGKAKKHKPLPKIESDFCYRREKGFPAIQVGLDLERIQTSNRMEELHDKECLVFTSSRAMINEDVDLHVERHSMPSFSNHSSSYRHLLSESQLENSYSGWPWDAMKKYAEELPSVSEHQNESFTWSSDLFRSAFCVIHQAGEQGVTLRELSQALHSLAMQLVYRIVDTLKRFQLAIEVNAYDGVQIVDSLHLSKYRITTLAECNPCSCSGPPTSQVVDNGDPKNLLKEKHTMPINFPGPIKMLSDGHTVTIINVQSKLSSAHIYSKNPGDDERPSTPIEYKESSCYHDCGGHIYQPILPWINGDGSTNSTVYEGLSRRVIGYVMHYPGVMEEDLIHRMDVLNPQTCRTLLEKLSHDGHLHVRVFEEPVPTAPTMLRALFKQDPSKEPPSCRKRYFANPMSTSQL >PVH66693 pep chromosome:PHallii_v3.1:1:50476663:50492064:-1 gene:PAHAL_1G317600 transcript:PVH66693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVSAALEEVCARLAPGIPVADLWPALRGALDVAGLPLGPPVKRALWARLLALDVVNLVEGEGDGAPVAAGDPVEKDVEEAERRGVRLVASAAIRDNFLGMYERRFAKTELSAVQKAALECVAASRTSGVTQNELCKNFKMKGNNFHFIVKSLESQRLIVRQSTIIKMKDHGADREDTSQNKQVINTNSLYLSRYAKDLNMTSQQRIEITKPELLGSNEETNVDALQEDGTSGVNSKNDISIYDYLPAMKAICDKLEEASGKALVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAQLVEIFDAQVDDKVVRCLRLLKKFDPNEFQPKSTASTYKFGKKGQATDQVLELPLENCIYDMISAEGTKGITLVEIGKRLGHNNSKRLHKRVSSMLKKFNLTWEAEVPDKTSQYRVWTSENFSLYKAGTALQNFGVLSEDCDDDSDLWSLVPSKESDPSSPHDNLLLLEQESHDEPIGHHIQNDLDASTGACQLVEEDKLPLGQRKRRRSRPLTSDDQRHRRILHMLKKKKFVLKVELHKWLERLEKKDGKIMDRKTLTRTLNKLQKEGSCKCIKVSVPLVTNYTRSRLIDVVLHSSVGDLSPELVEQFRIRQRNFDTESRAVAAAKVKQNQHTTAITGLRISRRVNVYKPLLLEAMYANGFIGAKMIRAKLFHKFLWAYVNASPDWCNVFGCVKEGHYDKSFNQSNLLFSMEAATKEMPLELFLQVVGSAKKIDNMITWCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLINILDKLKLVQFAKEFIEDAGVPSDSIPTHSMELRPYIEEPIPRILPSSQLNNHKKVRHDFVLLKQEFVNSYWETLECCYLTAGLAEPFSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNVSEKGKIPFKDCVRIARELNLSVEQVLRLSYERQSRLREQPSITAKQKQQKVRSGLTSEKRKRSADEITLKFFKRKVQACGSVEQISDQSTLDEEVPETISSSPTDQTNQSDQPVSRTGSTSTHHADEDRESSPVISRSTILRKTCMRSKRFLWTYESDRKLLMIYIRMRAILGARYYRVAWNSLSDLPAPPNTCRRRMAILKGNENIRGAVMCICNLLGKRYTRYLEKERRSKKRRLLPQISESSNETSLDSDSEQFNWDDFEVPEIKSALNEVLELIRTEKVDQTKRVGAKNEKNNNNDDDVTKNTISLQELSATRGKTKTRTPVPESGFCDQEKICRDSNEVQPSESMDVRCKPQEKTIKDHRNKIIERGVRKSLPVANALELLKLVFLSRSSGSDVQASLAATLQLYSESEIFTAVSLLKEKNFLVTGSGGKPYTLSSKFLTNACTSPFPFGSGRKAFEFSNWLITQQKNATDNGIYLYPDIQCGEIVHLFSLLLSGKLFILPFLPSDGVGEADEPNSSTVDTGGLVDSSQKRKADMMKQKSGKAKKHKPLPKIESDFCYRREKGFPAIQVGLDLERIQTSNRMEELHDKECLVFTSSRAMINEDVDLHVERHSMPSFSNHSSSYRHLLSESQLENSYSGWPWDAMKKYAEELPSVSEHQNESFTWSSDLFRSAFCVIHQAGEQGVTLRELSQALHSLAMQLVYRIVDTLKRFQLAIEEDLIHRMDVLNPQTCRTLLEKLSHDGHLHVRVFEEPVPTAPTMLRALFKQDPSKEPPSCRKRYFANPMSTSQL >PAN04048 pep chromosome:PHallii_v3.1:1:2588628:2593493:1 gene:PAHAL_1G037800 transcript:PAN04048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCARAEDAVSPADDDVAAAPVGGLIQKAGGGGRRSGGPGRALQRSAHLATGDCDSPAPAASCSGDGIGKSNGSGKREDSHRMRQYRSQLEQEVKKLQRQLEEEVDLHVALADAVTKNAAPVLKSSVKLPHKAQELLISIASLESTVSKLEKELNDLYYQLCHERNERLLAENNKGCLPSTSSDDHQSLSTCTCTWEEHISSLRDLKFGGSESMRSTRQDLFPEPEDGQYVGEDPEGQQIVSLNRLLEKHRDSSLNRLLEKHRDEEMQESCSMEKEVLEDEKLDILSFEQSILKITSMKGGNLWNNPNELSEEMVRCMRNIFLRLSESSKISPKVSSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSDDSNHNDETMKEVRNFDPYKVNGKETRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFLVEQLSKVNPSSMDRDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSISAAEIEFVILKMKTPVHRPQLSLMLALNKFKVTEDHKKYSIDEFEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVGTNDRGKLLIPKLVQNYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGVRSFTVLAFDSKFRYLFLPDSIGSRKPEAKQSYKLPEPCSE >PVH65612 pep chromosome:PHallii_v3.1:1:2588628:2593494:1 gene:PAHAL_1G037800 transcript:PVH65612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCARAEDAVSPADDDVAAAPVGGLIQKAGGGGRRSGGPGRALQRSAHLATGDCDSPAPAASCSGDGIGKSNGSGKREDSHRMRQYRSQLEQEVKKLQRQLEEEVDLHVALADAVTKNAAPVLKSSVKLPHKAQELLISIASLESTVSKLEKELNDLYYQLCHERNERLLAENNKGCLPSTSSDDHQSLSTCTCTWEEHISSLRDLKFGGSESMRSTRQDLFPEPEDGQYVGEDPEGQQIVSLNRLLEKHRDSSLNRLLEKHRDEESILKITSMKGGNLWNNPNELSEEMVRCMRNIFLRLSESSKISPKVSSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSDDSNHNDETMKEVRNFDPYKVNGKETRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFLVEQLSKVNPSSMDRDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSISAAEIEFVILKMKTPVHRPQLSLMLALNKFKVTEDHKKYSIDEFEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVGTNDRGKLLIPKLVQNYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGVRSFTVLAFDSKFRYLFLPDSIGSRKPEAKQSYKLPEPCSE >PAN04049 pep chromosome:PHallii_v3.1:1:2588627:2593493:1 gene:PAHAL_1G037800 transcript:PAN04049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCARAEDAVSPADDDVAAAPVGGLIQKAGGGGRRSGGPGRALQRSAHLATGDCDSPAPAASCSGDGIGKSNGSGKREDSHRMRQYRSQLEQEVKKLQRQLEEEVDLHVALADAVTKNAAPVLKSSVKLPHKAQELLISIASLESTVSKLEKELNDLYYQLCHERNERLLAENNKGCLPSTSSDDHQSLSTCTCTWEEHISSLRDLKFGGSESMRSTRQDLFPEPEDGQYVGEDPEGQQIVSLNRLLEKHRDSSLNRLLEKHRDEEMQESCSMEKEVLEDEKLDILSFEQSILKITSMKGGNLWNNPNELSEEMVRCMRNIFLRLSESSKISPKVSSDCSSSSAERLSDDSNHNDETMKEVRNFDPYKVNGKETRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFLVEQLSKVNPSSMDRDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSISAAEIEFVILKMKTPVHRPQLSLMLALNKFKVTEDHKKYSIDEFEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVGTNDRGKLLIPKLVQNYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGVRSFTVLAFDSKFRYLFLPDSIGSRKPEAKQSYKLPEPCSE >PAN04050 pep chromosome:PHallii_v3.1:1:2589338:2593520:1 gene:PAHAL_1G037800 transcript:PAN04050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQYRSQLEQEVKKLQRQLEEEVDLHVALADAVTKNAAPVLKSSVKLPHKAQELLISIASLESTVSKLEKELNDLYYQLCHERNERLLAENNKGCLPSTSSDDHQSLSTCTCTWEEHISSLRDLKFGGSESMRSTRQDLFPEPEDGQYVGEDPEGQQIVSLNRLLEKHRDSSLNRLLEKHRDEEMQESCSMEKEVLEDEKLDILSFEQSILKITSMKGGNLWNNPNELSEEMVRCMRNIFLRLSESSKISPKVSSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSDDSNHNDETMKEVRNFDPYKVNGKETRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFLVEQLSKVNPSSMDRDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSISAAEIEFVILKMKTPVHRPQLSLMLALNKFKVTEDHKKYSIDEFEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVGTNDRGKLLIPKLVQNYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGVRSFTVLAFDSKFRYLFLPDSIGSRKPEAKQSYKLPEPCSE >PVH67079 pep chromosome:PHallii_v3.1:1:57538139:57539049:-1 gene:PAHAL_1G423900 transcript:PVH67079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGLQLAPGNNLTAHVDVRFVFCRLYKDDQRVLVPLEILAHDDVIILDGCEENLNGGKTYTFLSTVAALYADEPYDYVMKADDDIFFRLPQLVDSLGAMPREDMYYGATIPCDSMDPFREYMAGMGYALSWDLVEWIATSEVARNHSVGTEDMLTGLWLRIGDKGKNRFNAKPAIHDYRNPVPVDQCEHEFMPSTIGVHRLKSNPRWAEALKYFNFTAGLQPSKFYKID >PVH66006 pep chromosome:PHallii_v3.1:1:9952060:9953912:-1 gene:PAHAL_1G124700 transcript:PVH66006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTNQATTPPPTPSFSAPETPPSYQVSSPPPSPDSTTSSTPPSYQVNSPPPLAQSTPPPSFSSPLATPPTYQANNPSLPPPSSTPATPPTYQVNTPPPPPVFNPPVTPVNSPLPPPTSTPAATPPTYQTNNPPPPPASSPLATPPTYQASTPPSPSIIAPTTPPMYQVSNPPPPPAPITSATPPTYQVNSPPPQAPFSPPVTPPTYQFNNPPPPQPLSTPPSMPPPYQANIQPPPPPMSPPATPPSSQGNGPSLPPPPSHKPPPPPTYQAPPQPIPPNGPQGWQQVNNPHDTLYWQIGRFIVLMHRLVYNKEFTLVDVISVSMQPAGTGKNYFVVFKAADENKVVRRYQAVAWGIAGSTAQPWKVLSFQVIGD >PAN06998 pep chromosome:PHallii_v3.1:1:49362045:49365285:-1 gene:PAHAL_1G303900 transcript:PAN06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHTIILMQPSQNRASRTFMDYNSINHALDGICGLYERKIRDINPMAPITYDISDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLLQHLKKLAQR >PAN07055 pep chromosome:PHallii_v3.1:1:49764101:49776914:-1 gene:PAHAL_1G308100 transcript:PAN07055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALEAARAKDTKERLAGVERLHEALDAAARRGLSAAEVTSLVDTCMDLSGDGNFRIAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLIVTLMEVSSPTIVVERAGSYAWTHKSWRVREEFVRTVSAAVGLFASTELPLQRVLLSPVLQLMNDLNRSVRDAAISCIEEMYKNIGSQFHEELQRHNLPAYMLKEINSRLDKIEPNAPSSNGARIQCRAKESRSISANPKRGSPRKKGTPRESTLFGGDMDINEKPVEPIRVHSEKELVRDFEKVASVLNPEKDWSIRIAAMKRIEALVYGGAINYPSFPMLLKLLVSPLSSQLSDRRSSIVKQACHLLNVLSKELLGNFEPCAEIFIPVLFKLVVITVLVIAESADNCIKSILRNCKVSLLPLVADTAKNDRSAVLRARCCEYALLILEYWVDAPEIQRSADLYEDLIKSCVADAMSEVRATARTCYRMFTKKWPERSRRLFMSFDPAIQRIINDEDGGMHKRYPSPSMHERGVQLSRSSSDAGGTHFGYDTSAIVAMDKGAVISLESPLSSSSLLLSQSKTIGRSAERSIESVLSSSKQKVSAIESLLKGVSISDRQDFSAIRSTTLDHGVDRPSSRDPPSPSAPPASYSSLNGITSSRNDGSSKERSSSSYLRNLSSEPIEGLSLPSLRSSGRSQDGSIMDENHDTWPNRPSPKMQMDKHYVDMPYRDVGYRNSFNSHVLHFQRPLRKQVVSRASASGRRSFDVGHAPSNDLSGYTDGSASLSDALSEGLSPNSDWAARVAAFNFIQILLQQGQKGIQDITQNFEKVMKLFLRYLEDPHHKVAQAAFSTLADIIPAFKKHFESYIGRILPYIFSRLIDPKELVRQPCSSTLDIVGRTYSIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFDNYTVGSEGYSNSGFLKLWLTKLAPLIHEKNAKLKEASISGIISVYSHFDSAAVLNLILSLSIDEQNIVRRALKQYTPRIEVDLVNYLQNKKERSRPKSYDRVDSSTSSEDGYALTLKKSLPFGRFSDSLLDTESGRKMNTVQESALHNVPISRATSDVCFDHAKQCFERASEAEVLVQSRELKNNTRTVVEAVHSWEDYPEKSDATMDDENSTGTTRLDLSHLPSDGRNSVLAISEEHTQEGDPFVDLSPVKIFPHANNGPSVPQLLHQIGSGGEISTLDKREVLHQLVRASTDKDNFIWTKYFNQILTTVLEVLDDTDSSVREDSLLLVAEMLHNQKDSMEESIEVVLEKLLHVTKDVDAKVSNEAHQCLYVLVAKYDPFRCLAIIAPFLASDDEKTLVMCINCLTKLVGRLSQDELVTQLPSFLPAVFDAFNNLSPDVRKAVVFCLVDIYIILGKAFVPYLEGLSSTQLRLVTIYASRISQARSGAPVDANQ >PAN08028 pep chromosome:PHallii_v3.1:1:54475580:54476616:1 gene:PAHAL_1G381000 transcript:PAN08028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRLYEEGDCFQRLKLHEDEGYFQFQDFSADYQLGEETHFGAISCPESSFSQDDLGCLSEVDFASFWALVEEEDARRKSEEGPRSGPGKNGLTFELVSRHFSMPIKQAARELNVGLTVLKKRCRELGIPRWPHRKVKSLQTLIDNVQEHGKLSAQEDGHLTRSLVESLQRTKKLIEERPEVMLDEETKGLRQACFKEAFKRRRLLSHGTYW >PAN08323 pep chromosome:PHallii_v3.1:1:55916874:55920225:1 gene:PAHAL_1G403100 transcript:PAN08323 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MGECEDAEEYRCWEELLPDALGLIFRNLPLQEVLTVLPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVELLVGRSGGSCRRISVSGLPCDPLLSFIGDHARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLVDKECQHDEAHAIACSMPKLRHLEMGYMLITTEAVAEILGQCRELKFLDLRGCWAVDDKFLRERHPGLRVLGPRVEDCYENSYWEECSDYSDDDSSIYSWEFMDDADGYYTVGSDDEAIWDDGQGLENLEVRFYGGGFSESFAGFDWPPSP >PAN08322 pep chromosome:PHallii_v3.1:1:55916874:55920260:1 gene:PAHAL_1G403100 transcript:PAN08322 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MGECEDAEEYRCWEELLPDALGLIFRNLPLQEVLTVLPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVELLVGRSGGSCRRISVSGLPCDPLLSFIGDHARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLVDKECQHDEAHAIACSMPKLRHLEMGYMLITTEAVAEILGQCRELKFLDLRGCWAVDDKFLRERHPGLRVLGPRVEDCYENSYWEECSDYSDDDSSIYSWEFMDDADGYYTVGSDDEAIWDDGQGLENLEVRFYGGGFSESFAGFDWPPSP >PVH66995 pep chromosome:PHallii_v3.1:1:55916801:55920259:1 gene:PAHAL_1G403100 transcript:PVH66995 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MPCNIGRGTAASTVRRAALVFSPSREHTHTRKLAPSLLQSPPCTDHSPLQCRSPSLSILSPVRPRQCPPPPPSHQVLPPADPNWNLGLRLHITNPGRGRGLMGECEDAEEYRCWEELLPDALGLIFRNLPLQEVLTVLPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVELLVGRSGGSCRRISVSGLPCDPLLSFIGDHARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLVDKECQHDEAHAIACSMPKLRHLEMGYMLITTEAVAEILGQCRELKFLDLRGCWAVDDKFLRERHPGLRVLGPRVEDCYENSYWEECSDYSDDDSSIYSWEFMDDADGYYTVGSDDEAIWDDGQGLENLEVRFYGGGFSESFAGFDWPPSP >PAN06547 pep chromosome:PHallii_v3.1:1:47309920:47315038:1 gene:PAHAL_1G271400 transcript:PAN06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPGRLQRSGSKRGLDPTGGGDDDDHAPKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLQFRSQLALPIFTGGKVEGEQGAAIHVVLLDANTGCVVTSGPESFAKLDILVLEGDFNKEEDEDWTEEEFENNIVKEREGKRPLLTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLRIAPGFCEGIRVREAKTEAFPVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYIYYASDTRNVGAIFNNIYEFTGLIADDQFISAESLTDNQKVYADALVKKAYEDWMHAVEYDGKALLSFKQKKKSVTTRSDTAAASTSNPASYGSANSQKQLSLPAKSGQPASAGSMNDADGTRSAYNGNQSARYADNTQNIPTNITMQYDRSALSPESQFSGSSLQAQASRGSNMLALGPPQQQNQSFEFPALGQSMQSGINPFDQWSQPQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGAGTNLTEDGFNFPSYMPTPSPNLSVEDDRTRAPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >PAN07223 pep chromosome:PHallii_v3.1:1:50565855:50569749:1 gene:PAHAL_1G319600 transcript:PAN07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRADREFKVLSPSEIKDFLEEVE >PVH65926 pep chromosome:PHallii_v3.1:1:7825902:7827707:-1 gene:PAHAL_1G105400 transcript:PVH65926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGTCLTETLDELVSSGAVSPELAIQVLVQFDKSMTDALESQVKSKVNIKGHLHTYRFCDNVWTFILTDATFKSEEISETLSKVKIVACDSKLLQPHQP >PVH65925 pep chromosome:PHallii_v3.1:1:7825196:7828348:-1 gene:PAHAL_1G105400 transcript:PVH65925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGTCLTETLDELVSSGAVSPELAIQVLVQFDKGHLHTYRFCDNVWTFILTDATFKSEEISETLSKVKIVACDSKLLQPHQP >PAN06948 pep chromosome:PHallii_v3.1:1:49164196:49169137:-1 gene:PAHAL_1G300400 transcript:PAN06948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAKKMTRGISRQLSSGAARLWRQLSLDPHTPRRGGPGAGAGPTRFAIARQSSLDPTPRGGPDGSSAHQQLAVPENLDATMRLLFAACQGDAAGVEELLRGGVDVDNIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTPAADAKHYGHFEVYNLLRARGAKVPKTKKTPMAVSNPKEVPEYELNPLELEFRRGEEVTKGTYLAKWYGSKVFVKILDKDSFSDADSINAFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLEIKGRLKSHKAIRFALDIARGLNYLHECKPEPIIHGNLSPKNIVRDDEGQLKVAGYGSLNLTKVSEDKLQMAQPVTQLDSVYTAPEIYRNEPFDRSVDVFAFGLILYEMVEGTHAFHPKSQEEAAKMICLEGLRPPFKNKPKNYPSDVKELIQECWDPTPSVRPTFAEIIVRLNKIHASCAKQARWRDTFKLPWKHAGER >PAN05047 pep chromosome:PHallii_v3.1:1:8430977:8435419:-1 gene:PAHAL_1G110600 transcript:PAN05047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] MANIPATPAAAVATGNRVSPSPRSSPCLPRRTAMLPSIGAAAGPPSWSSRGAAAVARAATGSDKAAARTIINPNNVPVLSFSEVAERLDTFQASGARNQNYMAMYSSIFGGITKDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFDRSTIRSILIQTVSASNCTQGSLRYWLSVGPGDFQLSSSGCANPALYAVVIESPSLQVPAGCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTSIWLDDEGFVAEGSNMNVGFVTADKELLMPRFDKILSGCTAKRVLTLAEQLVADGRLSGIISRNVSVQEGKSADEMMLIGSGILVKPVVQWDDQIIGSGQEGPIAQALYGLILEDMRSGPPSVRIHIPY >PAN05048 pep chromosome:PHallii_v3.1:1:8430977:8435419:-1 gene:PAHAL_1G110600 transcript:PAN05048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] MANIPATPAAAVATGNRVSPSPRSSPCLPRRTAMLPSIGAAAGPPSWSSRGAAAVARAATGSDKAAAARTIINPNNVPVLSFSEVAERLDTFQASGARNQNYMAMYSSIFGGITKDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFDRSTIRSILIQTVSASNCTQGSLRYWLSVGPGDFQLSSSGCANPALYAVVIESPSLQVPAGCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTSIWLDDEGFVAEGSNMNVGFVTADKELLMPRFDKILSGCTAKRVLTLAEQLVADGRLSGIISRNVSVQEGKSADEMMLIGSGILVKPVVQWDDQIIGSGQEGPIAQALYGLILEDMRSGPPSVLFRWILLGPCHGCTKISPKDETIVLHSKKDGV >PAN05049 pep chromosome:PHallii_v3.1:1:8431368:8435323:-1 gene:PAHAL_1G110600 transcript:PAN05049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] MANIPATPAAAVATGNRVSPSPRSSPCLPRRTAMLPSIGAAAGPPSWSSRGAAAVARAATGSDKAAAARTIINPNNVPVLSFSEVAERLDTFQASGARNQNYMAMYSSIFGGITKDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFDRSTIRSILIQTVSASNCTQGSLRYWLSVGPGDFQLSSSGCANPALYAVVIESPSLQVPAGCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTSIWLDDEGFVAEGSNMNVGFVTADKELLMPRFDKILSGCTAKRVLTLAEQLVADGRLSGIISRNVSVQEGKSADEMMLIGSGILVKPVVQWDDQIIGSGQEGPIAQALYGLILEDMRSGPPSVRIHIPY >PAN06796 pep chromosome:PHallii_v3.1:1:48490300:48497501:-1 gene:PAHAL_1G288900 transcript:PAN06796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEEKAQVASSSGGGAVMAGEAAPGTTAKGSTKGIPIMARAQRSHPLDPLSAAEIAVAVATVRAAGRTPEVRDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGVPVIPSRLPSRRARLVVYNKQTNETSIWVVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKNYPPFIEAMKKRGIDDMDLVMVDAWCAGYYSDADAPNRRIGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGADRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYIDGNRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGEQRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEPYNQVVEVNAKVEDAGPKNVHNNAFYAEEKLLKSELQAMRDCDPSSVRHWIVRNTRNVNRTGQPTGYKLIPGSNCLPLALPEAKFLRRAGFLKHNLWVTSYKNNEMFPGGEFPNQNPRIDEGLATWVKKDRSLEETNIVLWYVFGLTHIPRLEDWPVMPVERIGFIPMDSSIARRLLTFLLDLTRTRRKPSRRRTSTPS >PAN06797 pep chromosome:PHallii_v3.1:1:48490775:48497077:-1 gene:PAHAL_1G288900 transcript:PAN06797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEEKAQVASSSGGGAVMAGEAAPGTTAKGSTKGIPIMARAQRSHPLDPLSAAEIAVAVATVRAAGRTPEVRDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGVPVIPSRLPSRRARLVVYNKQTNETSIWVVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKNYPPFIEAMKKRGIDDMDLVMVDAWCAGYYSDADAPNRRIGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGADRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYIDGNRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGEQRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEPYNQVVEVNAKVEDAGPKNVHNNAFYAEEKLLKSELQAMRDCDPSSVRHWIVRNTRNVNRTGQPTGYKLIPGSNCLPLALPEAKFLRRAGFLKHNLWVTSYKNNEMFPGGEFPNQNPRIDEGLATWVKKDRSLEETNIVLWYVFGLTHIPRLEDWPVMPVERIGFMLVPHGFFNCSPAVDVPPGPDTDAKEAESPKDIHTELISKL >PVH65888 pep chromosome:PHallii_v3.1:1:7142585:7146671:-1 gene:PAHAL_1G098300 transcript:PVH65888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os02g0232000)] MASVDAAGVAPFVAKTYRMVDNPSTDAVIAWGRDSNSFVVADPFAFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLLPQIARRSGGGGKRKDCGSCAADAGAGAAADEDAVAMEVVRLRREQRAIEDQVAAMWRRVQETERRPKQMLAFLLKVAGDPQVLRRLVSSTAAGAGGNGSDAAVGFAAGPAEDGAAGEVAKRARLLLDDAPVYGAGGSDAAADLGVFYGGAGEDVGFGGEAGGYLQPPPYVFPVNSGY >PVH66922 pep chromosome:PHallii_v3.1:1:54753239:54759014:1 gene:PAHAL_1G384700 transcript:PVH66922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSWLELRLCLQGPVGAAVSTDPTEGEDLARKERKRIMELAQSRGMQRGSYPQFDVAVKGQKVVVKFDMPSTCNVSHLIVDLVTHIGLEAEQHGGGSEVLVRAWDSPAARQITLNPPKKNSTGDLYEDGLCILIFEPLIGSDYSEIEFIKRGSFSLKELEALISALKVAGEKDVKGSSGKGKKNTPRKGSGQRSKHIPSMEKIISDLEAMGVRVYGFDETSSIPMDGTVIWENLAGYEPQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEVTRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQTRMEIAAQYAKHLTKSELLQFSSATEEMSGRDIRDVCQQAERHWASKLIRGQVPKDEKGEPSLPPIDEYVSCAEQRRKSWPDRTRWTSRSPALKLA >PAN08204 pep chromosome:PHallii_v3.1:1:54753239:54759014:1 gene:PAHAL_1G384700 transcript:PAN08204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVVVKFDMPSTCNVSHLIVDLVTHIGLEAEQHGGGSEVLVRAWDSPAARQITLNPPKKNSTGDLYEDGLCILIFEPLIGSDYSEIEFIKRGSFSLKELEALISALKVAGEKDVKGSSGKGKKNTPRKGSGQRSKHIPSMEKIISDLEAMGVRVYGFDETSSIPMDGTVIWENLAGYEPQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEVTRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQTRMEIAAQYAKHLTKSELLQFSSATEEMSGRDIRDVCQQAERHWASKLIRGQVPKDEKGEPSLPPIDEYVSCAEQRRKSWPDRTRWTSRSPALKLA >PVH66923 pep chromosome:PHallii_v3.1:1:54754113:54758684:1 gene:PAHAL_1G384700 transcript:PVH66923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVCSFELSIECQYNYQVVVKFDMPSTCNVSHLIVDLVTHIGLEAEQHGGGSEVLVRAWDSPAARQITLNPPKKNSTGDLYEDGLCILIFEPLIGSDYSEIEFIKRGSFSLKELEALISALKVAGEKDVKGSSGKGKKNTPRKGSGQRSKHIPSMEKIISDLEAMGVRVYGFDETSSIPMDGTVIWENLAGYEPQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEVTRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQTRMEIAAQYAKHLTKSELLQFSSATEEMSGRDIRDVCQQAERHWASKLIRGQVPKDEKGEPSLPPIDEYVSCAEQRRKSWPDRTRWTSRSPALKLA >PAN08205 pep chromosome:PHallii_v3.1:1:54753239:54759014:1 gene:PAHAL_1G384700 transcript:PAN08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVVVKFDMPSTCNVSHLIVDLVTHIGLEAEQHGGGSEVLVRAWDSPAARQITLNPPKKNSTGDLYEDGLCILIFEPLIGSDYSEIEFIKRGSFSLKELEALISALKVAGEKDVKGSSGKGKKNTPRKGSGQRSKHIPSMEKIISDLEAMGVRVYGFDETSSIPMDGTVIWENLAGYEPQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEVTRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQTRMEIAAQYAKHLTKSELLQFSSATEEMSGRDIRDVCQQAERHWASKLIRGQVPKDEKGEPSLPPIDEYVSCAEQRRKSWPDRTRWTSRSPALKLA >PAN08203 pep chromosome:PHallii_v3.1:1:54753239:54759015:1 gene:PAHAL_1G384700 transcript:PAN08203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLRRRLPLLRLLRQLHTESAASASSSSTLPPPLQKPHAAASPSVAPGSRRLGFLNATPLASARGASASFSSAAYLAIGAAAALASLPVAYADGNDQGPVGAAVSTDPTEGEDLARKERKRIMELAQSRGMQRGSYPQFDVAVKGQKVVVKFDMPSTCNVSHLIVDLVTHIGLEAEQHGGGSEVLVRAWDSPAARQITLNPPKKNSTGDLYEDGLCILIFEPLIGSDYSEIEFIKRGSFSLKELEALISALKVAGEKDVKGSSGKGKKNTPRKGSGQRSKHIPSMEKIISDLEAMGVRVYGFDETSSIPMDGTVIWENLAGYEPQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEVTRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQTRMEIAAQYAKHLTKSELLQFSSATEEMSGRDIRDVCQQAERHWASKLIRGQVPKDEKGEPSLPPIDEYVSCAEQRRKSWPDRTRWTSRSPALKLA >PAN05291 pep chromosome:PHallii_v3.1:1:10723312:10724311:-1 gene:PAHAL_1G129200 transcript:PAN05291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHRAASLAIRRLAATAAVAAASPSPALVLAPLWQPATAAATSSRFLMQPITTMAGAPCARRGYAASGGAGRKAARAVSESEEDEDEEFEAVGSDGEFDGDFDDEDLDEFDDDDEDDDDYDAAPKRGRR >PAN06606 pep chromosome:PHallii_v3.1:1:47577524:47580594:-1 gene:PAHAL_1G275700 transcript:PAN06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESESESHAGERGLVPVGGSSGQHEGLKNDGFVRRDHSWYVNSDIPSDLLVKVGDVSFHLHKYPMISRSGRMSRIIYETAASADPDTAAVGLDDVPGGADSFELAARFCYGMAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGTGATGGGRPPRATGPGGGGGTASPRWNLSGSGGGDSKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDGPHGGALDEPWAQASPGGGLHMIIAGGGGKDHIAASAPAREQRMVVESLISIIPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAVLADLLIPSYGRADTAYDVDLVQRLVEHFLVQEQTELASSSPGRGEPAAAPEYYGARTTAASAAGLNAKERVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKVINHLGCFC >PAN06608 pep chromosome:PHallii_v3.1:1:47576633:47581045:-1 gene:PAHAL_1G275700 transcript:PAN06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESESESHAGERGLVPVGGSSGQHEGLKNDGFVRRDHSWYVNSDIPSDLLVKVGDVSFHLHKYPMISRSGRMSRIIYETAASADPDTAAVGLDDVPGGADSFELAARFCYGMAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGTGATGGGRPPRATGPGGGGGTASPRWNLSGSGGGDSKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDGPHGGALDEPWAQASPGGGLHMIIAGGGGKDHIAASAPAREQRMVVESLISIIPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAVLADLLIPSYGRADTAYDVDLVQRLVEHFLVQEQTELASSSPGRGEPAAAPEYYGARTTAASAAGLNAKERVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAGSSGAGAALGKAPDAAPPTTRRQLLDGTPQSFQDGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKQVERGAPSPAAGSKVAGVGAGAGKNQGPSAWSSGWRKLGRLAKMTGADAAGPDGHVPGAPGEAPRKPRRWRNSIS >PAN06607 pep chromosome:PHallii_v3.1:1:47576633:47581044:-1 gene:PAHAL_1G275700 transcript:PAN06607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESESESHAGERGLVPVGGSSGQHEGLKNDGFVRRDHSWYVNSDIPSDLLVKVGDVSFHLHKYPMISRSGRMSRIIYETAASADPDTAAVGLDDVPGGADSFELAARFCYGMAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGTGATGGGRPPRATGPGGGGGTASPRWNLSGSGGGDSKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDGPHGGALDEPWAQASPGGGLHMIIAGGGGKDHIAASAPAREQRMVVESLISIIPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAVLADLLIPSYGRADTAYDVDLVQRLVEHFLVQEQTELASSSPGRGEPAAAPEYYGARTTAASAAGLNAKERVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAGSSGAGAALGKAPDAAPPTTRRQLLDGTPQSFQDGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKQVERGAPSPAAGSKVAGVGAGAGKNQGPSAWSSGWRKLGRLAKMTGADAAGPDGHVPGAPGEAPRKPRRWRNSIS >PAN07239 pep chromosome:PHallii_v3.1:1:50632864:50634987:-1 gene:PAHAL_1G321100 transcript:PAN07239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPLMAPGSGRPRARSEESSEADDTAPRQSLALFANMDGPPAFSGAGEPVLTPHGSTPAFRPKISSPRQLIKPAPRPRCLEPPNHTPTHKRSPSLPRTVVGKEESSRAWKERAMKMSSGSHVIGVPVTAKAYAIEEAARDRPPAKTKDGDRLAVSLTHPSSYAPFGYKHGSKSQVIHWVNKLGRRAQSFRDHVTLGPKLSETVKGKLSLGARILQAGGVERAFRHAFPAERGERLVKAHQCYLYTTGGPIAGMLFVSTRRIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSENAEKPEQKYIQVATVDGFEFWFMGFVSYQRCCKYMVQLMEISGDL >PAN04666 pep chromosome:PHallii_v3.1:1:5731289:5735509:1 gene:PAHAL_1G082400 transcript:PAN04666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLVASHRLRLPLPSAAAAGAARSHLLRHRHPLAAPAPAAAPLRLSLPRHLPRPTPLRLPAALPLRPSLPPLRASAAAAASPAPGDDASSPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLADVLSKEALFYAVIFPFIAFFGAFAYVLYPMRDAIHPTALADRLLASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAISLKAMMSIVVILGLVITGIYWGVNKFVIDSSSMPVVERKKKDKPKLSMGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMTKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEREKLLKAKAVETTATVVGAGNGSLQENLASETSANGSAIKQSQEPDGSAIKQSQEQDGSAIKQSQEQDGSAIKQSQEPDGSAIKQSQEPDGSAIRQSQEPESSAPEKSGQQSQ >PAN08998 pep chromosome:PHallii_v3.1:1:59251521:59256407:-1 gene:PAHAL_1G450600 transcript:PAN08998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALLDDIIRRLLEVKNLKPGKNAQLSESEIKQLCAAAKEIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAINDRGVSYTFGPDKVAEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKMLAGSTNNKSGFKSLRGW >PAN09057 pep chromosome:PHallii_v3.1:1:59471452:59476657:-1 gene:PAHAL_1G455300 transcript:PAN09057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPRTFPGGLSKWQYKRMHEKLARQKQRGLLRHEKQLYLARLRSEIRASRLPGADPAAPPGEEGPTSSRAHIRALADRFSKPGAEDLWNEDDGPLHRANRPPGGQQQQQQLDSGRPRGEANWKDWEDLALEQPRTQMEVRAGGKGPSLAAFNPKREYRTMAPWWSHWNSPSLGFFGPKRCYSVMSPSTVSWQLGPMGARDLDKAAKGREETALALLNQERLYSVAARRFGRKWRPDSSDEDEEGTSTPKKNLRFGKFGASSEEDSEVDESEDTSTIRRRWSSAALRNCDMKKERRVLKSYEEENNDLAGRIRELREEIKNREVLGTERRHYESRGESLLTKKRFDECGISPLTVKALADAGYVQTTVVQEAALPICLEGKDVLIKAKTGTGKTAAFLLPAIESVLNAVKNNTNHRVSPIFALVLCPTRELAIQLTAEANVLLKHHDGIGVQSLIGGTRFKLDQRRLESEPCQILVATPGRLLDHIENKSSFSVRLMGLKFLVLDEADHLLDLGFRKDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFIDTVGLGAVETPTMVQQSCLLVPHELHFHMVHCLLREHIDREVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLCRTRISEEFRDSNRLILVTSDVSTRGVNYPDVTLVIQVGAPPDREHYIHRLGRTGREGKSGKGILLLAPWEEYFLNEISDLPIEKYPAPDIDKEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADIGRDKTMLADLANRFGASIGLEKPPALYRKTALKMGLKDVPGIRIRK >PVH65869 pep chromosome:PHallii_v3.1:1:6566512:6568743:1 gene:PAHAL_1G092500 transcript:PVH65869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLGCTTATADSLVEEHHIQTNYGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCFGVTAGAYILTLFASKYRERVLGLILVSPLCKGPTWTEWLYSKVMSNLLYYYGMCGLVKECLLQRYFSKEVRGFSELPESDIVQACKSLLDQRQSMNVWRFVQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRVRLEM >PAN04800 pep chromosome:PHallii_v3.1:1:6564562:6569088:1 gene:PAHAL_1G092500 transcript:PAN04800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGGSVVSVDVERISFGGKEHHIQTNYGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCFGVTAGAYILTLFASKYRERVLGLILVSPLCKGPTWTEWLYSKVMSNLLYYYGMCGLVKECLLQRYFSKEVRGFSELPESDIVQACKSLLDQRQSMNVWRFVQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRVRLEM >PVH65870 pep chromosome:PHallii_v3.1:1:6566658:6569088:1 gene:PAHAL_1G092500 transcript:PVH65870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHIQTNYGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCFGVTAGAYILTLFASKYRERVLGLILVSPLCKGPTWTEWLYSKVMSNLLYYYGMCGLVKECLLQRYFSKEVRGFSELPESDIVQACKSLLDQRQSMNVWRFVQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRVRLEM >PVH66410 pep chromosome:PHallii_v3.1:1:43591992:43605062:1 gene:PAHAL_1G236900 transcript:PVH66410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHHGWGYNDKRNIRGQKKRLTTAEMIVGPAKAYFMDEISNGLDSSTTFQIINCFQQLTNISGYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPQNEALKFFEECGFICPKRKEVADFLQEILSWKDQQQYWSGPHESYRFISPHELSSMFKENQRGRKLEEPSVPPKSKLSKEALAFNTYSLRKLEMFKACGAREVLLMKRSMFVYVFKTGQLAIIALVTMSVFLRTRMAIDFTHANYYLGALFFSIFMIMLNGTPEISMQIRRLPSFYKQKSYYFYPSWAYAIPASVLKVPVSILDSLVWICITYYGIGYAANASRFFCQFLILCLVHQSVSSLYRFIASYFRTPTASFFYLFLALTLFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEISTAINEFQAPRWQKVTIQNITIGNRILINHGLYYSWRFYWISIGALLGSIILFYIAFGLALDYNTSVEEYHGSRPMKNLCQEQEKASNILKESDGSNISKGAKMTIPVMELSVTFHNLNYYIDTPPEMLKQGYPTRRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGNIKIGGYPKVQETFVRILGYCEQVDIHSPQLTVEESVTYSAWLRLPSHVDEKTRSEFVSEVLKTVELDQLKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICETGRTVVCTIHQPSTEIFEAFDELILMKSGGKMIYSGPVGECSSKVIEYFEKIPGVPKIKSNCNPATWMMDVTSTSMEVQHNINFAILYEESSLHRDTEELVERLSIPIPNSENLCFSHRFPQNGWTQLKACLWKQNITYWRSPEYNLRRIMMSVISALIYGVLFWKRAKILNNEQDLFNIFGAMYLGSTTVGSYSHQSVIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVLLFTLIVYPSTGYYWTAHKFIWFFYTTFCSTLSFVYVGLLLVSITPNVQVATILASFFNTMQTLFSGFILPAPKIPGWWVWLYYLTPTSWTLNALLTSQYGNIEKEVKAFGETKSVSIFLNDYFGFHQDKLSLVAAILIAFPFLLAILFSLSIEKFNFQKR >PAN07734 pep chromosome:PHallii_v3.1:1:53037804:53040033:-1 gene:PAHAL_1G358600 transcript:PAN07734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQHKKSSQLRSAPRKLRARAEEAMVGIFSRFSAGAHRRSKSVAEVVETLAPNMSTGESDTAAVPAESPHGIEVGFEFKPVERPVEPVNLDQPLKCPLPEPSILHDGRIWKEKMSSVSARVRTDLPVVQEGSQLESDSSSTRSRSAVPRRAILPSVSAPEHNIRALLDECDVPVGSAE >PVH66078 pep chromosome:PHallii_v3.1:1:14001221:14002867:1 gene:PAHAL_1G142400 transcript:PVH66078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMIKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTNVSSSEDSSDEGDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVNSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDSRLEKFAHEKVNASTSCDDLLIDAYTTNVVPKLAPSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFLEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTMECTLPSRPLPNLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQR >PAN05402 pep chromosome:PHallii_v3.1:1:24749947:24753319:-1 gene:PAHAL_1G176300 transcript:PAN05402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVLLLLSLAAAAAVVAAAVDAEDPLIRQVVSGGDDNDLELNAESHFLSFVQRFGKSYKDADEHAYRLSVFKSNLRRARRHQLLDPSAEHGITKFSDLTPAEFRRTHLGLRKSRRALLRELGDSAHEAPVLPTDGLPEDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGAHYLATGKLEVLSEQQFVDCDHECDSSEPDSCDSGCNGGLMTTAFNYLQKAGGLESEKDYPYTGRDGKCKFDKSKIVASVQNFSVVSVDEGQIAANLLKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGAAGFAPIRLKEKPYWIIKNSWGENWGENGYYKICRGSNVRNKCGVDSMVSMVSAIHASKE >PAN08004 pep chromosome:PHallii_v3.1:1:54360840:54362996:-1 gene:PAHAL_1G379300 transcript:PAN08004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPISSGGDHAAETESTESLLPKNQHRGGDGDDGGDDFHGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVLIVLAAVLTDASIELLVRYSRAAGAKSYGRAMGDAFGSFGRGFLQFCVVVNNLGVMVVYMIIIGDVLSGTSSHGVHHHGVIEGWFGPNRWNGRFAILTITTFGVFAPLASFKRVDSLRFTSAVSVALAVVFVVITAGIAIFKLARGHIPMPQLFPDVHDWPSIWRLFTAAPVLVTAYICHYNVHPIYNELRDSLQIRPIVRTSLLLCSAVYITTSFFGFLLFGDATLDDVLANFDANLGIPYSSFFNDAVRVSYVLHLMLVFPIVFHALRLNMDGLLFPSARPLSCDNRRFAVLTAALLAVIFLAANFIPNIWDAFQFTGATASVCVAYIFPAAITLRDRHGIARKRDKLLSVFMIVLAVVANAVAVYSDACS >PAN08005 pep chromosome:PHallii_v3.1:1:54361097:54362833:-1 gene:PAHAL_1G379300 transcript:PAN08005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPISSGGDHAAETESTESLLPKNQHRGGDGDDGGDDFHGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVLIVLAAVLTDASIELLVRYSRAAGAKSYGRAMGDAFGSFGRGFLQFCVVVNNLGVMVVYMIIIGDVLSGTSSHGVHHHGVIEGWFGPNRWNGRFAILTITTFGVFAPLASFKRVGKHASTVMVPHLFLFSLNILLIISDSLRFTSAVSVALAVVFVVITAGIAIFKLARGHIPMPQLFPDVHDWPSIWRLFTAAPVLVTAYICHYNVHPIYNELRDSLQIRPIVRTSLLLCSAVYITTSFFGFLLFGDATLDDVLANFDANLGIPYSSFFNDAVRVSYVLHLMLVFPIVFHALRLNMDGLLFPSARPLSCDNRRFAVLTAALLAVIFLAANFIPNIWDAFQFTGATASVCVAYIFPAAITLRDRHGIARKRDKLLSVFMIVLAVVANAVAVYSDACS >PVH65703 pep chromosome:PHallii_v3.1:1:3905883:3906176:-1 gene:PAHAL_1G057700 transcript:PVH65703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCRSFFSSLYLPINCRTKKIISMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PVH66012 pep chromosome:PHallii_v3.1:1:10200115:10201020:-1 gene:PAHAL_1G126500 transcript:PVH66012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGTTPFARSPQLQGTKPHRVLGLPHAHSHKTKHLHFGFSGLCSHAILARSVSVTLLARSVSFTVSKVGTIGNKFE >PAN06717 pep chromosome:PHallii_v3.1:1:48089047:48092881:-1 gene:PAHAL_1G283100 transcript:PAN06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAGGHGGGHGGDGDEGQAVDFRGNPADKSRTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGELHLSNSRSANVVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTTVPSMRPPPCADARGPRGHECVPASGGQLALLYAALYTIAAGAGGLKANVSGFGSDQFDGSDPREERAMVFFFNRFYFCVSLGSLFAVTVLVYVQDHVGRGWGYGVSAAAMLLAVAVLVAGTTRYRYRRPQGSPLTVIGRVLAAAWRKRRLPLPADAAELHGFHAAKVAHTDRLRCLDKAAIVEADLSAATAEKGPAAPAVASTVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRRLRPGASSGFTVPAGSFSVFLFVSILLFTSLNERLLVPLAARLTGRPQGLTSLQRVGAGLVLSVAAMAVAALVERKRREASVGEGHVAISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLAMGFFLSSFLVFAVDGATRGAWIRNNLDRGRLDLFYWMLAVLGVANFAVFLVFARRHQYKPSAVPAAVAPAGSPADSAGSGEKEMDDFVAVKEAVEGVDV >PAN06196 pep chromosome:PHallii_v3.1:1:44730447:44742294:1 gene:PAHAL_1G245400 transcript:PAN06196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECSLAALRGQCRGRWTKSNSKLYPRSVLIGTPKRNNTHNIHLPFQSKAPREWRSSASSHLDFHGKGHRVETSVKCFRLQSLMDSESMVSLSSMLISDEALLTISMLFACLAGVVPSGRTSPHARNQDINQQITEPSPSDSGRDLKVLPERNTGFDPRQMWSEVRAKLSEALQANVQDASLDSREDELRSERKNYPLSMLAIHGGPRLRLLLITFQLLEMEVRNISGSSELDDEIRWLQVSTTLIDGLIQPVFVKWIEEEQALENGQINEKLMKMISSKVKEDDRIFKRFNRFGKSELYLDLLFFLRFGSARSDSYFDAKFLAEHGARILEDLVISLADVIASIYLELMSVDGDMSTEIVSSSLGLCSLSTRELQKLRNEVATNWWLHQYFNSVVSMYEDRFELYVLCRKKCGKPADNQAERTNWWKLAFGNPSVPALLDYVNISPFSLPARRTKELRALTGWRYYFSLFLELSDIAMPFIRAAVSKVSAAVSYFWVSMIGRSLGLIFSGIRQSLGWR >PAN08105 pep chromosome:PHallii_v3.1:1:55016812:55022735:-1 gene:PAHAL_1G387800 transcript:PAN08105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGEEGNASAHKGSARRRGAVQAGLDADELLTLMHGSDPVKVELNRLENEVKDKDRELGEANAEIKALRLSERAREKAVEELTAELEKVDEKLKLTESLLETKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLQGGAAANAVRDYQRKVQEMNEEKKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFMQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKSISNGPPRRLSLGGADSVSKASPNGVLMRRSPSFNSRSSLTTSSSLVLKHAKGSSRSFDGGTRLLDRGKVLGNGPHSLNRSTDAVKDCETADNWKADAEEKSNETTNNDSSDMVSGVLYDMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLNKAMEVEAKKMRREIAAMEKEFAAVRLEKEQESKAKRLGNSKGPGTSQMVSGRAAPRGGLVRNLQ >PAN06802 pep chromosome:PHallii_v3.1:1:48511920:48512932:-1 gene:PAHAL_1G289200 transcript:PAN06802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFPALMRQWPSPPLIPASTLLPVPATSHEDELLLAMAESDLEDKLNEIRKTNSNLVIIGKPTGDTKEEYDAEVEDEDADNVEESDGDDFDQETG >PVH66355 pep chromosome:PHallii_v3.1:1:37008699:37009628:-1 gene:PAHAL_1G217600 transcript:PVH66355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILAADDAQAHIEELQQQPILHAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PAN03904 pep chromosome:PHallii_v3.1:1:1967093:1971852:-1 gene:PAHAL_1G028100 transcript:PAN03904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MLRTKTPRPRGGKARPRAGPAASAVKAKRAAAAEGSSPSGELSLQLEHVSLISFLSDRCPGAPASGLTPFEALLEEEDEDGSRFDLSLPPPSLPQQQQQPPLLPQASPMDADEPMEEKDCCILSQDFFCTPDYITPEMPQVTNEFDDDKENIPCPKSPEKSANPRSKRYRTDCSSKGLESTDFSFDQQITPVQFDSLIRDDSEEDKLMQPALHTRGGYVSQSAVALRCRVMPPPCIKNPYLNTDPCIDDHVYGGRQCNSAGFSPSIGGNGLSRYRTDFHEIEKIGHGNFSVVFKVLNRIDGCLYAVKRSIKQLHNDMERRQAVKEVQAMAALGSHENIVRYFTSWFENEQLYIQMELCDRCLSMNRNQPLKCGEALELLCQICEGLDFIHEHGIAHLDVKPDNIYFRNGIYKLGDFGCATLINRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTPLPESGPHFTSLREGKITLLPGCPMQFQSLIKSMMDPDPVRRPSAKEILRHPAFEKLRKVPAKK >PVH65605 pep chromosome:PHallii_v3.1:1:2498786:2503530:1 gene:PAHAL_1G036500 transcript:PVH65605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSDSDGGGGGEDEEEEEEEEEVRAGSGPPGFSLAIEGVLGACGMVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVTGIRRCLEEGTEFQGDLLNFRKDGSPYMAKLQLTPIYGDDDTITHYMGIQFFNDSNVDLGPSPGSVTKELVRSTWIAPDNTPPPSSVGKGNLWEHSSLFLLSDEVLCQKIFSKLSPRDIASVNSVCKRLYHMTKNEDLWRMVCQNAWGSEATRTLETVAGTRSLAWGRLARELTTLEAVAWRKLTIGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWRHVNVSSAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFILDLDAQHPTWREIYGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMEKPVWREIPAPWTPPSRLGHSLSVYDGKKILMFGGLAKSGPLRLRSSDVFTLDLSEDKPCWRCITGSGMPGAGNPAGVGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPAEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTEMHELSLVSSLV >PVH65606 pep chromosome:PHallii_v3.1:1:2498775:2503530:1 gene:PAHAL_1G036500 transcript:PVH65606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSDSDGGGGGEDEEEEEEEEEVRAGSGPPGFSLAIEGVLGACGMVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVTGIRRCLEEGTEFQGDLLNFRKDGSPYMAKLQLTPIYGDDDTITHYMGIQFFNDSNVDLGPSPGSVTKELVRSTWIAPDNTPPPSSVGKGNLWEHSSLFLLSDEVLCQKIFSKLSPRDIASVNSVCKRLYHMTKNEDLWRMVCQNAWGSEATRTLETVAGTRSLAWGRLARELTTLEAVAWRKLTIGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWRHVNVSSAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFILDLDAQHPTWREIYGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMEKPVWREIPAPWTPPSRLGHSLSVYDGKKILMFGGLAKSGPLRLRSSDVFTLDLSEDKPCWRCITGSGMPGAGNPAGVGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPAEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTEMHELSLVSSLV >PAN04032 pep chromosome:PHallii_v3.1:1:2498786:2503530:1 gene:PAHAL_1G036500 transcript:PAN04032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSDSDGGGGGEDEEEEEEEEEVRAGSGPPGFSLAIEGVLGACGMVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVTGIRRCLEEGTEFQGDLLNFRKDGSPYMAKLQLTPIYGDDDTITHYMGIQFFNDSNVDLGPSPGSVTKELVRSTWIAPDNTPPPSSVGKGNLWEHSSLFLLSDEVLCQKIFSKLSPRDIASVNSVCKRLYHMTKNEDLWRMVCQNAWGSEATRTLETVAGTRSLAWGRLARELTTLEAVAWRKLTIGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWRHVNVSSAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFILDLDAQHPTWREIYGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMEKPVWREIPAPWTPPSRLGHSLSVYDGKKILMFGGLAKSGPLRLRSSDVFTLDLSEDKPCWRCITGSGMPGAGNPAGVGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPAEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTEMHELSLVSSLV >PVH65604 pep chromosome:PHallii_v3.1:1:2498775:2503530:1 gene:PAHAL_1G036500 transcript:PVH65604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSDSDGGGGGEDEEEEEEEEEVRAGSGPPGFSLAIEGVLGACGMVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVTGIRRCLEEGTEFQGDLLNFRKDGSPYMAKLQLTPIYGDDDTITHYMGIQFFNDSNVDLGPSPGSVTKELVRSTWIAPDNTPPPSSVGKGNLWEHSSLFLLSDEVLCQKIFSKLSPRDIASVNSVCKRLYHMTKNEDLWRMVCQNAWGSEATRTLETVAGTRSLAWGRLARELTTLEAVAWRKLTIGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWRHVNVSSAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFILDLDAQHPTWREIYGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMEKPVWREIPAPWTPPSRLGHSLSVYDGKKILMFGGLAKSGPLRLRSSDVFTLDLSEDKPCWRCITGSGMPGAGNPAGVGPPPRLDHVALKRSRPGGF >PAN04031 pep chromosome:PHallii_v3.1:1:2498775:2503530:1 gene:PAHAL_1G036500 transcript:PAN04031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSDSDGGGGGEDEEEEEEEEEVRAGSGPPGFSLAIEGVLGACGMVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVTGIRRCLEEGTEFQGDLLNFRKDGSPYMAKLQLTPIYGDDDTITHYMGIQFFNDSNVDLGPSPGSVTKELVRSTWIAPDNTPPPSSVGKGNLWEHSSLFLLSDEVLCQKIFSKLSPRDIASVNSVCKRLYHMTKNEDLWRMVCQNAWGSEATRTLETVAGTRSLAWGRLARELTTLEAVAWRKLTIGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWRHVNVSSAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFILDLDAQHPTWREIYGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMEKPVWREIPAPWTPPSRLGHSLSVYDGKKILMFGGLAKSGPLRLRSSDVFTLDLSEDKPCWRCITGSGMPGAGNPAGVGPPPRLDHVALKRSRPGGF >PVH66434 pep chromosome:PHallii_v3.1:1:44631164:44631681:1 gene:PAHAL_1G244900 transcript:PVH66434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKYAAPRIEGYAFLGFMGVCYSSRREQFRREQFRATVAASLKPFLRALLSPV >PVH66496 pep chromosome:PHallii_v3.1:1:46717742:46718844:1 gene:PAHAL_1G263100 transcript:PVH66496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSAELPDPRPSWTILSRVARHVGDELSLPLAERPCLSNLTIPTRFGEYPTYYGDAEHPYIVAANDAGNLVVAWDFYPVDGTGRDELSATTVRVADRAVELSHFSGIKSVGLLPLSAPAGFVVAELQVVEDHGRRAANLIWFHSDEDSWNEVELTCPDVTPRDHAEWMPHDVIAYDRKLWWVDLTRGFLVCNPVDPKPHLSFASLPDLTGEMFVALDDRHEGLERIDSRRIVRVTGGKLRFVDVVRRARWEHHQCMTTLAAIWDHASYVESGMPREFPVLAFLHPDKHAVVYFFLDEYLFSVNVYDSAVVQFAGEA >PAN04156 pep chromosome:PHallii_v3.1:1:3164361:3165592:1 gene:PAHAL_1G046300 transcript:PAN04156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKATARFVMEVAPPPVVTVMRRRKVASSLDTIAEDDREQLAYGPASEYQGFAAAWSRRATRERAGRLMRSSAGTSRTPTASRPAPAAGRVATS >PAN07445 pep chromosome:PHallii_v3.1:1:51578211:51582265:-1 gene:PAHAL_1G336400 transcript:PAN07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSTSSPVITDPVSISPPLLGSLTSNLMPFSVMSGGCSSPGMNVSASRRTIEEVLVNGLLDAMKSSSPRKKHNLAFSPGDSPDEDPAYTAWMSKCPSALTFFKQIVANAQGRKIAVFLDYDGTLSPIVDDPDKAFMSPAMRAAVRNVAKYFPTAIVSGRSRKKVSEFVKLKELYYAGSHGMDIVTSAAEHNTEKGKEANLFQPAFEFLPMIDEVSKSLLEATSGIEGANVENNKFCVSVHYRNVAEKDWEVVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRERNCGYGILVSQVPKDTEALYSLRDPSEVMGFLNALVRWKKHSL >PAN07444 pep chromosome:PHallii_v3.1:1:51578481:51580539:-1 gene:PAHAL_1G336400 transcript:PAN07444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSTSSPVITDPVSISPPLLGSLTSNLMPFSVMSGGCSSPGMNVSASRRTIEEVLVNGLLDAMKSSSPRKKHNLAFSPGDSPDEDPAYTAWMSKCPSALTFFKQIVANAQGRKIAVFLDYDGTLSPIVDDPDKAFMSPAMRAAVRNVAKYFPTAIVSGRSRKKVSEFVKLKELYYAGSHGMDIVTSAAEHNTEKGKEANLFQPAFEFLPMIDEVSKSLLEATSGIEGANVENNKFCVSVHYRNVAEKDWEVVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRERNCGYGILVSQVPKDTEALYSLRDPSEVMGFLNALVRWKKHSL >PAN08313 pep chromosome:PHallii_v3.1:1:55866334:55868333:-1 gene:PAHAL_1G402200 transcript:PAN08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSRPHAVFLPYPAQGHVTPLLQLAKVLHARGFFITYVHSEYNRRRLLRSRGAGALAGLDDFRFEAIPDGLPPSANDDVTQDIPALCDALSRSAAAPFGDLLARLNSAPGRPPVTCVVLDNFMSFAQRVANGMGILALVFCTMSACGFMGYLQFMELMDRGYVPLKDESFLTNGYLDTVLDWVPGMPGIRLRDIPSFIRTTDPDDFMVHFDGGEAQNSRHAQGIIVNTFDELEQDVVDALRREFPRVYTVGPLVTSVKTLARPDAAAISGNLWKEDASCFQWLDAQRPGSVVYVNFGSITVMTPAQLAEFAWGLASCGRPFLWVIRPDLVTGEKAVLPEEFFAETKGRGLFLNWCPQEEVLSHPSTGLFLTHSGWNSTLESICAGVPMICWPFFAEQMTNCRYACAKWDIGLEIDNNVTREEVARLVKEAMDGEKGRDMNAEAAMWKEKAVAATEEGGASSVNIDCLVGFLLEGSVPTTAS >PVH66737 pep chromosome:PHallii_v3.1:1:51392232:51393931:1 gene:PAHAL_1G334000 transcript:PVH66737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKKTEKLHQKVRRMMGVALFRFPTRISQSNVLLLPRANIVKARARNQKLESASKSIPKRKHVLGSTAGGSTSSGVATLHLLRRRPHLQLATSAAPQRHIQRRAPARSRSTPRPHQTQVVNRVRHFVEHTTAPVAAMILTKPHPSSLAAPSAALPKPGPAANRVATSLPSSSSRRRGGGLRVSAASVAAAQPTAASPATAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLRPVLVHGGGPEINSWLARVGVEPQFRNGLRVTDAVTMEVVEMVLVGKVNKQLVSLISLAGATAVGLCGKDARLLTARPSRDAASLGFVGEVTRVDPSVLHPIIASGHIPVIATVAADEAGQAYNINADTAAGEIAAAIGAEKLLLLTDVSGILADRDDPGSLVREVDAAGVRRMIAEGKVGGGMIPKVECCVRAIAQGVRTASIIDGRVPHSLLLEILTDEGTGTMITG >PAN05577 pep chromosome:PHallii_v3.1:1:19551200:19555324:-1 gene:PAHAL_1G159800 transcript:PAN05577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPCSPRVHLHRLSPTRRLPLASPSPLPGRRLRRSTTIRAEAEAPPPPPPSSAAEPEPPDAGAVDVEGEGPVELRAPMLFSTDDNPTTLQTATSLLLTGAISIFLFRSLRRRARRAKELRVRSSGVKKPNNLTEEALEGLRMMSASPIETEKPPSPIQALLGGIAAGVIAVILYKFSTTIEAALNRQTISDSFSVRQITITIRTIITGLCYLATSVFGINAGRLTNNQTQWHHLIAPQVTGNQPLVTYSRYLTRVKTHQSSRVGHAIKSSWTINRWITGAAKRKLVL >PAN05576 pep chromosome:PHallii_v3.1:1:19551994:19555245:-1 gene:PAHAL_1G159800 transcript:PAN05576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPCSPRVHLHRLSPTRRLPLASPSPLPGRRLRRSTTIRAEAEAPPPPPPSSAAEPEPPDAGAVDVEGEGPVELRAPMLFSTDDNPTTLQTATSLLLTGAISIFLFRSLRRRARRAKELRVRSSGVKKPNNLTEEALEGLRMMSASPIETEKPPSPIQALLGGIAAGVIAVILYKFSTTIEAALNRQTISDSFSVRQITITIRTIITGLCYLATSVFGINAVGLILYSLQLTFQSIMDDDSSSSSTGKINEQSNTMASSDSSTSNRESAISDLQQISDKSKNSPE >PVH66356 pep chromosome:PHallii_v3.1:1:37364951:37366197:-1 gene:PAHAL_1G218400 transcript:PVH66356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRTQTTSPKTSSRVSSCTQSCLWLRPPLELRMVQDSDDPLFVASVVVWVLVVILVIVALHCSLPRRAVR >PAN05488 pep chromosome:PHallii_v3.1:1:27554446:27555197:-1 gene:PAHAL_1G187600 transcript:PAN05488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLSLLVLLATTCCCAIASDPSLLQDFCVADKMSQVSVNGFTCKDAKEVVAEDFFFSGLHVAANTSNKQGSGVTAANVMQIPGLNTMGVSMVRIDYAPKGQNPPHTHPRATEILAVLEGSLYVGFVTSNPDNTLISKVLNKGDVFVFPKGLLHFQYNYGTENAIALAALSSQNPGVITIANTVFGSEPSISDGILAKAFQVDKKTVNCIQAQF >PVH65822 pep chromosome:PHallii_v3.1:1:5901249:5902165:1 gene:PAHAL_1G084900 transcript:PVH65822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAHACAYRFCKAVLVLYMLLPLFLLNPLSARQEVVDGKWDGTVADSDVQGGHGLILGRSTRRALNVVPKDPEGGPGCCHPIRINSGPHGRPGN >PAN06725 pep chromosome:PHallii_v3.1:1:48166460:48171186:-1 gene:PAHAL_1G284100 transcript:PAN06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKNPSQDTVQIRGHVALQNVSYKDVVEIKLADTVDSGNYGSNFVKDVCVDEGALLHQKISEEKPVDKRSSPNFSCQMIDANSDIRYGKKHHSKKSVHELKLETVVPVDIVPHCDNGKQQSSGKEYDHEDRITPDYIASDPSEKKVSLQELLLLESAEESRHASTINSESSEKHKCSLHEEVGQTSKDGFPDAQAFLTNTSEQVSKENTSGCSGTMSEYHDAAATLDVREPHKIDRYNPFIDHRLEDASEPECSIPGITDAASTDSILTVDNLASGSTGIDEVETAEPRTDAVSSSSSDIQSSEKSNDHSESIVSKAITDAVDETAVATSSSRNSEPSDAHGENQEKCVSDSVADQIDEEHCLGTDDAVSKSSTLAQDHSVAEQTKPESSQSTALVGNDNLSEPNFFGPSIMSGPVSMSGHIAYSGNVSLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRRSRRRRVWRKGLICCKF >PAN06728 pep chromosome:PHallii_v3.1:1:48166461:48171200:-1 gene:PAHAL_1G284100 transcript:PAN06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKNPSQDTVQIRGHVALQNVSYKDVVEIKLADTVDSGNYGSNFVKDVCVDEGALLHQKISEEKPVDKRSSPNFSCQMIDANSDIRYGKKHHSKKSVHELKLETVVPVDIVPHCDNGKQQSSGKEYDHEDRITPDYIASDPSEKKVSLQELLLLESAEESRHASTINSESSEKHKCSLHEEVGQTSKDGFPDAQAFLTNTSEQVSKENTSGCSGTMSEYHDAAATLDVREPHKIDRYNPFIDHRLEDASEPECSIPGITDAASTDSILTVDNLASGSTGIDEVETAEPRTDAVSSSSSDIQSSEKSNDHSESIVSKAITDAVDETAVATSSSRNSEPSDAHGENQEKCVSDSVADQIDEEHCLGTDDAVSKSSTLAQDHSVAEQTKPESSQSTALVGNDNLSEPNFFGPSIMSGPVSMSGHIAYSGNVSLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRRSRRRRVWRKGLICCKF >PAN06729 pep chromosome:PHallii_v3.1:1:48166464:48171148:-1 gene:PAHAL_1G284100 transcript:PAN06729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKNPSQDTVQIRGHVALQNVSYKDVVEIKLADTVDSGNYGSNFVKDVCVDEGALLHQKISEEKPVDKRSSPNFSCQMIDANSDIRYGKKHHSKKSVHELKLETVVPVDIVPHCDNGKQQSSGKEYDHEDRITPDYIASDPSEKKVSLQELLLLESAEESRHASTINSESSEKHKCSLHEEVGQTSKDGFPDAQAFLTNTSEQVSKENTSGCSGTMSEYHDAAATLDVREPHKIDRYNPFIDHRLEDASEPECSIPGITDAASTDSILTVDNLASGSTGIDEVETAEPRTDAVSSSSSDIQSSEKSNDHSESIVSKAITDAVDETAVATSSSRNSEPSDAHGENQEKCVSDSVADQIDEEHCLGTDDAVSKSSTLAQDHSVAEQTKPESSQSTALVGNDNLSEPNFFGPSIMSGPVSMSGHIAYSGNVSLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRRSRRRRVWRKGLICCKF >PAN06727 pep chromosome:PHallii_v3.1:1:48166923:48168733:-1 gene:PAHAL_1G284100 transcript:PAN06727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKNPSQDTVQIRGHVALQNVSYKDVVEIKLADTVDSGNYGSNFVKDVCVDEGALLHQKISEEKPVDKRSSPNFSCQMIDANSDIRYGKKHHSKKSVHELKLETVVPVDIVPHCDNGKQQSSGKEYDHEDRITPDYIASDPSEKKVSLQELLLLESAEESRHASTINSESSEKHKCSLHEEVGQTSKDGFPDAQAFLTNTSEQVSKENTSGCSGTMSEYHDAAATLDVREPHKIDRYNPFIDHRLEDASEPECSIPGITDAASTDSILTVDNLASGSTGIDEVETAEPRTDAVSSSSSDIQSSEKSNDHSESIVSKAITDAVDETAVATSSSRNSEPSDAHGENQEKCVSDSVADQIDEEHCLGTDDAVSKSSTLAQDHSVAEQTKPESSQSTALVGNDNLSEPNFFGPSIMSGPVSMSGHIAYSGNVSLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRRSRRRRVWRKGLICCKF >PAN06726 pep chromosome:PHallii_v3.1:1:48166459:48171148:-1 gene:PAHAL_1G284100 transcript:PAN06726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKNPSQDTVQIRGHVALQNVSYKDVVEIKLADTVDSGNYGSNFVKDVCVDEGALLHQKISEEKPVDKRSSPNFSCQMIDANSDIRYGKKHHSKKSVHELKLETVVPVDIVPHCDNGKQQSSGKEYDHEDRITPDYIASDPSEKKVSLQELLLLESAEESRHASTINSESSEKHKCSLHEEVGQTSKDGFPDAQAFLTNTSEQVSKENTSGCSGTMSEYHDAAATLDVREPHKIDRYNPFIDHRLEDASEPECSIPGITDAASTDSILTVDNLASGSTGIDEVETAEPRTDAVSSSSSDIQSSEKSNDHSESIVSKAITDAVDETAVATSSSRNSEPSDAHGENQEKCVSDSVADQIDEEHCLGTDDAVSKSSTLAQDHSVAEQTKPESSQSTALVGNDNLSEPNFFGPSIMSGPVSMSGHIAYSGNVSLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRRSRRRRVWRKGLICCKF >PVH67036 pep chromosome:PHallii_v3.1:1:56767683:56769650:1 gene:PAHAL_1G414500 transcript:PVH67036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSCSCSTAAGTARRHSGNSTAAGVAAILPPDRPAGHTSQQRYLSARFRFGRDQSSSSSSAASGADRVSASGGAHCGGTNNSAHP >PVH65981 pep chromosome:PHallii_v3.1:1:9242721:9244455:1 gene:PAHAL_1G118000 transcript:PVH65981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLSPTPTPTARPQHLPFCALSAPFLPVPSLPRRIASPPPLAFLSLHPSCVPEARATATTTTRTPPPPRHSC >PAN08678 pep chromosome:PHallii_v3.1:1:57915483:57918989:-1 gene:PAHAL_1G429000 transcript:PAN08678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRASRASNPPAAAAAAGAGAAKNVSSNAGRSTPSCSAPAYQSFRPVTRSMTRAPAPIAASPDLKEGGSASTSSRRSISDASFSIQSAASRPTVTNSRTPHKVTSSGWKPLTQPVALSEERKCANLTTAKRSRVASSRAVKDSTNHPASKANLNVPIGKKYRDEENMSQGDQLDGAVMPSPPKKLQTCKDPSDSPSIRKSTIRILGGQSATPLPTGKSVAETVKNLASIPAKVVAASTNDIGQSVPLLAQQQQPDTAKNSSVITQTIANERSQVNQLAATVVTLPRQNLLSDYGKKLSNVPIVPNQVSGLAGATAPLVTRKLEIGKVQNTSSLLSNPAYARALVIKQQERLLQQYKLGSSQHQQQQQQHQLYIKGPALFETDEAPPVEPLGTRCQLCKLDVAFRPQGDAGRDANAPPVVAVLACHHAFHSRCIESIYGLAEPTECLACVETGAVH >PAN08677 pep chromosome:PHallii_v3.1:1:57915941:57917536:-1 gene:PAHAL_1G429000 transcript:PAN08677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNAGRSTPSCSAPAYQSFRPVTRSMTRAPAPIAASPDLKEGGSASTSSRRSISDASFSIQSAASRPTVTNSRTPHKVTSSGWKPLTQPVALSEERKCANLTTAKRSRVASSRAVKDSTNHPASKANLNVPIGKKYRDEENMSQGDQLDGAVMPSPPKKLQTCKDPSDSPSIRKSTIRILGGQSATPLPTGKSVAETVKNLASIPAKVVAASTNDIGQSVPLLAQQQQPDTAKNSSVITQTIANERSQVNQLAATVVTLPRQNLLSDYGKKLSNVPIVPNQVSGLAGATAPLVTRKLEIGKVQNTSSLLSNPAYARALVIKQQERLLQQYKLGSSQHQQQQQQHQLYIKGPALFETDEAPPVEPLGTRCQLCKLDVAFRPQGDAGRDANAPPVVAVLACHHAFHSRCIESIYGLAEPTECLACVETGAVH >PAN04379 pep chromosome:PHallii_v3.1:1:4311409:4316559:-1 gene:PAHAL_1G062900 transcript:PAN04379 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0182100)] MTVEEVKLEVKANGGHGAKDQFPVGMRVLAVDDDPTCLKVLENLLLRCQYHVTTTGQAATALKLLREKKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRIEQLRTIWQHVVRRKSSDAKNHGNDNDDSGKKLQLASAEGDNGGVNRNKRTSRKGRDDNGDDGDDSDDSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSADASRQANLTAAFGGRNPAYVNMGLEAFRQYNNAYGRYRPVPTPNHSQSNNLLARMNSPSAFGMHGLLPSQSLQIGHTQNNLSTSLGNVGGMNNGNLIRGAHMPLQDSSNCFPTGPSGNSFANISNGTTLVPTNNLPLHSLEPSNQQHLGRMHSSSTDPFNSFVGESPQFPDLGRCNTTWPTAVSSSNVHELGQKDSMSQPNLRVNGPKLEPLSSFTEASSQIPLLGNETHGQVASLANTALPMPFNQEAVPFTYGSSANSREMLNNNLALSNSGINSSLPNLRIDNSVVPRQTLDGGNSGGGVPPLQDCRIDQQAVSSQLNYNNDLMGTSRLQRGLSGGLDDIVVDMFRPDRADDGVPFIDGDWELV >PVH66316 pep chromosome:PHallii_v3.1:1:32926949:32931167:-1 gene:PAHAL_1G204900 transcript:PVH66316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGFAAPRPRLVRPGKHARPAQLHRSSPTAAPAPPLPALCPGSSLAASHAAAVAPRPRLDRRRCSQPGVVPARRTRAAGPASPLLAHDRSGAAAACPAPWLLARGGQAAAVAPRPRSALRPDSSLAAG >PVH67246 pep chromosome:PHallii_v3.1:1:59743827:59747112:-1 gene:PAHAL_1G460400 transcript:PVH67246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAVHKRKRPDEPAPAAADVDLSAADAVEVLDLRAAKRLLLAFERRLRDNLEARMKYPDDPARFADSEIALHAEADRLRLLAGAPELFPDLVPIGLASSLASLLTHDNADLAAAAASLLADLTDSDDPSDLAAVQALADALVDANALDLLVHNLSRFSEADPDEAEAVHNTLAVLENLLDLRPNLADKVCDGTKLLRWLLSRLKAREFDANKQYASEILAILLQNSPANQKRLGQINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPLENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGKIPANKKNKKESYQEELEERIISIIASLFGGITKGSRRMRLLGKFVENECEKIDRLMEFYTRYSDRVKEETERLDSLDLEDLEMDDDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRARVELLLRQNKLTKQDVKDILEEYHDNIGDLDGPEEKERAQARTKEIIAVL >PAN07361 pep chromosome:PHallii_v3.1:1:51131612:51134913:-1 gene:PAHAL_1G329400 transcript:PAN07361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRFRCLSSKVKKGKGGKRRERPAAPASPAPASFPSTGSTGARSNLSFSTASSAVGTTLSEDSSAAVRAAAGKSSGSVSVSSARRIPELYEERGANSLREFRFRELRVATSDFSRLLKLGEGGFGSVYKGVIRLPGGPAGGTVVAIKKLNPKGHQGHKQWLAEVHFLGVVEHPNLVKLIGYCATQSERGLQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLEIALDAAEGLMYLHEGLEVQVIYRDFKASNVLLDEEFRAKLSDFGLAREGPSANHTHVSTAVMGTLGYAAPDYVETGHLTTKSDVWSFGVVLYEILTGRRSLERNRPKTEQKLLEWGARKVAKLANSCLAKHRRDRPTMREVVKSLEQAMQHKELDGDAGASGETSPLDEVSGKPTTEDVAVASARRRMLHLAALGENANSIAKRRFMLMRSAAAPTPT >PVH66726 pep chromosome:PHallii_v3.1:1:51132642:51134525:-1 gene:PAHAL_1G329400 transcript:PVH66726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRFRCLSSKVKKGKGGKRRERPAAPASPAPASFPSTGSTGARSNLSFSTASSAVGTTLSEDSSAAVRAAAGKSSGSVSVSSARRIPELYEERGANSLREFRFRELRVATSDFSRLLKLGEGGFGSVYKGVIRLPGGPAGGTVVAIKKLNPKGHQGHKQWLAEVHFLGVVEHPNLVKLIGYCATQSERGLQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLEIALDAAEGLMYLHEGLEVQVIYRDFKASNVLLDEEFRAKLSDFGLAREGPSANHTHVSTAVCTDLLHRLTSLMHVHLTEFSPLNFDSISP >PAN07360 pep chromosome:PHallii_v3.1:1:51132070:51134525:-1 gene:PAHAL_1G329400 transcript:PAN07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRFRCLSSKVKKGKGGKRRERPAAPASPAPASFPSTGSTGARSNLSFSTASSAVGTTLSEDSSAAVRAAAGKSSGSVSVSSARRIPELYEERGANSLREFRFRELRVATSDFSRLLKLGEGGFGSVYKGVIRLPGGPAGGTVVAIKKLNPKGHQGHKQWLAEVHFLGVVEHPNLVKLIGYCATQSERGLQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLEIALDAAEGLMYLHEGLEVQVIYRDFKASNVLLDEEFRAKLSDFGLAREGPSANHTHVSTAVMGTLGYAAPDYVETGHLTTKSDVWSFGVVLYEILTGRRSLERNRPKTEQKLLEWVRQYPVESKQFSKIIDTRLEGHYSKQGARKVAKLANSCLAKHRRDRPTMREVVKSLEQAMQHKELDGDAGASGETSPLDEVSGKPTTEDVAVASARRRMLHLAALGENANSIAKRRFMLMRSAAAPTPT >PVH67158 pep chromosome:PHallii_v3.1:1:58678364:58680063:1 gene:PAHAL_1G441500 transcript:PVH67158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQDTTYAAYIVFRLGDKTYGLDYPAQEASITVAESTSTRKVCLQSSVNEDEDWAGFGDPLSRRYHRACCGHLVFPGKRSDGWMELKLGELYVKDCDTGEVCMNLMETKVCGPKGGLLVQGIEIRPKKGLLASSSAMSKSKHQAGGEEQAATTTSTVACEIVRLPQELLMKVLSHITPQDAARAAAVSQAFRAILDSDAFWTRFVTSVHNLLLFHYNGQTLSQKEMFLCLSDRPILSVTRRMSMWLDRQTGAKCYMLSARALNIAWSRKPQHWRWIIHCTDRSFSQAAELLSVCWFEIRGKIDSEMLSLNSTYAAFLVFKLDDESAHRRDFPVLKASVSIGGCKSTRQVSLHGSDGDEDGLPSHPQQRADGWMELELGEFYNKQGNDGEVCIRLSETAELNNKKGLIVYGMEIRPKK >PVH67159 pep chromosome:PHallii_v3.1:1:58677141:58680069:1 gene:PAHAL_1G441500 transcript:PVH67159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQDTTYAAYIVFRLGDKTYGLDYPAQEASITVAESTSTRKVCLQSSVNEDEDWAGFGDPLSRRYHRACCGHLVFPGKRSDGWMELKLGELYVKDCDTGEVCMNLMETKVCGPKGGLLVQGIEIRPKKGLLASSSAMSKSKHQAGGEEQAATTTSTVACEIVRLPQELLMKVLSHITPQDAARAAAVSQAFRAILDSDAFWTRFVTSVHNLLLFHYNGQTLSQKEMFLCLSDRPILSVTRRMSMWLDRQTGAKCYMLSARALNIAWSRKPQHWRWIIHCTDRSFSQAAELLSVCWFEIRGKIDSEMLSLNSTYAAFLVFKLDDESAHRRDFPVLKASVSIGGCKSTRQVSLHGSDGDEDGLPSHPQQRADGWMELELGEFYNKQGNDGEVCIRLSETAELNNKKGLIVYGMEIRPKK >PAN08870 pep chromosome:PHallii_v3.1:1:58677141:58680063:1 gene:PAHAL_1G441500 transcript:PAN08870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGTCEITRLPEELLSAALALTTPRDACSAAVVSRDLRAAADSDAVWSRFVPHDLPSLADGEFCDPAPASAKGRFLRLSDSHRPLLLADGLMSMWLDRETGAKCYMLSARSLHISWGDTPEYWRWIPLPDSCRVSEGAELRFVCWLEIRGRIHSKMLSQDTTYAAYIVFRLGDKTYGLDYPAQEASITVAESTSTRKVCLQSSVNEDEDWAGFGDPLSRRYHRACCGHLVFPGKRSDGWMELKLGELYVKDCDTGEVCMNLMETKVCGPKGGLLVQGIEIRPKKGLLASSSAMSKSKHQAGGEEQAATTTSTVACEIVRLPQELLMKVLSHITPQDAARAAAVSQAFRAILDSDAFWTRFVTSVHNLLLFHYNGQTLSQKEMFLCLSDRPILSVTRRMSMWLDRQTGAKCYMLSARALNIAWSRKPQHWRWIIHCTDRSFSQAAELLSVCWFEIRGKIDSEMLSLNSTYAAFLVFKLDDESAHRRDFPVLKASVSIGGCKSTRQVSLHGSDGDEDGLPSHPQQRADGWMELELGEFYNKQGNDGEVCIRLSETAELNNKKGLIVYGMEIRPKK >PVH67227 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PAN09093 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PAN09093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQGVSVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PVH67232 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEQDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQGVSVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PVH67234 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEQDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PVH67228 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGQSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PVH67231 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGQSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQGVSVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PVH67235 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PVH67230 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEQDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PAN09094 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PAN09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQGVSVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PVH67229 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGQSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQGVSVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PVH67233 pep chromosome:PHallii_v3.1:1:59626154:59633651:1 gene:PAHAL_1G457700 transcript:PVH67233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIDVDAGATGEVPAAGGGEETKRKRGRPKGSFNKKKKTPKPKPRTNGRRCTGDRALASRALSPGDLGRGVAAGPRVLRERRPAANAFYERDTDTEQDDEETVNDQVSHERPKSNDSGKKRGRPRKTKAGELDSTAQFSNGKSNCETNGSDAEAARGKESKTGEFSQIVKKRKRRDIGKEFITKKLKNMDKEEKKLLSAKDKKYDANVVVGRTILTGENALMCHQCQRKDKGRVVWCQSCKKKRFCVPCIELWYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVEEPPKKEISQENQIRYAYHIVSLLLPWMRELRQEQMEEKEVEAQTQGVSVNEIKVEQAECDLDDRVYCNKCRTSIVDFHRSCKHCLYDLCLNCCKELRKGEIPGGEEVETPRYENRGRSYAFGEILQSEDANKSVSSRRHKNPPNGEPHNGMAAVEKPNNHLHLWKAKIDGSIPCPPKEIGGCGGTLMDLKCLFPEKMLAELEDRADKVLRSEMFAKAIVRRSDRCPCFDNSGKIRTDCKSVREAANRKDSSDNFLYCPVATDIQDDDLVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERTKGKAEDEQFAVVALDCLDWCEVEINIHMFFSGYTTGRAHTRTHWPEMLKLKDWPPSSSFDQRLPRHVKLPTGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTSFCQIEKIQQDMWAQDLQELYGGLESSTDIRLSQSPIEKRDKVVDEAPNTSYSREDNHANKSSFNGLDINALPPDDSENDTNDKESSHESVSRSELGQCPAQSNEVNASHKMHNGSHCISDGIDMGQHGKRSRGGTLKEVGTRSPEEKPKKVDCNGTDATQISKNIQEKPAAGEGSEQQNTGGALWDIFRREDSEKLQDYLRKHSSEFRHIYCNPVKQVIHPIHDQSFYLTAEHKRKLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIKLTGEFRRLPSSHRANEDKLEIKKMALHALNEVVNFLDSCSSEEGLERGAGDPSIVAKSSVDEKPPPPKRQGGRRGGDDPKSEEDSSKRSEEVAVENNKPKQRGRPAGSRKRGQ >PAN07410 pep chromosome:PHallii_v3.1:1:51365940:51367542:1 gene:PAHAL_1G333700 transcript:PAN07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAIHGPTTSSSSDGDSGAGSCVINGAQELPSTNGRQHKHVKRKRATSPPAAPGGRRAPEAAVDEEGSGGGAGRRKRGSAGTRHPTFRGVRMRVWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPHLAHELPRPASTAPADIQAAAAMAAAAADVEQCVSSHADETSSSSAASSEKVATAAKAAAAAITADVEQCESSPAAETSSSSAASSVEAAAGHTEENVLFDLPDLLLDLSDGLWSPIWAAAPAAAEEYDGDSLHEPLLWADDDDQCWMDAAAPVQPD >PAN05148 pep chromosome:PHallii_v3.1:1:9194928:9195206:1 gene:PAHAL_1G117500 transcript:PAN05148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPRALRRPLTRWHLCRQIPQAAHHGVSHRRRRRFPTHRIMETCRQPLLMAWRAARPCFDLAGRGASMRASNFTDLVPQISIHPAMIDAW >PAN08556 pep chromosome:PHallii_v3.1:1:57255423:57257781:1 gene:PAHAL_1G420200 transcript:PAN08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 16 [Source:Projected from Arabidopsis thaliana (AT3G43860) UniProtKB/Swiss-Prot;Acc:Q8VYG3] MRALKHGTMRAHVALALAALVLAGDALQPALAAGGFNYHDALTKTIIFLEAQRSGKLPPNNRVKWRGDSGLEDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTALAFKPELEKAKEMDHVNEALRWGTDYLLKCAARKKKLWVQVGDPNLDHQCWVRPENMKAPRTLYEIDEKTPGTEIAAETAAAFAAASMVFRNDQKYSRALLNKAKLLFLFAKSHQGSYDGECPFYCSYSGYNDELLWAATWLYLATKRQLYADYISHEAISSSVAEFSWDLKFPGAQVLLAEFNMSSGGGAQTFKTQADNFVCAVLPDTAFHQVFITPGGVIHLRDGANTQYVTSTAFLFIVYSDLLLRTGQTVLCGNQPIRPERLREFAKQQMDYLLGANPRGSSYVVGFGANSPTQPHHRGASTPVLPPGYDVNCGLSFGEWFAPDRPNPNELTGAIMGGPDKDDKFVDKRANSSYTEPCTYINSLAIGPLAALAVRGAQLVATH >PAN05255 pep chromosome:PHallii_v3.1:1:10020106:10022382:-1 gene:PAHAL_1G125300 transcript:PAN05255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHVVVVPYPGSGNINPALQLAKLLHRHGVYVTFVNTEHNHRRVQATEGAGAVRGREGFRFEAIPDGLSEADRGKQDYLRGLAVSTSTRCAAPLRDLIARLNATPGVPPVSCVLPTMLMSFALGVARELGIPTMVFWTGSAAALMTHMRLQELEERGYLPLKDESYLTNGYLEKTVIDWIPGMPPICLGDFSSFLRTTDPNDFGLRFNESEANKCTTAGALILNTFDGLEADVLAALRAEYPRMYTVGPLGSLLRQNLDSAAADSTGLSLWKQDAGCLAWLEAQEQRSVVYVNFGSHTVLTPEQLAEFAWGLSACGHLILWCVRDNLVRGGGPAVLPPDFTAAAAGRCHVTAWCPQEQVLRHPAVGCFLTHSGWNSTCESLSAGVPMVCWPGFSDQYTNCKYACEVWGVGVRLDEEVRREQVAAHVREAMGSEVMRRAAAKRKEEAETATSPGGSSSENLLSMVRELSSGNNEEVKK >PAN08717 pep chromosome:PHallii_v3.1:1:58076524:58083770:-1 gene:PAHAL_1G432400 transcript:PAN08717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MDCFSEMVVDLDHLVVQLIHAVEQSHSKGVCHGDIKCENVVVTSWNWLYLTDFASFKPTYIPLDDPSDYSFYFDTGGRRRCYLAPERFYEHGGESQVAADAPLQPSMDIFSLGCVIAELFLDGQPLFEYAQLLSYRRGQHDPIIALEKIQDSGIRDMILHMIQLDPKKRLPCRSYLQKYESVVFPFYFSNFLHKFFADIVPLDSDARVEKTQDNFEKILEIMMGSSTIEQIERSTLSQHSEPSGRKGMERQILNSPGDSKKNTSVVKKHTPVDHQHIAGDINFLLKEVENRSNSTSTKVTKDMDHIRPSQLVNPAGSGTGSGLMAQNNVVSHVQKISKSNLCSLVAGYDGQSDTYSFDIFQQTDSKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKFSSTYVDDDSRLQLVVPYVIAMLSDPAAIVRCAALETLCDVLCLVQDFPISDAVIFPEYILPMLSLLPDDTEESVRVCYASNIHKLALTAYRFLLRSRSIADIRPLDESVEDPRSQSADSPVKKQDKIYGQLAELRKNIYEIVQDLAMGQKQTPNVRRALLQDIGYLCYFFGHKQSNDFLLPILPAFLNDHDEQLRAVFFGQIVFVCYFIGSRSVEEYLLPYLEQALSDGTEAVLVNALDCLTMMCKSGYLRKRVIVGLLGKALPLLRYPINWVKRSALRFVAACSESLGAVDTYVYLTPHLRQFLHREPPSLSSETALLSCLKPPLPKSIFYEALEDAQDMGDILLKGGGKKELIVHGGKYPGKVQSGSSINFEDVARLKGPTILSNISLDVKDSVSPDKSLYSGFALHASAGNSSFYDGLSKGIPSYSVCTDKRGLGETHVVPDSSVYKASIRLPWLEPNRPGVQTRDDYFSSKRRDLSINDSMKSSSSLQGDSIPNSDTGGLPSFSRSAMNLETGWKPRGILVAHLQEHRLSVNDIAVSNDNTFFVTASDDSSIKIWDTRRLEKDIAFRSRLTYSMGTSRALCTTMVRSTSQIVVGANDGTLHLFSVDCARGIGSSVERYSGIVDAKRNDIKEGSILSVVNCSNDSFSPTVLFSTEHCGIHKWDTRTNSESWSFKSSPEEGYISALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWHYSTVSPIEKLCLLIPPPSSISSSGRPLVFVAAGCNEVSLWNAENGSCHQVFRTASTENEPVMPKAPSRPLNKPSTKDVRRSGNYKYRIDELNDPPVRHPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSAKEVRSAKGDILEKAIGNNECYDIRSSFGVQVVQEMYKQTTTVSGLTPKTQLAVAAADSAGCHRDAILALASFNLSSQRLISASRDGAVKVWK >PAN08719 pep chromosome:PHallii_v3.1:1:58074923:58085027:-1 gene:PAHAL_1G432400 transcript:PAN08719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQASATEYYLHDLPSTYNLVLLDVVTRGRCLKSVRCKHDEGLLLVKVYFKRAGEPIDLKEHERRLERIRNALKGIEGSHVWPFQVWLQTDKAAYLLRQYFFNNLHDRLSTRPFLSQIAKKWIAFQLIHAVEQSHSKGVCHGDIKCENVVVTSWNWLYLTDFASFKPTYIPLDDPSDYSFYFDTGGRRRCYLAPERFYEHGGESQVAADAPLQPSMDIFSLGCVIAELFLDGQPLFEYAQLLSYRRGQHDPIIALEKIQDSGIRDMILHMIQLDPKKRLPCRSYLQKYESVVFPFYFSNFLHKFFADIVPLDSDARVEKTQDNFEKILEIMMGSSTIEQIERSTLSQHSEPSGRKGMERQILNSPGDSKKNTSVVKKHTPVDHQHIAGDINFLLKEVENRSNSTSTKVTKDMDHIRPSQLVNPAGSGTGSGLMAQNNVVSHVQKISKSNLCSLVAGYDGQSDTYSFDIFQQTDSKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKFSSTYVDDDSRLQLVVPYVIAMLSDPAAIVRCAALETLCDVLCLVQDFPISDAVIFPEYILPMLSLLPDDTEESVRVCYASNIHKLALTAYRFLLRSRSIADIRPLDESVEDPRSQSADSPVKKQDKIYGQLAELRKNIYEIVQDLAMGQKQTPNVRRALLQDIGYLCYFFGHKQSNDFLLPILPAFLNDHDEQLRAVFFGQIVFVCYFIGSRSVEEYLLPYLEQALSDGTEAVLVNALDCLTMMCKSGYLRKRVIVGLLGKALPLLRYPINWVKRSALRFVAACSESLGAVDTYVYLTPHLRQFLHREPPSLSSETALLSCLKPPLPKSIFYEALEDAQDMGDILLKGGGKKELIVHGGKYPGKVQSGSSINFEDVARLKGPTILSNISLDVKDSVSPDKSLYSGFALHASAGNSSFYDGLSKGIPSYSVCTDKRGLGETHVVPDSSVYKASIRLPWLEPNRPGVQTRDDYFSSKRRDLSINDSMKSSSSLQGDSIPNSDTGGLPSFSRSAMNLETGWKPRGILVAHLQEHRLSVNDIAVSNDNTFFVTASDDSSIKIWDTRRLEKDIAFRSRLTYSMGTSRALCTTMVRSTSQIVVGANDGTLHLFSVDCARGIGSSVERYSGIVDAKRNDIKEGSILSVVNCSNDSFSPTVLFSTEHCGIHKWDTRTNSESWSFKSSPEEGYISALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWHYSTVSPIEKLCLLIPPPSSISSSGRPLVFVAAGCNEVSLWNAENGSCHQVFRTASTENEPVMPKAPSRPLNKPSTKDVRRSGNYKYRIDELNDPPVRHPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSAKEVRSAKGDILEKAIGNNECYDIRSSFGVQVVQEMYKQTTTVSGLTPKTQLAVAAADSAGCHRDAILALASFNLSSQRLISASRDGAVKVWK >PAN08716 pep chromosome:PHallii_v3.1:1:58074923:58085028:-1 gene:PAHAL_1G432400 transcript:PAN08716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQASATEYYLHDLPSTYNLVLLDVVTRGRCLKSVRCKHDEGLLLVKVYFKRAGEPIDLKEHERRLERIRNALKGIEGSHVWPFQVWLQTDKAAYLLRQYFFNNLHDRLSTRPFLSQIAKKWIAFQLIHAVEQSHSKGVCHGDIKCENVVVTSWNWLYLTDFASFKPTYIPLDDPSDYSFYFDTGGRRRCYLAPERFYEHGGESQVAADAPLQPSMDIFSLGCVIAELFLDGQPLFEYAQLLSYRRGQHDPIIALEKIQDSGIRDMILHMIQLDPKKRLPCRSYLQKYESVVFPFYFSNFLHKFFADIVPLDSDARVEKTQDNFEKILEIMMGSSTIEQIERSTLSQHSEPSGRKGMERQILNSPGDSKKNTSVVKKHTPVDHQHIAGDINFLLKEVENRSNSTSTKVTKDMDHIRPSQLVNPAGSGTGSGLMAQNNVVSHVQKISKSNLCSLVAGYDGQSDTYSFDIFQQTDSKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKFSSTYVDDDSRLQLVVPYVIAMLSDPAAIVRCAALETLCDVLCLVQDFPISDAVIFPEYILPMLSLLPDDTEESVRVCYASNIHKLALTAYRFLLRSRSIADIRPLDESVEDPRSQSADSPVKKQDKIYGQLAELRKNIYEIVQDLAMGQKQTPNVRRALLQDIGYLCYFFGHKQSNDFLLPILPAFLNDHDEQLRAVFFGQIVFVCYFIGSRSVEEYLLPYLEQALSDGTEAVLVNALDCLTMMCKSGYLRKRVIVGLLGKALPLLRYPINWVKRSALRFVAACSESLGAVDTYVYLTPHLRQFLHREPPSLSSETALLSCLKPPLPKSIFYEALEDAQDMGDILLKGGGKKELIVHGGKYPGKVQSGSSINFEDVARLKGPTILSNISLDVKDSVSPDKSLYSGFALHASAGNSSFYDGLSKGIPSYSVCTDKRGLGETHVVPDSSVYKASIRLPWLEPNRPGVQTRDDYFSSKRRDLSINDSMKSSSSLQGDSIPNSDTGGLPSFSRSAMNLETGWKPRGILVAHLQEHRLSVNDIAVSNDNTFFVTASDDSSIKIWDTRRLEKDIAFRSRLTYSMGTSRALCTTMVRSTSQIVVGANDGTLHLFSVDCARGIGSSVERYSGIVDAKRNDIKEGSILSVVNCSNDSFSPTVLFSTEHCGIHKWDTRTNSESWSFKSSPEEGYISALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWHYSTVSPIEKLCLLIPPPSSISSSGRPLVFVAAGCNEVSLWNAENGSCHQVFRTASTENEPVMPKAPSRPLNKPSTKDVRRSGNYKYRIDELNDPPVRHPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSAKEVRSAKGDILEKAIGNNECYDIRSSFGVQVVQEMYKQTTTVSGLTPKTQLAVAAADSAGCHRDAILALASFNLSSQRLISASRDGAVKVWK >PAN04152 pep chromosome:PHallii_v3.1:1:3154692:3156787:1 gene:PAHAL_1G045900 transcript:PAN04152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKMKGIFKGLKIISQMFVHKEHEMQIGYPTDVKHVAHIGLGTSDTSPSWMAEFKGTEDLSAGPLSTAGQSRQTSWASADFEQPRSMMPIEIFQDNSKPGQEAPSCHDVPRGGPRKPKRKKNRASSPTSSARSSSSRSRTSFATAYDAFSESQRGFRVA >PAN04273 pep chromosome:PHallii_v3.1:1:3653122:3656541:1 gene:PAHAL_1G054000 transcript:PAN04273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTARKPAASPSSPPLLWDWGDHAAAGSGSSGDAPARRGGKEREAKRTKGEEGGGAEVRCQVEGCGLELGTAKDYHRKHRVCEAHTKCPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQPDAYAFASARLPSSLFDDRRQISFVWNKAPLSHIRPFTSPWDSSSDFKLPHAKEIRELSTKVGTITGQVHFDKSHLSNAIPTLSHGKELLPMKGPDTSITASKFDGAPDLQRALSLLSAGSCGFPDPVPQASCLIQFTGASENSSDLHLSHGGNSGPASCADEQHIAPQPQLVRFTMDASSNVYEPTFFGVNQIN >PVH67181 pep chromosome:PHallii_v3.1:1:58865197:58865557:1 gene:PAHAL_1G444700 transcript:PVH67181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVFLLLYFYVPKLRGKRCLSMLFHILDVKPSVLNVESCQVVSCHPIYRINSTSACVMMCYVYSYDFCDSDDELWCLILFV >PAN04423 pep chromosome:PHallii_v3.1:1:4503226:4507458:-1 gene:PAHAL_1G065900 transcript:PAN04423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASYPHMFLILLLLHGANAASKAPAGPKWQTLSGRPPQVVARGGFSGLFPDSSQFAYQFALSTSLPDVVLFCDLQFSSDSMGMCKTGLTLDNSTTVSEIFPKMAKTYKVHGEDVHGWFSLDFTADQLIQNVTLLQNIFSRPSTFDGSMGMYTLDDVVELHPPQIWLNVQYNSFFVEHKFSTEDYILGLPKKFSLSYISSTEIDFLKNLGGKLKKSNTKLIFRFLNEDIIESSTKKTYGELLKDLKSVKEFAAGILVPKTYIWPLNKDQYLGPSTSLVKDAHALGLEVYASGFANDIVTSYNYSFDPSAEYLQFIDNPDFSVDGVLTDFPPTASGAIACLAHSKDNPLPPPGKDTRPLIITHNGASGVYAGCTDLAYKQAIKDGADIIDCAVQMSKDGVVFCMHSADLSSQTTAATAFVSKSSTVHEIQNKSGIFSFDLSWSEIQTLKPDLYSPFAQAGLKRNPKAKNAGKFLTLAQFLDMAKASNVSGILLEIEHAPYLAKRGLGVVDAVSSALTKAGYDKETKQQVFIQSDDSAVLSGFTRFKSFKRVLSIEIEISGASKPSLDDIKKVADGVRIHRSSVAQITGYFMTSFTDTVGSLQAANLSVFIGVLKNEFMNLGFDYFADPTVEIVTYSSAVLADGLVTDYPATAAAYFRSPCSDMSLNLSYSILPAQPGALVHIAAPGALAPAAGPAPVLEPKDVVDPPLPPVKAVITADAPAPGAADNTTSAASSNAGKSLLGAGIAALLSLSFLH >PAN04291 pep chromosome:PHallii_v3.1:1:3752280:3756625:-1 gene:PAHAL_1G055600 transcript:PAN04291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLASYASSDDETDEAPPGPAPAAARGGEAGSKPPTASSGGGGGGGIFSSLPQPKSADLFSSLPAPKSAPAPAGARGKATGGKPPTASSGGGGGMFSSLQQPKSAALFSSLPAPKSAPAPTFSSIPAPKSSGNPKRVVQYRPQPIRQPTGDSSDDEEDDARKRRASAAEARLPPVSAGSGPVSSFLPPPKRSLGLGSGAGAGARRSAIDTAAPERSNLGAAVPSGSIANTDAPDRPDTGASDDDDSEDSGSEEDMPVPEQQEEGQEEQQGLDAEAGGQQQQGYDAGAGSTSGYEAYAWDPNYYAQYGANYGWDPSVNANYMAGEQYATYGGEQSGGYVHSHGGEHGGGYEHVAAAPYGVDYTGGYGHEVAAPVQEPVLPPEMGRIGGKRGRNDMPAQILEVDQAELMKNRPKQDKSKLTGLAFGPSYQPAPSAKGKPSKLHKRKHQIGSLYFDMKTKEMELAERRSKGILTKAETQAKYGW >PAN06779 pep chromosome:PHallii_v3.1:1:48428015:48432988:1 gene:PAHAL_1G287700 transcript:PAN06779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLNPFGGKPQSGLEGRTIDVGNVKITVRNAIAQGGFSCVYLACDTVHPSKSYAMKHIICNDTESLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGSGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGFDGAWKICDFGSTSTNHKCFNKPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSTDVTGLIKDMLEASPNARPDIMQVWFRVNELLPLELQKRLPDGPSSAISMSLQDEGAHRRTHVMPRRNPPPPPREQSNSSLSHGSSRAGDAPLGAFWVTQHAQGAQAVDNRKPLFDEEPIKLSLSSKQNQSRVDTSISIPGDRHGHSVQSSRTSKAPSNSVPNNGFTGVSDTNLFTETQCSVQIKAHQPQLKPKCEKDPFSSFVADFDTHNLNIAAGKAFELELKVSSLKEQLKKTTLEKDEMTAKYEKLSAICRSQRQEIQELKRALAETTPPSNKVSSRTQDSGSQRKEKVEGTVWELEQGMLASNSSSAGSDAKTWQAFPDTKAQAQAQARPKVDHATNGRQNLTKNTNPGPSPDAWGFGTNNFRTSAAAVSTATQINNRAAGQGSSTQRFSAGVAKKVEQPSGWAGF >PVH66216 pep chromosome:PHallii_v3.1:1:25755278:25757185:-1 gene:PAHAL_1G180300 transcript:PVH66216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAARVTVATAASLAAHWLVRSFLQSQHPALGLLLPAAVFLGIAVLSGSGDAGRSAPPGPAAVPVFGNWLQVGNDLNHRFLARLSARYGPVFRLRLGVRNLVVVSEPRLAMEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYRGMWEAEMDAVVADISADRAVAQRGGFVVRRRLQLMLYNIMYRMMFDARFDSVDDPMFVEATRFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQTRRLAFFNNNYVEKRRKVMDTPGDKDKLRCAIDHILQAEKNGEITPENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQRKVRDEIRDVIGGDEPITESNIHKLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLSGYTIPKGSKVVVNAWWLANNPELWEKPVEFRPERFLGEEKAVDATVGGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVIAFHPISA >PVH65490 pep chromosome:PHallii_v3.1:1:612267:616173:-1 gene:PAHAL_1G006800 transcript:PVH65490 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MDLHMKLLLVPLSCVLLMQAASCDGTAGETEMTNWTCVCAAHPVGESNSNSSLLSNCSSSCHCQQDENGGTGSWNCTCASDKTFQKEHALIRDRSCFSSCNCTSGSSEEGKRHVSSKTVIITLLVCVVLTTTAFLVTTVYYFRRKDALSPRSQIYSFDKYTSWSSRSNLVSHRSSPRPQLKPKPRLSVLKEFLCSCPSICGGESGTFPGVIIRFSYSELEEATGKFSDEHLIGVGGSSKVYRGQLSDGKVVAVKKLRPLGGADEDFEFLSEIELLSRLNHCHVVPLLGYCSEIQSRQLERLLVFECMSNGNLRDCLDLKQGRKPMDWQTRVSIALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRPPIHKSSSTRTDESLVIWATSRLRDSRLVVTELPDPTLQGKFPAEEMQIMAHLARECLQWDPEARPTMTEVVQILSTIAPALHGTKRRNLPIAAAFNLTPSPHVGRCDPEPTDIEGQQECSTTSVQWQQERCAPPPCRASWPGYRNKGAAGGGAVVSGELVNGMLLMSPHGRSNWRPPPSDEEEVVDLTEPWLETFTPALFR >PVH65488 pep chromosome:PHallii_v3.1:1:612267:618893:-1 gene:PAHAL_1G006800 transcript:PVH65488 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MDLHMKLLLVPLSCVLLMQAASCDGTAGETEMTNWTCVCAAHPVGESNSNSSLLSNCSSSCHCQQDENGGTGSWNCTCASDKTFQKEHALIRDRSCFSSCNCTSGSSEEGKRHVSSKTVIITLLVCVVLTTTAFLVTTVYYFRRKDALSPRSQIYSFDKYTSWSSRSNLVSHRSSPRPQLKPKPRLSVLKEFLCSCPSICGGESGTFPGVIIRFSYSELEEATGKFSDEHLIGVGGSSKVYRGQLSDGKVVAVKKLRPLGGADEDFEFLSEIELLSRLNHCHVVPLLGYCSEIQSRQLERLLVFECMSNGNLRDCLDLKQGRKPMDWQTRVSIALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRPPIHKSSSTRTDESLVIWATSRLRDSRLVVTELPDPTLQGKFPAEEMQIMAHLARECLQWDPEARPTMTEVVQILSTIAPALHGTKRRNLPIAAAFNLTPSPHVGRCDPEPTDIEGQQECSTTSVQWQQERCAPPPCRASWPGYRNKGAAGGGAVVSGELVNGMLLMSPHGRSNWRPPPSDEEEVVDLTEPWLETFTPALFR >PVH65489 pep chromosome:PHallii_v3.1:1:612267:616172:-1 gene:PAHAL_1G006800 transcript:PVH65489 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MDLHMKLLLVPLSCVLLMQAASCDGTAGETEMTNWTCVCAAHPVGESNSNSSLLSNCSSSCHCQQDENGGTGSWNCTCASDKTFQKEHALIRDRSCFSSCNCTSGSSEEGKRHVSSKTVIITLLVCVVLTTTAFLVTTVYYFRRKDALSPRSQIYSFDKYTSWSSRSNLVSHRSSPRPQLKPKPRLSVLKEFLCSCPSICGGESGTFPGVIIRFSYSELEEATGKFSDEHLIGVGGSSKVYRGQLSDGKVVAVKKLRPLGGADEDFEFLSEIELLSRLNHCHVVPLLGYCSEIQSRQLERLLVFECMSNGNLRDCLDLKQGRKPMDWQTRVSIALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRPPIHKSSSTRTDESLVIWATSRLRDSRLVVTELPDPTLQGKFPAEEMQIMAHLARECLQWDPEARPTMTEVVQILSTIAPALHGTKRRNLPIAAAFNLTPSPHVGRCDPEPTDIEGQQECSTTSVQWQQERCAPPPCRASWPGYRNKGAAGGGAVVSGELVNGMLLMSPHGRSNWRPPPSDEEEVVDLTEPWLETFTPALFR >PVH65923 pep chromosome:PHallii_v3.1:1:7722526:7724949:-1 gene:PAHAL_1G104300 transcript:PVH65923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAAFEERVRQMEDARNHRLALLHAEKEIQAAKSRILSAKLAAARRLEHRRLLLERRAADLASRALVARADIDAARFRRLAVARDLSSVRDEIEEAERREEDWDRFYEAKRKEMEEFQEVSQRFKAETREEVQRLRDLVFQLKSTLQELQSSEMYSNNAEIDAAEARKSDLTAKKAKLDESLASSRQFRALLQQQLQKAFQSQVRDQNTAQTTI >PVH65595 pep chromosome:PHallii_v3.1:1:2344987:2346161:1 gene:PAHAL_1G033600 transcript:PVH65595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAAALLAFLVAAVGAQPMDPGQPSNPLLSDPNMIPVYMSPGSPPTYQGAEPMCSVLARQCPSGCRDTCYVHCPSCKLVCLCELTSTECYDPRFVGGDGNKFLFHGRRDADFCLVSDANLHSNAHFIGKRSAQAARDFTWVQALGIRFGGHRLYLGVRRTAAWEAVVDRLAITFDGAPVPLDAAAGASWSPAAAPALSILSTGAANGVVVRLDGRFRIVANAVPVTEEDSRVHARFYAISADVHGVLGQTYRPDYVSAGVDVGARVPVMGGARRYQVSDIFATDCEVGRFAGDDEGLAAAGPMDIIEEPTAALCGSGKGGACLVCNK >PVH66016 pep chromosome:PHallii_v3.1:1:10289816:10290166:1 gene:PAHAL_1G127200 transcript:PVH66016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPPIICAYCAGNAKGSCQLSDIHISQVVTGKVVEGQPQFQVTIGNQCSCPQAEVMVSCFGLPSIGTVDKTKIHVVDSELCGVAYGGPIAKGSPMIFTYAKMTPQDFPVISAKPL >PVH65738 pep chromosome:PHallii_v3.1:1:4492624:4494560:-1 gene:PAHAL_1G065700 transcript:PVH65738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDQDRAWAELPLQIEPVVQSFFNSSITVSVGDGNLAFFWTDNWLDDQAIVTWAPTLCKAVSKRIRKTQTVAQALADRRWIREIRGGLSVQAIVEYLQLWNRFESVQLQNGVSDKIIWRWTASGEYSAKSAYNMLRQGSIPLHGHKLIWKAWAPLKVKLFLWLTFKRQHWTADRRFRHGIADTVARCYLCDQETETSEHLFIFCYFTKQLWTTILAAFGKPCPIPRDSSSLQERWEQLRLLWTSDHRRGFDTLFSLVTWELWKERNARIFRGACLQHDQTGFEDPRGG >PVH66727 pep chromosome:PHallii_v3.1:1:51161216:51161398:1 gene:PAHAL_1G329800 transcript:PVH66727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHCNVVPCLVFADSCSVFIFLESNLTCNFVCPMMIDVRLVSLHSQLPPKSFRPARLES >PAN07260 pep chromosome:PHallii_v3.1:1:50712561:50715133:1 gene:PAHAL_1G322600 transcript:PAN07260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGREVREYTNLSDPKDRKFGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSTPAVPVALRVEPKPKSDIRQQDLLKNIVGIKPKRAKVSSPSQPADSNNTKQCEEDSVSKLSSVQNRLEPPVGEKGSSHGTVNAEHTVPRPDELTAESKQNAAGSLLGLAYESSDEE >PAN07261 pep chromosome:PHallii_v3.1:1:50712561:50715133:1 gene:PAHAL_1G322600 transcript:PAN07261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSTPAVPVALRVEPKPKSDIRQQDLLKNIVGIKPKRAKVSSPSQPADSNNTKQCEEDSVSKLSSVQNRLEPPVGEKGSSHGTVNAEHTVPRPDELTAESKQNAAGSLLGLAYESSDEE >PAN08652 pep chromosome:PHallii_v3.1:1:57791700:57795776:1 gene:PAHAL_1G427400 transcript:PAN08652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSCHNLLELVAADEVPLPSPTPLRLPRVMSVASPASPTSPSPPAPPRRVIVSHRLPLRAAPDPAAPFGFAFTVDAGTVAYQLRSGLPANAPVLHIGTLPAAAAEAASDELSNYLLVNFSCLPVYLPSDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLTPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDAVRRVAEAYKGRRLMIGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDVQGVQDEARAISARVNARFGTPGYTPIVLLDGPVTAQEKAAYYAAAECCVVSAVRDGLNRIPYIYTVLRQESNALGDNAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMPEAEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSYRRTENRLILLDYDGTVMPENSIDKAPSSEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTRWSRDAPWETSSLAADFDWKKTAEPVMRLYTEATDGSSIEHKESALVWHHQEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVESLLSSMVKTGKAPDFVLCIGDDRSDEDMFESIVCPSNAGVRLPATSEVFACTVGKKPSMARYYLDDTVDVIKMLEGLANAPAQRPRPAVQLRVSFEGSL >PVH65645 pep chromosome:PHallii_v3.1:1:3049904:3056130:1 gene:PAHAL_1G045200 transcript:PVH65645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKMCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRDRFDRRCSPHRRHSPDTDSRGHRPLHDRRAVSQERESSYSRSPSRKSERRHEKKPDDGETNSSRSLSISDKNDDKKKETLLSADDKEDQEIQLKQIIQNMELLREDKSRLEIILDEKNAEVHKISSRLNDLELQIRKEKEECHRMTSKMKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSINVEDTNGPYERSPNAATAKKRSIPYSTSEEAKAVKKRRDRDAEFDKPSQGTEPTKALYLKKKLWEDENDKIGNVVSSANTDKVKDSPVKYVLPSTGMTAHAVDDLFEAVELEDRHDAINASIENDGGGKTRLPAMPPQSPPVVNAYEQYEGDDEEVDVE >PVH65646 pep chromosome:PHallii_v3.1:1:3049904:3056130:1 gene:PAHAL_1G045200 transcript:PVH65646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKMCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRDRFDRRCSPHRRHSPDTDSRGHRPLHDRRAVSQERESSYSRSPSRKSERRHEKKPDDGETNSSRSLSISDKNDDKKKETLLSADDKEDQEIQLKQIIQNMELLREDKSRLEIILDEKNAEVHKISSRLNDLELQIRKEKEECHRMTSKMKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSINVEDTNGPYERSPNAATAKKRSIPYSTSEEAKAVKKRRDRDAEFDKPSQGTEPTKALYLKKKLWEDENDKIGNVVSSANTDKYEGDDEEVDVE >PAN04256 pep chromosome:PHallii_v3.1:1:3556338:3556935:1 gene:PAHAL_1G052500 transcript:PAN04256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKITATASMFLLLLLLLLLTFGAEADRCETRSKGYKGTRCNNHNCWAVCITEGNTGGFCKRMGTKCVCTRECGGGGGAPKVPPGGGGDPPVLAGGSNVRPMLGRRGHHA >PVH65855 pep chromosome:PHallii_v3.1:1:6396359:6397614:-1 gene:PAHAL_1G090900 transcript:PVH65855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAARGWLTPAVLFVAVNLVIATIAVASRLMPAAAPGDERRRLLLRAPSLAVDRLRASLSFSRLGLAADHAPLFDDAGAEPSSPLAPPATTGAGAPGDDGREAEREAARIERSRSEAAAEAAPASSNRRPRARRMRRAATEDPALAEARGAGRGEEPAVEVDARADDFIRQFREQLRLQRLDSILRYRDTLRRTGTA >PAN04305 pep chromosome:PHallii_v3.1:1:3824854:3828846:-1 gene:PAHAL_1G056700 transcript:PAN04305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTAAIPTANTNGNHALSLDSHSSQDVRRRTVVVAKKKASPELLAEGGVNGISEDKITSKKDLSHTIRGESVLDKPKYSSEARKDVVASAAAERRKKSSTKQEKAKWVTALSVLVKLCLLISAITWMGQLAWRWQNGELSFTTLDMESRLSKVEGFRKTTKMLQVQLDILDKKLGNEIDKAKRDITKQFEAKGNELEKKMKTLVDKTGKLDKSISELMDMGFLSKKEFEEILSQLKEKKGFGGTDNDITLDDIRLFAKEIVEIEIARHSADGLGMVDYALGSGGAKVVSHSKPFMNGKNYLSGRSSVHATAQKMLEPSFGQPGECFALKGSSGFVDVKLRTGIIPEAVTIEHVDKSVAYDRSSAPKDFQIRGWYQGTHDDSDKDSSVMATLGEFSYSLDSSNAQTFQLERSANPQAVNMVRFDFSSNHGNLELTCIYRFRVHGTEPGSLSNTA >PAN06168 pep chromosome:PHallii_v3.1:1:44412928:44414417:1 gene:PAHAL_1G243400 transcript:PAN06168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLNAVLPLCVALLAVLAGQSAAGRYYSDKVQDKVRKEVEKAMAYNPSVGPALVRLVFHDCWVNGCDGSVLLDKTPTDGTNTEKKAVNNIGLAGFEVIDTIKQKVGAAASCADILAFAGRDAADILSGGKIFYTIPAGRKDGVVSSAAAADANLPESTFDFGQLQTNFAAPGRNFSVEELVVLSGAHSIGVAHLSSYRDRLGADATPIDSDYQSALVKATPPELLGRGQNPTVPNNPRDASAAFQKDAAYDPAAVGVSPTRGVLDNSYYHNNLINKVLFKSDWVLRTDGFAASKLQEYKDNAPEWNSDFAAAMVKLSNLPAQGKNLEIRKNCRFTNQQYYY >PAN06592 pep chromosome:PHallii_v3.1:1:47528352:47532497:-1 gene:PAHAL_1G274600 transcript:PAN06592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRRRQDQELQLVSEDCNTKRKRGEDKDIMGEVTKTHEAIQSLDKSISTLQMELAAKRSTLELLRSSGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGEKLQQLEEQKGIVIRFTIGHSATSNSILDKAIDSEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVHHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >PAN06593 pep chromosome:PHallii_v3.1:1:47528352:47533372:-1 gene:PAHAL_1G274600 transcript:PAN06593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGAMDRRSSARWRVLVLCAFCFGLGMLFTDRFWSTPDTNNHIMSQRRRQDQELQLVSEDCNTKRKRGEDKDIMGEVTKTHEAIQSLDKSISTLQMELAAKRSTLELLRSSGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGEKLQQLEEQKGIVIRFTIGHSATSNSILDKAIDSEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVHHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >PVH66071 pep chromosome:PHallii_v3.1:1:13752576:13754857:1 gene:PAHAL_1G141200 transcript:PVH66071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRGAKRKALLQYSDGIEEEDASRVYRFQVLLPSGVIISLTLNNSGEEMSVRDVFLHSVKRELNSAPVGGGKVREIHWDGNYFLTDLLDRKITEKIKLSNFDTKSTNILRLHNEKGGFVSTFENMWDLTPQTDLVQELPAEYSTESALVDLTDNALQAVWSNGRGERKLIKLGPHAFILFPSKS >PVH66072 pep chromosome:PHallii_v3.1:1:13752576:13754857:1 gene:PAHAL_1G141200 transcript:PVH66072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRFMLWSCSRMAEASRGAKRKALLQYSDGIEEEDASRVYRFQVLLPSGVIISLTLNNSGEEMSVRDVFLHSVKRELNSAPVGGGKVREIHWDGNYFLTDLLDRKITEKIKLSNFDTKSTNILRLHNEKGGFVSTFENMWDLTPQTDLVQELPAEYSTESALVDLTDNALQAVWSNGRGERKLIKLGPHAFILFPSKS >PVH66070 pep chromosome:PHallii_v3.1:1:13752562:13769451:1 gene:PAHAL_1G141200 transcript:PVH66070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRFMLWSCSRMAEASRGAKRKALLQYSDGIEEEDASRVYRFQVLLPSGVIISLTLNNSGEEMSVRDVFLHSVKRELNSAPVGGGKVREIHWDGNYFLTDLLDRKITEKIKLSNFDTKSTNILRLHNEKGGFVSTFENMWDLTPQTDLVQELPAEYSTESALVDLTDNALQAVWSNGRGERKLIKITLDKEKIVFFDTGRGMDVSDGNSISKWGTMCSSNHRVFRQKGIGGKAPYLLPFFGMFGYCGTIASMHLGRIAIVSSKTKESRKVFTLHLSREALLKKTLSKNSKHTWKVLSLSLSLSLPNFCLLATLFAVLSKVNQISTSGLQTAGGVRNHLKRRCCCHHARVLPRWRFVG >PVH66073 pep chromosome:PHallii_v3.1:1:13753528:13754689:1 gene:PAHAL_1G141200 transcript:PVH66073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSCSRMAEASRGAKRKALLQYSDGIEEEDASRVYRFQVLLPSGVIISLTLNNSGEEMSVRDVFLHSVKRELNSAPVGGGKVREIHWDGNYFLTDLLDRKITEKIKLSNFDTKSTNILRLHNEKGGFVSTFENMWDLTPQTDLVQELPAEYSTESALVDLTDNALQAVWSNGRGERKLIKLGPHAFILFPSKS >PAN05604 pep chromosome:PHallii_v3.1:1:39443021:39452016:1 gene:PAHAL_1G224300 transcript:PAN05604 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MATDREKEREAELESAMYTNCLLLGLDPAVLGSPSSPAARVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPVTKARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKAKLEGDLWDERLSSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDMSASVPHSELLSARAGETSPILDKQEQISPLFQGKEEALSRPDDRNGHGQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIEELSEEVNSVSNPMDLLNSRLPLSAGLGRSEESSSEVSEMTSKLSSTHLDKPGGSPALKLPPLFSLTPSSSGKGTQTQKRNALIRQPSQEVTSEEKTLTIPSTKDQMNGSEHENDGYIAHDIRRSVREAALSKPLRNTERPQDKNSDDGSEHFFIPLSAGAARKEMDAATNRRKQRPGLSSPQMKFPKSTSDLYYIADSPINTSPVLLSEMNGHDPISAMSFLDPVSGLAHQSFITDDALDQVFSPPLLMESTLFHDADEDLLAPLSETDAALMEH >PVH66366 pep chromosome:PHallii_v3.1:1:39443086:39451455:1 gene:PAHAL_1G224300 transcript:PVH66366 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MATDREKEREAELESAMYTNCLLLGLDPAVLGSPSSPAARVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPVTKARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKAKLEGDLWDERLSSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDMSASVPHSELLSARAGETSPILDKQEQISPLFQGKEEALSRPDDRNGHGQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIEELSEEVNSVSNPMDLLNSRLPLSAGLGRSEQESSSEVSEMTSKLSSTHLDKPGGSPALKLPPLFSLTPSSSGKGTQTQKRNALIRQPSQEVTSEEKTLTIPSTKDQMNGSEHENDGYIAHDIRRSVREAALSKPLRNTERPQDKNSDDGSEHFFIPLSAGAARKEMDAATNRRKQRPGLSSPQMKFPKSTSDLYYIADSPINTSPVLLSEMNGHDPISAMSFLDPVSGLAHQSFITDDALDQVFSPPLLMESTLFHDADEDLLAPLSETDAALMEH >PAN04444 pep chromosome:PHallii_v3.1:1:4614991:4620044:-1 gene:PAHAL_1G067700 transcript:PAN04444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGPPETVETKKAAPMLRSFASVFMHADAVDVALMVLGLVGAMGDGMSTPITMLIASRIFNDVGSSPDRLKQFRSRMNENARNLLILAAANWTMAFLEGYCWTRTAERQSSRMRARYLSAVLRQDVEYFDLRASSTSEVIASVSSDSLAVQDALSEKVPNFVVNTTMFVGSYAVGFALLWRLTLVGLPSSLLLVVPGFLYGRILIGLARRIREQYTRPGAIAEQAMSSVRTVYSFVAEGSTAARFSAALEESARLGIKQGLAKGVALGSEGIAFAIFAFNIWYGTRLIMSHGYKGGAVYAASASIVIGGSALGLGLSNIKYFAEASVAAERMLEVIRRVPKIDSESNAGEELARVAGEVEFKDVEFCYPSRPENPIFKSFSLRVPAGHTVALVGSSGSGKSTAIALVERFYDPSEGQVSLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATEEEVVAAAKVANAHNFISQLPQGYHTQVGEHGVQMSGGQKQRIAIARAIIKSPKILLLDEATSALDTNSERVVQEALELASMGRTTIVIAHRLSTIQNANTIAVMQSGEVKELGSHDELIANENGLYSSLVHLQQTKESMDSEEVDGTRSTSLMRQSSSHGMSKRLSTASGSITTLSIMGNDEGNGNNTELKLPVPSFRRLLMLNAPEWKQALMGSFSAVVVGGIQPVYAYAMGSMVSIYFLTDHKEMKDQTRIYSLFFVGLALVSFLINIAQHYNFGVMGEYLTKRIREQMISKILTFEIGWFDSDENSSGAICAQLAQNANVVRSLVGDRMSLVIQTASAVLIACIMSLAIAWRLALVMIVVQPLIIICFYARRTLLKSMSKKSLQAQSECSKLAVEAVANLRTVTAFSSQDRILRLFDQAQEGPRKESIRQSWFAGLGLSISMSILRCVWALTFWYGGVLMAQHRLTSKALFQTFLILISTGRVIADAGSMTTDLAKGADAVTSVFSIIDRKTEIDPDNPEGYKPKNLEGKVDITEIDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLVGTIEIDGIGIKTYSLRALRKHIGLVSQEPTIFAGTIRENIVYGTETATEEETQSAARSANAHDFISSLKDGYDTWCGERGVQLSGGQKQRIAIARAILKQPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSTIQNCDLITVLEKGIVVEKGTHASLMAKGPSGTYFGLVNLQQGGSHH >PAN03582 pep chromosome:PHallii_v3.1:1:696130:698118:1 gene:PAHAL_1G007800 transcript:PAN03582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMEIGAPGSSLHGMTGREPTFAFSTEDAAAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSIIDNAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHILMGILVLTMGQDLPDGNLRSLQKKGDVNKDKFSKVMWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFNLDLRVSGIIAACFGMANIVARPLGGILSDIGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPASITAMVLFSFCAQAACGAIFGVTPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMLFPANAGAAEEHYYSSEWNEEEKSKGLHNASLKFAENSRSERGRRNVVEATTATTPPNNTPEHV >PAN04197 pep chromosome:PHallii_v3.1:1:3348328:3354432:-1 gene:PAHAL_1G048800 transcript:PAN04197 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRSHRDFGVDRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSTGDYPSRKGSSELGSMPFPPGVGERSSGGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAHAGDSYKTIIQKKAIARFREMS >PAN04202 pep chromosome:PHallii_v3.1:1:3349282:3354432:-1 gene:PAHAL_1G048800 transcript:PAN04202 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSRFK >PAN04203 pep chromosome:PHallii_v3.1:1:3347980:3355925:-1 gene:PAHAL_1G048800 transcript:PAN04203 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRRHDTDYRNDGKHHEFDSYRGVDKLRDNYHAADNYYESGSHRDFGVDRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSTGDYPSRKGSSELGSMPFPPGVGERSSGGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAHAGDSYKTIIQKKAIARFREMS >PAN04198 pep chromosome:PHallii_v3.1:1:3348328:3354432:-1 gene:PAHAL_1G048800 transcript:PAN04198 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRRHDTDYRNDGKHHEFDSYRGVDKLRDNYHAADNYYESGSHRDFGVDRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSTGDYPSRKGSSELGSMPFPPGVGERSSGGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAHAGDSYKTIIQKKAIARFREMS >PAN04199 pep chromosome:PHallii_v3.1:1:3347979:3355926:-1 gene:PAHAL_1G048800 transcript:PAN04199 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRRHDTDYRNDGKHHEFDSYRGVDKLRDNYHAADNYYESGSHRDFGVDRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSRFK >PAN04195 pep chromosome:PHallii_v3.1:1:3349282:3354432:-1 gene:PAHAL_1G048800 transcript:PAN04195 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRRHDTDYRNDGKHHEFDSYRGVDKLRDNYHAADNYYESGSHRDFGVDRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSRFK >PAN04205 pep chromosome:PHallii_v3.1:1:3347980:3355927:-1 gene:PAHAL_1G048800 transcript:PAN04205 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSTGDYPSRKGSSELGSMPFPPGVGERSSGGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAHAGDSYKTIIQKKAIARFREMS >PAN04206 pep chromosome:PHallii_v3.1:1:3347979:3355927:-1 gene:PAHAL_1G048800 transcript:PAN04206 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSRFK >PAN04204 pep chromosome:PHallii_v3.1:1:3348328:3354432:-1 gene:PAHAL_1G048800 transcript:PAN04204 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSTGDYPSRKGSSELGSMPFPPGVGERSSGGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAHAGDSYKTIIQKKAIARFREMS >PAN04201 pep chromosome:PHallii_v3.1:1:3347980:3355925:-1 gene:PAHAL_1G048800 transcript:PAN04201 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPHHGWENNSAPDGYGVIKEPDYRADGSYNGRRPVDEGFSRDSYGRGAYYQDVHDRNMYPPPASVGAMWSQPRRKHDEEYATARDHRSHRDFGVDRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERNHEYGRHSYDSDYERSRRDSSWRRRDSCEGEHERRGLSRERDESPYMRHSRSRSRGRDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFHTVEAARRMMEGTAENGLEIDGRNVFFEYSKPTGGMGGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQSSNSETQKDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCDQNNTKAAGVVANENTKTSESNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQAARIVLDDKELSRSDDKSNHSHSGTGFSSKSKPNSDSGNAKDMNSSANYNSFGQGTASAQMLDSDVKPRPVSNSLGTTVMGVIRGSARGVIKSDTTFHALSDTGSAEPRTTVTTSTKGLMTTPEALATPAPFKTDISALVSNTSSGVSGSGKRRFSEAPAQSQYRDRAAERRNLYGSSLGNDSVGLDSTGDYPSRKGSSELGSMPFPPGVGERSSGGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAHAGDSYKTIIQKKAIARFREMS >PAN04688 pep chromosome:PHallii_v3.1:1:5828537:5829357:1 gene:PAHAL_1G083600 transcript:PAN04688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAGTPGAACGYTYSYCSSHGSYASVANHQLTGQRSMPASLAAAFAPCGGGGGRQWPRTRILGDGGGARAPGGVAGAVARALWAWIGRGRRKVAAIGRSASSSAKVQYGREEYAQNFDEGAAAREPENLPRSFSARYARQAPPPRRSG >PAN04694 pep chromosome:PHallii_v3.1:1:5890667:5894540:-1 gene:PAHAL_1G084800 transcript:PAN04694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGASRVKLIPLLAFLIFSCSLPLATSDDTDTDRQALLCFKSQISDPSGALVSWSNISLNFCNWKGVTCSAQTPFRVMALNLSSDGLSGPVPPCVGNLSAIRSIDLSNNTFHGSIPTELGSLSQITYLNLSINSLDGRIPAELSSSSRLQVLSLWKNYLQGEIPPSLTQCIHLQQVVLNNNKLQGIIPTGFGLLRELETLDLSSNALTGNIPSLLGSSPSLVYLDLGSNHLTGGIPEFLANSSSLQVLRLMQNSLTGEIPPSLFNTSTLTTIYLQSNKLVGPIPTVTGTAAPIKMLSLAQNSLTGEIPASLGNLSSLIRLSLAVNNLVGSIPESLSNIPSLERLILTINNLSGPVPQSIFNMSSLKFLEMANNSLTARLPQDIGYRLPNLQSLILSKTQLSGGIPASLANGSKLEMIYLVSTGLSGTMPSFGSLPNLRELDLSYNQLEPGDWSFLSSLANCTQLKWLFLDGNGMRGSLPSSIGNLPSQLEWLWLNQNKFTGGIPMEIGNLRSLKVLYMDLNLFTGSIPPAIGNLSNLLVLSFAQNNLSGHVPDSIGNLDQLTEFYLDGNNFSGNIPESLGKWRQLQKLNVSHNSFNGSIPSEIFNISSLSQSLDLSNNFFTGPIPMEIGRLINLGSITISNNRLTGDIPSTLGKCVLLEFLRMEGNFLTGNIPESIVNLKSIKEMDLSRNKLSGKIPEFLTLLSSLQALNLSLNDFEGPVPSSGIFSNSSRVFLKGNHRLCANTPRSSLSLCPELGSKGENKSVVLKIVIPIAVSAVVILLLCLVVILVRRRKEEPSLQHSSVHKEKITYEDIAKATNGFSQVNLVGLGSFGAVYKGILLFEDDPVAIKVFNLNQYGAPKSFVSECEALRTTRHRNLVKVITLCSTVDPTGSDFKALIFQYMPNGSLDRWLHPEDHGYDKKRFLSLGERINMALDIAYALDYLHNQCPTPIIHCDLKPSNVLLDLEMTAYVSDFGLARFMCTTSIAVPANSTSLANLKGSIGYIPPEYGMGGPISSKGDVYSYGVLLLEMFTGRCPTDEKFKDGMSLHRHVATNFPDDVAEILDPTMLTNDIDGGNSEMIQSCVLPMLKLGLLCSMASPRDRLGMAQVSAAILDIKHVFHELYSGGISMSDM >PAN08669 pep chromosome:PHallii_v3.1:1:57893503:57903725:-1 gene:PAHAL_1G428600 transcript:PAN08669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TSS [Source:Projected from Arabidopsis thaliana (AT4G28080) UniProtKB/Swiss-Prot;Acc:F4JKH6] MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGMSTDRILDIRKLLAVHVDTCHLTSYSLSHEVRGAQLKDTVEVASLKPCHLSIVEEDYTEELAVAHVRRLLDIVACTTAFGAKKPDQKPASPNAAAAAAEAAKPESPGKTAPGGGGGGEEPMYPPPKLGQFYDFFTFSHLTPPLHYIRRSTRPFVHDKREDDFFQIDVRVCNGKPVTIVASQAGFYPAGKRALISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWVKEFAILAAMPCKTAEERQVRDRKAFILHSLFVDVAVLKAVASIQQLISNHTSSHEIANGTTGPVLHTEQVGDMKIAITKDKADASSKLDVKLDGSQAPGMSSDELAQRNLLKGITADESATVHDTATLGVVIVKHCGYTAVVQVPVHTDLTTTSLAQQDIHIEDQPEGGSNALNVNSLRMLLHKSCAQSSGGVQRLQSCDPQDNETTQNFVRKILTDSLQKLESEAPMVTRPIRWELGACWVQHLQSQASEKTETKKSDETKDVPTVKGLGKQFGQLKEIKKKTDDKSGKGSYAKENSSPNTYNAHTDNTTSAKEDKEAVLQGLLPEAAFQRLKESETGLHAKSLDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVELSDKLPHIQSLCIHEMVVRAFKHILRAVIAAVDDVNDMADSIASCLNILLGPFPEENNGNCGEDHNLRKRWLEVFLIKRFEWKWKDEYSLDLRKYAILRGLCHKVGLELVTKDYDMDMPHPFRKSDIIGVVPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQAAIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNPSDLVDDEDQRSPLPNNDNLLTEKEDSGVKENGTFVEHVKVKDEIPSDTTIHIPQDDFIEEYTSDEGWQAAVPKGRSTGSRKTGSGTRKPNLAKLNTNVFHSENGRYKGRVPSNFSSPRVAPNETVAPVASSPLAKKLAKNSSFNSKAVSPAVSSSSGENSSNPNSKPASPAITAATAKVIQSTAPASQTVRKSLSYKEVAIAAPGTLVKALSEVHTEEKDTIDQGASVESAKPPKERNGHPSGEKDGATEVSQKGDTSQVSKSTDGGKSEQTDVFAGSQPEPEHKKTSDATEISVVKKTTDLAASVTSSATQTDPDAPNVEAQTVIEANDSSSNDDERDVGEDTPEQLSSGGENEKSSPSESEKKETTSKLSAAAAPFNPSTVPAFGSMAVPGFREHGGLLPSPANVPPILSVPHRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKPVLPSGEAPTETNTSAPRVMNPNAPEFVPGQSRSPNGHPASPNGPLTSPGGIPSSPQGLPSSPDSTVESPVTASPQVSECGQTNSEGNDASSGINVEAGGETQTTDDTSHTESKDGEVEPEQTTAPEVAEEAVAAKDVTEELIATGQPKSWADYSDGEVEAVEVAG >PAN07340 pep chromosome:PHallii_v3.1:1:51041347:51044456:1 gene:PAHAL_1G327800 transcript:PAN07340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLAFPLFLLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCPYSIRAKRIFRDLKEDPYIVELDLREDGRDIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTVNALSNGQLEKLLGKSQSQ >PAN09141 pep chromosome:PHallii_v3.1:1:59828094:59830580:-1 gene:PAHAL_1G462000 transcript:PAN09141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHDYSGDSELEDPSYQEPAFEAFMCPLTKQVMHDPVTIETGHTFEREAILKWFRECRDSGRTPTCPLTQAELRAADISPSIALRNAIDEWRARNQDKELDKAKLQLQSQSQPQDDDAAAQLPLRALGYISHMCHASTANKNLVRRQGIIPAVAAMLKSSSRRVRLKALDLLRVLVEGNDDNKEELGQGGDTIRTIIKFLSNDHVQERELAVSLLHELSKLDPICQRIGAVYGAILLLVGMGSSKSDNPAAVEKAESTLRNLERYETNVKQMAENGRLQPLITKLIHGTPQVQLAMAEYLGELALANDVKVVVAEQAGPLLVSIMKSTGSSLPAKEATLKALREISSNESSARILLQVGILPPLVKDLLSVGAGHLPMRLKEVSAAILANLVASGSLDAETAGETLLSEDVLHSLLHLISNTGPAIECKLLSVLVGLTGSPATVADVVFAIRSSGATISLVQFVEAAHREIRLESLKLLRNVSPYMGAELADALGGHLGSLLRAVYDDRSGGVTEEQAAAAGLLGDLPERDWDLTRQLQELGAFRALASKLVELRRGTIRGNRHVAPFTEGAVKVLYRVTCALGEGGGEYVEVARELGLAPLFVDLLQQQMSSGLDAVLLYSAMALENLSLQSGRLTAVPEPAPAPRGLLLMCACLGGGTPAPAPGALGTCRVHGGFCSLRESFCLAEGGCKAVERLVACLEHADARVVEAALAALSTLVGDGVANAAEGVLVVGEAEGLRPVVEVLVENRTEALQRRAVWAVERILRVEDIAEEVAADQTVASALVEAYRNGDARTRQTAERALRHLDRIPNFSSAFHHAKASRGA >PVH65519 pep chromosome:PHallii_v3.1:1:1184047:1184879:-1 gene:PAHAL_1G014100 transcript:PVH65519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKRRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PAN05229 pep chromosome:PHallii_v3.1:1:9802204:9805840:-1 gene:PAHAL_1G123100 transcript:PAN05229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGAMLAVVAVVLAAASAAGAAAESREAAAKGKYHALFNFGDSLADAGNLIQNGTPEILATARLPYGQTYFGKATGRCSDGRLVIDHLAQEFGLPLLPPSKAKNASFAHGANFAITGATALDTPYFVAKGLGDVIWNSGALMTQIQWFRDLKPFFCNTTQECKKFFAKALFVVGEFGGNDYNAPLFAGKGITEAYKFMPDVIQGISDGVEALIAEGAVDLIVPGVMPTGCFPVYLNMLEEPKDGYGERSGCVRRFNTFSWVHNAHLKAMLEKLRAKHPNVRIIYGDYYTPVIQFMLQPEKFGFYKQVPRACCGAPSTPEKAAYNFNVTAKCGEPGATACADPTTHWSWDGIHLTEAAYRHIAKGWLYGPFADQPIVQSS >PVH65717 pep chromosome:PHallii_v3.1:1:4068718:4069939:-1 gene:PAHAL_1G059800 transcript:PVH65717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDDGIRKTDFLDYAYLLKIAPFLETLELHMWMEMYWHQPYCKEDGELRIGLPHKHAHLKFVRISGFFGYKDQVELALHILRNSVALEKMEITPELEISYNLASDDCYERSHYVDGHRVATEFICKADHRNVVKVVRVPSSSGPDETAMEVDCRAYAEDVPSGQIRLV >PAN08561 pep chromosome:PHallii_v3.1:1:57275781:57278681:1 gene:PAHAL_1G420600 transcript:PAN08561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAWVLCLLLAVAAAVAVALPEPEAEAVSTYIVHVAPAHAPRSSRPRALSSAYGSFLRDHLPARVARPAPRVLYSYAHAATGFAARLTGAQAAHLASQDSVLAVVPDATHQLHTTQTPSFLGLSESSGLLAASGGARDVVIGVIDTGVYPKDRASFAGDPSLPPPPSTFRGRCVSTPAFNASAYCNNKLVGAKFFNLGYQASHGGAIEETESRSPLDTNGHGTHTSSTAAGSAVADAAFFDYAKGKAVGMAPGARIAAYKSCWTMGCTYSDILMAFDEAIKDGVNVISVSLGAVGRAPQFYSDTTAVGAFSAVRKGIVVSASAGNAGPGEFTAVNVAPWILTVGASTINRQFPANVVLGDGETFNGTSLYAGTPLGASKIPLVYGGDVGSSVCEAGKLNASKVAGKIVVCDPGVNGRAAKGEAVKLAGGAGAILVGSKAFGEQALATAHILPATAVTFTAAEKIKKYIRTNASPVATVVFLGTAIGRTLSSPRMASFSSRGPNFIAPEIFKPDVTAPGVDILAAWTGENSPSELDSDTRRVKFNIISGTSMSCPHVSGIAALLRQAHPDWSPAAIKSALMTTAYNVDNAGDIIKDMSTGEASTPFVRGAGHVDPNCALDPGLVYDAGTDDYIAFLCAIGYTAKQIAVLTRDGSVTDCSTRSGSVGDLNYPAFSVVFSSGDDKVTQRRVVRNVGSNARATYTASVTSPAGVRVAVEPPTLQFSAMQQAQEYAITFAPQQGGVTEKYTFGSIVWSDGKHKVTSPIAITWRASQVAAM >PAN04342 pep chromosome:PHallii_v3.1:1:4073009:4078359:1 gene:PAHAL_1G060000 transcript:PAN04342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKGKKSGPLTRRGRKREFPIRAADYELLEPIGDGATAVVRRARCKPLGGEVVAVKIMNMAQRTESDVNNASEEVKTMIMIDHPNLLSAYCSFTEGEALWIVMPYMAGGSCYHLMKSSYPKGFEDENFIAFVLRETLKGLEYLHENGHIHRDVKAGNILLDQEKGVKLSDFGVTASLYDSIINRHGKRKTLVGTPCWMAPEVMEQKDYDFKADIWSFGITALELAIGHAPFSSQPPAKVFLMTLQHAPPSLHNTKEKKFTDSFKSMIATCLIKDPTKRPSAKKLLKHPFFRKAKSEHNAVKCMINKLPSLAERMQTIKENEAKLQADKKPHDKCKEAESQEEYRRGVSEWNFDIADLKAQAALYPDETEAEDFLRFLFELDIVDESTQLQDLRARNCPINVERMNAGDDGSGKSNSTSPMSLSQSVKQLDKGSPNGLLHNESFEIHSMSPTKQLTRAVSTCKDVDEYLEKTAFQKGRFKVIHDYSKLEGATQREKELLERINSLEKMLLATQDEVERLKAKEAKGVEECPKQQ >PAN04341 pep chromosome:PHallii_v3.1:1:4073012:4077680:1 gene:PAHAL_1G060000 transcript:PAN04341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKGKKSGPLTRRGRKREFPIRAADYELLEPIGDGATAVVRRARCKPLGGEVVAVKIMNMAQRTESDVNNASEEVKTMIMIDHPNLLSAYCSFTEGEALWIVMPYMAGGSCYHLMKSSYPKGFEDENFIAFVLRETLKGLEYLHENGHIHRDVKAGNILLDQEKGVKLSDFGVTASLYDSIINRHGKRKTLVGTPCWMAPEVMEQKDYDFKADIWSFGITALELAIGHAPFSSQPPAKVFLMTLQHAPPSLHNTKEKKFTDSFKSMIATCLIKDPTKRPSAKKLLKHPFFRKAKSEHNAVKCMINKLPSLAERMQTIKENEAKLQADKKPHDKCKEAESQEEYRRGVSEWNFDIADLKAQAALYPDETEAEDFLRFLFELDIVDESTQLQDLRARNCPINVERMNAGDDGSGKSNSTSPMSLSQSVKQLDKGSPNGLLHNESFEIHSMSPTKQLTRAA >PVH66553 pep chromosome:PHallii_v3.1:1:47853104:47854972:1 gene:PAHAL_1G279200 transcript:PVH66553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSAVSIGFSMTGYTSGSHGQSQITFGLDRLGSAMDSSYSHGQRGLRRSSHAPAQDDGCRLVLGLGPTPDANSADQHPAGADKSRAPVTLFGQSFSSTDPGVLSLGVRQGRNAGAIQHRQMPTGHIISFGAVDEGSTSARRSSGGYMPSLVFAPGPNYAAAEEAQGLVDHTDHNSYDSSTVRDQNGFRLSPEPSASLTEASFGVSSDVVTVVSNPVQQAHRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCLQLGCTKSAEGKTDHCIAHGGGRRCGYAGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFEGCAKGAEGSTPLCKAHGGGKRCMYEGGGVCPKSVHGGTEFCVAHGGGKRCAVPGCGKSARGRTDRCVKHGGGKRCRVDGCGKSAQGSTEYCKAHGGGKRCNFGGGCEKFARGRSGLCAAHGTLVASQQRRCGGAAAAAGMIGPGLFHGIVRSAGAANAHNERSSSGASTVSDCDGSPVAAAGRLELIPPQVLVPHSMKSLAPPPERSREGGAAAVPEGRVHGGGLLTLLGGSFRNVDVGRL >PAN06660 pep chromosome:PHallii_v3.1:1:47850032:47855357:1 gene:PAHAL_1G279200 transcript:PAN06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGFAGILHRRNQPLMDDSAVSIGFSMTGYTSGSHGQSQITFGLDRLGSAMDSSYSHGQRGLRRSSHAPAQDDGCRLVLGLGPTPDANSADQHPAGADKSRAPVTLFGQSFSSTDPGVLSLGVRQGRNAGAIQHRQMPTGHIISFGAVDEGSTSARRSSGGYMPSLVFAPGPNYAAAEEAQGLVDHTDHNSYDSSTVRDQNGFRLSPEPSASLTEASFGVSSDVVTVVSNPVQQAHRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCLQLGCTKSAEGKTDHCIAHGGGRRCGYAGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFEGCAKGAEGSTPLCKAHGGGKRCMYEGGGVCPKSVHGGTEFCVAHGGGKRCAVPGCGKSARGRTDRCVKHGGGKRCRVDGCGKSAQGSTEYCKAHGGGKRCNFGGGCEKFARGRSGLCAAHGTLVASQQRRCGGAAAAAGMIGPGLFHGIVRSAGAANAHNERSSSGASTVSDCDGSPVAAAGRLELIPPQVLVPHSMKSLAPPPERSREGGAAAVPEGRVHGGGLLTLLGGSFRNVDVGRL >PVH66555 pep chromosome:PHallii_v3.1:1:47850005:47855359:1 gene:PAHAL_1G279200 transcript:PVH66555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADTSGFVSGGSSVSIGFSMTGYTSGSHGQSQITFGLDRLGSAMDSSYSHGQRGLRRSSHAPAQDDGCRLVLGLGPTPDANSADQHPAGADKSRAPVTLFGQSFSSTDPGVLSLGVRQGRNAGAIQHRQMPTGHIISFGAVDEGSTSARRSSGGYMPSLVFAPGPNYAAAEEAQGLVDHTDHNSYDSSTVRDQNGFRLSPEPSASLTEASFGVSSDVVTVVSNPVQQAHRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCLQLGCTKSAEGKTDHCIAHGGGRRCGYAGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFEGCAKGAEGSTPLCKAHGGGKRCMYEGGGVCPKSVHGGTEFCVAHGGGKRCAVPGCGKSARGRTDRCVKHGGGKRCRVDGCGKSAQGSTEYCKAHGGGKRCNFGGGCEKFARGRSGLCAAHGTLVASQQRRCGGAAAAAGMIGPGLFHGIVRSAGAANAHNERSSSGASTVSDCDGSPVAAAGRLELIPPQVLVPHSMKSLAPPPERSREGGAAAVPEGRVHGGGLLTLLGGSFRNVDVGRL >PVH66554 pep chromosome:PHallii_v3.1:1:47850005:47855359:1 gene:PAHAL_1G279200 transcript:PVH66554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADTSGFVSGGSSGYTSGSHGQSQITFGLDRLGSAMDSSYSHGQRGLRRSSHAPAQDDGCRLVLGLGPTPDANSADQHPAGADKSRAPVTLFGQSFSSTDPGVLSLGVRQGRNAGAIQHRQMPTGHIISFGAVDEGSTSARRSSGGYMPSLVFAPGPNYAAAEEAQGLVDHTDHNSYDSSTVRDQNGFRLSPEPSASLTEASFGVSSDVVTVVSNPVQQAHRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCLQLGCTKSAEGKTDHCIAHGGGRRCGYAGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFEGCAKGAEGSTPLCKAHGGGKRCMYEGGGVCPKSVHGGTEFCVAHGGGKRCAVPGCGKSARGRTDRCVKHGGGKRCRVDGCGKSAQGSTEYCKAHGGGKRCNFGGGCEKFARGRSGLCAAHGTLVASQQRRCGGAAAAAGMIGPGLFHGIVRSAGAANAHNERSSSGASTVSDCDGSPVAAAGRLELIPPQVLVPHSMKSLAPPPERSREGGAAAVPEGRVHGGGLLTLLGGSFRNVDVGRL >PAN03924 pep chromosome:PHallii_v3.1:1:2042006:2046328:1 gene:PAHAL_1G029100 transcript:PAN03924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVDTLSLQAKIEPSFTELVWQKLEEENREFFKAYYIRLMLMNQIVAFNKLLEQQYQIMSKDHPSGVPSMPPTAPNGSNSSALNQNVPFLPDTIPTTAMQDSLLRNGGSSGIVNGAASSDQFIYAGKVVHGLPSGMDASSSLLAAHDSTVEQFNGHNGTTIKTEAGYSSNSDFAFGNENVFLEQSVGDVSGGSFSSSELNGPQLGDPILDVEPSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSETNNFPESTTGEHTEIGNRRLDTISEGVNFEDFGSD >PAN04920 pep chromosome:PHallii_v3.1:1:7448968:7450235:1 gene:PAHAL_1G101700 transcript:PAN04920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTASVPSRVLLLSLAFLAAAGTAAALSTKYYDKRCPALQPTVRFAVARAVAADPNAGAAVLRLFFHDCFVNGCDASVLLDDGAAPGEKGAGPNANSLRGYEVIDAAKAAVEAACPGAVSCADVLALAARDAVSLLGGPSWNVRLGRRDARAASRDAANANLPGPGSGLPALVAAFRAKGLSARDMTALSGAHTVGRARCATFRARASAGAAGGGEAINGTFAAELREACGGADGALVPLDGVTPDAFDNGYFRGLVERRGLLHSDQELFSGGSQDTLVRRYARDGAAFAADFAKAMVRMGNLAPAPGTPLEVRVSCRRPN >PVH65510 pep chromosome:PHallii_v3.1:1:1047915:1053974:-1 gene:PAHAL_1G011400 transcript:PVH65510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSICHHLLSQCKTLRELQKLHAQAVAQGLHPHRQSVSCKIFRCYADFGRAADARKLFDEIPRPDLVSFTSLMSLHIQLERHREAVSLFSLAVSDGHRPDGFAVVGALSASGGAGDQQVGRAVHGLIFRLGLDSEVVVGNALVDMYSRCGKFESAVLVFDRMFLKDEITWGSMLHGYIKCAGLDLALSFFDQMPVKSVVAWTALITSHVQGRLPVRALELFARMILESHRPTHVTIVGVLSACADIGALDLGRIIHGYGSKCNASSNIIVSNALMDMYAKSGSIEMALSVFQEVQSKDAFTWTTMISCFTVQGDGRKALELFWDMLRSGVVPNSVTFVSVLSACSHAGLIEEGRELFGTMRETYSIDPQIEHYGCMIDLLGRGGLLEEAEALIVDMNVEPDIVIWRSLLSACLVHHNDRLAEIAGKEIMKREPGDDGVYVLLWNMYASSNKWREAREIRQQMLTRKIFKKPGCSWIEVDGVVHEFLVEDKTHNARREIYETLEYMNRQFRMELRSFSWEQNGSSIFVDGEIII >PAN08876 pep chromosome:PHallii_v3.1:1:58811657:58819712:-1 gene:PAHAL_1G443300 transcript:PAN08876 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEUNIG_homolog [Source:Projected from Arabidopsis thaliana (AT2G32700) TAIR;Acc:AT2G32700] MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNASHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTIDIKSEGNMGVPQRSLPMDPSSLYGQGIIQPKPGLSGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQYLAQAQAQGNLGNSTNYGDIDPRRLTALTRSGLNGKDGQPAGTDVCISSPMQSSSPKVRPDQEYLMKTSSQQPQEQQQQPQQQNQQQQQQQSQHQQMQQNNRKRKQPASSGPANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMAGNMRHVPKNLMMYGADGTGLASSSNQMDDLEQFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPTTSKGFTFSEVNCWRTSNSKVVCCHFSSDGKILASAGHEKKAVLWNMENFQTQYTSEEHGLIITDVRFRPNSNHLATSSFDRTIKLWNAADPGFSLHTFTGHSFQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLTCMRAIKGGTAQVRFQPNAGQYLAAAAENMVSIFDIETHGKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSISSGECIHELSSNGNKFHSCVFHPSYTNLLVIGGYQSLEVWNMVKNQSLTVQAHEGLIAALAQSPVTGMVASASHDHSVKVWK >PAN08877 pep chromosome:PHallii_v3.1:1:58812056:58819187:-1 gene:PAHAL_1G443300 transcript:PAN08877 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEUNIG_homolog [Source:Projected from Arabidopsis thaliana (AT2G32700) TAIR;Acc:AT2G32700] MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNASHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTIDIKSEGNMGVPQRSLPMDPSSLYGQGIIQPKPGLSGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQYLAQAQAQGNLGNSTNYGDIDPRRLTALTRSGLNGKDGQPAGTDVCISSPMQSSSPKVRPDQEYLMKQTSSQQPQEQQQQPQQQNQQQQQQQSQHQQMQQNNRKRKQPASSGPANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMAGNMRHVPKNLMMYGADGTGLASSSNQMDDLEQFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPTTSKGFTFSEVNCWRTSNSKVVCCHFSSDGKILASAGHEKKAVLWNMENFQTQYTSEEHGLIITDVRFRPNSNHLATSSFDRTIKLWNAADPGFSLHTFTGHSFQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLTCMRAIKGGTAQVRFQPNAGQYLAAAAENMVSIFDIETHGKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSISSGECIHELSSNGNKFHSCVFHPSYTNLLVIGGYQSLEVWNMVKNQSLTVQAHEGLIAALAQSPVTGMVASASHDHSVKVWK >PAN07787 pep chromosome:PHallii_v3.1:1:53363292:53365401:-1 gene:PAHAL_1G362800 transcript:PAN07787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MERTLITSNLSMTAHPSRSSRRVGYISPAASAAAQNSSYRKKKSTPSAAATATVVTSPPATDNVHSNAPKQAEQQDKGERVAAAKTNTSRIGTASTRTRAPSQARAQPRRRPAPAAASLPMAFCSALEEAINTFVDPPALRPSVDPRNVLSANFAPVDELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPTAESPLSDPILCSRYVQTYKYLVERDAGAPVMPNVFSGFHGLGGLARGAVVAARVLTGQMNPAEGVGLANTSLAFFGGRLYALGESDLPYTVRVDPATGEVTTHGRCDFGGRLFMGMTAHPKKDPVTGEVFAFRYGPVPPFVTYFRFDPAGNKGPDVPIFSVQQPSFLHDFAVTERYAIFPDIQIVMQPMGMVAGGAPVGADSGKVPRIGVLPKYATDESEMRWFEVPGFNIIHTLNAWEEADGEELVLVAPNILSVEHALERMELAHACVEKVRVNLHTGAVSRAPLSAGNLDFGVIHPGYLGRRNRYGYLGIGDPMPKIGGVAKLDFDRAGTGDCTVARRDFGAGCFAGEPFFVPDDVEGDGNEDDGYVVCYVHNEHTGENRFVVMDARSPQLDIVAEVQLPARVPYGFHGLFVTKAELQAQQQ >PVH66113 pep chromosome:PHallii_v3.1:1:17546408:17550848:1 gene:PAHAL_1G153200 transcript:PVH66113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGLLLHSLSYSASAFAAAEDMVISSLRLLPSTPLANPPPLPTHPQCIDLPPDPCPPPPPPPTALLLTAADRLRGVFLRKPLGRASLHRALSSTGLDAATALSPEVLADVVNAGDLGGAATVAFFDWAVTNSNPRPSVHTCNIVIRALGRKKFFGFIDDALQIMRRNGIFPDLTTLEIILDSLIAARHVSRAVEVLSTDQFGFRIGKACYRKEALNILMGCLCRRSHVGLASSLLQAARKELLGLDNHVYNDVMGGLARIGSVDKMQEIWTKMQEDGLVPDEVSHCHLIEALGRAGRTEDALRVFENMVHERYGPTTMAYNALIFNFISIRDLDMCIKYYKDMLDKNCLPNIDTYFKMIKAFLKERRVADALQMFEDMLARGVLPNTGVITQFIEPLCTFGPPHAALMIYKKSRKAGCVISLKAYKLLLKRLAKFGKSGIVLNIWEEMQECGYQPDKEIYEFIVNGLCNVGKVDAAVSVVEESLRNGFCLGRIVYSKLNNKLLELDKVETAYNLFKKVKDARALAHSRNYCRANGWHS >PVH66116 pep chromosome:PHallii_v3.1:1:17546396:17550848:1 gene:PAHAL_1G153200 transcript:PVH66116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGLLLHSLSYSASAFAAAEDMVISSLRLLPSTPLANPPPLPTHPQCIDLPPDPCPPPPPPPTALLLTAADRLRGVFLRKPLGRASLHRALSSTGLDAATALSPEVLADVVNAGDLGGAATVAFFDWAVTNSNPRPSVHTCNIVIRALGRKKFFGFIDDALQIMRRNGIFPDLTTLEIILDSLIAARHVSRAVEVLSTDQFGFRIGKACYRKEALNILMGCLCRRSHVGLASSLLQAARKELLGLDNHVYNDVMGGLARIGSVDKMQEIWTKMQEDGLVPDEVSHCHLIEALGRAGRTEDALRVFENMVHERYGPTTMAYNALIFNFISIRDLDMCIKYYKDMLDKNCLPNIDTYFKMIKAFLKERRVADALQMFEDMLARGVLPNTGVITQFIEPLCTFGPPHAALMIYKKSRKAGCVISLKAYKLLLKRLAKFGKSGIVLNIWEEMQECGYQPDKEIYEFIVNGLCNVGKVDAAVSVVEESLRNGFCLGRIVYSKLNNKLLELDKVETAYNLFKKVKDARALAHSRNYCRANGWHS >PAN05708 pep chromosome:PHallii_v3.1:1:17546397:17550848:1 gene:PAHAL_1G153200 transcript:PAN05708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGLLLHSLSYSASAFAAAEDMVISSLRLLPSTPLANPPPLPTHPQCIDLPPDPCPPPPPPPTALLLTAADRLRGVFLRKPLGRASLHRALSSTGLDAATALSPEVLADVVNAGDLGGAATVAFFDWAVTNSNPRPSVHTCNIVIRALGRKKFFGFIDDALQIMRRNGIFPDLTTLEIILDSLIAARHVSRAVEVLSTDQFGFRIGKACYRKEALNILMGCLCRRSHVGLASSLLQAARKELLGLDNHVYNDVMGGLARIGSVDKMQEIWTKMQEDGLVPDEVSHCHLIEALGRAGRTEDALRVFENMVHERYGPTTMAYNALIFNFISIRDLDMCIKYYKDMLDKNCLPNIDTYFKMIKAFLKERRVADALQMFEDMLARGVLPNTGVITQFIEPLCTFGPPHAALMIYKKSRKAGCVISLKAYKLLLKRLAKFGKSGIVLNIWEEMQECGYQPDKEIYEFIVNGLCNVGKVDAAVSVVEESLRNGFCLGRIVYSKLNNKLLELDKVETAYNLFKKVKDARALAHSRNYCRANGWHS >PVH66115 pep chromosome:PHallii_v3.1:1:17546396:17550848:1 gene:PAHAL_1G153200 transcript:PVH66115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGLLLHSLSYSASAFAAAEDMVISSLRLLPSTPLANPPPLPTHPQCIDLPPDPCPPPPPPPTALLLTAADRLRGVFLRKPLGRASLHRALSSTGLDAATALSPEVLADVVNAGDLGGAATVAFFDWAVTNSNPRPSVHTCNIVIRALGRKKFFGFIDDALQIMRRNGIFPDLTTLEIILDSLIAARHVSRAVEVLSTDQFGFRIGKACYRKEALNILMGCLCRRSHVGLASSLLQAARKELLGLDNHVYNDVMGGLARIGSVDKMQEIWTKMQEDGLVPDEVSHCHLIEALGRAGRTEDALRVFENMVHERYGPTTMAYNALIFNFISIRDLDMCIKYYKDMLDKNCLPNIDTYFKMIKAFLKERRVADALQMFEDMLARGVLPNTGVITQFIEPLCTFGPPHAALMIYKKSRKAGCVISLKAYKLLLKRLAKFGKSGIVLNIWEEMQECGYQPDKEIYEFIVNGLCNVGKVDAAVSVVEESLRNGFCLGRIVYSKLNNKLLELDKVETAYNLFKKVKDARALAHSRNYCRANGWHS >PVH66114 pep chromosome:PHallii_v3.1:1:17546396:17550848:1 gene:PAHAL_1G153200 transcript:PVH66114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGLLLHSLSYSASAFAAAEDMVISSLRLLPSTPLANPPPLPTHPQCIDLPPDPCPPPPPPPTALLLTAADRLRGVFLRKPLGRASLHRALSSTGLDAATALSPEVLADVVNAGDLGGAATVAFFDWAVTNSNPRPSVHTCNIVIRALGRKKFFGFIDDALQIMRRNGIFPDLTTLEIILDSLIAARHVSRAVEVLSTDQFGFRIGKACYRKEALNILMGCLCRRSHVGLASSLLQAARKELLGLDNHVYNDVMGGLARIGSVDKMQEIWTKMQEDGLVPDEVSHCHLIEALGRAGRTEDALRVFENMVHERYGPTTMAYNALIFNFISIRDLDMCIKYYKDMLDKNCLPNIDTYFKMIKAFLKERRVADALQMFEDMLARGVLPNTGVITQFIEPLCTFGPPHAALMIYKKSRKAGCVISLKAYKLLLKRLAKFGKSGIVLNIWEEMQECGYQPDKEIYEFIVNGLCNVGKVDAAVSVVEESLRNGFCLGRIVYSKLNNKLLELDKVETAYNLFKKVKDARALAHSRNYCRANGWHS >PVH67124 pep chromosome:PHallii_v3.1:1:58039121:58039807:-1 gene:PAHAL_1G431600 transcript:PVH67124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRRENKPRRLRGLGCWKNRSSQESDRRSRAVAGTPHHQPHASLSLLPLSTPTEEAIVIRRRGCVCSCEEAMGEDKDGGFSFFIVPVLHSRTYGPNIHG >PVH66634 pep chromosome:PHallii_v3.1:1:49219047:49223331:1 gene:PAHAL_1G301700 transcript:PVH66634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKQPPVQLGGVTCGRPHRGRVITSLGLAGQGLEGEITSSLENLTFLRTLNLSENSFSGRLPPLGRLGKLEVLDLSRNSLRDAIPDGIANCSSLRILDLSDNSLVGELHLKLGLISDFSVLNLYQNRLTGTIPPSLGNMTHLEELYLGNNSLSGSIPAELGKLSNLSILFLDKNSLSGGIPQSLLNLSSLRHLVLGHNKLGGVLLPNIGDALPNLRWLILHDNSLVGELHPKLGLLSGLSALDLHGNRLRGTIPPSLDNMTHLKVLGLENNSLSGSIPAELGKLSNLSILSLGKNRLSGGIPRYLGNLQQLRWMELDNNNLRGKIPVTLGNLQQLRLMDFSHSNLSGKIPRTLGNLQQLIMMNLSNNNLRGKIPVTLGNLQQLTLMDLSHNNLSGKIPRTLGNLQQLMWMDLNNNNLRGKIPRTLGNLQQLSSMDLSHNNLEGDIPSNLGDLGLLYHLDLSDNKLKGIIP >PVH67214 pep chromosome:PHallii_v3.1:1:59420496:59420707:-1 gene:PAHAL_1G454300 transcript:PVH67214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSRRMDVKGSEGVWWRSRECYGRHSCRSGDGQPPHHTVAE >PAN04779 pep chromosome:PHallii_v3.1:1:6333553:6339504:1 gene:PAHAL_1G090100 transcript:PAN04779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASGSGAPEGPEALRRRRILSSRLYLDDVPSSSSKAPVVYSPAYDISFNGMEKQHPFDSSKWGRVRNSLEDAGLLQSDRIVEPLEASEDDLLVVHSESYLNSLESSEKVARIVEVPAVALLPNLLVQQKLLYPFRKQVGGSVLSAKLALEKGWAINIGGGFHHCSAQEGGGFCAYADISLCINFAFIRLNISRVMIIDLDAHQGNGHEKDFGSDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDSGTKTEDYLENLDKALKVAQSRFRPQLIVYNAGTDILHGDPLGRLKVSPEGVAIRDEQVFRFAKDQNIPILMLTSGGYMKSSGRVIADSIINLSKKNLIDIGTRCIEVE >PVH65851 pep chromosome:PHallii_v3.1:1:6333553:6336241:1 gene:PAHAL_1G090100 transcript:PVH65851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASGSGAPEGPEALRRRRILSSRLYLDDVPSSSSKAPVVYSPAYDISFNGMEKQHPFDSSKWGRVRNSLEDAGLLQSDRIVEPLEASEDDLLVVHSESYLNSLESSEKVARIVEVPAVALLPNLLVQQKLLYPFRKQVGGSVLSAKLALEKGWAINIGGGFHHCSAQEGGGFCAYADISLCINFAFIRLNISRVMIIDLDAHQGNGHEKDFGSDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDSGTKTEDYLENLDKALKVTCTDSI >PVH65850 pep chromosome:PHallii_v3.1:1:6333372:6337412:1 gene:PAHAL_1G090100 transcript:PVH65850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASGSGAPEGPEALRRRRILSSRLYLDDVPSSSSKAPVVYSPAYDISFNGMEKQHPFDSSKWGRVRNSLEDAGLLQSDRIVEPLEASEDDLLVVHSESYLNSLESSEKVARIVEVPAVALLPNLLVQQKLLYPFRKQVGGSVLSAKLALEKGWAINIGGGFHHCSAQEGGGFCAYADISLCINFAFIRLNISRVMIIDLDAHQGNGHEKDFGSDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDSGTKTEDYLENLDKALKVTCTDSI >PAN04772 pep chromosome:PHallii_v3.1:1:6332742:6337858:1 gene:PAHAL_1G090100 transcript:PAN04772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASGSGAPEGPEALRRRRILSSRLYLDDVPSSSSKAPVVYSPAYDISFNGMEKQHPFDSSKWGRVRNSLEDAGLLQSDRIVEPLEASEDDLLVVHSESYLNSLESSEKVARIVEVPAVALLPNLLVQQKLLYPFRKQVGGSVLSAKLALEKGWAINIGGGFHHCSAQEGGGFCAYADISLCINFAFIRLNISRVMIIDLDAHQGNGHEKDFGSDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDSGTKTEDYLENLDKALKIRMHIVSTYSLPKIGVRMHYNTELRRVCREIRMSTSSIVGL >PVH65852 pep chromosome:PHallii_v3.1:1:6332743:6337645:1 gene:PAHAL_1G090100 transcript:PVH65852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASGSGAPEGPEALRRRRILSSRLYLDDVPSSSSKAPVVYSPAYDISFNGMEKQHPFDSSKWGRVRNSLEDAGLLQSDRIVEPLEASEDDLLVVHSESYLNSLESSEKVARIVEVPAVALLPNLLVQQKLLYPFRKQVGGSVLSAKLALEKGWAINIGGGFHHCSAQEGGGFCAYADISLCINFAFIRLNISRVMIIDLDAHQGNGHEKDFGSDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDSGTKTEDYLENLDKALKLCTAGEGEQTEGALLVLLC >PVH66250 pep chromosome:PHallii_v3.1:1:27075098:27075805:-1 gene:PAHAL_1G185700 transcript:PVH66250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEHLGTHRCRVVLYIARSTRHPDIEPWRDAYPLAIRKALRYLCRIFEEHLVPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILKEQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTSGGTPVPGNRGNPSPNRNTHHRMGRTF >PAN07728 pep chromosome:PHallii_v3.1:1:53018993:53022655:1 gene:PAHAL_1G358200 transcript:PAN07728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNLNLEICDLINTEKVNSVELIRGIKKRIMLKDARIQYLSLILLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVAEADVDANLPHHAFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPEQDALQDDLTATLVQQCYQSQHTIQRIIETVGDNEAVLFEALSVNDEIQKVLSKYEEMKQPRASEQAEQRPVVIPIATEHEDSTAVGTEDSLVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSQEAPKKPDPKKDDLISF >PAN07729 pep chromosome:PHallii_v3.1:1:53018993:53022655:1 gene:PAHAL_1G358200 transcript:PAN07729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNLNLEICDLINTEKVNSVELIRGIKKRIMLKDARIQYLSLILLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVAEADVDANLPHHAFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPEQDALQDDLTATLVQQCYQSQHTIQRIIETVGDNEAVLFEALSVNDEIQKVLSKYEEMKQPRASEQAEQRPVVIPIATEHEDSTAVGTEDSLVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSQEAPKKPDPKKDDLISF >PAN07093 pep chromosome:PHallii_v3.1:1:49908673:49910427:1 gene:PAHAL_1G310500 transcript:PAN07093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTCAASLAPLLGPAAANATDYLCNRFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAYGTPSNGFIGKQFFGLKRLPQVGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWVWSADGWASASRTSGKLLFGSGIIDFAGSSVVHMVGGIAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGTFLLWFGWFGFNPGSFLTILRSYGPAGSIHGQWSAVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVLDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLRFDDPLEAAQLHGGCGAWGVIFTGLFARREYVEQIYGAPGRPYGLFMGGGGRLLAANVVMVLVIAAWVSVTMAPLFLALNKMGLLRVSAEDEMAGMDQTRHGGFAYAYHDEDPSGRPKGVQSSQIANASSGEF >PAN04160 pep chromosome:PHallii_v3.1:1:3175724:3176398:1 gene:PAHAL_1G046600 transcript:PAN04160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALVAARLATEVAPPQVVPTTMPRRKVPVRSLDPIAEDDDRDEHLPYCSSETTSKLMVPPPQQLID >PAN07172 pep chromosome:PHallii_v3.1:1:50306151:50306878:-1 gene:PAHAL_1G316300 transcript:PAN07172 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0631700)] MASVVRGAVVAAAAGGAVGGVGATPHVLAVDDSSVDRAIIAAILRSSRFRVTAVESGKRALELLGKEPDVSMIFTDYWMPEMTGYELLKKVKESSKLKQIPVVIMSSENVQTRISRCLEEGAEDFLVKPVRASDVSRVFSRVLR >PAN07173 pep chromosome:PHallii_v3.1:1:50305774:50307578:-1 gene:PAHAL_1G316300 transcript:PAN07173 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0631700)] MASVVRGAVVAAAAGGAVGGVGATPHVLAVDDSSVDRAIIAAILRSSRFRVTAVESGKRALELLGKEPDVSMIFTDYWMPEMTGYELLKKVKSSKLKQIPVVIMSSENVQTRISRCLEEGAEDFLVKPVRASDVSRVFSRVLR >PAN08588 pep chromosome:PHallii_v3.1:1:57427858:57432350:-1 gene:PAHAL_1G422700 transcript:PAN08588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAEKCRDAAAAAGEAGSDLYAVLGLKKECSDAELKVAYRKLAMRWHPDKCSSSSSVKHLQEAKEKFQEIQSAYSVLSDANKRFLYDVGVYDNEDDEDSLQGMGDFVGEMAQMMSQARPTRQESFEELQQLFVDMFQSDLDSGFCNGPTKGHQVHGQAQSRTSSTSPSSSPSPPPFTIVTEAEVPSCNGFNKRGSSAMDSAKPPRPVDAGVSQSQAGFCFGMSDTKQAPKTRGPNTSRRRNGRKQKLSSKHDVSSEDDTAGSQHQQHVAV >PVH66805 pep chromosome:PHallii_v3.1:1:52612176:52612650:-1 gene:PAHAL_1G352600 transcript:PVH66805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPIAIVLLAVAIAPVLAAGSSSVINATCAELKPLWPYDYCVGVLSGDPAATDLRGVSAAAVNITATKAASTLRVISDLVDELSTCRGYYGNMLPSLADISANASARPRDCDIWLFQGDAHKDPISEENSERATFWSA >PAN08664 pep chromosome:PHallii_v3.1:1:57874685:57877629:-1 gene:PAHAL_1G428200 transcript:PAN08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAVIMAGIKDQKDPRDKIHHGGWMAKIFCWAGIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIATFSFSGLLFHWFTPSGHDCGLNLFFIVSTLILVFAFAIVALHPKINGSLLPASVIGLYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLTLGLLTTILSVVYSAVRAGSSATVLSPPDSPRGTDKPLLPFSKADEQEDKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSIGESGKLVDVGWPSVWVRIATQWATAGLFIWSLVAPILFPDREF >PVH65480 pep chromosome:PHallii_v3.1:1:521702:524076:-1 gene:PAHAL_1G005100 transcript:PVH65480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAPQGQEHEHEQPHGQRPAAPTNHPAAPGSTIATNRWGPYSGAGDFASNMAVILAALLAALAFALALNAAVRYVLRRARRAAGGATEADPDPEKAAVEAPPPPPALVYSAAGTKLAGAAAECAICLAEFVDGDAVRVMPACGHGFHARCIERWLAGGRRSSCPTCRAPLHAAAAEGAAAAASPSS >PVH66060 pep chromosome:PHallii_v3.1:1:12891578:12891919:-1 gene:PAHAL_1G138000 transcript:PVH66060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGTGSGQQGQNNQSTDQPLPMPPPLTPEQFFQLQMQMMATLNNTVQTLQQIHAQPPPPPPQQPRDRRTDFLRGHPLTFSHAADPLQADDWLRSVERQLDVAQCDDRE >PVH66127 pep chromosome:PHallii_v3.1:1:18052929:18054196:-1 gene:PAHAL_1G155100 transcript:PVH66127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKTQTTSPKTSSRVSSCTQSCLWLGPPLGFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN06285 pep chromosome:PHallii_v3.1:1:45669195:45671379:-1 gene:PAHAL_1G252300 transcript:PAN06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKGGAGGMGGMNMVTTVMAFSVSAFFVLFVFTRLLCARLHLSRAAAAADRAAGDAFVVSAYNVERGIHGLEPSVVTTFPTVKLGDGGPQRPPVQEAQCPVCLEEYEAKDVVRVLPACGHAFHAPCIDAWLRQHPTCPVCRASLRAKASGGNRAAPPEHSVLVAGAAAARAASSQVPASSSDVTASSPQAPRGHRTDMDAADGRLEIVTEEPASPSDPSPAAAAAAGRHSPCGETVRQSGSAAGASEHY >PAN05252 pep chromosome:PHallii_v3.1:1:10274274:10275222:1 gene:PAHAL_1G127100 transcript:PAN05252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAKACERTWCSSKQAGKASVSLMSTVDLRASNTTVKLLNLELQAAEAIQDHPRVVSIPTVMLTLFMYGSHRLLVSTGLYGSCYSILY >PAN06238 pep chromosome:PHallii_v3.1:1:45172501:45176251:1 gene:PAHAL_1G248700 transcript:PAN06238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSAINNSEGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRSGENIISNSSKDAGTYWRTMLPFSYAVVSGAIGSCSVLFAKSLSNMLRLTMSSRYEFHSWFTYSIVLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFLGISLLAPDDSKAADTKDGLSATEESIIDMDRNGKMHMEETQADGSNSFVSSVKVKARRVLLKAKSACSMSLGLGEESISASSVLAMPMVSSRTTGFRGIGNDRSKYVPLRTTDWSNL >PVH66446 pep chromosome:PHallii_v3.1:1:45172501:45176251:1 gene:PAHAL_1G248700 transcript:PVH66446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSAINNSEGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRSGENIISNSSKDAGTYWRTMLPFSYAVVSGAIGSCSVLFAKSLSNMLRLTMSSRYEFHSWFTYSIVLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFLGISLLAPDDSKADTKDGLSATEESIIDMDRNGKMHMEETQADGSNSFVSSVKVKARRVLLKAKSACSMSLGLGEESISASSVLAMPMVSSRTTGFRGIGNDRSKYVPLRTTDWSNL >PVH65948 pep chromosome:PHallii_v3.1:1:8370125:8372064:1 gene:PAHAL_1G110000 transcript:PVH65948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFSQQVRFSYEDSSVTFQMPPPYSVVIQESEKGHLHIPVSGEGLLEEDRTWLCVSRRNSQAQ >PVH66953 pep chromosome:PHallii_v3.1:1:55300040:55308220:1 gene:PAHAL_1G392700 transcript:PVH66953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIPLRFAFKENKNKNLSSPSPVSLSLGSRLSLLAATTGRAAPSFGEVFVGPSAGDEHPIAGYLTLTSDALMAGLEELKKKLQPLLFDDPDRGAASTRLPFPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFQKRSAGPVESDSDEKAYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKFIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECGTGKFPYDVNEGPANLMLQILDDPSPTPPEDAYTPEFCSFINDCLQKDADARPTCEQLLSHPFIKRYLKIDVDLASYVKGVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGNVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANGDTGVAIRVSGSFIVGNQFLVCGEGIKPEGMPSLDELSIDIPSKRVGQFREQFIMQPGNLMSCYYISKQDLYIIQS >PAN08161 pep chromosome:PHallii_v3.1:1:55300412:55307314:1 gene:PAHAL_1G392700 transcript:PAN08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELKKKLQPLLFDDPDRGAASTRLPFPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFQKRSAGPVESDSDEKAYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKFIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECGTGKFPYDVNEGPANLMLQILDDPSPTPPEDAYTPEFCSFINDCLQKDADARPTCEQLLSHPFIKRYLKIDVDLASYVKGVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFRYLSQCYNCKHHDYILVYQLHCLLKLVLYPETTGRLLPSFPNLSLWWFCSSWSIILYMFLRERVRWPKRHF >PVH66952 pep chromosome:PHallii_v3.1:1:55300040:55308219:1 gene:PAHAL_1G392700 transcript:PVH66952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIPLRFAFKENKNKNLSSPSPVSLSLGSRLSLLAATTGRAAPSFGEVFVGPSAGDEHPIDALMAGLEELKKKLQPLLFDDPDRGAASTRLPFPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFQKRSAGPVESDSDEKAYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKFIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECGTGKFPYDVNEGPANLMLQILDDPSPTPPEDAYTPEFCSFINDCLQKDADARPTCEQLLSHPFIKRYLKIDVDLASYVKGVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGNVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANGDTGVAIRVSGSFIVGNQFLVCGEGIKPEGMPSLDELSIDIPSKRVGQFREQFIMQPGNLMSCYYISKQDLYIIQS >PVH66951 pep chromosome:PHallii_v3.1:1:55301439:55307314:1 gene:PAHAL_1G392700 transcript:PVH66951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKFIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECGTGKFPYDVNEGPANLMLQILDDPSPTPPEDAYTPEFCSFINDCLQKDADARPTCEQLLSHPFIKRYLKIDVDLASYVKGVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFRYLSQCYNCKHHDYILVYQLHCLLKLVLYPETTGRLLPSFPNLSLWWFCSSWSIILYMFLRERVRWPKRHF >PVH66954 pep chromosome:PHallii_v3.1:1:55300040:55308219:1 gene:PAHAL_1G392700 transcript:PVH66954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKFIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECGTGKFPYDVNEGPANLMLQILDDPSPTPPEDAYTPEFCSFINDCLQKDADARPTCEQLLSHPFIKRYLKIDVDLASYVKGVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGNVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANGDTGVAIRVSGSFIVGNQFLVCGEGIKPEGMPSLDELSIDIPSKRVGQFREQFIMQPGNLMSCYYISKQDLYIIQS >PAN08162 pep chromosome:PHallii_v3.1:1:55300040:55308219:1 gene:PAHAL_1G392700 transcript:PAN08162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELKKKLQPLLFDDPDRGAASTRLPFPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFQKRSAGPVESDSDEKAYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKFIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECGTGKFPYDVNEGPANLMLQILDDPSPTPPEDAYTPEFCSFINDCLQKDADARPTCEQLLSHPFIKRYLKIDVDLASYVKGVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGNVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANGDTGVAIRVSGSFIVGNQFLVCGEGIKPEGMPSLDELSIDIPSKRVGQFREQFIMQPGNLMSCYYISKQDLYIIQS >PAN04926 pep chromosome:PHallii_v3.1:1:7551131:7552109:-1 gene:PAHAL_1G102400 transcript:PAN04926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFPDDLPPLDGLVSVNISHNNFSGTVPAAAVRRFGQSAFFQAGNALQVIEDGAPSGGKKRNRAVVIALISAGAAVTRPRWRSSPGSKHTLHKL >PAN07092 pep chromosome:PHallii_v3.1:1:49788054:49791846:1 gene:PAHAL_1G308300 transcript:PAN07092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRGGVCSGGSTNTRHAAHLFPLPCIGEPAAASCFSPGSSVARSKALRAAACYTSDAKTVPEVEASAGKNRNMPIAISATRGRGERYGSRQASSYGAVPLTHRRPASSSLGTRGGGGYHEPHGGDAAFSSITRRLENLQGRLPTRAHFTKPSPSVQNIRLQTTRHPSLLERRGSVSDWRFTKARGKENQVYDQNNWQTDNVSSRISSARDWNFDFDGAFEGSKCSPIFMKPSGTPQNIRAQTPRHRSLLDRRVGGANPVPRRSIHMAAPARLIHQSPSHNRHQHVDAIDANNDCIVPKVSEGKDEVNEQDWQMDTMSSHVSSASDWNFEFDIAFEGRNHSAHLVKPSAMPRNIRVQIPRHPSLLDRRVDRINLPFMCQACSSDNLPSTSNVFLVFIISVQILEGLCPYYPFNAAFFDLGTYLPVLSLQSSPTASNSSKSAPGPLGKVKGKVGIVRSASAHISGGTVGAKKKEAYITTLGAGGGYGDPHPPPFYKCEVCRKGTTFYRLKCCRLVEDEKLLQSRRGKVQAGKEKLPKVKLVGSDLFLWQPCSFPAGLMLCMAKRTGNHLQYYFAPVDELMNPKVRVVRATVYNFRQHGRNARSLSVRDELLTRKQLC >PVH66241 pep chromosome:PHallii_v3.1:1:26698143:26700150:-1 gene:PAHAL_1G183600 transcript:PVH66241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLECLLWDCLDSEALQSLCIGADGDGGAVAGDGGQQHRDGYSSVPDAGSNSSAATAGAGSIGSSVVVVTERRCRRRLNDRLYALRRVVPNITKMDKASVLEDAIEYILQLQQLERQLLAELALLEAAAGAHHLVLGTPMPSAGAGRRRPREPRRRVADEADEAEPVLLVPGGVPPATVPLRRRSTLCRVSGAGDKVLVVSVACRHRRDAVAKLCRALEGLRLRVIAANVTAASGTVTHTALVQLPYPH >PVH66240 pep chromosome:PHallii_v3.1:1:26699070:26700125:-1 gene:PAHAL_1G183600 transcript:PVH66240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTMGDSLECLLWDCLDSEALQSLCIGADGDGGAVAGDGGQQHRDGYSSVPDAGSNSSAATAGAGSIGSSVVVVTERRCRRRLNDRLYALRRVVPNITKMDKASVLEDAIEYILQLQQLERQLLAELALLEAAAGAHHLVLGTPMPSAGAGRRRPREPRRRVADEADEAEPVLLVPGGVPPATVPLRRRSTLCR >PVH65748 pep chromosome:PHallii_v3.1:1:4702169:4703157:1 gene:PAHAL_1G069000 transcript:PVH65748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAGIPSPPDCSSSPTRTSALIPPGRSSLPTRRPPDAARPHLLAGRSTSPVLARTPAARCRPPVARRRSASPAPGPPDAARPHPSGDRPMRPSLARPSPPVSRSPGA >PAN07010 pep chromosome:PHallii_v3.1:1:49416280:49418267:-1 gene:PAHAL_1G304800 transcript:PAN07010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLATGRGRVRLNVGGRVFETTAATLGAAGRDTMLGAMLDASWNAGRDAGFGDGAGPAEYFIDRDPACFAVLLDLLRTGGLHVPPGVPEAALHREALYYGLLDRVRAARLGEFDGDRLRLAASVPGRAPGDGTAVRAAPDGGCCVAHGGTVRVYNWALEERRPVYYPGHAPVNDAAYLDAATLVVAARERPGRRDDGGVAAFSALTAEPRHRFRVAHDRQPRSFTAGALAFGAGCEVFASCKGRFNEYGVGVWDWNTGEQADFFYEPPGCALGDADRLQWLDATSTLMVATMFPRTDSSFISLLDFRDKSVVWSWSDAGTPASLEDKHAVHAVAMEDGRSVCMINQYDDLGFLDLRKNAAGVRWRSRSKLTISGKTKACGEETCYPKLARHGGQLFASTGDTISVFSGPDRVLTSTLRCSNGGAICDFSIGGDRLFALHSEENVFDVWETPPPPII >PAN07385 pep chromosome:PHallii_v3.1:1:51255814:51257750:1 gene:PAHAL_1G331400 transcript:PAN07385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAAAVTAPTTSPVHVAPRHAAPAVQPRAAPRREPSPLNPNTPAQALRSAASAPPSSSAAADGARAHIANLDKVLGKPPRPASHAAAAARQEQEQDGEQEPLSVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAPRWRSLHGEGGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESSDGAEAGAEEPKVARGFLSLYKTAGEKVKSLSEEVMDEVRRLMEKYKGEELSITVVGHSLGAALALLVADEVATSIPDAPPVAVVSFGGPKVGNAAFVDRLSSSGKVNVLRIVNAGDMVTKVPGVAPRLPHKKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQLQRGNVKKEYVNRARELGVDPTAPVDAGRSMAYGNCAVASPSS >PAN08825 pep chromosome:PHallii_v3.1:1:58445035:58446405:-1 gene:PAHAL_1G438600 transcript:PAN08825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSSRLTDLFLLPPSTESDSYVRTQALTPESTRCPRLTCIAVRIPFWIPIPPLCHRLVLPGLARSSVRARASHHRLARIIKINYGYHQARRSSLLIPFS >PVH65721 pep chromosome:PHallii_v3.1:1:4139932:4148902:1 gene:PAHAL_1G061200 transcript:PVH65721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKVPYHKHREAEEARKKREEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKIGPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEEERPKEKEKGKPRVIDKFMEELKFEQEQREKRSQDRDHRRDRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPAVASVTPQTSELVLTPNVPDIVVAPPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNGGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVEVKYAQGEQHKTGREDSGLNAPSASRFGETPNGDGLDVSRNNMGTAKGRTRESASAELESFPSKKPKYDPVLPASKWSREDDISDDEDRKGGRGLGLSYSSGSDAGDLGKADTSEVSTDHTSHHQDTIVDEEHRQKLRQIEISVMQYRESLEEQGIRNMDEIERKVASHRRHLQSEYGLSTSTDGANSRRSSERTSLERKEKHDNAHDYARKRRRSQSRSRSPPRKSQERDRDHNRSRDRSHGNDVGRDRVRDKSTSRGRDDHYDRSRDREKDRRKGR >PVH65722 pep chromosome:PHallii_v3.1:1:4139984:4148902:1 gene:PAHAL_1G061200 transcript:PVH65722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKVPYHKHREAEEARKKREEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKIGPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEEERPKEKEKGKPRVIDKFMEELKFEQEQREKRSQDRDHRRDRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPAVASVTPQTSELVLTPNVPDIVVAPPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRVNRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNGGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVEVKYAQGEQHKTGREDSGLNAPSASRFGETPNGDGLDVSRNNMGTAKGRTRESASAELESFPSKKPKYDPVLPASKWSREDDISDDEDRKGGRGLGLSYSSGSDAGDLGKADTSEVSTDHTSHHQDTIVDEEHRQKLRQIEISVMQYRESLEEQGIRNMDEIERKVASHRRHLQSEYGLSTSTDGANSRRSSERTSLERKEKHDNAHDYARKRRRSQSRSRSPPRKSQERDRDHNRSRDRSHGNDVGRDRVRDKSTSRGRDDHYDRSRDREKDRRKGR >PVH65720 pep chromosome:PHallii_v3.1:1:4139932:4148902:1 gene:PAHAL_1G061200 transcript:PVH65720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKVPYHKHREAEEARKKREEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKIGPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEEERPKEKEKGKPRVIDKFMEELKFEQEQREKRSQDRDHRRDRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPAVASVTPQTSELVLTPNVPDIVVAPPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNGGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVEVKYAQGEQHKTGREDSGLNAPSASRFGETPNGDGLDVSRNNMGTAKGRTRESASAELESFPSKKPKYDPVLPASKWSREDDISDDEDRKGGRGLGLSYSSGSDAGDLGKADTSEVSTDHTSHHQDTIVDEEHRQKLRQIEISVMQYRESLEEQGIRNMDEIERKVASHRRHLQSEYGLSTSTDGANSRRSSERTSLERKEKHDNAHDYARKRRRSQSRSRSPPRKSQERDRDHNRSRDRSHGNDVGRDRVRDKSTSRGRDDHYDRSRDREKDRRKGR >PVH65723 pep chromosome:PHallii_v3.1:1:4139984:4148902:1 gene:PAHAL_1G061200 transcript:PVH65723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKVPYHKHREAEEARKKREEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKIGPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEEERPKEKEKGKPRVIDKFMEELKFEQEQREKRSQDRDHRRDRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPAVASVTPQTSELVLTPNVPDIVVAPPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRVNRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNGGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVEVKYAQGEQHKTGREDSGLNAPSASRFGETPNGDGLDVSRNNMGTAKGRTRESASAELESFPSKKPKYDPVLPASKWSREDDISDDEDRKGGRGLGLSYSSGSDAGDLGKADTSEVSTDHTSHHQDTIVDEEHRQKLRQIEISVMQYRESLEEQGIRNMDEIERKVASHRRHLQSEYGLSTSTDGANSRRSSERTSLERKEKHDNAHDYARKRRRSQSRSRSPPRKSQERDRDHNRSRDRSHGNDVGRDRVRDKSTSRGRDDHYDRSRDREKDRRKGR >PAN04354 pep chromosome:PHallii_v3.1:1:4139934:4148902:1 gene:PAHAL_1G061200 transcript:PAN04354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKVPYHKHREAEEARKKREEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKIGPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEEERPKEKEKGKPRVIDKFMEELKFEQEQREKRSQDRDHRRDRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPAVASVTPQTSELVLTPNVPDIVVAPPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNGGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVEVKYAQGEQHKTGREDSGLNAPSASRFGETPNGDGLDVSRNNMGTAKGRTRESASAELESFPSKKPKYDPVLPASKWSREDDISDDEDRKGGRGLGLSYSSGSDAGDLGKADTSEVSTDHTSHHQDTIVDEEHRQKLRQIEISVMQYRESLEEQGIRNMDEIERKVASHRRHLQSEYGLSTSTDGANSRRSSERTSLERKEKHDNAHDYARKRRRSQSRSRSPPRKSQERDRDHNRSRDRSHGNDVGRDRVRDKSTSRGRDDHYDRSRDREKDRRKGR >PVH66552 pep chromosome:PHallii_v3.1:1:47806766:47809698:1 gene:PAHAL_1G278500 transcript:PVH66552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVMILRKLVSLILDGTWPCARATAAAHAAAVKAGHAVDVFLSNHLIVSYAGSGLLDAARRVFDGMPRRNLVSWSALISCCARAGRPELALELFARMRGARPNEHVYASVTRSCAALRALAAGAQVHGHAVKSGFLDASFVANSIASMYMKCGCFDEGYDVFRTLAEPTVVSYNATISGLAASAQPEKGLEVFRLMKLRGLRPDRFSYAAALGICSDLENPNIGAALHCDTIKIGLDVTAFVGNVILDMYSKHGTITEAEQVFFSVDEKDAVTWNTYIAAHSRHGGYIEALMLFKDMLDTDVCPDNFTYASALAACAELSLIRHGGQVHCHLIRSREDSDVAVGNAIISMYASCGHMVHALRAFDQLRGRNLCSWNTLISGFGKQGRAREAIETFERMKEAGIAPDSITFTGLLAACNHAGSVDQGMEYFSSMSGTYGVSPGAEHVSCVADLLGRAGRLKEAEDHVLASASRDDPVALGSLLSASRVHGDADVGERAAARLLALGPATTSPYVLLSQLHAAGGRRGGAAEAWRMLRGGAARKKDAGLSVVDFR >PAN06765 pep chromosome:PHallii_v3.1:1:48332365:48335230:-1 gene:PAHAL_1G286700 transcript:PAN06765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLVRQGLRWGRRRRTARVVDESALGGDDGAAAPAAGGAAAATVAVAPTLGGALARALLAMACAIRFDGEDGGATEEAWAASGWRPRADEVSHLMVRESMRYAIYA >PVH66943 pep chromosome:PHallii_v3.1:1:55183740:55191031:-1 gene:PAHAL_1G390600 transcript:PVH66943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb1629 [Source:Projected from Arabidopsis thaliana (AT5G57930) UniProtKB/TrEMBL;Acc:A0A178UP75] MCTPMSYAGSAPATGCSLRLGVPALTVCRSHQRAAIIRNEHAQNADLPRKYSKREKKPFPIPVLELRRRAKERMKAAQGKPKQPLPPPKNGMLVRRLIPVAYKVYNARILLINNLRKLMKVVPVKGCKYCSEIHVGSVGHPFRTCRGMMAAQRRGEHDWGSTLVEAVFLPVEAYHLEDRLGKRIPHEQRFAVPRIPALVELCIQAGVDLPEYPTKRRRKPIIKIGRNEFVDADEDDLPDAEPDRFEQTLLEELHFDEVIAPSTPEETAALAEETIEAWETVRDGALKLMKGYAVRVCGYCPEVHVGPTGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRELKTFYGQAPAVVEMCIQGGAKVPEKYKCTMRLDIGIPSSLKEAEMVI >PVH66154 pep chromosome:PHallii_v3.1:1:21247656:21248516:-1 gene:PAHAL_1G164400 transcript:PVH66154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPGLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVITRSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAVAAVSNEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSAAAVERDAEAQPLAGGNPEDGEQGSLALSAPEEGLPRE >PAN08871 pep chromosome:PHallii_v3.1:1:58715501:58717450:1 gene:PAHAL_1G442100 transcript:PAN08871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGTCCEIARLPEELLSAVLALTTPRDACRAAAVSRDFLAAADSGAVWSRFQPHDLPPLADGELSGPAPPSTKGKFLRLCDRPVLLADGLMSMSLDRETGARCYMLSARALHISWGDTPEYWRWIRLPESRFRFTEVAKLRYVWWLEARGRIRSKMLSQDSTYAAYIVFKTTHRHGHGLDYPPHEASITIAGSTSTHTVCLQYNRSHRHDFAGNLALFPNKRTDGWMELEMGQFYNKDGEVHISLMDTKRGTVKRGLIVQGIEIRPKGGLNQHTSAKIGGQ >PVH66086 pep chromosome:PHallii_v3.1:1:14906552:14909730:1 gene:PAHAL_1G145000 transcript:PVH66086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPRSSRHIRFDHLEMHQYLGMQQLLWRKKCGAVYLCCGRFLWKAIPAQEEVPEDIDDPELQRLRCRVKYHALRFKPHIMKTSSEIVNKVLSEGHFMSIHLRFELDMLAFAGCIDIFTPHEQKILLKYRKEHFAEKLLVTRERRLIGKCPLTPEEEDLILRAMGFDNTTLIYLASGELFGGKRFMKPFEATFPRLENHSTVGPGKLEENTQRASGIGS >PAN07012 pep chromosome:PHallii_v3.1:1:49420447:49427578:-1 gene:PAHAL_1G304900 transcript:PAN07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGAADPEKELRQLEDRLREVGERLQAPPDEAEDLLKLLKEVEDCLLKVEQSPPESTSNAIRPATEALVRKELMGHTDPNVRLAVASCISEITRITAPDAPYDDDAMRDVFSLIVGAFQHLDDIQNPFFGRRASILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSGHSDTVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAERVIGSCREKLKPVFLQSLKGTSLSEYSQIVASVCEEGSDDREDNNADPSGKDTVDDGKLSERTISDELPQESSKAEQDVSHPEQDGTSMNGNTGTAISSDATPADTDSIAAEPKKKSALDSDKSIKPNPSDKSEATAHSGGDTKQEDLVASGEETNGAADDTSRAADITPVKRRGRPPGPKSLEKKAAGKNKPSSLDLKKAEEASDSAGKLTKRSAKDEVKSSVKKAGEAESSKKPQKSSTKQQKDEALSEEDPSEDLSLKEMISPKSSTKGPGRTKGQSTENSTSKRKQEQETEELPRSRKNKGLDGSLVGARIKVWWPDDKMFYKGVVASFDSGSKRHKVAYDDGDVEVLLLRDEKWEFISEEKEASVASETPRGRKRKVDAVKEENPETPKSDAVDPPKKRGRPKGVRSSNGTPSNISATPSAKGKSASKDVKETAKTGSNVKNEVEKSSKDKASGSTEKTKDELPEEGDDKSASKPKEASIKGKDSKDEGKSTEGKARPGRKPKNASTPAPAVSDADKEKRKEKEGKTVGIEQEASANASTGKKRRRKA >PAN07011 pep chromosome:PHallii_v3.1:1:49420447:49426390:-1 gene:PAHAL_1G304900 transcript:PAN07011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNVKGLIMPAAYSGLLLKLYDGNKLVDCLFMQEVEDCLLKVEQSPPESTSNAIRPATEALVRKELMGHTDPNVRLAVASCISEITRITAPDAPYDDDAMRDVFSLIVGAFQHLDDIQNPFFGRRASILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSGHSDTVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAERVIGSCREKLKPVFLQSLKGTSLSEYSQIVASVCEEGSDDREDNNADPSGKDTVDDGKLSERTISDELPQESSKAEQDVSHPEQDGTSMNGNTGTAISSDATPADTGADSIAAEPKKKSALDSDKSIKPNPSDKSEATAHSGGDTKQEDLVASGEETNGAADDTSRAADITPVKRRGRPPGPKSLEKKAAGKNKPSSLDLKKAEEASDSAGKLTKRSAKDEVKSSVKKAGEAESSKKPQKSSTKQQKDEALSEEDPSEDLSLKEMISPKSSTKGPGRTKGQSTENSTSKRKQEQETEELPRSRKNKGLDGSLVGARIKVWWPDDKMFYKGVVASFDSGSKRHKVAYDDGDVEVLLLRDEKWEFISEEKEASVASETPRGRKRKVDAVKEENPETPKSDAVDPPKKRGRPKGVRSSNGTPSNISATPSAKGKSASKDVKETAKTGSNVKNEVEKSSKDKASGSTEKTKDELPEEGDDKSASKPKEASIKGKDSKDEGKSTEGKARPGRKPKNASTPAPAVSDADKEKRKEKEGKTVGIEQEASANASTGKKRRRKA >PAN07013 pep chromosome:PHallii_v3.1:1:49420819:49427238:-1 gene:PAHAL_1G304900 transcript:PAN07013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGAADPEKELRQLEDRLREVGERLQAPPDEAEDLLKLLKEVEDCLLKVEQSPPESTSNAIRPATEALVRKELMGHTDPNVRLAVASCISEITRITAPDAPYDDDAMRDVFSLIVGAFQHLDDIQNPFFGRRASILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSGHSDTVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAERVIGSCREKLKPVFLQSLKGTSLSEYSQIVASVCEEGSDDREDNNADPSGKDTVDDGKLSERTISDELPQESSKAEQDVSHPEQDGTSMNGNTGTAISSDATPADTGADSIAAEPKKKSALDSDKSIKPNPSDKSEATAHSGGDTKQEDLVASGEETNGAADDTSRAADITPVKRRGRPPGPKSLEKKAAGKNKPSSLDLKKAEEASDSAGKLTKRSAKDEVKSSVKKAGEAESSKKPQKSSTKQQKDEALSEEDPSEDLSLKEMISPKSSTKGPGRTKGQSTENSTSKRKQEQETEELPRSRKNKGLDGSLVGARIKVWWPDDKMFYKGVVASFDSGSKRHKVAYDDGDVEVLLLRDEKWEFISEEKEASVASETPRGRKRKVDAVKEENPETPKSDAVDPPKKRGRPKGVRSSNGTPSNISATPSAKGKSASKDVKETAKTGSNVKNEVEKSSKDKASGSTEKTKDELPEEGDDKSASKPKEASIKGKDSKDEGKSTEGKARPGRKPKNASTPAPAVSDADKEKRKEKEGKTVGIEQEASANASTGKKRRRKA >PAN07998 pep chromosome:PHallii_v3.1:1:54337067:54340938:1 gene:PAHAL_1G378800 transcript:PAN07998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKAAMGIKAAAKLRCPPSVAAAARSRLTPWMLRATTTVLLWTCVVQLTAVGNIWGPRVLKGWPSCRTAREAAAAAAATTTRLAMPEPVVEKAVLPPKRIYINNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDIEHFITSLRDEVRILRELPPRIKRRVELGKFHSMPPISWSDISYYHKQILPLIWKYKVLHLNRTDARLSNNGLPLEIQRLRCRVNYAALKFTPQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCTPKEAEELTRMRYAYPWWKEKVINSFVKRKDGLCPLTPEEIALVLRALDIDQNMQIYIAAGEIYGGKRRMASLTSAYPNVVRKETLLEPSDLMFFQNHSSQMAAMDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYTSGSLRWDEFSSLIKAVHANRMGSAATRTVIPDRPKEEDYFYANPQECLRDPNLLRTS >PAN06143 pep chromosome:PHallii_v3.1:1:44209233:44213694:1 gene:PAHAL_1G241100 transcript:PAN06143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREAAAELTVTGGASIATKIRKRCAVSPLGASPDRQRRTLRLKRGVRLIGHRRGGGTGASPCASSGRKRRMSESSWNRHGRHGHADVETRSAASARKLVSALWQLNKGDGGALEEEEEEIGWDAAAARRSSDHRRSASLEFSKISRRNSKALKDDGDQRSWHNGHAHGQWFSDVMSNGGTVKVHTCPQGRTPARPGGDRAAQAQDLYNSLTASAELVRVLANVLGPAGALGPTAASLLAALRSELDAARARARRLARQHHGRDEEEHHRLRRQLAEEVRAWKARHREKAAAAARLVASELDGERRSRRRAERVGKKLAEALADAEGSLRAATRELEREKAARARLEKVCDELSRGVGGGGAVAEEEELRREAAEAAREELEREREMLQLADELREERVRMKLAEARIQFEEKNAAVDRLRQELEAFLGTSSKEDHQESPFHDEHRHTVDDHRSLQLVLASEFGVDGIDRVVTDKTGQVENGNDGEADDGSEGSDIELNMDGNSWSYKTTSRATTAKNAASVHGSLSDRGTESGAGAGAGAGAFDRRSQGTRDALELQEWDDVCSDDGASTKDLDEDAERYEAIKNLREQMLAGHGFVFLSQGDADADRDRHRQGLVPQFEDGGLW >PAN05581 pep chromosome:PHallii_v3.1:1:19816496:19818049:1 gene:PAHAL_1G160500 transcript:PAN05581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHLVGLVKVRVVRGVNLAIRDLRSSDPYAVVRIGKQKLRTRVIKKNTNPEWNEELTLSIEDPAHPVKLEVFDKDTFVDDSMGNAELDIRPLVEVVKMKLQDVADGTVVKKLVPNRQNCLAEESSIYISEGKVKQDLVIRLKNVECGEIELQLQWVDLPGSKGV >PVH65757 pep chromosome:PHallii_v3.1:1:4855370:4861621:-1 gene:PAHAL_1G071300 transcript:PVH65757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRGLTAVSRLRSRVAQEASTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQEVLPTAVKGGEPLPEGLLWLLLTGKVPTKEQVDTLSKELLARSSVPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGLPKTKFWEPTYEDVLNLIARLPPVASYVYRRIFKDGKSIEADNSLDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >PAN04495 pep chromosome:PHallii_v3.1:1:4855370:4861623:-1 gene:PAHAL_1G071300 transcript:PAN04495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRGLTAVSRLRSRVAQEASTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQEVLPTAVKGGEPLPEGLLWLLLTGKVPTKEQVDTLSKELLARSSVPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGLPKTKFWEPTYEDVLNLIARLPPVASYVYRRIFKDGKSIEADNSLDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >PAN04494 pep chromosome:PHallii_v3.1:1:4855370:4861951:-1 gene:PAHAL_1G071300 transcript:PAN04494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRGLTAVSRLRSRVAQEASTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQEVLPTAVKGGEPLPEGLLWLLLTGKVPTKEQVDTLSKELLARSSVPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGLPKTKFWEPTYEDVLNLIARLPPVASYVYRRIFKDGKSIEADNSLDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >PVH65712 pep chromosome:PHallii_v3.1:1:3968290:3969107:-1 gene:PAHAL_1G058700 transcript:PVH65712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPCPMSREARGVPRNVQRKGHGVSEASREVKIAAQVRGRGAVTANVSRSSGPPLLML >PAN05179 pep chromosome:PHallii_v3.1:1:9415296:9415943:-1 gene:PAHAL_1G120000 transcript:PAN05179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLYPRLQLQLQASSAADGDDENNDVDAEGYSAFYGYGIAVVCVTIFVFCVLVSTVSVWKALAFAALAAALLGAAGCFAPRGWFRHRRGAGAAELVVVTVTAGAARPGHPCAQVDAPPAFAFRCPLETGGGAGEASSVVCSVCLEDVRGGEMVRQVPACRHVFHVGCIDMWLHSHRTCPMCRCVVPLPEKVATKEAVAAAEAPASSDVHELPPV >PVH66846 pep chromosome:PHallii_v3.1:1:53575078:53586274:1 gene:PAHAL_1G366600 transcript:PVH66846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDPPVMQVDCQLQNDVEKTSSYDRKLTVSHRDYGWTGSDVRPTDDAIICNPIEVNNASQTGIDEVLDSSSRRFPINLDDLPQGTELIKKNGDDSHSNDVKLQLNVSTENNNGLQSDDGNFNKQSFCKEDRHHPQEEIHPPPTTVSLLSSCKLNGDAMPSQEEKIEEEHVQVDGIVDAVTKEVGTDLVGCHAEQKELQCTLQDLSEIACSIGLVRNKSSPQEETNASVSPLNDTGNNVDNSSCNGDTNYKGEELNMGNPGDEDHAVALWVKWRGKWQTGIRCCRVDYPLTTVKAKPTHDRKSYIVVFFPRTKTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNLSDELHIEAVIDNARKATTWKEFALEASCCRDYTDLGKMLIKLQNMILPDYISCQWLQNSFDMWKQKCTNAHDAETIEMLYEELRQSVLWSKIQELWNASVQPELVPEWKTWKQEVMKQYFSSHPCGNVANFEKNNCYNDPALDQQVSRKRPKLEVRRGDTQISHMGEADGRTAKEDLKRSNLPSNSVTHEAVGALEAMNQNNAVTFSGNSGATEITASGSANPALQNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDYSSREDKTLTVEAPLCSGMTNMGRKCKHRAQHGTTFCKKHRLRTNSDSMHPENLLGSSEVPHMREESPNKGVEEISKSQSMYSIDSETDKNSHAAVQVKLIPTVATEISGEKACATEKIDLCAASTSITNTDDVPLCIGIRSHYSIIECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNVLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLGSDHMPQILAEAAKNPDVGKFLLKVISTEREKLENLWGFGSNRSKQIYSEHKQGSAVLLHGEGTNLSSGLKCKICTHEFSDDQALGLHWTSVHKKESRWLFRGYSCAVCMESFTNKKVLERHVQDVHGAQYLQYSILIRCMSCNSNFLNTDLLYPHIVSDHAQQFRLLDVPQRPNRSVLQTEGTSGATLYDNHNVEKDDGSQKFTCSLCGLRFDLLPDLGRHHQVAHMDSGAEGHISLGRGKYQLNRGRHYYSSFKKSLRPTSTLKKRSSSGIEKSFKFQSSGLSMVTSQIVESETASLGKLPDFQCSDIAETLFSKIQKTRPHPSNLDILSVARSVCCKTSLLTALEVKYGSLPENIFVKAAKLCSDNGIQIDWHHEEFVCPKGCKSRYNSNALPPIQLMSADFPEAPSVIDPPNDDDMWDMDEYHYVLDSKHFGWKLKKERVVLCEDVSFGREKVPIVCVIDVDAKDSFSMKPEELLPLGSSLPWQGLHYTTKRVMDSSLVDSENAMPGCACSRTECSPEKCVHVSLFDGVYDNLVDIHGTPMHGRFAYDEDSKIILQEGYPIYECNSSCTCDSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYVGDVLKTDEAMKNAERMSSSDCSYLFDIASQIDRERVQTVGTVSYMIDATRSGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIAVGEELAYDYRQKLVAGDGCPCHCGTRNCRGRVY >PVH66844 pep chromosome:PHallii_v3.1:1:53574327:53586259:1 gene:PAHAL_1G366600 transcript:PVH66844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDPPVMQVDCQLQNDVEKTSSYDRKLTVSHRDYGWTGSDVRPTDDAIICNPIEVNNASQTGIDEVLDSSSRRFPINLDDLPQGTELIKKNGDDSHSNDVKLQLNVSTENNNGLQSDDGNFNKQSFCKEDRHHPQEEIHPPPTTVSLLSSCKLNGDAMPSQEEKIEEEHVQVDGIVDAVTKEVGTDLVGCHAEQKELQCTLQDLSEIACSIGLVRNKSSPQEETNASVSPLNDTGNNVDNSSCNGDTNYKGEELNMGNPGDEDHAVALWVKWRGKWQTGIRCCRVDYPLTTVKAKPTHDRKSYIVVFFPRTKTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNLSDELHIEAVIDNARKATTWKEFALEASCCRDYTDLGKMLIKLQNMILPDYISCQWLQNSFDMWKQKCTNAHDAETIEMLYEELRQSVLWSKIQELWNASVQPELVPEWKTWKQEVMKQYFSSHPCGNVANFEKNNCYNDPALDQQVSRKRPKLEVRRGDTQISHMGEADGRTAKEDLKRSNLPSNSVTHEAVGALEAMNQNNAVTFSGNSGATEITASGSANPALQNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDYSSREDKTLTVEAPLCSGMTNMGRKCKHRAQHGTTFCKKHRLRTNSDSMHPENLLGSSEVPHMREESPNKGVEEISKSQSMYSIDSETDKNSHAAVQVKLIPTVATEISGEKACATEKIDLCAASTSITNTDDVPLCIGIRSHYSIIECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNVLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLGSDHMPQILAEAAKNPDVGKFLLKVISTEREKLENLWGFGSNRSKQIYSEHKQGSAVLLHGEGTNLSSGLKCKICTHEFSDDQALGLHWTSVHKKESRWLFRGYSCAVCMESFTNKKVLERHVQDVHGAQYLQYSILIRCMSCNSNFLNTDLLYPHIVSDHAQQFRLLDVPQRPNRSVLQTEGTSGATLYDNHNVEKDDGSQKFTCSLCGLRFDLLPDLGRHHQVAHMDSGAEGHISLGRGKYQLNRGRHYYSSFKKSLRPTSTLKKRSSSGIEKSFKFQSSGLSMVTSQIVESETASLGKLPDFQCSDIAETLFSKIQKTRPHPSNLDILSVARSVCCKTSLLTALEVKYGSLPENIFVKAAKLCSDNGIQIDWHHEEFVCPKGCKSRYNSNALPPIQLMSADFPEAPSVIDPPNDDDMWDMDEYHYVLDSKHFGWKLKKERVVLCEDVSFGREKVPIVCVIDVDAKDSFSMKPEELLPLGSSLPWQGLHYTTKRVMDSSLVDSENAMPGCACSRTECSPEKCVHVSLFDGVYDNLVDIHGTPMHGRFAYDEDSKIILQEGYPIYECNSSCTCDSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYVGDVLKTDEAMKNAERLIGKEFRL >PAN07835 pep chromosome:PHallii_v3.1:1:53575497:53586259:1 gene:PAHAL_1G366600 transcript:PAN07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDPPVMQVDCQLQNDVEKTSSYDRKLTVSHRDYGWTGSDVRPTDDAIICNPIEVNNASQTGIDEVLDSSSRRFPINLDDLPQGTELIKKNGDDSHSNDVKLQLNVSTENNNGLQSDDGNFNKQSFCKEDRHHPQEEIHPPPTTVSLLSSCKLNGDAMPSQEEKIEEEHVQVDGIVDAVTKEVGTDLVGCHAEQKELQCTLQDLSEIACSIGLVRNKSSPQEETNASVSPLNDTGNNVDNSSCNGDTNYKGEELNMGNPGDEDHAVALWVKWRGKWQTGIRCCRVDYPLTTVKAKPTHDRKSYIVVFFPRTKTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNLSDELHIEAVIDNARKATTWKEFALEASCCRDYTDLGKMLIKLQNMILPDYISCQWLQNSFDMWKQKCTNAHDAETIEMLYEELRQSVLWSKIQELWNASVQPELVPEWKTWKQEVMKQYFSSHPCGNVANFEKNNCYNDPALDQQVSRKRPKLEVRRGDTQISHMGEADGRTAKEDLKRSNLPSNSVTHEAVGALEAMNQNNAVTFSGNSGATEITASGSANPALQNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDYSSREDKTLTVEAPLCSGMTNMGRKCKHRAQHGTTFCKKHRLRTNSDSMHPENLLGSSEVPHMREESPNKGVEEISKSQSMYSIDSETDKNSHAAVQVKLIPTVATEISGEKACATEKIDLCAASTSITNTDDVPLCIGIRSHYSIIECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNVLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLGSDHMPQILAEAAKNPDVGKFLLKVISTEREKLENLWGFGSNRSKQIYSEHKQGSAVLLHGEGTNLSSGLKCKICTHEFSDDQALGLHWTSVHKKESRWLFRGYSCAVCMESFTNKKVLERHVQDVHGAQYLQYSILIRCMSCNSNFLNTDLLYPHIVSDHAQQFRLLDVPQRPNRSVLQTEGTSGATLYDNHNVEKDDGSQKFTCSLCGLRFDLLPDLGRHHQVAHMDSGAEGHISLGRGKYQLNRGRHYYSSFKKSLRPTSTLKKRSSSGIEKSFKFQSSGLSMVTSQIVESETASLGKLPDFQCSDIAETLFSKIQKTRPHPSNLDILSVARSVCCKTSLLTALEVKYGSLPENIFVKAAKLCSDNGIQIDWHHEEFVCPKGCKSRYNSNALPPIQLMSADFPEAPSVIDPPNDDDMWDMDEYHYVLDSKHFGWKLKKERVVLCEDVSFGREKVPIVCVIDVDAKDSFSMKPEELLPLGSSLPWQGLHYTTKRVMDSSLVDSENAMPGCACSRTECSPEKCVHVSLFDGVYDNLVDIHGTPMHGRFAYDEDSKIILQEGYPIYECNSSCTCDSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYVGDVLKTDEAMKNAERMSSSDCSYLFDIASQIDRERVQTVGTVSYMIDATRSGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIAVGEELAYDYRQKLVAGDGCPCHCGTRNCRGRVY >PAN07838 pep chromosome:PHallii_v3.1:1:53574369:53586259:1 gene:PAHAL_1G366600 transcript:PAN07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDPPVMQVDCQLQNDVEKTSSYDRKLTVSHRDYGWTGSDVRPTDDAIICNPIEVNNASQTGIDEVLDSSSRRFPINLDDLPQGTELIKKNGDDSHSNDVKLQLNVSTENNNGLQSDDGNFNKQSFCKEDRHHPQEEIHPPPTTVSLLSSCKLNGDAMPSQEEKIEEEHVQVDGIVDAVTKEVGTDLVGCHAEQKELQCTLQDLSEIACSIGLVRNKSSPQEETNASVSPLNDTGNNVDNSSCNGDTNYKGEELNMGNPGDEDHAVALWVKWRGKWQTGIRCCRVDYPLTTVKAKPTHDRKSYIVVFFPRTKTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNLSDELHIEAVIDNARKATTWKEFALEASCCRDYTDLGKMLIKLQNMILPDYISCQWLQNSFDMWKQKCTNAHDAETIEMLYEELRQSVLWSKIQELWNASVQPELVPEWKTWKQEVMKQYFSSHPCGNVANFEKNNCYNDPALDQQVSRKRPKLEVRRGDTQISHMGEADGRTAKEDLKRSNLPSNSVTHEAVGALEAMNQNNAVTFSGNSGATEITASGSANPALQNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDYSSREDKTLTVEAPLCSGMTNMGRKCKHRAQHGTTFCKKHRLRTNSDSMHPENLLGSSEVPHMREESPNKGVEEISKSQSMYSIDSETDKNSHAAVQVKLIPTVATEISGEKACATEKIDLCAASTSITNTDDVPLCIGIRSHYSIIECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNVLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLGSDHMPQILAEAAKNPDVGKFLLKVISTEREKLENLWGFGSNRSKQIYSEHKQGSAVLLHGEGTNLSSGLKCKICTHEFSDDQALGLHWTSVHKKESRWLFRGYSCAVCMESFTNKKVLERHVQDVHGAQYLQYSILIRCMSCNSNFLNTDLLYPHIVSDHAQQFRLLDVPQRPNRSVLQTEGTSGATLYDNHNVEKDDGSQKFTCSLCGLRFDLLPDLGRHHQVAHMDSGAEGHISLGRGKYQLNRGRHYYSSFKKSLRPTSTLKKRSSSGIEKSFKFQSSGLSMVTSQIVESETASLGKLPDFQCSDIAETLFSKIQKTRPHPSNLDILSVARSVCCKTSLLTALEVKYGSLPENIFVKAAKLCSDNGIQIDWHHEEFVCPKGCKSRYNSNALPPIQLMSADFPEAPSVIDPPNDDDMWDMDEYHYVLDSKHFGWKLKKERVVLCEDVSFGREKVPIVCVIDVDAKDSFSMKPEELLPLGSSLPWQGLHYTTKRVMDSSLVDSENAMPGCACSRTECSPEKCVHVSLFDGVYDNLVDIHGTPMHGRFAYDEDSKIILQEGYPIYECNSSCTCDSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYVGDVLKTDEAMKNAERMSSSDCSYLFDIASQIDRERVQTVGTVSYMIDATRSGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIAVGEELAYDYRQKLVAGDGCPCHCGTRNCRGRVY >PAN07834 pep chromosome:PHallii_v3.1:1:53574327:53586259:1 gene:PAHAL_1G366600 transcript:PAN07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDPPVMQVDCQLQNDVEKTSSYDRKLTVSHRDYGWTGSDVRPTDDAIICNPIEGTELIKKNGDDSHSNDVKLQLNVSTENNNGLQSDDGNFNKQSFCKEDRHHPQEEIHPPPTTVSLLSSCKLNGDAMPSQEEKIEEEHVQVDGIVDAVTKEVGTDLVGCHAEQKELQCTLQDLSEIACSIGLVRNKSSPQEETNASVSPLNDTGNNVDNSSCNGDTNYKGEELNMGNPGDEDHAVALWVKWRGKWQTGIRCCRVDYPLTTVKAKPTHDRKSYIVVFFPRTKTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNLSDELHIEAVIDNARKATTWKEFALEASCCRDYTDLGKMLIKLQNMILPDYISCQWLQNSFDMWKQKCTNAHDAETIEMLYEELRQSVLWSKIQELWNASVQPELVPEWKTWKQEVMKQYFSSHPCGNVANFEKNNCYNDPALDQQVSRKRPKLEVRRGDTQISHMGEADGRTAKEDLKRSNLPSNSVTHEAVGALEAMNQNNAVTFSGNSGATEITASGSANPALQNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDYSSREDKTLTVEAPLCSGMTNMGRKCKHRAQHGTTFCKKHRLRTNSDSMHPENLLGSSEVPHMREESPNKGVEEISKSQSMYSIDSETDKNSHAAVQVKLIPTVATEISGEKACATEKIDLCAASTSITNTDDVPLCIGIRSHYSIIECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNVLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLGSDHMPQILAEAAKNPDVGKFLLKVISTEREKLENLWGFGSNRSKQIYSEHKQGSAVLLHGEGTNLSSGLKCKICTHEFSDDQALGLHWTSVHKKESRWLFRGYSCAVCMESFTNKKVLERHVQDVHGAQYLQYSILIRCMSCNSNFLNTDLLYPHIVSDHAQQFRLLDVPQRPNRSVLQTEGTSGATLYDNHNVEKDDGSQKFTCSLCGLRFDLLPDLGRHHQVAHMDSGAEGHISLGRGKYQLNRGRHYYSSFKKSLRPTSTLKKRSSSGIEKSFKFQSSGLSMVTSQIVESETASLGKLPDFQCSDIAETLFSKIQKTRPHPSNLDILSVARSVCCKTSLLTALEVKYGSLPENIFVKAAKLCSDNGIQIDWHHEEFVCPKGCKSRYNSNALPPIQLMSADFPEAPSVIDPPNDDDMWDMDEYHYVLDSKHFGWKLKKERVVLCEDVSFGREKVPIVCVIDVDAKDSFSMKPEELLPLGSSLPWQGLHYTTKRVMDSSLVDSENAMPGCACSRTECSPEKCVHVSLFDGVYDNLVDIHGTPMHGRFAYDEDSKIILQEGYPIYECNSSCTCDSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYVGDVLKTDEAMKNAERMSSSDCSYLFDIASQIDRERVQTVGTVSYMIDATRSGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIAVGEELAYDYRQKLVAGDGCPCHCGTRNCRGRVY >PAN07836 pep chromosome:PHallii_v3.1:1:53574298:53586273:1 gene:PAHAL_1G366600 transcript:PAN07836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDPPVMQVDCQLQNDVEKTSSYDRKLTVSHRDYGWTGSDVRPTDDAIICNPIEVNNASQTGIDEVLDSSSRRFPINLDDLPQGTELIKKNGDDSHSNDVKLQLNVSTENNNGLQSDDGNFNKQSFCKEDRHHPQEEIHPPPTTVSLLSSCKLNGDAMPSQEEKIEEEHVQVDGIVDAVTKEVGTDLVGCHAEQKELQCTLQDLSEIACSIGLVRNKSSPQEETNASVSPLNDTGNNVDNSSCNGDTNYKGEELNMGNPGDEDHAVALWVKWRGKWQTGIRCCRVDYPLTTVKAKPTHDRKSYIVVFFPRTKTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNLSDELHIEAVIDNARKATTWKEFALEASCCRDYTDLGKMLIKLQNMILPDYISCQWLQNSFDMWKQKCTNAHDAETIEMLYEELRQSVLWSKIQELWNASVQPELVPEWKTWKQEVMKQYFSSHPCGNVANFEKNNCYNDPALDQQVSRKRPKLEVRRGDTQISHMGEADGRTAKEDLKRSNLPSNSVTHEAVGALEAMNQNNAVTFSGNSGATEITASGSANPALQNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDYSSREDKTLTVEAPLCSGMTNMGRKCKHRAQHGTTFCKKHRLRTNSDSMHPENLLGSSEVPHMREESPNKGVEEISKSQSMYSIDSETDKNSHAAVQVKLIPTVATEISGEKACATEKIDLCAASTSITNTDDVPLCIGIRSHYSIIECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNVLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLGSDHMPQILAEAAKNPDVGKFLLKVISTEREKLENLWGFGSNRSKQIYSEHKQGSAVLLHGEGTNLSSGLKCKICTHEFSDDQALGLHWTSVHKKESRWLFRGYSCAVCMESFTNKKVLERHVQDVHGAQYLQYSILIRCMSCNSNFLNTDLLYPHIVSDHAQQFRLLDVPQRPNRSVLQTEGTSGATLYDNHNVEKDDGSQKFTCSLCGLRFDLLPDLGRHHQVAHMDSGAEGHISLGRGKYQLNRGRHYYSSFKKSLRPTSTLKKRSSSGIEKSFKFQSSGLSMVTSQIVESETASLGKLPDFQCSDIAETLFSKIQKTRPHPSNLDILSVARSVCCKTSLLTALEVKYGSLPENIFVKAAKLCSDNGIQIDWHHEEFVCPKGCKSRYNSNALPPIQLMSADFPEAPSVIDPPNDDDMWDMDEYHYVLDSKHFGWKLKKERVVLCEDVSFGREKVPIVCVIDVDAKDSFSMKPEELLPLGSSLPWQGLHYTTKRVMDSSLVDSENAMPGCACSRTECSPEKCVHVSLFDGVYDNLVDIHGTPMHGRFAYDEDSKIILQEGYPIYECNSSCTCDSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYVGDVLKTDEAMKNAERMSSSDCSYLFDIASQIDRERVQTVGTVSYMIDATRSGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIAVGEELAYDYRQKLVAGDGCPCHCGTRNCRGRVY >PVH66845 pep chromosome:PHallii_v3.1:1:53574327:53586259:1 gene:PAHAL_1G366600 transcript:PVH66845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDPPVMQVDCQLQNDVEKTSSYDRKLTVSHRDYGWTGSDVRPTDDAIICNPIEGTELIKKNGDDSHSNDVKLQLNVSTENNNGLQSDDGNFNKQSFCKEDRHHPQEEIHPPPTTVSLLSSCKLNGDAMPSQEEKIEEEHVQVDGIVDAVTKEVGTDLVGCHAEQKELQCTLQDLSEIACSIGLVRNKSSPQEETNASVSPLNDTGNNVDNSSCNGDTNYKGEELNMGNPGDEDHAVALWVKWRGKWQTGIRCCRVDYPLTTVKAKPTHDRKSYIVVFFPRTKTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNLSDELHIEAVIDNARKATTWKEFALEASCCRDYTDLGKMLIKLQNMILPDYISCQWLQNSFDMWKQKCTNAHDAETIEMLYEELRQSVLWSKIQELWNASVQPELVPEWKTWKQEVMKQYFSSHPCGNVANFEKNNCYNDPALDQQVSRKRPKLEVRRGDTQISHMGEADGRTAKEDLKRSNLPSNSVTHEAVGALEAMNQNNAVTFSGNSGATEITASGSANPALQNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDYSSREDKTLTVEAPLCSGMTNMGRKCKHRAQHGTTFCKKHRLRTNSDSMHPENLLGSSEVPHMREESPNKGVEEISKSQSMYSIDSETDKNSHAAVQVKLIPTVATEISGEKACATEKIDLCAASTSITNTDDVPLCIGIRSHYSIIECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNVLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLGSDHMPQILAEAAKNPDVGKFLLKVISTEREKLENLWGFGSNRSKQIYSEHKQGSAVLLHGEGTNLSSGLKCKICTHEFSDDQALGLHWTSVHKKESRWLFRGYSCAVCMESFTNKKVLERHVQDVHGAQYLQYSILIRCMSCNSNFLNTDLLYPHIVSDHAQQFRLLDVPQRPNRSVLQTEGTSGATLYDNHNVEKDDGSQKFTCSLCGLRFDLLPDLGRHHQVAHMDSGAEGHISLGRGKYQLNRGRHYYSSFKKSLRPTSTLKKRSSSGIEKSFKFQSSGLSMVTSQIVESETASLGKLPDFQCSDIAETLFSKIQKTRPHPSNLDILSVARSVCCKTSLLTALEVKYGSLPENIFVKAAKLCSDNGIQIDWHHEEFVCPKGCKSRYNSNALPPIQLMSADFPEAPSVIDPPNDDDMWDMDEYHYVLDSKHFGWKLKKERVVLCEDVSFGREKVPIVCVIDVDAKDSFSMKPEELLPLGSSLPWQGLHYTTKRVMDSSLVDSENAMPGCACSRTECSPEKCVHVSLFDGVYDNLVDIHGTPMHGRFAYDEDSKIILQEGYPIYECNSSCTCDSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYVGDVLKTDEAMKNAERLIGKEFRL >PAN07484 pep chromosome:PHallii_v3.1:1:51750361:51756647:1 gene:PAHAL_1G339500 transcript:PAN07484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSVTPCRRFGWSLSLKSVAWAHTQTMNHASVSRATSELAPRSRALCQCGGSCLVRRGGDFGLLIGGSMQQNHEHYRALIDPSPGGATSLSFRALASPISATEIYYDCGARRAHATGLHALIVEKKRRRMEGEHEEWEPLSSARGSPATSWAGSLADELDEQSPPAGAGDVAVFVAVPEEVSDGRSTLLWALRNLVRDGSKVVIAHVHSPAQLRDQASINPEEIKEYRKLMRAKAEKNLDAYVLIAKCTREDIEVGCEKIIIETDNVAKGLEELVTLHNVTELVMGAAADRHFSKEMNTPMSMTALRLMEAAAPSCKIWFTCKGHLICTREATESLPAIPPSPAQNAQEAPAYIISSQMGSMALTELEYEVSSSKGYTSSSLVATEMTDWDYLFGDWGMIVYGSSRLDAAANFSGTATLPPMIGDTNEPTPVVHPPTQESDNVYLLLASEYNQEDQPSVDEEMYEKLQDLCIEAKLLKDPADNESNKIRRTEMDLHSALQRIKESEDSYLQEVSQRKEIEKTLTRQRLQIDKMRRQQCTLSDELQDSKKHNLMLEQRITQIKSAAKDHVEEITKYFIKQSCEESKKRQKIEMDLLSTLQRVKEMESLLQNEKAQREYMEKKVARHRTEIEETKRQRDKLYYDLQNVKEQRHRLEQVDASEETNRRRKAEKDLISYLQRIKDLEHQQIHQLKEKERMEETMARQKDEIQAAKKELHEIHSKHMTEIKSTVNIHEKKLANSKQLLQELQAKYEKLLHERDAAVTEAKELRQKNKQRASMITETPDTEFSFAELQKATNGFDEEFKICEDEFASIYKGFIRNTNVAIKLFHPRSLKGQAKFYQEVAVLSRVRHPNLITLIGACPDDFALVYEFLPNGTLEDWLSRKKNMPPLTWKVRTRIIGEICSALAFIHSYKPYPIVHGDLNLGNILLDANFVSKLGDLGISHLHRQPDLPTTNLQCHPTKNHKGTLCYMDNGEFKTARELMLWSDVNSFGIIILRLLTGRSQQQIGEIVEEAMEKGNLHSIIDTSAGDWPFTQVNQMAHLGLRCITLSWGRQPELAREVWVVVEQLMKAACLTTGPSRFASPSDAPPPSHFICPIFQEVMSDPHMAEDGFTYEAEAIRGWLAGADTSPMTNLRLASRKLTPNKALRSAILEWQQQQQQHQR >PVH66707 pep chromosome:PHallii_v3.1:1:50737196:50738378:1 gene:PAHAL_1G323200 transcript:PVH66707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAVLIRRGPSPCEARAYKGRARQKGGPIFCRSPGAFHAGEEEIRRKKEVVSLCGRNGIVVGGPGPTRIDPTSPPTPTPLPGAAARLAPRATRYAGRRDACRGPKRRRRTDRQACAGPGQGPGLRGCFRLLSLAPRLHGFFFFEKNRGYMVGRHRSRKNPWSHPHCARVRPPKSHPVLFRATC >PAN06742 pep chromosome:PHallii_v3.1:1:48217942:48218403:-1 gene:PAHAL_1G285300 transcript:PAN06742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAKRGRPSDDVDDDACREEREEPAATETVTVGQALQAVALSPAGGRPVDRADASAVEAAERSATGLGRAVPGGVAAAAQRAKEANEHGDAVTTVRDVLGDAAAVTPGDRAATWVDAEKVAAATGRGGGGMGEVADAVAAAAQINQGSTLEL >PAN07321 pep chromosome:PHallii_v3.1:1:50926739:50930099:1 gene:PAHAL_1G326400 transcript:PAN07321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal protein TL20.3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12250) UniProtKB/Swiss-Prot;Acc:Q8H1Q1] MTLASPSPLAAAPGRPTKLPPFSRCPPRRLLRVSCQATPDRPACGRGNASNASPAPQQPRWRAAVSAALAAAVVAVAMPAYADLNRFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLPQKQALCKYASGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDEATGMCDAK >PAN08571 pep chromosome:PHallii_v3.1:1:57358343:57359605:-1 gene:PAHAL_1G421500 transcript:PAN08571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPEMEGSSKKFKGVRKRKWGKWVSEIRLPNSRERIWLGSYDAPEKAARAFDAAFVCLRGPGAAGADLNFPDSPPPCRAGGCSSDPQEVQAAALSHANRAAVTAQQAAAALMDVDDGSVLPWGSVAHDMAGVLGTGSADEVVAPVRADGSIDWRPFMAHPPPLFSPTGWGSNAYDFLQELPPPGAADEDMEDSIHGATASLWSFDLRDSYFRY >PAN03694 pep chromosome:PHallii_v3.1:1:1270269:1273723:-1 gene:PAHAL_1G015700 transcript:PAN03694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >PAN04290 pep chromosome:PHallii_v3.1:1:3758011:3758667:-1 gene:PAHAL_1G055700 transcript:PAN04290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGAAGKRGTRCELCGGAAAVHCAADSAFLCLRCDAKVHGANFLASRHLRRRLGAGAAAGSGSSASSASSSSCVSTADSAESTAAAAAPSAARKQRARAEAVLEGWAGRMGFAAGPARRRAAAAAGALRALGRGVAAARVPLRVAMAAALWAEITSALSAAACKGGEAALLRRLEAAAHVPARLVLTVASWMARAASRHRAPPAEADDEEGWAECS >PAN08610 pep chromosome:PHallii_v3.1:1:57545397:57547288:1 gene:PAHAL_1G424100 transcript:PAN08610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYCGKRMAYIYKAKTKSSDTHYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >PAN08621 pep chromosome:PHallii_v3.1:1:57589080:57591839:1 gene:PAHAL_1G424700 transcript:PAN08621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKKGPWSPEEDAKLKAYIEEHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARPNQADPYLAAAGGNMCSTSGGVNNGSSATAATPQALSASALERIQLHMRLQAGLYGAFGCATNNDNAAAAAAAAAPQWPKHETLLQTNKLLQGSLPTDAVATTVSVQQPQHLVDQTGLAAGAGAAAIEAEHQLSSAAGATYMAPPGSFERPKLGFYSAPAEAEAASAEMTPSPMVGVYGAGGFGPHHDELYDFLYSKYGSLGGGVAQGGHAHIPPLTELQCPDAAAAAVGADEKFSTWAASCDYGTAAGGHQLHGNPISSSLQDYVLGGYDQ >PVH65521 pep chromosome:PHallii_v3.1:1:1192163:1192444:1 gene:PAHAL_1G014300 transcript:PVH65521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKKGCCFGRRRRRGGHRGRRPPAPSVTPARRQQQQDTSSSSAAALQLDGGGGARPRKRRSRRRRGRTPPGCCACHFGNGHQRITQVTPLYR >PAN03821 pep chromosome:PHallii_v3.1:1:1642131:1645898:1 gene:PAHAL_1G023300 transcript:PAN03821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAIRKLFDSFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRSLWKMYLSNSDALIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSTDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQESGHATSVAGPSI >PAN03822 pep chromosome:PHallii_v3.1:1:1643009:1645898:1 gene:PAHAL_1G023300 transcript:PAN03822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRSLWKMYLSNSDALIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSTDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQESGHATSVAGPSI >PVH65575 pep chromosome:PHallii_v3.1:1:1907046:1911730:1 gene:PAHAL_1G026900 transcript:PVH65575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHLDRLARPCFEGCSSHDERRDHKSDFEISEDEKKTRMGSLKKKAIDASTKIRHSLKKTRRKSGSRVLSVSIEDVRDLEELRAVEAFRQALVLDELLPARHDDYHMMLRFLKARKMDIEKAKQMWTDMLQWRKEYGTDTIVEDFEYSELDAVLQYYPHGYHGVDKEGRPVYIERLGKVDPSKLMNVTTMDRYVKYHVKEFERSFSIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDNDNYPETLYQMFIVNAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCPEYGGCLKGEKGPWKDPKILKIVQSGEVQCARQIVTISNGEEKIISYAKSKHHNMRGSDTSTAESGSEADDATSPKALRSYISHPKLTPVREEVKMVRATSFSTRLPEYDVPVVDKAVDATWKREQPRKTPLPPKDSSLRTATMLSDSSWDTIIATLMASLMAIVVLVRSVKDLATKSLPYKTESEESYSTLYPDSTAKEEFRPPSPTPGFAEADLFSAVLQRLGELEEKVQMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEIAKAQKKKKTMFCY >PAN03880 pep chromosome:PHallii_v3.1:1:1907046:1911730:1 gene:PAHAL_1G026900 transcript:PAN03880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHLDRLARPCFEGCSSHDERRDHKSDFEISEDEKKTRMGSLKKKAIDASTKIRHSLKKTRRKSGSRVLSVSIEDVRDLEELRAVEAFRQALVLDELLPARHDDYHMMLRFLKARKMDIEKAKQMWTDMLQWRKEYGTDTIVEDFEYSELDAVLQYYPHGYHGVDKEGRPVYIERLGKVDPSKLMNVTTMDRYVKYHVKEFERSFSIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDNDNYPETLYQMFIVNAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCPEYGGCLKGEKGPWKDPKILKIVQSGEVQCARQIVTISNGEEKIISYAKSKHHNMRGSDTSTAESGSEADDATSPKALRSYISHPKLTPVREEVKMVRATSFSTRLPEYDVPVVDKAVDATWKREQPRKTPLPPKDSSLRTATMLSDSSWDTIIATLMASLMAIVVLVRSVKDLATKSLPYKTESEESYSTLYPDSTAKEEFRPPSPTPGFAEADLFSAVLQRLGELEEKVQMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEIAKAQKKKKTMFCY >PAN06748 pep chromosome:PHallii_v3.1:1:48272575:48274587:1 gene:PAHAL_1G285900 transcript:PAN06748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQELAVRGVRVEEYSAPARKGVLVRRGAFAVRREVFAVGKMSVPARRLLRKRLGSELDIVRDALRKAELLSCGAAATGAAAPAGTDGWLMAAKAPVGEDGGRAAKRRKVAPFAEQGAGKPKRMRQDDSRERLAGRLASLAAALPDHVVAFLLNQRVGDADSRGDGGEIKKDVQPMKGGALSQLKMLLNKFAPESTPRNRGAPMVVSGGSCLSRHQGAGGKTATVQEEEEEVVAVDICGGVSRIAIRDIAEEYGELVEDIGVKLLSPLQCKYVDLAEQGEYVDICGDASPVVFPTKAGDSSSSPSLTSSESDASSSDSDSSSSSDSDSKSDPDKSVNSRSPPPAIVPKEKDTYAQPPVPAPEAVPTAEPEVVQDQCAPPAPTVLQIISSLPAPAVLLPKVNDTYAQPPEPAPETVQIVQQEKLQDQCAPPAPTGHPATSSPPGPAAVPKENDTYKQPPEPAPEAVQIAEPVELQDQRLAPVATGHPITGSAPPSAVLPEENGISSQPPELAPVAAQIAEPEEVQGEGAAPAPTNDLSDLVTMAKEEAERRRQLAKERAKAKARRMLLEMEKAARPDERVHPRDMELLGIAAFEHVASTVQDARTAPPRVNDGGDLRVSPGGPSVLQQLGIFLKADDGSEDDEEEEQQQQPAVAAFASHGEDMEVEDGEIR >PAN07406 pep chromosome:PHallii_v3.1:1:51226655:51226892:1 gene:PAHAL_1G331100 transcript:PAN07406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIRELLHQAVLQVIHDGRSISDLEASTPMLATRRL >PAN05437 pep chromosome:PHallii_v3.1:1:28911424:28918425:1 gene:PAHAL_1G193000 transcript:PAN05437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHQQQMAPPSRGSVNGLPHRKLDRESSGRHDNKTNLVRSSSGGLSGAENGIKLGHASHSKDRLIYVLTQLIGHHVDVHVKNGSIISGIFHATNSDKDFGVVLKMAQVIKDGSARGQRYGADVVKKPETMIIPARELVQVFAKDVALGGDELPKGPGHDKRKDLLIDSAISRTHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKSFTNVPSSTRHIDSFNRTANIDPKDSLACSSTMDEESSSYIFDDTDSYAIIQTNNVSQPTSDDPSNKPFSIDESRLDKKLSKDGNDNLDKRKLQPENTQLSGGGRPLISEGLDGPPSSSHAYEPSSSGQGFKSPETLDSTVSVKHPSAVEPVTSSQRPGSSTSSTSERIAANSVASAPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPSVPLRPPPPPASDASYYYPNNMPAAPLGPGLPVGMGFPPAYGGQPVMYNTQPGTPPQGYMHPAGPQYGQQMMMGQTRPVYYYAPEMQQYRGRNF >PVH65643 pep chromosome:PHallii_v3.1:1:3032637:3034016:-1 gene:PAHAL_1G044900 transcript:PVH65643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRNILMDRYEIGRQLGQGNFAKVYYARNLISGQAVAIKIIDKDKVTRVGLIVQIKREISIMRLVRHPNVLQLFEVMASRSKIYFVLEYAKGGELFNKISKGKFSEDVARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGSKADIWSCGVILFVLVAGYLPFHDPNLIEMYRKISKAEYRCPRSFSTELKDLLFRMLDPDPSTRISISRIKRSTWYRKPFQLNVPMMKHESARDKVCNGEATTSNSIECSNSEENQGPSSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAETVFGKLKELAERLKLKIKKNGNGVLKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTNGDTIEYQKLVKDEIRPALKDMVWAWQSDRHRQHEQIMQEEQQPPLPPQR >PVH65642 pep chromosome:PHallii_v3.1:1:3031920:3035957:-1 gene:PAHAL_1G044900 transcript:PVH65642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRNILMDRYEIGRQLGQGNFAKVYYARNLISGQAVAIKIIDKDKVTRVGLIVQIKREISIMRLVRHPNVLQLFEVMASRSKIYFVLEYAKGGELFNKISKGKFSEDVARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGSKADIWSCGVILFVLVAGYLPFHDPNLIEMYRKISKAEYRCPRSFSTELKDLLFRMLDPDPSTRISISRIKRSTWYRKPFQLNVPMMKHESARDKVCNGEATTSNSIECSNSEENQGPSSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAETVFGKLKELAERLKLKIKKNGNGVLKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTNGDTIEYQKLVKDEIRPALKDMVWAWQSDRHRQHEQIMQEEQQPPLPPQR >PAN04139 pep chromosome:PHallii_v3.1:1:3032035:3035226:-1 gene:PAHAL_1G044900 transcript:PAN04139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRNILMDRYEIGRQLGQGNFAKVYYARNLISGQAVAIKIIDKDKVTRVGLIVQIKREISIMRLVRHPNVLQLFEVMASRSKIYFVLEYAKGGELFNKISKGKFSEDVARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGSKADIWSCGVILFVLVAGYLPFHDPNLIEMYRKISKAEYRCPRSFSTELKDLLFRMLDPDPSTRISISRIKRSTWYRKPFQLNVPMMKHESARDKVCNGEATTSNSIECSNSEENQGPSSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAETVFGKLKELAERLKLKIKKNGNGVLKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTNGDTIEYQKLVKDEIRPALKDMVWAWQSDRHRQHEQIMQEEQQPPLPPQR >PVH65641 pep chromosome:PHallii_v3.1:1:3031894:3035652:-1 gene:PAHAL_1G044900 transcript:PVH65641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRNILMDRYEIGRQLGQGNFAKVYYARNLISGQAVAIKIIDKDKVTRVGLIVQIKREISIMRLVRHPNVLQLFEVMASRSKIYFVLEYAKGGELFNKISKGKFSEDVARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGSKADIWSCGVILFVLVAGYLPFHDPNLIEMYRKISKAEYRCPRSFSTELKDLLFRMLDPDPSTRISISRIKRSTWYRKPFQLNVPMMKHESARDKVCNGEATTSNSIECSNSEENQGPSSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAETVFGKLKELAERLKLKIKKNGNGVLKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTNGDTIEYQKLVKDEIRPALKDMVWAWQSDRHRQHEQIMQEEQQPPLPPQR >PAN03946 pep chromosome:PHallii_v3.1:1:2154443:2155812:-1 gene:PAHAL_1G031000 transcript:PAN03946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulation of Pi signaling and homeostasis, Tolerance to low-Pi stres [Source: Projected from Oryza sativa (Os02g0139000)] MDHTCTAPQPSAHSLFRAKSDHCGSAHDPQSSCASAQSSSVKSEMVGSLSLTKILPLDLHKRSPESNPERSASRASQAQLSDPISSSSSTFCTSMFSLKTSSGSCRQKGALPFLPHPPKCEEQQQQISARQPSSSSSLLFGADLSNGGHDDAEHSGDLKDFLNLSGDVSEGSFPGESNSMAFSEQMEFQFLSEQLGIAITNNEESPRLDDIYDRPPQTSSSYSDQENLQSAASLVKVQLSSSRAEVCNKPRLRWTLELHERFVEAVNKLGGPEKATPKGVLKLMKVEGLTIYHVKSHLQSLLLSRNAEISFRQISSRDKRR >PAN03943 pep chromosome:PHallii_v3.1:1:2153205:2156720:-1 gene:PAHAL_1G031000 transcript:PAN03943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulation of Pi signaling and homeostasis, Tolerance to low-Pi stres [Source: Projected from Oryza sativa (Os02g0139000)] MDHTCTAPQPSAHSLFRAKSDHCGSAHDPQSSCASAQSSSVKSEMVGSLSLTKILPLDLHKRSPESNPERSASRASQAQLSDPISSSSSTFCTSMFSLKTSSGSCRQKGALPFLPHPPKCEEQQQQISARQPSSSSSLLFGADLSNGGHDDAEHSGDLKDFLNLSGDVSEGSFPGESNSMAFSEQMEFQFLSEQLGIAITNNEESPRLDDIYDRPPQTSSSYSDQENLQSAASLVKVQLSSSRAEVCNKPRLRWTLELHERFVEAVNKLGGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRFAKYLPETKEDKKSSSEGKKSQSVIPGNDAGKKKSLQVAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEQQQKARDSLSTTRNSTKEEAPESTEKEETSSDPLSRRKISDTDLECNS >PAN03944 pep chromosome:PHallii_v3.1:1:2153205:2156715:-1 gene:PAHAL_1G031000 transcript:PAN03944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulation of Pi signaling and homeostasis, Tolerance to low-Pi stres [Source: Projected from Oryza sativa (Os02g0139000)] MDHTCTAPQPSAHSLFRAKSDHCGSAHDPQSSCASAQSSSVKSEMVGSLSLTKILPLDLHKRSPESNPERSASRASQAQLSDPISSSSSTFCTSMFSLKTSSGSCRQKGALPFLPHPPKCEEQQQQISARQPSSSSSLLFGADLSNGGHDDAEHSGDLKDFLNLSGDVSEGSFPGESNSMAFSEQMEFQFLSEQLGIAITNNEESPRLDDIYDRPPQTSSSYSDQENLQSAASLVKVQLSSSRAEVCNKPRLRWTLELHERFVEAVNKLGGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRFAKYLPETKEDKKSSSEGKKSQSVIPGNDAGKKKSLQVAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEQQQKARDSLSTTRNSTKEEAPESTEKEETSSDPLSRRKISDTDLECNS >PAN03882 pep chromosome:PHallii_v3.1:1:1912092:1915484:-1 gene:PAHAL_1G027000 transcript:PAN03882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVTPTAGGVAAAMDGGEGAAARTPSLRHRAMSASSKLLRSSLSRKSMGRRSSKVMSVSIEDVRDAEEMKQVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIEKSKQMWSDMLQWRKEFGSDTIMDDFVFEELDQVLEHYPQGHHGVDKDGRPVYMEKLGQIDTTKLLQVTSMDRYVQYHVREFERAFAVKFPACSIAAKKHIDQSTTILDVSGVGYKNFNKAARDLIGRLQKIDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFLGGTCNCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSADPREADEKMITEDEIVPVPKKQESMRRAADSPKIARDKIEHPQMSPLHEMKTAEHPAPPGKEGYDNNLFHGVDWSGEVSEEKLQIARDMYAQLPDAYKQGDPGDRQVVSGFMALVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKKTRQMQLQQQQLAGPDAVVVSAAQYQALVKRLDDLEGKVAALAARPPEMPPEQEEMLKAAVSRVEALETELENTKKLLETSVGQQEEVLAYIEKKKKKRGMFCLQQQNPFRW >PAN03881 pep chromosome:PHallii_v3.1:1:1912088:1915484:-1 gene:PAHAL_1G027000 transcript:PAN03881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVTPTAGGVAAAMDGGEGAAARTPSLRHRAMSASSKLLRSSLSRKSMGRRSSKVMSVSIEDVRDAEEMKQVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIEKSKQMWSDMLQWRKEFGSDTIMDDFVFEELDQVLEHYPQGHHGVDKDGRPVYMEKLGQIDTTKLLQVTSMDRYVQYHVREFERAFAVKFPACSIAAKKHIDQSTTILDVSGVGYKNFNKAARDLIGRLQKIDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFLGGTCNCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSADPREADEKMITEDEIVPVPKKQESMRRAADSPKIARDKIEHPQMSPLHEMKTAEHPAPPGKEGYDNNLFHGVDWSGEVSEEKLQIARDMYAQLPDAYKQGDPGDRQVVSGFMALVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKKTRQMQLQQQQLAGPDAVVVSAAQYQALVKRLDDLEGKVAALAARPPEMPPEQEEMLKAAVSRVEALETELENTKKLLETSVGQQEEVLAYIEKKKKKRGMQNPFRW >PVH65773 pep chromosome:PHallii_v3.1:1:5112208:5113308:1 gene:PAHAL_1G074500 transcript:PVH65773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSDEGVAFDDSAMELLLWRLPFFLVGAWIGWRVAVRLLPDPALHAQVVAAFAAAGRLVKSLLAVVRQ >PAN07510 pep chromosome:PHallii_v3.1:1:51872404:51873747:-1 gene:PAHAL_1G341700 transcript:PAN07510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLNPSKENKRASKGPTNEHARKGSKASLMKAFLTQVMIKSREEALIRVMWALQREAYTAPLAVCPPPKKHKQI >PAN07330 pep chromosome:PHallii_v3.1:1:50970244:50975604:-1 gene:PAHAL_1G327100 transcript:PAN07330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSDSAGAGGGGGRAGAELMVQQFLHKALHAILAVRSPRPHASAPAPPASSAFRKRDQWFHLPLHAPPPPPAAEHLPEPTPGEPLVVDVYLAPSGGGGAEEVVERWTVACEPWPSATAAAAVGEGLAVNRAYKRCITLLRSLYAALRFLPAYRAFRTLCASSGQLYNYEMGHRVGSFAAPFSRAEEAAMRTNRFAPVETQLGRLVVSVQYRPSLAAFNFEVTSLAPAAIIMDYVGSPAAEPTRAFPASLTEPAGSAFPQPSRRPSSWASPAPWPHTPGQQAKFSPPPTHYASPTPSPPTFGYLHSRFSGETAPMSIPQVGGGRGPVHHRNMSEPTRAFMFPPPSPKSVRGEAGMQESPTEANRSFKRVDGIRMGDLYANLPSGSKIKDSRDESGSGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDADFPFAVDDVDPDSRPGSSGGKDVGGDQAGSSSHKSQDAAVGYLVHLLKSARPLRDSSYSTHTSRAESIEAGSTSSFMSRRTSDALEELESFREIKENLLARSRSRLQDSLDKP >PVH67013 pep chromosome:PHallii_v3.1:1:56485167:56488338:-1 gene:PAHAL_1G410500 transcript:PVH67013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSTASSRSRSGTGTFPSPGTPNYKRHCAGSMQYSKGWSSERVPLGTGSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGVGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAAANYAGHLPFSAGVLIPEHGRIGDFSSGRGRSGDDGSSRSYSAEKEPYILRSASIHAWTETLMEASAFANISEETTKDNKHQGHGDATSVISSPIIKKDVATQMSPEDSISSSPKARHSCSSLPSGHLLREANSHTPKPEIRDVQVDDQVTVTRWSKRHVTRGSDKRSTNIIEWRKKTTETRAPSFDEKERERCMSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILGKLRSAQKKAEDMRSAVSSSEEQCGVRAKKKASSFGKTGKPFSCCFTYRAC >PAN08393 pep chromosome:PHallii_v3.1:1:56484367:56489896:-1 gene:PAHAL_1G410500 transcript:PAN08393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPEPALGFPAAAGHDGATKPPPERRRKKQPPPRRRRRPAGAAQQQQPVGTRGAADPDPPPASALLPLPSSGSGFATRGTAAPDEEEGRDGVASAGSEEEAEATNDSFSNSLRECQKQRRLKSEGSGLVRPPASHELNGGGGIELLVLSPRCLIGGNAGGMSKSSTASSRSRSGTGTFPSPGTPNYKRHCAGSMQYSKGWSSERVPLGTGSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGVGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAAANYAGHLPFSAGVLIPEHGRIGDFSSGRGRSGDDGSSRSYSAEKEPYILRSASIHAWTETLMEASAFANISEETTKDNKHQGHGDATSVISSPIIKKDVATQMSPEDSISSSPKARHSCSSLPSGHLLREANSHTPKPEIRDVQVDDQVTVTRWSKRHVTRGSDKRSTNIIEWRKKTTETRAPSFDEKERERCMSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILGKLRSAQKKAEDMRSAVSSSEEQCGVRAKKKASSFGKTGKPFSCCFTYRAC >PAN08395 pep chromosome:PHallii_v3.1:1:56484453:56489876:-1 gene:PAHAL_1G410500 transcript:PAN08395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSTASSRSRSGTGTFPSPGTPNYKRHCAGSMQYSKGWSSERVPLGTGSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGVGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAAANYAGHLPFSAGVLIPEHGRIGDFSSGRGRSGDDGSSRSYSAEKEPYILRSASIHAWTETLMEASAFANISEETTKDNKHQGHGDATSVISSPIIKKDVATQMSPEDSISSSPKARHSCSSLPSGHLLREANSHTPKPEIRDVQVDDQVTVTRWSKRHVTRGSDKRSTNIIEWRKKTTETRAPSFDEKERERCMSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILGKLRSAQKKAEDMRSAVSSSEEQCGVRAKKKASSFGKTGKPFSCCFTYRAC >PVH67012 pep chromosome:PHallii_v3.1:1:56484453:56488690:-1 gene:PAHAL_1G410500 transcript:PVH67012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSTASSRSRSGTGTFPSPGTPNYKRHCAGSMQYSKGWSSERVPLGTGSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGVGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAAANYAGHLPFSAGVLIPEHGRIGDFSSGRGRSGDDGSSRSYSAEKEPYILRSASIHAWTETLMEASAFANISEETTKDNKHQGHGDATSVISSPIIKKDVATQMSPEDSISSSPKARHSCSSLPSGHLLREANSHTPKPEIRDVQVDDQVTVTRWSKRHVTRGSDKRSTNIIEWRKKTTETRAPSFDEKERERCMSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILGKLRSAQKKAEDMRSAVSSSEEQCGVRAKKKASSFGKTGKPFSCCFTYRAC >PAN07259 pep chromosome:PHallii_v3.1:1:50710062:50712412:1 gene:PAHAL_1G322500 transcript:PAN07259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDGSRAATGEGPPAAAAGPTPSRPPPSPGIEALAAEALAFDGESNEESIDVTVEKALECPCLDDLKRGPCGSQFIDAFSCYLKSTKEEKGSDCVDPFIALQNCIGENKEAFIKEILEEEENDEEAEKSNLKVLPPAWSREPKSKTRGHSK >PAN07180 pep chromosome:PHallii_v3.1:1:50413141:50416812:-1 gene:PAHAL_1G317200 transcript:PAN07180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLNMRSSRRTPHHHAGKLTGVAMSSDGEIVCAMAFVLACLAAMPPASAASGDGGLLHIPSAASLAHCPSSCGDVNISYPFGIGASCFRKGFELTCNHATQPPELFLGNSTTQITSMYGSGFVEAPMFFNVTSGSGTNNYNISWNAPAKGITISALYNNFYALGCDFDVKLFDNVGNPIGSCMSRCRGEVLPNQGFCNNIGCCFISLEQDISGFQATIVRADGMAARSDSVHPGIIAFMANNYNTSNDLLSSWTNASKLPGLLEVAIMDQPSCKRAQTNKASYACATNSNCANASYGGYTCHCNNDQFYPYLSEGCKPRQDYNPEPKEQCLRSCGNMSIPFPFGLEDGCFGNERFQLNCTAGNTLFSAGHAQYRVISMSVEHGTLTVSNMLNNASSGKEVVVANTDEHGRYYIEGPVEDVFYFSMEYDIVIRWAITNSTCEKAKQNITSYACRSVYSSCHDVTHGVIFMGYRCNCYSGYRGNPYILDGCRDMDECSEPNSCNGKCQNTLGSYKCKPCPHGTEFDSTQRRCAISTKKRNLLLGTAIGTGCGLGSIFIALGVIVLANKWKKGIQKRIRRAYFKKNQGLLLEQLISDESATSKTKIFSLEELDKATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQMEIDQFINEVAILSQIIHRNVVKLFGCCLEDEVPLLVYEFISNGTLYELLHMDTTVKCLLSWDDRLRIAMEAAGALAYLHSAASVPIFHRDVKSSNILLDDNYTTKISDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFVNDLGAKQSLSHYFIEGLQEGSLVRMLDSQVLEEADQEEINEIASLTDACLRTKGGERPTMKEVEMRLQFLRTERLRKRQCLHEKDGGIEPLLCPEAKNPHKHINIDNDAHITHQGMSGCYSLEQEFASSLFGMPR >PVH66800 pep chromosome:PHallii_v3.1:1:52547932:52548285:-1 gene:PAHAL_1G351200 transcript:PVH66800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLTGDKRARRGLSAGGLDGGEGGGGGFTATSRSRREESEAKAALLRSRCVSSGWRCSRWIGRGYTICHCQRSCCCRNKSQIHHPVVQERFWSVSFSLPAAFDFFCAKVSLIWFIC >PAN07226 pep chromosome:PHallii_v3.1:1:50573296:50577306:1 gene:PAHAL_1G319900 transcript:PAN07226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTSGSGAGPVINEVEMNGGADPSATTVRATVVQASTVFYDTPATLDKAERLIEEAAGYGSQLVVFPEAFIGGYPRGSTFGFGISVSIANPKDKGKEAFQRYHAAAIDVPGPEVTRLAAMAAKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYEFAGFGEEPSADTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDKPQLPVSFTSAAEKTSAAKNDSIAKSY >PVH66702 pep chromosome:PHallii_v3.1:1:50573296:50577306:1 gene:PAHAL_1G319900 transcript:PVH66702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTSGSGAGPVINEVEMNGGADPSATTVRATVVQASTVFYDTPATLDKAERLIEEAAGYGSQLVVFPEAFIGGYPRGSTFGFGISVSIANPKDKGKEAFQRYHAAAIDVPGPEVTRLAAMAAKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGIEIYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYEFAGFGEEPSADTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDKPQLPVSFTSAAEKTSAAKNDSIAKSY >PAN03936 pep chromosome:PHallii_v3.1:1:2097538:2100572:1 gene:PAHAL_1G030200 transcript:PAN03936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 2, Regulation of abiotic stresse [Source: Projected from Oryza sativa (Os02g0137800)] MRGDANGGEDRRPRGEDPEHEDEEEGRQGGEGAPPQRQLQTQRPAARPPSGPQPPPAMTRNVGYVGKHRLSAAIARLDQELQSLQEELNELETMEPASTACQDVITSTEGKPDPLLPITSGPENSSWDRWFQRVRSSRSNKWWASRGSDFS >PVH65806 pep chromosome:PHallii_v3.1:1:5624547:5626416:-1 gene:PAHAL_1G081200 transcript:PVH65806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMTLLPRTANVSTHWYSIRITGGSGRRGRAPNSNLSAMSPSGSSASDAEPVLGVVQDEVQMLLLPRARLLPADARPLRRRSSGADALRLVPDISVSPPPLHPAPVTAALSLASSGHRSPSLVPETSPREATPGKTGGTSVARRRRMELMRRPRRRLRNDRHAHIVPCSAPSENLRRRRTNSAR >PAN05870 pep chromosome:PHallii_v3.1:1:22263443:22274943:1 gene:PAHAL_1G167800 transcript:PAN05870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPVAKLGTLLLKTMSKPIATRLKTEASRHPKFRQLIINLAQANHRISTNIQRRVYGHATNVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARKNEIEAIRQKEDQLAEEILTMKQKLSELERLANSRGLSGLFRSSSVPDQMKPT >PAN04001 pep chromosome:PHallii_v3.1:1:2349884:2351350:-1 gene:PAHAL_1G033900 transcript:PAN04001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGETEAAAAAARGPPPHVALLSSPGMGHVAPLAELARRLHDAHGFTVTVLTYASSDSAAQRAFLASLPPAVGAASLPAVPLGDLPAGAAIETLLSVEAQRSVPALTAVLSGLKSTTNLVAFVADLFGADTLRAARDAGVPGYLFFPSNLLMLSLMLHLPRLDAEDAAEFRDLPGPVRLPGCVPVPGADILQPLQDRASDAYRWMVHHGERYRDAAGILVNTFDAVEPGAAAVLRQPEPWRPPVYPIGPVTRQATDGAAADATGCIDWLDAQPERSVLFVSFGSGGALSTAQTRELARGLELSGHRFLWVVRSPSDGGANPGESYYDGSRSKDDPRRFLPPGFAERTKALGHVVPSWAPQTRVLAHRATKAMLTHCGWNSVLESLAAGVPMIAWPLYAEQRENAVMLCEETKVALRPEVGGSDGCLILAGDIAEVVKEMMDGEKGEAARAKVAELKAAAASGLEPGGASYETLAKVVSDWKAASGSS >PAN03841 pep chromosome:PHallii_v3.1:1:1735506:1739302:-1 gene:PAHAL_1G024400 transcript:PAN03841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRRGSVGAAALLLVLVASSLLAVARAAAVGGGVDGGGGGGAGGEDGERLLRLWTDGGGEAGDQEDDVFMWDEEEDDDEAEEGHIMVWGNGKTERPATCRNVVNVDTFGAAGDGDADDTEAFRNAWKAACSLDNAVFLVPAGRRYKVGAITFMGPCKNKMIIQIQGTIVAPEEPSEWDPRSPRLWLLFSGLAGARIQGGGVIDGSGSKWWANSCKINKSNPCKPAPTAVTIDSSRGVRVKGLRVQNAQQMHLTVYRSRNVRLAGVRIEAPEDSPNTDGIHVAESTAVTIQNCRIGTGDDCISIVNASFNIRMKNIDCGPGHGISIGSLGKDGTFAAVENVALDTARISRAQNGVRIKTWQGGAGYVRNVRFSNVAVDDVDHPIVIDQFYCDARGPCRNSTSGVAVTNVLYRNISGTSRRAEAIRFACSDAAPCTDIVLRDINLRRSDGDGGGEVQTVCNCAIGFDNGRVSPAADCLRSSTCGGAPDHRPDDDDDGKGKPVAGTMPAPILHTEL >PVH66327 pep chromosome:PHallii_v3.1:1:33975234:33976117:-1 gene:PAHAL_1G208700 transcript:PVH66327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPACLPACLAPIGRARHCVPARALFPFLSRRVMRRPHEHAGLLFLFSLLFFGGTGILEKSPRFSGAARLGLSRCRRPCSRRVGLAPRGLRPCLTGFVRRATSGRRFSLRDRILVPFLRPPSQQLNCYFIPFSFSPWTILCLARFLAYIRGFRRLSVACSKVSFPLTGQNPKCAGRINAS >PAN06787 pep chromosome:PHallii_v3.1:1:48457033:48463885:-1 gene:PAHAL_1G288200 transcript:PAN06787 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MAGGGGGGGRADSSPAIKPISKAVVHRICSGQVIFDLSSAVKELVENSLDAGATSVEVSLKAYGEEWFKVSDNGCGISPANFQALALKHHTSKISDFSDLGSVVTFGFRGEALSSLCALGKLTVETRTKDEPVGTHLEFEHSGVVANQRKIARQVGTTVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLLCTNTVGKNSKIVVLRTQGSSSMKDNVITIFGLNTFKCLEPFSVTISDGCQVEGYLSKPGPGTGRNSGDRQFFYVNGRPIDMPKITKVVNELYRSSNAKQYPVAILDFRIPTTSYDVNVAPDKRKIFFSSESMILRSLREAVENLYSPQQCSFSINHVEEPEKEEDTVTDGHNEDTNLIAADNVSSPDNSDDSEETDIEDQISPENQKEPSSATKVAIEATSRDVRPLSGGTATQADRSAWLPSFSYEQPKRLPKEGKCYASGTNHFRTGLAAKSTQSTTVQSSLMNFVSLNKRKHEDDCNLISEAPVLRRGICIEQARGTSLEANFVSPNKQNNVDDCSLNSEAPLLRRGACLEQVRRTSLEGNFVSSNKQKHEDNCSVISETPVLRRRACSEQVRRTSLEANSPAALRSSTFNISEFNLPLETNSLKHHSPQSFVSVRADVSPKHSKPPNTVTHGAEVSSPCDVHTTEPDVDVQHDRCLPISGAPNKFSVVEHQNTLSDSPLLDAHDYDEGAAVCSASVQYPSMQFTVAELRRRRKNGFRISQHANKANCLEKATRCYKAATLDIYVPSGDEAKSNSLAAATNELDRLFSKEDFGEMEVVGQFNLGFIIGKLNQDLFIVDQHAADEKYNFEGLSQSTTLNIQPLLQPLRLDLSPEEEVVVSMNMSTIRKNGFVLEEDLHASPGNHYLLKAVPFSKNITFGVQDVKELISMLADSQGDCSIISSYKLDKTDSVCPSRVRAMLASRACRMSTMIGDPLTKAEMRKILKNLTGLRSPWNCPHGRPTMRHLADLHAIRNKDSAGADVAL >PAN07459 pep chromosome:PHallii_v3.1:1:51676245:51676619:-1 gene:PAHAL_1G337800 transcript:PAN07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSAASIGARTPALGWGILAALLSLATVALVPFPSPSSSSSRTSAPPRLQPLHLDADENRPFLPLPLHKMPSPLAPPNQPPRPPACHLPHRPQPITTAGSAAFPAILLDLAATNPLSQLKTLKS >PAN05167 pep chromosome:PHallii_v3.1:1:9358125:9359631:1 gene:PAHAL_1G119200 transcript:PAN05167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLATARECRAYSLGAGSGTASRNRWEYINAGVYVFAAVLLVAGFLAQLLLAPWGGRAGLVAAAIGLAAVLAVNAHDLLAHVAGVDYRLGVAAGLDAQLALVEVAVPVVQIAGTLLMLLAVVFFEIQMERGYRHGLARHGLNLLIAGPALWCLGSVHNICQVYERASGHVQLLQKSVQIPLLLGSTLFLVAGIVNRHDRRSRTAAFSLLGRSWAWFCLSGSLLFLAGGVLNLLKVFKTQQMGGRGMEKLRGGAQERLAMEREGKVPLILEHGGGRRGARDPGSVAVPPPPPHGSYKDALVSSAS >PVH66490 pep chromosome:PHallii_v3.1:1:46618612:46620779:-1 gene:PAHAL_1G262100 transcript:PVH66490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSVPLALGLDTAGVQVPWYFRCPISLELMADPVTVSTGQTYDRASIESWVATGNTTCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSMGVERIPTPKQPADPDLVRSLVAQGPGLPALRRLRALARESDKNRLVMATHETRAALVEVAFGSGASEELQAEAMSVLALVGLGEAEAVEVVGREERVARLGKVLAAGGPLEARVNAGAVVEAAASASGAEARAVLGGAEGVMEGLVALVEEKAHARAVRVGIRGLFALCLAKENRPRAVSAGAASSLARRVAEGGAGEPERALAAVERLCRAEGGRDAVVAGAGGGSAAVTALVRAMSGRAAEHAAGALVAVVGGSEALQVEAVRAGAMSQLLLMVQGGCSERAKRKAQHLLKLLRSAWPTTDCIANSDDFLQPY >PAN07947 pep chromosome:PHallii_v3.1:1:54073837:54077308:1 gene:PAHAL_1G374500 transcript:PAN07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGICGHYHKFEEGEVCGVCGHRWKPSDGEGTPARHESAFPTEVLKDFLFLGSYDNASRSEVLKTLGISRILNNSFTYHSLQRDRPLDFDGAIQFLEQCERDKSRVLVHCMSGKNRSAAIVAAFLMNSRAWRLAQSLQWVKDRRPQVQLTDASRNELLEYEQKLFGLSAEPVIPTESFASLGFGYTKPADDTQAPAFNQMTAPSIFQRVGPNDIPANFAFGAERTVGVNPQDSDNNGGTNPASTDNVMDSS >PAN07937 pep chromosome:PHallii_v3.1:1:54073837:54077308:1 gene:PAHAL_1G374500 transcript:PAN07937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGICGHYHKFEEGEVCGVCGHRWKPSDGEGTPARHESAFPTEVLKDFLFLGSYDNASRSEVLKTLGISRILNTVPDCYNLYQNSFTYHSLQRDRPLDFDGAIQFLEQCERDKSRVLVHCMSGKNRSAAIVAAFLMNSRAWRLAQSLQWVKDRRPQVQLTDASRNELLEYEQKLFGLSAEPVIPTESFASLGFGYTKPADDTQAPAFNQMTAPSIFQRVGPNDIPANFAFGAERTVGVNPQDSDNNGGTNPASTDNVMDSS >PAN09005 pep chromosome:PHallii_v3.1:1:59279753:59282538:-1 gene:PAHAL_1G451400 transcript:PAN09005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDLFEGLPPPAAAAPAGGAASLAPPPPPALPPPPPKPALKSSLKRSKPSSSDTTTSPPPAPASAAPEAHVPERRLRFRTTVDASETQILEAMQKITSHIGKPSKFSKASKLALQLIEAGSVKPGTINHFFGILEAAMSSPGACNEPSVRADYHTLFSAAQSVTELFSQQRKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKEAISALPMAMADDDNDEAAALAAASKTDTTTENKAGDSVPTAASNSLPDDSTYAAASGSGEESSDPFGLDDLLANKPKKSERAREKEVAALNSKADEDDSKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNVNRFTLQQRDAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >PAN08599 pep chromosome:PHallii_v3.1:1:59279540:59282205:-1 gene:PAHAL_1G451400 transcript:PAN08599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKITSHIGKPSKFSKASKLALQLIEAGSVKPGTINHFFGILEAAMSSPGACNEPSVRADYHTLFSAAQSVTELFSQQRKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKEAISALPMAMADDDNDEAAALAAASKTDTTTENKAGDSVPTAASNSLPDDSTYAAASGSGEESSDPFGLDDLLANKPKKSERAREKEVAALNSKADEDDSKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNVNRFTLQQRDAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >PAN06255 pep chromosome:PHallii_v3.1:1:45481465:45484664:-1 gene:PAHAL_1G250300 transcript:PAN06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGDGDAWADQEQGNGGGSRGGGAGGGGGEAKRSEIYTYEAGWHIYAMNWSVRRDKKYRLAMASLLEQVPNRVEVVQLDEASGDIAPVLAFDHQYPPTKTMFIPDPHALRPDLLATSADHLRIWRIPSADDADGDAPSANNSGSVRCNGAPQPGVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGGGGGSNSGAGDGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDMQALIWDLSSMGTGSNGGNNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFANKLQILRV >PAN03741 pep chromosome:PHallii_v3.1:1:1403891:1407287:1 gene:PAHAL_1G018500 transcript:PAN03741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTGRSSILVHILVIALCLAAFGFAIAAERRRSTGSIVTDSSNTTFCVYDSDIATGYGVGAFLFLLSGHSLLMGLTRCMCFGPPLAPGGSRAWSIIYFTSSWVTFAIAESCLIAGATKNAYHTKYRDMVYAGNWTCQSLRKGVFVAGAVFVVFTMILDVYFYMYYAKATSQAAKKISKTTPSVGMTGYA >PAN06245 pep chromosome:PHallii_v3.1:1:45269951:45273754:1 gene:PAHAL_1G249400 transcript:PAN06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSPDLFKFRGVVSPRNHRSFHHRHPPPPPAPTMSDLRPPEHQVAGHRASANKLGPLVDGSGLFYKPLQAGDRGEQERAFYEAFSAHAAVPPRIRDAFFPRFHGTRLLPTEARPGEPHPHLVLDDLLAGLQAPSVADIKIGSITWPPSSPEPYVAKCLAKDRGTTSVLLGFRVSGVRVVAPGGAVWRPERPELKALGTAGVRRVLRRYVSSVSGEVADCALAAAVYGGEGGVLSQLRELKAWFEEQTLFHFYSASILLGYDANAVSATGAGGGVRVKLVDFAHVAEGDGVIDHNFLGGLCSLIKFISDIVSEAPETPPLAP >PAN08936 pep chromosome:PHallii_v3.1:1:58903486:58907289:1 gene:PAHAL_1G445800 transcript:PAN08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEKLVVEVVAAHNLMPKDGQGSSSAYVEVEFDHLKRRTRARPKELNPVWNERLVFPVADPDDLPYRAIDVGVYNDRAAAGAASAGPHGRNFLGKVRVPAAGVPAPGEEVVPQLFTLEKRSLFSHIRGEITLKIYRINSGDVVVKSKPEKPAKAVVPGPEVVAAPTVTGPKKQPQPQHPVVTVQPPPPQPEPPMDIMPQPAPMVMKPVMHADPYPVPAMFSGPGDFSLKETRPRLGSGAVADKASATYDLVEQVEYLYVRVVRARGVPMVGEAVAEVKLGNYRGVTPAVPSHNWDQVFAFSKETIQSSFVEVYVRARGSDDHVGRVWFDLSEVPHRAPPDSTLAPQWYSMEDRKGQRGGAEVMVAVWFGTQADESFAEAWHSKTAGVHGNGPLGSIKSKVYVAPKLWYLRVSIIEAQDLFPMDKGALAIGRFPEFFVRAQVGNQIMRTRPAPMVSTRAPSSPFWNEDLMFVVAEPFEEFLVLSVEDRVSPGRDELLGRLVVPVSAIERRWDWKPVVSRWFGLDRGTGGGNVSGSNAHRFASRRVHLRLSLDGGYHVLDEATAYSSDLQPTAKQLWKPHVGVLELGVLGATGLTPMKTRDGRGATADAYCVAKYGQKWIRTRTVVDSLCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTVAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNVGNMFHAYVRPLLPKMHYAEPLLVRQVETLRFQATNVVAARLGRAEPPLGKEVVEYMLDHRSHLWSMRRSKANFFRLVSVLSGPMTIGRWFELVRSWQRPVHSCLAVFTFLVLVTMPELILPTAFLAMAFTGLWRYRVRPRHPPHMEMRLSHADGATADELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQGLLSWRDPRATLLFSIACVLAAVIAYCVPMKVMIGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSRADILL >PVH66577 pep chromosome:PHallii_v3.1:1:48258534:48261092:1 gene:PAHAL_1G285600 transcript:PVH66577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTYCCLITGIYSYFTSSSQGGPSTHASGPSTHGSGVYHESEEVEENIAPPLAQVEEDVHVDVEEDNVEENAHDQGNDEEINGEEVEGIIEFNPDHIISDPGLCIPIDRFAPNIRDEVRRAFIAKGPTQPIGHIFPQSHDKRSFQKHWFRNYSWLEYNLEKNKAYYFYCYLFKHDRMEEKFCHDAFTKVGFSQWRNVYTAFPKYVGGPNSIHNIATTVFHDFYNQRSSISHRMSSYSQDALVKYETRLETSLGIVSYLALQGEPFRGHDETSTSLNKGNFLEFLDWYKQRNEEVRKAFDELCPKNAKMTSGMIQKELANCCAEAVTQAIKEEMGDCLFSILVDESRDISVKEQMAIVVRFVNKKGEVIERFLGIKHVKDTTSESLKKALVEMLSDHGLVVAKLRGQRYDGASNMRGEFNGLQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSLEDFFEYVTLIVNSTSASCKRKDLLLHKHRLNLLSKLESGEISSGRGQQQATTLSRPGDTRWGSHYKTLLRIESMWDSVIEVLEIVHQDERNPSGAGGFVGKMECFSFVFMMKMMLQILRITNELSLLLQRKDQNVVQAMSLVVDVRTRLINLRNKGWEPLLEETKAFCLANDIPIPNMCDAVPRFGRSRKGGRNNITQEHFYRVDTFYAAIDSITTEFDHRFNELSSELLVCFACLDPKNSFSKFDVEKLARIADIYHEDFSFDDRKIIKDQLRTFIIHVRRVEKFKACHDLASLSKTMVQLERHIVFPLVYRLIELALLLPVATATVERAFSAMKIIKTELRNKMADGWLNDLMVCYIEREIFKELDLQQIKKAFQNKKTRQMQLPRSPRPRRN >PAN03911 pep chromosome:PHallii_v3.1:1:2010457:2012787:1 gene:PAHAL_1G028600 transcript:PAN03911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCADSRKMDWGFQLNPYAAPFVPSSMTSSAAESLNQRTCSSEKPSGEAEKTETADRSAEYDLPDSLSLDFYAESLAKLSISGESSLKGDAADGALFDPSECLGSDPDIHPPSVVAYLSHMFPNVSADFIVDALKLQEFDVDLTIDMLSHLCEADGYGHSAEAVRQENGTPRRQHWGVERSPKGAFAANLQDK >PVH66015 pep chromosome:PHallii_v3.1:1:10260559:10263507:1 gene:PAHAL_1G127000 transcript:PVH66015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSCSGTTASPRAPPTRPLFTRLLHKPIAQPAIFSLSPFHSFFRFLSKNTMAASIAPAKSVSPQP >PAN09132 pep chromosome:PHallii_v3.1:1:59788461:59790322:1 gene:PAHAL_1G461000 transcript:PAN09132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase [Source:Projected from Arabidopsis thaliana (AT2G17265) UniProtKB/Swiss-Prot;Acc:Q8L7R2] MAAAAAAAAATSSFPSARRERTRTRSWACSGRPWLVSLRSGALLRVRAAAEPAPAFQSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATLDPTLRPGAVSIASVTSPSRPHLAGRLSKDPLRNCAGVAAIAALRSLGVRSHAISIQLTKGLPLGSGLGSSAASAAAAAKAVDALFGSRLGRDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYEPFHLVPLASPAGLRLHFVLVTPEFEAPTSKMRAALPKEVGVQQHVRNSSQAAALVAGVLQGDAGLIGSAMSSDGIVEPARAPLIPGMAAVKAAALQAGALGCTISGAGPTAVAVIEGEEKGEEVGGRMVEAFWSAGKLKARATVAQLDRLGARVIATSAA >PVH67248 pep chromosome:PHallii_v3.1:1:59788297:59790322:1 gene:PAHAL_1G461000 transcript:PVH67248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase [Source:Projected from Arabidopsis thaliana (AT2G17265) UniProtKB/Swiss-Prot;Acc:Q8L7R2] MAAAAAAAAATSSFPSARRERTRTRSWACSGRPWLVSLRSGALLRVRAAAEPAPAFQSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATLDPTLRPGAVSIASVTSPSRPHLAGRLSKDPLRNCAGVAAIAALRSLGVRSHAISIQLTKGLPLGSGLGSSAASAAAAAKAVDALFGSRLGRDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYEPFHLVPLASPAGLRLHFVLVTPEFEAPTSKMRAALPKEVGVQQHVRNSSQAAALVAGVLQGDAGLIGSAMSSDGIVEPARAPLIPGMAAVKAAALQAGALGCTISGAGPTAVAVIEGEEKGEEVGGRMVEAFWSAGKLKARATVAQLDRLGARVIATSAA >PVH65833 pep chromosome:PHallii_v3.1:1:5980076:5985247:-1 gene:PAHAL_1G086300 transcript:PVH65833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHDNNGFGQGLAPGEGSHGRYLDSADHHYHQFHPEALPHVPPHPPLPPYAEAANHNGSCAWLPGVGAVPAPPEPLFPSHRDYHTAPPRPTANSSLFPVLSGSPATAVNPPRAHTLPQAHLMPNANCHNNEGSGLIYRPLSEQHLIDGRSTNAQHSVENSKVTIINACDLFKQPRRASRPDHIVIILRGLPGSGKSYLAKALRDLEVDNGANAPRIHSMDDYFMIEVEKKLEDNEGSKSSGASKGRRQLTKKVIEYCYEPEMEETYRSSMLKAFKKTLDEGNFTFVIVDDRNLRVADFAQFWATAKHSGYEVYLLEAPYKDPAGCAARNVHGFTLDEIKKMAADWEEAPPLYLQLDIHSLFHDDNLHGHSIQEVDMDTEDSDDDANETSTTAENSKKDIQEAPHNESNEGENWNTEVEDDLDAFKELGQSKWSKDVEDDTEKTENTEGNTQALSGLAQTYSTRQKRVSWGDRVCVMFKS >PVH65834 pep chromosome:PHallii_v3.1:1:5979434:5985247:-1 gene:PAHAL_1G086300 transcript:PVH65834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHDNNGFGQGLAPGEGSHGRYLDSADHHYHQFHPEALPHVPPHPPLPPYAEAANHNGSCAWLPGVGAVPAPPEPLFPSHRDYHTAPPRPTANSSLFPVLSGSPATAVNPPRAHTLPQAHLMPNANCHNNEGSGLIYRPLSEQHLIDGRSTNAQHSVENSKVTIINACDLFKQPRRASRPDHIVIILRGLPGSGKSYLAKALRDLEVDNGANAPRIHSMDDYFMIEVEKKLEDNEGSKSSGASKGRRQLTKKVIEYCYEPEMEETYRSSMLKAFKKTLDEGNFTFVIVDDRNLRVADFAQFWATAKHSGYEVYLLEAPYKDPAGCAARNVHGFTLDEIKKMAADWEEAPPLYLQLDIHSLFHDDNLHGHSIQEVDMDTEDSDDDANETSTTAENSKKDIQEAPHNESNEGENWNTEVEDDLDAFKELGQSKWSKDVEDDTEKTENTEGNTQALSGLAQTYSTRQKRVSWGDRLEKGGFSIAATKRKLASSLVIGPGSGYNLVSNPLAEDNSTGMKGKTNNETKKRFSEQLRDEGQSFRAVFDKRKQRIGVFENGDD >PVH65832 pep chromosome:PHallii_v3.1:1:5978954:5986205:-1 gene:PAHAL_1G086300 transcript:PVH65832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHDNNGFGQGLAPGEGSHGRYLDSADHHYHQFHPEALPHVPPHPPLPPYAEAANHNGSCAWLPGVGAVPAPPEPLFPSHRDYHTAPPRPTANSSLFPVLSGSPATAVNPPRAHTLPQAHLMPNANCHNNEGSGLIYRPLSEQHLIDGRSTNAQHSVENSKVTIINACDLFKQPRRASRPDHIVIILRGLPGSGKSYLAKALRDLEVDNGANAPRIHSMDDYFMIEVEKKLEDNEGSKSSGASKGRRQLTKKVIEYCYEPEMEETYRSSMLKAFKKTLDEGNFTFVIVDDRNLRVADFAQFWATAKHSGYEVYLLEAPYKDPAGCAARNVHGFTLDEIKKMAADWEEAPPLYLQLDIHVDMDTEDSDDDANETSTTAENSKKDIQEAPHNESNEGENWNTEVEDDLDAFKELGQSKWSKDVEDDTEKTENTEGNTQALSGLAQTYSTRQKRVSWGDRLEKGGFSIAATKRKLASSLVIGPGSGYNLVSNPLAEDNSTGMKGKTNNETKKRFSEQLRDEGQSFRAVFDKRKQRIGVFENGDD >PAN04376 pep chromosome:PHallii_v3.1:1:4289285:4293018:1 gene:PAHAL_1G062700 transcript:PAN04376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEGYGYRGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVVYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAGPANMEPATEARAEEEPKFIPFTGSGRRLDGKASKDKDVLASSQAKRQANAANSVQPSTATTSQGSSSRKTTGKLVFGSGGSRADKAPEKEAKEEPKKEEPKFSAFTGKKYSLRG >PAN04375 pep chromosome:PHallii_v3.1:1:4289001:4293018:1 gene:PAHAL_1G062700 transcript:PAN04375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEGYGYRGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVVYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAGPANMEPATEARAEEEPKFIPFTGSGRRLDGKASKDKDVLASSQAKRQANAANSVQPSTATTSQGSSSRKTTGKLVFGSGGSRADKAPEKEAKEEPKKEEPKFSAFTGKKYSLRG >PVH66204 pep chromosome:PHallii_v3.1:1:25061505:25062236:1 gene:PAHAL_1G177500 transcript:PVH66204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPLEYAYREYISGGTLRCDIMDFVEKSARYPDVDPWFISTVGFRFLDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERRGRTWIARIRGLGREEEDLEDTVSHLSIYLTGLDALYREQTAQLRELIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEEPMETHWDKGTQTEDRSIPIKKRPIRTEEESP >PAN08628 pep chromosome:PHallii_v3.1:1:57682144:57683986:-1 gene:PAHAL_1G425800 transcript:PAN08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGGPPNSRALQPPQQPGTPGRSRRRPDLTLPLPQRDLTSLAMPLPLPLPPSSAPSSTSSSGPASLGAPTPPTSAGSAPPNPPPLCELERVRRIGSGAGGTVWMVRHRPTARAYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGHRIADEGFLAHVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPLGENLGKQGDWAALMCAICYSDSPQAPRTASPDFKNFISLCLQKNPANRPSAMRLLQHPFVAQPQPQPQPLAAPPPS >PAN03760 pep chromosome:PHallii_v3.1:1:1488985:1489594:1 gene:PAHAL_1G020100 transcript:PAN03760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPQLDLQRLLRAAHQYIIFVFGMRLVLLESCDSPTLYLDQRFCGIWSYVALS >PAN04558 pep chromosome:PHallii_v3.1:1:5144364:5145312:-1 gene:PAHAL_1G075200 transcript:PAN04558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVVFEPRAGVVAPGVAGGDVVFCVAIACLSLLSMIIFAAAGSSAGGKRGRASGPVFVGGKGCGCGGCRAGAGVCGTYLS >PAN05121 pep chromosome:PHallii_v3.1:1:9053001:9054032:-1 gene:PAHAL_1G116300 transcript:PAN05121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTMSKLPLLAMIFLAVLLLLPSAAVAAVAKAIDASNTQRLELPDVLVGPESVAFDARGGGPYVSISDGRVLRYGGEGVGWTTFAYSPSYTKNGCDAFSELPPVATESSCGRPLGLRFHVNSGNLYIADAYMGLMRVGPDGGEARVLATEAGGAPLRFTNGVDIDQVTGDVYFTDSSTTYTRAQHQMVTTTGDSTGRIMKYSPQTNQVTVLQSGVTYPNGIAISADGTHLVVALTGPCKLMRYWIRGPKANTSEPFADLPGYPDNVRPDGKGGFWVALHREKYELPFGLDRHLLAIRIGAEGEKLQEMKGSKNVRPTEAVEREDGKIYLGSVELSYVGIVST >PAN08247 pep chromosome:PHallii_v3.1:1:55656370:55658898:1 gene:PAHAL_1G397900 transcript:PAN08247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MFAYSSTSKPWPQRHPPSPSQGAGAAAAARHVALAARSKRRGAGAVAAEGVDEAAEAAELVRSLLRRTGGGKERLVPVLDRHVRVVRTEHCFLLFEELGRRDAWLQCLEVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKCIERCQPTIVTYNILLRAFAQAGDTKQNGMIKEMESVLLRMKSKQCRPDVITFNILIDSYGRKQTFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLREKAESVLGKMEELGFKPNYVTQECLIMMYAHCDCVSRARQIFDELVNSQNKVNLSSLNAMLDAYCMNGLHTEADRLLDTVLQKGVVPSGSTYKLLYKAYTKANDKVLVQKLLKGMNKQGIVPNKKFFLDALEAFGTSERKPRTSSAANTANKPSANSAGDSEAATSIKPNVSVWQVAAT >PAN08250 pep chromosome:PHallii_v3.1:1:55657417:55658577:1 gene:PAHAL_1G397900 transcript:PAN08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKCIERCQPTIVTYNILLRAFAQAGDTKQVDILFKDLDESIVSPDIYTYNGVIDAYGKNGMIKEMESVLLRMKSKQCRPDVITFNILIDSYGRKQTFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLREKAESVLGKMEELGFKPNYVTQECLIMMYAHCDCVSRARQIFDELVNSQNKVNLSSLNAMLDAYCMNGLHTEADRLLDTVLQKGVVPSGSTYKLLYKAYTKANDKVLVQKLLKGMNKQGIVPNKKFFLDALEAFGTSERKPRTSSAANTANKPSANSAGDSEAATSIKPNVSVWQVAAT >PAN08246 pep chromosome:PHallii_v3.1:1:55656369:55658898:1 gene:PAHAL_1G397900 transcript:PAN08246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MFAYSSTSKPWPQRHPPSPSQGAGAAAAARHVALAARSKRRGAGAVAAEGVDEAAEAAELVRSLLRRTGGGKERLVPVLDRHVRVVRTEHCFLLFEELGRRDAWLQCLEVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKCIERCQPTIVTYNILLRAFAQAGDTKQVDILFKDLDESIVSPDIYTYNGVIDAYGKNGMIKEMESVLLRMKSKQCRPDVITFNILIDSYGRKQTFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLREKAESVLGKMEELGFKPNYVTQECLIMMYAHCDCVSRARQIFDELVNSQNKVNLSSLNAMLDAYCMNGLHTEADRLLDTVLQKGVVPSGSTYKLLYKAYTKANDKVLVQKLLKGMNKQGIVPNKKFFLDALEAFGTSERKPRTSSAANTANKPSANSAGDSEAATSIKPNVSVWQVAAT >PAN08248 pep chromosome:PHallii_v3.1:1:55656370:55658898:1 gene:PAHAL_1G397900 transcript:PAN08248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKCIERCQPTIVTYNILLRAFAQAGDTKQVDILFKDLDESIVSPDIYTYNGVIDAYGKNGMIKEMESVLLRMKSKQCRPDVITFNILIDSYGRKQTFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLREKAESVLGKMEELGFKPNYVTQECLIMMYAHCDCVSRARQIFDELVNSQNKVNLSSLNAMLDAYCMNGLHTEADRLLDTVLQKGVVPSGSTYKLLYKAYTKANDKVLVQKLLKGMNKQGIVPNKKFFLDALEAFGTSERKPRTSSAANTANKPSANSAGDSEAATSIKPNVSVWQVAAT >PAN04548 pep chromosome:PHallii_v3.1:1:5072495:5075202:-1 gene:PAHAL_1G074200 transcript:PAN04548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLLPRTRAFALRRPLSALAGAGRGDGASRAGSVFGFGDNSHGAVGQPPPAADAYVPTPVPSLPPSVSAVAAGHYHSLAVSAAGEVWAWGRNDEGQLGRGLHSPRNTWSNPEQVRGLENVQVRAVSASGVVSAAIGSDGSLWVWGRSKRGQLGLGKDIVEAVVPSRVEALASYDIAEVSFGWGHAMALTQDGKLFGWGYSENGRLGEMGQSSKVPSAEEYIGKTVDKYSSSMMEAVEKMVEEKIRSEDNMPIIWEPSLVHEVSRLEVSDVSCGLDHSLILFSDGVLLSGGDNTYGQLGRKAGLSKLLPVDVSYRPFSVSASVGHSLALCHTSTEGIDDVETGVLSWGWNCSSQLGRSGQEDIPAPVNCLSGERPVSAAAGRVHSAVLTSRGEVWTWGSGRNGRLGLGSSIDEAEPCLVDTLEGVEVLQVAAGMDHNLLLVAD >PAN04547 pep chromosome:PHallii_v3.1:1:5072172:5075231:-1 gene:PAHAL_1G074200 transcript:PAN04547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLLPRTRAFALRRPLSALAGAGRGDGASRAGSVFGFGDNSHGAVGQPPPAADAYVPTPVPSLPPSVSAVAAGHYHSLAVSAAGEVWAWGRNDEGQLGRGLHSPRNTWSNPEQVRGLENVQVRAVSASGVVSAAIGSDGSLWVWGRSKRGQLGLGKDIVEAVVPSRVEALASYDIAEDGKLFGWGYSENGRLGEMGQSSKVPSAEEYIGKTVDKYSSSMMEAVEKMVEEKIRSEDNMPIIWEPSLVHEVSRLEVSDVSCGLDHSLILFSDGVLLSGGDNTYGQLGRKAGLSKLLPVDVSYRPFSVSASVGHSLALCHTSTEGIDDVETGVLSWGWNCSSQLGRSGQEDIPAPVNCLSGERPVSAAAGRVHSAVLTSRGEVWTWGSGRNGRLGLGSSIDEAEPCLVDTLEGVEVLQVAAGMDHNLLLVAD >PAN06368 pep chromosome:PHallii_v3.1:1:46367189:46369989:1 gene:PAHAL_1G259200 transcript:PAN06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTAYSRQSKLPGGGGERRLPPRLMRGLASKIEPKKLGVGLIAGCCLALLTYVSLAKLFAIYSPVFASTANTSALMQNSPPTASKPSVPETEAIPPQETLAGGGGGGNAVDLPEAAGSEEPGLPEAFTRKDMPGAADSDEPGLPEALRRKDDGENAAAASEPKPSSKEGEGEQKQNGGAAAAAGEGKMTCDENGVDEGFPYARPTVCELSGDIRVSPKQKTVYLVNPSGAGGFDEGGEKRLRPYARKDDFLLPGVTEVTVKSSPSAAVAPQCTKQHAVPAVLFSIAGYTDNFFHDMTDAMVPLFLTASHLKGEVQLLITNYKPWWVQKYTPLLRKLSNYPVINFDADAGVHCFRAGFVGLYRDRDLILSPHPTRNPRNYTMVDFNRFVRGALALPRDRPSVLGEEPGMRPRMLIISRAGTRKLLNLDEVAAAAAELGFNVTVAEAGADVPAFAAQVNAADVLLAVHGAGLTNQIFLPTRGVVVQIVPWGKMDWMATNFYGRPARGMQLRYLEYYVGEEETSLKDKYPREHTVFRDPMALHKQGWQALAEIVMKQDVSVNLTRFRPFLLQALDKLQE >PAN06382 pep chromosome:PHallii_v3.1:1:46485854:46488682:-1 gene:PAHAL_1G260300 transcript:PAN06382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAPRRGAAGHALLAALLASVLVHARAQDGGDASGGQDQVSTAMVALLAAVIAVFVFIASATIYLRHCSGRAPPPSSGDSRAAVRLGSFFASRSSWSWWQPRRRASSGLDAEAVEAFPTMTYAEAKALRVGKGGGGGGALECAVCLSEFEDGERLRLLLPRCSHAFHPDCIGEWLAGHVTCPVCRCNLEPSHHKDATSSDEEPTGSFPPIPIASSISSDTAVAWQHAGPLPVAVVIDVATEEEEEEEERRQEALELHRIGTQQRAMRSRPGSGPAATTAPQLARWHHSTGGRSLAARLDRDLERFTLRLPEHVRREIVAAAAERSLQLRRGRGAAGGGGSGGRSAPLVGLGRLGGWQSLLGTVFSGSLSFFSAPRVTASCSGGGEAPSSSSYTRLRGKRVVAVDADDDVRATGRSSTGPDSTGDGGGGSGEKATSEREVAAG >PAN09149 pep chromosome:PHallii_v3.1:1:59876250:59876561:1 gene:PAHAL_1G463100 transcript:PAN09149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTATPVMACLLLLLLVASTATAHFQVGDVDEYWTKRAQEARLRNRAAGAAINDLISGAARFHANVDARVYGRRSNLQDAEEAPAKPTEAQDAAAAQGGVGGH >PVH66633 pep chromosome:PHallii_v3.1:1:49213072:49213680:1 gene:PAHAL_1G301500 transcript:PVH66633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLPGGMYMGYPSDISKCVAQLDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFLESKV >PVH65483 pep chromosome:PHallii_v3.1:1:550591:559216:1 gene:PAHAL_1G005600 transcript:PVH65483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQNKPPELEEKLTKSRTKKTKRDAADPDLPRRDAKRRRRAASTQDVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQLGGQPLDVLAGAADEVLATLKNDKIKNPDKKKDIEQLLNPISNQLFDQLVSIGKLITDFHDAAAGDAAGAPSGDAMDTTLDDDVGVAVEFEESDEDEESDFDQVQDDLDEDDEDEATELNGPGGMQMGGELDDDDLQNANQGLAVNVQDIDAYWLQRKISQAYGDGDIDAQQSQKLAEDILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMASDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDGAGADGARDRRAAERDMESGWLKGQRQLLDLDSLAFHQGGLFMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDLPEWAQPAFEGMSALNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLAPYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNHEDVALFLRVRKESLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFVSKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPFTRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKSGKPALVYVPTRKHARLTALDLCAYSSVEGGGTPFLLGSEDEMDTFTRGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESNLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPGRPGEEEFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALNAMELSQMVTQGMWDRDSVLLQVPHFTKELARRCQENEGKPIESIFDLAEMGINEMQDLLQLSNSQLQDMIEFFKRFPNVDMAYEVREGDDISAGDNVTVQVTLERDMTNLSEVGPVHAPRFPKTKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFNAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGGD >PAN03516 pep chromosome:PHallii_v3.1:1:550591:559216:1 gene:PAHAL_1G005600 transcript:PAN03516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQNKPPELEEKLTKSRTKKTKRDAADPDLPRRDAKRRRRAASTQDVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQLGGQPLDVLAGAADEVLATLKNDKIKNPDKKKDIEQLLNPISNQLFDQLVSIGKLITDFHDAAAGDAAGAPSGDAMDTTLDDDVGVAVEFEESDEDEESDFDQVQDDLDEDDEDEATELNGPGGMQMGGELDDDDLQNANQGLAVNVQDIDAYWLQRKISQAYGDGDIDAQQSQKLAEDILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMASDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDGAGADGARDRRAAERDMESGWLKGQRQLLDLDSLAFHQGGLFMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDLPEWAQPAFEGMSALNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLAPYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNHEDVALFLRVRKESLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFVSKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPFTRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKSGKPALVYVPTRKHARLTALDLCAYSSVEGGGTPFLLGSEDEMDTFTRGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESNLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPGRPGEEEFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALNAMELSQMVTQGMWDRDSVLLQVPHFTKELARRCQENEGKPIESIFDLAEMGINEMQDLLQLSNSQLQDMIEFFKRFPNVDMAYEVREGDDISAGDNVTVQVTLERDMTNLSEVGPVHAPRFPKTKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFNAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGGD >PVH66711 pep chromosome:PHallii_v3.1:1:50804832:50807506:1 gene:PAHAL_1G324400 transcript:PVH66711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGHAVTLRERSPSGARLIEDVLSEAGDEEEAEATARVLYRASFQELMPGYLQYDTIIWALISLLLVLAWGIGLLLLLYLPYKRYVLKRDILSRQLYVTENNIVYKATRPSYLPFVGIVEKETKIPLHLIVDVIIEQGCLQSAYSLYTFRIESIAHGKPAPVDELQFHGVHNPDLLRKVIIREASRRNQEVQIQRTRLYFGEGPSCIPPTSGFHSPGSNYVQVKASSSRDVLYSKGKVPDGILLHKLEEVSRSVKNLESLLIGSHTRE >PAN04802 pep chromosome:PHallii_v3.1:1:6600708:6602322:1 gene:PAHAL_1G092800 transcript:PAN04802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALQAQRLFLTASTSSSSPSSSCTARRPRRSTAAPCRAALRMPSGLQASPAATAPADLALKLDWIDAPAGAPTAAAAQGGTAGERLRAVADAAADRAEMHDIIGRQRDNWNHLLLHSTNSLALAASAMAALAPVAPPTVAALKASAGALLATAAVTMAAVNRIQPSQLAEEQRNATRLWRQLERDVSYRAAAGAVVTDADVQEAMDRVLALDAAYPLPLLPGMLEKFPKTVEPARWWPRRRPQPQPLKSRRSKSFGRRAGSSSNGWTPELEEEMRGLLRVLRAKDEHQYLTVGRLVLTLNRGLAVAGPALAGVGAVAAAFIGGGDAGGWASGAAVLCGALAAAANTVEHGGQLGMLFELLRNCAGFYRKVQEDIEAALGEADPERRENGEVFETKVALLLGRSAADLRQFREMASPSFRDEDIKDYAGKLF >PVH66462 pep chromosome:PHallii_v3.1:1:45689153:45690773:-1 gene:PAHAL_1G252600 transcript:PVH66462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAMTRRNQRRRGPHTRARPAEARGPAGALPRAPAREAPRNAPGARPRAGPRAPAVALQAQELPCRSGCRRASRRACCRWRGNGPSGQAAAACAVAAAPTVASNRMARASRKPQLILEARALTL >PVH65906 pep chromosome:PHallii_v3.1:1:7420132:7420947:1 gene:PAHAL_1G101500 transcript:PVH65906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVMSLRRAAAAAVACVLALGAAAQLTPTFYDGSCPSLQSIVRSGMAAAVQQEPRMGASILRLFFHDCFVQGCDASVLLDDSPALTGEKNAGPNANSLRGYEVIDSIKSQVEAACPGVVSCADILALAARDGVNLVSELVSNWSTTSSSSLVHHHLPTPVPPAATSHHACRPVNHQAHLALLTSPCTHSTRHGLDRSGPVKHAPGGVGADGQPSSPTAQACRGTGAS >PAN04918 pep chromosome:PHallii_v3.1:1:7419625:7422274:1 gene:PAHAL_1G101500 transcript:PAN04918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVMSLRRAAAAAVACVLALGAAAQLTPTFYDGSCPSLQSIVRSGMAAAVQQEPRMGASILRLFFHDCFVQGCDASVLLDDSPALTGEKNAGPNANSLRGYEVIDSIKSQVEAACPGVVSCADILALAARDGVNLLSGPTWAVPLGRRDTRTASQAAANSNLPSPSSSAAALVSAFASKGLDSRDLVALSGAHTVGSARCASFRSRVYNDSNINAGFAAKRRQICQPQAGATDGNLAPLDALSPVRFDNGYFRNVVSQFGLLHSDQELFGGGQVDGVTAQYARNGAAFTRDFVTAMIKMGNISPLTGSSGEIRANCRKPN >PAN05150 pep chromosome:PHallii_v3.1:1:9235249:9237979:1 gene:PAHAL_1G117900 transcript:PAN05150 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MPPLPAAAMATATLPLRLLPSKTLTLSSIPSARRSLSVAAVAHRRWQVRAAAEEAPEAVEVEFVEPEAEAEEEEPAVPEPVEAQLAAAGAEKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILDPAAA >PVH66842 pep chromosome:PHallii_v3.1:1:53510517:53512793:-1 gene:PAHAL_1G365500 transcript:PVH66842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCPGGNAIVIGGGYIGMECAAALVANKIKVTIVFPGKHCMGRLFTPKIAEFYENYYTSKGVTFIKGTAVSSLEISSGKVTTAILRDGRRLPADMVVVGIGARANTALFEGQLVMEKGGIKVNGQMQTSNASVYAVGDVATFPVTLFGGDARRFEHVDCARRTARHAVAAALDPSGPAGDIDYLPFFYSRVFALSWRFYGDNAGEAVHFGDLGFSASSTPKFGAFWVSAAGRIAGAFLEGGSPEEYEAIAHAVRSGAAVPDVTQLAREGLAFVFRDGRSPAARPRPRPRGLSGGGGGDKPSYAWHATVGVAVAVFIAALAYWYDQKAPCLVKHSF >PAN06031 pep chromosome:PHallii_v3.1:1:41024696:41026257:-1 gene:PAHAL_1G227900 transcript:PAN06031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDLFFKLKNAMEMERGETPEEGEESKSADEIVCGVRCEYCQSSKFIENMGIHLAGSNRPTTSTSIVLVTIGQYCP >PAN06030 pep chromosome:PHallii_v3.1:1:41024922:41025447:-1 gene:PAHAL_1G227900 transcript:PAN06030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDLFFKLKNAMEMERGETPEEGEESKSADEIVCGVRCEYCQSSKFIENMGIHLAGSNRPTTSTSIVLVTIGQYCP >PVH66450 pep chromosome:PHallii_v3.1:1:45454689:45455445:-1 gene:PAHAL_1G250000 transcript:PVH66450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAWLHLHTTSSFRAANVVDLDPMARSPLHRPLPSSIPAFSSFLVALITGNGRNGEQWQRQDKVKRRHPVARTRPT >PVH65848 pep chromosome:PHallii_v3.1:1:6270058:6277624:-1 gene:PAHAL_1G089200 transcript:PVH65848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNPRNSYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTYYTLAFFEKLNMYSNASLNSLFNSYDRSMLMSTAYYRMDLYERSLNEVPVTNFFGSVMKTLHTDSAYTGFLAAHDDETPMSIRDNRHDHFMSKNEASARRSNREKEAQIIPHGWTEVLLEQLEGKNTDTVVLYGLGSMARRRYYSTYGHVATLAEEIQRGAASVTGVEATLWQVPETLSGEALAKMGAPPKRAGVPAIAPAELVEADGVLFGFPTRFGMLPAQLKAFLDGTSDLWCEQRLAGKPAGIFCSTGSPANAGAQGRAARAGRGERGERRDRASGDRRRAPGEERRRGREGGQGGKNRENRRRRS >PAN05236 pep chromosome:PHallii_v3.1:1:9851181:9852845:-1 gene:PAHAL_1G123700 transcript:PAN05236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske [2Fe-2S] region domain containing protein [Source: Projected from Oryza sativa (Os07g0556200)] MAASTALSTAANPTQLCRPRASPKPVKGLGLGMGPERFSQRSSITCQAASSISADRVPDMEKRKLMNLLLLGAISLPTVGMVVPYGSFFIPAGSGGAGGGTFAKDKLGNDIKLEEWLQTHGPNDRTLAQGLKGDPTYLVVEQDKTLASYGINAVCTHLGCVVPWNSAENKFICPCHGSQYNNQGKVVRGPAPLSLALVHVDVDDGKVLFVPWVETDFRTGEDPWWKA >PAN03957 pep chromosome:PHallii_v3.1:1:2194073:2208572:-1 gene:PAHAL_1G031700 transcript:PAN03957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIAEGGGDPWLRTKNGHVGRQVWEFDAAAEPDPAVDAARRAFVERRHDLKHSADLLMRLQFAKENPLNLDLPAIKLDEHDDVTEEAVATTLKRAISRFSTLQAHDGHWPGDYGGPMFLMPGLIITLYVTGALNTVLSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSALTYVILRLLGEGPDSGDGAMEKGRNWILDHGGATFITSWGKFWLSVIGVFEWSGNNPVPPEVWLLPYILPFHPGRMWCHCRMVYLPMCYIYGKRFVGRITPLVLELRKELFKDPYSEIDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPVMLHWPGSKLREKSLETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVYDFLWLAEDGMKMQGYNGSQLWDTAFTVQAIVATNLIEEFGPTLKLAHDYIKNSQVRDDCPGNLDDWYRHTSKGAWPFSTSDHGWPISDCTAEGLKASLLLSKISPEIVGESLEANRFYDAVSCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAATQALTSFRKLYPGHRRKEVDNCISKAASFIESIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFENSPAIRKACEFLLSKELPSGGWGESYLSSQDQVYTNLKGKRAHAVNTGWAMLALIGAGQAERDPTPLHRAAKVLINMQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAGKQ >PAN05243 pep chromosome:PHallii_v3.1:1:9908857:9913979:1 gene:PAHAL_1G124200 transcript:PAN05243 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MARALRLLSRTVVRLRPLPVQGPTRGFSASVQEPFHVCVVGSGPAGFYTADRMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGRDVSLSELRETYQAVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLSPDLKNTQSAVVLGQGNVALDVARILLRCKTELATTDIADYALDALCGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVRVCIKEADLATSPADEEEMRSSRIQRRVYELLSKAASAHRDNSCNDQKELHFVFFRRPTRFTPSENGSTVGAVELEKTALKVDEVTRKQVAVGTGEFEDLKCGLVLKSIGYKSLPVQGLPFDKIRGVVPNLRGRVMSSESETATVETGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDRKGVLRAPSDSKKHGRTGLVEILEQKNVHFVPFSGWEKIDSKEKMAGQLRNKPREKITTWDGLQKAANE >PAN09013 pep chromosome:PHallii_v3.1:1:59316121:59320315:-1 gene:PAHAL_1G452000 transcript:PAN09013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G58940) UniProtKB/Swiss-Prot;Acc:Q9FIL7] MAGRDRRGALAPSSSGRRTPEQERWAWSPAWSRPLSDRSTTSRGSSSSSSSSSSFRSLFRSIGVWFTSLSTTSTSSGARRRRIKEAAPAAVDVIKKPPLPAHAGKSSARGLYGGSGYRNGSGRQQLRPSFQSSVFSMEEILRATTNFSPALKIGQGGFGAVYKGVLPDGTVVAVKRAKMRMQNPHVDVEFRSEVKIMARIEHQSLVRLYGYMECGEERIVVVEYVPNGTLREHLDRCNGRFLDFGTRLDIAIDVAHAVTYLHMYSDHPIIHRDIKSSNILLTDSLRAKVADFGFARLGAGEATHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLVELASARRPIETKREMKERLTARWAMSRFIGGSAADVLDPHLARTPAAERALEMLLELSFRCMGPVRQDRPAMSDCCRALWTIRKTYRDMLAADVTPQHSDRPTGDLWRI >PVH66081 pep chromosome:PHallii_v3.1:1:14332018:14333482:-1 gene:PAHAL_1G143300 transcript:PVH66081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLWSTVIPRRRRRLAPSPRLPRFPSSSLSGAAAPSTELLPISTPAAVALHPHPGSGSSSPTPSCAPSAPQQWRGRRTPGAHGGSTSASPLSSSVAPRLVVAALDSSRSVPGRPQAVARLQAAPAPSYARPSCGGEKETCFYVVVRGRPEAERKTSLFSDLL >PVH66418 pep chromosome:PHallii_v3.1:1:44071479:44071910:-1 gene:PAHAL_1G239400 transcript:PVH66418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNARTCGTNRPLPATGIAVPLYYPVSCAEPRSSTNSRKERAHEAPAAAPGRGGDRIGALPDGVLEDILGFLPAQDAVRTCVLARRWRDLWKFAKALRIVGGDGKFLGSVKELREFVDYLLLSRRRHTSRHVRAQFIGVQR >PVH66425 pep chromosome:PHallii_v3.1:1:44290454:44292017:1 gene:PAHAL_1G241600 transcript:PVH66425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCPKPGKGGKIHPSPAEDPIAAAFRLLPATILVLVAGLTPEDKRVLAHLVTRSFLVGWDAATVPPSEQARGSGRRRRGHPPTVGCLCFECYGSFWTRWGCSPQHDRIHAALEAFEEHLNAAESAAASSSATPPSSKRRDKGKRGRAAAATPSPPPQPPIRSRPKSPEPPAVAEAIPEPASPPPPSCPPPTPPPAPAACLSENKENVPEAPTAEATAEECGGEVAAEAEEERKRGWADVMGGVLNLRRWGIWSPAVESAT >PAN04587 pep chromosome:PHallii_v3.1:1:5289693:5291702:-1 gene:PAHAL_1G077500 transcript:PAN04587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFQCNPLIICACYLYQVPGTNHLLADPGLQQSRGCFGCAQPTPIIAVDEPSKGLRIQGRSVKRRNLSYDFWSSSPHEMENSALQSRHSMSSISTTAQPNDQHAAGSSSNPNEFVNQGLLLWHQTRQQWIGKRRRISQGQSQEPKISRNATYESLLGSTKSFAQPIPLSEMVDFLVMSWEQEGLYD >PAN05744 pep chromosome:PHallii_v3.1:1:11916823:11922078:-1 gene:PAHAL_1G135400 transcript:PAN05744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPATTSASSPCQAPSPAGLGLPLLTSPGARARTLAFGRRRLRLRGAAAAAPTGNLFLGLSKPRCSSITAIGDVAAVSDDYIESSPSSSGYPNSSMGSSSHEDNQSQRVVKMNQKSRDNNKMIKICDKLIGVFMVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQERADAESNPEMKHKLLRLGRKLKEVDEDVQRHNELLEVVKSTPSDKISAIVAKQRKDFTVEFFNHLYYVAESYHDEPEKQTELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELLGDNVDTLYTSPEALHTWARAIVDAYYNSREGTLLGQARDLMNPKIIKRVEEIVKIIKDKYL >PVH66052 pep chromosome:PHallii_v3.1:1:11916823:11919808:-1 gene:PAHAL_1G135400 transcript:PVH66052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSHEDNQSQRVVKMNQKSRDNNKMIKICDKLIGVFMVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQERADAESNPEMKHKLLRLGRKLKEVDEDVQRHNELLEVVKSTPSDKISAIVAKQRKDFTVEFFNHLYYVAESYHDEPEKQTELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELLGDNVDTLYTSPEALHTWARAIVDAYYNSREGTLLGQARDLMNPKIIKRVEEIVKIIKDKYL >PAN05741 pep chromosome:PHallii_v3.1:1:11802555:11813233:-1 gene:PAHAL_1G134900 transcript:PAN05741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQFKRAKEYEDPNILAKETTFSVNEVEALYQLYKKISHSIFQDGLIHKEEFQLALFRNSNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLNIFHPDTPMAEKIAFAFRLYDLRGTGFIEREELKEMVLAILNESELILSDDAVEQIVDQTFKQADMNEDGKIDSEEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGASDEEL >PVH66049 pep chromosome:PHallii_v3.1:1:11804254:11813233:-1 gene:PAHAL_1G134900 transcript:PVH66049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQFKRAKEYEDPNILAKETTFSVNEVEALYQLYKKISHSIFQDGLIHKEEFQLALFRNSNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLNIFHPDTPMAEKIAFAFRLYDLRGTGFIEREELKEMVLAILNESELILSDDAVEQIVDQTFKQADMNEDGKIDSEEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGASDEEL >PAN05740 pep chromosome:PHallii_v3.1:1:11804971:11812565:-1 gene:PAHAL_1G134900 transcript:PAN05740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQFKRAKEYEDPNILAKETTFSVNEVEALYQLYKKISHSIFQDGLIHKEEFQLALFRNSNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLNIFHPDTPMAEKIAFAFRLYDLRGTGFIEREELKEMVLAILNESELILSDDAVEQIVDQTFKQADMNEDGKIDSEEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGASDEEL >PVH66641 pep chromosome:PHallii_v3.1:1:49341507:49341853:-1 gene:PAHAL_1G303600 transcript:PVH66641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAGGTEAWRREGGVAAGRGPGGPLPPRYQRPTPPHAAISRGRRESTPPLVVLGSFVMVVDGSNAVQFAISVEVREGEIMAMLGASGAGKSTLIDMLLGWI >PAN05948 pep chromosome:PHallii_v3.1:1:41989497:41995767:-1 gene:PAHAL_1G231100 transcript:PAN05948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPPPQNLLPLPSPVVGQSTRINLGEIKLKLVKRIGPEQTKKYFEHLQRFLSSKLSKTVFDKLCLTILGHENVRLHNHLIRSILHNACQASGPPTASAPKSIGVAKNSNHVLVPPVLVSDNGDVPRRHVKAHYPQGRNADAVQENGVVHLTKLERFSQSQQIDLVEPLSKRLRVENAYSKLSDPPNSNCSDVVGRENMEAIAQNALDPITAPLGIAFCSGHFGGSWKTLTFSSSAGGDNSFCCYDLGQLCDTSSLKQRMGRIAETEGLDGVSLDCANLLNYGTDLFLKRLIGSCIQLVRARSQHDRINQMALKQQLSRKLINGAQLQNQVHGRSANTCPQISSISLQDFKAQSELNPQLLGVNSSLLLEKMNSYD >PAN04525 pep chromosome:PHallii_v3.1:1:4979345:4985170:1 gene:PAHAL_1G072600 transcript:PAN04525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPGVPVAAGVLILAAAASWHGAEGKACTNAFPGLTSHTERAAAQLRPAPASEPGHHGHGHEHGHEQHLTPTDESTWMSLMPRRALRREEAFDWLMLYRKLRGATAGETRGGAILSEVSLHDVRLELGSLYWRAQQTNLEYLLLLDVDRLVWSFRKQVGLTAPGTPYGGWEGPDVQLRGHFVGHYLSATAKMWASTHNDTLKEKMSSVVDTLYDCQKKVGTGYLSAFPSEFFDWVEAIKPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVMNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIATFFMDTINSSHSYATGGTSAGEFWTYPKHLAETLSTENEESCTTYNMLKISRNLFRWTKEIAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDTPALNIIQYIPSTLNWKAAGLTVTQQIKTLSSSDLYLQVSLSISATKTSQSAKLNFRIPSWTSADGAGATLNDKDLGSLSPGSFLSITRQWNSEDHLSLRFPIRLRTEAIKDDRPEYASLQAVLFGPFVLAGLSTGDWDAKAGNSSTISDWITVVPSVYNSQLVTFTQVSNGKTFVLSSANGSLTMQERPEIDGTGTAIHATFRAHPQDSTELHDMYGTTMKGASMLIEPFDLPGTVINNNLTLSAQKGSDSLFNIVPGLDGNPNSVSLELGNKPGCFLVTGVDYPAGTKIQVSCKSSLQSIGGILEQAASFLQTAPLRQYHPISFIAKGVRRNFLLEPLYSLRDEFYTVYFSVGA >PAN04524 pep chromosome:PHallii_v3.1:1:4978699:4985170:1 gene:PAHAL_1G072600 transcript:PAN04524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPGVPVAAGVLILAAAASWHGAEGKACTNAFPGLTSHTERAAAQLRPAPASEPGHHGHGHEHGHEQHLTPTDESTWMSLMPRRALRREEAFDWLMLYRKLRGATAGETRGGAILSEVSLHDVRLELGSLYWRAQQTNLEYLLLLDVDRLVWSFRKQVGLTAPGTPYGGWEGPDVQLRGHFVGHYLSATAKMWASTHNDTLKEKMSSVVDTLYDCQKKVGTGYLSAFPSEFFDWVEAIKPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVMNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIATFFMDTINSSHSYATGGTSAGEFWTYPKHLAETLSTENEESCTTYNMLKISRNLFRWTKEIAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDTPALNIIQYIPSTLNWKAAGLTVTQQIKTLSSSDLYLQVSLSISATKTSQSAKLNFRIPSWTSADGAGATLNDKDLGSLSPGSFLSITRQWNSEDHLSLRFPIRLRTEAIKDDRPEYASLQAVLFGPFVLAGLSTGDWDAKAGNSSTISDWITVVPSVYNSQLVTFTQVSNGKTFVLSSANGSLTMQERPEIDGTGTAIHATFRAHPQDSTELHDMYGTTMKGASMLIEPFDLPGTVINNNLTLSAQKGSDSLFNIVPGLDGNPNSVSLELGNKPGCFLVTGVDYPAGTKIQVSCKSSLQSIGGILEQAASFLQTAPLRQYHPISFIAKGVRRNFLLEPLYSLRDEFYTVYFSVGA >PVH65764 pep chromosome:PHallii_v3.1:1:4978699:4985170:1 gene:PAHAL_1G072600 transcript:PVH65764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPGVPVAAGVLILAAAASWHGAEGKACTNAFPGLTSHTERAAAQLRPAPASEPGHHGHGHEHGHEQHLTPTDESTWMSLMPRRALRREEAFDWLMLYRKLRGATAGETRGGAILSEVSLHDVRLELGSLYWRAQQTNLEYLLLLDVDRLVWSFRKQVGLTAPGTPYGGWEGPDVQLRGHFVGHYLSATAKMWASTHNDTLKEKMSSVVDTLYDCQKKVGTGYLSAFPSEFFDWVEAIKPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVMNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIATFFMDTINSSHSYATGGTSAGEFWTYPKHLAETLSTENEESCTTYNMLKISRNLFRWTKEIAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDTPALNIIQYIPSTLNWKAAGLTVTQQIKTLSSSDLYLQVSLSISATTSQSAKLNFRIPSWTSADGAGATLNDKDLGSLSPGSFLSITRQWNSEDHLSLRFPIRLRTEAIKDDRPEYASLQAVLFGPFVLAGLSTGDWDAKAGNSSTISDWITVVPSVYNSQLVTFTQVSNGKTFVLSSANGSLTMQERPEIDGTGTAIHATFRAHPQDSTELHDMYGTTMKGASMLIEPFDLPGTVINNNLTLSAQKGSDSLFNIVPGLDGNPNSVSLELGNKPGCFLVTGVDYPAGTKIQVSCKSSLQSIGGILEQAASFLQTAPLRQYHPISFIAKGVRRNFLLEPLYSLRDEFYTVYFSVGA >PVH65765 pep chromosome:PHallii_v3.1:1:4979345:4985170:1 gene:PAHAL_1G072600 transcript:PVH65765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPGVPVAAGVLILAAAASWHGAEGKACTNAFPGLTSHTERAAAQLRPAPASEPGHHGHGHEHGHEQHLTPTDESTWMSLMPRRALRREEAFDWLMLYRKLRGATAGETRGGAILSEVSLHDVRLELGSLYWRAQQTNLEYLLLLDVDRLVWSFRKQVGLTAPGTPYGGWEGPDVQLRGHFVGHYLSATAKMWASTHNDTLKEKMSSVVDTLYDCQKKVGTGYLSAFPSEFFDWVEAIKPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVMNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIATFFMDTINSSHSYATGGTSAGEFWTYPKHLAETLSTENEESCTTYNMLKISRNLFRWTKEIAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDTPALNIIQYIPSTLNWKAAGLTVTQQIKTLSSSDLYLQVSLSISATTSQSAKLNFRIPSWTSADGAGATLNDKDLGSLSPGSFLSITRQWNSEDHLSLRFPIRLRTEAIKDDRPEYASLQAVLFGPFVLAGLSTGDWDAKAGNSSTISDWITVVPSVYNSQLVTFTQVSNGKTFVLSSANGSLTMQERPEIDGTGTAIHATFRAHPQDSTELHDMYGTTMKGASMLIEPFDLPGTVINNNLTLSAQKGSDSLFNIVPGLDGNPNSVSLELGNKPGCFLVTGVDYPAGTKIQVSCKSSLQSIGGILEQAASFLQTAPLRQYHPISFIAKGVRRNFLLEPLYSLRDEFYTVYFSVGA >PAN07638 pep chromosome:PHallii_v3.1:1:52548376:52553200:1 gene:PAHAL_1G351300 transcript:PAN07638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCGVTLRSKYFASFRGGASQRHDAAGYAPVATSAAAAGDPAPHGNGKRAARPAEAGPGAAADGSAPPPAPGMRRGAPAPAELTANVLGHPTPSLRDHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDQQYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISESAKDLIRRMLNPRPAERLTAHEVLCHPWICDRGVAPDRPLDPAVLSRIKQFSAMNKLKKMALQVIAESLSEEEIAGLKEMFMAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPAAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >PVH67023 pep chromosome:PHallii_v3.1:1:56645028:56645285:-1 gene:PAHAL_1G412700 transcript:PVH67023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLVFSFSPSFLSFLFPLLLWLIKLLLTTQLYLPWCSFINTCLSAMGCLLLHKRGDRRILLGVLWLRTILPILRVEDFVLFKR >PAN03509 pep chromosome:PHallii_v3.1:1:26741:30384:1 gene:PAHAL_1G000300 transcript:PAN03509 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM10 family protein At4g22670 [Source:Projected from Arabidopsis thaliana (AT4G22670) UniProtKB/Swiss-Prot;Acc:Q93YR3] MDASKARELREFVEACKKDPSLLADPNLAFFRDYLESLGAKIPAAAAAAAKAASFDKPRRSSMDDIDDDDDDDDEDLDMRDATPEPDELDEEIVESDLELEGDTVQSDHDDPPQKMGDPSVEVTEENRDASQEAKGKAMEAMSEGKLDEAIEHLTSAIVLNPLSAIMYGTRASVFIKMKKPAAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAARDLHTASNMDYDEEINAVLKKVEPNAHKIVEHRRKYERLRKEREEKRAERDRLRRRAEAQAAYEQAKRKEQSSSRSSGGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGFPGGGPGNVDMSKILNDPDLMAAFSDPEVMAALQDVMNNPANFAKHQANPKVGPIIAKMMAKFSGSQ >PVH65494 pep chromosome:PHallii_v3.1:1:700953:702783:1 gene:PAHAL_1G007900 transcript:PVH65494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEIGAPGSSLHGMTGREPTFAFSTEDAAAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSIIDNAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHILMGILVLTMGQDLPDGNLRSLQKKGDVNKDKFSKVMWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFNLDLRVSGIIAACFGMANIVARPLGGILSDIGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPASITAMVLFSFCAQAACGAIFGVTPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMLFPANAGAAEEHYYSSEWNEEEKSKGLHNASLKFAENSRSERGRRNVVEATTATTPPNNTPEHV >PAN03776 pep chromosome:PHallii_v3.1:1:1555586:1563249:1 gene:PAHAL_1G021300 transcript:PAN03776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCAEPPSKLKIPESKITELADDANHDSPKLERRTRMHHIERHRSCVVTLSDMELNDLQPRRLLQTLEVSKSPGAGSQCSLHEETPTDANASHRRAIADAAWEALKRSIVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETAHLQSREKMVDLFKLGQGVMPASFKVHHCNPNLKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTRWTGDNSLAESPNCQRAMHLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMAMRCALSMLKQDSDADFVNHITKRIQALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWEELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHLARRAMELMERRLAKDEFPEYYDGKAGRYVGKQARKYQTWSVAGYLVAKMLLDDPSHLRIIALEDDGHSRSRAPCLKRSNSCP >PVH65541 pep chromosome:PHallii_v3.1:1:1559328:1562156:1 gene:PAHAL_1G021300 transcript:PVH65541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCAEPPSKLKIPESKITELADDANHDSPKLERRTRMHHIERHRSCVVTLSDMELNDLQPRRLLQTLEVSKSPGAGSQCSLHEETPTDANASHRRAIADAAWEALKRSIVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETAHLQSREKMVDLFKLGQGVMPASFKVHHCNPNLKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTRWTGDNSLAESPNCQRAMHLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMAMRCALSMLKQDSDADFVNHITKRIQALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWEELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPGHACIFCLSCLLICYSGCWWWS >PVH65542 pep chromosome:PHallii_v3.1:1:1555586:1563249:1 gene:PAHAL_1G021300 transcript:PVH65542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCAEPPSKLKIPESKITELADDANHDSPKLERRTRMHHIERHRSCVVTLSDMELNDLQPRRLLQTLEVSKSPGAGSQCSLHEETPTDANASHRRAIADAAWEALKRSIVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETAHLQSREKMVDLFKLGQGVMPASFKVHHCNPNLKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTRWTGDNSLAESPNCQRAMHLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMAMRCALSMLKQDSDADFVNHITKRIQALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWEELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPGHACIFCLSCLLICYSGCWWWS >PAN04088 pep chromosome:PHallii_v3.1:1:2783974:2787140:-1 gene:PAHAL_1G041000 transcript:PAN04088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDINRHFRVSSLSHPPTQPPLPPPSPSQARTRRGACPLPRAAVLPLPSSRRAAPRPSSLAPSPGLPPSRRRPLSPSRHRPLHSNTEAWTRGEPARTRAARSPSCPPALLPRAPRRRPAAPGVPPSRRRSAAPCTTSERVEPRPPPTVSSPGTRLRRSRRGRSLSDGRSLDTGGGRREMSYMRGDLLTKTRKLVKGLAKPAPTWLKAMQEAPPVTFPRVDGKIKKIELPEDVYIKKFFKNHPESLYHDAIKISGFDPPPARVFAWRVLELKEQGVSEDYAIAVADFEYRKEKKAKKKAYKELKEIACSEGKEPPPNPYPSAIKEIQAEEKKYVMDRFYNPKVIEIANKMKEERDMLLRDRAASGQW >PAN08193 pep chromosome:PHallii_v3.1:1:55437539:55441633:-1 gene:PAHAL_1G394800 transcript:PAN08193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPPIDWEAESYPAYPDFAAIPFFAVFFLAVRFLLDRFVFEWLARKLIFKKGDEKLDPTTYAGKRKIRKFKESAWKCIYFLSGELLALSVTYNEPWFTTTRNFWVGPGDQVWPDQKIKFKLKAVYMYVAGFYTYSIFALLFWETRRSDFGISMTHHVATVCLIALSYIFRFARVGSVMLAIHDASDVFLELGKISKYSGHELLADVSFLVFVSSWVLLRLIYYPFWILWSTSYEVVLTLDKEKHKFDGPIYYYVFNILLFSLLVLHIYWWVLMYRMLVKQILSGGHVGDDIRSDSEGEEEHED >PVH66960 pep chromosome:PHallii_v3.1:1:55438636:55441633:-1 gene:PAHAL_1G394800 transcript:PVH66960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPPIDWEAESYPAYPDFAAIPFFAVFFLAVRFLLDRFVFEWLARKLIFKKGDEKLDPTTYAGKRKIRKFKESAWKCIYFLSGELLALSVTYNEPWFTTTRNFWVGPGDQVWPDQKIKFKLKAVYMYVAGFYTYSIFALLFWETRRSDFGISMTHHVATVCLIALSYIFRFARVGSVMLAIHDASDVFLELGKISKYSGHELLADVSFLVFVSSWVLLRLIYYPFWILWSTSYEVVLTLDKEKHKFDGPIYYYVFNILLFSLLVLHIYWWVLMYRMLVKQILSGGHVGDDIRSDSEGEEEHED >PAN08194 pep chromosome:PHallii_v3.1:1:55438914:55441633:-1 gene:PAHAL_1G394800 transcript:PAN08194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPPIDWEAESYPAYPDFAAIPFFAVFFLAVRFLLDRFVFEWLARKLIFKKGDEKLDPTTYAGKRKIRKFKESAWKCIYFLSGELLALSVTYNEPWFTTTRNFWVGPGDQVWPDQKIKFARVGSVMLAIHDASDVFLELGKISKYSGHELLADVSFLVFVSSWVLLRLIYYPFWILWSTSYEVVLTLDKEKHKFDGPIYYYVFNILLFSLLVLHIYWWVLMYRMLVKQILSGGHVGDDIRSDSEGEEEHED >PVH66959 pep chromosome:PHallii_v3.1:1:55436248:55441633:-1 gene:PAHAL_1G394800 transcript:PVH66959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPPIDWEAESYPAYPDFAAIPFFAVFFLAVRFLLDRFVFEWLARKLIFKKGDEKLDPTTYAGKRKIRKFKESAWKCIYFLSGELLALSVTYNEPWFTTTRNFWVGPGDQVWPDQKIKFKLKAVYMYVAGFYTYSIFALLFWETRRSDFGISMTHHVATVCLIALSYIFRFARVGSVMLAIHDASDVFLELGKISKYSGHELLADVSFLVFVSSWVLLRLIYYPFWILWSTSYEVVLTLDKEKHKFDGPIYYYVFNILLFSLLVLHIYWWVLMYRMLVKQILSGGHVGDDIRSDSEGEEEHED >PVH66486 pep chromosome:PHallii_v3.1:1:46510610:46513499:-1 gene:PAHAL_1G260700 transcript:PVH66486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEVSDPKALLEERSKAKCVSQWYEYQKCVKRIENDETGQKHCTGQYFDYWKCVDKSVAEKLFDLLK >PVH67049 pep chromosome:PHallii_v3.1:1:56851227:56851814:-1 gene:PAHAL_1G416000 transcript:PVH67049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQRPHQKPASGYSLSVSSPATAPSRPLPYLFSLLALLLFAALLLPWGPIRTSSVPASPWRAYTLQEAAAFAAAAGNGTVLLAAVSGPYLPFLSNWLISIRRAGRADQVLVIAEDYETLDRINAAWPGHAVLVPPAPDAQAVHKFGSQGFFNFTSRRPRHLLQIL >PVH67249 pep chromosome:PHallii_v3.1:1:59822733:59824955:1 gene:PAHAL_1G461800 transcript:PVH67249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSQMMFPMWGAPPPPPPAAMPPPSDDPAAAPKAQPFLPPPNRGWKRKNPAAAYQPPALGDLQVQNRAKARRWFKNSNNSNPNTARKYFFPKNKNKAAAPRNTTSFIIRAKRAGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQRANPNAAASDDDEDGNSSGSDVEEHVEMERRLDHDLSRFEMVYPGRGEDAGGYVFEDDDEYDQDAHVSRLEEENLTLKERLFLMEQEVGDMRRRLEALEARFSQCDGVVGGENAVEEAPPGNDAERVHGVSENNSSEGDAEGVHLGSEKSGGQTAAMQAAVGSEKTGEHVGVGSEETGKHDVEEQVAVPVGSEKAGKTDAEEQGALCGSEKTSKHDAEKQGALGREKTGEQEIEMTDLEKTGEHDIEMVDSALDKKMGEDNDEIRQ >PAN06250 pep chromosome:PHallii_v3.1:1:45444346:45454688:-1 gene:PAHAL_1G249900 transcript:PAN06250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKAAKSMVKQRICRRRFGALLATALVAAVLAVVVFSNLFAHDPNASSQFKTLRPVRDASEPTDTGGSPNKEDLATSISDQELDAGISEPNQAFQETTPAVSEGGTSTSVPDQGGKSTGGSGLVQYTKCTPGTGTTICDLSNQRYDICELCGDARTIGHSSTVTYVPQSLTSNGKEWSIPAQSRKSLPWIKKVTVKSLNASQQEPSCTSRHAIPAIVFALGGFTGNIWHDVSDVLLPLFLTAHQFDQDVQLLITNNQPWFIKKYSAIFHRITKHKIIDFDTDNQVRCYPHVIVGLRSHRDFGIDPNASPHNYTMMDFRLFVRESYGLPAPEVDIPYRADKDDPERKPRIMLIDRGKTRRFMNAPDVLQGLDWFGFEVVQADPRVDSNNLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSGAVLVHIVPYGIEFMADGFYGAPARNMGLRHVQYSISPDESTLLEKYGWNHTVIKDPEAIRNSGWEKVGEFYMSAQDIVLNMTRFGPSLLKAIEFIM >PAN05684 pep chromosome:PHallii_v3.1:1:16866700:16870044:-1 gene:PAHAL_1G150600 transcript:PAN05684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPDTIRTAIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPVPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIELTYVTLFLLYSTGPARRKVVLLLAAEVAFVGAVAVLVLSLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLSLSLASLVNGICWTAYALIKFDLYITIPNGLGVLFAVAQVVLYAIYYKSTQEIIEARKRKANQVAMTEVVVDDGKTNNNHASAGLY >PAN08573 pep chromosome:PHallii_v3.1:1:57364550:57371023:1 gene:PAHAL_1G421700 transcript:PAN08573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAVAGWTVAAVLLQVAGLSLFLYGFFPVKPTLRGFSGPESYRMPSCGPVSAGEQKPALPPDQRRSLYRELSEMPPVYDRLVLMVIDGLPAEFVLGKGGKPPSKELMESMPYTQSLLAGCQAAGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLEDNLLDQLHMIGHKLVMLGDETWIKLFPTLFARQDGVSSFYVRDTVEVDFNVSRHLEFELAAKDWSVLVLHYLGLDHVGHIGGRRSVLMTQKMKEMDEVIRRVHDASLQDNLERTLLVVVSDHGMTEGGNHGGSSYEETDSLALFVGHSVERAHCSPYNQNEALQVDLAPTLALLFGIPIPKNNIGVLLPEVLNSLTDDQKLRTLELNSWQILRLLQAQMPAFCLEDCINSEGGLGIVVHPESIEKEFCHLLSKAFVSHQSSCLHQGSNFKSAEAQYVGTAVENYYGFLRYASEWLSHRATDKPFYLLISAILLMTMSCLFLMGAVSRLFKGQSLSQTDQLSESYLEQRWHLDETVQSMLKGSNSTLVHGAEEKSFDGNNFLCATSYELTPGKRDGYKLCTVLIVLVAGRVIRAWHQGGINWVHFPDISKLLAQADSCIVKSLQTISVLAVVALYSVSLMLLRARSKVVIGVWLSHISCGLLVLLHIWENQINTSLPINHSTTSIARLFYAIASVSISATVLASPWIFPIYSTEAKPASSSDSNLVKDIDSHGISDSVFLTGITYTVFWCLLQLLLQQPINAIPLLLIFLQTVSSIVHFSLDKTLHKQWVQVIAMQFLGMAGHFGLGNTNSLASIDVAGAFIGISSYSTVLSGILMFIITYGSPLMLYLGMVVYISVKDISTPWQLWSYILDKMVTMPCLLPLLINSVALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYVGVFIIAVTAVYTCTVFSFRARRYRDQSH >PAN08575 pep chromosome:PHallii_v3.1:1:57364550:57371023:1 gene:PAHAL_1G421700 transcript:PAN08575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAVAGWTVAAVLLQVAGLSLFLYGFFPVKPTLRGFSGPESYRMPSCGPVSAGEQKPALPPDQRRSLYRELSEMPPVYDRLVLMVIDGLPAEFVLGKGGKPPSKELMESMPYTQSLLAGCQAAGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLEDNLLDQLHMIGHKLVMLGDETWIKLFPTLFARQDGVSSFYVRDTVEVDFNVSRHLEFELAAKDWSVLVLHYLGLDHVGHIGGRRSVLMTQKMKEMDEVIRRVHDASLQDNLERTLLVVVSDHGMTEGGNHGGSSYEETDSLALFVGHSVERAHCSPYNQNEALQVDLAPTLALLFGIPIPKNNIGVLLPEVLNSLTDDQKLRTLELNSWQILRLLQAQMPAFCLEDCINSEGGLGIVVHPESIEKEFCHLLSKAFVSHQSSCLHQGSNFKSAEAQYVGTAVENYYGFLRYASEWLSHRATDKPFYLLISAILLMTMSCLFLMGAVSRLFKGQSLSQTDQLSESYLEQRWHLDEVFILTGIFLYVISLGSSSFVEEEQYTWNFLTSTLYLIFLIKTVQSMLKGSNSTLVHGAEEKSFDGNNFLCATSYELTPGKRDGYKLCTVLIVLVAGRVIRAWHQGGINWVHFPDISKLLAQADSCIVKSLQTISVLAVVALYSVSLMLLRARSKVVIGVWLSHISCGLLVLLHIWENQINTSLPINHSTTSIARLFYAIASVSISATVLASPWIFPIYSTEAKPASSSDSNLVKDIDSHGISDSVFLTGITYTVFWCLLQLLLQQPINAIPLLLIFLQTVSSIVHFSLDKTLHKQWVQVIAMQFLGMAGHFGLGNTNSLASIDVAGAFIGISSYSTVLSGILMFIITYGSPLMLYLGMVVYISVKDISTPWQLWSYILDKMVTMPCLLPLLINSVALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYVGVFIIAVTAVYTCTVFSFRARRYRDQSH >PAN05507 pep chromosome:PHallii_v3.1:1:43081191:43083051:-1 gene:PAHAL_1G234700 transcript:PAN05507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCSLKMGQGELALMDAQHCRMQCSGWAKACYLEEAAQMLLKAYEEPCDAFLVFLMLLNWIQQMLGSRKHYGMPSTAWRHLTSSSQNCLLPRGHTCPGVS >PVH66403 pep chromosome:PHallii_v3.1:1:43081909:43082529:-1 gene:PAHAL_1G234700 transcript:PVH66403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCSLKMGQGELALMDAQHCRMQCSGWAKACYLEEAAQMLLKAYEEPCDAFLVFLMLLNWIQQMLGSRKHYGMPSTAWRHLTSSSQNCLLPRGHTCPGVS >PAN06771 pep chromosome:PHallii_v3.1:1:48383422:48386881:1 gene:PAHAL_1G287000 transcript:PAN06771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVRTATRPALADISGGGFFIRRVAPPGAVLVKGAVKPLARQARTPSSNKENVPPVGALRTAPKRRSPLPDWYPRTPLRDITSIVKALERRSRLQDAAARQLVQWTEDSSVDPITPVQAESMPTTDETQAIATPATSLADGKLKTSSPSDCSLQATPSKPNDPALSDLMEKKLSSSIEQIEKMVRRNLKKTSKAAQPSKRVVQRRILMSMR >PVH65753 pep chromosome:PHallii_v3.1:1:4741591:4742099:-1 gene:PAHAL_1G069400 transcript:PVH65753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRVSGLLQVHVVAFFVMILGCLALPGHCHTQKAGRAWRSYPNSLTNSSSSSNAPMAKNTSADERKLTLKFCVSDFCNHNLCYCCLYRRKCYPTWAACQARCPTCNPECPPAPITYATPCAFR >PVH65924 pep chromosome:PHallii_v3.1:1:7736624:7737625:1 gene:PAHAL_1G104600 transcript:PVH65924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRSLHTCACMCRFPLFSLHLSNRYLKFWAGRYLQVSLSEISGSYSEYKFSQVDEVEFASR >PAN07143 pep chromosome:PHallii_v3.1:1:50136373:50138974:-1 gene:PAHAL_1G313900 transcript:PAN07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEQSNVAANGDGLCMATPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVANGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPGEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGTKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTSATGGLHAARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGTAEFNAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAAIPNRIAECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >PAN07925 pep chromosome:PHallii_v3.1:1:54001920:54002456:1 gene:PAHAL_1G373500 transcript:PAN07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSAVLLVAVAAVLFAAASAQEMDGGVPPAPAPVTGAAAGAAASALAVACSAVFSILVAGGLMQ >PVH66841 pep chromosome:PHallii_v3.1:1:53481030:53483147:1 gene:PAHAL_1G365000 transcript:PVH66841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWTDNQNKLFESLLAIYDEDTPDRWQKIAQAVGGGKSVEDVKRHYHKLQTDLQDIESRGGCQGSNRSSSGGSSSNGSSWCKTNDDKSHLSLKLVWSIPYFICSIVHLDYLSHPNMRHTFLAYLSVCTRGPSLDYPYHMFSKRMRYLKPQ >PVH67066 pep chromosome:PHallii_v3.1:1:57298692:57299621:-1 gene:PAHAL_1G420900 transcript:PVH67066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYLEEGVSRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVASYPIGLFPTIDPSNSDWDFRTHHLGHLLGDIAEETVRIVTRFMDVQYRYQKLLRHGVNQITGVAQGHYRNADHRVTQIEELQALVTQKDEIIAARDETILHREDQIMESDHLITQRDAVIEFLQEHIHDLMLEADDAQAHIEELQQQPILPAIPLVPEEEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PAN04570 pep chromosome:PHallii_v3.1:1:5203270:5204925:-1 gene:PAHAL_1G075900 transcript:PAN04570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MFHEIKKSKEKQNYLTRVGFEPTPFRTRTLIWRLRPTRPSRHLDNGFPFFLSNRATALSPFATPLRHYPLPSPSVTFLGVATMESASLTSLGNPFPCTSQSPRYRCPSEPKKIRLACRRRASPLKATCEISRRRAVSGMVVLGAATSFIDPLVLPVPAQAAMPEPDVIRYRKLDSGVILEDVVEGEGPEAREGDLVQFNYVCRRANGYFVHSTVDQFSGESKPVTLPLGGEEMIRGLKDVLIGMKAGGKRRALIPPEVGYISETLKPIPEEFGPRRSLLSHAKEPLVFEVQMLKVL >PAN04568 pep chromosome:PHallii_v3.1:1:5202666:5204957:-1 gene:PAHAL_1G075900 transcript:PAN04568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MFHEIKKSKEKQNYLTRVGFEPTPFRTRTLIWRLRPTRPSRHLDNGFPFFLSNRATALSPFATPLRHYPLPSPSVTFLGVATMESASLTSLGNPFPCTSQSPRRASPLKATCEISRRRAVSGMVVLGAATSFIDPLVLPVPAQAAMPEPDVIRYRKLDSGVILEDVVEGEGPEAREGDLVQFNYVCRRANGYFVHSRPVQRREQAGDTPTWWRRDDSRP >PAN04571 pep chromosome:PHallii_v3.1:1:5202666:5204957:-1 gene:PAHAL_1G075900 transcript:PAN04571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MFHEIKKSKEKQNYLTRVGFEPTPFRTRTLIWRLRPTRPSRHLDNGFPFFLSNRATALSPFATPLRHYPLPSPSVTFLGVATMESASLTSLGNPFPCTSQSPRYRCPSEPKKIRLACRRRASPLKATCEISRRRAVSGMVVLGAATSFIDPLVLPVPAQAAMPEPDVIRYRKLDSGVILEDVVEGEGPEAREGDLVQFNYVCRRANGYFVHSRPVQRREQAGDTPTWWRRDDSRP >PAN04569 pep chromosome:PHallii_v3.1:1:5203270:5204925:-1 gene:PAHAL_1G075900 transcript:PAN04569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MFHEIKKSKEKQNYLTRVGFEPTPFRTRTLIWRLRPTRPSRHLDNGFPFFLSNRATALSPFATPLRHYPLPSPSVTFLGVATMESASLTSLGNPFPCTSQSPRRASPLKATCEISRRRAVSGMVVLGAATSFIDPLVLPVPAQAAMPEPDVIRYRKLDSGVILEDVVEGEGPEAREGDLVQFNYVCRRANGYFVHSTVDQFSGESKPVTLPLGGEEMIRGLKDVLIGMKAGGKRRALIPPEVGYISETLKPIPEEFGPRRSLLSHAKEPLVFEVQMLKVL >PAN03986 pep chromosome:PHallii_v3.1:1:2309484:2310503:1 gene:PAHAL_1G032800 transcript:PAN03986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMKGYFRAPRLHGRKQQQVAQRGDQTTYGGESPSAALLDAGDELAGVPRGYFAVYVGAEARRFVVPTSYLRQPAFRDLMERAAEEFGFAQAGGIRIPCREEDFEATVAALEDAVAARRRRRPGRVGTAAAKLPKAWSL >PVH66155 pep chromosome:PHallii_v3.1:1:21251830:21252298:-1 gene:PAHAL_1G164600 transcript:PVH66155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN05898 pep chromosome:PHallii_v3.1:1:34814879:34815226:-1 gene:PAHAL_1G210200 transcript:PAN05898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFSFTHKVFDSLDEPRHRCGSTCERGCQCHIVNSSSEVLASIVCPGVCSTAASKLRS >PVH67147 pep chromosome:PHallii_v3.1:1:58382485:58383423:1 gene:PAHAL_1G437500 transcript:PVH67147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFPLLLLAAAGAHDHDCEAAQVRQQGPAVSELAGAARTRTPSTTNYDVHSPTWGDGRPAHPPSAMWATDLGHEPS >PAN09143 pep chromosome:PHallii_v3.1:1:59835925:59840442:-1 gene:PAHAL_1G462200 transcript:PAN09143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPPPPPSAGGAQYAQQFLNTALSQRGPSALPYAEDVKWLIRNHLVALAEAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVIWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRNPPPAANPSPQPPPPRVSPSPSPSPSPSYRLGGFPASPQLAPRPPPTEDPAEVFKRNAVAKLVDMAYADAAALRTAREAEVDALFAVQAELRHRGDLVAQGVRKMGEEKEALERRLQDVMMATDVVEAWVTENTRRGNTQATEGAIEPADVLSRQMIECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALSREQFFHRALSAKVHTAQQQAKVASMAARAPQYSS >PVH67253 pep chromosome:PHallii_v3.1:1:59839095:59840288:-1 gene:PAHAL_1G462200 transcript:PVH67253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPPPPPSAGGAQYAQQFLNTALSQRGPSALPYAEDVKWLIRNHLVALAEAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVIWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRNPPPAANPSPQPPPPRVSPSPSPSPSPSYRLGGFPASPQLAPRPPPTEDPAEVFKRNAVAKLVDMAYADAAALRTAREAEVDALFAVQAELRHRGDLVAQGVRKMGEEKEALERRLQDVMMATDVVEAWVTENTRRGNTQATEGAIEPADVLSRQMIECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALSREQFFHRALSAKVHTAQQQAKVASMAARAPQYSS >PVH67251 pep chromosome:PHallii_v3.1:1:59836262:59840288:-1 gene:PAHAL_1G462200 transcript:PVH67251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPPPPPSAGGAQYAQQFLNTALSQRGPSALPYAEDVKWLIRNHLVALAEAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVIWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRNPPPAANPSPQPPPPRVSPSPSPSPSPSYRLGGFPASPQLAPRPPPTEDPAEVFKRNAVAKLVDMAYADAAALRTAREAEVDALFAVQAELRHRGDLVAQGVRKMGEEKEALERRLQDVMMATDVVEAWVTENTRRGNTQATEGAIEPADVLSRQMIECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALSREQFFHRALSAKVHTAQQQAKVASMAARAPQYSS >PVH67252 pep chromosome:PHallii_v3.1:1:59836262:59840383:-1 gene:PAHAL_1G462200 transcript:PVH67252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPPPPPSAGGAQYAQQFLNTALSQRGPSALPYAEDVKWLIRNHLVALAEAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVIWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRNPPPAANPSPQPPPPRVSPSPSPSPSPSYRLGGFPASPQLAPRPPPTEDPAEVFKRNAVAKLVDMAYADAAALRTAREAEVDALFAVQAELRHRGDLVAQGVRKMGEEKEALERRLQDVMMATDVVEAWVTENTRRGNTQATEGAIEPADVLSRQMIECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALSREQFFHRALSAKVHTAQQQAKVASMAARAPQYSS >PAN06689 pep chromosome:PHallii_v3.1:1:47954351:47956409:1 gene:PAHAL_1G281100 transcript:PAN06689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDVHHHQQQQRRRRQEGMELPPGFRFHPTDEELITHYLARKAADPRFAPRAVGEADLNKCEPWDLPARATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPKGGKTGWVMHEYRLDGKHAAAGSSSSLVPSIRAAASKDEWVLCRVFKKSIEPPVVAGGKRSSGACMEVVDVVGPSMSMADDLAACALPPLMDVSGGSAAAMSLSAAAAAIELPPPPHHVTCFSNALEGQFLNPPFLLPSAGPAAADHLAMAASASPFLASMVPTQYDGAAGVGGTVHELLQEGGGWYGKLGERERLSGGASQDTGLTSEVNPAEISSRQHMDHHAASLWGY >PAN04238 pep chromosome:PHallii_v3.1:1:3475753:3482113:1 gene:PAHAL_1G051200 transcript:PAN04238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLTQISQFWGGWGLQIAVYVSLGAHIILIFLARSRRNGTSRLATGLLWLAYQAAEVSAFYALGDLSLSGCDDPAASRQQQLVAFWAPFMLLHLGGPDNVTAYALEDNTLSWRKGFEMATQFLSSSFTLYNYVYLTGSGVLLPASAMMAAAGVARYLEKSCALLRGDLSKMKKSSRRRITAEPEPEPEKKPYYYELNLLSAAGGGGDNERALVNAHKLFPCCKRAMFDSSVDMEMDAADHFPSEKIFTLGWEEMRAVVEMELSLVYDILYTKAAVIHTWRGYLVRLVSPLLTGAGAVLFGWFYPKHDLEPRDVRFTYVMLASAFLLDVMWLLRALASYWAYPYLRNPRSMAAWLLPHAVRHGGWGPSCCWLHRAVACLDPCRWLCGKYLRSYRRWSGVAGRWYNLLHECAARAGASPSGWLEKKKEHQYLGCLFESRQGVEEMLFQRIRQRLLPLCKPDDSAAGASSSNEEAEHYYTMKRITTEWGRVALERRGPMVFKEDQKPYIGLEFQEDVLVWHIGTFIFLWHLRDHHQLINERYMEAIELVSEYLMFLVALRPHMLPGFVLRSLLETTCDSLGTVWNQAHQGHGRASSSTTSSGKEKLAQILYNKIPQGKERKHNKTTWGADKAETRLISDGILLARTLLKPIQRKNPKPENPETLLELIFDVWVDKLIYAGTKCSRESHAKQLSRGGELTTIVWMIVEHVGTFRIGEKIKPKDRRTPLRRPTLPMPMPPPHPPSAYLPWWATQLPFPPATSPQFLYYYPPQWPTQQPSYPEQPPPPSPPSPHVGLEEEDDDDDKQKRRTEARPPPHRTNQRRQSGSGAEPPALLLRRLHSPRNMAMAASSRLLWASRAAAYLRISTFPRAFSTVLKDLKYAETHEWVKVEGDSATVGITDHAQDHLGDVVYVELPEVGISVSQGKNFGAVESVKATSDINSPVSGEVVEVNEKLSEEPGLVNGSPYDKGWIIKVKLSDSGELSSLMDDEKYSKFCEEEDSH >PAN09145 pep chromosome:PHallii_v3.1:1:59840589:59844184:-1 gene:PAHAL_1G462300 transcript:PAN09145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLLLLPSSTSTYSKRVPPPRSRCFASPSSVPFPPRARHSIRLVRAAEQEQPNGAASGGDATANPINNGLPKNRRDILLEYVKNVQPEFMELFIKRAPTQVVEAMRHTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPEEEKDSSDYAPGTQKKVTGEVIRWNKATGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKSLEPQNLKELTSTAGEDVVFAMNAFIKRLLAVSDPEQMKTTVSETSANQLANLLFWLMIVGYSMRNIEVRFDMERVLGSPPKVAELPPGETIQ >PAN06522 pep chromosome:PHallii_v3.1:1:47184402:47190635:-1 gene:PAHAL_1G269300 transcript:PAN06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MWSSSQASTRGVVEMGRVEAGPSHFPKRPAPRNPARINLSRTHAVKPCAAGEHSGISVKCNLGWSPQPSPDLRQHFRGYSSASEAVLTSQSDFRKLFVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQGEALREALCEKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFPEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRGITNPCTLAYQSRVGPVEWLKPYTDETIVELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKNWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQVTTSTWPAKLCISFAWLVKRILLLPDALGLGILCPTK >PAN06521 pep chromosome:PHallii_v3.1:1:47184349:47190635:-1 gene:PAHAL_1G269300 transcript:PAN06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MWSSSQASTRGVVEMGRVEAGPSHFPKRPAPRNPARINLSRTHAVKPCAAGEHSGISVKCNLGWSPQPSPDLRQHFRGYSSASEAVLTSQSDFRKLFVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQGEALREALCEKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFPEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRGITNPCTLAYQSRVGPVEWLKPYTDETIVELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKNWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSKRDELPPPVIVWEWGWTKSAETWNGRAAMLAVLVLLVLEVTTGEGFLHQWGILPLFR >PVH67237 pep chromosome:PHallii_v3.1:1:59638412:59640939:1 gene:PAHAL_1G458000 transcript:PVH67237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTRVIDAAYGPREVQSKGQQGNNKEAFTVPNALHRCGQRLSREPEHSDTLQPTGDPWGLYMFLCHVFPSPLSTTVIVEL >PVH66503 pep chromosome:PHallii_v3.1:1:46878384:46881158:-1 gene:PAHAL_1G265100 transcript:PVH66503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGLAAALELAVVFLVRPLLAVTFVSTLILLSWYVAWRTVLVHVPLVQEIAGLRPKKAAKPKPANRGRFARFYQSQAEAAQRQSKSEGTS >PVH66401 pep chromosome:PHallii_v3.1:1:43070599:43073442:-1 gene:PAHAL_1G234600 transcript:PVH66401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCESSPCRVRLPFSIHLRLTRRRHPPTCFSSSPPARPSASRPRSKTPPSTNRRRSPPAMAPPPTCGPGSLPQLLFQAAADSDLHLFNRNASALGAGRGHLREAVEAVKSPGAGALHVSAGRGRMPVCAYLVEELLVDVNASDDSGSCEIVKASMFKTAVLGGRLGATKH >PVH66402 pep chromosome:PHallii_v3.1:1:43071525:43073425:-1 gene:PAHAL_1G234600 transcript:PVH66402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCESSPCRVRLPFSIHLRLTRRRHPPTCFSSSPPARPSASRPRSKTPPSTNRRRSPPAMAPPPTCGPGSLPQLLFQAAADSDLHLFNRNASALGAGRGHLREAVEAVKSPGAGALHVSAGRGRMPVCAYLVEELLVDVNASDDSEDTPLAYAVRSGTLDTAVSS >PVH66400 pep chromosome:PHallii_v3.1:1:43071861:43073425:-1 gene:PAHAL_1G234600 transcript:PVH66400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCESSPCRVRLPFSIHLRLTRRRHPPTCFSSSPPARPSASRPRSKTPPSTNRRRSPPAMAPPPTCGPGSLPQLLFQAAADSDLHLFNRNASALGAGRGHLREAVEAVKSPGAGALHVSAGRGRMPVCAYLVEELLVDVNASDDSAEIDSSFGSPEAQ >PVH66398 pep chromosome:PHallii_v3.1:1:43065948:43066279:1 gene:PAHAL_1G234400 transcript:PVH66398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQGMGFDMMRYMRSRVWKSVLSLKIRFSDRGRGEYNTIMLSQSQHLLGPV >PAN07619 pep chromosome:PHallii_v3.1:1:52498275:52499222:-1 gene:PAHAL_1G349900 transcript:PAN07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGESGCADAFSKYEALASALPASRQGLGNAPYRKHDGFWYPEHLMAPTLAMRDTFAARPTDVILATMPKSGTTWLKAIVYSVVHRGRHAPARERHPLLATSPHELVPFLHSLYENHRAVHGPARARRCRGPGAASSTCAGTPRTPSSRSATTSIKPEGSEMTPFAEAFDLLCGGVSPFGPVWDHMAEYESVARRGEVMFLRYEHLKEDAVGTVRRLAEFLGCPFTEEEVASGVPEAVVALCSLDRMRSVRDNRDGVHGTGRWSFKNMAFFRKGEVGDWKAHMTPEMAQRLDGIVEEKLRGSGLSLAGN >PAN08590 pep chromosome:PHallii_v3.1:1:57443538:57451989:1 gene:PAHAL_1G422900 transcript:PAN08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAASAAAMEQEAEATCLQSFELYESESRLYILGTNSDKTLWRLLKIDRSEPSELVIDECSTVYSDSEHHDLLRGLDEEHRSTGGVKFVTKFYGIIGFIKFLGPYYMLIITEQKRIGEIFAHPVYQVTRTAMVELANSKTRSTFQSSKDENRYKKILSTLDLRKDFFFSYSYHIMRSLQKNLSDPHQEGWTLYETMFVWNEFLTRRIRNCLRNTLWTVALVHGFFKQDKFSISGKDIMLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVYEAVPGPSEVSSVVQNRGSIPLFWSQETSKLNLKPNIILHEMDDDYEATKLHFENLRTRYGNPIIILNLIKTRERRESILRREFDKAIKIINKSLSEEDHLRFLHWDLHKNSQGKPTNVLDVLLKVAFRALSLTEFFYCQVAPSSETAAHWSTLLSGLEPYLCDDNSNSDNTECSEIVGDISQEDISGSSDSSGNATAEDKVENSELPPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGAIESPELGLEAPLAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIQSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQQGKPALWELESSSGEHNNELSDDHTSTLKRIRSDGSILHESGASISGSGHCHNEPLSASLPDVQSGLQVPNLESDSIHENEVSSGYESGVSHLRYTPTASDILHVPRADPDNDSGDSNFLDLEWLSTSGNSSDERSVATSTPDANLSTENVISGITPEIMENQVAEIQAQKLPDDFVQWVNHGDTFWY >PAN07932 pep chromosome:PHallii_v3.1:1:54032604:54040748:1 gene:PAHAL_1G373900 transcript:PAN07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRDSGHVTTKNLPGLMKKLRGLNEVVSEEEIAAFLSDSYPDSDQEIEFESFLREYLNLQARVSAKEGGGGAGGGGGKTSFLKSTITTLLHNLNQAEKSSYVAHINTYLRDDPFLKKYLPIDPAGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWQRNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPEHSPETTLDTKDPEERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDIKQVTLTQTATRDDVLLSREERAFRMWINSLGVESYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKLATKPPIKLPFRKLENCNQVINIGKQLKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHSQGSQGKEITDADILNWANNKVKASGRTSRMESFKDKSLSNGLFFLELLSAVQPRVVNWKVVTKGEADEDKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILALTASIMYWSLQRQPQSLSETPEQSDPSSMASDAASDVGSEDGASTIAPSESEEVNSLSDSISNLTMDDATSNAPSTENGNVVADS >PAN03905 pep chromosome:PHallii_v3.1:1:1957707:1958420:1 gene:PAHAL_1G027900 transcript:PAN03905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSTTSGVPAPRVAPVVVALLLVVLVCAPCGGGRPMREGVGSGTATATARARASPALDDDVGHWPRGGVATAAGAHRVSTVGGGAPTPPSGPSQNHN >PAN05240 pep chromosome:PHallii_v3.1:1:9869129:9876345:-1 gene:PAHAL_1G124000 transcript:PAN05240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGLVHKSSPLVGEMGEAGHGWWSVNNLRPPFEQQHHPSLFMPSTTATTTAAPSSSSSSPLHSLSSLLLSNHYPLPTTSTSPWQQHDTGSTTTSSLHGQQGLGQQDSWSQLVQGGLATNGEERYKEGQMLFPTTICSETGGSGSYLFSAAATASHGSSTSEEIQLPWGSVHQHHKALQQKAASSPRSSSITSTTSLGSNMLEFSNNSSSSPRECISTVSGSAFKKARTQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHSQIEALSSPYVGGSNGGGGGSISSSSSSKQQHLHEASVHGERHSIFPEDPGQLLHDSALKKRGQPEPDGSYEEGKDLRSRGLCLVPVSCTLDVGVDVVAGPADYWAAAAPAFGMGFGG >PAN05541 pep chromosome:PHallii_v3.1:1:43969632:43970025:-1 gene:PAHAL_1G237900 transcript:PAN05541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNPPPCLHNRHKLKTPDCEDGMGHSLKANNTPSTMTGVRPGIGNTTEIAEMLSELCARIEHMEAISGKLTAWATACVLVSSFFLCFVSLLNYTKP >PAN05542 pep chromosome:PHallii_v3.1:1:43969485:43971034:-1 gene:PAHAL_1G237900 transcript:PAN05542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNPPPCLHNRHKLKTPDCEDGMGHSLKANNTPSTMTGVRPGIGNTTEIAEMLSELCARIEHMEAISGKLTAWATACVLVSSFFLCFVSLLNYTKP >PVH66942 pep chromosome:PHallii_v3.1:1:55158454:55159144:1 gene:PAHAL_1G390100 transcript:PVH66942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRKKNGLCWKCGEKWGHNHKCPPQVSLHVIEELFDALEQSEESDSVDSDEDMVHETVMAVSGTPVNQPAKRRTIKLQGKIGDHDVLILVDSGSVGTFLSEQLVTKLRLGSQSCEPTNFQPDRLRQELNR >PAN06840 pep chromosome:PHallii_v3.1:1:48731393:48733117:-1 gene:PAHAL_1G292100 transcript:PAN06840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELVAVAVRFVREYVRASDLAVAAAALFACSAVRSRLSAKPGAPMLWPVFGIIPALFAHLDDIYDWGAAALARAGGTFPYRGMWGGGSSGVITSVPANVEHVLKTNFANYPKGPYYRERFAELLGGGIFNADGDAWRAQRRAASAEMHSARFIEFSAGTIAHLVRGKLVPLMEALSESGAAVDLQDVLLRFTFDNICAAAFGVDAGCLAEGLPDVPFARAFERATELSLARFVTPPFLWKAKRLLGVGSERALVEATRAVREFADRTVAERRAELRKTGTLAGRCDLLSRLMSSPPPPDCPEGYSDEFLRDFCISFVLAGRDTSSVALAWFFWLLASHPDVESRVLADVVRAGGDALDAGGSSMDYLHAALTESMRLYPPVPADFKEALEDDVLPDGTAVRARQRVIYYTYAMGRDKASWGPDCLEFRPERWLDARGAFAGGAESPYKYAVFNAGPRLCVGKRFAYAQMKAAAAAVLARFRVEVLPGQAEAVKPKLNTTLYMKRGLMVRFAAREQRHEPVPAAAGEE >PAN06873 pep chromosome:PHallii_v3.1:1:48731471:48733117:-1 gene:PAHAL_1G292100 transcript:PAN06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELVAVAVRFVREYVRASDLAVAAAALFACSAVRSRLSAKPGAPMLWPVFGIIPALFAHLDDIYDWGAAALARAGGTFPYRGMWGGGSSGVITSVPANVEHVLKTNFANYPKGPYYRERFAELLGGGIFNADGDAWRAQRRAASAEMHSARFIEFSAGTIAHLVRGKLVPLMEALSESGAAVDLQDVLLRFTFDNICAAAFGVDAGCLAEGLPDVPFARAFERATELSLARFVTPPFLWKAKRLLGVGSERALVEATRAVREFADRTVAERRAELRKTGTLAGRCDLLSRLMSSPPPPDCPEGYSDEFLRDFCISFVLAGRDTSSVALAWFFWLLASHPDVESRVLADVVRAGGDALDAGGSSMDYLHAALTESMRLYPPVPADFKEALEDDVLPDGTAVRARQRVIYYTYAMGRDKASWGPDCLEFRPERWLDARGAFAGGAESPYKYAVFNAGPRLCVGKRFAYAQMKAAAAAVLARFRVEVLPGQAEAVKPKLNTTLYMKRGLMVRFAAREQRHEPVPAAGGCAIKRELPKAAIERDGSCIQN >PAN06839 pep chromosome:PHallii_v3.1:1:48731007:48733246:-1 gene:PAHAL_1G292100 transcript:PAN06839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELVAVAVRFVREYVRASDLAVAAAALFACSAVRSRLSAKPGAPMLWPVFGIIPALFAHLDDIYDWGAAALARAGGTFPYRGMWGGGSSGVITSVPANVEHVLKTNFANYPKGPYYRERFAELLGGGIFNADGDAWRAQRRAASAEMHSARFIEFSAGTIAHLVRGKLVPLMEALSESGAAVDLQDVLLRFTFDNICAAAFGVDAGCLAEGLPDVPFARAFERATELSLARFVTPPFLWKAKRLLGVGSERALVEATRAVREFADRTVAERRAELRKTGTLAGRCDLLSRLMSSPPPPDCPEGYSDEFLRDFCISFVLAGRDTSSVALAWFFWLLASHPDVESRVLADVVRAGGDALDAGGSSMDYLHAALTESMRLYPPVPADFKEALEDDVLPDGTAVRARQRVIYYTYAMGRDKASWGPDCLEFRPERWLDARGAFAGGAESPYKYAVFNAGPRLCVGKRFAYAQMKAAAAAVLARFRVEVLPGQAEAVKPKLNTTLYMKRGLMVRFAAREQRHEPVPAAGEE >PAN07749 pep chromosome:PHallii_v3.1:1:53142210:53143599:1 gene:PAHAL_1G359800 transcript:PAN07749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >PAN08116 pep chromosome:PHallii_v3.1:1:55114722:55115907:-1 gene:PAHAL_1G389200 transcript:PAN08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVAAATLLPVLSLLLLLRTGSCSDDYSAFVYAGCSQGRYAADSQYASGVDSVLTSVANSAPYASYANFTAPSDSSLVGLYQCRSDLPASVCTGCVRSAISRLSSLCAWSAGGAVQLRACFVRYGNDSFLGKPDTTVLFKKCGGTPGDSGGVAMRDSALGALVAAAAPEGGGYRAGGSGAVQAMSQCVGDLGAKACSDCVSAATTQLKAGCGYATAGEVYLGKCYARFWVNGGGGFSSGAGRHGFGLGHAVATGFFASLAYVSLAT >PAN07343 pep chromosome:PHallii_v3.1:1:51056882:51058740:-1 gene:PAHAL_1G328200 transcript:PAN07343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRCGLLPCFRGDSGEAGRLDPRVADEPGAGASSVPAGKGGGGAARRFAWAEIESVTAGFSSRVIGQGGFSTVYLACLSSSRLGAVKVQRSSERLHRAFCQELGVLLSLRHPHVVRLLGYCDERDEGVLVFEYAPNGDLHERLHGRGKPALPWARRMAIAFQVAMALEHLHEARDPAVIHGDIKASNVLLDASLDAKLCDFGFAHVGFSAALQPPPEAAASRASARPVMGSPGYVDPHFLRSGVATKKSDVYSYGVLLLELLTGREAICADTGSRLTAAVGPTLSEGKVADVVDRRLGDEYDAHEAATVASLALRCVSDGPGLRPSMAEVVRELQEKTTALIAAAGSKPAGKSPS >PAN03569 pep chromosome:PHallii_v3.1:1:659302:662782:-1 gene:PAHAL_1G007400 transcript:PAN03569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARAPVLVLAVTLSWILATGVGAAGGDERAALLALKAGFVDSLGALADWNDGGRASSHCSWTGVGCNAAGLVDRLELSGKNLSGKVTDDVLRLPALTVLNLSSNAFAVALPKSFAALSNLQVFDVSQNSFDGAFPAGLGSCADLVTVNASGNNFVGALPADLANATSLETIDLRGSFFGGDIPAAYRSLTKLKFLGLSGNNITGKIPPELGELESLESLIIGYNVLEGGIPPELGNLANLQYLDLAVGSLDGPIPAELGKLPALTSLYLYKNNLEGKIPPELGNISTLVFLDLSDNLLTGPIPDEISQLSHLRLLNLMCNHLDGTVPATIGDMPSLEVLELWNNSLTGQLPPSLGKSSPLQWVDVSSNSFTGPVPAGICDGKALAKLIMFNNGFTGGIPAGLASCASLVRVRMQSNRLTGAIPIGFGKLPSLQRLELAGNDLTGEIPGDLASSTSLSFIDFSHNHLQYSLPSSLFTIPTLQSFLASDNIISGQLPDEFQDCPGLAALDLSNNRLASTIPSSLASCQRLVRLNLRHNRLTGEIPKALAMMPAMAILDLSSNSLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNPGLCGGVLPPCYGSRDTGLAARPRGSARLKHIAVGWLVGMLAVVAAFTALLGGRYAYRRWYVDGAGCCDDENLGAESGAWPWRLTAFQRLGFTSTDVLACIKEANVVGMGATGVVYKAELPRARAVIAVKKLWRPAPMDGDAAAANELTADVLKEVGLLGRLRHRNIVRLLGYMHNDTDAMMLYEFMPNGSLWEALHGPPEKRTLVDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANMEARIADFGLARALARTNESVSVVAGSYGYIAPEYGYTLKVDQKSDIYSYGVVLMELITGRRAVEAEFGEGQDIVGWVREKIRSNTVEEHLDAHVGGRCAHVREEMLLVLRIAVLCTARAPRDRPSMRDVITMLGEAKPRRKSGSSGGKDGAAAAPAVVVDKDRPVFSTTPDSDYA >PVH66056 pep chromosome:PHallii_v3.1:1:12364268:12364891:-1 gene:PAHAL_1G136500 transcript:PVH66056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGHPIGLFPTIDSSDPEWNFRIAHYGHMLGDSAEETLCGTIRFMNVQQHYQILLRRGMDQMTGIAQGHYRNVDRQVTQIEELQALVTEKEEIIAARDETILHREDQINEIDAIITQRNTIIEFLQEQIHDLILEVDDAHAHINELQQQPVSPALPVVPEGGEEDPEEIERVSDLDSEHGDPEPNPQPDHSSSGSQSSVGDLDDF >PAN03658 pep chromosome:PHallii_v3.1:1:1152655:1156855:1 gene:PAHAL_1G013500 transcript:PAN03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNICGGSGKAHVAEDFRPSSPGTTMTSKTSGSITTSQSTTGKLSSVGSSFMASAGSRSTGSGFEVGGKYPDGQILEAPNLRTFTFIELKAATKNFRPDSVLGEGGFGRVYKGWVDEKTMTPTKNGTGMVVAVKKLNAESLQGYEEWQSEINFLGRLSHLNLVKLLGYCWEDKELLLVYEFMAKGSLENHLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGQRALDPNRPNGQLSLADWAKPYLADRRKLARLMDPRFEGQYNSKQAFQAAQLTLNCLAGEPRSRPSMKEVVEMLEQIEAMKTRAREARGGGSGGSSRDRHHGRSGGAHQRSSPRSGGEPRGRGSRAANGHAAKAR >PAN03720 pep chromosome:PHallii_v3.1:1:1341165:1344330:1 gene:PAHAL_1G017100 transcript:PAN03720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGAPPEPEPPAVAAARKLHHLLRSRDLRPALSYLRTLPSPLTLLPNHALNALLRALAAAGRVRAAASLFRSIPSPTPHSFNSLLAALLRRGRRRGASALLAAFLRSPDASPDVTTLNTLLHGLSTASPRPSAPTLLKLFRFLPETYAFAPDAITYNSLLSALCRAGDLATARKLFDGMRASEGDGQSSAFPNVVTYTTMIRAYCARGLADEALALFKVMAANGVLPNRITYNTMVQGFCEAGRMELVKKVFEMDSFMPDTCTFNTLMAAHCREGRIEDAIKVFDQMTELHVRRDSASYSMVIRALCESDEFGRAEKLVDEILEKEVLKKRGGSVPLIAAYNPVFMYFCENGKAKKARVLFGQLLDRRSKVDFQAFKTLILGHCKEGNFAEGYELVLSMLKRDLVPDNECYIAVTDGFMQKGRMKSAWEALHRMLNSGLQPSTSTFHSVLLGLLKKDGCAKEAADLIEIMLERKIRQNVDLSTNMIDVLFKSDLNDRAYKITKRLYDNGYYIKMEKVIATLCEDKKFIDAAEFTLFSLQKHHELGVAVHSLVLDGLCMDGRAAEAFRLFYELIENGSTSAVAAPRSLVLLHHALKEAGKMKEADFVGKQMRRATARIRQRS >PAN03718 pep chromosome:PHallii_v3.1:1:1341190:1344330:1 gene:PAHAL_1G017100 transcript:PAN03718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGAPPEPEPPAVAAARKLHHLLRSRDLRPALSYLRTLPSPLTLLPNHALNALLRALAAAGRVRAAASLFRSIPSPTPHSFNSLLAALLRRGRRRGASALLAAFLRSPDASPDVTTLNTLLHGLSTASPRPSAPTLLKLFRFLPETYAFAPDAITYNSLLSALCRAGDLATARKLFDGMRASEGDGQSSAFPNVVTYTTMIRAYCARGLADEALALFKVMAANGVLPNRITYNTMVQGFCEAGRMELVKKVFEMDSFMPDTCTFNTLMAAHCREGRIEDAIKVFDQMTELHVRRDSASYSMVIRALCESDEFGRAEKLVDEILEKEVLKKRGGSVPLIAAYNPVFMYFCENGKAKKARVLFGQLLDRRSKVDFQAFKTLILGHCKEGNFAEGYELVLSMLKRDLVPDNECYIAVTDGFMQKGRMKSAWEALHRMLNSGLQPSTSTFHSVLLGLLKKDGCAKEAADLIEIMLERKIRQNVDLSTNMIDVLFKSDLNDRAYKITKRLYDNGYYIKMEKVIATLCEDKKFIDAAEFTLFSLQKHHELGVAVHSLVLDGLCMDGRAAEAFRLFYELIENGSTSAVAAPRSLVLLHHALKEAGKMKEADFVGKQMRRATARIRQRS >PAN03721 pep chromosome:PHallii_v3.1:1:1341165:1344330:1 gene:PAHAL_1G017100 transcript:PAN03721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGAPPEPEPPAVAAARKLHHLLRSRDLRPALSYLRTLPSPLTLLPNHALNALLRALAAAGRVRAAASLFRSIPSPTPHSFNSLLAALLRRGRRRGASALLAAFLRSPDASPDVTTLNTLLHGLSTASPRPSAPTLLKLFRFLPETYAFAPDAITYNSLLSALCRAGDLATARKLFDGMRASEGDGQSSAFPNVVTYTTMIRAYCARGLADEALALFKVMAANGVLPNRITYNTMVQGFCEAGRMELVKKVFEMDSFMPDTCTFNTLMAAHCREGRIEDAIKVFDQMTELHVRRDSASYSMVIRALCESDEFGRAEKLVDEILEKEVLKKRGGSVPLIAAYNPVFMYFCENGKAKKARVLFGQLLDRRSKVDFQAFKTLILGHCKEGNFAEGYELVLSMLKRDLVPDNECYIAVTDGFMQKGRMKSAWEALHRMLNSGLQPSTSTFHSVLLGLLKKDGCAKEAADLIEIMLERKIRQNVDLSTNMIDVLFKSDLNDRAYKITKRLYDNGYYIKMEKVIATLCEDKKFIDAAEFTLFSLQKHHELGVAVHSLVLDGLCMDGRAAEAFRLFYELIENGSTSAVAAPRSLVLLHHALKEAGKMKEADFVGKQMRRATARIRQRS >PAN03719 pep chromosome:PHallii_v3.1:1:1341157:1344330:1 gene:PAHAL_1G017100 transcript:PAN03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGAPPEPEPPAVAAARKLHHLLRSRDLRPALSYLRTLPSPLTLLPNHALNALLRALAAAGRVRAAASLFRSIPSPTPHSFNSLLAALLRRGRRRGASALLAAFLRSPDASPDVTTLNTLLHGLSTASPRPSAPTLLKLFRFLPETYAFAPDAITYNSLLSALCRAGDLATARKLFDGMRASEGDGQSSAFPNVVTYTTMIRAYCARGLADEALALFKVMAANGVLPNRITYNTMVQGFCEAGRMELVKKVFEMDSFMPDTCTFNTLMAAHCREGRIEDAIKVFDQMTELHVRRDSASYSMVIRALCESDEFGRAEKLVDEILEKEVLKKRGGSVPLIAAYNPVFMYFCENGKAKKARVLFGQLLDRRSKVDFQAFKTLILGHCKEGNFAEGYELVLSMLKRDLVPDNECYIAVTDGFMQKGRMKSAWEALHRMLNSGLQPSTSTFHSVLLGLLKKDGCAKEAADLIEIMLERKIRQNVDLSTNMIDVLFKSDLNDRAYKITKRLYDNGYYIKMEKVIATLCEDKKFIDAAEFTLFSLQKHHELGVAVHSLVLDGLCMDGRAAEAFRLFYELIENGSTSAVAAPRSLVLLHHALKEAGKMKEADFVGKQMRRATARIRQRS >PAN06032 pep chromosome:PHallii_v3.1:1:37850734:37852650:1 gene:PAHAL_1G220200 transcript:PAN06032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSPNAKTDRHTAAALAAAAALNPALVRETLKKVDRCMARLQELQYTVAGDAKVVSGVSLSPRSTRGYLRTSLQCKQETVRMRSGASAQKRSPNGKFGGAGAGAGGESAQWRRMSLPAMLLGETVLEIVQASQFARDIVAVAGGTNREPPRTPKPAPRTRKPAAAEQTPLRARRALEKQSHRGAAPARGADAVTPPLRGRVRSRIQFKTASPVALVRPSVSANRVSPKNRPWAKKAVMFPNPTFHASTSAAADACATPSPSKKQKRSYKTRSPVATRQTPHKFLVKTPPSALGSKLRSHGKALPARSAAVSPPPPPKAQATPAKIRRCSFSPSRLATRLVSPIKARLSLNRSRDSGVGAGGGPMSGLKQRPGVSMTVRTVSSRISSR >PAN07615 pep chromosome:PHallii_v3.1:1:52412127:52413496:1 gene:PAHAL_1G349600 transcript:PAN07615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLAPAAAAASSLRAPAYVAASSGRRSVLPAAVKATASSGSGSATSAHHILSSLRMAASAAVLLAATSPALACTPSAPPPPPTPLTAAAEPDDPVQDASLPFEQLIVETAALARAGDAEAARARLSAAGGGESCARLLAAQALFVDGKVDEAIAAFEELAREDPADYRPLFCQSVLYRVLGREAEAESMLQRCREVGCDCGALLVDPATVVLPAGAGAGDAEEVEPEPAKV >PVH65819 pep chromosome:PHallii_v3.1:1:5879730:5880766:1 gene:PAHAL_1G084500 transcript:PVH65819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVRLRLDLQVILVLCLLVPVFLQDHVTSYDGGEPSMCKHVNCEESEMEVPSTEKQESYRWIARKLTRPLQIPIMSSIAVRRKLAPGTHPPAWDGRHPIHVTPGSHN >PAN03993 pep chromosome:PHallii_v3.1:1:2323776:2328351:1 gene:PAHAL_1G033200 transcript:PAN03993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFARATASPSSAPALLTGERLVVFLFAARVALAAPAGLAAPLAALAAAALAVELAVDGSAPASSPLRRFRTRPGASSGILLGATTLPSVMLSRLIQRSRLLSADPNGPEEFAYLEMQYWAASISCLSVLAFFLWHLRRAPSNGISKYFKYGSLSIALYLMTYFLSFLLKTDGGLMVMTNMVYMLCHGVAAVILIKHILEKFPSCSSFGEALLVSSGLVLYFGDMLAHTLSKMDFFMSSKEFIHTPGTRSDMTTIIQGNLLGLFLLPLLYKSSLQFWDYCGMEGKQQTQAAEEHTRKRIGSAVFYISLLVVLMFLVPSWTHLVQGLKVHPFVWIINYMFTDSHERLALCAYWICVIYVSVRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPAFLDLAFGAAFAVFLTLEMIRIWEIYPLGHVVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGLNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILVSLLASSGYILSQNWLSLLIAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >PVH65478 pep chromosome:PHallii_v3.1:1:460527:463863:-1 gene:PAHAL_1G004800 transcript:PVH65478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPNIFTYNALLTTLAHARLLGDMDALFASMPERDVVSYNALIAGFSGAGSHARAAGAYRALLREDTAIRPSRITMSAMVMAASALGDRALGRQFHCQILRLGFGAYAFVGSPLVDMYAKMGLVGDAKRVFDELEGKNVVMYNTMITGLLRCKMVQEARRLFEVMTDRDSITWTTMVTGLTQNGLESEALEVFRRMRVQGIAIDQYTFGSTLTACGALSALEQGKQIHTYTIRTCYDDNVFVGSALVDMYSKCRSIRLAETVFRRMTFRNIISWTAMIVGYGQNGCSEEAVRAFSEMQRDGVDPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMPYITVSNALVTLYGKCGSIEDAHRLFDEMSFHDQVSWTALVSGYAQFGKAKETIDLFEKMLSKGVKPDGVTFIGVLSACSRAGFVEKGRSYFYSMQKDHGIVPIDDHYTCMIDLYSRSGRLKEAEEFIKQMPMRPDAIGWGTLLSACRLRGDMEIGKWAAENLLEMDPQNPASYVLLCSMHAAKGQWNEVAHLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSKGIYEKLEWLNSKMVEEGYKPDVSSVLHDVADADKVHMLSHHSEKLAIAFGLMFVPQEMPIRIVKNLRVCLDCHNATKFISKITGRDILVRDAVRFHKFSNGLCSCGDFW >PAN04070 pep chromosome:PHallii_v3.1:1:2716932:2717657:-1 gene:PAHAL_1G039400 transcript:PAN04070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILRSASLPSSLRSKEINIEEHLQSLKASISSATTAKVVDGIRRLGGLYNSIEEMICSPSGQVSLCRPQQRKAVEQELEKSLIFLDLCNAMQENFSELKTSIQEMQLVIKRGDDSALQSKIQSCIRLAKKVQKQLKKISKKPTTVDQDSCMVIKQLAEAREIGISMLESLPHLLSKQIATPRSSKWSLASKTFRKRRVTCKEEQSQEMELVIVDLDNGVETLFRRLIQSRVSLLNSLSL >PAN07018 pep chromosome:PHallii_v3.1:1:49448019:49454319:-1 gene:PAHAL_1G305400 transcript:PAN07018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRKRSQLVDEGDSLQASPRFSKTSSLKWLLLSLPRTNSDVSRKGQGEGPGRCPSLMELCVARVCKDMDKYSSFMMLPRDLSQQIFNKLVESNCLTEASLQTFRDCALQDICLGEYPGVKDAWMEVVASQRNSLLSLDISCSEVTDSGIDLLRDCSSIQSLACNYCDQISEHGLGMLSGLSNISSLSFKRSNGVTAEGMRAIANLINLVNLDLEGCLKIHGGLIHLKDLTKLESLNLRYCNYIADSDIKYLTDLTNLKDLQLSCCRVTDLGVSYLRGLSKLTHLNLEGCPVTPACLEAISGLASLVSLNLNRCGIYDDGFENFEGLKKLKVLNLGFNYITDACLVHLKELINLESLNLDSCKIGDEGLQHLKGLVLLQSLELSDTEVGNNGLQHLSGLRNLQSINLSFTLVSDIGMNKISMLSALKSVNLDNRQITDVGLAALTSLTGLTHLDLFGAHITDYGANCFRCFKNLKSLEVCGGFMTDAGVKNIKDLKALTLLNLSQNVNLTDKTLELISGLIALVNLNVSNSRVSNAGLKHLKDLQNLRLLSLDSTRVTANEMKKLQATTLPNLISMRPE >PAN07017 pep chromosome:PHallii_v3.1:1:49447632:49455641:-1 gene:PAHAL_1G305400 transcript:PAN07017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRKRSQLVDEGDSLQASPRFSKTSSLKWLLLSLPRTNSDVSRKGQGEGPGRCPSLMELCVARVCKDMDKYSSFMMLPRDLSQQIFNKLVESNCLTEASLQTFRDCALQDICLGEYPGVKDAWMEVVASQRNSLLSLDISCSEVTDSGIDLLRDCSSIQSLACNYCDQISEHGLGMLSGLSNISSLSFKRSNGVTAEGMRAIANLINLVNLDLEGCLKIHGGLIHLKDLTKLESLNLRYCNYIADSDIKYLTDLTNLKDLQLSCCRVTDLGVSYLRGLSKLTHLNLEGCPVTPACLEAISGLASLVSLNLNRCGIYDDGFENFEGLKKLKVLNLGFNYITDACLVHLKELINLESLNLDSCKIGDEGLQHLKGLVLLQSLELSDTEVGNNGLQHLSGLRNLQSINLSFTLVSDIGMNKISMLSALKSVNLDNRQITDVGLAALTSLTGLTHLDLFGAHITDYGANCFRCFKNLKSLEVCGGFMTDAGVKNIKDLKALTLLNLSQNVNLTDKTLELISGLIALVNLNVSNSRVSNAGLKHLKDLQNLRLLSLDSTRVTANEMKKLQATTLPNLISMRPE >PAN07626 pep chromosome:PHallii_v3.1:1:52509089:52510279:-1 gene:PAHAL_1G350200 transcript:PAN07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYFLFRGGRPEAAACEEQEEDIGSPSTSSGVSSSGFSSELDDDASSSSSGSGSGRFEMSGLMTQLPFKRGLSRFFDGRSQSFASLAAVGSLEDLAKPPRKRLKPSRSCGGGLDAHRGRLLSPRGHCAKPAAAAAAGARKAAVRTGALAVLAAAAPRRPPLAAAPRPEGVAGNVLVVS >PVH66504 pep chromosome:PHallii_v3.1:1:46927361:46928817:-1 gene:PAHAL_1G265700 transcript:PVH66504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEATARSRAEVDTSRPFRSVRQAVEVFGERYAGGGNGSGSSNASSESSVKLSAPPAASSSMVLELDCLKKLEEDLAEAKGELVELRQRQAQMEVAVSSLSAQFSRGLAVFSGLGKGKDLAVVGAPAAAIGEDEYSCHGRVRSDRWDESRAEEWMASLEYLPSLSEALAIKMIEDDLGGDGKGSKVKNSKNKAARKKHKKQRSGISLVGGMFCSKKAKSRM >PAN06611 pep chromosome:PHallii_v3.1:1:47591016:47591512:-1 gene:PAHAL_1G276000 transcript:PAN06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDDEKKVRLRSKDGEVFEGMIDEGCAADGVVELPNVAAATLSRVLEHVERHFDSDDSHYPSSSFNPSDDDTLARFDEELVGVDNDTLFHLLQAANFLGIDKLLDLTCKAVAEQMRGRTPDEIREKFHSVNDYTKSLVGVRSRLNSIV >PAN04241 pep chromosome:PHallii_v3.1:1:3485900:3487984:-1 gene:PAHAL_1G051500 transcript:PAN04241 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPACSLKELIEQYQHATNTHFEEINQDQQIFVEMTRMKNEMDKLETGIRRYTGDDLSSLTLDDISDLEQQLEYSVSKVRARKHQLLNQQLDNLRRKEHILEDQNTFLYRMINENQQAAALQGGEVKLGEMAPLAMLPPPAFAPPHSGCYYGGESSSTALQLTPAAPQLHPDVGFRLQPTQPNLQDPACGGLHGHGLQLW >PAN04240 pep chromosome:PHallii_v3.1:1:3485428:3488085:-1 gene:PAHAL_1G051500 transcript:PAN04240 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPACSLKELIEQYQHATNTHFEEINQDQQIFVEMTRMKNEMDKLETGIRRYTGDDLSSLTLDDISDLEQQLEYSVSKVRARKHQLLNQQLDNLRRKEHILEDQNTFLYRMINENQQAAALQGGEVKLGEMAPLAMLPPPAFAPPHSGCYYGGESSSTALQLTPAAPQLHPDVGFRLQPTQPNLQDPACGGLHGHGLQL >PAN05963 pep chromosome:PHallii_v3.1:1:27194606:27195548:1 gene:PAHAL_1G186400 transcript:PAN05963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMQQRVDTGRGGDAPASAQGQQSCPAPDKDQHQHQPPPPPPQPSSLNPTAARLLREAIVSQPAPVTGSSDILAFARSVDRADTPLE >PAN06449 pep chromosome:PHallii_v3.1:1:46861390:46868439:1 gene:PAHAL_1G264800 transcript:PAN06449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARRHRHRFLAALVGLRPAAAGFSTAPSQGCPLHAALARRGAPAAAAFALYSRIRAAASPTPYTFSLLLASLASSSPRAPSPAAGGCDGLAAAGLAHAQALKCGALAHTVVTNCLLKLYCALGLLPDARRVFDTTGAAALDAVSWNTIVSGYGKSGDLEAARELFVRMPDRSLVSWSAMVDACIRAEQFSEALRVFDRMMEEGFRPDAVVLVSMLKACAHLGAVERGRWVHRYLEAEGFGRRPGNVMLETALVDMYSKCGCMEEAWQVFDGVRSHDVVLWNAMIGGLATNGHGKRAIELFRRMLEKGFVPNESTFVVVLCACTHAGRVDEGKQVFRSMRDHGVEPRREHYGCLADLLGRAGLVEEAEAVLLDMPMEPHASQWGSLMSSCLMHNNIVVGERVGKKLIELEPDDGGRYVVLFNLYAVNGLWEDAKAVRQMMEERGAKKETGLSFIEWNGLVHEFMSGDTRHPQTRMIYALLEDMERRLQLIGYVKDTSRVLIDMDDEEDKGSTLSYHSERLALAFGILNIPHDMPIRIVKNLRVCRDCHVHAKLVSKLYQREIIVRDRHRFHLFRDGVCSCNDYW >PVH66268 pep chromosome:PHallii_v3.1:1:28204368:28205768:1 gene:PAHAL_1G190100 transcript:PVH66268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDRSCGRGGEARDWIRPDQSRCSHALHSAPPLHRLPLHRAALPVYSGECSSAERGTLGIRADEAGPPIRHPRHRIPASGRLRGRWRSNGSTGSIVGVEDRGHSVLRRHGAVRGAVVDIPAGGACAGVGGATDQPAPGPADEDWGRSAPRRHGAVQRLVVASVEAAAIESWRSLWVGVRVATGRGPRMKLRTARLVSGVDADEAGVGVGNLGSAPFAALVWTRGIQPWRGQKSTGRGGVARAPSRRGGAAGTVAASIQAFLTRRPR >PAN07497 pep chromosome:PHallii_v3.1:1:51817722:51818842:1 gene:PAHAL_1G340600 transcript:PAN07497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVWAVSLAVASLAIGMLGVLGVWLCYLFDAVARGRAPRTPPPTPQAASEEEEDGKNGLSESELMRLGGVAVLEPAEGGEEEEEGEALCPICLDAMAPGRAVRVLPGCNRAFHQDCVDRWLAISPRCPVCNVWAAPPRSPASSPLAPKTGWDS >PVH66878 pep chromosome:PHallii_v3.1:1:54045985:54048194:1 gene:PAHAL_1G374000 transcript:PVH66878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVERDLHMSRGDGQTSYASNSRLQEKSILKTRPVLHEAVAAARASASLSSAGAIVVADLGCSSGPNTLLVVSEVLDAVAAGRREAELLVTGGQQPPPPVQHVQFFLNDLPGNDFNLVFQSLELFKKLAARDKGDSLPPYYVAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKARTDLTNQQVVPEELARGTVLNEGNIYIWEATPPSVVKLYRKQFQEDFSLFLKLRHKELASNGQMVLAFLGRKNQDVLRGEVSYMWGLLAQALQSLVKERRVEKEKLDSFNLPFYAPSVDEVRDVIRQSEAFDINHIHLFESNWDPHDDLDDSDLVLDSAQSGVNVAQSIRAVIEPLVAHHFGEHILDDLFEIYARNVAAHLRKVKTKYPVIVLSLAARRAPKYQANGDCYSLFAHGQFM >PAN07934 pep chromosome:PHallii_v3.1:1:54045777:54048194:1 gene:PAHAL_1G374000 transcript:PAN07934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVERDLHMSRGDGQTSYASNSRLQEKSILKTRPVLHEAVAAARASASLSSAGAIVVADLGCSSGPNTLLVVSEVLDAVAAGRREAELLVTGGQQPPPPVQHVQFFLNDLPGNDFNLVFQSLELFKKLAARDKGDSLPPYYVAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKVPEELARGTVLNEGNIYIWEATPPSVVKLYRKQFQEDFSLFLKLRHKELASNGQMVLAFLGRKNQDVLRGEVSYMWGLLAQALQSLVKERRVEKEKLDSFNLPFYAPSVDEVRDVIRQSEAFDINHIHLFESNWDPHDDLDDSDLVLDSAQSGVNVAQSIRAVIEPLVAHHFGEHILDDLFEIYARNVAAHLRKVKTKYPVIVLSLAARRAPKYQANGDCYSLFAHGQFM >PAN07404 pep chromosome:PHallii_v3.1:1:51334554:51338089:-1 gene:PAHAL_1G333000 transcript:PAN07404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGAAEADTPSQEPVRKGRSNTILLPIVGILLAYLLYRFLRPRLRRLRLDRYVPSGARLPGWLRRRAPSGGAVLPYFAPIADRLGALPYLGPFAERLGVGPQGGYGYGYGHGGGGAQALVKFPGGEALSVAAILEAPGEVVAKSAHSTLYRAAMRSGEAAVLLRFVRPACAVGADEAYAAAGRIGAVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLHRFLQEGIAESQRWSIVCNLSLGIARGLDHLHTGLEKPMVHGNLKTSNILLDASNECRISDYGLYLLLNPTAAQEMLEASAAQGYKAPELIKMRDATRESDIYSLGVVLLEMLAQKEHADDGRPNPRDILLPASFKNLVLERKISEAFSSDLARHCRRSGKERNLNAYFELATACCSPSPSLRPNTKHVLKRLEEIAR >PAN04827 pep chromosome:PHallii_v3.1:1:6711546:6712081:1 gene:PAHAL_1G094200 transcript:PAN04827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRNLIELKRPESGAEDFTHLLSTVQEGTNDCWLLDGG >PAN05829 pep chromosome:PHallii_v3.1:1:35415680:35417112:1 gene:PAHAL_1G211500 transcript:PAN05829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHSVVTVPLAAAFAMLYARFVTTFVGPGLSRLLFLAPVLTLLFILPFAAPLYSTRGTAAFFLAWLGEFKLLLLASGRGPLDPALHPVPFVFTATLPVKLLRHSPDAAAVAEKDSLPLLSSSIKVAIMVALLQVFHGKDQMHPYATFALYGIVIYCFLDFLLPCLAALGRALGMGLEPQFDKPYLSTSLQDFWGRRWNLMASAVLRPAVYIPVRARLGAPAGVLATFLVSGLMHEVIAYYITFQLPTGQLTAFFLLHGASMCAERWCARRWPNARLPRVVATPLVVLFVLSTALWLFFPPLFGDGMDDRFIAEFNALLASLVDAGGRLLRLAGIAR >PAN08336 pep chromosome:PHallii_v3.1:1:56258584:56260508:1 gene:PAHAL_1G405300 transcript:PAN08336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLPSRLRHLRCLLGAAPLPTLGATHSPNPSSFRPPHLTRILPLRFHPPILPARRLFSEHAILPTHLQDERFAALSDSIYDAVIKTEAESSEGTEAALDALGAELTTPLVADVLHRLRYEEKLAFRFFAWASHQDGYSHEPATYNDIIDILSGTRYKSRQFGVLCDVLDHMKRHGTRSVPVEDLLAILRAYTEKHLTHMRKLAKKRRVRMRTPPETDALNVLLDAFCKCGMVKEAEAVFGRVKRRLLGNAETYSILFFGWCRARDPKKAMKVLEEMIQMKYNPESFTYIAAIDSFCSAGLVSEARELFEFMRTEGSMISSPTAKTYSIMIVALAKADRMDECFELISDMRSCGCMPDVSTYKDLIEGMCLVGKLDAAYRVLEEMGKAGFPPDIVTYNCFLKVLCSLRKADDALELCERMIEAHCEPSVHTYNMLMVMFFEMGEAHRALDIWHEMDRRGCKRAIDTYEIMIDGLFDCGRTEDASALLDEVINRDMKLSYKKFDAIMLRLSAVGDLGAIHRLSEHMRRFYNVAMSRRFAITQKKKSIGQRRK >PVH66969 pep chromosome:PHallii_v3.1:1:55609440:55611738:-1 gene:PAHAL_1G396900 transcript:PVH66969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAADQHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHELHAVDAAEVVEWIMSCYHPESGGFGGNVGHNPHVLYTLSAVQVLCLFDRLDVLDVDKVADYVSGLQNEDGSFSGDVWGEVDTRFSYIALRTLSLLHRLHKINMQKAVDFIVSCKNLDGGFGAMPGGESNAGQIFCCVGALAIAGSLHHIDRDLLGWWLCEHQCKDGGLNGWPEKLADVCYSCGCYQA >PAN03673 pep chromosome:PHallii_v3.1:1:1202623:1203704:-1 gene:PAHAL_1G014500 transcript:PAN03673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGKLKPLSDGTASFLRDYDVPATSAFLSSLRHCPVMERIETLIQATGPLVLRALCRRHLWAGRATEGAGREPRLLAEEHGAAGVGNQRCLRGGRSGRRSEPSLPAGGGREASGVQEWHDGTTGRQKRWKKKQFWERRTAAQI >PAN07608 pep chromosome:PHallii_v3.1:1:52371146:52374476:-1 gene:PAHAL_1G348900 transcript:PAN07608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM13 [Source:Projected from Arabidopsis thaliana (AT1G61570) UniProtKB/Swiss-Prot;Acc:Q9XH48] MDSFSSPSLSSSGSSPNPEAVMEQIKAQLAQAYAQEFLETVGNKCFEKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSSQR >PVH66485 pep chromosome:PHallii_v3.1:1:46463624:46464052:1 gene:PAHAL_1G259900 transcript:PVH66485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAACGGRSEQARRRRSSLAVCDRPSTKRKRPVVRVERRPGKATRIPTAPPVLQLGCRARCRLPATPRHGLSALEQRKENLAAFSRFWMCECGWLISWQPVDFIRRIYVTVFPFFTISF >PVH66381 pep chromosome:PHallii_v3.1:1:41938900:41939416:1 gene:PAHAL_1G230400 transcript:PVH66381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRLCGPPSIPLSFILTNVLPRRILNALATALHGSSRTAPSIQRLRLGLLGSLIPFAPLAFVSQCQCRSSRVLSPLVFFSISMHFTTPPEIPSAPTVLQLGSFHRLSRVEPWDLTADLKSHLQTLYAQSFRITLASSVLPWLLAQS >PAN06970 pep chromosome:PHallii_v3.1:1:49273820:49276190:1 gene:PAHAL_1G302500 transcript:PAN06970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAELEQKPAVGYWAVAGARPCDACAAEPARLHCRADGAFLCPGCDARAHGAGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGALADAPQPFPSPAFAAAGAQSQGDAAADDDGSNEAEAASWLLPEPDNSHEDSAAATDAFFADSDAYLGVDLDFARSMDGIKAIGVPVAPPELDIASSGFYYPEHCMNHSVSSSEVAVVPDALAGGVPAAPAAPVASRGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRCSAEAEGEDDALLEHEEGACFSPAVSAPAASDGVVPSFC >PAN09108 pep chromosome:PHallii_v3.1:1:59683028:59685241:-1 gene:PAHAL_1G459200 transcript:PAN09108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFN >PAN04310 pep chromosome:PHallii_v3.1:1:3857473:3868535:-1 gene:PAHAL_1G057100 transcript:PAN04310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAALQYENQKLVQQLEAQKSEMHALEGKFKELRDEQCSYDKTLISLNKMWNQLIDDLVLLGVRAGGDLGNLQALDHEELSEDSFESCPSEEIFLLRLLKSSNFKHNNENSLLEFVEETLAFRRSVTVTLMKSLQEAICSHQARSEYLALAFNGQKSNEDVIVALQNHNDHLKEVVENASQAISIINEKHKRYLDEIEASKSNHSRELQEIKRISGELEESMAELELSRRKLVVLQLQRHGSVMDASDANAVNGGISTDKSSDKSMSWQDLKDAVDAAKTLAGNRLLELHQTQEDNLILSKELGDLEGQLKDENYVLVSKPYAILNDQLQNLNAEIERCRGLVEVLQNDKDQLMQKEKEICAKAESFDSIKQTIATYETKIEELENQIQIFISEKNDLETKVEETLQDSGKKDFKNEIHVMAAALSNELGMMENQLSRSKDAASEALALREQAESLTSLVAKKIEEQKVISDTYNSQLIEIKSLKALVEELEKEKQELQFIADMYAKECSESRTIADIEESENRARNQAEYLKSNLEEHSLELRVKAATEAEAACQQRLSFAEAELEELRAKVDASERDVVELKEAIRIKEAEGDAYISDIETIGQAYEDMQTQNQHLLEQLADREDFNIKLVSDSVKMKQASSSLLSEKLMLEKQLQQVNTSLESSKLKMIRGEEQMKICVAQAIKTSAENRHLTISLERTALEVSNTEKELKWLRSSVGSSEKEYDQTQQKISELRILLEHERSERRRLEEQYEEVKNEVMELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLELRHRKCPGCGTPFGQNDVREVKI >PAN04309 pep chromosome:PHallii_v3.1:1:3857692:3865215:-1 gene:PAHAL_1G057100 transcript:PAN04309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQEAICSHQARSEYLALAFNGQKSNEDVIVALQNHNDHLKEVVENASQAISIINEKHKRYLDEIEASKSNHSRELQEIKRISGELEESMAELELSRRKLVVLQLQRHGSVMDASDANAVNGGISTDKSSDKSMSWQDLKDAVDAAKTLAGNRLLELHQTQEDNLILSKELGDLEGQLKDENYVLVSKPYAILNDQLQNLNAEIERCRGLVEVLQNDKDQLMQKEKEICAKAESFDSIKQTIATYETKIEELENQIQIFISEKNDLETKVEETLQDSGKKDFKNEIHVMAAALSNELGMMENQLSRSKDAASEALALREQAESLTSLVAKKIEEQKVISDTYNSQLIEIKSLKALVEELEKEKQELQFIADMYAKECSESRTIADIEESENRARNQAEYLKSNLEEHSLELRVKAATEAEAACQQRLSFAEAELEELRAKVDASERDVVELKEAIRIKEAEGDAYISDIETIGQAYEDMQTQNQHLLEQLADREDFNIKLVSDSVKMKQASSSLLSEKLMLEKQLQQVNTSLESSKLKMIRGEEQMKICVAQAIKTSAENRHLTISLERTALEVSNTEKELKWLRSSVGSSEKEYDQTQQKISELRILLEHERSERRRLEEQYEEVKNEVMELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLELRHRKCPGCGTPFGQNDVREVKI >PVH66911 pep chromosome:PHallii_v3.1:1:54462657:54463631:1 gene:PAHAL_1G380800 transcript:PVH66911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTCLGSASAPRPLPRTAPLACAARVASGDLAGRPRDPNGQTPAAGAAKKARGTCLRPWERGDRAPRATRPTPRRPVGALRMAMQVLSGHPLARFRAWLRSMSARTPSSSHAVPNVLAGQSDGPAKGTREVLNSSWALFGL >PAN04985 pep chromosome:PHallii_v3.1:1:7943551:7944857:1 gene:PAHAL_1G106800 transcript:PAN04985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLHRRRPPPPPSPRPDDGSLACYGIVVATASLLLFTTLAATVSLAKAGALAGAAVVVFGAAGCVSRCCAGGSGAGPALPTTVAPAPAARARAACGLVDAAIESLPAFAYTRAAACGAEGGGGSSKSGRCALCPVCLEDVEAGEMVRQLPACRHLFHVECIDMWLHSHATCPLCRCQVSPQQVGVKLAAAADPPDDAPPV >PAN04219 pep chromosome:PHallii_v3.1:1:3407261:3409355:-1 gene:PAHAL_1G049400 transcript:PAN04219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPTPAAAAAPGAAVAPEQAAFRLVGHRGFVRVNPRSDRFHTLAFHHVELWCADAASAAGRFSFGLGAPLAARSDLSTGNSAHASLLLRSGSLAFLFTAPYARGADPATAALPSFSAPAARRFAADHGLAVRAIALRVADAEDAFRSSVAAGARPAFEPVDLGLGFRLAEVELYGDVVLRYVSYPDAADAPFLPGFEDVSNPGAVDYGLRRFDHVVGNVPELAPVAAYVAGFTGFHEFAEFTAEDVGTAESGLNSMVLANNSENVLIPLNEPVHGTKRRSQIQTFLDHHGGPGVQHIAVASNDVLRTLREMQARSAMGGFEFMAPPPPNYYDGVRRRAGDVLSEEQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEIIQRIGCMEKDEQGQEYQKGGCGGFGKGNFSQLFKSIEDYEKSLEAKQAAAVQGS >PAN07369 pep chromosome:PHallii_v3.1:1:51169501:51172287:-1 gene:PAHAL_1G330100 transcript:PAN07369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGARVSPMACGARRHKALAPWRFQSAFVRRETNPGVVFDGDLCGSETLQMSCDTAAGGGLSDSLSHRGGVDGELQVPGDNEAPKGGGGGVGGDYGLDGVDGDLVAKEGDLAAKVGDSLPKDCEIHEGLENAKEGDSLPNDCEIHEGLKNNGITAVPHGAKPSNLPGLNCNADAEERNFVGKDCNLEGSRTGDDAESNFVGKDCNLEDFRTGVPGLRKGRKAVAPWRFQTGYKPKWAQDSLSGNRSGETEEPAFTVRAGLSKRAPVMASNSPCLKGLASGGQRSLKVQKGTSPAPKKRKVDKDDHRISLVRENVLTKLREFRIIYKKLLEEEEVKCRGKGHGVRPDIVAFDIFRERFSADNDDMRYDGSIPGVRIGDVFNSAMELSVVGIHRAQLLLVDHIKKKDGTCLAVSVVSYAQPSAFDSFDFLLHVGSVAATCDQKLEGADMALQESMDTDTPVRVIHALVTEFGDDCRPKQLTTYVYGGLYLVEKFHREKTAGGQYVNTFHLRRMSGQKQFNIQVLKTKKLESFDGTFTVDISGGLEKVPISAINSISNEYLMAFRYISQIQYPLKYRPDPPSGCDCVGGCSVSQKCACLVKNGGEFPYNDIGENLEDKPLIYECGPSCKCPPTCRNRVSQHGIKFRLQAFKTNSMGWGVRTLDFIPYGSFVCEYIGELLKDEEAQKRKNDEYLFAIGKNYHDVPRWKAQIKTIPSLQNGPTEDDENGFAVDALNQGNFARFINHSCTPNLYPQNVLHDHDNISMPHIMFFAYEDIPPLKELSYDYNYEIDKVYDSDGNIKMKPCFCGSAECTGRLY >PVH66215 pep chromosome:PHallii_v3.1:1:25586090:25586845:-1 gene:PAHAL_1G180000 transcript:PVH66215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDGICHAEPGLPKLLILSLERIGVMEPPEYAYREYTSNGTLRCDMMVFVGKSTRYPDVDPWFVSTSGFRFPDTYRKAARKALRRLRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDELYREQAAQLKQLIHRAEKATQELEEQQLRAARAEYSLAALQAQMQEYENRRGIGGWIEEEEEPEKTHWDKGTQTEDEVMDRCLPIKKRPIRIGEEYHDRSSTPS >PAN07762 pep chromosome:PHallii_v3.1:1:53229165:53231759:-1 gene:PAHAL_1G360600 transcript:PAN07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os02g0700400)] MSSRAAGSVLLHHLGPRVFGPVSSPAAAAPRPLLALAGGGERGAAAVWVRLLSTAAAEAKEEAAASKGSAGSTVAAKAEAAASKGNAGSTAAAKAEAAEAVKEGDGKKSPVVNSYWGIEPSKLVNKDGVEWRWSCFRPWETYKPDTSIDLTRHHEPKVLLDKLAYWTVKSLRAPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRTLLEEAENERMHLMTFMEVAKPRWYERALVLAVQGVFFNAYFLGYLISPKFAHRVVGYLEEEAIHSYTEYLKDIEDGKIENVPAPAIAIDYWQLPADATLKDVVIMVRADEAHHRDVNHFASDVHYQGMELKETPAPIEYH >PAN06395 pep chromosome:PHallii_v3.1:1:46555574:46559571:1 gene:PAHAL_1G261400 transcript:PAN06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDVVWHCIRHGHCSFMAKIETGIFCRNPYNVTGICNRSSCPLSNSRYATIRDHDGIFYLYMKTAERSHLPNKLWERVKLPRNYEKAIEVINKHLEFWPQLLVHKIKQRLTKMTQYRIRMRKLQLKVREKLMTVPRKKTRIDDTQARKAEQAAKIDNCIQSELLERLKKGCYNNEIYNYPFNDFSDIVKLEQEDEEYATQYVEPNENEMGLDDMEDFKGIPNSECGYTDADDDLLDEEVAKKQKRSKIGKRSTKVTSELEQDEDTGVRQMTLV >PAN04804 pep chromosome:PHallii_v3.1:1:6622591:6624199:1 gene:PAHAL_1G093000 transcript:PAN04804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNGGAPLVVFDFDKTIVDCDSDNWVVDALGATDRFDELLRHLPWNHAIDAMMGELHAEGRMAEDVRACLRAAPLSPHVAAAIRSAHARGCELRVLSDANAFFIDAVLAHHGLAGYFAGTDTNPAHVDPAGRLRIRPYHEFAAPAPGHGCALPSCPPNMCKGKVMERILLQEEEAAAAARRRRRAVVYLGDGRGDYCPSLKLREGDYVMPRAGYPVCDLIAASPPAAAVRGWDGFEDLARVLLGIVDAEIARAVAEQGDAAAATTNVVVPDCRALPLPLPARQEAALLPQAVRVPN >PAN07748 pep chromosome:PHallii_v3.1:1:53137984:53141500:1 gene:PAHAL_1G359700 transcript:PAN07748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAMDFHALSRRELQALCKRNGVRANMTNAAMAEALQGLTSVDGIDEIGTTLCLPTPGRSAMKSAAKMAQLAEEEQQHGSPLPRGRRVSVKSPEAIRMEVEEGEDEMKRDLVKEIVRTPGVALRSTSRRARGTPAPLPTPVPVSSARATTRRAPARKAEDVAPTPATLRRSQRTAAQKAAAPVEEEQPAEDVSAAKRATRYTKSRMTMALDQEEEVVAAASKEEEKVQQPKAFASDVQCDDPDKDEVTKLLEGDNKEEEAEEGQEADSSDAAIGSAVPSEKMEEAMVVMEEEATKPQEGIVEEKEQTSVEKPAPLANMEDSPILGVLSKSEASEPVIEKAPDATLEDDEGCAEWSPVTKIADEIDAVIADKEVSVDEEAIKEGCTFTGETDNSPEEILPTAAEEYKTSEDDGLTEVKEGAADEMPQAGLEDDETSEEEKEDDLPELKGEADEKMLQAELEDDETSEEEEEDDLTELKEGAAAKMLQAELTDDETSEEEEEDDLPELKEGAAAKMPQAELTDDETSEEDEEWASDDAEASEEIINSSDESDEEADETDEETDTADMVQMLQGTVIAEEVNEDASTEDDDFSGDLPPEFDHIAVFNDSDAESDIAAPVLEENQTLVASASKTAVKSLDDCAITEEQEQEADATEKAMKEVDTIVKSLDEFTIKEGEAKEEMHKLPLVDDFESMSLRKLQATYKERLIASKAGKEAAKGKRLPLEEVDENACGDC >PAN08319 pep chromosome:PHallii_v3.1:1:55895073:55896373:-1 gene:PAHAL_1G402900 transcript:PAN08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPRGSVRVRHGTSHVALLLITVLLLSSARLSLGASRRLLELYKPPASARLTYHNGAVLQGRIPVSIIWYGRFTPAQKAVVTDFLQSLTAASQAPTPSVSQWWNTINQLYLSKARRGSSANGAQVALAGQVSDEACSLGKRLTLAQLPRLAARAGPKKGGIALVLTAQDVAVEGFCMSRCGLHGADARAGTAYVWVGNSATQCPGQCAWPFHQPLYGPQAPALVPPSGDVGMDGMVINIASMVAGTVTNPFGDGFYQGDKDAPLEAATACTGVYGSGAYPGFAGNLAVDRATGASYNANGARGRKYLLPALFDPATSTCSTLV >PAN08474 pep chromosome:PHallii_v3.1:1:56818834:56823310:1 gene:PAHAL_1G415600 transcript:PAN08474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGDDVMDGSDGQHRKKRYHRHTPRQIQQLEAMFKECPHPDENQRMQLSRELGLEPRQIKFWFQNRRTQMKAQHERQDNCFLRAENDKIRCENIAMREALRNVICPTCGGPPVADDYFDEQKLRMENARLKEELDRVSSLTSKYLGRPFTQLPPVQPLSMSSSLDLSVGGLGSPALGPSLDLDLLSGGSSGYHHPAFHLPTAVSEMERPMMAEMATRAMDELIRMAQAGEQLWARTGGPDGREVLNVDTYDSIFSKPGSSFRGPDVHVEGSRDSGLVFMSAVGLVDMFMDSSKWMEFFPAIVSNARTIDVLVNGMAGRSESLVLMYEELHVMSPVVPTRELCFLRYCRQIEHGLWAVADVSVDLQPRDARFGAPPQPPRSCRLPSGCLIADMANGYSKVTWVEHMEVEDRVPIHLLYRDLILSGAAFGAHRWLAALQRACERCACLATAGMPPRDIAGVTPEGNRSMMKLSQRMVSGFCASLSASQLHRWTTLSGPNDVGVRVMVHRSTDPGQPSGVVLSAATSIWLPVPCDRVFAFICDENTRSQWDVLSHGNPVQEVSRIPNGSHPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYAPIDIPAANVVMSGEDPSAIPLLPSGFTILPDGRPGASPSSSSSAGPLGSPPAGSLVTVAFQILVSSLPSSKLNAESVATVNSLISTTVEQIKAALNCASH >PVH66808 pep chromosome:PHallii_v3.1:1:52686312:52688191:-1 gene:PAHAL_1G353900 transcript:PVH66808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQSRLASSSPFPIHPKGLNPSLAAPALRPPVRPLLRRTPAPVQGPAAASASRPGLHGHLRPVGCAPPTRVRRRPAPGRRRLPVTTGGSAAGRRPPVRQRVLLRSRGSQIPPRHPQLLYCWCASPSLLHMPYDMR >PAN06943 pep chromosome:PHallii_v3.1:1:49139172:49140565:1 gene:PAHAL_1G300000 transcript:PAN06943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHAVAVIVAAVVLGLVALVLGFAAEYVNQKAFVRSDVFRCEYRRTPALGWGILAALLSLAAVTLVTAASGCFGRFGAAAAPAARRRRGGCARTSCAAVAWLVVAAAAVMFLYGASRNAGGTGGFTAIRRRPGRNSDGGVSTFDFVCDELRGVFVLASIAAVVAVACAITAYVDTLQRRNQTATPPTLGVAMGQPDPAPVAYPAQPPYGGYGAKQPAGTS >PVH65841 pep chromosome:PHallii_v3.1:1:6063366:6064145:-1 gene:PAHAL_1G087700 transcript:PVH65841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYVAGGTLRCDMMVFVERSTRYPDVDPWFIPTAGFRFPDTYRKAAPLRRLRVLYKHHLQRTPMGFFPPAEGRGRTWVARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLRQLIRGIEKITQELEEQRTRAANAEYSLAALQAQMQEYKSRNGIGGWIEEEEEEEPMETHWDKGTQIENEMDRFFPIKKRSIRTEEESP >PVH66069 pep chromosome:PHallii_v3.1:1:13744591:13748991:1 gene:PAHAL_1G141100 transcript:PVH66069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] MHPVSVPLQPFTATPASLLIPRSTAVRNSIDFGELRRAGRSSGNLAMNSIQNPSRGSETPGSEDFNVGDKDAVVIVDHGSRRQESNLMLNDFVEMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIMNDRIKYCLLQVAGDVDECTVCAGTGKCRLYS >PAN05648 pep chromosome:PHallii_v3.1:1:13744580:13748987:1 gene:PAHAL_1G141100 transcript:PAN05648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] MHPVSVPLQPFTATPASLLIPRSTAVRNSIDFGELRRAGRSSGNLAMNSIQNPSRGSETPGSEDFNVGDKDAVVIVDHGSRRQESNLMLNDFVEMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPTLAAEASKEHSNIPYIVTAPLGLHELMVDIMNDRIKYCLLQVAGDVDECTVCAGTGKCRLYS >PAN05649 pep chromosome:PHallii_v3.1:1:13744591:13748864:1 gene:PAHAL_1G141100 transcript:PAN05649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] MHPVSVPLQPFTATPASLLIPRSTAVRNSIDFGELRRAGRSSGNLAMNSIQNPSRGSETPGSEDFNVGDKDAVVIVDHGSRRQESNLMLNDFVEMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPTLAAEASKEHSNIPYIVTAPLGLHELMVDIMNDRIKYCLLQVAGDVDECTVCAGTGKCRLYS >PAN06950 pep chromosome:PHallii_v3.1:1:49186812:49188758:1 gene:PAHAL_1G300700 transcript:PAN06950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKQKIDAQRRNAERNQKAKGSQLEARAVGLKVVCPICKVQLANEKQLTDHYGSKHPKEKPPSTSNTQ >PAN07134 pep chromosome:PHallii_v3.1:1:50076675:50081524:-1 gene:PAHAL_1G313000 transcript:PAN07134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEGVLLGMGNPLLDISAVVDDAFLAKYDIKLNDAILAEEKHLPMYDELSSKSNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAAHNKVFLMNLSAPFICEFFRDAQEKVLPYVDYIFGNETEAKIFAKVRGWETENIEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDCVKAGCYAANVIIQRSGCTYPEKPDFS >PAN09053 pep chromosome:PHallii_v3.1:1:59462545:59464352:1 gene:PAHAL_1G455000 transcript:PAN09053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKEATKGEAVAPAAGAAAVDVGGAGAKNGPPVPVDNAAAAPADRDAVLAKVEMGRKLSMVRAWEESEKSKAENKAQKKMSSIMSWENTKKAAVEAKLRTREETLEKKKAEYAEKMRNQIAAIHKEAEEKRASVEAKRHEEILKYEDMAAKHRSKGTTPAKKFLGCF >PAN06553 pep chromosome:PHallii_v3.1:1:47365708:47370528:1 gene:PAHAL_1G271900 transcript:PAN06553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGLGIMGKAMATNLLRHGYRVTVWNRTIAKCQELVALGATVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGAGKGYIDMSTVDAATSSKISEEVKQKGGAFIEAPVSGSKKPAEDGQLVILAAGDKTLYDEMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNSLSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKLKGPAMLQGSYSPAFPLKHQQKDMRLALALGDENAVSMPVSAAANEAFKKARSLGLGDLDFSAVYEVVKGAGGSGQA >PAN06533 pep chromosome:PHallii_v3.1:1:47241663:47245212:1 gene:PAHAL_1G270100 transcript:PAN06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKEGVAEAQPHAPAPAPGPLQKAPSQSLKELITLAAKDEDAPAVHAAMSRTVSNAKAMAKAGSNAGGGAAIAPAPAAGKKEAVEKTAPAVVVITSLSKSYSTAGAPTHHRRDTADANGGAADHDGAYQVISSVPQGFSGEHVIAGWPSWLTSVAGEVVHGWLPRRADTFERLDKIGQGTYSNVYKARDLQTGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRLSHSLYLVFEYMEHDLAGLAALSGQRFTEPQVKCFMGQILEGLRHCHARGVLHRDIKGSNLLIDDGGVLRIADFGLATTFDPAKSQPMTSRVVTLWYRPPELLLGATEYSVAVDLWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEDYWAKAKLPDVTLFKPQRPYRRKIAETFKDFAPTALELLDTLLAIEPSARGTAASALDSEYFRTKPLACDPASLPKFPPCKEYDAKLRGQEASRQNATAIGGKGSVSIKPGRDDAKAAPAQDAIADYQRRHARANQKSTSHHYSSQEDSVPGFRIEPPPAVAGRGPAAIRTAGFGSTWYRNDQRGVPRTSSSVRASTLTSQRSYAPSRGTDLHPSSSAARNANPRYNRLDVAEPANAVGRPGSSHQKDLGVRDTSAGFGGKNKRIHYSGPLMPPGGNMEDMLKEHERQIQQAVRKARVEKEKTNRHHY >PVH66088 pep chromosome:PHallii_v3.1:1:15340363:15340726:-1 gene:PAHAL_1G145600 transcript:PVH66088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVCFGNKSEKLSSNSCLSQFPISSRRPREPNLHPHSSHSQNPSVDACVRHRGRPRPLLPGSPMAIMVTTTTTSSTAEYIRSCLAVQRRCSVSCQSATVDPVIYMR >PVH67238 pep chromosome:PHallii_v3.1:1:59653533:59655110:-1 gene:PAHAL_1G458200 transcript:PVH67238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSTKAAAAAASGPRLSAHVGGGNGGSKDSFPAPFSRQASLTLRSLGLGLRSAPSPTRRCCLRPTSPNPNPIPPTTKEGTSPPRMPPPTPPSKMRGASSTMPLTVKQIADAQQSGTGDKGAPFVVDGVETANIRLVGMVNGKVERNTDVSFTLDDGTGRLDFIRWVNDASDSSETAAIYLSRNDMYVSVIGSLKGLQERKRATAF >PVH65719 pep chromosome:PHallii_v3.1:1:4128620:4130102:-1 gene:PAHAL_1G061000 transcript:PVH65719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNDPTYRFLHDRTADLFAGLLAEDMRKLADGKVREFSLAAKWCPSLDSSYDRSTLLCEAVARRLFPKGSSPELAADLPDEHYAYRARERLRKAALVPLRRALKLPEVFISARAWDSVVYTRVASVAMKNYKDLFLKHDADRFNAYLADVKSGKQRIAAGALLPHEIIASLGDDGENDGGVADLQWQRMVDDMRALGMLTNCVAVCDVSGSMSGIPMDVCMALGLLVSELSDDPWRGRVITFSERPQLHRITGDTLSEKISFIRTMDWGMNTNFQAVFDKILEVAVGARLAPERMVRRVFVFSDMEFDQASAQPWETDYEAIVRKFTEAGYGAAVPEVVFWNLRDSKAVPVEAGQKGVALVSGFSKNLLKLFLDGGGVVSPRAVMEKSIAGPEYDKLAVFD >PVH66559 pep chromosome:PHallii_v3.1:1:47899419:47904128:1 gene:PAHAL_1G279900 transcript:PVH66559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMTNKLAITVSIAAFSLVIVRYWIVSSPPSGISRYQILDANPLEWFNRPVEAKETIPGVAAAAAAADASTSNSSDFGSSSPEVFQWLDTWNQMKQLSNITNGLPHASEAINDGRTAWENLTTSAHNARYQHREKERLCPYSIHRMDASKSETDSFTIDVPCGLIVGSSMTLIGTPGVLSGNFWIDLVGTALPGESEKPTVLQYNVRLNGDKITKDPVIVQNTFTANNGWGVEDRCPSTNSNNATEVDDLERCNAMVGREGRDIMNSKHHTAAKKHGEPSTYFPFKQGYLAIATLRVGSEGIHMTVDGKHITSFAYRAGLEPWFVTEVRISGDFKLVSAIASGLPTSEDLENSNIEMLKSSPIPAGKDVDLLIGIFSTANNFKRRMVIRRTWMQYDAVRQGAVAVRFFVGLHTNLMVNEELWNEARTYGDIQVLPFVDYYSLITWKTLAICIYGTSTVSAKYVMKTDDDAFVRVDEIRSTIKQLNVSNGLLYGRINSDSSPHRNPESKWYISQEEWPEEKYPPWAHGPGYVVSQDIAREINSWYKASQLKMFKLEDVAMGIWVNDLKKDGLPVKYETDTRINTDGCNDGYIVAHYQEPRDMLCLWEKLLRTHQAQCCNTD >PAN06667 pep chromosome:PHallii_v3.1:1:47899419:47904128:1 gene:PAHAL_1G279900 transcript:PAN06667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMTNKLAITVSIAAFSLVIVRYWIVSSPPSGISRYQILDANPLEWFNRPVEAKETIPGVAAAAAAADASTSNSSDFGSSSPEVFQWLDTWNQMKQLSNITNGLPHASEAINDGRTAWENLTTSAHNARYQHREKERLCPYSIHRMDASKSETDSFTIDVPCGLIVGSSMTLIGTPGVLSGNFWIDLVGTALPGESEKPTVLQYNVRLNGDKITKDPVIVQNTFTANNGWGVEDRCPSTNSNNATEVDDLERCNAMVGREGRDIMNSKHHTAAKKHGEPSTYFPFKQGYLAIATLRVGSEGIHMTVDGKHITSFAYRAGLEPWFVTEVRISGDFKLVSAIASGLPTSEDLENSNIEMLKSSPIPAGKDVDLLIGIFSTANNFKRRMVIRRTWMQYDAVRQGAVAVRFFVGLHTNLMVNEELWNEARTYGDIQVLPFVDYYSLITWKTLAICIYGTSTVSAKYVMKTDDDAFVRVDEIRSTIKQLNVSNGLLYGRINSDSSPHRNPESKWYISQEEWPEEKYPPWAHGPGYVVSQDIAREINSWYKASQLKMFKLEDVAMGIWVNDLKKDGLPVKYETDTRINTDGCNDGYIVAHYQEPRDMLCLWEKLLRTHQAQCCNTD >PVH66730 pep chromosome:PHallii_v3.1:1:51258230:51262122:1 gene:PAHAL_1G331500 transcript:PVH66730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPRGLLAISGYLAGRHAPAASVSTTGHHSLFFARAIQILAQPEPVRLQELSAPDSGILELRLERPEVKNAINWDVMRRLRSAIEKIQADAAAKVVLVASSVPGAFCAGADLKVWSCSERKLMGSSEVGEYARSLRSTFSSFEALPIPTIAVIEGAALGGGLELALSCDLRICGGNAELGLPETGLAIIPGAGGTQRLPRIVGRSRAKELIFTGRKCDAAEAVMMGLANYCVPAGEAYQKALDIAREITQKGPLGIRMAKKAINQAMEVADMSSALAVEGECYEQLLHTQDRLEALAAFAEKRKPVYTGK >PAN04653 pep chromosome:PHallii_v3.1:1:5668372:5669868:-1 gene:PAHAL_1G081400 transcript:PAN04653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAEEPTAATLAPPPPHFVIVPLVAQGHTIPMVDLARLLAERGARASLVTTPVNSARLRGVADQAARAKLALEVIELPFPAGVDGLPPGIENVDQVTDNNHFIPLFDALQRLAGPLEAYLRGLAARPSCIVADWCNGWTAGVARSLGIPRLFFHGPSCFYSLCDLNSVDHGLHEQTAAAGDQEKFVVPGMPVHVEVTKATAPGFLNSPGWEALWVECVEAMRTADGAVVNTFLDLEDQFVACYEAALGKPVWTLGPFCLANKDAEAMASRGNKTDAVQQSAVTAWLDTKDTDSVVYVSFGSLVRKLPKLLFEVGHGLEDSGKPFLWVVKEPEVAAPEVREWLEALEARTAGRGLVVRGWVPQLAMLSHRAVGGFVTHCGWNSLLESIAHGVPVVTWPHFADQFLNERLVVDVLGVGVPIGATAPVMIFDDENMPVGREDVVRAVSALMGGGAEADERRRKAKEYGEKAHRAMEKGGSSYENLTQLIESFRQRGGKEG >PAN05764 pep chromosome:PHallii_v3.1:1:29937059:29938221:1 gene:PAHAL_1G195700 transcript:PAN05764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLEEEHFKGHIKVHPKDAELLNWPIDNYKQMQIIFGNGQATSKFAMGSSEPLGFPLDFVESSLKPNSDYMKVDDVAKLFGEAEAPKNEDGAAAAGSGFGGGNKRGRCMLSEEDIIVMTGMTGAVKEVAAAIGETKVEDSHPKLYDVVMFMPGFSEEALLAAYSHMLDNKAHLHCICEDD >PAN07743 pep chromosome:PHallii_v3.1:1:53109481:53113020:1 gene:PAHAL_1G359300 transcript:PAN07743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDAMERGEQRAPLLPESHGPKIQDDSLQVPLLKDKKRAGSKAPAVVLGFECLESTAFSGISSNLVVYLETVLHGSNLASASKVTTWFGTSYLTPIFGAIIADTFLGNYNTILVSLAVYLLGMMFVTFSAFLPTAAVLGGSSMFGAQTVAFVGLYLVAIGSGGVRSSLLPFGAEQFDDDNPTDRESKGSFFSWFYLCVDFGPIVSGLFIVWIQNNVSWGLGFGISTVCIALAFGAFVLATPMYKRRMPTGTPLKRLSQVIVAACRKIALKVPADAGMLYEVSDKVDNQPKIEHTGEFSFLDKAAIITESDFEEITEEAGSSWKLCTVTQVEELKILLRLLPIWATSIIMSSAYAQMNTTFIQQGSVMNMSILSVSVPAASMGSFEVTCVLTWVLLYSKVIAPAVRSLSSSGDGEPSQLQRMGAGRLLMALAMAVSALVEMKRLDSAARGEQITIAWQLPQYFFLAGAEVFCYIAQLEFFYAEAPDTMKSTCTSLALLTIALGSYLSSFIYAIVAAFTATADSPGWICDDLNQGHLDYFFWTMAAMCTLNFVVYSGFAKNYKLKTVLS >PAN04981 pep chromosome:PHallii_v3.1:1:7921112:7922275:-1 gene:PAHAL_1G106500 transcript:PAN04981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCFRVYGLAIANTVCFGGTAFLVFALVKLARTPHSAGGIAVVSVFLVFWLGVNATVCPAFCGSLFPWSALGRCLEPPLGAALWLLHLPSRCARRRRRRSDDDAGGAALPQLAAQGRRAYTISVLPREPPVRGGAPAGAAAAIPAYEQPGDGARPDGAPDCVVCLGRVDKGEMVKRLPVCLHTFHQECIDLWLRDHCTCPVCRCTVFAPPLDQVV >PVH66188 pep chromosome:PHallii_v3.1:1:23632694:23633982:1 gene:PAHAL_1G172500 transcript:PVH66188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAALLLCASVVLAVAAAAVARDFVVGGADDAWKALAQPDALTKWASINRFHVGDNLVFKLGGAADDSVLEVTRNDYNRCSTAAPLATHKATAGGAAATVPLPRSGPYYFVGGAPGSCQKGERLLVVVMSEKHGRGRLRGLAPVLAPAPAPEAESPLAASFIGGPAAAPAPATGAAGKTAASAGTGALLLGATAVLGALLVGW >PAN05325 pep chromosome:PHallii_v3.1:1:11138073:11140433:-1 gene:PAHAL_1G131400 transcript:PAN05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLRDGSSPVHLARLVVPSCIALLLLAGCGGGGAHAAPRPAFAFPATAAAEEPNARRERLRAALDTASARVGQALEAMAEVTPASYDDGPTSTPLATMAREDCAELLEEALALLAGAGAPGAARGDALAWLSAALTNHDTCADGLAEAASAVPGGQGAGPHHAHLAAARATVRDSLAMYASTTPKTSTAARTDDASASLRVRDGRGSRNATTKRAEDACGFPRWLPARDRRLLLHPAASLAARADIVVAKDGTGTHATIADAVRAAPECSERRTVIHVKAGVYRENVKVGMKKTNLVFVGDGKGVTVVTGNRSVADGNYTTFRTATFAASGSGFMMRDMTVENRAGPVGRQAVALRVSADRAAVHRCGVAGYQDALYAHSNRQFYRDCDVRGTVDAVFGNAAAVLQRCTLRARAPQPGQQNTVTAQGRSEPCQRTGIVLHACRLVPAPDLAGRAAQTYLGRPWRPYSRVVVMESYIGDHVAPRGWLEWNASAASALGTLYYGEYMNHGPGAGVAGRVPWPGHRVINSTAEAERFTVARFIAGASWLPGTGVSFDTGLSL >PAN05871 pep chromosome:PHallii_v3.1:1:21224640:21227129:-1 gene:PAHAL_1G164100 transcript:PAN05871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHRRITGAPPASALMLTLLCASWVLAAAQNYSAIFNFGDSITDTGNLCTNGRPSQITFTQPPYGETFFGTPTCRCSDGRVVVDFLTDQFGLAFLPPSKSSSADFKQGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQIATSVCGQSCKSYLANSLFVFGEFGGNDYNAMIFGGYTTEQTKKYTPKIVNTISRGIDKLIAMGATDIVVPGVLPIGCFPIYLTIYQSSNSSDYDDLGCLNKFNDLSTYHNTLLQKRIDIIQSRHRKTARIMYADFYSGVYDMVRNPQNYGFSSVFGTCCGSGGGKYNYQNSARCGMQGASACASPAAHLSWDGIHLTEAAYKQITDGWLKGRYCRPPILHS >PAN06253 pep chromosome:PHallii_v3.1:1:45474638:45476098:1 gene:PAHAL_1G250200 transcript:PAN06253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLAPYTPLPSPNHKHSSFRVCCSGGGTALHRERMYKKTAVLQRNCIHFLCFNSICVVQHDCEFLCCRLLRKLLLHIAISLNLVVNSHATHF >PAN04727 pep chromosome:PHallii_v3.1:1:6049040:6050130:-1 gene:PAHAL_1G087400 transcript:PAN04727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLIAGLFLALALLIATTPQPSGATRSEPTPSPAPSSSPSPYCISWFVGMSPCMDFLTDASVAAPSSGCCKGLQGLVDGAPMCLCYAKNGDIDNLMPANTDFSRVADLPSTCGVALPVEALSECETEPVPPLMPPSPPAS >PAN07726 pep chromosome:PHallii_v3.1:1:53015000:53017664:1 gene:PAHAL_1G358100 transcript:PAN07726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGELWDDSALVDAFDRAVATYKEMHGKINWATPCEDEKPEPAAATTAEVEEPITAESEDEHKEKGANCDNTPCGLVGTPQQPSEERQAVEQAPLQETDPGKETHGSESKTLSSNATDADGNVSSSQQTFEYNELLRQYYELEEKSRNVLQQLQQTNYWNYQASGYASTTQQQQIPAYSATAPDPHSSTTQSSCCYWNVPLVSVSCCSAGQPSEGSAFMPPNGGCSVSLTCDQCPGASTTYPSVSNFTKPPKNLSPNGDQVAKAAMMTAEGALNFMSSTVSGQPGSQRVAGWMHVLHIILKQNDPKTHILLQY >PAN07727 pep chromosome:PHallii_v3.1:1:53014902:53018732:1 gene:PAHAL_1G358100 transcript:PAN07727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGELWDDSALVDAFDRAVATYKEMHGKINWATPCEDEKPEPAAATTAEVEEPITAESEDEHKEKGANCDNTPCGLVGTPQQPSEERQAVEQAPLQETDPGKETHGSESKTLSSNATDADGNVSSSQQTFEYNELLRQYYELEEKSRNVLQQLQQTNYWNYQASGYASTTQQQQIPAYSATAPDPHSSTTQSSCCYWNVPLVSVSCCSAGQPSEGSAFMPPNGGCSVSLTCDQCPGASTTYPSVSNFTKPPKNLSPNGDQVAKAAMMTAEGALNFMSSTVSGQPGSQKTESETGKDESTSMGMKPNLDITGADSDLAVLLNAWYAAGFYTGRYLALQSSKNSRQ >PAN06894 pep chromosome:PHallii_v3.1:1:48898166:48903503:-1 gene:PAHAL_1G295300 transcript:PAN06894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTVLMEFGQQRPIKRGYEEMAFRGVTPVAPRGYSETVGESECAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKKPEFAAPVPVSRAPALSSSAAPRAKKVHRGSNVVRGAKGRFLPTKPRPEPSAELSEAAVFKQCETVLKKLMTQKYSHIFNVPVDVVKLQIPDYFDIIKTPMDLGTVQKKLESGSYTSPSDFAADVRLTFNNAMTYNPRGHAVHDMAIQLNKMFENRWRTIEKKLASVAAEKHVEVDRADSKRRKTPPVDRSDVSVEGVRQTEPVKPKMTAAEKEAFGNCLASIADDLPSHILELLQQCIDSNTDMAGDGEIEIDIQAVSDDLLFELKKQVDKYLQEREQSQQVAKSEPSENEAVNVSGLSHSSTNPCKGSEPIEEDVDICGNASPIMLDKDAQIRSSKCGSPSSSSSDSGSSSSDSDSGSDSESESEKVGSPAKIVKGTKKPDQLVEQEKSDVISPADANRPADTVGHREEDSESKPAPEGENSKPDTQVSPDRLLRAALLRSRYADVIVKARGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAETKRKRDFEREKARQALQEMERTVEINDNLHLKDLEMLGTATAEHIVSSVDETSPERSQDGMPGFHPGSVNPLEQLGLFMKVDDEDDDEEPSSDPGVKEAEEGEIN >PAN06905 pep chromosome:PHallii_v3.1:1:48964553:48965341:1 gene:PAHAL_1G296400 transcript:PAN06905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASEPIGQQSASPTKLRNLYVDTAYVTNHNQWAVMTSTAPTFARFRAASTASCRALSCRDGEQAVDRPQVAAAPLDAVAHHHHQPRHTRGAWGREGARARRRRSSGLASRERFRRSYCSSPSRSRIKSSGASSPPTGILGVSVADLLVATPLHHPPHDSCGEVALHAAAGHVFAQCATPACSPTRRGGRGRGAHRGRPPRERGGADMTDLAAAHWAGLLGGPQEATRVPTQGRVGVGGRAARAPRSARRTGCGMWYNCSN >PAN07116 pep chromosome:PHallii_v3.1:1:49970486:49975854:1 gene:PAHAL_1G311600 transcript:PAN07116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGSLGKLASRALSVAGRWQHQQLRRLNIHEYQGAELMGKYGINVPRGAAAGSAQEVKDALKNVFPSEKEIVVKSQILAGGRGLGTFKSGLKGGVHIVKAEEAEGIASKMLGQILVTKQTGPEGKIVSKVYLCEKLSLTNEMYFAITLDRKCAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDEDAAKVVDGLALKAADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIAGGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >PAN05945 pep chromosome:PHallii_v3.1:1:41983862:41989339:1 gene:PAHAL_1G231000 transcript:PAN05945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPMATILTASSSSPTPARGAVPARTCRSLAVRCPSFPSRCRSGRWKKPSPGPTAPPLLLLPDSSLPPWLDRCLHALAAAILALALFGPPLLPAAHASSSVGVRSPLDAAAYPCEDVRRYYAGLDGLAGDELRAKLAAVVSPHAALQYKDVWEALKILDAADAEHPEASSEVIEIYSQRAVPKILAGKPDGWNREHLWPRSYGLTYGPLLTDLHNIRPADVNVNSSRGNKYFGECTATSINCVRPANREAAPNTETDAEKWAPPYQVRGDVARSLMYMAVSYGSGQKDGTPHLELSDSPKRRKMGLLSALLRWNELDPPSGPEQLRNDRVCSLYQHNRNPFVDHPEYANLIWRKPPAESSPFTGKTQKAWINEFHYENKGKDENEFVELVIHTSLDAKDLILALYNGANGIMYRSLNLADKEAFTVAEGNFGYLLYTVRTHLQNGPADGIALIYCRDMHQAEVLELLSYEGSLRAQDGPAKGLVSIDIMIKETDESSNQDSLGLTGSKIGEFAWRTIAGSATPGKLNVGQMF >PAN05944 pep chromosome:PHallii_v3.1:1:41983862:41989339:1 gene:PAHAL_1G231000 transcript:PAN05944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPMATILTASSSSPTPARGAVPARTCRSLAVRCPSFPSRCRSGRWKKPSPGPTAPPLLLLPDSSLPPWLDRCLHALAAAILALALFGPPLLPAAHASSSVGVRSPLDAAAYPCEDVRRYYAGLDGLAGDELRAKLAAVVSPHAALQYKDVWEALKILDAADAEHPEASSEVIEIYSQRAVPKILAGKPDGWNREHLWPRSYGLTYGPLLTDLHNIRPADVNVNSSRGNKYFGECTATSINCVRPANREAAPNTETDAEKWAPPYQVRGDVARSLMYMAVSYGSGQKDGTPHLELSDSPSIQRRKMGLLSALLRWNELDPPSGPEQLRNDRVCSLYQHNRNPFVDHPEYANLIWRKPPAESSPFTGKTQKAWINEFHYENKGKDENEFVELVIHTSLDAKDLILALYNGANGIMYRSLNLADKEAFTVAEGNFGYLLYTVRTHLQNGPADGIALIYCRDMHQAEVLELLSYEGSLRAQDGPAKGLVSIDIMIKETDESSNQDSLGLTGSKIGEFAWRTIAGSATPGKLNVGQMF >PVH66470 pep chromosome:PHallii_v3.1:1:46026662:46027214:1 gene:PAHAL_1G256300 transcript:PVH66470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKIKLHANQNSHHVPAGIFVNELVPPWRSVRGSPVLASSVVQRTSKKGS >PAN05267 pep chromosome:PHallii_v3.1:1:10198715:10210296:1 gene:PAHAL_1G126400 transcript:PAN05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPEWNSHSGKENVTMPQPLEVMVPVAKNKRKRNSPGTTCHQCRQPTKNFAGACKTVRKKGHCPIRYCGRCLLNRYGEAEVQADWICPKCRGICNCSCCRRKKGEMPTGKLTHAAKVSGCTSVHDLLQKGPDAVAAAQAQRSTSSKGPIVIPTKKRTTIYRVNNTLVDGRAPLPRDENPNAIDNNIVQPNGSPVPDIAGAQLADEDVTVAPKFFKFL >PAN05266 pep chromosome:PHallii_v3.1:1:10198715:10210296:1 gene:PAHAL_1G126400 transcript:PAN05266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPEWNSHSGKENVTMPQPLEVMVPVAKNKRKRNSPGTTCHQCRQPTKNFAGACKTVRKKGHCPIRYCGRCLLNRYGEAEVQADWICPKCRGICNCSCCRRKKGEMPTGKLTHAAKVSGCTSVHDLLQKGPDAVAAAQAQRSTSSKQGPIVIPTKKRTTIYRVNNTLVDGRAPLPRDENPNAIDNNIVQPNGSPVPDIAGAQLADEDVTVAPKFFKFL >PVH66039 pep chromosome:PHallii_v3.1:1:11238267:11239242:1 gene:PAHAL_1G131700 transcript:PVH66039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDNYNRIVCELMAEQVRKGNRPNTHLNTLGYNEVSARFFQMTGIELSKTQIKNKWDRLKNDWSIWNKLVRNQTGTGWDNARGVINMDNEWWKKMKMDVPGSGKFKKKPLQNQDFLGEMFGDISNDESDHWNPMSDNPIIPDSQKEFENIDGEGLEEEDNEFMHDWSYREEEDDEVQEVSPVVDNRKRKPRVVLEIPKKPKSSTALQIQEQITKIANSAESFTSRKQAEGVSIKEVMDVVLDCGAEYGSNEHDIATQLFVKKEQREMFLTLPTKEIRLNWLKRRYNDKYGN >PAN05513 pep chromosome:PHallii_v3.1:1:43168343:43170561:1 gene:PAHAL_1G235000 transcript:PAN05513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTDAQHRDEADIAAARRVADIVRTTQGPVDMAKMISSGDQEQISVSPPPAPKQEISVMGCMAATPNPPSQHRTYTDAMCIDAARRVARMVHASLGPRRLHKDLLLPRNQAQEAVIAADGAAVTIDGSKLMDDAQHRDDDAHEADNSAARRIADIVRTMLGPRGLHKELLPGNQALEAVITADGAAVTIDGSKSMDDGIEVCSNGMEFITSMMS >PAN06411 pep chromosome:PHallii_v3.1:1:46651763:46655609:1 gene:PAHAL_1G262300 transcript:PAN06411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSELSRSTVVFGLRMWVLVGIGVGAAFVLLLVLISVLCLLAFRRRRRRQRPTNPAQQLPTTAPPKNPANVKAPKDIQEVPSHAAAAAAPAKTPLAQVLQLPTPQVSEQIATGKEHRITNPEQQHHPSQRSDGPSPHGSDERRGGRGGAADHAPPAVPEVSHLGWGHWYTLKELETATGMFSDENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWENRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDIYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSNRNSDGVVDPKIPQKPTSRAVKKALLVALRCVDPDARKRPRIGHVIHMLEVDDFPYREDRRGSRAPGQARAPEKSAGGSGHHETDSSANGGTQAEPFRWRNPDA >PAN06736 pep chromosome:PHallii_v3.1:1:48196606:48198173:-1 gene:PAHAL_1G284600 transcript:PAN06736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEMVIRMQGGSDKGQGARAMKVAAAVAGVESVALAGKDKSLLRVVGDGVDCNDLTTRLRRKVGRADLVELRTLYGSRAGSGLSRVDSGYGGSSSYGGGGSYPATTSYAPEYYGHHQPASYGYYPPAAYAAAPTVVHHEYYPPSTDPNAGCSIM >PVH66624 pep chromosome:PHallii_v3.1:1:49180793:49186112:1 gene:PAHAL_1G300600 transcript:PVH66624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASSHHLRPAPAGPARRPGCAAAVALRPGGAWPPSRGRCAVAAAAAGAGGEAASAPAAAAVSAVAPAAAAARDRVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLNQVIEQLNKLVNVLSVEDLSKEPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISDNTLTMEVAGDPGKIAAVQRNLRKFGIKEICRTGKIALRREKIGATARFWRFSAASYPDLIEALPKNPLTSVNKTVNGSFDQPSSAGGDVYPVEPYESSSMNQVLDAHWGVLDDEDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTDESIEKLVQQLYKLIDVHEVHDITHLPFSERELMLIKVSVNTAARREILDIAEIFRAKPVDVSDHTVTLQWGS >PVH66626 pep chromosome:PHallii_v3.1:1:49180793:49186112:1 gene:PAHAL_1G300600 transcript:PVH66626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASSHHLRPAPAGPARRPGCAAAVALRPGGAWPPSRGRCAVAAAAAGAGGEAASAPAAAAVSAVAPAAAAARDRVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLNQVIEQLNKLVNVLSVEDLSKEPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISDNTLTMEVAGDPGKIAAVQRNLRKFGIKEICRTGKIALRREKIGATARFWRFSAASYPDLIEALPKNPLTSVNKTVNGSFDQPSSAGGDVYPVEPYESSSMNQVLDAHWGVLDDEDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTDESIEKLVQQLYKLIDVHEGADAY >PVH66625 pep chromosome:PHallii_v3.1:1:49180793:49186112:1 gene:PAHAL_1G300600 transcript:PVH66625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASSHHLRPAPAGPARRPGCAAAVALRPGGAWPPSRGRCAVAAAAAGAGGEAASAPAAAAVSAVAPAAAAARDRVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLNQVIEQLNKLVNVLSVEDLSKEPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISDNTLTMEVAGDPGKIAAVQRNLRKFGIKEICRTGKIALRREKIGATARFWRFSAASYPDLIEALPKNPLTSVNKTVNGSFDQPSSAGGDVYPVEPYESSSMNQVLDAHWGVLDDEDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTDESIEKLVQQLYKLIDVHEVHDITHLPFSERELMLIKVSVNTAARREILDIAEIFRAKPVDVSDHTVTLQLSGDLDKMVALQRLLEPYGICEVARTGRVALIRESGVDSKYLRGYSLPL >PVH66627 pep chromosome:PHallii_v3.1:1:49181275:49186112:1 gene:PAHAL_1G300600 transcript:PVH66627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLNQVIEQLNKLVNVLSVEDLSKEPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISDNTLTMEVAGDPGKIAAVQRNLRKFGIKEICRTGKIALRREKIGATARFWRFSAASYPDLIEALPKNPLTSVNKTVNGSFDQPSSAGGDVYPVEPYESSSMNQVLDAHWGVLDDEDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTDESIEKLVQQLYKLIDVHEVHDITHLPFSERELMLIKVSVNTAARREILDIAEIFRAKPVDVSDHTVTLQLSGDLDKMVALQRLLEPYGICEVARTGRVALIRESGVDSKYLRGYSLPL >PAN06629 pep chromosome:PHallii_v3.1:1:47720643:47722964:-1 gene:PAHAL_1G277400 transcript:PAN06629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAKPHLVFFPFPSQGHITPAFQLARLLHHCHGFDVTFVHTEHNRRRLLRARGPDALAGAPGFRFVAVPDGLPPSDEDAARDVAALLLSLPTMVPHFKELVLSELPAASCRRLLVSDVDLILRAAEEIGLPCVTFWITSASSFMAMQQIRHLVAKGLVPLKDAEQLRNGYLDSTVIDWAPGLPKGMRLRDFPTFIRTTDPDDAVLALTLRLIECHRTVPSAVVFHTFEELESQVIGAMSDILPPIYAIGPLPLLLREAGGGDDAASTSAGSSLSKENRACLDWLDGKRPNSVVFASFGSLVKLTGEQLAELAWGLASSGYEFLWVIRSDQKATGAAGGTAVLPPEFLEETEGRGCVTSWCPQEAVLRHGAVGAFLTHCGWNSMLESVCAGVPMLCWPFAADQQTNSRMACTEWRVGVELSEDPGREEVEAAIRQVMGGGRGEEMRRSAAEWKDKAALAARPGGSSWVNLERVVNEVLAPLMDKKRVC >PVH65917 pep chromosome:PHallii_v3.1:1:7632876:7636181:1 gene:PAHAL_1G103400 transcript:PVH65917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFKAPAPGFAVRFSPFHENRLLAAASQHFGLVGNGHLIVLDLAAAGPGPGAAPVPVFSFPTSDALFDCVWSESHESLCAAASGDGSVRLFDAALPPAQNPVRLLREHAREVHGLDWNPVRRDAFVSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLILPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPVAQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRAEDALLARYNHHTEFVAGIDMSVLVDGLLASTGWDEMVYIWPFGTDPRAM >PAN04943 pep chromosome:PHallii_v3.1:1:7632876:7636181:1 gene:PAHAL_1G103400 transcript:PAN04943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFKAPAPGFAVRFSPFHENRLLAAASQHFGLVGNGHLIVLDLAAAGPGPGAAPVPVFSFPTSDALFDCVWSESHESLCAAASGDGSVRLFDAALPPAQNPVRLLREHAREVHGLDWNPVRRDAFVSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLILPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPVAQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRAEDALLARYNHHTEFVAGIDMSVLVDGLLASTGWDEMVYIWPFGTDPRAM >PVH65918 pep chromosome:PHallii_v3.1:1:7632876:7636181:1 gene:PAHAL_1G103400 transcript:PVH65918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFKAPAPGFAVRFSPFHENRLLAAASQHFGLVGNGHLIVLDLAAAGPGPGAAPVPVFSFPTSDALFDCVWSESHESLCAAASGDGSVRLFDAALPPAQNPVRLLREHAREVHGLDWNPVRRDAFVSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLILPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPVAQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRAEDALLARYNHHTEFVAGIDMSVLVDGLLASTGWDEMVYIWPFGTDPRAM >PAN04944 pep chromosome:PHallii_v3.1:1:7632876:7636181:1 gene:PAHAL_1G103400 transcript:PAN04944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFKAPAPGFAVRFSPFHENRLLAAASQHFGLVGNGHLIVLDLAAAGPGPGAAPVPVFSFPTSDALFDCVWSESHESLCAAASGDGSVRLFDAALPPAQNPVRLLREHAREVHGLDWNPVRRDAFVSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLILPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPVAQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRAEDALLARYNHHTEFVAGIDMSVLVDGLLASTGWDEMVYIWPFGTDPRAM >PVH66934 pep chromosome:PHallii_v3.1:1:55058106:55059009:-1 gene:PAHAL_1G388500 transcript:PVH66934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSKRLNEIFKMQGKSKKRHSKRPCYKCGETGHFMFNCPNKKNKDYDEKTEENHNKKKNKNKSHKKSQKVQVNIGKEWDSNDSSTDSDDEDVLTRPMAEGRKISSTSKSRNVTGAFSLGHFQLRMRM >PAN07264 pep chromosome:PHallii_v3.1:1:50715581:50717499:-1 gene:PAHAL_1G322700 transcript:PAN07264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVCQQVGGSHYTVREVLQELKYNYTKLPLGNAKAAPLQGIVEVAEHTRPKDEDLVAQLKGTPEFAEHSRTKDDCVKNLYNRDSSKSSQEIQDVDDVLISPNDAVTSTGIVEKAETWESVGSSHHNVETEAGKHDLNTSETFKTAAGPTLSDQTESMKVIKNKSSISLGVEAKPDPGNQQRETEAKKLALENTEKILKASESSVSDQSGSDNVLKANIHDREHNPKHDAEESTSTGLFGSLKSFAYGFRNFWKKL >PAN07262 pep chromosome:PHallii_v3.1:1:50715244:50717722:-1 gene:PAHAL_1G322700 transcript:PAN07262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVCQQVGGSHYTVREVLQELKYNYTKLPLGNAKAAPLQGIVEVAEHTRPKDEDLVAQLKGTPEFAEHSRTKDDCVKNLYNRDSSKSSQEIQDVDDVLISPNDAVTSTGIVEKAETWESVGSSHHNVETEAGKHDLNTSETFKTAAGPTLSDQTESMKVIKNKSSISLGVEAKPDPGNQQRETEAKKLALENTEKILKASESSVSDQSGSDNVLKANIHDREHNPKHDAEESTSTGLFGSLKSFAYGFRNFWKKL >PAN07263 pep chromosome:PHallii_v3.1:1:50715244:50718383:-1 gene:PAHAL_1G322700 transcript:PAN07263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASARLSSSAASKKVIAGISSSITRSCYRTSRGKAHAVPLSAQEPPPKGRKRITKQERKVRIVEFVDKFRASNDGKFPSMKNVCQQVGGSHYTVREVLQELKYNYTKLPLGNAKAAPLQGIVEVAEHTRPKDEDLVAQLKGTPEFAEHSRTKDDCVKNLYNRDSSKSSQEIQDVDDVLISPNDAVTSTGIVEKAETWESVGSSHHNVETEAGKHDLNTSETFKTAAGPTLSDQTESMKVIKNKSSISLGVEAKPDPGNQQRETEAKKLALENTEKILKASESSVSDQSGSDNVLKANIHDREHNPKHDAEESTSTGLFGSLKSFAYGFRNFWKKL >PAN08349 pep chromosome:PHallii_v3.1:1:56314595:56316041:1 gene:PAHAL_1G406500 transcript:PAN08349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDTVLIRLPGPRALRVLARSVLFAAALLFLPWLRAAEAPARSHTVDACGAAVAQAELLLRDLCHEGLLAPGARAVVLSSDGDCDAPAPKQDQDSVLRPASLRRMLMLGDSSVDFLLDFGYFDEDGDRFAFADRVLKHGGILAAPIDSLSVLSLPQNYCYIRRFAEAFVGIKKIAPAGDNGNADPRMDLSSLASLKGGVVSSEPPETTGLELKNMGRKLLLSDISGTPAAHDRRGSFQMLHQYQIQS >PAN07115 pep chromosome:PHallii_v3.1:1:49965254:49965844:1 gene:PAHAL_1G311500 transcript:PAN07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALNPFVAACLLALVVAAGAARTEPGATVTDPDMLSTRPCHSNNGWSVHLCKDVCQASGFSRYDFALPNAATGDMARCCCCPKGFKNACLNVKE >PAN07590 pep chromosome:PHallii_v3.1:1:52306042:52310401:-1 gene:PAHAL_1G347900 transcript:PAN07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTPPPLPPPSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSVHRNSSMNATVSSWAVSSIPPAVASSMVKDLGPGTLGPNNFCSSSTEGPPRTCQPGEANDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETVLLLMRNLSINLTSPDFEDQRKLLSSYSTSDGLDLGSSRSSALATSTPFL >PAN07588 pep chromosome:PHallii_v3.1:1:52305227:52310722:-1 gene:PAHAL_1G347900 transcript:PAN07588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTPPPLPPPSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSVHRNSSMNATVSSWAVSSIPPAVASSMVKEDLGPGTLGPNNFCSSSTEGPPRTCQPGEANDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETVLLLMRNLSINLTSPDFEDQRKLLSSYSTSDGLDLGSSRSSALATSTPFLIIGE >PAN07587 pep chromosome:PHallii_v3.1:1:52306042:52310401:-1 gene:PAHAL_1G347900 transcript:PAN07587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTPPPLPPPSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSVHRNSSMNATVSSWAVSSIPPAVASSMVKEDLGPGTLGPNNFCSSSTEGPPRTCQPGEANDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETVLLLMRNLSINLTSPDFEDQRKLLSSYSTSDGLDLGSSRSSALATSTPFL >PAN07589 pep chromosome:PHallii_v3.1:1:52305227:52310722:-1 gene:PAHAL_1G347900 transcript:PAN07589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTPPPLPPPSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSVHRNSSMNATVSSWAVSSIPPAVASSMVKDLGPGTLGPNNFCSSSTEGPPRTCQPGEANDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETVLLLMRNLSINLTSPDFEDQRKLLSSYSTSDGLDLGSSRSSALATSTPFLIIGE >PVH65894 pep chromosome:PHallii_v3.1:1:7262443:7263053:-1 gene:PAHAL_1G099700 transcript:PVH65894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKPLELELIVSGRFLSLSDLGSSTAASTSTGRGGGEEARKEMTASLLLGHLHRSGTQLEGGMGVVFAGRTQRASRGHHVDGGGAVEPVATAGHAACCRTRRGGDTGSKVSGIAPTNLTCGDKGKSDSSSCT >PAN04692 pep chromosome:PHallii_v3.1:1:5846703:5848622:-1 gene:PAHAL_1G083900 transcript:PAN04692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSGSFKKYADLKQQVALDDLEAGGGGGGEREGPDLDRFFEDVEVVKEDLRGLEALHRRLQAAHEEGKTAHDARAVKALRARTDADGDQVLRRAKAVKARLEALDRANAASRKLPGCGPGSSTDRTRTSVVTGLGKKLKDLMDDFQGLRTRMAAEYKETVARRYYTVTGEKASDSTVEELISSGASETLLQAAIQQGHAAAAGRAQVLDTVSEIQERHGAVREMERSLRELHQVFLDMAALVEAQGHQLNDIESHVARASSFVRRGAVELEAAREYQKGSRKWACVAVVAGAVLVAVIVLPIIVNLHLLTVR >PAN08993 pep chromosome:PHallii_v3.1:1:59205038:59209886:1 gene:PAHAL_1G450100 transcript:PAN08993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVAAMHLLLLSSAAAAARASITFAVDMSPRLSLEEAATTGDYDDVSGGGEGDKGAQLIAGSPIVAGAMNNRLKALTSSFARSIGKQLDYCIKDTETEWNKAFDFSKDTSFLTNCMKETKGDLQQRICTAAEMRFYFESLLDSGDNGETNYVRPNLNCNFSSWIDGCEPGWACRAGDDQKIDLQNAKDIPYRALKCQSCCPGFFCPHGLTCMIPCPLGAYCPRSDLNVSTGICDPYNYQPPPGNPNHTCGAADIWADVVTTDDIFCPPGFYCPSTIQKLPCSSGYYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARHARETAQARERWKSAKDVAKKAGVGLQSQLSRTFSRNKKSGQAQAGPSKVGDAGGKKNNLTDMMRSLEDNPDSDEGFNVEVGDKALKKPTGKQMHTRSQIFKYAYGQIEKEKAMQQENHNMTFSGVISMAKDHDVTARPAIEIAFKDLTLSLKGSKKKLLWSVSGRLSPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGLVLINGKIEPIRGYKRIIGFVPQDDIVHGNLTVEENLWFNARCRLSADMSKADKVLVVERVIESLGLQAIRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFAGLGIVVPERVNPPDYYIDILEGIVKPNLNPGVSVKDLPIRWMVHNGYDVPRDMLQSNSDSESSSRGSIGHASSHDDAGPSIVSVLFGNVKDILVQKKDEYDYNKTSQDLSNRNTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFTLDKINYWRERASGMSSLAYFMSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGIGYTFAIFFQPSSAQLWSALLPVVLTLIATQQKNTIFADLCYTKWALEAFVIANAQNYSGVWLITRCGSLVRSGYNIEHELLCIGVLIANGIVFRCVAFFCMVTFQKH >PVH67019 pep chromosome:PHallii_v3.1:1:56593683:56594633:1 gene:PAHAL_1G412100 transcript:PVH67019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDGAEQYPCVGRCAAPIARYSASALAEGSGCVKERDLLGVAPCLGAVRSGAVALSSPGSSLRAAPWPKSPATPAGFEPLESGRHHALRRSSTRAPPPRQARPRCSPRTCGLRNGTSSARSASTACRTASARRQSHLWHSASCRHPPEQAETRGPRGGRIRGRPRRGERAISRPGGPPPPSTTYFTDWPLILSNIYKFPYFGLHRD >PVH66224 pep chromosome:PHallii_v3.1:1:25944121:25947632:-1 gene:PAHAL_1G181200 transcript:PVH66224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENKRGIPAHKRRKQGMIRRSWARNPPKRLKATTPPRLHCAFANRAPVLFPSQQCAFAGCSRRRAPAAAFPIARSAMSRGTRVSGDVMGNIPEWALRDEFPEEINFPDDFNPGNGRILTLNNRLRLTYEDIGWTQNKINEAYDKQERATLLQGQSSYETSMAIAQREIRDCEDSMPLLAGQAKCLIAEVIAVGGEPFVAGFVRQFLDKVPDAISVPQGLPAVSVFWGKDPVSAAQCNRFLQIRYSALARNVNRIRYLHDHRLRLEVDAQATVAGNDLTSKIQSCSTMLANVVQVIHKCEQLYGSQIFHMPAALRDKILEDPSIVNQGQLPDIDCLLSLYPQGIPQNSPIHHGRAVFLLFESAMGYSLFWAYNTLSPADLLSFESFTSVVKLIVHYPFATQAIAIQEFQHINNDTCSAMLRLFLELHLPQLTEWGWNSYRLGVRNRRFGQSIETATGIPCRRKKHSVKELRAGIEQFLHLFLEIEQAQLTEAQQDLQSKLEDDNPQQV >PVH66565 pep chromosome:PHallii_v3.1:1:48021622:48022905:1 gene:PAHAL_1G281900 transcript:PVH66565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGQSGEEDLQELFHHNDGASSHGVPMDDVDIATAAQKNVARRGFAFTKEEDAVLCSAYLNISKDAIVGVNQNMGAYWKRIYDYYSEHKPNGSIRSQIGLKKDGIEDAVKMYEQKEPFQFMHCWKILRYEAKWNDKLLEVNSTRNVRKEPAASEPVVTQGANDPVGDQGANDPLGQQGASDPLERPEGRDSAKRRRAKEESASSNAAVEVLQQIHQKNELTEVKQDAQMQEILSLKGDKMKLTQQMFDLHKHDIEVRNKYKEEQLNLTKQDIEVRAKQSEAQLLTAELGIMGADLDKLSPQVRSYYITMQQEIMKRRGIGTSQNSDGA >PAN06414 pep chromosome:PHallii_v3.1:1:46684929:46685921:-1 gene:PAHAL_1G262600 transcript:PAN06414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSPTSTPPPPATDAAAPGLPDLSIPYDLATRGQWQALLSHLSHPAHVPHPHHRLLLSALSALSLAKLRRFPDAAALLASLHPDPACPPPPFLIRLLHALLPLFLPDRPLALDRLYTLLSSVRARPDAGHPEWRRRDALVASLLAADHLAHREFDVALALLVDIAAREPGDPVLLSRLAYAHLQIGNLAAASAAFRHVESAAAAAEDPARHANLLARNRALECIVAKDYAAAVREYERCIEADPADAVALNNKALCLMYSRDLGDAIKVLEGALERVPTAALNETVVVNLCSMYELAFVNHGEVKRSLAEWIARVAPDDFDTSCTRM >PVH65860 pep chromosome:PHallii_v3.1:1:6441951:6443669:1 gene:PAHAL_1G091700 transcript:PVH65860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDIPIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLLVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN04783 pep chromosome:PHallii_v3.1:1:6440952:6443669:1 gene:PAHAL_1G091700 transcript:PAN04783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDGDASNPSSSRKGKRKAQERDLKSYFSPFVSSSINPSTHGSEVGNAIIEEEEVVETHLEDTNTIDQQPGSNENDQNDQGTITEFNPDYIISDPGLRIPIEQFSPNIRDEIRRAFMERGPTQPSSHVFPRGEDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETCVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDIPIPNMEDSVPRFGRSRKGGRNNITQDHYFRVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLLVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN06413 pep chromosome:PHallii_v3.1:1:46660632:46665946:1 gene:PAHAL_1G262400 transcript:PAN06413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGRETIAPPPPPRTSEPAPLAVVEPGEETTTPPPPPQATEPTGGVASALGPAPPTAEPARRAPPQEKPNAAAVGQPQQATAEEDRQPPRPTKAEEVAALPPPSETPSQERAAAAVEAEKQLPATPAPAPTQLQPQKRLEGGAAEEASSVHDEENDAEPARVQEGRRRWRHLQAAVCLVFLRCRRAPRGDQSTPQSPLPENRGGETKSPALAGKPPMSRPKEKKPTLAHKGDTKPSSPDGKQPASGQEQVDTSPPPAEASSLDLAAAAADAGKTLAAPPQQQPPQEQEVGAPEQAASIQEEKDAAPARAQEGQEEPARRRWRWLRAVVNLLFLRPKPKDKEGEATPSAPGRKQAVSSGLEDKKTTPAEKLQRKDDSPGGVPTEHQPQGKKADEGEMKPSAPDGKEPASGKKEQKPAPESKPHGKDSTGVVLDNPEVKQKDEGEGSKGQRHHETEAAPAGETNPLVKRFQKAGKRLLRILSWYKGHRSSGVGGEPAGAASMEQGKGADVGTKPVTADEKQAASGQEEEKPKPPHPKWPREEERLEEILEGAFTKLLASEYHQLSNIRQKCLLTFSVFELASEVKKQVMVYWWVSEFNLQHRSDQSAKLAADVAPAEIRRSKTLWRARETAAPVGGNHLPTPQGKVKDGGNPDAEAEGIFSELSSHGFLEPMKNWCSKVIHGCKVNPMVHWMLKRRARDDRFADLDVNGSPAVLQRNSSILCLTAGNRFLLQWMRTEDESQQIENKQQPKTRSTTSLHSPTYKAPAQDLKNIDYEEIAKLFKGKQVILNLNAHVYPVSKSTFSHLADCLVVLQLGRWCNLDDNTYMEVDGLESLSTIDSLKNLRYLSLRGLSRLTELPKGIRWLKKLAILDMRGCQNLVNVASEITKTLKQLTHLDLTECYMLEHIGREITSLSELRVFKGFVFATGTQGNRACRLQDLGRLKKLQKLTVSITTDANVGKAEMAELKHLVSLRKLMIIWSEIPSILDGDSDVMKKRREDLIEKWTSFELPQELWKLDLRCYPKNELKLKEHKNLKKLYLRGGDLERFSIDESKLSNPSEKTNRIKTLRLRYLKIFNMKWEEIRLLLEDIEYVEIVSKDEKLMNDVDKEECKLVKQKKISYSCLDENGVWVKDNKEEENLSSIAQATKKKEAQGTIEKSKGRIEDPRTKAASVIKDVNKDDNNNAIKEQSESKEEQVVTQQLKTKPTPIG >PVH66221 pep chromosome:PHallii_v3.1:1:25930673:25931551:1 gene:PAHAL_1G180900 transcript:PVH66221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDDHERGIKLLYALDRKVWEVKVNTIQESTTLDTLTVDELFSKLKSSKLDNQLQAKLCNPSAPSVALVSGKTSSSSSSNPSLGFSLSALVSVTKEQLECLGDDELALIIGQFSRFHNNRLNRQRGGGPKEGCFGCGDPDHYIASCPKKNKQDAGKRSSDRFYTDKYDSSKHKDRREYSSGKPEHKAKKFDKDYIKRKYIKKKKAEKHAFLASLSDLEDSDAKASSSDDEAETKIEEKLSGLCFFADAKHGGFCTMALGDEASDNGNLFILAYLISFDHLEFCASTCTLLT >PAN08285 pep chromosome:PHallii_v3.1:1:55764218:55766372:1 gene:PAHAL_1G400200 transcript:PAN08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRNFNMMRSFVHALKGKGKKDGSSSSRSQGKNNDESASSDRPSLFRGSSSHLSRRSALQRCLDEAMQQEEEGRGVDEEEYVANVDGDGGSGEGDGCEDRVECEDGDDGDAEEEDEEDEEEDTAAQTVFRGNNVMTPAATNPANRRQIRPHGDWQWDDICWEGRNRLRPVNAILGTLCRFHYPGMVAVEGVLQPALKWEHYKLQSDDQGVTTAARVWNEFLERYRLPEGEEQCLQDRARSVFDKAATKVVRDMMSNARIQCVCLYYKKIKLQDMNKKLGASEI >PAN08173 pep chromosome:PHallii_v3.1:1:55345773:55347101:-1 gene:PAHAL_1G393300 transcript:PAN08173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESVQLTWTRRSSELLRARPRRRAWWLCLASSFVAASMAGVTLSVLLLVAGVVAMLVLHILIVFWALRRGITLRAAASRQDEGRAEGLSAEDLDGLPCHDHEGKSGAGGECAVCLEAFRAGDRCRALPGCEHGFHAQCVDRWLSKSRVCPVCRAVVVVVDTSRGKAAGTVAGEAAASSEVVAERQGGRGSVARELGCEFAV >PVH66956 pep chromosome:PHallii_v3.1:1:55345773:55347031:-1 gene:PAHAL_1G393300 transcript:PVH66956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESVQLTWTRRSSELLRGGSSPATCGFLCPLLWRRLISSALSFPVLARPRRRAWWLCLASSFVAASMAGVTLSVLLLVAGVVAMLVLHILIVFWALRRGITLRAAASRQDEGRAEGLSAEDLDGLPCHDHEGKSGAGGECAVCLEAFRAGDRCRALPGCEHGFHAQCVDRWLSKSRVCPVCRAVVVVVDTSRGKAAGTVAGEAAASSEVVAERQGGRGSVARELGCEFAV >PAN08174 pep chromosome:PHallii_v3.1:1:55345773:55347100:-1 gene:PAHAL_1G393300 transcript:PAN08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVTLSVLLLVAGVVAMLVLHILIVFWALRRGITLRAAASRQDEGRAEGLSAEDLDGLPCHDHEGKSGAGGECAVCLEAFRAGDRCRALPGCEHGFHAQCVDRWLSKSRVCPVCRAVVVVVDTSRGKAAGTVAGEAAASSEVVAERQGGRGSVARELGCEFAV >PAN04089 pep chromosome:PHallii_v3.1:1:2788965:2797823:-1 gene:PAHAL_1G041200 transcript:PAN04089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATATASRLAVLAPRTLPPAPGRRRHAPAPGCAPSRPRALSAAPRGRVLCLAAPAPAAASTIDAGQDRLQKVPISNIRNFCIIAHIDHGKSTLADKLLELTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYIMNKEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVAQEIEEIIGLDCSDAIRCSAKEGIGIIEILDALVTKIPPPKDTSKDPLRALIFDSYYDPYRGVIVYFRVIDGSIKKGDKICFMANGKEYVADEIGVLSPNQMQVDELYAGEVGYLSASIRSVADARVGDTITHYSKKAASALPGYSEATPMVFCGLFPIDADQFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVICADGETVECSNPSLLPEPGKRRSIEEPYVKIEMLTPKDYIGPIMELAQDRRGEFKEMNFITESRAKIIYELPLAEMVGDFFDQLKSRSKGYASMEYSLVGYRESQLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGTKVIASEALSAIRKDVLSKCYGGDITRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >PVH66048 pep chromosome:PHallii_v3.1:1:11787775:11788290:-1 gene:PAHAL_1G134700 transcript:PVH66048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSVSSNSPSSSSIISITSPDSETSREATPEFDPIASYEALAPLHWEAEEWDFSTWSEDDEPLTDDEDLQTLLHGDLDEGDIEDSWDDDFFSFSEEDAKDTSTDDDSVAGGFLRGGSLTSEDTGDASDDTSDDGGNSNSTGGNDGSSDDDTSASLPYKRRKILGTYWW >PAN08646 pep chromosome:PHallii_v3.1:1:57774875:57776728:-1 gene:PAHAL_1G427200 transcript:PAN08646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDGKNGWRVELSRNSSGRGGRDRYGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYSRRSYSPRYRSPRRRSPSPARGRSYSRSP >PAN08647 pep chromosome:PHallii_v3.1:1:57773519:57777589:-1 gene:PAHAL_1G427200 transcript:PAN08647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDGKNGWRVELSRNSSGRGGRDRYGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYSRRSYSPRYRSPRRRSPSPARGRSYSRSP >PAN07877 pep chromosome:PHallii_v3.1:1:53816411:53820560:-1 gene:PAHAL_1G369800 transcript:PAN07877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSPRGRHATTGLAPLLLLLACVASCSVPAVRAQAMMYTGPDAGGVREITNFSFPGFERDLLKLPNLTFAGNTSVSQRSLQLTPDSLNDPQKFLVNQVGHIFYSTPFVLWAPNASNATADGRHVASFSTVFKANLYRGANTSVKGEGLAFVVASGKDGGPPPGSYGGYLGLTNVSTDGLRTNEFAAVELDSVKQSYDPDDNHVGLDVNGVRSTVAVPLRPFGIELAPRDTNETNDGSNYVWIDYNGTARHVWVYMSPTDVKPATPVLNASLDLSTILLGRKGYFGFSASTGVDYQLNCINMWNITVELLHDDSVPNKNLSGWKLGLAIGVPCAVALALGLLAGLYVMKKRKKVGDDPSSVFNNALNLRSIPGVPKEFDFKELRKGTGNFDEKMKLGQGGYGVVYRATVAGENGQSVEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHDNGVLLLVYDYMPNGSLDRHLFGAKDAAALDWRQRHTVVAGVASALNYLHHEFDQTVIHRDIKPSNIMLDSSYHARLGDFGLARALESDKTSYTDKIGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEVVCGRRISCSNPAGCSQLLEWVWRLHGAGRVLEAVDPRLGGEYDEEEARRLLLLGLACSHPNPRKRPTAQAILQNLQTRSVPPLPVPASKPVFMWPVPLADGEVEEEGDEYGGEPPSSMSHSDVTSSSSHYAYASSSGYTTQNYPVTRDAAAERDVSTV >PVH66007 pep chromosome:PHallii_v3.1:1:10061815:10063928:1 gene:PAHAL_1G125500 transcript:PVH66007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARKPAPQSFFATHAAQLQIVCGSLLRTLPSPPDKRRNPREPALSREPYRIGHRRLPPSHSSTAPPIFWRAHALPPLSPRPPARHPHSAGGAAQVISAPARRHLRPPRSGVFSSFPKGPRPYQLQDCRR >PAN05258 pep chromosome:PHallii_v3.1:1:10061815:10063923:1 gene:PAHAL_1G125500 transcript:PAN05258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARKPAPQSFFATHAAQLQIVCGSLLRTLPSPPDKRRNPREPALSREPYRIGHRRLPPSHSSTAPPIFWRAHALPPLSPRPPARHPHSAGGAAQVISAPARRHLRPPRSGVFSSFPKGPRADHTNFKIAEDDTSDGEDQLQ >PVH66190 pep chromosome:PHallii_v3.1:1:23923397:23924986:-1 gene:PAHAL_1G173500 transcript:PVH66190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWPATCWSSRTSCNGSGPARRRQRRRAIFRDAARRRVRPHRGASAVTWRTRRAIERAVEVRREAQFDASIASRRRSQRPPTCPKPPRVSARRGATARSSSSRPPRPRPSQRPRHPPRPTIAATLGRPSSLRWHPRVRPCSGSCRRRSPTPPRPLLPPSAHPLTSRHSGPRASSLI >PAN09026 pep chromosome:PHallii_v3.1:1:59363485:59365984:-1 gene:PAHAL_1G453100 transcript:PAN09026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTLSLTSAFPFPSPSSSRRLTPSRRATSLVIVAQGKVKKYRQVILTDDIEEVGRKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEESQQLARVFETIGAFKIPRKGGKGKQIFGSVTAQDVVDIIKSQLNRDVDKRLVTVPEIREVGEYVAEIKLHPDVTARVRLNVYAK >PAN09028 pep chromosome:PHallii_v3.1:1:59363679:59365984:-1 gene:PAHAL_1G453100 transcript:PAN09028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTLSLTSAFPFPSPSSSRRLTPSRRATSLVIVAQGKVKKYRQVILTDDIEEVGRKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEESQQLARVFETIGAFKIPRKGGKGKQIFGSVTAQDVVDIIKSQLNRDVDKRLVTVPEIREVGEYVAEIKLHPDVTARVRLNVYAK >PAN09027 pep chromosome:PHallii_v3.1:1:59363810:59365984:-1 gene:PAHAL_1G453100 transcript:PAN09027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTLSLTSAFPFPSPSSSRRLTPSRRATSLVIVAQGKVKKYRQVILTDDIEEVGRKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEESQQLARVFETIGAFKIPRKGGKGKQIFGSVTAQDVVDIIKSQLNRDVDKRLVTVPEIREVGEYVAEIKLHPDVTARVRLNVYAK >PVH66524 pep chromosome:PHallii_v3.1:1:47289729:47291574:-1 gene:PAHAL_1G270900 transcript:PVH66524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHFVRPPASCICISARAPNCSAYTCASPRTTHRSGPSMTHPGASDDSMDSPWPSGAAAAGPPTAPVAAAAGSVMTAGSIATVAGTILIFMVIAAGLVTLQYFFDAWDTDRHGARASRRRGGGAAGGGGGIRAARGVNPDVLRSLPVTVYRKAAPGSREEDAAECAVCLAELEDGEEARFLSRCGHGFHAACVDTWLASHTTCPLCRLTVAKPDASPAPALALRPVQPEPANYSANLPASVLLGVSDQGAVTAVTVASDGDTSASTGTTAVLVIEIPESLAVPAPTLRDAAKTPAGSARPRSFRRLWSFGRQGVGASSSCSCGGAGEGDDVEQGGATQGSAV >PVH66791 pep chromosome:PHallii_v3.1:1:52405935:52407548:1 gene:PAHAL_1G349400 transcript:PVH66791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 45 [Source:Projected from Arabidopsis thaliana (AT3G56891) UniProtKB/Swiss-Prot;Acc:B3H6D0] MLRFWRTQRSSTLSNASSIVEMNVHMDCDGCEKRVKKAMSRLRGVSSVEIDMDRQKVTVTGRVDRREVLRAARRTGRAAEFWPWPYDGEYYPFAIQYLVDDTYVASDRYHRHGYNDPMIGSYPCHAFTHVVGDDVLAVFHEDNVHACAVM >PVH66687 pep chromosome:PHallii_v3.1:1:50371701:50372170:1 gene:PAHAL_1G316700 transcript:PVH66687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PVH65762 pep chromosome:PHallii_v3.1:1:4972807:4977358:-1 gene:PAHAL_1G072500 transcript:PVH65762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNLGLVHYVLDHIYGTLLHRTKLGTPFFSKGWGGTKLDLLERMVKQLFPEAHCQNWPPTAVHPMWKTVWETNSSCLREGVFRTTCDERLIDALPPESHNARVAFLTPKNITPEKMACVVHLAGTGDHTFERRLRLGGPLLKNNIATMVLESPYYGQRRPSMQRGAKLQCVSDLLLLGKTTIDEARSLLYWLQTEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGVYKYATAWDVLREDAAAITQDVTSLTEDAAQKTGITIEQVRDRLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPRHSVMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >PAN08119 pep chromosome:PHallii_v3.1:1:55120268:55121645:-1 gene:PAHAL_1G389400 transcript:PAN08119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARRRPRPGAAAALLLLLAVSLGFGTCYAAAAGVAPNSGAGGMTELQKHVAFFDRDRDGIVTFDETYQGLRDVGLGAVAAKASAALINAAIGPKTRPDNANSSSSRMDIYIQNIQKGKHGSDTGAYDAQGRFVPARLDEMFAKHAKTAPNAMTKDELDEMLKANRESNDVNGWVAAKAEWEMLYDLAKDKDGRLQKDTARAVYDGALFYQLAGKKG >PVH66054 pep chromosome:PHallii_v3.1:1:11970921:11971737:-1 gene:PAHAL_1G135700 transcript:PVH66054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNTKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRHLGSMLQKDGDIEEDVRHRISAGWLKRRQASGVLCDKKVPQRLKSKFYRTAIRPVMLYGAECWPTKRRHVQQLSVAEMRMLRWFYGHTRRDGVRNEEIGDRVGLAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLNRGDNTVKRDLKEWNIAKELAMDKSAWRLAINVPKP >PAN09063 pep chromosome:PHallii_v3.1:1:59484191:59490245:-1 gene:PAHAL_1G455800 transcript:PAN09063 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MAAAAAASMGVVREVLGPDIVEEVDQPIIDYIANVLADEDFDFGAPDGHGIFEALGELLIDSGCVSSQDHCLQVCSKLCEKFGKHGLVKPKQAVRSLVTPLRMNAGMDDDVAPKKQPEVFEGPLLSSRDKAKIERKKRKDERQREAQYQMHVAEMEALRAGMPPVFVNHNNDGGPAVRDIHMENFSVTVGGRDLIQEATITLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTIALQCVLNADVERVQLLQEEARLVQQQKDLEIEAEFGQGSGKSKGDLDKDFISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKEFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVSGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSDGRVTPFSGTFKDYKKLLKP >PAN04374 pep chromosome:PHallii_v3.1:1:4287013:4288622:1 gene:PAHAL_1G062600 transcript:PAN04374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGDGDIGEAEAASTTKNRRTIYDYLGEGEDGEGASPPRLRLPRFTCARIRFGRKRGGSRGREEADKSDGASSAESPSGSSKQAAVTTTSSGTSSVTAAAVAAQTGMGLSMLLLLARTCVELNRMAEVRAQMEALLKEIRDEADRVKGAADHVAVTPKTCNDNLQSTSTTTASSSCVSDTSTNCLEIARGEDGRRTSEDEGCAGVDGALEAELEAEPARRQPPPLEWTCSTEHETPECSMQSPSDDDEFIELEGGCFGGGGGGYPDGSNDGDDGSSLRERNERGVSAIELERRLHELRHRRDRERISALESALRRAERRLTEKEMEARLWQDTAALALGGQPAPRDVGREQ >PAN04373 pep chromosome:PHallii_v3.1:1:4287013:4288622:1 gene:PAHAL_1G062600 transcript:PAN04373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGDGDIGEAEAASTTKNRRTIYDYLGEGEDGEGASPPRLRLPRFTCARIRFGRKRGGSRGREEADKSDGASSAESPSGARRARGSSKQAAVTTTSSGTSSVTAAAVAAQTGMGLSMLLLLARTCVELNRMAEVRAQMEALLKEIRDEADRVKGAADHVAVTPKTCNDNLQSTSTTTASSSCVSDTSTNCLEIARGEDGRRTSEDEGCAGVDGALEAELEAEPARRQPPPLEWTCSTEHETPECSMQSPSDDDEFIELEGGCFGGGGGGYPDGSNDGDDGSSLRERNERGVSAIELERRLHELRHRRDRERISALESALRRAERRLTEKEMEARLWQDTAALALGGQPAPRDVGREQ >PAN04383 pep chromosome:PHallii_v3.1:1:4287013:4288659:1 gene:PAHAL_1G062600 transcript:PAN04383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGDGDIGEAEAASTTKNRRTIYDYLGEGEDGEGASPPRLRLPRFTCARIRFGRKRGGSRGREEADKSDGASSAESPSGARRARGIYLSSPRRPNRHDMASSSCCAVDSYSSVPAIAGSSKQAAVTTTSSGTSSVTAAAVAAQTGMGLSMLLLLARTCVELNRMAEVRAQMEALLKEIRDEADRVKGAADHVAVTPKTCNDNLQSTSTTTASSSCVSDTSTNCLEIARGEDGRRTSEDEGCAGVDGALEAELEAEPARRQPPPLEWTCSTEHETPECSMQSPSDDDEFIELEGGCFGGGGGGYPDGSNDGDDGSSLRERNERGVSAIELERRLHELRHRRDRERISALESALRRAERRLTEKEMEARLWQDTAALALGGQPAPRDVGREQ >PAN07604 pep chromosome:PHallii_v3.1:1:52356507:52363108:1 gene:PAHAL_1G348600 transcript:PAN07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNGALSETQSWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERHLGEMNRQLKHKLEAEGCSNYRTLQHAAAWPAPGGTIVEHDGATYHVHPPAHSAAMDCEPTLQIGYPQHQFLPSEAANNIPRSAPGGENNFMLGWVL >PAN07357 pep chromosome:PHallii_v3.1:1:51121873:51125628:-1 gene:PAHAL_1G329200 transcript:PAN07357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-phytosiderophore transporter, Iron homeostasi [Source: Projected from Oryza sativa (Os02g0650300)] MDDVVDRARGGDEIEKHGPGPEDMESDPAAAAAREKEQVRPWREQVTLRGMVAALLIGFMYTVIVMKIALTTGLIPTLNVSAALIAFLALRGWTRALRRLGIAHRPFTRQENCVIETCAVACYTIAFGGGFGSTLLGLDKKTYELAGNSPANVPGSYKDPGFGWMAGFMAAISFSGLLSLIPLRKVLVIDYKLTYPSGTATAILINGFHSKQGDKNAKMQVRGFLKYFGLSFVWSFFQWFYTGGDVCGFVQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNISTLLGAILSWGILWPLISKQKGEWYPANVPESSMKSLYGYKAFLCIALIMGDGLYHFFKVLGVTVRSLHERLNRKRASNRVANGDQMVPLDDLQCDEVFNEGSFPAWAAYSGYAGLSVIAVITIPFMFRQIKWYYVIVAYVLAPLLGFANSYGTGLTDINMAYNYGKIALFIFAAWAGKDGGVIAGLAGGTLVKQLVMASADLMHDFKTGHLTMTSPRSLLAAQFIGTGMGCIIAPLTFLLFYNAFDIGNPNGYWKAPYGLIYRNMAILGVEGFSVLPKHCLTLSAGFFAFAFVLSVARDVLPRRYGRLVPLPMAMAVPFLVGGSFAIDMCVGSLVVFVWDKMNKKEAAFMVPAVASGLICGDGIWTFPSSLLALAKIKPPICMKFTPGS >PVH66724 pep chromosome:PHallii_v3.1:1:51121873:51125231:-1 gene:PAHAL_1G329200 transcript:PVH66724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-phytosiderophore transporter, Iron homeostasi [Source: Projected from Oryza sativa (Os02g0650300)] MAGFMAAISFSGLLSLIPLRKVLVIDYKLTYPSGTATAILINGFHSKQGDKNAKMQVRGFLKYFGLSFVWSFFQWFYTGGDVCGFVQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNISTLLGAILSWGILWPLISKQKGEWYPANVPESSMKSLYGYKAFLCIALIMGDGLYHFFKVLGVTVRSLHERLNRKRASNRVANGDQMVPLDDLQCDEVFNEGSFPAWAAYSGYAGLSVIAVITIPFMFRQIKWYYVIVAYVLAPLLGFANSYGTGLTDINMAYNYGKIALFIFAAWAGKDGGVIAGLAGGTLVKQLVMASADLMHDFKTGHLTMTSPRSLLAAQFIGTGMGCIIAPLTFLLFYNAFDIGNPNGYWKAPYGLIYRNMAILGVEGFSVLPKHCLTLSAGFFAFAFVLSVARDVLPRRYGRLVPLPMAMAVPFLVGGSFAIDMCVGSLVVFVWDKMNKKEAAFMVPAVASGLICGDGIWTFPSSLLALAKIKPPICMKFTPGS >PAN04046 pep chromosome:PHallii_v3.1:1:2564231:2577912:-1 gene:PAHAL_1G037500 transcript:PAN04046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQSAILVAEGAIKSIKLSLSTEEEICTYSINDCPVTHPSQLANPFLGLPLEAGKCEACGASENDKCEGHFGYIELPVPIYHPSHVSELRQLLSLICLKCLRIKKGKVKQSKGKENGLATSCFYCRDVPALSLKEIRTTDGAIRLELRAPPRKHMTERSWNFLDKYGHHYGGSSHERPLLPEEALNILKKVPDDTRRKLAARGYTVQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISIALLKKVLQKIEQIKRSRSGSPNFKSHEEESCDLQLAIGKYIHLRGTTRGPQDNTKRFAVGTDSAALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDININRLQEVVDKGLCLTYRDGQANYAITVGSKGHTTLKVGQTIRRRIVDGDVVFLNRPPSTHKHSLQAFYAYVHDDHTVKINPLICGPFSADFDGDCVHIYYPQSLAAKAEALELFSVEKQLISSHSGTVNLQLGNDSLVAMKLMSSRTMLSKELANQLAMFIPFSLPPPAVIKSIPAWTITQIVQGALPAKLTCQGKTHLIRDSTVIKLDLEKDCVHDSFSDLMSSLLSQKGPGEVLQFLNVLQPLLMEFLLLDGFSVSLKDFNVPKAIYEEVQRHIRKQSLILEQSRFSKSQFVEMRVENNLKSIKQQISDFVAKCSDLGLLIDPKKDSAMSKVVQQLGFVGLQLYREGKLYSSRLVEDCFSNFVNKHSDIGEEHPPEAYGLVQSSYFHGLNPYEELVHAISTREAMVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNTCSNSIIQLKYKEDDETDFPSALPPGEPVGVLAATAISNPAYKAVLDSSQSNNASWESMKETLQAKTGYNNDMKDRKVILFLNDCSCSKKFCKERAALTVQSCLRRVTIADCTTDICIEHQKQINLDGTSEAAPSLVGHIHLDKAQLERININTQDILQKCEEVSRRYGKKKGHLCHLLRKITFATCDCSFGQNPVDGYLHMIPCVQFSFSDDNTILSESVERAVNVIADSVCSVLLDTIIKGDPRIQAAKIIWVESDATSWVKNTRKTPKGEPALEIIVEKDEAVHNGDAWRTTMDACIPVLNLIDTRRSIPYGIQQVRELLGISCAFDQVVQRLSTTVKMVSKGVLKDHLILVANSMTCTGNLYGFNTGGYKATFRSLKVQVPFTVSTLFTPMKCFEKAAEKCDSDSLGCVVSSCSWGKHAAIGTGSSFEILWNENQLKTNKEYGDGLYDFLALVRTDQEKAGYAFLDDVDYLVAENAVDDVCLSPEPDGILGKPTFEDNFEEHDIQKGSSWENGATMNSTWEQNASAGNDSGDWGGWSNGAAAATKPLDQDNSCWDVHAVVENNSTDWGGWGTEKQTNVEQAAIGTWADKGARVESSAGDNNWEKKSSTPEDHDPWGNMPASASENVWDKQKGDGGDSAWEKQKCSCKEQEMDVDQDSWRKKTTPPSSNMWDKKKSDGGHEEQPSSWNEQMLIVDQDSWGNAREKKKLHGGDSQWVERVSTYKRNRANADDDSWDNMAMPPSNNAWDAGKGVGRSNTKSDGGSSWGNRDNMGTYEHSEAPKESDPWSTGKSNERAWGKTDALQDSWGKSAADNNNMQEGSWDKIALTDTNSQQDSWGNVAIQNNNTLNDSWENGAEKVQTSAAEDSWGNLAATPAGNADAKQSDSGNSKGWKSDGWGGKSGNWSGQRNNSGRPPRRPDERGPPPPRQRFELTTEEKNILKEVEPILMRVRRISREASDGVRLQPEDEKFIQEKVLENHPEKQSKVSGAIDYIMVDKHQTFQDTRCFFVVSTDGSRSDFSYFKCLENFVRKNYKEDADTFCMKYLRPRRRQAPPSDGGATPGVPAEAPPSTAAETEQGTPAPPAEVPQETVGSPVAAPEETPNPDSSGDAGILEKQPDLTPASPVAAPQGVPELDSTGDAGTLEKQPDLTPASPVAAPQEVPEPDSTDDC >PAN06035 pep chromosome:PHallii_v3.1:1:37843252:37844747:1 gene:PAHAL_1G220000 transcript:PAN06035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSDMVDNIVGDRVMTSKLSIEVDDSSSSDDSDREEHKAQDVAAAREAPLPLPPTVRRRLLSKQLSMKETTRVAKWEKRRRQILRRSGLVSVVSREQQEGDDDGGGGRNNMSAVDRRHHVVRSSSERAMRCLTDEDLDELRGSFELGFGFDEETGGAHLRNTLPALDFYFAVNPVRPQAAHREPHVDAFGRVVVVHAPRHPETTQPQRAPAASGADPWKIFFPGDNPQLVKTRLRHWAQVVACAIKHGC >PAN03543 pep chromosome:PHallii_v3.1:1:362537:365918:1 gene:PAHAL_1G003400 transcript:PAN03543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAQKKTTTTATMMASWSMEAVMLPASMVLVQAFTMGALLLSKLAFNIGMEPFVLLAYRNLIGAIIVAPFAVYFDRSMVSKVSLKVLGWLSISALFGIVLATGLHYYGLRATTAAYSVNFLNLIPVVTFLIAVLLRLERLAARTWAGRMKMVGTAICVGGTMVTSLYRGRLLHPWPTHLLKHHAAAGVPAHHNMALGTVYLCGSCLAYALWFIVQVRVGREFPCKYLSTMLACVSGTAQALLIGAAASRGRAASWRLDWDLQLVAVVYSGVFNTGVSFCLISWAIARRGPIYPSMFNSLSLVATTVLDSLLLGTGVSVGSMLGALLIVVGLYAFLWGKGREMRQNKQQQQPPPAAGEVTADHAATSAATAANNSGDERVDRPGVNIYIHH >PAN07904 pep chromosome:PHallii_v3.1:1:53926093:53927132:1 gene:PAHAL_1G371700 transcript:PAN07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHGLVARHSAGRDQGARRRRTSVWRSVHPLGSPWTMAPPPLLASLLLAGLALLLAAGVAERKPHVNHGKFKDGPWTVGHATFYGGRDGPGTTDGGACGFKDALAKDYGRADGWPRTRFGFGLNGKRIGFSFLGM >PAN06352 pep chromosome:PHallii_v3.1:1:46166049:46170065:-1 gene:PAHAL_1G258000 transcript:PAN06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVGSGRPPAAQKILQSLRPPLAFASPSRPPFAAPDDYHRFPTPAAAAAPAATSGGVGAAGASDTIEEGLVIRTPLKRKATSEDNDAADSSDCIITSTGFAGSPMLTPVSGKTVKTSKSKSKNNKAGPQTPTSNVGSPLNPATPAGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELENGISALQAEVENLSLQEQAIDERIRDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEELGGVATPTRHSNMPRHHPIEDSNTTNGGQCSTAMDVVQNVQQSQRAPQDPSALHDFGGMTRIIPSDVDTDADYWLLTEGDVSITDMWKTAPEVQWDQMDFLSEEVVTPRAHNQQPVTVGRPQMQAPSMDEP >PAN06350 pep chromosome:PHallii_v3.1:1:46165429:46170332:-1 gene:PAHAL_1G258000 transcript:PAN06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVGSGRPPAAQKILQSLRPPLAFASPSRPPFAAPDDYHRFPTPAAAAAPAATSGGVGAAGASDTIEEGLVIRTPLKRKATSEDNDAADSSDCIITSTGFAGSPMLTPVSGKTVKTSKSKSKNNKAGPQTPTSNVGSPLNPATPAGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELENGISALQAEVENLSLQEQAIDERIRDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLSI >PAN06351 pep chromosome:PHallii_v3.1:1:46165428:46170332:-1 gene:PAHAL_1G258000 transcript:PAN06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVGSGRPPAAQKILQSLRPPLAFASPSRPPFAAPDDYHRFPTPAAAAAPAATSGGVGAAGASDTIEEGLVIRTPLKRKATSEDNDAADSSDCIITSTGFAGSPMLTPVSGKTVKTSKSKSKNNKAGPQTPTSNVGSPLNPATPAGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELENGISALQAEVENLSLQEQAIDERIRDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEELGGVATPTRHSNMPRHHPIEDSNTTNGGQCSTAMDVVQNVQQSQRAPQDPSALHDFGGMTRIIPSDVDTDADYWLLTEGDVSITDMWKTAQVQWDQMDFLSEEVVTPRAHNQQPVTVGRPQMQAPSMDEP >PAN06574 pep chromosome:PHallii_v3.1:1:47439206:47440381:-1 gene:PAHAL_1G273300 transcript:PAN06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGAASPSPSPSPSRSPSPLPVADPVTVAAAPPGHVAVAIPLRKPSPSSGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKGARSDIQCKNRIDTLKKKYKVEKAKRASSWPYFDRLDDLLAPVYKANSSSSSAAAAARSAPPMVPPRINFPQRTRTPLQPSAGAKRRMPSPPPQASASSDSSDGFPPEPLSALANGKRQRVEEPTPAAATNGAESSSSSRVQGLRDLAQAIRRLGEVYERVESAKREQELRMEQERLEAARELEEQRVEFFLKMQMELSKATGSGSAAPVAVPIPADGNGTRRTGMAAEVASSSNHRVRYRIKGSMHHQAAQQPHYQNNAASGGNGSDSDNKEAEEDAEDEEEESQ >PAN08198 pep chromosome:PHallii_v3.1:1:55464219:55465651:1 gene:PAHAL_1G395200 transcript:PAN08198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQHCTPRAAQSAARSAARAAEEVHGSSGRRGVARACAGRGPAARGGGTTRRSGQQQADLSSGVAAASRPQLGGGGTGAAAAWGRRRGRCSSGRRERAAARGGGGGAAARAGERQRGRSSLGRWRA >PVH65711 pep chromosome:PHallii_v3.1:1:3956550:3958638:1 gene:PAHAL_1G058500 transcript:PVH65711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHLYPRPSPIRPAWTNAPAPTQEEIPFPYKARNSRGLLPASLSLLVNPAISGKQLSRLSSVAGAGWGARRGGGDRMEVGLVTRYWGVGGRLCGACGGSPAAVHCRTCPGGAYLCAGCDAGHAPAGHERVWVCEVCERAPAAVTCRADAAALCAACDADIHDANPLARRHERVPVQPIGAAAPADPLLFADVMDPFLGQDFARFPHADSVVPNNGSSGRALDLDFGGAAAAAVVAKPSYSSYTAASLGHSGSSSEVGLVPDAICGRGGSVTGGVIELDFAQSKAAYLPYVATPTHSVSSLDAGAVPDRSDGGVMAGRVAATAPAAAESREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRAEDNDADADAEAAGFPREAAAAAPPKQPQPAAYHPYVLDFAAGYGVVPTF >PAN07507 pep chromosome:PHallii_v3.1:1:51862716:51866189:1 gene:PAHAL_1G341500 transcript:PAN07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPPPSPPSAKRPKMSSSSDPEADAEPTSPSAAGADPGQPRRPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFIDRLNAQLAPQIRAYGYVRVTNSFNAKKFCDRRRYLYLLPVFALDPSAHPDREAVMASMGSGSELTKCLECSERGRKVPGVMGREGKPPSPGESGADAPVEGTVDNHDESGSIGAAKCDPTILDGGNTSAHGELGLSSNEKLDLPVSGNGTDSGNAELGSNSVVDAIPSDASIGNEEDKVEATATEEKVQGSNGEEKLPTKSDFSYTDEVKERFNRILKYYVGTHNFHNFTTRTKAEDPAAKRFIISFAANRVVSLDGIDFIRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYCEEAEEFKVKYIFPHIAAMEHKEGAVALWLHSLNHRNYPDFRYMETAGAEAKIGAEVAADAEAKVGAEVDSIEEVQMPNDNVSE >PAN07508 pep chromosome:PHallii_v3.1:1:51862716:51866189:1 gene:PAHAL_1G341500 transcript:PAN07508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPPPSPPSAKRPKMSSSSDPEADAEPTSPSAAGADPGQPRRPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFIDRLNAQLAPQIRAYGYVRVTNSFNAKKFCDRRRYLYLLPVFALDPSAHPDREAVMASMGSGSELTKCLECSERGRKVPGVMGREGKPPSPGESGADAPVEGTVDNHDESGSIGAAKCDPTILDGGNTSAHGELGLSSNEKLDLPVSGNGTDSGNAELGSNSVVDAIPSADADASIGNEEDKVEATATEEKVQGSNGEEKLPTKSDFSYTDEVKERFNRILKYYVGTHNFHNFTTRTKAEDPAAKRFIISFAANRVVSLDGIDFIRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYCEEAEEFKVKYIFPHIAAMEHKEGAVALWLHSLNHRNYPDFRYMETAGAEAKIGAEVAADAEAKVGAEVDSIEEVQMPNDNVSE >PAN07581 pep chromosome:PHallii_v3.1:1:52280333:52283317:1 gene:PAHAL_1G347300 transcript:PAN07581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSEIRFGHQIPLPHSSTDCYEEEEEEEEEEEEEEEEEFEGEEEMDDVTFSSPLMLPATAARGGVSVVEMVAGALRRSLMLCSSSGTGVREAAPEEDGPAAAGMQIGGPTDVRHVSHVTFDRFVGFLGLPADLEPDVPRPVPSASVSVFGVSPTSMQCSYDRRGNSVPTILLTMQRKLYSLGGLQAEGIFRINADNSQELYVRDQLNRGVVPDGIDMHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECGHLVSTLPPVEAALLEWAINLMADVVENERFNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLILKTVNEREEAATVARAFPSSSGSPSDKDEPQTLEHLDMPSICSSQRNADSPIIDAAKLDQFLFRVEEALHHETQDSTGGAKNHGGSRGNDKSNGEMSPLDTDLSSQNEFNNNNEEGLFDRFKFRKGVGRLCRHPVFQFSRSMKKADEAEQACV >PVH66971 pep chromosome:PHallii_v3.1:1:55617669:55619690:1 gene:PAHAL_1G397100 transcript:PVH66971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPRHPVLSAPALPSSPSPSLAPSRFRPIPCTDSRTSASTTASADATSASTAASAGAFSVEDYLVTRCNLYPNVAARVAPELSAIKSPSKPDAVLAFLASALELSPPLIAVAVARDPTILTCSVPRTLAPRADELRALGFTTFQMGLLVARCGAAVFRSRELISRVQFWLPYLRGRVDKLVAALKVNPGLLSADLRTVRPTIALLQEEGTLTDDDVGWFAISYCSKLLIAGPDEVDAVLARADEFGVPRKMRAFKDAIIAAFSATPERLAWKAAFFRDELGWTEAQVKTAAAKMPTLLTVSAERLRRNWEFLTTEVGVDAERVANFPALLRYDLEGRLVPRFQVMRVLQARRLWRGRDFNNIAAVTEEEFVAKFIRPFLVKVPNLAKVYEAAVVRKEAQ >PAN08378 pep chromosome:PHallii_v3.1:1:56427750:56432699:1 gene:PAHAL_1G409000 transcript:PAN08378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRPSQSHGGGGGGDEERLPLRGGLETERAPPPHHAAEQLTEARRGGGGRLWRASVRAGLVLCLLTVPAVLLLLRWQADSSPQWVFDFEAPEEDDDQDIQDDMSDDISPSPYIEYDRLLGGLLIEGFDEKSCRSRYQFARYHKSPSIPSPYLIERLRKQEVLQKRCGPGTKAYKEASKQLRSSQSINMTDCNYLILTIHAGLGNRMLEITSAFLYALLTNRILLVDRYKEIGDLFCEPFPGTSWLIPSDFPLNYGEFTQSSPESYGNMLQNKVAGGNTDRSLAGIQPHYVYLHLDGNYGFHDKLFFCEDDQQFLLGVPWLIIRTDMYFVPSLFLIPGFQDELRRLFPEKDTVFHHLARYLFHPTNNIWYSVTKYYRSYLAKAEKRVGIQIRIYETKGILQRNGPFPHILNQILSCAQNEKLLPEIGMTERAAADTQNNRTIAVLTTSLSSWYSYQIQKKYEEHPTVDGTTVKVYQPSHEEYQRSRNKKHNMKALAEIYLLSMNDELITSGFSTFGYAAQGLAGLKPWIMFRSENHMVPDPPCGRAMSIEPCFHQAPFYDCKAKRDTDLGKVVPYVRHCEDVSWGLKIVNETQL >PAN08379 pep chromosome:PHallii_v3.1:1:56427750:56432699:1 gene:PAHAL_1G409000 transcript:PAN08379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRPSQSHGGGGGGDEERLPLRGGLETERAPPPHHAAEQLTEARRGGGGRLWRASVRAGLVLCLLTVPAVLLLLRWQADSSPQWVFDFEAPEEDDDQDIQDDMSDDISPSPYIEYDRLLGGLLIEGFDEKSCRSRYQFARYHKSPSIPSPYLIERLRKQEVLQKRCGPGTKAYKEASKQLRSSQSINMTDCNYLILTIHAGLGNRMLEITSAFLYALLTNRILLVDRYKEIGDLFCEPFPGTSWLIPSDFPLNYGEFTQSSPESYGNMLQNKVAGGNTDRSLAGIQPHYVYLHLDGNYGFHDKLFFCEDDQQFLLGVPWLIIRTDMYFVPSLFLIPGFQDELRRLFPEKDTVFHHLARYLFHPTNNIWYSVTKYYRSYLAKAEKRVGIQIRIYETKGILQRNGPFPHILNQILSCAQNEKLLPEIAIRSRRSTKSTQQLMALLSKCTSQATRSTRGQGIRSTT >PAN03548 pep chromosome:PHallii_v3.1:1:343737:344937:-1 gene:PAHAL_1G002900 transcript:PAN03548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMSGLWSLCLLLLVSISSVAPAQAAEMEIEVVPMTTTTEEPSSSCANPVSVEAACRGASETHAGVAYDHCVESLGADPRSKEAGNMHGLAMLATKLAIDHAASTEAKIDDLAELEESPHARARFNHCLEQYGGAADLLRDALDNLKAEIYGKAMEQLSAALGASESCEDAWKGEESIPVAAHDREYGRMAHIALGFTHAAA >PAN06536 pep chromosome:PHallii_v3.1:1:47253407:47254119:1 gene:PAHAL_1G270400 transcript:PAN06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVPEGGARPRAAQPAGAAASRGGKPRPLRTAAVWARAWRWRQPVQERMARRGGRPGAAVGRGSRPGAAAGRGRRARWPAWVRPRRARPGAVAAR >PVH66479 pep chromosome:PHallii_v3.1:1:46129888:46131213:-1 gene:PAHAL_1G257700 transcript:PVH66479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKGTRQKRTCAGGGWWEGQKTCAEGDKLRVPGGGRGREAAWRKKALNFHCGGAGRKGSTGWVMHEYAVTAPEDLARSPLRLYHIRLSGYGRKQSGAMEVPRALGLPPGFLFAPEDGDVVACYLLPRVLGQPLPLDGLILDDDPRSAPPWELLERNGRREDAFFFALGQVKSSKGSRQKRTCVGGGFWNGERTCVDGEKLLIPGLAGAEVMEWRKRALSFQHAGDKGSTGWVMHEYAITAPDHLAESQLRLFRIRFSGHGKKRKRAEADPSADESAAPTAARRRVAEDDTLLGMLMPSSDPICSSVVLADQADGNGVNGAELHAAPATVSAGQDLTSGMDTSWDGFLENFDIDELLRSIGDLPSPSPGVLPAVTDLGAYLDADAEVSSFFTQTAAAAAAPPYAGFGAGLVAPSAPGMHHGCTDPADSFFFPEPNQSYAAC >PVH65813 pep chromosome:PHallii_v3.1:1:5762856:5765006:-1 gene:PAHAL_1G082900 transcript:PVH65813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAMTPCISKPYVFCFLRIIHVHTNSLTLVRAWKLYCTNTALSTFQQTYFVQNKKGKKDRRHQTDVITQAASSSLWARRSAQFILVS >PAN05157 pep chromosome:PHallii_v3.1:1:9274064:9274416:-1 gene:PAHAL_1G118400 transcript:PAN05157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFDHNGHPALGFVPGRRLVDEPAAASQDEPSMGSSESTNNGGSSAAGAGAEMPIREIRCVDSRPTRTSYCCGLSSATLQQEP >PAN05018 pep chromosome:PHallii_v3.1:1:8822079:8824678:-1 gene:PAHAL_1G113900 transcript:PAN05018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPISARRYQQFPLVHNNGLPRFSRGKVACLGIKQTRYHSETNLSSSASHGDASKLHPVVKMCGITSARDAEMAVEAGAKLIGMILWPNSKRSVSLSEAKKISRVAQSYGAESVGVFVDDDEDTILRVSDSCDLNFIQLHGDKSRALVHTLSKNNRIVYVLNADEDGKLINSPPVLEYALDWFLVDSAKGGSGKGFNWQRFQMPTVKSKNGWLLAGGLHADNVCQAFSALKPNGVDVSSGICAPDGIRKDPNRISSFMSNVKSLSCRS >PVH66587 pep chromosome:PHallii_v3.1:1:48443947:48444829:1 gene:PAHAL_1G287900 transcript:PVH66587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVARLMQWTRTQRSKAGSPLGSPVARACHSSLGGQKSWCCDKASARCVGIGPCPWVVSLSLCSKPWRCSCRGPMMLVKLRRLWPGELAQKATCRGRGSAITTGRASAHGPHIETLSGFPYGSSLDIDP >PVH66914 pep chromosome:PHallii_v3.1:1:54508340:54508962:1 gene:PAHAL_1G381700 transcript:PVH66914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQLAPLMALEVALGGLILFCLEDMACNSRTGIFQRIRWVMGGRVFSPSWWAETHVRAEPVGLTKLPSPAGRQTSRLIVGPLQPLKRNRSYSGHPKANMS >PAN07345 pep chromosome:PHallii_v3.1:1:51062767:51066667:-1 gene:PAHAL_1G328300 transcript:PAN07345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHHGGAGFPYMAPTTAGADPFLPTPTGPASVAAIIPPLPMELQSAAAGTARGNFEELPAGGSSAAAAASLQDDDVPADVGAGGAGASGSGGGHRWPREETLALIRIRTEMDADFRIAPLKAPLWEDVARKLAGLGYHRSAKKCKEKFENVDKYYRRTKDARAGRQDGRSYRFFAQLEALHAAAQQQRQATGMAAAVRGDHQPSGAMAWTAAPATVGGPPAGAGLPDLSFSSMSGSESESESDDDLDVAEAGPGNGEGGGDDREIMAIFEGMMRQVTEKQDAMQRVFLETLERWEAERTAREEAWRRQEVARMNREREQLARERAVAASRDAALIAFLQRVGGGQQGEPTRLPPPIAVALPMPDRTPPSPRHDAAAQPTSLQPVPAPPKLEEARAWAGGEGSGGSMPSRWPKEEVQVLIQLRTEKDEQYHDAGAKGPLWEDIAAGMRRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHHLDAMYRKKRFAAGGSSTAPGAYTAAGAGAGAVTSQGNPNQRELEGKSSNDADGLRNDGQGNVHAPPRPGNGETAPATTENKRAEDAVKGTNQLLQQFGADETESDDNDMGGDYTEESNDEDKMKYKTAFQNPNVIGSSGNDAPAPPATAAAPTSSAAPTRSSFLAVQ >PAN07344 pep chromosome:PHallii_v3.1:1:51063501:51066155:-1 gene:PAHAL_1G328300 transcript:PAN07344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHHGGAGFPYMAPTTAGADPFLPTPTGPASVAAIIPPLPMELQSAAAGTARGNFEELPAGGSSAAAAASLQDDDVPADVGAGGAGASGSGGGHRWPREETLALIRIRTEMDADFRIAPLKAPLWEDVARKLAGLGYHRSAKKCKEKFENVDKYYRRTKDARAGRQDGRSYRFFAQLEALHAAAQQQRQATGMAAAVRGDHQPSGAMAWTAAPATVGGPPAGAGLPDLSFSSMSGSESESESDDDLDVAEAGPGNGEGGGDDREIMAIFEGMMRQVTEKQDAMQRVFLETLERWEAERTAREEAWRRQEVARMNREREQLARERAVAASRDAALIAFLQRVGGGQQGEPTRLPPPIAVALPMPDRTPPSPRHDAAAQPTSLQPVPAPPKLEEARAWAGGEGSGGSMPSRWPKEEVQVLIQLRTEKDEQYHDAGAKGPLWEDIAAGMRRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHHLDAMYRKKRFAAGGSSTAPGAYTAAGAGAGAVTSQGNPNQRELEGKSSNDADGLRNDGQGNVHAPPRPGNGETAPATTENKN >PAN06918 pep chromosome:PHallii_v3.1:1:49015832:49019725:-1 gene:PAHAL_1G297600 transcript:PAN06918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTPAAVLLDENMHIHRGKRSDAPRAKPLKPSEKKPGLQERKALQDVSNFANGTALKDRSMKERSQQRKALQNVTNTIQSKDRPTLKEQRSTLKERCTLGKHDVIKNPMNILTDEEMKKCHEWAKDGVEGAHFYDHQKADKDMQDKRVKKKVANVMSALDGWSNMVFDRVMFPAMEVDKFFEEEKGLELEPEILPDISWGLSRSGDKAKLAEDSFTDDELDQYPSLDNHPVMFELRDEPAIPQLGVY >PAN04303 pep chromosome:PHallii_v3.1:1:3773237:3773988:-1 gene:PAHAL_1G055900 transcript:PAN04303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTKVIVQKRQLNCPLNFCSVRVRSVSCFFFLAVILITDGEFKDEHWPRC >PVH66022 pep chromosome:PHallii_v3.1:1:10363532:10371016:1 gene:PAHAL_1G127800 transcript:PVH66022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASKCCSQLKCSLCSNGCLRQTPDSPRESRGKSSRGRGKADSTGSDDSSDDLGEDDDALNQMNTTRESTVGISRLSRVSSQFLPPNGSRKVQVPLGNYDLRYSFLSQRGYYPESLDKLNQDSYCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDNRFHTDAVLALHAAFVATNSQLHADNLDDSMSGTTAITILVRGKTIYVANTGDSRAVIAEKRGDDIVAVDLSIDQTPYRFDELERVKECGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVIADPEIFVLDLNSKNPFFVLASDGVFEFLSSQTVVDMISKYKDPRDACAEIVAESYRLWLQYETRTDDITIIVVHINGLTDMESTQTVTKVSLQPSHQVVGVAGSESPLIVTSNTNNQRSRHDLSRARLRALESSLENGQLWVPPSPSHRKTWEEQAHIERVLHDHFLFRKLTESQCHVLLDCMQRVEVKPGDIVVQQGGEGDCFYVVGSGEYEVLAIQEEDGKEITKVLHRYTADKLSSFGELALMHNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTVLQLSQLAESLVEVSFADGQTIVDKNDDVSALYVIQRGRVRLILAADQMNSDTWDLVSAQTKQAQSSQQNDNYVVEIDEGGHFGEWALIGETISFTAIAIADVTCSTIAKEKFDTIVGPLPKLSQADARTKESLVTKKNVADDDFPFRRVQLYDLEWIMCIYAADCSEIGLVQIKGSDKIRSLKRFYIKRVQDLHKEVQVFEEKEIMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHTPLNESSAKFFAASVVIALEELHQKSIIYRGVSADILMLDRSGHLQLVDFRFAKKMDGERTYTICGIADSLAPEIVLGRGHGFPADWWALGVLIYFMLQSDMPFGSWRESELEPVTKIAKGHLVIPSTLSAEVVDLITKLLVVDENVRLGTSGAEAVKKHPWFDGIDWEQVASETYAVPDEITERINSCIETLNEDLTASPSVPIEDPDDHMAPEWIQDW >PVH66021 pep chromosome:PHallii_v3.1:1:10363107:10371016:1 gene:PAHAL_1G127800 transcript:PVH66021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASKCCSQLKCSLCSNGCLRQTPDSPRESRGKSSRGRGKADSTGSDDSSDDLGEDDDALNQMNTTRESTVGISRLSRVSSQFLPPNGSRKVQVPLGNYDLRYSFLSQRGYYPESLDKLNQDSYCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDNRFHTDAVLALHAAFVATNSQLHADNLDDSMSGTTAITILVRGKTIYVANTGDSRAVIAEKRGDDIVAVDLSIDQTPYRFDELERVKECGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVIADPEIFVLDLNSKNPFFVLASDGVFEFLSSQTVVDMISKYKDPRDACAEIVAESYRLWLQYETRTDDITIIVVHINGLTDMESTQTVTKVSLQPSHQVVGVAGSESPLIVTSNTNNQRSRHDLSRARLRALESSLENGQLWVPPSPSHRKTWEEQAHIERVLHDHFLFRKLTESQCHVLLDCMQRVEVKPGDIVVQQGGEGDCFYVVGSGEYEVLAIQEEDGKEITKVLHRYTADKLSSFGELALMHNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTVLQLSQLAESLVEVSFADGQTIVDKNDDVSALYVIQRGRVRLILAADQMNSDTWDLVSAQTKQAQSSQQNDNYVVEIDEGGHFGEWALIGETISFTAIAIADVTCSTIAKEKFDTIVGPLPKLSQADARTKESLVTKKNVADDDFPFRRVQLYDLEWIMCIYAADCSEIGLVQIKGSDKIRSLKRFYIKRVQDLHKEVQVFEEKEIMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHTPLNESSAKFFAASVVIALEELHQKSIIYRGVSADILMLDRSGHLQLVDFRFAKKMDGERTYTICGIADSLAPEIVLGRGHGFPADWWALGVLIYFMLQSDMPFGSWRESELEPVTKIAKGHLVIPSTLSAEVVDLITKLLVVDENVRLGTSGAEAVKKHPWFDGIDWEQVASETYAVPDEITERINSCIETLNEDLTASPSVPIEDPDDHMAPEWIQDW >PVH65812 pep chromosome:PHallii_v3.1:1:5754269:5754638:-1 gene:PAHAL_1G082700 transcript:PVH65812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPSQGEDRKLSAMEHVKKRHEEKGFLYACAFMLCCCFCCYEACEHCLECFCCCGKKDE >PAN04129 pep chromosome:PHallii_v3.1:1:3015257:3017581:1 gene:PAHAL_1G044400 transcript:PAN04129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTASVPVPRSISRQRAQPLSLAYATDGMQQREMLAADPAGHLQRHRRLARCEVGSAGRGAWRRRRSGPRRNGRGREIRRALGTSGGPGEERKPVTDVQARPPSPEPGGKGSYWEAGLQEGLFCK >PVH65663 pep chromosome:PHallii_v3.1:1:3281055:3283046:1 gene:PAHAL_1G047600 transcript:PVH65663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASNVHAGPAAAGAMSFGWLGPRLSFGGGGSGRDALDAVVEVEEPKAEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRPQASAGKAAAEAELEVERRDTALVAEIPPSTPERVKALHPAAAEAALDPYVFSPKAPTCSSRWRELLRLRKVQTPQKPSPSASPSASPAPTAATPSRASNSSAARSLKLLLLQRNGGGRASCAAASDLSAAPLLRDSSDSEASLSLASSRFSLSSSSSSSAHDHDDFPRHSLDSVDPTPRPRLRLVRSHPHATPQPHPPAAVAAASAPARAGHSPARRRPSTPQPPPPPSVVSVDSPRMNASGKIVFQGLERSSSSPAGSVHSSMRSRSRVMDRSYSAGVRATPVVLNVPVCSRPVFGFFKDKKDAAAKEAASGRPRSALGRRTTATPAAGGASCRDLGNGN >PVH66195 pep chromosome:PHallii_v3.1:1:24484213:24485956:-1 gene:PAHAL_1G175100 transcript:PVH66195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVTQRFCVLAGYVSTMGLYESAYQLSWFTWEALLTTLPALFTMLFGMMFQFDFFLNNSIWDDVPTQFLPWSPLFYGCITTKKLGTEQSCRT >PAN08170 pep chromosome:PHallii_v3.1:1:55327684:55331205:1 gene:PAHAL_1G393000 transcript:PAN08170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARRAASSVLSRCLLARSSSSSAAAAAASSPASKSALHGAGDGTLLRRFGTAPAAAAAATEEPIQPAVEIKYTQLLINGNFVDAASGKTFPTMDPRTGEVIARVAEGDSEDIDRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHADEIAALETWDNGKTLAQSAGAEVPMLARCMRYYAGWADKIHGLVVPADGAHHAQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYVASLLHEAGLPDGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVHERVYDEFVEKSKARAMKRVVGDPFRNGVEQGPQIDEEQFKKILRYVQSGVDSGATLLAGGDRAGNRGFYIQPTVFADAKDDMKIAREEIFGPVQTILKFSGVEEVIRRANASQYGLAAGVFTRSLDAANTLSRALRVGTVWVNCYDVFDAGIPFGGYKMSGVGREKGVYALRNYLQTKAVVTPIRDAAWL >PAN08176 pep chromosome:PHallii_v3.1:1:55327683:55331205:1 gene:PAHAL_1G393000 transcript:PAN08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARRAASSVLSRCLLARSSSSSAAAAAASSPASKSALHGAGTLLRRFGTAPAAAAAATEEPIQPAVEIKYTQLLINGNFVDAASGKTFPTMDPRTGEVIARVAEGDSEDIDRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHADEIAALETWDNGKTLAQSAGAEVPMLARCMRYYAGWADKIHGLVVPADGAHHAQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYVASLLHEAGLPDGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVHERVYDEFVEKSKARAMKRVVGDPFRNGVEQGPQIDEEQFKKILRYVQSGVDSGATLLAGGDRAGNRGFYIQPTVFADAKDDMKIAREEIFGPVQTILKFSGVEEVIRRANASQYGLAAGVFTRSLDAANTLSRALRVGTVWVNCYDVFDAGIPFGGYKMSGVGREKGVYALRNYLQTKAVVTPIRDAAWL >PVH67146 pep chromosome:PHallii_v3.1:1:58369719:58373591:-1 gene:PAHAL_1G437100 transcript:PVH67146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQQLDLFLRHQSMANICESEDALGSSESEPARPARPRGKRSRAAEVHNLSEKRRRSKINEKMKALQTLIPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPPANLSGVPEALAPSEVCATLNQSGVKASDSGVALLPVNQSSVAHHSFDPPNHDQRHNKSLVLQSVPTSSTTIARFLQEPAQANLQSFQLALPPEMIFKEDMMLKHHLTSVQETTSLPGHELKLASQETCMVNSGRFDRGSQRKEVARDMMPKNTESVLLMPYLHSLQSGDANGGLRAESS >PAN04010 pep chromosome:PHallii_v3.1:1:2394592:2397080:-1 gene:PAHAL_1G034700 transcript:PAN04010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMVDPPNGMGSQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLATMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISSEMIREMMWQEMLHYHPEVVTAISMS >PAN06825 pep chromosome:PHallii_v3.1:1:48645729:48649136:-1 gene:PAHAL_1G290800 transcript:PAN06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGYCNRKKTDICEGVCDNEYGSKSVLSMSRLKCALRGFDLRALLILLIGVPILIFVIYVHGQKVTYFLRPIWEKPPKPFTIRPHYYHENVSMNNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGIKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLQLRNYLYSFQFLLDDKSWRASVHRYRSGKTRYAHFRQTDDLLADSGWHCSFCFRYINDFIFKMKAYSHVDRIRFKYFLNSKRIQHVICEGSDLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEQTDRYRYLLPGHCMRESG >PVH66648 pep chromosome:PHallii_v3.1:1:49543078:49544291:-1 gene:PAHAL_1G306700 transcript:PVH66648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLKPKKILTSIFYEHAAAYLQASDRRQCATLTSLILSLSVGKRDGQMAVSSSPPPSSSDGIFSPLLLQIQDKRQLTHPQAIQLQFLLTSFPIQARSCISPFASSRHSNPRGGTGQQRRHGSVSSGTTSLNAPRQPATPVAPADRRLAMTLVSPGEASHNPIPSSRHRVDLLHRLPHASRLPWSDREPGRAPPPSLSCCRRHGSGAARTAGANRPTRHGRQSAVGRGAGGGCGAGRPAPGHRRVPRGRPVQGVARQLLPAQLRRRDLGR >PVH65583 pep chromosome:PHallii_v3.1:1:2054897:2056796:1 gene:PAHAL_1G029500 transcript:PVH65583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTAMREKIFEYTGRKQPSPEWRRRLPELAKRLEEILYRKFPNKNDYCNMTRGQLSRICSLLLRLSAQNQQIQQNLQKAWETASSSGRMTPVKKFWHITFQNLTRTKTICNFEPLGSTPLSAAWMLNLSGSQHTQCVGKVAMTWMSPMRGGHHVLWQEGSTRGRRGRRRGGHSWHFQ >PAN05031 pep chromosome:PHallii_v3.1:1:8323058:8326347:1 gene:PAHAL_1G109700 transcript:PAN05031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFATRSLPAHHRHLLFPASSSPPIGSRRSLVPPPPCRARELLDVMPQRDDGRSPIPRTEEGRAGSAGVRHGSNGGTVRGDTTTWEAGPPVQREGSRGVPRPWKKGDRVVIEERRDWVSQEKNRRRGPMRTGEQEWRRDAKRWTRGGNGMWAKESGNAGNSRDVGSGRRNVTKKKKRSKGSELGGKLRVELDMCSKRGDVMGAISLYDSAVKDGIRLGQHHYNVLLYLCSSAALGFVQPAKSGNTGSGITSIGPAQKLDSSPNRSLGGSEEEDASEGHVQDQEKDKADLLPSDDLNVQTVVIPVGDELREYARTRGFEIFEKMCEEKERIQMSEAALTAKARMALSTGDGDMAFEIVKQMKDLGITPKLRSYGPALTAYCNSGNVEKAFEVEAHMLESGITPEEAELEMLLRVSVVGRRGDKVYYLLHKFRAAVRQVSPSAAQLFEAWFSSPTASKVGKRKWDAGAIAKASENNGGGWHGFGWLGRGKWIVTRSNINKNGVCLACGEKLTIIDLDPKETEDFATFVEKLAIKRERNLNFKKFQRWLEKHGPFEAVVDAANVGLFSHKHLSLSKVNAVADAIRQRFTSRKWPLVVLHNRHLTGERMKKPGNHKLVEKWKQANSIYATPNGSNDDCSGTGYTLLSDANA >PAN05030 pep chromosome:PHallii_v3.1:1:8323127:8325254:1 gene:PAHAL_1G109700 transcript:PAN05030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFATRSLPAHHRHLLFPASSSPPIGSRRSLVPPPPCRARELLDVMPQRDDGRSPIPRTEEGRAGSAGVRHGSNGGTVRGDTTTWEAGPPVQREGSRGVPRPWKKGDRVVIEERRDWVSQEKNRRRGPMRTGEQEWRRDAKRWTRGGNGMWAKESGNAGNSRDVGSGRRNVTKKKKRSKGSELGGKLRVELDMCSKRGDVMGAISLYDSAVKDGIRLGQHHYNVLLYLCSSAALGFVQPAKSGNTGSGITSIGPAQKLDSSPNRSLGGSEEEDASEGHVQDQEKDKADLLPSDDLNVQTVVIPVGDELREYARTRGFEIFEKMCEEKERIQMSEAALTAKARMALSTGDGDMAFEIVKQMKDLGITPKLRSYGPALTAYCNSGNVEKAFEVEAHMLESGITPEEAELEMLLRVSVVGRRGDKVYYLLHKFRAAVRQVSPSAAQLFEAWFSSPTASKVGKRKWDAGAIAKASENNGGGWHGFGWLGRGKWIVTRSNINKNGVCLACGEKLTIIDLDPKETEDFATFVEKLAIKRERNLNFKKFQDFSCGPYASEISILDCFFIDHSSCMQEMA >PAN05032 pep chromosome:PHallii_v3.1:1:8323058:8334649:1 gene:PAHAL_1G109700 transcript:PAN05032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFATRSLPAHHRHLLFPASSSPPIGSRRSLVPPPPCRARELLDVMPQRDDGRSPIPRTEEGRAGSAGVRHGSNGGTVRGDTTTWEAGPPVQREGSRGVPRPWKKGDRVVIEERRDWVSQEKNRRRGPMRTGEQEWRRDAKRWTRGGNGMWAKESGNAGNSRDVGSGRRNVTKKKKRSKGSELGGKLRVELDMCSKRGDVMGAISLYDSAVKDGIRLGQHHYNVLLYLCSSAALGFVQPAKSGNTGSGITSIGPAQKLDSSPNRSLGGSEEEDASEGHVQDQEKDKADLLPSDDLNVQTVVIPVGDELREYARTRGFEIFEKMCEEKERIQMSEAALTAKARMALSTGDGDMAFEIVKQMKDLGITPKLRSYGPALTAYCNSGNVEKAFEVEAHMLESGITPEEAELEMLLRVSVVGRRGDKVYYLLHKFRAAVRQVSPSAAQLFEAWFSSPTASKVGKRKWDAGAIAKASENNGGGWHGFGWLGRGKWIVTRSNINKNGVCLACGEKLTIIDLDPKETEDFATFVEKLAIKRERNLNFKKFQRWLEKHGPFEAVVDAANVGLFSHKHLSLSKVNAVADAIRQRFTSRKWPLVVLHNRHLTGERMKKPGNHKLVEKWKQANSIYATPNGSNDDWYWLYTAIRCKCLIITNDEMRDHTFQILEKDFFPKWKERHQV >PVH65944 pep chromosome:PHallii_v3.1:1:8323058:8326347:1 gene:PAHAL_1G109700 transcript:PVH65944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFATRSLPAHHRHLLFPASSSPPIGSRRSLVPPPPCRARELLDVMPQRDDGRSPIPRTEEGRAGSAGVRHGSNGGTVRGDTTTWEAGPPVQREGSRGVPRPWKKGDRVVIEERRDWVSQEKNRRRGPMRTGEQEWRRDAKRWTRGGNGMWAKESGNAGNSRDVGSGRRNVTKKKKRSKGSELGGKLRVELDMCSKRGDVMGAISLYDSAVKDGIRLGQHHYNVLLYLCSSAALGFVQPAKSGNTGSGITSIGPAQKLDSSPNRSLGGSEEEDASEGHVQDQEKDKADLLPSDDLNVQTVVIPVGDELREYARTRGFEIFEKMCEEKERIQMSEAALTAKARMALSTGDGDMAFEIVKQMKDLGITPKLRSYGPALTAYCNSGNVEKAFEVEAHMLESGITPEEAELEMLLRVSVVGRRGDKVYYLLHKFRAAVRQVSPSAAQLFEAWFSSPTASKVGKRKWDAGAIAKASENNGGGWHGFGWLGRGKWIVTRSNINKNGVCLACGEKLTIIDLDPKETEDFATFVEKLAIKRERNLNFKKFQDFSCGPYASEISILDCFFIDHSSCMQEMA >PVH65945 pep chromosome:PHallii_v3.1:1:8323058:8326347:1 gene:PAHAL_1G109700 transcript:PVH65945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFATRSLPAHHRHLLFPASSSPPIGSRRSLVPPPPCRARELLDVMPQRDDGRSPIPRTEEGRAGSAGVRHGSNGGTVRGDTTTWEAGPPVQREGSRGVPRPWKKGDRVVIEERRDWVSQEKNRRRGPMRTGEQEWRRDAKRWTRGGNGMWAKESGNAGNSRDVGSGRRNVTKKKKRSKGSELGGKLRVELDMCSKRGDVMGAISLYDSAVKDGIRLGQHHYNVLLYLCSSAALGFVQPAKSGNTGSGITSIGPAQKLDSSPNRSLGGSEEEDASEGHVQDQEKDKADLLPSDDLNVQTVVIPVGDELREYARTRGFEIFEKMCEEKERIQMSEAALTAKARMALSTGDGDMAFEIVKQMKDLGITPKLRSYGPALTAYCNSGNVEKAFEVEAHMLESGITPEEAELEMLLRVSVVGRRGDKVYYLLHKFRAAVRQVSPSAAQLFEAWFSSPTASKVGKRKWDAGAIAKASENNGGGWHGFGWLGRGKWIVTRSNINKNGVCLACGEKLTIIDLDPKETEDFATFVEKLAIKRERNLNFKKFQRWLEKHGPFEAVVDAANVGLFSHKHLSLSKVNAVADAIRQRFTSRKWPLVVLHNRHLTGERMKKPGNHKLVEKWKQANSIYATPNGSNDDCSGTGYTLLSDANA >PAN08582 pep chromosome:PHallii_v3.1:1:57393521:57400991:1 gene:PAHAL_1G422200 transcript:PAN08582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDMAELPQTPRSTAGDDDLSLLDGEADLAAAILERLGGSPREDFQHLCATAAAMEQAVRDRGVEPTPVAYFAAAAAALAPLARAGAGGADRHVAGALLAFLSVALPALPTAVVRARGREVADDVVRVLDFPSTPDSGARAGLRCLAHLISAGDRANWEAVEPLYTVILRLATDQRPKVRKQAHSCLRDVLQSFQRQAVLVPASEGITRCFERFLLLAGGSSAVNTGVAEEGPKGAKEVLYMLNGLKCCLPLMASKPSNTILKYFKALLDLQQPILTRSILEILNAVGESPALQLKSDVLLDVMCSLGLSVSSGRKSGDEMASIARLLLVGTKKIYNQNKNICVVKLPLIFTSLGDILSSEFEEARFSSVEAFRGLIDNCIDETMVSQGIAQIKARRQGLKSDPTVIEKICAILEGLLDVHYSDVWDKSFHLISVTFDKLGEFSADLLPEALKNLADMQNMSDDDFSFRKQLNACIGSAVAAMGPKNVLEILQIRSLCDENEWILPILEKHIVGASLHFFLKDVLGFVKAIEKSITKLLKDDKLFSAKRAEGYVYSLWSLLPSCCNYPCDTSSNFRVLQSVLCDTLENQPELRGIVCSSIQVLIKQNKEALSVSREEDILSEDELSKSEIRAKERYTKNLAEENLKAICAFSSNLLDVLCSIFLTSSKDAIGLLQPAISEIASISDKDVVGKFFLDSIRKLLDATKAVNAEPVDDSSMQIETNSNTNSMTRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDALIQKRAYKVLSMLLKDAEFVEKNLDVLLELLISSMPCQFPSKRYRLECLYHLIVHILKDSSMVRKRDIISSFITEILLALKEANKKTRNRAYDLLIEIARACEDAENDEGKDSLHQFFGMVAGGLVSGQTPYAISAVVTGLARLTYEFSELIGIAYKLLPQTFLLMQRNNREIVKANLGFVKALVAKSKADMLHEHLKGVVEGLLSWQSDTKNSFKAKVKSLIEILVKKCGLDAVKAVMPEEHMKLLTNIRKVNERKMRKVKSSEDGDAMSMASGATRQSRWNHTQMFSDFGSDDDESDGPFSTQHTVTSRAGSKASTRSSRRRQADKSLLEKSIDQSTGEPLDLLDQKTVRLALKTGRKRTAPDDDEDDFEVDPEGRIIVREEREKRKKKPVSRDDDDADGKSSVRSQSVKKRKTSSGWAYTGHEYTSKKAGGDLKKKDKMEPYAYWPLDRKLLNRRSDRKVSARKGMASVMKVTKKLEGKSASAALAAKRTGKMKHKKNK >PAN07568 pep chromosome:PHallii_v3.1:1:52217433:52219176:1 gene:PAHAL_1G346200 transcript:PAN07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQPTAASSTSPPPTHHSPSPVVQVQAGHQASGSGPASPHSPSPVVQGDGAAEAAGVVVATAPGMAAATSSGEPSPRSSGKHAFYRGIRCRSGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGADAVLNFPAAIASRQAPASASPADIRAAAAAAAAAAQLEHPPQGGEAAATTADPPAAAQDRHQHHGTGSAAADAAGYAPQQGIGSDEFMDEEAIFEMPQLLRNMAAGMMMSPPRLSPDTSDESPDPSEAGESLWSYHDP >PAN08517 pep chromosome:PHallii_v3.1:1:56912318:56914340:1 gene:PAHAL_1G417200 transcript:PAN08517 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MALLAPSPRALCVREVAAAAAPPPHSSAACSNVGGGAAGRALWVWNGGGRGRRRERRERVRAEAYFWDVSKPVEMEEIDSMEKLDEALRWSVENNQAVIIDWMASWCRKCIYLKPRLEKIAGEYPGVRFYFVDVNKVPQAVVKRGNITKMPTIQLWKDGEWKAEVIGGHKAWLVMDEVREMIQKNK >PVH67085 pep chromosome:PHallii_v3.1:1:57572238:57574158:-1 gene:PAHAL_1G424500 transcript:PVH67085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRCRLRALLSRRGPCAARDKEGCISQANHFLPQRPLLQAGLPCLPYLFRAAPNRAALEPACLPAAAASACGPAPQLPSRSRKSGRRVPASTSGAARGHGTRTMRVQFARRPGSGTARGRSPSEGSRRRPGRRATTPPHATCGQRPPGAWSRGGKARLPRWVELEPVALCSPRRAASSGGAACGGT >PAN03764 pep chromosome:PHallii_v3.1:1:1500692:1503004:1 gene:PAHAL_1G020400 transcript:PAN03764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MASPSTAFVPRAGLPLLRATPLFRVIASTSGAGSKKKDKKPKSGSKRAGQDKDSKALEPPAPVVRRAPAGSASIFQQQQEAASKAGGAGGKGPTEEELRQRQANENAFLLAWLGLGIIILVEGIALAASGFLPEEYDNFFVKYLYPSFTPTVVLFLAGTVGYGVLKYFESEKSKS >PAN05102 pep chromosome:PHallii_v3.1:1:8979172:8980926:-1 gene:PAHAL_1G115500 transcript:PAN05102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECEDIHPRVHENGTAMIKVPCYQRQQAPHI >PAN07027 pep chromosome:PHallii_v3.1:1:49478714:49480536:1 gene:PAHAL_1G305600 transcript:PAN07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYNLQHSLCEWSIELVEMASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWTTNAMFLRSVDRFNDLVVSVYVTAGHTRFMLLHDSRSDDGIKTFFQEVHDLYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >PAN07023 pep chromosome:PHallii_v3.1:1:49477166:49480536:1 gene:PAHAL_1G305600 transcript:PAN07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAPEPGKPSTLLIPHLHIRELVEMASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWTTNAMFLRSVDRFNDLVVSVYVTAGHTRFMLLHDSRSDDGIKTFFQEVHDLYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >PAN07025 pep chromosome:PHallii_v3.1:1:49477159:49480544:1 gene:PAHAL_1G305600 transcript:PAN07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLCFFPPFPFSPLVFLSWRWRRRLGERAALFVTSSPGPLPATSIHQKEDLAYQHQFILHAALDVVQDLAWTTNAMFLRSVDRFNDLVVSVYVTAGHTRFMLLHDSRSDDGIKTFFQEVHDLYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >PAN07024 pep chromosome:PHallii_v3.1:1:49478929:49480287:1 gene:PAHAL_1G305600 transcript:PAN07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWTTNAMFLRSVDRFNDLVVSVYVTAGHTRFMLLHDSRSDDGIKTFFQEVHDLYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >PAN06816 pep chromosome:PHallii_v3.1:1:48553976:48554863:-1 gene:PAHAL_1G289900 transcript:PAN06816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAHLYAHTHGHHHHRSKRPTPPGVMDVDHVDGDASPKGARYRGVRRRPWGRFAAEIRDPMSKERRWLGTFNTAEEAACAYDIAARAMRGNKARTNFPVHATAAAYWAWGAPHAAAGAGPLDPILVHNLLMSSSHHGCRLLHHAGHGHPSPARPPTPAPAPAPPPPASASRARAAPSETPSPAVASPPAAPPGDMDVWGRVLRSGPPDAGLLQDALHGFYPGMLPRMELRGADAVTEEQDRHEALAVASPCAGIDADEDGLMPQGLLEDMIQYRAFLDVVAAPPAATRRGRRQG >PAN06066 pep chromosome:PHallii_v3.1:1:33604929:33610319:-1 gene:PAHAL_1G207500 transcript:PAN06066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAISAPPGPPRLALHLPPSPSSPASSLPMRALPALRRRLRPLTTAVHAVKQDAAVWTPAPVSAVGAATADGSIFHVAVDLSDAADIAESYTSPGQYLQIRVPSGAGEEIKPAFMAVASPPGTGTRFEFLVKSVPGTTAERLCGLRDGDVVELGAVMGKGFPLDRITPPDAAQTVLIFAAGTGISTIRSLVEFGFGANERADVRLYYGARSLKTMAYQDRFKNWESAGLKIVPVLSQPDDSWKGERGYAQHAFLRAKNIVNPSSTAAVLCGQRQMQEEITTALVADGVSQDKILTNF >PAN06924 pep chromosome:PHallii_v3.1:1:49037042:49037517:1 gene:PAHAL_1G298200 transcript:PAN06924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVRIHLSGWPSRLNRWLSGARGPGRAASPPRSGLQRIDEPRLTRAAMDGSWVMKERGGWILICCRELLLQCKWRCDTYPGTAMLDRWAVTWRDGVLVGWEPWEKQRVTCASQEEKKVTCNYASV >PAN08468 pep chromosome:PHallii_v3.1:1:56805634:56806119:-1 gene:PAHAL_1G415300 transcript:PAN08468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPQGQGNAATKEATASEPEMEKAAAPATGPDHAGRGRLLRGSALHLMLFGATVIIGAAAAPVPLPRLFFALLVWLVGCLSLYMPLA >PAN08981 pep chromosome:PHallii_v3.1:1:59123427:59128222:-1 gene:PAHAL_1G449600 transcript:PAN08981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPKCASKPTSAPPHLQVGGMAEEAPPPAPAPKLLYIAVADGGGRRAFRYTRPVLQSTLHLMGCKPRHAFKISKRVFNVMKSEFLAASKLDGVTKQENYPALGDGTDTQKTLERSSSSIPFELYKNQTTVVISREQFVSVVCDALSLYKYVGPNQKADFLLACRIKERKESVTILLCGTSGCGKSTLSSLLGSRLGITTVISTDSIRHMMRGFADEKQNPLLYASTYHAGDYLDPIAVAQAKAKRKANKPTIVSHPSISGGKDVTSDGKSHHANSELPPRTELIGNKKMAVEGYKAQSEMVIDSLDRLITSWEEQKESVIVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQEYLCNRADKHLVPKINNTNVDQSVAAIHATVFSCLRRREAGEQLYDLNTNTVAMVDEEYRNQRAANTLGSKGMFQLIQRKGSSRNLMALLNTDGSVTKAWHVGTSDGNGNLNNITNNKKSAENTILDPSQIGKAEAVNLHFGPFGISAWMSDTGGTSHTGSVEDLRVDCMENGGRNFSSCCSSPKMSDSTSKELMEEYSVYGSEEEEEADDPRDGETDEDLTDEERDNHEIDAGSVDEHSTKSDEEYEDLAMRDVMENGDWSDDEQAVSSTKNSLAPEGIIHGRGTDEDDGMEGLYHHNLDLFLKMSKEVAGTRMPCAS >PAN08980 pep chromosome:PHallii_v3.1:1:59123716:59127626:-1 gene:PAHAL_1G449600 transcript:PAN08980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPPPAPAPKLLYIAVADGGGRRAFRYTRPVLQSTLHLMGCKPRHAFKISKRVFNVMKSEFLAASKLDGVTKQENYPALGDGTDTQKTLERSSSSIPFELYKNQTTVVISREQFVSVVCDALSLYKYVGPNQKADFLLACRIKERKESVTILLCGTSGCGKSTLSSLLGSRLGITTVISTDSIRHMMRGFADEKQNPLLYASTYHAGDYLDPIAVAQAKAKRKANKPTIVSHPSISGGKDVTSDGKSHHANSELPPRTELIGNKKMAVEGYKAQSEMVIDSLDRLITSWEEQKESVIVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQEYLCNRADKHLVPKINNTNVDQSVAAIHATVFSCLRRREAGEQLYDLNTNTVAMVDEEYRNQRAANTLGSKGMFQLIQRKGSSRNLMALLNTDGSVTKAWHVGTSDGNGNLNNITNNKKSAENTILDPSQIGKAEAVNLHFGPFGISAWMSDTGGTSHTGSVEDLRVDCMENGGRNFSSCCSSPKMSDSTSKELMEEYSVYGSEEEEEADDPRDGETDEDLTDEERDNHEIDAGSVDEHSTKSDEEYEDLAMRDVMENGDWSDDEQAVSSTKNSLAPEGIIHGRGTDEDDGMEGLYHHNLDLFLKMSKEVAGTRMPCAS >PVH65734 pep chromosome:PHallii_v3.1:1:4373297:4380715:1 gene:PAHAL_1G063800 transcript:PVH65734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFLCAACSRCWDAILQKGGSDFAISLEGAATSVCTRVCCYRLWRMDYCHLPLL >PAN04921 pep chromosome:PHallii_v3.1:1:7471312:7473079:1 gene:PAHAL_1G101800 transcript:PAN04921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFQIWHCLLALLLLAPAAQGQLSPSFYARSCPTLGLIVRATTIRALLAERRMGASLIRLFFHDCFVQGCDASILLDDVGSFVGEKGAGPNVNSVRGYEVIDQIKATVEAVCPGVVSCADIVALAARDSTFLLGGPTWAVPLGRRDSTTASQSLANSDLPSPASDLATLISAFGNKGLSPRDLTALSGAHTIGFSQCQNFRGRIYNDTNIDAAFAALRRRTCPAAQGSGDGNLAPLDVQTQLLFDNAYYRNLVGQRGLLHSDQVLFNGGSQDALVRQYSTNPALFSADFAAAMVKMGNISPLTGSAGQIRANCRVVNSS >PVH65970 pep chromosome:PHallii_v3.1:1:8815488:8818187:-1 gene:PAHAL_1G113700 transcript:PVH65970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGAQLLAARGISSSPCLARRLKQTESEIVRMFHPPVRQCEEPIATIGPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLDVVEQYGEMWKMIQEMVRNPICVVTPTELSEVIRMLGNAKMISKAIAIFYQIKARKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALIFAFCKLGRRDSAIRLLNEMKENGMQPTAKIYTMLIALFFKLDDVHGALSLFEEMMCQYCQPDVFTYTELIRGLGKSGRIDEAYHFFHEMQREGCRPDTVVMNNMINFLGKAGRLDDAIKLFQEMETLRCIPSVVTYNTIMKALFESKSHASEVPSWFERMKGNGIPPSSFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGTSSSRVYAVMIKHLGKAGRLDDAINMFEEMNQLGCTPDVYAYNALMSGLARKGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLANMKQSAIRPDAVSYNTVLGALSHAGMFEEAAKLMKEMNTLGFEYDLITYSSILEAIGNVDHE >PAN05020 pep chromosome:PHallii_v3.1:1:8814171:8818280:-1 gene:PAHAL_1G113700 transcript:PAN05020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGAQLLAARGISSSPCLARRLKQTESEIVRMFHPPVRQCEEPIATIGPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLDVVEQYGEMWKMIQEMVRNPICVVTPTELSEVIRMLGNAKMISKAIAIFYQIKARKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALIFAFCKLGRRDSAIRLLNEMKENGMQPTAKIYTMLIALFFKLDDVHGALSLFEEMMCQYCQPDVFTYTELIRGLGKSGRIDEAYHFFHEMQREGCRPDTVVMNNMINFLGKAGRLDDAIKLFQEMETLRCIPSVVTYNTIMKALFESKSHASEVPSWFERMKGNGIPPSSFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGTSSSRVYAVMIKHLGKAGRLDDAINMFEEMNQLGCTPDVYAYNALMSGLARKGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLANMKQSAIRPDAVSYNTVLGALSHAGMFEEAAKLMKEMNTLGFEYDLITYSSILEAIGNVDHE >PAN05019 pep chromosome:PHallii_v3.1:1:8813785:8818482:-1 gene:PAHAL_1G113700 transcript:PAN05019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGAQLLAARGISSSPCLARRLKQTESEIVRMFHPPVRQCEEPIATIGPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLDVVEQYGEMWKMIQEMVRNPICVVTPTELSEVIRMLGNAKMISKAIAIFYQIKARKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALIFAFCKLGRRDSAIRLLNEMKENGMQPTAKIYTMLIALFFKLDDVHGALSLFEEMMCQYCQPDVFTYTELIRGLGKSGRIDEAYHFFHEMQREGCRPDTVVMNNMINFLGKAGRLDDAIKLFQEMETLRCIPSVVTYNTIMKALFESKSHASEVPSWFERMKGNGIPPSSFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGTSSSRVYAVMIKHLGKAGRLDDAINMFEEMNQLGCTPDVYAYNALMSGLARKGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLANMKQSAIRPDAVSYNTVLGALSHAGMFEEAAKLMKEMNTLGFEYDLITYSSILEAIGNVDHE >PAN03608 pep chromosome:PHallii_v3.1:1:922686:923553:1 gene:PAHAL_1G009100 transcript:PAN03608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGYRQICAKYLCATGLMHDTKQLSGRIRTLKQMYGFIKDMHTDSGLGRDDQGWPTASKDWWDKKQSHCNCVVFFFSQGCPESKKLKWGPPEYFDLLEHCFQDVAVDGSSAFIPGQEVDEALYEDEAQGFEEEEEELQGTENSPMSSSGHKRSSSTSAKSTADSPIKKSKSPMLKVMKQYLHMSARQSAQRNMFLKKLGSKQETAEAKLEDAIKKAQQLAKQAGLDESSPEFYAVSHICNNEALMKFFINMETSEGRVAFLRRYCKEKNLD >PAN08513 pep chromosome:PHallii_v3.1:1:56891783:56895185:-1 gene:PAHAL_1G417000 transcript:PAN08513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAWRQSGGVAAVADHLGHLGGAGFVGRARPARLCLYGLALTFAGFAVFLAVAPSLPAPPASSPAAAWFDGLIASASPYRAQVSGFLSSLFPANSSSSVPLSGVAARRGGPSGPQAGGSISSAVRPGELLGSGGGVPSSSVGGAPSGDHAPAPGDAAAAVPSTVPPDDHVQGQDGAEAKHSTGSATAEAKSRPGPGLPSGGSAQDGTTSKGGVPVRINGSDANASSVDSGDGSEMKASARNAAGSTHQLGSGTAALGNDNKNHTRGSAASDGSRSSENKQIESTARPQGSTSPAKDQSAQVVTPIANNNSTVLVKAAATAGRRKKVDWIENMASCDMFYGNWVRDDSYPLYPAGSCPHIDESFNCHLNGRSDKAYQRLRWQPSACRIPRLNPTDMLDRLRGKRLVFVGDSLNRNMWESLICILRNSVKDKSKVFEVSGRSQFKAEGSYSFLFQDYNCTVEFFRSPFLVQEWEMPIRNGKGSRETLRLDIIDRAFPRYKNADIIIFNTGHWWTHDKTSLGKDYYQEGNRVYSELDVHDAYRRALNTWAKWVDSNVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEKYLTPYPTKMSILEEVLHGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEERKSPELYQDCSHWCLPGVPDSWNELLYAQILVKQRHTMQQ >PAN08516 pep chromosome:PHallii_v3.1:1:56892213:56894777:-1 gene:PAHAL_1G417000 transcript:PAN08516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAWRQSGGVAAVADHLGHLGGAGFVGRARPARLCLYGLALTFAGFAVFLAVAPSLPAPPASSPAAAWFDGLIASASPYRAQVSGFLSSLFPANSSSSVPLSGVAARRGGPSGPQAGGSISSAVRPGELLGSGGGVPSSSVGGAPSGDHAPAPGDAAAAVPSTVPPDDHVQGQDGAEAKHSTGSATAEAKSRPGPGLPSGGSAQDGTTSKGGVPVRINGSDANASSVDSGDGSEMKASARNAAGSTHQLGSGTAALGNGTAVPFINQTTSAVAAAMDGNGTASPAGNNQTLLIQSPADNKNHTRGSAASDGSRSSENKQIESTARPQGSTSPAKDQSAQVVTPIANNNSTVLVKAAATAGRRKKVDWIENMASCDMFYGNWVRDDSYPLYPAGSCPHIDESFNCHLNGRSDKAYQRLRWQPSACRIPRLNPTDMLDRLRGKRLVFVGDSLNRNMWESLICILRNSVKDKSKVFEVSGRSQFKAEGSYSFLFQDYNCTVEFFRSPFLVQEWEMPIRNGKGSRETLRLDIIDRAFPRYKNADIIIFNTGHWWTHDKTSLGKDYYQEGNRVYSELDVHDAYRRALNTWAKWVDSNVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEKYLTPYPTKMSILEEVLHGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEERKSPELYQDCSHWCLPGVPDSWNELLYAQILVKQRHTMQQ >PAN08515 pep chromosome:PHallii_v3.1:1:56891783:56895184:-1 gene:PAHAL_1G417000 transcript:PAN08515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAWRQSGGVAAVADHLGHLGGAGFVGRARPARLCLYGLALTFAGFAVFLAVAPSLPAPPASSPAAAWFDGLIASASPYRAQVSGFLSSLFPANSSSSVPLSGVAARRGGPSGPQAGGSISSAVRPGELLGSGGGVPSSSVGGAPSGDHAPAPGDAAAAVPSTVPPDDHVQGQDGAEAKHSTGSATAEAKSRPGPGLPSGGSAQDGTTSKGGVPVRINGSDANASSVDSGDGSEMKASARNAAGSTHQLGSGTAALGNGTAVPFINQTTSAVAAAMDGNGTASPAGNNQTLLIQSPADNKNHTRGSAASDGSRSSENKQIESTARPQGSTSPAKDQSAQVVTPIANNNSTVLVKAAATAGRRKKVDWIENMASCDMFYGNWVRDDSYPLYPAGSCPHIDESFNCHLNGRSDKAYQRLRWQPSACRIPRLNPTDMLDRLRGKRLVFVGDSLNRNMWESLICILRNSVKDKSKVFEVSGRSQFKAEGSYSFLFQDYNCTVEFFRSPFLVQEWEMPIRNGKGSRETLRLDIIDRAFPRYKNADIIIFNTGHWWTHDKTSLGKDYYQEGNRVYSELDVHDAYRRALNTWAKWVDSNVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEKYLTPYPTKMSILEEVLHGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEERKSPELYQDCSHWCLPGVPDSWNELLYAQILVHRIHTIDQREADITEIKDFGILA >PVH67087 pep chromosome:PHallii_v3.1:1:57563106:57572593:1 gene:PAHAL_1G424400 transcript:PVH67087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAYGWPQSIPLDPDDSDRVVLLRVLGRLLLAVCPASLHLWSAAQHRVRLARFDRSPDSLAAHGQNAHAVWSPDAKTVAVLTSSFYLHIYRVQLSGKPLIVGGKQLPGLCLASISLIITEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFSDSFKLGCSVCSSEKPTAVVDALVFDPPSLRENSNARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIALCTVGKKGLKQPGSIRVESWLNTDDAMCTSVASEQQILSVGCSRGVVELYDLAENMRHIRTISLYDWGYSVEDTGPVACISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDNGYKLFAVEESLSERVLAFSFAKCCLNRGLSGATYSHQVLYGDDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVVILGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPEQHDKGVLKQDTNGSSGFSSEQPSRCLILRTNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKKQVPQKVDYPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATADSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSVTLQSHDVFREYLDLLNMLEEQLSSVSDVTLQNGPLS >PAN08616 pep chromosome:PHallii_v3.1:1:57563106:57572593:1 gene:PAHAL_1G424400 transcript:PAN08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAYGWPQSIPLDPDDSDRVVLLRVLGRLLLAVCPASLHLWSAAQHRVRLARFDRSPDSLAAHGQNAHAVWSPDAKTVAVLTSSFYLHIYRVQLSGKPLIVGGKQLPGLCLASISLIITEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFSDSFKLGCSVCSSEKPTAVVDALVFDPPSLRENSNARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIALCTVGKKGLKQPGSIRVESWLNTDDAMCTSVASEQQILSVGCSRGVVELYDLAENMRHIRTISLYDWGYSVEDTGPVACISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDNGYKLFAVEESLSERVLAFSFAKCCLNRGLSGATYSHQVLYGDDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVVILGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPEQHDKGVLKQDTNGSSGFSSEQPSRCLILRTNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKKQVPQKVDYPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATADSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSVTLQSHDVFREYLDLLNMLEEQLSSVSDVTLQNGPLS >PVH67086 pep chromosome:PHallii_v3.1:1:57563106:57572593:1 gene:PAHAL_1G424400 transcript:PVH67086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAYGWPQSIPLDPDDSDRVVLLRVLGRLLLAVCPASLHLWSAAQHRVRLARFDRSPDSLAAHGQNAHAVWSPDAKTVAVLTSSFYLHIYRVQLSGKPLIVGGKQLPGLCLASISLIITEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFSDSFKLGCSVCSSEKPTAVVDALVFDPPSLRENSNARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIALCTVGKKGLKQPGSIRVESWLNTDDAMCTSVASEQQILSVGCSRGVVELYDLAENMRHIRTISLYDWGYSVEDTGPVACISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDNGYKLFAVEESLSERVLAFSFAKCCLNRGLSGATYSHQVLYGDDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVVILGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPEQHDKGVLKQDTNGSSGFSSEQPSRCLILRTNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKKQVPQKVDYPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATADSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSVTLQSHDVFREYLDLLNMLEEQLSSVSDVTLQNGPLS >PVH67088 pep chromosome:PHallii_v3.1:1:57564012:57571761:1 gene:PAHAL_1G424400 transcript:PVH67088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFLFSDSFKLGCSVCSSEKPTAVVDALVFDPPSLRENSNARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIALCTVGKKGLKQPGSIRVESWLNTDDAMCTSVASEQQILSVGCSRGVVELYDLAENMRHIRTISLYDWGYSVEDTGPVACISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDNGYKLFAVEESLSERVLAFSFAKCCLNRGLSGATYSHQVLYGDDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVVILGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPEQHDKGVLKQDTNGSSGFSSEQPSRCLILRTNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKKQVPQKVDYPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATADSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSVTLQSHDVFREYLDLLNMLEEQLSSVSDVTLQNGPLS >PVH67089 pep chromosome:PHallii_v3.1:1:57563106:57572593:1 gene:PAHAL_1G424400 transcript:PVH67089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDNGYKLFAVEESLSERVLAFSFAKCCLNRGLSGATYSHQVLYGDDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVVILGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPEQHDKGVLKQDTNGSSGFSSEQPSRCLILRTNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKKQVPQKVDYPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATADSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSVTLQSHDVFREYLDLLNMLEEQLSSVSDVTLQNGPLS >PAN04619 pep chromosome:PHallii_v3.1:1:5496988:5500039:-1 gene:PAHAL_1G079700 transcript:PAN04619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQAASSRPSSSERSSSSAHHMDMEAKEGMESDEEIRRVPELGLELPGGASTSGREAGPGAGGPERAQSSTAQASSRRRVRSPADKEHKRLKRLLRNRVSAQQARERKKAYLTDLEVKVKDLEKKNSEMEEKLSTLQNENQMLRQILKNTTVSRRGPGSTASGEGQ >PVH66344 pep chromosome:PHallii_v3.1:1:36624315:36624608:-1 gene:PAHAL_1G216000 transcript:PVH66344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGAQLKKMLRRCSSSLARRDEAGPPEDVPRGHFAVYVGAGRSRYIVPVACLDAPEFQELLRKAEEEFGFGHDMGITLPCDEATFHAVLAAAAIR >PVH66639 pep chromosome:PHallii_v3.1:1:49327743:49338024:1 gene:PAHAL_1G303400 transcript:PVH66639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEATRRILSVILRHIDGFEQDRRVVVIAATNRKEELDPALISRFDSIICFGLPDQQTRMEIAAQYAKHFTKSELVQFSLATEEMSGRDIRDVCQQAERHWASKFAGEIWLEERRQRTRLGRLQLPKEPRSGASTRSRRGSCAHRPHQQPTRRSPGAACRRHMCAGRRLAWLCRLVSICGVRSRPPGAQLTSKQQ >PVH66836 pep chromosome:PHallii_v3.1:1:53406377:53410952:-1 gene:PAHAL_1G363700 transcript:PVH66836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVNIILCKDFIEYFLRVFQVSHYLKSCNGQGSASAPILVPVSSSNHHVELNMRSQSKNARYALMTLANEMSDKRKITEPVVRKNFKVILLYGVDKVSENNQRLIKWILDSSSDACKIIMTCQDESNLVDSIKSRCKLITIGVPSTREIVDILTYISKRESFDLPATFATTIASQSRQNLREAILALEACKTKNYPFIDGQAIPLGWEEVLEELSAEILDDPAPKRLFLARGKLQKLLVEFVPPKLILQKLVELFLKGIHTSVKREVYYWHAYYDKRLPVGASALLKLEEFVAKFMSIHRKSLSVGSQ >PAN05399 pep chromosome:PHallii_v3.1:1:24742054:24747176:-1 gene:PAHAL_1G176200 transcript:PAN05399 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MSAPAPAAAEEKAAANAAAAAKGKEKAEVAAAAAEAGRGLFVAYPAPVAEHADVVADAARFRAALERLHAHMGTRLKVPIIGGKDLDLHQLYKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQLYFFRVQGWYQRDIDSRTNSSIEVKTEAQASHKRKRGTNAPPSDPASPSDNVDVDVIIDGKFEHGYIVTVIMGSKSTKAILYNCTEEPALPTPVPPVASNSTDLKGGRRLRKRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRILKPQYPGKDRLISKMIGERWNNLGISRKRRTG >PAN05398 pep chromosome:PHallii_v3.1:1:24742054:24745362:-1 gene:PAHAL_1G176200 transcript:PAN05398 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MLLSYCFFVRVPIIGGKDLDLHQLYKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQLYFFRVQGWYQRDIDSRTNSSIEVKTEAQASHKRKRGTNAPPSDPASPSDNVDVDVIIDGKFEHGYIVTVIMGSKSTKAILYNCTEEPALPTPVPPVASNSTDLKGGRRLRKRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRILKPQYPGKDRLISKMIGERWNNLGPEDKAVYQERGVQDKERYRTQLAAYKEEQRTGQPVSNAVPIQQRLPKTEVTIDEVDSKVSEGDMLLSNQGYSSSEESEHSGLKTVEDEELNTDTSPEMSMETAGSPGHPDPSADGDRFELRRRENPKADEKQNVPPDS >PAN05401 pep chromosome:PHallii_v3.1:1:24742628:24746648:-1 gene:PAHAL_1G176200 transcript:PAN05401 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MSAPAPAAAEEKAAANAAAAAKGKEKAEVAAAAAEAGRGLFVAYPAPVAEHADVVADAARFRAALERLHAHMGTRLKVPIIGGKDLDLHQLYKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQLYFFRVQGWYQRDIDSRTNSSIEVKTEAQASHKRKRGTNAPPSDPASPSDNVDVDVIIDGKFEHGYIVTVIMGSKSTKAILYNCTEEPALPTPVPPVASNSTDLKGGRRLRKRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRILKPQYPGKDRLISKMIGERWNNLGPEDKAVYQERGVQDKERYRTQLAAYKEEQRTGQPVSNAVPIQQRLPKTEVTIDEVDSKVSEGDMLLSNQGYSSSEESEHSGLKTVEDEELNTDTSPEMSMETAGSPGHPDPSADGDRFELRRRENPKADEKQNVPPDS >PVH67068 pep chromosome:PHallii_v3.1:1:57334298:57334726:1 gene:PAHAL_1G421100 transcript:PVH67068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRAPSPALPRHPTSRPRLQHPAHARPRPRPAPATSTSSRAFTNHPHREVPQRGHLRHARTRPKPRSASGAEVTLLKPPEHVGHEVALDTLRDLVAILERPVQLRQLPPQVRRGAGNLRERNQALVDVLILAPQQVAGGG >PAN08445 pep chromosome:PHallii_v3.1:1:56693903:56698674:1 gene:PAHAL_1G413400 transcript:PAN08445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQRPRPRPRPQLARINAMRHSSYPGEDEGAGDPAPADLGSEFASQTSFRIRGGHGGRAEVDDLFRKLGLNGPEDFTIPPALYAAAMAHIPTSSRSRRQSLEDSLGRGAEGSAPQELPEISGRDAMVAARLEAVVEGEQAVLATKVVQPEAVEVSARFYKEPEAETGNRVIESETAQVSRREVAAVVKLGNAEIEKGKGDPVKVDQLRVERTKAVVVEAPRETTGALVQVVAESTSRDIEHWISPSPHRRFKRTITTWIKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLMDQGLNAKQRILQLEHEISLLSRLEHENIVQYFGTDKEGGKLYIFLELVTQGSLAALYQKYHLQDSQVSAYTRQILNGLLYLHQRNVLHRDIKCANILVDACGLVKLADFGLAKEMSILSQARSSKGTVFWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPNKLSEDARDFIKKCVQANPNDRPSAAQLLEHPFVQRPLQHYGA >PVH67006 pep chromosome:PHallii_v3.1:1:56365301:56369848:-1 gene:PAHAL_1G407600 transcript:PVH67006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MPMAKRRPAQRRRRGGSRQHLLPKFPNRHTSLHARSHTHTTLAVVPLVSPVPMARPSSPRLLSSFLGDRLALSCRPLLLRSAVPGSRRVAYQATRTLCNLVDILFNRRSWDDAPENNPRRLRPGKVSPRLSVPNHIQLPPYVNSRQRPGVTNGPEIHDEKGIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIVDNGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDEAKKLVQVTKECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRHFVGHGVGKVFHAEPVVLHFRNNEWGRMMLNQTFTIEPMLTLGSINPVMWSDDWTAVTEDGSLSAQFEHTILITEDGPEILTQC >PVH66427 pep chromosome:PHallii_v3.1:1:44388392:44389179:1 gene:PAHAL_1G242900 transcript:PVH66427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCARGSFGSKKGGQRCLPFNCWSRLPLVLLYAGHSRLPPLARAVEMDSAQKGQTDVPCRDGCGIGLEVAREMKDLSLSREKKVFL >PAN08543 pep chromosome:PHallii_v3.1:1:57139967:57142037:-1 gene:PAHAL_1G419200 transcript:PAN08543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMSGRAGGGAGAGRYPFTASQWQELEHQALIYKCLASGKPIPPYLMPPLRRILDSALATSPSLVFPPQPSLGWGCFGMGFSRKPDEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPAPSSNATSANSATANTTTTSSPAPTYHRPAPAAAHDAAPYHALYGGSPYAASARPAGAYHAAAQVSPFHLHLDTTHPQPPPSYYSVDQRDYAYGHAAKEVGEHAFFSDGTTERDRQAAGQWQFKNLGVEPKPSATSPFSVGGYGNGAATAYAVDLTKEEDEEERRRQQQQHCFVLGADLRLERPSGHDAAPAQKPLRPFFDEWPHEKANKGGSWMGLDGETQLSMSIPMATNDLPITSRYRNDD >PAN08299 pep chromosome:PHallii_v3.1:1:55814694:55816434:1 gene:PAHAL_1G401200 transcript:PAN08299 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G65220 protein [Source:Projected from Arabidopsis thaliana (AT5G65220) UniProtKB/TrEMBL;Acc:B9DH43] MATMSLAAASPLTSTPRAVGAPAPLTAFLGLRSGAAQATRFPGLTVSSQPVERRAAGVVAMAKREQELEEIRAMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFSRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEV >PAN04182 pep chromosome:PHallii_v3.1:1:3320781:3323574:1 gene:PAHAL_1G048100 transcript:PAN04182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGGVAAAADSPGAAAARPSRYESQKRRDWQTFGQYLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHAPGCPFFGHPSPPAPCPCPLKQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRHPPAHRQAKQQQDAGQHHHHHHHHQAPHAAPATVAVTERRVLGDMAEPPAPHFLIPHAHFLHGHFLAPVTEPADPAAGIGGGGGTGEDLVLAMAAAAEAHAAGFLMPLSVFH >PAN07456 pep chromosome:PHallii_v3.1:1:51653359:51656744:-1 gene:PAHAL_1G337600 transcript:PAN07456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKPPGIHLFECVQGSPLSLRTCQALVLVLTFFSYSSYHAARKTTSVVKGVLDPKTSNLGLLHWPRCLNIDKLPTAESNTVLYGGWAPFDSRNGAALLGDIDLAFLAIYAIGIFFVGHIGDRVDLRILLTIGMIGTGLFTAAFGAGYWLDIHSFYYFLGIQLIAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNISGSLIAAALLKFGWGWSFVVPGVMIASVGLIVFLFLPAGPEATGEDDHLEDFSKNEIGTPLLEGQTEVTEKPVGFVEALRIPGVVPFALCLFFCKLVAYTFLYWLPFYISHTAVGGKYLSDSAAGALSTLFDLGGVIGGILAGHISDRLDARALTAASFTFSAMPALFFYRVYGSISLYWNTILMFVTGMLVNGPYALITTAVCADLGTHSSLRGNSRALATVTAIIDGTGSAGAAVGPLLTGYISAKSWTAVFAMLIVAALVAGLLLSRLVMAEVSAKLESQRSAAATGLPVSSVAGA >PVH66520 pep chromosome:PHallii_v3.1:1:47181584:47182312:1 gene:PAHAL_1G269200 transcript:PVH66520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDQWPVDTSDPAWLSYFSPHICVVNHPMMQSENLLNMFTTPLSFLQCQISSRFIASFFFASKFIASLLHADWEL >PAN04452 pep chromosome:PHallii_v3.1:1:4656038:4656928:-1 gene:PAHAL_1G068100 transcript:PAN04452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGCVGLLLLLAVLLAALSPVVVATARREMLTAASRDDEGRGRAGAVNSLGNNAPAAAAHGGEDVIGRRKDEVVARSQSHRRFRTRRIPASQSQVHFGGRIPFTADYHSVHRHPPTHN >PAN03512 pep chromosome:PHallii_v3.1:1:35931:36950:-1 gene:PAHAL_1G000600 transcript:PAN03512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGDGDAASGRRCPKHPSEPSFTGFCSACLLDRLHATNLIGVASPSQRPSLPLHQDDLEEPPPPCSTEAAGRRIGAERTTLLRLFQLEDQREEREEDTNAAPSTSGGDGQDPLPHLQRKRSLRHSCSEWIACCDASAASCLPSRQSLDASSTTSAAAAGVAPANPHPNDAAASARTNGVAMVERRTGSLRWNQFWAIKGLLAKPAGHLLSRSFSESSRSRYTLHPGSGAIARSSSSQSQGIRLNESRSVSSAGNGMDSSEISLPGDSVHVHHCRPRLKDRLRWLRRSRSVHYSSPTRIVDADLTPFRSRSSSTRSTSHKNQRRFAAGFFDAQRNRQ >PAN04632 pep chromosome:PHallii_v3.1:1:5518081:5520605:-1 gene:PAHAL_1G080200 transcript:PAN04632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALALGAAGTWPEAVVQCLLFLLAAGMLVALHSLPRRAALRLRRSGGGGSSAAQSRRHFAQGAQLLARARAAAPKPPGPLARAAVAEADLAIALDPRDAAPLILKALALDLQGHRLPALRALDAALAPLLARSLEPRERGDALAKRAEIALALHRRRRLDQAAADLAEAVRLSPENARAHAMLGECYEKKGLTAQARDAFKTAASIDPKLSAALDGLRRIDEGDEGNGSD >PVH65803 pep chromosome:PHallii_v3.1:1:5517789:5520747:-1 gene:PAHAL_1G080200 transcript:PVH65803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALALGAAGTWPEAVVQCLLFLLAAGMLVALHSLPRRAALRLRRSGGGGSSAAQSRRHFAQGAQLLARARAAAPKPPGPLARAAVAEADLAIALDPRDAAPLILKALALDLQGHRLPALRALDAALAPLLARSLEPRERGDALAKRAEIALALHRRRRLDQAAADLAEAVRLSPENARAHAMLGECYEKKGLTAQARDAFKTAASIDPKLSAALDGLRRIDEGDEGNGSD >PVH65505 pep chromosome:PHallii_v3.1:1:964746:970626:-1 gene:PAHAL_1G010000 transcript:PVH65505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase III precursor (EC 3.4.16.5) [Source: Projected from Oryza sativa (Os02g0114200)] MATSRLLVSLCVAAAVAVAAAGEDALRLPRGASFPAAQAERLIRALNLLPREAGPVGSGDGPTVAPGELLERQVRLPGAPDGAQDLGHHAGYFRLPHTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLVWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPEIQYKAYTDYALEMNLIEKSDYERINRFIPPCEFAIKMCANDSGTNGKASCMAAYMVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEELGVGGIEFVSCSTTVYEAMLTDWMRNLEVGIPALLEDGINALIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSSESSFVVDGAEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKESLPESMVFKAVM >PAN03609 pep chromosome:PHallii_v3.1:1:964744:970626:-1 gene:PAHAL_1G010000 transcript:PAN03609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase III precursor (EC 3.4.16.5) [Source: Projected from Oryza sativa (Os02g0114200)] MATSRLLVSLCVAAAVAVAAAGEDALRLPRGASFPAAQAERLIRALNLLPREAGPVGSGDGPTVAPGELLERQVRLPGAPDGAQDLGHHAGYFRLPHTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLVWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPEIQYKAYTDYALEMNLIEKSDYERINRFIPPCEFAIKMCGTNGKASCMAAYMVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEELGVGGIEFVSCSTTVYEAMLTDWMRNLEVGIPALLEDGINALIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSSESSFVVDGAEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKESLPESMVFKAVM >PVH66442 pep chromosome:PHallii_v3.1:1:44978965:44981150:-1 gene:PAHAL_1G247300 transcript:PVH66442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDDVEAAHKFYMEYAHCLAFSVHIGQQKLDANGVVIWKRFLCAREGYKTEKEEGASGSSSKGRRSRESRCGCPAYIYVKRTPEGKYVIAALYEEHNHAFVNLANAKTTLFNCHKASIGTSQAFRLLQIGAGGFEYVGCTKKDLQNYYNDFRHKIKDADAEMFIENLHTLNKLDHNFFFEYEVKDGRLFRVFWADTTSRKNYIQFGDVLSFDTTYSTNQYDMKFAPFTGVNHHMRSIFFGAGFLADEKIESYVWLFNTFLRAMGGKAPSFIVTDEDASIKAAIAIVLPYTVHRLCMWHIMKKLPEKIDANLLKDDEFRKTINLCVWVSETIEEFESRWQAWIAKYHFENNEWLVGRYEIRKSWIPAYFREIWLGGILRTTSRSESANSFFSRFIGRKLALVEFWIRFDTALKCQRQDELIDDNTSMHTNPKLLTSWELERHGGAVFTHEVFRRFQNELLAAREHCDVQTTTEMEDRTIVKVVDNSNRPREVIFFNAAQVHKCSCMLFESIGIPCRHIIRMLRSARISELPMHYITKRWTKNCKREAAFDSEGNLLIEKSITSMEDSTRRKMATARNKFEDIFQMAKTSEEGLDILIQNLERLSLLFEPISRTRQEEQESFIGMFIPENVQVHPPSDIRSKGRCKRIVGHADKHKRTQSSGPRKCSSCKGVGHDRRNCSNKDAAPP >PAN06188 pep chromosome:PHallii_v3.1:1:44696727:44698741:-1 gene:PAHAL_1G245200 transcript:PAN06188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLLSVAAKEPQEENKALTIDKKLMTKLGSLQSTYGPGSGTKAKKQGTPVQVAFGRAGPSIPRTFPTPRSFSSGTSAVKLPKEHNEPWGYTCTNGPVSLPLRRPNSGDTEILDGRAQDGELSAAEELGLMERVDTHTPQLLFFQFPKTLPLPRQADADADTNMNMSAKSMGDNRKRRLGSIHGCGLKELPGGFMGKILVFKSGKVKMTLGDVLFDVSAGSNCIFPQEVVAINTREKHCCGIGDIGKRAIITPDINSLLGSVQI >PAN06190 pep chromosome:PHallii_v3.1:1:44696727:44698775:-1 gene:PAHAL_1G245200 transcript:PAN06190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEDTKSEGTDDSTPQRRRKSGLKFSPKVLPKKAPKIIPKPEPQEENKALTIDKKLMTKLGSLQSTYGPGSGTKAKKQGTPVQVAFGRAGPSIPRTFPTPRSFSSGTSAVKLPKEHNEPWGYTCTNGPVSLPLRRPNSGDTEILDGRAQDGELSAAEELGLMERVDTHTPQLLFFQFPKTLPLPRQADADADTNMNMSAKSMGDNRKRRLGSIHGCGLKELPGGFMGKILVFKSGKVKMTLGDVLFDVSAGSNCIFPQEVVAINTREKHCCGIGDIGKRAIITPDINSLLGSVQI >PAN06189 pep chromosome:PHallii_v3.1:1:44696134:44699610:-1 gene:PAHAL_1G245200 transcript:PAN06189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEDTKSEGTDDSTPQRRRKSGLKFSPKVLPKKAPKIIPKPEPQEENKALTIDKKLMTKLGSLQGPLSRSHLEEQALQFQELSPLQEAFHQGYTCTNGPVSLPLRRPNSGDTEILDGRAQDGELSAAEELGLMERVDTHTPQLLFFQFPKTLPLPRQADADADTNMNMSAKSMGDNRKRRLGSIHGCGLKELPGGFMGKILVFKSGKVKMTLGDVLFDVSAGSNCIFPQEVVAINTREKHCCGIGDIGKRAIITPDINSLLGSVQI >PAN06192 pep chromosome:PHallii_v3.1:1:44696134:44699610:-1 gene:PAHAL_1G245200 transcript:PAN06192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLLSVAAKEPQEENKALTIDKKLMTKLGSLQGPLSRSHLEEQALQFQELSPLQEAFHQGYTCTNGPVSLPLRRPNSGDTEILDGRAQDGELSAAEELGLMERVDTHTPQLLFFQFPKTLPLPRQADADADTNMNMSAKSMGDNRKRRLGSIHGCGLKELPGGFMGKILVFKSGKVKMTLGDVLFDVSAGSNCIFPQEVVAINTREKHCCGIGDIGKRAIITPDINSLLGSVQI >PAN06195 pep chromosome:PHallii_v3.1:1:44741820:44748920:-1 gene:PAHAL_1G245500 transcript:PAN06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPLRRLRGFGHNHPKERRGHQPPPAKLDELVCASQEVEDMRNSYDGLISAAAATTNSVYEFSEALEELGGCFLAKTALNGDDDDSGRVLMMLGKVQFELQKFVDTYRSNIIHTITTPSESLLKELQTVEEMKQQCDMKREAYEAMRASYRAKGRSRHSKIESYSAEQLQTSFAEYQEDAALFIFRLKSLRQGQFHSLLTQASRHHAAQLSFFRRGLKCLEALEPHVKAIAEKQHIDYQFSGLEDDVSDNGDYSSDQDDCSDGDLSFDYEINDKDQDFLPSRGSMDLDKRDVTNSPQPVKESKQEEIKQIKGDVITPQVKPEFNTHSAPILAGNLPDPSERFWQMKPSSAKHSYKLPTPVDDNNVRSVGAHRTHHSQLFESKPHVATNLWHSSPLIKPSGHVKMPSSTEGISTFSQSVSDYKKMKMESWSGPIPSKPGLSKPSSLNDRRSPMTQHHAMPGNPQSHSRQPSSVSPKVSPKMLPHPTKSPKISELHELPRPPANVESLRPSGLVGYSGPLVSKRQTQIPAAPARASPTASQTPSPLPLPPATLTRSYSIPSNSQRIPIITVNRLLEVRNSREGSDISSPPLTPLSLTDLSQQQAAKTSSTRIMKGTL >PVH66277 pep chromosome:PHallii_v3.1:1:28856040:28856801:1 gene:PAHAL_1G192600 transcript:PVH66277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTGKLSMPAVSRDSILSRRTYVMVAATPSATAMAVRRPPNGWQRRPPCYRFIERWASEPEEKAARDSSEEVLWLRWAIQSTAEAAAAARLRRCTTPMSSAASAFFVVLAAAAAAAARTGLGE >PVH66301 pep chromosome:PHallii_v3.1:1:31317218:31318217:-1 gene:PAHAL_1G200300 transcript:PVH66301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSAPTTHVGLYRSCNRFDDIVAIYALGWGERHAAAPSMFLQQHIIPGSLHVELFFRGCRLPRPLLLHLLYLQCC >PAN03563 pep chromosome:PHallii_v3.1:1:342738:343283:-1 gene:PAHAL_1G002800 transcript:PAN03563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSYYYRCCITFTLFLLLLIQQGASADMVEDTCERCSRSDPKVNYTLCISSLSQDPQSRQADLHGLAMVSAKLVRSGAVSMEARFAELSKEEAPLTPRKSCLEACVWLYLNSLYDLDTSIAAIDERRYPDAKTSMSATIDAPTTCEDAFKEQGFEPPLRAENNNLFQQAVISLAIVSLL >PVH66477 pep chromosome:PHallii_v3.1:1:46117450:46118288:-1 gene:PAHAL_1G257500 transcript:PVH66477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAKKAKVKHTVDLSPYTISSLPQEIKAKILSNQSTDMAVRATFYISGRSKFFTLLDLALSLHKGTLDTFAIHGAQSYHDVFAGWMYMLSTKGPGAITIKLTSGPQYKIPSSLFSISHLYFLYLKNCSISLPKEFEGFKLLRVLKLKVFASTDSDISNLISSCPLLDAVRLRYFEGINCLNIQSQTLQILEIEGNFEDIRVDAPNLLHMYLTLDDTEGHQSVPVQGDRKSYLKQTFGSLTRFTTLSFLDGNVLLA >PAN07791 pep chromosome:PHallii_v3.1:1:53380635:53383157:1 gene:PAHAL_1G363100 transcript:PAN07791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCQTFKPHRCPSPGGPQDALSSSIRLDQLPPRCPVSSSPRTMSSLLLQSPRARLLLTAPSSRRHLQCKEHLLLPRRMPRVRLGVRMADTAWVESGGGASPEVGVSAEGGAVQDLGRDDELRGPSPEANGWATVEAALNWVSKWLVAASYVFAGLWKHDVEFMWVLLGAAASYLLSLFLKRVLNHERPSDLRSDPGMPSSHAQSICYAATFLVLSLYHYLGTNYLTMILGPATLSTAFYLSWLRVSRRLHTLNQVMAGAAVGSAFGALWFVLWRSLVQEAIASSPSAKIAAILVSAASCVGVIVYMMGHWVKTE >PVH67050 pep chromosome:PHallii_v3.1:1:56852233:56852675:-1 gene:PAHAL_1G416100 transcript:PVH67050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSKPDIVGLGRLPSSFFMCPPHLICNIAGVCCLDYNILFRSLCGLHVM >PAN09016 pep chromosome:PHallii_v3.1:1:59333171:59335428:1 gene:PAHAL_1G452300 transcript:PAN09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYTEPLLFTSRSPRIGPASKNMVVALHDDELDDGDAPSVRSPRAAWAVFAEESRRLWAIGAPIAFNIICLYGTNSTTQIFAGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGVYMQRSWIILSASALLLTPLYLYAAPILRLLGQDDDIAAAAGSFTLGIIPQMFALAVNFPTQKFLQAQSKVAVLAWVGFAALAAHVGLLALFVSVLGWGVAGAAAAYDVSSWLTSLAQVAYVVGWCRDGWTGLSRAAFQELWAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLSAAISVRVSNELGSGRPRATKYAVMVVLAQSLAFGLLAMVLILASRTQFPVIFTGDRHLQKAVSNIAYLLAVTMVLNSVQPVISGVAVGGGWQAVVAYINLGCYYAFGLPLGFIFGYLLRFGVQGIWAGMLCGTALQTAILTYMVWRTDWKAEASLALERVRIWGGQQHQKLPTSDQDVGI >PAN04359 pep chromosome:PHallii_v3.1:1:4196662:4198226:1 gene:PAHAL_1G061700 transcript:PAN04359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVDEAEPMKKTMIRVREFDVTRDLRAVEELERLCQVGLSGDQGSDEPAADHDGCAEKKRRRRTSGKTKKRGMSLYVEQIGDPFARVRHAPDHVMLVAEYGEEEEVVGVIKACTRMVSRGRKRQSFSSSKQFVKVACLLGLRVSPSHRRLGIATELVRRAESWCAARGAAYATMATTESNAASLALFAGRFAYAPFRRPVFLGHPVHRHRARVPRAHRVLRLPPPLAVAAYAALLPPSAAEFLPADLPALLNHKLTLGTYLAIERGGPEDTARPQSFALLSVWDATRSLRLRVGGAPTLLRASLAAARALDRHAPWLQVPSVPDIFRPFGTYLMYGLRMSGPEGPALLRSLCRHAHNVARKNPACAVLAADLGPEDPAAAVVPHWTKFSCDEDVWCIKKLGATADGNAAGDDTEEDWTTSPGPGVLFVDPREF >PVH66538 pep chromosome:PHallii_v3.1:1:47586667:47588957:-1 gene:PAHAL_1G275900 transcript:PVH66538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTNPEEGWKFWLAYSGQSGFEVRKQYANKSKIDGNVTSRRFVCAKEGHRGKDKRSDQVKCPRAETRTDCEVRIELQAFEIETADDSGIGPKASHELACHRVGGPLNLGYTLRDHKNYLRGKRQREMSYGEAGSMLQYFRDKIAANPSFQYATQMDIEEKIANIFWADAKIIADYTHFGDVVSFDTTFGTNRESQPFGVSVGFNQFRETVVFCAVLMYDETFESFRWLFETFLQAHNGKQPKTFYTDQDFAMGKAVELVFHESWHGLCTFHIMQNAIKHLPKQEKDEGSNVLADFRACMFEYVDQEKFEREFAILRTKVQKQTWLDSIYSLKEKWAECYIKDVYTLGMRSTQLSESLNNDLKIHFKSDFNIIRFLKHFEKVVEGKRNNELNSEFESRKKLSRVIVKVPMLLQASKMYTPIIFEAFQGQYARSMTACTRVLDGNYEYLVATGSLGEELVLDEEYRVIGNPLDQTATCSCNLFNRIGIICAHALKVFDLMNIKSLPTQYKLKRWTRQVRSGIVLDRNGKNVIKNPKLDAMLRYRYFSHKLLNLAHRVAYFPECTSLMDNAIDLLSQQLEDKISLCPSISNAGESAAHVNVAAQNDTLSDAQLKKKEVRTRSSKRQKLGWEEKYKGRKKGQRKTLVSVQIPMAQDIGKEASSDKHRDSEEYWTISSFTQLLNGASSDDLKFERFF >PAN07425 pep chromosome:PHallii_v3.1:1:51455244:51456860:1 gene:PAHAL_1G334900 transcript:PAN07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYTLLFGALVVLGLLVSPIACTRKLAKPNRHRRPAHRPAVRARSNHTATPSASYAYGSGGWLSAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLFKGGKGCGACYEVKCDSNPACSGQPATVVITDECPAGVCLAEAAHFDMSGTSMGAMAKPGMADRLRAAGILKVQYKRVPCKYSGVNIAFQVDQGSNPFYFEVLVEFEDGDGDLSAVHLMEAGCSTWTPMVQNWGALWRYNSNTGKALRAPFSIRLTSDSGKVLVANNVIPASWKAGATYRSLVNYS >PVH66742 pep chromosome:PHallii_v3.1:1:51455646:51456507:1 gene:PAHAL_1G334900 transcript:PVH66742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGEFVYAGGACGYQTAVGQRPFSSMIAAGSPSLFKGGKGCGACYEVKCDSNPACSGQPATVVITDECPAGVCLAEAAHFDMSGTSMGAMAKPGMADRLRAAGILKVQYKRVPCKYSGVNIAFQVDQGSNPFYFEVLVEFEDGDGDLSAVHLMEAGCSTWTPMVQNWGALWRYNSNTGKALRAPFSIRLTSDSGKVLVANNVIPASWKAGATYRSLVNYS >PVH66058 pep chromosome:PHallii_v3.1:1:12818049:12818372:-1 gene:PAHAL_1G137700 transcript:PVH66058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQDTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQHIHAQPPPPPPPQPRDWRADFLRGHPPTFSHATDPLQADDWLRSVERQLVVA >PVH67127 pep chromosome:PHallii_v3.1:1:58052244:58052879:1 gene:PAHAL_1G431900 transcript:PVH67127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTAGIEVFSTGIHDVRRARAHLAFLCARPGRPALVSASSTLYSICPSPSELCALVLAMRSGHRTSLRRPSLSHRVGSAHATLYRPAPPLPRPSRGRRELAVPDHAAPPLPRLDATLAPASAQWRAEGPRRAWRGAAAPLLLQLVTPVRPASLLRPSRPPRADPSRPALLLHLRRTAIAYQAGAHRPRASPPNKPRKNGERRQRDEHEVG >PVH65689 pep chromosome:PHallii_v3.1:1:3567049:3568560:1 gene:PAHAL_1G052700 transcript:PVH65689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPACSLEEEDGRTRARSDRSLRWTGGAESLKLYKPVRPLSSLAQPWTTTASRHAAVHMDGDLQERLCAQARRAREPRRRHRPAVRRRGNEWLQHPGSPAGGASKQLAPWQSSTGGSRDRKALGQTGAIMAIDATATVNCSRAAAAAAAAASLLAVFSPIRLLLPRLDGPKAPFQSFGSGI >PAN05560 pep chromosome:PHallii_v3.1:1:19025716:19026291:-1 gene:PAHAL_1G157900 transcript:PAN05560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASALGVMAIVLLVALSSSPVVASLRPGLGVCRASGYLPGLSGNCVKSNDPDCCEDGKRYPQYRCSPPVTSSTPATLTLNSFEKGKDGGGPSECDNSYHSDEEMVVALSTGWFSNMARCGHRIKITANGNSVYAKVVDECDSVHGCDDEHNFEAPCANNIVDASPAVWNALGLDQSTGEQDITWSDGDE >PAN06175 pep chromosome:PHallii_v3.1:1:44469098:44471370:1 gene:PAHAL_1G243800 transcript:PAN06175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDGADRRDLPERSSDQPALFDDDMAAFRAVLGADLPVAAAVDALTRCSGDTERAIKWLQDDAAAADRDGGDVELEKGPAGGAAPVPAPRGVKAERGFGGGDLPPLPPPPVKVEAAGEVRVEVKTEPIDADPDEVKVKIEAPEEVEVKMEVEAPGEAEVKVKMEVETPGEAEVKQEDEADEVDVKEEEPRGSPIKGQVLSPRRVKEDESDCSENEVEMMEPPARSKKRPHEDDGVVFIDLTTSHPAPYLNPRPIRAMPPPGAIPTNEWRMVVAPPPAELDEYPPDRREWCFFKKSYATGLLTCRGRKVLDGGEVVHFAFPSHDRLRGGIRVSYRQAAALMEIVRFSTNRSGEIGKLSPVWAKCLAPLVNSSTIKVQGKIVFPMMELRLMQEVLLYVSFYIHRSSMCLMAPENAHHPDNPLRGLFKLLRRFGVPEV >PAN08144 pep chromosome:PHallii_v3.1:1:55228400:55233406:-1 gene:PAHAL_1G391300 transcript:PAN08144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEAAAEVVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGEGDAAAAAWVRELVRALEAARRFVALGRAPPTPTAAAGASDQDAICNNTALQFKYVTWQLQAALANLPHSCFQISDEVQEEVDLVRAQLRREMEKKGALDITVFTKVHDILAQIDNAGPQSQQPHQQPEQSQMEKFSKDHLDLQNAILLVSEISGLSKSDMTKITSELIEGLENAGTPDSPKPANIDSQSSDETKSSSEEVKKPDSVSIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLIMQWCEEKGIEPPSRSKSDGSSHEVGGNRLAIEALVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESSAIPALVKLLSSKDQKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRTGSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIEALVELLQSGSSRGKKDAATALFNLCIYQANKVRAVRAGILAPLMRMLQDSSRSGAIDEALTILSVLVSHHECKIAISKAHAIPFLIDLLRSGQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELANTGTDRAKRKATSLLEHLSKLQVL >PAN06148 pep chromosome:PHallii_v3.1:1:44285041:44288533:1 gene:PAHAL_1G241500 transcript:PAN06148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCRALALHLLPASAPYRRAPRARVGAHRPRPSPVRCCAAAGDQAEPPQDAVLKAISQIASSTGRVAQTTNVVMGGTVTDDATDEWLVLDKKVNTYPTVRGFTAIGTGGDDFVQSMVVAVESVIEESIPKGQISQKVSAKGKYVSVKIGPIRVVSSEQVQAVYNAMKKDVRMKFFL >PAN06149 pep chromosome:PHallii_v3.1:1:44286312:44288533:1 gene:PAHAL_1G241500 transcript:PAN06149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLVKHSQLFSFPKTEIASSTGRVAQTTNVVMGGTVTDDATDEWLVLDKKVNTYPTVRGFTAIGTGGDDFVQSMVVAVESVIEESIPKGQISQKVSAKGKYVSVKIGPIRVVSSEQVQAVYNAMKKDVRMKFFL >PAN05083 pep chromosome:PHallii_v3.1:1:8711532:8712846:-1 gene:PAHAL_1G112800 transcript:PAN05083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARVLTLVLLATAAAWVLAAADDAGPASTSSSSSPAPAGWLKAHATFYGGADASGTMGGACGYGNLYSQGYGTRTAALSTALFNDGASCGQCYKIACDRKRADPAFCKPGVTVTVTATNFCPPNYALPGDNGGWCNPPRAHFDMAQPAWEKIGVYSGGIIPVMYRRVPCVRRGGVRFTSNGHDYFNLVLIANVAAAGSIKSMDVKSSGSDNWMPMARNWGAQWHSLAYLTGQSLSFRVTDTDGQTLEFTNVVPKGWKFGQTFASKIQFK >PVH66396 pep chromosome:PHallii_v3.1:1:43035992:43037275:1 gene:PAHAL_1G234100 transcript:PVH66396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVRQQEEAEGKRPRVEAAQPDDGSQLETSTCCRRTWDRMPELRWLRRCKKFGKLGMKPEVLKVASAACVSVRAGRWCPAADSFLRRCSDAGNADANFQLGMIEFYCLRRRRQGWSRMKAAMRSGHAEVTFAAAVIRLNGTGSPAVDDRGPRAAARLFLRAASSGHIGALRDLGFCVSNGLGVPPDPAAGRRLTIWANVQELRDRYPEPGPERDAALAHVGSAPGCLSTGFGCFAAAPRRLEWAHPANRFLGEWFAARPPAPRLRLLCSLPTCGRPETRRLEFRRCTACGVSRYCSRARPVHWRMGHRAECVPVHQWLLAAMANQAAAHVDGALAAAAAPGNVAGFPI >PAN04226 pep chromosome:PHallii_v3.1:1:3426127:3430169:-1 gene:PAHAL_1G050000 transcript:PAN04226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQQERVSKSSSSSISSSTQESEEEVTIGTLITEATNTTNSGKSLGRRLSHLDSIPHTPRVNGKIPDFNNATIDHESLLERLGTYGLAEYQIEGDGNCQFRALADQIFRNPDYHKHVRKAVVKQLKEFRKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLVEIIPRDATPTRELWLSFWCEVHYNSLYAVEDLPTRKTKKKHWLF >PAN06962 pep chromosome:PHallii_v3.1:1:49142019:49142884:1 gene:PAHAL_1G300100 transcript:PAN06962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRAVAVGAAAAVLGLAAAVLGFAAEYFKHKAFVGSDTFRCEYRRTPAFGCGIAAALLSLAGVALVTAASGCFGRFGAAAVVAPARGTVRKVCAALAWLLVAAAAVMFMYGASRNAGGTGGFTAVERRPGSSSGGRAFDFVCTELRDGVFKSASLAAAAGIACAIAAYFDALRQRDQTATLGVAMGQPQWSSQPPYPAPVAYPPYGGYGAKQPAGAA >PVH66764 pep chromosome:PHallii_v3.1:1:51810109:51810776:-1 gene:PAHAL_1G340300 transcript:PVH66764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQPQAMSAWMKSGMYSPRTPRRGFAIPAWRTAADGRRRCEPRPGVSENTWGLK >PAN07271 pep chromosome:PHallii_v3.1:1:50636590:50637395:1 gene:PAHAL_1G321200 transcript:PAN07271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSSPTDKAPCSSRDRKDQAKGRSAYCLFPRPPNKRSPFGASVLGFERCRGCESAWPTWTESSVMHGSNLTHSGLGSLKLFSFNKLCSLFVVHIPLEYGSGDQNGNIRILVRGWIRKLSLQAYLTKLDTLFATPSVQAPD >PAN03916 pep chromosome:PHallii_v3.1:1:2026567:2030289:1 gene:PAHAL_1G028900 transcript:PAN03916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGRERLRVTLWLAFCLLRLHAFPFPIPFVDPYTSPRDVDAINDLYVALGSPDLDGWTDLGGDPCKEAWQGVQCDGPNVTAIELRAAGLGGKLSGTLGDFTALTLLDLSNNKIGGAIPQSLPPALTQLDLSSNSLSGELPDSMAMLSSLSTLHVQNNQLSGALDVLEDLPLKDLNVENNQFSGPIPEKLLSIPKFLRDGNKFTIPPIPGFSPTPGTPPPPAPSTSPPSPKHVPAPAASQEPPVLSGSHPPIYVIPATPQDAPPRHNNKKVSPAKAAGFSILAAGSLSIAVVAIVFTVSKWRRKRSLRVGYLRGVEMSTPSWVREPPRVSVVAKSERECHSGAERKIDYVKAAGSSIHPSFKNSSKDIIVSDKNVQGSSEGPQQFPFTFFTVASLQQHTNSFSDQNLIRETCFGITYLADHHGSKFSVLKLGGDTAKMPVAEFLKIVQDISELRHPNVEELVGCCVDHGQRLLVYKHFSDNTLDDMMQFEHRASDAAETLPWDARIAVALEAATALEYLHEGSHERVVHRHFRPEHILIDGELRVSVSGIGLAPFVPQLSDYCGATLSYEPPEAAGPGAAWTAKGDVYSFGVVMLQLLTGRKPYDSSRTRGERHLVPWASPRLHDLAALGKMADPRLGAPPVRSLSRLADIVGRCIQQEAEFRPAMPQVAQDLRRALEDARAGAAALHKFR >PAN03920 pep chromosome:PHallii_v3.1:1:2026712:2029559:1 gene:PAHAL_1G028900 transcript:PAN03920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGRERLRVTLWLAFCLLRLHAFPFPIPFVDPYTSPRDVDAINDLYVALGSPDLDGWTDLGGDPCKEAWQGVQCDGPNVTAIELRAAGLGGKLSGTLGDFTALTLLDLSNNKIGGAIPQSLPPALTQLDLSSNSLSGELPDSMAMLSSLSTLHVQNNQLSGALDVLEDLPLKDLNVENNQFSGPIPEKLLSIPKFLRDGNKFTIPPIPGFSPTPGTPPPPAPSTSPPSPKHVPAPAASQEPPVLSGSHPPIYVIPATPQDAPPRHNNKKVSPAKAAGFSILAAGSLSIAVVAIVFTVSKWRRKRSLRVGYLRGVEMSTPSWVREPPRVSVVAKSERECHSGAERKIDYVKAAGSSIHPSFKNSSKDIIVSDKNVQGSSEGPQQFPFTFFTVASLQQHTNSFSDQNLIRETCFGITYLADHHGSKFSVLKLGGDTAKMPVAEFLKIVQDISELRHPNVEELVGCCVDHGQRLLVYKHFSDNTLDDMMQFEHRASDAAETLPWDARIAVALEAATALEYLHEGSHERVVHRHFRPEHILIDGELRVSVSGIGLAPFVPQLSDYCGATLSYEPPEAAGPGAAWTAKGDVYSFGVVMLQLLTGRKPYDR >PAN07274 pep chromosome:PHallii_v3.1:1:50756457:50766852:1 gene:PAHAL_1G323400 transcript:PAN07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSMVYYGNTSIGEVEVWPKGEASAGLAVAAWAREIRVDRLSPPSERCPPLAVMHTVAVDARCLVMESRPPAASDVAPLPLVAMHAACLRDNKTAVVPLGDEELHLVAMTSRRNLTNHACFWGYRLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTSRSFEDRIDALQRKLSNETDPQRRNGMLSEVKRYQDDKSILKQYIEGDQVYDDGKVYKAQPEVVPPLSDNQQPVTRPVIRLQDKNIILTRINPLIRDTSVLVRLRPAWEDLCSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLHDRMVCVKSGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGSFFKDFDEGLLPRISNISYEDEINDISSALDVGNYLITEDENVAAVNGNRDALPFDGMADAEVDRRMKEASGSAQAFHPTVASFVMPVAPAQNLVSSSVAPVAPPLGMTPPPFNQPVVQPGFSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAIPPVQVPVPPVQVPVPPVQPHGNWFPTEDGINPSNLNRGSAGFTVQSDSMLYEKKQAPHPSFFHGGDSPMSSDRFSYQNQRFSTQLSHIEDHHMLQNHGPPKYIAFSGEELSTRHVPSRQRNNQMESGRHFAQYAGTSAGILEGIALKCGSKVEYRSTLCDTAELQFSIEVWIVGEKIGEGIGRTRREAQRQAAEMSLRNLANKYLSSDPNKMTALKENGFGSNHNFFGYSGNTRDDMLPVPSTSEESRFMKMEDNNSQKTGGSVAALKELVEVGGQILGKGVALTWEEAKLQAADEAIVTLRSMLGQLGHKRSGSPRSLAPNFNKRFKPDFPRVQRVPYGTYSRIEGHVP >PAN07273 pep chromosome:PHallii_v3.1:1:50756457:50766852:1 gene:PAHAL_1G323400 transcript:PAN07273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSMVYYGNTSIGEVEVWPKGEASAGLAVAAWAREIRVDRLSPPSERCPPLAVMHTVAVDARCLVMESRPPAASDVAPLPLVAMHAACLRDNKTAVVPLGDEELHLVAMTSRRNLTNHACFWGYRLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTSRSFEDRIDALQRKLSNETDPQRRNGMLSEVKRYQDDKSILKQYIEGDQVYDDGKVYKAQPEVVPPLSDNQQPVTRPVIRLQDKNIILTRINPLIRDTSVLVRLRPAWEDLCSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLHDRMVCVKSGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGSFFKDFDEGLLPRISNISYEDEINDISSALDVGNYLITEDENVAAVNGNRDALPFDGMADAEVDRRMKEASGSAQAFHPTVASFVMPVAPAQNLVSSSVAPVAPPLGMTPPPFNQPVVQPGFSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAIPPVQVPVPPVQVPVPPVQPHGNWFPTEDGINPSNLNRGSAGFTVQSDSMLYEKKQAPHPSFFHGGDSPMSSDRFSYQNQRFSTQLSHIEDHHMLQNHGPPKYIAFSGEELSTRHVPSRQRNNQMESGRHFAQYAGTSAGILEGIALKCGSKVEYRSTLCDTAELQFSIEVWIVGEKIGEGIGRTRREAQRQAAEMSLRNLANKYLSSDPNKMTALKENGFGSNHNFFGYSGNTRDDMLPVPSTSEESRFMKMEDNNSQKTGGSVAALKELCTIEGYNLNFQARPSPPDGSLGKESYAQVEVGGQILGKGVALTWEEAKLQAADEAIVTLRSMLGQLGHKRSGSPRSLAPNFNKRFKPDFPRVQRVPYGTYSRIEGHVP >PAN03615 pep chromosome:PHallii_v3.1:1:959899:960721:-1 gene:PAHAL_1G009900 transcript:PAN03615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGEIPWCMLFADDVVLVDESRVGVNRKLELWRRTSESKGFRLSRTKIEGGGDVSLDGQVVVQKDTFRYLGSVLQNDGDIDEDVRHRISAGWLKWRQASGILCDKRVPQKLKGKLYRTTIRPAMLYGAECWPTKRQHVQQLSVAEMRMLWWFRGHTRRDRVRNEVIRDRIGVAPIEEKLIQHRLRWFGHVQRMPPETPVRNGVLERVDNIKRGRGRPKLTWDESVKRDLKDWNISKEIALDRSAWRLAINVPAL >PAN08773 pep chromosome:PHallii_v3.1:1:58262833:58265332:-1 gene:PAHAL_1G435400 transcript:PAN08773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDTSSMMSNGGDGWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILWFIQMNSDGEYSSVF >PAN05260 pep chromosome:PHallii_v3.1:1:10095666:10102343:-1 gene:PAHAL_1G125800 transcript:PAN05260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDIDLRTARVSLDKAFPKDEDGDIPTKDDRRLRRLAQTRENKEELRADHRRIRQAEIVSTVEEQNERQEADIDEEDEEAQEERRRRIRERQLLREQEELLPQEDEEPVEDEESEESEYETDSEDEQTGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKKLEARKIETRQIVVEEIKKEEHIEKALNEEANIEDVDTDDELNEAEEYESWKNREITRIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKLLRQTKQKWKFMQKYYHKGAFFQESADDVIQSAGKEDIYTRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKLKDWDAK >PAN05044 pep chromosome:PHallii_v3.1:1:8425890:8426751:-1 gene:PAHAL_1G110500 transcript:PAN05044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPESHRHEGELAQFGVGSMRRLVITPTTFDGRLPDDLLSAEQFNVDDGCNGAMDSGYYTDLLVNDDENSQDHAPPSDPTIHHAPAAATSSQGRSKNFRDEEDIFLVLAWLNVGMDPILEVDQSHGTYWRRIHEYFHGNKKYESNRT >PAN08890 pep chromosome:PHallii_v3.1:1:58825530:58833557:-1 gene:PAHAL_1G443500 transcript:PAN08890 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MPRRRRRRGDLVVAAALAALATVALAAVGVDGGDGGAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLFSAVNCWLKCMLLEPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFEIALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIKWLDEHIHAYFAVGAPLLGSTEAVRAALSGTTFGLPVSEGTARLMFNAFGSSLWLMPFSKYCKADNIYWKHFFEGKGGCPHRQQCDEAEYISDYSGWPTDLVNIEVPSVRDMGPYPSITDITEDITSSMECGKPTLLSFSAREVSDGTLFKTIEDYDPQSKALVYQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGSLISRSGNSVSGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTIMNVDRHAGQDVRPNMTRAPHVKYITYYEDAESLPGWRTAVWELDKANHRNIVRTPVLMRELWLEMWHDMHPDSKSKFVTKAFRGPLRNEDCRWDYGKARCGFPEHCEYRYIFGDVHLGMSCRLKNTSTNLLQQYL >PAN07123 pep chromosome:PHallii_v3.1:1:50008248:50011213:1 gene:PAHAL_1G312200 transcript:PAN07123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVFTPSLEGMKHVKLENGVILTKPFLEVCKQILPVLDKFGAAMAIVKSDIGGNITRLENKYSSDPSKYEHLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHPDWTMSQACTDSYTKTLKKFHGWLASSSFTVAMKLAPNRDKFMEVISGTGDINADIEKFCTTFSPFLKENHEFLASVGLDDMKAS >PAN07927 pep chromosome:PHallii_v3.1:1:54012580:54016217:1 gene:PAHAL_1G373700 transcript:PAN07927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVNQPTVLHKLGGQFHLGFSFSEGVRARIICPSVSSYERSFATRNYMTQTLWGPLMSVSGGVNVPVVSSSPSSPALANAPAGKGGKNFMIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTIKDEGFSSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >PVH65682 pep chromosome:PHallii_v3.1:1:3481861:3482275:-1 gene:PAHAL_1G051300 transcript:PVH65682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCKLRLVPCLPTRHSSNTNTAEHSEPSTKDQTICIPRNETETVNENS >PAN04820 pep chromosome:PHallii_v3.1:1:6727419:6728047:-1 gene:PAHAL_1G094400 transcript:PAN04820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETGEGGIGPLFAMSLSIRFGTKDESQIPSMTVCISILSGVAAIIAPPQLCVFADYLYDSEHVTNCNPKFDCSYFHPIRCRGYNSSSSITCLR >PVH66340 pep chromosome:PHallii_v3.1:1:36083938:36086194:1 gene:PAHAL_1G214300 transcript:PVH66340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVSWDDARHLYTNALHWEGFPHLLWESLRLFFYTEPPQYDGVEYREEGVPQCRVRMTIPQHPFRSQWQPIKVDVVGYHLVDTIEATALEAIHIFCNQHPMEVAGYPISLFPAIDSSDPEWNFRIAHYGHLLGDSAEETIRSITRFMNVQHHYQILLRHSMG >PAN08206 pep chromosome:PHallii_v3.1:1:54765526:54771196:1 gene:PAHAL_1G384800 transcript:PAN08206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAARREPLKQRVNRCLLKLADRDTEAMAAAELETIARSLGPDELPAFVSAVSDARPTDKTPLRRHALRALALVAASHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDTARAAAAASASASAALRPLTDALLHEQDQCAQLAAALATAAAVEASALTADLVCYLHKLQPRLLKLLRSNAFKAKPALITLIGASAVMGGDAEVTASIPCLRDAIGSDDWAARKAAAEALAALALEHTDLLTTYKSSCVTFFEARKFDKVKIVRESMNRMIEAWKEIPDAEEDECSSAAPPASQSQRRSSLTGSVSDGRYPAASLGSNSVPSATRRSRLPVSRSSPPDVSPSATKTNSPSSIRNKKLSPHSYHKGRQAKNCDYKVVIAVAPDATPIKMVTEEKLLKGGNVRDRLEARRALFQGSEDKSAKLAGLKTGSRVVPYEGGGDLEEISEVEGGSERFAVHKDESLSEIRTQLLQIENQQSSLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAFSSGRMSNREPDVKTCCILSPKFWRRHGGGRSSSRFSASDPANSSEESRTSYKWERQKFGLQGGFVTNPLAEPNISSVGKTMVTQEGRRKDTTLQK >PAN04126 pep chromosome:PHallii_v3.1:1:3012413:3012918:1 gene:PAHAL_1G044200 transcript:PAN04126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWRARNRVRRTSELRVGRIYRFFPTHFPRSPDCCARLRPGAGGAPRAGGPPDVVAARGLPNPEGPSGGVVIPSSPSIPADPTCGSGGQIHEWRRLEAELAARAVPDCLCGRRWRLGVLQCCCLIACVLAVRPCGAASERECGTPVCRRAQADSRRTRT >PAN07901 pep chromosome:PHallii_v3.1:1:53911435:53912813:1 gene:PAHAL_1G371300 transcript:PAN07901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGAREGGALKTAVIVAGGLALAWVTMETAFRPFLDRLRGAVSRNTDPARDPDQEEAPAAAEAEEEKTPAPPAPAVVEEKVEEKVAELEEKAEEATAAADKAE >PAN08229 pep chromosome:PHallii_v3.1:1:55567631:55568752:-1 gene:PAHAL_1G396400 transcript:PAN08229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTGSRHALRGGGRSPYARSCSGPVTGGVHHTVALRSSTLGSLSLDRDEDMMKWRDDGGAAKTPPLKQQLLVRRQKQVPGSPAKTPVREPEVINVWELMDGLDDKEEEEGADAGGEDRREKSAPGSPEFDPDVIAAFRKALDEMPPPPDDPGKEECAKKPDDPVGDEAGVKKREIQRFPGIVRARVSAFQQRIDAKLAKLAPPKPPAPPPPPDSARKVVLYLTSLRGIRKTYEDCWSTRSILHGYGVRVDERDLSMHAGFKDELHAALGSPPGRLPQVFADGRHLGGAEEIRRMHEAGELSRALEACEMAPPPSAGGKGIALDACSGCGGVRFVPCEECSGSCKVFLEEVGTFRRCPECNENGLVRCPLCSL >PAN08228 pep chromosome:PHallii_v3.1:1:55566690:55569173:-1 gene:PAHAL_1G396400 transcript:PAN08228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTGSRHALRGGGRSPYARSCSGPVTGGVHHTVALRSSTLGSLSLDRDEDMMKWRDDGGAAKTPPLKQQLLVRRQKQVPGSPAKTPVREPEVINVWELMDGLDDKEEEEGADAGGEDRREKSAPGSPEFDPDVIAAFRKALDEMPPPPDDPGKEECAKKPDDPVGDEAGVKKREIQRFPGIVRARVSAFQQRIDAKLAKLAPPKPPAPPPPPDSARKVVLYLTSLRGIRKTYEDCWSTRSILHGYGVRVDERDLSMHAGFKDELHAALGSPPGRLPQVFADGRHLGGAEEIRRMHEAGELSRALEACEMAPPPSAGGKGIALDACSGCGGVRFVPCEECSGSCKVFLEEDC >PVH67052 pep chromosome:PHallii_v3.1:1:56874845:56875344:-1 gene:PAHAL_1G416500 transcript:PVH67052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSTPTGNDYPRPRKPQKRISRKIKAARRANKIPIKQQRKGESAFVLPHLNLPC >PAN06298 pep chromosome:PHallii_v3.1:1:45779563:45785272:-1 gene:PAHAL_1G253700 transcript:PAN06298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHETRSRTHAAAQEEGKVASKKQKIESKDQERGQHVTSKNKKSAENKGQDAESEAPTKSKKLKAEESEPNGKGAAAREFADFCKAIGEHLSVEDMRKILQANEQDASGSEDAVVPRCEDMMFYGPLEECPICGGQLEFKEWKYKCTGNYSEWARCTFSTNNPSRRSPIKIPDDIKDDFIHKWLKQQEGKEFPKRDADEEAHIFSGMMIALSGRMSRSHGYFKEQIMKHGGKVNNSVLGVTCVVASPAERDKGGSGGFAEALERGTPVVSENWIMDSIEKKEVQPLAAYDIVSDVVPEGRGLPLGQLDPSEEAIETLAAEVKLSGKRAVHEDSKLEKDGGCIFEKDGIIYNCAFALCDLGCDMNQLCIMQLIMVPENCLHLFYKKGPIGHDQMADERVEDFGSRVNDAIKEFVRLFEEVTGNEFEPWEREKKFEKKSMKMYPLDMDVGVDVRHGGAALRQLGTAASHCKLDPSVCFLMKQLCGQEIYRYALMEMAQDLPDLPIGMLTDLHLRRGEEMLLQMRQDAESIPESCPEADAFWTEISNKWFTLFPTTRPYILRGFEQIADNVASGLETVRDINDASHLIGDVFGSTLDDPLSECYKKLGCSINPVAEDSDDYKMILRYLEKTYEPVSVDDVVYGVSVERIYAVESSAFPYYEKIKDLPNKVLLWCGTRSSNLVRHLHKGFLPAVCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGDEITEVTGTPGAEDAQGFEEKKIGVKGVGRKTTDESEHFTWRDGVKVPCGKLVPSRNKNGPLEYNEYAVYNPKQVSICFLVGVKYEEQNMEVVADDE >PAN05878 pep chromosome:PHallii_v3.1:1:41131789:41134313:-1 gene:PAHAL_1G228300 transcript:PAN05878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVVTRPDALLFNLNGRLSVDQAPRSLLIRQRVDAAATAAAASRRSPDTLAAAAEDPKVVDGDSAGVEVNANANVGAGASEDEKRVLTSEPEQGKKAEEATQSELLGGEDEEGRKGLRKGHQEDKEHKLTLPTVSNYTIHEATDDSDNGKQEDRKADAGIQLVTDVDQSNGGDRSHQPALDNIEWDKPLCDFSNFRANVCEMRGNIRIHPSGSSVMYMEPAGSMKDEQWKIKPYPRKGDELCLSHITEVTVQSSKVAPECTKYHDVPAVIFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYAVVFEKLTKYPLIDFNKDNEVHCFKHAILGLHAYMEFTIDPLKAPQNYSMVDFNRFMRRTYSLPRDEVTALGEVPKTKPRLLIISRQRTRMFLNLKEIVAMAEEIGYEVVVEEANVNSNVAHFAKVVNSVDVMMGVHGAGLTNCVFLPHSAILIQIVPWGALDGICRVDFGYPAEQMGLRYKHYSIGVHESSLTDQYPLDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCNRFRPVLLEALDQLNQ >PAN05879 pep chromosome:PHallii_v3.1:1:41131610:41134664:-1 gene:PAHAL_1G228300 transcript:PAN05879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVVTRPDALLFNLNGRLSVDQAPRSLLIRQRVDAAATAAAASRRSPDTLAAAAEDPKVVDGDSAGVEVNANANVGGASEDEKRVLTSEPEQGKKAEEATQSELLGGEDEEGRKGLRKGHQEDKEHKLTLPTVSNYTIHEATDDSDNGKQEDRKADAGIQLVTDVDQSNGGDRSHQPALDNIEWDKPLCDFSNFRANVCEMRGNIRIHPSGSSVMYMEPAGSMKDEQWKIKPYPRKGDELCLSHITEVTVQSSKVAPECTKYHDVPAVIFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYAVVFEKLTKYPLIDFNKDNEVHCFKHAILGLHAYMEFTIDPLKAPQNYSMVDFNRFMRRTYSLPRDEVTALGEVPKTKPRLLIISRQRTRMFLNLKEIVAMAEEIGYEVVVEEANVNSNVAHFAKVVNSVDVMMGVHGAGLTNCVFLPHSAILIQIVPWGALDGICRVDFGYPAEQMGLRYKHYSIGVHESSLTDQYPLDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCNRFRPVLLEALDQLNQ >PAN05798 pep chromosome:PHallii_v3.1:1:35818387:35824911:1 gene:PAHAL_1G213400 transcript:PAN05798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSAVLGFFGFGVGLTLGLGIGYYLFIYFQPTDVKHPVIRPLVELDTKSLEYMLPEIPLWIKNPDFDRIDWLNKFIETMWPYLDKAICKMAKEIAKPIIAENTAKYKIDSVEFEPLTLGSLPPTFQGMKVYTTDEQELIMEPSVKWAGNPNITVVVKAYGLKATAQVIDLQVFALPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADLMAMPGLFGFAQETIKTQVANMYLWPKVLEVQIMDPAKAQKKPVGILYVNVVRAVKLTKKDLLGKSDPYVKLKLTEDKLPSKKTSVKRSNLNPEWNEEFKLVVKDPESQALELTVYDWEQVGKHDRIGINVIPLKDLTPDETKSVTLNLLKSMDANDPANEKFRGQLTVDVTYKPFKKDDSDVETSDESGVIEKAPDGTPEGGGLLVVIVHEAQDVEGKHHTNPYVRILFKGEERKTKHIKKNRDPRWEQEFEFVCGEPPINEKMQVEVISRPSSLGIHSKVLVL >PAN08656 pep chromosome:PHallii_v3.1:1:57823843:57826194:1 gene:PAHAL_1G427800 transcript:PAN08656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLEDDLFPSTPGKVKIERAGTMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSADGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNAYYDPSLKKARKALLSSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFSERDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAAYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLAREQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNFRQ >PVH65587 pep chromosome:PHallii_v3.1:1:2135430:2136287:-1 gene:PAHAL_1G030500 transcript:PVH65587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQVDCQAAPGFPGLLINTLEDLGITERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALCYLCRIFEEQLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQVRDWRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPEHPESSAAVEGGAAAQPLPDGNPEDGERGSLTLPTPEEGTPRK >PAN04565 pep chromosome:PHallii_v3.1:1:5124345:5126897:-1 gene:PAHAL_1G074700 transcript:PAN04565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLQQLRMFGNGMSGAIPSGLGNLISLEMIDIGQNLLSGVIPESIGALTRLNRLYLDSNNLSGLLPSSIGNLTSLTELDASINSLEGPIPSSIGNLGELLVLALANNKLTGAIPKEIKNLSSLSIYLDLSYNLLEGPLPSEIGALVHLENLAVSGNQLSGEIPDAISNCIVLQLVAMDDNSFQGSIPPILNRIQGLTQLNLTSNKLTGSIPGDLGSIGSLQGLYLAHNNLSGPIPKLLGNSTSLLLLDLSFNNLQGEVPTEGVFKNLTGLSITGNNELCGGIPQLHLPKCPNPTARKNEKAMSVPLRIAGITIGAILVLISGLALAVFLYRRYKAGTTKEQLPSHFTEIDFPMVSYNEILKGTDGFSEANLLGKGRYGTVYKGTIENQGVAVAVKVFNLQQSGSYKSFQAECEALRRVRHRCLVKIMTCCSSINHQGQDFRALVFEFMPNGSLESWIHSSTETQNGNRTLTLAQRLDIAADIVDALDYLHNGCQPSVVHCDLKPGNILLNQDMRARVGDFGIARVLNEAASGISVNSNSTIGIRGSIGYIAPEYGEGLGVSTYGDVYSLGIILIEMFTGKSPTDDMFRDGLSLHDFAVAALPEKVMEIADSRIWLHYETNSENATKNITEAKELLAAIIQLGVLCSKQSPRERLSMSNAAAEMHNIRDAFLSTQF >PAN06926 pep chromosome:PHallii_v3.1:1:49043275:49047679:1 gene:PAHAL_1G298400 transcript:PAN06926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPALEILVREPDGFSVWSGPPYPPGTTSPSQRLPKTACSATSFSADGARLLATVASASATVYDCRTLAVVKCFELPGLLAAALSPTGAYLQTFQKSSSPQEKNVTVWQIDTAVALYQHYQKSMSKATWPMIQFSADESVGCRMMPNEIQFFDPKDFTKGVLSRIRMPGIAAMQLATAPGSHVAGFVPEAKGVPASVQIFSSNKDAQNQAVARRSFFRCSTVQLHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLKKDGPVHDVQWSSSGSEFAVVYGFMPAKATIFNKKCNPLLELGEGPYNTIRWNPKGRFIVLAGFGNLPGDMAFWDYSEKKLVAKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPERFGDITDLATSLSSLKIEETKKQAQGSKSAQTSSKAPPTASTASKPTAYRPPHAKGSAEIQDKLFGGLAPTGGEMSKNALRNKKRREKQKEKKAAEGSGAAADES >PAN04080 pep chromosome:PHallii_v3.1:1:2742326:2742721:1 gene:PAHAL_1G040200 transcript:PAN04080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRPPPAAGRRRRYKGPELWWWEYHQIAPGPPRGLPDPFLRPLVHPPLLASVRTLDGDHGRDVPALRAVRRHQPPEGRSAAAPWPPRCCTARPHPALDREWPQVTAPSPSGSLLPAP >PVH65880 pep chromosome:PHallii_v3.1:1:6844612:6845481:1 gene:PAHAL_1G095700 transcript:PVH65880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSITIATNHQSSHTCCSSGTCKLPTFSAATNTTLYTQQAQASSSTRSNTGGTRESAAAQHGTPAGSSRHTAAVMWPAAPMFAVGVLLWPFTALAPSGRNLTALLPELWDLLGSLYRHCRGEFVLLASTRRWR >PAN05099 pep chromosome:PHallii_v3.1:1:8963125:8965173:-1 gene:PAHAL_1G115200 transcript:PAN05099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWLFYSLTTLFCLLCSLVLRTRSPGIKVHAADESIPPLPPGPASLPVVGPLRFLARRDFDLEPVLRRIAREYGPVFTFAPLGKEHPTIFVAARGAAHRALVQRGAAFASRPPTTASGTVLTSGGRNVSSSPYGATWRALRRNLAAGVLNPARLRAFSPARRWVLDVLVSRVYIEGGAGEHPVAVMEPFQYAMFCLLVYMCFGDRLGDARVRDIEATQRELLSNFLSFQVFSFLPTVTKIVFRRRWEKLISLRRRQEELFVPLIQARREAGANGDCYVDSMVKLIIPEDGGRALTDDEIVSLCSEFLSAGTDTTATTLQWIMANLVKNQAMQDRLRDEVNDVVNANVEVREDDLQAMPYLKAVVLEGLRRHPPGHYVLPHAAHEDTTLDGYRVPASAPVNFAVGDIGLDEEVWDAPSEFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFEWREADGEEVDLTEKLEFTVVMKRPLKARAVPLRSPPPAVAAA >PAN08633 pep chromosome:PHallii_v3.1:1:57705619:57712991:1 gene:PAHAL_1G426200 transcript:PAN08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPCSSSRFVSSSLNLRRFALAALVWWCVLAASLQAATAQRNAPPGQVKVRVGVILNLTSSIGQRRKVGIEMAVEDYYAAHPGSRTRVALRFRDSGGQVVGAASAAVDLIKNEQVQAIIGPATSAEAGFVAYLANSTHVPVLSSSATSPELSPAQTPFFVRTAANDSFQVSPVAAVLATFRWHAAVIVYEDSPYGSGILPALADALQGVGARIMERTAVPADADDDRIDAVLNRFMAMPTRVFIVHMNRFVAPRFFRRARNAGMMSEDYAWIVTDGVGSVVDALSPDEINAMEGVISLRPYVQMTDEVRNFSARFRARLRRVSPSADVYAHDPTVLMLWSYDTAWAIATAAEAAGVSSPAFQTPQQSSALTDLGRLGVSATGAALLKAVHETTFHGLAGNFTLVDGQLQPPAYEVVNIIGKGARQVGFWTPEAGISQALDANAAKGLKPSIIWPGDVTSPPKGWVVSANGQKLRVAVPVKGGFKQFVNVAKDATTGVHNITGYCIEVFDAVMRKMPYPVSYEYVPIPNSSVSYDKFVSLLPEQKADIIVGDVTITASRMANVDFSMPFTDSGWSMVVAVRTETSTSMWIFLQPLTTSLWLASLAFFCFTGFVVWAIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELQRSGAYIGYQDGSFIKDSLRKIGFDEARMRNFSTAEGYAEALSKGPANGGVAAVFDEIPYLKLFLSQYCDGYAMVGPVYKTDGFGFVFPLGSPLTPDVSRAVLTLAEGEEMAQIEKKWFGEPGACPSQGGSAAVGSSNLSFRSFGGLFLITGVVSGLMLLIYLATFVYRERGEVRTVEEGGSGSSSVRRLRAWLRHFDQKDLKCPTFKTWNEESVREGSQTRRWVDDTVRNGRGGANSAVPAASDEEAIGMSPFSISAGSEMINAGSSPASEIGTSFEQRLQDAPHSAEMTLSGDA >PAN04848 pep chromosome:PHallii_v3.1:1:6872736:6873865:1 gene:PAHAL_1G096200 transcript:PAN04848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVPAGRFLVQCFPTMEQAGEDVRTAAGRDLVVVPMHAKQTEQKAGHDSVAMMTGSPLKCLCILIDAAMIQRPTREGRREPPPTNLSVACHA >PVH65681 pep chromosome:PHallii_v3.1:1:3461533:3464764:1 gene:PAHAL_1G051100 transcript:PVH65681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDILEFVQKGTDVAKIWESLDNIPRAHDLWDDIVNVAVQLRLNRQWEPIITVCEWILYRSSFRPDIICYNLLIDAYGRKRELSKAESIYMALLEANCVPTEDTYALLLRAYCNAGQLHRAAGVISEMQENGIPPSATVYNAYLDGLLKARCTEKAVEVYQRMKKERCRTNTETYTLMINVYGKAKQPMSSLKVFNEMKAIGCKPNICTYTALVNAFAKEGLCEKAEEVFEEMQRAGLEPDVYAYNALMEAYSRAGFPQGASEIFSLMEHMGCEPDRASYNILVDAYGRAGLHQEAEAAFQELKQVGMRPTMKSHMLLLSAHAKAGNVSRCEEVMAQLHKSGLRPDTFALNAMLNAYGRAGRLDDMERLVAAMERRDGGAAPDIGTYNVLVNVYGRAGYLDRMEAAFGAVAARGLAADVVTWTSRIGAYARKKEYGRCLEIFEEMVDAGCYPDAGTAKVLLGACSDERQVEQVTAIVRSMHKDAKTLFKL >PAN04237 pep chromosome:PHallii_v3.1:1:3461533:3464764:1 gene:PAHAL_1G051100 transcript:PAN04237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIEAPAYYLITRPRWKLCACRNVLQEAPSHDAKVNSYTYSERKNRKKDGAYIDKDGVARTFDRKKISRKRGGAIKGRGWKYGSGFVDGVFPVLSPMAQDILEFVQKGTDVAKIWESLDNIPRAHDLWDDIVNVAVQLRLNRQWEPIITVCEWILYRSSFRPDIICYNLLIDAYGRKRELSKAESIYMALLEANCVPTEDTYALLLRAYCNAGQLHRAAGVISEMQENGIPPSATVYNAYLDGLLKARCTEKAVEVYQRMKKERCRTNTETYTLMINVYGKAKQPMSSLKVFNEMKAIGCKPNICTYTALVNAFAKEGLCEKAEEVFEEMQRAGLEPDVYAYNALMEAYSRAGFPQGASEIFSLMEHMGCEPDRASYNILVDAYGRAGLHQEAEAAFQELKQVGMRPTMKSHMLLLSAHAKAGNVSRCEEVMAQLHKSGLRPDTFALNAMLNAYGRAGRLDDMERLVAAMERRDGGAAPDIGTYNVLVNVYGRAGYLDRMEAAFGAVAARGLAADVVTWTSRIGAYARKKEYGRCLEIFEEMVDAGCYPDAGTAKVLLGACSDERQVEQVTAIVRSMHKDAKTLFKL >PVH67219 pep chromosome:PHallii_v3.1:1:59585179:59590047:1 gene:PAHAL_1G456700 transcript:PVH67219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTFLLGFLLGALALAALEAAAALLLVRRLRRRQAAAAEAAGAPAADELPGERPFPYEKQGSLWILEPEKVPKVSNERLSVGGPKEMKDKKNIVEVFPAKKMAKIKGHFLCLSGPDGSQATIDLLNCMVLSVSASSMPSRKWAKRYPIKLESKDYEIYNGSKVCYLYTDTSWEKESWCKALRIAATADKEKLNWHAKLSEEFLNYISSLNSEYPCFLKPPVLSGEDHEVMDRTSKTDGSSKVRLFLKKLAKKASAKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQEDKLGSSSVQDTVKATVPAAALNQNGQLSVSPDVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSNMRTPSYVGEIILTDFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVQEPELQKDIMKSNFGADADGEVDSDLLESIEQYGNQLNGSHTAASLAGEKDEAADASSQLKSTGWTSAYVSRWKNILHSIADHVSQVPLSLAIRISSVRGTLRIHLKPPPSDQIWFGFTSMPDLEWDLESSVGDRKITNSHIATLIGYRFKASLRDSLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHDTTEIRSHATATASTQPEESGLKDDGSSKRAMPSLPILSAGSEEPLKAVASIDEAKQEPGSEASLHTQSSSGPAGESVHSDDPDELRRPLLITEKLQEDASESRVGSPMHTSLRAVIPVGEQPQASASSIGEDAKRKSGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSLERTSS >PAN09074 pep chromosome:PHallii_v3.1:1:59585179:59590047:1 gene:PAHAL_1G456700 transcript:PAN09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTFLLGFLLGALALAALEAAAALLLVRRLRRRQAAAAEAAGAPAADELPGERPFPYEKQGSLWILEPEKVPKVSNERLSVGGPKEMKDKKNIVEVFPAKKMAKIKGHFLCLSGPDGSQATIDLLNCMVLSVSASSMPSRKWAKRYPIKLESKDYEIYNGSKVCYLYTDTSWEKESWCKALRIAATADKEKLNWHAKLSEEFLNYISSLNSEYPCFLKPPVLSGEDHEVMDRTSKTDGSSKVRLFLKKLAKKASAKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQEDKLGSSSVQDTVKATVPAAALNQNGQLSVSPDVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSNMRTPSYVGEIILTDFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVQEPELQKDIMKSNFGADADGEVDSDLLESIEQYGNQLNGSHTAASLAGEKDEADASSQLKSTGWTSAYVSRWKNILHSIADHVSQVPLSLAIRISSVRGTLRIHLKPPPSDQIWFGFTSMPDLEWDLESSVGDRKITNSHIATLIGYRFKASLRDSLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHDTTEIRSHATATASTQPEESGLKDDGSSKRAMPSLPILSAGSEEPLKAVASIDEAKQEPGSEASLHTQSSSGPAGESVHSDDPDELRRPLLITEKLQEDASESRVGSPMHTSLRAVIPVGEQPQASASSIGEDAKRKSGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSLERTSS >PAN09076 pep chromosome:PHallii_v3.1:1:59586221:59590047:1 gene:PAHAL_1G456700 transcript:PAN09076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMFCILIREKSNYQSVMCFQGSLWILEPEKVPKVSNERLSVGGPKEMKDKKNIVEVFPAKKMAKIKGHFLCLSGPDGSQATIDLLNCMVLSVSASSMPSRKWAKRYPIKLESKDYEIYNGSKVCYLYTDTSWEKESWCKALRIAATADKEKLNWHAKLSEEFLNYISSLNSEYPCFLKPPVLSGEDHEVMDRTSKTDGSSKVRLFLKKLAKKASAKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQEDKLGSSSVQDTVKATVPAAALNQNGQLSVSPDVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSNMRTPSYVGEIILTDFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVQEPELQKDIMKSNFGADADGEVDSDLLESIEQYGNQLNGSHTAASLAGEKDEADASSQLKSTGWTSAYVSRWKNILHSIADHVSQVPLSLAIRISSVRGTLRIHLKPPPSDQIWFGFTSMPDLEWDLESSVGDRKITNSHIATLIGYRFKASLRDSLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHDTTEIRSHATATASTQPEESGLKDDGSSKRAMPSLPILSAGSEEPLKAVASIDEAKQEPGSEASLHTQSSSGPAGESVHSDDPDELRRPLLITEKLQEDASESRVGSPMHTSLRAVIPVGEQPQASASSIGEDAKRKSGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSLERTSS >PAN09075 pep chromosome:PHallii_v3.1:1:59585941:59590047:1 gene:PAHAL_1G456700 transcript:PAN09075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKKNIVEVFPAKKMAKIKGHFLCLSGPDGSQATIDLLNCMVLSVSASSMPSRKWAKRYPIKLESKDYEIYNGSKVCYLYTDTSWEKESWCKALRIAATADKEKLNWHAKLSEEFLNYISSLNSEYPCFLKPPVLSGEDHEVMDRTSKTDGSSKVRLFLKKLAKKASAKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQEDKLGSSSVQDTVKATVPAAALNQNGQLSVSPDVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSNMRTPSYVGEIILTDFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVQEPELQKDIMKSNFGADADGEVDSDLLESIEQYGNQLNGSHTAASLAGEKDEADASSQLKSTGWTSAYVSRWKNILHSIADHVSQVPLSLAIRISSVRGTLRIHLKPPPSDQIWFGFTSMPDLEWDLESSVGDRKITNSHIATLIGYRFKASLRDSLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHDTTEIRSHATATASTQPEESGLKDDGSSKRAMPSLPILSAGSEEPLKAVASIDEAKQEPGSEASLHTQSSSGPAGESVHSDDPDELRRPLLITEKLQEDASESRVGSPMHTSLRAVIPVGEQPQASASSIGEDAKRKSGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSLERTSS >PAN04897 pep chromosome:PHallii_v3.1:1:7306709:7309231:1 gene:PAHAL_1G100100 transcript:PAN04897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRTQKPAMPGVESLLLLFMLSIPISQAWSIDYPSPIANLPSLWTNNEATIPYNTTYGDGSIIRAILVRQKPAGFGPSFGCGFICAAPCNVFLFAVFFLSIGDPSNLAFNATTTPRVVWTANRYHPVKENASVQFTKDGNLSLRDFDGSLVWSTNTTGSPVVGMNLAETGNLILFDMVGKTVWESFAHPTDTLLIGQSLWQGKRVRSTSSVANSTQGQFYLTVLDNGLYAFIDADPPQLYYQKSFNITDAIVQSKTNISSDQAKNSTAHISFLQGSFSAFLRFNSTDIKLFDISLPLPSSAQFMSLEDDGRLRVYGWNGISWKALADVLHVYPDECAYPTVCGEYGICSQGQCSCPSGNIDVDLFHQLDDRQPNLGCSLVTPLSCNLIQYHKLIALPNVTYFNFAYNWTTDEESCKEACLKTCSCKAVFFRHQNVWNGSCFLLPKIFSLMNYQPEVVGYNLSAYVKVQTLPPPSSKKINATAFHIGVPVLVAVVCLLILMIRRTILKRMEEDDPFKGVAGMPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMSNGSLDKWIFRKNQSGSLSWATRYKIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDDKFNAKISDFGLAKLIDRDQSHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLENNQPEGSANLINILQEKIKIGQVLDIVSNQDEDIQLHGSEMTEVIKLAVWCLQRDCGKRPAMSQVVKVLESNMETESTSGYDATARDDIFDASSPLSPVPVSAR >PVH66082 pep chromosome:PHallii_v3.1:1:14399526:14399998:1 gene:PAHAL_1G143500 transcript:PVH66082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PVH66230 pep chromosome:PHallii_v3.1:1:26117106:26121109:-1 gene:PAHAL_1G181900 transcript:PVH66230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGCGKVGVKNLKRTQLSEPPPLHPYELKRLMQCMQNNARLQELGIYALSRELEEASSISHKKNKPSHKNTENSESEYDPSSQDDTDDDDNAKGSKQRNIKTACKSVGAINLRSKRVLAELECTRNTRSKKHTAQADATLAPSVNIDGHNQATFGAGGPAHLDENTLIADEGHVVAPLGGQNYACNEDNGHVQADGNMTNADEVDDDNNQMLNEDVQLGENDRWERGANMGHGLYRINRALRGKLQVVIPEGKIRPMAPLVAAKFATECSIAVRNHVPVLKHWKEYKKKQDLFKVFTGRLSAKFDINTSDASVQNGCNQMMKNAVRQQQHRLKKKYFNPFPLHLVPKTSPIRSMTDQEWNELVEYWKTPKGMEISQKNKENRTHVIYHQTTGSRSYQVHVENLGDKYNDQEPDALDLFKECHYSKKKKCYSSNVQQAITQMENKLSTPAECEEQMSVTKVVADVLAENTRKNLFLQNVGIQNSCPRSSVRNIAAQLEAEKRANTDLRYVVNTQSEQLDLLSKQMQEREELRVREQGEMKKRQAEMEADMKKLQLLLSKIQPS >PVH66231 pep chromosome:PHallii_v3.1:1:26117342:26120415:-1 gene:PAHAL_1G181900 transcript:PVH66231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHHKMPPGCGKVGVKNLKRTQLSEPPPLHPYELKRLMQCMQNNARLQELGIYALSRELEEASSISHKKNKPSHKNTENSESEYDPSSQDDTDDDDNAKGSKQRNIKTACKSVGAINLRSKRVLAELECTRNTRSKKHTAQADATLAPSVNIDGHNQATFGAGGPAHLDENTLIADEGHVVAPLGGQNYACNEDNGHVQADGNMTNADEVDDDNNQMLNEDVQLGENDRWERGANMGHGLYRINRALRGKLQVVIPEGKIRPMAPLVAAKFATECSIAVRNHVPVLKHWKEYKKKQDLFKVFTGRLSAKFDINTSDASVQNGCNQMMKNAVRQQQHRLKKKYFNPFPLHLVPKTSPIRSMTDQEWNELVEYWKTPKGMEISQKNKENRTHVIYHQTTGSRSYQVHVENLGDKYNDQEPDALDLFKECHYSKKKKCYSSNVQQAITQMENKLSTPAECEEQMSVTKVVADVLAENTRKNLFLQNVGIQNSCPRSSVRNIAAQLEAEKRANTDLRYVVNTQSEQLDLLSKQMQEREELRVREQGEMKKRQAEMEADMKKLQLLLSKIQPS >PAN06279 pep chromosome:PHallii_v3.1:1:45640322:45647019:-1 gene:PAHAL_1G251900 transcript:PAN06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDAKWPHAAASAGGRRAAGAPYALLASSPPASVGNGGCSPHYPPAPASDDDGGPASFDAAVASARPPFQQQQQQQPAQRNHGPQLGVADWLLLQRQSSGSSVGGDDGEGSSTASTLANAAAEYRDRGDADRPPSSSGGKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSSNAAADHLQHIASPQSLSYRFWVNGSLSYSDKVPDGFYLIQGMNPFIWTLCNDVHDGGRVPSIESLKAVNPTESSIEAVIVDKVADYELRQLISMAIDVSRNRADSKEIATRLAGVVSAKMGGSVAATEEHGLGPRWRETVGFLKISSGSVVLPIGKLSIGFCCHRALLFKTLADSINLLCRIVKGCKYCKAGAAASCLVRFDHDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHNSVDIADNFKSLAKQYFLDCQALNLMFSDPAAGTVINLDEAVGSNLGPNSSHGTNSDCQATFPHLKAGAQLGSQDENFIMRRSFPEDTQSGLSDPFSDMSLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMRSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHSARENLDERRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSSIDPKVAALIDSCWVREPWRRPSFASIMESLKPLIKTLPPNELPEEN >PAN06276 pep chromosome:PHallii_v3.1:1:45640321:45647019:-1 gene:PAHAL_1G251900 transcript:PAN06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDAKWPHAAASAGGRRAAGAPYALLASSPPASVGNGGCSPHYPPAPASDDDGGPASFDAAVASARPPFQQQQQQQPAQRNHGPQLGVADWLLLQRQSSGSSVGGDDGEGSSTASTLANAAAEYRDRGDADRPPSSSGGKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSSNAAADHLQHIASPQSLSYRFWVNGSLSYSDKVPDGFYLIQGMNPFIWTLCNDVHDGGRVPSIESLKAVNPTESSIEAVIVDKVADYELRQLISMAIDVSRNRADSKEIATRLAGVVSAKMGGSVAATEEHGLGPRWRETVGFLKISSGSVVLPIGKLSIGFCCHRALLFKTLADSINLLCRIVKGCKYCKAGAAASCLVRFDHDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHNSVDIADNFKSLAKQYFLDCQALNLMFSDPAAGTVINLDEAVGSNLGPNSSHGTNSDCQATFPHLKAGAQLGSQDENFIMRRSFPEDTQSGLSDPFSDMSLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMRSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHSARENLDERRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQLLVSRDEGLRFQVASIQKWQH >PAN06278 pep chromosome:PHallii_v3.1:1:45640608:45646696:-1 gene:PAHAL_1G251900 transcript:PAN06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDAKWPHAAASAGGRRAAGAPYALLASSPPASVGNGGCSPHYPPAPASDDDGGPASFDAAVASARPPFQQQQQQQPAQRNHGPQLGVADWLLLQRQSSGSSVGGDDGEGSSTASTLANAAAEYRDRGDADRPPSSSGGKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSSNAAADHLQHIASPQSLSYRFWVNGSLSYSDKVPDGFYLIQGMNPFIWTLCNDVHDGGRVPSIESLKAVNPTESSIEAVIVDKVADYELRQLISMAIDVSRNRADSKEIATRLAGVVSAKMGGSVAATEEHGLGPRWRETVGFLKISSGSVVLPIGKLSIGFCCHRALLFKTLADSINLLCRIVKGCKYCKAGAAASCLVRFDHDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHNSVDIADNFKSLAKQYFLDCQALNLMFSDPAAVINLDEAVGSNLGPNSSHGTNSDCQATFPHLKAGAQLGSQDENFIMRRSFPEDTQSGLSDPFSDMSLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMRSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHSARENLDERRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSSIDPKVAALIDSCWVREPWRRPSFASIMESLKPLIKTLPPNELPEEN >PAN06275 pep chromosome:PHallii_v3.1:1:45640321:45647019:-1 gene:PAHAL_1G251900 transcript:PAN06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDAKWPHAAASAGGRRAAGAPYALLASSPPASVGNGGCSPHYPPAPASDDDGGPASFDAAVASARPPFQQQQQQQPAQRNHGPQLGVADWLLLQRQSSGSSVGGDDGEGSSTASTLANAAAEYRDRGDADRPPSSSGGKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSSNAAADHLQHIASPQSLSYRFWVNGSLSYSDKVPDGFYLIQGMNPFIWTLCNDVHDGGRVPSIESLKAVNPTESSIEAVIVDKVADYELRQLISMAIDVSRNRADSKEIATRLAGVVSAKMGGSVAATEEHGLGPRWRETVGFLKISSGSVVLPIGKLSIGFCCHRALLFKTLADSINLLCRIVKGCKYCKAGAAASCLVRFDHDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHNSVDIADNFKSLAKQYFLDCQALNLMFSDPAAVINLDEAVGSNLGPNSSHGTNSDCQATFPHLKAGAQLGSQDENFIMRRSFPEDTQSGLSDPFSDMSLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMRSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHSARENLDERRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQLLVSRDEGLRFQVASIQKWQH >PAN03545 pep chromosome:PHallii_v3.1:1:352375:354645:-1 gene:PAHAL_1G003200 transcript:PAN03545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAAVSLSSFPAAAKAAAASPPSPSSCITYPRRSAARAVRVQVSTTETAEAGPAPVKTEKISKKQDEGVVTNKYKPKEPYVGRCLLNTRITGDKAPGETWHMVFSTEGEIPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNYLCDLKPGAEVKITGPVGKEMLMPKDPNATVIMLATGTGIAPFRSFLWKMFFEKHDDYKYNGLAWLFLGVPTSDTLLYKEELEKMKAMAPDNFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVDLAAKDGIDWIEYKKQLKKGEQWNVEVY >PAN04254 pep chromosome:PHallii_v3.1:1:3551350:3551747:1 gene:PAHAL_1G052300 transcript:PAN04254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNNIVARAVPAIMTLLVLILLSSGAAAADNCGVLISDAPMCQAPNADACETWCYHKGYSTGACYAGVNRRECICENPCSEAAQGERPPSAAEMEPNRA >PVH66075 pep chromosome:PHallii_v3.1:1:13881758:13882492:-1 gene:PAHAL_1G141700 transcript:PVH66075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRRHLQRTPMGLFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKLTQEVEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDQFLPVKKRSIRTEEESP >PAN06519 pep chromosome:PHallii_v3.1:1:47150124:47156385:-1 gene:PAHAL_1G268800 transcript:PAN06519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAGAAVGSGGVSDALFRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQSGPTSPDPEPPEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMTQNPPWQELVAKDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVNVNKYLEAKNHKMSVGMRFKMRFEGDESPERRFTGTIIGLGSMPANSTSPWADSDWRSLKVQWDEPSAVVRPDRVSPWELEPLDATNTQPPQPPLRNKRARPPASPSIAPELPPAFGFWKSPAEATHSFSFSGLQRTQELYHSNPNSIFSSSLNVGFHSKNERSTPTNNHLYWPIRDTRTESYSASINKLPPERKQESAIVGCRLFGIEISNAVSPLVTVASVGQGQPPAVSVDVESDQLSQPSQANKTDAPAASSERSPHETESRQVRSCTKVIMQGMAVGRAVDLTRLEGYDDLLHKLEEMFDIQGELSASLKKWKVIYTDDEDDMMLVGDDPWSEFCRMVKRIYIYSYEEAKSLTPKAKLPVIGDTTKPNPDKLSPESDMPQSDSNNSVLVAADKD >PAN06562 pep chromosome:PHallii_v3.1:1:47391924:47393882:-1 gene:PAHAL_1G272500 transcript:PAN06562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPAPWAATALSSLHSLSSHYFLLPAHFLPPVGARPPLRRGDLAIRNGGGPRTYPGGVSKWQWKRMQAKKARQLLKARLARERQLYEMRKRTELRDAVAHLERPWDPDSAADASAVAPNLLSVAAEDQLRALADRFHRPGGVDLWNDRDGPRVFASPATGATSARFFPKNAVHSVQPYALVGDGGEASAPRARGNAADMLLLGRGGGGAQGVRENATGDEAYRTGDVEPAVELMERDGTWEPVDDLAAADDSIPGYWSSDDDNDEVPSESEDMGDARSRRVPRATMHRRNGRNNGVTRWEVAGAMAAASDDDRGWSGDAFFSDSERAREGHREQRWQETSSSASTRKRAGGRRHALNSASTSRVGQRGRAGASSFSDSQVIHGGSEPKWRPRTRDGNKNGTGRWNAPRQDRIGEEFNSNSDSSRGGEMEPRWGARNKLNGRENFRGISKPKYSTNTSDGDALGRHMRANYGDEHQSSSNGRHRLAGNFAEDLETPTWKPRRINRARNINGDGRDDNVSGRFRRGNNARRLQENPRNINREDGPRMNRNGQRFRGEDYSLRPTSELHGSWRERGSDEL >PAN08625 pep chromosome:PHallii_v3.1:1:57668457:57669308:-1 gene:PAHAL_1G425500 transcript:PAN08625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTSRTGRLSCCLLMVGVVLLASSPGSAAAPRRLLQTCLGQDFDVPHAHLRAKNNVRPLKYTQELSDRAAAWAAQFKDNCAAASPAPGINVFLGIAGTTWLPSDAVAAWAEEEQHFDYGSNTCADGKGCGRYTQMVWRGSKEFGCTTVECASGETLMACLYEPQGNIMGQKPF >PAN08188 pep chromosome:PHallii_v3.1:1:55425747:55429958:-1 gene:PAHAL_1G394500 transcript:PAN08188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKDKKASREAKEDKKLALGVKKKQLKRKKDRVVECAVDSEPAAEHGIVEDKELARRKKIALLKQKKKNKHAKVKSSHARADDVVESLSDSKDDATPKLKKKKSKKKLMESSSPVKVYESSVASDDAGASKLKKKKRKVKGGKSSTGITDAEEILHVNQIEETQSADVNQLAARSEDMDNEGPEKAKRRKKKELKRSGKAKTNKHASSKENNLERHVEVDTANADEIPSVDEDCSRGMKKWILEYKQNRPGLKVLQERIDEFIVAHEEQQEKERKEREARAAEDGWTVVVHHKGRKKTTDTESGTAVGSVSLAAMQEKMAKKKPKEVDMNFYRFQKREAHLSELAMLQSKFEQDRKRIQELRAQRKFKPY >PAN08731 pep chromosome:PHallii_v3.1:1:58125620:58129148:1 gene:PAHAL_1G433300 transcript:PAN08731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MPAAAASSHQHRTRLRSRTAPLLLLVVLAVFVVTELLRSSSRGGFTASARRAGSSPAKANHSSAAPRKILLDPDFTPRLPRQSPLSLSLSARNALPPRNTARFPALPDGHLKIVLYVHNRPRYLRLVVDSLSRVEGIGEALLIVSHDGYFPEMDKIVQGIDFCQVKQIFAPYSPHLFPDTFPGVSPGDCQSKDNAKEKRCKGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLQETMDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPKKCPGCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCAFDEYNWDITMWATVYPSFGAPVYSLRGPRRSAAHFGKCGLHQGQGSSNVCVDNGSGAVELEEIDKVPNIKADWPVRIIQRQEGYQAGFKGWGGWGDRRDRELCLSFAYMYHVKDQSPA >PAN06432 pep chromosome:PHallii_v3.1:1:46738413:46744355:-1 gene:PAHAL_1G263400 transcript:PAN06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGAGKGKRKRSLSEDDVYLLLHRYAPGTILTALQEVAQHAEGRRIDWRAVVGKSATGITSAREYQMLWRHFAYNHVLDDTVDAGDQPLGDDSDLELELEPVPNPTKEALSEASALAKALISGSSREQASGQRLNLDAPVLNAPNEKTVRVPSEKQLAQNHRITNITGPVSNSKQASHLGPSPGSLDPNGPSKKKKKPKAWSKEEDADLAAGVQKYGEGNWDYILHKCKFDNTRTPDQLSQRWALICKRPGGSTKPASTKHATVASSEERKDALKALSIAVGPMRRSSMLRPGAQQQGIQHKSTVFAPKIPEGRSAAAPSPAPALPVPVPVPVPVPMPLPVKAPVKSPVPQGQQAPVQRAPPKSANASNKTRKKQSPQPSPIIGPSSIQAAAIAAGGRIAPALTAANFLKVAQSAHIRSQVTGSSKPSASTKAQSVVVEPGTQPGSIQHLEPLNTSAPKSGPSVLTTHATEQVHGASEVAVVNPPGPSAGAHPLETNKALSTTPVPVSCDSEEKEDDSTFCVITIDDLFPEDAVQLETVDLEAKPEIADEKAKQLETVDPVDPKAKQPETVDPKAKQPETADPKAKQPETADPKAKQLDTLNPKVEMVDPKDKDMLEFDQFVASQGGAVNTDHLDKSNRSAAQAQALVGSQKKQVKLVPTIGKGNPVSAGVPATVKRAKTSIPHLVNPVPAGSPRGIVGTVNANAPNKTLVRKATTLVPAGVRAPLLKKHATNGKGYQVMQPSTGSGVPASSQASVAVNGASKVNPPSSGGQASSVVNIGSRANPPYSGQASAVMNIGSKANPPSSGQASVVVNGANRAANPPSSGKASAALSGAANMANPPAAPKQ >PAN05653 pep chromosome:PHallii_v3.1:1:13710046:13712918:-1 gene:PAHAL_1G140700 transcript:PAN05653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAKCVLRLLFFYGLHLAAVAVSGGSGGDDEFVYSGFAGANLTLDGAAMVTPSGLLQLTDGAAVSKGHAFHPAPLHLRGGEKEDGAAAAVRSFSVSFVFGIVPVTPGMGGHGLALVVAPSRDLTGMASSYLGFLNSTSNGSARNRIVAVELDTIQSPEFKDIDDNHVGLDVNSLVSVNAASAGYYDDRTGELRNLILVSGEAMQAWVDYDGNATRIDVTLAPVGTERPRKPLVSASVDLSTVITDVAYVGFSASTGRLSTRHYVLGCSFAVDEPVPAIDMARLPKLPHTSSKSKFKVLVIALPVVTGVVALAMVACVLLLVRLRYRYVELREDWEIEFGAHRLSYKELFHATDGFKNKNLLGTGGFGTVYKGVLPTSGTEVAVKRVTHGSRQGMKEFIAEVATVGRLRHRNLVQLLGYCRLKDELLLAYDYMPNGSVEQHLYGGGGKPALNWAQRFQIIKGVASGLLYLHEEWEQVVVHRDVKASNILLDGEMNARLGDFGLARLHDRDAELHTTVVAGTFGYIAPELALTGRASPLTDVFAFGAFLLEVTTGRRPVEEAMDGSNSRLMLVDWVFEHWRNESLVEVVDPRIQGDYDVGELSLVLRVGLLCSHPLASVRPGMRQVMQYLAGDAPLPELAPTHQMGMSMLALLQNQGFDSFVMANSSSSSSVSVRSFDTSLSRGR >PVH65614 pep chromosome:PHallii_v3.1:1:2625553:2626592:1 gene:PAHAL_1G038500 transcript:PVH65614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHMVHRPFQVPSMERCGRTPCISICISTSAVRTLCKTGARGEAAAVERIAFVSANAGFADLL >PVH65613 pep chromosome:PHallii_v3.1:1:2625552:2626592:1 gene:PAHAL_1G038500 transcript:PVH65613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHMVHRPFQVPSMERCGRTPCISICISTSAVRTLCKTGARGEAAAVERIAFVSANAGFADLL >PAN07160 pep chromosome:PHallii_v3.1:1:50246725:50250261:-1 gene:PAHAL_1G315700 transcript:PAN07160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFAGGAAEPPLADSYYALLRRCGGGDGAPNNGGARAAVAAPVAECELPMIDVGCLTSGGGGSSEAERAACAAAIARAAEEWGFFQVRNHGVAPELLDAMRREQARLFRLPFEAKASGGLLGDSYRWGNPTATSPRQLSWSEAFHVPLAAVSGDAGCDFGGLTTLRDVTREVAGAMSKLAGTLARVLAEALRPAAGERFPEGCDETTCFLRLNRYPPCPVSPPDGASFGLVPHTDSDFLTVLCQDHVGGLQLMKGAGWVAVKPIPGALIVNVGDLFQAWSNNRYKSVEHKVMTNATTERYSVAYFLCPSYDSPIGACEEPSPYRTFTFGEYRRKVQEDVKRTGRKIGLPNFLV >PVH66111 pep chromosome:PHallii_v3.1:1:17459159:17459563:-1 gene:PAHAL_1G152700 transcript:PVH66111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGSTCHALSQSPPPSFFLLLSFLSRKEGMIPWELTPRVRSTPHRWSVQHECVGTPTTR >PVH65578 pep chromosome:PHallii_v3.1:1:1940787:1947570:1 gene:PAHAL_1G027700 transcript:PVH65578 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MANLTSAFASLQCAGAMHVGKVHMQASGLPFLSFRRCAQLDISRLGSMPRFMGATSATVSQQHVRHRVRAIRASTLSCFQDDTTKFFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMKDTIVAGAYLCDEETVRIVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQKNGEIHCYGADSIDIETQKVVRFISKVTLLASGGAGHIYPTTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPTTKRENAFLITEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGENYVLLDISHKPRDKVLAHFPNIAAECLRYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADAGASLAARWARPTLPSPSALGGGALSDIVSRTRQTRTELQTVMWEYVGIVRSTGRLKQAEWKIGDLESEWEEFLFRRGWTPTMVGIEACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESRRKPTVIFPAAVQELTWSSKPLQRQLQCK >PAN03890 pep chromosome:PHallii_v3.1:1:1940787:1947570:1 gene:PAHAL_1G027700 transcript:PAN03890 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MANLTSAFASLQCAGAMHVGKVHMQASGLPFLSFRRCAQLDISRLGSMPRFMGATSATVSQQHVRHRVRAIRASTLSCFQDDTTKFFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMKDTIVAGAYLCDEETVRIVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQKNGEIHCYGADSIDIETQKVVRFISKVTLLASGGAGHIYPTTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPTTKRENAFLITEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGENYVLLDISHKPRDKVLAHFPNIAAECLRYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADAGASLAARWARPTLPSPSALGGGALSDIVSRTRQTRTELQTVMWEYVGIVRSTGRLKQAEWKIGDLESEWEEFLFRRGWTPTMVGIEACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESRRKPTVIFPAAVQELTWSSKPLQRQLQCK >PVH66858 pep chromosome:PHallii_v3.1:1:53796990:53798558:-1 gene:PAHAL_1G369600 transcript:PVH66858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNDAEETLSQALEYRNSLRRKLNFDWSQEDEEEAVGGGALGRDAQEQGRGSGGGALGRDGHGLGSGALGRDAQGQGHGLGSGALGRDAQVQGHGRGAQGLRLGRGSGISVQATGDPARGRGKRPAQSSSSGAVRPVRGRGCPCTSQAYRPPRSTSVDVDHGAQPIDVTDDNLHDTTQNSIMIDAGNRANGIMTTRGYNNIAEKYSIAVGLHHSKVQLKNRLDLLKGLYSFWLQLLKDTGLGWNEALGTVVASEDYWNKATKGHPTWKQLKRGPPDHEDLLQEMFGGIVVDGSSACAPGEAVERNEDEGLPAGDQQGDDTDMYSTPPSTAHVPNRRSSLNRASGSSATSPLKQPKNQMVKVMQKIHATLENNCKIANKVMLGEHLEEKIKEVQSMAVRCGAREGSAEHFMATRNLRIEPLLRHSKPMKGGFFG >PAN04250 pep chromosome:PHallii_v3.1:1:3514586:3520239:1 gene:PAHAL_1G051900 transcript:PAN04250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSVPLRAAAAGSRAAVDPIKVSRVRSTGSAHFGCSFPSIAASSSSARNIEPLRAIATQAPPAVPQYSSGEKTKIGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIHVVDDSTLEINGKKITITSKRDPAEIPWGNYGADYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNENSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVSAKH >PAN04995 pep chromosome:PHallii_v3.1:1:8048599:8054893:-1 gene:PAHAL_1G107800 transcript:PAN04995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIAFVRDYIDRMLHDIPGMKVLVLDPQTVGMVSVVYSQSDLLRKEVFLVETMDNASSSRESMAHLKAVYFLRPSADNVQKLRRHLAMPRFAEYHLFFSNILKIPQIQILADSDEQEVVQQVQEFYADFCAIDPYHFTLNIQNNHMYMLPTVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRFQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLRGFANVPKDQQEVVLSSVQDDFFRANMFENFGDLGMNIKRMVDDFQHLSKSSLNLQSIGDMAKFVTNYPEYRKTHGNVTKHVALVSEMSRIVEERKLMLVSQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASCSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKGRLRDADYPLVGNHFQQGRPQDVVIFIAGGTTYEEARSVALCNAANPGVRFFLGGSVVLNSKRFLEDLGEAQRISKSSTII >PAN06173 pep chromosome:PHallii_v3.1:1:44446661:44447612:-1 gene:PAHAL_1G243600 transcript:PAN06173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGGEKKNILAKTLQRCRTSLAHRRRPPAAADRVAAASWGAVPAAGYFTVLVGPEKERFGVRARCANHPLFRALLDEAETEYGFAGCDGPLELPCAVDDFMEVMWEMEQGDPAASPGCGHFAAGSSRGHHLQQQGYQMMSPARFLVAGRS >PAN09110 pep chromosome:PHallii_v3.1:1:59685372:59687049:1 gene:PAHAL_1G459300 transcript:PAN09110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAALQLLCRPSLSAAQLRQVHAQLLTSSSLLLADRFLPNQLLRSLHPLGTLRLFPRLRRILPAFRPNNYAFSFLLKASADSTGSDARLVSSLHALAVVLAWDAHAYVANGLIHAYASHGLLPSARRLFDGALSSRAADVCSWTSLLTAYARAGRVDEARALFDEMPRRNDVSWSAMLSAYVAAGSFADAVRLFEDMLRSGARPNRAAVVGVLTACGALGALEQGRWVHALVAARPSQVGMDGVVATALVDMYAKCGSLDAARQVFAAATTEQRDVFAYTAMISGLSDHGRCQEAMELFGKMQAEGVRPNEVTFICVLTACGRAGLVGRAKEIFRSMAAEHGVQPGVEHYGCLVDVLGRAGRLREALAVVRSMPMRPDSYVLGALLSACAAQGDVDVGKQVVEWLAEQGLDHSGVHVQLSNMYAAWSEWEEVARVRRAMDQRNVAKVPGCSMLEVDGVACEFVAGDRSHPRMREIMAAVTDLHEHLRLLGTTALEDAWAFLL >PVH66713 pep chromosome:PHallii_v3.1:1:50817399:50819108:1 gene:PAHAL_1G324700 transcript:PVH66713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEFLVGCKITCLSICLMYRDPVVAEVAAQTPSFHPWIKSLVAPDRSRAATCSGGYSRALVSSGGEPSLLRRYARHHLGREDFAALLADLSMQSAGFGEPPPPDLISAPQQGCSGHRREQRNRAGDLQAAREQRRPRRADGARRGEGLAGRGGAAGVRPSRRDLPSARRRRPVEHRAAGGVHQDQVRQARHLGEQCSHWWNNHRS >PAN04681 pep chromosome:PHallii_v3.1:1:5801809:5808174:1 gene:PAHAL_1G083300 transcript:PAN04681 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MGSRVKEDERHERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFVCTNCSGAHREFTHRVKSISMAKFTAQEVTALQEGGNERAREVFFKEWDPQRNGYPDSSNVDKLRNFIKHVYVERRYTGERSTDRPPRGKDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEGVDDRSGKTTPVQRFEDRRFSEPRRPDSGSPDFQKEADGSSPVVRPVRDILGDNAPQLRVGEPSKPAAEPPKPTVPRPIDPPKPTVARPIDPPKPNGTRAIDPPPLAKTMSSASSIASSEGTSEPTKVTNAVSLIDFSADPEPPAPAPPPQPASTTQQHPVNAMAPQPVHEQGKSPSVSGGDWASFDAFGQQQTPQTSSSANPLESALAQLSFSEAPSARNVSAFPASIGPTLKPNDGGHSSIVDQSHNLFDAPFGISGNQAPTVMSNQGSAVQQSPLAAHTAGLPSQVTAKQQGTSGIQGAASTDSKSSGRKELPADIFAALYPTSTPMMPGWQRAPQFGMGYGMQYPAGVFQGLQGYPQAAFPQPTYQQPVYPQHANPQHAYPQPQPQPVKASNPFDLGNEPAPIQAHMPLSGPPGASAGTTPQTLLGTSSFGVPPQQPHQLYQSAAPPSPFMMQQVQNSMPQQPPNSMHAMQQGLGSLNMGFDQQVPPRYPQPGTPPSYGSVAGSNPFG >PAN04683 pep chromosome:PHallii_v3.1:1:5803324:5808194:1 gene:PAHAL_1G083300 transcript:PAN04683 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MAKFTAQEVTALQEGGNERAREVFFKEWDPQRNGYPDSSNVDKLRNFIKHVYVERRYTGERSTDRPPRGKDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEGVDDRSGKTTPVQRFEDRRFSEPRRPDSGSPDFQKEADGSSPVVRPVRDILGDNAPQLRVGEPSKPAAEPPKPTVPRPIDPPKPTVARPIDPPKPNGTRAIDPPPLAKTMSSASSIASSEGTSEPTKVTNAVSLIDFSADPEPPAPAPPPQPASTTQQHPVNAMAPQPVHEQGKSPSVSGGDWASFDAFGQQQTPQTSSSANPLESALAQLSFSEAPSARNVSAFPASIGPTLKPNDGGHSSIVDQSHNLFDAPFGISGNQAPTVMSNQGSAVQQSPLAAHTAGLPSQVTAKQQGTSGIQGAASTDSKSSGRKELPADIFAALYPTSTPMMPGWQRAPQFGMGYGMQYPAGVFQGLQGYPQAAFPQPTYQQPVYPQHANPQHAYPQPQPQPVKASNPFDLGNEPAPIQAHMPLSGPPGASAGTTPQTLLGTSSFGVPPQQPHQLYQSAAPPSPFMMQQVQNSMPQQPPNSMHAMQQGLGSLNMGFDQQVPPRYPQPGTPPSYGSVAGSNPFG >PVH66838 pep chromosome:PHallii_v3.1:1:53450528:53451731:1 gene:PAHAL_1G364300 transcript:PVH66838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELPRLQRGSLLWLSSSPARWCHAGSHLPRPCPRDSAAAPRARTRKASVALRDVWHGCAAARPPRNLRNVATTPASPVVSLTRCTGCRRPSELVDEEREPIRFDGVAVARRERSIIHGEECAEGLHRKLLTPFRGFYVKNGSRLLIAIQFRGFRVKY >PVH65995 pep chromosome:PHallii_v3.1:1:9597285:9598103:-1 gene:PAHAL_1G121400 transcript:PVH65995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVFYIYIAHRCQPDRGHNRGRRQHGGCNNRGSKHCAGKRYRNKFKYGSGLESYGIKFRYRSGPAFLSISTPMQQVFYIYIQLTGASLIEAALEEEDGLVDATIEEANIEPVSSLSQPQCNQFAVGAVIEEANNDFLPSHVTSRKRLRKSADAKSEVPEAEPEVAQAVEAAVEDDGVTIDVLPPLVEGSNTMKAYTSMKKRAKRENLYEEARSATDNRFWSIKQQEMYENVYKTKSFADNRWIDWEYMKRFKEMLDVETKCKKIGLHKLME >PAN07006 pep chromosome:PHallii_v3.1:1:49393073:49396000:1 gene:PAHAL_1G304500 transcript:PAN07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) UniProtKB/Swiss-Prot;Acc:Q9SAH5] MVSMAAAAAAAGVLLPFPFYYALWTHPQRWADLCGRGADPCRRMAQVSHALKALQLLALASVASFSWPPPLYCPVLLTVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPLQYVLLWCLGYVFMMWVEQKEDPATRAKPLS >PAN08470 pep chromosome:PHallii_v3.1:1:56811310:56813899:1 gene:PAHAL_1G415400 transcript:PAN08470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEKKRPRISSGAGRRPSRKEVLERKKAFNELIRKAIAVKDHLEQFPAFHKFQIKGLSVYLESGRGDKLTLPMRKYIQNLLKVNMEEPYGAEWSSEEKIKRQEMVAPEARYIFIKQYLNGFTTECSMNQDAGVEHNHAPCNEGCLVGFVHYRFVLEEELPVVYVYELQMEPSAQGKGLGKFMMQLIEQIACKNQMGAVMLTVQKANTQAMAFYTKLRYVISSTSPSQVDPQIGLEKSYEILCKTFDSEAKSKLEDGDKEL >PAN08469 pep chromosome:PHallii_v3.1:1:56811516:56813899:1 gene:PAHAL_1G415400 transcript:PAN08469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYIQNLLKVNMEEPYGAEWSSEEKIKRQEMVAPEARYIFIKQYLNGFTTECSMNQDAGVEHNHAPCNEGCLVGFVHYRFVLEEELPVVYVYELQMEPSAQGKGLGKFMMQLIEQIACKNQMGAVMLTVQKANTQAMAFYTKLRYVISSTSPSQVDPQIGLEKSYEILCKTFDSEAKSKLEDGDKEL >PAN08472 pep chromosome:PHallii_v3.1:1:56811516:56813899:1 gene:PAHAL_1G415400 transcript:PAN08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGNLIVKIWCLSVYLESGRGDKLTLPMRKYIQNLLKVNMEEPYGAEWSSEEKIKRQEMVAPEARYIFIKQYLNGFTTECSMNQDAGVEHNHAPCNEGCLVGFVHYRFVLEEELPVVYVYELQMEPSAQGKGLGKFMMQLIEQIACKNQMGAVMLTVQKANTQAMAFYTKLRYVISSTSPSQVDPQIGLEKSYEILCKTFDSEAKSKLEDGDKEL >PAN08471 pep chromosome:PHallii_v3.1:1:56811310:56813899:1 gene:PAHAL_1G415400 transcript:PAN08471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYIQNLLKVNMEEPYGAEWSSEEKIKRQEMVAPEARYIFIKQYLNGFTTECSMNQDAGVEHNHAPCNEGCLVGFVHYRFVLEEELPVVYVYELQMEPSAQGKGLGKFMMQLIEQIACKNQMGAVMLTVQKANTQAMAFYTKLRYVISSTSPSQVDPQIGLEKSYEILCKTFDSEAKSKLEDGDKEL >PVH65951 pep chromosome:PHallii_v3.1:1:8443920:8447995:-1 gene:PAHAL_1G110700 transcript:PVH65951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAGLGYALIALGPALSLFAGVVARKPFLVLTLLSSTLFWLISLIVLSGIWRGFLPIKSGTWWAYAILIITSVALQEGTRLVFWRLYKKMEEMLDAFADRISKPRLSLTDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGQATFYVERCSKMPFFLASALIALGFLVIHTFSMIIAFNAYDERKKSDQIFVPVVHMTAAVMTLVNLVPGGCLIGTPLLLVTAVLTLQYCWRVACRRLTEHQHRQLNSN >PAN05985 pep chromosome:PHallii_v3.1:1:26340811:26346006:-1 gene:PAHAL_1G182500 transcript:PAN05985 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MAKAKPSSSAAAAKPAAATAPATVHSALVTYSSMLALLSLCPPFVILLWYTMVHADGSVVKTYEHLREHGLVEGLKAIWPMPTVVAWKIIFGFGLFEAVLQLLLPGKRFEGPISPTGNVPVYKANGLQAYAVTLITYLGLWWFGIFNPAIVYDHLGEIFSALVFGSFVFCIFLYIKGHVAPSSSDSGSSGNLIIDFYWGMELYPRIGKYFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYITKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSVWGKAPSKIVASYQTTKGETKTSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFSHFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKFWKMYCNKVPYRVIPGIY >PVH67142 pep chromosome:PHallii_v3.1:1:58281907:58283134:-1 gene:PAHAL_1G435900 transcript:PVH67142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPHPNLLRLAGGLESAPCCEQFASPGAQYRRPLREMGMDSSPPPPLFSGGAALFFAREMRERRIGEACTQE >PVH66502 pep chromosome:PHallii_v3.1:1:46864033:46864450:1 gene:PAHAL_1G264900 transcript:PVH66502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVESSFPMDYSSGASASGRARARWCYCRCFRRWCRCFCGCYCCNCFPDEPHDEPRAAAYA >PAN06955 pep chromosome:PHallii_v3.1:1:49201827:49204469:1 gene:PAHAL_1G301100 transcript:PAN06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFAGLRIVASAVPQPQTLHRRLLRAALRESPFRGGRLRARAAVAGPPEVDDDDAMSIDNLHRFFDLNIGRWNGSFYQFDAHGRVLQDISTRLSVSTYGEDDLISLLQSLYIKQASSKISVVDEDDSEPEWVEYKIKETNMFTVDKYQQIGFFPEEKAFALRYQTAGMLETVLRVGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPNGVLDTLLVFHEKQGVPQALIDSSIDPESASSDRMNALLGRWKGRSVTKRSGVYGATLAEADTVVVLEMDNNGQLIQDTISTKSGTSTTTTVNWTGSASNNLLQFDGGYEMTLLPGGMYMGYPSDISKCVAQLDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFLESKV >PAN06152 pep chromosome:PHallii_v3.1:1:44303245:44307693:-1 gene:PAHAL_1G241900 transcript:PAN06152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLSRLSRRATSSAVAAAPSLRRLLSATSTAPAAQSAPPPPPSAASAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVHDGASVWSGSVLRGDLNKITLGFCANVQERCVLHAAWAAPTGLPAETLVDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGSPARFVRKLTNEEIMEIPKLATAINDLMQSHFSEFLPYSTAYLEVEKLKKSFSIPL >PAN08090 pep chromosome:PHallii_v3.1:1:54932514:54933345:-1 gene:PAHAL_1G386700 transcript:PAN08090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRFVVPAIMVFLVFSAAAVSSARPLAGEELSGEATAGESVVRFLRQIYRRRLSGPGHSCQTWSPNGGC >PVH65871 pep chromosome:PHallii_v3.1:1:6578415:6580315:-1 gene:PAHAL_1G092600 transcript:PVH65871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPGLACPRQHGSLSLTSTPIYAHTSHSSSYQQLRRDNGLIINFTRDCNRKQQVCASSSGRVVIVHATGFYTIVYTDAGGRSSMEGLRLQSLGGAALLPAARPHRRRGGNRLRARASVRGGVRQLAVSIDDLRLARDAVVAGGGADGHAASGRGAAVAPQEDRAAEKLRAVADAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASVMAALAPAAPSVVALKASAGALFATAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRYTAAAPVVTDADVQEAMDRVLALDAAYPLPLLPGMLEKFPKTLEPTRWWPKRQMKNKPAQTKAVNGARRGATAGNGWTQDLEDEMRGIVRVLRAKDEQEYVTAGKRVLTLNRGLAVAGPALAGTAAVAAAFVGAGEVGSWASGAAVLCGALAAAVNTVEHGGQFGMLFELCRNVAGFYRKVQEDIEACLDEADVDRRENGEVFETKVALLLGRSTSDLRLFREMASPSIKDEDIKDFAGKLF >PAN05668 pep chromosome:PHallii_v3.1:1:16047819:16051100:1 gene:PAHAL_1G148800 transcript:PAN05668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVTRMLGNGRCEALCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDNVRLNEGVVDEEDTGAQDDYIQFEDEDIDKI >PAN09114 pep chromosome:PHallii_v3.1:1:59714217:59715652:-1 gene:PAHAL_1G459800 transcript:PAN09114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDVGTPTPRGGLGLARSSSGVWWKLVDGDASEVERRLRGIAEEEAAVRARMERRHAVRRRIAVTSMSLEVVALVHGLWKATRRGFSSSKLKLIKLLLPAVAIPALATLVLAALARFRRSLDARDQQHLDRLRTERNAKIGGFRGSHHNLQKLIEKYDPDGGGDGSSSNDAATRKKQQQLKRTHSRLSFHVDVGEAGDE >PAN08301 pep chromosome:PHallii_v3.1:1:55828595:55834715:1 gene:PAHAL_1G401400 transcript:PAN08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09420) UniProtKB/Swiss-Prot;Acc:F4KCL7] MASSAQSGGAGGGGTMTSNPRVWIVAGIAVAGVIVLAEAARRRRRWLRGKSSAPPDAGAFCDRFELSPPPQPPPPAARHLLSGLTFAASDNFEIEGYVAGFGNPDWKMTHEAPRHTAVTVTMLLKQGGTCIGRTVMDELGFGVTGENLHCGTPTNPAFPSLVPGGSCSGAAVAVAAQLANFALGTDTIGDVRIPASFCGLISFRPSYGVVSTLGTIPNSQSLDTIGWFARDPSVLHRVGEALLPATAGGLKQRRQFVFADDCFQLLKVSNQKTVHAIKNAVQTLPGYQPPKHINIGQYLYSHVPSLKEFCEPATKLQEGMSALKALSTVMLLLQRYEFKASHENWVNTVKPKLGLDISTRVLRAVNFAHDNIKSLYAIRNELRAALKNLLKDTGILVLPTTAGYPLKRNSKERLSSGFEDRMYKFVGIAALSGCCEVTIPLANLDHHVSLSFVAAHGSDKSLLCTILDTYSLIQEQVVLASKLATAPVTNGDLNVDVDVDASELLKEKGNSAFKRRQWSKAVQFYSEAISLSDTNATYYCNRAAAYLELGSFKQAEADCDRALLLDRKNVKAYLRRGFAREVTLNYKEALQDFQHALALEPQNKRALAAERRLQKLLK >PAN09126 pep chromosome:PHallii_v3.1:1:59751769:59756107:-1 gene:PAHAL_1G460600 transcript:PAN09126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAPPPPQMEGRVAPLPAGAEEYVRDSIHSSLGLPVSDRSLRLKLLASEEQRHRLQDHIFSLEEDLRAAQRRIDLLKAEAAMNATGLRRCVEDKEALATAYAQLNAKCAKECDLYERDLERAMDSCDELARENNDLRARLHQNANLEALTARVQELENDKETLKTNLATAEAEVITLSEDNRVLDEENKRLLGLLEKERQRRSERKKSASTSTKQNKRKSSSLRDGSPAGRALDFGGADSSRHPLSPLPHNSPDCRAHKK >PAN06831 pep chromosome:PHallii_v3.1:1:48672958:48676792:-1 gene:PAHAL_1G291200 transcript:PAN06831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSTPLLRRLLAPSPSPTPSTPLAGAVSRRTVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVRGDMPTVPPEVIESIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYAALVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANVAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNEKIVEKKRANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIAKLD >PAN03769 pep chromosome:PHallii_v3.1:1:1517171:1522108:1 gene:PAHAL_1G020800 transcript:PAN03769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEASPAAADAEQPAPETATTPTLASSQPAPAAPTAAPAVPAAVAGRGDGKRKRGRPRKYGPDGSLLRPLNATPISASVPDDAGGAQYTPAAAVGAVMKRGRGRPVGFVSRATPISVAVTAAAPTAAVVVSAPGPQPQLGPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVIANVTLRQQDTLGGTVTYEGRFELLSLSGSFTPSDNGGATRSRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNANKKPVIEITTISPAPTPATVGFTISSGDMEDSYSGSQHLRSGTAKGSSTAAVFKVENWTAPAPQQPAAPDQARKTPPPASEAKVTVPGG >PVH66047 pep chromosome:PHallii_v3.1:1:11649747:11652616:-1 gene:PAHAL_1G133900 transcript:PVH66047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHLVPPGGTVTSGSHARRRRTLRSRTRPRPPSPLLPPGELAPPLLGAIASRRAGRGEEQGCLHGQPPSGAELSLTRRPANASRHELPGRRFRSLKLASRSNFAQARQYSLQHTVHIIFSPFFNPRLQGALQFEFLRDASKQHLVLSFCPTRQQQLSSTAPNPSTRYLLPSFRSKSGRSGSCDGERSRGRDGERREDGRARPRPAGSWGHWGVANRNREVADGKPIVNWPSE >PAN08386 pep chromosome:PHallii_v3.1:1:56451480:56453069:-1 gene:PAHAL_1G409600 transcript:PAN08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGLLLALFIAFLGLPSNSAAGQVLFQGFNWESWKQNGGWYNFLMGKVEDIAEAGITHVWLPPASHSLAEQGYLPGRLYDLDASKYGNEAQLKSLIEAFHDKGVKVIADIVINHRTAEHQDSRGIYCIFEGGTPDSRLDWGPHMICSDDRTYSDGTGNPDTGADFGGAPDIDHLNTRVQQELIGWLNWLKTDIGFDAWRLDFAKGYSADVAKIYIDNTEPSFAVAEIWTSLAYGGDGKPYYDQNSHRQELVNWVDRVGRSGPATTFDFTTKGILNVAVDGELWRLRGADGKAPGMIGWWPAKAVTFIDNHDTGSTQHMWPFPADKVMQGYAYILTHPGTPMIFYDHFFDWGLKNEIAHLVSIRDRHGIQPDSELHIIEADADLYLAEIDGKVVVKIGSRFDCEHLIPEGFQVSAHGDGYAVWEKI >PAN05136 pep chromosome:PHallii_v3.1:1:9121623:9122164:1 gene:PAHAL_1G117100 transcript:PAN05136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALREKLPIYTSWKLYEKDGGPAEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKWKYFDESLTMEQLLAKEPPPKMKKEEWIELVKYWCDPKNQVHALHHCFC >PVH66163 pep chromosome:PHallii_v3.1:1:22296941:22301289:-1 gene:PAHAL_1G168200 transcript:PVH66163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPVRFLRSAAAAARRAPAASHGGFPATPALHPAASAAAPSSSSADLSRWPQRRGYSQFASGFTPLKPKPLESIIDVERAKGLSPEHLVAAWDDYHLGRGHIGASMKTKLYHLLEQSRHFVIPLWKGSGYTTMFMQVQIPYMIFTGLEDYKARGTQASPYYTVTHYTEFAETKDMVLIRGDVVFTSKLTDSEAKTLLETAHSFYLNDVRYKLMERFNKETHEFEFKDVLQVLDMPTM >PAN05867 pep chromosome:PHallii_v3.1:1:22297684:22301200:-1 gene:PAHAL_1G168200 transcript:PAN05867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPVRFLRSAAAAARRAPAASHGGFPATPALHPAASAAAPSSSSADLSRWPQRRGYSQFASGFTPLKPKPLESIIDVERAKGLSPEHLVAAWDDYHLGRGHIGASMKTKLYHLLEQRSSTCRHFVIPLWKGSGYTTMFMQVQIPYMIFTGLEDYKARGTQASPYYTVTHYTEFAETKDMVLIRGDVVFTSKLTDSEAKTLLETAHSFYLNDVRYKLMERFNKETHEFEFKDVLQVLDMPTM >PVH66738 pep chromosome:PHallii_v3.1:1:51413872:51416486:1 gene:PAHAL_1G334500 transcript:PVH66738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCFRAAARVASAVGRSAAAARPAPFAGRAASAARRAPCFSRVPVELGCCAGLTLLPLHSAVAAARLTSRLSTDSSCRALSQEMGLSVRR >PVH66740 pep chromosome:PHallii_v3.1:1:51414070:51416485:1 gene:PAHAL_1G334500 transcript:PVH66740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCFRAAARVASAVGRSAAAARPAPFAGRAASAARRAPCFSRVPVELGCCAGLTLLPLHSAVAAARLTSRLSTDSSCRALSQGILCRTYPGL >PVH66739 pep chromosome:PHallii_v3.1:1:51414070:51416485:1 gene:PAHAL_1G334500 transcript:PVH66739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCFRAAARVASAVGRSAAAARPAPFAGRAASAARRAPCFSRVPVELGCCAGLTLLPLHSAVAAARLTSRLSTDSSCRALSQDENDGT >PAN07865 pep chromosome:PHallii_v3.1:1:53723948:53727584:1 gene:PAHAL_1G368800 transcript:PAN07865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRPAASPGGRTLRQDSVGDGSGRRLPRWAYLFLSFFSVRFSESYPPRLIFINSGSGRGQGQAGTSEIPTKPLRRARRRRMSPSLVVSARHTHAVTHRRGRRRRMVVASSGGAPPPKLVTFLGKGGSGKTTAAAVAAQYYASEGFKTCLVTQSQDPSAEQLMGCKIGNSLTECAANLSTIKLETSKMLLEPLDRLKKVDAQVNFTQGILEGIVGEELGVLPGMDSICSVLALQKLLNFFSAGRSGSQPEFDVVVYDCNNTEEILRLVGATDRARSYLRYVRDLAEKTDMGRLASPSLLKLIYDAARPNGKTSEGRLSTEIWNEIEQLLERISVWFANPSNLACFLVMDPKRSIAVSSALRYWGCTTQAGGQICGAFGYTEDPSEMHQEVAQNFMPLSFSLLPFVSNDSSVDWSRALSLLSQNTKEQLRNTSTRVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPAMQGKVGGAKFVDRNLIVSIR >PAN07864 pep chromosome:PHallii_v3.1:1:53722644:53727589:1 gene:PAHAL_1G368800 transcript:PAN07864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRPAASPGGRTLRQDSVGDGSGRRLPSGSGRGQGQAGTSEIPTKPLRRARRRRMSPSLVVSARHTHAVTHRRGRRRRMVVASSGGAPPPKLVTFLGKGGSGKTTAAAVAAQYYASEGFKTCLVTQSQDPSAEQLMGCKIGNSLTECAANLSTIKLETSKMLLEPLDRLKKVDAQVNFTQGILEGIVGEELGVLPGMDSICSVLALQKLLNFFSAGRSGSQPEFDVVVYDCNNTEEILRLVGATDRARSYLRYVRDLAEKTDMGRLASPSLLKLIYDAARPNGKTSEGRLSTEIWNEIEQLLERISVWFANPSNLACFLVMDPKRSIAVSSALRYWGCTTQAGGQICGAFGYTEDPSEMHQEVAQNFMPLSFSLLPFVSNDSSVDWSRALSLLSQNTKEQLRNTSTRVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPAMQGKVGGAKFVDRNLIVSIR >PAN06062 pep chromosome:PHallii_v3.1:1:14109701:14112178:1 gene:PAHAL_1G142700 transcript:PAN06062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHRESSEPAPETAAAASAAAAASVLDNDDLLREILLRLDLPTALVRAAAVSRRWLRCASDPTFLRRFRARNPPRLLGVYVNTGQAQRLRFVPLARGPELAAVVRRGSFDLGEEAGSVWDCRNGRLLVFVDGKYVVRSPLHPERGTDNLPGPPIPAEAYTILLYFSDFLFHESSDDSVSCTSVTVMCTERQAWVHLSDLQAGVWGEGRYSGMIDIPIPGSLRGCEHHALLANGKLYMICLPHHIIGFDLPSTSSFCIELPDGVQYEYLESIGLSCAKGSGFFLIHLKGFQISVWLHRTDHSSIAGDNADFVLLRIQNTLFYMHISSRTVEEVYEANPAHGFLYGVYPFMMPWPPTFPVLNGGNDHDQ >PAN06064 pep chromosome:PHallii_v3.1:1:14109699:14112178:1 gene:PAHAL_1G142700 transcript:PAN06064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHRESSEPAPETAAAASAAAAASVLDNDDLLREILLRLDLPTALVRAAAVSRRWLRCASDPTFLRRFRARNPPRLLGVYVNTGQAQRLRFVPLARGPELAAVVRRGSFDLGEEAGSVWDCRNGRLLVFVDGKYVVRSPLHPERGTDNLPGPPIPAEAYTILLYFSDFLFHESSDDSVSCTSVTVMCTERQAWVHLSDLQAGVWGEGRYSGMIDIPIPGSLRGCEHHALLANGKLYMICLPHHIIGFDLPSTSSFCIELPDGVQYEYLESIGLSCAKGSGFFLIHLKGFQISVWLHRTDHSSIAGDNADFVLLRIQNTLFYMHISSRTVEEVYEANPAHGFLYGVYPFMMPWPPTFPVLNGGNDHDQ >PAN06063 pep chromosome:PHallii_v3.1:1:14109563:14112190:1 gene:PAHAL_1G142700 transcript:PAN06063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHRESSEPAPETAAAASAAAAASVLDNDDLLREILLRLDLPTALVRAAAVSRRWLRCASDPTFLRRFRARNPPRLLGVYVNTGQAQRLRFVPLARGPELAAVVRRGSFDLGEEAGSVWDCRNGRLLVFVDGKYVVRSPLHPERGTDNLPGPPIPAEAYTILLYFSDFLFHESSDDSVSCTSVTVMCTERQAWVHLSDLQAGVWGEGRYSGMIDIPIPGSLRGCEHHALLANGKLYMICLPHHIIGFDLPSTSSFCIELPDGVQYEYLESIGLSCAKGSGFFLIHLKGFQISVWLHRTDHSSIGTWKLIDTIFLPQAFGHLAELTWSSLPDVVRVAAAGDNADFVLLRIQNTLFYMHISSRTVEEVYEANPAHGFLYGVYPFMMPWPPTFPVLNGGNDHDQ >PAN03642 pep chromosome:PHallii_v3.1:1:1115721:1116170:-1 gene:PAHAL_1G012800 transcript:PAN03642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPGKNGFARSLPKAFSFGKTIKSIFPFSILCSDDCRLCEFHCCGSRVCSNKIVLGFYLVEFIDSAVLVIEFMAPPTIQKVKDGVGIYLLCSLLIVVPWYSGCKILIGKSTVRPCFCNGLSSLKSRKIRISKNFCVPFSFLGCWSHV >PAN03579 pep chromosome:PHallii_v3.1:1:603202:605328:1 gene:PAHAL_1G006500 transcript:PAN03579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive linolenate hydroperoxide lyase [Source:Projected from Arabidopsis thaliana (AT4G15440) UniProtKB/Swiss-Prot;Acc:B3LF83] MLPTFSPTASASATPPPRPIPGSYGPPVIGALQDRLDYFWFQSQDEFFRRRAATHRSTVFRTNIPPTFPFFVGIDPRVIAVVDAAAFTALFDNTLVDKRDILIGPYNPGAGFTGGTRVGVYLDTEEPEHERVKKFAMALLHRSAQTWPTELRAGVDGMLDAVEADLAKATGDKPSANYVVPLQQCIFRFLCKALLGADPSADWLVDRFGFTILDVWLALQILPTQKIGLIQPLEELLIHSFPLPSFVIWPGYYLLYRFVEKHGAEAVEFAAREHGIGKKDAINNLLFVLGFNAFGGFSVFLPFLVAKIGEASDPAGLRPRLREEVRAVMRASGDAVFGFKAVREMPLVRSTVYEMLRMQPPVPLQFGRARKDFVLRSHGGAAFQVAKGEVLCGYQPLAMRDPEVFDRPEEFVPERFLGDEGQALLQHLFWSNGPETTQPAAGNKQCAAKEVVVDTACMLVAELFRRYDDFEVEGTSFTKLVKRQPAPSLSPAAAGAK >PVH66860 pep chromosome:PHallii_v3.1:1:53860137:53865945:1 gene:PAHAL_1G370300 transcript:PVH66860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALQQQQHAGSASGSASASSSSSGLHPLASPSSFADTTHTKVFVGGLAWETNSDRLRRFYERFGDILEAVVITDRHSGRSKGYGFVTFREPESARKACEDSTPVIDGRRANCNLASLGRAQHPVPLGRPRSAGSYFGVSVPRGFYLGGYGQHRPLPLGYYQGFPVPQYSYTSYGAEYIYPQGTLNPYAGQQYLPIYGVSAAANATNQPFSQLSPSISGGGNGYLSVQGYNMPGNQYVQLTGSNFSNASPTARPSIQTPLLVAAPIPAHPHLIIPAHTSQFTQASVSDQRAS >PVH66861 pep chromosome:PHallii_v3.1:1:53860137:53865945:1 gene:PAHAL_1G370300 transcript:PVH66861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALQQQQHAGSASGSASASSSSSGLHPLASPSSFADTTHTKVFVGGLAWETNSDRLRRFYERFGDILEAVVITDRHSGRSKGYGFVTFREPESARKACEDSTPVIDGRRANCNLASLGRAQHPVPLGRPRSAGSYFGVSVPRGFYLGGYGQHRPLPLGYYQGFPVPQYSYTSYGAEYIYPQGTLNPYAGQQYLPIYGVSAAANATNQPFSQLSPSISGGGNGYLSVQGYNMPGNQYVQLTGSNFSNASPTARPSIQTPLLVAAPIPAHPHLIIPAHTSQFTQASVSDQRAS >PAN05307 pep chromosome:PHallii_v3.1:1:11066706:11068196:1 gene:PAHAL_1G130600 transcript:PAN05307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEIPDRVPPTFRFRPTQRELVEFYLLPRARGQDPFPGVIIEDDAAGSSLPWDLFERHGLGSEDEAYFLARAGEAWKPGARQDRGCDGGVGAWKMQSSVDKGLRVGGERILCRRSNLSLHMGKGKSGGSVGWVMHEYTVAAPPCPSPVKICHIAFTGHGRKRKRVPDGQEDCLGEHTSRRARVDAAAAGGCSSGEMLDPDSGAVVHASADEERSQLVLTDDDIFPQSPLLGSSDFLGIPSAASTNAEQYQELEQQVPSTEEEEQVMMPQLMVQQSGMAEQLSAGELEFWSSIGVDVQSSNCAEQEFWSSNGVDSNSVVPGIGDMAGDQQDQQDFWGSFTADVQSNCTVPDMAAGAFGGDPWGGYCITC >PAN06568 pep chromosome:PHallii_v3.1:1:47414938:47418173:-1 gene:PAHAL_1G272900 transcript:PAN06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMRGALERAKMLVGMEVDEESGLPPPEEQSFFDDINRSCTLNTTQRLYGFAICLAAGLTCTFLSMIVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATAIYIASIIIALFCALYVHSKLLTLLAIILEFGALVWYSLSYIPFARSIVSKVMTSCFDTDF >PAN07674 pep chromosome:PHallii_v3.1:1:52655868:52656956:1 gene:PAHAL_1G353300 transcript:PAN07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAGSSKPETSSTNETDPQSSPAKHWHWWLMVVLNIFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALYLFRSKSTQTATASPETSGTKITLIYIALGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTALIFNSVVLLTFSAALLGVDEDSQGTNGLSHGKYILGFVLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATIASLIGLFASGEWKTLEGEMHAFGSGKLSYVMTLLWTAISWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFISYGYQLYVNDKKARKTSVSVEESS >PAN07664 pep chromosome:PHallii_v3.1:1:52654480:52659044:1 gene:PAHAL_1G353300 transcript:PAN07664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGSSGGAGGGRGSTEDEVQIQIAGSSKPETSSTNETDPQSSPAKHWHWWLMVVLNIFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALYLFRSKSTQTATASPETSGTKITLIYIALGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTALIFNSVVLLTFSAALLGVDEDSQGTNGLSHGKYILGFVLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATIASLIGLFASGEWKTLEGEMHAFGSGKLSYVMTLLWTAISWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFISYGYQLYVNDKKARKTSVSVEESS >PAN07662 pep chromosome:PHallii_v3.1:1:52654480:52659044:1 gene:PAHAL_1G353300 transcript:PAN07662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLNIFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALYLFRSKSTQTATASPETSGTKITLIYIALGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTALIFNSVVLLTFSAALLGVDEDSQGTNGLSHGKYILGFVLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATIASLIGLFASGEWKTLEGEMHAFGSGKLSYVMTLLWTAISWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFISYGYQLYVNDKKARKTSVSVEESS >PVH66982 pep chromosome:PHallii_v3.1:1:55733429:55734928:1 gene:PAHAL_1G399600 transcript:PVH66982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPREIRAAASRGRRSPASDRNQRGVRISSPGRRARRSRRSRGSNAPQIFRPFAWPGIARARFPQSSCSSLPYHPGLNLGGVFDSGRHRAGAVEQPSKINSIDASDGQRNATRWPVRQHVAIASANCMPWRINVPNTHSRP >PAN04762 pep chromosome:PHallii_v3.1:1:6283436:6285598:-1 gene:PAHAL_1G089400 transcript:PAN04762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGLPELALRLCVVPLAVASLWEMATNRQADDTYGEVRFSDLSGFTYLVGINAVTAAYAVASILLSPFKSFAQYDWLVLVLDQASAYLLVTSASAAAEVLQLARHGDRDVSWGEVCSYFGRFCGRATVSLALHAAALACFVALSLVSAYRVFSRCHPPGVVSAADGSEPEPKHAQEQGK >PAN07026 pep chromosome:PHallii_v3.1:1:49390726:49393020:1 gene:PAHAL_1G304400 transcript:PAN07026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAPPKKADSKAQALKVAKAIKSGSTKRKAKKIRTSVTFHRPKTFKKPRDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >PAN07005 pep chromosome:PHallii_v3.1:1:49390726:49393020:1 gene:PAHAL_1G304400 transcript:PAN07005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAPPKKADSKAQALKVAKAIKSGSTKRKAKKIRTSVTFHRPKTFKKPRDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >PAN07531 pep chromosome:PHallii_v3.1:1:51961921:51963936:1 gene:PAHAL_1G343300 transcript:PAN07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGWSDLPSELLTDIAGGITELADIARFRSVCSSWRSAAGHAAAAPPPQPPWLLLPSSPSRLFFCPREDRIYPDLRLPRPAAAASHRRRRRLYASPHGWTLAVDPTDLAASLVHPFTGATRPLPPLPAFFAETDDLAWDWSPHGVMASCGEGLLFCAADPPAASWAPIPALADCNASSINYAAGEFFVFEEDVCRTTVVDAVTLDVAGVIPAPAVELPSEARLVVAGDELFLLVKSKWMYLFGDDVDFSKAFHVNHRSVDPAWQELDGIGDRALFVDSLHGFAVPTAGFGNLESNTIYSVSSKEVSNRRPTTVNYSVSAFSLESRSSKKLACRLNGREMAMRGEMPSWIIPSLVEG >PAN07530 pep chromosome:PHallii_v3.1:1:51961920:51963937:1 gene:PAHAL_1G343300 transcript:PAN07530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGWSDLPSELLTDIAGGITELADIARFRSVCSSWRSAAGHAAAAPPPQPPWLLLPSSPSRLFFCPREDRIYPDLRLPRPAAAASHRRRRRLYASPHGWTLAVDPTDLAASLVHPFTGATRPLPPLPAFFAETDDLAWDWSPHGVMASCGEGLLFCAADPPAASWAPIPALADCNASSINYAAGEFFVFEEDVCRTTVVDAVTLDVAGVIPAPAVELPSEARLVVAGDELFLLVKSKWMYLFGDDVDFSKAFHVNHRSVDPAWQELDGIGDRALFVDSLHGFAVPTAGFGNLESNTIYSVSSKEVSNRRPTTVNYSVSAFSLESRSSKKLACRLNGREMAMRGEMPSWIIPSLVEG >PAN05441 pep chromosome:PHallii_v3.1:1:28839666:28849729:1 gene:PAHAL_1G192400 transcript:PAN05441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALASRVESWMREQASRLPPWAAALPQAPRWPWPPPRPAWPWPGDRRRQRERMFREEFERRRRQLCELCRAVRVDTLAELQELLCAMVLAECVYKRPVSEMMRYINKFKSDFGGTIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTKEYKDIIADVNILQGTIFHEETAQDSTLDVDSGQNDAQKGEENLGKSQREASKKLRKSKPAAHRGFMARAKGIPALELYNLAQKRNRKLVLCGHSLGGAVAALATLAILRVIASSPTKEDSRLSVKCITFSQPPVGNAALRDYVHKRGWQGYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASSINITDVKSEENTETSAERLKGNNGEQLVLGVGPVKKSLWRLSKLVPLEGVRKSLSVIQKQTNVFGKAPSQLDSYLQSKVDESEEEQQSLEIQEGSQGIALTPLSDKDGGHDEDNNRTEKINASETGGSKRWTRVPTLPSYVPFGELYLLGDLSVNTLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQKIYDSCMCANAPIFTGIEQLPQFSHLQELIGLAAADSVELGHIVDPPVIRTATSILPLGWNGLPGGKNAEPLKVDIIGHGLHLCTLFQAQINGNWYSTVVETLPSATSYSPNEEMQPTLQKMRILVGHPLKQPPNYTSEDFMVPVITGADSNPEFGFESLFEDKDCCKGLSGFLIYGTNDFVTVCKEVYVRTRRVRLLGLEGAGKTSLLKAMLGQVKERNNAVLECIHVDLHGKGISSGLCYIDSTTVNLQELPLEVRRFKEELLLGVHDLSRRTDLVIAVHNLAHRIPQYQQSNTSQPKPALSLLLHEAKALGIPWILAITNKFSVSAHEQNSLISSAIEAYQASPEMTKIVNSTPFLMPSARNSLLPIGSSAVNLGNKDPANRSAYLPVNFALSPFQRKDIVMHVEGVTALRQLVHQVVQNNEEPAFEELARERLLLDLAREKAASLQAKQRPSKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >PAN05440 pep chromosome:PHallii_v3.1:1:28840281:28849729:1 gene:PAHAL_1G192400 transcript:PAN05440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPVSEMMRYINKFKSDFGGTIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTKEYKDIIADVNILQGTIFHEETAQDSTLDVDSGQNDAQKGEENLGKSQREASKKLRKSKPAAHRGFMARAKGIPALELYNLAQKRNRKLVLCGHSLGGAVAALATLAILRVIASSPTKEDSRLSVKCITFSQPPVGNAALRDYVHKRGWQGYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASSINITDVKSEENTETSAERLKGNNGEQLVLGVGPVKKSLWRLSKLVPLEGVRKSLSVIQKQTNVFGKAPSQLDSYLQSKVDESEEEQQSLEIQEGSQGIALTPLSDKDGGHDEDNNRTEKINASETGGSKRWTRVPTLPSYVPFGELYLLGDLSVNTLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQKIYDSCMCANAPIFTGIEQLPQFSHLQELIGLAAADSVELGHIVDPPVIRTATSILPLGWNGLPGGKNAEPLKVDIIGHGLHLCTLFQAQINGNWYSTVVETLPSATSYSPNEEMQPTLQKMRILVGHPLKQPPNYTSEDFMVPVITGADSNPEFGFESLFEDKDCCKGLSGFLIYGTNDFVTVCKEVYVRTRRVRLLGLEGAGKTSLLKAMLGQVKERNNAVLECIHVDLHGKGISSGLCYIDSTTVNLQELPLEVRRFKEELLLGVHDLSRRTDLVIAVHNLAHRIPQYQQSNTSQPKPALSLLLHEAKALGIPWILAITNKFSVSAHEQNSLISSAIEAYQASPEMTKIVNSTPFLMPSARNSLLPIGSSAVNLGNKDPANRSAYLPVNFALSPFQRKDIVMHVEGVTALRQLVHQVVQNNEEPAFEELARERLLLDLAREKAASLQAKQRPSKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >PAN07083 pep chromosome:PHallii_v3.1:1:49871390:49873304:1 gene:PAHAL_1G309600 transcript:PAN07083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVAVAVAVAATAGLLLGRVAHAEIKTTSIVSDPRLIILFEQFGFVSGGRATVSISRAAWQLRRGSRLKSVDPNLMGFVLVSGAQFPKVNNQTEYAAADPPGGGGGGFCVLTSDYALPVLRLNDVAPGGASTTVTIDDPDEYAVVFSNCQDGVEVTMDVRTEMYNVRRDASGGIRDYLPVGLQPLPAIYAGVSAVYLAFLAGWVWTCCRQRATAERIHAVMGALLLFKALKTACAAEDAWFVERTGTPHGWDVAFYVFGFFKGILLFTVIVLVGTGWSFLKPYLQEREKSVLMVVIPLQVIENLVLVVIGETGPTGKDWIVWNQVFLLIDVICCCAVFFPIIWSIRGLREASKTDGKAARNLRKLTLFKRFYLVVVGYLYFTRIIVSAFLAVLNYKYQWGVNVAVEGASFAFYLFVFYNFKPVEKNPYLYIADEEEEAAGGELEMDDGAF >PAN04239 pep chromosome:PHallii_v3.1:1:3482404:3484606:-1 gene:PAHAL_1G051400 transcript:PAN04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGGEGKSRKRRSSPSSGEEEGRERRRRDKKDSRRSSREDREEDDDRHKKRKKSKHSDREKAKERDSKERHSKEKEKSKRKDKDAVFKEISKDDYFAKNNEFATWLKEEKGKYFSDLSSESARDLFLKFVKQWNKGKLPSQYYEGITSGPRSAHNWNIKA >PVH66203 pep chromosome:PHallii_v3.1:1:24858384:24860177:1 gene:PAHAL_1G177000 transcript:PVH66203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIYWDAEGYAHTACLHWEGFPKILWDTLRIFHYRYPPQYKGREFTGVGVPRCHATVTVPQHPILGWQSLEIEVVGYHLVDAFEPAALKAITTFCEQHPEEVTAYPIGLFPAVFAHDAEWNYRANHFEHLVGSLAEETLKTVIRYMNAQYRFQSLKQQCMDDVVNLAQDFHRSLTLKDDQIHSLGQGIAGRDTPIGHLEVQILEVHNLNQELGDALGHIEMLQEQQMPPLVPNELEEEEDSEEEPEEIEGVLEIDSEHGDPEPNPQLNHSSSGSHSSSGSQSSVGNLNEF >PAN05962 pep chromosome:PHallii_v3.1:1:27195549:27196328:-1 gene:PAHAL_1G186500 transcript:PAN05962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVLVCDEPERVVATYQAPGRCPYCGGGVVAADVESAPRLCYVPLCFRIRLRFYCSLCSRRLVSVA >PAN08713 pep chromosome:PHallii_v3.1:1:58053921:58057063:1 gene:PAHAL_1G432000 transcript:PAN08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSDPTAAVIPKPDGGEDDESVEIREVWADNLEEEFALIRDIVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSGPRGELPALGAGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNAERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCNSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLRDSFFAGSTEKYAGVLYGLNAENGVSAH >PAN09125 pep chromosome:PHallii_v3.1:1:59750688:59750930:1 gene:PAHAL_1G460500 transcript:PAN09125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTTCRRGHSSPTLRLPPWVLQERGKEGRRAPACCGSRRVPLGRAPTPAKLPAASWPPCLPRHHGRGEKGENGGWPTYQ >PVH66439 pep chromosome:PHallii_v3.1:1:44877607:44884113:1 gene:PAHAL_1G246500 transcript:PVH66439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEIDENKKITEPNGGAIEGLGLLNCTLNGEHPYGEHPSRTLFVRNINSNVEDSELKLLFERYGDINNLYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDINQGMLVVFNVDPSVTIDDIHQIFSDYGEIKEIRDAPQKGHHKIIEFYDVRAAEAAVRALNRSDLAGKKIKLETSRLGGTRRLMQHTSPELGQEEFGVCKLGSPSTNSPPMPSLAMTSSGRENGSIHGLHSGLLTSMSPFREASFPGLSSTIPQSLSSPIGISSAATHSNQASLAELSHSLGRMNGQMNYGFQGMGALHPHSLPEVHNGATNGVPYNINTMAPGGINSNSRTAEAVDSRHLHKVGSGNLNGHSFDRAGEGALGFSRSGSGPLRGHQLMWNNSNNFHRHPNSPVLWQNPGSYVNNVPSRPPAQMHGVPRAPSHMLESVVPMHHHHVGSAPAINPSLWDRRHGYAGELTEASSFHPGSVGSMGFPGSPQLHGLELNSIFSHTAGNRMDPTVSPAQIGAPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSGANQSDNKRQYELDVDRIMRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRTSSGEENNHDVQTALTNGDTSSNGVDSSGPSKDAE >PAN06205 pep chromosome:PHallii_v3.1:1:44876069:44884113:1 gene:PAHAL_1G246500 transcript:PAN06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQIMDQRRHLSQFSNPTMAASSFSEELRLPTERQVGFWKPESLPHHIGSKSVASSPIEKPQPIGTKTVGRVDLQAYKLREQKAAFSLEHKIFGQERHVNLPPSLWRADQDPNRQSDSSLFLDGRRTNPNEAYNENGLFSSSLSEIFDKKLRLGSKNVLVRQPVEKVDPTHVDDEPFELTEEIEAQIIGNILPDDDDLLSGVIDEVGYTAHANNGDDVDDDIFYTGGGMELEIDENKKITEPNGGAIEGLGLLNCTLNGEHPYGEHPSRTLFVRNINSNVEDSELKLLFERYGDINNLYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDINQGMLVVFNVDPSVTIDDIHQIFSDYGEIKEIRDAPQKGHHKIIEFYDVRAAEAAVRALNRSDLAGKKIKLETSRLGGTRRLMQHTSPELGQEEFGVCKLGSPSTNSPPMPSLAMTSSGRENGSIHGLHSGLLTSMSPFREASFPGLSSTIPQSLSSPIGISSAATHSNQASLAELSHSLGRMNGQMNYGFQGMGALHPHSLPEVHNGATNGVPYNINTMAPGGINSNSRTAEAVDSRHLHKVGSGNLNGHSFDRAGEGALGFSRSGSGPLRGHQLMWNNSNNFHRHPNSPVLWQNPGSYVNNVPSRPPAQMHGVPRAPSHMLESVVPMHHHHVGSAPAINPSLWDRRHGYAGELTEASSFHPGSVGSMGFPGSPQLHGLELNSIFSHTAGNRMDPTVSPAQIGAPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSGANQSDNKRQYELDVDRIMRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRTSSGEENNHDVQTALTNGDTSSNGVDSSGPSKDAE >PAN04362 pep chromosome:PHallii_v3.1:1:4247327:4250959:1 gene:PAHAL_1G062100 transcript:PAN04362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVCVTGAGGFIGSWIVKLLLDRGYAVRGTSRRADDPKNAHLWALDGAAERLTMLQVDLLDRASLRAAFDGCDGVIHTASPMHDNPEEIIEPIIAGTRNVVDAAADASVRRLVISSTIGTMYMNPRRDPDAPLDEWTWSDLEYCKKTANWYCYAKTIAEQSAWRAARARGLDLAVVIPVVVLGELMQPSMNTSTLHILKYLTGQAKEYVNESHAYVHVKDAAEAHVRVLEAPGAGGHRYVCAERTLHRGELCRILAELFPEYPIPTRCKDEVNPPKKGYKFTNQPLKDLGIRFRPVHEYLYEAVKSLKEKGFLPKASVTEVTESSSSPPQKLPLTALISKL >PAN08997 pep chromosome:PHallii_v3.1:1:59249508:59251825:1 gene:PAHAL_1G450500 transcript:PAN08997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPKPNRMCKSKSAIATTASTTAAAASTPRNHRSPRSTATSSYPAAYSYSTSSSTATSSAASLAALRDSLPELPLLFTFHDLAAATANFSSKHRLLRAAPSSSNSFRCSLRGHPAAVFRRPLRRDAREVTARLAVLGHCHHAAIARLLGAAASPDRTTLFLAYELVPDAAPLSALLRNPKNPSFTPLATWHSRLQLAADVCDALYYVHLQADTIHNRLSASSVLVCGDGPLPRAKIAHFGAADLAGELPVEQKDDTEESRGSSGGHRRRSSRGRRIEGTRGYMAPELVSGGPPSRRSDVFALGVLLLELVSGQEPVRYEMGNRGTGEYERTSLIETAEAAAAEGGGEGMRRWVDRRLRDSFPVDAAESLTALALRCVAKDPLARPDMSWVAAKVSKLFLEAQEWAAKFRVPTDISISIAPR >PAN06085 pep chromosome:PHallii_v3.1:1:32874169:32880430:1 gene:PAHAL_1G204600 transcript:PAN06085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGAKATAAKSADKDKGKKAGGPVSRSSRAAPQEKSAPKKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFIKNHPEVREILGPDKDLEVEDIVNTLLTKNLVIRCDRVMKTVRPGKKKLSSWPAHLEIHNEQVFTENDGFFAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRAAIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYALVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLAISGMIEKHTGANMTEASNYTSTGGSSHAHPSAEGKATEANPDTDADGDTQADSDEIQDSGYADDTRTRSNEA >PAN09015 pep chromosome:PHallii_v3.1:1:59330880:59333028:1 gene:PAHAL_1G452200 transcript:PAN09015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMEAPLLVKRPRSDDGGDAAAPRTYAEVREAFLREAERLWVIAAPITLNILCLYGVNSATQIFAGRLGNLQLSAAALGLSVVSNFSFGFLLGMASALETLCGQAYGAGQVAMLGVYMQRSCLVLAASALLLSPLYAFAGPLLRALGQDAAIADAAGDFTLRILPQMFSLAIAFPTQKFLQAQAKVAALAWISLAALAAHVAMLALFVGVLGWGLRGAAAAYDITSWAIAVAQLLYVTRCCRGHGWEGLSWKAFHLRGLWAFAKLSLASAVMLCLEVWYMMVLVVLTGRLDDAEIAVGSVSICMNLNGWEAMLFIGLNAAISVRVSNELGSGRPRAAKHAVASVIAQSLAMGLVAMAVVLAYRNSFAVLFTGDRAMQAAVGKVAYLLAVTMVLNSVQPVISGVAIGGGWQALVAYINLGCYYALGLPLGFCLGCLLRLGAEGMWAGMLCGTALQTLILLAVIWNTDWEAEAAQANERISAWGGGECGSEQLQHQQGENAGDLKEAFRV >PAN08042 pep chromosome:PHallii_v3.1:1:54579000:54580309:1 gene:PAHAL_1G382400 transcript:PAN08042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDLGGASAAGMDEAEAAFFARRGRRCCCFPWPASSAASHQRVGAAAGLAEEESWWQRAADAVLKVREWSELVAGPRWKTFIRRFGRTGPPTRPHHHFGGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFVGPPASAKSSMDLGGRDAPPLFNPPPQPPHDGAGRA >PVH67236 pep chromosome:PHallii_v3.1:1:59637091:59638229:-1 gene:PAHAL_1G457900 transcript:PVH67236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQRRCPSASPHCAQAKPSNVTASMISLSTPSPEKPPIRNLILDGHGKKQYCIFTELIKKNIYTRAKSSTPTGKNQVGETKEKKRSFPLGGLVRSNRPGQKQPTHVDMITSHKHHIKITTNATKWPI >PAN08629 pep chromosome:PHallii_v3.1:1:57692100:57693201:1 gene:PAHAL_1G425900 transcript:PAN08629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRFVNLMVDRRIGRYRHPATTLHRINPWRCFHPTTQQALAAALTENSNGVTVEDARHILLRSPPGGNHRRDALRLPRQQQSNGVISMDPDGNTFLYDGASRGLRVMPALHSPKHSSVFLTVGDGLYILEKNPGTEEDHSFEALIHRAPSDGICFTNENWYWRSLPPPPYAYEDYECQKRNGRDPYVIRAYTVVGDSQSQIWISTRDGGTSFDTTSGVWSEAGDWALPFYGRVEYAPELGLWFGFTSEGRQFAACDLGAASPTSPPALRKVWDELAQPLLLPLGGGKFCVARMFHLAQKGWCRGKSIDDYLDVDTFVVLTGVEVVRGSRGALRMIRHKSRPYCVGCTTAQLL >PAN08776 pep chromosome:PHallii_v3.1:1:58267582:58271613:-1 gene:PAHAL_1G435600 transcript:PAN08776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAPAPAAMTVDDAEDDQLASMSTEDIIRASRLLDNEIRVHKDELQRTNLELESVKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >PAN07142 pep chromosome:PHallii_v3.1:1:50144514:50147030:1 gene:PAHAL_1G314000 transcript:PAN07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECENGRVANGDSLCMAKPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVANGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPGEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGTKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTSATGGLHAARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGTAEFNAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAAIPNRIAECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >PAN04541 pep chromosome:PHallii_v3.1:1:5052081:5056640:-1 gene:PAHAL_1G073800 transcript:PAN04541 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MIIENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVVGGTESSIDALSIAGFSRLRALSTKYNSSPCSASRPFDCGRDGFVIGEGCGVMVLEALNHAKERGAKIYAEIRGYGMSGDAHHITQPQHDGRGAILAMKRALDQSGLNASEIDYVNAHATSTPLGDAVEANAIKTIFRDYAASGDLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGVAPPTLNLEQPDPLFEGAFTPLSAARKMPIRAAISNSFGFGGTNTSLLFSSPP >PAN04542 pep chromosome:PHallii_v3.1:1:5052081:5056639:-1 gene:PAHAL_1G073800 transcript:PAN04542 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MSCLRRTRHTPLFRLRRGFSGSSAAMGVGAEALPPPRPSAGRRVVVTGLGAVTPLGRGVGATWDRLVAGRCAVRSLAAEDLRLPAETAGRTLEQLPSRVVAAVPRGKGKDEFDEEAWTKEKSISGFISYALCAADEALRDANWLPSEDDKKERTGVSIGGGIGSISDILDASQMIIENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVVGGTESSIDALSIAGFSRLRALSTKYNSSPCSASRPFDCGRDGFVIGEGCGVMVLEALNHAKERGAKIYAEIRGYGMSGDAHHITQPQHDGRGAILAMKRALDQSGLNASEIDYVNAHATSTPLGDAVEANAIKTIFRDYAASGDLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGVAPPTLNLEQPDPLFEGAFTPLSAARKMPIRAAISNSFGFGGTNTSLLFSSPP >PAN04540 pep chromosome:PHallii_v3.1:1:5052081:5056640:-1 gene:PAHAL_1G073800 transcript:PAN04540 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MSCLRRTRHTPLFRLRRGFSGSSAAMGVGAEALPPPRPSAGRRVVVTGLGAVTPLGRGVGATWDRLVAGRCAVRSLAAEDLRLPAETAGRTLEQLPSRVVAAVPRGKGKDEFDEEAWTKEKSISGFISYALCAADEALRDANWLPSEDDKKERTGVSIGGGIGSISDILDASQMIIENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVVGGTESSIDALSIAGFSRLRALSTKYNSSPCSASRPFDCGRDGFVIGEGCGVMVLEALNHAKERGAKIYAEIRGYGMSGDAHHITQPQHDGRGAILAMKRALDQSGLNASEIDYVNAHATSTPLGDAVEANAIKTIFRDYAASGDLALSSTKLGQWKRSSPC >PVH66576 pep chromosome:PHallii_v3.1:1:48232290:48235581:-1 gene:PAHAL_1G285500 transcript:PVH66576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPPLPGHVLRPAGGSPVRGRSAFAALVSPPRKAAADDGDEDPDGHGPPGSRYYLRHLRAVEEPPARDPRDEGTADAWVERSPSLLRLTGRHPFNAEPPLPLLTGHGFVTPGPLHYVRNHGAVPRGDWATWTVEVTGLVRRPARLTMEELAGSFRVLELPVTLACSSGRRKEQNMARQTLGFNWGPGAVSTSVWRGAPLRDVLRRCGGVERGARYVCFEGADDLPGGGGGGGCSYGTSIALKRAMDPTMDVMLAYMQNGGPLLPDHGFPVRLIVPGCTAGRMVKWLRRIVITTAESDNYYHYRDNRFLPSHVDVKLADAEGWWYKPEYVINEMNVNSVITTPGHGDILPINAATTQSAYTVRGFAYSGAGKKVTRVDVTLDGGETWLLCALDHPEKPTKFGKCWCWCFWSVDVEVADLLASKEIAVRAWDQSLNTQPENLTWNLMGMMTNCWFRVRISLCRPRKGEIGMVFDHPVQPGNQPGGWMARQKHLELAEAAAAAAAPGIHWTTSAVTLADTTASNAASKFITMSEVRGHASRDSAWIVVHGHVYDCTAYLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLGAYRVGELVAAGDGGDSPDTPIKDQEAAVIRSPPPAPVALSNPRKKVPCRLVGRKELSRDVRLLRFALPASDQVLGLPVGKHLFVCANIDGKLCMRAYTPTSSVDEVGHFDLLVRVYFKDEHPEFPGGGRMTQFLDSLPVGSYVDVKGPLGRVEYLGRGGFAINGGEPRRASRLAMVAGGSGITPIYQVIRAVLRGQPEDETVMHLVYANRTEDDILLRSELDRWAAEFPGRLKVWYVIGRVRRPEEGWEYSVGVVTEDILREHLPEGGDGALALACGPPPMIQFAVSPNLEKMGYDLSNSVIVF >PVH65571 pep chromosome:PHallii_v3.1:1:1864153:1872397:1 gene:PAHAL_1G026200 transcript:PVH65571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVLFIMQLASHGTQAEETMQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PVH65567 pep chromosome:PHallii_v3.1:1:1864489:1873342:1 gene:PAHAL_1G026200 transcript:PVH65567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVLFIMQLASHGTQAEETMQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PVH65566 pep chromosome:PHallii_v3.1:1:1864151:1872696:1 gene:PAHAL_1G026200 transcript:PVH65566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PVH65570 pep chromosome:PHallii_v3.1:1:1864152:1872696:1 gene:PAHAL_1G026200 transcript:PVH65570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVLFIMQLASHGTQAEETMQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PVH65569 pep chromosome:PHallii_v3.1:1:1864153:1872397:1 gene:PAHAL_1G026200 transcript:PVH65569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PVH65568 pep chromosome:PHallii_v3.1:1:1864152:1872696:1 gene:PAHAL_1G026200 transcript:PVH65568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVLFIMQLASHGTQAEETMQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PAN03871 pep chromosome:PHallii_v3.1:1:1864815:1873342:1 gene:PAHAL_1G026200 transcript:PAN03871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVLFIMQLASHGTQAEETMQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PVH65565 pep chromosome:PHallii_v3.1:1:1864153:1872397:1 gene:PAHAL_1G026200 transcript:PVH65565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVLFIMQLASHGTQAEETMQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PVH65564 pep chromosome:PHallii_v3.1:1:1864174:1872696:1 gene:PAHAL_1G026200 transcript:PVH65564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVPHCDHTEVSDSHPISSQQKTVERSTERLASCEIKPVSVDGDNENIEVNEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQLPDLDPSHVTELKVLGLY >PVH65650 pep chromosome:PHallii_v3.1:1:3177866:3201447:-1 gene:PAHAL_1G046700 transcript:PVH65650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLLRKSAPASPGGGAGAGSGGGERGGTGSPSADRVAAPPSPRVRFSDSGEEGVLNALWQKYENAIDKAEKKKSLQIFAMHFAKAFKDWEPGHIKQTIDQESLSDDTVLGCSTGHPSEVILILIQEISQITSSITESSSCPESSTNISELLGDLGLNTEGLTVLECLTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQPSNKTVENMRTMQKVLVYIVTIISNFMDLEPITTRISQFLKSSRHTLSSDYLSIVTPNTSKNLVSDKNWQKKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLSLHFITLYSLRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSISKQSFVPSDERRGILWLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQEFHQQKSLDPQACLKLDKESTGPSPTLESFSNPVDILDTSEWNEYSVKLSIALCSFLLPPKEIKYCPAPTDVSQISLSISLAYWEQCVRWIIKVLSTVFPCIKACAGETELPYHIRILANTLQHYMLCTFRKVLISAPALLKSFREEGLWDLIFSEKFFYFGSSVDYMNQIIQEAWNDQLIDAPKSTDSESLNEIDVNILQAEAISFLEFAATLNENSNNLPECSALVGALEHCTYDPGLAGAIVKSFHVILQLATEQTLSSFKSIDVLTRVLKVACLQAQELRKLSHLQDDLNEDGSRSRNVPTTPSDEKIKNARTFVELAFNLFKDYATVSEIGRIAILHNANCIEYLFDLFQEEYLRKHIVEQVLALFRLPPSSAQDHAAKMHLCSKYLETFNRVKENEKGFAELSIDLLINMRDIIMIDRVYYQNLFRYGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTALLAENDESKAAFRLLVGAGYQTLQSLLLDFCKWIPSPKLLDALLDMLVDGAFDINEKTTIKNEDVIMLLLNVLQKSSTSLQHYGLMVLQQLLKGSITNRTSCFRAGLLSFLLDWFSVEEGDDIVVKIAELIQIIGGHSICGKDIRKIFALLRGEEIIAKQKHSSLLLTSVSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVESFPEKGMMGLFSFFTENGKGCLAMLGKNTLIYESVSPKHQCVLLPLSLPMKQWKFLCVTHTIGRTFSGGSQLRCYVDGDLVSSEKCRYAKVNEVMTRCSVGTELMPIGEEPSSLGFESTFAFTGQMGPVYAFSDALSPEQIRGIYNLGPSYMYSFLGDQNLLTNDDSLYKGILDARDGVSSKMIFGLNAQASNNRALFNVSSVLDGLDKSKFEATTMGGTKLCARRLLQEIIYCVGGVSVFFPLLIHFDDAAVQNGESVAHDELAGQVIELVASVLDGNIANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSASKYMFTVLKNSGMSEILLKDALSQFYLNPHIWAYATYEVQREHYLFLIQYFEADGKFLPILCGLPRIIDVVRQFYSEKVDSRSSKPLLVSKKVIGERPSMEQIRKIRLLLLSLAEMSLKLKVSQHDIRALVSFLERSQDVACVEDILHMIIRALSHNSLLPSFLEQVNSLGGCYIFINLLKREFEPIRLLGLQLLGKLLVGVPSEKRGPKFFGLPVGRGKGTTTAPQLFFYSISERLFKFPLSDHLCASLFDVLLGGASPKQVLQKRAQSDALKDKSSTSASLAPFFVPQILVCIFKYIQSCQDASARTKILSDLLDLLDSNPSNVESLMEYGWSSWLETSVKLDVFRNYKSNSVAKGNDLETNELILVRNMYSLVLSYCIFSVKGGWHQLEDTTNFLLLKIEQGQLPNSYLLRDIFEDLIGSLLETSSEENVFNSQPCRDNILYLLNLSHELFVDQIGIKLLFPSPDMSAQLSSDDSVKEDINLAVLEIINIESNDLHTSLPWSNTFFVNGELLTDDWWSFFDKIWTLLCYLNDKGQTRLTPKSSNAAGPSIGQRARGLVESLNIPAAEMAAVVVTGGISSALGGKTNKIADKAMMLRGERFPRIMFHLIIMYLCKAGLENASKCVQQFISLLPSLISEDDQCKNRLHFLIWSLVRVRSQYGELDDGARFQVMSHLILETVIYGKPMLATSASGRDDSTEANVNKEAGFILSHVQKDRVLAAATDEVKYMRDAKFDRMKLLQELHSKLDERSIQDVEQLQSFEDDIQFAKTAAISADDSRKAAFQLAFEEDQQIVADKWIHILRALSDERGPWSAAPFPNKIVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPSSTKSSNENVVPSVDPSVSTKIPEKLKHLLLKGVWGITGDINSESCEGNNDTSDSPQTTPPEHHPVSDTVDSADCSDYHAIVQNRKESSSTSGDNDYIEVLSSVHCVLVTPKRKLAGQLTITRNALHFSFEFLVEGTGGSSVFNRFQDKKDSDSKNETGGLEKPKSNLDGGRGNAAESSDTQIKSQSNKIKHHRRWKITRIKAVHWTRYLLQYTATEIFFDDGNAPVFLNFASQNDAKSVGSLLVSLRNDALFPKGTSRDKNSLISFVDRKVALEMAESARESWRRREISNFEYLMILNTLAGRSYNDLTQYPTFPWILADYSSEKLDFNKSSTFRDLTKPVGALDAKRFKAFEDRYLNFVDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGIKQDGEPLGDVGLPPWAKGSPEEFIYINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAVNIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVLPTTITPSSVLFIGLLDSNIILVNEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKIGTSLAENVEFGRQCLAAVQIHGDNYLILGGNWENSFQIISLSDGKIVQSIRQHKDVVSCVAGYLISNNCKRGVYNLCTNVHMA >PAN04164 pep chromosome:PHallii_v3.1:1:3179108:3201094:-1 gene:PAHAL_1G046700 transcript:PAN04164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLLRKSAPASPGGGAGAGSGGGERGGTGSPSADRVAAPPSPRVRFSDSGEEGVLNALWQKYENAIDKAEKKKSLQIFAMHFAKAFKDWEPGHIKQTIDQESLSDDTVLGCSTGHPSEVILILIQEISQITSSITESSSCPESSTNISELLGDLGLNTEGLTVLECLTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQPSNKTVENMRTMQKVLVYIVTIISNFMDLEPITTRISQFLKSSRHTLSSDYLSIVTPNTSKNLVSDKNWQKKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLSLHFITLYSLRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSISKQSFVPSDERRGILWLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQEFHQQKSLDPQACLKLDKESTGPSPTLESFSNPVDILDTSEWNEYSVKLSIALCSFLLPPKEIKYCPAPTDVSQISLSISLAYWEQCVRWIIKVLSTVFPCIKACAGETELPYHIRILANTLQHYMLCTFRKVLISAPALLKSFREEGLWDLIFSEKFFYFGSSVDYMNQIIQEAWNDQLIDAPKSTDSESLNEIDVNILQAEAISFLEFAATLNENSNNLPECSALVGALEHCTYDPGLAGAIVKSFHVILQLATEQTLSSFKSIDVLTRVLKVACLQAQELRKLSHLQDDLNEDGSRSRNVPTTPSDEKIKNARTFVELAFNLFKDYATVSEIGRIAILHNANCIEYLFDLFQEEYLRKHIVEQVLALFRLPPSSAQDHAAKMHLCSKYLETFNRVKENEKGFAELSIDLLINMRDIIMIDRVYYQNLFRYGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTALLAENDESKAAFRLLVGAGYQTLQSLLLDFCKWIPSPKLLDALLDMLVDGAFDINEKTTIKNEDVIMLLLNVLQKSSTSLQHYGLMVLQQLLKGSITNRTSCFRAGLLSFLLDWFSVEEGDDIVVKIAELIQIIGGHSICGKDIRKIFALLRGEEIIAKQKHSSLLLTSVSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVESFPEKGMMGLFSFFTENGKGCLAMLGKNTLIYESVSPKHQCVLLPLSLPMKQWKFLCVTHTIGRTFSGGSQLRCYVDGDLVSSEKCRYAKVNEVMTRCSVGTELMPIGEEPSSLGFESTFAFTGQMGPVYAFSDALSPEQIRGIYNLGPSYMYSFLGDQNLLTNDDSLYKGILDARDGVSSKMIFGLNAQASNNRALFNVSSVLDGLDKSKFEATTMGGTKLCARRLLQEIIYCVGGVSVFFPLLIHFDDAAVQNGESVAHDELAGQVIELVASVLDGNIANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSASKYMFTVLKNSGMSEILLKDALSQFYLNPHIWAYATYEVQREHYLFLIQYFEADGKFLPILCGLPRIIDVVRQFYSEKVDSRSSKPLLVSKKVIGERPSMEQIRKIRLLLLSLAEMSLKLKVSQHDIRALVSFLERSQDVACVEDILHMIIRALSHNSLLPSFLEQVNSLGGCYIFINLLKREFEPIRLLGLQLLGKLLVGVPSEKRGPKFFGLPVGRGKGTTTAPQLFFYSISERLFKFPLSDHLCASLFDVLLGGASPKQVLQKRAQSDALKDKSSTSASLAPFFVPQILVCIFKYIQSCQDASARTKILSDLLDLLDSNPSNVESLMEYGWSSWLETSVKLDVFRNYKSNSVAKGNDLETNELILVRNMYSLVLSYCIFSVKGGWHQLEDTTNFLLLKIEQGQLPNSYLLRDIFEDLIGSLLETSSEENVFNSQPCRDNILYLLNLSHELFVDQIGIKLLFPSPDMSAQLSSDDSVKEDINLAVLEIINIESNDLHTSLPWSNTFFVNGELLTDDWWSFFDKIWTLLCYLNDKGQTRLTPKSSNAAGPSIGQRARGLVESLNIPAAEMAAVVVTGGISSALGGKTNKIADKAMMLRGERFPRIMFHLIIMYLCKAGLENASKCVQQFISLLPSLISEDDQCKNRLHFLIWSLVRVRSQYGELDDGARFQVMSHLILETVIYGKPMLATSASGRDDSTEANVNKEAGFILSHVQKDRVLAAATDEVKYMRDAKFDRMKLLQELHSKLDERSIQDVEQLQSFEDDIQFAKTAAISADDSRKAAFQLAFEEDQQIVADKWIHILRALSDERGPWSAAPFPNKIVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPSSTKSSNENVVPSVDPSVSTKIPEKLKHLLLKGVWGITGDINSESCEGNNDTSDSPQTTPPEHHPVSDTVDSADCSDYHAIVQNRKESSSTSGDNDYIEVLSSVHCVLVTPKRKLAGQLTITRNALHFSFEFLVEGTGGSSVFNRFQDKKDSDSKNETGGLEKPKSNLDGGRGNAAESSDTQIKSQSNKIKHHRRWKITRIKAVHWTRYLLQYTATEIFFDDGNAPVFLNFASQNDAKSVGSLLVSLRNDALFPKGTSRDKNSLISFVDRKVALEMAESARESWRRREISNFEYLMILNTLAGRSYNDLTQYPTFPWILADYSSEKLDFNKSSTFRDLTKPVGALDAKRFKAFEDRYLNFVDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGIKQDGEPLGDVGLPPWAKGSPEEFIYINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAVNIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVLPTTITPSSVLFIGLLDSNIILVNEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKIGTSLAENVEFGRQCLAAVQIHGDNYLILGGNWENSFQIISLSDGKIVQSIRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWYAFRGRSNDKRSRNANYDLSTKDHVIIESPSHILCGHDDIITCLFVSTELDIVISGSKDGTCMFHTLREGTYVRSIRHPSGAGLSKLVTSQHGRLVIYSDSDLSLHMYSINGKHIASSESNSRLNCMELSCCGEFMVCAGDHGQIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFVAGTKDGSLIVFSIENPLLRKSTMQRHKAKPSIGG >PVH66257 pep chromosome:PHallii_v3.1:1:27480730:27482165:-1 gene:PAHAL_1G187200 transcript:PVH66257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVETQPFPSVNMVEGYDRSARRQLDFALGINMVGLAPRHRTKNEEADPCDRPQKGEKGYITEEQIRHVRNQQPASSDLLKKYEYQYQHRLQCESEEEEYEHRTGKGLKKREDTRDHWHCPFFKYCWDSGMSRLPTTKDCPECGSRKRDAEGVSVFRCLGPVVSQQEQIQPPRRRVDFEEEEDKYHRPRWCPDGLKRSQKRRVQRLRSLEEAEARYLETLRKVHPDLADKLSVAQLDLGPRPVIFEKPRVKNYKHLKALYLKGYINGQLVNKMLVDTGAAVNIIPYSVLRRLGRSAGDLIKTNVMLSDFNGQTSEAQIVLSVDLTVENKTVPTSFFIINSKSTYNVLLGRDWIYANCCIPSTIHQFLIQWDGDEVEVVHADDSIEISHAAMSIWDTENQEPILGISLEGYDRIEATKNRVRLVLSTGLTE >PAN04320 pep chromosome:PHallii_v3.1:1:3900010:3900847:1 gene:PAHAL_1G057500 transcript:PAN04320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHGSGRHNLVGPCGAGRGAPSRAVGVQLSGGCRPHQASVAGRGAPSRPVSPRSDATAGLFGRSGAAPRRRRLSLSAMGARAHRVGRVAVRRWPRQQAPSAAASGSTAHRTPRGAIIMLLLFPHFIGANSCATIKLHWSTFTT >PVH66499 pep chromosome:PHallii_v3.1:1:46798810:46803827:1 gene:PAHAL_1G264000 transcript:PVH66499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIRRVPKIDSESDAGEELIDFAGQVEFKDVQFCYPSRPESPVLVNFNLHVPAGSTVALVGGSGSGKSTVIALLERFYDPSAGEVALDGVSIRRLRLKWLRAQMGLVSQEPALFAMSVRENVLFGKQDATVEEVTAAAKAADAHSFISQLPQGYDTQVGECGVQLSGGQKQRIAIARAILKSPKILLLDEATSALDTKSEHAVQAALDLASAGRTTIVVAHRLSTVRNADMIAVMQSGEVQEQGSHDDLIANENGLYSTLIRLQKTKDSGEVNETSGISTVSAAVGQSNRHSMSRRFSWPLRSSSARSVGDAKHDGNIEKPNLSAPSFRRLLMLNAPEWKQALVGSFSAVLFGSIQPIYAYVMGSMFSVYFLTDHSEIIDRTRVYALVFAALAVISFLLNMLQHYNFGAMGEYLTKRIREQMLMKILTFEIEWFDSDENSTGAICSRLAKDANVVRSLVGDRMALVIQTISAVLIACTIGLVTSWRLALVMIALQPLIIACFYARRVLLRSMSKKSLQAQFESSKLAAEAVSNLRTITAFSSQSLILRLFDQTQDGPRKESVRQSWYAGLGLGTSVGLMACTWALDFWYGGKLMAEHQITAKALFQTFMILVSTGRVIAEAGSMTTDLVKGADSASYVFAVLDRQTKIDPDNPEGYKPERLKGGVEITRVDFAYPSRPNVIIFKGLSLTIHQGQSTTLVGQSGSGKSTIIGLIERFYDPLKGMVKIDGRDIKMYNLHALRRHIGLVSQEPTLFAGTIRENIMYGTDIASETEIEDAARSANAHDFICNLKDGYDTWCGERGFQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALERVMMGRTSVVVAHRLSTIQKCDLIVVLEKGIVVEKGTHSSLMAKSPSGKYFGLVSLQQGGSWH >PVH65634 pep chromosome:PHallii_v3.1:1:2998081:2998507:-1 gene:PAHAL_1G043900 transcript:PVH65634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRPRKERRREPSEAKKATKVSRVGSRGNCSKCHQPGHNIRRCGAKSKSKKRNIMEKGKEVWSAVGQKKSRNNAAAPGNTQDEASNMPSQSSFNSTASKKKKLAAAKQKKKTSRQ >PVH65875 pep chromosome:PHallii_v3.1:1:6728048:6728329:1 gene:PAHAL_1G094500 transcript:PVH65875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVVDCPTVDGVEVVVDTPIMDSVANCPTVDVAAVVIWSTLDSVIDCSAVDDDISILAS >PVH65533 pep chromosome:PHallii_v3.1:1:1377456:1379714:1 gene:PAHAL_1G017900 transcript:PVH65533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR6 [Source:Projected from Arabidopsis thaliana (AT5G24330) UniProtKB/Swiss-Prot;Acc:Q9FNE9] MGAAGQLRRRTRARTPPSRAESRDDDDVSCEACGSGDAAAELMLCDGCDRGFHIFCLRPILPRVPAGDWFCPSCRSPAPARSKSAAASSAATARKPKQFPLVQTKIVDFFKIQRSPLAAASTGSSEAKKRKRKPAGALVVSKKKRKLLPFNPSEDPAQRLRQMASLATALTATGAVFSNHLTYQPGMAPRSANRAALEAGGMQVLPKEDVETLSQCQRMMERGECPPLLVVYDPVEGFTVEADRFIRDLTIITEYVGDVDYLRNREHDDGDSMMTLLSASAPSRSLVICPDRRSNIARFINGINNHTPEGRKKQNLKCVRFDVAGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >PVH65889 pep chromosome:PHallii_v3.1:1:7150144:7150470:-1 gene:PAHAL_1G098400 transcript:PVH65889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAPTTKAAGFDASSAHRQQRPRALMTGKQWKGEKQSCCFLLPHQRSVASTTTSILCSASNWLTRICIHNF >PAN03794 pep chromosome:PHallii_v3.1:1:1601365:1606047:1 gene:PAHAL_1G022400 transcript:PAN03794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVLLAPLSRRSRRRLLPSRPLIDIPSNTFSAYAAAAPPRAPPPPLPALSPLLPPRPEDAVSTAAASSAIATSFRDWFLEAPGPVAAPLKALDAIYEALASDETNALEALPLSEQLVLAVLRHRPRRLADGDALLLLRLKFFDWSGRRPRYRHTRAVYHAVFRLLSRARRNAVVVNWLRLFSDTTAAAGLPRFHDTLVIGYAVAGDPQRGLSVLGHMRFRGLDLDAVSSRILLNSLVDASLHDLADSFARNLPASPVATCILIKSLCRRSRLDDAVALLDTLPFAEASRGPAAGSIITEFCRRGRFAEAAQIVDKFASCDVYGTWIHGLIEAGRLDATLKFISDKKETEGYIPDGQRYDKLVYRLLRRNRLGEVYDLLVEMMEEGIAPGRSTINAALCFFCKAGLVEVAMHLYRSRMELGINPNRDVYNNLIRALCRGGETEEACQVLEQAMEGGYFPGRQTFAMFANMLCQEGKLDKVRELLDRALKQEVWPMDSVLAKYLVALCKSGNVEEACNVPQIASSKSHVGLYRYESTYKSLIRALILIKRVDMLPRLMLEMQDMGHIPTRSLYQSVVCALCELNRYAEVLELLDSQLQRSELQPRVCYNYFISGAGHAKRADMAREVYNRMEVSGIEPSVESNILLLMSYLRSKRIGDALNFFNLIRGKKPPGTKMYNVFISGLCEAQKHEQAMVFWREARDNGVIPSISCYEHLVLLLCSVRDYDSVIKVIDDFRETGRPVSAFLCNVLLLHTLMGRDLLKALLRSRDKSKPLEVKGEEIHGREAGRLLIGDLVTSFASGIKNMSDLEHLGEEMEKYFPVDSYTYNMLLRGLSMAGRMDSACNLYEKMCRKGYQPNRWTFDIMVHGFCKNGDRNEAERWMDAMYRNGFYPTWYTMRLYNNASLRAHDQKIISFV >PVH65549 pep chromosome:PHallii_v3.1:1:1601328:1606047:1 gene:PAHAL_1G022400 transcript:PVH65549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVLLAPLSRRSRRRLLPSRPLIDIPSNTFSAYAAAAPPRAPPPPLPALSPLLPPRPEDAVSTAAASSAIATSFRDWFLEAPGPVAAPLKALDAIYEALASDETNALEALPLSEQLVLAVLRHRPRRLADGDALLLLRLKFFDWSGRRPRYRHTRAVYHAVFRLLSRARRNAVVVNWLRLFSDTTAAAGLPRFHDTLVIGYAVAGDPQRGLSVLGHMRFRGLDLDAVSSRILLNSLVDASLHDLADSFARNLPASPVATCILIKSLCRRSRLDDAVALLDTLPFAEASRGPAAGSIITEFCRRGRFAEAAQIVDKFASCDVYGTWIHGLIEAGRLDATLKFISDKKETEGYIPDGQRYDKLVYRLLRRNRLGEVYDLLVEMMEEGIAPGRSTINAALCFFCKAGLVEVAMHLYRSRMELGINPNRDVYNNLIRALCRGGETEEACQVLEQAMEGGYFPGRQTFAMFANMLCQEGKLDKVRELLDRALKQEVWPMDSVLAKYLVALCKSGNVEEACNVPQIASSKSHVGLYRYESTYKSLIRALILIKRVDMLPRLMLEMQDMGHIPTRSLYQSVVCALCELNRYAEVLELLDSQLQRSELQPRVCYNYFISGAGHAKRADMAREVYNRMEVSGIEPSVESNILLLMSYLRSKRIGDALNFFNLIRGKKPPGTKMYNVFISGLCEAQKHEQAMVFWREARDNGVIPSISCYEHLVLLLCSVRDYDSVIKVIDDFRETGRPVSAFLCNVLLLHTLMGRDLLKALLRSRDKSKPLEVKGEEIHGREAGRLLIGDLVTSFASGIKNMSDLEHLGEEMEKYFPVDSYTYNMLLRGLSMAGRMDSACNLYEKMCRKGYQPNRWTFDIMVHGFCKNGDRNEAERWMDAMYRNGFYPTWYTMRLYNNASLRAHDQKIISFV >PVH65548 pep chromosome:PHallii_v3.1:1:1601365:1606047:1 gene:PAHAL_1G022400 transcript:PVH65548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVLLAPLSRRSRRRLLPSRPLIDIPSNTFSAYAAAAPPRAPPPPLPALSPLLPPRPEDAVSTAAASSAIATSFRDWFLEAPGPVAAPLKALDAIYEALASDETNALEALPLSEQLVLAVLRHRPRRLADGDALLLLRLKFFDWSGRRPRYRHTRAVYHAVFRLLSRARRNAVVVNWLRLFSDTTAAAGLPRFHDTLVIGYAVAGDPQRGLSVLGHMRFRGLDLDAVSSRILLNSLVDASLHDLADSFARNLPASPVATCILIKSLCRRSRLDDAVALLDTLPFAEASRGPAAGSIITEFCRRGRFAEAAQIVDKFASCDVYGTWIHGLIEAGRLDATLKFISDKKETEGYIPDGQRYDKLVYRLLRRNRLGEVYDLLVEMMEEGIAPGRSTINAALCFFCKAGLVEVAMHLYRSRMELGINPNRDVYNNLIRALCRGGETEEACQVLEQAMEGGYFPGRQTFAMFANMLCQEGKLDKVRELLDRALKQEVWPMDSVLAKYLVALCKSGNVEEACNVPQIASSKSHVGLYRYESTYKSLIRALILIKRVDMLPRLMLEMQDMGHIPTRSLYQSVVCALCELNRYAEVLELLDSQLQRSELQPRVCYNYFISGAGHAKRADMAREVYNRMEVSGIEPSVESNILLLMSYLRSKRIGDALNFFNLIRGKKPPGTKMYNVFISGLCEAQKHEQAMVFWREARDNGVIPSISCYEHLVLLLCSVRDYDSVIKVIDDFRETGRPVSAFLCNVLLLHTLMGRDLLKALLRSRDKSKPLEVKGEEIHGREAGRLLIGDLVTSFASGIKNMSDLEHLGEEMEKYFPVDSYTYNMLLRGLSMAGRMDSACNLYEKMCRKGYQPNRWTFDIMVHGFCKNGDRNEAERWMDAMYRNGFYPTWYTMRLYNNASLRAHDQKIISFV >PAN05088 pep chromosome:PHallii_v3.1:1:8854647:8857847:-1 gene:PAHAL_1G114100 transcript:PAN05088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAEEAPAAAVPPPASPRDDVTEEAASPAARPRGFWLLGEDKSVHRALGGGKTADVLLWKDKKTSAAVVGGATILWVLFEVVEYHLLTLVSHVLIVALTILFLWSNATVFINKSPPDIPEVQISEDLAVNVALRLRADINKALGLLREISLGHDLMKFLGVIIALWILSEVGELCELLRLMYIVVLILHTVPILYHKYQDQVDDFAAKAHAELCKQYKVLDAKVLSKIPRAPPKDKKQN >PVH66652 pep chromosome:PHallii_v3.1:1:49681851:49683398:1 gene:PAHAL_1G307300 transcript:PVH66652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPKCHLTQNLLFLLSYTAAIVAASTPQTYYNQQYTPTEYPMPHDFPNDSLYQAYLVIQRFKKTITCDPLNITSTWTGHDICGATTYVGFYCTTLPGHGKNITVTSAVFDGFGLCAPQLQGFIDQLPDLALFLATSNKFDALGVLNLAGLTYHYKVGISDDPAAQPFSSLAPAVDLTLMIGAEVHFPHSLSLEAIPGATNARVLLLNNDELSGSLPENLGFSKLSYLAVANNKLTGPIPPSIGHLQDSLLVLLLLNNQLSGCLPHELGMLHKAAVIDAGMNRLTGPIPSSFSCLSSIELLNLAGNRLYGLVPDALCKLAGPAGRLANLTLSGNYFTSVGPACAALIRDGVLDVKSNCIPGLANQRRPAECSAFQSQPKTCPAASTQQVACPAAAAAKGAAAPGERKARD >PAN08741 pep chromosome:PHallii_v3.1:1:58147370:58154077:1 gene:PAHAL_1G433600 transcript:PAN08741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPTSAAAAASAPMAASAPPLDDCLRLLRGERDEHKLAGLLVAANVCRAGDADAVRKVYDAVGSRFLRRLLNTGLGKVEGGKEEEREAYLRLALTVLAGLARAPEVAADEGVVSTVPLVAEVVSKSADPAITVECFELLSLIAIASEDGAYKFCEPGVIDMIYLQISSLPDGSKCIELAINLMQLLVHKLKVDNMSEEKLQGMTSMVTYLARLFAVLHTAVKFDALHMLTALLSQKESPLHDLLRSMPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDCKIQDTQNILPVDKFVLLVLESARIEVAVLLNELAYLKYESSNTSQTDEAVSQKQRNLAILFSLIERIIKMISNASSSEGAPSQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTRNLLEFIFSIEGQDESRPFYSICFMLPMISQITMEADGCRTLASFGGYKAVIDCLVKMTEQDGIDNGSMFLACDTIINFMSNRKSVHIPVDSCFIRLLKALVTWAGSSNASSVTMTASCLCAMLIDLTSEEFLLRCSDFDAKTLGSLSELIVRSLQQDVPDDDGERFNQKQIIVSGYRRWADRFPHVKNVVDKHVSM >PVH67130 pep chromosome:PHallii_v3.1:1:58147370:58154157:1 gene:PAHAL_1G433600 transcript:PVH67130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPTSAAAAASAPMAASAPPLDDCLRLLRGERDEHKLAGLLVAANVCRAGDADAVRKVYDAVGSRFLRRLLNTGLGKVEGGKEEEREAYLRLALTVLAGLARAPEVAADEGVVSTVPLVAEVVSKSADPAITVECFELLSLIAIASEDGAYKFCEPGVIDMIYLQISSLPDGSKCIELAINLMQLLVHKLKVDNMSEEKLQGMTSMVTYLARLFAVLHTAVKFDALHMLTALLSQKESPLHDLLRSMPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDCKIQDTQNILPVDKFVLLVLESARIEVAVLLNELAYLKYESSNTSQTDEAVSQKQRNLAILFSLIERIIKMISNASSSEGAPSQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTRNLLEFIFSIEGQDESRPFYSICFMLPMISQITMEADGCRTLASFGGYKAVIDCLVKMTEQDGIDNGSMFLACDTIINFMSNRKSVHIPVDSCFIRLLKALVTWAGSSNASSVTMTASCLCAMLIDLTSEEFLLRCSDFDAKTLGSLSELIVRSLQQDVPDDDGERFNQKQIIVSGYRRWADRFPHVKNVVDKHVSM >PVH67131 pep chromosome:PHallii_v3.1:1:58148172:58154105:1 gene:PAHAL_1G433600 transcript:PVH67131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCRADPAITVECFELLSLIAIASEDGAYKFCEPGVIDMIYLQISSLPDGSKCIELAINLMQLLVHKLKVDNMSEEKLQGMTSMVTYLARLFAVLHTAVKFDALHMLTALLSQKESPLHDLLRSMPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDCKIQDTQNILPVDKFVLLVLESARIEVAVLLNELAYLKYESSNTSQTDEAVSQKQRNLAILFSLIERIIKMISNASSSEGAPSQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTRNLLEFIFSIEGQDESRPFYSICFMLPMISQITMEADGCRTLASFGGYKAVIDCLVKMTEQDGIDNGSMFLACDTIINFMSNRKSVHIPVDSCFIRLLKALVTWAGSSNASSVTMTASCLCAMLIDLTSEEFLLRCSDFDAKTLGSLSELIVRSLQQDVPDDDGERFNQKQIIVSGYRRWADRFPHVKNVVDKHVSM >PAN09136 pep chromosome:PHallii_v3.1:1:59798725:59800031:-1 gene:PAHAL_1G461300 transcript:PAN09136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPASLLRSAGNGPSPSPPPLVGDGHAAGEQQAFSVDSDTVVILASLLCALICVAGLALVARCTCRRRSSGGGGNSNPTAAEAQAPRGLKKAAIEALPTVSLQASGSGRAGEERECAICLVVLAEGDELRLLPLCGHGFHAACIDSWLGAHASCPSCRAAVLTCRRCGAPAAAGDAT >PVH66092 pep chromosome:PHallii_v3.1:1:15367675:15379818:-1 gene:PAHAL_1G145700 transcript:PVH66092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFQRPQDQSGPGLPAPADASVDRASAPSVLAPPFSCSQKLRTTFSPLRLPPPTVPSPSRIPNPNPQIPRPPPMAAAAADADADAAEVERLYELGERLSSAKDKSEHAADYEAIIAAVKGQSVKAKQLAAQLIPRFFRSFPALATRAMSAMFDLVEMEELAIRIQAIRGFPLLGKDTEFVSKIADILGQLLTSEENVERDAVHKALMSLIRQDVKNSLQPLFKHVESGSEIREKIICFLRDKVFPLKAELLKPQAEMERFITDLIKKSVQDVTGSEFELFMGFLRSLSIFGDSAPRESFQELIEIIQAQADLDSQFNVSDIDHIERWSSCMYMALPIFMRGASSSKFLNYFVKQIVPAFEKIPEEKKLDLLKTIAASSPYAAAQDSRQLLPSVVQLLKKYMPGKKVEDINHNYVECLLYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFRERLTGTEETVRAASKRLTQGMADFNKAITSAKTEEEKTKIKSDQHTATRTMRSYNNILAMTHPLHMKSPSFISDKKITLSWMEQPKKPAATTAGLKRSQPATNGNGPASKKGRGGMQNQLVNRAFEGLSYGGRGSGRGRGRGGRGRGRGWGGYR >PAN06446 pep chromosome:PHallii_v3.1:1:46845530:46850130:1 gene:PAHAL_1G264600 transcript:PAN06446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGRAKKAAGAAALALGSSVFTHADAVDVALMALGLVGAVGDGMATPLRLLIASRIANDLGSGPDHLDQFTSRINANVIKIVFIACAAWVMAFLEGYCWARTAERQASRMRARYLQAVLRQDVEYFDLRAGSTSEVVTSVSNDSLVVQDALAEKVPSFVMYVSTFAGSYVVGFALLWRLTLVTLPSALLLIVPGVAYGRALTDLARRIREQYARPGAVAEQAVSSVRTVYSFVAERATMARFSAALEESARLGLRQGLAKGVAVGSNGIAFAIYAFNIWYGSRLVMYHGYPGGTVFVVSSLIVIGGVSLGSALSNVKYFSEATAAAERTLEMIRRVPKIDSESAAGEELANVAGEVEFKHVDFCYPSRPGSPVLANFSLRVPAGHTVALVGQSGSGKSTAIALLERFYDPSAGEVALDGVDIRRLRLKWLRAQMGLVSQEPAMFAMSVRENILFGKEDATGEEVIAAAKAANAHSFISQLPQGYETQVGERGAQMSGGQKQRIAIARAILRSPKILLLDEATSALDTESESIVQEALDVASKGRTTIVVAHRLSTIRNADSIAVMQSGAVHELGSHSELIAKNGMYSSLVRLQQTRDSSEGGGICRASPSAGQCGSNTSKMLSSASRSDWTLSKGDARDGDGTEKPKAPVPSLGRMLLLNAPEWKHALVGSLSAILSGGIQPIYAYGMGSTFSIYYSKDHEEIKGKTRLYALIFLALVVISFLLNIGQHYSFSAMGEYLTMRIRERMLGKILTFEIGWFDQDDNSSGVICSQLAKDANIVRSLVGDRIALVVQTVSMVFIAFTVGLVISWRLALVMIAMQPFIIACSYARRVFLKSMSMKSIQAQAETSKIAADAVSNLRTITAFSSQDRILRLFARAQDGPYRESIRQSWFTGFGLGTSVSLTIFSWALNYWYCGMLMAERLITVEAAFQTTMILVTTGRVIADACSMTTDIAKGADAVSSVFAILDRQTKIDPDNPKGHKPEKITGDVEIIDVDFAYPSRLDVTIFKGFSLSIVAGKSTALVGQSGSGKSTIIGLIERFYDPLKGVVNIDGRDIRAYNLQALRRHIGLVSQEPTLFAGTIKENIMLEAEAASEAEVEDAARSANAHDFISTLKDGYDTWCGDRGVQLSGGQKQRIAIARAILKNPAVLLLDEATSALDGASEKAVQEALERVMVGRTSVVVAHRLSTVQSCDTIAVLERGVVVEKGTHASLMANGRSGAYFGLVSLQQGGKQH >PVH66514 pep chromosome:PHallii_v3.1:1:47089677:47091186:1 gene:PAHAL_1G268000 transcript:PVH66514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MPSGAAAGMEPEPEQPKAGAAGGAHRKHLAMLERLSKRSSSAGASSDSAGASPVEAFLTRFAAAKLAAESALSACRASSPEGDGAASLAAAAAAIDDLDRLVAESSHALPPYELRAALATAADLRAAHRAAASEIRPKKSFSFRNKSRAPKNPPRDPAAVPPPQPKPSTDAVLPGCGFRGRNGGTLVKDLRASSDKDGDFTLADLVSCEVYLKGKCRALYVHKLRDCRVFVGAVLGSVLIEDVEGCTFVMAAHQIRIHEARATDFYLRVRSRPIIEDCNGVRFAPHALKYDGIDVDLKESGLEEETGDWANVDDFKWLRAVQSPNWCLVPEEERLQTVDISEIHEQEDDS >PAN07098 pep chromosome:PHallii_v3.1:1:49921041:49926214:1 gene:PAHAL_1G310700 transcript:PAN07098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNALEERFNDAVRSMTSMGFPKETVVRKLKKLLTVYGDNWEHIEADNYTTLAGALCDADDPNPKQEGQKKRAEKKNLDLDHRNKKLKIKEHGQKPKLIHGNSKRESAEVPRQQKVEAIEGGTIRTQLQKQSSQIGMKEPKVETSLAETIAIGESSSALVLKSQEYHTFETPLAVMCPKVLQPSHHNGREDAHLICGVQRAADKKLKGVLVAHEGQMANACSSQAIVRSRDFSTNFEVPLSSSAGGKLSFWFNSSLANGSYFRMPDIESICKAMEAKCLTTYKILEPNFSFMKLLDDTCQCILDLGSGSNESRERSIVEILAMPSLQSNQNSSACMPLSNLMRLGGSAAFSGGRQNNSSNLQVIQNQLPTSVKRQYHDVNDITKGEERVSIPIVSGVEHGVLPPPFHYIPHNTTLQEAYINLSLARIGDENCCSDCFGDCLAEPLPCACATETGGEFAYTRDGLLKKEFLDALISMRREPLKHPHFYCTVCPIERMKMEVNSVKPDPCKGHPIKKFVKECWRKCGCSRNCGNRVVQRGITRNLQVFLTPGEKGWGLRPAEKLPQGAFVCEYVGEILTNIELYERNNQITGKAKHTYPVLLNADWGTEGVLKDEEALCLDGTFYGNVARFINHRCFDGNIIDIPVEIETPDHHYYHVAFFTTREVDAFEELTWDYGIDFDDVDHPIKAFKCHCGSEFCRDKSRISRGSKARAPVLR >PAN07097 pep chromosome:PHallii_v3.1:1:49921041:49926214:1 gene:PAHAL_1G310700 transcript:PAN07097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNALEERFNDAVRSMTSMGFPKETVVRKLKKLLTVYGDNWEHIEADNYTTLAGALCDADDPNPKEGQKKRAEKKNLDLDHRNKKLKIKEHGQKPKLIHGNSKRESAEVPRQQKVEAIEGGTIRTQLQKQSSQIGMKEPKVETSLAETIAIGESSSALVLKSQEYHTFETPLAVMCPKVLQPSHHNGREDAHLICGVQRAADKKLKGVLVAHEGQMANACSSQAIVRSRDFSTNFEVPLSSSAGGKLSFWFNSSLANGSYFRMPDIESICKAMEAKCLTTYKILEPNFSFMKLLDDTCQCILDLGSGSNESRERSIVEILAMPSLQSNQNSSACMPLSNLMRLGGSAAFSGGRQNNSSNLQVIQNQLPTSVKRQYHDVNDITKGEERVSIPIVSGVEHGVLPPPFHYIPHNTTLQEAYINLSLARIGDENCCSDCFGDCLAEPLPCACATETGGEFAYTRDGLLKKEFLDALISMRREPLKHPHFYCTVCPIERMKMEVNSVKPDPCKGHPIKKFVKECWRKCGCSRNCGNRVVQRGITRNLQVFLTPGEKGWGLRPAEKLPQGAFVCEYVGEILTNIELYERNNQITGKAKHTYPVLLNADWGTEGVLKDEEALCLDGTFYGNVARFINHRCFDGNIIDIPVEIETPDHHYYHVAFFTTREVDAFEELTWDYGIDFDDVDHPIKAFKCHCGSEFCRDKSRISRGSKARAPVLR >PAN05597 pep chromosome:PHallii_v3.1:1:23500005:23505272:1 gene:PAHAL_1G172100 transcript:PAN05597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVIAQPPTRKPRHHFAEILQHRPAPKHPTCPHYMHAVLSSHHRFTSLHRLPTRMPTLAIPSSLLLTLRLLAARTFSTSAASGYSTTTVKMARSALDEVTDTGAFDRSPSTFRSSVSSDASARFPAVPGRYHLYVSYACPWASRCLAFLKLKGLDHAIGITAVKPIFERTKETDDHLGWVFPTTTDEEPGAKPDPFNGAKSVRELYEIASPSYAGKPSVPVLWDKQLKTVVNNESSEIIRMFNTEFNDIARNPGLDLYPVLLRASIDDINELVYDAINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILGKQRYICDNQLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDRERFGS >PAN08941 pep chromosome:PHallii_v3.1:1:58943454:58945423:-1 gene:PAHAL_1G446400 transcript:PAN08941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWLVLALLPALCLGTLPTSHAARAFFVFGDSLVDNGNNNYLVTAARADSPPYGIDTPDHRATGRFSNGKNVPDIISEHLGAEPVLPYLSPELDGDKMLVGANFASAGVGILNDTGIQFANIIHISKQLLYFQQYQKRLSSLIGAEQTARLVHGSLVLITLGGNDFVNNYYLVPYSARSREFSLPDYINYILSEYRQILTRLYDLGARRVLVQGVGPIGCVPAELALHSLDGSCDRELQRAAEMYNPRLMALLEDLNARYGSGVFVGVNMQRIHNDFIEDPKAYGFETATQACCGQGRFNGMGLCTVVSSLCADRDAYVFWDAFHPTERANRLIVQQFMSGSVDYIAPMNLSTVLAVDLHKEQMRT >PAN04792 pep chromosome:PHallii_v3.1:1:6420785:6421638:1 gene:PAHAL_1G091500 transcript:PAN04792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLFGFKRTEAQHVIKMDKRDSSHGSHNLIPHCSTTRRRRRRRSSFTASWDGSSLCYCRHVATDAADAAETAVAGEAGRRRRRRR >PVH65500 pep chromosome:PHallii_v3.1:1:917316:917714:1 gene:PAHAL_1G008900 transcript:PVH65500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGGEEFMVLRGHSFVIEFRIGKLMYVSEECKLTNSSYEEQHLCSIYLSSRCLQLTTLVFPLYHPQSRLLLSKAEISFFSEKKRLFFGGTFLAKQVLRVFALMNVRATP >PAN09100 pep chromosome:PHallii_v3.1:1:59674946:59679420:-1 gene:PAHAL_1G458900 transcript:PAN09100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFPPPPPARNPLARVRLDDLAPFDGASTPAYASAVDAIAESLTRHSAATVELPAADAAIVRCGLESARAFFRARPGLYVYRAGRALDDGELSPACMVDAFRCLGKAARAALCAIARNLRLRSDAFSHLLDDNPLPLNEVSASELLVSFSHRHLQSGQSPILSHRSSMAEVDRGFVTLVASDHPGIEVCDPNGHWYLADGASGPNDLLLLTGRALSHVTAGLRLNSQYRTTNNGNRASLIFRLMPGAKAILDCSPISAAGHCIPQMYQPISASQFMDDLQAEEHTVSLHLEAPSESQGNFVNEPSLRSVLSDPLSGAFLEDAMVLSCGHSFGGLMLKKVLEMARCSICYGEVDVASLFPNLALRAVATVVKMEDDRRLFHNSALRKRRKEVTENMDAQRRNGSSKENSEVGLDAESSRALKGVQYPFAVGERVLIMGNKRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESVRLQYRSLKKAGEPQMQAQAQPEMRSQQALAFLQKKQ >PAN06847 pep chromosome:PHallii_v3.1:1:48740692:48743946:1 gene:PAHAL_1G292300 transcript:PAN06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCADFAALRASPADVRVVASDGSNIAAHSSVLAAASPVMEQMILGARRGWDAGCAVVCVLGAPSDAVAAFLRFLYCRPGPRGMTAAGEEEWAEGAVGEHGAALLALAHAYRVPWLKRRAEAAVAARLTAERAVDALKLAALCDAPRLRLACARLAGKDLGAVERSEGWRFAARHDAALQGELLQLLHDAVQRKKRWERERASQHVYRQLSDAMAILDRIFAAGGAGAGEVCAAEASPCEVDGVRRGLEQLMRHFAACGGRARKPAACPRCRRALQLLRLHASVCEDRAGAGEPCRVPLCSNLKAKMQEEGVDKTWKLLVKKVTRARVMSALASREVPEVVKKSWAKYSSRRAARFR >PAN07082 pep chromosome:PHallii_v3.1:1:49866675:49867900:1 gene:PAHAL_1G309500 transcript:PAN07082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGTVSSRALALAGAVLFVLVAPGAAAAEAAAPRPAPLEFHVGGPRGWRVPDANTSYDWWATNNRFHVGDRLLFKYAQDSVLVVDRPAFDACNATEPLAAFSDGATTVRLDRPGFFCFISGEPGHCQEGQRLMVRVMVHPAGLAAAPAPGTLTQPGVRPRPSGCACSGAAASVAAAAGVAVKAAMAVLVDLA >PAN08245 pep chromosome:PHallii_v3.1:1:55653943:55655754:1 gene:PAHAL_1G397800 transcript:PAN08245 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE332 [Source:Projected from Arabidopsis thaliana (AT4G09650) UniProtKB/TrEMBL;Acc:A0A178V1L3] MAALRLASFTLRPAAAVASPSSGAGPRSASFARAARGLPSLRLAPPHRRGDLARPRAAADAAAESYASALSEVAAENGTLEKTLSDMEKLEKIFADEAVAEFFDNPTVPREEKTQLIDEIAKSSELQPHVVNFLNVVVDNFRAGIVPQIVTEFENVYNALSGTEVATVTSVVQLESKDLAQIAQHVQKMTGAQNVRLKTQLDPELIAGFTVQYGRDGSNFIDMSVRKQIEEIASEFELPSVAL >PAN08034 pep chromosome:PHallii_v3.1:1:54500113:54503304:-1 gene:PAHAL_1G381500 transcript:PAN08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLVALPPMARPGWRRPSTSVTRRGRTLAALPCGCRCARRHLIGASSAAALLPLLAPPSPAAPPIDPEVMLERVHPSRPDWYEEFYATAMDQGMKSYEAEIAGYKAKLFSQLSAEGKNILELGVGTGPNFKYYASGNGVNVIGVDPNKHMEDYARTAAVSAGLPSSSFTFKRGVAEALPAEDNSMDAVIGTLVLCSVNNIDMALREIYRVLKPGGLYLFVEHVAAPDGSLLRFVQSAFDPLQQFVADGCHLTRKAGENIRGLGFSSLSLDSVRLSNAYIISPHVYGVACK >PAN06997 pep chromosome:PHallii_v3.1:1:49356992:49360844:1 gene:PAHAL_1G303800 transcript:PAN06997 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRALVSLSRLARRIPASLAAFRAPGPLLLRHIHADAPPPPPPPQDPPPFVSRILESEPSLTPSAEAEPAPDPFLDEFLARFVAAFRPELAAAFPEHDRAVLDEMLRLVADAVVCRLTGADPGPDAAELSDDLWAAVWKVSASVQEAMRRDQVRADLRHYLHCDEVKEMTRFAVDVGIRGSMLRELRFKWAREKLEEVEFYRGLDGMRAEAEAAADPAPAPVRRLTALPKRKGEVKFTMYGLDMSDPKWAEVAERTGEAEAHFVPQEAKPVEGKAKKAEERLLSVDPRKGDPVPAMEEWKEELRPKRVDWMALLERVKARNVELYLKVAEILLDEESFEANIRDYSKLIDLHAKANHVEGAEIILGKMKEKGVAPDILTSITLVHMYSKAGNLHRAKEAFEFIRNEGFKPDLKLFSSMIKCYISHGEPGEADNLLKYMKDKDIKATREMYTDVIRAYAQQGMVGNAENVHRSMHVARIESTPELFTISIEAYGRIGDPDSACSLFQQMRRYGHEPDDSSIAGVVAAYMKKNQFDQALHWLLSLEKEGLKPGIKTNLVLLDWLSMLQLVLEAEQLVQKIKQLGEEPIEVHVFLADMYAKSRQEEKARRSLKILEEKKKLLKADQFERIIRGLLDGGFSEEANKYYKMMKSCGLQPSETIEVAVKASIRMRGGPRPTGRP >PAN08675 pep chromosome:PHallii_v3.1:1:57907985:57911535:1 gene:PAHAL_1G428800 transcript:PAN08675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLPGSGKRRAEPTPAPAVKLEAEAEAEAAEGFGGGDGPGPPHKRVKAIPPPPPVQDMSCDVLDEPSPLGLRLRKSPSLLDLIQMKLSQAKSAGEQFGVHNSTSDTPKKKDVKPGASTAGERMKASNFHANVLKIGNWEYISRYEGDLVAKCYFAKHKLVWEVLHDGLKSKIEIQWSDITALKATCPENEQGTLDLVLARPPLFFKETDPQPRKHTLWQAASDFTDGQASLNRRHTLQCHSSLLSKNFEKLIQCDQRLYELSQQPDAISGTPDFEPKRSIFENPNDSKDCLGFNGLKYEQEASFPKFNDPASPCAFSSLSKNVGQPISIGSGGTDFQGRDFPQEPKNCNRWNQLKVPGLRASISVEDLVNHLGNCIGEQRSAGDPPLANNEGQSTEVLEGLVQYLFSDTQGLPATDDKYLMARVDSLYSLLEKDTVSSTIPKPDCSDGGKIGVIQVDSDGSNEELNLSPARNTAGCTEMPVISRQDSFGELLLNLPRIASIPQFLFNIPEDSD >PAN06591 pep chromosome:PHallii_v3.1:1:47522308:47528257:1 gene:PAHAL_1G274500 transcript:PAN06591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDTPTLKPEWLQKDRAAGAANIWATASSRSDYHQGTGGSSRNHSSGHDHDPSSRQSSSRRSSGLNGSRRPERDAMGKSRGYANFGRNRDKDRERDSDSHDRESRSTIADRHDFKSFGTCRSERDRMNRARSKADTWSKGVVNMNNGSASRNTAVSSSTSNDKSNTIASTGTVVNSISNAAVGTSISNAAVGTSVTNASVSNVLSNGSNASSITFEREFPQLSLDDKNGRQGISKVPSPGISTPIQNVPLITPSDGWNSVLADLPLSSDVKKSPATSSILQIAPSKQTEVMPNNGTALSMAETVMQAPPRISSGPQLSIEAQKIEERTLRQYTLRPLTPPATKSSVLSSSKTKGTRLGDPTGSSKTVQQLKAQSANSSIRAPVKADISKLSQSGSFQVLSREQNGTAHTGKDCLIKPVSPPSTPLVAMDTQKKPVVSQKLKIGINERPLPLQGPCGDRKSNVRDKHRFFEALRTKSSNGSSIALESESQPSPSSLVDVKQDSSLSLGNDFSLFHSETKCTEHGKCFCEEANSSEGSQRHLSDTEENIPSLKPTVADGISQQLLEQSREADLSSEPADTGDEGFQSSLSDSAEGSMPSTPADSDDGWNRSQSGNEEASSLSEVTEPGDEDHPADISPEDKRFMILLGWREDEIVQVEPLDFNEIADTVNGCEKLKKKLQSMESNDNIKSILHLINGQS >PVH66412 pep chromosome:PHallii_v3.1:1:43634460:43634855:-1 gene:PAHAL_1G237100 transcript:PVH66412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVAGLLFLSDSGESVTGSWHRGCRSGLVASELVPFLLISTTSLALYSLALGAVTTPRCRQHRRVWRPTSLEEQFLGIGVCAQEPSQVEVSRANCDERLSGWAE >PAN03982 pep chromosome:PHallii_v3.1:1:2299762:2308429:1 gene:PAHAL_1G032700 transcript:PAN03982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGAVSGVVSRKVLPACGGLCYLCPSLRARSRQPVKRYKKIIADIFPSAQEDEPNERRIGKLCEYVARNPHRVPKITDYLEQRCYKELRREQYGYAKVIVLIYRRLLVSCKEQIPLLASSLLNIIHTLLDQSRQDDMSIIGCETLFDFVVTQVDGTYQFNLEELVPRLCKLSQVVREDEKANALRAAALQSLSAMVWFMGEHSHISSEFDNVVQAVLESYEPEKVQKDNRAIENPCGQWVEEVLKIEGHASPSPFAISKIPTWKSIVGDNCGIHLPMEDAKGPYFWSRICVHNMATLSREATTFRRVMDSLFRHFDYTNSWSSKNGLALCVLLDMQMFMENSGKNINLMIPVVKHLEHKAILNQPEMQLSIVEVISALAEQSRAQASTATIGAISDLVRHMKKTLHVALGSKDLEVVKWNDKLRKAFDECIVQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIIASIPNLSYKNKVFPEALFHQLLLAMVHPDHETRVAAHRIFSVVIVPSSVSPFPNLKSPDQRRKHEVQRTLSRAVSVFSSSAALFDKLRWEKNSFRENIHEGIMNRILHGIDDETATPNDLQGSQSLRHSLKVSSASQKYSSTSLKESLISLTEATNETEPTVLRLSGHQAALLLSSIWAQAISPKNTPRNYEAIAHTYCLLLLFLGSKASIFEGLAPSFQIAFSLMSHSFGRTDSLSPSRRRSLFTLATSMIVFASRAFNIAPLLPICRSMLSDGTMDPFLRLVHDNKLQVVKDYQEISYGSIEDNESALRSLSAVELTERNSRESMVSTIMNSIADIPDSELENIRSQLLSDFSPDDMCPTSAHFFESPGKNSESRYDDDTNYQEAELIDVTNDNYTFTEVSATTPGVPVITTNLLSIDELLETVVNDTSSQTQRCSVPAARDIPFQEMTSHCEAISMGKHHKMSVLMSFKHSRQASIVTNYQVNHIEAGYASNKQNTTNPFLQQILDGYPQSMTAGGGEPQTDGGVRQQLLRLPASSPYDNFLKAAGC >PAN03983 pep chromosome:PHallii_v3.1:1:2299916:2308429:1 gene:PAHAL_1G032700 transcript:PAN03983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGAVSGVVSRKVLPACGGLCYLCPSLRARSRQPVKRYKKIIADIFPSAQEDEPNERRIGKLCEYVARNPHRVPKITDYLEQRCYKELRREQYGYAKVIVLIYRRLLVSCKEQIPLLASSLLNIIHTLLDQSRQDDMSIIGCETLFDFVVTQVDGTYQFNLEELVPRLCKLSQVVREDEKANALRAAALQSLSAMVWFMGEHSHISSEFDNVVQAVLESYEPEKVQKDNRAIENPCGQWVEEVLKIEGHASPSPFAISKIPTWKSIVGDNCGIHLPMEDAKGPYFWSRICVHNMATLSREATTFRRVMDSLFRHFDYTNSWSSKNGLALCVLLDMQMFMENSGKNINLMIPVVKHLEHKAILNQPEMQLSIVEVISALAEQSRAQASTATIGAISDLVRHMKKTLHVALGSKDLEVVKWNDKLRKAFDECIVQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIIASIPNLSYKNKVFPEALFHQLLLAMVHPDHETRVAAHRIFSVVIVPSSVSPFPNLKSPDQRRKHEVQRTLSRAVSVFSSSAALFDKLRWEKNSFRENIHEGIMNRILHGIDDETATPNDLQGSQSLRHSLKVSSASQKYSSTSLKESLISLTEATNETEPTVLRLSGHQAALLLSSIWAQAISPKNTPRNYEAIAHTYCLLLLFLGSKASIFEGLAPSFQIAFSLMSHSFGRTDSLSPSRRRSLFTLATSMIVFASRAFNIAPLLPICRSMLSDGTMDPFLRLVHDNKLQVVKDYQEISYGSIEDNESALRSLSAVELTERNSRESMVSTIMNSIADIPDSELENIRSQLLSDFSPDDMCPTSAHFFESPGKNSESRYDDDTNYQEAELIDVTNDNYTFTEVSATTPGVPVITTNLLSIDELLETVVNDTSSQTQRCSVPAARDIPFQEMTSHCEAISMGKHHKMSVLMSFKHSRQASIVTNYQVNHIEAGYASNKQNTTNPFLQQILDGYPQSMTAGGGEPQTDGGVRQQLLRLPASSPYDNFLKAAGC >PAN03985 pep chromosome:PHallii_v3.1:1:2299916:2308429:1 gene:PAHAL_1G032700 transcript:PAN03985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGAVSGVVSRKVLPACGGLCYLCPSLRARSRQPVKRYKKIIADIFPSAQEDEPNERRIGKLCEYVARNPHRVPKITDYLEQRCYKELRREQYGYAKVIVLIYRRLLVSCKEQIPLLASSLLNIIHTLLDQSRQDDMSIIGCETLFDFVVTQVDGTYQFNLEELVPRLCKLSQVVREDEKANALRAAALQSLSAMVWFMGEHSHISSEFDNVVQAVLESYEPEKVQKDNRAIENPCGQWVEEVLKIEGHASPSPFAISKIPTWKSIVGDNCGIHLPMEDAKGPYFWSRICVHNMATLSREATTFRRVMDSLFRHFDYTNSWSSKNGLALCVLLDMQMFMENSGKNINLMIPVVKHLEHKAILNQPEMQLSIVEVISALAEQSRAQASTATIGAISDLVRHMKKTLHVALGSKDLEVVKWNDKLRKAFDECIVQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIIASIPNLSYKNKVFPEALFHQLLLAMVHPDHETRVAAHRIFSVVIVPSSVSPFPNLKSPDQRRKHEVQRTLSRAVSVFSSSAALFDKLRWEKNSFRENIHEGIMNRILHGIDDETATPNDLQGSQSLRHSLKVSSASQKYSSTSLKESLISLTEATNETEPTVLRLSGHQAALLLSSIWAQAISPKNTPRNYEAIAHTYCLLLLFLGSKASIFEGLAPSFQIAFSLMSHSFGRTDSLSPSRRRSLFTLATSMIVFASRAFNIAPLLPICRSMLSDGTMDPFLRLVHDNKLQVVKDYQEISYGSIEDNESALRSLSAVELTERNSRESMVSTIMNSIADIPDSELENIRSQLLSDFSPDDMCPTSAHFFESPGKNSESRYDDDTNYQEAELIDVTNDNYTFTEVSATTPGVPVITTNLLSIDELLETVVNDTSSQTQRCSVPAARDIPFQEMTSHCEAISMGKHHKMSVLMSFKHSRQASIVTNYQVNHIEAGYASNKQNTTNPFLQQILDGYPQSMTAGGGEPQTDGGVRQQLLRLPASSPYDNFLKAAGC >PAN03984 pep chromosome:PHallii_v3.1:1:2299762:2308429:1 gene:PAHAL_1G032700 transcript:PAN03984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGAVSGVVSRKVLPACGGLCYLCPSLRARSRQPVKRYKKIIADIFPSAQEDEPNERRIGKLCEYVARNPHRVPKITDYLEQRCYKELRREQYGYAKVIVLIYRRLLVSCKEQIPLLASSLLNIIHTLLDQSRQDDMSIIGCETLFDFVVTQVDGTYQFNLEELVPRLCKLSQVVREDEKANALRAAALQSLSAMVWFMGEHSHISSEFDNVVQAVLESYEPEKVQKDNRAIENPCGQWVEEVLKIEGHASPSPFAISKIPTWKSIVGDNCGIHLPMEDAKGPYFWSRICVHNMATLSREATTFRRVMDSLFRHFDYTNSWSSKNGLALCVLLDMQMFMENSGKNINLMIPVVKHLEHKAILNQPEMQLSIVEVISALAEQSRAQASTATIGAISDLVRHMKKTLHVALGSKDLEVVKWNDKLRKAFDECIVQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIIASIPNLSYKNKVFPEALFHQLLLAMVHPDHETRVAAHRIFSVVIVPSSVSPFPNLKSPDQRRKHEVQRTLSRAVSVFSSSAALFDKLRWEKNSFRENIHEGIMNRILHGIDDETATPNDLQGSQSLRHSLKVSSASQKYSSTSLKESLISLTEATNETEPTVLRLSGHQAALLLSSIWAQAISPKNTPRNYEAIAHTYCLLLLFLGSKASIFEGLAPSFQIAFSLMSHSFGRTDSLSPSRRRSLFTLATSMIVFASRAFNIAPLLPICRSMLSDGTMDPFLRLVHDNKLQVVKDYQEISYGSIEDNESALRSLSAVELTERNSRESMVSTIMNSIADIPDSELENIRSQLLSDFSPDDMCPTSAHFFESPGKNSESRLNLSM >PAN03987 pep chromosome:PHallii_v3.1:1:2311472:2313890:-1 gene:PAHAL_1G032900 transcript:PAN03987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQGRSSSNKIRDIVRLQQLLKKWKRLALSPKAGKSNGSHGVPKGFFAVCVGEEMKRFVIPTEYLGHWAFEELLKEAEEEFGFRHEGALRIPCDVEVFEGILRLVGRKEEAVCYCSSEPGILCR >PVH66110 pep chromosome:PHallii_v3.1:1:17223407:17224267:1 gene:PAHAL_1G152200 transcript:PVH66110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLFINALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWQVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPVWEARMRSLERRRHEEDPLYQVATYLAALDQLFDEQANLPREQTHRAEQAEIAVRLQQIQATQAKARAAAAVSSEAVAQESLRQARDRRMQEWTQSGTPVPAIGEDHVLLETPVIGWGPLFGNTQARPENPESSAAAVERGAAAQPLIDGNIENGEQGLLTLPAPEEGKPHE >PAN04723 pep chromosome:PHallii_v3.1:1:6028499:6033183:1 gene:PAHAL_1G087100 transcript:PAN04723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMELLVTFLLFTTVAFPLSWLASTSQAASVSTDQLELLSFKKFVSDDPNGVLKSWGRAASNVSYCQWHGVKCGSRGRRRGRVTALELPGLNISGIISPALANLTFLRSLDLSQNHLHGSLPHEFSLLQNLNHFDLSFNTLDGEIPPSLSRCSNLRNISLGFNNLQGGIPEELGNLVDLQSLSLLYNNLTGSIPADSFQKLQKLEYLSLANNKLSGVIPDSFGNLSSLTYLHLNNNSFTGTIPPSLSALSSLTKLGLMGNYLTGNIPPSLGNLSNLLWLDIGFNNLTGTIPRSLGNLYSLNLLAVGANKLTGPIPPQLGNLRNLTYLSLEFNNLTGHIPDSLFNLSSLQLFSLQSNNLQGSLPKEMGNSFPQLKHLHLGINEFHGSIPSSLCNSTNLRDLLLEYNQFSGTIPPCLGQLYGLFRLGLGVNQLEARNPTDWNFLTSLANCSILSYLGLSANNLEGMMPSSIANLSTTLQVLYIDYNIIEGTIPEEIGNLVSLTQIAMGGNLLHGTLPVSVGRLNMLQDIEFEQNKLEGAIPASFGNLTQLTQLRLAENLLTGNIPPSLANCPLNWLDLQRNKLTGPVPIEILRMPTLSNFLDMQDNMLSGALPSEVGNLINLEQLDISNNRISGEIPKSLGECLLLAYLNLSKNLFEGSIPPSLSNLRGLQMIDLSYNNLSGNIPEFLGNISGVYLNLSFNDLEGAVPKHGIFQNLSAFSITGNSRLCGGISELRLPPCPNKVSQKHHSQKLKLIIALIAAILYCIILLSLLALRFSRCRSTRQSQFSVALMDKYPRISYSELARGTEGFSHTNLVGVGSFGSVYKGVIHYDGKATSVAIKVINLQQHGASQSFIAECQALGHVRHRNLVKILTVCSGLDSAGNDFKALIYEFIPNGNLDGWLHNPSRRDGIKTTLDINQRLGIAIDVASALDYLHNHKPTPIVHCDLKPSNVLLDYDLVAHVADFGLAKFLRDDANSSQNSTSMGALKGTIGYIAPEYGIGNEVSIQGDIFSYGILLLELFTAKRPTDDAFMEGYSLHQYVAMALGHKTTEIIDQSLFLTEHSGAFKSDITNKEETYIACITSVLTIGIQCSKAETTERMQIAHVLRELHRIRENVNQQY >PAN06554 pep chromosome:PHallii_v3.1:1:47376784:47378060:1 gene:PAHAL_1G272000 transcript:PAN06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAKQEVEDVYAVTARARFFYDSKDKQRERAMAMGAEEDGPRLEVGELIPGLPDDVAIECLARVPSRSHRCMRRVCRGWRGAVGSAEFRRRRGAAGAAEDVVFLVQAAPAAGDGKGSTPECALTAANLTTGEWRRVEGPGEAWGPVPLFAQCAAANDGRHVAVVGGWDPDTLRPTSDVRVLDVPAGTWRRGRQMPDNRSFFGCAGGDGNIYVAGGHDESKNALRSALAYSVAADAWRALPDMSEERDEPQLVAAPGGVLAASGYPTEAQGAFKKTAERYAATGGAWADEDEVVPDTGETCLASVRGKVWAVGAGKGGVREWDGAARAWREVADGPPGMKACVKAAGIGNGDGAALFVFGTVADAAEGSKYSAWVMGAGGAPWKRVPVPSGFGGFVYSAAAVRV >PVH66931 pep chromosome:PHallii_v3.1:1:54960391:54964253:1 gene:PAHAL_1G387300 transcript:PVH66931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAEKAVRCLGRGFDMTCDTRLKYCKDAGGCLVERSGVETAPLAVPGVGTVGGVPVDVKCGKGDRVRLKSGVLEFNKMSELFNQRSSVEGKIPSGLFNACFDLDSGVWAQDAAATKCLAMDGYFISLFDLRLDRRPLALADHVLRDVPAAWDPAAIARFIEKYGTHVVVGLSMGGQDVVYVKQHKSSALSPSEIKEHLDRLGDQLFTGACAMPPLRGKSKDKYKMPEAFNVFDAQVAQQRLQAGITTLVSSREGVTVIYSKRGGRTAVGSHSEWLHTVPAMPDLINVKAVPITSLVKGVAGAGYLSHAINLYLRYKPPVADLKYFLDFQHHKMWAPVLGELPLGPCSNRQGPSPALHFSPLGSKLYVSSSQVIVPKLPVTGMRLHLEGKKHNRKKKKNNLEIRRHLLNTPSQAFQ >PVH66932 pep chromosome:PHallii_v3.1:1:54961393:54965924:1 gene:PAHAL_1G387300 transcript:PVH66932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMEFSENHSRMLQMSELFNQRSSVEGKIPSGLFNACFDLDSGVWAQDAAATKCLAMDGYFISLFDLRLDRRPLALADHVLRDVPAAWDPAAIARFIEKYGTHVVVGLSMGGQDVVYVKQHKSSALSPSEIKEHLDRLGDQLFTGACAMPPLRGKSKDKYKMPEAFNVFDAQVAQQRLQAGITTLVSSREGVTVIYSKRGGRTAVGSHSEWLHTVPAMPDLINVKAVPITSLVKGVAGAGYLSHAINLYLRYKPPVADLKYFLDFQHHKMWAPVLGELPLGPCSNRQGPSPALHFSPLGSKLYVSSSQVIVPKLPVTGMRLHLEGKKHNRLAVHLQHLSTTPTFIAAARHDKPMAWRGSEAASDDRFYEPVQWRMFARVCTAPVKYDPGWRGAGDRDRRAAWVVTGARLHVAAHDGTDVLHLSLIYAELPGCAVVQSRWAHGAARLSGKSSFLSAVSPAGSSGGGSGGSQKDRQQPGKPEGVNIDSGVLAGGPPVPVAAQKMLRLVDTSQVTMGPQDSPGYWLVTGARLDVDNGRISLHVKFSLLAPAS >PAN08100 pep chromosome:PHallii_v3.1:1:54960095:54965924:1 gene:PAHAL_1G387300 transcript:PAN08100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAEKAVRCLGRGFDMTCDTRLKYCKDAGGCLVERSGVETAPLAVPGVGTVGGVPVDVKCGKGDRVRLKSGVLEFNKMSELFNQRSSVEGKIPSGLFNACFDLDSGVWAQDAAATKCLAMDGYFISLFDLRLDRRPLALADHVLRDVPAAWDPAAIARFIEKYGTHVVVGLSMGGQDVVYVKQHKSSALSPSEIKEHLDRLGDQLFTGACAMPPLRGKSKDKYKMPEAFNVFDAQVAQQRLQAGITTLVSSREGVTVIYSKRGGRTAVGSHSEWLHTVPAMPDLINVKAVPITSLVKGVAGAGYLSHAINLYLRYKPPVADLKYFLDFQHHKMWAPVLGELPLGPCSNRQGPSPALHFSPLGSKLYVSSSQVIVPKLPVTGMRLHLEGKKHNRLAVHLQHLSTTPTFIAAARHDKPMAWRGSEAASDDRFYEPVQWRMFARVCTAPVKYDPGWRGAGDRDRRAAWVVTGARLHVAAHDGTDVLHLSLIYAELPGCAVVQSRWAHGAARLSGKSSFLSAVSPAGSSGGGSGGSQKDRQQPGKPEGVNIDSGVLAGGPPVPVAAQKMLRLVDTSQVTMGPQDSPGYWLVTGARLDVDNGRISLHVKFSLLAPAS >PAN08943 pep chromosome:PHallii_v3.1:1:58963768:58964320:1 gene:PAHAL_1G446600 transcript:PAN08943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVPSHGNSILGLKSKKKPATTTAGCSGKLQVGSRRNDTRRHGNWRGRYACGMIIDSSGGRTHARRATAHEHAHHTGHGRAVEAERERTAHIKGGQYKVFFYQQTLTDRSTRL >PAN05281 pep chromosome:PHallii_v3.1:1:10471808:10476853:1 gene:PAHAL_1G128300 transcript:PAN05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGVISGINEFGNLFQLVISVVSYMRSQFSGSEEKQLKEDVVLQLQSDLRCLRETLPTMYNIIDQAEWRSHVPCVEQLLPNLKDAVYDAEDLLDEFKWYELKVEIEGNATQLSPFIDLFHSITHGSFNKVADIQKRLSNLSSQLEKMGLHGPTPRFDKSLRPVTTSFCTEPKIFGREKELEEVVRLLGVPTYGCGSSSKRKRSSNATNNEPRVAFVPVLPIVGIGGVGKTTLAQEITTLQRVESHFDKIIWICVSDEFDEERFTKVLIKSLSGKEATADNLDDLQQILAAEVGKKRFLLILDDIWPNALNDDGRCWRKFCGPLTNVLRGSVLIVTTRFAEVADVVGTMNPFALQGLKDDVFWDFFKLCVFGSEDYHIDPQLEQIGRSIIPKLKGTPLAAKTIGRLLRKSIDPAHWNDILNSELWQLKQQESDILPALRLSYMYLPFNLKRCFSFCATYPKDYNFDKSSLAEIWVAEGFVEPQGSIPLQHIGGQYFEDLVNLSFFQKLRGKYVIHDLMHDMAQLVAKEDCFIAKNASDIEKVPQNVRHLSILRSCNLKLSNLLSLCKHKKLRTLLCNKSLGSETLYPVMDYWFSGLRYLRVVFCASTKGLPESIGNLKHLRYLEISRGCHFNSFPSSFCSLYNLQIFSARKCTFGRLPRGVSKLVNLHKFDSTIPKMIVNAADWEDEIRFINNFNQHTRDLTIYNLGAISKDRAAEAELKKKEYLNSLTLRWSSLRCVEHNEIEVLQTLQPPTSIKSVHIEGYPGEYLPSWFRGCDRPEDMSFSELPAATVDNNNDRAGTIFSLLTEVRITGCQNLSSLEQFLHSTTYVPAIRNIVIEHCASVKSVPIEWSPSLEEINVSNCPKMTHLSAPSVKKLVLFDIFGFNIDCSSLTFLHINFSQLPSIELEKWSLPVLQRLDIGFCECLTFIRESEHVTSGVSLGLAKFPLLTHLTIEFCCKLESLDGLLTHECLPAIESITIRSCDLLSLPTERFVSFLSLKKLKIEECPRLYWQSAMVLPPSLRTLILSSCGDFSAWSPMCCLENLTFLKSLTMRSCKGIVFIPGDLWSSNLKSLQKLKIEYCPDLVSIGGPEAIANINRVYIRGCPKLMEIEQPRGN >PAN07034 pep chromosome:PHallii_v3.1:1:49507099:49511840:1 gene:PAHAL_1G306100 transcript:PAN07034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANNWLGFSLSGQDNPQPNQDSSPAAGIDISGASDFYGLPTQQGSDGHLGVPGLRDDHASYGIMEAFNRGQQETQDWNMRGLDYNGGASELSMLVGSSGGAGGGTGKRAVEDSEPKLEDFLGGNSFVSEQDQSGGYLFSGVPMASSTNSNSGSNTMELSMIKTWLRNNQVPQPQPPATHQPQPEEMSTDASASSFGCSDSLGRNGAVAGGSSQSLALSMSTGSHLPMVVAGGGNASGAAASDSTSSENKRASGAMDSPSSAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKDAVDHVEAAGATIWRADMEGAGVISQLADAGMGAYASYGHHGWPTIAFQQPSPLTVHYPYGQAPSRGWCKPEQDAAVAAAAHSLQDLQQLHLGSAAHNFFQASSSSTVYNGGGGASAAGYHQGLGGGGGSFIMPSSTVVADQGHSSTANQGSTCSYGDDQEGKLIGYDAMVATAAGGDPYAAARSGYQFSQGSGSTVSIARANGYSNNWSSPFNGMG >PVH66036 pep chromosome:PHallii_v3.1:1:11084764:11086143:-1 gene:PAHAL_1G130900 transcript:PVH66036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKAAVALGLPPGLNFRPDDDELVEFFLLPTVRGEPAWFPGVIVIDDDSAANTLPWKLLERHGLADDDEAYFFVRTKDAKQEAARQDRYCAGGASARWVSQRPVFSASCIGGETIEWRRINLNLQMGRGKSGGGSGSTGWVMHEYTLTEPACPSLKICHVSFSGHGKDRKRVPDEEYSNGCHAAEPAPKRARVDADAAAANSGSSTCVYGSTEPAIDQGYGAEHGSGDVFLQRTGEPWCYSGVFSVPDAHNDCQGGDPSVAHASAGQQEHSPSPFLIDQGISATDQQQQLLPDDNNAANDSSSATTCAYDYEPMQTTDEEIMEWGSLLADDAEPTAEQQKTEEHAMTPQLMIQETVAAGAAESSDGISPSNQQQQQLDSVPSMEVEERQEIQEDQLQLVQSSSTGPLAESAGAELYLEQGVPTTEQHLPAEYQNGGDDRQEQREFWRSLGVDIEKIVC >PAN04344 pep chromosome:PHallii_v3.1:1:4085192:4086074:-1 gene:PAHAL_1G060300 transcript:PAN04344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAKQVRRKADGWYCNVFVAAFGGGDGGTERWDCFCVIAGEFPALEDLASYDGFVISGSPHDAHGEEPSVRRLCALVRALHAMRKRVLGVYFGHQVLCRELGGRVSRARGWWDVGVRTVTFARDLQGRLGFLGEFPRSAAIVEFHRDEVWEAPPWATVLASSGRTRVEAFAYTAYILHDLIDRLTAQNDIPRCVRKEARRMAAETGGPDRAFWTGLCKSFLRGGGMTSSSPRPVLEATGGHAAAVGSCFAGAAPMVQLACRASIN >PAN04222 pep chromosome:PHallii_v3.1:1:3423801:3425833:1 gene:PAHAL_1G049900 transcript:PAN04222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILLELKHLKLFSLPARPVICKGLLIVIVLVVLRAILSPFLAINSSEKEEFYESTVPDLFPGIRRDKFVEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLQPIDFDKVFDFNKFNARCHGFVRLARYSEVSNRSEPMKLQKGSGRRWTVERDLDQLQQFIGGKADDSEVIEIAGKHPFLWPDHWPVKDYAKIFDCMVLVPEIETEVVKVISKIREAGQRARHEAGISHSKQRRDVSTNLPIPYVAVHMRIEKDWMIHCKKWEQRSKSHEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAYEKKKLGVTDIYDRQPYLIKSAIDFEVCSRADVFVGNSFSTFSNLVVLSRTERLYNLGKASSCGENIGLSSYAYNVMGDDGGPQRWMTDMSDTNLQRLSYGTNNVSCH >PAN08142 pep chromosome:PHallii_v3.1:1:55221271:55223388:-1 gene:PAHAL_1G391100 transcript:PAN08142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLLGLGLPPATAAVVGHGGSAGQRHCYGQAAFDEPLRFAAAPEAPSPLRARVRRRPVGTDDDVPAPPANRLKPSSTAPWPAQPPFLKVCIPGAAGDKSPRASQLGSFMGIFPSAPSVQHAIATSTPSPQGASAPAVNGFGRTPPAAAGPIFEGSAAASRSSSDPDHSRAPLTIIYAGSVRAFDSVPMEQAEKILFLTAKEAQAAETPAYQQPVPQSELAAAPPSSAAQVMMLLGLANVKDSLLPKRTASLARFLHKRKQRQAIITPSHKAPFVDMEHPWAFEDGPKSMKENHGEEAVNTELKI >PAN04372 pep chromosome:PHallii_v3.1:1:4281209:4283256:1 gene:PAHAL_1G062500 transcript:PAN04372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWISSQPSLSLDLHVGLPPLSRHQAPVALAKPKVLLEENFLQPLKKEPEVAALETELQRMSEENRRLAEALTAVAAKYEALRSQYTEMVAAGATTTTGPSSTSEGGSVSPSRKRKTSESLDTAAAAPGAQQHPHGLHGGQHPGGAAADQMECTSGEPCKRIREECKPKVSKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTILVATYEGEHNHGQPPPAPSQQAHDGSGASKNAAAKPPSPPRPAAAAAQAAPHHPQLHQQQQDVVAAMSVGGEPVGAAASELIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >PAN04121 pep chromosome:PHallii_v3.1:1:2983373:2996104:-1 gene:PAHAL_1G043700 transcript:PAN04121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPAPSPASELPFRISFSGHGGHLRLDPTPHPSSPIPEFVLPPAYPPGSPSSLKEYLEANYLNPELHLPTAADAGRVWDVDWFALTRPPLEPSAPRTVLAPAWEPPFRRGRGTSQPALESQVWDPESVQMEMGEVFGSGTVGLAPRMPGPAKDFVRGSINNRPFRPGGLQDDDVEAAALEKAFPEGARNGDWMRELMTGGPAQVAPPGFRKGLELGQLKEYKSQWKCFRNGELVEEQPVSSSNDAMEKYSVQFDDLFKIAWEEDAANKALQEGGVQQSAEDEGTKDFHFPMAEVGEQKVDVWQGASETITKLDAENHEADVIRDDPETQTDLDQMLSSEVQDTRKESGISGDDKPTHDGKVWALVGGDEDIVTNFYNLVPDMAIDFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFSGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEMYKICERDTFLTQGFREAKDAFKKKNSNKLGVKPGPKSGTPAVRAGIQGKNPDTSSRGRDQKYPKHHNANSGAAAVSQSSSGPKRSESSFWMPLVNNLLKKSLVPVVIFCFSKNRCDKSADSMFGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSFLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIIMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPSIEEYYEMSLEAEAHRECITEAIMQSSSAQQFLMPGRLVVVKSETDDDHLLGVILKGPSQALNKYIVLVLTGDCTSSALSPELSNKTEKEPGDFQQGRFITPKGKRGMDDEYYSSGSSRKGSGVIKISLPYKGDASGMGFEVRAIEKKEIMSICTSKIKIDQVKLLEDGSKAAYFKTVQLLIKEQPEGTKYPPALDAIKDLNLKSMSLVESYHAYHRLLQKMSENKCHGCIKLKEHISLMREQKVYKDQLNELKFQMSDEALQQMPEFQGRIDLLKVINYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSAFVFQQRNTSEPSLTPKLTEAKKRLYDTAIRLGTLQAQFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMEVASNAIKRDIVFAASLYVTGI >PAN04120 pep chromosome:PHallii_v3.1:1:2983124:2996309:-1 gene:PAHAL_1G043700 transcript:PAN04120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPAPSPASELPFRISFSGHGGHLRLDPTPHPSSPIPEFVLPPAYPPGSPSSLKEYLEANYLNPELHLPTAADAGRVWDVDWFALTRPPLEPSAPRTVLAPAWEPPFRRGRGTSQPALESQVWDPESVQMEMGEVFGSGTVGLAPRMPGPAKDFVRGSINNRPFRPGGLQDDDVEAAALEKAFPEGARNGDWMRELMTGGPAQVAPPGFRKGLELGQLKEYKSQWKCFRNGELVEEQPVSSSNDAMEKYSVQFDDLFKIAWEEDAANKALQEGGVQQSAEDEGTKEVGEQKVDVWQGASETITKLDAENHEADVIRDDPETQTDLDQMLSSEVQDTRKESGISGDDKPTHDGKVWALVGGDEDIVTNFYNLVPDMAIDFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFSGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEMYKICERDTFLTQGFREAKDAFKKKNSNKLGVKPGPKSGTPAVRAGIQGKNPDTSSRGRDQKYPKHHNANSGAAAVSQSSSGPKRSESSFWMPLVNNLLKKSLVPVVIFCFSKNRCDKSADSMFGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSFLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIIMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPSIEEYYEMSLEAEAHRECITEAIMQSSSAQQFLMPGRLVVVKSETDDDHLLGVILKGPSQALNKYIVLVLTGDCTSSALSPELSNKTEKEPGDFQQGRFITPKGKRGMDDEYYSSGSSRKGSGVIKISLPYKGDASGMGFEVRAIEKKEIMSICTSKIKIDQVKLLEDGSKAAYFKTVQLLIKEQPEGTKYPPALDAIKDLNLKSMSLVESYHAYHRLLQKMSENKCHGCIKLKEHISLMREQKVYKDQLNELKFQMSDEALQQMPEFQGRIDLLKVINYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSAFVFQQRNTSEPSLTPKLTEAKKRLYDTAIRLGTLQAQFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMEVASNAIKRDIVFAASLYVTGI >PAN06598 pep chromosome:PHallii_v3.1:1:47543550:47545278:-1 gene:PAHAL_1G274900 transcript:PAN06598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSSLLPLRSFSLHPTTTAGAAYRSPPTTTVPFPRITLGRPRRRLAASATESSSSSEEEAAGSTNGSLPGLPPVEEDDDEFCPVDCVTEFKTDEEFRRHLDRSKVTGALVVVDFYRPSCGSCKYIEKRFMRLCKGSGDDGAPVVFLKHNVIDEYDEKSEVADRLRIKVVPLFHFYKDGELVESFATRDKERIIAAIRKYTSREPETTEEEVQE >PAN07866 pep chromosome:PHallii_v3.1:1:53722289:53724532:-1 gene:PAHAL_1G368700 transcript:PAN07866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHRAKRPADAAASPAAKRGRDPSAPAFPTYNEAPDLPAKIRILCEALAKVDSALDVDAALDHEDISVTTHDVEQVLRFSYAHPRAAVAFFRWAGHRHLGHEHSPYSWNLVVDILGKNRLFEPMWETVSSMHSQRLLSLATFASVFSSLAASPGGNPLKAFVDMSRYGMTRDTPALNSLLSALCRANRLDDARAAIIVARAEAGTRPDADSYAILLEGCEAAADPRVAREVFDEMVRAVGFDPDNVPAYDSFLTTLVSSDPSTALPEAMKYLAFLTERRCSPGEKFFRAALAAHLEARKLHGAIVLWNEFVGHRGLVPDMEMYNTMIMLQGSLGHAEVIVEYLDDMAFNGVFPDSGTYNLVLKFLLKGRKLREAAAIFSEMVKNELWPNEENCSLALRMFLDTHDWEMGIKVWNCMVENGLPPLEESGNMLVSKLRDDRLPEACKYAEDMIDRGIKLSSSTLSKLKLSLQKIKKDCIIQSLKHFGIERHYSSPVRPRATSSVVGIGCNPLQHRLSLG >PVH66162 pep chromosome:PHallii_v3.1:1:22286789:22287310:-1 gene:PAHAL_1G168000 transcript:PVH66162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSISSNSPSSSSIISITSPDSNTSREATPEFDPIASYEDLAPPYWDAEEWDYSTWSEDDEPLTDDEDLQILLHGDDDDSFSFSEEEAKETSTHDDSVAGGFLRDGLSTSEDGGNTSDDTSDEGCNSSGTSGGDGSSDDDTSASPPPPYKHRKTLGTYWW >PAN07372 pep chromosome:PHallii_v3.1:1:51183686:51187295:-1 gene:PAHAL_1G330300 transcript:PAN07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYMEGGQLGACLPSFLVPDHYAFPLPLPLQLPSQPNKLLQMPFDQEEAENHGVMLSSDHCGLYQLPALPLGCGSGAASAACGGKPTAGLMPSTIGADEVCTSVTKGCNESASTLWKGSATMAERGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVMTTYEGRHTHSPCSDDASSGDHTDCFSSF >PVH66482 pep chromosome:PHallii_v3.1:1:46362263:46363820:-1 gene:PAHAL_1G259100 transcript:PVH66482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGGTGVSLMMNGDLQVREVAAEELEHGEGGRRRHGGRGQQQAAARAGRERRRLLRAGAGGGEERAQLAQPRQAARVERHRREEPRRGRRRERSGREDPGPEARRRAAEGRREAVQVRGGRGGEEEVVAGRAAVVGRDGRVRRERAHGPLDGGQPRGRGERGCGREQELPRLVLHRGRRLRRRVGGRARARGGGVELGLGGGARAGEALDERHEVPRLGVDDLGRGVVDDDRLPRLLLVLVLVLVLVLLLLDDLLLLQVVVPLRRDGRLPDLVRVLADGEPRRARALQLPAARRRAVARRRVHVCGDRRGRRRAGAGRTGLCSRGGGGGEAAGGTVQRGEEERKRRGRSKSGGVCC >PAN05837 pep chromosome:PHallii_v3.1:1:35075807:35091701:-1 gene:PAHAL_1G210800 transcript:PAN05837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDSDASSQGGDHRSFGQITRDRLLFEMLRSTRKNSKSTWKVLIMDKFTVKIMSYACKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIVMFLSDMSGRSPLYKKAYVFFSSPVHKELVAQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENAEGSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASTMTTLRDLVPTKLAAGVWNCLAKYKTTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSATEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTHFVSKNKAAQLHQARNGGELSTRDLQKMVQALPQYSDQIDKLSLHVEIAGKLNNIIREQCLRDVGQLEQDLVFGDAGTKELINFLRTQLGVSRENKLRLLMIYAALNPEKFESDKGAKLMQLAGLSDDDMIAVNNMRCLCGPDTKKSTGGGFTLKFDVHKKKHAHRKERTGEESAWALSRFYPVLEELIEKLSKGELPKDEYNCMNDPSPSAHGLPTSSSLRTSPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHASSDFKKLGQRIFVFVIGGATRSELRAAHKLSSKLKREVILGSSSLDDPPQFITKLKLMSADELTLDDLQI >PAN05838 pep chromosome:PHallii_v3.1:1:35076830:35091701:-1 gene:PAHAL_1G210800 transcript:PAN05838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDSDASSQGGDHRSFGQITRDRLLFEMLRSTRKNSKSTWKVLIMDKFTVKIMSYACKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIVMFLSDMSGRSPLYKKAYVFFSSPVHKELVAQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENAEGSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASTMTTLRDLVPTKLAAGVWNCLAKYKTTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSATEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTHFVSKNKAAQLHQARNGGELSTRDLQKMVQALPQYSDQIDKLSLHVEIAGKLNNIIREQCLRDVGQLEQDLVFGDAGTKELINFLRTQLGVSRENKLRLLMIYAALNPEKFESDKGAKLMQLAGLSDDDMIAVNNMRCLCGPDTKKSTGGGFTLKFDVHKKKHAHRKERTGEESAWALSRFYPVLEELIEKLSKGELPKDEYNCMNDPSPSAHGLPTSSSLRTSPAHSMRSRRTGGTWARPRGSDDGYSRYGCGLFHLISEPLSDGWMAG >PAN05394 pep chromosome:PHallii_v3.1:1:24526000:24527242:-1 gene:PAHAL_1G175700 transcript:PAN05394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIQTTSPKTSSRVPSCTQSCLWLRPLLELRMAQDSDDSLFVASVVVWVFVVILAIVALHCPLPRRVVR >PAN08132 pep chromosome:PHallii_v3.1:1:55168538:55169080:1 gene:PAHAL_1G390200 transcript:PAN08132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVSAAASCSDLLGRRRSTPPPPPIPARAASTQQPDDGTTPEPELVRVFRRFDADGDGRISAAEMRESCGCTAAEAEEMVAAADRDGDGFISLEELVALFEDGDRSDALRAAFAEYDEDGDGGITAEELRRALRRLGLCGEEMTAERCAEIVAAVDRNGDGVISFDEFKAMMATEPAA >PVH65943 pep chromosome:PHallii_v3.1:1:8271724:8275879:-1 gene:PAHAL_1G109500 transcript:PVH65943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWMARAVCSLVLVAAVVASLAFHQASLNTRVTIAVVAGLLLRLLQCFLGPMRRCSRHWLVQRSAMAAYYLPTRAIVTYIAGAVVWKSSDSNIYQVSSCILLIITASKGTVEMAAYALSDSPPLRWQHFTLMLSICPLLWCLPGTYRVAVFNSFIYIYVVFTMPLIQPAGDRMKKDGEMIQIKGILQQRPDDMRSYRDTCLSYRYCRTLTRLYFGISSAEEGDPNELAALLPTEYDKVFNIVEVQLAFLHDYCFTTYHSMISSPPGMLSAAQEVWTVLQDILAYMAVPCLHWLFGGRLFTGWLFGGLTFDAQVLAVSAVELFIVVLVDWLQRRPILPMYWRTIFNALKKYPEDTGLVYRPTVLEWFVGKTLNCLTPPYWQNKIGQYSLLEDYDRRNHMNTCVSLFKKHMLSYVSYSFIKHHPEEEEPVSLPRSVKKILAYELHRVIREDGRQLTRGTKTLERNNVGPQFSWILEAQNHTDAILMWHIATWYCDIFDKGIISDHREAATALSGYCGYLVAFHPEILPGKSKNTMRVLQSVLGQARRSMSPEEDERTRLQQVLHKAKDLLGLTRMRKEEKERVIQRCEISGDSLTTFQKGVKLGMQLTDQLQIELRWKVMAEFWAGTILYIAPSDSKENVDVHVDQLAQGGEFLTHLWAWLSIVGIQKQAREEQIGPQREV >PAN06561 pep chromosome:PHallii_v3.1:1:47389075:47391875:1 gene:PAHAL_1G272400 transcript:PAN06561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGKGLNATGEFFRRRDEWRRHPLVGNQLRHATPGLGIAIVAFGIYLVGEAAYNRIYRPSGDHHH >PAN04843 pep chromosome:PHallii_v3.1:1:6857425:6862667:1 gene:PAHAL_1G096000 transcript:PAN04843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLQSEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFCSSLIMLWSPNVYVLLLARLVDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGIDDVSGEMALLVEGLAVGGDTSIEEYIIGPATEPADDHVADGDKEQITLYGPEAGQSWIARPSKGPSMLGSVLSLASRHGSMVNPSVPLVDPIVTLFGSVHENMPQAGGSMRSTLFPNFGSMFSVTDQHTKNEQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHGHRGSSLSMRRPSLLGEGGEGVNSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGVPGSRRGSIVSLPGGGDVPEGGEFIHAAALVSQPALYSKDLTERRMSGPAMIHPSEAAAKGPSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDLSGRRFLLLGTIPILIASLVVLVVSNVVDLGTVPHAALSTVSVIIYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCLISFVFVFLKVPETKGMPLEIITEFFAVGAKQVAAKA >PAN04842 pep chromosome:PHallii_v3.1:1:6857425:6862601:1 gene:PAHAL_1G096000 transcript:PAN04842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLQSEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFCSSLIMLWSPNVYVLLLARLVDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGIDDVSGEMALLVEGLAVGGDTSIEEYIIGPATEPADDHVADGDKEQITLYGPEAGQSWIARPSKGPSMLGSVLSLASRHGSMVNPSVPLVDPIVTLFGSVHENMPQAGGSMRSTLFPNFGSMFSVTDQHTKNEQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHGHRGSSLSMRRPSLLGEGGEGVNSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGVPGSRRGSIVSLPGGGDVPEGGEFIHAAALVSQPALYSKDLTERRMSGPAMIHPSEAAAKGPSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDLSGRRFLLLGTIPILIASLVVLVVSNVVDLGTVPHAALSTVSVIIYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCLISFVFVFLKVPETKGMPLEIITEFFAVGAKQVAAKA >PAN06420 pep chromosome:PHallii_v3.1:1:46700454:46704272:-1 gene:PAHAL_1G262800 transcript:PAN06420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPSVNEREFIESALQSDLRVDGRHSFDFRKLKISFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPRKVIVTDVTMEDKGDDELETQAVKTPSDAQEKSKGDEDRQNIKGNSPLTGDRIAKHKQTSTFSGGPSNWDPYSKGVSLSSLRISQLTDLATTVKASSHEDAQPMLTESANAEVKITLSSGAAGESEEAQEIGSPKSLKDAIKPKHKRKKNKK >PAN06418 pep chromosome:PHallii_v3.1:1:46699944:46704361:-1 gene:PAHAL_1G262800 transcript:PAN06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPSVNEREFIESALQSDLRVDGRHSFDFRKLKISFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPRKVIVTDVTMEDKGDDELETQAVKTPSDAQEKSKDLATTVKASSHEDAQPMLTESANAEVKITLSSGAAGESEEAQEIGSPKSLKDAIKPKHKRKKNKK >PAN06417 pep chromosome:PHallii_v3.1:1:46699944:46704361:-1 gene:PAHAL_1G262800 transcript:PAN06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPSVNEREFIESALQSDLRVDGRHSFDFRKLKISFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPRKVIVTDVTMEDKGDDELETQAVKTPSDAQEKSKGDEDRQNIKGNSPLTGDRIAKHKQTSTFSGGPSNWDPYSKGVSLSSLRISQLTDLATTVKASSHEDAQPMLTESANAEVKITLSSGAAGESEEAQEIGSPKSLKDAIKPKHKRKKNKK >PVH66494 pep chromosome:PHallii_v3.1:1:46701112:46704272:-1 gene:PAHAL_1G262800 transcript:PVH66494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPSVNEREFIESALQSDLRVDGRHSFDFRKLKISFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPRKVIVTDVTMEDKGDDELETQAVKTPSDAQEKSKGTHILKEFH >PAN06422 pep chromosome:PHallii_v3.1:1:46700454:46704272:-1 gene:PAHAL_1G262800 transcript:PAN06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPSVNEREFIESALQSDLRVDGRHSFDFRKLKISFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPRKVIVTDVTMEDKGDDELETQAVKTPSDAQEKSKDLATTVKASSHEDAQPMLTESANAEVKITLSSGAAGESEEAQEIGSPKSLKDAIKPKHKRKKNKK >PVH66493 pep chromosome:PHallii_v3.1:1:46701112:46704272:-1 gene:PAHAL_1G262800 transcript:PVH66493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPSVNEREFIESALQSDLRVDGRHSFDFRKLKISFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPRKVIVTDVTMEDKGDDELETQAVKTPSDAQEKSKGTHILKEFH >PAN06419 pep chromosome:PHallii_v3.1:1:46699944:46704361:-1 gene:PAHAL_1G262800 transcript:PAN06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPSVNEREFIESALQSDLRVDGRHSFDFRKLKISFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPRKVIVTDVTMEDKGDDELETQAVKTPSDAQEKSKDLATTVKASSHEDAQPMLTESANAEVKITLSSGAAGESEEAQEIGSPKSLKDAIKPKHKRKKNKK >PVH66832 pep chromosome:PHallii_v3.1:1:53309865:53315140:-1 gene:PAHAL_1G362100 transcript:PVH66832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKTLGCCRRRPQDFSIDMDQEPDRVMTYNGLETCIINSSAYDDDSGISATTGGDGCVTNDSLDDEVSSCSSKDASGSSFSSHSLSKQDEHSLDELGTPIAVHLLPFKGKKPITYTLSASDIENMKEKFSKLLLGDDTSGGARGVCTALALSNGIINLSATVFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQSGADGCTFEIMTPKARSDVHVNLPALQKLDAMLIEVMDSMIDTEFWYEESGSRADGRGKITFPRKSKKWWLPSPRVPEQGLSQFQRKRLVFQAKLVHQILKAAKSINEQVLFHMPIPAAVMDALPKSGRASLGEDLYQAITTEYIPIEEIFVSLSLKTEHSVLETINRLEGAVFAWNQRILEEKSKRSPGRHSWNFMKDSSSELDKMSACIERADTLVQLLKSRFPNLPPTFIDVLKVQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLVKKPNTPMATLKFDLSSDVYLAGITETPPGRIRRSLMDQISMVDGRLDAVVRKKGVKQLRW >PAN06430 pep chromosome:PHallii_v3.1:1:46727058:46731843:-1 gene:PAHAL_1G263300 transcript:PAN06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Dehydration-inducible SNF1-related protein kinase 2, Hyperosmotic stress response, Abscisic acid (ABA) signalin [Source: Projected from Oryza sativa (Os02g0551100)] MERYELLKDIGSGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGNPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYQIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAVQAKYYKKDNSAPTFSDQTVDEIMKIVEEARTPPQSSTPVAGFGWTEEDDQEDGKKPEDEDQDGEDDEYDGEDEYDKQVKQVHASGDFQHMIK >PVH66452 pep chromosome:PHallii_v3.1:1:45517645:45517992:-1 gene:PAHAL_1G250500 transcript:PVH66452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAATSARARDVSAPPAAPLALQGGVDGGSWRVRGFWNWESSFLRCSDGANAAAVVAQPTSQAALFGREIRGGGRPRPHDRSRCGVRAAGPVQARHARAAGRLDTSRPVVCRAC >PVH67098 pep chromosome:PHallii_v3.1:1:57969023:57972920:-1 gene:PAHAL_1G430100 transcript:PVH67098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASTSAAAAVLASRLLRRSPRLLRRLGLSRAPPVALSSSSRPLAPLARQPLGHRARMGHTAAAAAAEPALGLTKPNAAEPPQITFSAKDIEFSEWKGDILAVAVTEKDLSKDSDSKFENAVLKKLDSQLGGLLSEAAAEEDFTGKAGQSVVLRLAGQGFKRVGLIGLGQNAPSTAAASRGLGESVASVAKSAQASSAAIVVASPSGIQEEFKLTAAAAIASGTVLGLYEDSRYKSESKKVHLKQVDIIGLGSGPEVDQKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASTYSDVFTATILDVEKCKELKMGSYLAVAAASANPPHFIHLCYKPTDGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGACVVALGPSIAGIFTPSDELAKEVTAASEVSGEKFWRLPLEDSYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWILKNSSS >PAN04586 pep chromosome:PHallii_v3.1:1:5288292:5289574:-1 gene:PAHAL_1G077400 transcript:PAN04586 gene_biotype:protein_coding transcript_biotype:protein_coding description:26.5 kDa heat shock protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G52560) UniProtKB/Swiss-Prot;Acc:Q9SSQ8] MALARLCLNRTLASRALALARPAYAAAPAVDVSLHSLFSTSSAADSDAGGREVAVSGQSAHARRGRRWAWRDLRDFTPFRFVDGLGSALSQVAETLSRPLERLAPSRLLSGKVREDEARYRLRFEVPGLGKEDVRVAVEDGVLVIEGEKREHGEDGDDGEWWSASGYHASLLLPDDARAEGITAEVKDGVLYVTVPRTGERKRNVTEVKVQ >PAN04953 pep chromosome:PHallii_v3.1:1:7730209:7734683:-1 gene:PAHAL_1G104500 transcript:PAN04953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVIAYVDSKTHLLVDDRKKIAVRYLSTWFIFDVCSTAPFQPISLLFSHKGNDLAFKILNMLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLIAVTLFAVHCAGCFNYMIADRYPDPEETWIGAVMPTFRSESLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFDICYMLFNLGLTAYLIGNMTNLVVHGTSRTQNFRDSIQAASEFAARNQLPEKIKQQMLSHFCLQFKTEGLNQQAMLNELPKGIRSSIAYNLFFPVIKRAYLFHGVSNNFIAELVMEVQTEYFPPKEDIILQNEGAADIYVIVSGAVNLITTVNGNEQVYGKIKEGDMFGEVAALCDLPQPFTCRTATLSQLLRISKIRLTEIIQEHREDINILMNNLFQMLKLQENLPELNQLDRRFIHKYELFHVPREAWLLHQPFLQYTEHKCEDISKKVPTFGVDNGSTKLVAETIQLRKPQQENIHDQSNCNYGAADVMASKEEDHDEVHKSCETKKVTEEFCIQIKSEDCDAASSWQTSHETVKKRVTIHAYPHNATGYLVQNGKLINLPGSLEELFEIGSKKFPGFHPTKLVSRDYAEIDDISVIRDGDHLFLLQM >PAN04954 pep chromosome:PHallii_v3.1:1:7730532:7734196:-1 gene:PAHAL_1G104500 transcript:PAN04954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAHSKSRFQQFWDGVQVKRSSDSFTIELLPSLGATINHSNKLQKFIISPYDPRYRYWELFLTVLVIYSAWICPFELAFLRDLPSKLLLVENIVNGFFAIDIVLTFFVAYVDSKTHLLVDDRKKIAVRYLSTWFIFDVCSTAPFQPISLLFSHKGNDLAFKILNMLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLIAVTLFAVHCAGCFNYMIADRYPDPEETWIGAVMPTFRSESLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFDICYMLFNLGLTAYLIGNMTNLVVHGTSRTQNFRDSIQAASEFAARNQLPEKIKQQMLSHFCLQFKTEGLNQQAMLNELPKGIRSSIAYNLFFPVIKRAYLFHGVSNNFIAELVMEVQTEYFPPKEDIILQNEGAADIYVIVSGAVNLITTVNGNEQVYGKIKEGDMFGEVAALCDLPQPFTCRTATLSQLLRISKIRLTEIIQEHREDINILMNNLFQMLKLQENLPELNQLDRRFIHKYELFHVPREAWLLHQPFLQYTEHKCEDISKKVPTFGVDNGSTKLVAETIQLRKPQQENIHDQSNCNYGAADVMASKEEDHDEVHKSCETKKVTEEFCIQIKSEDCDAASSWQTSHETVKKRVTIHAYPHNATGYLVQNGKLINLPGSLEELFEIGSKKFPGFHPTKLVSRDYAEIDDISVIRDGDHLFLLQM >PVH65843 pep chromosome:PHallii_v3.1:1:6096585:6103386:-1 gene:PAHAL_1G088000 transcript:PVH65843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRCSGRWRPCPPPAPRVGLSRARGGARGPSRGARAASRGARPGGAGGGAWTGGAGGGAGTGGAGRGAGAGDAGELPAASRGARPRGAGGDAGTGVAGGGVGTGGADGGAGTVAASGLGYAPSAGEPASHGVAGRAPAPGWLAAQGVGSQSQSQAPSGSGVKRRATAGDQMEWTDEYNAIVSELMAEQVRKGNRPNTHLNTLGYTEVMDRFYQMTGIELSKIQVKNKWDRLKNDWSIWQKLVRKQTGTGWDSTRGVISMDNEWWKKIKKEIPGCGKFKKKALQNQEFLREMFGDISNDETDHWNPMSDNPIIPNDPIVPNSQQELENIDEDGEQQGGEEEGWEDMVHDWGYMEDNDTEAQEVSPVVGNQKRRPRVVLEIPKKQKTSTALVIQEQITKIADSASSFTSRKQAEVGIKEVMDLVLDCGADYGSNEHDIATQLFVKRDQREMFLTFPTREIRFSWLTRRYNDKYGN >PVH67169 pep chromosome:PHallii_v3.1:1:58844046:58847316:-1 gene:PAHAL_1G443900 transcript:PVH67169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLYNGTVPPCLSSAITVVPALSEDTMSTCMLAPNFTSGLHAINKSSNGKLCSGPYVTEPSDSDTQLLSTHSSLLCNSRPLMMDFPEVSEQISSNQEQLLGLFDYPSSVGFPNPQNVTAFGQQVQDTIITDPNTRVGGVALQNEWFSSGSSMQLPKNTVDARSATPATPKSYPYCRTQRSLPNPFNCDELCTDNLPSSNSAPKSRIRWTPELHERFVDAVNKLGGSEKATPKAVQKVMKVEGLTIYHVKSHLQKYRTVQHRPESSDAGVPGRRSSQTDEVSTLQLKGTGNVEGLMAQITLQKQLHEQLEIQRKLQLQVEEQSKYLEMIIVKQNESLKKLGALPGFQDRFLQVSDNKKEREEWTVCTHSAEHRL >PVH67239 pep chromosome:PHallii_v3.1:1:59657450:59658213:-1 gene:PAHAL_1G458400 transcript:PVH67239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVIGSGRRADCIGVNLSGRKAGRQAVYLPRYYCSSGSDYSPTTTYLLLTCIIITPYKYYSIACL >PAN07348 pep chromosome:PHallii_v3.1:1:50982957:50983853:1 gene:PAHAL_1G327300 transcript:PAN07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEDSSPSRRSPRERSSSRGRSTSCSPTEMQRRRLTGDEVAALAVRASSALVAAAAAAAQLSSPCRRRRPCPPRRPRRPGCWRARRGAGRRLAGARAPTAAGDSAPDRRTPPRRPP >PVH65793 pep chromosome:PHallii_v3.1:1:5343396:5352990:1 gene:PAHAL_1G078100 transcript:PVH65793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSETLGPSHAAGLLSERQGRFMHAGIAALINARVFLKKRLKKLNSKKGCRFGKIRKMETSRPSNRREVEKYFPGPSRGPLCEKKLFLFAKRPLTRHPGGASRPPNGREVPRRGIPPSQRADVPPPPPHTI >PAN07633 pep chromosome:PHallii_v3.1:1:52532287:52535394:-1 gene:PAHAL_1G351000 transcript:PAN07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLEEHQMATDEVAVAVDHRKDPLDSGDMDLSGEEHVPKARKPYTITKQREKWTEDEHRRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFTKVVRESSGSSTTAGAAPAIQIPPPRPKRKPAHPYPRKVDGAAKKHVPALRQLEKPPLRTHSPRDQDDGSPTSVLTTARTVLRAEELGSVFANSSSGSRTPSQSAAGSDEHGNGGGSPASTINREDGGVSPSVATDELATRTANAKVFGDAKEAGTEAPVFKLFGKKVVVKDSYGHPESGRDLKIGASPAIVAAGGSSWNPWPGAVQQLMCFVPQPDGFAAQSVVPWLAYNGSLPCALFYPQAAPSAQQLHQTSEPPDHKRTQREGSRTGSNTASSAVPAAQNSDATESHGPGQETTSESGAVPPAAAAVPVPRLARCASSASFSRRGFVPHKRCAAESEAPRPVAAGEEADGELTRLCL >PAN06654 pep chromosome:PHallii_v3.1:1:47814125:47814852:-1 gene:PAHAL_1G278700 transcript:PAN06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMVVGDLVEAYVLKNAYKEKLRRMVAAEEEEETKRRGSGSAEKKKASSGGGRGGGLFGLIKKKVHPKAAASSAVET >PAN05096 pep chromosome:PHallii_v3.1:1:8933540:8934899:-1 gene:PAHAL_1G114900 transcript:PAN05096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERHFNNCDLGVVKCMGSLHRLSPPRQADIPFAALLPPQTQTQKEKTMMPAPAPEPAKKPDADAGWRFPDVCTGSRQDGDELLKDLLAAHPPLPLPSPRSPPPLPPQQQQQLVVTAMDVPPPQVRTALPSTLTRVLPSVWPVLGGLPNPKRRRNQMKKVVRHVPADGSSSDVWAWRKYGQKPIKGSPYPRGYYRCSSIKGCTARKQVERSHLDPNTFILTYISEHNHAMPTHSNSLARTTLHMFPSSAAPLPPPSVVVGGADTSNMQHHQPIPSLTTMSTAGLSPMMPLCMPSMEQDDDEDKDELMVEDMEIASEDELLFLNTDGDYIAPLEQMSSLSDMVDESFLSSPWVSASTIADQPAKGQPVSGADLLKRQ >PAN08762 pep chromosome:PHallii_v3.1:1:58216660:58219749:-1 gene:PAHAL_1G434700 transcript:PAN08762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVAFLSTFSAKASLRQKIELIFKVYDIDGKGKVTFKDLLEVLRDLTGSFMSDEQREVK >PAN08763 pep chromosome:PHallii_v3.1:1:58216093:58219964:-1 gene:PAHAL_1G434700 transcript:PAN08763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVAFLSTFSAKASLRQKIELIFKVYDIDGKGKVTFKDLLEVLRDLTGSFMSDEQREQVVTKVLEEAGYTKDCSFSVEDFIQIIDHPGLKMEVEVPID >PVH67225 pep chromosome:PHallii_v3.1:1:59610420:59611955:1 gene:PAHAL_1G457300 transcript:PVH67225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQQGFCPSSLKTHGKDLPSLLAAAQPFLRDELDKIDPELPSFLSILHSAGAGERHHKNGAFLAHLLNFHRIIQLWGGALDITRCGLFHSSYANSYVNISIFESTTTREHVQQLIGAPVERLVFLFCAVPRHKLIHEELHFQYTDAELANHLAASDMSIKTARETGTFDTSEPWRKKLCSLLPPKGIEASHFKTGETISLSRRIVALFILMTIADICDQCIDYQDKLYANENGQLEFSGDNWGALWPGTCKPGLWMNAASRLSVLYNLLSLEMKSFTCKRGTKWERLSALTEMKK >PAN05377 pep chromosome:PHallii_v3.1:1:31506155:31511746:-1 gene:PAHAL_1G201300 transcript:PAN05377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MPPPLGAAAPAPAATAPSFIHLALSTRRRVPAPRAVAAASMPASHSSHEHDALLLHRAADVADRSAGLTSPHPNFGCVIARPQRETDSADSLVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVRSLVQAGITRVVVGLRHPLKHLRGKAIQALRSEGIQVDVVGEDLQNILFEEALKSCLTVNAPLLYRAAFHVPFSVLKYAMTADGKIAASSGHASWISGKASRGRVFELRGRSDAIIVGGNTVRFDDPRLTARHIKGHVPVRIVMSQSLNLPEEANLWNVNDAYTIVATQRGARRDFQKKLARKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYVFMAPKIIGGLNAPTPVGELGMSQMTQAIDLIDHSYEKIDRDMLLSGYIQPIPDLSPVIPSMDEIPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDENGDSFMWPTVEHYYQAHKFIGMDNPEARTIVQEIKLAKSPEEAARIGRTRQKEFPELVRADWETTKIDVMYRAIKHKFSTYPGLTNMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTFPASVEVGEAA >PVH65649 pep chromosome:PHallii_v3.1:1:3167632:3168647:-1 gene:PAHAL_1G046400 transcript:PVH65649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSMRGEHTGNIKARSSLDLSPAAFNPSESIQQFRHKWLLLYQELASARGPKRISDRRSRCWRRWRRARGSAATRSCADDASPPCARECKKAATSAASYDGGGRSLQQLAVSGRGDAAEVQASAIIGMARRAAGARPSDRAAAGRRRSLRWFLQRRREARSERGAGASPPSS >PAN07339 pep chromosome:PHallii_v3.1:1:51037925:51040438:1 gene:PAHAL_1G327700 transcript:PAN07339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQCDVCAAEAASVFCCADEAALCGACDRRVHRANKLAGKHRRFSLLNPAPPSSSPGQQQPPPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTASELTMRHTRFLLTGVRLSAEPAACPSPPSEDENSSGSFAPPPAPATSHGSDSSSISEYLTKTLPGWHVEDFLVDEAAAAAAAAASIGVSADASYQGGPPRIGGVPDGGYSAWMAQEQLFGDSAAAGGARASRERWVPQMMYGSPELAAVAGSKRSRTAASPAAYSYW >PAN07854 pep chromosome:PHallii_v3.1:1:53658797:53661696:1 gene:PAHAL_1G367800 transcript:PAN07854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGAKCSAAVGEGAYFMASIMAITGIMATVLVLSGLFQSALRRLDQPSIISHILAGIMVGPTVLGRAMDLQELGMRDAGSALSGTIYFVRMVFMFFIGLELDLRYLRHNLRRSLTIACGGSALCFVLAVLAGPFCYGLMHPGQGSFHPDKIFASTALFALVLTSTASPVLIRIVTELKLTGSETGQIAIGAAFANDMASLTALSVIIVTHTVYGAEVRKEDEPSPTFKAGRLAVLAVAVWMAVSLVAWVARLLNRLKRGRQYISKYELCGMLFLIMVLSLVQQALGYSASMTAFLIGLAMPREGPTARTLMDRLAYPVHQLVMPLCFGAIGARLDFTKIGNFTAVQLIVAVAVTTLLSAAGKVAGTVLAGRALGMATREAVVLGALLNVKGYSDILAINFGNKVDVWGEPVQVVLLMSSIINTFMAGPASAAIVRQQRAAFRYRSRCLQDIRVDDELRVLVCVHGAAGVHPMLTLANLSKGAALLPVYLLHLVELVTSRKYAITHQLYHAREGGEDEDEWGYAREIDQVAAAVATFTFDHAVHVRQMTAISNLASMDTDVRHNLEDSRASMVIMPFHMEQRYDGRMVCRGEGRRQLNRRVLQRSQCTVGILVERPFAQEVTGEQLEVMALFFGGEDDREAVAFAARLATQPSARVTLCRFLLPSGKGLLGNQETAEEAMQDEEFMADMYGRYVAPGQVAYTERHVSNGAETVTALSSMVGACSLFVVGNGGKAGGDRGVMTSDMGDLDEECPELGPVGELLASDDMVGCGSVLVLQQHKVRRPKHKQMRTWNMDNQQQQYQPQAPHYQADEDVSDSDDAVVDILGSASSAPTNRSLKPN >PAN06534 pep chromosome:PHallii_v3.1:1:47244365:47247406:-1 gene:PAHAL_1G270200 transcript:PAN06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoic acid reductase (EC:1.3.1.-), Jasmonic acid (JA)-mediated defense signalin [Source: Projected from Oryza sativa (Os02g0559400)] MEAKAIPLLTPYTMGRFDLSHRVVHAPLTRSRCYNNLPGEHVALYYSQRASKAGLLIAEATGVSQTAQGYPNAPGIWTKEQVEAWKQVVDAVHRKGGVFFCQIWHVGRASTYDYQPNGQAPVSCTDKQIMPQVLKDGTVEEFSTPRRLREDEIPQIVSDFQLAARNCMEAGFDGVEIHCAFGYLIEQFMKDSVNDRTDKYGGNMENRCRFALEVIQAAINEMGADRVGVRLSPYSNCLDCWDSDPDALGLYMIDAMNKLGILYCSMVEPEVIKVDGKVQIPYKLLHFRKAFAGTFIVAGGYNREEGNKAVSEGYTDLVAYGKWFLANPDLPKRFELNAPLNKYDRSTFYTPDPVVGYTDYPFLDLSSV >PVH66651 pep chromosome:PHallii_v3.1:1:49547028:49547432:1 gene:PAHAL_1G306900 transcript:PVH66651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKLQLVESAMSAVLALMLVYGCGHVHCATVPRNNSTDVLALIDFRQAITRDPRGFFSSWNNSVDYCNWNGVTCSKTHLGRVRELNLTGQSLEGQISPSLGNLTLLKILDLSSNSFPGQLPDLNRLRKLQFSL >PAN04293 pep chromosome:PHallii_v3.1:1:3762314:3771717:-1 gene:PAHAL_1G055800 transcript:PAN04293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGGGRRFRPGAPVLVLLLIAAAALPRRALAVTDAADVSAINGLYVALESPKLPGWSASGGDPCGEGWQGVTCIGSSITSIVFNAANLGGQLGSLGNFTSITEINLSNNNIGGTIPEDLPVTLQNFFLSDNQLTGSIPMSLSKLQSLSAMSLNGNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPPSLGSLTSLTTLHMQDNQLTGTLNVLQDLPLKDLNVENNMFAGPVPPKLLNIPNFKNDGNPFNTSIAPSTSPSSTPTGSTPTQTPSSPSSPSGPPPPSNTASNSSGSTARDSSSPSFKKHKSSTLRTVGYVLLAIVLFIVIVLLVIFCLSKYQERQERRDYSTSQLGRARQRVEEPKIKQASVQSRNDTKKGSAENPDRKKPREINLTVPAALEKPPEKRKEHVINLERTESEIFATAPPPPPPPPPPPPPPPPPPPPTRPPLPPMPSPPRVEKVTVNPIVRPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEQNLIRESRMGKVYLAELPEGKLLEVMKIDNANGRIPVHDFLELVARISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEGEELDDALSWNARLQVALHAAKALEYLHDTCEPPVVHQNFEPANVLLDNRCSVHVAECGLAELMLSGSVTQLSGRMRALLNYEAPEIHESGPFTNRSDVYSFGVVMLELLTGRRPFDSSRPRAEQHLVRWADSQLHDIESISKMVDPAIRGECSDILLSRFADIISQCIQTEPEFRPAMSAIVQDLTRIVGVTGEGSE >PAN04292 pep chromosome:PHallii_v3.1:1:3764293:3771717:-1 gene:PAHAL_1G055800 transcript:PAN04292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGGGRRFRPGAPVLVLLLIAAAALPRRALAVTDAADVSAINGLYVALESPKLPGWSASGGDPCGEGWQGVTCIGSSITSIVFNAANLGGQLGSLGNFTSITEINLSNNNIGGTIPEDLPVTLQNFFLSDNQLTGSIPMSLSKLQSLSAMSLNGNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPPSLGSLTSLTTLHMQDNQLTGTLNVLQDLPLKDLNVENNMFAGPVPPKLLNIPNFKNDGNPFNTSIAPSTSPSSTPTGSTPTQTPSSPSSPSGPPPPSNTASNSSGSTARDSSSPSFKKHKSSTLRTVGYVLLAIVLFIVIVLLVIFCLSKYQERQERRDYSTSQLGRARQRVEEPKIKQASVQSRNDTKKGSAENPDRKKPREINLTVPAALEKPPEKRKEHVINLERTESEIFATAPPPPPPPPPPPPPPPPPPPPTRPPLPPMPSPPRVEKVTVNPIVRPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEQNLIRESRMGKVYLAELPEGKLLEVMKIDNANGRIPVHDFLELVARISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEGEELDDALSWNARLQVALHAAKALEYLHDTCEPPVVHQNFEPANVLLDNRCSVHVAECGLAELMLSGSVTQLSGRMRALLNYEAPEIHESGPFTNRSDVYSFGVVMLELLTGRRPFDSSRPRAEQHLVRWADSQLHDIESISKMVDPAIRGECSDILLSRFADIISQCIQTEPEFRPAMSAIVQDLTRIVGVTGEGSE >PAN08220 pep chromosome:PHallii_v3.1:1:55549054:55553417:-1 gene:PAHAL_1G396100 transcript:PAN08220 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MEAAAPPPPLPLLPDSNPGVRCFLDGRFRSAADLATAADVEAEIRGRCAELEALVSDLSVCIYEAAAAYSSCREAAGLALRGVRDGLGALKASISTGVGEEVEVGTEKMQFEQLPALASEVARVEMVREYAETALKLDSLVGDVEDAVSFSVTRKLKSVGDNSEKTHHVVIGYLRNIEDILASVTMTRPQWACLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPSLAGSKFSSIDSGKQAEIVNPLFSMTGDLKSKYSESFLSLCHLQELQKRRKARQLKGHNVGNQLRQPLWILRDFVDSMDEILQPLVDKAKLIGYSCREEWISGMVIALSSYLAKEIFPKQIELLQESSSSDAGCTPYQARVSWLSLVDLMISFDKRTQDLISGTGLLLTVKDDDNWQRISVLSVFCDRPDWLEVWAEIEKQETLDKLKSAMESEKNWSTRNEGTMLEYGSDDYKSPVISAAVQQSLSLLIDRARPIPSIALRAEFIRMSASPIISEFLGYLLRRCQEAEGLTALADDNALLKVSQSINAARYFESTLTEWCEDVFYLEMENLSVNGEGGCIFQLEINHLKEFRVEWVSKITTVILRAFDSRSRDYLKNKRQWQEKSEGPAVSRAFIESLDYMQGQLSKLEGGLNALDFVTVWRSVASGVDQLLFSSILTGGTKISNGGVERLQGDLSVLFAVFSAWCLRPEGFFPRLSEGLRLLKLDDQQVRDGVFTDKNWLREHGIRHLTAADTEKVIKNGVYEA >PAN08221 pep chromosome:PHallii_v3.1:1:55549055:55553417:-1 gene:PAHAL_1G396100 transcript:PAN08221 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MEAAAPPPPLPLLPDSNPGVRCFLDGRFRSAADLATAADVEAEIRGRCAELEALVSDLSVCIYEAAAAYSSCREAAGLALRGVRDGLGALKASISTGVGEEVEVGTEKMQFEQLPALASEVARVEMVREYAETALKLDSLVGDVEDAVSFSVTRKLKSVGDNSEKTHHVVIGYLRNIEDILASVTMTRPQWACLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPSLAGSKFSSIDSGKQAEIVNPLFSMTGDLKSKYSESFLSLCHLQELQKRRKARQLKGHNVGNQLRQPLWVIEELVNPISTAAQHHFSKWTDKPEFVFALSYKILRDFVDSMDEILQPLVDKAKLIGYSCREEWISGMVIALSSYLAKEIFPKQIELLQESSSSDAGCTPYQARVSWLSLVDLMISFDKRTQDLISGTGLLLTVKDDDNWQRISVLSVFCDRPDWLEVWAEIEKQETLDKLKSAMESEKNWSTRNEGTMLEYGSDDYKSPVISAAVQQSLSLLIDRARPIPSIALRAEFIRMSASPIISEFLGYLLRRCQEAEGLTALADDNALLKVSQSINAARYFESTLTEWCEDVFYLEMENLSVNGEGGCIFQLEINHLKEFRVEWVSKITTVILRAFDSRSRDYLKNKRQWQEKSEGPAVSRAFIESLDYMQGQLSKLEGGLNALDFVTVWRSVASGVDQLLFSSILTGGTKISNGGVERLQGDLSVLFAVFSAWCLRPEGFFPRLSEGLRLLKLDDQQVRDGVFTDKNWLREHGIRHLTAADTEKVIKNGVYEA >PAN08167 pep chromosome:PHallii_v3.1:1:55315264:55320644:-1 gene:PAHAL_1G392900 transcript:PAN08167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAAGGAAAAHGKRWRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFSGNDIQHSTGNEEIYGVLSFVFWTLTLITLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTRDELMEEEKVTGRRGERPVSRVRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSMEKEQHKYIELPVACAILICLFALQHYGTHKVGFLFAPIVCIWLLCISAIGLYNIIHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIQIAFISVVYPALVLAYMGQAAFISQHHNFESSYHIGFYVSVPESLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWMLMILCLAVTIGFRDTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLAIGFLLFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMVVMCVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKELVSNIAEFIRSTGECDKNGFVEDTDKPSDKLSPISTGIPLWEEDGDLDASASPHKGIDPQNIAPKRKKARFMIPKSAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRKNSRGPSYAANIPHASTLEVGMVYQV >PAN08934 pep chromosome:PHallii_v3.1:1:58888738:58894534:-1 gene:PAHAL_1G445500 transcript:PAN08934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGAGLLLLLVLLLRAASALHETILPSPAPAPSKSPEARLFGPRISPSFPPRAPSPESPGIAVRHHPHKHQHHRESNQGPSPSSSPPPEGLQSVSPSLPGELDPGTEDPITAAVPNQKKKHKKSDIWIIVIGSASSLGLLLTCAVILILIVKWKKLGRLHEAMSPATTTAANRRYGARSTLSTSMVSSVSASMLSTVATCTLSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGMMEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEQNKRCLVYELIRNGSVESHLHGADKAKGSLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLNGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGGLVFESGSWGFGASGCLDYRNSLPFVTMDYSSGRLGHRDPRSALSTDSHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLPRH >PAN08931 pep chromosome:PHallii_v3.1:1:58888628:58892991:-1 gene:PAHAL_1G445500 transcript:PAN08931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSVSPSLPGELDPGTEDPITAAVPNQKKKHKKSDIWIIVIGSASSLGLLLTCAVILILIVKWKKLGRLHEAMSPATTTAANRRYGARSTLSTSMVSSVSASMLSTVATCTLSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGMMEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEQNKRCLVYELIRNGSVESHLHGADKAKGSLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLNGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGGLVFESGSWGFGASGCLDYRNSLPFVTMDYSSGRLGHRDPRSALSTDSHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLPRH >PAN08930 pep chromosome:PHallii_v3.1:1:58888629:58892991:-1 gene:PAHAL_1G445500 transcript:PAN08930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSVSPSLPGELDPGTEDPITAAVPNQKKKHKKSDIWIIVIGSASSLGLLLTCAVILILIVKWKKLGRLHEAMSPATTTAANRRYGARSTLSTSMVSSVSASMLSTVATCTLSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGMMEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEQNKRCLVYELIRNGSVESHLHGADKAKGSLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLNGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGGLVFESGSWGFGASGCLDYRNSLPFVTMDYSSGRLGHRDPRSALSTDSHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLPRH >PAN08932 pep chromosome:PHallii_v3.1:1:58889181:58894155:-1 gene:PAHAL_1G445500 transcript:PAN08932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGAGLLLLLVLLLRAASALHETILPSPAPAPSKSPEARLFGPRISPSFPPRAPSPESPGIAVRHHPHKHQHHRESNQGPSPSSSPPPEAGCSSYTCNEPLTATPIGSPCGCVEPISVIIDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSVSPSLPGELDPGTEDPITAAVPNQKKKHKKSDIWIIVIGSASSLGLLLTCAVILILIVKWKKLGRLHEAMSPATTTAANRRYGARSTLSTSMVSSVSASMLSTVATCTLSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGMMEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEQNKRCLVYELIRNGSVESHLHGADKAKGSLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLNGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGGLVFESGSWGFGASGCLDYRNSLPFVTMDYSSGRLGHRDPRSALSTDSHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLPRH >PVH67183 pep chromosome:PHallii_v3.1:1:58888738:58892403:-1 gene:PAHAL_1G445500 transcript:PVH67183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSVSPSLPGELDPGTEDPITAAVPNQKKKHKKSDIWIIVIGSASSLGLLLTCAVILILIVKWKKLGRLHEAMSPATTTAANRRYGARSTLSTSMVSSVSASMLSTVATCTLSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGMMEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEQNKRCLVYELIRNGSVESHLHGADKAKGSLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLNGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGGLVFESGSWGFGASGCLDYRNSLPFVTMDYSSGRLGHRDPRSALSTDSHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLPRH >PAN08933 pep chromosome:PHallii_v3.1:1:58888738:58894533:-1 gene:PAHAL_1G445500 transcript:PAN08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGAGLLLLLVLLLRAASALHETILPSPAPAPSKSPEARLFGPRISPSFPPRAPSPESPGIAVRHHPHKHQHHRESNQGPSPSSSPPPEGCSSYTCNEPLTATPIGSPCGCVEPISVIIDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSVSPSLPGELDPGTEDPITAAVPNQKKKHKKSDIWIIVIGSASSLGLLLTCAVILILIVKWKKLGRLHEAMSPATTTAANRRYGARSTLSTSMVSSVSASMLSTVATCTLSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGMMEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEQNKRCLVYELIRNGSVESHLHGADKAKGSLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLNGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGGLVFESGSWGFGASGCLDYRNSLPFVTMDYSSGRLGHRDPRSALSTDSHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLPRH >PAN05555 pep chromosome:PHallii_v3.1:1:44045727:44049642:1 gene:PAHAL_1G238900 transcript:PAN05555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLPPHKRHPSSTASAPTPNPPPPSLSSSLRSLSLSSPRGRGRGAGGRHSRPSNKIIHAAGCVSRWSTLPPFSSGPEDGDDEEPTLRLEPFPCDPIERKTGAKPLALVASAPGQGSSGSTVAAVTAIAERFLPDLLAAAERAKASYVSNEEELVKLSLVARVGKVLFQSQPGGSPVSLDTLRKAAKSGEYGSKSQLHKSFYTNVPGEYLNDMELSVVKRMGLEFDSSKEHYHVKVFDKHQSDSTISCKCTVEEDGSLVIHKVEWNQVRHLVEDISCLFKGLDLRLMLCTKRILKTPDSEVENALKSLVSSAVIDPDVKGGLRWPLGKESIGERFSIVGVWHTNYKAFRNETLRLKLRHADRFDHRSSTGEVSNEVTFKLVGMSRRLEDVDPDEASLKEMLESAVKMVWDNALNYKIAP >PAN05476 pep chromosome:PHallii_v3.1:1:27814333:27815220:-1 gene:PAHAL_1G189100 transcript:PAN05476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRAFLLVAALLSLLTCFHATASDPSLLQDFCVVDKMSKVRVNGLPCKDAKDVVAADFFFSGLHLAGNTTNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYIGFVTSNPGNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKNAVALAALSSQNPGVITVANAVFGSKPSITDDILAKAFQVNKMIVDRIQAQF >PVH66032 pep chromosome:PHallii_v3.1:1:10750033:10751745:1 gene:PAHAL_1G129500 transcript:PVH66032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDARIGTFDAAGAYPTKLPSPRMLAAERELHSPRREPPSGFRKSLNPIYADAHDATAASGSSSTTTTATSNSSSSAAANHDEDDAHAAAAPAVPTTTVPARRHTGGGDGRWEAVRAAEPPLSLGHFRLLRRLGYGDIGSVYLVELRAGAGRGALFAMKVMDKGSLAGRNKLARAQTEREILALLNHPFLPTLYSHFETDKFCCLLMEYCCGGNLHSLRQKQPNKRFTEDAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGTGGGLAHVCMLPRILPAKKSKKKKNKGDKDKAKLDEPPVASGGGHGKKKPPPPTSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGATPFKGSGNRATLFNVVAQPLRFPDAPAVSAAARDLIRGLLAKEPQNRLAYRRGAAEVKQHPFFDGVNWALVRSAQPPYIPDSAVDHCSQLTSDIVGTAAAAPGGTPKSAGRKTSSRHTDSSHVDFEYF >PAN07014 pep chromosome:PHallii_v3.1:1:49433200:49435451:-1 gene:PAHAL_1G305100 transcript:PAN07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLCPKKATKGNKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAVKKAFTAA >PVH66567 pep chromosome:PHallii_v3.1:1:48036423:48037087:-1 gene:PAHAL_1G282300 transcript:PVH66567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKILKTQIERKNQLKKQIDPSEMNCMSPHTTVKHHVGLINLIVGLKNSTKLNFSSRNQHISYFPNYKVNA >PAN07569 pep chromosome:PHallii_v3.1:1:52238971:52240160:1 gene:PAHAL_1G346300 transcript:PAN07569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAFVSSDYYSSSGTPSPVGGGGGGADGDDDGSSSSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRRRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRLLRVPPMGAGHDEIRRAAAVAAEQFRPTPGQGNAAAVEVAAAPPVALPSAPQNFDDPYCIVDDRLDFGMQGYLDMAQGMLIDPPPMAGSSASGGDDDDNDNGEVRLWSY >PAN08092 pep chromosome:PHallii_v3.1:1:54938823:54939553:1 gene:PAHAL_1G386800 transcript:PAN08092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCVGGEAGGAAARGVHPRYVPERGQVLRGVLGALFGCFRPAKTRPLPR >PVH66107 pep chromosome:PHallii_v3.1:1:17062655:17064066:-1 gene:PAHAL_1G151700 transcript:PVH66107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPMTPTCWPDAPTRSPPRRAASSKLLCSSCRPGFVPSSHWPNAGQQPRRSEAYKCFSPPRRLLNRSVTMQSSPLFFFSTPL >PVH66638 pep chromosome:PHallii_v3.1:1:49300904:49304342:-1 gene:PAHAL_1G303000 transcript:PVH66638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEIKTAPADFRFPTTNQTRHCFTRYVEYHRCVNAKGDDAGDCDKFAKYYRSLCPGEWVEKWNEQRENGTFPGPL >PAN08350 pep chromosome:PHallii_v3.1:1:56316119:56319220:-1 gene:PAHAL_1G406600 transcript:PAN08350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGNLASVVVSVDGSEESMNALRWALDNLRLRPDGELVVLHVQPPPNIAAGLNPAPIPFGGPSGLEVPAFTQAIEAHQRRITQAILEHALKICSEKNVEVKTEVIVGDPKEKICEVTANRNADLLVMGCRAIGPLKRMFLGSVSNYCINNVGCPVVVIKGT >PAN07229 pep chromosome:PHallii_v3.1:1:50586051:50587186:1 gene:PAHAL_1G320200 transcript:PAN07229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRDVSTAAAMFNVYQPLPALSTAAPAAAADGGGGAMAAVPAKPVKKEGGGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTTPAVFVSSSAPSTSSSSSHHHQQTVLGKRPREEGDAAAAASAFWAALPAPPRTTEAWGFSPLEAQAAAAAAAAAAYVPMAQAHHHHLNLLAALSGAARRAEEETR >PVH66765 pep chromosome:PHallii_v3.1:1:51814698:51817535:-1 gene:PAHAL_1G340500 transcript:PVH66765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGAKKMKDENKKRLDLLLRIILVSNAIYVVVRMGIMHSSFTWKHWIGLMLTSAAYFLPYKQLASMAKPVYSDNGELLDGGFDLSTGGICEYLYDVIYITVFVQLMSIISEKFWWTYLVIPAFAGYKISGLLRGTFFSGGSEGEVEDEKTRKKREKMEKKASRGKMIKTRTR >PAN04361 pep chromosome:PHallii_v3.1:1:4242622:4244835:-1 gene:PAHAL_1G062000 transcript:PAN04361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGGEQLSLAAVRDALVRLEDSVVFALIERARHPRNAPAYAPAAGGGGGAGWRSLVEFFVREAEALNAKAGHYQKPEDVPFFPQDLPSPLFPTKSSPKVLHPSASLVTVNDAIWKMYFDGLLPLFTVDGDDGSYAETVALDFACLQVLSRRIHIGKYVAEVKFKNASQDYSPLIQAKDSNSLMDLLTFKAVEEKVKKRVEKKARTFGQNVTLEDSAGKQQSTAGDSECKLDPTVLSKLYDQWVMPLTKDVEVEYLLRRLG >PAN04360 pep chromosome:PHallii_v3.1:1:4242380:4245013:-1 gene:PAHAL_1G062000 transcript:PAN04360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGGEQLSLAAVRDALVRLEDSVVFALIERARHPRNAPAYAPAAGGGGGAGWRSLVEFFVREAEALNAKAGHYQKPEDVPFFPQDLPSPLFPTKSSPKVLHPSASLVTVNDAIWKMYFDGLLPLFTVDGDDGSYAETVALDFACLQVLSRRIHIGKYVAEDSNSLMDLLTFKAVEEKVKKRVEKKARTFGQNVTLEDSAGKQQSTAGDSECKLDPTVLSKLYDQWVMPLTKDVEVEYLLRRLG >PAN07104 pep chromosome:PHallii_v3.1:1:49944989:49945913:1 gene:PAHAL_1G311200 transcript:PAN07104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTAAQRQRRPPQEGARAHLLAGTPSRHDARPGDGAARAAATKGCATFWVEGEGGEAPRRVAVPVARLGHPRMLELLGEAREAYGFEHEGAVAVPCGVDCFMRAVEASASASAGHGHARGRGNHHHFRLPHIHIARCFRPSHVVA >PAN04011 pep chromosome:PHallii_v3.1:1:2399905:2409674:1 gene:PAHAL_1G034900 transcript:PAN04011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRGRGGGGRGGGGGGGRGGGGGGGGGGGNSSRTDLLAAGRKKLQQFRKKKGKREPGKKAEADADAEAEEGPAKAEEPVPEPKSPVGLKFLAGEGGSTPFEEAEGSQAEQCNGEGPGTVESSSMENADAAQEQETAAGVTDVHSVGTSEQDSSEQRESGTADGEDLAIHATSGDDSGVLVEGAHLGEDGKLTEPIFKDNMELNTSSQLDGADDDSNQLGDKQPVEMDPVDRPTSSGFGEVTEVPIPSQDIGIDNNNDEGAQEMVMDVSGRSLDGDVQHDGEPTVSAEIVAATALEEELTAAASNEIPESTVRRGTEEETDGVDREAVEENPSTAHVTDEAVTTNDLSLQAKLTDEENPSTAHVTDEAVTTSDLSLQAKLTGAVDTPLCEQNGDPALFRSAVLQGIVPDHFEDIQRHLYSVTLSRDFLQLQLDEADGLYSAVTQQSSDETTRLQVLLKETEETKLAVSKELHQCRHELSEVNTVKGELELIMASLKEEIKTSNLRCAHLDSELHSSKENTQQFQSELAESRLLLEALQKENLELSRSLAFEKETKKAVEEQRDHLSSDNRKLLSELSGLELSLASMKQEMDAGSSRCEVLECELRSSNEHLEHTSTELANCRALLESLQKDNLELSADFASEKEAKKKLEEDNVDLCNEKGRLSSDLSQLNDKLHLSYAKHKQLESHVKDMETYFGQLTEQLIEESLYASSSADIYLSVIKDLHTEYNVVLGQFQDVVHQESELHLDSPKVTTENAERAITSPIPVSHGNNQCTLNVANTTDSRNSTALQSLTGHLEVAKGDLHDLEKLLERISSRSDGRVLVSKLIKSFEPKGNEVDTGTSEGEHDDLRKSTQEMIRSLGGKFMAMSTDITKTEEYVAELCNKIELYTKSTMQHDIDRQQTVVLEAKMDELATKLGNYKDTIDNLHNQVGIIQQDANSNAGRLIDQAELLQKDAVERISILEKERASLSDLLIEVTNKLTSLGHAVFPNDSSESEDLCFRALSCVDLVSTSFQSLQEKLEAAQVDNAQLNSSLVELRKANCVAQERSEQAFEIMKKLYDSLQEVLCDSLKSSDQFGTGYSAEGPIESQYGRLIEHLKNLLHEHNSMLSTNADLESRLLSKCEEVEELNMRCSSLTKNLNDVCILNEELKSASLSKNATQDELQSRCLAVAEKLVSHSTNHSSVIGQLTSESDEGFSKEDHILNTLLPCIEEGVASCIEKFENAAEEIRLSKIRLQEINIFDQISFDKWSYPLPTLIKEEIFPKLCDMQDRIDQLNALNIQLETEVPVVRDGMEKLDEALKTSRTELQKKVSELEQLDQKLSSVKEKLSIAVAKGKGLIVQRDSLKQSLLEKSGEVEKLTQELQLKETLLKELEAKLKSYTEADRIEALESELSYIRNSATALRDSFLLKDSVLQRIEEVLEDLDLPEKFHSRDIVEKIELLSKMAVGTSFTLPDGDKRSSIDGHSEPGLAMDVINDEQNSNSNPASDELKSKYEELHRRFYELAEHNNMLEQSLVERNSLIQKWEEILGQISIPPQFRMLEAEDKIIWLGNRLLEVEQERDSLQMKIEHLEDSSEMLIADLEESHKRISELSAEVVAIKAEKDFFSQSLEKLRFEFLGLSEKAVQDEFVRDNLRKDLSELQEKLAEKNEESRHYHEMDIEIHKLLNLVQNTLQDGSNSEISSGDTSAVLCLDKLVRKLLDDYGTHLSKSTEGNYAERDVQLEDIKPSNDTSTSDTCTSDKEIELNSLNNELDHARNNLALVEQQRDEAMEKTQSIMLEIETLHAQINKLQESDAEQMQKYQSLVLELESVGKQRDNLQEQLNQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNAVIEKLKDERKQHIETLETEKSSLMDQLAENEKSLHETNQYLSGLLNALNKVDVAREFDMDPITKVEKIAKFCLDLQETVVSSQNELKKSKRATELLLAELNEAHERADNLQEELVKAEASLSESSKQYSVTESARADAVRHLEHIMHVQSQTRRKQVDHLMELNSTSSQLREVCYELSHRLVNAFSKDVDLICYMENFMKSSGKWMDGTNMMDVLIASKHVLSNRINNKKAHISNAPLEIKMDDTDERQILHHLAIACHALSDCVKDCNDIKRSIDEHGFSVEQKATNLFDVMSNLQNRFTSQHNELESLRAKFAELQSEMKERDEEIVSARRNMSLLFEACTSAVAEIEGMTDIYPGNRSYAVEHSADECIKSIVEQLVLAVKTSQNSNEGSTKELKATILELQQELQAKDIQISTISSELSYQLRAAESSAKQLSIELEDARMEVHNLAKQVDMLHNQNKALETQVNELKNMESVASEQHRRIKELTVELSRKDQEIEGLMQALDEEEKELEVMENKSHELEQLVQEKEFALKSAEVSRTKALAKLATTVDKFDELHNLSENLLAEVENLQSQLQERDSEISFLRQEVTRSTNELLTTEESNKKYSSQINDFIKWLETALLQFGVHCDCDDSQVSAYIDMLGKKIGSLIAESDDLKVIVQSKDSLLQVERTKMEELIRKSDALEISLSQKDSQIGLLRRDRGSSQLSRSINLPGTSEIEQMNDKVSPAVVTQLRGARKVNNDQVAIDVEMDKDKPLDDEDDDKAHGFKSLTMSHFVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGVLIYWIALHALLASFI >PAN06033 pep chromosome:PHallii_v3.1:1:38747367:38748299:-1 gene:PAHAL_1G222600 transcript:PAN06033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVESLEEGVSRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPTIDPSNSDWDVRTDHYGHLLGDVAEETVRIVTRFMDVQYRYQKLLRHGVNQIIGVAQGHYRNADHRVTQIEELQALVTQKDEIIAARDETILHREDQIMESDHLITQRDAVIEFLQEHIHDLMLEADDAQAHIEELQQQPILPAIPLVPEEEEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PAN07723 pep chromosome:PHallii_v3.1:1:52991156:53000652:1 gene:PAHAL_1G357900 transcript:PAN07723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDRVTLKSVSCGARLRRSCDASLGEGGSMRDPFLKHRVKKFDLSSLDWIDEIPDCPVFSPSTQEFEDPVVYLSKIAPVAAKYGICKIVSPVSASVPAGSVLIKELGGIKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFREFEKMANKEFVRRYSSAACLPSRYMEEEFWHEIAFGKMESVEYACDIDGSAFSSSPNDQLGRSKWNLKRFSQLPNSTLRLLRAAVPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGGAASDFEKVVREHVYDHEILSCEGENAAFDVLLGKTTIFPPNILLHHQVPVYRAVQKPGEFVVTFPRAYHSGFSHGFNCGEAVNFATGEWFPLGAVASQRYALLKRIPVLPYEELLCKETTLVANEFTMSDREDITLTGDTRIQSCMKAPFVQLMRFQHRVRWSLMKMGARTHYKADIDTTVLCGICKRDCYVAHIMCNCRADAICLCHEEDIRKCPCSCDRVVFVRKDIFELEALSKKFEEEIGILDEVGKWMSQSDGSSTHPCLFDGINHNSKYFPYCKIQIDSSPEIHTFSEIDILGYDLNKPYPTASTITFAHGPHDYTTQSDECTSSNRRTFSRSCPENGTTNSYPLSTTDEAFSSDKLAAQDSDDSDYEVFRVKRRSTIVLEKRCSEDVTTNLTENQVLRRLKKARSDDRQERRATEVSFGARSHSLHIESHCVDSVSGNRDNFVNGTKLKMIHQLDVNIVEDEVGFSQKSNGCSYQSPSVDLGPKRLKIRGPSFPSTISEVEISYRFQEDRDMASQHTQ >PAN07858 pep chromosome:PHallii_v3.1:1:53688721:53691517:1 gene:PAHAL_1G368200 transcript:PAN07858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPGEHMAGPPWRLRHRRLMDIAPASPSDSGHSGSKGMTIMVSILVVVIVCTLFYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPVMDLSSIHEATNSFSKENKLGEGGFGPVYRGVLVGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVERDEKMLIYEYLPNRSLDAFLFDTRKSGQLDWKTRQSIILGIARGILYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSFGVLVLEILSGQRNGSMYLQEHQHTLIQDAWKLWNEDRVAEFMDASLAGSYSRDEAWRCFHVGLLCVQENPELRPTMSNVVLMLISEQAQMPAPAQPPLFARLKKVSVSDFSLAMKTETTKTQSVNEVSISMIEPR >PVH65602 pep chromosome:PHallii_v3.1:1:2410958:2415655:1 gene:PAHAL_1G035100 transcript:PVH65602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGPFHPASPVRAVGSPSHSHGWHRWIPFKPLALSSLSPPFPTSFMEALVARSSVLSPPAVAGDASPSPSRPRVSAALPGPRRSPSTLAICTRWTRASHRRGGGRLLAGSGEGGSPDPAGGAVGKEEYSPLLENNVTLRESHDMDTTKHDEVGISGHGGSNTGGSRTGLFRTPISGGVHSATAVHDLPPPALAVRNLMEQARFAQLCTVMSRMHHRRAGYPFGSLVDFAPDPLGHPIFSLSPLAIHTRNLLADPRCSLVVQITGSSGLSNTRVTIFGDVIPLPAEQQDWAHQQYVSKHQQWASQQWGNFYYYRMETISDIYFIGGFGTVAWIDVKEYESLQPDKLSMDGGEQNLKEINSMFSKPLKELLSTEGEVDDVAVISMDSKGIDIRVRQGAQFNIQRIAFEVNRSVETLDEAKEALRRIISKSRWHTKSSILRCA >PAN08704 pep chromosome:PHallii_v3.1:1:58022823:58027550:1 gene:PAHAL_1G431100 transcript:PAN08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSGREMQGGGGGGQDDFFDQMLSTLPAAWSELGSGKSPWELPAGASEDAAFDESALLASRLRHHQIGGGGDKPVMLHLSDLHGLAAGVEDGGAAGFLPLPLFTDRAREDMDATFKSPNAVGGDQTLYNGFGAAGMHGAAAVQPQFGQGGSMPAQSFGGGAAARGGGSAPAGAPAAGASSGGGAAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGVGPLVANMSAEGNGNGNATSDSGDGNAANGGGNGENGGGSLKVTEQQVARLMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSSLLSRPSIGSMGSARGPAHEGGNPASPPLMNGAGGDDSRTVKDAGAGSKQ >PAN08968 pep chromosome:PHallii_v3.1:1:59072298:59076387:-1 gene:PAHAL_1G448500 transcript:PAN08968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MSLHHCSAATTTPAMAATTGSAGLSSAPPFRLLGSCSGSGPAQLRLPPAAACRRRSLLRCAASGGGDGGSRSDPAPEEQRRRQAELAARIASGEFTVQGPGWIAPLVGRLSKLGPPGELAAALLTRLAGAGAARGGPEIPQAVGSINAVVGQAFFVPLYDLFLTYGGIFRLNFGPKSFLIVSDPGIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALKLINTTLDELIAICKRLVEQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPKVMAKLQDEVDSVLGDSLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGGYPIGRGEDIFISVWNLHHCPKHWDDAEVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVKRFDFQMAPGAPPVDMTTGATIHTTDGLKMTVTRRTKPPVIPNLEMKVVSDSQEPTRSTPSMVVSAATVASGEDQRELS >PAN08966 pep chromosome:PHallii_v3.1:1:59071478:59078422:-1 gene:PAHAL_1G448500 transcript:PAN08966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MSLHHCSAATTTPAMAATTGSAGLSSAPPFRLLGSCSGSGPAQLRLPPAAACRRRSLLRCAASGGGDGGSRSDPAPEEQRRRQAELAARIASGEFTVQGPGWIAPLVGRLSKLGPPGELAAALLTRLAGAGAARGGPEIPQAVGSINAVVGQAFFVPLYDLFLTYGGIFRLNFGPKSFLIVSDPGIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALKLINTTLDELIAICKRLVEQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPKVMAKLQDEVDSVLGDSLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGGYPIGRGEDIFISVWNLHHCPKHWDDAEVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVKRFDFQMAPGAPPVDMTTGATIHTTDGLKMTVTRRTKPPVIPNLEMKVVSDSQEPTRSTPSMVVSAATVASGEDQRELS >PAN08967 pep chromosome:PHallii_v3.1:1:59072597:59076387:-1 gene:PAHAL_1G448500 transcript:PAN08967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MSLHHCSAATTTPAMAATTGSAGLSSAPPFRLLGSCSGSGPAQLRLPPAAACRRRSLLRCAASGGGDGGSRSDPAPEEQRRRQAELAARIASGEFTVQGPGWIAPLVGRLSKLGPPGELAAALLTRLAGAGAARGGPEIPQAVGSINAVVGQAFFVPLYDLFLTYGGIFRLNFGPKSFLIVSDPGIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALKLINTTLDELIAICKRLVEQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPKVMAKLQDEVDSVLGDSLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGGYPIGRGEDIFISVWNLHHCPKHWDDAEVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFEASWINADCGGNSNACQAI >PAN04876 pep chromosome:PHallii_v3.1:1:7200070:7202953:-1 gene:PAHAL_1G098900 transcript:PAN04876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDNSQTNGARDRAMEEGRKDEFAGDRGCGAAISIPFVQKIIAEIFGTYFLIFAGCGAVTINASKNGAITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTFAFATCGRFPWRQLPAYVLAQMLGSTLASGTLRLMFGGRHEHFPGTLPTGSDVQSLVIEIITTFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPVSGASMNPARSVGPALVSGQYRSIWVYLVGPMAGAVAGAWAYNLIRFTNKPLRELTKSTSFLRSMSRMNSTAV >PAN08146 pep chromosome:PHallii_v3.1:1:55241076:55242530:-1 gene:PAHAL_1G391400 transcript:PAN08146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAQLKRLKPLYQLVVNNILAIVAVPLAAAVVLKAAELGPGEILARLRALRPAHMFLAAFLPAAGAVLYLLLRPRSVYLVDYACFRTNPNCRVPFATFLEHSRVWPGFDERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELVIFSAIDDLLAKTKMSPQDIDILVVNCSLFAPTPSFTDMIMHRYKLREDVRNVHLAGMGCSAGLISVELARNLLQVAPRGAHALVVSTETITPNYYMGQERAMLLPNCLFRMGGAAALLSTNGANARFRLARVVRTLRGATDSAYHCVYQEEDERGNVGINLSKDLMNIAGDALKANITAMGPLVLPASEQLLFALSFMARKVLNNRIRPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIRPAANADGPWANCIHRYPVHIPDVLKH >PVH66784 pep chromosome:PHallii_v3.1:1:52171044:52177852:1 gene:PAHAL_1G345900 transcript:PVH66784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEAMAASIGVSVPVLRFLLCFAATIPTGLLWRAVPGATGRHLYAGLTGAALSYLSFGVTSNLLFVAPMALGYLAMLLFRRHAGLITFLGAFGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYSDGILKEEGLREAQKKYRLTKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTERKGIWASSTPSPLLPTLRAIVQAGICMGLYLYLSPKFPLARFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEAAIIISGLGFTGWSESSPPKAKWDRAKNVDVLGVELAGSAVQLPLVWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAIWHGLYPGYIIFFVQSALMINGSRVIYRWQQAVSNSVLCSILAFLNFAYTLLVLNYSCIGFQVLSFKETLASYQSVYYVGTIVPIVCLLLGNVIKPARKPKAQKAE >PAN07670 pep chromosome:PHallii_v3.1:1:52690253:52693774:-1 gene:PAHAL_1G354000 transcript:PAN07670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAINDGGMKMTVILVEDEETTMAAPAPMPSTKCCEYTLDGSVDIKGHPAVKGKSGGWLAGGLILVNQGLATLAFFGVNVNLVLFLTRVLGQSNGDAANNVSKWTGTVYMFSLIGAFLSDSFWGRYKTCAIFQAIFVLGLALLSVSSRLYLIRPEGCGMEQAPCGEHSGKELGIFYIALYMIAFGNGGYQPNIATFGADQFDEEDPAEAHSKVSFFSYFYLALNLGSLFSNTFLSYLEDEGRWALGFWASTAAAATALLLFLSGTLRYRYFQPGGNPIGRVCQVAFAACRNWKAGASAGVVVTLYEDDEKADAGGRKLLHTQGFSFLDRAAHVDADTKLGARDPWKLCTVTQVEEGAAMRRTTPFAGFSVPPSSMSAFDILAVATTIFLYRRAICPFLARLTGRPAGPTELQRMGLGLVVGALAMATAGTVEHFRKAGATTAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGQMPDGLKSFGSAFCMMSMSLGNYFSDVIVSAVTRVTTTRGRPGWIPGDLNQGHLDRFYFLLAMLAVADFAVYLVCASRYGSGKVDGRSSDDEEEEGTAGQVASPGGERMA >PAN07671 pep chromosome:PHallii_v3.1:1:52690584:52693575:-1 gene:PAHAL_1G354000 transcript:PAN07671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAINDGGMKMTVILVEDEETTMAAPAPMPSTKCCEYTLDGSVDIKGHPAVKGKSGGWLAGGLILVNQGLATLAFFGVNVNLVLFLTRVLGQSNGDAANNVSKWTGTVYMFSLIGAFLSDSFWGRYKTCAIFQAIFVLGLALLSVSSRLYLIRPEGCGMEQAPCGEHSGKELGIFYIALYMIAFGNGGYQPNIATFGADQFDEEDPAEAHSKVSFFSYFYLALNLGSLFSNTFLSYLEDEGRWALGFWASTAAAATALLLFLSGTLRYRYFQPGGNPIGRVCQVAFAACRNWKAGASAGVVVTLYEDDEKADAGGRKLLHTQGFSFLDRAAHVDADTKLGARDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTPFAGFSVPPSSMSAFDILAVATTIFLYRRAICPFLARLTGRPAGPTELQRMGLGLVVGALAMATAGTVEHFRKAGATTAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGQMPDGLKSFGSAFCMMSMSLGNYFSDVIVSAVTRVTTTRGRPGWIPGDLNQGHLDRFYFLLAMLAVADFAVYLVCASRYGSGKVDGRSSDDEEEEGTAGQVASPGGERMA >PVH67153 pep chromosome:PHallii_v3.1:1:58430481:58433246:-1 gene:PAHAL_1G438400 transcript:PVH67153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSESDFVYVLDDDMIPGTRMLEILCHVGGTEKYGNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITIDRIVQVDFLSSSWFLPADLVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPIDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTAGRKAYLVVSGGGHCPCEEAATVLKWPKVVCKDRRFKIFDLGIGALSGPSRSEVPVLQAVYASMRGIVRMHNPSVVVALNDIDGKVKEALRMAADAAVNRTALVLLPRGSVSKVLWMATLKPASLPNWNRMRISVNIITQNRAKSLLRLLTSLRSAYYLGDEVPISFNMDNRVDASTLKVVNSFDWSHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPYYYLWVKYALLAYHYDPAVSLPELSSISLYTPRLVEVVKERPKWNATDFFRKVHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNVLKHDKSDFEVPLVADDFSTLLPQGKMPPASKLPVINLFNQAVSLKGLKAAGAKLGQDVLSCAAKELVAVDQITGLPTNCTSF >PVH66774 pep chromosome:PHallii_v3.1:1:51993109:51995536:1 gene:PAHAL_1G343700 transcript:PVH66774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRGLTIAHVKSHLQMYRSSGHDMRKREMQPRLGHLKHSFTIDGGGPKEFFCPPMKRAKAGAEAPATAMHERMQGNSDMGAAGARRCSDDYTQAVPVGSRRITECPGLPWQREASAASALQELGFWVRGTEPFKVRQIGRPIANRLSPVVRPLSSKEIKCEDRCFLFGTATRDEPAKRRSPSQPPASIDPKAAVAAVSSLPSEGSCVLSPPPPSTSFNGCSRPGRGSCLFAGQRINLNLSLSICGS >PVH66466 pep chromosome:PHallii_v3.1:1:45806336:45807577:-1 gene:PAHAL_1G253900 transcript:PVH66466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIICAYKIMCQLYQFALRWKYQHRSLFLPQQDLEQRAQHRCRLPHGSVRGHRTTMPLGYATPGEQSGHCTACQKQQRAESLVPAFAPKRERGHTGA >PVH65679 pep chromosome:PHallii_v3.1:1:3442755:3445958:-1 gene:PAHAL_1G050600 transcript:PVH65679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTASPHLPLASASASARTSCLPNRFLRSPCSPPLRGPRLRAGSVKEWREFEDEAGAAEEWREFEDAVRRKDLSRALRFLQSVEPAAAAAGTAATQVVAVPVPPGRDWEVLDACIDADDMRLVGRAYQFLVDRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAAKLAPKKWGLSGSSPYVLVGFLGGVSFLLTQGIDLRPKLGAVLALATTDAIFLGGTCLAQISSFWPPFKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTVFDRYSMILFAGIAAEALVYGEAEGGENDENLFRSLCILLNPPLSVAQWHKKAHRAAVKALEEGHSLSIVIRRIEETIASDR >PAN04232 pep chromosome:PHallii_v3.1:1:3443315:3445891:-1 gene:PAHAL_1G050600 transcript:PAN04232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTASPHLPLASASASARTSCLPNRFLRSPCSPPLRGPRLRAGSVKEWREFEDEAGAAEEWREFEDAVRRKDLSRALRFLQSVEPAAAAAGTAATQVVAVPVPPGRDWEVLDACIDADDMRLVGRAYQFLVDRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAAKLAPKKWGLSGSSPYVLVGFLGGVSFLLTQGIDLRPKLGAVLALATTDAIFLGGTCLAQISSFWPPFKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTVFDRYSMILFAGIAAEALVYGEAEGGENDENLFRSLCILLNPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALEEGHSLSIVIRRIEETIASDR >PVH65678 pep chromosome:PHallii_v3.1:1:3442755:3445958:-1 gene:PAHAL_1G050600 transcript:PVH65678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTASPHLPLASASASARTSCLPNRFLRSPCSPPLRGPRLRAGSVKEWREFEDEAGAAEEWREFEDAVRRKDLSRALRFLQSVEPAAAAAGTAATQVVAVPVPPGRDWEVLDACIDADDMRLVGRAYQFLVDRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTVFDRYSMILFAGIAAEALVYGEAEGGENDENLFRSLCILLNPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALEEGHSLSIVIRRIEETIASDR >PVH65680 pep chromosome:PHallii_v3.1:1:3443665:3445891:-1 gene:PAHAL_1G050600 transcript:PVH65680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTASPHLPLASASASARTSCLPNRFLRSPCSPPLRGPRLRAGSVKEWREFEDEAGAAEEWREFEDAVRRKDLSRALRFLQSVEPAAAAAGTAATQVVAVPVPPGRDWEVLDACIDADDMRLVGRAYQFLVDRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAAKLAPKKWGLSGSSPYVLVGFLGGVSFLLTQGIDLRPKLGAVLALATTDAIFLGGTCLAQISSFWPPFKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTVFDSGHKIAGTP >PAN04835 pep chromosome:PHallii_v3.1:1:6835520:6836197:1 gene:PAHAL_1G095500 transcript:PAN04835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGVWNFISQPWMQQRRRPEGPDFSWNKTSFLNRLFECCGLVDLIIGKDLKLPHVNEQRTPISEAKGHYKIKESVMKELSELYSSFRVVLGEGECFYRSFIYFLKDTTLEIFWRL >PAN05599 pep chromosome:PHallii_v3.1:1:23761140:23766338:1 gene:PAHAL_1G173100 transcript:PAN05599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTVLHPPLCPTARAAAVPIPPARTLRIRLNPACFSRPDAADAEKQDRMGSSHNKEPPAKRHSGAADEKGNKNAPAVKIGNLLRPCSRGDEAPRSPLGGNTKRRNGGAAVGHTGAPPAAKRKATTPDSGKSKRRASSSRVPIATKKQQVGGDGAASSSPSPRSSDSEGTVRALLTRARPASDAIRRRDIERLRAQARWELDQMVRTVEFNDPFITPQDVLRRGAFGPVPGAIIF >PVH66050 pep chromosome:PHallii_v3.1:1:11820328:11820462:1 gene:PAHAL_1G135000 transcript:PVH66050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPFVQGGYANFIMSHFNLFANPSAMAAPYIPGGYTSLLIGVD >PAN06758 pep chromosome:PHallii_v3.1:1:48325252:48329952:1 gene:PAHAL_1G286500 transcript:PAN06758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSRACSVLGSALLLLLLSLGSAAAQKGSTWKTLSGKAPTIVAKGGFSGLFPDSSVLAYQFVEMASSPDTAISCDVWLTKDGTGICLPNINMDNCTTISDQFPQGKKTYNVNGVSTAGWFSVDFTSTDLQNVSLKQSILSRPYYFDGSSPIVPVNAALSQFKTPAVWLNVQHDSFYSQFKLNMRSYILSLTKQYIVDYISSPEVNFLTSISGRVSKKTKLVFRFLDERSIEPSTNQTYGSMLKNLTFVKTFASGILVPKNYIWPVTQDNYLLPYTSVVDDAHKAGLEVYAAGFANDFVISYNYSYDPLTEYLYFIDNGAFSVDGVLSDFPITPSEAVGCFSNLNDSKIDHAKPLVISHNGASGDYPDCTDQAYQKAVADGADVIDCPVQVTKDGIPICMSSIDLMDVTNVAKSQFASPAVTITDLKPVPGVFTFNLTWDDISKNLKPMISNPMSKFQLYRNPRNKNVGNFMRLSDFLAFAKDKDLSGIMITVERAAFMAEKLGFGVVDAVIKALDDSGYSKQTAQKVMIQSTNSSVLVKFKQETKYDLVYMIEEDVRDAAPSSLADIKKFASAVSVSTKSVFPTTNQFLTNQTNNLASSLKSVGLPVYVYLLMNEFVSQPYDFFSDATAQINGYVQGAKVDGVITDFPGTAHRYKLNSCMGKNAPGFMQPTTPGGLLSVMGGAQPPAAAPMPLLTDSDVTEPPLPPVSNTTTASTPSHAALRMKTDVSILITLLVLCASLLI >PVH66905 pep chromosome:PHallii_v3.1:1:54389339:54392785:-1 gene:PAHAL_1G379700 transcript:PVH66905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLRRRLPLLRILRQLHTESPASASSSSSAPLPPLQKPHAAASPSVAPGSRRLGFLNARPLASARGASASSSATAYLAIGAAAALATLPIVYADGNEQGPVGAAVSTDPTEGEDLARKERKRIMELAQSRGMQRGSYPQFDVAVKGQKVVVKFDMPSTCNVSHLIVDLVTHIGLEAEQHGGGLEVLVRAWDRLWKGVYPAFSQYIIINVIPDQSFRKLNSSSMGVLLAKNYGESERLLGSVFSLANDLPDGVIIFLDEVDSFAIARDSEMHEATRRILSVILRHIDGFEQDRRVVVIAATNRKEELDPALISRFDSIICFGLPDQQTRMEIAAQYAKHLTKSELVQFSLATEEMSGRDIRDVCQQAERHWASKLIRGQVPQDDKGEPSLPPIDEYVSCAEQRRKSWPDRTRWTSRSPALKLA >PVH65709 pep chromosome:PHallii_v3.1:1:3927349:3930997:1 gene:PAHAL_1G058100 transcript:PVH65709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNSEQCLHETVAKRRNLQKISLSDLPMDILSTILSLLPINDAIRTSVLSRKLKYVWCSHTNLTFNKGTMRKTYFKPSTGYYQFLRDHEFVTRVNTVLRQHSGTGVERMEIKFRLHSKHADHIDRWVNFAIASKAKEFVIDLSCLSKDAFFGAFPSRKKWIREEPYNLTSQFFSPSNGLHLQCLELMSVSLHLPSDFKGFPNLKSLSLVDVSITDEDVECMLSKCNLLEFFEITYCRMVTSLRMLHPLNRFKHLVVHVCPKLQEIEMNCSPTTLKYAGNMVPLIFASTSRLKNIDVVILTDQSALSYIVTGFPSTLPSLETLTLLCYEPERTIVPEGHFKFSYLRNLRLELVMCDDGIRTTDFLDYAYLLKIAPFLETLELHMWMEMYWPQPYCKEDGELRIGLPHKHAHLKCVRISGFFGYKDQVELALHILRNSIALEKMEITPELEISYDLAVADGYERSHYVDSHRVATEFICKVDHRNVVNVVRVPSSSGPDETAMEVDCRAYAEYIPSGQI >PVH65710 pep chromosome:PHallii_v3.1:1:3927349:3930997:1 gene:PAHAL_1G058100 transcript:PVH65710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNSEQCLHETVAKRRNLQKISLSDLPMDILSTILSLLPINDAIRTSVLSRKLKYVWCSHTNLTFNKGTMRKTYFKPSTGYYQFLRDHEFVTRVNTVLRQHSGTGVERMEIKFRLHSKHADHIDRWVNFAIASKAKEFVIDLSCLSKDAFFGAFPSRKKWIREEPYNLTSQFFSPSNGLHLQCLELMSVSLHLPSDFKGFPNLKSLSLVDVSITDEDVECMLSKCNLLEFFEITYCRMVTSLRMLHPLNRFKHLVVHVCPKLQEIEMNCSPTTLKYAGNMVPLIFASTSRLKNIDVVILTDQSALSYIVTGFPSTLPSLETLTLLCYEPERTIVPEGHFKFSYLRNLRLELVMCDDGIRTTDFLDYAYLLKIAPFLETLELHMWMEMYWPQPYCKEDGELRIGLPHKHAHLKCVRISGFFGYKDQVELALHILRNSIALEKMEITPELEISYDLAVADGYERSHYVDSHRVATEFICKVDHRNVVNVVRVPSSSGPDETAMEVDCRAYAEYIPSGQI >PAN04340 pep chromosome:PHallii_v3.1:1:4009057:4009347:-1 gene:PAHAL_1G059400 transcript:PAN04340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRFKYKSHCHYHIFFLPVVSQQPTRCSIYSSTFPHADLGHYVFSHQLPACGIFCVIFLPLSE >PAN05619 pep chromosome:PHallii_v3.1:1:23357535:23360696:-1 gene:PAHAL_1G171500 transcript:PAN05619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSSQISNTNTTGGPMQGDPGYGYGGYGYGYGAGAGAGGYDYDMASYGGGGGAYYSANDRYPAAPAANEDPVAGRRQHDFPAPLTGLEVQPSDTCPKNYVIFDQTYDRSRVMFHPSLVPNNFGSSGGGYDYDYDNRCYGYDQNYAGKSAYYGCGDDGGAASIRQKEDTDEIDALMSTEDGEDEDDVRSTGRTPGCRAGGSPDSTCSSAGCGASKHEAGGEKKERMKKMVRTLKGIVPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGARGSSG >PAN08352 pep chromosome:PHallii_v3.1:1:56329614:56333678:1 gene:PAHAL_1G406800 transcript:PAN08352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGEAPAAPAAEAAVAVRNPRCYLDVSIGGELEGRIVVELYASVVPRTAENFRALCTGEKGVGADNGVPLHYKGSCFHRIVKGFMVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRAIKGMGVVRAMEHIPVDEADCPTDDVIIVDCGEIPEGANDGVVNFFKDGDMYPDWPNDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKAMRYLDLCWEKEDIDEERSTALRKTKSVIFTNSSLLLLQACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVCMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDKRDQERKAFSRLFQPSGGSEKSDSENS >PVH67003 pep chromosome:PHallii_v3.1:1:56328903:56334186:1 gene:PAHAL_1G406800 transcript:PVH67003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNSVEQEPLRDNTINTACQSGLPTPPSKGSCFHRIVKGFMVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRAIKGMGVVRAMEHIPVDEADCPTDDVIIVDCGEIPEGANDGVVNFFKDGDMYPDWPNDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKAMRYLDLCWEKEDIDEERSTALRKTKSVIFTNSSACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVCMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDKRDQERKAFSRLFQPSGGSEKSDSENS >PAN08355 pep chromosome:PHallii_v3.1:1:56331260:56334167:1 gene:PAHAL_1G406800 transcript:PAN08355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRAIKGMGVVRAMEHIPVDEADCPTDDVIIVDCGEIPEGANDGVVNFFKDGDMYPDWPNDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKAMRYLDLCWEKEDIDEERSTALRKTKSVIFTNSSACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVCMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDKRDQERKAFSRLFQPSGGSEKSDSENS >PAN08353 pep chromosome:PHallii_v3.1:1:56329323:56334167:1 gene:PAHAL_1G406800 transcript:PAN08353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGEAPAAPAAEAAVAVRNPRCYLDVSIGGELEGRIVVELYASVVPRTAENFRALCTGEKGVGADNGVPLHYKGSCFHRIVKGFMVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRAIKGMGVVRAMEHIPVDEADCPTDDVIIVDCGEIPEGANDGVVNFFKDGDMYPDWPNDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKAMRYLDLCWEKEDIDEERSTALRKTKSVIFTNSSACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVCMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDKRDQERKAFSRRIVDPASLTFYSYSVVASQNVQEGACTTGKTPIRQPEIVG >PAN08354 pep chromosome:PHallii_v3.1:1:56329614:56333678:1 gene:PAHAL_1G406800 transcript:PAN08354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGEAPAAPAAEAAVAVRNPRCYLDVSIGGELEGRIVVELYASVVPRTAENFRALCTGEKGVGADNGVPLHYKGSCFHRIVKGFMVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRAIKGMGVVRAMEHIPVDEADCPTDDVIIVDCGEIPEGANDGVVNFFKDGDMYPDWPNDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKAMRYLDLCWEKEDIDEERSTALRKTKSVIFTNSSACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVCMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDKRDQERKAFSRLFQPSGGSEKSDSENS >PAN08356 pep chromosome:PHallii_v3.1:1:56328992:56334167:1 gene:PAHAL_1G406800 transcript:PAN08356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRAIKGMGVVRAMEHIPVDEADCPTDDVIIVDCGEIPEGANDGVVNFFKDGDMYPDWPNDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKAMRYLDLCWEKEDIDEERSTALRKTKSVIFTNSSACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVCMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDKRDQERKAFSRRIVDPASLTFYSYSVVASQNVQEGACTTGKTPIRQPEIVG >PAN05076 pep chromosome:PHallii_v3.1:1:8606291:8607481:-1 gene:PAHAL_1G112100 transcript:PAN05076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKFSPPLAAGRRQRKKSRARGRGAAAGSDDIELPLLLTEEKPVPHLLVTEVFYTPPSHNPTSRPPCAFNRRGAILSVPLDGSASATGAARPPSAAIRRRGPLADLYLRCLSFIGATPHGHLAFAGSRGVFLVNPVTDALQGFGTVGYCQKAVVATGGDGCNVFVSLGALLSPPALWRLDDDGEGWSQCTVSATAEQTGDILSAVNCKGRFYLLHEDGCVSKVDAGEPPPLRMERLPVACLAGHLSPPCKTLAGEGHLLESDGEVLFVRKLRAVREVAAPFCAHTEFAGVDGFEVYRLDVAERRWAKVERLAGGDTAIFVSPESAFAVRASEAAAGCMGNCVYFVGEGRCCSSCHAYGGSTWGAYSMERREVLFEHAVASRGGRTEALWFLPSVV >PAN06722 pep chromosome:PHallii_v3.1:1:48160076:48161699:1 gene:PAHAL_1G283900 transcript:PAN06722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRRASSTTLLMVILAVVFLLTLDRPTVAHARHVRSPSLSTGEHLSRKKEGLQGTRNHGAEHSKNTYETVRVEKGSTGEAAGAAGASLGRRGGNSSPAASAEKVVAAPHGPRPHPKKHN >PAN03745 pep chromosome:PHallii_v3.1:1:1412432:1416099:-1 gene:PAHAL_1G018700 transcript:PAN03745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGAAPAVALSSGHRMPAVGLGVWRMEKPAIRSLIHSALRIGYRHLDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVIEACKDSLKKLQLDYLDLYLVHFPVATRHTGVGTTSSALGDDGVLDIDTTISLETTWHAMEGLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKVERLQENFEVFDFEISGEDMEKMKAIDRNYRTNQPAKFWGIDLYA >PVH66882 pep chromosome:PHallii_v3.1:1:54111996:54116631:-1 gene:PAHAL_1G375100 transcript:PVH66882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGGGALRGAATGARPDKSRPRTTRLEKELEGLWADPPEWCVPGADATDRLRWQVVVVGPEGSPYDGGVFTVRVDFPRDYPFKAPKVTFATKVYHPNVDPRTGLVCLDFLTDKNLWTSAWSVDRILLVVVSLLHEPVMDGAAINREAAYLYKRKRLVYEEIARAKTREHASAASPGEPASSSEKKERRPSSRGVSQLCRRLLRRVASLRT >PVH66883 pep chromosome:PHallii_v3.1:1:54111559:54113339:-1 gene:PAHAL_1G375100 transcript:PVH66883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGGGALRGAATGARPDKSRPRTTRLEKELEGLWADPPEWCVPGADATDRLRWQVVVVGPEGSPYDGGVFTVRVDFPRDYPFKAPKVTFATKVYHPNVDPRTGLVCLDFLTDKNLWTSAWSVDRILLVVVSLLHEPVMDGAAINREAAYLYKRKRLVYEEIARAKTREHASAASPGEPASSSEKKERRPSSRGVSQLCRRLLRRVASLRT >PAN07890 pep chromosome:PHallii_v3.1:1:53879218:53883508:-1 gene:PAHAL_1G370600 transcript:PAN07890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPRGGAADPICDGADPHDLPLAEDANGEEARQASDKEAVPVSEGTAARGGDTTPDAEPESDDGEGGVGSPDQAEPNAGEDRAAPVAAAEEREGIVGAAKVETNGEDAISHDADGEEEDDDDGDEEDDDDSTPDASPRAEVKVEGESSTGMAQSGASHRVEPEPDPFLDGDDSGTEEEQAAFMAELERFHREHSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTVCKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQIPPPGLPQPSGTDREVTVNQSSSARVRRDAAARAMQGWHAHRLLANGTYGDILKDKDSMPLSSRDKNLKGFGVLKRKKASSPEYALKSSRTKLNKSQEDSMVIDVGEPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHAEM >PAN07889 pep chromosome:PHallii_v3.1:1:53879269:53883508:-1 gene:PAHAL_1G370600 transcript:PAN07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPRGGAADPICDGADPHDLPLAEDANGEEARQASDKEAVPVSEGTAARGGDTTPDAEPESDDGEGGVGSPDQAEPNAGEDRAAPVAAAEEREGIVGAAKVETNGEDAISHDADGEEEDDDDGDEEDDDDSTPDASPRAEVKVEGESSTGMAQSGASHRVEPEPDPFLDGDDSGTEEEQAAFMAELERFHREHSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTVCKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQIPPPGLPQPSGTDREVTVNQSSSARVRRDAAARAMQGWHAHRLLANGTYGDILKDKDSMPLSSRDKNLKGFGVLKRKKASSPEYALKSSRTKLNKSQEDSMVIDVGEPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHAEM >PAN05297 pep chromosome:PHallii_v3.1:1:10897096:10898024:1 gene:PAHAL_1G130000 transcript:PAN05297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRDGGKRTRFACLETARCMVAITVSAITVAVVVMVTIAALRPADSFSLSVVNGMDSSIPWLSKVNSSSSLDADAATNNTTMQRAAEKVNLTFALRAYNPSGRKDIRFSNFTVRVTDMPYFPSFAKMRDIAAFPVPAPFTLKRQGAHKLWSKFSLSDAGTLSYVAHTYGAQGTFKAMVLVNASIGSGSGSGTPASNNVTVTYYCWPVLVGWTAFADSPDGGVTCTPRKELSVDVDSLLPRSAPAPAAVYSRR >PVH66849 pep chromosome:PHallii_v3.1:1:53618467:53620364:1 gene:PAHAL_1G367500 transcript:PVH66849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAFRAASPLPLPSSRGAATSVTSGAGRGSFPWLHKKGSGKPAPQRGGTSAAEQESKGDEPAEGAAAAADGSSEQSPSSRKRADALARLRAAFLAAITHRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRSAPVLLVEMAAYSTGALVREMSSGLVRLALECEKTPLAAGEKRRGLLEEPTWRAYCNGRKCGFAVRRECGADEWRVLGAVEPVSVGAGVLPDDVSGAAEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >PVH66378 pep chromosome:PHallii_v3.1:1:41937192:41937341:-1 gene:PAHAL_1G230200 transcript:PVH66378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFIYLLTRNGSRAGLKKDLRVSRVGPGGSLNPSFFCPSELFPKDLPW >PVH65896 pep chromosome:PHallii_v3.1:1:7341314:7344936:1 gene:PAHAL_1G100400 transcript:PVH65896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G60430) UniProtKB/Swiss-Prot;Acc:Q1ECJ7] MVYHSSFIDDDSITKACGCPLLPLKTHIKGPAPTSDPDKADIVDEAITFFRANVFFKNFHVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPVSQEEAELLRNYLKQIREETSGRLLSCAYRANGFPNKWWLAFAKRKFMNIVIL >PAN05333 pep chromosome:PHallii_v3.1:1:11323345:11327180:1 gene:PAHAL_1G132100 transcript:PAN05333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRRAPSPPRPPPPPPQDEEESSEGSGSEELEPPSLPPRRMPPPAAAAADLSEGSDSDSDTDAQAFQLLQVHRSPTKLPPHRISQPESDGDGDGEEGEASESEPENPEPVVHKAVAAGKSKAEQERKRPAADPAPSGKAKKAKAGAARAAAAAEATPPGKAKKVKAEAGKAAPEATPAGKAKKGKAEPEKAAPEAAPARKGKKGKAEPEKAAPEATPSVKGKKGGAEPEKPVALDSSPSSSKSEKSARSQRHWGKNDEMKILEAIAAHVKSEGTLPKTDFIIATVGDRLDRKNCTYQDMYEKVRQLKGRYEKAVSTGIVPSKEDELQMYKLSEAVWGEKAKEAIAAATSQNDGAVTKSKKGQANKQKMDGNSKGGTPKEAVTNTANQDGDSQKGSKKGQGIKEKTERDLKSRLSKEATATGQPSKSKKRENHNEELNKDAKSGASEDATTNRTQNGNDLAKGKREKTDKGNMDIDRDNLMPKEATAPNQNGGTPTKNKEGKTHDEEIERDANVQGMRRGFDELQTLYSNLAAYVVEIEAQHPCGETLKRAFEFIGDEKAQSLESKVKKQRVDEAKAQIRRADVKKDVLNTLMSLVD >PVH65609 pep chromosome:PHallii_v3.1:1:2548244:2548861:1 gene:PAHAL_1G037200 transcript:PVH65609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWRLGLWARWTDRTRHVQGESERGGPMRTCRRHEPPRRGARLRSADCYRALETLHQIKTTHGFDPFSFPTSCHGQRGSVDDGALKFMRTENRIQYGIDYLIRFGLV >PAN04695 pep chromosome:PHallii_v3.1:1:5857101:5863885:1 gene:PAHAL_1G084200 transcript:PAN04695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSRLEQTNALFRKNLVIQRRACKTNCCLVLFPLLLCSVIGGLQIAINRATPPKDPTRFDCGCSNVTVDEDAVGGLSCPDECPLPRAPRWPPLLRIPPPEYRAVADGLFPFTDLPDASCRAGGSCAATFLVTGGNQSFVASVMDYMFFPVHNASVNLSAPADVSELSDFVLASDGFYAQNFLQNKCIPNLTLSYPMQIGSEIMSRGVLCTEGSMLWRDSSWLISDELYRGYYQGNNKNKTDEIAAAYDFLSSDQGNFNLIISYNSSNSYDVFYDVEYTVPVLNQGGTVQRPHSIQVPRLVNMASNAYLHLRGNGLKMSFDFVKEMPRAATHEGQFDISSLVGQLPFVWTMELLFPVILTNIVYERKNKLRIMMKMHGIGDLPYWTISYCYFLLLSLLYVLSFMLFGSVLGLSFFRQNSYGVQFIFYFAYMNLQISFAFLMARYFSSVRTATVTGYLYIIVSGLVADFLFRSYVEDVFLSRSWITLMELLPAFSLYRIVYEFSQSVLVGRYTTSSGIHWIDMGDPKNGLAGVLTIMILEWFLFLLSAFYLDRFGSLKTVMRKVAVLVRTRIDGNRFQAAHQQNAQLQEYRASVEMERTDVVKEREVVEQLLQESSSSGYSVICDNLKKVYRGQDGNADKIAVRGISLSMSRGQCLGVLGPNGAGKTTLINMLTGFSKPTSGTAYIEGMDIRLEMDRIYTAIGVCPQEDLLWESLTGREHLMFYGRLKKLKGTALAEAIEQSLRSVRLFAGGVADKLVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWKAVKSAKQKRTIILTTHSMEEAEVLCDRIGIIANGSLQCIGSSKELKDRYGGSCVLTVTTPAGEEEEVERLARSISPAANRAYRVSGTQKFEVPKEGLKISEVFRAMEDAKSRLSILAWGLADTTLEDVFVRVAKDSDMSTVT >PAN04686 pep chromosome:PHallii_v3.1:1:5857252:5862732:1 gene:PAHAL_1G084200 transcript:PAN04686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSRLEQTNALFRKNLVIQRRACKTNCCLVLFPLLLCSVIGGLQIAINRATPPKDPTRFDCGCSNVTVDEDAVGGLSCPDECPLPRAPRWPPLLRIPPPEYRAVADGLFPFTDLPDASCRAGGSCAATFLVTGGNQSFVASVMDYMFFPVHNASVNLSAPADVSELSDFVLASDGFYAQNFLQNKCIPNLTLSYPMQIGSEIMSRGVLCTEGSMLWRDSSWLISDELYRGYYQGNNKNKTDEIAAAYDFLSSDQGNFNLIISYNSSNSYDVFYDVEYTVPVLNQGGTVQRPHSIQVPRLVNMASNAYLHLRGNGLKMSFDFVKEMPRAATHEGQFDISSLVGQLPFVWTMELLFPVILTNIVYERKNKLRIMMKMHGIGDLPYWTISYCYFLLLSLLYVLSFMLFGSVLGLSFFRQNSYGVQFIFYFAYMNLQISFAFLMARYFSSVRTATVTGYLYIIVSGLVADFLFRSYVEDVFLSRSWITLMELLPAFSLYRIVYEFSQSVLVGRYTTSSGIHWIDMGDPKNGLAGVLTIMILEWFLFLLSAFYLDRFGSLKTVMRKVAVLVRTRIDGNRFQAAHQQNAQLQEYRASVEMERTDVVKEREVVEQLLQESSSSGYSVICDNLKKVYRGQDGNADKIAVRGISLSMSRGQCLGVLGPNGAGKTTLINMLTGFSKPTSGTAYIEGMDIRLEMDRIYTAIGVCPQEDLLWESLTGREHLMFYGRLKKLKGTALAEAIEQSLRSVRLFAGGVADKLVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWKAVKSAKQKRTIILTSTVLLFISKGRIQWKRLKFYATG >PVH65829 pep chromosome:PHallii_v3.1:1:5935157:5935748:-1 gene:PAHAL_1G085400 transcript:PVH65829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKVMTAILFAMFLASLVSSVECDGDSVVRFAGGHRRQWDNSENMSEGSKIKLRLCFRQFLRRPLLKTCYCCQTLSKFPCYWEQHRVVRPSSPQPHQDLFEF >PAN08732 pep chromosome:PHallii_v3.1:1:58131254:58133548:1 gene:PAHAL_1G433400 transcript:PAN08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMAGMLPGVECARRRRRLRQGGSSSSGVADAPCGTRRPSFCLYAGGHDHAHLGSAAAAASKERSSSVCKEMMARAWTLDTNAREARERLDQKLRGKRESMVKRHQSTGTVLTPTAKPHPQATSTSGSSSNNLHHSATTAAPATPEAGTAPAALWVLQREVFSKPTNVGGAARRRRFSWSLLGRRRPPAPAEAEASECAVCLEELRAGDVLVHLPCAHRFHWSCGVPWVQAASRCPVCRALVHLASCPPPN >PVH66568 pep chromosome:PHallii_v3.1:1:48035759:48036463:1 gene:PAHAL_1G282200 transcript:PVH66568 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLY1 [Source:Projected from Arabidopsis thaliana (AT4G24210) UniProtKB/TrEMBL;Acc:A0A178V5B2] MKCRSDSSGGDEDHRAPAAAATGGGGLGEPSKKQRTEEPSSSSSGAGECSSSSASVQAPPPPTQREQSAPDAREGEQLPPDADAGGGEEARVPDLGEDLVFEVLMRAEARTLATAGCVSRGWRQLARDERLWEAACVREWANLGYPEQMLRTVVLSFGGFRRLYELYIRPVQRRAAGAPPGQRRGQVPVRLGRDQVQVSLSLLSTSFFLKMPNCPPPKKDKGNDRDKNGGGQCG >PVH66191 pep chromosome:PHallii_v3.1:1:24065020:24066397:-1 gene:PAHAL_1G174000 transcript:PVH66191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSDSTLQMRATTTPPALLFRRRGFPLAAFAGLSTARSTANWSGFSAAFPTI >PAN05289 pep chromosome:PHallii_v3.1:1:10936547:10938989:1 gene:PAHAL_1G130200 transcript:PAN05289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNSSNPQGGHEWCNRTLYLSVYNLTSSYTDKRNETTIVTTTAVMFILAAIFFNLNLYSRFTQVSAILNPTIRVFLSASLSLFLPVMSYLFSEAKNEGAATGEMTELPQRARTILMWMLLVELLRKKVEAISRQAYSGTIERAARIGWLGYLIFFNLRSAGKKALYATLWVLAAGKLVQRFVTMELAKRSFAYGRNPQLLASYMAQMLLPRDGDAEQLSGRELLKQCDYIVMGEEDLEKKAAPDSYVFPEATRNGETIAVTVGEIWKLAQTDDLFGEEGPSLRRLCLSFTLYKLLRRRLEDFPITDEEARNCHDLIFKGLLRDDDAGDSDAAAAATTLFQVFNDEVQFLCEYYHSVHPVMLASPFFFLVNYILFPMVVWALCILTIILCSNGDVRYAFDSFNDDNYVTAVGIVKIAICIMLKIRETRSPMALYSTVDICISILLFLAFFYEQVWEFIVFLLSNWFLVSLLCSYTRNRRWGESPLTRRAIRCILWMRRKLSYPNICFKQFSVLWFRRWPSSWLRTVAVPEEAKKSIMECLANNIDRVIPRSNGTLALHSNQLSDHLSWACGDGGSIAEAIITWHIATALLEARHPRKEQLKATEPHSRKVATALSRYCAYLVAFHPELLPDDKDGTERVYKETNEELKKEMGCWGYYLSRKDARCDKLMEIARRTPAPVPEGTTALRRGARLGKALIEQHEGAAGDAARERVWKLVADVWTEVVVCAAPTGSEVHVKAHKEALAQGMEFITVLWALATHTGISRGPVPVTTPAAAAAPATPTMFRSISIERA >PVH66242 pep chromosome:PHallii_v3.1:1:26704072:26704908:1 gene:PAHAL_1G183700 transcript:PVH66242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAGYYGKFVRDFGVIGKPLTTLLKKGVQFLWTAAQEEAFVALKHALSSTPILALPNFQKPFTIEIDVSDKGIGAVLLQDQHPIAYVSRALGPRNQALSTYEKECLAILLVVEHWRSYLQHAEFIIQTGQKSLVHLDDQRLSTPWQHKALTKLMGLQYKLCYKKGTENKAADALSRIHPQHKPEVLAISSAQPIWLQELIQSYVKFPDIARLLASLSVKSTMGEYMLHDGLIKFKGKILVLPDEKLQQTIIQSLHSTAIGGHSGPFVTYQKVKQMFF >PAN04891 pep chromosome:PHallii_v3.1:1:7263834:7266156:-1 gene:PAHAL_1G099800 transcript:PAN04891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGMVGAGAGAGAGAGGSSGGGGDKGKGNGGAGNGCGARGSMAPVRVPSSKERENNRRRERRRRMVTSRIFSGLRAHGNYILPRHCDNNEVLRALCDEAGWIVEADGTTYRRGCRPPPHMRGGFGGSAPVSPGSSYPVTPTAPYGLGSGSPYLTLGGGGGLFYGAAGRAEAGSHGLADWFRRLPGAPDGGASSSSAPVTPQNGSPPQSMLARWAAENNAAAGASNLQPRRAAGLSLYASQQRPMPITMPPSPVSGGAPVANAVDPMRLLAGIQRSTAAAAAAAAANNNRVRAYSPLGTPVRPSLFVAGAPSSMLRAPGPVQGSGGQWAAPAPAARAGGGGDVEMAPREFSFAWEGEAVSQDYADEDALELTLGNSRTRADRA >PAN05470 pep chromosome:PHallii_v3.1:1:27918280:27921923:-1 gene:PAHAL_1G189400 transcript:PAN05470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGSSSPPASPDPQRSPRVPSSKEEKLLRAVERAIARSYAAKQPAKQAATANVSPWRRLFRKVIKEIKNGPATSKTASALPPALPRTQQEERERPKKGTDVPAGDSSSVSWHPSEAGAEGDGVQVVQATVLDRPGYAEMMKSALARIQVGEAGGAEAFAEMEQALKGLMDVSFKAKEPVLPAEFKTEWTCANENWLQMDIIADPLILTSGHSVDRFSHQLSSTHPSERLLTIPNHLLRDVITAWCLDHAIPPPSATSTSVASEDALPSEEEMQLLLENLSVQSVEQQQQGLHKIQLLSTFSKGVNPCLDQWQDLLPKLMGLHKKWKSTWTRDLEEKRVTIMLNLSLHRPNREILAKQKQLPETLMETIERARKLGYPLTVTSKVSSLVAILSEYNTFRRKVVEIGGIEMLGLLLRSKDALLRNEASAAILALCTDDATALSHVPNASLVECLSDGVVTDESLLLLERTLHRESVRDWIVSSVAVLMKVITKHGVGYVTSRGIQTAVGLIYHAVQEEEGRGRLETALILPDFVEVLRNLKTKEMPPERVFEIDSILMIAFALAD >PVH66457 pep chromosome:PHallii_v3.1:1:45561316:45565850:1 gene:PAHAL_1G251200 transcript:PVH66457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRCLRVATGRRGWWDASPWGTPLFAAEQRATLVNVKLKWVKDRALDAAVSRERNLRTAHHLLDLVFSRPGHRVSRSDLLAERSVQRLCGSAYSALEFLGRYHTLFAVSRGGVSLTRAALDLRQREVDCLCVIEHDLVARLRRLLMLTLPRSLPLHTIDLLRWDLGLPSDYQASILRRYPEHFALEQPEGDERVWLRLLSWDDLLAVSELEKSADGGDTTCLPFPVSFTRGFGLRSKCVEWLREWQALPYTSPYADASGLDRRTDVSEKRNVGVFHELLHLTMAKRTERQNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYSGRSQLLEKHAHPLVAIREEYSTLLRAALPPRTRGRKRREAFSNQDEGCDVGGDEFELSE >PVH66456 pep chromosome:PHallii_v3.1:1:45561316:45565850:1 gene:PAHAL_1G251200 transcript:PVH66456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRCLRVATGRRGWWDASPWGTPLFAAEQRATLVNVKLKWVKDRALDAAVSRERNLRTAHHLLDLVFSRPGHRVSRSDLLAERSVQRLCGSAYSALEFLGRYHTLFAVSRGGVSLTRAALDLRQREVDCLCVIEHDLVARLRRLLMLTLPRSLPLHTIDLLRWDLGLPSDYQASILRRYPEHFALEQPEGDERVWLRLLSWDDLLAVSELEKSADGGDTTCLPFPVSFTRGFGLRSKCVEWLREWQALPYTSPYADASGLDRRTDVSEKRNVGVFHELLHLTMAKRTERQNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYSGRSQLLEKHAHPLVAIREEYSTLLRAALPPRTRGRKRREAFSNQDEGCDVGGDEFELSE >PAN08431 pep chromosome:PHallii_v3.1:1:56599180:56613225:1 gene:PAHAL_1G412400 transcript:PAN08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEMARAEVSPEGAAPGFGADLYAQATKALALRTPFEGEEAASRVPTLPARLVSWAGPGDARKKHKKIQLPPPDDAAVEPPPRPAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYNNLDSCMLIPFLGSGKELVNQAETYDVAVAETSSYLGVGGAEVVSNRERGEQSVHLLSQKEKRDQSVDPDIHDVVVQQMVSDKDLSRRSRVSVQPGERPFEVDQAGRNGIVSAQCVEEEESSLNWLLGATGRFVLTSERPNKKRKLLGVDAGLEQLVLLPRLGAEKSSSCDVCCLGESSMDSNRIVNCSNCKVSVHQKCYGLRVVPDGQWLCAWCTYLESNKDSGSTQSTPCVLCPKEKGALKPIEVEPTQNADVSHLKFVHLFCSLWAPEVFVEDMESMEPVTNLDSVLENRMKLTCSICKIKHGACVRCSHGTCRAAFHPICARESKHQMEIWGKSKHSNVELRAFCSKHSAVGYTSLVKNSNHASEQSPTESRPNTTNLITGKIPKLRFTRKNKDKFMNSETSASSSGNLIRVETIEQDTLAYTVRNANAQPIRSWETDTGHPSGGGDRMRSSGDIAVVLRKLIDSGKVSVGDIASEVGISSESLEAALVGETTTFSHGLMLKIIKWLQNSVHMHTIRGNARKGNSVVLQDNNSDGSDTTDTVDTKIPLVPADDKEPFVDMSDSAVTEPTLTRSKSNSKILEGDNTTCATGVTILQNGNKNMVKEGVDLECSPAKEFAKESTREFSPIGSNGVSKEEKGKLILNNTCGNKEFGTSMEMPNENRGVLLGRKSDNLIEAGLGSDSKEGVSSLDHYFSQGDHARDGENSIENSSVTPRDCDSSCSHGQPFFNFDDSHSYIHPFIKKKISHHWDITFNQNKEALDHHEEHSCPHEKLPVDSFEEHEDATDTTTSDQVLKAKSMRVLEHAPDDEVEGEMVYLQARLLDNAVVLKHRCEKLIAKVVQNLSRELDAFSRRKWDLIFVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAVASSSRNSIMRKDAKEDAAPANQENSPKLVAGSSRVGQRTKDSLKSSNSKVQPDNKFGSFHMPISSNENELYCDVCMRTETVLNRIFICSRCKAAVHIDCYRNMENSIGPWKCELCEDQDISLETSTASDKSDCNGKKLHFARCGMCHGTSGAFRKTADGQWVHAFCAEWLLDTKYVRGQENPVEGMESLVEGKDTCCLCLRKAGMCLRCSSGDCHITFHPTCARNSGFYMNTKGFGTTSQHKAYCAKHSAQQKEDDAQRYGPQELRNMKRMRVELEKLRLLCERVIKREKVKRETVLCDHDIIAKTKDTVVFSYLACGASSESATTSVNNRSYSGTAQRSDDVTVDSTISGKKTIRFSLNSRDAERNTADSSRTLISFKRKLSERGLHAGKQLPQRPAITSQKLEDGEKKTNDKKREMFQKELVMTSDQASTQNQRLPKGYVYVPRDSLSKERPWNRNTQPHTPQEPGG >PAN08430 pep chromosome:PHallii_v3.1:1:56599180:56613225:1 gene:PAHAL_1G412400 transcript:PAN08430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEMARAEVSPEGAAPGFGADLYAQATKALALRTPFEGEEAASRVPTLPARLVSWAGPGDARKKHKKIQLPPPDDAAVEPPPRPAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYNNLDSCMLIPFLGSGKELVNQAETYDVAVAETSSYLGVGGAEVVSNRERGEQSVHLLSQKEKRDQSVDPDIHDVVVQQMVSDKDLSRRSRVSVQPGERPFEVDQAGRNGIVSAQCVEEEESSLNWLLGATGRFVLTSERPNKKRKLLGVDAGLEQLVLLPRLGAEKSSSCDVCCLGESSMDSNRIVNCSNCKVSVHQKCYGLRVVPDGQWLCAWCTYLESNKDSGSTQSTPCVLCPKEKGALKPIEVEPTQNADVSHLKFVHLFCSLWAPEVFVEDMESMEPVTNLDSVLENRMKLTCSICKIKHGACVRCSHGTCRAAFHPICARESKHQMEIWGKSKHSNVELRAFCSKHSAVGYTSLVKNSNHASEQSPTESRPNTTNLITGKIPKLRFTRKNKDKFMNSETSASSSGNLIRVETIEQDTLAYTVRNANAQPIRSWETDTGHPSGGGDRMRSSGDIAVVLRKLIDSGKVSVGDIASEVGISSESLEAALVGETTTFSHGLMLKIIKWLQNSVHMHTIRGNARKGNSVVLQDNNSDGSDTTDTVDTKIPLVPADDKEPFVDMSDSAVTEPTLTRSKSNSKILEGDNTTCATGVTILQNGNKNMVKEGVDLECSPAKEFAKESTREFSPIGSNGVSKEEKGKLILNNTCGNKEFGTSMEMPNENRGVLLGRKSDNLIEAGLGSDSKEGVSSLDHYFSQGDHARDGENSIENSSVTPRDCDSSCSHGQPFFNFDDSHSYIHPFIKKKISHHWDITFNQNKEALDHHEHSCPHEKLPVDSFEEHEDATDTTTSDQVLKAKSMRVLEHAPDDEVEGEMVYLQARLLDNAVVLKHRCEKLIAKVVQNLSRELDAFSRRKWDLIFVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAVASSSRNSIMRKDAKEDAAPANQENSPKLVAGSSRVGQRTKDSLKSSNSKVQPDNKFGSFHMPISSNENELYCDVCMRTETVLNRIFICSRCKAAVHIDCYRNMENSIGPWKCELCEDQDISLETSTASDKSDCNGKKLHFARCGMCHGTSGAFRKTADGQWVHAFCAEWLLDTKYVRGQENPVEGMESLVEGKDTCCLCLRKAGMCLRCSSGDCHITFHPTCARNSGFYMNTKGFGTTSQHKAYCAKHSAQQKEDDAQRYGPQELRNMKRMRVELEKLRLLCERVIKREKVKRETVLCDHDIIAKTKDTVVFSYLACGASSESATTSVNNRSYSGTAQRSDDVTVDSTISGKKTIRFSLNSRDAERNTADSSRTLISFKRKLSERGLHAGKQLPQRPAITSQKLEDGEKKTNDKKREMFQKELVMTSDQASTQNQRLPKGYVYVPRDSLSKERPWNRNTQPHTPQEPGG >PAN08370 pep chromosome:PHallii_v3.1:1:56599180:56613225:1 gene:PAHAL_1G412400 transcript:PAN08370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEMARAEVSPEGAAPGFGADLYAQATKALALRTPFEGEEAASRVPTLPARLVSWAGPGDARKKHKKIQLPPPDDAAVEPPPRPAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYNNLDSCMLIPFLGSGKELVNQAETYDVAVAETSSYLGVGGAEVVSNRERGEQSVHLLSQKEKRDQSVDPDIHDVVVQQMVSDKDLSRRSRVSVQPGERPFEVDQAGRNGIVSAQCVEEEESSLNWLLGATGRFVLTSERPNKKRKLLGVDAGLEQLVLLPRLGAEKSSSCDVCCLGESSMDSNRIVNCSNCKVSVHQKCYGLRVVPDGQWLCAWCTYLESNKDSGSTQSTPCVLCPKEKGALKPIEVEPTQNADVSHLKFVHLFCSLWAPEVFVEDMESMEPVTNLDSVLENRMKLTCSICKIKHGACVRCSHGTCRAAFHPICARESKHQMEIWGKSKHSNVELRAFCSKHSAVGYTSLVKNSNHASEQSPTESRPNTTNLITGKIPKLRFTRKNKDKFMNSETSASSSGNLIRVETIEQDTLAYTVRNANAQPIRSWETDTGHPSGGGDRMRSSGDIAVVLRKLIDSGKVSVGDIASEVGISSESLEAALVGETTTFSHGLMLKIIKWLQNSVHMHTIRGNARKGNSVVLQDNNSDGSDTTDTVDTKIPLVPADDKEPFVDMSDSAVTEPTLTRSKSNSKILEGDNTTCATGVTILQNGNKNMVKEGVDLECSPAKEFAKESTREFSPIGSNGVSKEEKGKLILNNTCGNKEFGTSMEMPNENRGVLLGRKSDNLIEAGLGSDSKEGVSSLDHYFSQGDHARDGENSIENSSVTPRDCDSSCSHGQPFFNFDDSHSYIHPFIKKKISHHWDITFNQNKEALDHHEHEDATDTTTSDQVLKAKSMRVLEHAPDDEVEGEMVYLQARLLDNAVVLKHRCEKLIAKVVQNLSRELDAFSRRKWDLIFVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAVASSSRNSIMRKDAKEDAAPANQENSPKLVAGSSRVGQRTKDSLKSSNSKVQPDNKFGSFHMPISSNENELYCDVCMRTETVLNRIFICSRCKAAVHIDCYRNMENSIGPWKCELCEDQDISLETSTASDKSDCNGKKLHFARCGMCHGTSGAFRKTADGQWVHAFCAEWLLDTKYVRGQENPVEGMESLVEGKDTCCLCLRKAGMCLRCSSGDCHITFHPTCARNSGFYMNTKGFGTTSQHKAYCAKHSAQQKEDDAQRYGPQELRNMKRMRVELEKLRLLCERVIKREKVKRETVLCDHDIIAKTKDTVVFSYLACGASSESATTSVNNRSYSGTAQRSDDVTVDSTISGKKTIRFSLNSRDAERNTADSSRTLISFKRKLSERGLHAGKQLPQRPAITSQKLEDGEKKTNDKKREMFQKELVMTSDQASTQNQRLPKGYVYVPRDSLSKERPWNRNTQPHTPQEPGG >PVH66632 pep chromosome:PHallii_v3.1:1:49211966:49212716:1 gene:PAHAL_1G301400 transcript:PVH66632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMHGSTKSLATIIPTIVLMPKALSFGPSASMPPRINITEGTTAAARISKLSVKNESGASAPNTKSFKTGTMPMIMASKEAIVGG >PAN04330 pep chromosome:PHallii_v3.1:1:3907931:3912625:1 gene:PAHAL_1G057800 transcript:PAN04330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGSSASVFLGVDVGIGSARAGIFDQKGKLLGSASSPMQIWKEKDCIEQSSTDIWHAVCAAVKSACSLANVAPEDVAGLGFTATCSLVAVDADGSPVSVSWSGDTRRNIIVWMDHRAVNQAERINASNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDNVFWEEIGLGDIVEGNCAKIGRSVAFPGHPLGSGLTATAAKELGLLPGTPVGTPLIDAHAGGVGVMESIPDGEFKADLPDEEAICHRMVLVCGTSTCHMAVSKNKLFVPGVWGSFWSAMVPEFWLTEGGQSATGALLDYITENHVAAPLLSKRASSQSISIYELLNKMLLSMSHEKNSPFLSALTQDIHVLPDFHGNRSPMADPKSKGVIYGLTLDTREKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSIYIQEHANIVGCPIILPRENEPVLLGAAVLGSVAGKKFPGVRDAMKALNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSTMAQALQ >PVH65531 pep chromosome:PHallii_v3.1:1:1362234:1364794:-1 gene:PAHAL_1G017500 transcript:PVH65531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWDAEDFQPAAPVIKAEPLKNQWADEDVEEDDVKDSWEEEEEEKPKPPPVEKPTAKPSSKFRAIKVKQQASTSAEEPDEPPLSPTSEKIRQQRLVEEADFKSTTELFAKKGGDQKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMTSLKGADAKDISSSVTAIANEKIKAEKEAAAGKKKQGAKKKQLHIEKGDDDFIPGRGGGYDDPDEYDFM >PVH66674 pep chromosome:PHallii_v3.1:1:50011646:50015017:-1 gene:PAHAL_1G312300 transcript:PVH66674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQSISPAAASAQFTYPAAAAAAAATPSYFPVPFHLQNPQYAAWPAVAPLLAYNAVYPMPQVQQAQQLFQNDSKIITPEALATVKAAIANSEKDKKVEVTKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDFEALEKGKTQPQKKIKPQKRSVLHK >PAN08346 pep chromosome:PHallii_v3.1:1:56299071:56311059:1 gene:PAHAL_1G406200 transcript:PAN08346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDRDALKWVREGQGAAALHSHDRMDALRAVRGAAGRGGLGMPSPEKFRSGHMTRGTAVPLTRSSLRSDDGSAASGSDMDESSDNEEIEVCSGRYSVDSSPRRDDVTRRTGIPIYRYATMPGQQHYYSTDDGYSDLSSSRDTALPRAKAQPLQRPQARVVGYVDEEYSDSAASSEFSSQVEGRSNGVISKGGYASEYSHTGPARREVNNVVQKTRAVAAENYNRNKPLNSKAYQPDSYSSHVPAREDAKSTHKLDGSSDVPSAPPIHDYEQDHRPVTHNDTRSCANANSADGLSAKIEEHQEVNRGANLADKNDRGTLNGGHTSKPSSSIPLRVPTFHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPVFLENECTLLRNTFSLQNVLLQSEEELMSKRASELVSEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCNFSSLPMVKLDSVRYRLSNVQSTLSSGWESVRRVRVLPQLPANSSFSKHSLAYMQASAQYIKQVSGLLKVGVTTLRSSTSYEAPQETYSCQLRLKSSPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMAEDPADKLRWWSIYREPEHELVGRIQLYIHYTTAADENNMKYGSVAETVAYDIVLEVAMKAQHIQQRNLVVHGSWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPILMKNHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDESIPSGLAEDFRPPTGLAAAALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRHMLETDEYVTGNSEGIRVDLVTVTTAYQKMKSLCNNLRNEIFTDIDIHNQHILPSFVDLPNLAAAIYSVELSNRLRAFLVACPPAGPASPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRMLLENCRLDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYADVLAPLKDCIAPKKFGLKVVQKLTKRNSTVPYTVPEDLGILLNTLKRLLDVLRPRIESHLKSWSSCIPNGGNSAAIGEKLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVIESDIRSRMQALKDQLIEAINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKAWYKGARIAVSVLDDTFASQLQQLLGNTLPPKDLEPPRSIMEVRSILCKDAPRQKSSSFYY >PAN07893 pep chromosome:PHallii_v3.1:1:53889485:53895801:1 gene:PAHAL_1G370800 transcript:PAN07893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYPEELRTPPLSLVSIVGCPELHPSISAALSSQQPPMNTLALPDFAKASILARSGKSRDPLAPPQAPAGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSAIQGKNTKLVVVLVQAQASDELSEDVTVALRKRAEIDSKHLVILVERDETEWNRSLSKLKNVFAELCSAFYKEEGRRIKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGVRVLREMIGTSTRLPPTQRLVEIKAVAEQFHFKISTLLLHAGKVVEAIIWFRKHIRSYERVVGTPEVAFLHWEWFSRQFLVFGELIETTSTTVPDTLSPRFGTADNVLTEWEFQPAYYYQLAATYLREKRYAIECSSSMANLTTEVNGIPESVMPSVYVGQYVRLFEQGDTVSVLPLSDAEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGATRMASACSRGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWTTLLWENLGYLRECSRKLNSPKDFISYSLEMSALPLFSGTGEENQENKIKSGPAGSPTISRRENIQQEVINVLERKQSPEGNDDGFNNAMEETTHLEIDQISPLRMVLIASVAFHDQSVKPGSPLLVSVSLLSHLPSPVVVDQLEVQFNQSACNFVIHSTQEDSPPLDSNLHGQGQTTSLTLFTNKWMRLTHEIKSGQSGKLECLSVKATINKHLVICCHAESPASMEDFPLWKFEDQVEIMPTKDNVLAFSGQKLIQVEEPDSQVDLVLSSAGPALVGEIFILPVTILSKGHAVHSGELKINLVDARGGGLLMSPREAEESESHHVELLGVSTVSDGKESKEEVDSIRQIQSSFGVVSVPTLSVGDSWSCKLEIKWHRAKSVMLYVSLGYSLGSSEEEALHRLNVHRSLQIEGQIPLLVTHQLLRPFRREPLLISEIRSLGGEDQRCSLAMNESNMFIVNARNCTEIPLRLHSMTIEPDDEGKQLCSVQQVSGISSGHVVIAPSEEYKGIFSVNPRAINSNFHLGEICLNWSRDSSLGDQESHVIMKQMLPEASVEEPPLVVSMECPPYAILGIPFTFYVKIHNSTSLLQEIKYSLVDSQNFVFSGAHNHAAFILPKSEHIVSHKLVPLGSGSQQLPRITVTSVRYSAALTPSTSAATVFVYPSEPKFNLETSCSTSDACVS >PAN07301 pep chromosome:PHallii_v3.1:1:50822691:50825067:1 gene:PAHAL_1G325000 transcript:PAN07301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAIPKPSEKRVALVTGGNRGMGFEICRQLASSGLAVVLTARDERRGAEAAGRLRGLGLPDVVFHQLDIAEPASAARLVDFVRNKFGKLDVLVNNAGIMGVTMEVGDEAAVKEIMRSGKDQNEIAEWLKQRTTQNTQQGEECLKINYHGTKNVTEALLPLVQSSSDGRIVNVTSAFGLLRFFSGEELRQELSSIGTLTKQRLDELSALFVEDLRRGELGRRGWPADRVYAAYQASKALVCAYTRVLARENAGLRVNCVHPGYVETEMNCNTGNLTAAEGASVSVAVALDEQGGVTGAYFDRMEIASFV >PVH66207 pep chromosome:PHallii_v3.1:1:25221907:25223178:-1 gene:PAHAL_1G178300 transcript:PVH66207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKTSSSHQPSLFEDLTDDEDQGPIMCLMAKNSKVTSPNSSDDEIDEEDEIANLIKQYGKSAATRMMKLIIKLDDLDETLESQEKLFRLEREKSEALEKHLINERKENKRLEVSLKAKDSILLEVEESFTSEKRKMNDLTKELSLVEDTHANLKRDNEKLQESLTSLQAIHTALEVKVNTLFESSSNTCKSSRSSSPSTNNGCTRCFNIDIQTCATNHAEMQAMKKEITILTQLVQEEAPSHKQVLKTNPSPRVGEFEKHTKGFGSKYLSKYGFEKGKGLGKNEDGASQTISYVKNNKKATLGAKGGLVNMTTPIHKGISKTQGTSHIKFIKRGTTCDEGAKIVASSLKQDKFQAPKTQKSFSQISFYVDYVLTRNHRGKVVAIFVGHRSWNTKVKSHVWVPKMLLTNTQGPKYCWVPKRKE >PAN07041 pep chromosome:PHallii_v3.1:1:49532108:49536406:-1 gene:PAHAL_1G306500 transcript:PAN07041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWLRLLLAVGLPVAALVAVAFLVYRRRRLPRNAPPELPEVARAAGPEPTASPGLAKLNMRYSAASARVGLRFQQLHQHQHHARVDVRHRGPGGAQQGPFQWADHPRLVTEAAENGWAQFVFAVAPPRSKSASSSPLWGTCPVCDAGMTSRDMAEAAWELPSGSSERMQAVRLNPAAAAAAASSRKWLPGSIPSPLRGDTDAGNNPSALCLARMSLPLPGPPLAGTPFPQDAYFEITIIYLNTKRPEWSASRASRRGRDGSSESDRVKLIRFAPDAKDPVQENRAAKDEQQDKQRHLVMSLGLATASGAPPRPSLAGTYASSIGFHSNGAVYLDGMKLVYESDKSSWAGVDKVVGCGFEPAKRKVFFTVDGQLVHAVSCNAEAFSSPLYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFVRAASAVDARDGGGSGSMGLDFDDSGELFSMGRVDSGWMEALRVSKSRKDSVAGSGAASVGDPEGESDLFEIPLRD >PAN07297 pep chromosome:PHallii_v3.1:1:50811326:50814079:-1 gene:PAHAL_1G324600 transcript:PAN07297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMLHVPLLLSLTLHRLRLAAAAVDQFTFDGFAGASLTLDGTAAITADGLLRLTNGTTLLKGHAFYPSPLRFHRDAASGGAARSFSAAYVFGIASEYADLSSPGLAFVVAKSKDFSAALQSQYMGLANAANNGNATNHFLAVELDTIVNAEFGDMSDNHVGVNVNGLVSRVADNAGYYEDGTGAFRNMSLLNRTAAQVWVDFDARTSLVNVTMAPLELPKPRKPLLSTTVNLSAIIEGEKAFVGFSSSTGVVSSRHYVLAWSFKMDGPAPPLNISKLPALPVAMPKPDPSKTLKIVLPIASAGFVLALAITVLVIRRRWHKYAELKEDWEATFGPHRFSYKDLFHATSGFCDERLLGIGGFGRVYRGVLPVSGVEVAVKKVSHESRQGMKEFVAEVVTIGQLRHRNLVQLLGYCRRQGELLLVYDYMPNGSLEKFLHHQNSLILNWGQRLRIIKGVACSILYLHEDWEQVVLHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGRASKASDVFAFGVFMLEVACGRRPVVQDEHGDHHLLVDWVAEQWRRGTVADAVDPRLRGDFAVEEASLVLKLSLLCSHPLPGARPGIRQITQFLDGSAPLPELSEAHLGLNLQALMRNQALNPHSSPSTVAGNISDVPVAR >PAN07446 pep chromosome:PHallii_v3.1:1:51583849:51589896:-1 gene:PAHAL_1G336500 transcript:PAN07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPPPPGRGAPAARRPMRDFFAAWLATLRSPLLPLLRRALSSSSGSWDDPLSSAAAAVEDHFQAHWSALDAAARQDPAQVVAAGDWRSPLELPFLWLGDVHPSLLTSLLRTLSPSPRLLAAADRVDRRIRVAVPAVSDRLRRAQEAFVAAEVSGAADVEAFLEELKGVALEANRLRRGVLSELVAAAGGYQAALYLEALSRFVLSMHDPEVLRRFDQCRPSPGS >PVH66915 pep chromosome:PHallii_v3.1:1:54545302:54546947:1 gene:PAHAL_1G382100 transcript:PVH66915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVNNKICYNCGEKGHIRPNCPKPDKRNKDNKNKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPNNKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDGELDPNEFTNLINEYTSVIKREKGKVRILESTHAKLDLAHSDLLKLEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKKVGSYCQHCQVTGHHTRECTLPSRPLTKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQFWVPKALVTHVQGPKLVWVPKTQK >PAN08775 pep chromosome:PHallii_v3.1:1:58265785:58267468:1 gene:PAHAL_1G435500 transcript:PAN08775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIEYAVVARGAVVLAEHGAAGSNAGAVARQVLERLPDGGNDCNVSYTQDLHVFHVKRTDGITALCMADDAAGRRIPFAFLADIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADSITRMRGEIDQVRGIMLDNIDKVLERGDRLELLVDKTATMEGNTMRFKRQARRFRNTMWWRNVKLTAAVIFILTVLVYLVLAYMCHGFTLPSCVP >PAN04718 pep chromosome:PHallii_v3.1:1:5986844:5991985:1 gene:PAHAL_1G086400 transcript:PAN04718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSAGSGGNSLPSVGADGQKRRVCYFYDPEVGNYYYGQGHPMKPHRIRMTHSLLARYGLLNQMQVYRPNPARDRDLCRFHADDYINFLRSVTPETQQDQIRLLKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRNQLDDIRSKLLDNLSKLRHAPSVQFQERPPDTELPEPDEDQADPDERHDPDSDMEVDDHKAVEESTRRSSILGIRVKREFGENETKIQDGSRVTSEHRGLEPMVEDIGPSKQAPADANAMAIDEPGNVKNEPESSTKLPDQPAMYHKP >PVH66337 pep chromosome:PHallii_v3.1:1:35758125:35758856:1 gene:PAHAL_1G213100 transcript:PVH66337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISGGTLRCDIMVFVEKSARYPDVDPWFISTVGFRFLDTYRKAARKALRRLRVFYRHHLQRTPMGFFPPAERRGRTWIARIRGLGREEEDLEDTVSHLSIYLTGLDALYREQTAQLRELIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEEPMETHWDKGTQTEDRSIPIKKRPIRTEEESP >PVH66209 pep chromosome:PHallii_v3.1:1:25389166:25390499:-1 gene:PAHAL_1G178700 transcript:PVH66209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSRRRATGAVPGAAVFRAVRAAAGRVRGSSISDIFDCCSVPGNVEDYVSGFDDF >PVH66158 pep chromosome:PHallii_v3.1:1:21686326:21687270:1 gene:PAHAL_1G166100 transcript:PVH66158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLNIRWDRQGHAHTNALHWEGFPRLLWESLQIFGYDVPPLYDGHEFVEAGVPRCRVKMTIPQHPSRYLWQPVTICTSGHRLVDTFESAALEAIHIFCDKHPEEVAAYPIGLFPATDSRDSEWTFRISYCSHLLGDLSLETLQTLIRFMSAQHQYQLLQRQSTNQLSNLAQAHHGTITQQLDELNELHTITNAQVDLLAQRDVIINNQENQIYERETVIAQRNIIIEFLQDQVHDLTIELDGAINHINDLHEQPAPPVVPEENESEEEEEEEPEEIEGESGLDSEHGDPAPNPQSNHSSSERVSSVGNLDDF >PVH66643 pep chromosome:PHallii_v3.1:1:49380905:49385345:1 gene:PAHAL_1G304200 transcript:PVH66643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKGAAGCAHGFPGYKSRSTPRELTSSPPRANTSIPAGRRPHHHLTPPALHRVLRCRRVGLRCVPDPPSSSTDSSSKMKITVRGSEMVYPAAETPRRRLWNSGPDLVVPRFHTPSVYFFRRRDGEGNDLAAADGSFFDGARMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAGGVLFQEADAPDATVDDFGDFAPTMELKRLIPTVEYTDDISAFPLLVLQVTHFKCGGVAIGVGMQHHVADGFSGLHFINSWADLCRGVPIAVMPYIDRSLLRARDPPTPAYPHVEYQPAPAMLSEPPQAPLTAKPATPPTAVAIFKLSRADLGRLRSQIPAREGAPRFSTYAVLAAHVWRCASLARGLPADQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLADAGTVTAGVAEGAAVIQAALDRMDDGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHMEKFRKLIYDF >PAN09151 pep chromosome:PHallii_v3.1:1:59892664:59896659:-1 gene:PAHAL_1G463200 transcript:PAN09151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTQQQQLLLLFLFFLLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQKDIIETVNKHPDAGWTAAHNPYFANYTIAQFKRILGVKPTPQSVLTDVPAKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNMNISLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTEECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWEEKKHFSVNAYRINSDPDDIMSEVYENGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGRNECGIEEDVVAGMPSTKNMVRNYGGSFGTAVV >PAN08735 pep chromosome:PHallii_v3.1:1:59892666:59895982:-1 gene:PAHAL_1G463200 transcript:PAN08735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTQQQQLLLLFLFFLLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQKDIIETVNKHPDAGWTAAHNPYFANYTIAQFKRILGVKPTPQSVLTDVPAKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNMNISLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTEECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWEEKKHFSVNAYRINSDPDDIMSEVYENGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGRNECGIEEDVVAGMPSTKNMVRNYGGSFGTAVV >PAN06657 pep chromosome:PHallii_v3.1:1:47827505:47834177:1 gene:PAHAL_1G279000 transcript:PAN06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACTIVITY OF BC1 COMPLEX KINASE 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64940) UniProtKB/Swiss-Prot;Acc:Q93Y08] MSVASAAAASLVASTSLSVPGHLRLRRFRLHPRPPPPRLPCSRRRSRGCPVRAVLEDRAPPPAEEDAAKRYGLNGNGSGLGYDDAAVQNYLGSNGNGNGSAGAAVKEKEPAAATASSAAVVHVSPVEDERRRKERVEEIGKEDAWFKQSGGERLPVPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFTFIFKAWLNNQKFTYRGGITEEKKIMRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNEKFDRFDFEPIAAASLGQVHRARLNGQEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFAENFKKLDYVKVPEIYWEHTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIREGLLEVFYGVYEKDPDKVLQAMVQMGVLVPTGDMTAVRRTAQFFLNSFQERLAAQRKEREMENAELGFKKQLTKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIARPYALELLRFNEAGVEVVVKDAKKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFQRVAAVQKTIGYGIAAGSLVNLATMLYFNSIRGPATIAYSLCAFFALQVLVGLIKVKKLDRQERLITGTA >PAN07648 pep chromosome:PHallii_v3.1:1:52587669:52588646:-1 gene:PAHAL_1G352300 transcript:PAN07648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSNSFFDIEPLECGETCRATMDACSLCGKRLAGDRDIFMYRGDTPFCSEECRYRRMVSDGVGARKNKKPFNFNTEHRHEAPAAARPARVRIAADVPVAN >PVH67080 pep chromosome:PHallii_v3.1:1:57543494:57544379:-1 gene:PAHAL_1G424000 transcript:PVH67080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGKTYAYFSAVPRLFADRPYDYVGKTDDDTYYRVASLADSLRGKARRDAYHGYLTPCHWRPEKQYMSGMGYIVSWDVAAWIAETPELRDDHDDWEDVNFGGWLRKGGRYKNVYNEEPRMYDYWDREMDADVNCFRHEHRADTVAVHKLKDRLKWARTLHFFNATQGLRPSKMYHLDLENNIYRV >PAN04084 pep chromosome:PHallii_v3.1:1:2762133:2765475:1 gene:PAHAL_1G040500 transcript:PAN04084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >PVH67191 pep chromosome:PHallii_v3.1:1:59019337:59020511:1 gene:PAHAL_1G447500 transcript:PVH67191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSVSSSSSTSQNGGEEGSRRSEAELAAAASRAKHNLRLLRLRRLLVAVVTFARRCSSRSLEPRSGSEEMLHRAMASLQIGTVAEDG >PVH67194 pep chromosome:PHallii_v3.1:1:59019337:59020511:1 gene:PAHAL_1G447500 transcript:PVH67194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSVSSSSSTSQNGGEEGSRRSEAELAAAASRAKHNLRLLRLRRLLVAVVTFARRCSSRSLEPRSGSEEMLHRAMASLQIGTVAEDG >PVH67192 pep chromosome:PHallii_v3.1:1:59019298:59022882:1 gene:PAHAL_1G447500 transcript:PVH67192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSVSSSSSTSQNGGEEGSRRSEAELAAAASRAKHNLRLLRLRRLLVAVVTFARRCSSRSLEPRSGSEEMLHRAMASLQEQWRRTDKN >PVH67195 pep chromosome:PHallii_v3.1:1:59019298:59022882:1 gene:PAHAL_1G447500 transcript:PVH67195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSVSSSSSTSQNGGEEGSRRSEAELAAAASRAKHNLRLLRLRRLLVAVVTFARRCSSRSLEPRSGSEEMLHRAMASLQEQWRRTDKN >PVH67193 pep chromosome:PHallii_v3.1:1:59019298:59022048:1 gene:PAHAL_1G447500 transcript:PVH67193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSVSSSSSTSQNGGEEGSRRSEAELAAAASRAKHNLRLLRLRRLLVAVVTFARRCSSRSLEPRSGSEEMLHRAMASLQIGTVAEDG >PAN08071 pep chromosome:PHallii_v3.1:1:54801523:54805966:1 gene:PAHAL_1G385300 transcript:PAN08071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPLLLLHLLLLATAAALAPPAAAQPQRNILTTFPSVRTPAFATPPPAIVPPSASPTTAPPSTPGSSPPPPPSSSVKRSDIAVAVVSTALSSFAVSGVAFFLFLRHGRKKELTPAGGNGYPDGRQEGAFAGKRPEREPRRLPRGGGGGGFGMVDENGLDAIYWREFEKDGDGRGRKSWRPPQPPPPRQQQQRVEMWPEPQQTSSPPSPPRRSRRNKIDQEPLIPVGSLDSASDVFDESLRPPSAGSSSSFSVAAAGSYARPPPGPPPAQAVAVSSVSRPSPPPAPAGPPSASPGLPPPPGRASPPPAPSIAAASAASPPPPPPKPAAASPPPPPPPRGPSPPPPPPPKGGPPPPPPKGGPPPPPPPKGPSPPPPPPPGGKRGGPPPPPPKGGASASSSRPPTAPGMPSGAGEQQAKLKPLHWDKVNVQATDHSMVWDKITAGSFNLDEGIIEALFGTAAANRKPKSADSKDSAESSAGLGRSNTPEQIFLLEPRKSHNISIILRSLTVGRDEIIDALRDGHTELSTEVLEKLSRLNISKEEESTILKFSGNPERLAPAEFFLLRLLLDVPNPFARVNALLFKVNYGAEVAQLKHSLRTLELASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRSEGKRLAINRNYSLRRSGSLARSGHEGGSSAAGQGPSREERQNEYMNLGLPIVGGLSTEFANVKRAALVDYDAVVSECSILGSRLTDIKKLLETCTDDGFARGLRGFVKAAEQELKTLRGEQERVLELVQKTTEYYHAGATKDRNAHPLQLFIVVRDFLGMVDQACVDIKRKLQQQKKPPPTTSQPTTAAVPAAVSTAAAAVTKEATDAKSEPAQKTPEEADSKRKRVMPRFPNLPAHFMKDSADSDSSSDEE >PVH65800 pep chromosome:PHallii_v3.1:1:5478149:5478643:1 gene:PAHAL_1G079400 transcript:PVH65800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLPNLASLQNQQKMLQKRQTRRRGSQKMKSSLLKKKQTPKKKPPKKAPKKKKTPKKKK >PVH66367 pep chromosome:PHallii_v3.1:1:39459681:39460702:-1 gene:PAHAL_1G224500 transcript:PVH66367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIPQKIQTTSPKTSSRVSSCTQSCLWLGPPLEFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH66763 pep chromosome:PHallii_v3.1:1:51803413:51805267:1 gene:PAHAL_1G340200 transcript:PVH66763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSMAMSKALAARHAIHLQHRLVASSSQAAPRLPLVPRRPSLAVTVASPPRRLLPASPRSSSSESDLSPTPQSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLIVLVTLVLTAAPAVTHGLPFPVLARAAFGVRGAHVPALIRALVGCGWFGIESWIGGRAIFLLLPSRLKSYQPLLAPVPGLGAAPLEFACFLAFWAAQLGIIMRGMEGIRKLEKFSAPVLIVLTSALLAWAYTSAGGFGRILSLPPRLTGAEFWKVFFPSLTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAITSATEAIFGHVISDPIELLGRIGGPATTFLAIFGIGLATITTNIAANVVAPANALVSMSPRRFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGVILADHYVVRRTALDVDALYSEDSGSPYYFQSGFNVAAMAAMAAGVAPIVPGFLQKVGVLPSVSKAFVTAYNNAWFVSFFVAGAVYSLLCGRRGVQGKQHSN >PVH65782 pep chromosome:PHallii_v3.1:1:5201536:5202837:1 gene:PAHAL_1G075800 transcript:PVH65782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEDEGDGHGDEGLRRSLFSPSSFNPAKRLRVAARRMLFRGGGGVGELDGVLANMEGISGDLSEFIMLLQCCQPALQRPLATNIYADSQMFGRHLERRHVIDFLLQDDDGGGELGVLPIIGRAGLGKMTLVQHVCDEPAVRRRFSLILLLDFHCMSLTVASEIVKLLRSLFTVASTPSAGLAGAGELLRLLERTLRGERFLAVFDNIDTRKKQVIKRHHAGPAVRAAREQAPSPCGTLPPAEYWFFFKAHVLGGTDDAVAEPRLAAAAQAIARGCAAPSWAPRLWRRVLTASHAEPSWLGNGGYVAAAASSLLPPHMTVRGVAVAVSGSPHGAGGARKRSGYTAYCTIEREDKQ >PAN07337 pep chromosome:PHallii_v3.1:1:51009470:51012123:1 gene:PAHAL_1G327500 transcript:PAN07337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHQTTLISSAPAAFSPASHSLHASPPLLFTRSYSSSPSCSPSSAAPAAPAMASFVAHHHGSLGEREGRMAALRSSLRPCEAAEELDAAPAAWGAAERGAGLFGEGFSVEDLLDLGDLCEVDRDCAELGEATPAPAAVEDDKLSSDSHGSSVVSYELMPLPPPVIDLPLPAHDAEELEWVSRIMDDSLAELPPLPKLPAAPVAVARRPLERAVPAAAAAAATDPMRSPTICALSTEALVPVKARRSKRSRASVWSLSGGAPLSDSTSSSSTATTSSCSSSGSFSPFLFLPADSPTAFWAAHLLGEAPRGASKKSKHGKNGGKPKKRGRKPKHHPPQLTAAAAPAAAAGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFESSIHSNSHRKVLEMRRKKEGVLVSGPAPLPAVASF >PAN08576 pep chromosome:PHallii_v3.1:1:57371518:57374368:-1 gene:PAHAL_1G421800 transcript:PAN08576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASPSAASPPAEEEAAAVARRRLPEELKLRRRTLETVLEQCQRALEMIREVDLEEIEEGANSKEGLQEDGGGEADGNGGGDEGAPPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSIQKSVYQHGAVDETVSWDIVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQALSKTFSAKKRKGKLQKAWDGTKVIYNVASWSATAVGIYQNPAIVQAATTAFWTSCRVISKFL >PAN08577 pep chromosome:PHallii_v3.1:1:57371018:57374562:-1 gene:PAHAL_1G421800 transcript:PAN08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASPSAASPPAEEEAAAVARRRLPEELKLRRRTLETVLEQCQRALEMIREVDLEEIEEGANSKEGLQEDGGGEADGNGGGDEGAPPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSIQKSVYQHGADETVSWDIVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQALSKTFSAKKRKGKLQKAWDGTKVIYNVASWSATAVGIYQNPAIVQAATTAFWTSCRVISKFL >PVH66474 pep chromosome:PHallii_v3.1:1:46068653:46073896:-1 gene:PAHAL_1G256900 transcript:PVH66474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIGRLSQDVRQSYLEHLFQRFGRCTVNLKDGYGFAVYDSDDDATRALQALHGKYVCGERITVNWSKQQPRISQGFRRSSRFVESFRGRNFRDARDNIRFRDSVVRKNHPADHDQGHNPDAVPEKESDKFVEVVNDAGENDGGDLEEVKRDEGGTVDEDPGEVKTDEGGKGDANAIEHDRWAETGKGTPGGDGDDFDRYEPYHGYDRQEETENVTKASSYDSREHRRSSDKMQEHSDRRVDISHDKSRSPPTCYSCGVTGHIARNCPQGIDDNFKARRDGLNFREKWQLRQRRFGSPSRRRPEFHVDPLDQTNYRVQDGRKPFSDRNMRVPGLSTAPRDSRRHAHCSENMPQTNKEARKRSRSERSRALSPSSEPSRHSHHDNVKGSHSKRTSSDSRSRSRFRAHSPSYSAHSSAKSAQPTEHEGSRSNINHAVPFSVSTSPQHKSSSDVENKNLDGLTNSPLEDNLEFWTRSEVKNMVDNKQEGKGSVLNSTVLNGKALVPDKDANAAGCTGVNFDKNLVDDNAANGMQSQNANFEDSSSMKSKQDVLAKNGRNKSLKLTTNEVIAALKHYGVEAQEDSSDQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRLEQNKEFGIVDQYVRSSSGWWECH >PAN06335 pep chromosome:PHallii_v3.1:1:46068395:46073943:-1 gene:PAHAL_1G256900 transcript:PAN06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIGRLSQDVRQSYLEHLFQRFGRCTVNLKDGYGFAVYDSDDDATRALQALHGKYVCGERITVNWSKQQPRISQGFRRSSRFVESFRGRNFRDARDNIRFRDSVVRKNHPADHDQGHNPDAVPEKESDKFVEVVNDAGENDGGDLEEVKRDEGGTVDEDPGEVKTDEGGKGDANAIEHDRWAETGKGTPGGDGDDFDRYEPYHGYDRQEETENVTKASSYDSREHRRSSDKMQEHSDRRVDISHDKSRSPPTCYSCGVTGHIARNCPQGIDDNFKARRDGLNFREKWQLRQRRFGSPSRRRPEFHVDPLDQTNYRVQDGRKPFSDRNMRVPGLSTAPRDSRRHAHCSENMPQTNKEARKRSRSERSRALSPSSEPSRHSHHDNVKGSHSKRTSSDSRSRSRFRAHSPSYSAHSSAKSAQPTEHEGSRSNINHAVPFSVSTSPQHKSSSDVENKNLDGLTNSPLEDNLEFWTRSEVKNMVDNKQEGKGSVLNSTVLNGKALVPDKDANAAGCTGVNFDKNLVDDNAANGMQSQNANFEDSSSMKSKQDVLAKNGRNKSLKLTTNEVIAALKHYGVEAQEDSSDQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRLEQNKEFGIVDQYVRSSSGWWECH >PVH66476 pep chromosome:PHallii_v3.1:1:46068395:46073928:-1 gene:PAHAL_1G256900 transcript:PVH66476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIGRLSQDVRQSYLEHLFQRFGRCTVNLKDGYGFAVYDSDDDATRALQALHGKYVCGERITVNWSKQQPRISQGFRRSSRFVESFRGRNFRDARDNIRFRDSVVRKNHPADHDQGHNPDAVPEKESDKFVEVVNDAGENDGGDLEEVKRDEGGTVDEDPGEVKTDEGGKGDANAIEHDRWAETGKGTPGGDGDDFDRYEPYHGYDRQEETENVTKASSYDSREHRRSSDKMQEHSDRRVDISHDKSRSPPTCYSCGVTGHIARNCPQGIDDNFKARRDGLNFREKWQLRQRRFGSPSRRRPEFHVDPLDQTNYRVQDGRKPFSDRNMRVPGLSTAPRDSRRHAHCSENMPQTNKEARKRSRSERSRALSPSSEPSRHSHHDNVKGSHSKRTSSDSRSRSRFRAHSPSYSAHSSAKSAQPTEHEGSRSNINHAVPFSVSTSPQHKSSSDVENKNLDGLTNSPLEDNLEFWTRSEVKNMVDNKQEGKGSVLNSTVLNGKALVPDKDANAAGCTGVNFDKNLVDDNAANGMQSQNANFEDSSSMKSKQDVLAKNGRNKSLKLTTNEVIAALKHYGVEAQEDSSDQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRLEQNKEFGIVDQYVRSSSGWWECH >PVH66475 pep chromosome:PHallii_v3.1:1:46068395:46073926:-1 gene:PAHAL_1G256900 transcript:PVH66475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIGRLSQDVRQSYLEHLFQRFGRCTVNLKDGYGFAVYDSDDDATRALQALHGKYVCGERITVNWSKQQPRISQGFRRSSRFVESFRGRNFRDARDNIRFRDSVVRKNHPADHDQGHNPDAVPEKESDKFVEVVNDAGENDGGDLEEVKRDEGGTVDEDPGEVKTDEGGKGDANAIEHDRWAETGKGTPGGDGDDFDRYEPYHGYDRQEETENVTKASSYDSREHRRSSDKMQEHSDRRVDISHDKSRSPPTCYSCGVTGHIARNCPQGIDDNFKARRDGLNFREKWQLRQRRFGSPSRRRPEFHVDPLDQTNYRVQDGRKPFSDRNMRVPGLSTAPRDSRRHAHCSENMPQTNKEARKRSRSERSRALSPSSEPSRHSHHDNVKGSHSKRTSSDSRSRSRFRAHSPSYSAHSSAKSAQPTEHEGSRSNINHAVPFSVSTSPQHKSSSDVENKNLDGLTNSPLEDNLEFWTRSEVKNMVDNKQEGKGSVLNSTVLNGKALVPDKDANAAGCTGVNFDKNLVDDNAANGMQSQNANFEDSSSMKSKQDVLAKNGRNKSLKLTTNEVIAALKHYGVEAQEDSSDQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRLEQNKEFGIVDQYVRSSSGWWECH >PVH66263 pep chromosome:PHallii_v3.1:1:27769487:27769813:1 gene:PAHAL_1G188700 transcript:PVH66263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWGQVRTFMTRPCCISSQPCLFVGIDCRCSSSCSLHGLRRFTTIWYICTNISMRYLLGWFTEELVISRVTSHLVYDICWRILLLWGGKCVRHWWSGLRRQLPSVTP >PAN06648 pep chromosome:PHallii_v3.1:1:47779788:47783191:-1 gene:PAHAL_1G278300 transcript:PAN06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVPVRKTHASTAGLLAWSEEGSAAAAATPSPASRPCLKPAGGITPAMFGAPVTEHEAEDLSKRKMCSGSKMKEMTGSGIFAASGGTDNFESGSGAANPPNKTTLRMYQQTVTGMSQITFSAEGSVSPKKPSSLPEVAKQRELSGTLESEAASKTAKQISESKSKELSGSDIFGPPPEVPARPLAARNLELRGNLDFALPQPRSIHTSVKVSNPAGGRSNIVFGEEPVVKTAKKIHDQKFHELTGNNIFKEDAPPGSGEKALSTAKLREMSGSNIFADGKVASRDYFGGVRKPPGGGSSIALV >PVH65686 pep chromosome:PHallii_v3.1:1:3512444:3513869:-1 gene:PAHAL_1G051800 transcript:PVH65686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDQDQMRRPSNAFASTRRDAGDLTDPKGADRPTSQAATGPGRRLAARHVSPRRAPTFKRPARHPSLPSPLPIHAPPSPSPADSAIPHPAKPSRLPNPPPDPSFGRRPWRGSPQPPRDPARRRTDFEARYCRECLLLARSFCLVGALVMPMRAGACRRCCSGGLAARRVRDATYVSADININIY >PVH65607 pep chromosome:PHallii_v3.1:1:2511873:2512019:-1 gene:PAHAL_1G036700 transcript:PVH65607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVYDVNSVWKVANLALHCKQEISRERPTMTDVVAQIKESMELEAPP >PAN04040 pep chromosome:PHallii_v3.1:1:2533790:2538035:1 gene:PAHAL_1G036900 transcript:PAN04040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTFNTQPIVTPAKKKDSRKDAADAAVRHCTPLSSRERGAGTAAVAVDGDRGSQYALKWAADHILARGHPFFLIHVRRKPTSFHAHGGKQFSISHVQDHVPAAFQAQVDLHAKDLMLPFQCFCSRRGLQCSEIVLDGTDVAKAIVDFVVRYKVDKLVLGAACRNAFTRTIWKLDVPTSVTKSAPSSCSVYVIAKGKISSFRPATYADDNSKEDLKSDLPVKQLEGEPTDKLHDGHDPSKPMPARAVMPIYASSDGSTGDGSLLSSDQRGHSDSSSLQTASSCPSEFFNDIDQHGNHLSSDAHSALNHGHSVYSPLLPTTCSESNCHHLTEEDDAELSLFKIERNQNNSDMLPWKYIEEHKEPPRNLESSSSNLPSQRNRPDVEPCSQSAIGPKHKHLSLDTLSSDSQRRERINEEFTDHNYHDITQPLLRRWPPIQSPRDDNIGGSAPEEPHMLPLTLKALPRPIETKRMLECLPTRLECKIYKPDDITNATEHFSDELKVGEGGYGPVYKATLDGTVVAIKILYSNVTQGLKQFQQEVELLNNIRHPNMVHLVGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWPLRFKIAVEIASGLLYLHKMKPEAFVHRDLKPGNILLDAHFVSKIGDVGLARIIPRSMDGAAATTQYRETAAAGTFCYIDPEYQKTGLVCTKSDVYALGVIYLQMVTAREPMGLAYTVSDALEEGTFADVLDGNVTGWPVREAQAFAELALKCCEMRRRDRPDLETVVMPELIRLHRLVSPAEYSSPPPPSMDHAHHRSASEKDLHLDDDLVHILSDASMKGGISFAI >PAN04572 pep chromosome:PHallii_v3.1:1:5206821:5210945:1 gene:PAHAL_1G076000 transcript:PAN04572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNNDDLDKQNGGTEAQVYVPQDAQGIVLAFLPGRVVVKLRSVCTFWRDCIEEPSFVNSHLNNACRLHQSIACFTSLDHGLVHMYRFDPATMNFRSVELVFSNRFHMSGPCNGLVCAYDIKGDAEVLNPTTRKHLRLPDSVLKSRSLYSEYFVGFVHSTKEYKVVSVRHCVRFLAFEICTVGALSWRTIRESAELLKATKAVIVNGSMYWLLLHKASSSLSREILMLNLTDETFTKIDIPDAVKKHDMELFEGEGKLLLLSNHYDRSSKNTVSDIWVVDLTHQDWIHLHTVAPRMPVGMNPFFQLESKILFGNQKRLICADLQDCTVSYIDMPSGETLISCGMFVESFAPAVTGLVSSTASSYGNSSGLNEPSSADPGQSFRNAESSLIGRDQSSGLTGWSSADFESSFKRTKRTMNMVWKILKHRAS >PAN04266 pep chromosome:PHallii_v3.1:1:3618498:3620954:1 gene:PAHAL_1G053400 transcript:PAN04266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGHHLQSPPSMSPSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYERVHQGFKLAYAEMSRRHPCFGHAAAMPNAEWWKVCVRDSFAMAGYDYDDDTFERIFRRIYATFGSCAPYTVFPDARRFLRWLRGRGLVVGVVSNAEYRYRDVVLPALGLNQGSEWDFGVFSGIVGVEKPDPRIYEAALEAAGGVAPGEALHIGDSLRKDYAPARSLGMHALLLDRFGTAEAESWRRSGAPVLPDLAAAREWLAGDVKEEAEPATAR >PVH65692 pep chromosome:PHallii_v3.1:1:3618498:3621087:1 gene:PAHAL_1G053400 transcript:PVH65692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGHHLQSPPSMSPSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYERVHQGFKLAYAEMSRRHPCFGHAAAMPNAEWWKVCVRDSFAMAGYDYDDDTFERIFRRIYATFGSCAPYTVFPDARRFLRWLRGRGLVVGVVSNAEYRYRDVVLPALGLNQGSEWDFGVFSGIVGVEKPDPRIYEAALEAAGGVAPGEALHIGDSLRKDYAPARSLGMHALLLDRFGTAEAESWRRSGAPVLPDLAAAREWLAGDVKEEAEPATAR >PAN04267 pep chromosome:PHallii_v3.1:1:3618498:3621088:1 gene:PAHAL_1G053400 transcript:PAN04267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGHHLQSPPSMSPSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYERVHQGFKLAYAEMSRRHPCFGHAAAMPNAEWWKVCVRDSFAMAGYDYDDDTFERIFRRIYATFGSCAPYTVFPDARRFLRWLRGRGLVVGVVSNAEYRYRDVVLPALGLNQGSEWDFGVFSGIVGVEKPDPRIYEAALEAAGGVAPGEALHIGDSLRKDYAPARSLGMHALLLDRFGTAEAESWRRSGAPVLPDLAAAREWLAGDVKEEAEPATAR >PAN08524 pep chromosome:PHallii_v3.1:1:56929121:56932233:1 gene:PAHAL_1G417800 transcript:PAN08524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDRRAPLLGAGGRPPSLQRRDSARSLRSSFLSRLPDKVRAALDPERTADVDLGRAKGLSQGEREYYEKQLATLRTFEEVEVRCMPGEFESDEADHGAFEDKEQKQNEFAMKISNYANIVLLVFKVYATIRTGSMAIAASTLDSLLDFMAGGILWFTHLSMKRVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLVTAVEQLVENKPGEKMTSEQLIWLYSIMLSATAVKLALWLYCKSSGNSIVRAYAKDHYFDVITNVVGLVAAVLGDKFLWWIDPAGAVLLAVYTIVNWSKTVLENAVTLVGRCAPQEMLQMLTYLAMKHDTRVKRVDTVRAYSFGALYFVEVDIELSEDMRLREAHTIGETLQEKIEKLPEVERAFVHVDFESTHKPEHKVRSKLPATDP >PAN05554 pep chromosome:PHallii_v3.1:1:44033399:44036789:-1 gene:PAHAL_1G238800 transcript:PAN05554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTAAAAPTLGLTRPNAVDPPQVTFAAKDVEFSGWNGDILAVAVTEKDLQSGAPDPKFENAVLKRLDGQLGGLLSTAAAEEDFTGKPGQSVVLRVQGQAFKRVALIGSVAHNAGCLQGLGESVASVAKAAKATSAAIVLASPCVIQGEFKLHAAATIASGTVLGLHEDTRYKSEWKKVHLKQVDLIGLGSGPEVDQKLKHANHVSSGVILGRDLVNSPANVLTPAALAEEASKIASTYSDVLTATILDAEKCRELKMGAYLAVAAASANPPHFIHLCYKPTGGSVKRKLAIVGKGLTFDSGGYNIKAVPVARIELMKWDMGGSAAVFGAAKALGQIKPPGVEVHFIAAACENMISGTGMRPGDIVTASNGKTVEVDNTDAEGRLTLADALVYACNQGVDKIIDLATLTGAMRVALGPSIAGIFTPSDELAEEFVGASEVSGEKFWRLPMEESYWEDMKSGIADMLNTGPMQPKGGAITAALFLKQFVDEKVQWMHIDIAGTVWSHKKRGATGFGVATLVEWVLKNSSS >PAN08023 pep chromosome:PHallii_v3.1:1:54448126:54451112:-1 gene:PAHAL_1G380500 transcript:PAN08023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIRSDDMEKQDEVILPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPPKKPLEKTIHPNDSWAICRIFKKTNSTAQRALSHSWVLPPLSSTNENYMRPSSQATQRSHHSSENTSSTMTDIVSSTIQFNGSNYTPSILSSSRNPLSIIGSSRPAASLVLPPSGAEHHTMSVLSAIPLDLPAGMDITSMVLNASPTTLQNQDRIPTNIEFGQPHCTNNSIIMANRCTVDLPDIGNSANSFPRSINFPFNLQGALPDDWRMTLPWDSLPCTTEVSMNNQPTKCYT >PAN03789 pep chromosome:PHallii_v3.1:1:1593608:1595742:1 gene:PAHAL_1G022200 transcript:PAN03789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSGDAAAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSAASGAASPSADGSSWKSMEFEILSLLGKLQDVNDAMSRCAASTAPTTSVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPIIRGLLGAIKRKKSKDTIILSAVIAACTMFLIIYWLSK >PVH66673 pep chromosome:PHallii_v3.1:1:49994017:49996264:-1 gene:PAHAL_1G312000 transcript:PVH66673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MKSSDGNRIRLQPMWRKVAYGGRQPGYDDNYTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWTLTLNLDIDEATLLKLDVGLLLVGFSVLLLTTCPFSLKLLTKYVLNISFFISGLYVLAPIYHTLTRSISSDSIWALAVSLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQVFLFAPLITFCVKKYHFRLHLLFSFALMVVTLSVTYQLHRMFFILLLSLLVFISVVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >PAN07122 pep chromosome:PHallii_v3.1:1:49994086:49996113:-1 gene:PAHAL_1G312000 transcript:PAN07122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MKSSDGNRIRLQPMWRKVAYGGRQPGYDDNYTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWTLTLNLDIDEATLLKLDVGLLLVGFSVLLLTTCPFSLKLLTKYVLNISFFISGLYVLAPIYHTLTRSISSDSIWALAVSLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQVFLFAPLITFCVKKYHFRLHLLFSFALMVVTLSVTYQLHRMFFILLLSLLVFISVVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >PAN08749 pep chromosome:PHallii_v3.1:1:58181749:58182492:1 gene:PAHAL_1G434100 transcript:PAN08749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPCSPSPAAAVARGPRSAAVPGNSKKLTCLCSPTNHPGSFRCSRHRNGPAAPSGGEAGPGPRGRATKGRSVRALLLQRISCPSDRDRQRQRRRSRDFQPRPSRLRLMNM >PAN08365 pep chromosome:PHallii_v3.1:1:56374645:56377977:-1 gene:PAHAL_1G407800 transcript:PAN08365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVADGAKLQPSRAGSRLCVRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVDNSLFKSGERVAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTKMPEQGTCERCGYISSQRLCKACVLLDGLNRGLPKLGIGRTKAGDGKEHAKHSERNTSSLQGKHGNFDF >PAN08108 pep chromosome:PHallii_v3.1:1:55049002:55051293:1 gene:PAHAL_1G388200 transcript:PAN08108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASSPSPEQPLLRPPSPPPNPRTGASSGSPASPASPAARPSRLAALIGRAAGRRGPSMLVRETAALQLQQRRADWAHSRPVVALDIAWNFAFAAAAAAVLASSAEESPVRPLRLWLVGYAAQCLVHVALVCADTRRGHPTARGSASDIESAGAGTDSSDTDGEDDEGTEERSSYTSRCESMNTMISFLWWIIGFYWIVSGGEELELGAPRLYWLTVVFLAFDVFFAVFCVVVACFIGIALCCCLPCVIAILYALAGQEGASDADIGVLPRYRYSDPSENGEKGADEGVMIPIMNNSGTSTSERILLREDAECCICLSSYEDGAELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSDSA >PAN07673 pep chromosome:PHallii_v3.1:1:52703513:52705897:1 gene:PAHAL_1G354100 transcript:PAN07673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSAPTELSREAARQSLIAISQSVPETPSPQAVKTPTSTAENGKLDDGADKYRSKLMSITDLSSDAQPMPCPPKDLTA >PAN07672 pep chromosome:PHallii_v3.1:1:52703158:52705903:1 gene:PAHAL_1G354100 transcript:PAN07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSAPTELSREAARQSLIAISQSVPETPSPQAVKTPTSTAENGKLDDGADKYRSKLMSITDLSSDAQPMPCPPKDLTA >PVH66407 pep chromosome:PHallii_v3.1:1:43291682:43299184:-1 gene:PAHAL_1G235700 transcript:PVH66407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRLLLLAAAVAFIYIQVRLFATQSHYADRLAEAEKSENQCTSQLKSLIDQVSMQQEKIVALEEMKIRQDEERAQLKILIQDLEKRSVQKLLNKNVVPVAAVVIMACNRPDYLERTVESILKYQTSVASKFPLFISQDGTNGAVKKKALDYKQITYMQHVNLEPVQTERPGELTAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVNDQKALYRSDFFPGLGWMLTKSTWLELSPKWPKAYWDDWMRLKEIHGNRQFIRPEICRTYNFGKHGSSLGQFFEQYLEPIKLNDVHIDWNSEDLSYLGEDKFSTKFGKEVASATPLHGSDAVLKAHNMAADVRIQYDDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSPRRIFLVSPDSLRQLGV >PAN04000 pep chromosome:PHallii_v3.1:1:2352530:2359557:1 gene:PAHAL_1G034000 transcript:PAN04000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEHPTPAAPSEQSQPPPPAAAKGKGKKKDDDDLSEEDLALKEQLELYVLRAQDADPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSYFETMPESELKKYMADILSVLALTMSVEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDDLPIDALMELVQQIISFHMKHNAEPEAVDLLMEVEDLDLLVEHVDTTNYKRACLYLTSSSKYLPAPDDMLALDIAYTIYMKFGDLASALRIALQLEKPMQYVKQVYTSTDDFMLKKQFSYIIARHGLAMEIDDEIAADDNDKEILQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGIVTCGVKNDCDPALAILMEYIGKEDSNIRIGAILGLGIAYAGSQKEELKMHLSAVLGDSQSPLEVLVFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKIQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >PAN07423 pep chromosome:PHallii_v3.1:1:51427554:51428685:1 gene:PAHAL_1G334700 transcript:PAN07423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIQVWSRFIASVALACLLGPGAPAELSAGWSDNAGATWYGAPNGAGSDGGACGYQNAVDQPPFLLHGHGKGCGSCYQVKYTEHASCSGTPVTVVLTDEYPDGACQREPVHFDLSGTAFGAMAMPGDAEQLRNAGRLRVQYTRVPCNWHGMDIAFKVDAGSNPYYLAVLIEYEAGDGDLRSVERKQSGGGGAAWAPMQQSWGAVWKHNSGAGLRAPFSIRLTSGSGSTLVADKVIPG >PVH66581 pep chromosome:PHallii_v3.1:1:48329986:48332296:1 gene:PAHAL_1G286600 transcript:PVH66581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCIATVNQHPTAGHHHPPSVGTRCCVYAKPWAARVATVAAASRVCPSSMPPAASTPATATAVYVAAVPLRAPKGPGQLLMSAGYSLGLWDLQHFMVVLRPDPARTQALVFDFQPRDPEGVGAALAVLSRSEIPGVVRRRTLRRVPDRRCWLVGHCCDEDAVGAADRFSERWPTGLVVGEHDCRDYTNDG >PVH66580 pep chromosome:PHallii_v3.1:1:48329986:48332296:1 gene:PAHAL_1G286600 transcript:PVH66580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCIATVNQHPTAGHHHPPSVGTRCCVYAKPWAARVATVAAASRVCPSSMPPAASTPATATAVYVAAVPLRAPKGPGQLLMSAGYSLGLWDLQHFMVVLRPDPARTQALVFDFQPRDPEGVGAALAVLSRSEIPGVVRRRTLRRVPDRRCWLVGHCCDEDAVGAADRFSERWPTGLVVGEHDCRDYTNGLVEALTGEKRVLETLRSGGSTSISEAAPP >PVH66582 pep chromosome:PHallii_v3.1:1:48329986:48332296:1 gene:PAHAL_1G286600 transcript:PVH66582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCIATVNQHPTAGHHHPPSVGTRCCVYAKPWAARVATVAAASRVCPSSMPPAASTPATATAVYVAAVPLRAPKGPGQLLMSAGYSLGLWDLQHFMVVLRPDPARTQALVFDFQPRDPEGVGAALAVLSRSEIPGVVRRRTLRRVPDRRCWLVGHCCDEDAVGAADRFSERWPTGLVVGEHDCRDYTNGLVEALTGEKRVLETLRSGGSTSISEAAPPWYG >PAN07328 pep chromosome:PHallii_v3.1:1:50968016:50969007:-1 gene:PAHAL_1G327000 transcript:PAN07328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLGSDHAPQFPSRPKHGEVADAGDIEAAAHDGPAGRVDYLARAQWLRAAVLGANDGLVSVASLMIGMGAVNGGARAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIQVAHSEGGRVCSEEAGGGGEGEEGLPSPAQAAAASALAFAAGAALPLLSGGFLRPWAARVAAVCASSSLGLAGFGAAGAYLGGASAVRSGARVLLGGWLAMAVTFAVLKMFSLAFKTQVMSA >PAN05321 pep chromosome:PHallii_v3.1:1:11105343:11108729:-1 gene:PAHAL_1G131100 transcript:PAN05321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLLRPPACATATAAASAPAPVAFSRPPPSSRLRCSHLPSAFPGLRKYGRRHREPVAAPSSDEDEEEEEEDGDYDEDEEGMEMEVDEEEFLATRPKPAGFGEGKTYSTDIEEQLLREMGLGGARRGGDAASAKRREGNSPGKETGTDLNDNGVQIRVWNLPKKKNIHKDLKQAFKGFPGLLSIDPAVSANKKTRDPICKGFAYLKLESTEAATRFVEIYSRKPVAFGKVEKPISCCIVDGNSSVEPSNKVSHAMSQPRLKRQNLVATS >PVH66150 pep chromosome:PHallii_v3.1:1:21086585:21088222:1 gene:PAHAL_1G163000 transcript:PVH66150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYISWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKTEEWIELVKYWCDPKNQEKSAKNKVNRSKVQLHQKTGSRSYIAYRYSLRPKYNNSDPDAVEFFGECMKSSKNGRTPLANEIYERMVAEKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTATQARIRAEFEATLQAEREEAARKREELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLRLQKD >PAN06737 pep chromosome:PHallii_v3.1:1:48198174:48199707:-1 gene:PAHAL_1G284700 transcript:PAN06737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIKVEMPCDRCRSKALALVAATGGVHSVAIAGDARDQVVVVGDGVDSVRLASALRRKVGPAEIVQLASQAKDEGGGNKAPAASAPAPGVPAQYAPTAWYYQYPPPASVVREPPAAGYAYGYEARADESCSVM >PAN08320 pep chromosome:PHallii_v3.1:1:55899351:55901879:1 gene:PAHAL_1G403000 transcript:PAN08320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSTASLAATLLAFALLFQACLAGRRLTALVQEPGITMKYHKGALLSGRIAVNFIWYGNFSAPQRAVITDFVSSLSAAPAAGQPEPSVATWFKTARKYYASSKARFPALHVGSHVLDASYSLGKRLSDGDLLKLAAKGSPSRAINVVLTADDVAVDGFCMSRCGTHGASPRFRSGRFAYVWVGNPATQCPGQCAWPFHQPVYGPQAAALTPPNGDVGADGMVISLASMIVGTVTNPFGNGFYQEGSADAPLEAATACAGVYGKGAYPGYAGSLLVDQASGASFNANGAHGRKYLVPALVDPDTSSCATLG >PAN03663 pep chromosome:PHallii_v3.1:1:1164560:1169974:-1 gene:PAHAL_1G013800 transcript:PAN03663 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MPPAHPLPPNSNANLATNPPRLSPATPAMSKAAAAASLPPPPPEVAHLVEQLQRNHLAPDASLLSNSAHSDLLQAREEVAAERALYLEALAVYAEAVAMVEEYHAAGGAGAGKKLNCSPQVYESLEHRLAVAEAAQRLRLPLLSQDGEVHEEEIEKLSTLSRSSFDSTLTSATPSSSSISTSYNNYSSTASALTVGAAHGGSSSEAVEPGVGGVPDRFLGITSDYLYQVQQEQPAMSVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEAHLLEDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKDTVPALHRIRKYLVEATKEASNSYNEAISRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHAAV >PAN04832 pep chromosome:PHallii_v3.1:1:6749086:6752597:-1 gene:PAHAL_1G094600 transcript:PAN04832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSYLLLLFLLVFLTSTSSSRSMAQLATDQETLLTIKKDWGSPPALSSWNPQNASSHCSWAGVSCDKNGQVTKLSFPNLNITNPIPASICTIKSLSYLDLSYNNLTNQFPTAFYGCSALQYLDLSNNHFSGALPADIDNLSPEMEHLNLSCNGFTGSVPLAIAAFSKLKSLVLDTNSFNGTYPSSAIAKLSMLETLTLANNPFAPGPIPDEFRKLTNLKILWLSGMNLTGGIPDGLSSLTELTTLALYDNKLDGGIPSWVWKLQKLEFLYLYENRFTGGIGPEVTAFSLQQLDLSANWLTGPMPEAIGEMKNLKMLYLYYNNLTGPIPASIGLLPNLVDIRLFNNRLSGPLPPELGKHSLLGNLEVSDNLLNGTVPDTLCLNKKLYDIVLFNNEFSGELPAALGACDTLDNIMLQNNHLAGAFPEEVWSAFPKLTTVEIQNNSFTGTLPSVISSNITRIEMGNNLFSGEVPSSAPGLKSFMAENNRFSGELPANMSGFANLTDLNLAGNQISGSIPPSIRSLGRLSYLNLSSNLISGGIPAEIGLLPVLTMLDLSNNELTGKIPEELNNLGLSSLNLSSNQLTGELPESLQSPAFDEAFLGNPGLCATVNLNMNIPACYRGHNQMSTGLIILFSVVAGVILIGAVVCFIIRRKTRGCDLTTWKVTPFRKVDFTESDILSKLREENVIGSGGSGKVYRVHLGGRVGAVVAVKKLWSRGKAEEKLDKEFDSEVRILGDIRHNNIVSLLCYISSEDTKLLVYEYMENGSLDRWLHPRDPAGAGATAPLDWPTRLGIAIDAARGLSYMHHESAQPIMHRDVKSSNILLDPGFRAKIADFGLARILVKSGEPESVSAVGGTFGYMAPECGRGVKVNQKVDVYSFGVVLLELATGRVANDDGAECCLVEWAWRRYKAGGPLHDVVDGDIRDRAVHAKDAVAVFLLGVMCTGDDATSRPSMKQVLQQLLQYDRTSSVAGACRDGGDDDIARAQLPKGRKGEQGAKRPLDSGGKFWDGDEESGGFVAHPV >PVH65538 pep chromosome:PHallii_v3.1:1:1505712:1506719:1 gene:PAHAL_1G020600 transcript:PVH65538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLIGLLKDHDVPGFRTHNAWSKEAWTNIVCRLNAKFGCSFTLNQVKQKEQDLKKDYRVVKELQEESGFGWDSERKMVTAPPNVWANFAARKNNSDALTWQDKSFPYFDDLFALYDGRYAEGRTRHGMDHYANKAKNASNPSTQQASAAVTYQSPSPTWPAEFDSGLQFPFDEEAGVTPVQHMKTPPSSTPTPLEGTESRRGKKQKTKSCSPEEGFHERYLKLKREEIDRFAAIEEKKLEDPYSINKCITVLESLNDLQMGDILLASDIFQNKNNREVFLSFQGDAIRLAWVKREIGHLQAEKN >PAN05995 pep chromosome:PHallii_v3.1:1:26303023:26306875:1 gene:PAHAL_1G182300 transcript:PAN05995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGGIDKEQKAMQYLERRECEYDQKISVDFFKEGDSLKPAVTNVLVFVSTPDPIGNKYYLGPAPLEDMARQIATANGPNGYNRDYLFSMEKALASISHEDDAIIELANEVRKVLNRTKEAKITGSDLSLKSHVPLVHLSALPEGTVVDSR >PAN05994 pep chromosome:PHallii_v3.1:1:26303023:26306875:1 gene:PAHAL_1G182300 transcript:PAN05994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGGIDKEQKAMQYLERRECEYDQKISVDFFKEGDSLKPAVTNVLVFVSTPDPIGNKYYLGPAPLEDMARQIATANGPNGYNRDYLFSMEKALASISHEDDAIIELANEVRKVLNRTKEAKITGSDLSLKSHVPLVHLSALPEGTVVDSR >PAN06773 pep chromosome:PHallii_v3.1:1:48392799:48399927:-1 gene:PAHAL_1G287200 transcript:PAN06773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFLVAAPPHSGEEPATPPPPPRRHRWRRIAVELDGRIDARFRHLESRLLLDSFAEIGAFDHKYYMHGEERCRTYVDRMISASTMNFHPAREGISAVEFDKKGIYLASVTFSGCLTVHDFETLYCSIYDQSCSIPDDSSNYVLHISNRIPLNAVRWNPGNQDEIACTSSQSDKVFLFDIGYVSSAPTEVLQKGKSKFPALYSESRKSLTDIAFTSDDKSRIFASGLDGAVYMWDRRSSKTHCLELMAPPESQFNTVKLSLDSWTVFGATKNGTIHVWDLRGGRASGAFQSHNEVQQLSSVKVSTLLGKIASLKEQSNIVSSPILSIDFNPSCSYQLAFHLDDGWSGVLNINNLSVTHLHCPPPAWLESTDLVLQKQLRKPTWLPTSSIYAVGSSSYDGIYLLDFHPDTTSACHVDKEETRGLEENQPVENKLVPLSQRVLSCAAHPLNHTIIAGTQYSSLLILSQKHETVRNLES >PAN06774 pep chromosome:PHallii_v3.1:1:48392251:48399956:-1 gene:PAHAL_1G287200 transcript:PAN06774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFLVAAPPHSGEEPATPPPPPRRHRWRRIAVELDGRIDARFRHLESRLLLDSFAEIGAFDHKYYMHGEERCRTYVDRMISASTMNFHPAREGISAVEFDKKGIYLASVTFSGCLTVHDFETLYCSIYDQSCSIPDDSSNYVLHISNRIPLNAVRWNPGNQDEIACTSSQSDKVFLFDIGYVSSAPTEVLQKGKSKFPALYSESRKSLTDIAFTSDDKSRIFASGLDGAVYMWDRRSSKTHCLELMAPPESQFNTVKLSLDSWTVFGATKNGTIHVWDLRGGRASGAFQSHNEVQQLSSVKVSTLLGKIASLKEQSNIVSSPILSIDFNPSCSYQLAFHLDDGWSGVLNINNLSVTHLHCPPPAWLESTDLVLQKQLRKPTWLPTSSLDLPHMMEFIYWIFIQIRPRHAMWTKRKQEASRKINLWKTSLFLYPNGCFLVQPIHSTTP >PAN04006 pep chromosome:PHallii_v3.1:1:2381157:2383585:1 gene:PAHAL_1G034500 transcript:PAN04006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCIPTGLRLDLDMVKAATSPGAHSSPLRPVHSSPSSTLSEASNASSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTATRPPARQLSGLAAAFDPSSDLLPPLPVLADSAFLLRDMPAPSPQPQSPSGAKNCSSPAPVSSVFRDFRDPAPSPASPDTVDVDELGELDFDDDGFDAESILDVDEATAGAAEGLDGIMGSLTVESNTATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGARPNLNRALKRRDDDGAWWMWPAVPVKDITVAPPTPPAPAAPDTAMAQVPAAPEKKKSKKKKVVKMEKVMAKGREELPSAKCKEEADGSVEAANGDGDADSTPTKAPKTGLGLKLNADEVLKAWSDKGSMFTEGGGPESPTSAADVRAKLADIDLFPENGAGGGIREASVLRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKASTRTD >PAN04007 pep chromosome:PHallii_v3.1:1:2380491:2384313:1 gene:PAHAL_1G034500 transcript:PAN04007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCIPTGLRLDLDMVKAATSPGAHSSPLRPVHSSPSSTLSEASNASSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTATRPPARQLSGLAAAFDPSSDLLPPLPVLADSAFLLRDMPAPSPQPQSPSGAKNCSSPAPVSSVFRDFRDPAPSPASPDTVDVDELGELDFDDDGFDAESILDVDEATAGAAEGLDGIMGSLTVESNTATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGARPNLNRALKRRDDDGAWWMWPAVPVKDITVAPPTPPAPAAPDTAMAQVPAAPEKKKSKKKKVVKMEKVMAKGREELPSAKCKEEADGSVEAANGDGDADSTPTKAPKTGLGLKLNADEVLKAWSDKGSMFTEGGGPESPTSAADVRAKLADIDLFPENGAGGGIREASVLRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKGRFVRSPSLLQQALEEES >PVH66278 pep chromosome:PHallii_v3.1:1:28906872:28907309:1 gene:PAHAL_1G192900 transcript:PVH66278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRDLAARREQEQAKRQRRGAATRRTRGPARPPAEPEPEPEPRKVKNNTSRRRIARTTPIHSAFPFPFRLPTAAAAATQPDPTSLRRRRRRRRSPRRCRAELGSRRPRARSASISVHASARYRRLSRPRLLRPSPAAIRPAPEP >PAN06224 pep chromosome:PHallii_v3.1:1:44950816:44954263:1 gene:PAHAL_1G247000 transcript:PAN06224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETRAAVLLAVASLSCLLLSSQVVSAASGAEVAGGAAHRKIERIAGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEEADWFYVPVYTTCDLTPAGLPLPFKSPRMMRSAIQFISNKWPFWNKTDGADHFFVVPHDFGACFHYQEEKAIERGILPMLHRATLVQTFGQKNHVCLKEGSIIIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTDHPSTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEDVPKLDSILTSIPIEDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHTQSVYLQPGKKHLNWTAGPVGDLKPW >PAN07492 pep chromosome:PHallii_v3.1:1:51800951:51802038:1 gene:PAHAL_1G340100 transcript:PAN07492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRRTRPSTFRCAAATCLALVVVVVVVVLLWLFLHPSKLYISVDHAATTGFNFTAAGGLAGAFDLTLRAFNPNARAGVAYRWLDVGVWYNGTYLAGGHAPGFAQPPEDDTRVDVAARAAPDAWTLPRDVQEGIKRERTAGKLTVDVHVVAKVRFRYGVVRTRKYTVRASCPAVTIDFPSPTSFHRVPCHVHI >PAN06021 pep chromosome:PHallii_v3.1:1:25508048:25510703:-1 gene:PAHAL_1G179200 transcript:PAN06021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAEPRADLPPPHRCLPPPPLPRSHRPTPTGERKISCELAIQLLPRHPTHDAGSSRTLATSPCSDIPAAPPACGLPADIPYLPLYFIWQVLAASPPVQSRHHGAAPKPAGFSGQIQPSPPLVSTPLSSPPSPLPPSHINCCAGTLEIGADCSSCSPYLPSRHPRRPALPPSRRPTIPPRRRCGVDP >PVH66556 pep chromosome:PHallii_v3.1:1:47868052:47868745:1 gene:PAHAL_1G279300 transcript:PVH66556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLACSILLHQESPRLAVLTPTRRLYRRQIELAGALYRSVFFLLHPDVETSAPPGGPGVRARASAAGQRPRARLRRSSRAASAPTARDRTGELSAAAAHGGGGLQDRAPPPHDVLPARLCSRKKISLESSHTEHAHAYASIDGNCRVQPYRQHDEAQYQSTEIKRNRTPENGQPRLNT >PAN07324 pep chromosome:PHallii_v3.1:1:50947785:50949200:1 gene:PAHAL_1G326600 transcript:PAN07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRRRGGFRLGRKLLSVWRWALCHRRRRRGRGYLRLQPCRGSGGTDGGRSPLLAAAAVCATRKQQQQQFVVGRDDECASSPRMLTWGRSLARRMRLLRRRGGGKDRLLEDAAEATTPKGQVAVYVGGAEPGESMRYVVPVVYFNHPLFGELLREAEEEFGFEHPGGITIPCAASRFERAAAVAAAGGGGKKVPGWW >PVH66622 pep chromosome:PHallii_v3.1:1:49149929:49154528:1 gene:PAHAL_1G300200 transcript:PVH66622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEPFPQSKNPRRARRRDLNALDPSMEESDGEDIGVPEVGMVFNNHTEVNRFYRKYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVELAILDHNHPVSPAMARFLNSYKQLSGPAKRRLRMGGPGAMPVEEPSKMPMDKLGELEELLFGESKHHSFVERGRLKLQPGDSEALRLFFTRMQAKNANFFNVIDLDDEGCIRNVFWADARSRAMYEYYSDVITLDTSYVVSKHDMPLATFIGVNHHGQSVLMGCALLSDETAETYSWLLKAWIACMSGNLPKAIVTDYCRGIQSAVAEVIPGVRHRMCLFQIMRKAAERLSGLSEYRAINKAMHKAVYDSLTIDEFEGEWNTLISFTGLQGNDWLRSLYECRASWVPVFIKDTFWAGMSVTQRNETITPFFDGYVDLKTTLKQFLVKYEMALQSKYEKEVQADFETFHKQRPPVSKFYMEEQLSKVYTHNMFKKFQDEIEAIMYCHVSLIAVDGPVSTFNVKECIFLEDGKRTMSKIFAVTYNADEKDITCICGGFQFSGILCRHSLSMLKFQLVREIPPQYILDRWKKDFRQLHVMGRPPSDLVPNNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREMEKFLLNSNTHDDTQPRIKSRVPKVNKPNTATGQNVVDAATNNGNVGPKAPEAPAVMQASQIQKGGAERGIVPAGYIGVPANVHQFGANQAAIRPSIVYMVPSGVDPQAFGNGVLMPVMYQQVFQVPQKPNGTVQDTSANGKKKRSRGQKLTETSQQSNGTPGPSSG >PVH66623 pep chromosome:PHallii_v3.1:1:49149929:49154528:1 gene:PAHAL_1G300200 transcript:PVH66623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEPFPQSKNPRRARRRDLNALDPSMEESDGEDIGVPEVGMVFNNHTEVNRFYRKYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVELAILDHNHPVSPAMARFLNSYKQLSGPAKRRLRMGGPGAMPVEEPSKMPMDKLGELEELLFGESKHHSFVERGRLKLQPGDSEALRLFFTRMQAKNANFFNVIDLDDEGCIRNVFWADARSRAMYEYYSDVITLDTSYVVSKHDMPLATFIGVNHHGQSVLMGCALLSDETAETYSWLLKAWIACMSGNLPKAIVTDYCRGIQSAVAEVIPGVRHRMCLFQIMRKAAERLSGLSEYRAINKAMHKAVYDSLTIDEFEGEWNTLISFTGLQGNDWLRSLYECRASWVPVFIKDTFWAGMSVTQRNETITPFFDGYVDLKTTLKQFLVKYEMALQSKYEKEVQADFETFHKQRPPVSKFYMEEQLSKVYTHNMFKKFQDEIEAIMYCHVSLIAVDGPVSTFNVKECIFLEDGKRTMSKIFAVTYNADEKDITCICGGFQFSGILCRHSLSMLKFQLVREIPPQYILDRWKKDFRQLHVMGRPPSDLVPNNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREMEKFLLNSNTHDDTQPRIKSRVPKVNKPNTATGQNVVDAATNNGNVGPKAPEAPAVMQASQIQKGGAERGIVPAGYIGVPANVHQFGANQAAIRPSIVYMVPAFGNGVLMPVMYQQVFQVPQKPNGTVQDTSANGKKKRSRGQKLTETSQQSNGTPGPSSG >PAN08638 pep chromosome:PHallii_v3.1:1:57745479:57749024:-1 gene:PAHAL_1G426800 transcript:PAN08638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAYAAAPAVATLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACVAGLASPHPLRCRALDLCFSVALDRLPTSTELQHHDGGAFHAAAPPLSNALAAALKRAYAHHRRIGSGGVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSFSDPAAPDSGVYVNARVMQRQASHREEEVAKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTLIQRQRLRNARVISFPKELGDLVDMDRAELIAKIKELGEVVRSASSSAGVVVNLGNLQWLVEERCAAHQAEQDKRRDVVLDSARAAVAEMARVLNQSGEGEHRVWVIGTATCATYLKCQVYHPALESEWDLQAVPITPRPPPPPPPLGLSPSVGANRGILSSSVEVLSTAMTATMPRAPSLCNACVDGYERERAEMASAERAPCHAEQPMSQWLQIGTPSSARPVDRAQEKAREADELRRRWRDRCAQLHSHGRPPLVTCSEWNGASILGNVQTPPPPVRPLVQPRGTVDTDLALGLAAARPACETDDKVLVRRLTEAVRWQPEAAAAVASAIAKAKSGEGKRRGAGAKADAWVVFAGPDVVGKRNMAEALSKSVFGTGAVTVRLGCPPAGDDGESVVSCRGRTALDRVAEAIRANPFRVVVLDGVDHADNVVHGSIIRAIESGRLADSHGRDVALGSNIFVVMSQWSSPSPDHLRNSQEAVPLPDLPWNPEHRTGKRRPEQELEGEEDRRRTRARKDSAREPLPLDLNLSMSDDHINAIDDSGGEGSRNSSSDLTVEHDQDYGHPAPARCPAPSNVSELIKAVDGVVVFKPVNLEPLKRSFSDLVPAKSGDITGGGWPSVHIDDGLLARLASAGAVRTTATPLDAWAGEVLCPSLRQFKRSLSTNDVDGATVEGSGGRRKGGEVFPMPVAVDGN >PAN09105 pep chromosome:PHallii_v3.1:1:59659782:59662067:-1 gene:PAHAL_1G458500 transcript:PAN09105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLQTPLVRRKRKKVLVDYLVQFRWILVIFVVLPFSALIYLNIYLGDMWSAMKSEKRRQKEHEENVDKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMIAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYGMPWSQGTLGFLVSAEIKLIPTKEYMRLTYTPVKGTLKEIAQAYADSFAPRDGDPAKIPDFVEEMVYSSSEGVMMTGVYATEEEAKKKGNKINRVGWWFKPWFYQYAQAALKKGEFVEYIPTREYYHRHTRSLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKLTQGEAIRNYYHDSHVIQDMLVPLYKVGEALEFVHREMEVYPLWLCPHGLYKLPVKTMVYPEPGFELHQRQGDTSYAQMFTDIGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSELSERDFWRMFDASHYEHCRRKYGAVGTLMSVYYKSKKGKKTEKEVQEAEAAILEPAYAEEA >PVH66583 pep chromosome:PHallii_v3.1:1:48350836:48355223:-1 gene:PAHAL_1G286900 transcript:PVH66583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFPRAEKCRAAAIPICILVGMATSERHIRLLASTTVTAKSFIDFQPHVSRSCGHSILLSLGSSRPVTHQEEGTPVVLRVKSYG >PVH65868 pep chromosome:PHallii_v3.1:1:6554517:6555143:1 gene:PAHAL_1G092400 transcript:PVH65868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACLRNVEAWASEICLNTADDSAWATWARQELDLSTLEGPLNSGGTLELAPLTPSLLSQHRHGNSWNEPLAVTFPALFSHSNRQGASVHEAPTLGIRRTLVTRLSRAAGAELVELQAMVSEIHLTSEQDQRTCPFVAADGVLRAGPLFYTRHKFVWRSQILRLANGARASEMPPQPKDQKHC >PAN04410 pep chromosome:PHallii_v3.1:1:4442501:4446064:-1 gene:PAHAL_1G064800 transcript:PAN04410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSTSSPAAGAAAAAAALRTSRPCRAATHVLFRQKLSFLAASQAQHMKCSHPLIRSVVKGVKSDITNGDNGTEPARELLERLFAKTKSLDPSASQDRELSMSIEVLKTEFEAALSILRKKERDLRDAEKKVSVDRSRLNQTKQDLDQREEDIIKAYSRQHEMEKALMKASRDLSLQVRQINNLKLLVEEQDKKIVSSQAALSKKVIEVDKLKQDMLKKNEEAALMRSEIESKEQELLVANQALARQEATIRELQNEIKTKETEVARSNELRKANEEKLKVAEQELEKQSLGWIAAQQELKELAQMASKDKDNIKDTIDDFKRVRSLLDAVRSELMASKEAFTFSRKQIEDQAAQLSKQVQELTDQKALLISYTQSLEAAQLEIQGKTNELSAVKTRCSELESRLLEEMKKVESLEAMLTKERESLEQKTKEVDLLQEELARKENEYFNSQKLVETKENELLEARHEVEDMKLKVDSIQFAVQEKDLELLETQRRLDEVNNEVVELQHMINTKEDQLVQVRSELQDKEQRIQLMQDELDKMRLGRSQAESVVQKIVELTGNLIGSVEGEEFDIYNLLDDEILSTDTALESNLHKHNQLEADIDMLKESLEQKDVDLRAAYKALDAKDLELKAVLRRLDVRDKELDKLEELSIDPNDVRRLSSLADEATKANNVEEVELRKHELESVEGEALAASTMLKKLADITKAFLRSGRTDSGTNLLASRNANISEGASKMEPKKKMNVILEAKKEIVGLFSLTEELVASAGINDAEEP >PAN04984 pep chromosome:PHallii_v3.1:1:7941789:7943030:1 gene:PAHAL_1G106700 transcript:PAN04984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGTIPFSAATSPAAGPDDDVECRACYGVVVACVSLLLFCVVAATAGVLKAGVVTGTAVLFFGVIGWLVPAGASTRRPYGTGARRAYDAAAGGAAAGCACRLVGAAPGDVPPAFAYECGPADAEGGGKPGGGALCAVCLEDVRRGEAVRRLPACGHLFHKDCVDTWLHAHTTCPLCRCDLVLRKGAATTVTAAAAAQSSRDVLPPV >PAN04830 pep chromosome:PHallii_v3.1:1:6725682:6726397:1 gene:PAHAL_1G094300 transcript:PAN04830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHMNALVLLPLLFGCFAIHAQCQVMEEMGNGKTSQHMQGCFQARHPKCTSNLCWCCHGDVHTCGSTLEDCQAQCSKR >PAN08970 pep chromosome:PHallii_v3.1:1:59087181:59088379:1 gene:PAHAL_1G448900 transcript:PAN08970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSGRNGGDKDGGAKAAAFVLRVPMHCRCKGCDDKIRAGVKDLTLHHGIEALDQSALWTKGELRVVSAVDPEKLLRRLQKATGKKVDLAIPKQQAADKKAAAEKEAAMEELLRRSLQQQQQVQYGRGQAAWADQVLPGAAAWGALQQPGGYGYGAAAAQAYPWAVQVPQPEAYPSYHYPATGGAWGAYAYPSVAAQGAYGGGWHGHGAY >PAN06976 pep chromosome:PHallii_v3.1:1:49215243:49219046:1 gene:PAHAL_1G301600 transcript:PAN06976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTECCRRYNRQKQVLARYLTTRCSARRVKWEMLVLLALLLLCRGIGSTHGSPDDHAGDSDMMSLLDFKRAITSDPKRALASWNTSNPLCRWAGVTCGRAHQGRVITSLELAGQGLEEISSS >PAN08219 pep chromosome:PHallii_v3.1:1:55540841:55542141:-1 gene:PAHAL_1G396000 transcript:PAN08219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atypical basic helix-loop-helix protein, Control of cell elongation, Regulator of grain size and leaf angl [Source: Projected from Oryza sativa (Os02g0747900)] MSSRRSSRGNISEDEINELISKLQALLPSSRRRGSGQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDSNSPGAEIIRSILRS >PVH67172 pep chromosome:PHallii_v3.1:1:58853472:58856272:-1 gene:PAHAL_1G444200 transcript:PVH67172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKTLSTARVLVIRHFLKARAMCVENLGALLEAVVEMDTDVICASGVRNACQEYAKSILVMNSLSFTQSSNARDVGLPASSDELYAESMGHSQILVKEFLEGLDSASCSYLSERGLGTLLNSVKKNSFDDASNKLCTPAIPKTSRMVDEFLMWKQWRAKCLAYLLDDRTIRIMSGASLIFKAPKEQWMKVFEPLKGFEESSQNGLVEIMELCFLGLISRQWNPMIEGFMTHTFCLVPISKQYADLHQLLQGTSQDKCQDKLLDLQEEDILEYASQSLRSKPSILWLLPPVLTAAAVPPRSTMFQIYLAQIDRQFHEAAPADRKCCCRGDGIEQHHNCEITERIRCLYTFHIQQPHLTVP >PAN08909 pep chromosome:PHallii_v3.1:1:58853472:58856697:-1 gene:PAHAL_1G444200 transcript:PAN08909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAHAAVAMEEVLGLVRGFVDVLVLAGGRTSSGAAATWSSDEVKKALRWALFFEEVFKDLRVSGHYEDSAGELDAALVELTSSPEFPKGLAVMRSKTLSTARVLVIRHFLKARAMCVENLGALLEAVVEMDTDVICASGVRNACQEYAKSILVMNSLSFTQSSNARDVGLPASSDELYAESMGHSQILVKEFLEGLDSASCSYLSERGLGTLLNSVKKNSFDDASNKLCTPAIPKTSRMVDEFLMWKQWRAKCLAYLLDDRTIRIMSGASLIFKAPKEQWMKVFEPLKGFEESSQNGLVEIMELCFLGLISRQWNPMIEGFMTHTFCLVPISKQYADLHQLLQGTSQDKCQDKLLDLQEEDILEYASQSLRSKPSILWLLPPVLTAAAVPPRSTMFQIYLAQIDRQFHEAAPADRKCCCRGDGIEQHHNCEITERIRCLYTFHIQQPHLTVP >PVH65890 pep chromosome:PHallii_v3.1:1:7152533:7153642:1 gene:PAHAL_1G098500 transcript:PVH65890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSCAKAKDFFFEIIQRIYMTFAKSTKKWQILRDNWKSVSATRWESRIDSVKAIRFQCANIREALLQVPNSENDPVASSDAKSLANNELGDFEFLVVIVIWYEILYAVNVVSKDLQSKDMLIDVTIEKVQDLISFFKQYRETGFLNALEAAKEIALEMDIGTTFKKRGKLKEREILMRITNIEIQSAEESFRINYFIPVVDQAIASLTRRFEQYQGFEKIFGFLFTSNALRSLDKKSLKTCRYYLETALKRDGQSDIDVNDLVLLTIHVSVASAERSFSKLKLLKSYLRSTMTQERLNSLATIAIESEMLEKIDYEYIIEDFISKTPIE >PAN07245 pep chromosome:PHallii_v3.1:1:50653993:50654759:1 gene:PAHAL_1G321700 transcript:PAN07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASLLALALAGLVLVTFPGLCAGTAAAHRPAAKCQPSGSLEGPSTGHVCGECCKPGHVYPTYRCSPPVTASTRAVMTLNNFEEGGDGGDPSECDGKYHLNTEPVVALSTGWYNHGKRCGRQIRINAKGRSVLAKVVDECDTLHGCDKPHAFQPPCPHNIVDASQAVWNALGITGDEVGDYPITWSDA >PVH66662 pep chromosome:PHallii_v3.1:1:49823122:49824785:1 gene:PAHAL_1G308900 transcript:PVH66662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDVDAMDSTNSSSTRDTGTNQLPPTTSIDTPVVQSSDDGGRTRTAGTVACRRPPQHPGTGVAVKKGRLGLAGAVAKTMSTPMPASPLTPSTPDATSSGVHLFNSLGFDSEAFQIWINRYRCVSFMVV >PAN06077 pep chromosome:PHallii_v3.1:1:32901076:32906787:-1 gene:PAHAL_1G204800 transcript:PAN06077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLAHLQRHSHIVLPPPPRKPSPTTTIPFLLPRRLLSDDASPPAAAPPPPPPPSANVPNPELKKRLETYYGVDEEVELPSVAEAVLGRKLADVHSETDDDLIEELRSKPLPEVRDRDFESDFEEMHDTDEELNDLYNASEYVEKKIKSDEFFNMDDTKWDAMIKEATDKGHLTNMKECEDILEDMLHWDKLLPDEIKQKVETKFNELGDMCERGEMEPEQAYDLFKEFEDKMVAECTELMEEEMPTDADELTETGSKKIEVDDPPGDGPVLRWESRIVFAPSGDAYHPKNRKVKLSVTVKELGLSRHALRRLREVVGKRYNSGKDELTITSERFEHREENRKDCLRTLYTLIEDAMKADKLVENARNAYVKGRLEANPQFMERLKRKTEKLRAAA >PAN03664 pep chromosome:PHallii_v3.1:1:1169565:1172453:1 gene:PAHAL_1G013900 transcript:PAN03664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVLCAANHAPLTPISFLERAALVYPDRPAVVASGPAAAPPRTWRETRARCLRLAAALAGLGVARHDVVAVFAQNIPAFCELHFGIPMAGAVICALNSRLDAGMASVLLQHSEAKVVFVDAALLDTAREALRLMSEAGARPPTVVLIEEVLDEPAVPADHHQYHEYEALLSSSASPDLAIRWPADENEPIALNYTSGTTSRPKGVVYSHRGAYLNSLASVLLNDMTALPVYLWTVPMFHCNGWCLVWGVAAQGGTNVCLRKVTSAAIFDSVARHGVTHMGGAPTVLSMIVNATAEERRPLPGGRPVTVMTGGAPPPPPVLFRMEELGFLVIHSYGLTETYGPATVCTWKPEWDALPAAERAAIKSRQGLHHLGLEVDVKDPATMRGVPADGRTMGEVMFRGNTVMSGYLKDAAATAEAMAGGWLRSGDLAVRHGDGYVKILDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVGRPDEYWGETPCAFVTLKDGGSVGAEEVMAFCRARLPRYMAPRTVVFVDELPKTATGKVQKFTLREKAKAMGSISRSKL >PAN03711 pep chromosome:PHallii_v3.1:1:1305234:1312259:-1 gene:PAHAL_1G016500 transcript:PAN03711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGGGCTGKESEGGDHKVALMQNKLKAKSFKWRSSNSDMNKVEAGGSDEVYNDTVLCSLSTASFSSLVSRKRVRNLGKVAEQCDAVDPPVPRKLRSAIKKRVDRFVSASSRHVKKRRHLSAISAQISFVDQKTRFNGNSLFTEEEEVIADVLLSLSQISSLSELTVDKATADSSNPNVASTSYSEGATRGVDEIVILPSPANDLASQATCIDKVVGHTNSVPHANPVPGAGDQSSNINSPSSENEQIQDLSLETVVNLPSPSKDSSNNSTLKQQKVRFDDSQSYAARKPEAPLWLVNSNKSDISPHEREKAKNSSAQEIVPMVQTPLPLTPDGCLIKPSSCKLTAHKNTTSEASKFTARVNQDKSYLQPSLVKNVGSTKAWKRSITHVYVSHVIQMHMNKEKASQNQAKPEETPLARPSRSPNGSAIPKNSPQDEKFYTVHFDVQVPVQPSAGMGDTSAGRQKIVGGNFMNLPTSTALPGTQHLQYLHPQIAPRGAMPYPFPHLPYSRGNLAPAAALQQMPQQYMGSMGYAPRPGLPASSSAMMKTLHQLIPTQQQQQQQQMWQYHVSQYQPRPDATPPPAAWHNMPSLRPTMAMLPPPAMPPQMELFCAPYQGGGGGRQPQQLRLI >PAN07498 pep chromosome:PHallii_v3.1:1:51819752:51821983:-1 gene:PAHAL_1G340700 transcript:PAN07498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFMDLRAFILRARVLKLYSQALRIIRRAPEHARDELRQATRAEFEKYRHCDDKQKIRFLISEGRQRLKGLDELLDMTGHS >PVH66433 pep chromosome:PHallii_v3.1:1:44564040:44566655:-1 gene:PAHAL_1G244500 transcript:PVH66433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCFDSPADEQLNPKLGGAGGYGGASSAPKDANGNVISAQTFTFRELATATRNFRPECMLGKGGFGRVYRGHLESTGQVVAIKRLNRYGLQGNREFLVEVFMLRVLHHQNLVNLIGYCADGDQRLLVYEYMPFGSLEDHLHDLPLDKEALDWNTRMKIAAGAAKGLEYLHDKAKPPVIHRDFKSSNILLDERFHPKLSDFGLAKVGPVGDRSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVLWSGCW >PVH66432 pep chromosome:PHallii_v3.1:1:44562625:44567383:-1 gene:PAHAL_1G244500 transcript:PVH66432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCFDSPADEQLNPKLGGAGGYGGASSAPKDANGNVISAQTFTFRELATATRNFRPECMLGKGGFGRVYRGHLESTGQVVAIKRLNRYGLQGNREFLVEVFMLRVLHHQNLVNLIGYCADGDQRLLVYEYMPFGSLEDHLHDLPLDKEALDWNTRMKIAAGAAKGLEYLHDKAKPPVIHRDFKSSNILLDERFHPKLSDFGLAKVGPVGDRSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVLWAGPLFNDRRKLPKMADPRLEGRYPMRGLYKALEVASMCLQSEAASRPLIADVVTALSYFTPKVEKTTQLSFGINHQTTCFLA >PAN07215 pep chromosome:PHallii_v3.1:1:50550252:50554097:1 gene:PAHAL_1G319200 transcript:PAN07215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRAMDGILHPDVTNDPSKDPSETHSYSRRSGLSFAVGGAASANKQSAAIPATRPITRAELSNKFRDHFGYTLDIRPSGIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWSLGGETRELWDGSYLVDHNAVPPKGSENNSDRVWRMLSKPLEKNMRENFGEVLERRNPLAFGHFANHPPKGSSPNVMICPYDFPLSEKNMRVYIPNITFGGEEPIKMKRFGSFYFKSGSSDNQAGDSLVLKTLVLGTDKLLK >PAN07213 pep chromosome:PHallii_v3.1:1:50550252:50554097:1 gene:PAHAL_1G319200 transcript:PAN07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFNKFQEAVKTLAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGENAEEKDAEEIIDLAGKASVAEQQNQVQENVHYQLTHMCRAMDGILHPDVTNDPSKDPSETHSYSRRSGLSFAVGGAASANKQSAAIPATRPITRAELSNKFRDHFGYTLDIRPSGIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWSLGGETRELWDGSYLVDHNAVPPKGSENNSDRVWRMLSKPLEKNMRENFGEVLERRNPLAFGHFANHPPKGSSPNVMICPYDFPLSEKNMRVYIPNITFGGEEPIKMKRFGSFYFKSGSSDNQAGDSLVLKTLVLVSTRSIRDEELFLNYRYSNSKRRPEWYSPVDEEEDKRRWS >PAN07214 pep chromosome:PHallii_v3.1:1:50550252:50554097:1 gene:PAHAL_1G319200 transcript:PAN07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRAMDGILHPDVTNDPSKDPSETHSYSRRSGLSFAVGGAASANKQSAAIPATRPITRAELSNKFRDHFGYTLDIRPSGIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWSLGGETRELWDGSYLVDHNAVPPKGSENNSDRVWRMLSKPLEKNMRENFGEVLERRNPLAFGHFANHPPKGSSPNVMICPYDFPLSEKNMRVYIPNITFGGEEPIKMKRFGSFYFKSGSSDNQAGDSLVLKTLVLVSTRSIRDEELFLNYRYSNSKRRPEWYSPVDEEEDKRRWS >PAN07217 pep chromosome:PHallii_v3.1:1:50550252:50554097:1 gene:PAHAL_1G319200 transcript:PAN07217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFNKFQEAVKTLAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGENAEEKDAEEIIDLAGKASVAEQQNQVQENVHYQLTHMCRAMDGILHPDVTNDPSKDPSETHSYSRRSGLSFAVGGAASANKQSAAIPATRPITRAELSNKFRDHFGYTLDIRPSGIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWSLGGETRELWDGSYLVDHNAVPPKGSENNSDRVWRMLSKPLEKNMRENFGEVLERRNPLAFGHFANHPPKGSSPNVMICPYDFPLSEKNMRVYIPNITFGGEEPIKMKRFGSFYFKSGSSDNQAGDSLVLKTLVLGTDKLLK >PVH65997 pep chromosome:PHallii_v3.1:1:9660953:9669268:-1 gene:PAHAL_1G121900 transcript:PVH65997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLIRAKRHPRSMLRRAADGQIAGSIFAKLQTSTPGEAFRAAMAEGGGGGVPAPHVQGGTCQRNLPAQARHKNEARPAYTIPGVPMPIRPAPEQPSTRPKAPPSPSRPGLKDKAKAALHQKAGEMKDRVEKALHEKVSSIILGNKDKKK >PAN04635 pep chromosome:PHallii_v3.1:1:5533497:5537400:1 gene:PAHAL_1G080400 transcript:PAN04635 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MEAATAATAAMVWFRKGLRVHDNPALDAARRGAGRLYPVFVLDPRYLRPDPAAASPGSARAGVARVRFLLESLGDLDARLRHLGSRLLLLRARDDVAGAVCAALKDWNIGKLCFESDTEPYALARDKKVTDFAMASGIEVFTPVSHTLFDPAEIISKNGGRPPLTYQSFIAIAGDPPEPVLEEYSELPPVGDTGEYELLPVPTVEELGYGDISQEEIPPFRGGETEALRRMKESLQDKEWVVEFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSVRNYTRPPVSLTGQLLWRDFFYTVSFGTPNFDQMKGNKICKQIPWSENEELFVAWRDGRTGYPWIDAIMIQFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPVTFGKKYDPNGNYIRHFIPVLKDMPREYIYEPWTAPLSIQKKAKCIIGKDYPKPVVDHETASKECRKRMGEAYALNRLDSNSSKGKPSNLSRRKMSHGDQDASNSSIAKLLKTSRSE >PAN04636 pep chromosome:PHallii_v3.1:1:5533497:5537400:1 gene:PAHAL_1G080400 transcript:PAN04636 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MEAATAATAAMVWFRKGLRVHDNPALDAARRGAGRLYPVFVLDPRYLRPDPAAASPGSARAGVARVRFLLESLGDLDARLRHLGSRLLLLRARDDVAGAVCAALKDWNIGKLCFESDTEPYALARDKKVTDFAMASGIEVFTPVSHTLFDPAEIISKNGGRPPLTYQSFIAIAGDPPEPVLEEYSELPPVGDTGEYELLPVPTVEELGYGDISQEEIPPFRGGETEALRRMKESLQDKEWVVEFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSVRNYTRPPVSLTGQLLWRDFFYTVSFGTPNFDQMKGNKICKQIPWSENEELFVAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPVTFGKKYDPNGNYIRHFIPVLKDMPREYIYEPWTAPLSIQKKAKCIIGKDYPKPVVDHETASKECRKRMGEAYALNRLDSNSSKGKPSNLSRRKMSHGDQDASNSSIAKLLKTSRSE >PVH65859 pep chromosome:PHallii_v3.1:1:6439082:6439691:1 gene:PAHAL_1G091600 transcript:PVH65859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICCARPQLTSGPRVAPGWLYLLPPVNFDAEQMWRPSVIYSGPPMLRGTITMEASAHQMLNQMFERRIFTRELLALVP >PAN06597 pep chromosome:PHallii_v3.1:1:47541664:47543304:-1 gene:PAHAL_1G274800 transcript:PAN06597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVGGPLLTIGDLLSDLAVDGGDDPLAAGGDASIPSSPSEAQQAGKADPSDLSRLFEEHYNNLMKALQENDPSWPSQMLKLCAALKAADKLVCSANTDAEQLMEKVKVLEGVLERGDRAVEQIVESLQRSGLAKDHRSSQSKSASK >PAN07470 pep chromosome:PHallii_v3.1:1:51717172:51719613:-1 gene:PAHAL_1G338700 transcript:PAN07470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFDDETNQQLEDEYCDQDDDDLGDFIVYSDDEDDELSKHKHQRQEELEDGMKEEVEEEVEPVEEVDEAEEEVEMEEAPVGQQEILSLREQLKEKIRRKNAAMTAGTCKARCSSSVNQTILPPGKDGYGTFFGPSKPVLARRVIEEGCSSFMKERENVSSRKGAQLVSKVQPGTVENLQKPKFVSEEKRKVDALRENRDYSSLFSDDADNAQPTKEQPDNRTLLLVPKSESHVRGGAMNSAGMSTMPSGQPARLSSKDNASFQARVHRKAGSLGKDSLADRKRMIAAGINGSNLPNMKKKTPGLNPSSNSQKLQPSLQSKRPQASVPSRRQQQPASQARRMQKQLQSPRPQGNGRQLSLQGRRPDGSVQGQRIVQNGSAQLNGRLKSEQKRLDPYSKLKASHPMDKRAMKRKSDDGMGKYSLMIRKIFNYDPRKFMDGDEDDRNMEADFSSIEKEERRSAALARKEDQEQLRLIQEEERRERAMKKKKLAHKE >PAN07471 pep chromosome:PHallii_v3.1:1:51716622:51720762:-1 gene:PAHAL_1G338700 transcript:PAN07471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFDDETNQLEDEYCDQDDDDLGDFIVYSDDEDDELSKHKHQRQEELEDGMKEEVEEEVEPVEEVDEAEEEVEMEEAPVGQQEILSLREQLKEKIRRKNAAMTAGTCKARCSSSVNQTILPPGKDGYGTFFGPSKPVLARRVIEEGCSSFMKERENVSSRKGAQLVSKVQPGTVENLQKPKFVSEEKRKVDALRENRDYSSLFSDDADNAQPTKEQPDNRTLLLVPKSESHVRGGAMNSAGMSTMPSGQPARLSSKDNASFQARVHRKAGSLGKDSLADRKRMIAAGINGSNLPNMKKKTPGLNPSSNSQKLQPSLQSKRPQASVPSRRQQQPASQARRMQKQLQSPRPQGNGRQLSLQGRRPDGSVQGQRIVQNGSAQLNGRLKSEQKRLDPYSKLKASHPMDKRAMKRKSDDGMGKYSLMIRKIFNYDPRKFMDGDEDDRNMEADFSSIEKEERRSAALARKEDQEQLRLIQEEERRERAMKKKKLAHKE >PAN05165 pep chromosome:PHallii_v3.1:1:9350691:9356325:-1 gene:PAHAL_1G119000 transcript:PAN05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVILSSAAPTPLTPPARPRWQPPRARGLRGGLARLSGALVLSHAGAGAALAAPLSYEEMLRLSNDSDAGADGGGFALELPDLGLDGLADFVAENPLVVAAGVAAVALPLLLAQLLGGGGASKPYAVASARAAYQRLLEEPGAQLVDIRPLKDAREAGAPDLREAKKKAVAVPYNGEDKNGFLKKLALRFKDPENTTLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGSRGWKSSNLPWKQPAKGFSFDLGELFGDGSDGLPLTIGLAAATGLGVLAYTEIETLLQFLGSAAIVQLVATKLLYAEDRQKTLKQIDEFFNKKVAPKDLVDEIKEIGQALLPLPGETKSTPALASATPAAATATAAPAEAATLAPTEAAPAAAPTPAAPATEESAPATPTPTAKPRPLSPYPNYPDLKPPSSPSPSAP >PVH66102 pep chromosome:PHallii_v3.1:1:16701893:16702822:-1 gene:PAHAL_1G150200 transcript:PVH66102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDHEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVENHEEGVPRCRVKITIPQHPFRSQWHPIEVEVVGYRLVDTIETAALEAIKLFCNQHPTEVAAYPIGLFPAIDSGNSEWNFRIGHLGHMLGDLAEETVRNITRFMDVQYHYQILLLHSMGQLTSVAQSHYRNADRQVTQIVELQALQQPILPAAPVMPEEEEEDPEEIEGISEIHSEHGDPVLSPCHSSSGSQSSVGNFDDF >PAN04130 pep chromosome:PHallii_v3.1:1:2962085:2965299:-1 gene:PAHAL_1G043200 transcript:PAN04130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVHAQTWSFLSNHWFIKKDLGDPRFSISEYIFIQRVDAVLKQHSGIGVEKMEVDFSPLHNEHTEHIDGWVKFAIASKTKQLIFDFVVQRPGKEPYSFPFQFFDTTSGSHLQSIKLGSVSLKQPARINAFLNLKKLELVDVNITSDELKVMLSNCNVLGFFGISCCRMLTSLHIPHPLGHLKHLQVRHCILLQDIELNVGLITFEYEGPLIHLSTS >PVH66512 pep chromosome:PHallii_v3.1:1:47025799:47031318:-1 gene:PAHAL_1G266900 transcript:PVH66512 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWYLCVFYHRLLDYRRPEVESLAELFAGPGSGESVEWRMPENHHADSPFHLVRLPGDERLAAQVANRSLLVKGIYELWGHGTTYEELEKSVREYPDERKLPFLTPDSTFKIVVDSFGKVISSQEQNEIMKSLTYIPFQGRVNLKKPDHKFFVMETDDYGSNNGLPPVVKRTIFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFEQYKLPEPLSLLRADNNLPPWRPGLKEMFDAIICDPPYGVRAGGRKSGGRKLIKGVKGPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLASRMLVMGGRLVFFYPVLRGEDGAASPQFPEHPCFKLIASCEQILSYRYSRVLLTMVKVAPYTEEIARVAEERHREFRENHQKWMEEGNLHSAVFEPAAQDGKPDKDLKPKYRGKYV >PAN06488 pep chromosome:PHallii_v3.1:1:47025799:47030158:-1 gene:PAHAL_1G266900 transcript:PAN06488 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWYLCVFYHRLLDYRRPEVESLAELFAGPGSGESVEWRMPENHHADSPFHLVRLPGDERLAAQVANRSLLVKGIYELWGHGTTYEELEKSVREYPDERKLPFLTPDSTFKIVVDSFGKVISSQEQNEIMKSLTYIPFQGRVNLKKPDHKFFVMETDDYGSNNGLPPVVKRTIFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFEQYKLPEPLSLLRADNNLPPWRPGLKEMFDAIICDPPYGVRAGGRKSGGRKLIKGVKGPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLASRMLVMGGRLVFFYPVLRGEDGAASPQFPEHPCFKLIASCEQILSYRYSRVLLTMVKVAPYTEEIARVAEERHREFRENHQKWMEEGNLHSAVFEPAAQDGKPDKDLKPKYRGKYV >PAN09040 pep chromosome:PHallii_v3.1:1:59401857:59408272:1 gene:PAHAL_1G454100 transcript:PAN09040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPPPPPATPAAAVRVLSRTPSKTSHNPSSAPSTGPGAGAAFHDGVVAVGFVGGAGTARLADRILDAHVFSPGGSAGSLAGSVRYHRDGDKRMVFLHLTPPPTPMEAGGIGGGGEMPEMLFMFSVCHIIIFLQEGFRFNTQTLKEFRLLQSSKHAFAPFVKSLLAAAMPAKTVTSDTPTRATHRASSISPPARRGGHSGRQSSAISLMSGTTSNPSVLPGQCIPVLLFVFEDDAVDVSSAATSSDDMGDTFSSNQGSTSDGLSKQSSTSKGSGSVVMLARAANKSESSSGKKLHSSLEGQIRVLLKKCRVLAGMEPGHIGPRGLSNMSHHVPLFSLDTLRVVALLERSINKKQDPLDVIAGLFEDSMTSKSPLDILSLENNYHPTNHDDFQLIKDFIFRQSDALRGRGGYTSNATAGSVAGVGMVAAAAAAAAVSAAAGKPVNVPDLPSFDKWLSISTSILTALLSGRNALSGLSESKTHTSPSEKNEQLPAGGSNAIDITLSCLQSNNGLNMKFSSSWCQRVLPAAKELYLKGLPAFYPTSMHEVQLQKALRSFCSMVKGPAVRLFSKKLEDECRTIWESGRQQCDAVSLTGRPCKHRRHVNFSSSDAVEQHSSGYVFLHACACGRSRRLREDPFDFQTANVSFNCFSNCEDLLPTLVLPRGRDAGSFSVSSWRLVRIGGARYYKPTKGLLQSGFSPKERYLLRWMISVGKGQVRNGNRSNTVTSSTRSSMNPQTPPVVTGEVKSVVTQVTPQIKSAKLENAVKQPEMESMSNSGVNFGRGLPNFTMKKPFAEVVAGTTAKDSEFPTLQQTRPPKPGGRKDERQMNVADQTNGRGHVALSQVPLAESEPVKVSRNKSSESADGKPFLQIGSSIVPVIVGNESRETNQPVQQFIVYVGFEHECPYGHRFLLSEKHMTEIDSSCLQYQRPYVNKEAESKHAQKLVLNASGLAAYTVDINNGRKNSKPLESSGRNSQQQSMQLRVDAPTSQPSPWLSDLQNDKRGEHYFRNIAVDDGGEAFSLMNRNLPIYMHCPHCKMSERKEHQDVKFAGAVSQLQRIFIVTPDFPVLLASCPLVQFEGSCLPSNVSDHEREGLFSIGCRVILPPESFLTMRLPFVYGVETKEGSIFPLKHFEQQPELTAWLVGGTALQIVSIGNATEKETIMK >PVH66083 pep chromosome:PHallii_v3.1:1:14747624:14748553:-1 gene:PAHAL_1G144100 transcript:PVH66083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIITRFMDVQHHYQILLRHGVNQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHLITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPHHSSSGSQSSVGNFDDF >PAN08340 pep chromosome:PHallii_v3.1:1:56273995:56276272:-1 gene:PAHAL_1G405600 transcript:PAN08340 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNW/SKI-interacting protein [Source:Projected from Arabidopsis thaliana (AT1G77180) UniProtKB/Swiss-Prot;Acc:O80653] MATLKDLLPAPKTSASTFYDHSSDPWFKERYGGESTQASAAARPAGAARTIPPYGKRAGFVPRRLEDFGDGGAFPEIHVAQYPLGMGRRDDKGGSKILALTVDAHGSVAFDAVVKQGENAGKIVYSKHSDLVPKITTSDAQASDDDEEQKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAVDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQKARMERTGGPPAPSAVPAGGGRGTVDVIDEDMDMEQPREPREQRRESREEREARIERDRIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQSTMSTLYRPKKDGDSDVYGDADEQLEKVMKTERFKPDKGFTGASERTGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGGGGTMKASGGSSMRDDYDGGSGRSRINFERGR >PVH66468 pep chromosome:PHallii_v3.1:1:45986429:45990323:-1 gene:PAHAL_1G256000 transcript:PVH66468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich domain-containing protein RAR1 [Source:Projected from Arabidopsis thaliana (AT5G51700) UniProtKB/Swiss-Prot;Acc:Q9SE33] MSTTTEAAMSAAAAPVRCQRIGCDAMFTDDNNPEGSCQYHPSPLFHDGMKEWSCCKQRSHDFSLFLAIPGCTTGKHTTEKPVTKAVSANRPKATPVQSSKQTVGDEACARCRQGFFCSDHGSQPKVQKPAATDDTNKEPVEKSSAPPPKKRIDINEPRTCRNKGCGKSYKEKDNHDSACDYHPGPAVFHDRMRGWKCCNIHVKEFDEFMEIPPCTKGWHNADAV >PAN06330 pep chromosome:PHallii_v3.1:1:45986399:45989821:-1 gene:PAHAL_1G256000 transcript:PAN06330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich domain-containing protein RAR1 [Source:Projected from Arabidopsis thaliana (AT5G51700) UniProtKB/Swiss-Prot;Acc:Q9SE33] MKEWSCCKQRSHDFSLFLAIPGCTTGKHTTEKPVTKAVSANRPKATPVQSSKQTVGDEACARCRQGFFCSDHGSQPKVQKPAATDDTNKEPVEKSSAPPPKKRIDINEPRTCRNKGCGKSYKEKDNHDSACDYHPGPAVFHDRMRGWKCCNIHVKEFDEFMEIPPCTKGWHNADAV >PVH66469 pep chromosome:PHallii_v3.1:1:45986712:45990197:-1 gene:PAHAL_1G256000 transcript:PVH66469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich domain-containing protein RAR1 [Source:Projected from Arabidopsis thaliana (AT5G51700) UniProtKB/Swiss-Prot;Acc:Q9SE33] MSTTTEAAMSAAAAPVRCQRIGCDAMFTDDNNPEGSCQYHPSAPLFHDGMKEWSCCKQRSHDFSLFLAIPGCTTGKHTTEKPVTKAVSANRPKATPVQSSKQTVGDEACARCRQGFFCSDHGSQPKVQKPAATDDTNKEPVEKSSAPPPKKRIDINEPRTCRNKGCGKSYKEKDNHDSACDYHPGPAVFHDRMRGWKCCNIHVKEFDEFMEIPPCTKGWHNADAV >PAN04269 pep chromosome:PHallii_v3.1:1:3624591:3627864:-1 gene:PAHAL_1G053600 transcript:PAN04269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHAAGSSSGGSGDGGAAPRRNSRKPKYSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGVASLRASRQVVEIVDRYDDACVPTNVTDKLAYIRDKSIPKICTRNLTITKEMKQPIFVYYQLDNFYQNHRRYVKSRNDAQLRDKSKASDTSNCDPEATVDGKPIVPCGLIAWSLFNDTYNLVRNNENLTVDKKDISWKSDREHKFGSDVFPTNFQKGPLQGGKILNSSMPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKVNDTITVHLENNYNTYSFGGKKKLVLSTTTWLGGKNNFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKLGDNNYLSWNRPPVGR >PVH66140 pep chromosome:PHallii_v3.1:1:19059544:19059828:-1 gene:PAHAL_1G158400 transcript:PVH66140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILWSHTNLVITSVAIHENQHLMTSSCINQRLRHRHRVLIFWCSSVKISEVYTDSPPAILLLYRHNAGNPFSIPACPDEACFYHLFDFFLDFL >PAN06713 pep chromosome:PHallii_v3.1:1:48069065:48069913:-1 gene:PAHAL_1G282900 transcript:PAN06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGQAAVSFLTQIAKVAAGIGVAASAASTSLFTVDGGERAVIFDRLRGVLPGTESEGTHFLIPFLQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLSRPDVDRLPEIFNSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLTQRAREFNIVLDDVAITHLAYGPEFAQAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESEAARLISEATTTAGNGLIELRRIEAAKEIAGVLARSPNVSYIPAGDNGQMLLGLNAAR >PAN07395 pep chromosome:PHallii_v3.1:1:51310736:51313687:1 gene:PAHAL_1G332600 transcript:PAN07395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRTSAAATPVLLNVYDLTSANDYLYWLGFGVFHSGIEVHGMEYGFGAHDFPSSGVFEVESKTCPGFVYRRTVWLGTTDMSQEEFRTFIEKLAGKYHGNTYHLVNKNCNHFTDDVCQNLTGKPIPSWVNRLARVGSVFDCLLPESVQVSPVGRVPTRRQSSDDDLHSIHSPIIEDSDNDEDEAKHLLPTPSNDMHSVDVPPKLAKDHL >PAN04929 pep chromosome:PHallii_v3.1:1:7552110:7552808:1 gene:PAHAL_1G102500 transcript:PAN04929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTSSNRSTPLRLFRETSTARSDGARQNEASMPPWRELPERSTVRRLDRLPSSAQSTGPVRLRDGKWSRRTGRHARWPGNGGWKLETFKNAARTNSTSGSRVQLEEPLASAAAPAAAAAACFPGGFAVCPWGLPSLFFPPSRGSCEFEEDLPVQGAGLIEWMGRGHIQGRQSATSRREVSVRIQKAQQVIRSRACGLSRNLMI >PAN04818 pep chromosome:PHallii_v3.1:1:6659116:6664605:1 gene:PAHAL_1G093600 transcript:PAN04818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLHLLLSRALASHHLLPSTTASSLRPTPRRPLPPHTPPPFSPPHGRTLPPFAAAASRQHSASSFRIRRSSASPMLLKRRKARRPMRKGPGELIVQIGIEEDLPDDPEILSIAETLQTDVGKAAKVAFDNLEGSEYKTRDPSISNLNKYNSVEVSLLLCDDNFIRELNKEWRDEDHPTDVLSMSQHIPGLDIPILQLGDIVISVETAQRQAEERGHSLLDEIRILMVHGLLHLLGFDHELSEEAEQEMEKEEEHILNTLEWRGKGLIKSAYDIVAHMAHLQSSVEANNNIEKVSLQEEHWPKLRHIICDIDGHLQEESIESLREAIATGVNIIMVTGKSRASTIRTFKLLDFFDKGNFVSETSPGVFLQGSLVYGKHGQEVYRAELDVDICKEAFLYSLKHKIPVVAYCEEQCLTLFEHPFVNLLHTVHHENKVKVMHSIEDLLKYSSIQKLLLFDSAEEDSSVLRQHFSELTEGKARVLKMQPNTIDIVPLNASKGGGIRILLDHLGITEDCALDAVGDYTKWLSNM >PAN05012 pep chromosome:PHallii_v3.1:1:8218355:8225354:1 gene:PAHAL_1G108900 transcript:PAN05012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKNQQHPQQQQPKPAVLAAAGEMKAPAVAPQQPKPAAPAMPVPRQWPMAFNPPSTEAKSVTPKKKKHCNCRNSKCLKMYCECFQEQQFCDGCNCSNCGNIVGNEKARQEAINAIVQRNPLAFQPKIENGPSTHNVRKDNSGAVPLVPKHNKGCHCKKSGCLKKYCECYQANVLCSKNCRCMDCKNFEGSEERKALIQGDYASDGNQIQQAASVALNGTIGSSGYNCSPVRRKRSHEDTLGPRINSEGSMPETQFLQGNHADVSLLAPSSTGFDGHNAANSQSKSYNPIYRSPLANTIHLTEVNDLVTHMVTACRMAAATIADNKVNGTTVENQFHVNGGLSNGNCKQQELKEASQMDILRRGCSDQPNINEIDSHWSDTAKDSRPASPTTQALMCDEQETTFGNDYRCSFPSVSCDQDISEINAAQENLVLTGLREYLRVIITRGKIKEHKSSSEAAMEPDGQQHHGATPIAPREVGENAPSNCAETPRTDQQPTQNAGSER >PAN05010 pep chromosome:PHallii_v3.1:1:8218356:8225353:1 gene:PAHAL_1G108900 transcript:PAN05010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCECFQEQQFCDGCNCSNCGNIVGNEKARQEAINAIVQRNPLAFQPKIENGPSTHNVRKDNSGAVPLVPKHNKGCHCKKSGCLKKYCECYQANVLCSKNCRCMDCKNFEGSEERKALIQGDYASDGNQIQQAASVALNGTIGSSGYNCSPVRRKRSHEDTLGPRINSEGSMPETQFLQGNHADVSLLAPSSTGFDGHNAANSQSKSYNPIYRSPLANTIHLTEVNDLVTHMVTACRMAAATIADNKVNGTTVENQFHVNGGLSNGNCKQQELKEASQMDILRRGCSDQPNINEIDSHWSDTAKDSRPASPTTQALMCDEQETTFGNDYRCSFPSVSCDQDISEINAAQENLVLTGLREYLRVIITRGKIKEHKSSSEAAMEPDGQQHHGATPIAPREVGENAPSNCAETPRTDQQPTQNAGSER >PAN05011 pep chromosome:PHallii_v3.1:1:8218356:8225353:1 gene:PAHAL_1G108900 transcript:PAN05011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKNQQHPPSTEAKSVTPKKKKHCNCRNSKCLKMYCECFQEQQFCDGCNCSNCGNIVGNEKARQEAINAIVQRNPLAFQPKIENGPSTHNVRKDNSGAVPLVPKHNKGCHCKKSGCLKKYCECYQANVLCSKNCRCMDCKNFEGSEERKALIQGDYASDGNQIQQAASVALNGTIGSSGYNCSPVRRKRSHEDTLGPRINSEGSMPETQFLQGNHADVSLLAPSSTGFDGHNAANSQSKSYNPIYRSPLANTIHLTEVNDLVTHMVTACRMAAATIADNKVNGTTVENQFHVNGGLSNGNCKQQELKEASQMDILRRGCSDQPNINEIDSHWSDTAKDSRPASPTTQALMCDEQETTFGNDYRCSFPSVSCDQDISEINAAQENLVLTGLREYLRVIITRGKIKEHKSSSEAAMEPDGQQHHGATPIAPREVGENAPSNCAETPRTDQQPTQNAGSER >PAN06287 pep chromosome:PHallii_v3.1:1:45675711:45685893:-1 gene:PAHAL_1G252500 transcript:PAN06287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPALAVSGAVVRAPRPTGGGERRRGSGRPSPSLVFFSRGARDGGSGRRRAMRAAAASGKVIVPEGENDGITSSADSAQFQSDELEVPDITDGQIESSETTQGAREADAEALNRKVLGSAPQEKPRAVPPPGDGQKIFQIDPMLQGYKYHLEYRYSLYRRIRSDIDEHEGGLEAFSRSYEKFGFNRSAEGVTYREWAPGAHSAALVGDFNNWDPNADRMSKNEYGVWEVFLPNNADGSSPIPHGSRVKVRMDTPSGIKDSIPAWIKYSVQPPGEIPYDGIYYDPPEEVKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHSGPRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVSFTGNFNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVSIGEDVSGMPTFAIPVHDGGVGFDYRLHMAVADKWIELMKQSDETWKMADIVHTLTNRRWLEKCVTYSESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPTIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQRLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYNGMQEFDRAMQHLEEKYGFMTDDHQYISRKHEEDKVIVFEKGDLVFVFNFHCNNSFFDYRVGCRRPGMYKVALDSDAGLFGGFGRIHHAAEHFTTDCSHDNRPHSFSVYTPSRTCVVYAPADTMTPESSEESK >PAN06288 pep chromosome:PHallii_v3.1:1:45675724:45690181:-1 gene:PAHAL_1G252500 transcript:PAN06288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAASGKVIVPEGENDGITSSADSAQFQSDELEVPDITDGQIESSETTQGAREADAEALNRKVLGSAPQEKPRAVPPPGDGQKIFQIDPMLQGYKYHLEYRYSLYRRIRSDIDEHEGGLEAFSRSYEKFGFNRSAEGVTYREWAPGAHSAALVGDFNNWDPNADRMSKNEYGVWEVFLPNNADGSSPIPHGSRVKVRMDTPSGIKDSIPAWIKYSVQPPGEIPYDGIYYDPPEEVKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHSGPRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVSFTGNFNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVSIGEDVSGMPTFAIPVHDGGVGFDYRLHMAVADKWIELMKQSDETWKMADIVHTLTNRRWLEKCVTYSESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPTIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQRLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYNGMQEFDRAMQHLEEKYGFMTDDHQYISRKHEEDKVIVFEKGDLVFVFNFHCNNSFFDYRVGCRRPGMYKVALDSDAGLFGGFGRIHHAAEHFTTDCSHDNRPHSFSVYTPSRTCVVYAPADTMTPESSEESK >PAN04087 pep chromosome:PHallii_v3.1:1:2775467:2776455:1 gene:PAHAL_1G040800 transcript:PAN04087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSAWLNISTDPMQGADQTKGSFWTRVYEYYHSNKEFTSNHTQSSLLHRWKGILAMVFYEAEDRENKSFQLLHCWNILKNQPNWHDKQKELAAEKQLESDAEKEQKKEGRYNQSYTVEKERLELEKRRAEAEEARAANEAKGLKMKEIELERNKIELEHKRMLDEERIMTMDIASMPFLQQQYYKSLQDGISRSVSN >PVH66799 pep chromosome:PHallii_v3.1:1:52525446:52532157:1 gene:PAHAL_1G350900 transcript:PVH66799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFEGRSSSTTTRGVEQAIVALKKGAHLLKCGKRGKPKFCTVRLSYDERALIWYSKEREKRLCLNSVSSVILGQKTTKFLCLHWPEKEPHSLSVIYKNGDSSLDLVCKDRDQAECWYLGLTALISAPCTPLLLVNSTNSRRINSCTNSPPSYIQQRSRLFAVHDGRNFTKVHSLNVSPRLIQNRYLHSNLDCSEPFFSPRQRAWSELDSYLEKITPELVNRVKNNLRDIKSAEKVKDQRITQMPKLKQSEGSDAAPDCLKDIFVWGDVLGHMLDHGHVSAANVSLPRLLKSAQIFDVQSIACGEKHAAIVTKQGQVFSWGEEKGGRLGHKTSDSVSHPKIIDSLSSMPVKAIAFGAKHTCAVSVSGELYEWGEGIHSLGLWNDQCRRSQWFPHKLIGTSDCISVSKIACGQWHTAIISSTGQLFTYGDGTFGVLGHGDTCTVAQPKEVESLRGLRTKSVACGPWHTAAIVETSGTPKSNAPGGKLYTWGDAGGGKLGHTDKKPKLVPTRVESLVDCDFTQVSCGMSITVVLTITGVVFTIGSKEHGQLGNPRPENTSICMVEGALKTEFVKDVSCGSFHVAVLTMNGKVFTWGKGTEGQLGLGDYIDRRSPTLVEALEDKQVDSITCCSNFTVVVCAHRTISCKEQSVCSSCQLAFRFTRKKHNCYNCGSMFCNSCSSNKVARAALAPDKSKRCRVCDACFNELNKTAGLRKMSSRSKIQQEETSLTELRTYTPKLSRMLKEANFIMEKMGSAHSPNQRNQELTTLNQVQKQRWGQVDCPNQFKCARDSIPYWLTSKKQAIDVCCIGRMIDPASQKTATPLPQATNDKRKGQDLMEKILLEEVKQLQAQVTTLAEECRHRSLKLQLYKRELEETWLIVKDEATKCKAAKDIINILTNQLCIRMAEEPPIAAEVLMEEQIAQLLLLTPMA >PAN07632 pep chromosome:PHallii_v3.1:1:52525446:52532157:1 gene:PAHAL_1G350900 transcript:PAN07632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFEGRSSSTTTRGVEQAIVALKKGAHLLKCGKRGKPKFCTVRLSYDERALIWYSKEREKRLCLNSVSSVILGQKTTKFLCLHWPEKEPHSLSVIYKNGDSSLDLVCKDRDQAECWYLGLTALISAPCTPLLLVNSTNSRRINSCTNSPPSYIQQRSRLFAVHDGRNFTKVHSLNVSPRLIQNRYLHSNLDCSEPFFSPRQRAWSELDSYLEKITPELVNRVKNNLRDIKSAEKVKDQRITQMPKLKQSEGSDAAPDCLKDIFVWGDVLGHMLDHGHVSAANVSLPRLLKSAQIFDVQSIACGEKHAAIVTKQGQVFSWGEEKGGRLGHKTSDSVSHPKIIDSLSSMPVKAIAFGAKHTCAVSVSGELYEWGEGIHSLGLWNDQCRRSQWFPHKLIGTSDCISVSKIACGQWHTAIISSTGQLFTYGDGTFGVLGHGDTCTVAQPKEVESLRGLRTKSVACGPWHTAAIVETSGTPKSNAPGGKLYTWGDAGGGKLGHTDKKPKLVPTRVESLVDCDFTQVSCGMSITVVLTITGVVFTIGSKEHGQLGNPRPENTSICMVEGALKTEFVKDVSCGSFHVAVLTMNGKVFTWGKGTEGQLGLGDYIDRRSPTLVEALEDKQVDSITCCSNFTVVVCAHRTISCKEQSVCSSCQLAFRFTRKKHNCYNCGSMFCNSCSSNKVARAALAPDKSKRCRVCDACFNELNKTAGLRKMSSRSKIQQEETSLTELRTYTPKLSRMLKEANFIMEKMGSAHSPNQRNQELTTLNQVQKQRWGQVDCPNQFKCARDSIPYWLTSKKQAIDVCCIGRMIDPASQKTATPLPQATNDKRKGQDLMEKILLEEVKQLQAQVTTLAEECRHRSLKLQLYKRELEETWLIVKDEATKCKAAKDIINILTNQRNALSKKLLDGLELDNSSIIPDPPDKAIVSGKIPPLNSSRDQCNIEEVDMQSTASSNTVAVDDSAVHQNGRRASNSSRGFDGGTDSTVAPSDSNGVIEQIERGVYITVVTSPSGKKGIKRIRFSRKHFGEAEAQKWWEENEGRVFARHSSMEYLAT >PAN06850 pep chromosome:PHallii_v3.1:1:48750789:48753403:-1 gene:PAHAL_1G292600 transcript:PAN06850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDAMERGERAPLLPESHGPKVEENSLDVPLLKGKKRAGSKAPAVVLGFECLESTAFSGISTNLVVYLETVLHGSNLASASKVTTWFGTSYLTPIFGAIIADTFLGNYNTIIVSLAVYLLGMMFVTFSAFLPTAAALGGSSVLGAQTVAFVGLYLVAVGSGGVRSSLLPFGAEQFDDGNATDRERKGSFFSWFYLCVDFGPIVSGLLIVWIQDNVSWGLGFGIATACIALAFGAFVLATPMYKRRVPTGTPLKRLSQVVVAACRKVALKVPADAGMLYEVSDKVDPQPKIAHTGEFSFLDKAAIVTASDFEEVTAEAGSSWKLCTVTQVEELKILLRLLPIWATSVIMSSAYAQMNTTFIQQGSVMNMSILSVPVPAASMGSFEVLCVLTWVLLYSKVIAPTLSGLSSDGDGEPSQLQRMGAGRLLMALAMAASALVEMRRLGSAARGEEITIAWQLPQYFLLAGAEVFCYIAQLEFFYAEAPDAMKSTCTSLALLTIALGSYLSSLIYAVVAAFTATADSPGWICDDLNRGHLDYFFWTMAAMCTLNFVVYSGFAKNYKLKTVLS >PAN08926 pep chromosome:PHallii_v3.1:1:58881785:58886259:1 gene:PAHAL_1G445300 transcript:PAN08926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MIVMHCNINRFYQAISALHQDGRSKHFMSVGFGHMVLGMVLVMSISAASYTTPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPMAINGSPSGVAVMTATPGGPAEKAGILPGDIILAIDNRSTEDMDIYDAAERLQGPEGSSVDLSIRSGPSTRHVVLTRQTVSLNPVRSRMCEIPGAKDSSKIGYIKLTTFNQNAAESVREAIKTLRDNNVKSFVLDLRNNSGGLFPEGIQIAKIWMDKGVIVYICDSQGVRDIYEADGADTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFGLSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSTAPCNLNAVQLFAKS >PAN08925 pep chromosome:PHallii_v3.1:1:58881397:58886259:1 gene:PAHAL_1G445300 transcript:PAN08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MATCSRPLFVSASAASSASPSRACLGRLHTVAVVSIWEPCPPLRAAACCFAQTKHCCRSTKCLASGPSVSRLTRPIKRLLSRYSSLMIVMHCNINRFYQAISALHQDGRSKHFMSVGFGHMVLGMVLVMSISAASYTTPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPMAINGSPSGVAVMTATPGGPAEKAGILPGDIILAIDNRSTEDMDIYDAAERLQGPEGSSVDLSIRSGPSTRHVVLTRQTVSLNPVRSRMCEIPGAKDSSKIGYIKLTTFNQNAAESVREAIKTLRDNNVKSFVLDLRNNSGGLFPEGIQIAKIWMDKGVIVYICDSQGVRDIYEADGADTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFGLSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSTAPCNLNAVQLFAKS >PAN08128 pep chromosome:PHallii_v3.1:1:55138589:55141907:-1 gene:PAHAL_1G389800 transcript:PAN08128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAGATPATARKTLFTTTATLLSSSLKRGSRGLSCSAAAAAAPRLAPQPPDLVRWLQREGGFVHPALRVTDHPEHGLGVSAAAADGDIPPGDVLITLPGRLPLRLRHPTGAADDLLVQLAQQVPDELWAMKLGLRLLQERAKPDSFWWPYIANLPETFTVPIFFPGDDIKNLQYAPLLHQVNKRCRFLLEFEKEVRQKLDTVPLIDHPFYGQDLNSSSLGWAMSAASSRAFRLHGETPMLLPLIDMCNHSFNPNARIVQEGSVNSSDMSVKVVAETKIEQNAAITLNYGCHPNDFFLLDYGFVITPNPYDQVELSYDGTLLDAASMAAGASSPNFSAPAKWQEDILSQLNLHGEGAILKVSLGGPDIVDGRLLAALRVLLAADPEAVHKHDLKTLMSLDAQAPLGPTVEASALRTVLALCAIALQHFHTKIMEDEAILKGELPLTTELAIQFRLQKKFMIVDVMQNISRRIKTLSPQKSTA >PAN07747 pep chromosome:PHallii_v3.1:1:53127673:53137208:1 gene:PAHAL_1G359600 transcript:PAN07747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSPASTSASDSGMLGRRLALLPAAAAGARGAAATRARMKVGCVLLDHVAPRLAVASAALVGAREVIAAAAAAGAGGSGAVHGAVASTLAQVAVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYQIFEDPKVQKAIVFASTAHLGQFRRTGDPYVTHCIHTGKILAALVPSTGERAVNTVVAGILHDVVCDTSESLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPVPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQIFKKIRSELTLMWSSTGKSKSMRRSSIRSELLASMKDGHMASIQDLFSSCNQEKTNMKDLLQAVLPFDIFLDRKRRSYFLSNLNSSSGEPIPKPKIVDDAAVALASLASCEEELERELLISTSYIPGMEVTLSSRLKSLYSIYCKMKRKDVGIRHVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTVVQASDSSRLEVQIRTQRMHEYAEHGLAAHWLYKESKVEYRGGMSKRISQSTSYSSSSSEDESSIPDDLPSKYSSLKVGHPVLRIEGSHLLAAVIVSIDKGGKELIVAVSFSLEASEAVAELRSSYQLKHWEAYARLHKKVSEKWWCAPGHGDWSTNLERYTLCQDGIFHKQDQFGRLLPTFIQIIDLTEEEEEEYWMVVSAIFEGKETSSLPSESSYADKSSSDPPSSTPSSDPIHNKVHLLRTMLQWEEQVRRGTSLAEKSLGVSTCTKPILHEVAIIFWPNGKIMRMSTGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVRV >PVH65639 pep chromosome:PHallii_v3.1:1:3022096:3023039:1 gene:PAHAL_1G044700 transcript:PVH65639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHSTDPAAGSTPERRGSSPTEQRERSITWAPRAAGASPWPSCRGPRARRAAPAAAAAAAPPPRRRRAPSARAGGPRAPATCSPGRRRRSWLLPQLLRHRSTSRLSASATNKSCR >PVH67095 pep chromosome:PHallii_v3.1:1:57920635:57924355:1 gene:PAHAL_1G429100 transcript:PVH67095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVTFPFFAGDGPNWSISKSLSKIYSLNHHLESHLSKSRQSMLSKDPVTDNSHLRYFCKVVYKDAAVCNIDDSEPRCRAHLILAFKLTYVRCHHYTAATRSSTLAVSFLPLLKQLVCRPCALRSACWSPHPISECTKPTSDGICEARSCTSCWMKLLQPSSYGICQQEWISWTMTMHLTCYYLGLC >PAN07506 pep chromosome:PHallii_v3.1:1:51855661:51857535:-1 gene:PAHAL_1G341400 transcript:PAN07506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLHLTLLVLLSSSSLVSSIRRASDNNSPLAAYLVTVRRPDGLLSADEPDASEMWHTYLLGQVCNTSDPATAERFPTAESRLIYSYSHVVSGFSAWLTPQEVDDMAWFPWFVEAVPDKSYKLMSADTPTPELSWLDGVRDGVWNKGNMGEGITIGVLDAGIAAGNLPASPDAEGMPSPPAKWKGSCENSEACNNKLIGLRTFVDTSRALGAAMFIGEVGNMAQPSNGEVGSFMQRASVLGIEYDKAFEVAPKAHLAIYRVCNEGCHPAAVNAGMVAAVDDGVDVVSMCVGTKDNAVFHDVDVTAPSYKAFARGVLVCAPAGSSGPDMFKIESSAPWLLTVAASDIDHRVVTNVELGNGILKPDVSAPGADTLAESPHGGKYTDAQIKAATSMAAAHVTGVAALIKKAHYEWSPAAIKSALVTTADPVGPGDALVGEGASYFVTGAGEVNPVKALDPGLVYDLTAGDFIPYLCGKSLGEDQTRQIVETAGASCAETGEVTAKDMNYPSIMIVMGDGAQQVEAKRTATNVGEPAETYRAEVTAHGVDVAVNPSTMVFGDIGQQRDFVVTIRRQASTPAKAVIEGELKWVSEKHAVRSPMVVVVGETVASSAGHSYGADVASIES >PVH66038 pep chromosome:PHallii_v3.1:1:11130220:11131070:-1 gene:PAHAL_1G131300 transcript:PVH66038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRIVLGLANQPTLMEMKPIRNSLGRNPLSDVLHRNPGVVLNGQGPGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRKVRKLAEPTRIHLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSDFKLWYTGTTSGSNGVGILIDKNLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQVGLNLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PAN05704 pep chromosome:PHallii_v3.1:1:17026600:17027579:1 gene:PAHAL_1G151600 transcript:PAN05704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIDSHRADAEVVNGDAICRKRAVELLEELGLPKGLLPLEDIEEFGYNRGDGFMWLVQRKKKVEHTFKKIKQTVSYASEVTAFAEKGKLKNIAGVKTKELFLWLSVVEVYVPESSPEKVTFKTGTGLSDSFDATAFALGE >PAN04167 pep chromosome:PHallii_v3.1:1:3204913:3206252:-1 gene:PAHAL_1G046800 transcript:PAN04167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGGSRRFGLKGPPEEEEEGAGLKKGAWTAEEDEKLVAHVQRHGEGSWNQVRRETGLLRCGKSCRLRWANHLRPDLKRGAMSPEEELLVLRLHSLLGNKWARIAAHLPGRTDNEIKNYWNTRTKRRERAGLPLYPPEVEHEVALIRAGGPNTILGDDAGAAHELPFLFDAADPLASLPLPPPASDSPALLYHFGKAAQEPLPLPYISNLQIDPVKYLQPLAPLLPPMAHRELPSVQSAAIATGAALETMFLRELGHQQVPDANLVNFGAMPGLVSYENAVSSHCVQEEVQNLGDKRGRLSGDEKPAKRLLASSVADDKEMPNLLRDDVTGEAPGHDAVVADQTIFLTAGSIDDDELQHLMMPSAPLICDEDGWNQ >PAN04168 pep chromosome:PHallii_v3.1:1:3204294:3207884:-1 gene:PAHAL_1G046800 transcript:PAN04168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGGSRRFGLKGPPEEEEEGAGLKKGAWTAEEDEKLVAHVQRHGEGSWNQVRRETGLLRCGKSCRLRWANHLRPDLKRGAMSPEEELLVLRLHSLLGNKWARIAAHLPGRTDNEIKNYWNTRTKRRERAGLPLYPPEVEHEVALIRAGGPNTILGDDAGAAHELPFLFDAADPLASLPLPPPASDSPALLYHFGKAAQEPLPLPYISNLQIDPVKYLQPLAPLLPPMAHRELPSVQSAAIATGAALETMFLRELGHQQVPDANLVNFGAMPGLVSYENAVSSHCVQEEVQNLGDKRGRLSGDEKPAKRLLASSVADDKEMPNLLRDDVTGEAPGHDAVVADQTIFLTAGSIDDDELQHLMMPSAPLICDEDGWNQ >PAN04170 pep chromosome:PHallii_v3.1:1:3204293:3207885:-1 gene:PAHAL_1G046800 transcript:PAN04170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGAGRRRRRNSTVPAVRSTLFAPPTSQKSTARGLHRLRHLIIARPIGTSCVTTGVPRRMRRRRRPQLWMTTPAPMLHGWEHAHRGPCPDAGGEETRIFPDPSFRQCVLPGRTDNEIKNYWNTRTKRRERAGLPLYPPEVEHEVALIRAGGPNTILGDDAGAAHELPFLFDAADPLASLPLPPPASDSPALLYHFGKAAQEPLPLPYISNLQIDPVKYLQPLAPLLPPMAHRELPSVQSAAIATGAALETMFLRELGHQQVPDANLVNFGAMPGLVSYENAVSSHCVQEEVQNLGDKRGRLSGDEKPAKRLLASSVADDKEMPNLLRDDVTGEAPGHDAVVADQTIFLTAGSIDDDELQHLMMPSAPLICDEDGWNQ >PAN04169 pep chromosome:PHallii_v3.1:1:3204913:3206252:-1 gene:PAHAL_1G046800 transcript:PAN04169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGGSRRFGLKGPPEEEEEGAGLKKGAWTAEEDEKLVAHVQRHGEGSWNQVRRETGLLRCGKSCRLRWANHLRPDLKRGAMSPEEELLVLRLHSLLGNKWARIAAHLPGRTDNEIKNYWNTRTKRRERAGLPLYPPEVEHEVALIRAGGPNTILGDDAGAAHELPFLFDAADPLASLPLPPPASDSPALLYHFGKAAQEPLPLPYISNLQIDPVKYLQPLAPLLPPMAHRELPSVQSAAIATGAALETMFLRELGHQQVPDANLVNFGAMPGLVSYENAVSSHCVQEEVQNLGDKRGRLSGDEKPAKRLLASSVADDKEMPNLLRDDVTGEAPGHDAVVADQTIFLTAGSIDDDELQHLMMPSAPLICDEDGWNQ >PVH65867 pep chromosome:PHallii_v3.1:1:6537801:6538259:1 gene:PAHAL_1G092200 transcript:PVH65867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFIGWIKFWFQCCANDTTTSLLRFVLQCSLLNVECLGVFGCMYHLFHVQNGTHLDGDPLFGWGNHTKSFRIS >PVH66752 pep chromosome:PHallii_v3.1:1:51627237:51628061:-1 gene:PAHAL_1G337300 transcript:PVH66752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLSSTQALKEQLKPSTTTTVLLVVFILTLYVTSCEGRHLRVHGKDCPSKLLPPSPPKGVIDDDDAAKGKMSSSPEVPVGSNMLDASMRDDEAVTEARKEVASSGGVVRNARNVVRVLQQLQHRWHEDDQGIHLDYAQPRTHTPHHNR >PAN03939 pep chromosome:PHallii_v3.1:1:2120096:2122900:-1 gene:PAHAL_1G030400 transcript:PAN03939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRGAPARAALLLLLALLGLLHPRPAAAQQEGAVPEAYAASFASRFAAPPSWSFPNPRLRAAYAALQAWKRTAIFSDPSNFTANWSGPNVCAYNGVFCAPLPGTAGAGAASGGYSHGHGSSGDGDLVVAGIDLNHADIAGYLPASLPLGVPDLALFHINSNRFCGVVPETFRHLRLLHELDLSNNRFVGGFPEVVLSLPSLRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNRLGGCIPPSIGKMAETLNEIVLIADELTGCVPPQVGLLKKVTVFDVSDNHLQGQLPASIGGMAAVEELDVARNRFEGALPAGVCGLASLKNFTYTDNFITSRPPCAKATADGAWNCIPGAPAQRPPSQCAAAAAHPFDCSKAQCQAPAYTPTPGGGGYTPTPGAGGHGGRGGRGSGKQPPTPVGSPPRGRIAGNPPPPSSSPTPSYPSPPSSSPSTPSYPSPPSSATTPSYHSPPKGSTTPSYPPPPSSASTPSYHHSPPQGSPTTPSYPSPPQGSTTPSYPPPPSSASTPSYHHSPPQGSPTTPSYPSPPSSSSTPSYHSPPHGAPTPSHPSPPKGSSSPTPVTHAPPPPTSADEPDVRYAPPPRSYGPKPSTPPSHGSASPPSTGYQPPSSGHPTPSPPTEHPGYVLPPHAPGTPSSPPSHPGTPSTTPGAPPTKHCSPPAQGGSPGTGGDHDHGHGHGHGGKLPFPPVYGVSYASPPPPGKPYY >PAN08381 pep chromosome:PHallii_v3.1:1:56438156:56440864:1 gene:PAHAL_1G409200 transcript:PAN08381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKERIRRSPPPTPQAQAEAQAEAAAPPGHPRGRKGRVAGLPLSVTALVACGFLLLLLAGGSAARRGGQFLDADPATARPSGDGRGDLHQARPRDGGHGTMESSKVQRDKLIGGLLAPGFDEQSCLSRYQSALYRKESPHLPSTYLLERLREHEVLQKKCGPHTESYNKAIEQLKSGQDIEVGDCNYLVWVSYSGLGNRILTITSAFLYAILTNRVLLVDGDKGTADLFCEPFPETSWLLPSDFPINQFENFNTGSPESYGKMLKTENIRSDGSFKGPKPAFIYLHLAHDYDDYDKLFFCEHSQQHLQRITWLILRSDNYFVPSLFLIPAYHEELMRLFPQKDAVFHHLGRYLFHPTNVVWGLITRYYDSYLARADEKLGIQIRVFDTETGPFQHVLDQVLSCTLKENLLPEVNAQLPIVPTRKVRSKVVLVTSLNSGYYERIRNMYWEHPTTNGEIISFHQPSHEEHQDSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQALSGLKTWLMFKPENHTAPNPPCRQVMSMEPCFHAPPFYDCKARRGTDTGKLVPHVRHCEDMSWGLKLVDTDEW >PAN04924 pep chromosome:PHallii_v3.1:1:7500599:7502214:1 gene:PAHAL_1G102000 transcript:PAN04924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSFRAWHCLLALSLLSSAARGQLSPTFYATSCPMLELIVRATMTTAIVAERRMGASLLRLFFHDCFVQGCDASILLDDITGSFTGEKGAGPNVNSVRGFEVIDQIKANVEAVCPGVVSCADIVALAARDGTLLLGGPTWAVPLGRRDSTTASLNQANSDLPAPTSSLAALITSFGNKGLSARDLTALSGAHTIGFSQCLNFRDHIYNDTNVDSAFAALRRGSCPAAQGSGDTNLAPLDAQTQLVFDTAYYGNLLVRRGLLHSDQELFNGGSQDALVTQYSSNPALFAADFVTAMIKMGSISPLTGTAGQIRANCRVVNSS >PVH66603 pep chromosome:PHallii_v3.1:1:48930274:48931635:-1 gene:PAHAL_1G295600 transcript:PVH66603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLPRSFNTTHTKDIRPESRPSAPFAEMGTNQTSSLKSEGGRGGGNQRLEAAETGLGDATAPRDGWPRTPCAATGTLY >PVH66902 pep chromosome:PHallii_v3.1:1:54359333:54360680:1 gene:PAHAL_1G379200 transcript:PVH66902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPQRSRRRSVAPPYTRKSARRRDDNGTSLPDDALAGVFARLPHPADVVRCAVTCARWGRVVTTSAAAISRSLPPPVGRFLPQLAVGVFHLRKAGTTARTRCTPAAPQPRFLAMASGRRLLGCETIPASIGSFFDALDGAVFDYSRPVASRNGRLVLELRRETRADDVTLCVCSPMTGDAVVLPPLSGEDKPTDYGCALLTGDDLHPNNPRRGTKSFQLLLVYNNRRRGFTALRCYCSDTGRWGAEARSAVSVSDGELRHIGGAAVLRGVAFWQLDHDALGTRLLGVSPDNRLFLVYLGMLGGDGTLVAKISYFDIPGGDGDDFQFQNGRKESSSDEEAITVHHMKMNCRDLRTHKLRWIGEKNGLVLFTMGDGGGAHALNLQEGTVEKLADEGHSWGNAVGFETDWAAYLSSIAHHPRN >PVH65914 pep chromosome:PHallii_v3.1:1:7615378:7617423:-1 gene:PAHAL_1G103000 transcript:PVH65914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSGASDTLPSPTELPSPACAEELAPPQKVVIFASPGAGHLIPLVELARRLAVDHGLAVTVVTLTGMSNPATDAAVLSSLPASVATSVLPAVSLDDLPPDIGFGTLMFELVRRSLPHLHALMEGPSGGPVTALVCDFFGTAALPLAAELGAQGYVFFPNSFALISIMRHIAELHVDAAPGENRDLPDQLPLSSGLVLRHADLPNGFRDRTDPVYAYLVEEARRYGRANGFLVNSFEELEIAMAEKFKRDAEDGAFPPVYPVGPIVRSSSGKESDESACLEWLDRQPEGSVVYVSFGTGGALSVEQTAELAAGLETSGHRFLWVVRMPSLDRSPCALGTVPGAKDDPLAWLPEGFLERTRGRGLAIAAWAPQVRVLSHPATAAFLSHCGWNSTLESVAAGVPMLAWPLYAEQKTNAVILTEVTGVALRPAARGDGLVAREEIAAAVREVMEGEKGSAARCRARELREAAARAWSPEGSSRRALEEVAGKWKAALVNGNGRTS >PVH65586 pep chromosome:PHallii_v3.1:1:2101483:2111092:-1 gene:PAHAL_1G030300 transcript:PVH65586 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MQGRNKPSVGLTCTEKLPRVNWPNHADAIQSSSSKDDFLSSSFWFSLPTQRPNPEANSETMLSLRYSACKIQGPERLQVPWIEKAWWSLCNTQVPYKSYLRPGLSAKVKEHDRDHARTYGEGLCNINKVATVPGNRILSQESMHQPPESGYLANNGNHQPAGIHSSPRTHLSNHVLQADIIRTTNRSSFSKTDAELNWSAPAAYNMCTDDKLDAMDDDDILASIDVDRIVMEHYQATTTPRGLASDNMSTPSGNKCNFNGLEENNLPEEISVLCSHHSKLAFCPEAMSHLREMKDELIEVANKLLDGDCEFNPEHSEELRKRRVHLKRQVELLGEYLARSTQDEDRLRSHSMASTTAIQGHQPPMTPRSTFVMDADRFQSQVYIRNGPGANDLCYSSVPYSYMDNLNTPVNSVRREYTPRIIDINYTEGSNDKNWSSRKFPWTKDLEAKNKKVFGNRSFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQLPALIGEGITLVVCPLVSLIQDQIMHLLQAEIPATYLSASMEWSKQQEILRELMSHTCHYKLLYVTPEKIAKSDALLRLLEHMYSRGHLSRIVIDEAHCVSQWGHDFRPDYQDLGILKQKFPNTPVLALTATATASVKEDVVQALGLANCIVFRQSFNRPNLRYYLRPKTKKCLEDIDGFIRKNHPNDCGIIYCLSRMDCEKVAEKLRDSGHKAAHYHGSMDPADRENVQEQWSMDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQPSSCVLYYQYSDYIRLKHMVTQGVVEQTTAASRRGSLSSQGQALETHKDNLLRMVSYCENDVDCRRLLQLIHFGEMFDPSHCAKTCDNCLKERRWVEKDVTNIARQLVELVKMTKQSCSSSHILEVYRGSVSQNVRKHRHETLSLHGAGKHLAKGEAARILRHLVTERILTEDVKKSDSYGSVSSVLMVNYTEAADLCSGKRSIILKVPTPENASKMGKIDESSFQQTNKTAQQHNEVDENLASVLYKTLHSLRRQILKGCGEGCRAYHIFRNETLKEISSRVPRTKEELLEINGIGKEKLNKYGDLVLATIEDFLSKNPNPRKNSSGGSGGSNEHTEAAKKRRGFTAGNASSNGGEDDFEERTVQSKKRPAKTRSTKQGVSDAASMVHGARCMDPDLDEVEVLDDELCSVQKPVASGRALPKWAPAKAKSSSVPPSNLFQEFGYVK >PVH65585 pep chromosome:PHallii_v3.1:1:2101482:2111092:-1 gene:PAHAL_1G030300 transcript:PVH65585 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MQGRNKPSVGLTCTEKLPRVNWPNHADAIQSSSSKDDFLSSSFWFSLPTQRPNPEANSETMLSLRYAAIYINQIDYRLYQASNKPLFLFFRYSACKIQGPERLQVPWIEKAWWSLCNTQVPYKSYLRPGLSAKVKEHDRDHARTYGEGLCNINKVATVPGNRILSQESMHQPPESGYLANNGNHQPAGIHSSPRTHLSNHVLQADIIRTTNRSSFSKTDAELNWSAPAAYNMCTDDKLDAMDDDDILASIDVDRIVMEHYQATTTPRGLASDNMSTPSGNKCNFNGLEENNLPEEISVLCSHHSKLAFCPEAMSHLREMKDELIEVANKLLDGDCEFNPEHSEELRKRRVHLKRQVELLGEYLARSTQDEDRLRSHSMASTTAIQGHQPPMTPRSTFVMDADRFQSQVYIRNGPGANDLCYSSVPYSYMDNLNTPVNSVRREYTPRIIDINYTEGSNDKNWSSRKFPWTKDLEAKNKKVFGNRSFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQLPALIGEGITLVVCPLVSLIQDQIMHLLQAEIPATYLSASMEWSKQQEILRELMSHTCHYKLLYVTPEKIAKSDALLRLLEHMYSRGHLSRIVIDEAHCVSQWGHDFRPDYQDLGILKQKFPNTPVLALTATATASVKEDVVQALGLANCIVFRQSFNRPNLRYYLRPKTKKCLEDIDGFIRKNHPNDCGIIYCLSRMDCEKVAEKLRDSGHKAAHYHGSMDPADRENVQEQWSMDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQPSSCVLYYQYSDYIRLKHMVTQGVVEQTTAASRRGSLSSQGQALETHKDNLLRMVSYCENDVDCRRLLQLIHFGEMFDPSHCAKTCDNCLKERRWVEKDVTNIARQLVELVKMTKQSCSSSHILEVYRGSVSQNVRKHRHETLSLHGAGKHLAKGEAARILRHLVTERILTEDVKKSDSYGSVSSVLMVNYTEAADLCSGKRSIILKVPTPENASKMGKIDESSFQQTNKTAQQHNEVDENLASVLYKTLHSLRRQILKGCGEGCRAYHIFRNETLKEISSRVPRTKEELLEINGIGKEKLNKYGDLVLATIEDFLSKNPNPRKNSSGGSGGSNEHTEAAKKRRGFTAGNASSNGGEDDFEERTVQSKKRPAKTRSTKQGVSDAASMVHGARCMDPDLDEVEVLDDELCSVQKPVASGRALPKWAPAKAKSSSVPPSNLFQEFGYVK >PAN05463 pep chromosome:PHallii_v3.1:1:28068227:28070212:1 gene:PAHAL_1G189700 transcript:PAN05463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGANAANSCPLTPLGFLERAATVYGDCPSVVYHDTVFTWSQTFRRCLRLASALVSLGISRRDVVSVLLPNVPAMYEAHFGVPMSGAVLNTINTRLDARTVSVLLRHSGSRLVLVDQASLPLIRDAIRLLPPGHDAPRVITVEDPHEKSLPGAAPGAVTLTYEGLLEKGDPGFEWVRPACEWEPMVLNYTSGTTSAPKGVVHCHRAIFLVTLDSLVEWAVPPRPTYLWTLPMFHTNGWTFPWGMAVVGGTNICLRRVDAAEVYATISRRGVTHMCGAPVVLNMLANAPEGVRRPLPGKVYILTAGAPPPAAVLHRTEAAGFEVSHGYGLTETAGVVVCCSWKGEWNKLPASERARLKARQGVRTPSTAEMDVVDSDTGRSVPRDGATMGEVVLRGGCVMLGYLNNDEATREAVRGDGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVELESVLYRHPAVNEAAAVARPDEFWGETPCAFVSLREGAAGAVTVAEIVAWCRERMPHYMAPKTVVFLPELPKTSTGKVQKYVLRDAAKKMGPTGRGSSTSKM >PAN08044 pep chromosome:PHallii_v3.1:1:54589555:54597436:1 gene:PAHAL_1G382700 transcript:PAN08044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSVVNPARSAGSRVPMENQEAAAAHQQKDSVKVAVNIRPLITVELQDGCTDCVTVTPREPQVQIGPHVFTFDHVYGNSGPPSSLIFEQCVNPLIDALFSGYNATVLAYGQTGSGKTYTMGTDYSGEGNCGGIIPQVMETIFRKVDTSKDGAEFLIRVSFIEIFKEEVFDLLDSNHAAVRLDSVSVPKSSAPARVPIQIRETPTGGITLAGVTEAEVKSKEEMASYLIRGSSSRATASTNMNSQSSRSHAIFTICVEQKRTSGTSDKSASSDYDILSSKFHLVDLAGSERAKRTGADGHRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVSYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETINTLKYANRARNIQNKAVVNRDPATAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQKKVSLLELKNSELNHELKERELSCEQLSERARAAQLERDQLMLKIESARNGKSWDAIENADLSQDVDLMKSYISKIQQLESDLMRQNFSNACRHGLHDQLAMERDILLNDLGSECEVGTPDVSSEVDEEEKEREHSSMQDQLDKELQELDKRLQQKEAEMKQFAKSDTSVLKQHYEKKLTELEQEKKALQKEIENLRHALTNISSSTDESAQKLKENYLQKLNALESQVSELKKKQEAQQQLLRQKQKSDEAAKRLQEDIQRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQKQKMVLQRKTEEAAAATKRLKELLEAKKSSRETYGGGNGSGMQAVMRAIDDELEVTVRAHELRSYYERQMQERAAISKEIAKLKEETKHKMSDCPQAMSPSARSSRISALENMLSSSSSTMVSMASQLSEAEERERLFNGKGRWYHVRSLPEAKNIMNYLFQLASSARCQVQDKEVACNEKEHIISELKEKVVVLNSGIRQLEMQVKDLGHQNTQLFTALNNAKKSVGSSRNGTCVSEDGQTYALRKSVRPSQYFGYSKNDWLDDMDTSDSEYSGELENMSEESDADWVQSTRKVRKHRRTVSSHTNPNLDYPNTQESAEPEKPTDEKCISPNDVPSGGCSCSKFSSCKTSKCECRGSGAQCGPGCGCKDIKCSNRDSSSDNPEIVNQGIMLLENAFCEKDAQDAEPRKPLADIGNNTVNQTAETKKKPRKNWRKSTVQLVPAPPTPSVPETTEAAPRDRADIPLKLPRAMSSGPAEGNPPPLTDRNAAKPGESMSAGSKESTAATRPPSQLRKNATTEKENQLR >PAN05503 pep chromosome:PHallii_v3.1:1:43059794:43061391:1 gene:PAHAL_1G234300 transcript:PAN05503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDVSELDFVAQEAKLQCKVNLQIHEDDLASDEALWSLYERWCKVFNQERDSDEMARRFQTFKDNVLLVYRVNNFGLPYKLKLNKFSDGKLKELCYPRLADNEYFVWLPYSNSRVAMRGNKKPQEDESAWAKKV >PAN04887 pep chromosome:PHallii_v3.1:1:7257875:7262059:1 gene:PAHAL_1G099600 transcript:PAN04887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTERPHRPIDPPRPGAGAGDSPARWDDDCDRVEGLAGLHIFDQEEEESPAKNGTANSHDTTETFIETEPGKCFYDEPLHEHTGIWVPVSVPPMTAQDREEWHRGFGCNGGYFPEEEFSWELDEENKEMTMWDVFADMVVAAKDKVISAATYDFGRCGMSVVSNFFLQEAWKDMAQTLADANAGIANELLETEPTKWLPDSAATSCMLCGVRFHPIMCSRHHCRFCGGVFCNGCTKGRSLMPPKFRTSEPQRVCDVCGVRLESIQPQLMNQISRASQLPTRDVTDLSTLRSWLNFPWAHTMEYEIYKAANSLRSYCKVGGLKPEKAIPDAILRQAKGFAIVTVVKVGMMVTYKLGTGLVVARRVDGSWSPPSAISTCGVGYGAQAGGEIADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDTENARFYGGPVKATDILLGSMARPPAASPLYKALSELMDRVGK >PAN04889 pep chromosome:PHallii_v3.1:1:7258688:7262059:1 gene:PAHAL_1G099600 transcript:PAN04889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQDREEWHRGFGCNGGYFPEEEFSWELDEENKEMTMWDVFADMVVAAKDKVISAATYDFGRCGMSVVSNFFLQEAWKDMAQTLADANAGIANELLETEPTKWLPDSAATSCMLCGVRFHPIMCSRHHCRFCGGVFCNGCTKGRSLMPPKFRTSEPQRVCDVCGVRLESIQPQLMNQISRASQLPTRDVTDLSTLRSWLNFPWAHTMEYEIYKAANSLRSYCKVGGLKPEKAIPDAILRQAKGFAIVTVVKVGMMVTYKLGTGLVVARRVDGSWSPPSAISTCGVGYGAQAGGEIADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDTENARFYGGPVKATDILLGSMARPPAASPLYKALSELMDRVGK >PVH67143 pep chromosome:PHallii_v3.1:1:58300659:58305941:1 gene:PAHAL_1G436400 transcript:PVH67143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTPTFQKKKKHDKNPSHAPLMACVNFPPPRHQTKQFSFTRHSRENFPLPRFRAVPLHYHFKIEKILVIYSNRPDHDHRFQTSRRPVPSCPLPNPSRSPGRRHRSRTTGHPRRVRRKTRDAGRVSGGGAMYVVKRDGRQESVHFDKITARLKKLSYGLSAEHCDPVLVAQKVCAGVYKGVTTNQIGELAAETAAAMTASHPDYASLAGRIAVSNLHKKTMKSFSETVKVLYMHCDERSGLMAPMIADDAYEIVMKNAACLDSEINYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIASAVRTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECAAISKSAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHPDIFDFLDLRKNHGKEENRARDLFYALWIPDLFMERVQCNGQWSLFCPNEAPGLADCWGDEFQNLYHKYEREGKSKKVVSAQALWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPSETAVCNLASIALPRFVREKGVPIESHPAKLVGSSGSKNRYFDFDKLAEITSTVTYNLNKIIDISYYPIESARRSNMRHRPIGIGIQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKASADLAAKEGPYETYAGSPVSKGILQPDMWNVVPSDRWNWSAIREVISQVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVIVNKHLLHDLTEIGVWSPILKNKIIYEDGSVQKVTEVPDDLKAVYKTVWEIKQKTIVDMAADRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQQTSEEEDVQAKMAQMVCSLNNRDECLACGS >PVH67155 pep chromosome:PHallii_v3.1:1:58456343:58456650:-1 gene:PAHAL_1G438900 transcript:PVH67155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIIQALFCGGHWPGGSLHLHAVCRFLLMSRFAEKGPKRGQLAPSEIQHGTMFLQGRNEISTLLGSRR >PAN07327 pep chromosome:PHallii_v3.1:1:50960113:50964182:1 gene:PAHAL_1G326900 transcript:PAN07327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSAGRFDEAARHFSDAIALAPGNHVLYSNRSAALASLHRYSDALADAQKTVELKPDWAKGYSRLGAAHLGLGDAASAVGAYEKGLALDPSNEGLKAGLEDAKKAAAAAPRRGPSGPDAIGQMFQGPELWSKIAADPTTRAYLDQPDFMQMLREVQRNPGSLNMYLSDPRMMQVLSLMLNIKIQRPEASEPSQSTPPPPPQQQQTPPPETKAREVEPEPEPEPMDLTDEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKTSKDFDIAIETFQKALTEHRNPDTLKKLNEAEKAKKELEQQEYYDPKLADEEREKGNEFFKQQKYPEAIKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCLELDPTFTKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNQELLDGVRRCIEQINKANRGEISQDELQERQNKAMQDPEIQNILTDPIMRQVLIDFQENPRAAQEHLKNPGVMQKIQKLVSAGIVQMR >PVH66689 pep chromosome:PHallii_v3.1:1:50380894:50381363:1 gene:PAHAL_1G316900 transcript:PVH66689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN07296 pep chromosome:PHallii_v3.1:1:50807601:50810383:-1 gene:PAHAL_1G324500 transcript:PAN07296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAEWWEAAAAAIVAYTGMTPAAFFTAVAAVAALYVVVSGVLVRPAQVSTRRRVAEDEGEDRTFGPLPPPVQLGVVTEEELRAYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGRDASRALAKMSFEPSDLTSDISGLGPFEAEALQEWEYKFKSKYVTVGTIKKTVPVAEGDSARSTVTTERDIDASILESNHVPEPKETRATNQGSVLEKTTETPDVDVNTSSYGEKAKELPDSDATNASSQADAVEKPDETPDVTVKNSSTEEAFEPKETPEAAVKNRSSTEEAVEPKETPQVVDGKNSCKPEDATEKSNEAADAVGLKNRTSHEDAGQPKEMRNIDDKYASSSQDGEEKPKETSDAEAKNA >PVH66813 pep chromosome:PHallii_v3.1:1:52788621:52788914:1 gene:PAHAL_1G355200 transcript:PVH66813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRNTTATSNNLLHHQRTVHIFTQLRAQRFRSVILCHKTRTRSRQQIQILNIWVLFLFLCHAAVLHRIFLRPDTEFLKSTCALGTETVPQGLDVF >PAN08155 pep chromosome:PHallii_v3.1:1:55284349:55285954:1 gene:PAHAL_1G392100 transcript:PAN08155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTSMNNLSLLSPTTSGFPSSPRPSKPVSNATALRCRAALSGDTALRSFRAHHLAGRALDANPALLPALAACARLPAAAAEAEQIHALLVKCGVPRAVPDVHACTSLVRAYARLGRVWDARKVFDGMPDRTVVTWNVLLDALVRADDLDAMWEVFVEMPQRNIVSWNTIITGFARLGWAQEAVDLFVEMSVVYGLAPDEVTMVGFISAVRDIGLLGLGRSAHGYVLRRELSLDGALGVALINMYTRCGSMGAAHSCFSSVNNKNVEHWTSVIAGFAAHGSPEMALSLFGEMRQLGIEPNGVTFVAVLNACSHGGLVDEGFKYFSLMRSMDIKPTIQHYGCLVDLLGRAGLLEEAFHLASNLPEDPGFVIWSSLLAACRSHGNVEMAELVASRLADTKPSHGSSYVLLSNTYARAKQWEDLKKTRMRMEEHGVTKKPGLSWIEVDGSVHSFATADKWHTECESIYQMLEDLKHNLTSAPYEPEPLALCEQL >PVH66809 pep chromosome:PHallii_v3.1:1:52708045:52710085:1 gene:PAHAL_1G354200 transcript:PVH66809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGPPVSPPLLSLSSSLLSSPLLSPLSYPSPLTLRRKPPSPLSSASPACSAGRAGPRWSCSSLARRCWPAAELELAWPAVLARGGGRARRGGGGRRGVAVGVGGPYGAQRGGGPAALGLGVGLGHGSAGGSGDRLAGPGGLACRGLERWARELERRGGGRRPARVRGEG >PVH66318 pep chromosome:PHallii_v3.1:1:33089503:33090032:-1 gene:PAHAL_1G205600 transcript:PVH66318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGELEGGAQWSGQLRLGRRRPHRGGRRARAERRTGNALCVRARLEPQRLRQGPATAYACAWSGPAPRACTALAPAARGPPSRTERPLLRAPAAHPAWARLPRAVRRASARLAAQRCRPAPAPHLRARSAPAAAAHAAPPLPALAPTAA >PAN04474 pep chromosome:PHallii_v3.1:1:4782351:4783372:-1 gene:PAHAL_1G070100 transcript:PAN04474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRLSCFHRGSVSRKVHQQPDSPAPARVIAADGSLKELPASSPLAAVSDVLGLDGDTPVASSFVCNADALYFNEHPPALAPGDLLRLGQMYFVLPADLLGRPLSTDDMAALAVRASAALASSSGKRQQRRGGNSKKAVRVMPVQEDAENDVDLFNETLNELTLGQAGPLLISPVAKKGDEKLAAAVERSRLKCALSIIREGSE >PAN06862 pep chromosome:PHallii_v3.1:1:48790806:48794329:1 gene:PAHAL_1G293400 transcript:PAN06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMVRRPLSAAVPAGNLLGIHLFQCPDTVGIVAKLSECIASHGGNIHSVDVFVPDDKRVFYSRSEFTYNPRLWPRDELHKDFLNLSHCFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRPEDNHVRRFLERHGIPYHYLPTTSGNKREQEILELIEGTDFVVLARYMQILSERFLKSYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTTELDAGPIIEQMVERVSHRDTLRSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >PAN06861 pep chromosome:PHallii_v3.1:1:48790806:48794329:1 gene:PAHAL_1G293400 transcript:PAN06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMVRRPLSAAVPAGNLLGIHLFQCPDTVGIVAKLSECIASHGGNIHSVDVFVPDDKRVFYSRSEFTYNPRLWPRDELHKDFLNLSHCFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRPEDNHVRRFLERHGIPYHYLPTTSGNKREQEILELIEGTDFVVLARYMQILSERFLKSYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTTELDAGPIIEQMVERVSHRDTLRSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >PAN06863 pep chromosome:PHallii_v3.1:1:48790806:48794329:1 gene:PAHAL_1G293400 transcript:PAN06863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMVRRPLSAAVPAGNLLGIHLFQCPDTVGIVAKLSECIASHGGNIHSVDVFVPDDKRVFYSRSEFTYNPRLWPRDELHKDFLNLSHCFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRPEDNHVRRFLERHGIPYHYLPTTSGNKREQEILELIEGTDFVVLARYMQILSERFLKSYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTTELDAGPIIEQMVERVSHRDTLRSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >PVH66598 pep chromosome:PHallii_v3.1:1:48790806:48794329:1 gene:PAHAL_1G293400 transcript:PVH66598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMVRRPLSAAVPAGNLLGIHLFQCPDTVGIVAKLSECIASHGGNIHSVDVFVPDDKRVFYSRSEFTYNPRLWPRDELHKDFLNLSHCFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRPEDNHVRRFLERHGIPYHYLPTTSGNKREQEILELIEGTDFVVLARYMQILSERFLKSYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTTELDAGPIIEQMVERVSHRDTLRSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >PVH67091 pep chromosome:PHallii_v3.1:1:57723193:57723375:-1 gene:PAHAL_1G426300 transcript:PVH67091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPFCWESYALNIHSTVWDMLVFISSFSNLHRISSKFYCFRTLVLSKVFLLCHIDIVIC >PAN08307 pep chromosome:PHallii_v3.1:1:55841269:55847002:-1 gene:PAHAL_1G401700 transcript:PAN08307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLYRGVSGIGGKPAAAAEEGAYYDPKEPGENGIGGAFGRGGARKRHLAAAAVRIGVLVLAAAALVGSVLWAGSLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGGVVISYERQCTRDGRVTCLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEASGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWDKNDGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENKKKWTAIRDSAEVLCWEMLSQQDETIVWKKTNKRDCYTSRKSGPELCGHDPESPYYQPLNPCISGTRSQRWIPIEHRTTWPSQARQNSTELDIHGVHSDVFADDASSWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKSHMHRCSTLDIFLEVDRILRPEGWVIIRDTAPRIEAARSVVAQLRWDARILDLDIASDEKLLVCQKPFLRK >PVH65555 pep chromosome:PHallii_v3.1:1:1688325:1691061:-1 gene:PAHAL_1G023700 transcript:PVH65555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTFQNLPRLRRASLYLRRFHSSAPPPLPPRLRHDGDIVRWNSAITAYLRAGRVGEARRVFDEMPERNVFTWNCMISGLVRNRMLADACGVFDAMPFRNSVSWAALLTGYARCGRVAEARELFDRMPDRNVVSWNAMISGYVRNGMVDWARELFDAMPTRNDVSWLTMISGYMKRKRVREARELFDCVPSPSTSVCNALLSGYAEHGHLKDAEELFGRMQRRNLVSWNVMITGYTQARMMEVAQSLFDEMPEKDTVSWTAIVRGYLKNGNVDAAWRLFQDMPDRDILAWNTMMGGFVMSERLDDALRLFADMPDRDLVSWNTILQGYVQQGDMDSANTWFRRMPEKDETSWNTLISGYKDEGALSLLLEMTRGGYKPDQATWSMVISICASLVALGYGRMVHVCAIKTGFEHDALVMSSLISMYSKCGLIIEASQVFEMIMQRDTVTWNAMIATYAYHGLAAEALKLFDRMTKDGFSPDHSTFLSVLSACAHKGYLYEGCRYFRSMKQDWNLIPRSDHYSCMVDLLGRSGFVHQAYDFTRKIPSNLQINAWETLFSACNAHGNIQLGELVAKNVLQFRPTDGGMYTLLSNIYAAKEMWSSAASVRGLMKERGLKKETGCSWIELKGDVVSFSSNDNAHPLIEQICQEVDNLSVLIEEAS >PVH65755 pep chromosome:PHallii_v3.1:1:4839500:4844305:1 gene:PAHAL_1G071100 transcript:PVH65755 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MRPYLVLGAQRVSPIHTHQTLLSNPTQFDHASAAPSPLPPPMATTTTTGTVTAPAASANFVATPAAPHPRPGVPPAPRAPSTLGLVKSEASPAPSPTTSAATPAAAASGPEDPSYIITIPSYSAWFSFDSIHDTERRLLPEFFEGEAAAASGCRGPGAYKYYRDTLIRRFRARPGRRLTLTESRRGLVGDVGSVRRVFDFLEEWGLINYGALPSGSKQAKEKREEAAPQSTLPSGATAPRKLCTGCRTVCGLAYFACEKADISLCARCFVRNNYRPGLTAANFKKVEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSEHVGSRSEKDCIARFIRLPFGEQFMGPKEDRMGFENDDDITDEPGADVSKRLRLTPLADASNPIMAQVAFLSAIVGSDAASAAAQAAISAQSRVDLNDGEIDSSISSTREEANDLLKEAAANARAQLEKERNGIEQSLSDIVDVQMKEIQDKICRFEHKEMLMEKERQQLRCLRDLLFADQLAIMQHQRKPQAVAAESKYDEKPKPVINIS >PVH65756 pep chromosome:PHallii_v3.1:1:4839500:4844305:1 gene:PAHAL_1G071100 transcript:PVH65756 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MRPYLVLGAQRVSPIHTHQTLLSNPTQFDHASAAPSPLPPPMATTTTTGTVTAPAASANFVATPAAPHPRPGVPPAPRAPSTLGLVKSEASPAPSPTTSAATPAAAASGPEDPSYIITIPSYSAWFSFDSIHDTERRLLPEFFEGEAAAASGCRGPGAYKYYRDTLIRRFRARPGRRLTLTESRRGLVGDVGSVRRVFDFLEEWGLINYGALPSGSKQAKEKREEAAPQSTLPSGATAPRKLCTGCRTVCGLAYFACEKADISLCARCFVRNNYRPGLTAANFKKVEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSEHVGSRSEKDCIARFIRLPFGEQFMGPKEDRMGFENDDDITDEPGADVSKRLRLTPLADASNPIMAQVAFLSAIVGSDAASAAAQAAISAQSRVDLNDGEIDSSISSTREEESSHTNGLSANDLLKEAAANARAQLEKERNGIEQSLSDIVDVQMKEIQDKICRFEHKEMLMEKERQQLRCLRDLLFADQLAIMQHQRKPQAVAAESKYDEKPKPVINIS >PAN04284 pep chromosome:PHallii_v3.1:1:3740465:3745161:1 gene:PAHAL_1G055300 transcript:PAN04284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVWQRSSKDMTAMPPLRHRGAAKKPMWIIVLLSLVCVALMGAYVYPPRRYSACYFFASSVCTPFKDWLPNVAREKTDEEIISSVVIRDLLSMPMPVSKNPKIAFMFLTPGSLPFEKLWEKFLQGHDGRYSIYIHASREKPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNYLMGTNVSFIDSFLDPGPHGTGRYSTEMLPVIEQRDFRKGAQWFAITRRHALLILADNIYYNKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVSYELLKNITSVNENFHITSDDKKVATLTPCMWNGAKRPCYLFARKFYPEALNNLLKLFSSHTAA >PAN05341 pep chromosome:PHallii_v3.1:1:22744581:22748695:1 gene:PAHAL_1G170200 transcript:PAN05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAERVSFLSEHIADTAGPTAGGILNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQADVSIGLLMLGVLCHILPLLSKYTNCTGDSISSSGSVLELSRLSAIVMLTAYFGGLIFQLKTHRQIFEREDNSESTNNDDDGSSVIGFASAVIWLIGMTVVIAVLSNYIVTTIEEASESLGIPLRFISIILLPIVGNAAEHAGAIIFAFKNKIDITLGIALGSATQISLLVVPIILIVSWVNGIPMDLDLNLLETGSLVMTVFTTAFMLQDDKWHYLKGFNLTLCYLVIAVCFFTIKALPTPKKVHA >PAN05338 pep chromosome:PHallii_v3.1:1:22740905:22748695:1 gene:PAHAL_1G170200 transcript:PAN05338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQSGDGGAAAGDLLETGLLAAAAAGAFKEEAGRATSTSGRVADDERVAGATTASSRVRRGARLAAAQLQEVFLGTRLFPLFSAVPLAVAAQLLRLGRAWVFVFSLIGMAPLAERVSFLSEHIADTAGPTAGGILNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQADVSIGLLMLGVLCHILPLLSKYTNCTGDSISSSGSVLELSRLSAIVMLTAYFGGLIFQLKTHRQIFEREDNSESTNNDDDGSSVIGFASAVIWLIGMTVVIAVLSNYIVTTIEEASESLGIPLRFISIILLPIVGNAAEHAGAIIFAFKNKIDITLGIALGSATQISLLVVPIILIVSWVNGIPMDLDLNLLETGSLVMTVFTTAFMLQDDKWHYLKGFNLTLCYLVIAVCFFTIKALPTPKKVHA >PAN05342 pep chromosome:PHallii_v3.1:1:22743416:22748695:1 gene:PAHAL_1G170200 transcript:PAN05342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAERVSFLSEHIADTAGPTAGGILNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQADVSIGLLMLGVLCHILPLLSKYTNCTGDSISSSGSVLELSRLSAIVMLTAYFGGLIFQLKTHRQIFEREDNSESTNNDDDGSSVIGFASAVIWLIGMTVVIAVLSNYIVTTIEEASESLGIPLRFISIILLPIVGNAAEHAGAIIFAFKNKIDITLGIALGSATQISLLVVPIILIVSWVNGIPMDLDLNLLETGSLVMTVFTTAFMLQDDKWHYLKGFNLTLCYLVIAVCFFTIKALPTPKKVHA >PAN09008 pep chromosome:PHallii_v3.1:1:59293382:59297474:1 gene:PAHAL_1G451700 transcript:PAN09008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWTGHLNLPEQPSGSSAPKTEPPAVKDPEPNPAGGAPAGQHADETNESGGGEPREGAVVPAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLDEEEEGPAPPMPGGADPLLGGGHGIADPSALPMFNLPPSNGQLGGGDGFPWAHPRPPY >PVH67209 pep chromosome:PHallii_v3.1:1:59293382:59296310:1 gene:PAHAL_1G451700 transcript:PVH67209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWTGHLNLPEQPSGSSAPKTEPPAVKDPEPNPAGGAPAGQHADETNESGGGEPREGAVVPAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLDEEEEGPAPPMPGGADPLLGGGHGIADPSALPMFNLPPSNGQLGGGDGFPWAHPRPPY >PAN04949 pep chromosome:PHallii_v3.1:1:7710047:7715750:-1 gene:PAHAL_1G104000 transcript:PAN04949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRIGGRRKAGVEERFTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAAAGGGDLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHNARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRIRQKEIQDEEAKMKRKQSRCSSSRTVTPTKEVEYRDICSTSFSVPSYQCSDQGTECCSSEPSCSNQTSMRPFHSRHNRDDNVDMNLEDMMVMEAIWRSIQEQGHLVNPVCGSYFPVIEPQTRERQAFLPAAPMEMPHPGGYSCAVAALAEHHAPSMDFSYMAGSSTFPVYDMIRRPCNISGGSMCAVENSSLDTWSGIAPSCSREVVREEGECSTDHWSEGAEAGTSYAGSDIMADAGTMQPLPFAENFAMAPSHFRPDSIEEQMMFSMAVSLTDAHHGRAHAQGMAWL >PAN04948 pep chromosome:PHallii_v3.1:1:7710543:7715341:-1 gene:PAHAL_1G104000 transcript:PAN04948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRIGGRRKAGVEERFTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAAAGGGDLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHNARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRIRQKEIQDEEAKMKRKQSRCSSSRTVTPTKEVEYRDICSTSFSVPSYQCSDQGTECCSSEPSCSNQTSMRPFHSRHNRDDNVDMNLEDMMVMEAIWRSIQQEQGHLVNPVCGSYFPVIEPQTRERQAFLPAAPMEMPHPGGYSCAVAALAEHHAPSMDFSYMAGSSTFPVYDMIRRPCNISGGSMCAVENSSLDTWSGIAPSCSREVVREEGECSTDHWSEGAEAGTSYAGSDIMADAGTMQPLPFAENFAMAPSHFRPDSIEEQMMFSMAVSLTDAHHGRAHAQGMAWL >PVH66660 pep chromosome:PHallii_v3.1:1:49799462:49806760:1 gene:PAHAL_1G308500 transcript:PVH66660 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MRPVKWKTKVFGYAPAKNDFLFERRLQSFIYDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTGASLGYSNPFMQSIQQYEHLREASLTCSDKQLQTCIVHGVGFHNAGLCSKDRNLVEGLFLKGDLQVLCATNTLAHGVNLPAHTVVIKSTQFFNKEKGQYAEYDRSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTVPDISFAIEWIKCSYLYIRIKKNPENYGIKRGTPRDLLEKQIKDICVEKIHELVEYGLIWTDEYAFLLQPLEPGKLMAKFYLKFDTMKLIVKASACCSLEDLLHIICHSAELTWIQLRRNEKKILNDINTDKDGRILFHIVMGNGKRKKRIQTREEKLFLLANDCLTGDPLIHDLSLNQETNSICSNGCRIARCMREYFIYKKCYRSAINSMILANSLHQKLWESSPFLLKQLPGIGIVTAKALKSAGIYDFETLATADARKIESSTGRNYPFGNHIKESLSSLPPKIDIHIEDAGNRLGKSTITVTLTRLSQAVRSNKRNFADMVVGSEEDNVILFHEKIRTQEFHSPYSVKVFVPCPQNARVTLKADLIFEEYVGLDVHKKHVISREDGLHETKERGIDKPVPAYNLPAEICLVSSRTAQTSRSQSHTEQSPLSKEVYVIEEDAAVSALEKADNVVGTRKFNNLASLEVPSFDLLPEEEYGDMQAAWTPEPAEAECKSATSNTIFDHIRKKSKDFPTLMLSKSMDSSYEPLILKKMKTSRDQFGAEQSSLHVGDVTPVDSGPAEPGVSPTNTAEKCRRILNRTSEKSCMLFAGKRDSPLEKSKLLIKAPLENSLQLAAKRDSPSEKSKMPTTLDECSLVLAGGKDSPLEKSSILIRTPVENPFKKGTPVENSPQFTAKRDSPAEKRKFCFSSPPLPCFQAAKLTKQVQAAGQLFNIEEYVKDILEKRKGSESGDSFLNCRSVFSFL >PVH66659 pep chromosome:PHallii_v3.1:1:49799462:49806760:1 gene:PAHAL_1G308500 transcript:PVH66659 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTVPDISFAIEWIKCSYLYIRIKKNPENYGIKRGTPRDLLEKQIKDICVEKIHELVEYGLIWTDEYAFLLQPLEPGKLMAKFYLKFDTMKLIVKASACCSLEDLLHIICHSAELTWIQLRRNEKKILNDINTDKDGRILFHIVMGNGKRKKRIQTREEKLFLLANDCLTGDPLIHDLSLNQETNSICSNGCRIARCMREYFIYKKCYRSAINSMILANSLHQKLWESSPFLLKQLPGIGIVTAKALKSAGIYDFETLATADARKIESSTGRNYPFGNHIKESLSSLPPKIDIHIEDAGNRLGKSTITVTLTRLSQAVRSNKRNFADMVVGSEEDNVILFHEKIRTQEFHSPYSVKVFVPCPQNARVTLKADLIFEEYVGLDVHKKHVISREDGLHETKERGIDKPVPAYNLPAEICLVSSRTAQTSRSQSHTEQSPLSKEVYVIEEDAAVSALEKADNVVGTRKFNNLASLEVPSFDLLPEEEYGDMQAAWTPEPAEAECKSATSNTIFDHIRKKSKDFPTLMLSKSMDSSYEPLILKKMKTSRDQFGAEQSSLHVGDVTPVDSGPAEPGVSPTNTAEKCRRILNRTSEKSCMLFAGKRDSPLEKSKLLIKAPLENSLQLAAKRDSPSEKSKMPTTLDECSLVLAGGKDSPLEKSSILIRTPVENPFKKGTPVENSPQFTAKRDSPAEKRKFCFSSPPLPCFQAAKLTKQVQAAGQLFNIEEYVKDILEKRKGSESGDSFLNCRSVFSFL >PAN07119 pep chromosome:PHallii_v3.1:1:49987132:49993160:1 gene:PAHAL_1G311900 transcript:PAN07119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIGGKFKLGKKIGSGSFGELYLGVNIQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNFCSRRFSLKTVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSIEALCKSYPSEFTTYFHYCRSLRFEDKPDYSYLKKIFRDLFIREGYQHDYVFDWTVARQAADNNRLRLSGRGGLVGPSADRAERAAARQDVPDRFPGPVDAFGRRTGSGSGHYGEHTKHRSLLDTLLAPKTTVDSDRRRPSSSRNGSTSRKALLSSSRGSGDPSDPNRSSHLVPTSSGSSRPSTNLRLHQSTGLEGRTSSLSKPGRVVHDDPTMRNFERLTISADRRK >PAN05161 pep chromosome:PHallii_v3.1:1:9303684:9306127:1 gene:PAHAL_1G118700 transcript:PAN05161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAAGGSKRGGGRGRKALVAVLDNEANISAGKAAKAGDLSASSAQKAKRASSKSGKAAKAAAAAAASAVVDDVAELQGMLERLRLEKEKAEEMVRERDEVIRKKEEEIETKEKEQERLQAELRKVQRAKEFKPTVSFPLVKSLLEKEQDGDDKGKKKKGKGKAGLERKKPCPAYVLWMKDQWVEIKKENPEADFKEVSNTLGAKWKALGAEEKQPYEERYRLEKEAYLQVVGQEKREAEAMKLLEEQQMQWTAKELLEQYLKFRQEAEEGDGKKGQRKNRKKDKDPSKPKQPMSAYFVYSQERRAALVEEKKNVPEIGKITGEEWKNMTEAQKSPYEEVAKKQKEEYHRQMEVYKQKKIEEAASLEKEEEEQKKIMKQEALQLLKKKEKADNIIKKTKEKRQKKKQENADPNRPRKPASSFILFSKEARKQLLEERPGINNSTLNALISVKWKELSGEERQMWNDKAAPAMAAYKKEMEEYTKAHSSSA >PAN06165 pep chromosome:PHallii_v3.1:1:44389980:44391027:1 gene:PAHAL_1G243000 transcript:PAN06165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHDEHAAAAPPPPAPEPPEPEREPTWTRRDDKLLELLLVTSSIVAIHYGSDIIGKTLAQMERRSRFMFTELRHVLEALDVETPPEWDMEIAATAAAPAAEEEAPEAAVPSAPPVAVAEDSALPTVVGGGGGTSEGRQVRRKKKKAVKWTPDEHRYFLAGLDKYKGNWKAMAMEYLPSRSASQIASHYQKYQNREKQRERDECRRASIHDITEPASAAALAVAGGGAATARRKDDGDLPTRAEASARGQEGEPREPVQSGEPTRGDGPGTGEEFPGPNDPGTLLT >PAN04440 pep chromosome:PHallii_v3.1:1:4594525:4597455:1 gene:PAHAL_1G067400 transcript:PAN04440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRSGAEAAVLLLLLLLTTSSVGAARASSPRVPAVIVFGDSTVDTGNNNQIPTPLRADFPPYGRDMPGGPRATGRFGNGRLPPDLISEALGLPPLVPAYLDPAYGIDDFARGVCFASAGTGIDDATAGVLSVIPLWKEVEYYEEYQQRLRARLGPRRAAAVVRGALHVVSIGTNDFLENYFLLATGRFAEFTVPEYEDLLVDGARAFLARIHRLGARRVTFAGLAAIGCLPLERTANALRGGECVEEFNEVARSYNAKVRDMVRGLRGELPELKLAYISVYESFLNLIADPAKYGLENVEEGCCATGKFEMGLMCNDDAPLTCDDADKFLFWDAFHPTEKVNRLMANHTLEVCYQEGVL >PVH67000 pep chromosome:PHallii_v3.1:1:56287840:56288874:-1 gene:PAHAL_1G405900 transcript:PVH67000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVTVAARSDGVDQIRSIRCCCMRPLLRMPAITKQKARMCARRGQERRQTDRSRRHAARGMFHGPEFPDASDLQGLLPCPLRPWIRAAENGGQDVPSFYFCSGEEEDRDRRSTRFC >PAN08550 pep chromosome:PHallii_v3.1:1:57227864:57237695:1 gene:PAHAL_1G419800 transcript:PAN08550 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MDAASCCRVFSTQRCRFPLRRLAAPPWSQPFCTESSGGLSAASISKRRSRGPVMAAKKAEQGAKQEDGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSERNSGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNHKVSFVPGWDCHGLPIELKVLKSMDKETLGALTPIKLRQKAAKFAKATVDAQMKSFKRYGVWADWSNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHVSRSIYAAFKITNPSKSGMLDEFLPNVSLVIWTTTPWTIPANAAVAVNPELAYAVAEVQSVLLSESTSGGKKKKVGSVLSSEKGKLFVIVASDLVTTLESKWGVKLVVQKSFPGSALEHCRYVHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIISPVDDEGNFTAEAGQFSGLSVLGAGNAAVVKYLDEQYSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRQVAWVPSQAENRIVAMTSGRSDWCISRQRTWGVPIPVFYHVDSQEPLITEETIEHIKAIVSKKGSDAWWYMTTEELLPDKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLKFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSIGNVVDPVKLIDGGKNQREEPAYGADVLRLWVSSVDYTGDVLIGPQILRQMSDMYRKLRGTMRFLLSNLHDWKLDNSVPYSDLPKIDKYALFQLETVVASMKDSYENYQFFKVYQMLQRFAIVGLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLTAHLLYLVRVIAPIMPHLAEDVWQNLPFQYTLQDGSVAKFVFDLKWPEKNEEWLSVPKDDIDFLGIILELRSEVNKILENARTGKLIGSSLDAKVYIHAESSDTALKLKELSSASNDADALHRLFITSQVEVLTTLNEETTSSVSYTGKFSDPRTGDIWIGVTRADGAKCERCWNYTRDVGSFHDHPTLCARCYGVIDLQPQPAAAAVS >PVH67063 pep chromosome:PHallii_v3.1:1:57228940:57237711:1 gene:PAHAL_1G419800 transcript:PVH67063 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MGHALNKILKDIINRYKLLQNHKVSFVPGWDCHGLPIELKVLKSMDKETLGALTPIKLRQKAAKFAKATVDAQMKSFKRYGVWADWSNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHVSRSIYAAFKITNPSKSGMLDEFLPNVSLVIWTTTPWTIPANAAVAVNPELAYAVAEVQSVLLSESTSGGKKKKVGSVLSSEKGKLFVIVASDLVTTLESKWGVKLVVQKSFPGSALEHCRYVHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIISPVDDEGNFTAEAGQFSGLSVLGAGNAAVVKYLDEQYSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRQVAWVPSQAENRIVAMTSGRSDWCISRQRTWGVPIPVFYHVDSQEPLITEETIEHIKAIVSKKGSDAWWYMTTEELLPDKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLKFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSIGNVVDPVKLIDGGKNQREEPAYGADVLRLWVSSVDYTGDVLIGPQILRQMSDMYRKLRGTMRFLLSNLHDWKLDNSVPYSDLPKIDKYALFQLETVVASMKDSYENYQFFKVYQMLQRFAIVGLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLTAHLLYLVRVIAPIMPHLAEDVWQNLPFQYTLQDGSVAKFVFDLKWPEKNEEWLSVPKDDIDFLGIILELRSEVNKILENARTGKLIGSSLDAKVYIHAESSDTALKLKELSSASNDADALHRLFITSQVEVLTTLNEETTSSVSYTGKFSDPRTGDIWIGVTRADGAKCERCWNYTRDVGSFHDHPTLCARCYGVIDLQPQPAAAAVS >PVH66561 pep chromosome:PHallii_v3.1:1:47938558:47941685:1 gene:PAHAL_1G280900 transcript:PVH66561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSGGGLLDLEGHYAFYGAYHSNPVNVGIHELFVWPIFLTALLLLHLTAPFAHAAGIGAAVYGAYYFLLDRRAGALAAVLCFLCWAGSGALAARLGFSVGWKVVLVAQLFCWTMQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEILHTFGGYEPYPGFHDKVSKMIEEARKEWEDKNSKKSS >PVH65760 pep chromosome:PHallii_v3.1:1:4958630:4962092:1 gene:PAHAL_1G072300 transcript:PVH65760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETAAAAVAAAALTPGGTWEKKPAAAAAYQSWALLDPTILEEDPAADSTGFAVCTASDGREVRVSLRLAEPPSSSYLQLRTDAEVDVKPTLLAADASPPVSGPCCPGPAHWHRLPRQGLRRGGGLYKFVWGSDDKLALDGLMFWVDYHRGLIYCDVFDDSPVLQFIQLPGIDIWDQDHDYTQGRQLPRAYRTVSKTSGFKITTWSLKWTDLKWVKDSVLQVDDLWSLPNLRYSPLPRWVPEYPVVSKQDSNIIHFILRGPQSDAKAWIITLDMRNAVLKSYRLFTNEQNLVFEDDDVHTKNIFCDTPFISSYKEQADYLQTAK >PVH66213 pep chromosome:PHallii_v3.1:1:25511859:25515851:-1 gene:PAHAL_1G179300 transcript:PVH66213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSEDGDILALLSEPSLTEEQLEASESDDILPAILGAIKSNAKAVEPSPEEAAWADSCFVQTSELSEDDWGAMRNALLDALEKPMDSPFDASEAVHDKSIHAISEERPHSLNAEKVPRHDDVHMEQMNNIDDDKDSSQACEVADVIRGVDEHGKQMDGYTVKPEDDNELASPEGLEQTESTDSIFKVWDLELSFSDDDDGELELIKDLKKLLKENGSPPETVYPTSPPDDVAKPLDQINIDELVAGLSDLSIEQTNK >PAN06020 pep chromosome:PHallii_v3.1:1:25511872:25515851:-1 gene:PAHAL_1G179300 transcript:PAN06020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSEDGDILALLSEPSLTEEQLEASESDDILPAILGAIKSNAKAVEPSPEEAAWADSCFVQTSELSEDDWGAMRNALLDALEKPMDSPFDASEAVHDKSIHAISEERPHSLNAEKVPRHDDVHMEQMNNIDDDKDSSQACEVADVIRGVDEHGKQMDGYTVKPEDDNELASPEGLEQTESTDSIFKVWDLELSFSDDDDGELELIKDLKKLLKENGSPPETVYPTSPPDDVAKPLDQINIDELVAGLSDLSIEQTNK >PVH66212 pep chromosome:PHallii_v3.1:1:25511845:25516899:-1 gene:PAHAL_1G179300 transcript:PVH66212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSEDGDILALLSEPSLTEEQLEASESDDILPAILGAIKSNAKAVEPSPEEAAWADSCFVQTSELSEDDWGAMRNALLDALEKPMDSPFDASEAVHDKSIHAISEERPHSLNAEKVPRHDDVHMEQMNNIDDDKDSSQACEVADVIRGVDEHGKQMDGYTVKPEDDNELASPEGLEQTESTDSIFKVWDLELSFSDDDDGELELIKDLKKLLKENGSPPETVYPTSPPDDVAKPLDQINIDELVAGLSDLSIEQTNK >PVH65629 pep chromosome:PHallii_v3.1:1:2845657:2847824:-1 gene:PAHAL_1G042200 transcript:PVH65629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKLSGPIPEDLGNCKTLTKVRLNSNLLNGSIPAGLLDLPMNNMLDLSDNLLSGELPEVIPSAGLGFLSVASNRLSGPVPPEIGHLKKLSLLNFSANALTAGVPGELSHCESLTVLDLSRNQLAGEIPAEITNLKVLTMLNLSRNSISGELPLEISKMISLGVLDVSYNNLSGRVLQSQLQGVFAVSDATDFEGNPGLCVERVTAASCSRLQRSRRRDDKTWTMPLWIVPAVSAVAAAMAVYLGLRWREEARRRPAAWKMTRFQNLDLEMDDVLGCLREENIVGRGGAGTVYRCATRSGAEVAVKRLRGPGRRDHGFRAEVATLGGVQHRNIVRLLGFASGAEGSLLLYEYMPAGSLGTVLHGERGALLGWGARLRVATEAARALCYLHHECKPRILHRDVKPSNILLDSAMEAHVADFGLAKFLRRGASGSGAVAAAECVSVVAGTYGYIAPEYAYTLRVDEKTDVYSFGVVLLELVTGRRPLGDFGDEIDLVHWARSAVPRPSDATAVLAVADPRLPPEPAGLIAGLFRVGISCVRESSQARPTMREVVHVLSSFVPPAADPSHSTSKVLGEVHFAGSG >PAN07676 pep chromosome:PHallii_v3.1:1:52670337:52673171:1 gene:PAHAL_1G353600 transcript:PAN07676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQMVKFGRTLSQIGISRVDPKEGWQRKLNEQRGLEVYRKIPKDSEYSEQEETENRLQTAELHSRSQSLSSAEIFYLLSVNALLSSGNVHHTRFQKYKTGNTNCMIVAPVAEWLKAKLLNIMQRGYGSNREDKRLRTAQMHAALSVARLSTVVAGTIGNCSFGSNNLSSIAMTDRREDTDMKMRAAITSAAALVAASCAEAAKSSGASKEQVSSVINMGLETRALGDLLTLTTSAAACLRGVDGLKMRTISNYSFEGHMNSQKDAILLVRTPKGRFHTRMVSVQCKYDNIILTLGKKSRFRTSEKYVIFHEQGEGEEFSYPTGEHNYRAMNLSTSGGTIQLLFEENEQYSSWRTYISYLMKNKRGKGNHFTES >PVH66807 pep chromosome:PHallii_v3.1:1:52671020:52673171:1 gene:PAHAL_1G353600 transcript:PVH66807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVAPVAEWLKAKLLNIMQRGYGSNREDKRLRTAQMHAALSVARLSTVVAGTIGNCSFGSNNLSSIAMTDRREDTDMKMRAAITSAAALVAASCAEAAKSSGASKEQVSSVINMGLETRALGDLLTLTTSAAACLRGVDGLKMRTISNYSFEGHMNSQKDAILLVRTPKGRFHTRMVSVQCKYDNIILTLGKKSRFRTSEKYVIFHEQGEGEEFSYPTGEHNYRAMNLSTSGGTIQLLFEENEQYSSWRTYISYLMKNKRGKGNHFTES >PAN06311 pep chromosome:PHallii_v3.1:1:45897252:45902623:-1 gene:PAHAL_1G254900 transcript:PAN06311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASISLSLLLRLRPPLPPPRRAPRHAAFLLPTPCPLPQRLRVAPGRSPPRPDVRARAGSTIGAPPGLARPGGAVETDRLPSDVRDRAMEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTEGFLEVSEDGEVLYVFPKDYRTKLAGKSFRMRVEPLVDKAKEVGAYLVRVSFGTALIASIVLVYTTIIAILSSSSSDEDSRGRRRRSYGSTIIIPTDMFWYLDADYYRRRRVEKEDGMNFIESVFSFVFGDGDPNDGLEEKRWKMIGQYISSNGGVVTAEELAPFLDVPPPSEESKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKSGGSREYVGTKWSAMFGGVEKYLEEKLWKFSKASASEKAMVAGLGGLNLFGVIILGNLLKQMTVTPGGLISFAAQLYPLLQIYAGSFFAIPLFRWLLLRKTNNDIRKRNKAREQRAQELLSPDSSLRRKLLSARDMAQRKVITPEEIVYTTQKDLLEQDYEVKEWERRFKELESE >PVH66467 pep chromosome:PHallii_v3.1:1:45897164:45902661:-1 gene:PAHAL_1G254900 transcript:PVH66467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRSQHPVRTPVASSTKSDLVATASTHGHLFLVPFHSHSSVLPAECPPPPPKPSPQPTPASAMAAAASISLSLLLRLRPPLPPPRRAPRHAAFLLPTPCPLPQRLRVAPGRSPPRPDVRARAGSTIGAPPGLARPGGAVETDRLPSDVRDRAMEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTEGFLEVSEDGEVLYVFPKDYRTKLAGKSFRMRVEPLVDKAKEVGAYLVRVSFGTALIASIVLVYTTIIAILSSSSDEDSRGRRRRSYGSTIIIPTDMFWYLDADYYRRRRVEKEDGMNFIESVFSFVFGDGDPNDGLEEKRWKMIGQYISSNGGVVTAEELAPFLDVPPPSEESKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKSGGSREYVGTKWSAMFGGVEKYLEEKLWKFSKASASEKAMVAGLGGLNLFGVIILGNLLKQMTVTPGGLISFAAQLYPLLQIYAGSFFAIPLFRWLLLRKTNNDIRKRNKAREQRAQELLSPDSSLRRKLLSARDMAQRKVITPEEIVYTTQKDLLEQDYEVKEWERRFKELESE >PAN09021 pep chromosome:PHallii_v3.1:1:59343312:59347082:1 gene:PAHAL_1G452600 transcript:PAN09021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGEMRPVHNSVDTVNAAAAAIVTAESRAEHPAEPRRKWADRLSVYFCFGSQKNGRRINHAALVPEPASQRTDAPAAEIPNHPPPPVFPFVAPPSSPASFLQSEPTSIVQSPRVGAPPFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNGETGDLQSYPNYPDSPIGRLISPSSGCSGTSSPFPDPEMLASSRYAFPSFPVREPPKILDGEGVATQKLIPRHMRNGGSLLDGHITAAVPVADFSARLQPNDHAMDHRVSFELTVEDVARCLEKKTAISGDSATASFHLAPPTSSGDHKRESNDPRAGLYVDETYHDLPEKARRSLSLRLAKEFNFNNVDVANVEPSVGSDWWANEKVAGITPEPEKSWSFHPVAQPGVS >PAN04064 pep chromosome:PHallii_v3.1:1:2696451:2698607:-1 gene:PAHAL_1G039000 transcript:PAN04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIPHPSTNTTTNRLDMPLLGTTLVILVSLVSLDASCTEQERSSLLQFVSELSQDGGLSTSWGNASDCCKWEGIACNSDGTITDVFLASRSLQGHISPSLGNLTGLLRLNLSNNMLSGGLPLELLSSNSILVLDVSFNQLNGDMEDLARLAPHRPLQVLNISSNLFTGQFTSTTWRGMVNLATLNASNNSFTGKMPTHFCSISPSFAVLELSYNRFSSAVSQGLRNCSMLRVLKAGHNNLSGSLPDELFSATSLEHLSFPDNNLNGVLDGSRIINLRNLATLDLGRNNLIGSIPDSIGQLKRLEELHLDHNSMSGELPSSLGSCTNLITINLRNNNFIGEVAKVNFSTLHNLKTLDLLYNNFVGTVPESIYSCRYLTALRLSGNNLSGQLSPKMGNLKSLTFLSLAMNNFTNITNTLQIVKSSKNLTVLLTGRSFMHETMPDDDAIDGFENLQVLSISGCLMLGEIPHWSSKLRNLEMLFLQDNRLTGTIPDWISSLTFLFCVDISNNNLTGEIPRAITDMPMLKSEKTAAHRDPRVFGLPMILGTSLQYRTANAYPKVLNLGYNYFTGVIPPEIGLLKEILSLNLSFNKFHGDIPQSICNLTNLQVLDLASKHLTGTIPSSLNNLHFLSELNVSFNYLEGPVPTTGQLSTFTNSSFDGNPKLCGPILNRPCESAEVDTVSIVYDKLSGSKVIFTIAFGVFFGVGVLYDQVVLSWYF >PVH65994 pep chromosome:PHallii_v3.1:1:9590351:9591035:1 gene:PAHAL_1G121300 transcript:PVH65994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSSLLSGSNTASAELPLIPCKLCNGVMIEQDGSQCDFFHWQASYAVLLIEDGFVSGDRRLELLMIALNDHGKAIESVTNSIRDMKKKLSNLELVMEELDNVKKSTKAAMIEIEENKKSTAAALKLMENELQKLKGSTKVKPRNMALCFLVLALIGWFVMGQMNWGEEGSSRFMLRG >PAN03542 pep chromosome:PHallii_v3.1:1:371823:373156:1 gene:PAHAL_1G003600 transcript:PAN03542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDLISNKFGTRLTIDEIAGAYHFSLLLSPVVSFWDCIFRKIRYSFRPEWV >PVH67168 pep chromosome:PHallii_v3.1:1:58831639:58831827:-1 gene:PAHAL_1G443600 transcript:PVH67168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRKTRNNMVFSDRLLTSPSVVIHKMLVFLNNWKMLVKAKEMQGVEELIYKLVERVGSVA >PAN04146 pep chromosome:PHallii_v3.1:1:3014074:3017083:1 gene:PAHAL_1G044300 transcript:PAN04146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKLAPEKRHAFLHSGQKVFEWDQTLEEVNMYIELPKGVPTKLFRCTIQAGHVEVGIRGNPPYLNHDVAHPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGLLDPYAADQEQKRLMLQRFQEEPSLWSMNSSSSLLPAGLKQMSRTYLQDAIATVQRPNSALGL >PVH65527 pep chromosome:PHallii_v3.1:1:1287253:1288420:-1 gene:PAHAL_1G016000 transcript:PVH65527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYLTPEATAGGGDGDSHVSRGPSQLSPPTTWRRCPLPCLAVTPSSWEPRTSAAAAAAGLLADQPCSDSSNLGMALVAKWIGSDHSFVRRDMILLLLAGANMPFPFLFFSRTEAHRMRIFILCIYIYMIFFIYSESSHPRNFLKKGLKKLKFEKGVPVGNNSKNGCLPPVDRAGCVGPGTPRPSIGFQKYFQTPPKGLFANRKVS >PAN07454 pep chromosome:PHallii_v3.1:1:51637753:51640756:1 gene:PAHAL_1G337400 transcript:PAN07454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAALFGVERNGAADLVGGGKALFWPEGKAKALVEPRSVLDCTRSPSPNNSTSTLSSSLGGGAADSTGVAAVSDSSAAAAAEATKWGAPGEHGGGGKEDWAGGCELPPIPAGLDMGLVGGDSWDAVLGNAAAAGQDQTFLNWIIGAAGDLDQQGPPLPVHQQQLLDNAGFGFPAADPLGFSLDPHLGGVASDMSSPGPVSHTTNSGGGSKASSAFGLFSTESASLQTPPPPVLFHEGIDIKPPLLGAQPPGPLLHQFQHQPPPATTFFMPLPSFPDHNQQSALLQPPPKRHQSMRDDLYLARNRAAAAAAQGLPFPPLHGPAPFQLQPSPPPPHGAMKTTAAEAAQQQLLDELAAAAKAAEAGNSIGAREILARLNHQLPPLGKPFLRSASYLKEALLLALAEGYHGACRLTSPLDVALKLAAYKSFSDLSPVLQFTNFTATQALLDEIAGSTASCIHVIDFDLGVGGQWASFLQELAHRRGAGGAALPFVKLTAFVSAASHHPLELRLTRDNIAQFAADLGIPFEFNAVSADTINPAELISTTGDEVVAVVLPVGCSARAPPLPAILQLVKQLGPKIVVAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIEKFLIQPRIEDAVLGRGKAEKPMAWRSAFTAAGFAPVPPSNLAEAQADCLLKRVQVRGFHVEKCGVGLTLYWQRGELVTVSAWRC >PVH66776 pep chromosome:PHallii_v3.1:1:52018831:52020932:1 gene:PAHAL_1G344200 transcript:PVH66776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFCPACGLLLQIDPGTGSHRLRLFCPVCPYVCPMQNKIVKKAKVIKKEVEPIFSKADAMKLAPKTGTSCPRCNHGEAYFKQMQIRSADEPMTTFYRCCREECQLEWRDD >PAN04228 pep chromosome:PHallii_v3.1:1:3433899:3437555:1 gene:PAHAL_1G050200 transcript:PAN04228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRCTTAHHSLLGSPTCLAGPRRRARPVVRAAVAVEAGAQAKVSLIRIGTRGSQLALAQANETRDKLKAAHSELAEEGAIEIVIIKTTGDIVLDKPLADIGGKGLFTKEIDEALLNGTIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFISLTANSLGELPAGSIIGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAEDATSLLSVDEMLPAVAQGAIGIACRSNDDKMMEYLSSLNHEDTRLAVACEREFLAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSKVYETTRTGPYSFDDMVAMGKDAGHELKAKAGPGFFDSLQ >PAN07196 pep chromosome:PHallii_v3.1:1:50508484:50511145:-1 gene:PAHAL_1G318200 transcript:PAN07196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPSPAAAAAVDDEDLAATMPADLLTAADCGGVHGHALFFDALVQLIPPRFYLPSGDEDRPWYQGLSKAAKAAMKAQSRANVKAARRARLDPSAPPASTLELLKKSVADQAAEEEDDDDEENSREESTEAGDEASSEDEDDDEIEEEDEDGDEKDEMPIAPAAVVSEDRSVTYEELQERLRRRIAELRGNRCTRPEFLNKPKKEKGKKAKGKNEKRGKGEGKKRKREDGTEDAEGKDGKKAKKAEEKPDIMYANVVVDPKEARRRKKRRIKNKKKELERAKRMQEAKQDPEKANKIAWDTARRRAAGEKVHDDPKLIKERLKKEEKRQQKHAAQWKERQKTVDKQRKEKQKKRTENIRERAHQKKMRKIEKREKKLMRPGFEGRKDGYVNE >PVH65696 pep chromosome:PHallii_v3.1:1:3713449:3715268:1 gene:PAHAL_1G054900 transcript:PVH65696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transporter , Na+ transpor [Source: Projected from Oryza sativa (Os02g0175000)] MKRSRQFICPNNPLFVQVIYFTAISFAGYAVLKILKPHALRDLDLLFTSVSASTVSSMGTVEMEDFSSTQLWVLTILMLIGSEVFTSMLGLHFMKAKFDTKGSVNKTDHSFYVDVESINSENPGSNSNQGTKVICLEDKDHVEPKTIETLGYALMVYLLVTNLGSSLAIYIYLRLVPDAQEVLKRKGIGYVIFSIFTAISSVGNCGFTPVNENMIIFQKNTILLLLIIPQILSGNTLFAPCLRFMMWSLKKITGKEEYHFILQHPEAVGYKHIMNSKECAYLMATVISFIITQTILFCSLEWNSEALQEMNSYQKIVGALFQSVNARHAGESIVDLSSLSSSVLVLYTTMMYLPGYTSLLPKDDEQHSNVGMKDKRRSVCENWILSQLSYLAIVVVLICITEREAMTTDPLNFNVFSITFEVISAYANVGFSLGYSCQRLLNHNVNCKDASYGFVGRWSDKGKVILIIVMVFGRLKALNMKGGRAWKLR >PVH65695 pep chromosome:PHallii_v3.1:1:3712712:3715506:1 gene:PAHAL_1G054900 transcript:PVH65695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transporter , Na+ transpor [Source: Projected from Oryza sativa (Os02g0175000)] MFFYINVRLSAKSPLKAFDLAPDTTQTDVLKILKPHALRDLDLLFTSVSASTVSSMGTVEMEDFSSTQLWVLTILMLIGSEVFTSMLGLHFMKAKFDTKGSVNKTDHSFYVDVESINSENPGSNSNQGTKVICLEDKDHVEPKTIETLGYALMVYLLVTNLGSSLAIYIYLRLVPDAQEVLKRKGIGYVIFSIFTAISSVGNCGFTPVNENMIIFQKNTILLLLIIPQILSGNTLFAPCLRFMMWSLKKITGKEEYHFILQHPEAVGYKHIMNSKECAYLMATVISFIITQTILFCSLEWNSEALQEMNSYQKIVGALFQSVNARHAGESIVDLSSLSSSVLVLYTTMMYLPGYTSLLPKDDEQHSNVGMKDKRRSVCENWILSQLSYLAIVVVLICITEREAMTTDPLNFNVFSITFEVISAYANVGFSLGYSCQRLLNHNVNCKDASYGFVGRWSDKGKVILIIVMVFGRLKALNMKGGRAWKLR >PAN04279 pep chromosome:PHallii_v3.1:1:3711118:3715506:1 gene:PAHAL_1G054900 transcript:PAN04279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transporter , Na+ transpor [Source: Projected from Oryza sativa (Os02g0175000)] MKGTHHPECHSVILFNICMCLYVGMLPHMHLHISSFQCVLSRDETRTIGYGILATTDNDICFPKNPVSLHHKSVLVIRVERQNLVLKILKPHALRDLDLLFTSVSASTVSSMGTVEMEDFSSTQLWVLTILMLIGSEVFTSMLGLHFMKAKFDTKGSVNKTDHSFYVDVESINSENPGSNSNQGTKVICLEDKDHVEPKTIETLGYALMVYLLVTNLGSSLAIYIYLRLVPDAQEVLKRKGIGYVIFSIFTAISSVGNCGFTPVNENMIIFQKNTILLLLIIPQILSGNTLFAPCLRFMMWSLKKITGKEEYHFILQHPEAVGYKHIMNSKECAYLMATVISFIITQTILFCSLEWNSEALQEMNSYQKIVGALFQSVNARHAGESIVDLSSLSSSVLVLYTTMMYLPGYTSLLPKDDEQHSNVGMKDKRRSVCENWILSQLSYLAIVVVLICITEREAMTTDPLNFNVFSITFEVISAYANVGFSLGYSCQRLLNHNVNCKDASYGFVGRWSDKGKVILIIVMVFGRLKALNMKGGRAWKLR >PAN08455 pep chromosome:PHallii_v3.1:1:56751153:56752127:-1 gene:PAHAL_1G414300 transcript:PAN08455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRSAPPPPPPPPASDEETASGSGSEEEEEEEEIAYSPPPSVAPKTTAPPLHKGQESEDSEEDEEEEEEEEEEEEEENANHVAPPPATKNPSPPPPNREESESSGDEEEEETDGEAPQQKHAPSHEAERKGAKPSSGDDKKPGAPFQRTWSTDDEVRILEALAAYRLEHGALPQPDALATVLAGSLDNSGYSPALLQGKVKALKRRYTMAAKKGERPSKDHDRRLFDLSKSIWGPVTAAANGGAPREASEMCELYPYLAEEVKALQKAHPGMFKREFAMIDDDKARALDTKIKRQRLHQLKVLQRGHDLTKEVTRTLIDLVD >PVH65936 pep chromosome:PHallii_v3.1:1:8169851:8170267:-1 gene:PAHAL_1G108500 transcript:PVH65936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKGLYAKCPPFLLRALLLHQERTDRHRLESKGGCVSPPCWPRPRSSHHHLISSRHGLAPLPPTRDLRAGAASSAVSQASTHRPSSRPPCSLAEPTPGSTTAEATQGAPLPPRLLPRSGAAATLRSAALPPLLACAI >PAN03991 pep chromosome:PHallii_v3.1:1:2317733:2322832:1 gene:PAHAL_1G033100 transcript:PAN03991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDAEIARTQEERRKMEEALAAGAPMAVSSVTFDTDLYGGGGADPNRFAGYDTSIPASEDDAAEEDTEAANPAPRRLAAYTGHAIAAADLPRSADDDGLPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPTVRTYADVMRDAALQKKKEDLLREIAKKKKEEEEKEKEKKAAAPEQPVATTKRRNRWDQSQDSDAGAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGVTPGATPSGAWDATPKLPGGVTPTPGKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQIARGPMTPEQYQLMRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQHFDVPKELGGGLPLMKPEDYQYFGTLLNEDEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMFV >PAN03989 pep chromosome:PHallii_v3.1:1:2317711:2322842:1 gene:PAHAL_1G033100 transcript:PAN03989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDAEIARTQEERRKMEEALAAGAPMAVSSVTFDTDLYGGGGADPNRFAGYDTSIPASEDDAAEEDTEAANPAPRRLAAYTGHAIAAADLPRSADDDGLPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPTVRTYADVMRDAALQKKKEDLLREIAKKKKEEEEKEKEKKAAAPEQPVATTKRRNRWDQSQDSDAGAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGVTPGATPSGAWDATPKLPGGVTPTPGKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQIARGPMTPEQYQLMRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQHFDVPKELGGGLPLMKPEDYQYFGTLLNEDEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMFV >PAN03990 pep chromosome:PHallii_v3.1:1:2317733:2322832:1 gene:PAHAL_1G033100 transcript:PAN03990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDAEIARTQEERRKMEEALAAGAPMAVSSVTFDTDLYGGGGADPNRFAGYDTSIPASEDDAAEEDTEAANPAPRRLAAYTGHAIAAADLPRSADDDGLPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPTVRTYADVMRDAALQKKKEDLLREIAKKKKEEEEKEKEKKAAAPEQPVATTKRRNRWDQSQDSDAGAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGVTPGATPSGAWDATPKLPGGVTPTPGKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQIARGPMTPEQYQLMRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQHFDVPKELGGGLPLMKPEDYQYFGTLLNEDEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMFV >PVH66573 pep chromosome:PHallii_v3.1:1:48146904:48160075:1 gene:PAHAL_1G283800 transcript:PVH66573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLAVSPPPRLPLRLPVRPLLAFSLPSPRRLCRSNRGRLRGGPRRLCRLRADSAAGRRAGIVIDVDEVGEIGDRDLPVDVSFARRLPPALTVGDGLGALRRAADELKASPPAGATSGVIRFEVLVPPSTKALNWCSQFRGSSLFPQFYLSRKLTSGPSSQLEICGIGSAICLHGSYPVKNGFDLVLRYISSDSHLIRAYGFVGMKYDKESSSIEEKLGSYYLFIPQVELSEFDSCSILSSTMIWDDSVSHTFEDAVSLFESCFDQIQNSYDPLDSICHKGLVPSYISGDAHLSETGNPQLVYLDTELLATIEAKGIPLTLDKFLSSDQSFVRFSPEFLFCSNMELCLQQNETESFIKHCSNINLAWASLLVEECVRLGFTYFCIAPGSRSSPLALSATCHPLTTCISCYDERSLGFHALGYGRGSRKPAVVITSSGTAVSNLLPSVVEASQDFVPIILLTADRPPELHDAGANQAINQVNHFGTFVRYFFNLPPPSDQIHARMVLTTLDSAAYYAMQVPQGPVHLNCAFREPLDYTDRDWNLDCLRGLDKWFTNSEPYTRYLIMKTVSAFGNYSCSVMELLQIIEKAEQGLLLVGALHTDDDMWAVALLAKHLSWPIATDILSGLRLRKVVNLFPLFDKSILFIDHIDQILLSDSAKNWISPDVIVQIGSRITSKRVGMFLETCSPSSYILIDRHPCRHDPSHVVTHRIQASVVEFAASLCGRTFQRKTSRWTDILMVANSVVAQEIMFQIHSKWSLTEPYVAHVIGESLYGDAIMFVGNSMVVRDLDMFGKGWTNYTSNGNSLMMHHFPEFVGTLVAGNRGASGIDGLLSTAIGFAVGSNKHVFCVVGDISFLHDTNGLALLNQRARRKPMTIIVVNNHGGAIFSLLPIAKNTSPQILKKFFYTMHDISISNLCAAHRVKHLRVQTKAELHDALVKSKAEQIDCVVEVDNSIDSNADFHRIMSEFSAYSTTRYLDYLLGDPCSKSELDAMPVYTIHGAEYMLYRIQLSAPRTSGLSDGRFSHEGFILKLCMDDNIAGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDSELDVIPLLRGSFSNWIWTSLGIPPSSIFPSVKCGLEMAILNLLASQRKCGLSKFLAGSDPLVRDQNSYAGIEICALVDCNGTPMEVALAVAKLVAEGFTTVKLKVGRRESPIEDAAVLHKIREVVGYKINIRVDANQKWTYEQAVEFGSRAKSLQLEYIEEPVSSLNDLIKFCGKSGLPVALDETIDNLKGDVIPKLHQFVHPGIVALVIKPSVVGGFEKAAHIAKWAQMHDKMAVISSAYESSVGLASYIQLAHYVDQQNSVVSRIKNKDTCGVVAHGLGTYQWLREDVSEQKLNIHATPLGDGIRASVEDARGYLHHLNINNNKIERTYNDEKLRSYSVQVDVDDCSYLVKLQEAGDHINEKVVLLLHGFLGTSDDWVPMMKALSPSARVIAVDLPGHGESQVLQHHVENSEKFPITVQSLADLLLKLISQITDGEVVVVGYSMGARIALHMALNQVHSSPRQIRGAVIISGSPGLRDEESRRRRIAIDKSRAKFLMSCGLECFLETWYSARMWTSLREHPKFNSLVRTRNKHKDIKALAKVLADSSVGRQRSLWEDLKHLKRPLLIVAGEKDTKFKGISQRMCSEITQHGERGSDGRDGDELCEMIVIPDAGHAVHVENPLPLVRAVRKFLQKLH >PAN07613 pep chromosome:PHallii_v3.1:1:52400030:52404962:-1 gene:PAHAL_1G349300 transcript:PAN07613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKPLSARRLVPALFPLAHADAASAAAARRERRQDTFVATPPVPAPSPKAVRLAEPLPTLAPSRLALHNRILALLSGPQADLPEAALLTRHALHSNCRPSSFTCAAVLAALLRARRLDDFFALHRFALQAAVPPTAATHVLYLSALAARRLPDDALHHLRLLARPGSPVPPSPTAYRVVVECLVADHGRLADAVLLKDEMLDSGVVGPDPKVYNLLMAGFVGARDGAKAVELYQELTDKVGGKPVLDGIVYGSLMKAYFLMGMEEKAMECYKEVLGLESEVRFGAESYNEVVEALGQHGMLEHALNLFDRMLGEHDPPLRIAVDLRSFRVMVDAYCAAGRFEDAIAVFRRMGEWKLEPDVASYNNLIRHLGLNQLISEAEMLYNEMCECGVGTNEETHVLLMESCFSVDRIDDGISYFDKMDGLELKPDAIAYHKLVGGLVGFSMLDKAQEYFDQMKGKGVSPSISSYETLLKAYVAAGQLDAAAKVAKAILLDEKVVFSDEMRELLEGALRGDGREDDITKLYDDVEREKAEAEARAAEEKARAEALAKEERERRRAEAAAKDEAAAKASAAAIEAILAHKRKMENGVSPAPDANTLDGGFLSKLGLRSAGEGALQGTPQITEGMGDDGQGQL >PAN07611 pep chromosome:PHallii_v3.1:1:52400029:52404963:-1 gene:PAHAL_1G349300 transcript:PAN07611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKPLSARRLVPALFPLAHADAASAAAARRERRQDTFVATPPVPAPSPKAVRLAEPLPTLAPSRLALHNRILALLSGPQADLPEAALLTRHALHSNCRPSSFTCAAVLAALLRARRLDDFFALHRFALQAAVPPTAATHVLYLSALAARRLPDDALHHLRLLARPGSPVPPSPTAYRVVVECLVADHGRLADAVLLKDEMLDSGVVGPDPKVYNLLMAGFVGARDGAKAVELYQELTDKVGGKPVLDGIVYGSLMKAYFLMGMEEKAMECYKEVLGLESEVRFGAESYNEVVEALGQHGMLEHALNLFDRMLGEHDPPLRIAVDLRSFRVMVDAYCAAGRFEDAIAVFRRMGEWKLEPDVASYNNLIRHLGLNQLISEAEMLYNEMCECGVGTNEETHVLLMESCFSVDRIDDGISYFDKMDGLELKPDAIAYHKLVGGLVGFSMLDKAQEYFDQMKGKGVSPSISSYETLLKAYVAAGQLDAAAKVAKAILLDEKVVFSDEMRELLEGALRGDGREDDITKLYDDVEREKAEAEARAAEEKARAEALAKEERERRRAEAAAKDEAAAKASAAAIEAILAHKRKMENGVSPAPDANTLDGGFLSKLGLRSAGEGALQGTPQITEGMGDDGQGQL >PAN07612 pep chromosome:PHallii_v3.1:1:52400029:52404962:-1 gene:PAHAL_1G349300 transcript:PAN07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKPLSARRLVPALFPLAHADAASAAAARRERRQDTFVATPPVPAPSPKAVRLAEPLPTLAPSRLALHNRILALLSGPQADLPEAALLTRHALHSNCRPSSFTCAAVLAALLRARRLDDFFALHRFALQAAVPPTAATHVLYLSALAARRLPDDALHHLRLLARPGSPVPPSPTAYRVVVECLVADHGRLADAVLLKDEMLDSGVVGPDPKVYNLLMAGFVGARDGAKAVELYQELTDKVGGKPVLDGIVYGSLMKAYFLMGMEEKAMECYKEVLGLESEVRFGAESYNEVVEALGQHGMLEHALNLFDRMLGEHDPPLRIAVDLRSFRVMVDAYCAAGRFEDAIAVFRRMGEWKLEPDVASYNNLIRHLGLNQLISEAEMLYNEMCECGVGTNEETHVLLMESCFSVDRIDDGISYFDKMDGLELKPDAIAYHKLVGGLVGFSMLDKAQEYFDQMKGKGVSPSISSYETLLKAYVAAGQLDAAAKVAKAILLDEKVVFSDEMRELLEGALRGDGREDDITKLYDDVEREKAEAEARAAEEKARAEALAKEERERRRAEAAAKDEAAAKASAAAIEAILAHKRKMENGVSPAPDANTLDGGFLSKLGLRSAGEGALQGTPQITEGMGDDGQGQL >PVH66875 pep chromosome:PHallii_v3.1:1:53992888:53994752:1 gene:PAHAL_1G373200 transcript:PVH66875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAQLSRRLLSPTAAAAAHLPKAFPYRRDPFVLLHPGRRFFSASASANPSPDSSSTPSEPNTGAASAPASPNEMRHQEIEGPTVERDTSPLADETRRELDALRRTVQGLSGSLALLGGAHLAAGAWIAYGAPPLGVESAAAVQGVAAFAFPFTVALVLRRAIKPIAFFQKMEANARLQVLTLCLQATKNVNLMLLRTRVMAISCALGVSVASVAAILMR >PAN07047 pep chromosome:PHallii_v3.1:1:49676283:49680291:1 gene:PAHAL_1G307200 transcript:PAN07047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALIDFRQAITGDPRGFFNSWNNSVDYCNWNGVTCSKTHLGRVREVNIIGQSLEGRISPSLGNLTLLKILDLSSNSLSGSIPPELGFLYNLLFLNLASNSFTGVIQSSLGNITQLESLFLYHNQLEGNIPTELGQSDKLIQLSLGENRLSGSIPTTLLNHSSIEILDVNTNFLLMELPSTIGNTLPRLYGLGLNKNMFQGQIPASLGNASNLTFIYLTSNNFTGQVPSSLGNLSRLRYLNLDGNKLETSDSKSWEFLDALGKCRVLQVLTLSDNQLQGAIPNSIGKLSPGLQYLGLEKNNLTGMIPESIGNLKGLNYLYLAQNNLTGPIGSWVGNLKNLGTLNLADNNFSGPIPSSLGSLTGLTGLYLQNNKFDGPVPASLENLHNLLVLNLSCNNLQGPIPKELFSPISTITTCILSYNNLEGPIPPEVRNLPQLNKLDLSSNKLTGEIPVTLGECRELEILKMGYNFLTGNISLLSTLKSLSMLNLSHNNLSGSIPIELGNLSYLTQLDLSYNDLRGVVPRDGVFQNASAVSLVGKSGLCGWTLNSHMRSCPTASRRKVAQYYLIRVLIPVFGFMLLLMLMYFVLTEKRMARAPSLSPLGDQFPIVSYHDLAQATKNFSESNLIGRGSCGSVYRGNLTKHKLEVAVKVLDLDMRGAEKSFLSECQALRNIRHRNLVPIITACSRVDANGNVFKALIYEFMPNGNLDSWLHLKGNGEAIKPLSLNQRTCLAVNIADVLDYLHHESGSTIIHCDVKPSNILLDDDMNARLGDFGIAKLYLDSRSQSTGDSNTTSSIGVKGTIGYIAPEYARGGQATTYGDVYGFGIVLLELLTGKRPTDSLFVNQLNIVSFVERSFPDKILDVIDTPLQDDVKTTQANMVTENGAYQCLLSLLHVALSCTRQLPGERTTMREAASRIRAIKTTYAEGKQKHALK >PAN06710 pep chromosome:PHallii_v3.1:1:48053210:48056290:-1 gene:PAHAL_1G282500 transcript:PAN06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASEELERRSRYLSSLIRRTKLGASPAPAPPPLPEPEPVAAAPNPEPEPEPEPAVGKRGEAKPALAAVEEEKEKREVRGEGGDAKGKEVKGKVVEGALKGEEGGRKVAVRVRAADMPLPLQRRAVRLAYEAIAAMPRLDSKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKAYILLFRTAVEPLGHP >PAN05521 pep chromosome:PHallii_v3.1:1:43261516:43265624:-1 gene:PAHAL_1G235300 transcript:PAN05521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVIGYGVMQLTSSRNEQLEAHLRSTARPDTTMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVPAPAQAAQASQEAAKAASAEEKPKA >PAN05412 pep chromosome:PHallii_v3.1:1:24802997:24815143:-1 gene:PAHAL_1G176800 transcript:PAN05412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTAVEPGPTHRRVAATPAGVTGGEGGGVGGDGAQEVVIDVSSSDTDSDDPGGGGGKRPRLAAGRGRGGDREEKKARILAAASAVPAGFLEPLPQGMLLPAPPPERTVTKQFWKAGDYDGNPHLLAVEAAQHSDSGMEHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVMNGATFVNIDMIENKKDGTRMLLVEDDGGGMNPDKMRHCMSLGYSAKSKVKNTIGQYGNGFKTSTMRLGADVLVFSRSRGTEGTRPTQSIGMLSYTFLRSTNKEDIIVPMIDYEKEHEWERKVRTTWTDWRISLETIIQWSPYSTEAELIQEFGFIGEQGTRIVIYNLWEDDEGELELDFDADANDIQIRGVNRDQKNIQMANHFPNSKHFFTYRHSLRSYASILYLWLPDNFRMILRGIEIEHHNIVNDLMLKKQLTYKPAMANGFPKDTHMAATVTIGFVKDAKHHIDIQGFNVYHKNRLIKPFWRVWTAAGSGGRGIIGVLEVNFVEPAHDKQDFERTNCLARLEARLNRMQKKYWSDHRHRIGYHIDSDSTSSGTRKRGQSSRRFEKGSQKSISLQRIKSADRSCSDTTNKNIDARISSPGMTKPMAPNREFSSRMITHQRAEMSASTIRPERSVLHSLSNTSDGDTEIAITPSRSTSPTLRTPEKSFRKVNTSLLSPSLDGVKRSERIAARYQSKEANVTTNGDGQSSIDTEAVINQLKEENSSLKERILMVQESLSRELQIERDKNKYLIERLEDVERKLDTAKKEQEATIELFAEERNYRDREEENLKKKLRDASSTIQDLTEKLDAAQNCHKR >PAN08723 pep chromosome:PHallii_v3.1:1:58085044:58087536:-1 gene:PAHAL_1G432500 transcript:PAN08723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRRRAPPAKPQSQPDPQQQEPPGADAPLEERLAWDSHQESERRITAIKAIKDAEAGNIRSQLQLLRSYFSKEQLEANALEYFKENLPNLSIVHNEKCDVLELKWNDGDKCILGDFIDDKILQASIASLPTAGGLQFPGDSAGKDFYRRTSSFSDFAWSEIPQGQMAGTSDAFQTPGAVSNRLSFGMTPKTVRLPKNGEMLLSVHGSPLGVYKEENLAAIQESGNGDEDAPC >PAN06455 pep chromosome:PHallii_v3.1:1:46881159:46885096:-1 gene:PAHAL_1G265200 transcript:PAN06455 gene_biotype:protein_coding transcript_biotype:protein_coding description:OGG1 [Source:Projected from Arabidopsis thaliana (AT1G21710) UniProtKB/TrEMBL;Acc:A0A178WC14] MRLPLLPRSPPLAAAVAAMPPRRRRVVRSLPLPPSPPKLSSTPPPAPPLEGPKPGTPDHDDPPHSARRRLLPLAAAAVEAREEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPDADDGRLAFLLHNDGGCPASSSVPAARAALCGYLNAAVPLADLWRQFAAADERFAEVAARLGGGGARVLRQDPVECVFQFLCSSNNNIKRIEKMVWTLAGYGERLGEVGGFVFHRFPTIEQLARVSEQELREAGFGYRAKYIVGTAKELQAKPGGGEKWLASLREKELPEVIAALCTLPGVGPKVAACIALFSLDQNHAIPVDTHVWKVATQYLLPELAGKSLTPKLSVVVADAFVTRFGSYAGWAQNVLFIGQLPAQKVVVAEVTSDDLTTKSPTKRKRGGN >PAN08362 pep chromosome:PHallii_v3.1:1:56360842:56365268:-1 gene:PAHAL_1G407400 transcript:PAN08362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGIARAVSFGGRATAGWCSYRRVTVAVCLGNLVAALLVLRSLTAPASFAPTAPNPGGVVQYTEEQIKRVEESIRIRHQAEPVELVQAVKKLQKVFAREEKRRKKLPLVLKQKISYDIVHRLQDLGDNGSLAQQREVVESWRAEKLKDIKSASTQNQSSLDLSNEETRMLKRALEFNWRMLMEDIGLWIPEEVTHTVHDDKPENEPEEQEIIAGPPLSPQCNAELHTDYGGAAVRWGLTHHKESAADCCQACLDQAKNAKPGELKCNIWVYCPSEFGCYSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDVHPTAPVVVPWMSGVISA >PAN04446 pep chromosome:PHallii_v3.1:1:4624644:4633752:-1 gene:PAHAL_1G067800 transcript:PAN04446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGPPGTAKKAAPALRSFASVFMHADAADAALMVLGLVGAMGDGLSTPVMLFITSRIFNDLGSGPDLLREFSSKINENARNLLFLALANWIMAFLEGYCWARTAERQASRMRERYLRAVLRQDVEYFDLKVGSTSEVITSVSNDSLVVQDVLSEKVPNFVMNCSMFLGSYAVGFALLWHLTLVALPSVLLLIIPGFMYGRILIGLARRIREQYTRPGAIAEQAVSSVRTVYSFVAERTTMAQFSAALEESARLGIKQGFAKGVAIGSNGITFAIWAFNVWYGSRLVMYHGYKGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERVQEVIRRVPKIDSESSAGEELPNVAGEVEFKNVEFCYPSRPETPIFVSFNLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVSLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIKENILFGKEDATEEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVIAHRLSTIRNADMIAVMQYGEVKELGSHDELIANESGLYTSLVRLQQTRDSRETTEVGGTGSTSAAGQSSSHSMSRRFSAASRSSSGRSMGDAENDNSTDKSKLPVPSFRRLLMLNAPEWKQALMGSFSAIVFGGIQPAYAYAMGSMISIYFLTDHNEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRVREQMLAKILTFEIGWFDRDENSSGVICSQLAKDANVVRSLVGDRMALVIQTVSAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIQAQSESSKLAAEAVSNLRTITAFSSQDRILRLFDQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHITAKALFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPEGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVKIDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETATEAEIENAARSANAHDFISNLKDGYNTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSIVVAHRLSTIQNCDQITVLEKGIVVEKGTHASLMAKGPSGTYFGLVSLQQGRNQR >PAN07954 pep chromosome:PHallii_v3.1:1:54141868:54145264:1 gene:PAHAL_1G375700 transcript:PAN07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGWVFSTLLVVFLVFAAPCGAFYLPGSYMHTYRQSEEIGAKVNSLTSIETELPFSYYSLPYCRPKDGIKKSAENLGELLMGDQIDNSPYRFRVNINESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYIPEGTNDVYIINHLKFKVLVHKYEGGKVKVVGTGEGMEVISEMDSDANSGFEIVGFEVVPCSVKRDPEAMSKLKMYDKVDPVNCPVELEKSQLIKEKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGSKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPISSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFIVLTVLNFMLWTRNSTGALPISLFFGLLSLWFCVSVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKKYSWLFILGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGTVALYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFIVSFAIMLATGTVGFLTSFSFVHYLFSSVKID >PAN03574 pep chromosome:PHallii_v3.1:1:576517:583446:-1 gene:PAHAL_1G006000 transcript:PAN03574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRKRGGGRRRLSKDAAAAASSDPAAATKENGDGNLKGEMRESYAEENGVEGVAEIPKTSKRRRKDPVADPSSLVFSGSGRLRKRRNASAVQEQEPKEEKKSDKDSNMCHQCQRNDSGRVVRCQGCVEREKKIRYCVKCIKRWYPHLSEGDFASNCPVCRKNCNCKSCLRGDISRVSSKGKKSKIKERKISRGSRKRKESEINETDEYGVSKEDKIKFSMRTLRFLLPWLKEFHQEQMLEKSVEASIRGIDTCKVEVPLAKCERDERIYCDNCRTSIVDFHRSCNKCSYDLCLSCCRELRQGLNPGGHVSSDKCLPDAGGKEDRQQGSSHRKVANQEPSDGQDDIFIDNAVPSQDRTHCLTRWRVNSNGSIPCPPNEFGGCGSSVLELKCLSEEKFIADLLEKAKSLVNEVTVLESGGSNCSCFTESSGMNNGTSRKSACRENSHDNHIYCPTARDVQNGSLEHFQEHWLKGQPVIVRDTLALTSGLSWEPMVMWRALREKRDKTTDERLSVIALECLTWCEVDFNIHMFFDGYSRGAVGAEDLPVLLKLKDWPQHSSFEERLPRHNAEFMSALPFREYTDPKFGPLNLAVKLPKNVIKPDLGPKTYIAYGVAQELEIGDSVTKLHCDMSDAVNILTHTDEIKLKVKRIEAIEKKKESLKKKEESGNLHGSQTDLESTMGPRRKGLRSGSNIQQPALGVASEGQEVVEKAVVAVEAEGNLTKANGQQSDQSAEDHMDVPFTNGKSEVALCATNSGEKLGNDFNGEGKIESPSDAEEDFEPKVGKIDISLEPKDDNAPFVEGNQTEGGALWDIFRREDVSKLHDYLMKHAKEFRHCNYEPVKQVFAHPIHDQCFYLTNEHKRKLKEEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVRECIRLTEEFRLLPKGHRVNEDKLEVKKIALHAVNQAIKDMTEKDCEESIKNEAEGELSTPSSSEASEREEQEEG >PVH65839 pep chromosome:PHallii_v3.1:1:6017655:6018984:1 gene:PAHAL_1G086900 transcript:PVH65839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHSNRLQLEIPHQLGSLKNLQVLRLGYNTLIGSIPSTIGNLVNLKLLDVGANNLTGEIPSEIGKLRSLQWLNLKLNQFVGSIPSTLGNLSALTHLNIYSNKLTGGFPPLQGLLSLNYLVLGENNLTGIVPSWLGNLSLLTIIDLQKNSLVGHIPESLGNLKLLTVVSLSFNKLVGCIPNSFGNLHALIEVDIDNNELDGSVAPSIFNISSLEIFNVQSNHLNGSFPLDMGSKLPNLDLFLVDDSRFHGEIPPALCNTSVIQWIQMSQNFLSGMIPHCFGIQQKNLLVLNLAQNQLEGTNNSIGVSCQV >PAN07842 pep chromosome:PHallii_v3.1:1:53597413:53599567:-1 gene:PAHAL_1G366900 transcript:PAN07842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPRLPLLLKIAAAAAAGALALIVAARLRREDAVASLRREIREALANLVADDEDGDGDGGGSAKEDASPPPPPSVLVTGFRAHGKSSLVNTACRALAAEDGPLLLRAEASPPGGGTDGPRRRQRVKAVVSGADGDGKGDGDVVELLDAPPLPEAVRLTRDDIDAAISGGNPECVVLVLRCDAPAKERNAAIKRLPEISAAARNKGLNLIIVLTFKKAMRSIRHAEELLREVSFRARTDCVYFIENYTWSNNGPNLCHPPVIKNNFETHFTVLTIIRQCLEFIKLNRSQSKDNKNGNQAKPDEPKPKQAKLDEPKPKQAKPDEPKPKNPPAEAKQCRKFNDSSVRLFFNSPET >PAN04086 pep chromosome:PHallii_v3.1:1:2771758:2773709:-1 gene:PAHAL_1G040700 transcript:PAN04086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAVAAVSLPSKRLEGSVSPSLGGLASLSRLNLSCNSLSGALPWEIMSSGSIVVLDVSFNSLNGDLQELPPSSSSRRRMMRVINISSNSFTGQFPLWRTMQSLAVLNASRNSFTGLLPSFFCVSAPSFAVLDLSYNQFSGGIPPELGCCSVLRVLKAGHNYLTGNLPDELFTATALEYLSFHGNYLQGVLDGARLIKLRNLAILDLGGNNFSGDIPDSICLLKRLKELHLDRNSMTGELPSTMGSCIQLITINLKSNYFSGDLHKVNFSILSNLRTLDVSWNNLTGTIPDSVYWCRNLTRLWLSFNNLHGQISPRIGELKSEEIPDDQIIDGFENLKVLAVEACPLYGRIPLWISKLQNLEMLFLFNNRLSGPIPVWISTLNFLFYLDLSNNTLTGEIPAALMDMPMLQYNEVASSLNARDLDLPVYNGPSPHYWTLSAFAGLMDLGKNYFAGEIPQEIGRLKALVTLILSFNCLSGEIPKTIGNLRNLEWLDLSNNHLSGTIPYALNNLHFLSEFNISNNDIEGPVPTGGQFNTFTSSSFVGNPKLCGQMLGHNCGSTEAHRVSISARQGSYKVIFAIAFGVFFIIGTLLDQLYLARIIGQAYQNLEGLA >PAN06510 pep chromosome:PHallii_v3.1:1:47102385:47107190:1 gene:PAHAL_1G268200 transcript:PAN06510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSQYDDDWVLPSADITLVLVGKLGYGKSATGNSILGREAFVSEYSHASVTNTCQMGSTTLKDGRTINVIDTPGLFDMSISPDDAGKEIVKCMNMAKDGIHAVLMVFSATSRFSREDASTVETIKVFFGEKIVNHMILVFTYGDLVGESKLRNMLNNAPEYLQNIVELCQNRVVIFDNVTNDRIRQAQQLDKLLDVVDSVCANNGGKPFSDQMFTRIKEVHDREKEVHTMGYSDEQISELKKEIHRTRDEQLAHITSMVEEKLNCTVEKLQEQLMEEQNARLQAEKVAYEARMKSEEEINKLKESLRKAQLENEEFRRLAAERKCAIL >PAN06511 pep chromosome:PHallii_v3.1:1:47102971:47107187:1 gene:PAHAL_1G268200 transcript:PAN06511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSQYDDDWVLPSADITLVLVGKLGYGKSATGNSILGREAFVSEYSHASVTNTCQMGSTTLKDGRTINVIDTPGLFDMSISPDDAGKEIVKCMNMAKDGIHAVLMVFSATSRFSREDASTVETIKVFFGEKIVNHMILVFTYGDLVGESKLRNMLNNAPEYLQNIVELCQNRVVIFDNVTNDRIRQAQQLDKLLDVVDSVCANNGGKPFSDQMFTRIKEVHDREKEVHTMGYSDEQISELKKEIHRTRDEQLAHITSMVEEKLNCTVEKLQEQLMEEQNARLQAEKVAYEARMKSEEEINKLKESLRKAQLENEEFRRLAAERKCAIL >PAN03995 pep chromosome:PHallii_v3.1:1:2329967:2332972:1 gene:PAHAL_1G033300 transcript:PAN03995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDAKQYDYKMQELLTEEFFTSYDEVCESFDNMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >PAN05354 pep chromosome:PHallii_v3.1:1:30957705:30958753:1 gene:PAHAL_1G198700 transcript:PAN05354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRSTRSRPWRSCGHLLPAIPARDALSSCSSEPLLCVRPLRATAVPRPPRSCVDSSPLSQVLEVLESVLRKGKREEQGAADRKENALADKKKVLESRKIILTRAKQYALEYDAQVC >PAN07371 pep chromosome:PHallii_v3.1:1:51176925:51179850:-1 gene:PAHAL_1G330200 transcript:PAN07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCEELVESGGAGDMPRVVAALAGILERVAERNDAAAAAELPAVAAPAASAFRATTKPGISVRAYMARIARFAGCSPACYVVAYVYLDRLLRRGRRLALAVDSYSVHRLLITAVLAAVKFMDDICYNNAYFAKVGGISLVEMNYLEVDFLFGVGFDLNVAPETFADYCAVLQSELLCAEAPPPPPLRLQHCCLSDDDAGAGCSAQQQLAA >PVH65647 pep chromosome:PHallii_v3.1:1:3063820:3066627:-1 gene:PAHAL_1G045400 transcript:PVH65647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >PAN08118 pep chromosome:PHallii_v3.1:1:55116533:55118866:-1 gene:PAHAL_1G389300 transcript:PAN08118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAPRRPAAVAGPSALLLLAVLFVGRAAAVGDCPTALHRHAAFFDRDGDGIVTLSETYGAFRALGFGFGVSTVSAAFINSALGSKCRPENATSSNMDIYIENIQKGKHGSDTGSYDTEGRFVPEKFEEIFAKHAKTVPDALTSDEVDQLVQANRQPGDYAGWAGAEAEWRILYSLGKDKDGLLHKDVVRSVYDGSLFHRLAPNWSSPEKEKQLSREEGSMPSAFSRVTCRYKQKSD >PVH67017 pep chromosome:PHallii_v3.1:1:56544535:56544975:-1 gene:PAHAL_1G411400 transcript:PVH67017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSVKSTGVRILLSPTNSSPSPSVLLPIQEGLLAMSNAVTRIRESVKYVKSSQGRKQRFEKMIKEVGIACDKRPPLDVATRWNSTYQMLKVCSGV >PAN04306 pep chromosome:PHallii_v3.1:1:3829175:3834166:-1 gene:PAHAL_1G056800 transcript:PAN04306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAASVERVKDRATGLDKFVLREARGSSVEVYLYGGQVTFWKNNFGHQLLFVSNKATFKPPKAIRGGIQICFPQLGSHGVLEQHGFARNRFWSVDESPPPFPVATSNCHIDLILKSSQEDLKIWPYSYEFRLRVALSPRGDLLLTSRIKNISSDGKPFQFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQSKNRCTEQGDAVVFESEVDKVYLSAPQKIVIIDHEKKRTLVLRKEGLPDVVVWNPWDKKAKAMPDFGDDEYKNMLCVGAAAIEKPISLKSGEEWLGKQEISAVPSSYSSGQLDPEVIRRMHTI >PVH67162 pep chromosome:PHallii_v3.1:1:58797514:58799863:-1 gene:PAHAL_1G443000 transcript:PVH67162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPPRSDRARQNAFCSKILLLILEFKCYYLFLASSANGLNYGNQFGSRVLISEIICMLPTVQPPNPEQGKTKLLTFVQWL >PAN07272 pep chromosome:PHallii_v3.1:1:50765262:50769170:-1 gene:PAHAL_1G323500 transcript:PAN07272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRGCLCRSEQDFRGLLNLENLRLFSYKEIRAATNNFDRRNKLGRGGFGTVYKGVWGDGTAFAAKVLCSESEQGIKEFLAEIESISEAKHANLVRLLGCCIQRKNRILIYEYLENNSLDHALQANGASLTWSTRSDICVGTAKGLSYLHEEHEPSIVHRDIKASNVLLDRDYRPKIGDFGLAKLFPDNVTHISTGVVGTCGYLAPEYFVHGQLTKKADVYSFGVLVLEIISGRRISQTIHSDMFLVREAWVLYEQGSLLDMVDARMENCPEEEVLRYLRVGLACTQAAPSSRPTMGQVVALLSRCTEAAMMRLPSFAEHRAAPDGPSAVVQTSPKARWPPAAARSAVCSASFTYSEVAPR >PAN05181 pep chromosome:PHallii_v3.1:1:9421600:9425940:-1 gene:PAHAL_1G120200 transcript:PAN05181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMAASPLRGGGLAVAVLLVGALALLQVARCDAAATCVGLAPARRRPEVVSIADFGGVGDGRTLNTWAFRKAVYRIQHQRRRGGTELRVPAGTWLTGSFNLTSHMTLFLARGAVLKATQDARGWPLVEPLPSYGRGRELPGARYASFINGNGLRDVVITGDKGVIDGQGEVWWNMWRQRTLQHTRPNLVEFMHSTGIHISNIVLKNSPFWNIHPVYCDNVVVTNMMILAPHDSPNTDGIDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVRDVLVEDCSIFNSGYGIHIKTNVGRGGYIRNVTVDNVRMSGVRSGVRIAGDVGDHPDGRFSQLAVPTVDAVRIRNVWGVGVQQPGSLEGIRNSPFTRICLSNVKLFGWRNGAAWRCRDVRGAALGVHPSPCAELATSFASAAGSCSY >PVH66259 pep chromosome:PHallii_v3.1:1:27506927:27507285:-1 gene:PAHAL_1G187400 transcript:PVH66259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDRYRTQKMERKKPDFFPSPLVGCCRNLCNFQCNRLRLDSNHEYNVWIGCVFTQISTACIYVNFYLMSPIGLYVPPLASFPVCN >PVH66488 pep chromosome:PHallii_v3.1:1:46579234:46580044:-1 gene:PAHAL_1G261600 transcript:PVH66488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPSSPAPASLLEAAEEAIIVAALTHVLANGRGATLTPHAPSPVVPPCPWTATGCHRGHVGQAACRGEPSPSAHIVSGTSVRSPAPEAQRGAAAAAASSRRRSYRGVRRRPWGKWAAEIRDPKKAARVWLGTFATPEDAARAYDGAALRLHGSRAKLNFPEDASSLRHPPAPVGSRQPGSGWDRTLDRSACPEMVKRRDNGRFLGYWSIGTWSPSPKPTC >PAN05177 pep chromosome:PHallii_v3.1:1:9420212:9421193:1 gene:PAHAL_1G120100 transcript:PAN05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGAGGAAGGEPGDHGGGRRGVSGRGGGGSVRAGRGHGGARAGAQGVQRARATGSGAVAAGNDGLHGCSRQPAFAALTELANRAQGSSR >PAN05707 pep chromosome:PHallii_v3.1:1:17467556:17468213:-1 gene:PAHAL_1G152800 transcript:PAN05707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKILVLLLLNLALLVLSSDSIEKASIRSSSADAPSVVPCISTIIRWGYCDPVGCKIECRFLSGREDGYCALLGCSCKRCGNAFRPIIHKAM >PAN06699 pep chromosome:PHallii_v3.1:1:48018735:48020233:-1 gene:PAHAL_1G281800 transcript:PAN06699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKQVEEGAAPLRAQSPPATGFPMNGGGGYYQAGGATAALAVQAKAPVAAWSTGLCNCFDDCGNCCVTCLCPCITFGQIAEIIDRGSTSCGTSGALYALIMLLTGCQCVFSCFYRAKMRAQYGLRESPCADCCVHCFCECCALCQEYRELKKRGFDMKLGWHANMERQGRTAATMPPQMHPGMTR >PAN06441 pep chromosome:PHallii_v3.1:1:46792850:46796597:1 gene:PAHAL_1G263900 transcript:PAN06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAARRRFRWVKEWVPQDLVVAGGPCALFKWVREDRLAALKAKDKEQGAESAIPEPNTEVLFLCSYEGCGKTFFDAGALRKHAHVHGERQYICHYENCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCQYPECGRRFTQESKLRAHIRAQHEKVVGLHNPGPSTMNHSALGDYHQPPKPVKASATPPAPSAERPYVCPYEGCDKAYIHEYKLNLHLKKEHPNHYQDGGPQGTAPSSKRKSSNRSKPDILTRMPPTKIPKRKGGYAAPLPALSVPEEHRWSRKVTYEDDSEETEEEGDNNVEDGWRYNKAASSDDEETEDEE >PVH67046 pep chromosome:PHallii_v3.1:1:56838032:56840539:-1 gene:PAHAL_1G415800 transcript:PVH67046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSYSYDCCVFPQLLDWDWDWDELDTPGGVAGAGGTAVQEAVFFPATPGVESPASSEASSGYLQDAVAHWSGRCNKRQRMEATPPPRRPATVGEDLQCLLESFWDSSAEGGGGDGDLRQDLNTTIPEAEICCSFVSGEEKRGVGVLYPFAVVKPLGLDDGRMTTLSDVNQRILKRPARPVRHPVGAFAFGPAVTAHGIGLSGKAVVSLTKIRTGGKGTITIIRTRG >PAN07351 pep chromosome:PHallii_v3.1:1:51108177:51109880:-1 gene:PAHAL_1G328900 transcript:PAN07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKVYSFEEVRKHNARKDCWLIIAGKVYDVTAFMEEHPGGDEVLLACTGKDATADFDDIGHTDSAKELMPQYCIGEVDAATVPAKLTYDYPSDASPEKAPTGAGAWATTLLRLAAPLLLLALALAMQSYGKAKAE >PAN08052 pep chromosome:PHallii_v3.1:1:54664528:54666826:1 gene:PAHAL_1G383500 transcript:PAN08052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAAAVLLQHPGGRVERLCWSTSAAEVMRANPGHYVALVTLRVAEERQDAPGGERRTVRLTRVKLLKPKETLLIGHVYRLITTQEVTKAVQARKEEKQRKAQQQLLESRQSKARGAAEDDDDDDEAALDASLDQLARQDNGNRSSSARHRQWRPSLQSIDEATS >PAN03535 pep chromosome:PHallii_v3.1:1:402203:404621:1 gene:PAHAL_1G004000 transcript:PAN03535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIVNPTPTSSSSSSHRFAKRKLDESVFLGNRLQVTYAPHFESLLDTKEKLEVRRKEVLGRVKSSAARPQGTSQYSLPQGSSNGNQHQMNSNKREYVKTIHASHSGDPRFSHVPSNKDYFPSESMNATVKLVREKLDKIQSGGDNSNAAAASKKPRVDNRRRI >PAN03534 pep chromosome:PHallii_v3.1:1:402203:404621:1 gene:PAHAL_1G004000 transcript:PAN03534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIVNPTPTSSSSSSHRFAKRKLDESVFLGNRLQVTYAPHFESLLDTKEKLEVRRKEVLGRVKSSAARPQGTSQYSLPQGSSNGNQHQMNSNKREYVKTIHASHSGDPRFSHVPSNKTLCL >PAN03531 pep chromosome:PHallii_v3.1:1:402203:404621:1 gene:PAHAL_1G004000 transcript:PAN03531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDRDEPPAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFGTYGPVEECKPMDAENCEPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVTYAPHFESLLDTKEKLEVRRKEVLGRVKSSAARPQGTSQYSLPQGSSNGNQHQMNSNKREYVKTIHASHSGDPRFSHVPSNKDYFPSESMNATVKLVREKLDKIQSGGDNSNAAAASKKPRVDNRRRI >PAN03532 pep chromosome:PHallii_v3.1:1:402343:404174:1 gene:PAHAL_1G004000 transcript:PAN03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDRDEPPAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFGTYGPVEECKPMDAENCEPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVTYAPHFESLLDTKEKLEVRRKEVLGRVKSSAARPQGTSQYSLPQGSSNGNQHQMNSNKREYVKTIHASHSGDPRFSHVPSNKTLCL >PAN05650 pep chromosome:PHallii_v3.1:1:13738709:13740174:1 gene:PAHAL_1G141000 transcript:PAN05650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDETNLGDLYNYGNTYADQQSQAMQVGPAAPSVRPNHKRSKNFSDHEDEVLVSGWLNISLDPVVGKDQKDGRYWSLICEYFHEHKTCSSKRTINSLMHRWESIQKCVNKFCGCLTRIELRCQSGTTMQDKVAEACALYKSEDEHSKAFQFMHCWNKLRTQPKWLAKVDELAAAKTSNKRQKTSSTADPSATLPSEIGQGAVEGLEANALTRPIGKKKAKAALLQEKKKKCDSNLRKYVGTEEGN >PAN06792 pep chromosome:PHallii_v3.1:1:48485218:48489442:-1 gene:PAHAL_1G288800 transcript:PAN06792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLAHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFFHDICKADFLIKLPGMWGGLVNENSPAGVGLLRTICQSKVGRGHVANIPGTIDALCNIARSSDDWQYMAVDCLIWLVQDASTSHKVIDKVAPTLIDLANISTLGDYKKLGDTIVTVLQEFMQQSGNSRSTISAQPKAEIDELLRSKQSLKLEKSMPKEDLHIKQAAALVVKLEGNSMFSSGNIAGAAEKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRAAWLFREAALKHGGVHCEGDASDAFGQEGDDSEWETASESDAENDARGEADDETEWKNDGHQEDFCEKS >PAN04153 pep chromosome:PHallii_v3.1:1:3157755:3159051:1 gene:PAHAL_1G046000 transcript:PAN04153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSYGLGLACFAVAVAVAGATQFTVGGANGWSIPGAGAEPLNTWAERTRFQVGDSLVFVYPKDQDSVLLVEPADYNACNTSSYVKKFDNGDTVFTLDHSGAFFFISGVEANCRANEKLIVMVLAAGRNDTSGGAAPPPTAAAPPPPTSSTTPPPPASPAPKAPAAASPPPASSASAPPPASAPTTTPSAPPPASSPPAPGASLPAPVSSTPPPSAPARAPEAPPAPSASSPAPSAQGTTANSTGTPSPRPAGSKDKNGAALAVASGLASSLGACALGYAMLAL >PVH66375 pep chromosome:PHallii_v3.1:1:41902919:41903107:-1 gene:PAHAL_1G229900 transcript:PVH66375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEEKQENRGSVEFQVFSFTNKIRRLASHLELHKKDFSLERGLRRLLGKRQRLLAYLAKKN >PAN06775 pep chromosome:PHallii_v3.1:1:48400984:48402924:-1 gene:PAHAL_1G287300 transcript:PAN06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKLSQGIKRASRSHAYHRRGLWAIKAKHGGSFPKARKLVATSEPKFYPADDVKPRVPSTRKPKPTKLRSTITPGTVLILLAGRFMGKRVVFLKQLKSGLLLISGPFKINGVPIRRVNQTYVIATSTKVDISGVNVMRFDDEYFAREKKEKAKKTEGELFETEKEASKSLPDYKKDDQKVVDAALIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >PAN06975 pep chromosome:PHallii_v3.1:1:49297960:49298772:1 gene:PAHAL_1G302900 transcript:PAN06975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPKRHCRFLPVCCPSFVVSAAGNTSPAAASSTGRQWVARKKRKRLKPRPSHDRSGAAVI >PAN06197 pep chromosome:PHallii_v3.1:1:44754161:44763381:-1 gene:PAHAL_1G245600 transcript:PAN06197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKDPAVTGGEPAIGIPYHPAASAQGQYYYAPPPNPYAAGMPPPNAIYAGAPKGVPLQQTMFRDTPAPFHCQACGEAAVSTVRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKYHYCPSCGEKVGEFRKSDPCLVVDATRWSERSFAVPA >PVH66994 pep chromosome:PHallii_v3.1:1:55894390:55895072:-1 gene:PAHAL_1G402800 transcript:PVH66994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGQVKASGVAESSGPTADGWLRRMCCSLPMFGVRPSLRVDDGGGVLLLCVTRRADGDRRLALRRKIYNSSRDFLVIFLFLGVLPAKEGCTVPSFFLLI >PAN08682 pep chromosome:PHallii_v3.1:1:57934279:57936768:-1 gene:PAHAL_1G429300 transcript:PAN08682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAAGFAFAPAVSRAPYRPGSVSHAPGPSSSARFPARPWARARFVVVARYSSSYDSEEEEDEEALGGGGWGRRDRGPDPDSDPALDIERIESSTVRLLDEQKRMVGVVSVNEAVQIAEDNDLILAILSLDGDPPVLRLFEERDYKKHKYEQQKKKKIQQKRSVAKRMGLKELKMGYNIDVHDYSVRLKAARKFLKAGDKVKIIVNLKGRENLYKKEAIELLRRFQNDVGELATEESKNFVERNIYLVLVPNKIAIQKEQDELNRKDTAKEKNDQSDSDDEPLTEQLEESKEPEAEVSANV >PAN08681 pep chromosome:PHallii_v3.1:1:57933698:57936866:-1 gene:PAHAL_1G429300 transcript:PAN08681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAAGFAFAPAVSRAPYRPGSVSHAPGPSSSARFPARPWARARFVVVARYSSSYDSEEEEDEEALGGGGWGRRDRGPDPDSDPALDIERIESSTVRLLDEQKRMVGVVSVNEAVQIAEDNDLILAILSLDGDPPVLRLFEERDYKKHKYEQQKKKKIQQKRSVAKRMGLKELKMGYNIDVHDYSVRLKAARKFLKAGDKVKIIVNLKGRENLYKKEAIELLRRFQNDVGELATEESKNFVERNIYLVLVPNKIAIQKEQDELNRKDTAKEKNDQSDSDDEPLTEQLEESKEPEAEVSANV >PAN08494 pep chromosome:PHallii_v3.1:1:57883542:57891876:1 gene:PAHAL_1G428400 transcript:PAN08494 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MRCDEYKSCLVKESGEYVPYDVNGQYGQLFNTIEERYKDNTVSRSLTLQKQINRYAPIHLEPDLTTLSGGDREALGYILKAAIVIDDIFYEQVWNSNRTLRDWLKGHSESSAFDKLKWAYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVSGWKGVEYRAAFPLDKPPGANFYPPDMDKMEFELWKSRLTDKEQKDATGFFTVIQRHDSLSSPSLTQSDGSDQIKTSDDLFIVPYSKEYRSSLKKAAELLEKASVCSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFIGIRDDTATSQVKLFGDQLQDLERNLPMDDIFKSDSVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIADACIREEQKEYVNFEPYYTHIVCHECCHGIGPHSITLASGKKSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSQSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYDKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGNKPAAQTLLQSRATLTQPLRVALEKIEHMQVPVDIAPKFGTANKMLGNV >PAN08665 pep chromosome:PHallii_v3.1:1:57882076:57891876:1 gene:PAHAL_1G428400 transcript:PAN08665 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MAAAPEELLDVLNAAGEKTGVSKPRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLFSAQRELEEELGIKLPVDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMRCDEYKSCLVKESGEYVPYDVNGQYGQLFNTIEERYKDNTVSRSLTLQKQINRYAPIHLEPDLTTLSGGDREALGYILKAAIVIDDIFYEQVWNSNRTLRDWLKGHSESSAFDKLKWAYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVSGWKGVEYRAAFPLDKPPGANFYPPDMDKMEFELWKSRLTDKEQKDATGFFTVIQRHDSLSSPSLTQSDGSDQIKTSDDLFIVPYSKEYRSSLKKAAELLEKASVCSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFIGIRDDTATSQVKLFGDQLQDLERNLPMDDIFKSDSVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIADACIREEQKEYVNFEPYYTHIVCHECCHGIGPHSITLASGKKSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSQSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYDKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGNKPAAQTLLQSRATLTQPLRVALEKIEHMQVPVDIAPKFGTANKMLGNV >PAN08666 pep chromosome:PHallii_v3.1:1:57882076:57891876:1 gene:PAHAL_1G428400 transcript:PAN08666 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MAAAPEELLDVLNAAGEKTGVSKPRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLFSAQRELEEELGIKLPVDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMRCDEYKSCLVKESGEYVPYDVNGQYGQLFNTIEERYKDNTVSRSLTLQKQINRYAPIHLEPDLTTLSGGDREALGYILKAAIVIDDIFYEQVWNSNRTLRDWLKGHSESSAFDKLKWAYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVSGWKGVEYRAAFPLDKPPGANFYPPDMDKMEFELWKSRLTDKEQKDATGFFTVIQRHDSLSSPSLTQSDGSDQIKTSDDLFIVPYSKEYRSSLKKAAELLEKASVCSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKDLERNLPMDDIFKSDSVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIADACIREEQKEYVNFEPYYTHIVCHECCHGIGPHSITLASGKKSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSQSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYDKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGNKPAAQTLLQSRATLTQPLRVALEKIEHMQVPVDIAPKFGTANKMLGNV >PVH66506 pep chromosome:PHallii_v3.1:1:46932133:46937712:-1 gene:PAHAL_1G265800 transcript:PVH66506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTNYGSPSSKLHTRLRLWEFPDCYIFEPIDGLADLFLSVSRANGTMNLVEALPPRGSSTPKVQTVYGVIGVLKLAVGSYFLVITDRDCVGSYLGHAIFKVTGLKVLPCNNALNTTSAEQKKMESEFSELLDAAERTIGLHFSYDINLTLSAQRLHDLGDEYKSLPLWRQAEPRFLWNSYLLEPLIENKLDQYLLPVIQGSFQNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADAEGYAANFVETEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPRVLERHFHDLQKKYGAVLAVDLVNTGGGEGRLRERYAKSIEPILSEDVRYVHFDFHRVCGHIHFERLSQLYEQIKDYLKQHRYFLINDKGEKIEEQTGTVRTNCIDCLDRTNVTQIICSKTEHMTQ >PVH66505 pep chromosome:PHallii_v3.1:1:46929003:46937651:-1 gene:PAHAL_1G265800 transcript:PVH66505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAALVTGFVLPARTPPANHVHSRTRGCRSLLFHHNRARTYLPCSDTRRLFGCAPDRGHLKVARAEGYPAMDGTNYGSPSSKLHTRLRLWEFPDCYIFEPIDGLADLFLSVSRANGTMNLVEALPPRGSSTPKVQTVYGVIGVLKLAVGSYFLVITDRDCVGSYLGHAIFKVTGLKVLPCNNALNTTSAEQKKMESEFSELLDAAERTIGLHFSYDINLTLSAQRLHDLGDEYKSLPLWRQAEPRFLWNSYLLEPLIENKLDQYLLPVIQGSFQNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADAEGYAANFVETEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPRVLERHFHDLQKKYGAVLAVDLVNTGGGEGRLRERYAKSIEPILSEDVRYVHFDFHRVCGHIHFERLSQLYEQIKDYLKQHRYFLINDKGEKIEEQTGTVRTNCIDCLDRTNVTQSMIGGKILESQLQRIEVLGVDDTISNHPAFDTNYKVLWANHGDAISIQYSGTPALKGDFVRYGKRTTQGIVNDLRNALARYYLNNFVDGTKQDAMDLLQGHYMTSVSRDMAVPRKGGLLENYASFRLAFALVMGALMFMMMSLRHARNDVRHLLLSLLWAGLCIGITHFVKANGRTFTNRPRFHQSRH >PAN06468 pep chromosome:PHallii_v3.1:1:46928846:46937712:-1 gene:PAHAL_1G265800 transcript:PAN06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTNYGSPSSKLHTRLRLWEFPDCYIFEPIDGLADLFLSVSRANGTMNLVEALPPRGSSTPKVQTVYGVIGVLKLAVGSYFLVITDRDCVGSYLGHAIFKVTGLKVLPCNNALNTTSAEQKKMESEFSELLDAAERTIGLHFSYDINLTLSAQRLHDLGDEYKSLPLWRQAEPRFLWNSYLLEPLIENKLDQYLLPVIQGSFQNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADAEGYAANFVETEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPRVLERHFHDLQKKYGAVLAVDLVNTGGGEGRLRERYAKSIEPILSEDVRYVHFDFHRVCGHIHFERLSQLYEQIKDYLKQHRYFLINDKGEKIEEQTGTVRTNCIDCLDRTNVTQSMIGGKILESQLQRIEVLGVDDTISNHPAFDTNYKVLWANHGDAISIQYSGTPALKGDFVRYGKRTTQGIVNDLRNALARYYLNNFVDGTKQDAMDLLQGHYMTSVSRDMAVPRKGGLLENYASFRLAFALVMGALMFMMMSLRHARNDVRHLLLSLLWAGLCIGITHFVKANGRTFTNRPRFHQSRH >PAN04179 pep chromosome:PHallii_v3.1:1:3287030:3287368:1 gene:PAHAL_1G047700 transcript:PAN04179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDGAVAAYAYDRALEAGEPRQLAFRFLLPRLRDPRLSAAAVVAAWGVAGLLSTTVPDLVANSEHVLLYFIFLMAGVLLLLLAVAAPDLHVADQAAGRLEGWLRAMLFRGA >PAN06230 pep chromosome:PHallii_v3.1:1:45063293:45064029:-1 gene:PAHAL_1G247900 transcript:PAN06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMLAAGSIAGGRHVSRDASAVQKKEGKSYRQGKAKPFFQVSLSPSKSYVFRGGGGYRYLLVCCL >PVH66886 pep chromosome:PHallii_v3.1:1:54130557:54132444:-1 gene:PAHAL_1G375500 transcript:PVH66886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFCANAWLADGVFQASAGSTGRSLLQAKKNCAMNFEFQNYTIITSKCKGPKFPADQCCGAFKEFACPFLDYINDDSSDCASAMFSYINLYGKYPPGLFANMCREGKKGLACTDAQRATTANGSQRAQSSSLVLATLVCGLVALLFH >PVH66326 pep chromosome:PHallii_v3.1:1:33737093:33737830:-1 gene:PAHAL_1G208200 transcript:PVH66326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGVCHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDSYRKAAPLRRLRVLYRRHLQRTPMGFFPPAERSGRTWIARMRSLGREEEDLEDTVSHLSIYLTGLDALCSEQAAQLKKLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKSTQTENEMDRFLPIKKRSTRTEEESP >PAN04603 pep chromosome:PHallii_v3.1:1:5422307:5425519:1 gene:PAHAL_1G078700 transcript:PAN04603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLHSRKMVQPKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRSSTGEPAPAAGRDVRSNIGGGSSTANLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEAVSTDAASQSTSATTASPATAMDDEERIALQMIEELLSSSSPASPSHGDDQGRFVI >PVH66055 pep chromosome:PHallii_v3.1:1:11984022:11986135:-1 gene:PAHAL_1G135900 transcript:PVH66055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVKGGTVWTASQSSFVQTFLANLVVDGTKTSTGYKKVHLNACAKALNEHFKINRSGEQIANHLKTLKKKYARINILKNKSASCWDDENFIIRWDHEMYTSHFKDEEGKDRNKGDDEYINKPLPYYANLATIFGDSVATGQFAKMSSEPLGVDADEEANKEEMNVGTTSNGTLAQEDTAASGNRPSKRTKRDDNGADPLIEALDRGTETIANAIRDAASKKALPPGLFEAVDSLPGFELEHKAEYYSYLLNNPNIAHGFMDAPLLYKLSMVTKFVNSNM >PVH65735 pep chromosome:PHallii_v3.1:1:4451203:4452194:1 gene:PAHAL_1G065000 transcript:PVH65735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLFCALQSGTMLSPKTTVPSVQMLALHLHFRMRNEVKMLPSFLRCFPNVETLCIQSEETHEPTGELNLKFWQETGPIKCVQTQLKRLVFREFHGEEGEFAFLMFIAENARVLEKMVLVMELRRPSAPEELVARMKALETARWASGSNKAGYLLSRPGVGGGSAWCLKAGSDFKHNDPFSA >PAN04898 pep chromosome:PHallii_v3.1:1:7310296:7315185:1 gene:PAHAL_1G100200 transcript:PAN04898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSCCAAAAVPSPPVVLARPRVRFTASCTTRTEQKVFFLGSKQFPRITYSPSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESIISSFTGSASSEAPKKMETLDVVLVTGATGGVGRRVVDILRKKGVPVRILARNEDKARSMLGRDLDLIIGDVTKEDTLDPKLFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYIGMQNLINAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGVSESTFQILPTGSETGGPTGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIIRTSYEWDTVGYTESFDTTKGEWQSVKIPFSSLKPVFRARTMTDAPPFDASNITSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALASPNAVGKTFEVKSTVPFSEPYVIDPSNPPPEKDYDVYFKELKEGITGKEALEATPAQV >PAN04899 pep chromosome:PHallii_v3.1:1:7310296:7315185:1 gene:PAHAL_1G100200 transcript:PAN04899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSCCAAAAVPSPPVVLARPRVRFTASCTTRTEQKVFFLGSKQFPRITYSPSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESIISSFTGSASSEAPKKMETLDVVLVTGATGGVGRRVVDILRKKGVPVRILARNEDKARSMLGRDLDLIIGDVTKEDTLDPKLFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYIGMQNLINAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGVSESTFQILPTGSETGGPTGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIIRTSYEWDTVGYTESFDTTKGEWQSVKIPFSSLKPVFRARTMTDAPPFDASNITSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALASPNAVGKTFEVKSTVPFSEPYVIDPSNPPPEKDYDVYFKELKEGITGKEALEATPAQV >PAN09061 pep chromosome:PHallii_v3.1:1:59480895:59481140:1 gene:PAHAL_1G455600 transcript:PAN09061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFRHLLPCILLLLLTSHLPNSILGLRAWREEEVGSDLRRHEHKVAPAIPPSQEVGAAAAASKYAVSRRMVPQGPNPLHNR >PAN04501 pep chromosome:PHallii_v3.1:1:4865688:4868940:-1 gene:PAHAL_1G071500 transcript:PAN04501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQGESSGERQGPAERRMLRSRYLAMKNLISDERDEMARADSDKFTAIISQVECLHELVQRPREQIADAEALLDIASTLVTSVRSQSSEGITPSDFITALLKKFGQQGTSDDDAALLRWDDLGLSVSHAFRPVPGCCTMLGPMNTEVKQRKVVAGSRKRTARPTENTCPEELADSSEEVKTDTDRNVLVIFDILRQKKSARLENLVLNRLSFAQTVENIFALSFLVKDGRVEININDNGHHIVCPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMKEIVAEGEELVPHRTSQSAHCTQDNDQPNLEARAQRTPIRKLTRNRGLVLQEQVVEETPEENQTSKRRRLFRNQD >PAN04502 pep chromosome:PHallii_v3.1:1:4865409:4869203:-1 gene:PAHAL_1G071500 transcript:PAN04502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQGESSGERQGPAERRMLRSRYLAMKNLISDERDEMARADSDKFTAIISQVECLHELVQRPREQIADAEALLDIASTLVTSVRSQSSEGITPSDFITALLKKFGQQGTSDDDAALLRWDDLGLSVSHAFRPVPGCCTMLGPMNTEVKQRKVVAGSRKRTARPTENTCPEELADSSEEVKTDTDRNVLVIFDILRQKKSARLENLVLNRLSFAQTVENIFALSFLVKDGRVEININDNGHHIVCPRNAPAASAIASGEVSYSHFVFRFDFKDWKRGKNWCHTGLPRVLTAPKIMISQIWRHVRKERRSENSPGTEAWSCKNRLSRKHLRRTKRPSAGAFLEIRTDLAVGWHEQCR >PAN06302 pep chromosome:PHallii_v3.1:1:45808465:45814677:1 gene:PAHAL_1G254000 transcript:PAN06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLTAKNRGRKREGNFSHRIRPLLVLCDTLALEVGNGLPDTDCRQRKDPAQAVIASFTCYSYSATPWQRLISHHHAKRV >PAN07148 pep chromosome:PHallii_v3.1:1:50168691:50172333:1 gene:PAHAL_1G314400 transcript:PAN07148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cytokinin riboside 5'-monophosphate phosphoribohydrolase LOGL2 [Source: Projected from Oryza sativa (Os02g0628000)] MEIKVDEEAPVVAAVPSRFRRICVFCGSSHGKKKSYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREISGETVGEVKAVADMHERKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIKPTARNIIVLAPTPKELIQKLEEYSPQHEEIVPKMKWEMEQVRYPQNCEIPMPKEGKTVVEPQRGSRLWM >PAN08095 pep chromosome:PHallii_v3.1:1:54940864:54942497:1 gene:PAHAL_1G386900 transcript:PAN08095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHGSSSGLAFPVCSRPPPQLNVIPPLSKPGTAMSPGGGRRVGGAGDKNNPPPQGVHPRYVPKRGAVLKGIVRGVLRCFLPASSAPAGSGGRRVRPAPVEVGAGGDDGAEQGK >PVH65477 pep chromosome:PHallii_v3.1:1:454520:454699:1 gene:PAHAL_1G004600 transcript:PVH65477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVVDTTIDHQFTWPASRPALSVHCIIRFEHYGTTIQNMHASVMHAPGPGLPSRSPRS >PAN05682 pep chromosome:PHallii_v3.1:1:16736455:16738830:-1 gene:PAHAL_1G150300 transcript:PAN05682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPDTIRTAIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPVPYIATLLNCMIWVLYGLPLVHPHSMLVITINGTGLVIELTYVTLFLLCSRGAARRKVFLLVVTEIVFVGVVAVLVLNLAHTYERRSMIVGILGVLFGIGMYAAPLSIMKIVIQTKSVEYMPLSLSLASLVNAISWTAYALIKFDLYITIPNGLGVLFAGAQVVLYAIYYKSTQEIIEASKRKANQVAMTEVVVNDGKTNNHVGASLY >PAN07469 pep chromosome:PHallii_v3.1:1:51700837:51715927:1 gene:PAHAL_1G338600 transcript:PAN07469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLHKPVAHAHLRLLLSASACSGPLHFGNTSAVAAAVTTRGPSPSRGVATTAMPSPSSSPPPPGEETTAAAASPAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGARLVVNSSWKNPSQEWHVGCKLIYELFTDTLTSRLKKERKKKWDEENQEAISDALKQLNEFEKKHTKPDDAALKKAHEDLQSRLDYLRKQAEGYDDKGPVIDIVAWHDGDVWRVAVDTQTLEGNNNGGKLADFVPLTDYRLERKYAIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLSNEVVNKHRIIFISSAGNNGPALNTVGAPGGTSTSIIGVGAYVSPAMAAGAHCVVQPPVEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSGMKAEGIPLSPYSVRKAIENTAASISHAPEEKLTTGNGLLQVDRAFEYAQQAKKLPLVSYRISINKMGKSIPKLRGIYLRGSNACHQSSEWTVQLDPKFHEDASNLEQLVPFEECLQLHSTDTSVVQIPEYILVTNNGRSFNIVVNPANISSGLHYYEVYGIDYKAPWRGPIFRVPITVIKPITLLGEPPLLSIPNLSFRSGHIERRFVDVPFGASWAEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSSKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGICIDQKVIALDGSESPLRIVARSLLASETLAPVATLNKIKTPYRPVESNLSSLPTDRDRLPSGKQIIALTLTYKFKLEDGAEVKPHVPLLNNRIYDNKFESQFYRISDSNKRVYSSGDVYPSYVKLSKGEYTLQLYIRHENVQFLEKLKQLVLFVERKLDKKDVIPLSFYSEPDGPIVGSGTFKSTVLVPGEPEAFYVGPPSREQLPKNAPPGAVLVGSITYGTVSTFNKKDGQKQHDPVSYRISYIIPPSKVNDDKDKSVSVGTKTISEQLVEEVRDTKIKFLSRLKQETEEDKSAWSELVASLKSEYPKYTPLLAKILECVLQKATYDDKIGHEKEVIAAADEVVDSIDKEELAKYLSLNSDPEDEEAQKFKKKMEETRDQLADALYQKGLALAEIESLKSDESIEASAKDIFEENYKELIKWVDAKSAKYGTLTVLRERRCGRPGTALKVLNDLIQNESEPKKKLYDLKIQLIEEMGWSHVSTYEKQWMQVRFPPTLPPF >PAN07468 pep chromosome:PHallii_v3.1:1:51700837:51715080:1 gene:PAHAL_1G338600 transcript:PAN07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLHKPVAHAHLRLLLSASACSGPLHFGNTSAVAAAVTTRGPSPSRGVATTAMPSPSSSPPPPGEETTAAAASPAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGARLVVNSSWKNPSQEWHVGCKLIYELFTDTLTSRLKKERKKKWDEENQEAISDALKQLNEFEKKHTKPDDAALKKAHEDLQSRLDYLRKQAEGYDDKGPVIDIVAWHDGDVWRVAVDTQTLEGNNNGGKLADFVPLTDYRLERKYAIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLSNEVVNKHRIIFISSAGNNGPALNTVGAPGGTSTSIIGVGAYVSPAMAAGAHCVVQPPVEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSGMKAEGIPLSPYSVRKAIENTAASISHAPEEKLTTGNGLLQVDRAFEYAQQAKKLPLVSYRISINKMGKSIPKLRGIYLRGSNACHQSSEWTVQLDPKFHEDASNLEQLVPFEECLQLHSTDTSVVQIPEYILVTNNGRSFNIVVNPANISSGLHYYEVYGIDYKAPWRGPIFRVPITVIKPITLLGEPPLLSIPNLSFRSGHIERRFVDVPFGASWAEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSSKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGICIDQKVIALDGSESPLRIVARSLLASETLAPVATLNKIKTPYRPVESNLSSLPTDRDRLPSGKQIIALTLTYKFKLEDGAEVKPHVPLLNNRIYDNKFESQFYRISDSNKRVYSSGDVYPSYVKLSKGEYTLQLYIRHENVQFLEKLKQLVLFVERKLDKKDVIPLSFYSEPDGPIVGSGTFKSTVLVPGEPEAFYVGPPSREQLPKNAPPGAVLVGSITYGTVSTFNKKDGQKQHDPVSYRISYIIPPSKVNDDKDKSVSVGTKTISEQLVEEVRDTKIKFLSRLKQETEEDKSAWSELVASLKSEYPKYTPLLAKILECVLQKATYDDKIGHEKEVIAAADEVVDSIDKEELAKYLSLNSDPEDEEAQKFKKKMEETRDQLADALYQKGLALAEIESLKSDESIEASAKDIFEENYKELIKWVDAKSAKYGTLTVLRERRCGRPGTALKFLHIFCIF >PAN06911 pep chromosome:PHallii_v3.1:1:48985520:48992066:-1 gene:PAHAL_1G296900 transcript:PAN06911 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase (ferredoxin), chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G60600) UniProtKB/Swiss-Prot;Acc:F4K0E8] MATGVAPAPLPHVRVRDGGIGFTRSVDFAKVLSVPSVSTMRTSSSRGRALVVKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVPLGSDHPIRVQTMTTSDTKDVARTVEEVMRIADKGADIVRITVQGRKEADACFDIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTDDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCQRLANLGTQAANLQIGVAPFEEKHRHYFDFQRRSGQLPLQKEGEEVDYRNVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAETRLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNLDELSSGAHKLLPQGTRLAVTLRGDESYEQLDILKGVDDITMLLHSVPYGEEKTGRVHAARRLFEYLQTNGLNFPVIHHIEFPKTIGRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPAEE >PAN05927 pep chromosome:PHallii_v3.1:1:13011871:13013528:-1 gene:PAHAL_1G138400 transcript:PAN05927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGKKQRHHFVLIHGVCHGAWCWYRVATALEAAGHRVTALDMAGCGASHGRGEEVASFEEYSRPLLDAVAALPGGEKAVLVAHSFGGQSLALAMERYPEKVAVAVFATATMPAAGKPMTYAFKQISQGKGPDFFMDCTLGTTGDPQNPDKTFLFGPKYMARRVYQLSPPEDLTLGIAMVRPSRRFLNDETMNGDVLTAERYGAVRRVYVVAEDDEWKPAETQRLMASWNPGTEVRGLQGADHMPMFSKPRELSELLMEIAKDKQS >PAN08360 pep chromosome:PHallii_v3.1:1:56353074:56354722:-1 gene:PAHAL_1G407200 transcript:PAN08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGRRGWSPFDAIRSFPSTPESLMSQIDAAIASTEYAHACALLDPASASASSQPQSQPTPGEGAAASPPACYDARVADEAYRAACAALGAGRPDAAVRSLRVALASCPPEKTAAVAKVRSMLAIASAQLHKQQHQAQLSRK >PAN07428 pep chromosome:PHallii_v3.1:1:51494888:51496632:-1 gene:PAHAL_1G335300 transcript:PAN07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYTLLFGALVVLALLVSPIACSRKLAKPSKHRPSHRPATGGRGNYTATPSASDAYGSGGWLSAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGGPSLFKGGKGCGACYEVKCDSNPACSGQPATVVITDECPAGVCLAEAAHFDMSGTSMGAMAKPGMADRLRAAGILKIQYKRVPCKYSGVNIAFRVDQGSNPFYFEVLVEFEDGDGDLSAVDLMEAGCGTWTPMVQNWGALWRYNSNTGKALRAPFSLRLTSDSGKVLVANNVIPAGWTAGATYRSLVNYS >PAN06630 pep chromosome:PHallii_v3.1:1:47724096:47727393:-1 gene:PAHAL_1G277500 transcript:PAN06630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTPGNPVAGAAGSRSSAIASRGATAPPPLTMPGGEPSDALLGAGAGGAGGADGDPRVALLRLAALGDRMAAVRGRIAASISGEARPLSYADIQSVSSEISSAAQLVVLNAASLLASSVPFPAPPPPPTAAAPSPAPVREIPATAASAQEQRQEAAKGDGGYEVMELDAAELLAEHVHFCEICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQGKPKPPARDREVRFSCPFAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCDGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHTPAVTEPNKNVAAGPTEPILDAMEEGGIEEGNCDQEEDEEGGYDPEFFKEWMEELKDGASGSSWPGPAATGH >PAN07278 pep chromosome:PHallii_v3.1:1:50790711:50794450:-1 gene:PAHAL_1G323900 transcript:PAN07278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQARTAGAGDEPAKRIVGGGDAPAAGAAAAAGGCFDCNICLECAAEPVVTLCGHLYCWPCIYEWLRPHPDAGTGSSARRRCPVCKAAVSPDALVPLYGRGRSSRAKKPPRGPASSIPRRPALRQSVQDSGNGGGNHHHRNVETDAPAWAPPQARRHADAAQYDALLPPPFGDRGMMHSTAGGVLGGMAVAVLAWLLRGQPQPPGMYYSSPYHLMNPRQRRRHMEVERSLHHIWFFLFVFVLLCLLLF >PAN07282 pep chromosome:PHallii_v3.1:1:50790711:50794450:-1 gene:PAHAL_1G323900 transcript:PAN07282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQARTAGAGDEPAKRIVGGGDAPAAGAAAAAGGCFDCNICLECAAEPVVTLCGHLYCWPCIYEWLRPHPDAGTGSSARRRCPVCKAAVSPDALVPLYGRGRSSRAKKPPRGPASSIPRRPALRQSVQDSGNGGGNHHHRNVETDAPAWAPPQARRHADAAQYDALLPPPFGDRGMMHSTAGGVLGGMAVAVLAWLLRGQPQPPGMYYSSPYHLMNPRQRRRHMEVERSLHHIWFFLFVFVLLCLLLF >PAN07281 pep chromosome:PHallii_v3.1:1:50790446:50794450:-1 gene:PAHAL_1G323900 transcript:PAN07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQARTAGAGDEPAKRIVGGGDAPAAGAAAAAGGCFDCNICLECAAEPVVTLCGHLYCWPCIYEWLRPHPDAGTGSSARRRCPVCKAAVSPDALVPLYGRGRSSRAKKPPRGPASSIPRRPALRQSVQDSGNGGGNHHHRNVETDAPAWAPPQARRHADAAQYDALLPPPFGDRGMMHSTAGGVLGGMAVAVLAWLLRGQPQPPGMYYSSPYHLMNPRQRRRHMEVERSLHHIWFFLFVFVLLCLLLF >PAN07279 pep chromosome:PHallii_v3.1:1:50790711:50791457:-1 gene:PAHAL_1G323900 transcript:PAN07279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQARTAGAGDEPAKRIVGGGDAPAAGAAAAAGGCFDCNICLECAAEPVVTLCGHLYCWPCIYEWLRPHPDAGTGSSARRRCPVCKAAVSPDALVPLYGRGRSSRAKKPPRGPASSIPRRPALRQSVQDSGNGGGNHHHRNVETDAPAWAPPQARRHADAAQYDALLPPPFGDRGMMHSTAGGVLGGMAVAVLAWLLRGQPQPPGMYYSSPYHLMNPRQRRRHMEVERSLHHIWFFLFVFVLLCLLLF >PAN07280 pep chromosome:PHallii_v3.1:1:50789826:50794450:-1 gene:PAHAL_1G323900 transcript:PAN07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQARTAGAGDEPAKRIVGGGDAPAAGAAAAAGGCFDCNICLECAAEPVVTLCGHLYCWPCIYEWLRPHPDAGTGSSARRRCPVCKAAVSPDALVPLYGRGRSSRAKKPPRGPASSIPRRPALRQSVQDSGNGGGNHHHRNVETDAPAWAPPQARRHADAAQYDALLPPPFGDRGMMHSTAGGVLGGMAVAVLAWLLRGQPQPPGMYYSSPYHLMNPRQRRRHMEVERSLHHIWFFLFVFVLLCLLLF >PAN07616 pep chromosome:PHallii_v3.1:1:52407549:52411615:1 gene:PAHAL_1G349500 transcript:PAN07616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAPLPAAVAGWWERVNGSPACQDGIFCALAVLYGIVAAASFIQVARIQRRVPEYGWTIQKVFQFLNFVVNGARCSIFAFRRLVQQVNPPILQHVILDLPGLAFFTTYAVLALFWAEILYQARGLMTDRLRSGFYTINGVVYALQGFLWLCLWWNPNHSMLVISKLFIAGLSFFTALGFLLYGGRLFLMLKYFPLESKGRQQKLREVGRVASICFCCFMARCIMMCFNAVNKEADLDVLDHPILNFFYYLLVEILPSSLVLYILRRIPAKLQLSQYHLLSSG >PVH67011 pep chromosome:PHallii_v3.1:1:56475254:56475626:1 gene:PAHAL_1G410200 transcript:PVH67011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSCGPSGRVGKKRGGAGRLEFGCPFLSFRLGELSLAVTAPRSLPPCAMLAASPSHVELPPTPTAGGHGSNTNSR >PAN04299 pep chromosome:PHallii_v3.1:1:3786023:3786412:-1 gene:PAHAL_1G056200 transcript:PAN04299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLELELLPSQLPPIRTVAAAASLGDGGDNAVIDGFSTPTLAASVLPAPLVCPPAPRKPRPAPAARIRKLQRRGHRCGPAALRPAPVRWIISVPQDVLAAVFVARPAASVPCPTTSPPASKKIRVHVVG >PVH66750 pep chromosome:PHallii_v3.1:1:51608411:51608750:-1 gene:PAHAL_1G336900 transcript:PVH66750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQASETSSFSFRRSISNYIPRSNTSRTEDISRLTVAALLEVLPPIILNFHRPIWLASSKGSKRVVSDAENYPSFVLITQ >PVH66751 pep chromosome:PHallii_v3.1:1:51607563:51608813:-1 gene:PAHAL_1G336900 transcript:PVH66751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQASETSSFSFRRSISNYIPRSNTSRTEDISRLTVAALLEVLPPIILNFRRFGWRLQKAASAWYQTLKIIPVSC >PAN07798 pep chromosome:PHallii_v3.1:1:53397674:53401149:1 gene:PAHAL_1G363500 transcript:PAN07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTPAAAAISGSSGHLVLSSPRLRQPLTLPPRAARPIAAASPMARRGVAAAAVSTPAASAAAGKDAKQTPKDFLHINDFDMDTIMNILNRAIEVKTAIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFGHQDILDLAKYASVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLLLAAVLPFHFVCACPKGFEPDAKTVEIARSAGVSKIEITNDPREAVKGADVVYTDVWASMGQKEEADYRKQKFQGFTVDEAMMEIAGPQAYLMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGA >PAN07799 pep chromosome:PHallii_v3.1:1:53398103:53401149:1 gene:PAHAL_1G363500 transcript:PAN07799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIMNILNRAIEVKTAIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFGHQDILDLAKYASVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLLLAAVLPFHFVCACPKGFEPDAKTVEIARSAGVSKIEITNDPREAVKGADVVYTDVWASMGQKEEADYRKQKFQGFTVDEAMMEIAGPQAYLMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGA >PAN08754 pep chromosome:PHallii_v3.1:1:58204163:58205400:1 gene:PAHAL_1G434500 transcript:PAN08754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGWSNGLVKKPVKGVDIETWWVSSLQLLPKELQRHVAALLMYTAWNIWKERNRRVFEDKTMIAPLVFNCILEELGLRQAALSAPSAT >PAN08837 pep chromosome:PHallii_v3.1:1:58525048:58533160:-1 gene:PAHAL_1G439700 transcript:PAN08837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAVMGTECIMATVNEDPELSFPPGFGPFVGLALQGTQNNVKPGGTHSSSVQVAQSIEKDVKVLEPNSAHCRSGTPASTSGSHSCRKSLRNRPPIDYSLYDLTSDEESEIESAEKGVRSVRRRQQLPKGVLRGCAECADCQKVVARWNPSGACRPVLEEAPVYYPSEEEFKDTLKYIESIRPTAEQYGICRIVPPPSWKPPCLLKEKNKWECSKFSTRVQKVDKLQNRKSSKKSRGGGMMKKRRKVSELEDIGNINHNQTGMQQSPERFGFEAGPEFTLQTFKKYADDFSDQYFNKDACGDSLPSVEDIEGEYWRIVESPTEEIEVIYGADLETGTFGSGFPKFSPEVKSDGEHKYAESGWNLNNLPRLQGSVLSFEGGDISGVLIPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVSLEVAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVLVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQDAVELYREQARKITISHDKLLLGAAKGAIRAQWDILFLKRNTADNLRWKSMCGPDSTICKSLKARIEMELTQRKDICSPSQCRKIDTEYDSADRECAFCYYDLHLSACGCPCSPEKYTCLIHAKQLCSCDWSKRFFLFRYDVNELNILADALGGKLSAIHRWGVSHLGLSLSSCVKREKDQDVKTLRRATDGPRRSYMSHASTVSLSPSLVCHEQKSCGNKMLNSACSEMNTADPSAEQLKSGNVSPQKEPWVKNDLACTLNTVASQLQYNGGPGGDKNSAPSLSVPSGQSSSSNVGTRSLSTSGENIKNAYGSLPVMVDHRSNMKPSSESSNNSHRLMTSNTNASLCYSYKDKIHITPETNALVTKEKDSNQIRAASSQHFVRTVSRAQTVSQEASASVFASKPLPGPSLVKNTYGGFSSSTAHLGHPNFCNQQPNDGCLQRKSESLSGLEARWHSPLFVQPALENGSTQKGPRIANVVHRFKSSVELLEIGAVLSGRLWSSSQAIFPKGFRSRVKYFSIVDPTQMTCYMSEILDAGLQGPLFMVAIENCPGEVFINVSPTKCWSMVRERLNMEIRKQVSMGRANLPTLQPPGSVDGLEMFGLLSPVIVQAIEVRDRDRICTEYWRSRPHVVAGDGGSQHTPPPQGPLHIALLRGLFHRASRDELRALRSLLTSNSSLDESSRQQAAQVLDDEIAKQWH >PAN06433 pep chromosome:PHallii_v3.1:1:46745615:46748609:-1 gene:PAHAL_1G263500 transcript:PAN06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLLLPLVLLFALLPPGRVASAGGDGGEPAEFEIPRDGTVVELDESNFDAAVRAVDYLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIIVAKVNADKYRKLGSKYGVDGFPTLMLFDHGVPSEYTDSRKADLLIENLKKLVAPDVSVLESDSSIKSFVEAAGINFPLFIGFGVDESLIAEYGAKYKKKAWFSTAKDFSEDMMVLYDFDKFPALVSVNPKYNEQSVFYGPFEGTFLEDFIRQSLLPLTVPTNRETVKLLKDDGRKVVLTILEDELDENAPQLVKVLRSAANANHDLVFGFVGVKQWEEFTETFDVKGSQLPKIIVWDTKEEYEVVEGSERLEEGDYGSQVSRFLEGYRSGKTIKKNVGRGSPSLLGLNAIYILIFLVAILVALMYFSGHGEEEERPRRTHQD >PVH65744 pep chromosome:PHallii_v3.1:1:4659659:4664648:-1 gene:PAHAL_1G068200 transcript:PVH65744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVTSTVAARFAFFPPNPPSYGLEQLPPPPAAAAAPAAGAAAAEGEEVKEKGGGGGGGSSRVVELTGVPRRGNVEARRLRTKRGTEVVAMHVRQAGAKLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYRCLIETYGASEDNIILYGQSVGSGPTLDLASRLTRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELAKVKYEPLWIKGGNHCNLELYPEYIKHLKKFVGAIEKSPPPPPIDESMEASRPSDRAPMEPECTAEDSRKSTDCRDKTRSIVDHRHSTDRREKARGSTDRRDKGRKSVDHPEKPRASVDQSDRPRKSVDRFGGMMKSVRLCNIDCFKVTATSGS >PAN04703 pep chromosome:PHallii_v3.1:1:5903086:5904762:-1 gene:PAHAL_1G085000 transcript:PAN04703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQSSAGEMDGKGMMAPILLALVLACLVFPAKCEGGGVERSAAGGLRRQPDQEEMAVAAGSSKIHIVLCFKTACSGPSDNTCYCCQTLGGTCFWEQHECWNYCPPAGPARRRPSSPVSASRSTSPSLESGSGLHVSGRQFVI >PVH66988 pep chromosome:PHallii_v3.1:1:55800860:55803372:1 gene:PAHAL_1G400900 transcript:PVH66988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMDEFPLISDHSTLLQGHGVLGAEGCLGIRSSEGKSVPTQDDRKNKRKKDNMFDSDWPELASLDDFEPSPRNFDPTFEIGSSYFEDTLWSSNFSPEARLVPSSYFDGVDFSIARDENTVLKTNPTKTKQQSRNGASDTPLNCAARGSSSSGISDAELLVRFDNIELGNQIGCCEGLEAILCPSQEMQASTASSSMCSGETVASSTFSGPDSVAAHIPRPSKKPQDPFSGAPDMILEEMAGNPLDMYFPPLATYEKPGLPTSDATSAQKHRFPEELAGSHALNCAESQLCAKEIASAGLRGQPSSAVVLEAVPVKELGFHKLQEGMNQLDLATKGRIRDALYRLANGVEQRHCAAGSSGGVGSSGSKSVMSRRFRSGNGWTETQTNPMDQSVAHLLLKKPSYRKAAQPHRVA >PAN08294 pep chromosome:PHallii_v3.1:1:55800855:55803531:1 gene:PAHAL_1G400900 transcript:PAN08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMDEFPLISDHSTLLQGHGVLGAEGCLGIRSSEGKSVPTQDDRKNKRKKDNMFDSDWPELASLDDFEPSPRNFDPTFEIGSSYFEDTLWSSNFSPEARLVPSSYFDGVDFSIARDENTVLKTNPTKTKQQSRNGASDTPLNCAARGSSSSGISDAELLVRFDNIELGNQIGCCEGLEAILCPSQEMQASTASSSMCSGETVASSTFSGPDSVAAHIPRPSKKPQDPFSGAPDMILEEMAGNPLDMYFPPLATYEKPGLPTSDATSAQKHRFPEELAGSHALNCAESQLCAKEIASAGLRGQPSSAVVLEAVPVKELGFHKLQEGMNQLDLATKGRIRDALYRLANGVEQRHCAAGSSGGVGSSGSKRFRSGNGWTETQTNPMDQSVAHLLLKKPSYRKAAQPHRVA >PVH66305 pep chromosome:PHallii_v3.1:1:31501578:31503580:1 gene:PAHAL_1G201200 transcript:PVH66305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRGLRRCPLVCADGGFPAALALAPRPVRGRRLPRVACPSRPGARRAAAATAGALEPRARGTSWRTRTPALRRSGRTRSAAGSPPAHRRLPRFAWASATATARRPSSSPGAWSPRRGRRRRRRAGSRGCAGTWRRCSPTAAFMLLMLPPRERGAKPSPWTSRVPLGASISSLAMWRSQPCKSYSSFYLRIDAMDNVTGANQTKAVLY >PAN06968 pep chromosome:PHallii_v3.1:1:49258203:49261172:1 gene:PAHAL_1G302300 transcript:PAN06968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAWNTVGQRLCARRILCFLRARCLQGAAHQLEKGTAVFFDVSHLGHLARSARWDELTSYVGRFMPFHSGPPSPQASRFLRCLHVYTVLGRIAAGGKHAEGVRTMFPLLDAAAAAANPRTAALHAFFHRVLQSPPRDFADLMRIWAVAAERLKDLALECPELKGKLHLPNTAPKSWQINLSGVPRPVLRPYTKKVNKQKARDLACLIEEKRQEILGNLSAVSSDETFDSMRYGMQVAPAPPLVQTAPGVDATAAAGTLGRGDGSREEAGEDASKWEKKRKMSSTATPEMTQDASSTPSTRSMKVARKD >PVH66637 pep chromosome:PHallii_v3.1:1:49258203:49261172:1 gene:PAHAL_1G302300 transcript:PVH66637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAWNTVGQRLCARRILCFLRARCLQGAAHQLEKGTAVFFDVSHLGHLARSARWDELTSYVGRFMPFHSGPPSPQASRFLRCLHVYTVLGRIAAGGKHAEGVRTMFPLLDAAAAAANPRTAALHAFFHRVLQSPPRDFADLMRIWAVAAERLKDLALECPELKGKLHLPNTAPKSWQINLSGVPRPVLRPYTKKVNKQKARDLACLIEEKRQEILGNLSAVSSDETFDSMRYGMQVAPAPPLVQTPGVDATAAAGTLGRGDGSREEAGEDASKWEKKRKMSSTATPEMTQDASSTPSTRSMKVARKD >PVH67028 pep chromosome:PHallii_v3.1:1:56690335:56691003:1 gene:PAHAL_1G413100 transcript:PVH67028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATQLSKSTSKRGSQSLLPRRDNKTCTPGNEPPRLTRRNATRESAYVPRPAGLTRFSPPPDQTVAVDSSRADDSESEEGDESGAKEEEVGEVEETGAGTAAVAEASASSVVAVVKRRITNWTKLELVGAGSFGRVYKAGSEEVLSLSYGLPVQCLMQCFVVPCCLAACSRFLPRWYFGRM >PAN09135 pep chromosome:PHallii_v3.1:1:59796266:59798748:1 gene:PAHAL_1G461200 transcript:PAN09135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSVLVAIAAAIGNMLQGWDNATIAGAVLYIKREFHLQAQPAIEGLVVATSLIGATVITTFSGPVSDSVGRRPMLIASSVLYFLAGLLMLWSPNVYVLLLSRLVDGFAIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFAMTLAPQPDWRLMLGALSLLSLLYLLLTVCYLPESPRWLVSKGRMKEARAVLQMLRGRDDVAGEMALLVEGLGTAGDTAIEEYIVGPPPPQHAEEADGGDRVTLYGPERGMSWVAQPLPLGAGGQGSMLGSAIGLGSRQGSVLDHLRDPVVALLGSVHDLKPTPAAAPEGSMLFSNLGSMLSFHDKAPVDWDEENGGGEQLVSDDDDVRAPLLDARGLSSMHETATTMGIGGGWQLAWKYADGPESGVVKRMYLHEEAGGGEGGVHAAALVSQSALYLQHGKQEQQQGGGPALAHPAAAAAEEHEQAGGRWRELLEPGVRHALVCGVTMQVLQQFSGINGVLYYTPQILNQAGVSVLLASLGLGADSTSILISGLTTLLMLPAIGVAMRLMDVSGRRSLLLWTLPVLIASLVVLVAASVVPMAAAAHAAVATGSVMVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLGDIAVTYSLPVMLNCVGLTGVFGFYAVVCCLALGFVALRVPETKGLPLEVIIEFFNVGARGVAASAASPYAEEEDEENDDGDHHHRH >PAN06910 pep chromosome:PHallii_v3.1:1:48983626:48984848:1 gene:PAHAL_1G296800 transcript:PAN06910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAGYPSSKAGGSGGGAEAHHPSTASGSGGRKMKPSQLNFTRPPQQDGGAAGGHLSQISEDGAFPAGLVGADRGGASSGGGAARSFSGGFSIVGPWEESRDVIATLGAYDSQYSGAMAGTALEMAAGMDRYMQLQQDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSELQALKHEQEKCTCCRKR >PAN06909 pep chromosome:PHallii_v3.1:1:48980020:48985321:1 gene:PAHAL_1G296800 transcript:PAN06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLPVGGGGGEPSPSSSTGGRGELAGERGAAAGLRYGGGDISLGHGHGGGGGGHRHHHQLGGGGDAAERQQDGSMDMLARHSSSPAGFFSNLVVDNGYPSSKAGGSGGGAEAHHPSTASGSGGRKMKPSQLNFTRPPQQDGGAAGGHLSQISEDGAFPAGLVGADRGGASSGGGAARSFSGGFSIVGPWEESRDVIATLGAYDSQYSGAMAGTALEMAAGMDRYMQLQQDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSELQALKHEQEKCTCCRKR >PAN06095 pep chromosome:PHallii_v3.1:1:42134942:42139230:-1 gene:PAHAL_1G231600 transcript:PAN06095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVAPRSSSSSSSSSSSATNSRVSPANPPPMPEAEQLEAARRLATRALSELSRHDMSSSTSPPCGLPALLRRCLQLLPLLNAGDPSLAARCCRGLLASLGAILSRKPSPSLLPSLEVVAESLVFSERLRSYLAMADCAAPEGSRIFTEALPCQGVHQLTLELVCCHFISSLQDEGGFEVFLRALSWSWNESQGTPEISFQGALGLIHRTCLFSLPVVVQAHLLLLASRCISDQNLDLHLHAFEHAMNLYVRYLPALDVFNRTGGTKIPWTSSVNKRPFSCCIKDATDQKLRSQIDGLRSFCQLHSGDDLPTNESDIDRLIEENQHILHEMFRQESTVVLKDILLNILCCAKQEEVFASDTELSDGIICLAAVLRVMSSSLLHILHYFSQMRSASDKTNVNYTTLCMEYNVICEIICLLGQHEANELHWYNLLDIIGMPVDRKRASVLMLAHFATLSICCVRRRIGFLWKRCVVMMIMSVNLIAEEEGLGTFQLSTDVSKESAVFCNTEERTLEVSERAKAITSRYETIYKFHKGRHVDGDGNSLGTPQKRKSGQAFLECHPEYSPDWDDLMDFVECEEGKDYSNTLKQQRKFKKFKYEKWRSMRQSYLEPTSNILGLRPRRLCS >PVH66787 pep chromosome:PHallii_v3.1:1:52284392:52284899:1 gene:PAHAL_1G347400 transcript:PVH66787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCTECMLYRGFVEERAGRPEPCRCSAGLRHGACRRRAPQAAASDDDDPKERGDDGSPNRGREEAAPRRGGGDHDQLAGRRGGARRGGAAGVVGGLVPPRRLDALDGARGARPRRHPAPRVALRCRLRPGAAAAAAAALLIRGAALLASFSLAAQLR >PAN06585 pep chromosome:PHallii_v3.1:1:47491575:47495300:-1 gene:PAHAL_1G274200 transcript:PAN06585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELSLGDSPAPAKGTSTPVLTPTCAGKEDHDELVLELGVGSAKRTEQDSQKTPTQREEDAQDQEEDGEACFHSESPVELSLGCPLLPASAETGSANSEECRRGFEVNTVLVDGDTVQGRSLSTSSLHMEVPVRQAADQEAAEDEENSGVGGGARKKLRLSQEQSAFLEDSFKEHSTLTPKHKSDLAKRLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRRCETLAQENRRLHREVAELRALRTAPYPFNGHLPAAGLSTARVCPSCDDDKVTARNPAITASSPAVAPPSPVSTLFARPHFRAFTVHPVLRRQPSAATWGAG >PAN06157 pep chromosome:PHallii_v3.1:1:44356552:44359476:1 gene:PAHAL_1G242400 transcript:PAN06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADPSTQDAMEEEALKAKHAAERKFHARNIKGARRSAIKAHNLCPTLEGISQMISTLDVHLASESKIDGESDWYRILSLTTWADEEEVKKQYRKLALQLHPDKNKSVGAEAAFKLISEAWSVLSDKSRKMLYDQKRRDHSAMNGTNGLYGYDMKANKRARKNAAAAASVAVEATTRPAGADTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGFPSNGTSSSFTWTTKAQQQKNHTTVDHSYQSASRTSSIPGTGHGAYQQENTYESYNSQSFQFNQYPKTTGAAAANGYSTQTLEKSKRKHEENYIYNYFSSGHEYPSGRGRHSKRRRNINNGYAYASVDCDGETVAGMTVIADAGRVNGTSGEKFRSAVSGRKANVLREIFQLDTRALLLEKAKAAVREKLQGLNILSSSQFAEKRKADRREKHVDNDIKVNGIYPDNPINKCKKYSSKDVHVEIPAADELNPEQKRVPVSIDVPDPDFHDFDKDRTERAFGNDQVWATYDSEDGMPRLYAMVQKVISTKPFRIRMSFLNSKSNSELAPINWIASGFTKTCGDFRVGRYNITETVNIFSHRVSWSKGPRGIIRIIPKKGDTWAVYQNWSSDWNELTPDDVIYKYEIVEVIDDFTEEQGVNVIPLLKVAGFKAVFRRNTGPDVVRRIPKEELFRFSHRVPSRLLTGEEKNNAPKGCHELDPAATPVHLLEVIADVKEDTAQGSSD >PVH65846 pep chromosome:PHallii_v3.1:1:6172418:6175057:1 gene:PAHAL_1G088800 transcript:PVH65846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLARHPQTSESNANLRIEAISHETFEQSHRSLLFDNFADDEAHSDAFSHAHSSHSASNVVPSSGSSEQVASESSVANAAETEGSKNYDSLSSLGEAPCATSNSSEECQSVDEVAKLRKELQDTLVVYDKACVELVNAKKKIQVLSTECSEEARKVEHALEWEEALKQTVADEKAKQLEALNQVERARRSFTREAYSRHKAEMATSLISEDRAQIVDAILSKSRTCRRYSKQDIQLATDNFSEARKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDRTDEFLKEVEILSQLRHPNLVLLIGFCPEIGCLVYEYLKNGSLEDQLFNNKGRQQLHWFLRIQIIFEVSCGLAFLHARNPEPIVHRDLKPANILLDRNYVGKIGDVGFAKLLSDLVPDWQTEYRETIVAGTLYYMDPEYQQTGTVRPKSDVFALGVIMLQLLTGRRPNGLIVSAENAVRNGRLRDILDKSQSDWPADEAEMFAKLGLKCTALKCRDRPDLESEVLPELDEILHRITSAVNLRNPKLSVPSHFICPITQELMEDPHVAADGHTYEQYAIRAWLKRHKTSPVTRSKLPNSSIIPNHSLRAAIEQWKSQLPDQTTDP >PAN08766 pep chromosome:PHallii_v3.1:1:58232373:58235217:-1 gene:PAHAL_1G435000 transcript:PAN08766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEGFLRVLRALRDAARRVEAGEGRGPAQQALLALEAGADDLLAGDPNLSALRRLLYRLRALSCSVDLCGTGVIGSLRARCRRCGARRGIARVAGAVAGEIQAWIDRETIARLVAALRRLDGGGADAARALLGELEARLLSVGRFDPRLQRALLQHGVFSAVEAKLRDPDVGDGCAAAVLALVRFNKDVFVGPVLMGPAVGALVASASDSPAPLRALNGLLAAIRSPLVDELHARGELPRLVALLCAPDPRVRTLALEFALRVGYYGRKEVVDALLAEGLVKRLLCLQRSDDLGGSLADSDDSYCSLEEKPDAKGVSLLACLLGWRCEDDGAAALASERPFASAVARFAVQVEVGEGLSPREKREAKLEILRRAREAAVSPAEEATVLAEVLWGATP >PAN07525 pep chromosome:PHallii_v3.1:1:51941905:51944334:-1 gene:PAHAL_1G342800 transcript:PAN07525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCFGSDVPEVGAVKAMAHAHHAHPQVAMAKRVMAASNAAVSPGMPGRSPPGAPTTSTGGAGTGASGKPRPAGGGGEAAGVDGRILEVPNLRVFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARNGAGSMPVAVKKLNPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAKGSLENHLFRSEPRKPGAAVQPLPWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFNAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEILTGLRALDTDRPAAQQNLVDWAKPFLADRRKLARLVDPRLEGQYSSRGAQRAAQLALRCLAADHKNRPSMREVVAVLEEVESMSTRSAATTRLDGSASPRLAAARSGRAQRPVSGSSSDWAGPAGGRGAHPSPRVG >PAN05285 pep chromosome:PHallii_v3.1:1:10503086:10509425:-1 gene:PAHAL_1G128700 transcript:PAN05285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRPSRIAVVVEDRCRPNKCGQECRWRCPVNATGRQCIQVMPSSKLSLISEDLCIGCGICVKVCPFNAIQIINLPRELDKETTHRYGPNSFKLHRLPVPKPGQVLGLVGTNGIGKSTALKILAGTLKPNLGKFTDPPNWDDILKHFRGSELQKYFTRLLEDKMKAVIKYQYLDHIPKSVEGKVGDLLNKKDERQVKDTLCDILELKQVMDRKVSDLSGGELQRFAIAARAMENADIYMFDEPSCYLDVKQRLKAAQVIRSLLHPKNYVIVVEHDLSILDYLSDYICCLYGSPGAYGVVTLPSSVREGINIFLNGFIPSENMRFREEKLTFRVTESAEQITEGETYQSYKYPTMVKTQPGFKLSVMKGSYNDSQIIVLLGENGTGKTTFIRMLAGRVKPDKLGDEEVDMPACTVSYKPQELISKISSTVRELLQKKIPGSCSQAQFRSDVMKPLKIEELMDRQVANLSGGELQRVALCLCLGKPADIYLIDEPSAHLDSEQRLLAAKVIKRFILHEKKTAFVVEHDFIMATYLADKVVVFEGKPSVDCTANVPEPLASGMNRFLSHLDVTFRTDPTTYRPRINKLGSTKDTEQKAAGCHYYLDY >PAN03977 pep chromosome:PHallii_v3.1:1:2246163:2253107:-1 gene:PAHAL_1G032300 transcript:PAN03977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSPASSGVAPAAAAASAGGAPPEGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDIDAHVPSYPNLPSKLICLLHSVTLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFNMQPPAQELQARDIHDTVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRDERQQLLLGIRRASRQPTNISSSVLSSDSMHIGVLAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQSDDESSEMENLFKRTMPWLGEEICLKDAQTQNTTMPGLSLVQWMNMNRQQSSTLANTGIQSEYIHTLSNPAMQNLGATELARQLYVQSHLLQQNSAQLNASKLPQQMQPINELSKGVLSFNQLDAISNQEQKQEAGNQQRQQQTVSQAIPLSQAQATLVQAQVIVQNQMQQQQQQQQQQQQQPQQPSPTKNQQGTSNQQLFLSQQQQDQNLQLQQQQQLLLKQLQRQQQHNQQLNKLPGQLMNMAGQQTQLSDQELQLQLLQKLQQQSLISQPAVTLSRLPLIQEQQKLLLDIQQLSSSRSLAQQRITPQQDSKVSLQASSAPPPMKQEQQQKLSQKQVALADVSDVAFPPISSTNVAGKAGSPLIIPGAAQSVLTEEIPSCSTSPSTANGNHLAHPTTGRNEHCKVNIEKVPQSSALMSIPTSVEAVTAAPIMGKDLSKLNHNVKGNVITSKSPTSGTGPDNLLNNVPSTDNLETASSATSLWPTQTDGLLHQGFPTSTFNQQQMFKDTLPDVEIQDVDPTNNAFFGISNDGPLGFPMETEGLLVSAINPVKCQTHMSTDAENNYHIQKDAQQEISTSMVSQSFGHSDIAFNSIDSAINDGALLNRNPWPPVPPPQRMRTFTKVYKRGAVGRSIDIGRYSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLEGDLGNNVLSNQACSSSDGGNAWRPHCDQNPSNPSIGFYDQFE >PAN03976 pep chromosome:PHallii_v3.1:1:2245277:2253481:-1 gene:PAHAL_1G032300 transcript:PAN03976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDIDAHVPSYPNLPSKLICLLHSVTLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFNMQPPAQELQARDIHDTVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRDERQQLLLGIRRASRQPTNISSSVLSSDSMHIGVLAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQSDDESSEMENLFKRTMPWLGEEICLKDAQTQNTTMPGLSLVQWMNMNRQQSSTLANTGIQSEYIHTLSNPAMQNLGATELARQLYVQSHLLQQNSAQLNASKLPQQMQPINELSKGVLSFNQLDAISNQEQKQEAGNQQRQQQTVSQAIPLSQAQATLVQAQVIVQNQMQQQQQQQQQQQQQPQQPSPTKNQQGTSNQQLFLSQQQQDQNLQLQQQQQLLLKQLQRQQQHNQQLNKLPGQLMNMAGQQTQLSDQELQLQLLQKLQQQSLISQPAVTLSRLPLIQEQQKLLLDIQQLSSSRSLAQQRITPQQDSKVSLQASSAPPPMKQEQQQKLSQKQVALADVSDVAFPPISSTNVAGKAGSPLIIPGAAQSVLTEEIPSCSTSPSTANGNHLAHPTTGRNEHCKVNIEKVPQSSALMSIPTSVEAVTAAPIMGKDLSKLNHNVKGNVITSKSPTSGTGPDNLLNNVPSTDNLETASSATSLWPTQTDGLLHQGFPTSTFNQQQMFKDTLPDVEIQDVDPTNNAFFGISNDGPLGFPMETEGLLVSAINPVKCQTHMSTDAENNYHIQKDAQQEISTSMVSQSFGHSDIAFNSIDSAINDGALLNRNPWPPVPPPQRMRTFTKVYKRGAVGRSIDIGRYSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLEGDLGNNVLSNQACSSSDGGNAWRPHCDQNPSNPSIGFYDQFE >PAN07816 pep chromosome:PHallii_v3.1:1:53484227:53487121:1 gene:PAHAL_1G365100 transcript:PAN07816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGGGGSGAHKAASGSAPSPAPPAPNPTAMLSALMSKRAKLQEELRSIERQGHYNICSVTACSKVDLKVYDMETTYLQESNQFGSVLKGFESFLSSSKNSSNLKRSRKFQADERLFSLSSVTSPAVDEHLTGRDDGREYGPGRSKGGSTPANGQGKPKKGGRPGGRDGKRLRPSNDPDLDDEDDY >PAN07815 pep chromosome:PHallii_v3.1:1:53484227:53487121:1 gene:PAHAL_1G365100 transcript:PAN07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGGGGSGAHKAASGSAPSPAPPAPNPTAMLSALMSKRAKLQEELRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNSSNLKRSRKFQADERLFSLSSVTSPAVDEHLTGRDDGREYGPGRSKGGSTPANGQGKPKKGGRPGGRDGKRLRPSNDPDLDDEDDY >PAN07644 pep chromosome:PHallii_v3.1:1:52567725:52572404:-1 gene:PAHAL_1G351900 transcript:PAN07644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAAWRVLQRAVTSPLLGTGRSQIRSPFPTMASLSPAAASSPKRLRVYSSAPAGGEDGAGSGRRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGLNEDHEDVHRLYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLSGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSGEIMILDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVAVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >PVH67160 pep chromosome:PHallii_v3.1:1:58778938:58780281:-1 gene:PAHAL_1G442700 transcript:PVH67160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKDTKLTINDNHAWIHVIECCNMEDLKLDVDCHAVYKTEWLRVPCDPGGWRCLHLAIYKKWVLDNEKLLEDQAVHGSVSDRMEAILLLPRCWLSFSASGVSCGNNIDDEVGSELKDVSRREGCQEPANGP >PAN06786 pep chromosome:PHallii_v3.1:1:48452385:48456658:-1 gene:PAHAL_1G288100 transcript:PAN06786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MQKQTGKSGGSGGGTPAKRGRPFGSTTGSGAAAAAAAAAVGDPGAPAALVGPSLQVLSALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDFRRDTTPLTKVPGLLDALLQVIDEWRDIAMPRDPLKPSRVRTLGANTTLSGFGQENMEKVYSDTGTASNDQSKTEESSVTKKRTASFWFDEDGLFNNDDEGRAERQQCAIAASNIIRNFSFMPENETIMVQHRHCLETVFQCLEDQNREDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKMTEKGAVHAIMGMLSSSVKPWHCAAAELIGRLIINPDNESFLLPVIPQIYKRLVDLLSVPAYDAQAAAVSALYNVAEVNMDCRLKLASERWAVDRLLKIVKTPHPVPEVCRKTSMILESLVSEPQNRMHLLVHENTFAEILTTEGKYSDTFARILYELTARPSNKVTSGHAIWGNIN >PAN09068 pep chromosome:PHallii_v3.1:1:59509560:59515017:1 gene:PAHAL_1G456200 transcript:PAN09068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVDPAPNPTSDLPNGSSAQDRKKSRESDRRRRRRKQKKNKAATNAADAEPDEEAAPDGAKENADPKHQVEVEVEVEYVPEKAELDDALLADFKDIFDKFTFKDSPAATEDGEKKDEAGTDAAKKGDGSDSDEDAQDAQQKKEGGVSNKQKKLQRRMKIAELKQICARPDVVEVWDATASDPKLLVYLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGVLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEPMEDEDMEEGMQSVDTISSTPTGVETPDVIDLRKLQRKEPERQTEKQLYQVLEQKEERIAPGTLYGSSHTYVLGAQDKAASKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQQEKDGKSKKKEFKF >PAN08738 pep chromosome:PHallii_v3.1:1:58135191:58139142:-1 gene:PAHAL_1G433500 transcript:PAN08738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGSFSSEAVNVDRASREGISSLGSELGNVANTVPTNEIGGPISESDLHPSLMTSERILSDLEGEIAPQATSSTTVLTSEGSDISQSSLTSMSPNSSTASSVIREPTPDSNPTRADVSIFSGPHGQTGGSILHDDMMSIFSNDGLGHSRDSSSSETRRSHRRVLWDTFSRRGSRGYPQSDADDLGFYSTWLDLGDDLFGELEESRYFHRRRHGSIRIREHRRAVFDSGNEQSTAACPLGIHQVGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYRKLVTPQCSDDMEQCHICLTEYEDGEQIRTLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEVAS >PAN08737 pep chromosome:PHallii_v3.1:1:58135654:58139025:-1 gene:PAHAL_1G433500 transcript:PAN08737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSSRADAPSRRRARLGLGGCFGGGSSAAAAAARDGGSFAADAPSSSRANEVQSQQSGRAVNGVNFHASLAAKDLQISSESNPRLHSSSSTISHHLRFNHLNCHENKEDGLATENAETSGLESSSRKAVMVRGSFSSEAVNVDRASREGISSLGSELGNVANTVPTNEIGGPISESDLHPSLMTSERILSDLEGEIAPQATSSTTVLTSEGSDISQSSLTSMSPNSSTASSVIREPTPDSNPTRADVSIFSGPHGQTGGSILHDDMMSIFSNDGLGHSRDSSSSETRRSHRRVLWDTFSRRGSRGYPQSDADDLGFYSTWLDLGDDLFGELEESRYFHRRRHGSIRVSQYSRSRIREHRRAVFDSGNEQSTAACPLGIHQVGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYRKLVTPQCSDDMEQCHICLTEYEDGEQIRTLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEVAS >PAN08734 pep chromosome:PHallii_v3.1:1:58135654:58138305:-1 gene:PAHAL_1G433500 transcript:PAN08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRCCLQVQSQQSGRAVNGVNFHASLAAKDLQISSESNPRLHSSSSTISHHLRFNHLNCHENKEDGLATENAETSGLESSSRKAVMVRGSFSSEAVNVDRASREGISSLGSELGNVANTVPTNEIGGPISESDLHPSLMTSERILSDLEGEIAPQATSSTTVLTSEGSDISQSSLTSMSPNSSTASSVIREPTPDSNPTRADVSIFSGPHGQTGGSILHDDMMSIFSNDGLGHSRDSSSSETRRSHRRVLWDTFSRRGSRGYPQSDADDLGFYSTWLDLGDDLFGELEESRYFHRRRHGSIRVSQYSRSRIREHRRAVFDSGNEQSTAACPLGIHQVGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYRKLVTPQCSDDMEQCHICLTEYEDGEQIRTLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEVAS >PAN08736 pep chromosome:PHallii_v3.1:1:58135191:58139142:-1 gene:PAHAL_1G433500 transcript:PAN08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSSRADAPSRRRARLGLGGCFGGGSSAAAAAARDGGSFAADAPSSSRANEVQSQQSGRAVNGVNFHASLAAKDLQISSESNPRLHSSSSTISHHLRFNHLNCHENKEDGLATENAETSGLESSSRKAVMVRGSFSSEAVNVDRASREGISSLGSELGNVANTVPTNEIGGPISESDLHPSLMTSERILSDLEGEIAPQATSSTTVLTSEGSDISQSSLTSMSPNSSTASSVIREPTPDSNPTRADVSIFSGPHGQTGGSILHDDMMSIFSNDGLGHSRDSSSSETRRSHRRVLWDTFSRRGSRGYPQSDADDLGFYSTWLDLGDDLFGELEESRYFHRRRHGSIRIREHRRAVFDSGNEQSTAACPLGIHQVGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYRKLVTPQCSDDMEQCHICLTEYEDGEQIRTLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEVAS >PAN08739 pep chromosome:PHallii_v3.1:1:58135654:58138047:-1 gene:PAHAL_1G433500 transcript:PAN08739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGSFSSEAVNVDRASREGISSLGSELGNVANTVPTNEIGGPISESDLHPSLMTSERILSDLEGEIAPQATSSTTVLTSEGSDISQSSLTSMSPNSSTASSVIREPTPDSNPTRADVSIFSGPHGQTGGSILHDDMMSIFSNDGLGHSRDSSSSETRRSHRRVLWDTFSRRGSRGYPQSDADDLGFYSTWLDLGDDLFGELEESRYFHRRRHGSIRVSQYSRSRIREHRRAVFDSGNEQSTAACPLGIHQVGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYRKLVTPQCSDDMEQCHICLTEYEDGEQIRTLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEVAS >PAN06129 pep chromosome:PHallii_v3.1:1:44171342:44173641:-1 gene:PAHAL_1G240300 transcript:PAN06129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMAAAVLPSRGALFGAPTAAAPPCRGNRRRRACLSLVVAMASAAQQVRAPRKPFAPPREVHRPVAHSLPPQKREIFESLNSWAADTILPLLKPVESSWQPQDYLPDASSESFGDEVRELRARAREIPDDYLVCLVGDMVTEEALPTYQTMLNTLDGGVRDETGASPTSWAVWTRAWTAEENRHGDLMNKYLFLSGRVDMRQIEKTIQYLIGSGMDPKTEMNPYMGFIYTSFQERATFISHGNTARHARRYGDAKLAQICGTIAADEKRHESAYERIVAKLFEVDPDYTARAFADMMRKKVAMPAHLMYDGRDDGLFARFSAVAQRLGVYTARDYADIIEFLVRRWGVADLTGLSGEGRRAQEFVCSLGPRFRRLEERAQAARGKDDAEFAPFSWIYDRQVQL >PVH66144 pep chromosome:PHallii_v3.1:1:19395768:19397867:1 gene:PAHAL_1G159600 transcript:PVH66144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTVVRIGLPSKGPHGRANPEPPQGSVFRISKQLGILHILLTALCSAVVLTPSCRMNSEGFTCIVHYSFPYEICSTWTKGLRRLRTTCKEEQAVRRDWLRIRC >PVH66500 pep chromosome:PHallii_v3.1:1:46819867:46820781:-1 gene:PAHAL_1G264300 transcript:PVH66500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSNNLDGSWLLRRRKDISYFSFSKIVDSDLCNFKNLIEKIVNQYPHSYLEVVRIFYYEDVKKCFPQVTTEHELLEMFSKHVDKKVIRMTPAYTDPTYVVPVLECYTPENSDVLDIPCTPSMACPLLATASQSNEPIYSQYSKPKTSQPAEHSTNERSTSEPSTNETNDAPDGDEYLANPEPQNEYMGIDDEGLYLAVHKTHVVEESDSTSDFKSDEEYEEEDDLVGKDPLPPVPFMAYDRDDPPMRAI >PVH65495 pep chromosome:PHallii_v3.1:1:740830:742371:-1 gene:PAHAL_1G008100 transcript:PVH65495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGREPTFAFSTEDATAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSIIDNAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHILMGILVLTMGQDLPDGNLRSLQKKGDVNKDKFSKVMWYAITNYRTWIFVLLYGYCMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGILSDIGARYWGMHARLWNIWILQTAGGAFCLWLGRASTLPVSVVAMVLLSFCAQAACGAIFSVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGTGLEYMGIMIMACMLPVVFVHFPQWGSMFFPPSSGAMEEHYCGSEWSEEEQGPPQRQPQVRREQPLRARQAQCHPGRLQYATKQHARARITK >PAN03731 pep chromosome:PHallii_v3.1:1:1370251:1372231:-1 gene:PAHAL_1G017700 transcript:PAN03731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAAPMAAPPLAAVRPGLLRVSCSASTAPSKNSNSSRQPQQQVPRLLPAPPLSAAAAPAAAMVPLLLAALPPDALAAGGEFGILEGRSFALLHPLVMGGLFGYTLWAGYLGWQWRRVRTVQDEINELKKQVKPAAAAAPAAVGAGDASSAAPPPPAPKSPAEIKIDELTEERKKLLKGSFRDRHFNAGSILLGLGVLESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAGAAALVPAMQKGNETARSLHIALNALNVLLFIWQIPTGLEIVGKVFEFTTWP >PAN04387 pep chromosome:PHallii_v3.1:1:4368100:4372631:-1 gene:PAHAL_1G063700 transcript:PAN04387 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MATAGKPSASLPAAAAPSTSFNALDSVHFSSGNPRIEETRGVVLLHPDQPAASSSPHLPVGRKPRVCVLAVPNHMTYADFCRFCGAFVPHTLEMRIVRTDGAEDQYSVLINFDTQNSTDNFYKHFNGKQFSSLEGDVCHVRFVEDVHYTQLIEHAHSSVTSLAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSMCSICGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCHGGHTAENTCSLCSGDAAMSEALLNSKFEAIVEEYNDLVTSQLEKQRNYYESLLLEDKEETEKEIAAAVEKAVSIKIQKLEAKIDKCTEEKRFLDEVNGNLVKNQEKWIETIRKAQAREQAALRLKDEKIEKLQEEIRDLIAHFECQNAIAQAPDSISSNIQGGTILPVASSSSSSNSGRSSPVRGTMNGKLK >PVH66020 pep chromosome:PHallii_v3.1:1:10304494:10317556:1 gene:PAHAL_1G127400 transcript:PVH66020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGDENILVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYVIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASRNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSHPAEFSSYFHYCHSLTFDQRPDYSFLRRLFRDLFDREGYQFDYVYDWTLLKCKQSQKAKAQQGCSQDAGVSSRPVTTNVDKHKVNVVRPVEASGLVEAEQRPAIRMQLKPSAENSRSNNLHHDKLRVTASPDNALMQSTSLGRVDAPRNTAAVSKTHGSFDPHTRGSYSPGPSNRI >PVH66019 pep chromosome:PHallii_v3.1:1:10304494:10309903:1 gene:PAHAL_1G127400 transcript:PVH66019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGDENILVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYVIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASRNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSHPAEFSSYFHYCHSLTFDQRPDYSFLRRLFRDLFDREGYQFDYVYDWTLLKCKQSQKAKAQQGCSVSTA >PAN04112 pep chromosome:PHallii_v3.1:1:2957416:2961924:1 gene:PAHAL_1G043000 transcript:PAN04112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLRAPADEQRQQEALHSEKDDVAGGGGGNESNKKARAGLCGVLRERKVVDLARAKRRLVEVPYTATLAHTANALLAARVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIAEASDEAEADDEAVDLDRRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESSADNVVAVELVESAPGYRMLTQMDVVRFLRAHGAELKGVLSRTVRELGAVNEAVFALASGARVIDAVKAMRAASLTAVPVVDAAVGEETLQDGVGKKAIGTFSATDLRDCPVARLQPWLGISVTEFKRKVAEYRASNKPVVPGADATDTGVPVAADADTPAAAAVATDEERSNEQPLVTCFPESTLGEAIEAAATRHVHRLWAVDGEGLLRGVVSLTDVLRAVRGAALGEDRELHNIVSS >PAN04082 pep chromosome:PHallii_v3.1:1:2555989:2558634:-1 gene:PAHAL_1G037300 transcript:PAN04082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >PVH66461 pep chromosome:PHallii_v3.1:1:45662958:45668197:1 gene:PAHAL_1G252200 transcript:PVH66461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIHLVLVLCVAAAAALMASFCAAAGEGAAATAQELRRGFSVTHDQSYSQFQPVLADPTGAFALGFLRVNATMLDLAVLHLPSAFPLWRAIPDRPAPWSAPASLTFDGGLALTDRAANKVLWSAAAASASAGDRVVLLSTSNLQIQSTSAGVVWQSFDYPSDTIVQGQNFTSAAALFTLDRRFAMRLGSNYFALYIEPPPPSSGGVAAAMYFKRTALEAKAQIVAGGGPTYARVEPDGFLAMYQKEGPPADVLSFDTFNRGVRALRRMTLEPNGNLRAYYWDGTRWALDYTAITETCELPTTCGAYSVCAQPSGGCECLANATDGSGCAAATPASVRGSLCGTTGGEVGGLYWAVRRQGVEPVNKELLGSEHAASATDCEARCERNCSCWGAVYSNGTGYCYLLDYPAQLLVVGDERKLGYFKVRSLQEAAGRGRSGGGAGGVKAALLTIGVAAVVGAAAFGAYRVWDRRRRTAADARRQLGAVDDGLSPGPYKNLGSFSSVELTNSFRRPCGRRDLPAVKGQGAAGQARGLARTAAIEERRRQAKQTKPQPYAEPTPAPTPPTLCGAGATVASLSPLPRLDSGTHAIHAPGVYLDELIASSSSARSGGGGKDVEMVGERLQDVGILNGSTSSAAGDGSAPKPEEVKRLPGLPATMCQQMDSITNTRAPEQPRDLRRERERVYSASMISEQREERNRKRREAYKRKKCDANNKENDLGRGGN >PAN05448 pep chromosome:PHallii_v3.1:1:28764256:28770460:-1 gene:PAHAL_1G192000 transcript:PAN05448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAACWSKLAHSSSIIVLLVVVALAMAPIAHQSDDHTTNMTDLSP >PAN05882 pep chromosome:PHallii_v3.1:1:40648114:40653135:1 gene:PAHAL_1G226600 transcript:PAN05882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLSGPHEHRLSSALDGQYDEKRKSNVEYSDDEKKAMIVCLKKKAMSASQKLRHSMKRGRKSSKVMSISILDERDPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIDKAKQMWSDMLKWRKEFGADTIVEEFEFEEADKVAQCYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVKEFEKNFAIKFPACSIAAKRHIDQSTTILDVQGVGMKQFSKAARDLIGMLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDPEIMKMVQSGAGRCGSLSSPSFMAEEKMICEDDIIYPKKQASFNGEAQLAGNGQLILSRKISRSRIEHPQLSPVHEELMPTSYPTPDSPYSCDVPMVEKAIDAICKSQGTLPDEKLAITKAVVDASTGSNPPLFGSIIGLVMSIATMLRVTRNMPGKVLGAAIGGTKPATLTKSKSKIQARQRSKISLDAVKVAEDVVSAKRLAELEEKIIALLTKPATMPADKEEMLQAAVSRVSALEEELAATKKALQETLERQGEIVAYIEKKKKKKSKRLFRW >PAN05881 pep chromosome:PHallii_v3.1:1:40648256:40653135:1 gene:PAHAL_1G226600 transcript:PAN05881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLSGPHEHRLSSALDGQYDEKRKSNVEYSDDEKKAMIVCLKKKAMSASQKLRHSMKRGRKSSKVMSISILDERDPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIDKAKQMWSDMLKWRKEFGADTIVEEFEFEEADKVAQCYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVKEFEKNFAIKFPACSIAAKRHIDQSTTILDVQGVGMKQFSKAARDLIGMLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDPEIMKMVQSGAGRCGSLSSPSFMAEEKMICEDDIIYPKKQASFNGEAQLAGNGQLILSRKISRSRIEHPQLSPVHEELMPTSYPTPDSPYSCDVPMVEKAIDAICKSQGTLPDEKLAITKAVVDASTGSNPPLFGSIIGLVMSIATMLRVTRNMPGKVLGAAIGGTKPATLTKSKSKIQARQRSKISLDAVKVAEDVVSAKRLAELEEKIIALLTKPATMPADKEEMLQAAVSRVSALEEELAATKKALQETLERQGEIVAYIEKKKKKKSKRLFRW >PVH66531 pep chromosome:PHallii_v3.1:1:47469891:47474374:1 gene:PAHAL_1G273800 transcript:PVH66531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRERRRSREEGSGLEGERRKQTGCVGCGGASLVKVRIRERLRAGAKQLPSSSSYHTAWVAVWIMRNQHDDGSWGIGRHLGRLPRLGKDAVSTLACVLALKTWNIGDDHIRKGLRFIENNSSCVMDDKCDTPVGFNIIFPGMIKSGIDLGLELPLRQSDFDEILRLQVKSTDQGRKAYMAYVAEGLGDIIQDWDEILRYQSKNGSLFNSPSATSAFAIHSHDTNALKYLDLLGNKFVSSVPTAYPMSIYSRLCMVDTLEKMGISHHFSCEINSILDLTYRSWLQNEEEIIMDMETCAMAFRILRMHGYDITSDVFSQFSEDSRFRDSVQGQLNDTKTLLELYKVSQKMNGTWKTLVPGQVQYALQFPFYSANVEPLEHKMNIEHFDIKRANFHPTRCQQLVQRGWTGPAQVWKIVIFMASTVFPSKSSAARIASIKNTLLITVVFDFFDGRGSTEELENLLALFEKWDAHAGICFCSKHVEILFYAVYNTSNQIAAKAEEVQNRRVVDQIAEIWVNLARAFMVEAEWTREKHVPTIEEYMPVAEVSFALGTILAIPLYLAGPELSEDTVRGPEDLLRHTSICARLLNDLQTYEKERDQGYVNSVPLYALRHGGLTSSASIEAAKREIRRDIVACRRELLRLVLREGRAIPRPCRELFWNMCKVAHLFYLQGDGFLSLKELMAAASATVREPLQVARAEDNGVWISLGPIIHCKDVLKYDSGYVRGPSC >PAN04557 pep chromosome:PHallii_v3.1:1:5135578:5142393:-1 gene:PAHAL_1G075100 transcript:PAN04557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTEGLDESAISWIKQGTDSPAPAPPRSPLAERPPLGQVAASPRSPALHARACGAGFFSPKGLPPVRTTGTRHSGLLGRHPALLSAADSDEWEDGEEESVASWGMPEDCYGGNFSETADEACSSDSSLLRRAMDRCPGGWDEEVTSQLSRKGGGIVRGQSKEFLRVEVRAAGAGSAGKCAGAQDPVDSSSHGHYFEEQKFQTPSAPPIAGDEDEVMFDAVETAETSGGPERTGLSSVADILAHDVHELPTSSNFHEHGVQMPYVENNLLAQIPSFTINVQNAWQSFVAYDACFRLCLNAWARNCMEAPEFLRDECMVLRSAFGIQNFLLHPRHSSQVDGKQVYDKDGSCTIKGRKLVKQIEIEVKKIRVVPHRPKLRATSSFRNLYMQAGSDYVRQISKVLKSQVTMLTSTSSTSLPEEMFTCTLELQSSCRGHQRDSISPQYLKPGTGESQLFYLESQGDVIIVEVQDNNRVVIGRAKIQVSSITDTQDEIIRWWPLYLEDQECVGKIQLCMNLSMTSNNYGSEKMLQGGPAVDTIIYDMVLEAAMRAQSFNSKMLHISGSWKWLLDEFADYYGVSDAYRKLRYLSYIMNVAIPTKDCLELIHELLLPIMKAREDRTLTRQERSIMLDCEDRIKSLLAAVFENYKSLDEHSPTGLSDLYGPMSDCAAPALTPAVQIFSILHDILSKEAQDILRNYLQTAAAKRCRRHMIETDEFLSSNNDSLLTDPMTISAAYLKMKTLCMNISCEIQADIKIHNQNILPSSIDLTNIAASIYSTELCKRLKGFLSSSPPSRPLQHVAELIIATADFERDLHSWQVRPVHGGVVSRELFHDYIMVWIEDTRLHLLDNCKAEKTSCPAVSTISPFVEQMYEQIKESIHEFGVVINRWPQYLMSLESAVADVEREIMKALEKQYMETLMPLRDGIPKYLEKQVQRLTRRQSLAPYAVPSQLGAFMNTVKRMLDVLHCRVEDILKSWAAYLTITSGNNVFGEQMNSITVMLKKKYKNYLKAIVDKLVSNAQANRNTRLKRILEETREADGESDIRERMQAVRLQLSDSIQNLHEVFSSRIFVAICRGVWDRLGQIVLRFLESRKENRIWFRGSDYALRILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >PAN04556 pep chromosome:PHallii_v3.1:1:5135958:5142237:-1 gene:PAHAL_1G075100 transcript:PAN04556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTEGLDESAISWIKQGTDSPAPAPPRSPLAERPPLGQVAASPRSPALHARACGAGFFSPKGLPPVRTTGTRHSGLLGRHPALLSAADSDEWEDGEEESVASWGMPEDCYGGNFSETADEACSSDSSLLRRAMDRCPGGWDEEVTSQLSRKGGGIVRGQSKEFLRVEVRAAGAGSAGKCAGAQDPVDSSSHGHYFEEQKFQTPSAPPIAGDEDEVMFDAVETAETSGGPERTGLSSVADILAHDVHELPTSSNFHEHGVQMPYVENNLLAQIPSFTINVQNAWQSFVAYDACFRLCLNAWARNCMEAPEFLRDECMVLRSAFGIQNFLLHPRHSSQVDGKQVYDKDGSCTIKGRKLVKQIEIEVKKIRVVPHRPKLRATSSFRNLYMQAGSDYVRQISKVLKSQVTMLTSTSSTSLPEEMFTCTLELQSSCRGHQRDSISPQYLKPGTGESQLFYLESQGDVIIVEVQDNNRVVIGRAKIQVSSITDTQQDEIIRWWPLYLEDQECVGKIQLCMNLSMTSNNYGSEKMLQGGPAVDTIIYDMVLEAAMRAQSFNSKMLHISGSWKWLLDEFADYYGVSDAYRKLRYLSYIMNVAIPTKDCLELIHELLLPIMKAREDRTLTRQERSIMLDCEDRIKSLLAAVFENYKSLDEHSPTGLSDLYGPMSDCAAPALTPAVQIFSILHDILSKEAQDILRNYLQTAAAKRCRRHMIETDEFLSSNNDSLLTDPMTISAAYLKMKTLCMNISCEIQADIKIHNQNILPSSIDLTNIAASIYSTELCKRLKGFLSSSPPSRPLQHVAELIIATADFERDLHSWQVRPVHGGVVSRELFHDYIMVWIEDTRLHLLDNCKAEKTSCPAVSTISPFVEQMYEQIKESIHEFGVVINRWPQYLMSLESAVADVEREIMKALEKQYMETLMPLRDGIPKYLEKQVQRLTRRQSLAPYAVPSQLGAFMNTVKRMLDVLHCRVEDILKSWAAYLTITSGNNVFGEQMNSITVMLKKKYKNYLKAIVDKLVSNAQANRNTRLKRILEETREADGESDIRERMQAVRLQLSDSIQNLHEVFSSRIFVAICRGVWDRLGQIVLRFLESRKENRIWFRGSDYALRILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >PAN07760 pep chromosome:PHallii_v3.1:1:53223083:53226107:1 gene:PAHAL_1G360500 transcript:PAN07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGMERAGYGGAAAMGGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQSKKDTGLEASRGAFAAQGINFSAPVPPSIPSTAGNNTGETPLADALKYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDATGAANLEATRSQLTDFNLALSGFMDNVSQACEQNNGELAKAMTEDNLRASNLGFQLYHGVHDGEDVKCTPDEGLLLLDLNIRGGYDHRSTADLKMNQHMR >PVH66585 pep chromosome:PHallii_v3.1:1:48418661:48426370:-1 gene:PAHAL_1G287600 transcript:PVH66585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRDGAGGRMNMWARLFARLLHPCSISIRTDQRETMSATRRCQRPRSSSATLELARGRATRCWNATYESLLGSNKPFSQPIPLGEMVDLLVDAWEQEGLYD >PAN08782 pep chromosome:PHallii_v3.1:1:58283183:58284407:-1 gene:PAHAL_1G436000 transcript:PAN08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRLQYNRRFVTAVVGFGKKRGPNSSEK >PVH65596 pep chromosome:PHallii_v3.1:1:2346926:2347455:1 gene:PAHAL_1G033700 transcript:PVH65596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIGYKFGVLRWSKTGKKTIEGTAAGITSVLAACSILVSLLASSGYILSQNWLSLLIAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >PVH66026 pep chromosome:PHallii_v3.1:1:10482628:10483521:-1 gene:PAHAL_1G128400 transcript:PVH66026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWSRFVHSSAAAFPSAVEVELRGILAHAWEPETAFQLLIDCCVPCDVHPNTAAQRDVFRLASWFSNLSSILRLIEMVIPEPEVVGVPEGQEKHSLSYPVWISARPLDGNSGAASSPPPPPPPCNKHGSIRL >PVH65704 pep chromosome:PHallii_v3.1:1:3913056:3916874:1 gene:PAHAL_1G057900 transcript:PVH65704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNSEQCLHETVAKRRNLQKISLSDLPMDILSTILSLLPINDAIRTSVLSRKLKYVWCSHTNLTFDKATMRKTYFKPSTGYYQFLRDHEFVTRVSTVLRQHSGTGVERMEIKFRLHSKHADHIDRWVNFAIASKAKELVIDLSCSSKDAFFRAFAYGKKWIREEPYNLTSQFFSPSNGLHLQCLELMAVSLHLPSDFKGFPNLKSLSLVDVSITDEDVECMLSKCNLLEFFEITHCRMVTSIRMLHPLNRFKHLVVHVCPKLQEIELNCSPTTLKYAGDMVPLIFASTSRLKNIDVVIFTVQSALSYIVTGFPSTLPSLETLTLLCYEPERTIVPEGHFKFSYLRNLRLELVMCDDGIRTTDFLDYAYLLKIAPFLETLELHMWMMMYWHQPYCKEDGELRIGLPHKHAHLKFVRISGFFGYKDQVELALHILRNSVALEKMEITPELEISYDLASGDDYERSHYVDSHRVATEFICKADHRNVVNVVRVPSSSWPDETAMEVDCRAYAEDIPSGQIRLV >PVH65705 pep chromosome:PHallii_v3.1:1:3913056:3916874:1 gene:PAHAL_1G057900 transcript:PVH65705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNSEQCLHETVAKRRNLQKISLSDLPMDILSTILSLLPINDAIRTSVLSRKLKYVWCSHTNLTFDKATMRKTYFKPSTGYYQFLRDHEFVTRVSTVLRQHSGTGVERMEIKFRLHSKHADHIDRWVNFAIASKAKELVIDLSCSSKDAFFRAFAYGKKWIREEPYNLTSQFFSPSNGLHLQCLELMAVSLHLPSDFKGFPNLKSLSLVDVSITDEDVECMLSKCNLLEFFEITHCRMVTSIRMLHPLNRFKHLVVHVCPKLQEIELNCSPTTLKYAGDMVPLIFASTSRLKNIDVVIFTVQSALSYIVTGFPSTLPSLETLTLLCYEPERTIVPEGHFKFSYLRNLRLELVMCDDGIRTTDFLDYAYLLKIAPFLETLELHMWMMMYWHQPYCKEDGELRIGLPHKHAHLKFVRISGFFGYKDQVELALHILRNSVALEKMEITPELEISYDLASGDDYERSHYVDSHRVATEFICKADHRNVVNVVRVPSSSWPDETAMEVDCRAYAEDIPSGQIRLV >PVH65706 pep chromosome:PHallii_v3.1:1:3913056:3916875:1 gene:PAHAL_1G057900 transcript:PVH65706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNSEQCLHETVAKRRNLQKISLSDLPMCLELMAVSLHLPSDFKGFPNLKSLSLVDVSITDEDVECMLSKCNLLEFFEITHCRMVTSIRMLHPLNRFKHLVVHVCPKLQEIELNCSPTTLKYAGDMVPLIFASTSRLKNIDVVIFTVQSALSYIVTGFPSTLPSLETLTLLCYEPERTIVPEGHFKFSYLRNLRLELVMCDDGIRTTDFLDYAYLLKIAPFLETLELHMWMMMYWHQPYCKEDGELRIGLPHKHAHLKFVRISGFFGYKDQVELALHILRNSVALEKMEITPELEISYDLASGDDYERSHYVDSHRVATEFICKADHRNVVNVVRVPSSSWPDETAMEVDCRAYAEDIPSGQIRLV >PAN05164 pep chromosome:PHallii_v3.1:1:9332091:9335133:1 gene:PAHAL_1G118900 transcript:PAN05164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGAMCELLVDDHSELRHVSGAEDLFSILETWEECMNGGGGGGGGSAAAMPAYSQSSTGGSESAGAVAGARPTANGRRRAGDEEKGVGRGAPAQKKQKGSSTAAAAQDAAADEGAVKMSHIAVERNRRKQMNEHLAVLRSLMPCFYIKRGDQASIIGGVVDYIKELQQVLRSLEAKKHRKAYAEQVLSPRPAVSAASPRPLLKSTPPLSPRVAVPISPRTPTPGSPYKPSGGGGGAGSSRLSHPAAAAYMIPSPAMTPTTSSSSSSYAHDHHQQHYPTTQPYLPTLDSLVTELAAQAAGGRPAAAGLALPDVRVEFAGPNLVLKTVSHRAPGQALKIIAALESLSLEILHVSVSTLDDTMVHSFTIKIGIECELSAEELVHEIQQTFL >PVH65493 pep chromosome:PHallii_v3.1:1:658147:659071:-1 gene:PAHAL_1G007300 transcript:PVH65493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIEQAVQAEAGKAQAEAECQAEHAAQSVKDAAGATAGAAADAAGAAADSAQLQQHRAADAVQEAADQVAHTAEGAAAAVKDTVAGGGH >PAN06524 pep chromosome:PHallii_v3.1:1:47198247:47200497:-1 gene:PAHAL_1G269400 transcript:PAN06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G61930) UniProtKB/Swiss-Prot;Acc:Q9FH50] MQGILRPLRALFARQLVAWAEPPPPPVGARTRWLHVGGGGREPEEDEVVPYADVPRPGRRWERKPYVTPMRVLIRRAKEERQARRENPCRVLEHPPDNGLLVPHLVVVARRVHAARERLLDGLTRLVEGEDAIPVKRCRFCPEVHVGRVGHEIRTCEGRDSGARNSLHVWLPGTVRDVVGFPYCYHLFDRVGKPRVVHKEKYDVPRLPAILELCIQAGVDVERYPAKRRTRPVYSIEGRIADFEPDEAGNSEPGALPEPPACSPLPSPGALPEPPACSPLPSPAAPTSICSASPDASNEQKEEEVTVPELASRTLQSWLDMRSGAARLMKKYSVHTCGYCPEVQVGPKGHKVRMCRATKHQQRDGQHAWQEATVDDLVRPSYVWHVADPGDGSPLANELKRYYGKAPAVVELCVQAGAPVPAAYRSMMRLDVVPPARDEYDLVA >PAN05027 pep chromosome:PHallii_v3.1:1:8787898:8789203:-1 gene:PAHAL_1G113400 transcript:PAN05027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAYARAALALALWCALAAAAAGQTGQWLRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTTALSTALFADGAACGQCYKLVCDRKTDRTWCKPGVSVTVTATNFCPPNWSIPSDRGGWCNPPRSHFDMAQPAWEKIGVYRGGIIPVIYRRVSCVKKGGVRFAINGHDYFNLVLVTNVAGPGSIRAMDVRGSRSPDWMPMARNWGANWYSLTYLNGQGLSFRVTVTDGQTIVFANVVPPNWRFGQSFASNLQFNL >PAN04052 pep chromosome:PHallii_v3.1:1:2600283:2603461:-1 gene:PAHAL_1G038000 transcript:PAN04052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKLHSSYKKFSTGFPVPYLGLGLALLLVAFLPQASSCSEQERSSLLQFLVGLSQDGGLGLSWKNGTDCCTWEGVACGTDGTVTKVSVTSKSLEGQISASLGMLRGLLRLNLSHNLLSGGLPLELMSSNSIIVLDVSFNRLDGEVHELPPSTPSRPLQVLNISTNLFTRQFPSTTWEVMNSLVVLNASNNSFTGRIPNHLCSSSPALAVIALCYNQLSGRIPPGLGNCPMLKVLKAGHNDLSGPLPDELFNATSLEYLSFPNNGLEGILDSEHIINLRNLVHLDLGGNRLNGKIPDSIGELKRIEDLHLNHNSMSGELPSTLGNCTNLITIDFKGNNFSGELHKVNFFNLPNLKTLDLLYNNFIGTIPESIYSCSKLVALRLSGNRLHGQLSPRISNFKHLVFLSLADNNFTNITNTLQILKNCRNLTSLLIGTNFKGEAMPEDEIIDGFPNLQILSLSNCSLSGKIPLWLSKLEKLQVLTLHTNQLSGPIPAWIKSLKSLFHLDISNNKLTGEIPTVLMEMPMLTTEKTATRLDPRVLSYLAIPKLLKLANNNFTGVIPQEIDQLKSLAVLNFSSNSLSGDIPLQLCNLTNLQVLDLSSNHLTGAIPTALNHLHFLSVLNISYNNLEGPIPNGGQLSTFSNNSFEGNPKLCGPILLRSCGSAEAPTILTKQSRKKTIIGIAFGAFFGVVLLSLLVYLLTSFKGKSLITKSKPCNNKDAEAISQMSNSEQSLVIVPRGKGEKSKLKFADIVKATNNFHQENIIGCGGCGLVYKATLPDGTKLAIKKLNDEMCPMEREFTAEVEALSMAQHENLVPLWGYCIQGDLRLLVYSYMENGSLDDWLHNRDDAASSILDWPMRLKIAQGASRGLSYIHDICKPHIVHRDIKSSNNLLDKEFKAYVADFGLSRLVLANKTHVTTELVGTLGYIPPEYAQGWVATLRGDMYSFGVVLLELLTGRRPVTALSSSKELVKWVQEMTSEGKQIEVLHPGLRGMEHDEQMLKVLEIACRCVDYNACLRPTIQEVVSCLNGIDPNLWMQNSVRIERS >PAN05446 pep chromosome:PHallii_v3.1:1:14902883:14905267:1 gene:PAHAL_1G144900 transcript:PAN05446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLKRTGTLPPRSRSLPRSEAPSRSRPGSPQSERANPNSARSQCAGPRRRGVAPPGAALGGRGGAGGRHHLDLLLLRRPARHIVQGPGC >PAN03703 pep chromosome:PHallii_v3.1:1:1292949:1298854:-1 gene:PAHAL_1G016200 transcript:PAN03703 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MASTDGQLCPTVPNRSNYIHWIEDLLSSNLIPPISSSSGRVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNAENNPHLTELIEIRNADAASLSSESEAIVREPIRENVLEPAEDVAMPKPPVLVGVVKESESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGELAFVTHIIEDSVSLKNSFRWFTSMVGRKANLKLLVSKARKVGASVVKTTEFVQGQTARWGLAWSFIAPRNKVLRSSTPAKNHHSFMLQGLRREHGAFQVLKSTEAFFLASKLSCKIDTLSFSVDVTLSDEQTEAAILHGDDYAGSLEESSAKLQSVVKGVSFRITVFEQIPGTLLIKGSLLNKALSGTFSSLFSQLEDTLRMECPSKAR >PAN03702 pep chromosome:PHallii_v3.1:1:1293918:1298353:-1 gene:PAHAL_1G016200 transcript:PAN03702 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MGGGRKRRRDGSEAPSIHPRNRYAAAAPDFAALAELYPSFRPFVSVSERGRASVDFTDFSATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSNLIPPISSSSGRVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNAENNPHLTELIEIRNADAASLSSESEAIVREPIRENVLEPAEDVAMPKPPVLVGVVKESESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGELAFVTHIIEDSVSLKNSFRWFTSMVGRKANLKLLVSKARKVGASVVKTTEFVQGQTARWGLAWSFIAPRNKVLRSSTPAKNHHSFMLQGLRREHGAFQVLKSTEAFFLASKLSCKIDTLSFSVDVTLSDEQTEAAILHGDDYAGSLEESSAKLQSVVKGVSFRITVFEQIPGTLLIKGSLLNKALSGTFSSLFSQLEDTLRMECPSKAR >PAN05238 pep chromosome:PHallii_v3.1:1:9865723:9866611:1 gene:PAHAL_1G123900 transcript:PAN05238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGCPPWMDRCLRPRIIFLTIKSAGLGSNGASRSPGPPPLRRARRHRIRTRQRLAATAGSPPLTSSGSAPART >PAN08562 pep chromosome:PHallii_v3.1:1:57279419:57282206:-1 gene:PAHAL_1G420700 transcript:PAN08562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRAPRLAVLLALAAVAAAAAAAGEARAQSTYIIHLAPDHRALSAARANGGGEAVLGRLLPRRLRAPRPRVLYTYAHAATGVAARLTPEQAAHAAAGEGVLAVYPDQARQLHTTHTPSFLHLTEAAGLLPAAAGRESSTVVGVLDTGLYPIGRGSFAPAAGLVPAPASFSGGCVSTRSFNASAYCNSKLIGAKFFYQGYEAALGHPIDETKESKSPLDTEGHGTHTASTAAGSPVAGAGFFDYAKGQAVGMDPGARIAAYKICWKSGCYDSDILAAMDEAVADGVNVISLSVGANGYAPSFSSDSIAIGAFHAVSKGIVVSCSAGNSGPGEYTAVNIAPWILTVGASTVDREFPADVVLGDGRVFGGVSLYAGDPLNSTQLPLVFAGDCGSRLCLLGELDPKKVSGKIVVCERGSNARVEKGAAVKLAGGAGMILANTEESGEELIADSHLVPATMVGQKFGDKIKHYVQTDPSPTATIVFRGTVIGKSPSAPRVASFSSRGPNYRAPEILKPDVIAPGVNILAAWTGAASPTDLDIDSRRVEFNIISGTSMSCPHVSGLAALLRQAHPDWSPAAIKSALMTTAYNLDNSGETIKDLATGVESTPFVRGAGHVAPNSALDPGLVYDAGTDDYVAFLCTLGYPASLISVFTRDASVANCSRKFARSGDLNYPAFAAVFSSYKDSVTYHRVVRNVGSNSSAVYEPKILSPAGVIVTVSPSKLAFDDKNKSLAYEITIAASGNPVIVDAKYSFGSITWSDGAHNVTSPIAVTWPSSGGAAAM >PVH66743 pep chromosome:PHallii_v3.1:1:51468122:51472131:-1 gene:PAHAL_1G335000 transcript:PVH66743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRMQSLLRNVCQAGSHGAAARLLEFAAPVATQKVATQSSSAIQYLRPYGFSRPIGSQIIPCGGVPATSGFCARALPMRGFSAVGNAEVALDDEDSSSPAVAHPPRIKFKRLDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGVNTTFRLRRLVAGIGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >PAN07426 pep chromosome:PHallii_v3.1:1:51468512:51470864:-1 gene:PAHAL_1G335000 transcript:PAN07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRMQSLLRNVCQAGSHGAAARLLEFAAPVATQKVATQSSSAIQYLRPYGFSRPIGSQIIPCGGVPATSGFCARALPMRGFSAVGNAEVALDDEDSSSPAVAHPPRIKFKRLDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGVNTTFRLRRLVAGIGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >PVH66744 pep chromosome:PHallii_v3.1:1:51468112:51472142:-1 gene:PAHAL_1G335000 transcript:PVH66744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRMQSLLRNVCQAGSHGAAARLLEFAAPVATQKVATQSSSAIQYLRPYGFSRPIGSQIIPCGGVPATSGFCARALPMRGFSAVGNAEVALDDEDSSSPAVAHPPRIKFKRLDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGVNTTFRLRRLVAGIGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >PAN04455 pep chromosome:PHallii_v3.1:1:4674369:4677971:1 gene:PAHAL_1G068500 transcript:PAN04455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFAGDDPFGIGFGFGFDFEDDGNYDPFDDYGGDGDECEGLIGGPVVADGDGEEFCISGFAFRDGDAEGADVLMGEDHTSQLSDEDPILETLGRSFDSDEREAVIEEAADDDDDGIGLMLSGFSLDPRLVVGGFQTLVDTDEAVTSDDDMGEGEGLVLGGVDLVAPRVVRPGWMVMGAADTDDADLNLLEMISGHVGEAARRLPASRAAVEGLQEVVLSEEEASHGCAVCKDCIAAGLSVLRLPCKHYFHAECIRPWLAIRNTCPVCRFELPTGNAEYDRRQSSTRTASMVQQGAPVQSGGASAGNGARVGAGDAAECSGENRPEQGAT >PAN04638 pep chromosome:PHallii_v3.1:1:5552113:5555841:-1 gene:PAHAL_1G080500 transcript:PAN04638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEMDTPGAGWLTWRAAAALAVAAWLALHVAARVADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVKEMEGLMAEASAKPMSPATSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPELVREIFLTRAEAFDRYEAHPVVRQLEGDGLVSLHDDKWALHRRVLTDAFYPDNLNRLVPHVGRSVAALAEKWRTMAAAGGSGEVEVDVAEWFQVVTEEAITRATFGRSYDDGRVVFAMQGRLMAFASEVFRKVLVPGYRFLPTKKNWQSWKLDREVRRSLTRLIARRSDEAEAEKAGDDGDFRDLLGAMINAGDRKTRAPSPTAAIPVEEMLEECKTFFFAGKQTTTNLLTWAAVLLAMHPDWQKRARREVLDVCGADELPSKEHLPKLKTLGMIMNETLRLYPPAVATIRRAKTDVQLSDGCMIPRDMELLIPIMAIHHDTRFWGHDAAQFNPARFADGTARAAKHPLAFIPFGLGSRMCIGQNLARLEAKLTMAILLQRFEMRTSPNYIHAPTVLMLLYPQYGAPVIFRPRSAHPADPAAS >PAN04637 pep chromosome:PHallii_v3.1:1:5552113:5555033:-1 gene:PAHAL_1G080500 transcript:PAN04637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENLCGPTFLIWFGPTPRLTVAEPELVREIFLTRAEAFDRYEAHPVVRQLEGDGLVSLHDDKWALHRRVLTDAFYPDNLNRLVPHVGRSVAALAEKWRTMAAAGGSGEVEVDVAEWFQVVTEEAITRATFGRSYDDGRVVFAMQGRLMAFASEVFRKVLVPGYRFLPTKKNWQSWKLDREVRRSLTRLIARRSDEAEAEKAGDDGDFRDLLGAMINAGDRKTRAPSPTAAIPVEEMLEECKTFFFAGKQTTTNLLTWAAVLLAMHPDWQKRARREVLDVCGADELPSKEHLPKLKTLGMIMNETLRLYPPAVATIRRAKTDVQLSDGCMIPRDMELLIPIMAIHHDTRFWGHDAAQFNPARFADGTARAAKHPLAFIPFGLGSRMCIGQNLARLEAKLTMAILLQRFEMRTSPNYIHAPTVLMLLYPQYGAPVIFRPRSAHPADPAAS >PAN06212 pep chromosome:PHallii_v3.1:1:30219308:30219753:-1 gene:PAHAL_1G196600 transcript:PAN06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMIHSCIAMIMLSCSRGRKTKKCSKRYYNMTDDWKAERNAKKRAKRTVNFSDFVVSVASNEDGTDGTSNTPFCTGASRVEDDITPE >PAN08210 pep chromosome:PHallii_v3.1:1:55771918:55773274:1 gene:PAHAL_1G400400 transcript:PAN08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTNASTTLPSYMIIRIHSPVPRSSVTPSPHTLIGFGSMAKLAVLPLLLAVAASATTLAHGSELPTQIKLIRGADAGGVSGDSMECVYTVFIRTGSIWKAGTDSNITLELAAADGNGVGISDLPSWGGLMGQGHSYFERGNLDIFSGRGPCMAKAPCWMRVASDGTGPHHGWYCNYVEVTITGPHKGCAQQLFTVEQWLATDAPPYKLEAVVDHCSAAGAGAAAA >PAN08013 pep chromosome:PHallii_v3.1:1:54401544:54404080:-1 gene:PAHAL_1G379800 transcript:PAN08013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAGDGGGGRRPNFPLQLLEKKEEQPCSSSAAVGTSAGGNAGNGSGAAGGEVQVRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSAPAHLRAALPSPATAARFGRADAWDRVVGLGFPSEGPASSSSSPSPLLLNFHSGSIGLDVQPSPSAAAAAADISRKRRWEQEMQQAQQQQQQQQQQQQYQQQMAGYTQSQMPGTVWMVPSSNSTQGGAPSGGGGGGSGGGGSGESIWTFPQMGSAAAAAAVYRGSVPSGLHFMNFPAPVALLPGQQLGLGAVGSGGGGGEGHMGILAALNAYRTQAATDPTAGQGGGGSTGGGGSGQQQHGGGRGERHESMSTSDS >PAN08810 pep chromosome:PHallii_v3.1:1:58379665:58382902:-1 gene:PAHAL_1G437400 transcript:PAN08810 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MGSLRLTGWASAQRGYPAAAAPEWGVRRRPHRCCYGVPLPRPRCAPSPAPAVASSLGVGAAGHLRTGAEHEWLWDCRGARDYAREMEVAVRVVQVACTLCQRVQDSLLHPSADAGGCVHSKLDRSPVTVADWGVQAIVSWLLSNSFHDENISIVAEEDDETLSSSDGVALLESVVEAVNGCLVEAPKYGLRSPEKELEAHDVIQAIRKCSSSGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVILGVLGCPNYPMKKEWLNYHQKYYRLMSKVAPPPLGSWHKGCVMYAQKGCGQAWMQPLVHDFGKVDWRHPREIQVSSISDPLSATFCEPVEKANSSHSFTAGLAHSVGLRTQPLRVYSMVKYAAIARGDAEIFMKFARTGYKEKIWDHAAGVVIIQEAGGVVTDAGGRQLDFSRGIYLEGLDRGIIACSGALLHRRILDAVDASWNSSTL >PAN03839 pep chromosome:PHallii_v3.1:1:1729390:1731604:-1 gene:PAHAL_1G024200 transcript:PAN03839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVARVFRVSRVLMSPAPGAAAAAGAKKAAAPQVTRADATAAKEKRGIMKPVPVSEELRRFAGGKPEIARAQAVKLIWDHIKTNGLQNPAKRTEINCDATLKSLFGGRDKVGMMEIAKLLNPHFPKN >PAN06264 pep chromosome:PHallii_v3.1:1:45550998:45556410:1 gene:PAHAL_1G251000 transcript:PAN06264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCCCSTSSSVLAGAARGGARRLGAAPAAAAARWGAAGFGTRAVVLAHPLPRPAARPAPRRARRGVIRAVFERFTERAVKAVVLSQREARGLGEPAVAPRHLFLGLVAEDRSSGGYLSSGINIERAREECRGIGGARDADAPAPATPKAGSGLDTDVPFSATSKQVFEVAVVLSKNMGSSFISPEHLAIALFTLDDPITNNLLRSLGADPSQLASVAVDRLQAELAKDGRDPAGAPSFKVPEKAPAAAGRSAFSQSLTKKKEKGALDQFCLDLTTQASGGFIDPIIGREKEIERVVQIICRRMKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRILSLDVGLLIAGAKERGELESRVTSIIREVREAGDVILFIDEVHNLIGSGTVGKGKGSGLDIGNLLKPALARGELQCIAATTLDEHQMHFEKDKALARRFQPVLVAEPSQEDAVKILLGLREKYETYHKCKFTLEAINSAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQAMHEVVLSNKAKYSPNANNQESGSADVEVPYEDNSGSTSTSSLSADEPIVVGTEEIARVASLWSGIPVQQLTADDKKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISKGRRSIGFLKEDTESSSYVAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILKEVKGRLLALGIGLEVSDAMKDLICQEGYDKSYGARPLRRAVTHMIEDVISEAILFGEFKPGDTILMDIDAEGKPCMSHLDQQIVQVTDPTRAL >PVH66721 pep chromosome:PHallii_v3.1:1:51052886:51054301:1 gene:PAHAL_1G328100 transcript:PVH66721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRPASSMPVAPSARAREETAGQGRRLVREEGRRAAVGGARRRGPAREEGRRARGRARWGAGAAAAGRGGAARARRSGGGGRGGAAAGRGGAAGGRGGAAEEGRRRGGTARRSGGRRCGAGEGRRCGRRRGGRRRRGGGRQRRGRGGAGERRRRSGGGGALRAEEGGRAAEEGPRRGGGGAGRRGAAGGPALRAEEEGRCGRRRGRGGAGGAGRRRRRRGGGRRRRRRRRRAAAEEEEEGGRRRRRRRRRRRRGAAGGGGAEEGRAAEEEEGGGRRRRRWRWRAAAEEEEEGGRRRRRRRRRRRRRRGAAGGGGAEEGRAARGGGGGGGGAGGGGGGGRAAEEEEGGGRRRRRRRRRAAAEEEEEGGRRRRRRGAAGGGGAEEGRAARGGGGGGGGGRAEEEEGGRAAAEEEGGRAEKEEEEEEAGGGGGGGGGRAAAEEEEEEEEEEEEGRCGAARGAARRGLALGGNG >PAN04536 pep chromosome:PHallii_v3.1:1:5040959:5045476:1 gene:PAHAL_1G073500 transcript:PAN04536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MEQNGESHLKEPLLPASSGASPARASPKKERKTRKVMFSVRGISCASCAVSIETVVAGLKGVESIQVSPLQGQAVVQYRPEETDARTIKEVIEELNFEVDELQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHYDPNVTSRDLIIEAVEDAGFGADLISSGDDVNKVHLKLEGVNSQEDTKLIQSVLETAEGVNHVEWDTVEQTIKVAYDPDITGPRLLIECIQNAAQPPKCFNATLHSPPKQREAERNHEIRNYRNQFLWSCLFTVPVFLFSMVLPMISPFGDWLSYRICNNMTIGMLLRWLLCSPVQFIVGWRFYIGAYHALKRGYTNMDVLVALGTNAAYFYSVYIVLKALTSASFEGQDFFETSAMLVSFILLGKYLEVVAKGKTSDALSKLTELAPETACLLSFDKDGNAISETEISTQLLQRNDVIKIVPGTKVPVDGVVVKGQSHVNESMITGEARPIAKKPGDRVIGGTVNDNGCIIVKATHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPTVVVAAFLTWLGWFIPGQFHLYPKQWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKIKAIIFDKTGTLTVGKPSVIQTKIFSKIPLLELCDLAAGAEANSEHPLSKAIFEHTKKLREQYGSHSDHMMESRDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPLSPEVEAYMSEMEELARTCVLVAIDKIICGALAVSDPLKPDAGRVILYLKSMGISCIMVTGDNWATAKSIAKEVGIDQVFAEIDPVGKAEKIKDLQMQGLTVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEDVPRPTDSSDLV >PAN03722 pep chromosome:PHallii_v3.1:1:1346725:1350553:-1 gene:PAHAL_1G017200 transcript:PAN03722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP18 [Source:Projected from Arabidopsis thaliana (AT2G45640) UniProtKB/TrEMBL;Acc:A0A178VW39] MAGMGEMPMRPARPGPPMQHRGPPPMARLRPEPIDREKTCPLLLRVFTRVAGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVRQVGSTFAFGHGRGDDAKTLAELGFQIGDYLSVAIM >PAN08937 pep chromosome:PHallii_v3.1:1:58907846:58910784:-1 gene:PAHAL_1G445900 transcript:PAN08937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRLLLRLGPHRLLLGPPAAAPLPRRRAIAVVRAMSSASPAPAQRSRRPLRGVVFDMDGTLTVPVIDFQAMYREVLGGDAAYAAARAAGGGSVDILHCIENWAPDKQRHAYEVIARFEKEGLDRLQIMPGASELCGFLDTKQIRRGLITRNVKDAVDLFHQRFGMTFAPALSREFRPYKPDPAPLLHICSTWNIPPHEVIMVGDSLKDDVVCGKRAGAFTCLLDETGQYGPHDSLPEEVKPDFKVSSLTEVFTVLEENFDLATVPAESRI >PAN07598 pep chromosome:PHallii_v3.1:1:52318564:52324993:1 gene:PAHAL_1G348100 transcript:PAN07598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSAAILRWSCQAATKHSRREGSPEQCSRFGVPWRWFSCAELTKRPGTSTPNQIKSGDQYFIVPKSSTPHSTAYLENCWLFTSKNHYNQSLGFSGVPSLRRMCSSYTRTKPEGAQNSVSKVSSTGTSEVGTAVDGGNTWIDMSENAHCSATDGSTAGKNLKDLNDAITLHVQELFSNHADLEKVVVPLGGTLIGTAMAWFVMPIVLRKLHKYASEGPLMTIWGVSTKKEMSYQTSLWSAMEDPAKYIITFMAFSQMAAVIAPNISDYLPQAWKGAFVVSFVWFLHRWKTNFIANAMAKQAAIATDRERLSAFDKVSSLGLIALGVVALAEACGVPVQSILTVGGVGGVATAFAARDILGNILSGFSLQFSRPFSVGDYIKAGSIEGQVVEIGLTSTSLINTEKLPVIVPNSLFSSQMIVNKSRAQRHVSVSKLPLRTEDIEKIPTITEEIKEMLVSNPKIDVPYCYLSRLEGSRGELTIGCNIKSTKTEEWSSVEQDILLKAAGILKRHQLWTAV >PAN05256 pep chromosome:PHallii_v3.1:1:10040622:10047363:1 gene:PAHAL_1G125400 transcript:PAN05256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHAVVVPYPGAGNINPALQLAKLLHGHGVYITFVNTEHNHRLVQETEGAGAVRGRDGFRFEAIPDGLSDADRSKQDYGQSLLVSTTTRCAAPLRDLIARLNGTPGVPPVTCVLPTGLMTFALSVARELGIPTMVFWCAGAASLMAYMRTRELRERGYVPLKDESFLTNGYLDKTIIDWIPGVPPISLGDISSFVRTTDPDDFGLRFSESEPSNCTKAGALILNTFEELDADVLAALRSEYARVYTVGPLGSLLQHSAAAADDSNDLTGSTGLSLWKQDAECLAWLDTQEPRSVVYVNFGSHTLMTPEQLAEFAWGLAASGRAFLWSIRDGVVRGSGLPPAFVAETAGRCRVTAWCPQEQVVHHPAVGCFLTHNGWNSTCESLAAGVPMVCWPGFADQYTNCKYACEVWGVGVRLDAEVRREQVAAHVMEAMGSEEIKACAARWKAEASAAICPGGSSYENLLSLVRALGGSPNPKA >PAN05467 pep chromosome:PHallii_v3.1:1:27942725:27953109:1 gene:PAHAL_1G189600 transcript:PAN05467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQQQQHGGAVAGGDARHHAPQPAVLGGGGGDWLGFVGRADLEEPARNAPSPATFLLPPAPLDDRAAQPEPKPKPGQLAGAVDEERHLALAHQNYRSGKYREALEHGNVVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPHFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQDAYSCYIEALRIDPHFAIAWSNLAGLFMEAGDLDKALMYYKEAVKLKPSFADAYLNQGNVYKALGMPQDAIMCYQRALQARPDYAMAYGNLATIYYEQGQLDMAIRYYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPTAADALVNRGNTFKEIGRVNEAIQDYIQAARIRPNMAEAHANLASAYKDSGHVETAIISYKQALQLRPDFPEATCNLLHTLQCVCDWENRDTMFRDVEEIIRRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGMPPFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDIIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPSCYAHIYSEKLVHLPHCYFVNDYKQKNQDCLTPICPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRSHAAARGVRPDQIVFTDVAMKNEHIRRSQLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCVATGLGEEMIVSSMKEYEERAVELALNPAKLQALTNKLKEVRMSCPLFDTARWVRNLERAYYKMWNLYCSGRHPEPFKVEEDDNEFLFDR >PAN08869 pep chromosome:PHallii_v3.1:1:58673824:58677052:1 gene:PAHAL_1G441400 transcript:PAN08869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAGGAEIYRLPEECVAYAISLTTPGDACHSSAVSPAFRAAADSDAVWDRFLPPDHAAVLARADEPVECESKKELFSRLCGSPVLLDGATMSFGLERRSGAKCLMLSARALSIVWGDDPTCWIWTASLPGSRFPEVAELVDVCWLEISGKLSLSLLSPGTTYAAYLVFAIADESYGLECHVGMLPPKATVTVISGSSSKPATSTEHAICLQHMQGEEEAAMHRQKQQYMRLRKGYGRKMVTREADPDIRCPRRRGDGWAEVELGEFAVAGDGEDDGVVEVRLEEVDSRRWKRGLIVQGIEIRPKHDS >PVH65990 pep chromosome:PHallii_v3.1:1:9498869:9500153:1 gene:PAHAL_1G120400 transcript:PVH65990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNIDFRHTNPALKMHCPQRTQLSDRPAMAEPEAEHGIHALLLPNPSQGHINPILQFGKRLVAAPSGVRCTLAATRLRRRRLGHPLAGRHLLRLPPLHPSDGGDEGLAGREAGALGRVRLFREPRQTRRCSDGRDGGGVVRQRQSLPVGCQASEISKVPENFADKSKEKGLIVTWSPQLEVLAHPAVGCFVTHCGWNSTTEGMGAGVPMVAMPQWSEQTMNAKYIEDVWRVGVRVRPDERGVVRKEEVERCVREVMEGERSMEYRQNATNWKKAKRAMSEGGSSNNSILEFIGKLGPKSK >PAN09144 pep chromosome:PHallii_v3.1:1:59844185:59846177:-1 gene:PAHAL_1G462400 transcript:PAN09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSPQLSLSGCSSLFSLSSTSTSRDNDSAAAAPVPPPPPSLHPLPPRRPLLSLSVGGGAEEEQEEEEEYLLGGLDLHLTGAGGSNSSGCCDGDDERKNIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRQCKQLKVEMAALIQPSSSKSNSHIRRAASSTQL >PAN03635 pep chromosome:PHallii_v3.1:1:1076811:1079591:-1 gene:PAHAL_1G012100 transcript:PAN03635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCAGGGDPVEDFLLGGAGDDGDLSIFCDGVPTLAGDGGLGIDGFSGDACGFEQSNLGKRARDEPSSSGPKSKACREKMRRDRLNDRFLELSSVMNPGKQAKLDKANILSDAAQMLAQLRGEAEKLKESNEKLRENIKDLKEEKNELREEKVRLKAEKERLEQQVKAMSAAPTGYVPHLPHPAAYHPAAFAPFAPPQQAPTNKSAPISAAFPGMAMWQWLPPTVVDTTQDPKLWPPNA >PAN05622 pep chromosome:PHallii_v3.1:1:22937307:22938897:-1 gene:PAHAL_1G171000 transcript:PAN05622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPCWAASLRRNWRRGSAMSPTPPELEVWWWRPAVAEEMELLWWRNMKLEREVAALRGEAGRDAAAHETAEEQLCVQLSEAETERRPLLQAQQQWRRTASSPLSIEPRPSRKMSTLAVNRAQTQP >PAN04159 pep chromosome:PHallii_v3.1:1:3173162:3174203:-1 gene:PAHAL_1G046500 transcript:PAN04159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKRQRCDTELRLGGGGADDASPPCGTESKKAATSAAPYHDGDRLLLQKLVAATSAAPYYDGDRLLQMLVAASGGRGGVTDAEVQASTIIGMARRAAGARPSDRAAARRRRSLRWFLQRRREARRERGGASSPSSCCS >PVH66515 pep chromosome:PHallii_v3.1:1:47098774:47099678:-1 gene:PAHAL_1G268100 transcript:PVH66515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHASITVEVGNGLRSFFWIGRWIQGHSVTLLAAGLINNGWVMDITGALTVQVITDYLRIWDLIKQQALNYKTQDRIIWKWTPDGQFTVASAYRAFYIGQHAIPGAKILKKTKAPGPCKFFLWLVLHDRCWTASRRKRHGLQDDDTCTISHLLVGCPFALEVWYKLLRRWNQQRLTPGPNNHQDFADWWSDSRKQLQQESRKAFDSMVALVSLTIWNERNSRVFKRLCASADELVDLVLDETQLWTLAGHTHLRPLLPLAAALPSPEDRTLGRTNSLF >PAN04431 pep chromosome:PHallii_v3.1:1:4550177:4555393:-1 gene:PAHAL_1G066700 transcript:PAN04431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATSIRSASPDSAGDIGAALALMDLVGGGGSALACGRRSSFSYRRIPDPPLRLTVRKLDGSFFDVEIARSAAVWELKAAIEELFFALFDDTDKAISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDKLHFTQHLSVDDSPCKSLSKNQKAASHRRSTTLLDDSRPGSLLDDLNEDEGVKFTYSRCSTSVFEDLSIHEYNEGRVEEESHRKKGSLFRGWFSYSKLMRSNRRTHAEYTVPLSCEKKNARPKFGKWFSWKR >PVH65742 pep chromosome:PHallii_v3.1:1:4550135:4553540:-1 gene:PAHAL_1G066700 transcript:PVH65742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATSIRSASPDSAGDIGAALALMDLVGGGGSALACGRRSSFSYRRIPDPPLRLTVRKLDGSFFDVEIARSAAVWELKAAIEELFFALFDDTDKAISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDKLHFTQHLSVDDSPCKSLSKNQKAASHRRSTTLLDDSRPGSLLDDLNEDEGVKFTYSRCSTSVFEDLSIHEYNEGRVEEESHRKKGSLFRGWFSYSKLMRSNRRTHAEYTVPLSCEKKNARPKFGKWFSWKR >PAN05226 pep chromosome:PHallii_v3.1:1:9748254:9750729:1 gene:PAHAL_1G122900 transcript:PAN05226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQQPRKQATTKGQEEGGQGQAMNLEEIGKYRAEAQQRSAEAIRAAEERFNKANNQQPRGAAAAAQAPGATVVSSYQETTKQPAATQQGESQGRGTDAQQWLAQTAADARERCNKAMGTSPAAHAPAGGAAPHDHGKGEQEGGCQARAHLTRQEEMGRPDAEAARAAVEKHDRGGAAAGQGVKDKATRAAGATADHAARKGAEAKDAGARGAQAAEAKTQEATGTAADYTKQAAARARDATAGAAGTTAEYAKQAAAKARDVTLATGETAAEYAKQAAAKGRDATLSTGGTAAEYAKQAAAKGKDATLSTGGAAAEYAKQAAAKGKDVTLSTGGTAAEYARAAAEKAKEAAVATARTTAGYTQQAAAKATEVTADTARRVAEYAKEKAEQGKERAARAADQAEEPGHDAAAGAEDSASQAADEASRGLTGQHEDRAKDTAGDVARRAGDTVVRAKDTAKDVAGSVARKASDTAGRAKDTAEGAVGGVAQKSRDTAAEARDRTKDTASHAEDKAGEVKDRASGTGKAAAGGGGVTTKAKGGGGGGGEEGGGTTIVGDVLEAVGATVVGLAQHAKGLVAGEEELVPVEGEEGKVAGGAKEEKRKTA >PAN05308 pep chromosome:PHallii_v3.1:1:11075484:11080172:1 gene:PAHAL_1G130800 transcript:PAN05308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERDVFGISGPTYLKSVDWNCEHNRRSVAASLVQAVYVLERDRQLNRQSVEALAPPWWEFFHFELIRKLIDDADMSIFGAIFEFNPPSSEEVSAVNAPRFVVAFRGTITERDTISRDLSLDLHLVQNGLHRTSRFTIAMQAVQNVASVFPRSTIWLAGHSLGAGMAILTGRNMVKKGVLLESFLFNPPFVAAPIERIRDERVKHGFRIARSVITAGLTIAMKGKTEGNSQRSVAEESFSILSSWTPYLYINPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFFKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCSKYRY >PAN08863 pep chromosome:PHallii_v3.1:1:58649373:58651832:1 gene:PAHAL_1G441000 transcript:PAN08863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGDSSPHSAAATDDTHHDGSESAAAAAATAAAAGHAPPLPPAKVRLMVSYGGRIQPRPHDNQLAYVNGETKILSLERPLRFTDFAARLAALAGNLGDICVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPAPGSGGGSNRGSTLRLRVFLFPVQSPPPPPQPAGLLEPKPERHWFVEALNNIPQPKQETSPSPSPVPAQQSPPQQKQESVFAQQSSPPQAKQETVFVQQPPPQTVVHMPPPQPHMVIAATSPDYLFGLDNGFVPPPAVKVKDPAGEPPTMRENVPVELPAKNDDRHPNPAGEHVAVSPVVSPAEFQRQIQELEKLQVAENGTHQPPPAPAPAPAPAAAPTPAPAPAPVPAPAALPRNGSDDSLTRAYPPATATPPANAEYYLPKFPEKPPVPQPSSAPPATAYLQVPGRYTSVAPGSGSEHAPVFFIPAPHGYFAATASPGATSFPAVYAVAPPNANANANGSAPSPAVSNATAYAPAPQVAYDSNGRAIYYTSMLPQYPSAVNGMSAAGAVLGTEPAKPVAVKPTVS >PAN08421 pep chromosome:PHallii_v3.1:1:56590902:56593449:1 gene:PAHAL_1G412000 transcript:PAN08421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATASATAATRFTLLAGAGLRSRASRLSTAVRFQRQRGLTTTALLKTADLRPKEQGQPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFIVEIPKESSAKMEVATDEAFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTSANSDVEGAFGDNDPVDVVEIGERRANVGDVLRVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIQETNESWEKLVKRNIPAGELSLA >PVH66889 pep chromosome:PHallii_v3.1:1:54204076:54204794:-1 gene:PAHAL_1G376600 transcript:PVH66889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVSCEAGRQAGLPAPERPKRAGKAHGHDRIASGGSAAVRIATWTQAAQGIQLQRSGMLACRSRTCWDVAVVWGGGAAAAVDVTCRFRRRPASVPRRCRCCPVGTPAGSEDGKGGEEEVAVGVRDKRRRRCVHRFGCDRCDPYMQLAIANEYGARDRYDPGPYVDARQHPSLGSRVPLRSMFFRSLGPGIGSLSSSLQN >PAN03836 pep chromosome:PHallii_v3.1:1:1723920:1724785:-1 gene:PAHAL_1G024000 transcript:PAN03836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVSVGVATPSQRKGAGVAAEEAELLRRRNAELEREVAALRAELGAARRRAETAEEAEERLCVQLGEAEVEAVEIARAYQGRVEELARELAAARGAAAARSS >PAN04382 pep chromosome:PHallii_v3.1:1:4340419:4344192:-1 gene:PAHAL_1G063200 transcript:PAN04382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMPPPLPLLCSSALVFLLLLLGRPLGANGRATPPEASAPAGQQAAPAVNGSVASANGAAAGLPAAAAPPPVVVIVVERHQHLRRELIVAIVVSSVAGVMIILAALYSFFLWRRSRRALVDSKDTQSIDTARIAFVPMLNSFNSYKTSKKSAAVMMDYTSLEAATGKFSESSVLGVGGFGCVYKANFDGGFAAAVKRLGNETQSCQKEFENELDLLGRIRHPNIVSLVGFCIHEENRFIIYELMENGSLDSQLHGPSHGSALTWHVRMKIALDTARGLEYLHEHCNPPIIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHSKGNIKLSGTMGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRKPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPMELGGTLRISPESPYATQKHSPC >PAN05799 pep chromosome:PHallii_v3.1:1:35830003:35830452:1 gene:PAHAL_1G213500 transcript:PAN05799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTAGFTGFQGEASTAVGAGGAPSTVVAIITGGTLAGTAGGASSMVVVAHVRIVTLTTVGVLATGVATSMLVLAIGVATSTKVEVGTGDALMLVVAGILVAGGGDTTEVAGTIGWGGTIDSDSEFWHRRCVGGEGSRQL >PAN08181 pep chromosome:PHallii_v3.1:1:55350422:55357274:1 gene:PAHAL_1G393400 transcript:PAN08181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNALYICGTDEYGTATETKAMEENCSPKEICDKYHAIHDEVYKWFDIKFDKFGRTSSPQQTEICQAIFHKLMKNNWLTESTMQQLYCDICQRFLADRLVEGACPTQGCTNKAARGDQCDNCSHMLNPTELIDPKCKVCKNTPHIRETDHLFLELALLKKKLVDYINDTSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPMEKYKDKVFYVWFDAPIGYVSITASYTPEWEKWWKNPDNVELFQFMGKDNVPFHTIMFPSTLLGTGENWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPPEVWRYYLLMCRPEVSDTLFTWTDLQAKLNSELLNNLGNFINRVLSFIAKPAGAGYDSIIPDAPGAESHPLTSELAEKTSIRVEQYLDAMEKVKLKQGLKSAMGISSDGNAYLQESEFWKLYKEDPVSCAIVIKTSVGLVYLLTCLLEPFMPSFSNEVLRQLNLSPEENLSFSDEKGEIVKAKTPWDFLPAGHKIGRPTPLFEELKDENVSEHRKKYAGSQAERM >PAN03546 pep chromosome:PHallii_v3.1:1:349246:352374:-1 gene:PAHAL_1G003100 transcript:PAN03546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNANTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGPIAEEQ >PVH66423 pep chromosome:PHallii_v3.1:1:44216247:44222037:1 gene:PAHAL_1G241200 transcript:PVH66423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQLQALLRDLDALKQRPDDPASIDRMRERVVAMMNPAAGAGSRSKIKDMSAEVVDSNPYSRLMALQRMGVVENYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLESYSLNITTVKGFETFLASLKARSSHERSTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLNFGQVSPYLGYNSLKDYFPTMEMRPNPQCSNPACVQRQKEYMDSKPARDAAAKVKMEAEASAASECPVHLDNEWNISVVDDEDAATSSIRSTADVLPEGLVRELPAADLYPEPPAAASSSAIDDDLEELQRQLDALNSS >PAN04564 pep chromosome:PHallii_v3.1:1:5191892:5194194:-1 gene:PAHAL_1G075600 transcript:PAN04564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCTIARRLCLSRPSSGSRLSAVWAHLYSTEAVKDTGAMKYKYPDVYDPYGPMAPPSEKVVDLADRIAALPPEEIKQIAPALLFRLNQEPPQAISGQGFSFGPQGGSGAGGAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVVLKQSLTKEEAEAIIEKIKTAGGVAVME >PAN06732 pep chromosome:PHallii_v3.1:1:48174862:48178123:-1 gene:PAHAL_1G284200 transcript:PAN06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKTSRSLQKSGRGSHVQGEGPNWVLVAGGVLLSTLSVRLGCKLKQLFDGKQQNNTSKDKRRPEACELHSNLHRFSDQTGCYCYISGHGGVEVKQAPASPVPKSVEPSLPLVKIHVPESSKENSDVMWISSPDRLEAPRRPFQYSNSSGSPCVSESGSDIYSKREVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQVIQSTNLQSQLDAANRDLFASEREIQHLRKIIADHCVAEALSHEKPLQAGHWQLDETNGHPNGYADGSADDADLHCIGIEKRKGEVERVDMLKKEVFELKEVIEGKDFVLQSYKEHKVELCSKIRDLQEKLSAQVPNIL >PVH66950 pep chromosome:PHallii_v3.1:1:55295711:55296961:-1 gene:PAHAL_1G392500 transcript:PVH66950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPPGLPPLPPQLATLRSTQDQRTAREPLLGFDWGVPAGSGGNGGGGEEYAAWGVDDGAARGVVVRLRVAAEAVREAAAEMWAFARKDPRKPVFAAKVAVALVLITLLVFLREPSDIASHSVWAILTVVVVFEFSIGATLSKGLNRGLGTLIAGGLALAVAELAAHIGKYDAVILIVSTFVVGKHGKLLKPFFI >PVH66131 pep chromosome:PHallii_v3.1:1:18444133:18444894:-1 gene:PAHAL_1G156400 transcript:PVH66131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEINMMGYRIVDTIEGAALEAIYHFCNQHPKEVARQPIGLFSMTSPDEPEWNLRIVPESHRLDGPPEEVLQGMMRFMNVQYHYQLLLRREMGRVIHIARSHYGEADRQNTQVDQLRALVTQKDEIIAARDETILHREDQINESDHIITQRNTIIEFLQEQIHDLILAADDAQAQLEELQQPPIPPVAPAVPEAEEEDPEEIEGVSELDSEHGDPVLSPPPFFFWQSVFCRQLRRFLDVK >PAN08640 pep chromosome:PHallii_v3.1:1:57752495:57757319:-1 gene:PAHAL_1G426900 transcript:PAN08640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEKEKPRKEQEEEEDELVLEDGGIEESPRRSFEDGDDYEEGGDGGEDDDEEEEERDGDGVGSPRSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSLLDLGRSGLGSDLKLPLVSDKADGKQDSVKNLPTKTLGSIRDERVSFHLQHTGELYISQGCNVTQTVFNGINVLAGVGLLSTPFTIHEAGWTGLAVLVCFATVCCYTGILLKHCFESKDGISSYPDIGEAAFGRIGRLFISIILYTELYSYCVEFIILEGDNLTSIFPKASFDWLGIHVDGKHFFGVLTAILVLPTVWLKDLRVLSYLSAGGVIATLLVFVSVGLVGATDGIGFHSTGKVVNWTGMPFAIGVYGFCYSGHSVFPNIYQSMSDRSKFPKALFICFAICTAIYGSFAVIGFLMFGENTLSQITLNLPKHSVASKVALWTTVINPFTKYALLLNPIARGLEELRPEGFMNETSCAIILRTALVASTVCIAFVLPFFGLVMALIGSLLSILVAVIMPAICFLKIVQNKATRSQVIASIGIIILGIISAALGTYSSVKRIAENY >PVH65932 pep chromosome:PHallii_v3.1:1:8039061:8039603:1 gene:PAHAL_1G107700 transcript:PVH65932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFAFSGYRVKYSKAWRAQQHAMALLWGDWLESYGRVSQVLSGMSHFNPGIRWFTYTGNMMLPHNGVCKHVLQRVFWCSPNAPNHSSIADLLLQEYIRHLHVLNQRMEQENNMKHEQINQLLHENQENACHLNEAEVEKTKMAEHITQLEEELCQERSKNLSRRFMPPTVTGRHNYYG >PVH66199 pep chromosome:PHallii_v3.1:1:24795086:24795484:-1 gene:PAHAL_1G176600 transcript:PVH66199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRPHPSPPTRPHLSLPHPTRKHAAPPRGARWPASRGAAGSGMVPAPAALAHGGSERSGLGSDDTLAAAEPDLDDVYCFRSRRRSCHLRAGILGSSPPTTTAPFASSVRGISGCCAATDTGAGACVLWRPR >PAN09129 pep chromosome:PHallii_v3.1:1:59754475:59758467:1 gene:PAHAL_1G460700 transcript:PAN09129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase [Source: Projected from Oryza sativa (Os02g0831500)] MAGGGFSRSDSIADMMPEALRRSRYHMKRCFQRYVARGSGLMKRQQLLEELHRSADDKLDDIADEGLLGYVISSTHEAVVLPPHVNFAVRTNPGIWEYIKVHSGDLTVEQITPSQYLKCKEILHDHQWAHDDNSLEVDFGALDDLSTPRLTLPSSIGNGMHLVSRFMSSKLAAATGGMKPLLHYLLALTHRGHNLMVNGTLIDTVTKLQTALLLAEVFLTGLHGNTPYQKFEHKFQEWGLDRGWGATAEACRETITYLSEVLQAPDPTNMDRFFSRVPSVFNIVIFSIHGYFGQDKVLGMPDTGGQVVYILDQVRALEDELLQRIKQQGLLDLAPRILVLTRLIPEAKATKCNVELEPIHNTRHSTILRVPFKTEDGKDLPHWVSRFDIYPYLERYAEDSCAKILDMLQGKPDLVIGNYTDGNLVASLVSRKLGVTQGTIAHALEKTKYEDSDVKWREMDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKDKPGQYESHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSVYFPFTLKHERLTDLHPQIEELVYSKEENDEHIGYLEDRSKQVIFSMARLDKVKNITGLVEWYGQNKRLRDLVNLVVVGGLLNPSQSKDREEIEEINKMRSLINKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVNEVSGFHINPLDGKEASNRIADFFQKCKEDPMYWNKMSTAGLQRIYECYTWQIYATKVLNMASMYGFWRTMDKGERQAKQRYLQMFYNLQFRKLAKTVPEVGERPEQPAAAAAPDRLVSRPKERKTQIRIQRIASSLLGPVLPASHTSDAA >PAN08697 pep chromosome:PHallii_v3.1:1:59754597:59758459:1 gene:PAHAL_1G460700 transcript:PAN08697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase [Source: Projected from Oryza sativa (Os02g0831500)] MAGGGFSRSDSIADMMPEALRRSRYHMKRCFQRYVARGSGLMKRQQLLEELHRSADDKLDDIADEGLLGYVISSTHEAVVLPPHVNFAVRTNPGIWEYIKVHSGDLTVEQITPSQYLKCKEILHDHQWAHDDNSLEVDFGALDDLSTPRLTLPSSIGNGMHLVSRFMSSKLAAATGGMKPLLHYLLALTHRGHNLMVNGTLIDTVTKLQTALLLAEVFLTGLHGNTPYQKFEHKFQEWGLDRGWGATAEACRETITYLSEVLQAPDPTNMDRFFSRVPSVFNIVIFSIHGYFGQDKVLGMPDTGGQVVYILDQVRALEDELLQRIKQQGLLDLAPRILVLTRLIPEAKATKCNVELEPIHNTRHSTILRVPFKTEDGKDLPHWVSRFDIYPYLERYAEDSCAKILDMLQGKPDLVIGNYTDGNLVASLVSRKLGVTQGTIAHALEKTKYEDSDVKWREMDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKDKPGQYESHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSVYFPFTLKHERLTDLHPQIEELVYSKEENDEHIGYLEDRSKQVIFSMARLDKVKNITGLVEWYGQNKRLRDLVNLVVVGGLLNPSQSKDREEIEEINKMRSLINKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVNEVSGFHINPLDGKEASNRIADFFQKCKEDPMYWNKMSTAGLQRIYECYTWQIYATKVLNMASMYGFWRTMDKGERQAKQRYLQMFYNLQFRKLAKTVPEVGERPEQPAAAAAPDRLVSRPKERQKDADKDPEDCEQLTWTSAPGLPYLRRCMKCQKPDVYPSYNVFPHFSHACY >PAN08283 pep chromosome:PHallii_v3.1:1:55747093:55750166:1 gene:PAHAL_1G399900 transcript:PAN08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQDVVVSEMGIAAGAALPGPSPALLACRGAAAGAMSLRYLDLAAAAARSASCTWADAMRASSPTRSRAAADVDEFTAWMRKHPSALGKFDQIASASKGKKIVMFLDYDGTLSPIVADPDTAYMSDAMRAAVRDVAKHFPTAIVSGRCRDKVRNFVGLPELYYAGSHGMDIKGPSSNPESVLCQPASEFLPVIDEVYKALVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVIKDYPKLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFASCSDVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKCPKETNASYSLQDPSEVMDFLLRLVEWKRKSSPPPLMIRPRV >PAN05762 pep chromosome:PHallii_v3.1:1:29942236:29947948:-1 gene:PAHAL_1G195900 transcript:PAN05762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCAYTQRRWAHRRGGFVTGGTGWSKPPPQGLGPAAGAGAKKSEWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCRKYMELYQELRENWERLYWDEGYSKKIAESHANYDSAEEDDLDFSPYSRRRQSNVQPNKDLGFGVNKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMESNFGRHDPRFRCQDDSNFAPTNITDDFGSHGRTFGNRSDRSFQNNPSFRNQRDSSFQNESSFRSHRNSDFQNDSSFRNHHFPDFQNQHDTRS >PVH66287 pep chromosome:PHallii_v3.1:1:29942327:29947948:-1 gene:PAHAL_1G195900 transcript:PVH66287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCAYTQRRWAHRRGGFVTGGTGWSKPPPQGLGPAAGAGAKKSEWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCRKYMELYQELRENWERLYWDEGYSKKIAESHANYDSAEEDDLDFSPYRRRQSNVQPNKDLGFGVNKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMESNFGRHDPRFRCQDDSNFAPTNITDDFGSHGRTFGNRSDRSFQNNPSFRNQRDSSFQNESSFRSHRNSDFQNDSSFRNHHFPDFQNQHDTRS >PAN06754 pep chromosome:PHallii_v3.1:1:48214240:48217037:1 gene:PAHAL_1G285200 transcript:PAN06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDDTEAVKFLLKNEVIPLCLRIMGTGSELSKTVATFIIQKIMLDEVGLQHICATPECFFEAANVLANMVVALAEQPSTRLLKHVIHCYIRLMDDPRAYAGLRTHLPEALRNGTFDNCLRDDPAAKHVLQKLLDKLAGPTGGAPHPCPGPAAGRSHGGPAQAGPSHTP >PAN08300 pep chromosome:PHallii_v3.1:1:55819577:55821233:-1 gene:PAHAL_1G401300 transcript:PAN08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDENGLKKGPWTPEEDQKLMEYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEEQTILRFHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFAALPQLIALANLRQLVEQRPWDDHAARLQVEAVQAAKLQCLQNLIQSAASIATSPSSSSINTIPDLEQIGLLSPPQMSSLSSLPSPNFLESISGQDIVAGQLPDIQIPSSFFEQPTSNDANQNSDFTPKSSVEGENGTPKTLLLSENSLPPLTDFPISNLGDACSASSCDGSSIQFTSWPELFDEQFLSEFV >PAN03555 pep chromosome:PHallii_v3.1:1:297687:299454:1 gene:PAHAL_1G002200 transcript:PAN03555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVRRSLSMSRLRGCHAADDRGWNPLHVAARKGDLMEVRRLLDEGMDVNAPAWGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKKAVRFLIENGAFLPPEMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSPGETSLSSDD >PAN03706 pep chromosome:PHallii_v3.1:1:1300142:1301022:1 gene:PAHAL_1G016300 transcript:PAN03706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRVFFDMTIGGAPAGRIVMELYANEVPRTAENFRALCTGEKGTGKRGKPLHYKDSVFHRVIPDFMCQGGDFTDGNGTGGESIYGAKFADEKFVRKHTGPGVLSMANAGPNTNGSQFFICTVPCPWLDGKHVVFGQVVEGLDVVKAIEKVGSRGGSTAKVVKIADCGQLA >PAN05104 pep chromosome:PHallii_v3.1:1:8989788:8996874:-1 gene:PAHAL_1G115600 transcript:PAN05104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPEKQKRQLARLCDLVAASLLPHLEAETSPPRQLTREDERRLLLALSRVNKAIRGWNQEEEEEEEEQRWCESDQKIASCSGEGHSCCLPPGQQPYDGFGCLESTVSILVGVLGFCSDYVKHSAGNILVSISSALIKFESIWIQFIELVWIAIHAASKCVHRCLDATLAEAAPARQCRELQVSEIGHLGVLPGPGKLSMVQSKQADNALSSTTDSNSHNITCSSTSITSFMTVLDQCGLNISGQIMPSLFQVLHAILKFLKHSDSELKDNFICLSVHHIQNMPWVSFHQLHTGELANCVKDSGFGFCNDSTQSGILTGSLLQLLCSLLEQSYLEGTNGQDMYVKLVDIVPKIATSLQVQHDGPESLYQYLKHKILMVMIRLKPYIQQDCSHIVCCLKLLRQYFHDPIHEPISQHIAKLDNCLEGSPFLLNMVGLVESQDKSTRHLQRQAIYLFLSCCIRLSCNRNDGTVKCSSKRNDCFLGHKVQGCSDHCSCVGLSEISDWFQRCYLDMSFDSKSSTDFALSFLELYMEEDDMLFSILLQLLDAPLIFLKIDNMKTTELIGAKLFSSIFDPIHLFHLLLLLLHYDHMVLVDYLISKDVGVHCAQYLLRCLRLVSQSWHAFVDDSVYLTKIERLDCKRQRTSRDINSAGACSSKEYKNGSGCDKEAKNSQKLFLDAKVCLYSLKRTVEDLQKKGLFPYNPKPLLRSLARFEQLCEQG >PAN04840 pep chromosome:PHallii_v3.1:1:6847734:6848190:1 gene:PAHAL_1G095800 transcript:PAN04840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAASMFAVGILLCPFPALAPSGRNLTALLPELHDLLGSLYRHCLHEFFQLVCARRRRQRRPRSS >PVH66595 pep chromosome:PHallii_v3.1:1:48691050:48693324:-1 gene:PAHAL_1G291500 transcript:PVH66595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRQGAVVVSLLAAVLLGACLPAPAAAGVHLSTLPKALDVTASAKPGQVLHSGVDKVTVTWSLNTTEPAGADAAYKSVQVKLCYAPVSQKDRGWRKSDDDLSKDKACQFKLTQQDYAAGARGSFEYTVARDIPTGSYYVRAYALDASGTQVAFGQTGPAAAFDVAGITGIHASIKVAAGVFSAFSVAALAFFFVVENRKKNK >PAN08815 pep chromosome:PHallii_v3.1:1:58391049:58394180:1 gene:PAHAL_1G437700 transcript:PAN08815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVVITRGGGPEVLKAQDVEDPAPLGEGEVLLQVAAAGVNRADTLQRHGRHPPPAGASPYPGLECSGTILALGPNVPSRWSIGDKVCALLSGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAVCKDLGADVCINYKTEDFVERVKQETNGKGVDVILDNIGGSYLQRNLNSLGVDGRLFIIGFQGGAVAEVNLQAVLARRLTIQAAGLRGRSLANKAQIVSEVEKNVWPAVTAGKVKPVIYKTFPLSEASEAHRLMETSTHIGKILLLP >PAN03823 pep chromosome:PHallii_v3.1:1:1646632:1650485:-1 gene:PAHAL_1G023400 transcript:PAN03823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAFTMAPGSSIVTVVPESDCGDDGLSEALGGIRLDVDSTSKPWSTSLASVALSSLAGLNDLLECPVCTNSMRPPILQCPNGHTICSSCKHRVDNHCPTCRQELGNIRCLALEKVAEQLQLPCKYQSMGCTEIHPYKNKLKHEELCRFRPYNCPYAGSECLITGDVPFLVSHLINDHKVDLHEGCTFNHRYVKPNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEESEAQGFGYSLEVGGGGRKLTWQGTPRSIRDSHRKVRDSFDGLIIHRNMALFFSGGSMQELKLRVTGRIWREQVQ >PAN07500 pep chromosome:PHallii_v3.1:1:51830134:51831185:-1 gene:PAHAL_1G340900 transcript:PAN07500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEAAAPALMRRDGRKLARCPRLQLDTKTVTAIEQSTGESVADAAAVPGAGPGMRVKIVLSKQQLKQVAAAVAGGGGFALPPALEQLVSVLRRQHAKKQAAADVVAAARRRGRWSPALQSIPEECF >PAN03942 pep chromosome:PHallii_v3.1:1:2146789:2149961:1 gene:PAHAL_1G030800 transcript:PAN03942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAAGGEGGGVDGSGTGSFLRIFVGGLAESVGAADLEAVFASAGRVAGVEFVRTNGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAAQEAAPKDNGEKQDKPKLDKAALDASKINIYFPKLRKVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANNEYASVLNAAAYEKERNIMNSVMNKLFEKENEHFDSSEMEKFDAHTDTIEPSNAVNNMQIEETEEAPEEDLQMEEPEESSDEDLDDDLVINIAPRKSNKSVGQANMEKQEVKNDSQLRKRPSVEDASLPKKRQRTEGLSESRKGRQEPVSVMSGMRTTGKTLPTKSEDRKNQLKSPGLTGEGTYEFSSILPRDKSSADPQVVEAQTSSGSAQNVVANEPKKGSMWTQKSAWRDLVGGMGSTPFSISQVLPNTYPAQSVHANVTENVRSSELLEATMQLPSDHKFLSSVGMLSTGTTDESTGGECKDSNKLQKVRVVPKITIGEVCPFMRNAESQKQWSKAKKAISGFAKKRNESSGSNAGKGKPLKKR >PVH66459 pep chromosome:PHallii_v3.1:1:45639421:45644364:1 gene:PAHAL_1G251800 transcript:PVH66459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSPPHPVEIADGPRGLSRTLSGAWIIDETFPPQLEKRMIYQWTSFMLMYLCKVSYR >PAN04617 pep chromosome:PHallii_v3.1:1:5490223:5493237:-1 gene:PAHAL_1G079600 transcript:PAN04617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRNRTAPLVDTSSCLCRVDRSSAAAAARRIPVSKACVQPSLRASIHPLKPKASPRPADRSGGGQCPLLPGLPDDLAIACLIRVPRADHCKLRLVCRRWCRLLAGNYFYGLRRRLGLAEQWLYAMKRDGKDGRVSWDVLDPSRGAWRALPPVPREYADADGFGCAVLGGCHLYLVGGTDPRRGGAMRRVVFYSARSNRWHRAPDMLRRRQCFGACVMGNRLYVAGGESGGGGGLRSAEVFDPAKNRWSFVAEMAAAMVPFVSAVHGGRWYVKGLGAQQEVLSQAYSPETDSWSVVLDGMVTGWRSPSACLDGRIYAADCKDGCRLRAYDEAADAWTTCVDSKQHQGSSQAAEAAAIVALHGRLCVVRNDRSVSAVDVTAGEGNQQSWETLAGKAHAKSFVTGLLSNLAGRGRVKNHILHCQVLEA >PAN06550 pep chromosome:PHallii_v3.1:1:47319659:47325048:-1 gene:PAHAL_1G271600 transcript:PAN06550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKFGSFLHQMVEQGKSRGPPAKAKKSLLVEVDKIGQAGGVVFARESPLAPKNDQGCSVSYSHGDDETQELGGGVQNGWVDFGHSLQLLLFSRQWGLAESLVALADQQSLLDYGLSVALDAIWFLRMKQDLEGLNGLIAKIVASGAKDFARAILRTSLLASCVAACQSKAISVGDSMEIVADRLHDRLRDCPGADHLKVEAGAKVQKFMEWALQCIHMHHCSEETQRYRWNCNTLREVQLHLSAFRVFLDIAGDNLSGKIFTEAFDAACFPLTLFSSLFEPGWSSGSSAVSIKGLLSLLVEGGADNVNQCFLEAARFGSTELVRILLEIAYQNSLAVDIDLALVYASHYCKLDTMACLVDEGNASSFLGPLIKAAERGCLQVIHWFVNRGVSEIEMCLALTTAASSGHFTVASYLLEQIPQQILEALSTQILKAAHGQGSGSLNGVAFLLRSNFLRDAAATYEAADLIARGATNGEPPDLVAFLKEHWSQDAFAEGVSAGKAHFVNVMRVLRRGASPVCLDALPSQMVLGIAYLPLYRACVSEAGGQLLPQRLRGELVEATGRLGEQAGAESQGKDLVMALERHMPSFLVGS >PAN07584 pep chromosome:PHallii_v3.1:1:52293997:52299374:1 gene:PAHAL_1G347600 transcript:PAN07584 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G01320) UniProtKB/Swiss-Prot;Acc:Q8RX88] MALPYLEAVLCFMILMYIFETYLDIRQHRALKLPTLPKPLVGVISDEKFKRSRDYSLDKSYFHFVHEAVTILMDTTILYYKVLPWFWKRSGELVTKVGLNAENEIIHTLAFLAGAMVWSQIIDLPFSLYSTFVIEARHGFNKQTIWLFIRDMVKGILLSMILGPPIVAAIIYIVQIGGPYLAIYLWGFMFVLALLMMTIYPIVIAPLFNKFTPLPEGVLREKIEKLAASLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSNEDEIVSVIAHELGHWKLNHTVYSFIAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPIIIGLIIFQHTIIPLQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLQALEDADSKKED >PAN07771 pep chromosome:PHallii_v3.1:1:53275094:53279038:1 gene:PAHAL_1G361400 transcript:PAN07771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAALLHPARPSTSAAAARRRCGRSSYRAPPPVLPRRSRRRLPRPMASSTTAAQGPAPAPPGLKEGIAGLYDESSGVWESIWGDHMHHGFYESGEAASMADHRRAQIRMIEEALAFAAVPDDPEKKPKTVVDVGCGIGGSSRYLAKKYGAQCKGITLSPVQAERGNALAAAQGLSDQVSLQVADALEQPFPDGQFDLVWSMESGEHMPDKSKFVSELARVAAPGGTIIIVTWCHRNLEPSETSLKPDELNLLKRICDAYYLPDWCSPSDYVSIAKSLSLEDIKTADWSENVAPFWPAVIKSALTWKGITSLLTSGWKTIRGAMVMPLMIQGYKKGLIKFTIITSRKPGAA >PVH66917 pep chromosome:PHallii_v3.1:1:54581115:54585916:-1 gene:PAHAL_1G382500 transcript:PVH66917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTVASSQSPSTPPSAFPYPSPSLRGFIRDAPPYNTQSPPQVQTASVSGSGSGNGKISPAVLFIIVILAVIFFISGLLHLLVRLLIKKQHGRGAAMGESAASPHRTGARDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYREIIGGNKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLQCIDTWLLSNSTCPLCRGTLFIPGMTIENLLFDFDERLEEEPLPEDCEDGSQVSRQKSIGEEQPVAEKRVYPVRLGKFKNVGNQGAVDGVVGNVNAAGMVSKEPGESSSSSLDARRCFSMGTYQYVLGASELRVALQPGRGTNGASSRFKGRCAAGLSSANADIMEGKRICARNKGESFSVSKIWQWSSVKGKLPAHPDTCSDTGSLPWMKRNAAGDKLNM >PAN08597 pep chromosome:PHallii_v3.1:1:57496614:57506764:1 gene:PAHAL_1G423100 transcript:PAN08597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MGRGGGGGLQSKKEEEEELRGAKRAFKEAQAEGCREEEARWANVIGDIHKRRGEYVEALRWLRIDYDVSVKHLPQRHLLPSCQSLGEVYLRLGRFSEALTYQKKHLQLAKESDDLVEQQRASTQLGRTYHEMLLRSENDHSAIRNAKKYFKSSMKLARILKEKSPSQKSDFLKELIDAYNNMGMLELELDNFEQAEKLLIQGLKICDDEEVDSYDDARTRLHHNLGNVYTELRKWNKAEGHIEKDIAICRNIRHPQGEAKGFINLGEVHSRVQKYEEAKLCYKKALTIAACLEDEDALIEQINQNIETVTKAAEVLAELKKDEQKLKKLVRDTSNARGTSKERKLLLEQHAWLDNLIEKARMICAWEKHREFSKGKKRVATELHDKEKLSDSLLSIGESYQKLRIFSKARKWYMKSWNTYRAIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEGYRIAVEGDLPNVQLSALENMHYSHMVRFDNIEEAKKMQEKIDNLKQLLNQHEARDTVSDYCSETDTEGGCISDNMLDPEDDNWQLGNKYSEESDDDVTLASLVHKSRSSSKIKARKMHSSSKKVVEPCDVAEDTRTVLSRSCTNHSVGRKRVRVVLSDDESEESPEIVQLKNTSTSPANSMSVSDQGAKSNRNQDALEPNDTRDAPCTAEESICSFKSSSPIGHAFEGIELGASSVRKGSASKSAASGSKFRLPGSNSRHESQNAVGFQFTDADHHFWAFRIGEHLVYLDGRAYVHEGAFSIESLKVELACVYYLQISDEKRVKGLLPVIGELKYCGKVLDDTAPIYYDDQLGSEQKCVDVIIDDWVPKRLMKLYVDCCTKLSEAPNKKLLTKLYNLEVSEDEVIVSDCGLQDLSIMPFLDALISHKTIAVLDLSHNILGNQTIERLQHIFASSSQTYGGLTLDLHCNRFGPTALFQICECAVMTDRLEVLNLSGNRLTDACSSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGLVLSHLSLGKNNPISGNAMLNLLSKLASLTRFSELSLTGIKLNKLTVDKLCLLAQSSCLSGLLLGGTSIGPVGTISLTNALSCTSHDLLRLELSNCGLTAPDFAQICTNLSRINILDLNLGGNSINLEGCDAIQAMLVNPPCSIRSLTLDRCNLGLAGIVCIIQALSGNDQLEELRLAENTNSALKRIIQYGDMQEVSTTNEQKQCNNPETSNAIARGNLDLENMQVADSEDEAENENHCALSGPHRSCASSSQKNSYSSCQIIQELAEALISAKQLKVLDLSRNGLSDEAIQSLHSAWASVPRGDGMAQKHVNKDVVHFSVDGMRCCGMKPCCRRDLHM >PVH67076 pep chromosome:PHallii_v3.1:1:57496614:57506764:1 gene:PAHAL_1G423100 transcript:PVH67076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MGRGGGGGLQSKKEEEEELRGAKRAFKEAQAEGCREEEARWANVIGDIHKRRGEYVEALRWLRIDYDVSVKHLPQRHLLPSCQSLGEVYLRLGRFSEALTYQKKHLQLAKESDDLVEQQRASTQLGRTYHEMLLRSENDHSAIRNAKKYFKSSMKLARILKEKSPSQKSDFLKELIDAYNNMGMLELELDNFEQAEKLLIQGLKICDDEEVDSYDDARTRLHHNLGNVYTELRKWNKAEGHIEKDIAICRNIRHPQGEAKGFINLGEVHSRVQKYEEAKLCYKKALTIAACLEDEDALIEQINQNIETVTKAAEVLAELKKDEQKLKKLVRDTSNARGTSKERKLLLEQHAWLDNLIEKARMICAWEKHREFSKGKKRVATELHDKEKLSDSLLSIGESYQKLRIFSKARKWYMKSWNTYRAIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEGYRKMQEKIDNLKQLLNQHEARDTVSDYCSETDTEGGCISDNMLDPEDDNWQLGNKYSEESDDDVTLASLVHKSRSSSKIKARKMHSSSKKVVEPCDVAEDTRTVLSRSCTNHSVGRKRVRVVLSDDESEESPEIVQLKNTSTSPANSMSVSDQGAKSNRNQDALEPNDTRDAPCTAEESICSFKSSSPIGHAFEGIELGASSVRKGSASKSAASGSKFRLPGSNSRHESQNAVGFQFTDADHHFWAFRIGEHLVYLDGRAYVHEGAFSIESLKVELACVYYLQISDEKRVKGLLPVIGELKYCGKVLDDTAPIYYDDQLGSEQKCVDVIIDDWVPKRLMKLYVDCCTKLSEAPNKKLLTKLYNLEVSEDEVIVSDCGLQDLSIMPFLDALISHKTIAVLDLSHNILGNQTIERLQHIFASSSQTYGGLTLDLHCNRFGPTALFQICECAVMTDRLEVLNLSGNRLTDACSSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGLVLSHLSLGKNNPISGNAMLNLLSKLASLTRFSELSLTGIKLNKLTVDKLCLLAQSSCLSGLLLGGTSIGPVGTISLTNALSCTSHDLLRLELSNCGLTAPDFAQICTNLSRINILDLNLGGNSINLEGCDAIQAMLVNPPCSIRSLTLDRCNLGLAGIVCIIQALSGNDQLEELRLAENTNSALKRIIQYGDMQEVSTTNEQKQCNNPETSNAIARGNLDLENMQVADSEDEAENENHCALSGPHRSCASSSQKNSYSSCQIIQELAEALISAKQLKVLDLSRNGLSDEAIQSLHSAWASVPRGDGMAQKHVNKDVVHFSVDGMRCCGMKPCCRRDLHM >PAN07350 pep chromosome:PHallii_v3.1:1:51101808:51108029:1 gene:PAHAL_1G328800 transcript:PAN07350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAAAETLASFPIASPSRSLLRPLPRRVAAAGGGALSIRISAVPPRGLGVALVHRRVRRCPPAARANVERNGDEAGASGNGEASSAGDGDRDAPAEAGADSTSTSTTSAAARPPPQPSSKRGDNKWRRRLLKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARVVEQTPVQRGTDVVVDNAGVSRRIVFTTTRPVDIKTPYEKMVENMVEFGSPDKRSGGMLNSALVALIYVVLIAVVLQRLPISFSQHSAGQLRNRKNSNSSGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRLVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVNRRELPLSKDVDLADIAAMTTGFTGADLANLVNEAALLAGRLNKEIVEKIDFIRAVERSIAGIEKKHAKLKGNEKAVVARHEVGHALVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLGGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKVLLQSALEVALSVIRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGQQEQVLQLEAGS >PAN08369 pep chromosome:PHallii_v3.1:1:56396612:56409051:-1 gene:PAHAL_1G408300 transcript:PAN08369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MPSRSKRNRLGLDGEEEEEEEEIATIQSDPNDSESEAERGAEADDDDDEYVGESSDAGGGDEAEERGSSDSANGGGHGDGGKPLRSGRRGVMAPDRERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEHLARRLSARKRFVPWGSTQAFAVTHNLPQSPAVTSVSSSEKEEPLPPGIEPLVLWQREECDKENCNASAIEVDHLLVRYLRPHQREGVQFMFDCVSGSLSDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDDKPMVKRAVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALASLPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPNASSEEKKLGSERSAELSAKVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKQSKILAYITALKKLCNHPKLIYDTIKSNNSGGSGFEDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRQKTDDRIVLVSNYTQTLDLFVQLCRERRYPYVRLDGATSISKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDNKMQGSSLSTEDLRDLFTFHEQVRSEIHENLKCSRCNKDGISLLGGNEFDLVATEHKPSMPGVQDYIDIGGFGEISGCLQIMDSSHHQIGRPSEEDLGSWGHHCDPSTVPDTILQCSAGDEVSFVFTNQVDGKLVPVESVARSAPHQPNEIAAHGDKEVGKTNSPSKPRKQYLLGKNLKMMGFNLKNSSLKCPTRSRTASPNCLQGLKKTSLSLEQPQTKKLHVASDMSDDDFV >PAN09041 pep chromosome:PHallii_v3.1:1:59411241:59413409:-1 gene:PAHAL_1G454200 transcript:PAN09041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSEAVEVPDTREIAKPPPLVVMPTSSTEHSAGELDRHQQPPLAAAVKRKRTKRPRHHPPASSASSSESTTTEEEDMAHCLILLAQGAAGGSSGAHAAVDSKPSPSPAPAPQQAQPSPPAAAPPPAVKSERYTSRKYTEAATTVDGVKAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLAGADDEIAINVVTASTAIKQQKPPMATASPPPPLPPALQPQMDVAVFPDVTTALSLNSVAISSSKQLRVHECSICGAEFASGQALGGHMRRHRPLNAPERAVTAIAGVDTKKEGSAGINLELDLNLPAPSDEEAVSVSVSLPAAAAPAVVLGLGQFSDGKKAGLMLTASALVDCHY >PAN06089 pep chromosome:PHallii_v3.1:1:32555510:32558788:1 gene:PAHAL_1G203800 transcript:PAN06089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKRSFFASKKKATNPFDSDSDDGDNKQQRPARASSVPPPTEQRNSLFGAGAGGQERGGLFSSSEAPRNSHYRNDFRDAGGLESQSVQELEGYAVYKSEETTRRAQECVRIAEEMRDTASKTLVTVHQQGQQIHRTHMMAVDIDQDLSRSEKLLGDLGGLFSKKWKPKKNGTIRGPMLSRDDSFIRRGSHLEQRQKLGLVDHLPRSNAREFRSEPTSALEKVEMEKAKQDEALSDLSDILTELKGMAVDMGSEIEKQTKAMGDAEKDYDELNFRFKGANTRARHLLGR >PAN06090 pep chromosome:PHallii_v3.1:1:32556150:32557681:1 gene:PAHAL_1G203800 transcript:PAN06090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKRSFFASKKKATNPFDSDSDDGDNKQQRPARASSVPPPTEQRNSLFGAGAGGQERGGLFSSSEAPRNSHYRNDFRDAGGLESQSVQELEGYAVYKSEETTRRAQECVRIAEEMRDTASKTLVTVHQQGQQIHRTHMMAVDIDQDLSRSEKLLGDLGGLFSKKWKPKKNGTIRGPMLSRDDSFIRRGSHLEQRQKLGLVDHLPRSNAREFRSEPTSALEKVEMEKAKQDEALSDLSDILTELKGMAVDMGSEIEK >PVH66362 pep chromosome:PHallii_v3.1:1:38827067:38828491:-1 gene:PAHAL_1G222900 transcript:PVH66362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNTVENYDRSARRQLDFALGINMAGVASRLQFKNREVDPSDRPQKEKKEYVTEEQVRYMRNQRPTSSDLLRKYEYQYQQRLQQESEEEEYERRTGKRLRKHEDARMSRLPTIRDCPECGPVKSDARESVFQRLGPAPTRQERVRSPRREDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIKTLRKARPDLAEQVHYVQEKESRPSRKEWRLKSTEADKKVSADTHMVFVLPAEFHARTHEEPSVAQLDLGPRPVIFEKPQAKNYKNLKALYLKGYINGQPVNKMLVDTGVAVNIMPYSVLRRLGRSTKDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCRIPSTMHPCLIQWDGDEVEVVQTDDSIEISHAAMSIWDAEDQEPILGMSLEGCDHIEATKNGVRLVLSTGLTE >PAN04584 pep chromosome:PHallii_v3.1:1:5281356:5283126:-1 gene:PAHAL_1G077200 transcript:PAN04584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNHSVPPMMAPTSSAELLQAQAELWSHAFAYLKSMALHSVIKLGIPTAIHRCGGTASLSELHAHLPLLVTSGVFKESEAGVYSLTPVSRLLVEDDAEGGRACLSPFAILATSPFHLRSSQRLTEWMENEEEDAAAETPFMMAHGAGFYGYAGRDLELAASRRESSCGEVFAGLASLVDVGGGDGTMAKAIAKAFPHARCTVLELPQLVGTMPVGGMVESVAGDMMEFIPPAGVVLLKFVLRNWSDEDCVRILKRAKEAISTREPKGKVVIIDTVAGSASSKQAFEAQVFMDVCMMMLTTGEVRDEEKWRGLFLHADMRLSMHKCR >PVH66695 pep chromosome:PHallii_v3.1:1:50502495:50503508:1 gene:PAHAL_1G317900 transcript:PVH66695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDDGEPVRAGDASSPARHRWRMLQGSFTEDRSRSSHTSDVPSPAAPSRQFATVRRRHATFAAGLRSPQSGASQGKGMEMFKISPLLLLVFSSSNCSAWTGPNGVSFWRSFGPQNT >PVH66884 pep chromosome:PHallii_v3.1:1:54117152:54118105:-1 gene:PAHAL_1G375200 transcript:PVH66884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEPYRERIRRELRQIWVDPPAFCRPGASPVTYLLHWEVAIDGPDGSPYAGGTYVPRRRRLRPHYISPEAPKITFRTKVYHPNINSEGEMTLDIFRYENWSAAMTVRKLLLLIVSVLRDPMLDDHPTNDEASDVYESDLELYEQMAMAWTWEYSSTPIVSYYPPVEKDERRWLDWWEADEAAEAEEWLRRYEAEKRRRRQEEEMLAASSPARDRRIALPRVTWNRAVAFLQGWAVALPFPTFRRRMYSAVVPLSNHTGRACSNLSWTFYGPS >PAN04970 pep chromosome:PHallii_v3.1:1:7822058:7825640:1 gene:PAHAL_1G105300 transcript:PAN04970 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MAAAVPSGYAPPLPTTILNLTAGTHFGPFTLRLRLRPHRAAAVTAAAATLREVCAGRVPDHVLQRAEEVGYVVPTEVQEQSLPLLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGIQVTKVARILAAKGCTVMALLDGGMLKRQKSWVKAEPPAIIVATVPSLCQMVERRAFTLQSVRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTILASASIPQHNRFLHDCVQHKWTKSDVVHVHVNPVQPMPAHLCHKYVICTKKERLHVLLSLLESDAPKSAIIFVAEQSERSKKAGNPPSTAVVVEFLRSSYKGSLDVLLLEEDMNFNARAASFSEVKGRGFILVSTDIASRGFDLPQTSHIYNFDLPRTVTDYLHRAGRTGREPFSRLECSVTTLITEDEHFVLQRFQNELKFHCVELPLESMFTFNS >PAN09123 pep chromosome:PHallii_v3.1:1:59742328:59743761:-1 gene:PAHAL_1G460300 transcript:PAN09123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYLAVPVSPLPAAHPFLLRPPSSSVPSLPRSTPPWRAKRRSIAAVSGSFFTADLDPDDYAAEPESDGDSPWEGALVYRRDAAAHHLEYASTLERLGLGDLSSHDSRARAAAMGLGALDLDQPQSQTPVLVSLDVARRRRRLRLDGIVRTVITLGCFRCAEPAPQGIFANFSLLLTEEPVEEPDIVDLGTIYEEEEDGASLTGTLDEDDQDVDWDDRLHFPAGDKEIDISKHIRDIIHLEITMDAVCSPSCKGLCLACGTNLNTSSCNCSQEKQQEPKNVKGRGPFEELLKPIHKR >PVH65584 pep chromosome:PHallii_v3.1:1:2057112:2059018:1 gene:PAHAL_1G029600 transcript:PVH65584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSGVDQQFVMLRTAMREKILEYIRRKQSSPEWRKRLPELAKRLEEVLHREFPNMNDYYNMMKGPIDPHLQFAIRTLIAQNQQFQQNLQMARETASSSGTMNPDVNHGDLCEQSATLLADVQSNNADEVDRTE >PAN05772 pep chromosome:PHallii_v3.1:1:28959116:28959487:-1 gene:PAHAL_1G193300 transcript:PAN05772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARPRSEPAAAAAPAPPGSSYSEVLFYLRQHHRLITYPHPRGYLHPVTPLLSCPRRALIADTAEHAHTEASPPFCTFSPSSSSLSFWSGFICLAVLGRLLLYVLLIEPLIGCFLWITDLMRR >PAN05937 pep chromosome:PHallii_v3.1:1:30536169:30536873:-1 gene:PAHAL_1G197200 transcript:PAN05937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRRRDKEKPRDRDREKDRDLDRHRDRDRDRDRDRDRDRDRDRDRDRDRRRDRERKRSRSRSPSADRDRSHRRHYHSHSHRGRSSPSPDAGRHKRRRDASPAAADHHHREDKKSTDPPAPPKDGGDPAAAVAAVGDGDVDAEELEMMKMMGIPVGFDSTKGKHVPDADVSGVRVVTKRQPRQYMNRRGGFNRPLPLERNR >PAN07466 pep chromosome:PHallii_v3.1:1:51685932:51688224:-1 gene:PAHAL_1G338200 transcript:PAN07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSASGMAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYEDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >PAN05737 pep chromosome:PHallii_v3.1:1:11761274:11766829:-1 gene:PAHAL_1G134500 transcript:PAN05737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVDAEGAVRSSDQHNRKKPRLETGAEMPLADALAAGGSGVEAAAGADFTGMAVEEIVQHPLPGYGAPVALSFCPDDRRVAYLYSPDGTLHRKVYTFDTAQRRQELLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRARHSGGHSSRAGIVVPLPSGVYFQDLSGSEPVLKLQSSPTSPIIDPLLSPNGSMIAYVKDDELHTLGFSNGETKQLTFGARESRKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSTEIPLYRIMHQGKRYVGPDAQEDHAYPFAGAANVKVRLGVVPSHGGEVTWMDLLCGDPHGSHGDEEYLARVNWMHNNALAVQVLNRSHTKLKLLKFDITTGKREVLLEEQHDTWVTLHDCFTPLDKGVNSKYPGGFIWASEKTGFRHLYVHDKNGVCLGPLTQGEWMVEQIAAVNESNGLIYFCGTLDGPLETNLYQTNLFPDWSLPLQPPKRLTHGTGRHSVILDHQLLRFIDVYDSIKSPPVFLLCSLLDGSLIMPLFEQPLTVPSLKKFQQLSPEIVEITAKDGTTLYGTLYLPDERKYGPPPYKTLVSVYGGPGVQLVSDTWMSTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDAEDQLEGAEWLIKKGLAKPGHIGIYGWSYGGFLSAMCLARFPDTFCCAVSGAPVTAWDGYDTFYTEKFMGLPSEHPDAYEYGSIMHHTKNLKGKLLLIHGMIDENVHFRHTARLINSLMAEGKPYEILLFPDERHMPRRLGDRIYMEERIWDFVERSL >PAN08728 pep chromosome:PHallii_v3.1:1:58097092:58100817:1 gene:PAHAL_1G432800 transcript:PAN08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISLEDVRNETVDLETVPVQEVFQHLKCSKQGLSTTEGDSRLKIFGPNKLEEKSESKLLKFLGFMWNPLSWVMESAAIMAIVLANGGGKPPDWQDFVGITVLLFINSTISFVEENNAGNAAAALMAGLAPKTKLLRDGKWKEEDASILVPGDIISIKLGDIIPADARLLDGDPLKVDQAALTGESLPVNKHPGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAVGMVIEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCGKGVDKDMVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYIDGNGDWHRISKGAPEQIIELCRMSKDAEKKIHGLIDSYADRGLRSLGVSYQQVPEKSKESAGEPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGSNMYPSTTLLGDNKTGEMGGLNIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALVWEFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGTYMALTTALFFYLAHDTDFFTTTFGVRSIKENDKELMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYANWEFCKMQGIGWGWGVAIWAFSIVTYLPLDVLKFAIRYALSGKAWSNINNKTAFVNRNDYGRGEREAQWATAQRTLHGLNQATASSDLFGDNTGYRELSELAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >PAN07808 pep chromosome:PHallii_v3.1:1:53456906:53459537:-1 gene:PAHAL_1G364500 transcript:PAN07808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCQGTVGSLCQGLLDLDDDKFGAMCSAFGYLQEWPDLGAMCGASLGATPGAGDGNDDSSCNGSGGLKKRGPDACLDAKGDGNDMSKRPRGKQQQQLCGLVEVAAPGKGKQERPKAGTKKKAEAASTAAAAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCSKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVSFDIVEDLFGRQLKQACSPAALPAMALPAGQLEPTGLQMSPLQQVHPSAAAGSNGFGLDMVVNNLYPSAAASCPVSAATPVMAAGGPSIEPCLNVNGAAAAAWDIGSQNLFGGFDAQFQSVESDCLLDNLKMEM >PAN07807 pep chromosome:PHallii_v3.1:1:53457613:53459064:-1 gene:PAHAL_1G364500 transcript:PAN07807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCQGTVGSLCQGLLDLDDDKFGAMCSAFGYLQEWPDLGAMCGASLGATPGAGDGNDDSSCNGSGGLKKRGPDACLDAKGDGNDMSKRPRGKQQQQLCGLVEVAAPGKGKQERPKAGTKKKAEAASTAAAAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCSKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVSFDIVEDLFGRQLKQACSPAALPAMALPAGQLEPTGLQMSPLQQVHPSAAAGSNGFGLDMVVNNLYPSAAASCPVSAATPVMAAGGPSIEPCLNVNGAAAAAWDIGSQNLFGGFDAQFQSVESE >PVH65975 pep chromosome:PHallii_v3.1:1:9084651:9089813:-1 gene:PAHAL_1G116800 transcript:PVH65975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVPGDDDAPGSSGAGAGGGGLADWARHRKRRREELLKEKESTTHMSDQTNCNEVEAEECDAYEENQEEPVAMIEESPPDVGQDGDDGQGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAIKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARSQYPKPEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADAVTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >PVH65974 pep chromosome:PHallii_v3.1:1:9084651:9089791:-1 gene:PAHAL_1G116800 transcript:PVH65974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVPGDDDAPGSSGAGAGGGGLADWARHRKRRREELLKEKESTTHMSDQTNCNEVEAEECDAYEENQEEPVAMIEESPPDVGQDAGDDGQGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAIKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARSQYPKPEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADAVTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >PAN05127 pep chromosome:PHallii_v3.1:1:9084651:9087226:-1 gene:PAHAL_1G116800 transcript:PAN05127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLSTVTVLYLLFPSLFVLFSLTWYLAGDDGQGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAIKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARSQYPKPEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADAVTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >PVH66925 pep chromosome:PHallii_v3.1:1:54872735:54873458:-1 gene:PAHAL_1G386100 transcript:PVH66925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAAVVAMLLALMVVTSGSATRAAARALPGEQPPASAGGSTNNAPPPPRSSSEHGRGLHRLLLPVEEEKSQAGHSCGTYDKNSPPCP >PAN08672 pep chromosome:PHallii_v3.1:1:57904375:57907074:1 gene:PAHAL_1G428700 transcript:PAN08672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFHSPEGHSRVAFTQTQLAAQSPARITPPVPTASFAASVAIAKSQQSTAPPTARSGNQPDPLSLRPTAPSGTMATELTAAQLRAYDGTDPSKPIYVSIRGKVYDVTSGRSFYGPGGAYAIFAGHEASRALGKMSKDEADVSGDLSGLTDKELGVLADWETKFQAKYPVVARLVDA >PAN08671 pep chromosome:PHallii_v3.1:1:57904539:57907074:1 gene:PAHAL_1G428700 transcript:PAN08671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFHSPEGHSRVAFTQTQLAAQSPARITPPVPTASFAASVAIAKSQQSTAPPTARSGNQPDPLSLRPTAPSGTMATELTAAQLRAYDGTDPSKPIYVSIRGKVYDVTSGRSFYGPGGAYAIFAGHEASRALGKMSKDEADVSGDLSGLTDKELGVLADWETKFQAKYPVVARLVDA >PAN03756 pep chromosome:PHallii_v3.1:1:1457429:1457674:1 gene:PAHAL_1G019700 transcript:PAN03756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMSGGGGGDGEDRLKISWPEVVGMGLLLAARKIRGDRADVRLEVHKVGDGVEPGRDDKRVRIFVNIDATYTVALTPFVG >PVH66694 pep chromosome:PHallii_v3.1:1:50501541:50501684:1 gene:PAHAL_1G317800 transcript:PVH66694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHQLSAPKQLVAALLLLVACVASHFGAVSAQYGNSGAAGTGQGPF >PVH66417 pep chromosome:PHallii_v3.1:1:44069855:44071401:-1 gene:PAHAL_1G239300 transcript:PVH66417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFESFHALPKLDGLPLVSKHLTRLELHGVGVYSSFPDFSSFPVLEHLEFNKCEFSLVQKILSDSIKHLSITQSISGFYDSFRTHICAPNLASLRLDEVYGWTPVLETMASLVEAFVRIPELCLDQCEQWHANYWDRLSEAKKLTLFSYPVMYIFKRDLRCCPTFSKLKTLGAGKRTHPLLLNKYWSEPDSLHALACILEHSPVLEKLTLQLFLEVPKYVEEMKGSPDPEELSAAISEFLQIIEVKCEVVDEVVLNVLKFLRKLGISKSLLTYSIFLQFSFTWRKESKQ >PAN08230 pep chromosome:PHallii_v3.1:1:55572571:55582946:1 gene:PAHAL_1G396500 transcript:PAN08230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAMRLRCLLMHPPLWSNSSSLGISASGISGGCFVRRFSAVGAPRPHGPSRRLCRFYSSKGGVGSAEAHGASAASSAAGSSGRCIEQEHARLGERDQQEWLSGERFLTGCKRRDSPFLTRRERFRSEFLRRVVPWEKGTLSWQNFPYYVNENARQLLSECVASHLRHKGVTSEYGSRLESSGGRILLQSSPGTELYRERFVRALAHELRVPLLVLDSSVLAPYDYGEDYSESEAEDEHAESEDEGSESEMEDEGDEDWTSNNEKSGESDDEDALKSVEDLKKSVDDLKKLVPCTIEEFAKRIVGSEESTASDASETPESSEEEKRPFQRGDRIKYVGDPAVSEADQRIILGKIPTQDGSRNAYTFVSGRVLSNGQRGEVYEINGDQVAVIFDPPTPTEESHDHDEDITSKEENAKPTIYWVDAQDIAHDHDTESDDWHIALEALCEVLPSLEPIIVYFPDSSQWLSSAVSKSERREFVQRVEEMFDRLTGPVVLICGQNIMAAPPKDKEHPALMFHNLSRLSSLPSPLKRLVGGLKGQKYSRSSDISKLFTNSLTVPLPEEDEQLRVFNNQIEEDRKIIISRHNLVKLHKVLEEHDLSCVELLHVKSDGVVLTKQKAEKVVGWARSHYLSSTTLPSIKGDRLIIPRESLDIAIERLKEQGITTKKSSQNLKVLAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSRGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGGFEHEATRRMRNEFMAAWDGLRSNESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKEKLESDFKFDELANATEGYSGSDLKNLCVAAAYRPVHELLEEEKKGGASNESSYLRPLKLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >PAN08231 pep chromosome:PHallii_v3.1:1:55572571:55582946:1 gene:PAHAL_1G396500 transcript:PAN08231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAMRLRCLLMHPPLWSNSSSLGISASGISGGCFVRRFSAVGAPRPHGPSRRLCRFYSSKGGVGSAEAHGASAASSAAGSSGRCIEQEHARLGERDQQEWLSGERFLTGCKRRDSPFLTRRERFRSEFLRRVVPWEKGTLSWQNFPYYVNENARQLLSECVASHLRHKGVTSEYGSRLESSGGRILLQSSPGTELYRERFVRALAHELRVPLLVLDSSVLAPYDYGEDYSESEAEDEHAESEDEGSESEMEDEGDEDWTSNNEKSGESDDEDALKSVEDLKKSVDDLKKLVPCTIEEFAKRIVGSEESTASDASETPESSEEEKRPFQRGDRIKYVGDPAVSEADQRIILGKIPTQDGSRNAYTFVSGRVLSNGQRGEVYEINGDQVAVIFDPPTPTEESHDHDEDITSKEENAKPTIYWVDAQDIAHDHDTESDDWHIALEALCEVLPSLEPIIVYFPDSSQWLSSAVSKSERREFVQRVEEMFDRLTGPVVLICGQNIMAAPPKDKEHPSPLKRLVGGLKGQKYSRSSDISKLFTNSLTVPLPEEDEQLRVFNNQIEEDRKIIISRHNLVKLHKVLEEHDLSCVELLHVKSDGVVLTKQKAEKVVGWARSHYLSSTTLPSIKGDRLIIPRESLDIAIERLKEQGITTKKSSQNLKVLAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSRGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGGFEHEATRRMRNEFMAAWDGLRSNESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKEKLESDFKFDELANATEGYSGSDLKNLCVAAAYRPVHELLEEEKKGGASNESSYLRPLKLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >PVH66193 pep chromosome:PHallii_v3.1:1:24401740:24402669:1 gene:PAHAL_1G174900 transcript:PVH66193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVTRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLLCNQHPTEVAAYPIGLFPTIDPDNSEWNFQTEHLGHMLGDLAEETVRIITRFMDVQHHYQILLRHGMNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDEKILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDAQAHIEELQQQPILPAIPIIPEEEEEDPEEIEGVLEIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PAN06308 pep chromosome:PHallii_v3.1:1:45859288:45860467:1 gene:PAHAL_1G254500 transcript:PAN06308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDSEPCTQQRPPAGELKPQAAAPAYDAAAASDDRAPAAGTSESEQAPAEAVASSKAPAVEPEKKDRAAEEEAAATDVCCGEVVPRRPAEESARERLKRHRTEMAGRVRIPDMWGQERLLKDWVDCAVFDRPLAATTGLLTARDALVAECAAARRPAVVSHGPAGRTLRVQNGCS >PAN07823 pep chromosome:PHallii_v3.1:1:53518891:53523415:-1 gene:PAHAL_1G365700 transcript:PAN07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFVYVILGGGVAAGYAALEFARRGGYSRGELCIISEEAVAPYERPALSKGYLLPEGAARLPGFHTCVGANDELLTTKWYKEQGIELVLGTKVISADVRRKTLLTATGETISYKTLIIATGARALKLQEFGIQGSDASNICYLRNIDDADKLVNAMKSCPGGNAVVIGGGYIGMECAAALVTNKIRVTMVFPEKHCMGRLFTEKIAEYYEDYYTSRGVTFVKGTVLTSFERDTTGKVTAVILKDGRHLPADMVVVGIGIRANTSLFEGQLVMSMENGGIKVNGQLQTSDSSVYAVGDVAAFPIKLFDGDIRRLEHVDSARRTARHAVASILEPSKTRDIDYLPFFYSRVFTLSWQFYGDNVGEVVHFGDFTSSSPRFGAYWVNKGRIAGAFLEGGSRDEYEAISVAVRRKAKVANMAELEKQGLAFAIQESQTEAPDCGLAVVGKPTYAWHATAGVVAAVSIAAIGYWYGRKRRRW >PAN08600 pep chromosome:PHallii_v3.1:1:57511064:57513561:-1 gene:PAHAL_1G423400 transcript:PAN08600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFGGASSPEWQAKRRLPIVSWSCAMATVLALATVAPVAAGKGDEAASGVGNAAAVSRIAATSASAPPSSVNVTLICRSTPEPRACETALTSAEARSARDPFAASVQFAMARATTARSLARNLSASAPAVRPSGMHDCAELLDISLAQLRDALQGCAADAAGATTWLSAALTNQGTCNDSLAAAATPVPAGRDAVRKQVAALAQFIRTSLALHVKKVKGGGGSGVPPSAAPSPNKGAAFPSWLPEHDRRLLQSPATNAMTPDAVVALDGSGTHRSINEAIAAVTAPVGTMASGGRGAGGSRRKVIHVKAGRYKESVSISYQQKDVMLVGDGKGKTIIDGDKSVAGGYTTYSSATFAAMGAGFIAKGLSIVNSAGPEKGQAVALLVGGDRSVVYQCEIKAHQDTLFTHSNRQFYAESDISGTVDFIFGNSAVVFQRSNIQARKPSPGQQDVVTAQGRDDPNQNTGISIHRCRITGASDLGSTPVYLGRPWKKYARVAVMESTMDGSVVPAGWLAWPDQPAPSTTLYYGEYRNTGAGAETKGRVTWTGVHTSMSTADATGFTVEKFIMGNSWLGATGVGYTSGL >PAN04271 pep chromosome:PHallii_v3.1:1:3638886:3640108:1 gene:PAHAL_1G053800 transcript:PAN04271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIFRASREEETQSVQPLGRQYRLGHHPTAAQPAILANRMQYSTLQAGRNKRSFLSLSQRAPGHQSKGCLLVPPAVRNPIRPGGQSIKVAFDAPQDDPPRNPCSHILVLLLLLLHHGSGGWARSFWNLLPVLLLLLLLCLHGSGARSFLEIPACTCLSAPAPIKTAYQAGGVPLYSFRPLPFYCTNKDRRRRKEAKKASRFGGEVSTTSGGKRHRVREVEVLC >PAN08946 pep chromosome:PHallii_v3.1:1:58979057:58984085:-1 gene:PAHAL_1G446900 transcript:PAN08946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAPHLLHCGGFGRVAHLPALPGRRRRRGQQLPRVRAVATEPKPSISSSSRPRPRSRNDLSNTRFGGVSKEIQRVRKQMEQDEQLATLMRGLRGQNLRDEQFADDNVRLRLVEVESADNNEGLPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISSLISDLINKKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGQPWQAVYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGTYFAEMMKEDLPQVVVPKTYHKYTSRKVLTTQWIEGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGNMAELADIGAQPSTSLVPVFPMAIAQPEQPVKARAALAFLLSERGNFFREFILDEIVKAIDAVSREQLIQIAASFGVGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTSGTATTTMNGDVNMVSVVQELLPVLPGISSKILPDVLSRLSSRVFARLIREAFL >PAN03922 pep chromosome:PHallii_v3.1:1:2030719:2041541:1 gene:PAHAL_1G029000 transcript:PAN03922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAPPASPSSRSHGNAAGAGGSATAMMLPGPPGRGNGGCIDLSPTGLLAHGAGSSVVVTDPRSMQLLCVLPMPSAALASFVTAVRWAPPAAPSSLAGDDDDCRPLRLAAGDRHGRIAVWDARARAVLCWLNLDEVRSVAPGSGGGVQDLCWVHHAAGWLLASIHGPSLLCIWETSNNPRVLWMFDAAPEYLSCVRRDPFDARHLCAIGLRGFLLSAIVRHDSDISLQEHRVACGAGDVTELQRLEKEMAAPAPAPALAAFPLFAARLCFSPLWRQILFVTFPRELIVFDLSYSTALSVTPLPRGFGKFSDVMADPDLDLLYCTHTDGKLSIWRRKEGEQVHLLCAVEELMPSIGTVVPPPAVLAATIWQSESIFRNIDKQCQDLAQTQSSYSFITDTNSDQNVCQGTMTYLTSISEDGKIWSWLLTFDKSAYPKKANLGRPSHSNAASATTCSNRPDFTIKINLMGQLHLLSSTVTTLAVPAPSLLATVARGGNNPAPAVPLVALGTQNGTIEVVDVVANAVSVSFSVHSSTVRGLRWLGNSRLVSFSYNQANDKTGGYNNKLVITCLRSGLNRTFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPGQNASSKQSSTSKERSSEASGAENSDETCESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSPDPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDAKGSSVSKPVAMKERFRPMPFCLPVLFPTAHASAVRMILQLGVKPSWFECNNSDKLGRDSFKVAPAFGDLRSYMIETTLPPIGDTVVAELLLKVLEPYRKEGCILDDGRARLYSAIVNKGTYARFAFAAAIFGDVQEALFWLQLPQALRHFLDKSTTRSREKISQSNLHPDPEQGSPLNRISSRERSASGKLIKNAVNYGQLSSMAFKQEQLWFNANERIPWHDKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSQSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWNDAATLAASHLHGSDYARVLQRWADYVLRGEQNMWRALILYVAAGALPEALDTLRKNQRPDTAAMFLLACHEIYSQIAAESEPTEDTTEDTSGSEPTPEQTKKLRFPSKNVAEEDLIAVSEVFGQYQQKLVHLCMDTEPNAD >PAN05153 pep chromosome:PHallii_v3.1:1:9229571:9232943:1 gene:PAHAL_1G117700 transcript:PAN05153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITVWTLCFSKAHHVTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PAN05591 pep chromosome:PHallii_v3.1:1:22690357:22691970:-1 gene:PAHAL_1G169700 transcript:PAN05591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCASMLPWKAKRGQPEPRRSTPKVLFIVRNDGRQSKPEALVFHTHSYGGSGLQKPGRTDSRKHAKCGYDCTDLLCRRLRAPKPPRLPCGSTRSVAVEPMAADKRRPPMSSGATVDGRAAAAGEGCRTPTTPRRTPVWQRRILMGTRCELPRFSGLILYDELGRPLQSSSQNRAGHLISRASKYESKGKRKNARTTPTLRDLL >PAN04668 pep chromosome:PHallii_v3.1:1:5744382:5751397:1 gene:PAHAL_1G082600 transcript:PAN04668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVRMGSIAGGSMRRTASSWRASGRSDTFGRSAREEDDEEALRWAAIEKLPTYDRMRKGIILTTGDAAGAGVEEVDIQGLGMQERKNLIERLIRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFEHLNIDAEAYVGDRGVPTFTNFFSNKVMDALSALRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALSGKLDSSLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDVHVGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDSMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIINSLRQSVHILGGTALIALLQPAPETYELFDDIVLLSEGQIVYQGPRENVLEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFAEAFKAFHIGRKLGSELKVPFDRTRNHPAALTTSKYGISKMELLRACFSREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTTMHRRSVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLILGGFLIARDNIKKWWIWGYWSSPLMYAQNAIANNEFLGHSWQMIVDPTTSNETLGVQILKARGIFIDPNWYWIGAGALLGYIMLFNILFILFLDWLGPLGKGQTVVSEEELREKHVNRTGENIELLQLGTDSQISPDGRREIVGAGTRKRGMVLPFTPLSITFDNIKYSVDMPQEMKDKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPPEVDSEARKMFVEEVMELVELTPLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLIDYFEGIQGVKKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYRRNKALISELGTPPPGSKDLYFPTQYSQSFLTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKIGTRQDLFNSLGSMYAAVIFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTAVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQFGDITNVTMEDDGETVKDFVSRFFGFHHDQLGYVATAVVGFTVLFAFVFALSIKAFNFQRR >PAN06099 pep chromosome:PHallii_v3.1:1:37182623:37187278:-1 gene:PAHAL_1G217800 transcript:PAN06099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERAHLPKTLWERVKLPRNYEKAMDVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKQTQRDLRRLEKAEKAAQLEKNIESELKERLKKGVYGDIYNVPFKEFDEVLDMERDEVVLEEEDEEEGMVEYVEGDDMEEMDHMDDMEDFEGLSDGGTNGDDHLDDQVPKKPKGSGSNLKQNAGKRSRKVMTEVEQDEETNSRQRMRM >PAN06358 pep chromosome:PHallii_v3.1:1:46261464:46265774:-1 gene:PAHAL_1G258700 transcript:PAN06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPHLPPRCPPLGPQITRRDDSLFTQSCRFPSGDPFFGEPPCWLDDLLADSGKVPNLPPLRRACSDSDAILDALSTFQSPIHPIEEGDLSPGGEAEDLLDAVEGGESGSVVEASCVYGPNSPRQKSRLTTSESSMVNAVLENVPSNPLQYLTIDASSGVNGNVANGTADATDAFGHPDQDKTFKRRSGQRSRVRKLQYIAELERTVDSLQNMGADLAVRVASLFQLHNSLSMENKQLRIQISTLQHAKLIKEGQTQALKNEAERLKQMSGRHRRSRSVTSCYNPSPYGDASAVNWQMPDMTKLSLNGSSVSPRGGYGL >PAN07190 pep chromosome:PHallii_v3.1:1:50498411:50500711:1 gene:PAHAL_1G317700 transcript:PAN07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAILLRHGRRAHAHGLRLSHALSTATAGTDPGTISVSNAKQRLRREFDPDRAVSILEAIDKSSMSAGATRNALSVAARRLSRARRFADAEALISSYLPACTTEPYLAAVLCSYASANLPEKALDAFRSTAPSLPTPISPLPFNALLSTLLRCRCHHQIPALFAELSKEFSITPNNTSYGILVKAYCMNRDHAKAKQTLDQMREQGISPTTKTYTTLIDSLYKQKKAEEAELLWKEMVEGGCKPDVAAYNVKVTNFGLHGKLEEVMEVMKEMEADGVKPDIITYNFLVTSYCKNGKLEDAMVLYRSLAEKGCSANAATYKHMLAALCENGDFDAGLGIFVESLKKNKIPDFRTMKGLVEGLVKGGQVAEAKKVAAEMHKRFPNFLLSGWKKLEEELGLDSNSGDASHSECTSGKIVAEAKSVAADAETFELKGSVAEETAVSEESSDDEASVPKEVPRGPA >PVH66794 pep chromosome:PHallii_v3.1:1:52511218:52512305:1 gene:PAHAL_1G350300 transcript:PVH66794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRQDHRAIRICHPSASPPPSPQASCSELSEASESNKRIEIFSSAACPRVDRNGWVWAWPGVRSDKKDS >PAN04815 pep chromosome:PHallii_v3.1:1:6649508:6651573:-1 gene:PAHAL_1G093400 transcript:PAN04815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHMESALRQGLSEPEQRELEGVVRAHHTFPGRAPGTCTSLVTQRVDAPLAAVWPIVRGFASPQRYKHFIKSCDLKAGDGATVGSVREVTVVSGLPASTSTERLEILDDDRHILSFRVVGGDHRLRNYRSVTSVTEFQPGPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIATSSSSPRPSAGSTDH >PAN06659 pep chromosome:PHallii_v3.1:1:47794596:47795999:1 gene:PAHAL_1G278400 transcript:PAN06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGIHGRRHPFSEAAMEHDVPHGGGGAGEALEAAAAPEPELEQEELSDSESGAESIEVSDLKRRMWKDQMLLNKLEGRAGAFRAAAGAGPSRPLAPPGAGGLLGEEEPPEVRCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVSFDRAGPMALTGPAGESPRGIASCLHMLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGHEAWWGSQGEVQAHQGVPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKETETWSKILRQEEVLSRRFKSSLQITPLDDEEEGDGDGDDDGDGLEGVGGRAHDKRKRELISTRHNSGSTSRWGGSGELAVVLPELAGLLADESLSPIDELAKLFYSHAPADQGGGHLENGAAAMLPPGELDLCGIDAVPPDVLFDLIGSCSGLEDVFRLMEED >PVH66909 pep chromosome:PHallii_v3.1:1:54429336:54431751:-1 gene:PAHAL_1G380200 transcript:PVH66909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGAASDELLGTFVPIAVYWLYSGLYVALDGVGRLDGYRLHTREEAAAKNVVSKAAVVRGVLVQQAFQVAVSLTLFAVIGDESGTGQKQPPALVIVLQFITAMVVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILQALFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEQRKGGGVESRPAKLD >PAN03975 pep chromosome:PHallii_v3.1:1:2241760:2243441:1 gene:PAHAL_1G032100 transcript:PAN03975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAGDLPPTEEATAAAAAKEEEAEEDLIEIVEEVSGRLDIARYVDHVRDLSAGAIATFEGTTRDHFAGRRVVELRYEAYAAMARRRLAGILREARSRHALRRLAVAHRLGPVPAGEASVFVAASATHRADAMEACRYVIDELKASVPIWKKEVYDDGEVWKENREFLDSHSGAAAPAPAAKAGGCCGSKVRVQEA >PVH65804 pep chromosome:PHallii_v3.1:1:5587423:5593416:1 gene:PAHAL_1G080800 transcript:PVH65804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALTGDVLHSFEHKHIVRACAFSEDTHMLLTGGFEKILRIYDLNRLDAAPREIEKSPGSVRTVTWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHYGLVKSYNMPCAVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFSPVGESYASGSEDGTIRIWQLGPASSEEQEAANTNGKTKVGVNDIACKIEGFHIPKDGQVEGS >PAN04642 pep chromosome:PHallii_v3.1:1:5587428:5593416:1 gene:PAHAL_1G080800 transcript:PAN04642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALTGDVLHSFEHKHIVRACAFSEDTHMLLTGGFEKILRIYDLNRLDAAPREIEKSPGSVRTVTWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHYGLVKSYNMPCAVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFSPVGESYASGSEDGTIRIWQLGPASSEEQEAANTNGKTKVGVNDIACKIEGFHIPKDGQVEGS >PAN04643 pep chromosome:PHallii_v3.1:1:5587428:5593416:1 gene:PAHAL_1G080800 transcript:PAN04643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALTGDVLHSFEHKHIVRACAFSEDTHMLLTGGFEKILRIYDLNRLDAAPREIEKSPGSVRTVTWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHYGLVKSYNMPCAVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFSPVGESYASGSEDGTIRIWQLGPASSEEQEAANTNGKTKVGVNDIACKIEGFHIPKDGQVEGS >PAN04641 pep chromosome:PHallii_v3.1:1:5587417:5593416:1 gene:PAHAL_1G080800 transcript:PAN04641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALTGDVLHSFEHKHIVRACAFSEDTHMLLTGGFEKILRIYDLNRLDAAPREIEKSPGSVRTVTWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHYGLVKSYNMPCAVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFSPVGESYASGSEDGTIRIWQLGPASSEEQEAANTNGKTKVGVNDIACKIEGFHIPKDGQVEGS >PAN06832 pep chromosome:PHallii_v3.1:1:48678707:48680437:1 gene:PAHAL_1G291300 transcript:PAN06832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLASASTSLLFPQASSSRSRARLSTSLGFSAQPARLRGRAAAAAAGGQRRGRLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSTPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPKIVVFLNKKDMVDDEELLELVELEVRELLSNYEYDGDDVPIVSGSALKALEALMGNPGLKRGDDEWVDGIFSLVDSVDSYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKIGDTVDIVGIRETRNCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPGSITPHTKFEAVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGNVTTIMNDKDEEAKMCMPGDRIKMIVQLIQPVACEQGMRFAIREGGKTVGAGVINKIIE >PAN04351 pep chromosome:PHallii_v3.1:1:4123075:4127696:1 gene:PAHAL_1G060900 transcript:PAN04351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATATKRNRGPGSSARQEAAAMARPGAAEAARRRPRLVGYEELPEFLKDNEFIRGHYRAEWPIRDALLSAFAWHNETLNVWTHLGGFLLFLALAVAGGARGAADEAAPGIMRFVVRSTNASWDSDHSGLLRHGAGAAAALSGVPQWPRMVFLVGAMSCLAISATAHLLACHSRRASVVFWQLDYAGISVMIVASFVPPVYYAFLCHPRARVLYLSGITALGTLVVGALLSPSCSSPRYRRLRATLFLAMGLSGVFPALHALWVNWGHAACYLALGLEVAMGLAYATGAWIYVSRVPEKWRPGVFDVVGHSHQIFHVLVLFGAATHYVAVAVLIHWREKEAVACGAAS >PAN05765 pep chromosome:PHallii_v3.1:1:29936198:29936839:-1 gene:PAHAL_1G195600 transcript:PAN05765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPSSGGDAEAPLLAANGSGSGAPTAPAPAPSTTVVSKALSSTADLAKHLPTGAVLAFEMLSPSFTADGTCNAANRALTGCLIGACALCCFALCFTDSYRDAATGALWYGFVTPSGRLLPVEGGGGSTPPPRDERYRLTARDVLHGLLSFTVFLAVAMVDRNVVACFYPVESASTRQLLAAVPVAAGAAGSFLFAMFPSTRRGIGFPVGSS >PVH66202 pep chromosome:PHallii_v3.1:1:24815656:24816412:-1 gene:PAHAL_1G176900 transcript:PVH66202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLQFYPRPSKELHRFALVSLLSYRKTAGLAPQLACSRPLPAAAGPEARPRCFSPQPAMRRGQPAGADAPRRGRPGARAASPAPPLPAAAGPEARPRRFSPQPAQRRRSPARPVRRPGGRHGPAAPLRGRPRERASAAGEGRRRPRRRRRQVGVRGRGGHCGLHGAGPRGENKTLHIRLPANTLSHNELLALWEGKTGRRASWCTSPRTPSSSRSKRLRFR >PAN04560 pep chromosome:PHallii_v3.1:1:5174302:5179410:-1 gene:PAHAL_1G075400 transcript:PAN04560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPRDMDGHGTHTLSTAAGSPVRGASVFGFGNGTASGGSPRARVAAYRVCYPPVNGSECFDADILAAFDAAIHDGVHVLSLSLGGDPSSYFDDGIAIGSFHAVRRGIAVVCSAGNSGPGLGTASNLAPWILTSGASTMDREFPSYIVFEHRKATAAGNSSSASPLGSPPHKSIIKLKGQSLSMTTLPEKTSYKLIDSAKAGLANATTKDAQLCMIGALDPAKVKGKIVVCLRGINPRVAKGEAVKQAGGAGMVLANDASTGNEIIADAHVLPATQIKYRDGLRLYSYINSTKNPTGFITKPATILGTKPAPFMAAFSSQGPNTITPEILKPDITAPGVSVIAAWTRANSPTDLAFDLRRVAFNSESGTSMSCPHVSGVVGLLRTLHPEWSPAAIKSAIMTTALEMDNKGELILNASSLPSSPFGFGAGHVSPARAMNPGLVYDLGDADYLDFLCALKYNATVMAMFNGAPYTCPGEAPRRVADLNYPSITVVNVTAAGATARRRVKNVGKPGTYWAFVTEPAGVDVTVTPNILEFRAKGEEKGYEVNFQVNNAALAKDYSFGALVWTNGKQFVRSPLVVKAMA >PAN04578 pep chromosome:PHallii_v3.1:1:5174302:5180047:-1 gene:PAHAL_1G075400 transcript:PAN04578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAARTIMALALAALLCALAPAAAAAGAERSSYVVYLGQHAHGAALGTHGAEELSALERNAADAHYELLAGVLGGDKEKAREAIFYSYTKHINGFAANLDATAAAEIARQPGVISVFPNRGRQLHTTRSWQFLGLAGPGGAPRGGAWRKARFGADTIIGNFDTGVWPESESFKDDGLGPVPSHWKGACDKGQDHKFSCNRKLIGARFFNKGYAAAAGVLNASMNTPRDMDGHGTHTLSTAAGSPVRGASVFGFGNGTASGGSPRARVAAYRVCYPPVNGSECFDADILAAFDAAIHDGVHVLSLSLGGDPSSYFDDGIAIGSFHAVRRGIAVVCSAGNSGPGLGTASNLAPWILTSGASTMDREFPSYIVFEHRKATAAGNSSSASPLGSPPHKSIIKLKGQSLSMTTLPEKTSYKLIDSAKAGLANATTKDAQLCMIGALDPAKVKGKIVVCLRGINPRVAKGEAVKQAGGAGMVLANDASTGNEIIADAHVLPATQIKYRDGLRLYSYINSTKNPTGFITKPATILGTKPAPFMAAFSSQGPNTITPEILKPDITAPGVSVIAAWTRANSPTDLAFDLRRVAFNSESGTSMSCPHVSGVVGLLRTLHPEWSPAAIKSAIMTTALEMDNKGELILNASSLPSSPFGFGAGHVSPARAMNPGLVYDLGDADYLDFLCALKYNATVMAMFNGAPYTCPGEAPRRVADLNYPSITVVNVTAAGATARRRVKNVGKPGTYWAFVTEPAGVDVTVTPNILEFRAKGEEKGYEVNFQVNNAALAKDYSFGALVWTNGKQFVRSPLVVKAMA >PAN08254 pep chromosome:PHallii_v3.1:1:55670121:55671426:1 gene:PAHAL_1G398200 transcript:PAN08254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSAPHSAETFSAAASGLRSLLAAPDAARGAVVTRAAVASRCCAGGGEDVVRASGDDGTTIIGHCEDIKTAKKDDEEEEDMKEAAKEKEAGMTTEEEEEEDLDGFWVSYGRRRQRRRLPRPIPSLVARGALRRTRTGDGRLVIRIVPVVRPECVRARRRGDRLTMQLVDHEDDSPMMVPPLREPSSARQGCCNVVGAARAGDGTAAPATGGEAGVGGVEEAVAAPPAVPPPRVPSAGCFEEVFRLDPIGSSSLHQMPSLRMVH >PVH66431 pep chromosome:PHallii_v3.1:1:44557034:44562457:-1 gene:PAHAL_1G244400 transcript:PVH66431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCFDSPADEQLNPKLGGAGGYGGASSAAAAYGGGSGGGRHGDRGYPDLQQAPMAAPRVEKLSAAGEKARVKSNALAREASAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYRGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPFGSLEDHLHDLPLDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAALASRKPGGDQRCKPGDNGRLVSRNDETSSSGHKSPGKDREDSHRDLPGILNKDFDRERMVVEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAENGQGSLDSRTGNS >PAN04047 pep chromosome:PHallii_v3.1:1:2584959:2587869:-1 gene:PAHAL_1G037700 transcript:PAN04047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus-encoded chloroplast protein, Chloroplast development, Biogenesis of chloroplast ATP synthas [Source: Projected from Oryza sativa (Os02g0152900)] MPPVATASAPSSLLPLRVARQGIRGGQCWGERSLCGSQSHRAIRGSKISARASMNITCCANQTQTAQRKSYSGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSNSSGGFILYVIVLLLDYLREFERNLQNGSRRGSDYENGLAPQ >PAN05182 pep chromosome:PHallii_v3.1:1:9434175:9438181:-1 gene:PAHAL_1G120300 transcript:PAN05182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIDGAVGVGGGGQAPAPAPHPRLWRLVDERCDPRALETDYVRRFHRHEPREHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLQNYSSILTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALLKCNLKSLAEVSENRVTRDQTEPLDR >PAN04588 pep chromosome:PHallii_v3.1:1:5294598:5295566:1 gene:PAHAL_1G077600 transcript:PAN04588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWKLASPLCVADTSLRRASNGSPHPHFPRCNFHWIFPFKKNSLATVTSRTTVPSFSVENQCCGTLSFLRTVSS >PAN07307 pep chromosome:PHallii_v3.1:1:50849352:50852604:1 gene:PAHAL_1G325400 transcript:PAN07307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPEEEETVMSLHATLGNKWSRIARQLPGRTDNEVKNYWNSYLKKRVEGKEGPSTPAPAGTSSGADSEDSQSAKPGDGAAATDRPADSGGSSEPRESSSADSSCLTGPPAVACRPHAAPVAPKVMFADWLDMVDMDCLGGGPAAAAPGLDAAAGVVGASASTGDRDQHHQVMSQGSVQVDGPSGVDVSLHGFGDSGASCWEFQEHFDGIDQMSTTGFCDLLAMSEFFGLN >PAN07306 pep chromosome:PHallii_v3.1:1:50849352:50852604:1 gene:PAHAL_1G325400 transcript:PAN07306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKVCDKPKPNYRKGLWSPEEDQKLRDYIVRHGHGCWSALPAKAGLHRNGKSCRLRWINYLRPGLKHGMFSPEEEETVMSLHATLGNKWSRIARQLPGRTDNEVKNYWNSYLKKRVEGKEGPSTPAPAGTSSGADSEDSQSAKPGDGAAATDRPADSGGSSEPRESSSADSSCLTGPPAVACRPHAAPVAPKVMFADWLDMVDMDCLGGGPAAAAPGLDAAAGVVGASASTGDRDQHHQVMSQGSVQVDGPSGVDVSLHGFGDSGASCWEFQEHFDGIDQMSTTGFCDLLAMSEFFGLN >PVH66289 pep chromosome:PHallii_v3.1:1:29951133:29964282:-1 gene:PAHAL_1G196000 transcript:PVH66289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDARAFTPAYLPSPPLLPARTALLATALVPLPPISPMVDPGGATNPFASPCPAAASSSWDDLPDDFFLSASISPPPAAPAPIPSTSPRSAATPAPHRSASLPPASTPASASASDSFSESPRRRPPPPSHHPQPQPQPQPTHLLHPSYSLPAYPAAPPRSAAAAVWPPPPGPHHAGSLPEFAAAPASRAHRPPARSAVRADRPPPLELRPRPPRESQARAALRALACCRDHAPCGGARLWAAGEAGVRAWFLADAFRVPPARQRWGDEAAAPFRESRRTPPALCLEADPGRGVVWSGHEDGRIMGWTADPGPDAGECLAWVAHRGPVFALTVSRYGDLWSGSEGGVIKVWYGEAIEKSLVSQREEKRKTSFLVERSSIDLRDMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKELLKVFNIDGQVDTRFDSLSSQDPYSYETKQTLFSSPRKEKARSPVGFLQRSRNALLGAADAVRRVAVKAGFGDDTRRIEAFTMSADGMIWTGSANGSLAQWDGSGNRLREFLHHSSSVQCICNFGTRLWVGYMDGNIQLLDLEGNLLGGWIAHNSPILSMAVGGSYIFSLAGHGGIRGWNLSSPGPLDSILRSDLIEKDVSYKNFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGVVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGEWWLDVIGQILKGHSFVRVGSRQMAGLIIAVWVRINLKQLIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRSITFSSPSNVLLTTSVSGSAAQPLRGENGSRLPKLSDTDMIVFLGDFNYRLSDISYDEAMGLVSRRCFDWLRENDQLRAEMRYGRVFQGLREGEFKFPPTYKFDKHIAGLSGYDSSEKKRIPAWCDRILYRDSRASSDIECSLECPVVGSISMYDSCMEATDSDHKPVKCVFNLDVAHVDKQTMRQKYGEIMTSNKKVLYLLQGLEAFPEVNISTNDIILQDQNPAVVKLQNRSTEELACFEIIGQTPNSSGAPFSGFPSWLKVSPAVGIISPGQSVEVTLQHGQLRSQDYLSSTSGNSPGAGQEKDATLLVMVTGVYSTAMRDHKIHVKHGSRRDAFPSSGYNFADRFFG >PVH66372 pep chromosome:PHallii_v3.1:1:41847374:41850725:-1 gene:PAHAL_1G229400 transcript:PVH66372 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEANRTAFMELQARMFDTTGKLKQLQTQMRSKEGEKKRAYLTLEELRQLPDDTNTYKTVGKVFILEPKSFLLNEQEQKFNESESAIASMQTSKEYLEKQLGEVENNIRELLQQDPGLARQILSMTVQ >PAN07073 pep chromosome:PHallii_v3.1:1:49836405:49838962:1 gene:PAHAL_1G309000 transcript:PAN07073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGLIVSSLGRAMRRKRASSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTASSTTAESTENKS >PAN07074 pep chromosome:PHallii_v3.1:1:49836364:49839006:1 gene:PAHAL_1G309000 transcript:PAN07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGLIVSSLGRAMRRKRASSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTASSTTAESTENKS >PAN04404 pep chromosome:PHallii_v3.1:1:4420998:4427345:1 gene:PAHAL_1G064400 transcript:PAN04404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRMSKRLPETEKTRADATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAELLVSTSGKNSKQERGENSGAYIIRIPFGPKDKYLAKEQLWPFIQEFVDGALSHIVRMSKAIGEEIGFRHPVWPAVIHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDMDGEEENPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGDREEQSNTPISRRKRIIVISVDSVSKEDLVRIIRNAIEVIRTQNMSDSTGFVLSTSLTISEIYSLLVPAGMLPTDFDAFICNSGSNIYYPSYSGETPNNSKITFALDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRTERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIEVPNVAVLVGESGDSDYEELLGGLHRTIILKGEFNIPLNRIHTVRRYPLQDVVALDSSNIIGVEGYSTDDLKSALQQMSILTQ >PVH66376 pep chromosome:PHallii_v3.1:1:41913914:41914858:1 gene:PAHAL_1G230000 transcript:PVH66376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLNIRWDHQGHAHTNALHWEGFPRLLWESLQIFGYDVPPLYDRHEFVEVGVPRCRVKMTIPQHPSRYLWQPVTICTSGHRLVDTFESAALEAIHIFCDKHPEEVAAYPIGLFPATDSRDSEWTFRISYCSHLLGDLSLETLQTLIRFMSAQHQYQLLQSRSTNQLSNLAQAHHGTIIQQLDELNELHTITNAQVDLLAQRDVIINNQENQIYERETVIAQRNIIIEFLQDQVQDLTIELDDAINHINDLHEQPAPPVVPEENESEEEEEEEPEEIEGESGLDSEHGDPAPNPQSNHSSSERVSSVGNLDDF >PAN03560 pep chromosome:PHallii_v3.1:1:281874:283635:1 gene:PAHAL_1G001700 transcript:PAN03560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMMAMKRLPIIISCLTILLVAFAPAAAEATAPASTVVAGMVFCDQCKDGARGLFDYPLYGARVAIQCGGGDTPLTVRESNTNWFGGFSIRMEGSPDMNRCTARVVQGTGHCGAATAGAPRELTLAFRMLGLALYTVPPLLSQPEEAMDFCPGRDRRRPPPAAARWPAAAPAPAPPLAPFWRRRRLPPIWRKPPTLPQQDQPEPPHVPPPPPPPAPAQGSACTYEQWASPEHRCHWKVVTPNTTVAMAFGPLAAQRYGSELTLRDALEGRGDMYRTLLREATAALLNAYYNAPGGPFLYPTTASVIDHMNGALLSSTQRVLIEGARFRRANAGGGGPAGRTRLPCDFTPCAAPPPAARS >PVH66812 pep chromosome:PHallii_v3.1:1:52769581:52772157:-1 gene:PAHAL_1G355000 transcript:PVH66812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRAGRRGHGLTARRSRFSGLRSIASCLTPRHAVKSQSSCFIQA >PAN05054 pep chromosome:PHallii_v3.1:1:8467363:8475890:-1 gene:PAHAL_1G111000 transcript:PAN05054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVYRRYCPHALNCFLLLVPLLLSCLLHDELVAAVDVPPSLPLDEAQEAIMMDLSSVVGGGWSSNTNFCTWTGVACSRSGSSSSLVVTNITLSNYGISDPAVFSSLCRLDTLLSLDLSRNNFTNLGDKFSTTSCRMKEGLLSLNLSSNQLSVKLSDFLGLPQLEVLDLSLNLFTDGNLRPDLVGSCPKLRSWNLTGNPDLIDHTGNKNHPHKRRADGVVVVIIFGAAAGALVGLCFLLVFATACFPKRTYRAETESGRMPTGEDDSQITNGRCLMTMNCLRASAIMSMEEKPQDEWRITAFQALNFDAADLRRGLTELNLVGSGGSGHVYRVTYSNRHDSSTGVVAVKVIRSDGSSPDERLELEFESEASILCNVRHSNIVRLLCCLSGSECKLLVYDYMDNGSLDRWLHGDYVLRTAHPAATARPVLRRVPLDWPTRLIAAVGAAQGLCHMHHGCSPPIIHRDVKTSNILLDSEFRAKVADFGLARMLEKAGEPNTMSWVVGSFGYMAPEYAYTRKVNEKVDVFGFGVVLLELTTGKKANDGGEHGSLAEWAGYHYRSGSILDATDICIRYAGYTDEIETVFRLGVRCTGSSPLSRPTMKDVLHILLRCSEQTLRKSRLECSMEYEAAPHSCCHSD >PAN08705 pep chromosome:PHallii_v3.1:1:58027650:58033832:-1 gene:PAHAL_1G431200 transcript:PAN08705 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MVAMARNDEEEGPADRVPHLPWMRYPVDIDAFSGCPVAQLPRLDPRLAGALQRMGIESFFPVQEAAWVETIGPGAFERDVCINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSLADEVSNLVRKPKQELYPTIDEEYVKMEPQTKVDILVATPGRLMDHINMTNGFSLEHLQYLVIDETDRMLREAYQSWLPTVIQLTHSIGQDRSWHDTDGKTLLHPLTTIRRSGVERGFKGKCYPRLAKIVLSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLESYKLICTSNLKPLSLIVLLQELQGNKCLVFTSSVESSHRLSTLLGFFEDLPFKFSEYSRLQRESTRRKTLEAFKEGEIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKTFDKMLKKADNASCSLHSLPEESIETLRPVFSSALKKLEESLESEAAKKSNSRDKIPSGSKRKRTNQK >PVH67120 pep chromosome:PHallii_v3.1:1:58028645:58033829:-1 gene:PAHAL_1G431200 transcript:PVH67120 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MVAMARNDEEEGPADRVPHLPWMRYPVDIDAFSGCPVAQLPRLDPRLAGALQRMGIESFFPVQEAAWVETIGPGAFERDVCINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSLADEVSNLVRKPKQELYPTIDEEYVKMEPQTKVDILVATPGRLMDHINMTNGFSLEHLQYLVIDETDRMLREAYQSWLPTVIQLTHSIGQDRSWHDTDGKTLLHPLTTIRRSGVERGFKGKCYPRLAKIVLSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLESYKLICTSNLKPLSLIVLLQELQGNKCLVFTSSVESSHRLSTLLGFFEDLPFKFSEYSRLQRESTRRKTLEAFKEGEIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKTFDKMLKKADNASCSLHSLPEESIETLRPVFSSALKKLEESLESEAAKKSNSRDKIPSGSKRKRTNQK >PVH67119 pep chromosome:PHallii_v3.1:1:58028497:58033829:-1 gene:PAHAL_1G431200 transcript:PVH67119 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MVAMARNDEEEGPADRVPHLPWMRYPVDIDAFSGCPVAQLPRLDPRLAGALQRMGIESFFPVQEAAWVETIGPGAFERDVCINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSLADEVSNLVRKPKQELYPTIDEEYVKMEPQTKVDILVATPGRLMDHINMTNGFSLEHLQYLVIDETDRMLREAYQSWLPTVIQLTHSIGQDRSWHDTDGKTLLHPLTTIRRSGVERGFKGKCYPRLAKIVLSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLESYKLICTSNLKPLSLIVLLQELQGNKCLVFTSSVESSHRLSTLLGFFEDLPFKFSEYSRLQRESTRRKTLEAFKEGEIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKTFDKMLKKADNASCSLHSLPEESIETLRPVFSSALKKLEESLESEAAKKSNSRDKIPSGSKRKRTNQK >PAN04859 pep chromosome:PHallii_v3.1:1:7088451:7089934:1 gene:PAHAL_1G097400 transcript:PAN04859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPPPPPPPPPHFGGPAAGGGRPDRKTVERNRRNQMNALYSRLDQLVRAGSSPSSAPPAVQCGPPAMTRPDRLEEAAAYIRQTTERVERLKERKRELTAARAASSSQGSSSAGAAAEVEVQHLGSGLHAILVTGAPPSDGASFHRAVRAVEEAGGEVQNAHFSVVGARAIYTIHTLVAEGGIERVVQRLKAALRGDA >PAN04077 pep chromosome:PHallii_v3.1:1:2736949:2737662:-1 gene:PAHAL_1G040000 transcript:PAN04077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLRSASVPSSPRSNGTNVEEQIQSLTTAVSSPSANIETIVDGLSKLGSIYSCIDELICFPSSKCQQRKAVEEELERSLVLLDLCSAMQESFAELRTSVQEMQLGLKRGDDVAVQAKAQCYARLVKKAQKQLKKINSKVVLDTDSCRVVKLLSEAREIALSMLESTLYLLSKEILMPSASKWSLVSKAFQKKRIACKEEQLQVLELGIVDLESELQIVFRRLIQCRVSLLNTLSL >PAN08387 pep chromosome:PHallii_v3.1:1:56455255:56456852:1 gene:PAHAL_1G409700 transcript:PAN08387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTGLCVCFLLAFLGLSSNLAISQVLFQGFNWESWKQNGGWYNFLMGKVDDIAAAGITHVWLPPASHSLAEQGYLPGRLYDLDASKYGNEAQLKSLIEAFHGKGVKVIADIVINHRTAEHQDSRGIYCMFEGGTPDFRLDWGPHMICSDDRTYSDGTGNPDTGADFGGAPDIDHLNTRVQQELIGWLNWLKTDIGFDAWRLDFAKGYSADVAKIYIDNTEPSFAVAEIWTSLAYGGDGKPYYDQNSHRQELVNWVDRVGRSGPATTFDFTTKGILNVAVDGELWRLRGADGKAPGMIGWWPAKAVTFIDNHDTGSTQHMWPFPADKVMQGYAYILTHPGTPMIFYDHFFDWGLKNEIAQLVSIRNRHGIQPDSELRIIEADADLYLAEIDGKVIVKIGSRFDCGNLIPAGFQVVAHGDGYAVWEKI >PVH66273 pep chromosome:PHallii_v3.1:1:28775632:28776174:1 gene:PAHAL_1G192100 transcript:PVH66273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPAGRGAASRRRQRWRRFAAPFRAHRGRGRRSGSVTDRRRRWRGGEGDQRWLEPTDGAGSWRRRRSRGRRRADRGGVRRGRDVRRRGGEGRADGGEGKAEGDAERGWPQRAAEEGERRRPGVAVAEGVPSVRARGSRSGGAAEEGGAEWVAAAAGRGLRARGEPATGRVRVGERRGGG >PAN05924 pep chromosome:PHallii_v3.1:1:13167420:13170552:1 gene:PAHAL_1G138800 transcript:PAN05924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGHQCAGALHPGPPCTRARHPGALRQVRSHASMPKGSPARSRSSLAMESILQLAHSAHNTKRCRSAIEEQHVNAMAGEMGRTRQCRHQGRCGGKSLGGAGREGDATKRVADMCQPSIEQAKAISLADGYCSISNGSGIQARQNTWEERERPNWLVGAREAFAPSITVKTSSSSGQRGQALQRRGSVGEEVRPPDKLYSDAAPSAMRMNNEFNSQEWSLPGASTMKELEKYHLTGAFTGSMHNMSVPIQPVSPAVTASCHQHPTEDPEVQEVDKSAVTNNKKKKKVSQRGKAFSKEEDRAICSTFLHVSTDPIIGTNQSAAGYYARMHQHFTENIGASSRTKISIENRWTTIQKAVSKFCGFYAAIERRNESGKNEQDRINDSIRMYEDTEPWQFHHCWVVLRGEPKWHEKMAETNMGQKANQKHSQDSETEINSMHTGSALPERPEGRDSAMKRSRMMGYTSSSSTAVEMLQKMHERGEKNDEKED >PAN05925 pep chromosome:PHallii_v3.1:1:13167420:13170552:1 gene:PAHAL_1G138800 transcript:PAN05925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGHQCAGALHPGPPCTRARHPGALRQVRSHASMPKGSPARSRSSLAMESILQLAHSAHNTKRCRSAIEEQHVNAMAGEMGRTRQCRHQGRCGGKSLGGAGREGDATKRVADMCQPSIEQAKAISLADGYCSISNGSGIQARQNTWEERERPNWLVGAREAFAPSITVKTSSSSGQRGQALQRRGSVGEEVRPPDKLYSDAAPSAMRMNNEFNSQEWSLPGASTMKELEKPVSPAVTASCHQHPTEDPEVQEVDKSAVTNNKKKKKVSQRGKAFSKEEDRAICSTFLHVSTDPIIGTNQSAAGYYARMHQHFTENIGASSRTKISIENRWTTIQKAVSKFCGFYAAIERRNESGKNEQDRINDSIRMYEDTEPWQFHHCWVVLRGEPKWHEKMAETNMGQKANQKHSQDSETEINSMHTGSALPERPEGRDSAMKRSRMMGYTSSSSTAVEMLQKMHERGEKNDEKED >PVH65503 pep chromosome:PHallii_v3.1:1:945524:947398:-1 gene:PAHAL_1G009600 transcript:PVH65503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHGAIDDKIVKVDSEERRLYKMRLTYSLRCLRFLLQQGLAFRGHDESEESSNRGNFIELLKWLAANNEEVDKYVLKNAPYNCTLTSADIQKEIIQYCAMETRDQIIKEFGDDHFTILADESSDVSHKEQLALCVRYIDKSGRPCEWYLGIVHVDDTTSSSLKEAIQSLLVNNGLTMTQIRGQGYDGASNMKGEIKGLKTLIMKESPSAYYVHCFAHQLQLVLVAVAKDNDDCVWFFDRVSLLLNIIGSSCKHHRMIRDHQYYNVMKALQCGILESGSGLNQEMGLPRPVNLIAMYPTIRDVLIALGRDTSARGDWPKIHTMVGVLESFDFIFNAHLMLDILGHTNELSECLQRKDQDILNEMSLVHLAKSKIQQMRSDGWVSFLQRVTIFCNKYGIQVPEMEHNYVPYGRSARFAPDQTNDDHFRREVYIGVIDKISQGLDSRFDEVNMELLTYMAALNPADSFASFDANKVHRLAEFCPNEFSSSDLLRLDLQLETFIDDMRKDELFKGINNLVDLSVKLVETKRDKVYHWVYLLIKLVLLLPVATASVERIFSAMTFIKNKLRNKMGDSLLDHCLMTFIERDIFLKLSEEEIINTFMAIKRRRPDKKK >PVH65508 pep chromosome:PHallii_v3.1:1:1029681:1033317:-1 gene:PAHAL_1G011100 transcript:PVH65508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTKFRPSSGHDGSVTTTNAGAPVWNDNEALTVGSRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVSSLTCADFLRAPGVRTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGVPADYRHMEGFGVNTYTFVNAAGKASYVKFHWKPTCGVRCILTDEEAALVGGRNHSHATQDLYDSIAAGSFPEWQLLVQVMDPDTEERYDFDPLDDTKTWPEDLLPLRPVGRLVLDRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPRCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRQAPPVPVPARPLAGRREKATIRKPNDFQQPGERYRSWDADRQERFVRRFADQLGHPKVSQELRSIWIDLLSKCDASLGSKIATRLNVKPSM >PVH66187 pep chromosome:PHallii_v3.1:1:23476113:23476345:-1 gene:PAHAL_1G171900 transcript:PVH66187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRASRLQRGFGRSSGGIVCRRGRSSAYKLGLILCLTRQRRRWSGI >PAN06746 pep chromosome:PHallii_v3.1:1:48262834:48266174:1 gene:PAHAL_1G285700 transcript:PAN06746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGIFDVSNESNGIKVGYAVPNSSGGAAGSSSQAGGCCS >PAN04433 pep chromosome:PHallii_v3.1:1:4578767:4581935:-1 gene:PAHAL_1G067000 transcript:PAN04433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATTSLLSTLLQLPLAPFSGKSSPPSVVHVPRRAPTAVVAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDEKKRKAREAGRRNRRRRMMDEPRFPEEDAGAAARARDEDDDNWEIDGLL >PAN07980 pep chromosome:PHallii_v3.1:1:54273428:54275183:1 gene:PAHAL_1G377800 transcript:PAN07980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPKLLLLLLLFWSCHSLVAHAGDDRSYRVLSLDSLNSDAVCSEPKVPLSGAATVPLHHRHGPCSPLTTKKMPTLEEMLRRDQLRAAYIQRKFSGGDGKGGAGDVQQSDATVPTTLGTSLNTLEYLITVGIGSPAVTQTVLIDTGSDVSWVQCKPCSLCHSQANPLFDPSSSSTYYPFSCSSDATCAQLGQEGNGCSSSQCQYIVTYGDGSSTTGTYSSDTLALGSNTVRNFQFGCSHVESGFDDQTDGLMGLGGGAQSLVSQTAGTFGRAFSYCLPPTPSSSGFLTLGAGTSGFVKTPMLRSSQVPTFYGVRLQAIRVGGRQLIIPTSAFSAGAIMDSGTVITRLPRTAYSALSSAFKAGMKQYPWAPPSGILDTCFDFSGQSSVTIPSVALVFSGGAVVNLDANGIILGSCLAFAGNSDDGSLGIIGNVQQRTFEVLHDVGGGAMGFRAGAC >PVH65728 pep chromosome:PHallii_v3.1:1:4166357:4167073:-1 gene:PAHAL_1G061400 transcript:PVH65728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLLQLGAAAAASSLLAASCCPDAALPPPSRDAPATTDCTDVPRTVDVAAAISRGARRLGPPRHLRSLSDIPADAPAAAASDDIPADAPAASPSGDVAAAAGHFQSTFLDVAFVFLLVFLAALASSVVLALLVAGLVKIAALLASFLAGRYAAARTAREKVRVRPLDQDAVELGRWPLTTAAAATKRPRRPWLRAAPALDWDSLVVVEPYISDATSSVNILLSPIIRVLTSCLE >PAN08084 pep chromosome:PHallii_v3.1:1:54875639:54876292:-1 gene:PAHAL_1G386200 transcript:PAN08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSKPFALPLLMAVLVLLAVSGAARRLEADGSTAAVFGAGLPVIQFLKGLYQQQLSGPSSTCTTYDPNNPACHGH >PAN08748 pep chromosome:PHallii_v3.1:1:58170617:58175706:1 gene:PAHAL_1G434000 transcript:PAN08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVPFVRLSLLLLVALPFCAAHPGSDFHAPREFKRPALHSDGFGLVARRSIAEAPADINITTNSSFVLAADRTYRRDPLNGFRKYPGGWNISEVHYFASVGYTAIPLFAIALVWFVLFFLVMLGICCHHCCCPHRSYKYSRTAYALSLILLILFTCAAIAGCVMLYDGQGKFHKSTTTTLKFVVSQANYTVDNLRNLSDSLSAAKKVDIGRFLLPSNVQNQINEIQGKLDSSANDLAIRTTDNAAKIKKLLNQVRMALIVIAAVMLLLAFVGFLLSIFGLEFLVSVLVVIGWILVTGTFILCGVFFLLHNVTSDTCVAMEEWVAHPTEHTALDEIIPCVEPATANESLYRSRQVTFQLVNLVNQVITNVSNQNFPSPPPVATPFYYNQSGPLMPLLCNPFTPDLSNRTCTRGEVTLDNATQVYRSFECQTTTISGAEICTTVGRVTPRIYGQMAAGVTVSQGLYQYGPFLIQLEDCTFVRDTFTTINQDYCPGLQQYSKWVYIGLVMVSAAVMLSLIFWVIYARERRHRVYNKQFIAQHQPYPVEDKPAPTGPHA >PVH66397 pep chromosome:PHallii_v3.1:1:43051670:43052488:1 gene:PAHAL_1G234200 transcript:PVH66397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRSNAPPTLDEDSDISDVPLPNPIFTFLAEDNENLEQWAGENVGDTHLGKRKTKVLRPQRPEKKGKMIRNPPEEELASNETTPDPSGGGDEGNTDDDDDDDDDKDDGGSGYPVSSQAGGRSDRSMSPIRFTGETDFTHATQDQDHGQPMSQRRTTSNQRRYDPREGDSSSSVSSTFSYPCPPSYPYPYPQPYSYPYPQPYSHPPPYPSHFIQLPVHLGMSTSGQIGELQEYYYRYHTYQQEETEE >PAN09009 pep chromosome:PHallii_v3.1:1:59299203:59304601:1 gene:PAHAL_1G451800 transcript:PAN09009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 2 [Source: Projected from Oryza sativa (Os02g0820900)] MLWPRFRCPSRSHSSPIAMRRLLLLVLAAAPAAAATTGGEMGYTHCACDGEGGSGGFWSLDNIFKWQKVSDLLIAAAYFSIPLELLYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYEPHPFMVVLLLTAAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWIKARELDREVVLMKRQEEASWHVRMLTQEIRRSLDRHTVLYTTLIELSRVLALNNCAVWMPSEDKSGMCLTHELRRGGDGEAVVSADDKDVLEVKSSDGVKLLPPESVLGSTSGGGEEGTGTVAAIRMPMLKVADFKGGTPEVIQTSYAVLVLVPPSDRNWAQHELEIVEVVADQVAVALSHASLLEESQAMRDRLAKQNRELLQARRDALMANEARDAFQRVMSQGMRRPIHSILGLVSVVQEGLTPEQKLVIDTMARTATVVSTLIKDVMEMSAANQERFPLETRPFHLHSMIRDAACVARCLCDFRGFGFAVHIENALPDLVIGDERRIFHVLLHMVGNLIGRIDAGYVTFRVRADDETMEDQRWDPWRPSYSGGHSSVKFVIGVKQQQSADTSSSLAQFLRKPSTEGFDLRLSFSMCRKLVQMMQGNIWAILDGQGLPESMTLVLRFQLQPSLSGSSLGGSFDLQYPSPSNQIAGLKVLLIDDDEINLVVARKLLEKLGCTVSSLPSGSGFMNSVGPTSTSFQLVVVNLEMARVNPLDVASRIRQYRSAHWPLVMAVTSEQNVWEKCAQSGINGVLKKPLVLQEVKDELTRILQNT >PVH66157 pep chromosome:PHallii_v3.1:1:21516462:21517193:1 gene:PAHAL_1G165600 transcript:PVH66157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISGGTLRCDIMVFVEKSARYPDVDPWFISTVGFRFLDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERRGRTWIARIRGLGREEEDLEDTVSHLSIYLTGLDALYREQTAQLRELIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNRIGGWIEEEEEEEEPMETHWDKGTQTEDRSIPIKKCPIRTEEESP >PVH66749 pep chromosome:PHallii_v3.1:1:51546610:51549902:-1 gene:PAHAL_1G335900 transcript:PVH66749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYRTPPITEKYRIYLEKSADKKNSGTAASRGARRRRAAAAVVYARCRGGGPRRAAAASAPPRGARRRRAAAAVAYARCRGGGPRRAAAASAPPRGARRRRAAAAVAYARCRGGGPRRAAAASAPPRGARRRRAAAAVAYARCRGGGPRRAAAASAPPARRSETTGRRRNCVRSLPRRRASTGCGCLGPPRGSG >PAN04194 pep chromosome:PHallii_v3.1:1:3343797:3346911:1 gene:PAHAL_1G048600 transcript:PAN04194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEDYEDEEEEDVAA >PVH65702 pep chromosome:PHallii_v3.1:1:3901608:3904492:1 gene:PAHAL_1G057600 transcript:PVH65702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGREVEDEWFGGDYDGTAATNDGLDFFNQAPSFHTAPLHSGGHRSFSRHGAAAVQGLDLNSQAMDITDNMSCMDTLRSSPDGVVMEGNRSGAGRGAGHAAGRGAGHGAGAVRGTGRATLAPTSVVADHDIRVSSRPSGGARGRGRAAPSCPPAATSSCQFRPPRPAGGQSNTGVATHGVADATFDGGLHDPAEFNWSQDPYDEDDDDVQEIEETRDDLFDKADWSNNANNSAFCELCVEEIRAGNTNNGHMTNRGYTNIAAKFEERQGYVTLNDSLRTDRMPLGACIPFGCG >PAN08282 pep chromosome:PHallii_v3.1:1:55735685:55737648:1 gene:PAHAL_1G399800 transcript:PAN08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIDMYKYYNTSAHQIAASSSSDQELAKALEPFITSASSSSSSSPYHYYSSSSSITQDSYMSTPSYTNFATSPLPTTAATSSSSFSQLPPLYSSPYAAASAATGVTGTMGLNQLGPAQIQQIQAQFMMQQQQRGLHVAFLGPRAQPMKQSGSPPLAPAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDMARLNFPALRRGGAHLAGPLHASVDAKLTAICQSLAGSKSGSPDAESSAASPPDSPKCSASTEGEEESVSAGSPPSPTQVPPVPEMAKLDFTEAPWDETEAFHLRKYPSWEIDWDSILS >PAN03525 pep chromosome:PHallii_v3.1:1:432285:435514:1 gene:PAHAL_1G004500 transcript:PAN03525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDHFLRLLDLHADDDPFFPSFPFPTSSCPFSSSSSAAHHRFLLDDNTSCPLGFTSPSPIDTFHLDLDLLLPPSAAAAPPCPAFDPFLLDALGHRVSALERALAPPQPRRKYTYAAEAGGRKLKWVAEDKPAGGRAYKWEAELKTPNDDGFDRKWKWESKASAAGTTKVKWAKEIKGKGWLEPWSNSYSVEETYGDDEHDDQDKDKKATPAVSKVKEEKKDKDKKKKKGNVEIVEIEDNTAGCVAIRKAFEKSHAKGKKKELSPRDAALLIQMSYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSFFYNISYRRRIAHDSEERQRFAEKIIVLLLNVDALEGPDYMVRNAKRSMLEELEGMLEIMDPQPPGKPRTLSRRKFDLPEGGSIPKEMRDGVKNVVRIVEEGK >PAN07606 pep chromosome:PHallii_v3.1:1:52367564:52370664:-1 gene:PAHAL_1G348800 transcript:PAN07606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MDLPKPPSTSASSAGSETPNPHAFTCELPHSIYALAFSPTAPVLASGSFLEDLHNRVSLLSFDPVRPSAASFRALPALSFDHPYPPTKLQFNPRAAAPSLLASSADTLRIWHAPLDDLSASAPPPELRSVLDNRKASSEFSAPLTSFDWNEIEPRRIGTASIDTTCTVWDIDRGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRFDLRYMAALLMDSNAVVVLDIRAPGVPVAELHRHRACANAVAWAPQASRHLCSAGDDGQALIWELPETAPAPAPAAAVPAEGIDPVLVYDAGAEINQLQWAAAHPDWMAIAFENKVQLLRV >PAN04768 pep chromosome:PHallii_v3.1:1:6317516:6327367:-1 gene:PAHAL_1G089900 transcript:PAN04768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMKHLLRKLHLAGGAPAGGAGAAPDHHRPRHRRSGHPTPTSTPSPPLVAAAAGAPEPPEPAGATPAAASVAPAAGEPRGLEAEAATTRLEEDYQVRLALAISASDHAGLVDADSVQIRAAELISLGGAAPGAGHDRSPAEALSARYWNHSVVNYDEQLPDGFYDVCGAQLHPGFQAKFPSLGYLRAVPPGRDVAFLAVLVDRERDPALKRLEDRAAVVAVQTRAQHGGAASAELAQRIAGLVVVAMGGLVEDADGMNREWGIKSWELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDFDSTEYIIDLMGAPGTLIPSDISGSQFQDSNNSQLGSDAIEESVAELCLALEQINGGYENRNDIGGSSSDHSSILALTSNLADFSQAELKQNVISEEDLEGEISEHIKVNDVSKYVVPEVVDPQFAQNLHDLLLESGALLPADLLPDQNSHKIHDKESGGWLLVAQTGKNLQNSFVAKDSSSPYENAQHHAENTEEIIRDLDLHDHTSSAISIEDQRVAEDSLVNMSGSSNGNLDKLSWSSTKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQVDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLDIPKVVDPQVASIISSCWDNDPSRRPSFSQLLSPLKQLQRLVVTGSC >PAN07219 pep chromosome:PHallii_v3.1:1:50560051:50562852:1 gene:PAHAL_1G319300 transcript:PAN07219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLESPVGVGFSYTNTSSDLQKLGDKITADDAYVFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNRAGPKESYINFKGLMVGNALMDDETDQTGMIDYAWDHAVISDRVYADVKARCNFSMVNVTDACSAALQEYFAVYHLIDMYSLYTPVCTDGSGSSAARDGHRKVAVHGAAPRIFSKYRGWIMKPAGYDPCTAEYAEAYFNRPDVQAALHANVTKIGYNWTHCSDVISTWNDAAFSTLPIIRKLIAGGLRVWVFSGDTDGRIPVTATRLTLNKLGLKTVQEWTPWYDHLQVGGWTIVYEGLTFVTIRGAGHEVPMHAPRQALTLFSNFLAGTKMPPTAFP >PAN07218 pep chromosome:PHallii_v3.1:1:50557008:50562852:1 gene:PAHAL_1G319300 transcript:PAN07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPRSLLLLALALSLAACSAVSAAAQVDAVAAAQQAADRVAGLPGQPPVGFAQYAGYVTVNETHGRALFYWFFEATAAPDKKPLVLWLNGGPGCSSIGYGEAEELGPFLVQKGKPELKWNNYSWNTEANLMFLESPVGVGFSYTNTSSDLQKLGDKITADDAYVFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNRAGPKESYINFKGLMVGNALMDDETDQTGMIDYAWDHAVISDRVYADVKARCNFSMVNVTDACSAALQEYFAVYHLIDMYSLYTPVCTDGSGSSAARDGHRKVAVHGAAPRIFSKYRGWIMKPAGYDPCTAEYAEAYFNRPDVQAALHANVTKIGYNWTHCSDVISTWNDAAFSTLPIIRKLIAGGLRVWVFSGDTDGRIPVTATRLTLNKLGLKTVQEWTPWYDHLQVGGWTIVYEGLTFVTIRGAGHEVPMHAPRQALTLFSNFLAGTKMPPTAFP >PAN04425 pep chromosome:PHallii_v3.1:1:4511803:4514969:1 gene:PAHAL_1G066100 transcript:PAN04425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALLLPARPAPAAAAALRHPVVSASAYASQARCSLLGAAGRLSLGVRGCARRPLAVAAASSSSSPGPLYPTPPPTEQEVERVKLEQVMRRLEKTARYFKNLGTFGFWSQLVCTIVSAGILSFSTVVTGKVTAPFTFYATAAGIAAAFISVFWSFGYIRLSERLRRTAKEPAKAPPRADVVKSLKNGIVLNILGMGAAVLGMQATVGALVAKALTTSSVPYYQATPGQSPVLALDVFLVQASANTILSHFLGLASTLELLRSVSLPPPAEAAPAPAPAPAAPARA >PAN03777 pep chromosome:PHallii_v3.1:1:1563350:1564580:1 gene:PAHAL_1G021400 transcript:PAN03777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKTSRKRKRKTSTPPEPVPELSDQIVQGILVRQPVKSLLRCKAVCQAWRAIISDPFFTRAHLRWSASRWEEEEGWPTNFSNHIRFYQWQQGASMATFMHAEDFDCKFSFVRYFAHCDGLVLAPTDTGIYLFNPATRETVTLPISIRHHEEVHCCCIGLGRDPRSGKYKVARAFYRSWDPDTGMGTGMRMEVLTVSCNGGAAAWREIADDLPYPACNWRTAMTVNGFLFWRVAKHLPGLPPRGLIHLSLADETLGITTLPDSVDPVLPDAFALDELHGELCVTEVTDDETVTIWTLAVQEDGVQGQCWEQRCIVQLGGFFHPLAFLPGGRIMLKAGFDISIYDMATAKLTTVWQMDRLKYQGRRARTWKNLFVFNALRYTESLVRITV >PAN04257 pep chromosome:PHallii_v3.1:1:3557501:3563105:-1 gene:PAHAL_1G052600 transcript:PAN04257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLQLTALAGGAGDEMEEVALLGSYDVEAGPAGAEWEADEEAGMRRVQVRVAGMTCSACTGAVEAALSERRGVRRASVSLLQNRAHVVYDPALAKDEDIVEAIEDAGFEAEILPDSTVSQPKSQKTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPSAISKDEIVQAIEDAGFDAALLQSSEQDKVLLSVTGLHTEGDVDVLHDILKKIEGLRQFGVNFGNSEVEIVFDPEVVGLRQIVDTIEMESNDRLKAHVQNPYLRAASNDTQEASKTLHLLRSSLLLSIPVFFIRMVCPHIPLISSFLVMHLGPFCIGDLLKWILVSMIQFAVGKRFYVAASRALRHGSTNMDVLVVIGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELAPATALLLLKDKEGKYSGEKEIDASLVQPGDALKVLPGSKVPADGVVIWGTSHINESMVTGESVPISKEVSSPVIGGTMNLHGVLHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSLLTFLAWFLCGWLGAYPNSWSAESSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVVFDKTGTLTQGKASVTTAKIFSGMDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSAKDSIKKRREEILSQWLLEVTDFSALPGKGIQCWINGKKILVGNRALITENGINIPDEAEHFLVDMELSAKTGILVAYDGGFIGLIGITDPLKREAAVVVQGLKKMGVHPVMVTGDNWRTAQAVAKEVGIEDVRAEIMPAGKANVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE >PAN07843 pep chromosome:PHallii_v3.1:1:53599910:53604451:-1 gene:PAHAL_1G367000 transcript:PAN07843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCATRFRIRNPSPAAASHVAGERRLPGGRASFRPMSSAAPVEEPAAASEARRRPSGDSFIRRHLRTLAPYQPILPFEVLSARLKLRPEDIIKLDANENPYGPPPEVATALGNLKFPYVYPDPESRHLRAALAKDSGLESEYILVGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVIKVPRLPDFSLDVERIVEVVEQEKPKCIFLTSPNNPDGSVINDEDLLKILDLPVLVVLDEAYIEFSSLQSRMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPRSIIEYLRRAKQPYNVSVAAEVSACAALQNPTYLENVKNLLVKERKRLFDHLKGIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLNALQL >PAN07977 pep chromosome:PHallii_v3.1:1:54253371:54258040:1 gene:PAHAL_1G377500 transcript:PAN07977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAAGRHRPLPHSSYSSFARARPRTTIRASAASASAPAQREAVAGVPWGCEIESLESAALLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGEVMKRNAVPDWPLIATYLISEASLEGSSRWSSYIAALPRQPYSLLYWTRAELDTYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVALDKSDKCYKEKLQALKRNGLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAVAASNKNSTKPGFNYPELEEQALQFILDCCESNISNYSKYLEGGNGSPQVSINAKQANRTLLLKQLAKDLCISERRILYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >PVH66891 pep chromosome:PHallii_v3.1:1:54253369:54258040:1 gene:PAHAL_1G377500 transcript:PVH66891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAAGRHRPLPHSSYSSFARARPRTTIRASAASASAPAQREAVAGVPWGCEIESLESAALLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGEVMKRNAVPDWPLIATYLISEASLEGSSRWSSYIAALPRQPYSLLYWTRAELDTYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVALDKSDKCYKEKLQALKRNGLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAVAASNKNSTKPGFNYPELEEQALQFILDCCESNISNYSKYLEGGNGSPQVSINAKQANRTLLLKQLAKDLCISERRILYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >PAN07976 pep chromosome:PHallii_v3.1:1:54253371:54258040:1 gene:PAHAL_1G377500 transcript:PAN07976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAAGRHRPLPHSSYSSFARARPRTTIRASAASASAPAQREAVAGVPWGCEIESLESAALLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGEVMKRNAVPDWPLIATYLISEASLEGSSRWSSYIAALPRQPYSLLYWTRAELDTYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVALDKSDKCYKEKLQALKRNGLSAVAMALHKFQ >PVH66892 pep chromosome:PHallii_v3.1:1:54253371:54258040:1 gene:PAHAL_1G377500 transcript:PVH66892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAAGRHRPLPHSSYSSFARARPRTTIRASAASASAPAQREAVAGVPWGCEIESLESAALLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGEVMKRNAVPDWPLIATYLISEASLEGSSRWSSYIAALPRQPYSLLYWTRAELDTYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVALDKSDKCYKEKLQALKRNGLSAVAMALHKFQ >PAN08693 pep chromosome:PHallii_v3.1:1:57985034:57991733:1 gene:PAHAL_1G430300 transcript:PAN08693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAAADELLHRRIEFHAATRHHPPSAAAVAMPGGFRMERSLFAGADKRVAAARDGPEGRVFENGESSGGEFELRAARFYLRRIGAGLHNLGNTCYLNSVLQCLTYTEPFVAYLQSSKHRSSCRTAGFCALCGLQNHVSSALRSTGKILTPVQFVKNLRYISRSFRNSRQEDAHELMVSLLESMHKCCLPSGIPSESPSAYEKSLVHRIFGGRLISQVRCTRCSHCSNKFDPFLDLSLEIGNAATLMKALYNFTEEELLDGGEKHYSCQQCKQKVAAKKRFLIDKAPSVLIIHLKRFSPFNPLQKIDKKVDFQTTLNLKPFVSNSEGTDLKYSLYGVLVHAGWNTQSGHYYCFVRTSSGLWHNLDDNQVRQVREADVLRQKAYMLFYVRDEVRSSVIHKGNGAASLSEKEMISKKIACMNGAIRSGLVEKTLYFPSMSKEDMKLQKHDPDNGQPSDITATSQDQCSNEHSTTEVINALTSKNNEPEEKAPHALPDSVDTLSTKAEQITLVVQREIISPGQPDVCILEMKSQKLNPDNGESNNISASSQEQCSNEHGNTEVTKDSTSQNNEPVQKASCSHLAGTATFSTKPEQTALANQRETTSTAQPNVCIICDASSDQKVYEKPLQELQLEPDGALPDSGKDFPASALPDACSLCDASSDQKAYENPLQDLQIEPDGALIDSGKYNPASVFQSCNGADGLLRANEQANEPRTDAFCKPTPNSDATIITPVVLTEDTAVSDDTITGNDDSTNGNEAKGTEPVKQYDGLVVVKDLSAETIDDKVTAEEQTAVQNNTLGDGQSMVKEVSLMETGHKTGHMADAEYQYNSLDTGGVNSEKKICSESSAHVASSEDYVQVMCSENFVQVEDKGPCHGSLHKNIKIKSKKHVNYPVVNFYFGSKQLLLASLRPRKKRKHKRARRRSTSDVNPESIANDQQTSTSKTVVTSVISCKSHRPKRSRDTASSEDAIHMYNKKQNLGNSCAAEFAMDKKGSKDATHAGAELGSSFPSSASNPDSGKCGDMDKRGSWNFNLLTRGLRVPRWDDDDIQNTKAAELQYSSSTSIGYVLDEWDEEYDRRRRKKVRKPKRDFSGPNPFQEIENIRSRQRRRLQTDQARSGHQPLRI >PVH67110 pep chromosome:PHallii_v3.1:1:57986797:57991733:1 gene:PAHAL_1G430300 transcript:PVH67110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLESMHKCCLPSGIPSESPSAYEKSLVHRIFGGRLISQVRCTRCSHCSNKFDPFLDLSLEIGNAATLMKALYNFTEEELLDGGEKHYSCQQCKQKVAAKKRFLIDKAPSVLIIHLKRFSPFNPLQKIDKKVDFQTTLNLKPFVSNSEGTDLKYSLYGVLVHAGWNTQSGHYYCFVRTSSGLWHNLDDNQVRQVREADVLRQKAYMLFYVRDEVRSSVIHKGNGAASLSEKEMISKKIACMNGAIRSGLVEKTLYFPSMSKEDMKLQKHDPDNGQPSDITATSQDQCSNEHSTTEVINALTSKNNEPEEKAPHALPDSVDTLSTKAEQITLVVQREIISPGQPDVCILEMKSQKLNPDNGESNNISASSQEQCSNEHGNTEVTKDSTSQNNEPVQKASCSHLAGTATFSTKPEQTALANQRETTSTAQPNVCIICDASSDQKVYEKPLQELQLEPDGALPDSGKDFPASALPDACSLCDASSDQKAYENPLQDLQIEPDGALIDSGKYNPASVFQSCNGADGLLRANEQANEPRTDAFCKPTPNSDATIITPVVLTEDTAVSDDTITGNDDSTNGNEAKGTEPVKQYDGLVVVKDLSAETIDDKVTAEEQTAVQNNTLGDGQSMVKEVSLMETGHKTGHMADAEYQYNSLDTGGVNSEKKICSESSAHVASSEDYVQVMCSENFVQVEDKGPCHGSLHKNIKIKSKKHVNYPVVNFYFGSKQLLLASLRPRKKRKHKRARRRSTSDVNPESIANDQQTSTSKTVVTSVISCKSHRPKRSRDTASSEDAIHMYNKKQNLGNSCAAEFAMDKKGSKDATHAGAELGSSFPSSASNPDSGKCGDMDKRGSWNFNLLTRGLRVPRWDDDDIQNTKAAELQYSSSTSIGYVLDEWDEEYDRRRRKKVRKPKRDFSGPNPFQEIENIRSRQRRRLQTDQARSGHQPLRI >PAN04817 pep chromosome:PHallii_v3.1:1:6655979:6659537:-1 gene:PAHAL_1G093500 transcript:PAN04817 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MPRRLATTYSGRIAAATPSPSGPSLTVTVSPTLPPTPIDTRGFPLPRRHLVCAVARILRSPSSPSPLLDLADYLGSLRLTLTADEASEVIKAVAPDTALALGFFRFAATSIPGFRHDAFSYNRILSLLFRSRAGPAEALRLVAEMERDGVPGNISTVNLLVGMGVEVGRCLELAKKWGLRLNGYTCKCIVQAHLRSRDVWKGFEMYEKMRRKGYKLDIFAYNMLLDALAKAGMVDKAYQVFQDMKQNNCEPDAYTYTILIRMSGKAGNTTKFLSLLEEMVSKGCILNLIAYNTVIEALGKNKMIDKVIFMLSKMIERGCQPNEFTYSVILDVLATGGQLHRLNEVLDICSGHLNRSVYSFLVKSLCKSGHASEAHNVFCRMWSSYEKGDRDAFVSMLEVLCNAEKTAEAIDLLHMMPEKGIATDVGMYNMVFSALGKLKQVSFISNLYDKMKANGVAPDVFTYNIMISSFGRVGLVDKVRELFEEMEASSCKPDVITYNSLINCLGKNGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVDMACSLFDEMIAEGCVPNIVTYNILLDCLERRGKTAEAHKLYETLKQQGLAPDSITYSILERLESRSQRTVRIRKPSRTTGWVVSPL >PVH66513 pep chromosome:PHallii_v3.1:1:47086315:47087118:1 gene:PAHAL_1G267900 transcript:PVH66513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPHLCDMNRALGFPDLTDTHLDWTRHGGAFRGGAPTRRRCARGGGWRPPGRGGPPARGRGGEGRGDGGGGAAGAELVARLRNWFGAFVFELGGFGSGSRFAKSGEREEERGLKRCGGEEKKWG >PVH66491 pep chromosome:PHallii_v3.1:1:46646095:46646996:1 gene:PAHAL_1G262200 transcript:PVH66491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGKGRERRRPGPAVPSPQAFDGRRLACGRGTLPAAGSEPHASAALPSDARPLLVCWLPASGGSEL >PAN04041 pep chromosome:PHallii_v3.1:1:2538376:2539121:1 gene:PAHAL_1G037000 transcript:PAN04041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANSAAASLVVSVAGAAGQRPEPPARLAQRRLLPAGPSRRARAASNGFRTHCMKTWNPFTNTRYEALSYLPPLTPESVAKEVEFIMAKGWVPCLEFDKAGEIHRSNSRMPGYYDGRYWTLWKLPMFGCADAAEVLREVEECRREYPDAYIRLIAFDSLRQCQCMSFVVHKPAHAPAAAAPASN >PAN09006 pep chromosome:PHallii_v3.1:1:59282693:59286255:-1 gene:PAHAL_1G451500 transcript:PAN09006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSAFEPSAEMERFLCERLLDAEQPIAERFRALFSLRNLRGEAPRSALLQAARDPSNLLAHEAAFALGQMQDAQAIPALVSVLKDLSLHPIVRHEAAEALGAIGLEKSVPLLEESLTADPAVEVQETCELALRRIEEQKKVNGAESTTTSPYLSVDPALPAKHGLSVDQLRDLLLNEQESMYERYAALFALRNDGADAAVSAIVAALGVKSALLRHEVAYVLGQLQNKAASDALSAVLKDVCEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFEFLFLQTPHVQ >PAN08160 pep chromosome:PHallii_v3.1:1:55297475:55299633:1 gene:PAHAL_1G392600 transcript:PAN08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETAAPIGLSWAPKLPSLATTSGGSKSDRAPKPSTAQGSIWKPASELVDGLFVPPMDPRKVNKLARKNVKDTTGKGWFDMPAPSITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPRYFQVGTVVEPASEFYSGRLTKRERKTTLVDELLSDQSLKSYRKRKVREIQESRTPGGNQKWKNKGKQTLKRAKDRRK >PAN05268 pep chromosome:PHallii_v3.1:1:10515193:10519905:-1 gene:PAHAL_1G128800 transcript:PAN05268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVLPDNMGSGATGGTDDALNDGGSGAGSGMILHVIGGGDDDEAPPESRLQRWNGDEETFIKEPTCIDLKSMQAEHGSDIVPPSTRTLNYIALCWRA >PAN07512 pep chromosome:PHallii_v3.1:1:51877830:51879373:-1 gene:PAHAL_1G341800 transcript:PAN07512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRTAPCALAALCLLVLAAATGAEARRHPRLVPAVFVFGDSTVDVGNNNYLNITAAARANYPHYGVDLPGSAPTGRFSNGLNTADLLAMGLGFRRSPPAYLSLTEKAIRSQMYKGINFASGGSGLADSTGRFLFGEVIPMSRQLEYFSGVVEHMTKLSGRKKTASLLCKSIFLISVGSNDMFEYSASPGDDYEFLGGLVDAYRSYITALYKMGARKFSVISIPPLGCLPSQRLRRLKQLGTQGCFDPLNDLSLRSYPMLAAMLHDLSRELPGMAYSLADAFAMVSFVFENPRTDAWSFTELEAACCGGGPYGAAQPCDETAPLCADRDGHLFWDANHPTQAVSVVAAQTIFAGNRSFVKPVNVRELALL >PAN03618 pep chromosome:PHallii_v3.1:1:1006694:1013306:-1 gene:PAHAL_1G010700 transcript:PAN03618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAEAKAAAVPESVLRKRKREEQWAADKKEKALADRKKALESRKIIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGNHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >PAN04545 pep chromosome:PHallii_v3.1:1:5064376:5070802:-1 gene:PAHAL_1G074000 transcript:PAN04545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRQDPNPAIQVTQTMGPELEAAQNCKSLRVMIWMMCNSAIQVAAGKLPIVWSWEMAGAQHVKQRAWQGVWASVGEREEIQPCHAERDRRDDCQVGPVSSLSPQSFAFACVRALCGVHTDTGPAGGPGRRRDDHRCVVHLLARCRLRFG >PVH66605 pep chromosome:PHallii_v3.1:1:48970000:48970290:1 gene:PAHAL_1G296500 transcript:PVH66605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHPTHIPIQFKTVQKSTQPTVAVSLAKNFITTHGSVNILFARQLLSQRKKKQNVAHFSMIQSFTICLARELRREQCDSVPAFQRGPIVLYHLAI >PVH65817 pep chromosome:PHallii_v3.1:1:5864513:5870642:1 gene:PAHAL_1G084300 transcript:PVH65817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMHGLGDIAYWIISYCYFLLISLIYMLLLVTFGSVVGIKLFASNSYVLQFIIYFTYMNLQISSAFFMTSYFTTVTTATVTGYLYVIGSGFIGEYLFRPFVEDTSVSRSLITLMEFFPPFSLYRIIYELSPPLATGFYSDFSGVQMRDLSNLENGILFLLIIMVLEWATFLFFTLYLDEFGCLQNGMRSRQALQKPSTQHQECEASIEIDRTDIMREREIVDRFLQQPDSSYSIIVDNIRKVYPPKDGNAEKVAVKGFSLAIQRGQCFGLLGANGAGKTSLISMLTGFTKPTSGTAYIDGLDIRTDMSEIYTRIGVCPQFDLLWETLTGREHLMFYGRLKRLNGAALVEAAEQSLKVLRIFEGGVADTFVSQYSGGMKRRLSVAISLIGDPKVVYLDEPSSGLDPASRNALWNAVRFAKKNRAIILTTHSMEEAEALCDRIGISAYGRLRCTGPSKELKAKYGGTFVFTVTAAAGEDEAVEQLVRSISPTAKRTYHIAGTQKFEMPKQGVKISEVFRAMEQAKGSLNVAAWGLVDTTLEDVFIKVAKESEKCPD >PVH66299 pep chromosome:PHallii_v3.1:1:31203691:31204563:1 gene:PAHAL_1G199600 transcript:PVH66299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPAIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPIPAIGETRVLVGTPITGWGGLFRTPQAPPEGTEGTAAATGGGAVERPQENGILEDDEEELLIPLEVHSAPENDSPRE >PAN08991 pep chromosome:PHallii_v3.1:1:59209727:59211924:-1 gene:PAHAL_1G450200 transcript:PAN08991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARVLPLLRRRLSATIADSPAPSSRGFSFPPTTSVGLRSLLTVTEASNNASDNNPLDQDQEGSKTDTLPASVPAPEPSFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASRDSYENGVVVARCGGCNNLHLIADRLGWFGEPGSIEDFLAAKGEEVKKGSTDTLNFSLDDLVGSQRKPHWILWSGRALEFDGESVWDFSHHTMNIHSQVLHWGSSSWRGNARCSSFLVICRTYIH >PAN08992 pep chromosome:PHallii_v3.1:1:59210344:59211926:-1 gene:PAHAL_1G450200 transcript:PAN08992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARVLPLLRRRLSATIADSPAPSSRGFSFPPTTSVGLRSLLTVTEASNNASDNNPLDQDQEGSKTDTLPASVPAPEPSFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASRDSYENGVVVARCGGCNNLHLIADRLGWFGEPGSIEDFLAAKGEEVKKGSTDTLNFSLDDLVGSQVSSRGSSEQN >PAN08662 pep chromosome:PHallii_v3.1:1:57870482:57872536:1 gene:PAHAL_1G428100 transcript:PAN08662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24820) UniProtKB/Swiss-Prot;Acc:Q9SK50] MGPSMTVPLSPLLLRLSAAAAAVAAPRLKSPAAAAAAGTVHGVRWAVSEGRSRRRCRAAVVEEAGAREDGVLLPKEGDDAEAAAAAGRYDWKEEWYPLYLAKEVPDDAALPLTVFDRQLVLWRDGDGVLRCHEDRCPHRLAKLSEGQIVDGRLECLYHGWQFDGEGKCVKIPQLPEGAKIPRSACARNYEVRDSQGVVWVWMSDANPPDDPKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQALFFDVTERTARGFAGYWGRTRTPHLRNLLRFQAPCVLSNTLEYTDKDGRDQCFSAQFLCRPAGQGKSMLIVRFGSTVRSPIAKVLPAWYLHQNACKVFEQDMGFLSSQNEVLLREKVPTRELYLNLRSSDTWVAEYRKWMDRAGHGMPYYFGHSSLAPPTVPAVVEQAPAGAVAGMSASFPAKGGVGTVHAPNPTNRYFRHVVHCKGCRASVKKYTSLKNAFAVLASAAVAASILAATKQWKAVLLAASAVLAAASYACDAVLSLITTNFIRTHRRL >PAN04503 pep chromosome:PHallii_v3.1:1:4869827:4873653:-1 gene:PAHAL_1G071600 transcript:PAN04503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPEALGDLQNLQYLDLSNNELTGPMPFSLYDLKMLKEIVLDRNRLSGQLSPAIAHLQNLTKLLISNNNIYGELPLELGSLKNLEVLGFHQNRFDGSIPESFGNLTRLFHLDASKNNLTGSIFPGISALQNMQTLDLSSNSLVGPIPNEITHLKMLEHLSLGFNNFTGGIPKEIGNMKHLKELSLCECNLSGTIPWSLGSLRSLMELDISGNYFNSELPGSVGDLGNLTVLIARNARLVGSIPKELGNCKKLTLLRLSFNGFTGCIPEELAGLEGIAHFEAEDNRLSGPISDWLQKWGNVVSVNLANNKFNGSIRPIICQAKLLRSLDLHCNDLTGSIKEAFKGCKNLVQLDLQGNNFNGGIPEYLAELPLKILELSYNRFTGVLPGKLFESSTILEMNLNNNMLTGYIPDSIGKLHSLQRLKMGTNCLEGPIPQAVGALENLTEISLDGNRLSGSIPQELFNCRNLVMLNLSCNNLTGPIPRSISQLTSLTGLVLSHNQLSGSIPAELCGGFTNPTHPDSEYVQHHGLLDLSYNWLTGRIPPAIKNCVILEELHLQGNLLNGSIPAELGELKNIRIVDLSFNALAGPMFPWSAPLLTLQGLFLSNNHLNGIIPAEIGHILPNIAVLNLSSNAFMATLPKSLLCSKSLNCLDVSNNNLSGEIPLSCPGYEESLSSLIFFNASSNHLSGSLDGSISNFRQLSTLDIHNNSLTGSLPSVLSNLSYLNYLDLSKNDFSGSSPCGVCNIFGITFANFSGNRIGMHSLSDCGAPGICAPDSIEHKEGHPPHVILRAVTICAAVVIVILLVVYVGRNLSNKLSRSRPTPFEPLSTTQGLQLSSLQFIPPLALSS >PAN04927 pep chromosome:PHallii_v3.1:1:7521041:7522433:1 gene:PAHAL_1G102200 transcript:PAN04927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSGHCLFLALLLLSGAAYGQLSTSFYATTCPGLESTVRSVVSSAIASDRRMGASLLRLFFHDCFVQGCDASILLNDVGTFVGEKTAFPNANSVRGYDVIDNIKAAVEASCPSVVSCADIVALAARDGTVLLGGPTWNVSLGRRDSTTASRDQANSDLPSPAAGLSALITAFANKGLSAQDMTALSGAHTIGFTQCQVYRTRIYNDNNINQQFAKLRQSNCPATQGVNDTVLAPLDLQTELTFDNAYYGDLLKNKGLLHSDQELYNGGSQDALVKTYSSNHAAFFADFVTAMIKMGNISPLTGAAGQIRANCAVVN >PAN06000 pep chromosome:PHallii_v3.1:1:26034506:26034991:-1 gene:PAHAL_1G181700 transcript:PAN06000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLPSTHLNRPITSNSSNSLPPLLLSLPPPVLRGSGAEAAAAATCQSTLRPSVPIHPRFLPLQLPDQQRCAHCSRSTPVPLPVFTPLAPDDPSATPQLPAPTTGPSLLEARRRPLHQARHRVHLPPRSAACHRPFPPRSAAHRRPLPPGSAARRRPLTLA >PAN09069 pep chromosome:PHallii_v3.1:1:59515244:59518238:1 gene:PAHAL_1G456300 transcript:PAN09069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNLEVFLEAATPQLPWRSAPMDCFQGPNNVWQLEKKDVVDYFSLEDLWEHYSESSAYGLGVPVRLGNGKFITQHFVPYLSAIQIYTSKTLLAAVPSMGASDESDSWSDDDSAADKLSRSWDAASDDSDSGVPATAKQGGHLNFQYSEWDPPYQRIPLADKVAELAQDYPYLTSLKSAQLSPSSWLSVAWYPIYHIPYHGNLKGTCACFLTYHSISSVFQDKIICHSGVSRRSVAVSPFGLATYRMHQEGKQLWTSETSSSRLSDHLYGAASSWLKQVGAHHPDFNFFTSRSQLPHHQIALL >PAN09070 pep chromosome:PHallii_v3.1:1:59515671:59518238:1 gene:PAHAL_1G456300 transcript:PAN09070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNLEVFLEAATPQLPWRSAPMDCFQGPNNVWQLEKKDVVDYFSLEDLWEHYSESSAYGLGVPVRLGNGKFITQHFVPYLSAIQIYTSKTLLAAVPSMGASDESDSWSDDDSAADKLSRSWDAASDDSDSGVPATAKQGGHLNFQYSEWDPPYQRIPLADKVAELAQDYPYLTSLKSAQLSPSSWLSVAWYPIYHIPYHGNLKGTCACFLTYHSISSVFQDKIICHSGVSRRSVAVSPFGLATYRMHQEGKQLWTSETSSSRLSDHLYGAASSWLKQVGAHHPDFNFFTSRSQLPHHQIALL >PVH65472 pep chromosome:PHallii_v3.1:1:40070:40552:-1 gene:PAHAL_1G000700 transcript:PVH65472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERNKQPPWSYTSCGFMSTSSSSSFTWPPRSYPCSFCKREFRSAQALGGHMNVHRRDRARLRAPAAVMIPNLNYPPSPQQYHRHRTTTSSSMAEVSLELGVGMVRSCSTATTPITPGGGPEEDDGGLDLELRLGVS >PAN08166 pep chromosome:PHallii_v3.1:1:55308098:55312940:-1 gene:PAHAL_1G392800 transcript:PAN08166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRGGARGAPVAALLVAALLLGACAPASASSYPARVVSGFLSNAASAVVKRLWSLKSTTKTATGSKSMVKYEGGYTVETVFDGSKLGIEPYSVEVTQGGELLVMDSMNSNIYRMALPLSRYSRPKLVAGSAEGFPGHVDGKVREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSGRGGHVDGPSDEAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIQLHFDDCVYQYEAGFPLGVALLLAAAFFGYMLALLQRRALGMYSNGDEQEALSPVQAKLSSIPPPYQKPLKPSLRPPLIPSEDEPVKQEEEEGLFTSIGKLVGGAKSSIGEVFRAAFSRKKNVNIHHHQLGLGRPASWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRPQLHGWSGEAPQQQQPPQQPSPQQPPQQVHHQQYLQRHQQYSAGPQTFYEPSCEATNEIVFGAVQEADTGRRAVEIKAVNHGDAPPYEQNGLRYRSSYSVGYTGSN >PAN07411 pep chromosome:PHallii_v3.1:1:51374475:51375682:1 gene:PAHAL_1G333800 transcript:PAN07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLETASRARCSSVMEEERALSPVSDASTTCSSSGGGSGPGSPAASLASLDDSGAASAASSRKRPRRELKHPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAALAIKGRAAHLNFPEMSHELPRAASAAPEDVRAAAALAAAMESVAPAAPSDSSHGGAAGSDEDEEPAASASPVHDAGNAGGEEKAAPSIEHPVQADGLVLDLALLDLPDVLLEFGFELALPPTSTPCCYDLSWDEPLLLWEH >PAN04260 pep chromosome:PHallii_v3.1:1:3586042:3589043:-1 gene:PAHAL_1G052900 transcript:PAN04260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAATGAVRVEKVRGRSALTRCFARYPLKLIAPSKVGPASCDAVWLYALTYGGGIVSGDTISCTVSVGDGCTAAITTQASTKVYKAVGSKCSEQLLEARVGEDALLAVIPDPVTCFSTARYHQKQVFQVSANSNLVVVDWFTSGRYECGEKWDFSFYKSVNHIFLGDHPLFIDSVLLEQGSNWSLAEQMQEYNVIAMVVLLGPKLKSMQELMQAEVRKLMSGQLRPPTSGGSLYTMRSQPPPRPQRPPLIASCSPFGRTGTGMVARVAAVSTELVYSFLRHHLAALEPFLGASPYAAS >PAN04468 pep chromosome:PHallii_v3.1:1:4749076:4755198:-1 gene:PAHAL_1G069500 transcript:PAN04468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGTAAALPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERMYMAVVIAGVRLLRLRPERRYRCDPIPEDDPELGSEAFPSVLVQIPMFNEREVYQLSIGAACGLSWPSDRLVVQVLDDSTDEVIKEMVRLECERWARKGINITYQIRDDRKGYKAGALKAGMKHAYVRACEYVAIFDADFQPDPDFLKRTIPYLVHNPEIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRISAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVTNKKVTIWKKIHVIYNFFLIRKIVAHIITFSFYCLVIPATIFVPEVRIPKWGCVYIPCAITLLNSVGTPRSFHLLFFWVAFENVMSLHRTKATLIGLLEAGRANEWVVTAKLGSAMKMKSSNKAGLKKQFMRIWERLHITELGVGTFLFSCGWYDLAYGRDHFYIYLFFQSIAFFIIGFGYVGTIVPQS >PAN08975 pep chromosome:PHallii_v3.1:1:59110548:59113436:1 gene:PAHAL_1G449300 transcript:PAN08975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRGGPAAAAATAVASPAVVGTLALMALVYYSTLFVFLDHWLGLGTPAGAAHAAAVSLAVVACFFAFVCAAAADPGSVPASFAPDAEAAQGQRGLESRYCDKCCMFKPPRSHHCRVCKRCVLKMDHHCVWINNCVGYANYKAFIICVLNATIGSLYSFVIFLCDLFLKEHEFDVLYVKIVYILAGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREAVRAKWLAKKSGQKYRHRFDLGMRKNIQMILGPNILCWLCPTATGHLKDGTEFQITNN >PAN07056 pep chromosome:PHallii_v3.1:1:49780572:49784821:-1 gene:PAHAL_1G308200 transcript:PAN07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSAGQPGQQSRRRPPPTFEASASTANGAQADTFASYLEQRSVNPQHIPPVVVRLKPLKPAWCAHCNRKRLEEEEDLGAIVSAMPPNRNNGGEHIVSWSHADAAPRELALEGRSCSRCAVEIYGKARRHLRDGSPAAGSGGNTPLHQAARSGNLRMLFHLVTLLGLDAGHGRVAEALRRTNERQETALHMAVGVGDKDMVRLLLWVDPRLGQIACRCHDTSLIYLAVSQGDQNIAQQLYDASGPDANLVSSYSGPSGQNALHAAVLHGEGMTRMVLAWKKDLSTKKDQNGSTPLHFAVSVEQRTYIPRWCFRLAWLRNAPVSFQKRMVNVPAWPLLDAQPSMAYQPDNEGLFPVHVAAVTNQTAAVHVLLTECPGCVGVRDGRGRTFLHAAVQHEGSSVVRYACRRPECASIMNVQDEDGNTALHLAVAAEKLRMLCNLLTNRGVCLNLRNNKGQTALDLAMANIRKGFFYGRNRDKLIYSTLARVGAKHSSRRGDKLSGKQDSGAEAAEQAGAGELHREESAKLTDASRTLAVGSVLIATMTFGATFTRLPGDWRPGDPGGGGAPAIAGRWWFFDAFVVASALAFILSSSATVGLMYSGIAMVELPIRRKHFLFSLFLVSSSVTCLTIAFALGVYMVLAPVARGTAIAICVVSPLLLIYRNAESLQKLFAVSGPLYARMGFWVCLRWSGGAILLRLLKKFWPFVVIFGLAGHLRSHHHHQQQPRG >PAN04145 pep chromosome:PHallii_v3.1:1:3068830:3071870:-1 gene:PAHAL_1G045500 transcript:PAN04145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >PAN07939 pep chromosome:PHallii_v3.1:1:54077910:54079330:1 gene:PAHAL_1G374600 transcript:PAN07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMEPLGAYKKATAALDEAARARLRGPFTRDTAPSPAPSRRADADDGLMDLVDEFYNGYGEHGADGAAAKDAVAARAKEWKETLRLTLAGAAADAAAARIRAEAERIVRDAGSAVVVGGGGMRKHLAERLRARGFNAGLCRSSWERTSRVPAPGSHEYVEVTTAGFSPSASSRYIVEVNVAAEFEIARPSAQYQDLLSSLPPVLVATPEAMRELAAAMCGAAAESIRGAGMHVPPWRRAVYAQAKWSGQFERVEAAAGPRPEAGARAAARTRRPGGRKNCGMEMGRREVAMGREALVSVRPLFRGL >PAN04085 pep chromosome:PHallii_v3.1:1:2766068:2768212:-1 gene:PAHAL_1G040600 transcript:PAN04085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGFALAVLLLLSMASRGRPCADQERSALLRFVAGLSRDGGLAMSWRSNGTAGCCSWEGIACDGGGVVVEVSLPGRGLQGPVSPALGDLAGLQRLNLSHNSLSGELPLEQLLSSSRGLVAVDVSFNRLEGELRELMPSSGTGGLPLQLQVLNISSNLFTGEFPSGTWKAMNSLVALNASNNSFHGCMPSSFCISSASFAVLDLSSNRFSGRIPAGLGNCSELKVLKAGHNNLSGAFPDELFNASSLEYISFPNNGLYGNLDGSRIGIANLRNLAHLDLGGNLLNGKIPDSIGELRSLEVLHLDHNNMSGELPSALSNCTNLITIDLRNNYFSGELAKVDFSTLINLKTLDLLFNNFIGTIPESIYSCSNLNALRLADNKLHGQLSPRIVNLKSLVFLSASFNNFTNITNALHVLKDCKELAVLIIGSNFKGEAMPEDETIDGFQNLQFLSLSRCSLSGKIPLWLSKLKNLEMLLLHNNHLTGPVPVWIKSLKLLFHVDMSENNLTGEIPKDLMEMPMLTTENTAVELDQRVFLLLVYRGTSFEYRVATAFPKMLNFGRNDLTGVIPKEIGQLKSLAILNFSSNRLSGEMPPQLHSLKNLQVLDLSNNHLTGAIPMELNNLHFLAAFNVSNNDLEGPIPAGVQFSTFTSSSFGGNPKLCGGVVGRPCGLAEAHHVSTLSREQTHRRIAFAIAFGAFFGVGVLYDQMVLSKYFG >PAN04664 pep chromosome:PHallii_v3.1:1:5722347:5724533:-1 gene:PAHAL_1G082200 transcript:PAN04664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoylshikimate esterase [Source:Projected from Arabidopsis thaliana (AT1G52760) UniProtKB/Swiss-Prot;Acc:Q9C942] MAPPGDPPLATKYFWGDTPEPDEYYAAQGLRHAESYFQSPHGRLFTHAFHPLSGDVKGAVFMTHGYGSDSSWLFQTAAISYARWGYAVFCADLLGHGRSDGLRGYLGDMEAAAAASLAFFLSVRASEAYAALPAFLFGESMGGAATLLMYLRSPPSARWTGLVLSAPLLVIPDGMYPSRVRLFLYGLLFGLADTWAVLPDKRMVGKAIKDPDKLRLIASNPRLYRGAPRVGTMRELARVTEMLRGSLGEVTAPFLAVHGTDDGVTSPEGSRMLYERARSEDKELILYEGMYHSLIQGEPDENRDRVLADMRRWIDERVRRYGLAAAAANGDRDKEAPPA >PVH66426 pep chromosome:PHallii_v3.1:1:44355405:44356510:1 gene:PAHAL_1G242300 transcript:PVH66426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFGAPRKISSKLAEEQNGLFFFTPTLQQVTYFFFLQVPLSTNYFSASLTESLRKRKEKACILSPRTNLGGIQFWRCSWLRISANSRISSNRLPQVLPISIPLLLSSRSSCALRPAAACSARSSLFVGRRDLLREHSSTSHSQTNTAAAADPPSPKGSTSPRPLLAAELPAGGALPAAERAVACCCRAAPEIFHCPGCRRPGRRQTDLATVIHTATGLMQVY >PAN08031 pep chromosome:PHallii_v3.1:1:54486897:54492001:1 gene:PAHAL_1G381300 transcript:PAN08031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGHALRAAGDVFFFFRAPGDQLSISPVIAAVVEWWLRAWGHVRAELLVPLLRAAVALCMIMSVIVLAEKVFLGTVSSVMKLLRRRPWRMYRCDPIARTDEEDAGSEAYPMVLVQIPMYNEKEVYQLSIGAACRLTWPVDRLIVQVLDDSTDAVIKELVKSECERWAAEGINIRYETRKDRAGYKAGNLKEGMRHAYVRGCEFVAMFDADFQPAPDFLAKTVPFLLHNPSLALVQTRWKFVNANDCFLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRTQAIVESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFREIIAAKKVSVWKKLYMIYDFFIARRIVGTFFTLFFFSILIPMIILFPEAQIPVWALICIPTAITLLNSVGTPRSIHLIILWVLFENVMALHRFKAILIGLFEADRANEWIVTQKLGNLQKLKSIASVPGNSRFKDRFHCLEIFFGLFLLVSACFDYIYRDDYFYLFVLPQSIMYFAIGFQFIGLSVSED >PAN04689 pep chromosome:PHallii_v3.1:1:5829358:5830080:-1 gene:PAHAL_1G083700 transcript:PAN04689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGKRFGGGRPPTGTPSLAWSSVVIVASLLAGASIVHNIYKPDMTIPPVESASGGSGKDT >PVH65739 pep chromosome:PHallii_v3.1:1:4507459:4509964:-1 gene:PAHAL_1G066000 transcript:PVH65739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKWAQKTVVIPAQRRGCHLITPKILREIEGDLSGFKCGLAHFFLQHTSASLTVNENYDSDVQADTETFLNRIVPEGHNAPWKHTMEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDHASPRKIVITLNGV >PAN07651 pep chromosome:PHallii_v3.1:1:52672579:52674367:-1 gene:PAHAL_1G353700 transcript:PAN07651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPATATPPPRHSLAPSLRASSLSWSASIAVSRILPPPRLELHSPAPAHGPGNRQQNLLVCAAWTRRSRGEAEQRPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRLTLPSLVDDNACRTIGRLIAERSMDADVFALAYEPKKNERIEGKLGIIIDTIKEHGIIFV >PVH66644 pep chromosome:PHallii_v3.1:1:49429864:49430683:-1 gene:PAHAL_1G305000 transcript:PVH66644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSACRNHRPRHPRRPPSSSLAPSYIRPSTPSSPVLPCLHYRFLGKPIACAPSTISSSPSVRRNCSSPWASATLFNRRFARFVHPDPSVRDTSGTPRSGHPHGGGKPSAGDGAGGEDSNRPREKRWLQYLNLEKRAFA >PVH66464 pep chromosome:PHallii_v3.1:1:45737648:45740422:-1 gene:PAHAL_1G253000 transcript:PVH66464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPLLPRSSSFCSSAVTPASPHKGSHRSSSSIPNAAAATPAAAAQLSLLRAHARAGRMRPAREVFDAMPAPERSLVSWTALMSGYATHGPAAEALELLLCMLGLLLRPDAFVFSVALRACAAVGSLRLGRQLHAAVAKLGYVGADLFVANGLVTMYSSCQSLRCAEKVFDGITAPDLVSLTSMLSAYTENGCDAEALMLFMEMVRDGVACDAFTLSVALRAASSLGHVGLGQQLHCCMIKMGLVGNEFLGNCLIGFYGRSGELQLMRKVFVKMDVKDLVSWNTIIQSYAENLCDKEALAHFRAMMFECEECDEFTLGSILHVITRRGAFDHGMEIHGYLIRAGLDSDKHVMSALMDMYVNWATLHKRHQMFPLRMLKYYLSVQGELDQFIVASSLKSCASDLDLAAGRMLHACILKSNMNSDSFVTSSLVDMYAKCGGLEESNLLFSRIKNPDTAAWSAVISGNCLNGQYGRAMHLFRRMQGEHVQPNEFTYTAVLTACMALGDAVGGMEIHSNSIRNGYGTNTSILKSLVNFYFRKGWYHHALKLCLSLSSHEVSWGTLVEAFSQVDDNVEIVNLFHVIQRCGVELDHHTARLILSSCGKLALLEEGLQAHAYMTKRGLASTACMNSHLINMYSSCGSLRHAFDAFNYMADKDASSWTSIIAANVENGCPETAIRLFSQMLMKEKCRPTSQAFLFVLKACAETGLVSEAFRFFVSMTEVYRIQPSEGHFSHMIEVLGRAGMFKEAEHFIDSVVPSESGASARGLLCAAKQNRNDKTVKLAADKLARLVPGAC >PAN04245 pep chromosome:PHallii_v3.1:1:3505834:3512438:-1 gene:PAHAL_1G051700 transcript:PAN04245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFKYWDDCLDPEDMRLMWQDPVVSKEWTDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITIHRHFKSQLDPYMIGALAEIASGRKLFVDTYDRKTKETKVGIMQVAPEVAQWLGRELGYKSYNIEDNTNLLYWPLVNVYFGAAYAKWLFSCDDKQRTEEFVVRAYKGGKKKASHKSTSPIFQRYLYVKESLLSIRQPEICNELTSGPESLSGTEAQLIYWDSKVSEADMDAMWKHPDVSKEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAEIIISRHLGTRGSKPEALAALAEVCSMRFVHGVSTRTGLMGIDYPTAEWLSRDCGYRAYTVISVDDLYNPFASMYFGASYLAWLSRYEGREQSYEFIVQAYLGGPENVSLQETGPLWNQFLEALALYHDPKKENSSCCIL >PAN08385 pep chromosome:PHallii_v3.1:1:56447736:56449346:-1 gene:PAHAL_1G409500 transcript:PAN08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLERGGFQLPNAEQENSLLLRALISVVSGDNAVPALEPEEAPPAVAAAAPAPAPAPSPAVACAGCGADGCAAGCELLAAAGTGSSSDSEDGGECSASRAVTGGVGKRRRRMRVSKYRGVRRRPWGKWAAEIRDPHRAVRKWLGTFDTAEDAARAYDVAAVEFRGQRAKLNFPAAQAAAVAPAPASSWAPTSTYHHLTPQPLPESLHENCGSNAASPAPAGQQGTRSAAKEQDIWDGLNEIMMMDDGSFWSSMP >PAN06836 pep chromosome:PHallii_v3.1:1:48714253:48717558:-1 gene:PAHAL_1G291800 transcript:PAN06836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MAAPPLGLARRFAGVFTSPAVAHSGSRPRGLRPGLLPSKRWSGVVRMGAVVGGGQEGEEDEELRQAKEQAAARRRWETLIREQKIKTLTPREAGYTFKLTDKALLDVRPSNERQKAWVKGSTWIPIFDVDTSVDLGGLSKKVSNFVMGGWWSGSSTLSFNKNFVQQVEEKFSKDTDIILVCQKGLRSLAACELLYNAGFENLFWVQGGLEAAEEEDFEREGSQPFKLAAIGGVSEFFGWTDQQRRQAAKEGLGYRLVFTGRLVGALVLLDALFLGAQRIGPLLQELQSR >PVH66965 pep chromosome:PHallii_v3.1:1:55502749:55508107:1 gene:PAHAL_1G395600 transcript:PVH66965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGSGSFLKVLVNNLDVLAGPLVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWLPFWSYGKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKGESERPDDVISAAQRYIEQNGSKAFENLVNKFKTSNPRRPILEEVEVERRARIQRESEAREVNPFFNPDYRY >PVH65617 pep chromosome:PHallii_v3.1:1:2653650:2655857:-1 gene:PAHAL_1G038700 transcript:PVH65617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIGNSLLQTIPRKNTPDSVTRELMKTLPHSSCNSKTSKLAIPVLGFSLVLILSLASPTTSCTEKEKNSLLQFVAELSNHGGLTTSWKHDTDCCKWEGVACSSNRTVTDVSLASGGLQGHISPSLGNLTGLLRLNLSNNMLSGGLPTELVYSNSIIVLDVSFNQLKGDFQELQSSTLKPLQVLNISSNFFTGQFPSTTWKVMKSLVALNASNNSFTGEIPTMFCFSAPSFALLHLSYNQFSGGIPPGLGNCSMLTSLSAGSNNLSGALPDELFNLTLLEHLSLSNNQLEGSLSGISKLKNLVTLDLGGNSLSGNVPDSICELKRLEELHLDHNNMYGELPSTLSNCTNLMIIDLKSNSFCGELSNVNFSNLPNLKILDLLRNNFNGTIPESICSCSNLTALRLSSNKFHGQLSERIGNLKSLTFLSLVNNSISNITGALQILGSCRNLTTLFIGHNFFDEAMPEDDTIDGFENLQVLALNHCSLFGKIPFWFSKLKNLEVLLLYGNQLTGPVPDWINSLNFLFHINLSNNSLVGEIPTALVDMPMLKADKVSSKAFELPVYKSQSRQFRMPISFSTTLNLGMNNFGGVIPEEIGQLKALLSLYLGYNKLTGPIPQSICNLTNLEVLDLSSNHLTGAIPTALNNLHFLSKFNISNNNLEGPIPTTGQLSTFPSSSFDGNPKLYGPMLAHHCDSPEAIFSTKQNGNMIEKVIFGIAFGAFFGVGVLYDQIVLSKFFG >PVH66411 pep chromosome:PHallii_v3.1:1:43606453:43609262:-1 gene:PAHAL_1G237000 transcript:PVH66411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEEVKLLFQKDQHEGFKNVGLLRPPIQLILYSMHTLYFVPFLGMKPLKKCALPPSFFHCLPLADHQGRLQEFGAPVRNGIWSPMLNTTKTYFCTII >PAN06242 pep chromosome:PHallii_v3.1:1:45207305:45208064:1 gene:PAHAL_1G249100 transcript:PAN06242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPRRRSAAGRQLRGLLALAGDYLKYLFMKRRRLMHRVARRTLALVHRHHGGRGKGHGPWPARALMEHEFSCADSPSPAFLAAKRLLLRSRAKGGGAAAAAAGAVSSCFGSLRAPCGSPDTAAASEAEAAAVEEEDHRLETEDEEEDDDEVLAEDEWVQCGELPDVDDRAEEFINMFYEQLRAQSFAAVFQCSP >PVH66964 pep chromosome:PHallii_v3.1:1:55493517:55494940:-1 gene:PAHAL_1G395500 transcript:PVH66964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPEQNDRSARRQLNFALGINMAGIASRHQAKNVKAGSSNRPQKEEKEYVTEERVRKYEYQYQQRLQRESEDEEYEHRTGKRLKKHEDARDHWYCPFFRYCWDSGMSRLPTVRDCPECAPMKTEARESVFGRLGPAPTQQRRVQLPRGEDKEGDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYEQEKKPRTSRKEWRPKPTKADKKVSADTHMVFVLPAEFHAQAYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTLEAQGVLSVDLTVGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRHRGYKNGVRLVLSTGLTK >PAN04253 pep chromosome:PHallii_v3.1:1:3542606:3543229:1 gene:PAHAL_1G052200 transcript:PAN04253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQPFKVAAAAALILMLLLTIGLQQASAVNNGGVCSAVDRSKTFKGVCVSKAPCVAACGGEGYPDGYCFMDVADPDYRVCMCTGPCSPPDPAATSRAVRKTKIPY >PVH65701 pep chromosome:PHallii_v3.1:1:3870875:3873327:-1 gene:PAHAL_1G057200 transcript:PVH65701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGDPSLPPPPPRGWLSCLVSGAGRLLAAALDPDSSASDTTTSSPESSQSPPRRALVPADDGTGTCIASDSCQLNLSGKEIVLKDSSNGSFAVVSEIDPKEAVKQLLIQDTYSRSECDELIKIIQERVVDSDPGVDEPEIVLPIAWHASTQQHPVAYSSSRNTSRQEDLEIPAYSPGFDNTVQKEWLKKSSTSIKGLGTKNHERSQPLK >PVH65700 pep chromosome:PHallii_v3.1:1:3869723:3873440:-1 gene:PAHAL_1G057200 transcript:PVH65700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGDPSLPPPPPRGWLSCLVSGAGRLLAAALDPDSSASDTTTSSPESSQSPPRRALVPADDGTGTCIASDSCQLNLSGKEIVLKDSSNGSFAVVSEIDPKEAVKQLLIQDTYSRSECDELIKIIQERVVDSDPGVDEPEIVLPIAWHASTQQHPVAYSSSRNTSRQEDLEIPAYSPGFDNTVQKEWLKKSSTSIKGLGTKNHERSQPVMKRRYSSIGATFEESRRVRLKQNGSSTSGKNDKFTVGNDSCSASKLMFQEDIEAAPSASMGFHPVNSSKSYTRGFNLESNIPTKTRSPVPASRSRRPNNRQTARPSNWLPQLNNTAPAGQEPDAGRIQAKRPVGRPRRERR >PAN04316 pep chromosome:PHallii_v3.1:1:3869723:3873440:-1 gene:PAHAL_1G057200 transcript:PAN04316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGDPSLPPPPPRGWLSCLVSGAGRLLAAALDPDSSASDTTTSSPESSQSPPRRALVPADDGTGTCIASDSCQLNLSGKEIVLKDSSNGSFAVVSEIDPKEAVKQLLIQDTYSRTQQHPVAYSSSRNTSRQEDLEIPAYSPGFDNTVQKEWLKKSSTSIKGLGTKNHERSQPVMKRRYSSIGATFEESRRVRLKQNGSSTSGKNDSMSPYFSHTNYLVMHLCENEPQVTTSFL >PAN04312 pep chromosome:PHallii_v3.1:1:3870009:3873327:-1 gene:PAHAL_1G057200 transcript:PAN04312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGDPSLPPPPPRGWLSCLVSGAGRLLAAALDPDSSASDTTTSSPESSQSPPRRALVPADDGTGTCIASDSCQLNLSGKEIVLKDSSNGSFAVVSEIDPKEAVKQLLIQDTYSRSECDELIKIIQERVVDSDPGVDEPEIVLPIAWHASTQQHPVAYSSSRNTSRQEDLEIPAYSPGFDNTVQKEWLKKSSTSIKGLGTKNHERSQPVMKRRYSSIGATFEESRRVRLKQNGSSTSGKNDKFTVGNDSCSASKLMFQEDIEAAPSASMGFHPVNSSKSYTRGFNLESNIPTKTRSPVPASRSRRPNNRQTARPSNWLPQLNNTAPAGQEPDAGRIQAKRPVGRPRRERR >PVH65699 pep chromosome:PHallii_v3.1:1:3869722:3873440:-1 gene:PAHAL_1G057200 transcript:PVH65699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGDPSLPPPPPRGWLSCLVSGAGRLLAAALDPDSSASDTTTSSPESSQSPPRRALVPADDGTGTCIASDSCQLNLSGKEIVLKDSSNGSFAVVSEIDPKEAVKQLLIQDTYSRTQQHPVAYSSSRNTSRQEDLEIPAYSPGFDNTVQKEWLKKSSTSIKGLGTKNHERSQPSILQVMKRRYSSIGATFEESRRVRLKQNGSSTSGKNDKFTVGNDSCSASKLMFQEDIEAAPSASMGFHPVNSSKSYTRGFNLESNIPTKTRSPVPASRSRRPNNRQTARPSNWLPQLNNTAPAGQEPDAGRIQAKRPVGRPRRERR >PAN04314 pep chromosome:PHallii_v3.1:1:3870551:3873327:-1 gene:PAHAL_1G057200 transcript:PAN04314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGDPSLPPPPPRGWLSCLVSGAGRLLAAALDPDSSASDTTTSSPESSQSPPRRALVPADDGTGTCIASDSCQLNLSGKEIVLKDSSNGSFAVVSEIDPKEAVKQLLIQDTYSRSECDELIKIIQERVVDSDPGVDEPEIVLPIAWHASTQQHPVAYSSSRNTSRQEDLEIPAYSPGFDNTVQKEWLKKSSTSIKGLGTKNHERSQPVMKRRYSSIGATFEESRRVRLKQNGSSTSGKNDSMSPYFSHTNYLVMHLCENEPQVTTSFL >PAN05540 pep chromosome:PHallii_v3.1:1:43971116:43971604:1 gene:PAHAL_1G238000 transcript:PAN05540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFVLHAILWLFDMYLCHSVQCACLVFRLVLYWRNNGHEVTEAGEQKLAIAPCSFSFFYDATVLSSLNLVELVCSLFVSQNR >PAN06878 pep chromosome:PHallii_v3.1:1:48838284:48841602:1 gene:PAHAL_1G294100 transcript:PAN06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGEEGKQERHLVLAHKLFLLSHPAVDDLSKVALRAEVLAAVKSDDMAPLFESLVAAGVLEPDAALLAEMRGRIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVLTSVITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQATIKQGDFLLNRIQKLSRVIDL >PVH66353 pep chromosome:PHallii_v3.1:1:37002350:37003774:-1 gene:PAHAL_1G217400 transcript:PVH66353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEQNDRSARRQLNFALGINMAGVASRHQAKNVKAGSSNRPQKEEKEYVTEERVRYVRNQRPTSSDLLRKYEYQYQQRLRRESEDEEYEHRTGKRLKKHEDARDHWHCPFFRYCWDSGMSRLPTVKDCPECAPMKTEARESVFGRLGPVPTQQQRVQPLRREDEEEDRYHRPRWCLDGLNRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYEQEKKPRASRKEWRPKPTKADKRVSADTHMVFVLPAEFHAQAYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGSKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPILGISLEGCDRIEATKNGVRLVLSTGLTE >PVH66329 pep chromosome:PHallii_v3.1:1:34122444:34141092:1 gene:PAHAL_1G209100 transcript:PVH66329 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLIKGIRSFDPDNKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESILQTINPHTGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKNFRLKLENLQTVKDQAYKLRENIAQDEEKSDASKSQMEQLKAKIQGIENEILHMKTNLDELRRLQGQISTKATERSTLFTLQQQQYAALSEENEDTDEELMEWQAKFEERIALLETKISKLGREMDDEAAETSRLSHTISVLTREIGKLQAEADAHMSIKQERDSDIKRIFTKHNFGPVPEFPFTNDVAMNLTNRIKARLSDLENDLQEKKKSNDDQLDVLWKHYLKVNARYSEVDGQIQSKIESMSGLSRRTKDKEKERDAAEVELSKINLSRLDERERHLQIEVERKTLALGERDYDLIINQKRTDIFSLEHKIKTLQREKDNINRDADDRVKLGLKKDALETIKEKLNEMVNEHKDKIRSVLRGRLPAEKDMKKEISQAFWPVDKEYNELKSKSLEAEQEFKLAQSKVSDAKEQLTKLQKDLDAKRRFLDSKLQSISQISADVDMFPKVLQDAKNKRDEQKRLESYANGMRQMFVPFEQVARDRHVCPCCERAFTPDEEDEFVKKQRMQSASTAERVKALAIESSDAETFLQQLDKLRTIYDDYKKLLEETIPLAEKNLNQRLADESQKEQTFDDLLGVLAQVKMDRDAVEALLKPTDTIDRHVREIQQLVQEVEDLEYKLDSLGQGVKSLEEIQLELNSVQRARDTLSIEVDDLRDQHRTLNDDLASAQVRWHTVREEKIKASHILDRFKEAEAELVHLAEEKEQLTIEKKLLEESLDPLSKEKESLLQDYNALKQRFDEEYHRLAERKRVFQQELDALGRLNLKIKEYLDSKKVERLNEIQEKHSLKQSELQNCEAKKQAISVELNKSKELLQGQGQLKRNIDDNLSYRKTKAEVDRLTRDIESLEERMLSIGSSSAIEADLKRHSQERDRLNSEFNRCQGTLSVYQSNILKHKQELKQTQYKDIEKRYTSQLLQLKTTEMANKDLDRYYSALDRALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEARKGQENFQLIVITHDERFAHLIGQRQLAEKYYRVSKDENQHSIIEAQEIFD >PAN07202 pep chromosome:PHallii_v3.1:1:50520528:50524379:-1 gene:PAHAL_1G318400 transcript:PAN07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 61 kDa protein homolog [Source: Projected from Oryza sativa (Os02g0633400)] MYGGGGHYDGGGGAANANSLFGGGGFMPSQSTAVPESSGGGGGGLSKGRNAQTLLPLTVKQIMDAAQASDDKSNFAINGVEVSTVRLVGRMLGKIERVTDVAFTLDDGTGKIDVNRWENESSDTKEMADVNDGNYVIVNGGLKGFQGKRHVVAYSVRRVTNFNDITHHFLHCIHVHLELTRSKPRLPPQINSSTATPVHANQVQLPNNQATAFPASGNTAENDVSSLIMNVFHDPAIIDREDGITEAYVIDRLKLPVDLVSTFHILFTGRSSKNILTLATFTTRSMTSISSLP >PAN07200 pep chromosome:PHallii_v3.1:1:50520055:50524688:-1 gene:PAHAL_1G318400 transcript:PAN07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 61 kDa protein homolog [Source: Projected from Oryza sativa (Os02g0633400)] MYGGGGHYDGGGGAANANSLFGGGGFMPSQSTAVPESSGGGGGGLSKGRNAQTLLPLTVKQIMDAAQASDDKSNFAINGVEVSTVRLVGRMLGKIERVTDVAFTLDDGTGKIDVNRWENESSDTKEMADVNDGNYVIVNGGLKGFQGKRHVVAYSVRSALYTSLPCTVMTSDAYFLCLLRVTNFNDITHHFLHCIHVHLELTRSKPRLPPQINSSTATPVHANQVQLPNNQATAFPASGNTAENDVSSLIMNVFHDPAIIDREDGITEAYVIDRLKLPVDLVRKVFQEHIDAGNIYNTIDDLHFKSALNG >PAN07201 pep chromosome:PHallii_v3.1:1:50520054:50524688:-1 gene:PAHAL_1G318400 transcript:PAN07201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 61 kDa protein homolog [Source: Projected from Oryza sativa (Os02g0633400)] MYGGGGHYDGGGGAANANSLFGGGGFMPSQSTAVPESSGGGGGGLSKGRNAQTLLPLTVKQIMDAAQASDDKSNFAINGVEVSTVRLVGRMLGKIERVTDVAFTLDDGTGKIDVNRWENESSDTKEMADVNDGNYVIVNGGLKGFQGKRHVVAYSVRRVTNFNDITHHFLHCIHVHLELTRSKPRLPPQINSSTATPVHANQVQLPNNQATAFPASGNTAENDVSSLIMNVFHDPAIIDREDGITEAYVIDRLKLPVDLVRKVFQEHIDAGNIYNTIDDLHFKSALNG >PAN03549 pep chromosome:PHallii_v3.1:1:257858:267529:-1 gene:PAHAL_1G001400 transcript:PAN03549 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL3 [Source:Projected from Arabidopsis thaliana (AT4G38600) UniProtKB/Swiss-Prot;Acc:Q6WWW4] MQLCEMLSIGTEDSLAAFPVDAFVPILVGMLGREDEPATAGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIQCFCARLLTIEYMDLAEQSLQALKKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRIAEAFTHYPEKLDELCNHGLVAQAASLISVGNSSGQASLSTSTYTGLIRLLSICASGSLLAVKTLLLLGISGTLKDILSGSGLISGTSVSPALTRPADQMFEIVSLADDLLPHMPARVINLPTYYHSYKSSSTKKSASIKQDGAGSTENERSGRERLLREHPELLQQFGMDLLPTMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAETIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICSESSNTLPSQGPPQDKDNDSAMPSRSRRQRRRGGALPAENSSLDESNSSNLGVACSTTNTSEVPNTSLRFAVSDHAKSFKDRYFPTDSDSSDIGVTDDLLKLRALCAKFNTAIENAKTKAKGKSKAISADCFDISIDVEEQLDEVISDMLAELSKVNGVSTFEFIRSGVVTALLDYLSCGTFGKEKVSEGNLPQLRQQALRRYKSFISVALSIDHERDETPMALLVQKLQSALSSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLSRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEVASKPIVPSVNNSESGVPGTTAGASLTAATAHSGLRPTTRSKSSAASSGTSKKDALNESTSTAKGKGKAIVKPNSDESRGPNTRNAAHQKSASEKDSEMKRAHGHSSSEDEELDTSPVEIDDALMIDDDDISEDDDDDHEVLQEGSLPICSQDGVHDVKLGDADESNIGSASFSQAQTSSDSIARNTSNRGPDSSEFRSASTFGSRGAMSFVAATMAGLASVGGRSVRSTRDRRGLSLGGSMNDNNKLIFTAGGKQLSKHLTVYQAIQRQLMLDEDDEERFNGSDLSNDGNRFWGDVFTITYQKADNQAEKGSQGGSTSLKSKSDSCRSISEVQGVSLLDSILQGELPCDLERTNSTYNILALLRVLEGLNQLSPRLRAQGASDDFAEGKIKTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQSDNHSSGGEREVRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSAQLGLWRSTTPYDSGLQIDMNDVINLDPEDGSSGKKLSSDLPGDGRHLIQAPLGLFPRPWPPKADASEGSRFFKVLEYFRLIGQVTAKVLQDGRLLDLPLSTAFYKLILGQELDVFDIVSFDSEFGKTLQELRVLVERKKFLESTPGENQLEVADLRFHGAAIEDLCLDFTLPGYTDYILKEGEGSAIVNIYNLDEYITLLVDATVKSGVKRQVEAFRSGFNQVFDISSLQIFSPQELDYLICGRQEIWEPESLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPDQQHAFCQFVTGAPRLPPGGLAALSPKLTIVRKHPSSAVNTSNSTGATESADDDLPSVMTCANYLKLPPYSTKEIMRKKLLYAILEGRGSFDLS >PAN04482 pep chromosome:PHallii_v3.1:1:4823732:4831083:-1 gene:PAHAL_1G070800 transcript:PAN04482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTAPLGGASPTGRVLGPALDRIIKNAAWRKHSALVAAAKAALDLLSSSPAYPSPDPTSPKSSPLLGLPPAAADAALHALLLALESASPKVADPALDCVAKLLYHRLLFGDLGCAGGGDDASSPSSRLLNAVLACGALSDDAMELATLRVVVAAARCPTVAIRGEGLGQVLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDTMDVRVRTVSITDMMDVSDRSLNDSSIVQVAQGFINEAMEGSDVPEPGSPVEPIEVDGKEDDGMSKIREDGLALFKNLCKLSMKFSTPDNPEDQMLLRGKVLSLELLKMVVDNAGPFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKESQVLIDIFVNYDCDVDAPNIFERAVNGLLKTALGVPPGSTTTLTTAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGEFSPKVSEASLSSVSSMSSIDIPNILIGEDGSAIDYELQSDSGSPDVSGAPSLEQRRAFKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYVDALNLEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPDSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMSKIDFIRNNRGIDDGKDLPEAYLGTLYDQIVKNEIKMSADSSVPQNKQTSTVMKLLGLDNIMSFVNWKQVEDRADGANDLLIKNIQEKFKLKSVKSESVFSVITDTTILRFMMEVCWAPMMAAFSVTLDQSDDKAATLQCLQGFRSAVHVTSVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQEAWEHILTCLSRFEHLHLLGEGAPTDASFLTVPLVDSEEKTQKSASTLSKRTNALQNPAVMAAVRGGSYDSTTAKNKASPLVTPEQINNFISNINLLDQIGIFELNHIFAHSPRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLQPFAVVMQKSSASEVRELVVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETESTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFICHDKDADQHPNNLDSSDGNITVHKDDHVYFWVPLLAGLARLTTDSRPTIRKGAVEVLFDILKDHGHLFSQSFWANIFESVIYPLFSSESFAPNGQISSVNSTEDDSWNFETKMVALKCLADLYITFFEVMRPELSRVTSVITNFIRSPYKQSASTCISVFQRLTEGLACKLSNDEWEKILLCFKESAAQTFVVFDKIVKMMKDIEIPDRNESYSEAEQYSDHDVYNDDEEEANMETASYAIVRMKNHMALQLLIVQGIVKLYEMHRSFLCAEHIGIMLQMLSAISSHASEVNSESKLHIKLHKACSLLEVSEPAVIHFESESYQSYLKLLQAILHDNPSLSADMNVNVESQIMLVCDKILRMYLTCAGHEPSNDASGRDPALHRLPLGTAKKEELEARTSLVLNVMRLLGSLEKNCFRRNLPMFFPLLANLIRCQHSSGEVQVALYEIFQSSIGPIISA >PAN04483 pep chromosome:PHallii_v3.1:1:4823732:4828696:-1 gene:PAHAL_1G070800 transcript:PAN04483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIFLKDVYRAVNGLLKTALGVPPGSTTTLTTAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGEFSPKVSEASLSSVSSMSSIDIPNILIGEDGSAIDYELQSDSGSPDVSGAPSLEQRRAFKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYVDALNLEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPDSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMSKIDFIRNNRGIDDGKDLPEAYLGTLYDQIVKNEIKMSADSSVPQNKQTSTVMKLLGLDNIMSFVNWKQVEDRADGANDLLIKNIQEKFKLKSVKSESVFSVITDTTILRFMMEVCWAPMMAAFSVTLDQSDDKAATLQCLQGFRSAVHVTSVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQEAWEHILTCLSRFEHLHLLGEGAPTDASFLTVPLVDSEEKTQKSASTLSKRTNALQNPAVMAAVRGGSYDSTTAKNKASPLVTPEQINNFISNINLLDQIGIFELNHIFAHSPRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLQPFAVVMQKSSASEVRELVVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETESTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFICHDKDADQHPNNLDSSDGNITVHKDDHVYFWVPLLAGLARLTTDSRPTIRKGAVEVLFDILKDHGHLFSQSFWANIFESVIYPLFSSESFAPNGQISSVNSTEDDSWNFETKMVALKCLADLYITFFEVMRPELSRVTSVITNFIRSPYKQSASTCISVFQRLTEGLACKLSNDEWEKILLCFKESAAQTFVVFDKIVKMMKDIEIPDRNESYSEAEQYSDHDVYNDDEEEANMETASYAIVRMKNHMALQLLIVQGIVKLYEMHRSFLCAEHIGIMLQMLSAISSHASEVNSESKLHIKLHKACSLLEVSEPAVIHFESESYQSYLKLLQAILHDNPSLSADMNVNVESQIMLVCDKILRMYLTCAGHEPSNDASGRDPALHRLPLGTAKKEELEARTSLVLNVMRLLGSLEKNCFRRNLPMFFPLLANLIRCQHSSGEVQVALYEIFQSSIGPIISA >PAN04484 pep chromosome:PHallii_v3.1:1:4823084:4831373:-1 gene:PAHAL_1G070800 transcript:PAN04484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTAPLGGASPTGRVLGPALDRIIKNAAWRKHSALVAAAKAALDLLSSSPAYPSPDPTSPKSSPLLGLPPAAADAALHALLLALESASPKVADPALDCVAKLLYHRLLFGDLGCAGGGDDASSPSSRLLNAVLACGALSDDAMELATLRVVVAAARCPTVAIRGEGLGQVLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDTMDVRVRTVSITDMMDVSDRSLNDSSIVQVAQGFINEAMEGSDVPEPGSPVEPIEVDGKEDDGMSKIREDGLALFKNLCKLSMKFSTPDNPEDQMLLRGKVLSLELLKMVVDNAGPFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKESQVLIDIFVNYDCDVDAPNIFERAVNGLLKTALGVPPGSTTTLTTAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGEFSPKVSEASLSSVSSMSSIDIPNILIGEDGSAIDYELQSDSGSPDVSGAPSLEQRRAFKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYVDALNLEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPDSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMSKIDFIRNNRGIDDGKDLPEAYLGTLYDQIVKNEIKMSADSSVPQNKQTSTVMKLLGLDNIMSFVNWKQVEDRADGANDLLIKNIQEKFKLKSVKSESVFSVITDTTILRFMMEVCWAPMMAAFSVTLDQSDDKAATLQCLQGFRSAVHVTSVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQEAWEHILTCLSRFEHLHLLGEGAPTDASFLTVPLVDSEEKTQKSASTLSKRTNALQNPAVMAAVRGGSYDSTTAKNKASPLVTPEQINNFISNINLLDQIGIFELNHIFAHSPRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLQPFAVVMQKSSASEVRELVVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETESTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFICHDKDADQHPNNLDSSDGNITVHKDDHVYFWVPLLAGLARLTTDSRPTIRKGAVEVLFDILKDHGHLFSQSFWANIFESVIYPLFSSESFAPNGQISSVNSTEDDSWNFETKMVALKCLADLYITFFEVMRPELSRVTSVITNFIRSPYKQSASTCISVFQRLTEGLACKLSNDEWEKILLCFKESAAQTFVVFDKIVKMMKDIEIPDRNESYSEAEQYSDHDVYNDDEEEANMETASYAIVRMKNHMALQLLIVQGIVKLYEMHRSFLCAEHIGIMLQMLSAISSHASEVNSESKLHIKLHKACSLLEVSEPAVIHFESESYQSYLKLLQAILHDNPSLSADMNVNVESQIMLVCDKILRMYLTCAGHEPSNDASGRDPALHRLPLGTAKKEELEARTSLVLNVMRLLGSLEKNCFRRNLPMFFPLLANLIRCQHSSGEVQVALYEIFQSSIGPIISA >PVH67070 pep chromosome:PHallii_v3.1:1:57385856:57386293:1 gene:PAHAL_1G422100 transcript:PVH67070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMLLQAQVRGRRKKTRALARCAGPGARDVRMNGPPNFGPILAGTRFPFAHLFCHFESVMDITRGILGLVTDLVFGTPKTLAVPSYKYARVPDTRNIERTTRLTPQPACCTAAYATSSRSSACTGDRESRSPEPHPQRSCTGRG >PVH65713 pep chromosome:PHallii_v3.1:1:3992237:3993482:1 gene:PAHAL_1G059200 transcript:PVH65713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECTAAINRTRHGTARSTLPSTEREPNLYGVLPTRGQDAASPRRDGNGGAADGAGGRPGEPAVDAGGVERVPAGRHRAPPLPGARGLEAHGARGGGGGPVAAARGGGEGEGREAAEVGGREPRAERRRRAGRRDAVAAAAVVAEGARGDDEDEEEHGGRGGEEEEDRDRGRHHRSPHAEGQDARAGALGPGAGAPALGDVPIMRRGRGSLRLLRPRGRGGDDELARAGRGGRGHFG >PVH66264 pep chromosome:PHallii_v3.1:1:27790039:27790825:-1 gene:PAHAL_1G188900 transcript:PVH66264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVFLLAAALFAVSCFHATASDTSLLQDFCVVDKTSKVRVNGFPCKDANDVVDEDFFFSGLHIMAGGDTTNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPFGQNPPHTHPRGSEILTVIEGTLYVGFVTSNPDNKLLAKVLNKGDVFVFPEGLIHFQFNYGTKNAVALAALLDSQNPEAITVANAVFGHPIPVDILAKAFHVDKMTVDRIQGQF >PAN04621 pep chromosome:PHallii_v3.1:1:5502683:5507161:-1 gene:PAHAL_1G080000 transcript:PAN04621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVQVLASAAQLVSAMVTAVGALEQAAADLAEAPRRLQVLEDFVSDLDALAQQARQRHAHKMHSPQLERQFQSLGRLMDQLRTNIGKARQVLSKKGRGKGFARVVRSSVVGDPLMRYVKLIRDDLNWWLELQELTQSVGDVIASTAKSTPSLVRVKSERGYPVSKKCNYVRELLEKDGGHRVVLIVGLSGIGKSCLARQIASDPPDNFVDGAIEISFGRWCSRAACNGSRSEYHNRLVRKICKFLVQIGSMTVNEEMGKDLDDVCCLLQTALVGRSMLILLDDVWEQDIVDRFTKLYDNNCRYLVTTRDEAIYEIAEAEKVEICKDDIKEISKEILLYHSLLSVGELPPVAEVLLDRCGHHSLTVAVMGKALRKETRVEKWEKAISNLSTYATCAPGPVLYVNEKDVESTLTIFGSFEYSLEAMPENSRSFFMVLAAISWEEPVPEACLESIWSALLQDSLFSLVVSKLVEGSLIIKLEDQLLYHMHDMVSLYLENKTNDAVRTLLSESISDCAALVAPWLFVFGKECVKGPAEQKIRSFFSQLEFMEIEILLGSTTQALLASRSISDFEASRLGFSKILGPRIAEIISVGSPDLIFTTIKAITVIFFQADYINLAQSLETAGSIDKLIDLLAVCEDTSTLANFSSVLAKISEHVVATVADEILSRIPMDRIADLLSPENEQFHEIVFTTLASLTKVGKLNAVEIMIESGVDKKLLVLLGNGSEISQHHAIIMLKTFCELGAPLQGCMGPGVLIHLPWHARISLERFVLFDQSVPPSPKPQQSFEVILHKILQRDSKEIIEAIQGLLPLAERANDSRVQDLLLGSHLFDRLAMLLQRREVESNQVRSQTAFLVMKLACTGGEPYVRRFLELNIVHDLIDMMQCNIDELQDSAYYALHQIVFAKGGSLVLQRFVQLGTIEKLVNLLDRKSLKTKDLAMQLLVDIAVVGTKPCIERMLASQVVEKLVALEKTGEPFGGAVSRYIQGLNMCKNVQCAERAVMKQHILRKVRSAVRGHKLEASLVASVEACIAEGSKGASSSSRKKK >PAN06364 pep chromosome:PHallii_v3.1:1:46338674:46341363:-1 gene:PAHAL_1G258900 transcript:PAN06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >PAN07035 pep chromosome:PHallii_v3.1:1:49521298:49523722:-1 gene:PAHAL_1G306300 transcript:PAN07035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSKAERKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTQVMKWLGYIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDKVRYSRDTKLSIVLVLVGVAVCTVTDVSVNSQGLLAAVIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTSIVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVALGMLLAVIGMIWYGNASSKPGGKERQVYSTPIEKTQKHGILSSQSELDQKV >PAN07036 pep chromosome:PHallii_v3.1:1:49521054:49524538:-1 gene:PAHAL_1G306300 transcript:PAN07036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSKAERKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTQVMKWLGYIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDKVRYSRDTKLSIVLVLVGVAVCTVTDVSVNSQGLLAAVIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTSIVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVALGMLLAVIGMIWYGNASSKPGGKERQVYSTPIEKTQKHGILSSQSELDQKV >PVH65537 pep chromosome:PHallii_v3.1:1:1503257:1504186:-1 gene:PAHAL_1G020500 transcript:PVH65537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELVISCLSPHDFPDVAVCDGSCGGGGGCPCLLHAGLCCPDDPLDTIMNNPDLFNDDLPEDVFIAAALPPPHRGVLPTGGGKEVLDVDAADDVGCRDGAACSDGAPRLPPAVHAALSDSSWTTTSSLETESPPPPVSRLVVPRKKRDTSVKRRKRLWSLDMPSVPASRDSASGGDGDQDGRRSVDGGVRPRLLGARPRNTRTQQRACRHCDSTETPQWRAGPDGPGTLCNACGIRYTMNKLLPEYRPSTSPSFRSDKHSNRHRKVVKLRETKQVLKEKNVNMLPAPNYGDFMDVCKYISTGQSHPKTI >PVH65486 pep chromosome:PHallii_v3.1:1:599925:601966:-1 gene:PAHAL_1G006400 transcript:PVH65486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSLAAAALPTGDGDGDELFMQQDWPNLDDAGLDDDFNFIAHDSSLTKTFNLTGCREGAFESQASPPLGYDHPLVTSCSEPIIASTDAVLESMASNNAAYHQQQFSSSVATANTSSNVVDGSTFYASGMPMLPRDELPSRHFGFEVKPPPVPSVVSSPSTGCGYQDQAEAALLASSTPEQPSGQDMEARTKQQEKRQEAKQRYKDKKKNRKFGKQIMYVSRKVRADTRNRVKGRFAKASSGSGHGDDQSTQHGDEQPTDSCV >PAN07004 pep chromosome:PHallii_v3.1:1:49387865:49390561:1 gene:PAHAL_1G304300 transcript:PAN07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MNLFLMVQEDSETEVTGDSAADDGAGSIDETSSIIVTALESYKEALINDDEAKIAEIEAFLLSIEDEKNSLMNKITVLDAELATQRERILRISADFDNFRKRTENEKLNMMSNVQGELIESFLPVLDNFERAKSQIKVETEGEEKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMLHEAIMREESTEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPEKSGEDDPTEVEDSVAPQKIEDVEDDGDAE >PAN07003 pep chromosome:PHallii_v3.1:1:49387304:49390561:1 gene:PAHAL_1G304300 transcript:PAN07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MAALLRTAAALAPPPSSPLFAREPRGRCLSLACSRRAPVRPLRARLLQAPHVLGHAGARLRRLGATEADEAAQTATQEDSETEVTGDSAADDGAGSIDETSSIIVTALESYKEALINDDEAKIAEIEAFLLSIEDEKNSLMNKITVLDAELATQRERILRISADFDNFRKRTENEKLNMMSNVQGELIESFLPVLDNFERAKSQIKVETEGEEKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMLHEAIMREESTEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPEKSGEDDPTEVEDSVAPQKIEDVEDDGDAE >PAN08447 pep chromosome:PHallii_v3.1:1:56700738:56704507:-1 gene:PAHAL_1G413600 transcript:PAN08447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAHTAAGSGCSEAGGPPSSASSASSYGGSDSRFRLHKGVHLRRRRRVAVRGGGSCNKGGAGDGSVQDIALPLGMSFAAVLAQVLNRCSGSGRSLQPDVLSKMCISAVKESLKNIYGDRFEIFMRNFEKSFGSTLRTLHLINETPVYEQDIPQCSYQGGDYVSEIKLSGADSQSWIHDVQKDSPLSSMDNQIILHAGVNHQLVHLTRSGSAPGIDQHVLGVFERSVNEQARSNELKKLEIGLTMRELQLRESQLALSSDSNELEKVKIRVGFERASFKEEKFKTQREDTRHAELLRKLIDMLLTAVVLMSACFGYGTYIYSYQRITAVTSACAAASREYTSWWMPSSVSAFNSGFLIFKCNLIASARISFGVLMILLIAWLIFQRSAMTGPNMPITFNGMLLGVVCGWFGRKCVDTLGGDGNIWLVFWEALCFIHLLGNIRPSVLHRMLYGPISVTNSTKAVRLPYWARRYAFYVLVSLILPCLAGLLPFAPLSDWIELAIQYVVSILSGSNIED >PAN08448 pep chromosome:PHallii_v3.1:1:56701473:56702847:-1 gene:PAHAL_1G413600 transcript:PAN08448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEQIYGDRFEIFMRNFEKSFGSTLRTLHLINETPVYEQDIPQCSYQGGDYVSEIKLSGADSQSWIHDVQKDSPLSSMDNQIILHAGVNHQLVHLTRSGSAPGIDQHVLGVFERSVNEQARSNELKKLEIGLTMRELQLRESQLALSSDSNELEKVKIRVGFERASFKEEKFKTQREDTRHAELLRKLIDMLLTAVVLMSACFGYGTYIYSYQRITAVTSACAAASREYTSWWMPSSVSAFNSGFLIFKCNLIASARISFGVLMILLIAWLIFQRSAMTGPNMPITFNGMLLGVVCGWFGRKCVDTLGGDGNIWLVFWEALCFIHLLGNIRPSVLHRMLYGPISVTNSTKAVRLPYWARRYAFYVLVSLILPCLAGLLPFAPLSDWIELAIQYVVSILSGSNIED >PAN05205 pep chromosome:PHallii_v3.1:1:9633040:9635145:-1 gene:PAHAL_1G121800 transcript:PAN05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETEFVTAPSTPEPADPMAPVVAEPPPPALHDTFEIVVEQRLRQHARDGGSSSPMTIFRVPAHVRDASKELYEPRLVSVGPYYRGRAALRAMEQHKWRYLHELLAEFPEASLADCVRAVRGVEHQARRCYSERTDIFAAGAGAAAEGENWQPRQQGDTGPGDGFAEMLLLDGGFVLQFFIKWYRREPDKLCDVGWGLPLLLSDLLLLENQIPFFVLEALFDAVNPEASRLGLLKLIVPHFKLDDSTFCKEVLAGVVPTEAGEIHHLLHLLYEAFVPRAEELASSPPPPPRYDTRLARMGLRFKTAVSKRFVFVRDMPRVPGWRRLSASMTLLRKVSAWFGKALIGVKTQTEAEPPEPDDRSTAPPPPMVVPSVTQLREAGVRFEMAPSPRHMFDVTFDAARGVLRMPRMEVDYANKAQLVNLVAFEQTMGGLQGGGGGGARLLSSYAALVGALARTDRDVEHLQERGVAENLLSGDGDAATRFFQQLGGCSSLDYGDHHFAAMFRDLSGYYHSSWRRHKAKFLRDHCSSPWAVLALVVAGCAFCFALIKFGTTMYGLAHPYCHC >PAN08251 pep chromosome:PHallii_v3.1:1:55658981:55662010:-1 gene:PAHAL_1G398000 transcript:PAN08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] MRPNDLIGAQDRVPAEGNCNCSSSVQSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAEFLNSLRSGSVVLDAGCGNGKYLGFNPDCFFIGCDISSPLIEICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDDRRRKAIEELIRVVRRGGLVLITVWAREQEDKSLLNKWTPLYEKYTEEWVDQSSPPVRSQSATLLESIAETDEDAGVMKQADDRLKKNHDGVEDKTITACSNSNADENEKNQQEYFVPWHLPFHRAEISGASAAALENGFAKKDEKKGTVVYNRYYHVFVEGELQRLVSGIKSAAIVDQFYDKSNWCIVLEKL >PVH66976 pep chromosome:PHallii_v3.1:1:55658956:55661527:-1 gene:PAHAL_1G398000 transcript:PVH66976 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] MIQVFSGITARNFHRTIHASNLYSISFRHKELFCWKPTCQHITTNNSMRPNDLIGAQDRVPAEGNCNCSSSVQSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAEFLNSLRSGSVVLDAGCGNGKYLGFNPDCFFIGCDISSPLIEICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDDRRRKAIEELIRVVRRGGLVLITVWAREQEDKSLLNKWTPLYEKYTEEWVDQSSPPVRSQSATLLESIAETDEDAGVMKQADDRLKKNHDGVEDKTITACSNSNADENEKNQQEYFVPWHLPFHRAEISGASAAALENGFAKKDEKKGTVVYNRYYHVFVEGELQRLVSGIKSAAIVDQFYDKSNWCIVLEKL >PAN08252 pep chromosome:PHallii_v3.1:1:55658955:55662010:-1 gene:PAHAL_1G398000 transcript:PAN08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] MIQVFSGITARNFHRTIHASNLYSISFRHKELFCWKPTCQHITTNNSMRPNDLIGAQDRVPAEGNCNCSSSVQSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAEFLNSLRSGSVVLDAGCGNGKYLGFNPDCFFIGCDISSPLIEICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDDRRRKAIEELIRVVRRGGLVLITVWAREQEDKSLLNKWTPLYEKYTEEWVDQSSPPVRSQSATLLESIAETDEDAGVMKQADDRLKKNHDGVEDKTITACSNSNADENEKNQQEYFVPWHLPFHRAEISGASAAALENGFAKKDEKKGTVVYNRYYHVFVEGELQRLVSGIKSAAIVDQFYDKSNWCIVLEKL >PVH66834 pep chromosome:PHallii_v3.1:1:53365938:53368383:-1 gene:PAHAL_1G362900 transcript:PVH66834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSPGAAVRSTRRVTAAPVVLRPASLRCALQRSCGSQLFATDITGAMFVWLCRVGSCFPDRRCMDHRILTDTGGVACTHPWSIGNDSYQQKSERTEADAEQVLLNCRQLNG >PVH65630 pep chromosome:PHallii_v3.1:1:2869403:2871331:1 gene:PAHAL_1G042300 transcript:PVH65630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSRYLDPIQPTAPQVLAPVQPTVHQDDDRVDIPAETTHHVDLGDGLHTPKNDPIHCTMSLSSFVPDCENGLKPVIGMSFDSLDEVEGFYKTYAHTCGFSVRIGSQGKKDDVVEHKRFVCSREGFTKRRVESSKQKKHFETRCGCNARIYVSKYRYFASI >PVH66261 pep chromosome:PHallii_v3.1:1:27616149:27619228:1 gene:PAHAL_1G187900 transcript:PVH66261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGRKRGTSKALAAEEPLAEYERVRAQTMMRNNQILCSLGVTTLASVLNSSSWRSV >PAN05017 pep chromosome:PHallii_v3.1:1:8238864:8246809:-1 gene:PAHAL_1G109200 transcript:PAN05017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSIRVTMEVGADGVALITIANPPVNALHPILIAGLKDKYAEAARRDDVKAIVLTGAGGKFCGGFDINVFTKVHQTGDVSLMPDVSVELVSNMMEEGKKPSVAAIQGLALGGGLELAMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGLIDALCSPDELIKMSRLWALEIANCRKPWIRSLGRTDRLGSLSEARAVLSAAREQAKKVAPNMPQHQACLDVIEEGVLYGGHAGVLKEAKVFKELVVAPTSRALVHVFFAQRSTTKVPGVTDVQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGERMIAGNLEGLVKRGSLTKDKMNKAMSLLKGALDYSDFKDIDMVIEAVIEKIPLKQSIFADIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLISVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISNFGMPMGPFQLQDVAGYGVALAVKGIYAEAFGERNLDSDLVDLMVKDGRQGKVNGKGYYIYEKGGKPKPDPSVQRVIEEYRKHAKTMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRGGLVFWADTVGAPYIYSKLSRWAELYGPYFKPSSYLEQRAKSGVPLSAPSTSQQGSARSRM >PVH66481 pep chromosome:PHallii_v3.1:1:46230793:46231544:-1 gene:PAHAL_1G258500 transcript:PVH66481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLVLFLALTVLAFAADVHGCAPYCPTPTPPVVPTPPVVPTPSGGGSCPINALKLQVCANVLNLLKLNIPGLGNDQCCPLLQGLVDLDAAVCLCTAIKANILGINLNVPIDLRLLLNHCGKVCPADFTCPL >PAN07914 pep chromosome:PHallii_v3.1:1:53959543:53961768:-1 gene:PAHAL_1G372500 transcript:PAN07914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTVVGQGRGEGTPHTAEKDKKREEPEAAGDVAVVMVHPYTILGGVQGLLRGMAEGVARRGYTAVTFDMRGAGRSTGRASLTGSTEVGDVAAVCRWVAENIKPRGILLVGSSAGAAIAGSAVDKVDEVIGYVSIGYPFGRMASVLFGRHHDAILKSQKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLMEGAGHFRMVGPAFDAQKVDLIVKFINSLPK >PVH66869 pep chromosome:PHallii_v3.1:1:53959619:53961753:-1 gene:PAHAL_1G372500 transcript:PVH66869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPYTILGGVQGLLRGMAEGVARRGYTAVTFDMRGAGRSTGRASLTGSTEVGDVAAVCRWVAENIKPRGILLVGSSAGAAIAGSAVDKVDEVIGYVSIGYPFGRMASVLFGRHHDAILKSQKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLMEGAGHFRMVGPAFDAQKVDLIVKFINSLPK >PVH66868 pep chromosome:PHallii_v3.1:1:53959870:53961374:-1 gene:PAHAL_1G372500 transcript:PVH66868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYADKKREEPEAAGDVAVVMVHPYTILGGVQGLLRGMAEGVARRGYTAVTFDMRGAGRSTGRASLTGSTEVGDVAAVCRWVAENIKPRGILLVGSSAGAAIAGSAVDKVDEVIGYVSIGYPFGRMASVLFGRHHDAILKSQKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLMEGAGHFRMVGPAFDAQKVDLIVKFINSLPK >PAN08008 pep chromosome:PHallii_v3.1:1:54346604:54350101:-1 gene:PAHAL_1G379000 transcript:PAN08008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFQGEKPAHDFLSLHTGGGSSSPVQHSAQGYDLGVHTSLKPLKLGKRRGGGSGGGAVGMAPSGLEADSSEHLLPGGVGTFSIRQAPSTAQPRGQPAGQVVVARGAFAPVLHGSRTEAAHGAESGARAHCGPATTWQDSGTDQRSRATRGEGRSSGSSGDQGPSTPRSKHSATEQRRRTKINDRLEILRELLPHGDQKRDKASFLLEVIEYIRFLQEKVQKYESANPQKNHEDSSIPWAKVYYRSCWKNTENITQVQGGGSSAPTQDMNKEQYCSNKTTVAPTPLFSTHSAGETSIDDVSSQKATSTPQNWADDSTPSKRSPWLSMSTSDSDKRTLSKNEKQTLHEDTHSLSNAYSQGLLNRLTQALKSSGVDPSQASISVEINMDKRATEPSNIHGNLKDNKCEETIHVTKKLRC >PAN03755 pep chromosome:PHallii_v3.1:1:1454869:1455084:1 gene:PAHAL_1G019600 transcript:PAN03755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFLKTSWPELVGTPGHYAHDMIHKDRPDVQIPVLVVGTQVPPGFDDKRVRLFVHQDFNLKVALTPVVG >PAN07257 pep chromosome:PHallii_v3.1:1:50698073:50703418:-1 gene:PAHAL_1G322300 transcript:PAN07257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAASSSPPAAITCRAAVAWGPEQPLVMEEVEVAPPGPLEIRVKVVSTSVCRSDVSAWQSKAQPDLFPRIFGHEASGLVESVGEGVTEFQVGDHVLTVFIGECKSCKHCISGKSNMCQKLGLERKGVMHSDQKTRFSIKGKPVYHYCAVSSFSEYTVVHSGCAVKVGLTVPMDRVCLLSCGVSAGLGAAWNVADVSEGSSVVIFGLGTVGLSVAQAAKLRGASKIIGVDTNPEKQEKGRAFGVTDFINPNELSEPVQQVIKRMTDGGADYSFECVGDTGVVSTALQSCSDGWGVTVTLGVPKAKPEVSAHYAFLLSGRTLKGSLFGGWRPKSDLPSLVDKYADKEIQVDGLVTHDIPFGDINRALELMLENKCLRCVIHMSQ >PAN06239 pep chromosome:PHallii_v3.1:1:45176572:45178755:1 gene:PAHAL_1G248800 transcript:PAN06239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPRRRPEPAAIDITWVSCRGVRSSLPFHTPCLYASIFVTPSSARGVHGPRRPHRVKTPTDRAGGGNPEWDAPLRLYLPDASPSPPPAEAGKSKKDIGDGGDDVLLLRFELKAEVAVLGDKLAAFAAVPVHDLVADGRTRRVSYQLAGPDGRQPNGVISFSYTFHERNGDDDGRSSDGELAVTPPCPTASSTSPVAHALPLTTAAPRLYPAIEWPLEEQIPIIYSPVTAESAATLAGSRYYPPPPPATPAEPVAVYPPLLAGTSPACSVYPPVVDAVPASGMYPTVDLAPVRCYPPAAPAPYGVGCGYAAAPGWDDRCLYG >PVH66584 pep chromosome:PHallii_v3.1:1:48413500:48415473:1 gene:PAHAL_1G287500 transcript:PVH66584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMIVKGAISYEDLRTHNGTVYQTFKEACAARGLLQDDEEWYKTFDEATTWATSLQLRYLFTTMLLFCNLQDERRFYEKNWRKMVDDIEYHLIAKYKPIIYQPTELELQEKLLHELQEILSRNGANICTYNLPQISVECTNNNNQLIEEELKYDVHSLEIEANRLYSQLNKDQKNAFHKIIDNVLSKKTDFFFVCGHGGTGKTFLWNTIVSFLRAQRKIVLTVASSGVASLLLPNGRTAHSRFKIPIDTDELSVCEIKRGTKLAQLLAQTDLIIWDEALMTNRQCFEAFDRSLRDILSEKESKLQDIPFGGKVVVLGGDPKQILPVIENASKSQIINASIFKSYLWNHIKILYLRENMRLKKLQTNTFEYNQTLDFNDWILSIGNGTNGVTNDIDEDSDCKIVEIPSDLLITTTDNKMKVLVESTYPHLQTKFNNPEYIKDRAILATTNEIVDEINEYIMSFIPGSEKEYFSADSISNCTDTCNDADILYPIEYLNSLNANNFPTHRLKLKIGVPIMLLRNLNQSLGLCNGTRLIVTNLGQNIIEAVIITGTHTGDKILIPRINLTTRGSQWPFTLCRRQFPIKVCYSMTINKSQGQSLSNVGVYLKQPVFTHGQLYVAISRVKDRKGLKILIENPDQSCGTKTKNIVYREILKII >PVH66388 pep chromosome:PHallii_v3.1:1:42244208:42244524:1 gene:PAHAL_1G232000 transcript:PVH66388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELLHHLNLWIQKDCPSQRAYIATDDGYICTSNMEDDAAEDATAEDGDVLGSKDTTAFRSIIVHRVLST >PVH66282 pep chromosome:PHallii_v3.1:1:29262092:29263857:-1 gene:PAHAL_1G194300 transcript:PVH66282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRFLHSQGGRLHIDSTTGQVLCVELWLPKGLTPSVLIANRRFLQSSANHLKWESMLPHCAASAGCLSLSFSFFRPSLCQRKSWASFLVANHLFNRGMMGKYHATLTGPPLLTFFLPPISTGTFPSSALSSPPSFFLLYRSHPHLGHLSRSA >PVH66802 pep chromosome:PHallii_v3.1:1:52561715:52562380:-1 gene:PAHAL_1G351600 transcript:PVH66802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYSPFSRMIISWMFQPCASEAAVPSVAIQMTRKDKISLPCHPLKANLILSEDQCLYPRCPHALPVLITLDLKLKHPLPFLKARPIYTSIDYILKMRSSSLLTSNSVQRF >PAN07302 pep chromosome:PHallii_v3.1:1:50828978:50830880:1 gene:PAHAL_1G325100 transcript:PAN07302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVRCQYEKEVAVVTGGNRGIGLEICRQLASKGVTVVLTARDEKRGAEAVKTLGAPGLSNVVFHQLEVGDRSSTTRLAEFIREKYGKLNILVNNAGIVGTTTAISDPESFQQELAGMVGMEKLEWIRKHTTEPYEKAEECLRTNYYGTKIVTEELLPLLQSSSHGRIVNISSYFGLLRFFSGEELKEELNNIDNLSEERLDELSELFLKDFKDGQLEPHGWPAEGGYPAYKTSKALANAYSRILAKKHPKLCINCVHPGYVSTDINFHTGNLTVEEGARGALVLALVSKGGTTGAFLDCTEVAPFV >PVH66877 pep chromosome:PHallii_v3.1:1:53997828:53998695:-1 gene:PAHAL_1G373400 transcript:PVH66877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKIACAVLVAASATVALAADAPAPAPTSGSSAVAPAVGAALGAAVASFFAYYIQ >PAN04685 pep chromosome:PHallii_v3.1:1:5808430:5818251:-1 gene:PAHAL_1G083400 transcript:PAN04685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGVDNEIADGDRLPLAVKELGSCDLYPQTLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSRIKIYSKNFQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANDVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSTGKLDMAEECLLHAMDLSALLLLYSSLGDADGITKLASVAKEQGKNNVSFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIIALWKKDLQKVNPKAAESLADPNEYPNLFDDWQIALNVEATVAPKRGIYPPAEEYMNHAERSSESLVEAFKNMHVHEEALPDDIEDAVDEVVEDGGVEESQEDAVEVDAEDAEVEESQDDAVEVEAEGSTDGAVLVNGNSSEEQWVLTPEQ >PAN04684 pep chromosome:PHallii_v3.1:1:5809511:5817969:-1 gene:PAHAL_1G083400 transcript:PAN04684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGVDNEIADGDRLPLAVKELGSCDLYPQTLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSRIKIYSKNFQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANDVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSTGKLDMAEECLLHAMDLSALLLLYSSLGDADGITKLASVAKEQGKNNVSFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIIALWKKDLQKVNPKAAESLADPNEYPNLFDDWQIALNVEATVAPKRGIYPPAEEYMNHAERSSESLVEAFKNMHVHEEALPDDIEDAVDEVVEDGGVEESQEDAVEVDAEDAEVEESQDDAVEVEAEGSTDGAVLVNGNSSEEQWGTKNEEPSA >PVH66712 pep chromosome:PHallii_v3.1:1:50819109:50820274:1 gene:PAHAL_1G324800 transcript:PVH66712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPEEDVRTFVDGYLGSLQQSYELAKECLDINFNGTKDVTECLIPLLLLSKSGRVVNITSQTAQLKFMSNEGAIKVLSDIDSLSEEKLDEVTCAFLADFKDGNLAARGWLPVASAYAASKALVNAHSRLLARRHPSLAVCCVTPGFVRTGMNYGMGLVSAAEGARPPVALALRDEPGDSGLNFELFDECEF >PAN07828 pep chromosome:PHallii_v3.1:1:53542302:53547117:-1 gene:PAHAL_1G366100 transcript:PAN07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSAPTRVMMAVNESSLKGYPHPSISCRTAFDWTLSKLVRSNPGGFHFLFLHVQVPDEDGFDDMDSIYASPADFDQMKQRDKIRGLHLLEYFVNQCHQIGIKCEAWIRHGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVITIKRKATEAPQDPIDD >PAN05023 pep chromosome:PHallii_v3.1:1:8818386:8821684:1 gene:PAHAL_1G113800 transcript:PAN05023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGAMARPRLPILPTPGMPHGFPQAPGAPLMPGMRPPILPAPGVPGYPGGPPTMQQPGAPPTMPQPGGPPTMPQPGGPPGSVPQPGAPPGSVPMQIAPLPRPPTLPPPTSGVPGAPIPNSTAPPAMYQTNPPPPAGPTSGAPPAPPSAPQPAFSYAQPSEGNH >PAN07499 pep chromosome:PHallii_v3.1:1:51823331:51824783:-1 gene:PAHAL_1G340800 transcript:PAN07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCFFPRDAAADARHQSKAAAAALEQLHHGGRLLSREDVGGAVRVKIVVSKRELKQMVAALGDGAGAVTAAAAATGERHRQRAAGGAGPGAEQRLQSLRRRSMRRAAEAARRMQASGEWEPGLQSIPEEVY >PAN04345 pep chromosome:PHallii_v3.1:1:4092086:4093802:1 gene:PAHAL_1G060400 transcript:PAN04345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLEEPTAATLAPPPPHFVIVPLVAQGHTIPMVDLARLLAERGACVSLVTTPTNGARLPGVADQAARAKLALEVVELPFPTGIDGLPPGIENVDQKLAGPLEAYMRGLAARPSCIISDWCNGWTAGVVRSLGIPRLFFHGPPCFYSLCDLNAVDHGLHKQTAAAGDQEKFVVPGMPVRMEVTKATAPGFLNFPGLEAVWIECVEAMRTADGAVVNTFLDLEDQFVTCYEAALGKPVWTLGTFCLVNKDGEAMASRGNKSDVVQQSAVTAWLDTKDTDSVVYVNFGSLVRKPPRLLFEVGHGLEDSGKPFLWVVKEPEVAAPEVREWLEALEARTAGRGLVVRARHPVARSHRAVGGFVTHCGWNSLLESIAHGVPVVTWPHFSDQFLNERLVVDVLGVGVPIGVTAPVMIFDDENMPVGREDVVRAVSALMGGGAEADERRRKAKKYGEKARRAMEKGGSSNDNLTQLIESFRQCAGKEG >PAN04905 pep chromosome:PHallii_v3.1:1:7345449:7348369:-1 gene:PAHAL_1G100500 transcript:PAN04905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKESNANHAEEALRRAKFKFPGRQKIIRSRKWGFTKFTRAEYLRYKSEGRIAPDGVNAKLLGVHGPLSKRAPGKAFLADNIQASA >PAN07464 pep chromosome:PHallii_v3.1:1:51690139:51693340:-1 gene:PAHAL_1G338400 transcript:PAN07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLPRFGPLGPLPPPQDEFGIKETRPRLAGGRAGGYDLVERMEYLYVRVVKARDLRWGGGDLDPFAEVKLGGHSCATRHIEKTANPEWNDVFAFSRERIQASFLDVVVRGRGFAKDDYVGHRRFDLGDAPVRVPPDSALAPQWYHVFDGKGERGGEVMLAVWFGTQADECFPLAVHADAAIAVDAKLAAHIRCKQYTVPRLWYVRVNVIEARDVAFADKARVGEVFVRTRIAGQVHRTKTCVSRLPSYGWNEDHLFVAAEPFEDHLILSVEDRVKVDKEEVIGHVHIPFKEFERRWDARPIRPRWFNLVRPEGAAKIEKFSAKICVRLCLEGGYRVLSEPVHYLSDVRPAARELWHNRPPIGLIELGIHNAFGLSAMRTRDGRGSCDAYCVAKYGVKWFRTQTVIDSLAPRFHQQCYWDVHDHCTVLTVAVFHNCQIGDKGGLVTGDPVRDVLLGKVRIRLSTLETGRIYTHAYPLISLHGGGIKKMGELQLAVRFSNTSTLGLLRTYAQPHLPPMHYHHPLSIVHQETLRREAVALIAHRLGRMDPPLLRECVEHLCEAHSHRWSMRRSKAHFFRLMAALAPLFAALRWFVDVCHWKNPATTVAVHIIYAMLVCCPNLILPTFFVYKFLLGLWNYRCRPRHPWHVDTKVSHAELAHPDELDEEFDEFPTARPHEVVRMRYDRLRSLGARIQEMVGDVAAHAERARCAMAWRDPRATAMYLLACLFLAVTTFLAPFQAVALLTGFYVMRHPALRQRLPDVPTNFFRRLPCKVDCLL >PVH66574 pep chromosome:PHallii_v3.1:1:48209516:48209971:-1 gene:PAHAL_1G285100 transcript:PVH66574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAYALFSGSNRSRRRESTISVPLGLGTSAVDIFKREHFQQSYISSTIMKCSING >PAN04559 pep chromosome:PHallii_v3.1:1:5163825:5173830:1 gene:PAHAL_1G075300 transcript:PAN04559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTVMTADEQILSLDVDPDESVENLKALLEVETRVPLRQQQLHFNGKEMQNSEKLSTIGVQDGDLVMMLPSNERASQDVVKLNPDGTAPNPQAFQQHVRGDSQLMAQLLQNDPQLAQAILGDDINELQNILRSRHQQRMELKRKQEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAAIEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAVGVGQSEILGRIHVASIKIGHLFYHCSFTVLDAPNMEFLFGLDMLRKHQCMIDLKDNVLRVGGGEVSVPFLQEKDIPAHIRDEEKLSKLASSLGQATGESSKAREGTPDLPQRTPPAGAPVANPPQGGDFEAKVTKLVELGFDRASVVQALKLFNGNEEQAAAFLFGG >PVH65931 pep chromosome:PHallii_v3.1:1:7963291:7967185:1 gene:PAHAL_1G107200 transcript:PVH65931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSDFLRGGGGLADVAPAERASGVGAQPQVDALNVEQVPARRQQPHRLPVPKHGEADRALRPPGGGGGAPPVREHGDRRESGRRQPASVPAVGGSGPGAAVRAHGGGRVPVGDGAPEADGHREDDEAGGAGEADENHRVAHPVAAAAGNLQRVRRRGGGAVGEGRHGRAAW >PAN04796 pep chromosome:PHallii_v3.1:1:6502876:6506278:1 gene:PAHAL_1G091900 transcript:PAN04796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICASSKRVEQERECDENVVYVMDEQCGGGGGAGEGGEDGRGAPPAACRKVASLYSQKGKKGPNQDAVILCQGFGMEDGVFCGVFDGHGRCGQFVSKLVRDYLPFMILSHRNALFLGDDDDGPAFSDASPSSSTDGSGGGSSPAPAQLLEEWREACANAFEAMDKELKLQADLDCSFSGTTAVCAIKQGRDLIVANLGDSRAVLATMSDTGYLRAVQLTTDQKPNLPQEAERIKRCNGRVFALKDEPSVLRVWLPGEDCPGLAMARSLGDFRLKRHGVVSEPQVAHRRVERGDLFIILATDGVWDVLSNEEVVSIVCATPRKQHASKAVAEAAAQRWRTRYPSSRVDDCSAVCLFLRDQDWGSSVAAAKAKAAAAAARAPHGHC >PAN08104 pep chromosome:PHallii_v3.1:1:55008256:55013361:-1 gene:PAHAL_1G387700 transcript:PAN08104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGCEEGNASAHKGSARRRGAVQAGLDADELLTLMYGSDPVKVELSRLENEVRDKDRELGEANAEIKALRLSERAREKAVEELTAELEKVDEKLKLTESLLETKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLQGGAAANAVREYQRKVQEMNEEKKILDRELARAKVTANRVAVVVANEWKDANGKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGAPSGSSRPPTEGKSISNGTPRRLSLGGADSMSKASPNGVLMRRSPSLNSRSSLTTSSSLVLKHAKGSSRSFDGCTRSLDQGKVLGNGPHSLNSSTDAVKNCETADNWKADAEEKSNETTNNDSSDMVSGVLYDMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLNKAMEVESKKMRREMAAMEKEFAAVRLEKEQESKAKRLGNSKGPGTSSQTVSGR >PAN07149 pep chromosome:PHallii_v3.1:1:50167511:50168690:-1 gene:PAHAL_1G314300 transcript:PAN07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLCDLVQKGHWLQGNSKLQWPTGDPTPKKHSEPLQLHSVDNRGQVDVMSAPNIYELAPLLTRDKTIPFKRCPECLIDSAAAKLLGTPPRHRTPTAPLFSTSPNPWSQEGCSAPATRFNCASAVSCAAASASEI >PAN04544 pep chromosome:PHallii_v3.1:1:5056992:5062743:-1 gene:PAHAL_1G073900 transcript:PAN04544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAPRHDGDAAARAHHQEQDADAAAGPSSPRGLVLACAGLLQRGDVDGARRAAAEVLSTADPRGDAADRLAHHFARALARRADGNKAGGEGVAAPAGGAAPSSGPGAPSATAYLAYNQIAPFLRFAHLTANQAILDAAASGGARRLHIVDLDAAHGVQWPPLLQAIADRADPDLGPPEVRITGAGPDRDVLLRTGDRLRAFAGTLNLPFRFHPLLLPCTTQLAADPSTELELHPDETLAVNCVLFLHKLGSDGEIAAFLNWVKSMNPSVVTLAEREASIGSDCPEDLHRRVAAAMDYYSAVFDALEATAPPGSADRLAVEQEVLGQEINAVVAPGHAGRRARGFESWATAARAAGLSPRPLSAFAVSQARLLLRLHYPSEGFVAEEARGACFLGWQTRPLMSVSSWQ >PAN04555 pep chromosome:PHallii_v3.1:1:5056714:5062743:-1 gene:PAHAL_1G073900 transcript:PAN04555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAPRHDGDAAARAHHQEQDADAAAGPSSPRGLVLACAGLLQRGDVDGARRAAAEVLSTADPRGDAADRLAHHFARALARRADGNKAGGEGVAAPAGGAAPSSGPGAPSATAYLAYNQIAPFLRFAHLTANQAILDAAASGGARRLHIVDLDAAHGVQWPPLLQAIADRADPDLGPPEVRITGAGPDRDVLLRTGDRLRAFAGTLNLPFRFHPLLLPCTTQLAADPSTELELHPDETLAVNCVLFLHKLGSDGEIAAFLNWVKSMNPSVVTLAEREASIGSDCPEDLHRRVAAAMDYYSAVFDALEATAPPGSADRLAVEQEVLGQEINAVVAPGHAGRRARGFESWATAARAAGLSPRPLSAFAVSQARLLLRLHYPSEGFVAEEARGACFLGWQTRPLMSVSSWQ >PAN07577 pep chromosome:PHallii_v3.1:1:52274867:52278058:-1 gene:PAHAL_1G347200 transcript:PAN07577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MPPPPPPSPTSAAPTPPAPPTKPPLCPRHIAALVLNHPSSTLNAASARSLSASLLAAAPAPALPIPAPVANAVLKLLWHHAPRALLFFHALLRLPPGARELSPCTVDLALDLAARLRHPRQLTSSVLALFPRHGLAFTPRTFPILFERFALSQRRPDLAVRLFLSLHRSHGVAQDLPLFNSLLDALVKSRHAGKAASLVRALERRFPPDAVTYNILADGWCRVKDTSRALDILRLMVESGIAPTKATYNIILKGFFRSGQLQHAWDFFLQMKKRGITDENCKPDVVSYTTVLHGLGVSGQLDKARKVFDEMSKEGCLPSTATYNALIQVICKKGNVEDAVAVFDDMIGKGYVPNVVTYTVLIRGLCHAGKIDRAIKLLERMKSEGCEPNVQTYNVLIGYSFEDGEIEKALDLFERMSKGMECLPNQDTYNIIISAMFVRKRAEDMAVAATMVVDMVDRGYLPRRFMFNRVLNGLMLTGNQELSRKLLRMQEKYARLRREIRL >PAN07241 pep chromosome:PHallii_v3.1:1:50530928:50532137:-1 gene:PAHAL_1G318600 transcript:PAN07241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLERRMRQVLFKVDERVARISKMPVVKSKKMLRKLIEVDGRDSKKAEKPVVKLLQRVRRTLGKVDERVRSALPPRAPPVSTEELDGAPAPSLEGGGDRPRELDVAVPRARALWMDMASCYIPDHDEDASFCHPGAGAAGVADGVGSYRRKGLDAGAFARTLIANAFAEAGRAFAEGGTSVCPYTLLRGAYEAAARSLAPGASTAVIVSLDGATLKWACVGDSAFAVLRGGRIVRRSKPQQHHFNCPYQLSANGGDRVADADVGGMPVMDGDVVVIGTDGLFDNVFDVELEQLVRRGTELGLSSQNMADNIAGAACDMSFGWLAHSPSTSSSSTESWRNDEKGAERFYGGKVDDITVVVAFIVSSI >PVH66876 pep chromosome:PHallii_v3.1:1:53995390:53996827:1 gene:PAHAL_1G373300 transcript:PVH66876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLCSSCTRMGKSLCYDHSILDLARLRFSGCKLKCGGWSMKVRLIFVECLPFSA >PVH66142 pep chromosome:PHallii_v3.1:1:19076580:19077855:1 gene:PAHAL_1G158600 transcript:PVH66142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCATGFPIAMLGKVSMFCATGFPIAMLGFLYVLPSSAGGHVTRLATSEEGIFLKGVFEGGKQL >PVH65820 pep chromosome:PHallii_v3.1:1:5884250:5885490:1 gene:PAHAL_1G084600 transcript:PVH65820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFRFRIELIWIILSLCLLLQGPVLVAYNGNGPSACEHVEYSDNKPEVTVSGMENQEGHQLIRSKFTRSLRFTVVPMPSRRSLLPYWDGKHPIPITQRAPARPPFRKPPRPGN >PVH65628 pep chromosome:PHallii_v3.1:1:2829627:2830547:-1 gene:PAHAL_1G041800 transcript:PVH65628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTIYAAVPRAVYYVTMLFRATRLLGPPRLRHFIVPAQTPPTSTPTARPLPTPTATTTRPTLATGAQGHVPVPARPRRCLPVRPRRRRWLARPQAPGRQPARARERDPAAPSSTLCPSMSCPVP >PAN04581 pep chromosome:PHallii_v3.1:1:5268362:5272474:1 gene:PAHAL_1G077000 transcript:PAN04581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVDDVIDATGPAAAVEDGASGKSALPSLGGHGEEEHGEKGNEDNSGESEVINPPEEAGGEATSPPEGRKPRLSKGNQSHGPKAVKSKSPRSGDEGQARRRTPNSSLPKATVARVSNGDVGVGSNKPVKNESHSSSKDAALLDDSKEKRKTQKSSGQHSSIRRDEEESNCESTKPRKVGSTPSYGFTFKCDERSEKRREFYSKLEEKIHARELEISSLQAKSKETEEAELKMLRKSLNFKATPMPSFYKEPIPAKVELKKIPPTRAKSPKLGRSKNKSTPEIEENTMSDQPARPSLEEKVPQNGVKKSTPSNSAKKPQRKSLPRLPSQETGLLDDTASSSPARQLKSTKPIAGSAQETQSATGQLPGAEMKTDSVRGPIQAGADPDEQIAV >PAN07127 pep chromosome:PHallii_v3.1:1:50027203:50034337:-1 gene:PAHAL_1G312500 transcript:PAN07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPNPESPGGGGAGGSSSGGASSSAGGGGGAPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPPADHAGGSGGHPPPPAPPPAGAAC >PAN07126 pep chromosome:PHallii_v3.1:1:50027203:50034484:-1 gene:PAHAL_1G312500 transcript:PAN07126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPNPESPGGGGAGGSSSGGASSSAGGGGGAPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPPADHAGGSGGHPPPPAPPPAGAAC >PVH66675 pep chromosome:PHallii_v3.1:1:50032360:50034484:-1 gene:PAHAL_1G312500 transcript:PVH66675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPNPESPGGGGAGGSSSGGASSSAGGGGGAPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPPADHAGGSGGHPPPPAPPPAGAAC >PVH65572 pep chromosome:PHallii_v3.1:1:1873409:1873904:1 gene:PAHAL_1G026300 transcript:PVH65572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQAIVVTSTDTQKFQIPPKKSLVVVLNTAKVILNHVLPTNPEIRGSCFSRMQRADCCRNTLTLLLKIP >PVH66248 pep chromosome:PHallii_v3.1:1:26954919:26955398:1 gene:PAHAL_1G185100 transcript:PVH66248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSTWRKTLMIPFSYLV >PAN07857 pep chromosome:PHallii_v3.1:1:53683775:53684957:1 gene:PAHAL_1G368100 transcript:PAN07857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVREHAAYGGFDSAEAAAFDGLGSGHDALLGLDAAALFAGGRFAGAAAYVAGGGMNTWACSGSASVLAFDRATAAVGDEECDAWIDAMDQSDGAAAAPEPRHAPAASVGFDAATGCFTLTERASSSGGVGRPFGLLFPSTSSSSSSPERAAPARASQKRAYVDAVPQAVSAKKPCGASRKTSKAKSAPTVPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKPPEISQVREALDAILSSASQQRGQLN >PAN06147 pep chromosome:PHallii_v3.1:1:44275409:44276577:1 gene:PAHAL_1G241400 transcript:PAN06147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPLFSAAMEPQRGERELQLLLLPTTTPLDAAFHAPRPPASPSDHPQLDLSLSISIGPPPAPQPSPSPPAAAADQIKKAAAGAADVQALKRQTAEQARMASAERAYAERVMELARRELELAEREFARARAIWERARGEVEKVERVKAMAARRIAAGSAAALEITCHACMQRFHP >PVH66558 pep chromosome:PHallii_v3.1:1:47901900:47905556:-1 gene:PAHAL_1G280000 transcript:PVH66558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTRLPLPPPAPSPHTATGAGGGGRGVEFRRKLHFLSSELHLDPFPLLAANPALRSAPLPLLRDSLRLLTSHGLSARDAARVFSAFPTLLTSPPGEPLRFLSADAPLPPPHLRAAVLRSPRLLAASVPDTLRPALLFLRRRVALLREPLPLAAALLLAFSVERTLLPKLLFLRDATGLPDPAVCAVLRRAPAILSYGIETNLTPKLQFLAERMGRDPAVELSEFPHYFAFSLEGRIRPRHDALRERGIEMSLKDMLTSNDDEFRERLIKATLSDTKATL >PAN07392 pep chromosome:PHallii_v3.1:1:51289288:51291697:1 gene:PAHAL_1G332200 transcript:PAN07392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKVFSSYHRPSASTSCSGDLAAAASMDRWTGVVHVPLSRGGPLFRVAASLVLSPAKTLAVPRANAILFTGDRVRGTGDPVIERLSDAAHIAGVLAGKLCGETNAWVVDAACFAGPFAVYRELVPSVDAAGDPKGEDPTGFPAAAGVANILSHSVAEIQNKFLGCSSKDSTINQHPAASLSSYCPPRTIILGFSKGGVVANQLVTELSCWASESMKNFVDVSQPNPSHSSLNLLVPTSASDVLSSISEFHYVDVGLNCAGAYITDQAVIKKIGDYVLHTGQKLRFVLHGTPRQWSDPNRSWIRKEKDIMLQLLRDEAKRCHARLLLSEKMYFEGRPRSLLMHFEILEIMDIS >PVH66733 pep chromosome:PHallii_v3.1:1:51289288:51291697:1 gene:PAHAL_1G332200 transcript:PVH66733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKVFSSYHRPSASTSCSGDLAAAASMDRWTGVVHVPLSRGGPLFRVAASLVLSPAKTLAVPRANAILFTGDRVRGTGDPVIERLSDAAHIAGVLAGKLCGETNAWVVDAACFAGPFAVYRELVPSVDAAGDPKGEDPTGFPAAAGVANILSHSVAEQIQNKFLGCSSKDSTINQHPAASLSSYCPPRTIILGFSKGGVVANQLVTELSCWASESMKNFVDVSQPNPSHSSLNLLVPTSASDVLSSISEFHYVDVGLNCAGAYITDQAVIKKIGDYVLHTGQKLRFVLHGTPRQWSDPNRSWIRKEKDIMLQLLRDEAKRCHARLLLSEKMYFEGRPRSLLMHFEILEIMDIS >PAN05139 pep chromosome:PHallii_v3.1:1:9133079:9134302:-1 gene:PAHAL_1G117200 transcript:PAN05139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMGSEEWELYPSYMGSQVMEYGPISEDSDDDWNGDVAVSLDAVLPDDLLEKVLSFLPVASIIRSGSVCKRWHEIVHAQRQTWSKMVPQKPWYFMFTCSEDAVSGFAYDPSLRKWYGFDFPCIEKSNWSTSSSAGLVCLMDSENRRRIMVCNPITKDWKRLLDAPGGKTADYSALAFSVDRSSHQYTVAVARSNQVPSENYQWEFTIHLYESVTGNWVTPFTGVLLGWRGGDECVICGGILYYLVYSTGVLVNNNEHRHCLVMYDLAARPNRTSLMSMAIPVPCALTCGRLMNLSERLVLVGGIGKQDRPGIVKGIGIWELHNKGWREVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFEINQKLWKWSAKSPVSKRFPLQLFTGFSFEPRLDIAS >PAN05138 pep chromosome:PHallii_v3.1:1:9131615:9136039:-1 gene:PAHAL_1G117200 transcript:PAN05138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMGSEEWELYPSYMGSQVMEYGPISEDSDDDWNGDVAVSLDAVLPDDLLEKVLSFLPVASIIRSGSVCKRWHEIVHAQRQTWSKMVPQKPWYFMFTCSEDAVSGFAYDPSLRKWYGFDFPCIEKSNWSTSSSAGLVCLMDSENRRRIMVCNPITKDWKRLLDAPGGKTADYSALAFSVDRSSHQYTVAVARSNQVPSENYQWEFTIHLYESVTGNWVTPFTGVLLGWRGGDECVICGGILYYLVYSTGVLVNNNEHRHCLVMYDLAARPNRTSLMSMAIPVPCALTCGRLMNLSERLVLVGGIGKQDRPGIVKGIGIWELHNKGWREVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFEINQKLWKWSAKSPVSKRFPLQLFTGFSFEPRLDIAS >PAN06698 pep chromosome:PHallii_v3.1:1:48012523:48017065:-1 gene:PAHAL_1G281600 transcript:PAN06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLRTYAAFSLLATASAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCAAWQLVKRLFLGSLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHIRIVSFLAFLLIVDCLFLSNSLRSLMEKWEASVAIFFAFEYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFISIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATEEELNASDATCIICREEMTAAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAASARHGAQPGFQPAAGTGTSASEGAAGENMIRRQAKIEVAVAAASLYGRSFAYPPANTLNRSGPPQSTSSIPQSEASSSNQSQKDQELQFQNTSDGLAPLPFNAHGGISSGTSTRDLEISLQKAQENFIKSQIEMLQIQLQMVQRAAAVSVTNNESAEHTKNY >PVH66564 pep chromosome:PHallii_v3.1:1:48013008:48016758:-1 gene:PAHAL_1G281600 transcript:PVH66564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLRTYAAFSLLATASAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCAAWQLVKRLFLGSLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHIRIVSFLAFLLIVDCLFLSNSLRSLMEKWEASVAIFFAFEYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFISIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATEEELNASDATCIICREEMTAAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAASARHGAQPGFQPAAAGTGTSASEGAAGENMIRRQAKIEVAVAAASLYGRSFAYPPANTLNRSGPPQSTSSIPQSEASSSNQSQKDQELQFQNTSDGLAPLPFNAHGGISSGTSTRDLEISLQKAQENFIKSQIEMLQIQLQMVQRAAAVSVTNNESAEHTKNY >PAN06739 pep chromosome:PHallii_v3.1:1:48202135:48203638:-1 gene:PAHAL_1G284900 transcript:PAN06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKIVIKVQMASDRCRSRALALVAATGGVDSVALAGDGKDQVVVVGEGVDSIKLTSALRKKVGGAELVQVGEDKKEEKKPAPAPTVAAPEYYSQWYYHPYPPPPPPHLAGAVYDHHAAGYGYYPRSDTCSIM >PAN04053 pep chromosome:PHallii_v3.1:1:2607039:2610206:-1 gene:PAHAL_1G038200 transcript:PAN04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLHFSYEKCSRRLPIPFLGLALLLLICLATPTSCCTEQEKASLLQFLAGLSNDAGLAKSWQGDTDCCKWEGITCNRNRTVIEVSLASRGLEGRITPSLSNLAGLQHLNLSYNLLSGDLPLELLSSSSIIALDVSFNQLSGELHELPSSTPGQPLQVLNISSNMFTGQFTSITWKAMENLVALNASNNSFTGQIPTQFCNISPSFAVLDLCYNQFSGTVPPGLGNCSMLRVLKAGHNNLIGTLPDELFNATLLEHLSFPNNHLQGTLDGKRIINVRYLSTLDLGGNNFIGDIPDYIGQLKGLEELHLDHNNMSGELPSSMGGCTNLTTIDLKNNNFSGELNKVNFSNLPDLKTLDLMRNSFSGEIPESIYSCHKITALRLSSNNFHGQLSKGLSHLKSLSFLSLTGNSFTNLTNALQILKSSKNLTTLLIGNNFMHETMPDDDRIDGFVNLQVLSISECSLLGKIPHWLSKLRNLEMLFLQSNQLTGTIPDWISSLNFLFYVDISNNNLTGEIPTALTDMPMLKSEKTAASLDPRVFQLPIYVDMSLQYRKANAFQKVLNLGNNNFIGVIPPEIGLLKELLSLNLSFNKLYGEIPQSICNLTNLLVLDLSSNHLTGRIPTKMNNLHFLSKFNVSFNDLEGPVPTTGQFSTFTNASFDGNPKLCGLTLIRHCNSAEAQFTSKKQHKKKVIFALAFAIFFVAIAILFLLVCLLALSRGTSSRTKNRSTDDSDIEVLSFNSNSEHSLVMMPGSKGDENKLTFTDIMKATNKFGKENIIGCGGYGLVFKAELPNGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHENLVPLWGYCIHGNSRFLIYSFLENGSLDDWLHNRDDDPGIFLDWPVRLKIAQGASRGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELLTGKRPVQVLSRSKELVPWVLEMRSHEKQIEVLDPVLRGTGQEEQMLKMLEVACKCVNHNPSMRPRIMEVISYLESIDDGLQTEKSVYK >PAN04566 pep chromosome:PHallii_v3.1:1:5126941:5127979:-1 gene:PAHAL_1G074800 transcript:PAN04566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPPLLAGSYRHLAPVLLLLWLRSGAAGTVPAPNGGDRAALEAFKEAATAGGALASWNSSTGYCGWVGVTCGGRGHRWRVVSLDLHSRGLDGTISPAIGNLTFLRSLNLSFNGLRGQIPPSVGSLRRLRYLNLRGNALAGAIPGNITRCASLRELVLADNKGLTGSIPAAIGGMPLLSVLHIANNSITGPIPPSLGNLSRLTRLALSNNYLQGTIPASIGNNGHLRLLYLFSNNLSASQTTWGQAFPASSRYRLRLTASPGRFLLPSPIFPASRCLKSRRTSSMGLSHQYWQDCSISDGSTLMGMSLWQTMRKSGSF >PVH65955 pep chromosome:PHallii_v3.1:1:8517713:8518003:1 gene:PAHAL_1G111600 transcript:PVH65955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVRTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIS >PAN08344 pep chromosome:PHallii_v3.1:1:56293680:56296461:-1 gene:PAHAL_1G406100 transcript:PAN08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWEWEGGGEEAAREETPVDFDFISLLAKPKDYYKILEVDYDASEETIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRQQYDKKGILYVQDQNVADYLNRHKGLILTCNGLGIRYSVW >PAN07038 pep chromosome:PHallii_v3.1:1:49518039:49521190:1 gene:PAHAL_1G306200 transcript:PAN07038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPCHGVATANPQLLGVAAASRYGSPARPFLRARPAFLSLRDPAFRHEQKSFALRAGADFHRHVVSSSFAKNDPIKGIKPLPSPKLPPRTQVGCQASLSSFSYPELTSKPKWWWRSLACVPYLLPLHNMWTYADAIFQLHPYLQRFSLLYAFIDTMALLPGWLFLAIFLTIYFFVVRRNWSPHFLRFHIILAILLDTGSQALATACNWSPSIVFQGKPMAYFWMTMAFIQIFTVVECMRCALSGVYANIPFISHTAFIHSDLNLFRR >PAN07037 pep chromosome:PHallii_v3.1:1:49518337:49520282:1 gene:PAHAL_1G306200 transcript:PAN07037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPCHGVATANPQLLGVAAASRYGSPARPFLRARPAFLSLRDPAFRHEQKSFALRAGADFHRHVVSSSFAKNDPIKGIKPLPSPKLPPRTQVGCQASLSSFSYPELTSKPKWWWRSLACVPYLLPLHNMWTYADAIFQLHPYLQRFSLLYAFIDTMALLPGWLFLAIFLTIYFFVVRRNWSPHFLRFHIILAILLDTGSQALATACNWSPSIVFQGKPMAYFWMTMAFIQIFTVVECMRCALSGVYANIPFISHTAFIHSDLNLFR >PAN07400 pep chromosome:PHallii_v3.1:1:51313807:51318574:-1 gene:PAHAL_1G332700 transcript:PAN07400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGGGDRREAAAAAEEDPSPAPAGSGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSDKVIVHCSNRNMHRLTLDGIDVMGERLAQEVIEEINRRPCIKKISFVAHSVGGLVARYAIGRLYRPHKQTSENAQQNLTDDNRGTIYGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFASCIIHLIFGRTGKHLFLTDNDDGKPPLLERMVDNWGDLQFMSALQAFRRRVAYSNVRHDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVYEELCKAEASNKCIDTDHCTLEERLLGGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >PAN07397 pep chromosome:PHallii_v3.1:1:51313808:51317207:-1 gene:PAHAL_1G332700 transcript:PAN07397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIEPYYKHYFLKIYKRILPLIAISYGYLNDIFLNFVGSNRNMHRLTLDGIDVMGERLAQEVIEEINRRPCIKKISFVAHSVGGLVARYAIGRLYRPHKQTSENAQQNLTDDNRGTIYGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFASCIIHLIFGRTGKHLFLTDNDDGKPPLLERMVDNWGDLQFMSALQAFRRRVAYSNVRHDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVYEELCKAEASNKCIDTDHCTLEELHMMDWLLLQFTERLLGGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >PAN07399 pep chromosome:PHallii_v3.1:1:51313808:51318574:-1 gene:PAHAL_1G332700 transcript:PAN07399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGGGDRREAAAAAEEDPSPAPAGSGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSDKVIVHCSNRNMHRLTLDGIDVMGERLAQEVIEEINRRPCIKKISFVAHSVGGLVARYAIGRLYRPHKQTSENAQQNLTDDNRGTIYGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFASCIIHLIFGRTGKHLFLTDNDDGKPPLLERMVDNWGDLQFMSALQAFRRRVAYSNVRHDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVYEELCKAEASNKCIDTDHCTLEELHMMDWLLLQFTERLLGGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >PVH66320 pep chromosome:PHallii_v3.1:1:33139715:33141147:1 gene:PAHAL_1G205900 transcript:PVH66320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDRSTCRQLDFTLGINMAGHTSRQARQEPTTSFLSSLKKYQYQYQQHLQHETEEEEYERHTEKCLRKREDTQDYWHCPFFKYCWDSGMKRLPTLEDCLECNCQKQDTRSTSVFQRLGPERPRHEQVETSRAGGNSKGEEDRYHRPRWCPDGLNWSQKRRVQRLRSLKEAEAQYLDTLRKARPDLAKKVWRPKKLKADVRTSADAHMVFVLPAEFHAPGRKEVLVAQLDLGPRPVIFEKPREKNYRHLKALYLKGYINGQPVSRMLVDTGAAVNIMLYSVLRKLGHSVGDLIKTNITLSDFNGQTSEAQGVLSVDLTVGGKTVPTSFFVVNSKGSYTVLLGRDWIHTNCCIPSTMHQCLFQWDGDEVEVVHADDSAEVSHAAMSVWDAEDQEPISGISLEGCDRVEATKNGVRLVLSTGLTE >PVH66629 pep chromosome:PHallii_v3.1:1:49197802:49201025:1 gene:PAHAL_1G301000 transcript:PVH66629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNPDAIKRYTPPVHRNRANNRRKSGDRADKANYSYNNDGEKSHVPSLKNLPPIVPHETFFSNVQNDFNQTRLVPLEGCSASEASQLLNDRWTVAMNLYNDQTIDSSEKPVMYSGSTGSSWGHLKLPHQVIDELP >PAN06954 pep chromosome:PHallii_v3.1:1:49197627:49201472:1 gene:PAHAL_1G301000 transcript:PAN06954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNPDAIKRYTPPVHRNRANNRRKSGDRADKANYSYNNDGEKSHVPSLKNLPPIVPHETFFSNVQNDFNQTRLVPLEGCSASEASQLLNDRWTVAMNLYNDQTIDSSEKPVMYSGSTGSSWGHLKLPHQMNFLEELRRAVDAHTEIASPVDSWN >PAN04609 pep chromosome:PHallii_v3.1:1:5441509:5444312:1 gene:PAHAL_1G079000 transcript:PAN04609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLNNQIVETLPEWRDKFLSYKDLKKRLKQIGAGDVDGERRSKRQRVGGGGSPPAMTAEEAGFVALLDAELDKFNAFFLEKEEEYVIRQKLLQDRAVSAAETGSAEELLRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQNVMQEPFFTTDLLYKLVKECEAMLEQLLPRSQPPAPPSDDGREDSDGDDKPVDAAGSSLANGGGEVLELEEIEDMESTYMKSTVAALRSLREIRSGSSTVSAFSLPPLHGANGHAEEPEQEG >PAN07700 pep chromosome:PHallii_v3.1:1:52866268:52873834:-1 gene:PAHAL_1G356100 transcript:PAN07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPANGAAQEGGGDVGGKKKKAEEVAKVPFLKLFSFADQWDCVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRLAYLRAMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFVAGFAIGFSQVWQISLVTLAIVPLIAIAGGTYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRSYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSIVVHKRISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERSTVNKSSSKTGRTLPAVDGHIQFHDVHFSYPSRPDVVILNRFRLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLSGSILLDGHDIKELDVKWLRRQIGLVNQEPALFATSIRENILYGKEDATMEDIDHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHEQLMANPCSAYSSLIQLQEAAQLQQKPSFSDSASITRPLSFKYSRELSGRTSMGASFRSDKDSISRYGAAEAHEEARKGKPVSMKKLYSMVRPDWFFGVSGTLSAFVAGSQMPLFALGVTQALVSYYMGWETTKQEVRKISVLFCCGAVLTLVFHVVEHLSFGIMGERLTLRVREKMFSAILRNEIGWFDDTSNTSAMLSSRLEADATLVRTIVVDRSTILLQNVGMIVTSLIIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCSEEKVIKLYADELKEPSKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSHLMSKELATFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFDILDRKTDVQIDAGEDIKRVEGLIELRGVEFRYPSRPDVTVFKGLDLLMKAGRSMALVGMSGSGKSTVLSLILRFYDPVAGRILIDGKDIKKLKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVEAARLANAHSFISSLPEGYQTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIENRNGAYHKLVSLQQQQQQQQQVQRQQSL >PAN04009 pep chromosome:PHallii_v3.1:1:2390855:2393824:1 gene:PAHAL_1G034600 transcript:PAN04009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAAKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELASATQVQGDYMPITRGEKRSVEVVKVSDEMKSFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >PVH66735 pep chromosome:PHallii_v3.1:1:51341177:51349661:-1 gene:PAHAL_1G333200 transcript:PVH66735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MQDGGEVLFLDGVGEVVVSIGRSGLSLQPLHPELVSSCWSSITLQPKLDNKIKFKDVYAVELLDKGLICGPWNTRTAIQGKKNIEMHRFVVHGITRTRKRPSPWVPCEYIFGHKDLKTCKNWFERLIACINNEGDRPKSLMVFVHPLCGKGRGVKNWEIVAPLFDRAKIKTKVIVTNRAGHAYDTLVSLSDIELKALDGVVAVGGDGLFNEILNGILSSRYKASYPPTPEGFGYFGSNENCEEQTRNGLNCSMPTPDSANTTFPGNSTKCDDHEPLLSTSQPRGLEIPSLKQDHLVPFPNDWFRLGIIPSGSTDAIVLSTTGERDPVSSALLIILGRRMSLDIAQVVRWKSSPTAEVLPTVRYAASFAGYGFYGEVIRESENYRWMGPARYDFSGTMVFLKHRSYEAKVAFLETPNTNPLTASAEDDVTGAQPLQSCQRRPRKIICRTNCFVCKEASTSGQNSEGEVADSSRTICENPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLSFKFVEHHKTPAFTFISSHDESVWNLDGEIFQACEVSVQACRGLVNLFASGPEV >PVH66130 pep chromosome:PHallii_v3.1:1:18269153:18271102:1 gene:PAHAL_1G156100 transcript:PVH66130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTGEWQRGPVIGRGASATVSIATDRRTGDVFAVKSVEIARAGVLEREQSLLSALSSPYVVSCLGADVSVAADGSGRMCYDLFLEYAPGGSLADEIKRCGGRCEEAVIRSRAADILRGLAYVHGAGVTHCDVKGRNVLLGADGRAMLADFGCARWMADEDGNAGIGTGGTIVRGTPMFMSPEAVRGEMQGAAADIWALGCTVIEMASGGAPWQQRFADPVAALHHVAHSGDVPQPPAWLSDEAKDFLSRCLIRDPAKRWTAEQLLQHPFAASSSAPMDPAAAKASPIELRVSPKSVLDQAFWDDSDSDTTGALRPADRVRALAYDAAADWTWSGEHWITVCAHTGIGDNYNDTTASAGFEADAGSSSTGVSEDQIGSGGGGEHMRVDAPDGHASSHGHHHGSSASHASSGSGSSSSGCNSVISGSNNDRCCSHSSNFASSRNKKRCCCSVVNDAGRCGAVVVVVVVVVVTAGPGSLRLTPRSSIAWAQHNPSRSQSNSLSRRIRDSERYENSMMDAVF >PVH66544 pep chromosome:PHallii_v3.1:1:47661911:47662450:-1 gene:PAHAL_1G276600 transcript:PVH66544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSATAVSAPSAAPVLRIRPPPAWTPEEDARLERLAREHGFRHWRRVAARMVPGRSSRECRGRWRNHLARDVYHRPFTARDDDDLARLYVRHGGRWREMSRAVHGRTSRVMRRRWREVRDSDAFLSRLWRPRAPVSAPAHQDAAVMEPSLQQQPVFTCVGQCLLLTEPLLASFRNLLSQ >PVH66997 pep chromosome:PHallii_v3.1:1:56024066:56024557:-1 gene:PAHAL_1G404100 transcript:PVH66997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESESSREPTPEYDPIAAYEVRAPLHWDTEEWDFRYQSEDDESLTDGEDLALLLGAEMEEDEDDTSWGEDLSSSEERADSFSSDEDPMAGAFLLGRSSDNSSDSSTGAEGDDSFTSNDGGDDDDSGSDTDDSGSSIAPSPKRRKTSGMYWW >PAN04438 pep chromosome:PHallii_v3.1:1:4589980:4591606:1 gene:PAHAL_1G067300 transcript:PAN04438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRRSLLPIILLQLHTLSGGPAAAKVPALIVFGDSTVDTGNNNYIATVIRSDFAPYGRDLRVGGGSGQPTGRFSNGRLAIDFISEAFGLPPLVPAYLDPNADMSSLATGACFASAGAGYDNATSDLFSVLPLWKELDYFKEYAAKLRSFLGDDKAQETLSEALYIVSMGTNDFLENYYAVPSGRAAQYAAASDYAGYLLGVAEAFARALHALGARKLDLNGLPPMGCLPLERRAATGACTEEYNAVAAGFNAGLRDLVARLDGGLGGGARVVYGDVYGAVADVLADPAAHGFEDIGAGCCGATGRFEMGYMCNAASPLTCADAGKYAFWDAIHPTEHLHRFIADRKMNTTLYEFL >PVH66803 pep chromosome:PHallii_v3.1:1:52563227:52565674:-1 gene:PAHAL_1G351700 transcript:PVH66803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPRTDLAQSSVTFLRRFSGNSPLRPSRASALRRVAAVLLLAAAVALPCAVLYRAAADATAPVYIPRARRPLLQWDPPPPPPVEVSAEDGDADPFPTDDLDSEDLRLELVLQEASMDNKTVILTTLNAAWASPGSVIDLFIDSFRRGVGTSSLLRHLVIVAFDWKAYKRCVKIHPYCFALTTEDVDFSEEKRFQTTGYLEMMWKRLDFLRLVLEKGYSFVFSDADIMWFRNPFPFFHSEGDFQIACDHYVGNAMDLRNVANGGFNYVKSNDQSIEFYKF >PAN08064 pep chromosome:PHallii_v3.1:1:54713893:54718673:-1 gene:PAHAL_1G384000 transcript:PAN08064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGFSWTLPDHPKLPKGKPVAVVVLDGWGEANPDEYNCIHVAQTPVMDLLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYEGDGFNYIKESFENATLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPNANDQYLPPFVIVDDSGNAVGPVLDGDAVVTINFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPEIERTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYEEIPSDSGITFNVAPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIKATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKSGKPLLDKSGDIQILTSHTLQPVPVAIGGPGLHPGVKFRTDIQTPGLANVAATVMNLHGFEAPADYEPSLIEVAEN >PAN06977 pep chromosome:PHallii_v3.1:1:49306404:49306653:1 gene:PAHAL_1G303100 transcript:PAN06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFSAAYSNCTRKPS >PAN07605 pep chromosome:PHallii_v3.1:1:52364122:52365515:-1 gene:PAHAL_1G348700 transcript:PAN07605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPLVSYCLAVPKPVIVFCKVLSVIRDAFLLMLAVLGLCPFPYDDVSRGPADGPKPEEVKSQLPAMEYGEHVAERLVVASPGGGGHAASRGEEAASPTCIVCLERVEADDEVRRLGNCAHAFHRGCIDRWIDLGRATCPLCRSDLLPRPRARARLGRLASLLTRVW >PAN08642 pep chromosome:PHallii_v3.1:1:57758499:57762549:-1 gene:PAHAL_1G427000 transcript:PAN08642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADWKEERSAMEDGDIEDCLDDDKAEAEAEAGSLDSQRWPRSFREATDTFTIAAPPGFGHLGDGRGLGSDLTLPLLSDKPDGKQDSSVKNLLCDGKLSDNLHQAATAPISQGCSLTQTVFNGVNVLAGIGIFSAPYTISEAGWASLAVLAFFAIVCCYTGVLLKYCFESKDGVKTFPDIGEAAFGRIGRLLISIVLYTELYSFCVEFINLEGDNLASIFTSTTFDWHGIHADGRHFFGVLFALVVLPSVWLRDLRVISYISAGGVFATLVVFLSVGLVGATGSVGFHMTGKAVKWDGIPFAIGICGFCYAGHSVFPNIYQSMSDRTKFNKALYICFAICTAIYGAIAVLGYLMFGDKTLSQITLNLPKDSFASKVALWTTVIIPFTKYSLVINPLARSIEELRPAGFLTDRIFSITLRTALVASTVCIAFLLPFFGLVMALIGSLLSILVALIMPSLCFLKIARNKATRSQVIASMVTVMLGAVCAVLGTYNSIAKIAENY >PVH67093 pep chromosome:PHallii_v3.1:1:57758498:57762549:-1 gene:PAHAL_1G427000 transcript:PVH67093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADWKEERSAMEDGDIEDCLDDDKAEAEAEAGSLDSQRWPRSFREATDTFTIAAPPGFGHLGDGRGLGSDLTLPLLSDKPDGKQDSSVKNLLCDGKLSDNLHQAATAPISQGCSLTQTVFNGVNVLAGIGIFSAPYTISEAGWASLAVLAFFAIVCCYTGVLLKYCFESKDGVKTFPDIGEAAFGRIGRLLISIVLYTELYSFCVEFINLEGDNLASIFTSTTFDWHGIHADGRHFFGVLFALVVLPSVWLRDLRVISYISAGGVFATLVVFLSVGLVGATGSVGFHMTGKAVKWDGIPFAIGICGFCYAGHSVFPNIYQSMSDRTKFNKALYICFAICTAIYGAIAVLGYLMFGDKTLSQITLNLPKDSFASKVALWTTIFFGDKPIGSEHRRVASSRVSDRQNLLYYAEDCPCCINCMHCFSPAILWSCDGSHWISP >PVH65885 pep chromosome:PHallii_v3.1:1:7103308:7104601:-1 gene:PAHAL_1G097700 transcript:PVH65885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAILAGIPQSLRPAVLIASTCALLLLATALLLPRAPPAPPLTTGPASDSDAAAAVRLDARVTRRSGNEVLWQLPHPSTPLRASLFAAPGCTIRATDFFDASPGCPRCAGLPEERRFTRAALSRGYAVLAVSSRAECWSLDAGGGEEGSELAAVESIIKWWTTEEFPQLAGLPIVGIGASSGGYFLSALAARVRFSSVVVMIAEGVYGAMADIPAGYPPALFVHMPKDAERAGLVADSLGKLKAKRVDVREIRCDEFAVSAEFLAERVPGLTRAVADALVDVLRRKLFVDEKGFLKKDGRSTPWKKAAEEAKVLPEGFNLERHVNEELNVAYAYHEFTSLKNTEIFEWFESHILGLKPEGMPR >PAN04826 pep chromosome:PHallii_v3.1:1:6705895:6711399:1 gene:PAHAL_1G094100 transcript:PAN04826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVGVGRAAPRSSPKRYAGTDPPLSLAGPTMADLQRTAELEKFLVEAGLYEGKEESAKREEVLSEIGQIVKEWVKQLTRKKGYAEQLVERANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFVTLNGILAEKEEVTELQPVPEAHVPVLKFKFRGISIDLLYASLSLSVIPADFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAENFRTTLKCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDLGFSIWDPRKNPRDRNHLMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIDLNKASWNALFEPFHFFEAYRKFLVVDIVADDDDDLRLWKGWVESRLRQLTLKIERDTKGILQCHPYPCEYSDPAIECAHCAFYMGLSRKEGLKKRGQQFDIHGTVDEFMREIGMYSLWKPGMDLAVTHVRREQVPSYVFEQGYKKPSPTMHANQQEQSDGDGTLSPDLEGQLKRKYDSDGDGLVELLKSVKRASVSPPGDETPPNHGNSVSKVICDSPVKLVSSALCSGAQTSPSHDDVTFEPTALTSSPHGSEDTSASGTSCAAVGAVVLADESSKLVNLTCDVEVDTVQTMEVHTTSECVAQKDGTKLEGIRSLASRNPAEFLEREVLAENMHLSGDEVI >PAN07677 pep chromosome:PHallii_v3.1:1:52729141:52734033:1 gene:PAHAL_1G354600 transcript:PAN07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >PAN05589 pep chromosome:PHallii_v3.1:1:22687080:22687667:1 gene:PAHAL_1G169500 transcript:PAN05589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKTVAAWFPHRITCVSHASSRCLRPRAKSTLPPAVPPISGISTSADCGDLLPSVAPAVRPAAADASPWEGEASHVPCH >PAN08402 pep chromosome:PHallii_v3.1:1:56496848:56501485:-1 gene:PAHAL_1G410700 transcript:PAN08402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADEGDAAGGFYSDFMVLRPDKGGLYDFFHLLFSCKVAENAAVDCPAGTEIADWRRRWAVFVSLVAQVLLLWAKKPVALLGRVTEYWMNLLDENGGGVLVLVVRALQGKLKFPDRSSPTYRSCVGLLDTRVELNKEIKHGDSNYHAALSIMAAKLAYENELVIKNVVEKHWKMKLLACYNCWNDFQGDYTTQAFVLADKAADASLAVVAFSGTRPFDTEQWCADVDFSWYEIPGLGKIHGGFMKALGLQRHGGGGGWPKDLADQGARRPFAYYAIRETLRSFLSGNASARFVVAGHSLGGALAVLFPAILALHREEGVLARLEGVYTFGQPRVGDERLGRFMARYLDKPSRYFRFVYCNDIVPRVPYDDSALQFRHFGTCLYFDSLYQGRVTHEEPNKNYFSLLTVAPKVVNAAWELVRSFLIGYIAGPEYAEGWLMRLARVAGLLLPGLPPHSPRDYVNSTRLGAHSLGPLS >PAN07705 pep chromosome:PHallii_v3.1:1:52884461:52888290:1 gene:PAHAL_1G356400 transcript:PAN07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGASSSSSPPPAEAGDGYWAAREEAASRLEAMAAREDELSAEQLETNNQLQEDEIFVWYPVPNGSKVLLSLRPNRTMVGTNNDGSQDVGELFYTCSLKHLPPVVLTCLLPWSYLSTCAPYFTISAKWLDEPKFSYLCAMFDEIWTELLGQEVVYRWVDWLNSSSWSCISLNNSIILVPDATSDVVDERAIAREVLVGSTIPLMQDYDEKRSQEIFLKSLHECGICLSENTAVEGSIKQWILCRNMQNMVSFQYFRHLKLEPYLLPCHHLFCMKCMESRCTIHVKEGNLTMLTCPDTTCRSPLPPSILKSLLGDDCYMRWESFALQKLLDTMPDLVYCPRCDAACLEVDNDAQCQECSFTFCSLCKEQHHVGKDCVSPAEKISILRERHQKYSMTEKQLLKEQREIDELLNVIEMLRNSKQCPSCKMAISKTAGCNKMTCRNCGKFFCYRCNQAISGYNHFWYGDCVLFEDTNQGRSFGLYEEPDNDDDSDDDEDQEELEPELPWLYPCPICGRQNEKSRATSINIFFVSRNTRSIYIHVSPMLLKKYLCHYCALCRKRVVKSSEHYGPRGCQQHTDP >PAN03996 pep chromosome:PHallii_v3.1:1:2334053:2336071:-1 gene:PAHAL_1G033400 transcript:PAN03996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGETEATAAAARGPPPHVALLSSPGMGHVAPLAELARRLHDAHGFTVTVLTYASSDSAAQRAFLASLPPAVGAASLPAVPLGDLPAGAAIETLLSVEAQRSVPALTAVLSGLKSTTNLVAFVADLFGADTLRAARDAGVPGYLFFPSNLLMLSLMLHLPRLDAEDAAEFRDLPGPVRLPGCVPVPGADILQPLQDRASDAYRWMVHHGERYRDAAGILVNTFDAVEPGAAAVLRQPEPWRPPVYPIGPVTRQATDGAAADATGCIDWLDAQPERSVLFVSFGSGGALSTAQTRELARGLELSGHRFLWVVRSPSDGGANPGESYYDGSRSKDDPRRFLPPGFAERTKALGHVVPSWAPQTRVLAHRATKAMLTHCGWNSVLESLAAGVPMIAWPLYAEQRENAVMLCEETKVALRPEVGGSDGCLILAGDIAEVVKEMMDGEKGEAARAKVAELKAAAASGLEPGGASYETLAKVVSDWKAASGSS >PAN06595 pep chromosome:PHallii_v3.1:1:47536842:47539840:-1 gene:PAHAL_1G274700 transcript:PAN06595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNNLLAAWPVVGPGVAGAVFGAGWWFWVDAVVCSAAAVPFIHYLPGFFASFAALMFNCVNREDVGDGYYSPYDDSEWRVKLWLFISYVVSFVSLAGAVGFLVQDALTDTGPSAWTGTAGVIQCVCVLVSGLIYWTCHSED >PAN04957 pep chromosome:PHallii_v3.1:1:7740497:7744234:1 gene:PAHAL_1G104700 transcript:PAN04957 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29990 [Source:Projected from Arabidopsis thaliana (AT1G29990) UniProtKB/TrEMBL;Acc:Q2HIK4] MASSSTPAAVREMQKDLEVQANALSKIQKDIAKNHQVRKQYTIQVGENELVLKELELLNDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEDKQNSKKESIFKLQQRMQAVQAKA >PAN07064 pep chromosome:PHallii_v3.1:1:49806761:49809909:-1 gene:PAHAL_1G308600 transcript:PAN07064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGRRRRGTSSIAPSPTSSSSPSGRPEPRPSQLPQWKFSQVLGDLPPAAAAGRGNGPAALQDAADEISAIEFDARGEYLAAGDHAGRVILFRRADEDEARPQARPRAELERADRAGVPPPPRYSFATEFQSHEPEFDVLHSLEIGEKIKRVRWCARPNRSLCMLATNDRTVKLWKVSEHKAPKKGDGEPRRRSTSTAASLPLREPRSERATTKPRGSSADSAELIEKVGDVGDGYSAKCRRVFDRAHEFNINSISNNCDGETFVSADDLRINLWHLEVTSQCFNIVDLKPADMQDLVEVITTAEFHPSSCSLLAYGSSSGRVRLVDLRRSALCDQSVRTFRDREGRPQPSTFFTEIISCITDLKFTGEGRYLLTRDYMNLKLWDMRVGTSPVATYKVHEFLRPKLSELYTEDYIFDRFSCCASKDGSYFATGSYSNTFKVFSRTAVQTTGTTLEASANPYRVQPQTSAKIPALLSNFSLGVSRKGQDSLRSDGKEEIPCNLASRVTHLAWHPTENFIVCAANNSLYMYHT >PAN03677 pep chromosome:PHallii_v3.1:1:1217662:1221801:1 gene:PAHAL_1G014800 transcript:PAN03677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRAAALLHRMLLVAAVAAASVAAGAKVPAMYVFGDSTADVGNNDYLPWSIARADFPHNGVDFPGGKPTGRFCNGLIGVDFIAIAMGANAANSSSEATRNMTMAAAAAYTTGANFASGGSGLLDSTGSTISMMQQIEYFSDLKDQMSTRLGTARVSAWALLSKSIFLVSAGANDAFDFFSQNRSPDSTAIQQFSEAMISTYDSHVKTLYNLGARKFAVINVPLIGCYPYLRSQNPSGECVDALNQLAKSLNDGIRELFSNLSSEMQGMKYSISSAYELVSSLIKNPHAAGLEEVKSACCGGGRFNAERGCIPNSNCCSDRSKYLFWDLVHPTQATYKFAGLVFYDGPAQFVSPISIKQLIEA >PAN07394 pep chromosome:PHallii_v3.1:1:51304080:51307314:-1 gene:PAHAL_1G332400 transcript:PAN07394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAVATAAGASTAVLAPRPAPTSALLRRTQVTPRRHPALKCRRAGPLTPKAAAAAAASGGSSPSSAVFHGEAFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFAGLPSAAYPTPFVAPGEESSRYAVIIGGANFGCGSSREHAPVALGAAGARAVVAESYARIFFRNSVATGEVYPLELTDAGAWKECKTGDVVTVDLGNSVFINHTSSKEYKLKPIGDAGPVIEAGGIFAYARKTGMIASKAAA >PAN05769 pep chromosome:PHallii_v3.1:1:24130220:24178685:1 gene:PAHAL_1G174300 transcript:PAN05769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELVLSVELHRLAIYHDSDSKPWKLSKKWEDLNPTEWREIFQDGIDDHSVNSIWAVNRNYLVSPINGTLKYKRLGKNERGDPDTPVEKASLALSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKEDRRAWWRYAMLAGLRQKKLCYWFSWERTRHLCQLRRRYVQLYATLLQQAPNVDISEIREIEKILDTKVIILWRLLGHAKVETVKSKGTLLRKGASKKRWWPFGWNSAGLPSEEERQLDQEEQLTKEEWQAINKLLSYQTDEDLSFPVEKVSPNAIRFMMDVSIGQAAARIINIDQTEVLCGRFEQLQVVTKLYPKSTRCDVTLKYCGLSSPEGSLAQSVVSEGKNNALDVSFVRAPIGMDLDWQLIAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVTMETATALQIKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANQPTVGNEYFVLDFGHFTLHTRDGTCDEERQSLYSRFYISGRDMAAFFICDLAQEIYSIPENLGQDILPGHTSDDNQFSSLLDRCGMSVIIDQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYDKIVELLGVLNHLKGGNNEVSDSHKSGSLLPWYPADLAADARTLVWRGLGYSQAEWHTCYIVLSGMYLYILESELSQNYQRCCSMASRQVFEVPPTSVGGSLYSIGVCSRGADMKKALESINTLVIEFHNEIEKANWTKALVQATYRASAPPDINILGDPVSPPESSTPRLSSLGSVDLVVNGSVIETKLSMYGKLDRKSKDPQELLMLELLGYGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSKYLVCSVIDESVEDTCSGTPDKEGDLNTFSVDEDSFMDALTDFTSDQNCNLHDNEIPNLVSDANDHTETNSMDGLWLEEDEQKVNPTEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLSTVNSVPRNSPDMAPAAQIVKPSDKEDGASTIVKGLLGYGKRRTIFNIKMDVDRVSMFLNKEDGSQFAMFVQEKFLFDLKVHPGSFSIDVMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFTFQSYSVDDEDFEGHNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDYIQFDLGQLKVRNEFSWHGGEETDPSAVRLDVLHAEINGINMAVGLNGTLGKCMIRDGHGINVEVRRSLRDIFRKVPILCMKVQIGLLHAVMSDKEYNVITNCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLSNHPVLSRTVVVMTVDVQYALLDLRNGPDAGSPLAKLALEGLWVSYRTTSMLEMDLYLSILKFSIHDIRPDTKSEMRLMLGSYLETANLCAEDSLTNVGVSNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEYFVPSLGTITGREESLDPKNDPLMRSDDVILSKHVFLQRENVIQLSPGRQLIVDGRDIDEFIYDGCGGTISLCEEFDNKGQLYSGTIIIIGRGKRLRFKNVKIENGALLRRCVYLNTGSSYSIAAEDGVEVSVLESSFGNDDEDLLTLEEHNKRTLQNGNDAPANQILNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEADSGLLILEPVDVSWKYTSVNEKTNIVLTSTDVCIHLSLSVASLMLKLQNQTLAALQFGNISPLISCTNFNRVWSSPQGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVAVSNTYGRVRKPLGFRLLHVLPGSLDLIDSPQSTEENECSIWIPVPPPGYLALGCVVNIGRLPPSNHVVYCLRSDLVTSATFSDCIHTISSAAGRIISGFSIWRVDNVIASFYAHASTEQPTRTEALDLHHVLLRNPNCYIVKDLNADSSVENDQSSDQLTHHLKSTSGWDVLRTLSRPSSYCVSTPHFERIWWDKGSDTKKPFSIWRPLPRFGFASVGDCVTEGFEPPTLGILFKCDTAVSERPVQFTRVAQIDRKGLDEIFFWYPVPPPGYASLGCIVTKTDEMPSKDSICCPKSSLVSQANIAEDPITRSSSSKGPNCWSIWKIENQGCTFLARPDVKKPSARLAYRIADHAKPKARENITAELKLGCLSVSILDSSCGMVTPLFDTTIANINLATHGRFETMNAVLICSIAASTFNRHLEAWEPLIEPFDGIFKFETYDTSEHPPSKVGKRIRVAATSPLNANLSSANLELLIETLVSWRRQIDLEKDSSMKNGDTVGDMKKAEDSSCSALNEEDYQRVVFENKLGCDVYLKKLEDTENIIELLQHESKVSLLMPPPRFSDKLNVLSNSTESRYYVVIQIFESKGLPIIDDGNGHSYFCALRLLIGSNASDQHKVFPQSARTRCVKPVRTSELRTHYAKWNEHFIFEVPEQASANLEIEVTNLASKTGKGEVLGSLSIPIGRGATMLKRAPSMRILQQASDVKRVLTCPLTKKGQVPNYEDRKNCGVLVLSSCYVERPTHSNFQSLKDSVTHADSNFWIGLSPDGPWESFTSALPVTVLPKSLNNNHFAFEVSMRNGKKHATLRGLAVIANDADIKLEVSLCPVNKLNCSVLNTRSVSSTNAIDEVFENQWYRPIMGWGPNPSSDHANYLKQWSTRNCSYSSKVFFEPDLPSGWRWTSPWKIEKSNFVDNDGWAYSAVFQNLNWPSSSWRSSKSPHDFVRRRRWVRSRQKLQEQGAEIPRKILATVSPHSSTALPWTAMIRDMDLCLQVRPYSEKSEEPYSWSQVCSLGSESVPKQQQQQQSSLSRPSTLSQSTASSGNSFLKLAELEKKDVLSYCHPPVGNERYFWFSVGIDASVVHTDLNVPVFDWRISFNSILRLENKLPYEAEYAIWEVSTKGNMVERQHGMVPSGGSVFIYSADIRKPIYLTLFLQNGWILEKDAVLIMDLLSMEHVSSFWMVQKQSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNHSSIPLSYRIVEGEPTESSDADSLSRPESLSRVAKSSKFSLKYSSKSLVRRGTMSRNMQVLEVIEDCGTNYVMLSPQDYLNRSAGMRSESRDNNFSPARVAISVAVGSCTPYSIGVSLFELENKEHVDIKAYASDGSYYWFSAQLKMASDRTKVVNFLPQALLINRIGRNIFLSEYHNETEEPLQPYEPPKVFQWRSEFGCELLKLRLEGYKWSTPFSINANGVMCVLMNSITGNDQTFVRVNVRSGTKSSRYEVVFQLDCWSSPYRVENRSMFLPIRFRQVGGDDYSWRSLPPNSSASFFWEDLSRKRLLEVLVDGTDPMNSMTYDIDVVMDHQPLTNSSALKKALRVTVLKEGKLHVTQISDWLPDNRNRAQIIERILSPIFQPSEVDYGQSSPDLDSEFHVTLELMELGISVIDHMPEEVLYLSVQQLLVAYSSGMGSGVNRLKMRMHWFQIDNQLPFVPMPVLFCPQKLENQSEYVFKFSMTVQTNNSLDFCVYPYVGVQVPESCVFFVNIHEPIIWRLHEMIQHLKFDRIYSSQPSAVSVDPILKISLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMNSALSNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEHKATGQAILHLAECATFLGQIDIFKIRGKFASTDAYEDHFVLPKGKIFLVTHRRVLLLQLPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDPPGSLPSKLILYLKAKPSNSKEVVRLVKCNRGTDQATIIYSAIDKAYRSYGPNSIKELLRWKVPRPYAPRNNRGRSSQDLTFG >PAN05387 pep chromosome:PHallii_v3.1:1:24120274:24178685:1 gene:PAHAL_1G174300 transcript:PAN05387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLRDLKLKAEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRNSKGGPVPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSISNSGHPFASGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSKPWKLSKKWEDLNPTEWREIFQDGIDDHSVNSIWAVNRNYLVSPINGTLKYKRLGKNERGDPDTPVEKASLALSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKEDRRAWWRYAMLAGLRQKKLCYWFSWERTRHLCQLRRRYVQLYATLLQQAPNVDISEIREIEKILDTKVIILWRLLGHAKVETVKSKGTLLRKGASKKRWWPFGWNSAGLPSEEERQLDQEEQLTKEEWQAINKLLSYQTDEDLSFPVEKVSPNAIRFMMDVSIGQAAARIINIDQTEVLCGRFEQLQVVTKLYPKSTRCDVTLKYCGLSSPEGSLAQSVVSEGKNNALDVSFVRAPIGMDLDWQLIAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVTMETATALQIKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANQPTVGNEYFVLDFGHFTLHTRDGTCDEERQSLYSRFYISGRDMAAFFICDLAQEIYSIPENLGQDILPGHTSDDNQFSSLLDRCGMSVIIDQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYDKIVELLGVLNHLKGGNNEVSDSHKSGSLLPWYPADLAADARTLVWRGLGYSQAEWHTCYIVLSGMYLYILESELSQNYQRCCSMASRQVFEVPPTSVGGSLYSIGVCSRGADMKKALESINTLVIEFHNEIEKANWTKALVQATYRASAPPDINILGDPVSPPESSTPRLSSLGSVDLVVNGSVIETKLSMYGKLDRKSKDPQELLMLELLGYGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSKYLVCSVIDESVEDTCSGTPDKEGDLNTFSVDEDSFMDALTDFTSDQNCNLHDNEIPNLVSDANDHTETNSMDGLWLEEDEQKVNPTEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLSTVNSVPRNSPDMAPAAQIVKPSDKEDGASTIVKGLLGYGKRRTIFNIKMDVDRVSMFLNKEDGSQFAMFVQEKFLFDLKVHPGSFSIDVMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFTFQSYSVDDEDFEGHNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDYIQFDLGQLKVRNEFSWHGGEETDPSAVRLDVLHAEINGINMAVGLNGTLGKCMIRDGHGINVEVRRSLRDIFRKVPILCMKVQIGLLHAVMSDKEYNVITNCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLSNHPVLSRTVVVMTVDVQYALLDLRNGPDAGSPLAKLALEGLWVSYRTTSMLEMDLYLSILKFSIHDIRPDTKSEMRLMLGSYLETANLCAEDSLTNVGVSNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEYFVPSLGTITGREESLDPKNDPLMRSDDVILSKHVFLQRENVIQLSPGRQLIVDGRDIDEFIYDGCGGTISLCEEFDNKGQLYSGTIIIIGRGKRLRFKNVKIENGALLRRCVYLNTGSSYSIAAEDGVEVSVLESSFGNDDEDLLTLEEHNKRTLQNGNDAPANQILNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEADSGLLILEPVDVSWKYTSVNEKTNIVLTSTDVCIHLSLSVASLMLKLQNQTLAALQFGNISPLISCTNFNRVWSSPQGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVAVSNTYGRVRKPLGFRLLHVLPGSLDLIDSPQSTEENECSIWIPVPPPGYLALGCVVNIGRLPPSNHVVYCLRSDLVTSATFSDCIHTISSAAGIISGFSIWRVDNVIASFYAHASTEQPTRTEALDLHHVLLRNPNCYIVKDLNADSSVENDQSSDQLTHHLKSTSGWDVLRTLSRPSSYCVSTPHFERIWWDKGSDTKKPFSIWRPLPRFGFASVGDCVTEGFEPPTLGILFKCDTAVSERPVQFTRVAQIDRKGLDEIFFWYPVPPPGYASLGCIVTKTDEMPSKDSICCPKSSLVSQANIAEDPITRSSSSKGPNCWSIWKIENQGCTFLARPDVKKPSARLAYRIADHAKPKARENITAELKLGCLSVSILDSSCGMVTPLFDTTIANINLATHGRFETMNAVLICSIAASTFNRHLEAWEPLIEPFDGIFKFETYDTSEHPPSKVGKRIRVAATSPLNANLSSANLELLIETLVSWRRQIDLEKDSSMKNGDTVGDMKKAEDSSCSALNEEDYQRVVFENKLGCDVYLKKLEDTENIIELLQHESKVSLLMPPPRFSDKLNVLSNSTESRYYVVIQIFESKGLPIIDDGNGHSYFCALRLLIGSNASDQHKVFPQSARTRCVKPVRTSELRTHYAKWNEHFIFEVPEQASANLEIEVTNLASKTGKGEVLGSLSIPIGRGATMLKRAPSMRILQQASDVKRVLTCPLTKKGQVPNYEDRKNCGVLVLSSCYVERPTHSNFQSLKDSVTHADSNFWIGLSPDGPWESFTSALPVTVLPKSLNNNHFAFEVSMRNGKKHATLRGLAVIANDADIKLEVSLCPVNKLNCSVLNTRSVSSTNAIDEVFENQWYRPIMGWGPNPSSDHANYLKQWSTRNCSYSSKVFFEPDLPSGWRWTSPWKIEKSNFVDNDGWAYSAVFQNLNWPSSSWRSSKSPHDFVRRRRWVRSRQKLQEQGAEIPRKILATVSPHSSTALPWTAMIRDMDLCLQVRPYSEKSEEPYSWSQVCSLGSESVPKQQQQQQSSLSRPSTLSQSTASSGNSFLKLAELEKKDVLSYCHPPVGNERYFWFSVGIDASVVHTDLNVPVFDWRISFNSILRLENKLPYEAEYAIWEVSTKGNMVERQHGMVPSGGSVFIYSADIRKPIYLTLFLQNGWILEKDAVLIMDLLSMEHVSSFWMVQKQSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNHSSIPLSYRIVEGEPTESSDADSLSRPESLSRVAKSSKFSLKYSSKSLVRRGTMSRNMQVLEVIEDCGTNYVMLSPQDYLNRSAGMRSESRDNNFSPARVAISVAVGSCTPYSIGVSLFELENKEHVDIKAYASDGSYYWFSAQLKMASDRTKVVNFLPQALLINRIGRNIFLSEYHNETEEPLQPYEPPKVFQWRSEFGCELLKLRLEGYKWSTPFSINANGVMCVLMNSITGNDQTFVRVNVRSGTKSSRYEVVFQLDCWSSPYRVENRSMFLPIRFRQVGGDDYSWRSLPPNSSASFFWEDLSRKRLLEVLVDGTDPMNSMTYDIDVVMDHQPLTNSSALKKALRVTVLKEGKLHVTQISDWLPDNRNRAQIIERILSPIFQPSEVDYGQSSPDLDSEFHVTLELMELGISVIDHMPEEVLYLSVQQLLVAYSSGMGSGVNRLKMRMHWFQIDNQLPFVPMPVLFCPQKLENQSEYVFKFSMTVQTNNSLDFCVYPYVGVQVPESCVFFVNIHEPIIWRLHEMIQHLKFDRIYSSQPSAVSVDPILKISLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMNSALSNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEHKATGQAILHLAECATFLGQIDIFKIRGKFASTDAYEDHFVLPKGKIFLVTHRRVLLLQLPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDPPGSLPSKLILYLKAKPSNSKEVVRLVKCNRGTDQATIIYSAIDKAYRSYGPNSIKELLRWKVPRPYAPRNNRGRSSQDLTFG >PAN05389 pep chromosome:PHallii_v3.1:1:24130220:24178685:1 gene:PAHAL_1G174300 transcript:PAN05389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELVLSVELHRLAIYHDSDSKPWKLSKKWEDLNPTEWREIFQDGIDDHSVNSIWAVNRNYLVSPINGTLKYKRLGKNERGDPDTPVEKASLALSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKEDRRAWWRYAMLAGLRQKKLCYWFSWERTRHLCQLRRRYVQLYATLLQQAPNVDISEIREIEKILDTKVIILWRLLGHAKVETVKSKGTLLRKGASKKRWWPFGWNSAGLPSEEERQLDQEEQLTKEEWQAINKLLSYQTDEDLSFPVEKVSPNAIRFMMDVSIGQAAARIINIDQTEVLCGRFEQLQVVTKLYPKSTRCDVTLKYCGLSSPEGSLAQSVVSEGKNNALDVSFVRAPIGMDLDWQLIAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVTMETATALQIKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANQPTVGNEYFVLDFGHFTLHTRDGTCDEERQSLYSRFYISGRDMAAFFICDLAQEIYSIPENLGQDILPGHTSDDNQFSSLLDRCGMSVIIDQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYDKIVELLGVLNHLKGGNNEVSDSHKSGSLLPWYPADLAADARTLVWRGLGYSQAEWHTCYIVLSGMYLYILESELSQNYQRCCSMASRQVFEVPPTSVGGSLYSIGVCSRGADMKKALESINTLVIEFHNEIEKANWTKALVQATYRASAPPDINILGDPVSPPESSTPRLSSLGSVDLVVNGSVIETKLSMYGKLDRKSKDPQELLMLELLGYGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSKYLVCSVIDESVEDTCSGTPDKEGDLNTFSVDEDSFMDALTDFTSDQNCNLHDNEIPNLVSDANDHTETNSMDGLWLEEDEQKVNPTEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLSTVNSVPRNSPDMAPAAQIVKPSDKEDGASTIVKGLLGYGKRRTIFNIKMDVDRVSMFLNKEDGSQFAMFVQEKFLFDLKVHPGSFSIDVMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFTFQSYSVDDEDFEGHNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDYIQFDLGQLKVRNEFSWHGGEETDPSAVRLDVLHAEINGINMAVGLNGTLGKCMIRDGHGINVEVRRSLRDIFRKVPILCMKVQIGLLHAVMSDKEYNVITNCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLSNHPVLSRTVVVMTVDVQYALLDLRNGPDAGSPLAKLALEGLWVSYRTTSMLEMDLYLSILKFSIHDIRPDTKSEMRLMLGSYLETANLCAEDSLTNVGVSNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEYFVPSLGTITGREESLDPKNDPLMRSDDVILSKHVFLQRENVIQLSPGRQLIVDGRDIDEFIYDGCGGTISLCEEFDNKGQLYSGTIIIIGRGKRLRFKNVKIENGALLRRCVYLNTGSSYSIAAEDGVEVSVLESSFGNDDEDLLTLEEHNKRTLQNGNDAPANQILNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEADSGLLILEPVDVSWKYTSVNEKTNIVLTSTDVCIHLSLSVASLMLKLQNQTLAALQFGNISPLISCTNFNRVWSSPQGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVAVSNTYGRVRKPLGFRLLHVLPGSLDLIDSPQSTEENECSIWIPVPPPGYLALGCVVNIGRLPPSNHVVYCLRSDLVTSATFSDCIHTISSAAGIISGFSIWRVDNVIASFYAHASTEQPTRTEALDLHHVLLRNPNCYIVKDLNADSSVENDQSSDQLTHHLKSTSGWDVLRTLSRPSSYCVSTPHFERIWWDKGSDTKKPFSIWRPLPRFGFASVGDCVTEGFEPPTLGILFKCDTAVSERPVQFTRVAQIDRKGLDEIFFWYPVPPPGYASLGCIVTKTDEMPSKDSICCPKSSLVSQANIAEDPITRSSSSKGPNCWSIWKIENQGCTFLARPDVKKPSARLAYRIADHAKPKARENITAELKLGCLSVSILDSSCGMVTPLFDTTIANINLATHGRFETMNAVLICSIAASTFNRHLEAWEPLIEPFDGIFKFETYDTSEHPPSKVGKRIRVAATSPLNANLSSANLELLIETLVSWRRQIDLEKDSSMKNGDTVGDMKKAEDSSCSALNEEDYQRVVFENKLGCDVYLKKLEDTENIIELLQHESKVSLLMPPPRFSDKLNVLSNSTESRYYVVIQIFESKGLPIIDDGNGHSYFCALRLLIGSNASDQHKVFPQSARTRCVKPVRTSELRTHYAKWNEHFIFEVPEQASANLEIEVTNLASKTGKGEVLGSLSIPIGRGATMLKRAPSMRILQQASDVKRVLTCPLTKKGQVPNYEDRKNCGVLVLSSCYVERPTHSNFQSLKDSVTHADSNFWIGLSPDGPWESFTSALPVTVLPKSLNNNHFAFEVSMRNGKKHATLRGLAVIANDADIKLEVSLCPVNKLNCSVLNTRSVSSTNAIDEVFENQWYRPIMGWGPNPSSDHANYLKQWSTRNCSYSSKVFFEPDLPSGWRWTSPWKIEKSNFVDNDGWAYSAVFQNLNWPSSSWRSSKSPHDFVRRRRWVRSRQKLQEQGAEIPRKILATVSPHSSTALPWTAMIRDMDLCLQVRPYSEKSEEPYSWSQVCSLGSESVPKQQQQQQSSLSRPSTLSQSTASSGNSFLKLAELEKKDVLSYCHPPVGNERYFWFSVGIDASVVHTDLNVPVFDWRISFNSILRLENKLPYEAEYAIWEVSTKGNMVERQHGMVPSGGSVFIYSADIRKPIYLTLFLQNGWILEKDAVLIMDLLSMEHVSSFWMVQKQSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNHSSIPLSYRIVEGEPTESSDADSLSRPESLSRVAKSSKFSLKYSSKSLVRRGTMSRNMQVLEVIEDCGTNYVMLSPQDYLNRSAGMRSESRDNNFSPARVAISVAVGSCTPYSIGVSLFELENKEHVDIKAYASDGSYYWFSAQLKMASDRTKVVNFLPQALLINRIGRNIFLSEYHNETEEPLQPYEPPKVFQWRSEFGCELLKLRLEGYKWSTPFSINANGVMCVLMNSITGNDQTFVRVNVRSGTKSSRYEVVFQLDCWSSPYRVENRSMFLPIRFRQVGGDDYSWRSLPPNSSASFFWEDLSRKRLLEVLVDGTDPMNSMTYDIDVVMDHQPLTNSSALKKALRVTVLKEGKLHVTQISDWLPDNRNRAQIIERILSPIFQPSEVDYGQSSPDLDSEFHVTLELMELGISVIDHMPEEVLYLSVQQLLVAYSSGMGSGVNRLKMRMHWFQIDNQLPFVPMPVLFCPQKLENQSEYVFKFSMTVQTNNSLDFCVYPYVGVQVPESCVFFVNIHEPIIWRLHEMIQHLKFDRIYSSQPSAVSVDPILKISLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMNSALSNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEHKATGQAILHLAECATFLGQIDIFKIRGKFASTDAYEDHFVLPKGKIFLVTHRRVLLLQLPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDPPGSLPSKLILYLKAKPSNSKEVVRLVKCNRGTDQATIIYSAIDKAYRSYGPNSIKELLRWKVPRPYAPRNNRGRSSQDLTFG >PAN05388 pep chromosome:PHallii_v3.1:1:24120274:24178685:1 gene:PAHAL_1G174300 transcript:PAN05388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLRDLKLKAEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRNSKGGPVPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSISNSGHPFASGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSKPWKLSKKWEDLNPTEWREIFQDGIDDHSVNSIWAVNRNYLVSPINGTLKYKRLGKNERGDPDTPVEKASLALSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKEDRRAWWRYAMLAGLRQKKLCYWFSWERTRHLCQLRRRYVQLYATLLQQAPNVDISEIREIEKILDTKVIILWRLLGHAKVETVKSKGTLLRKGASKKRWWPFGWNSAGLPSEEERQLDQEEQLTKEEWQAINKLLSYQTDEDLSFPVEKVSPNAIRFMMDVSIGQAAARIINIDQTEVLCGRFEQLQVVTKLYPKSTRCDVTLKYCGLSSPEGSLAQSVVSEGKNNALDVSFVRAPIGMDLDWQLIAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVTMETATALQIKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANQPTVGNEYFVLDFGHFTLHTRDGTCDEERQSLYSRFYISGRDMAAFFICDLAQEIYSIPENLGQDILPGHTSDDNQFSSLLDRCGMSVIIDQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYDKIVELLGVLNHLKGGNNEVSDSHKSGSLLPWYPADLAADARTLVWRGLGYSQAEWHTCYIVLSGMYLYILESELSQNYQRCCSMASRQVFEVPPTSVGGSLYSIGVCSRGADMKKALESINTLVIEFHNEIEKANWTKALVQATYRASAPPDINILGDPVSPPESSTPRLSSLGSVDLVVNGSVIETKLSMYGKLDRKSKDPQELLMLELLGYGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSKYLVCSVIDESVEDTCSGTPDKEGDLNTFSVDEDSFMDALTDFTSDQNCNLHDNEIPNLVSDANDHTETNSMDGLWLEEDEQKVNPTEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLSTVNSVPRNSPDMAPAAQIVKPSDKEDGASTIVKGLLGYGKRRTIFNIKMDVDRVSMFLNKEDGSQFAMFVQEKFLFDLKVHPGSFSIDVMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFTFQSYSVDDEDFEGHNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDYIQFDLGQLKVRNEFSWHGGEETDPSAVRLDVLHAEINGINMAVGLNGTLGKCMIRDGHGINVEVRRSLRDIFRKVPILCMKVQIGLLHAVMSDKEYNVITNCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLSNHPVLSRTVVVMTVDVQYALLDLRNGPDAGSPLAKLALEGLWVSYRTTSMLEMDLYLSILKFSIHDIRPDTKSEMRLMLGSYLETANLCAEDSLTNVGVSNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEYFVPSLGTITGREESLDPKNDPLMRSDDVILSKHVFLQRENVIQLSPGRQLIVDGRDIDEFIYDGCGGTISLCEEFDNKGQLYSGTIIIIGRGKRLRFKNVKIENGALLRRCVYLNTGSSYSIAAEDGVEVSVLESSFGNDDEDLLTLEEHNKRTLQNGNDAPANQILNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEADSGLLILEPVDVSWKYTSVNEKTNIVLTSTDVCIHLSLSVASLMLKLQNQTLAALQFGNISPLISCTNFNRVWSSPQGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVAVSNTYGRVRKPLGFRLLHVLPGSLDLIDSPQSTEENECSIWIPVPPPGYLALGCVVNIGRLPPSNHVVYCLRSDLVTSATFSDCIHTISSAAGRIISGFSIWRVDNVIASFYAHASTEQPTRTEALDLHHVLLRNPNCYIVKDLNADSSVENDQSSDQLTHHLKSTSGWDVLRTLSRPSSYCVSTPHFERIWWDKGSDTKKPFSIWRPLPRFGFASVGDCVTEGFEPPTLGILFKCDTAVSERPVQFTRVAQIDRKGLDEIFFWYPVPPPGYASLGCIVTKTDEMPSKDSICCPKSSLVSQANIAEDPITRSSSSKGPNCWSIWKIENQGCTFLARPDVKKPSARLAYRIADHAKPKARENITAELKLGCLSVSILDSSCGMVTPLFDTTIANINLATHGRFETMNAVLICSIAASTFNRHLEAWEPLIEPFDGIFKFETYDTSEHPPSKVGKRIRVAATSPLNANLSSANLELLIETLVSWRRQIDLEKDSSMKNGDTVGDMKKAEDSSCSALNEEDYQRVVFENKLGCDVYLKKLEDTENIIELLQHESKVSLLMPPPRFSDKLNVLSNSTESRYYVVIQIFESKGLPIIDDGNGHSYFCALRLLIGSNASDQHKVFPQSARTRCVKPVRTSELRTHYAKWNEHFIFEVPEQASANLEIEVTNLASKTGKGEVLGSLSIPIGRGATMLKRAPSMRILQQASDVKRVLTCPLTKKGQVPNYEDRKNCGVLVLSSCYVERPTHSNFQSLKDSVTHADSNFWIGLSPDGPWESFTSALPVTVLPKSLNNNHFAFEVSMRNGKKHATLRGLAVIANDADIKLEVSLCPVNKLNCSVLNTRSVSSTNAIDEVFENQWYRPIMGWGPNPSSDHANYLKQWSTRNCSYSSKVFFEPDLPSGWRWTSPWKIEKSNFVDNDGWAYSAVFQNLNWPSSSWRSSKSPHDFVRRRRWVRSRQKLQEQGAEIPRKILATVSPHSSTALPWTAMIRDMDLCLQVRPYSEKSEEPYSWSQVCSLGSESVPKQQQQQQSSLSRPSTLSQSTASSGNSFLKLAELEKKDVLSYCHPPVGNERYFWFSVGIDASVVHTDLNVPVFDWRISFNSILRLENKLPYEAEYAIWEVSTKGNMVERQHGMVPSGGSVFIYSADIRKPIYLTLFLQNGWILEKDAVLIMDLLSMEHVSSFWMVQKQSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNHSSIPLSYRIVEGEPTESSDADSLSRPESLSRVAKSSKFSLKYSSKSLVRRGTMSRNMQVLEVIEDCGTNYVMLSPQDYLNRSAGMRSESRDNNFSPARVAISVAVGSCTPYSIGVSLFELENKEHVDIKAYASDGSYYWFSAQLKMASDRTKVVNFLPQALLINRIGRNIFLSEYHNETEEPLQPYEPPKVFQWRSEFGCELLKLRLEGYKWSTPFSINANGVMCVLMNSITGNDQTFVRVNVRSGTKSSRYEVVFQLDCWSSPYRVENRSMFLPIRFRQVGGDDYSWRSLPPNSSASFFWEDLSRKRLLEVLVDGTDPMNSMTYDIDVVMDHQPLTNSSALKKALRVTVLKEGKLHVTQISDWLPDNRNRAQIIERILSPIFQPSEVDYGQSSPDLDSEFHVTLELMELGISVIDHMPEEVLYLSVQQLLVAYSSGMGSGVNRLKMRMHWFQIDNQLPFVPMPVLFCPQKLENQSEYVFKFSMTVQTNNSLDFCVYPYVGVQVPESCVFFVNIHEPIIWRLHEMIQHLKFDRIYSSQPSAVSVDPILKISLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMNSALSNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEHKATGQAILHLAECATFLGQIDIFKIRGKFASTDAYEDHFVLPKGKIFLVTHRRVLLLQLPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDPPGSLPSKLILYLKAKPSNSKEVVRLVKCNRGTDQATIIYSAIDKAYRSYGPNSIKELLRWKVPRPYAPRNNRGRSSQDLTFG >PVH66453 pep chromosome:PHallii_v3.1:1:45522297:45522461:1 gene:PAHAL_1G250600 transcript:PVH66453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMLMSSILEQCPTNKPLRLYRGTYGGFSHLEDMKQEQEETKNTAVRNIAVRL >PAN05750 pep chromosome:PHallii_v3.1:1:11929559:11939434:-1 gene:PAHAL_1G135500 transcript:PAN05750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAPRANQPYYGVDFPNSEPTGQFSNGYNIADFIAKALGFQMSPPAYRSHPTPSPSTMEGFTGVNYAFANAGITESTNAQMTISLKEQVESFAATRARLKALLGGRKPPNNFLSKSLFLIGVGTMDLLPRLIDMYNNTFTVLHGMGARKFGIINIGLIGRLPSVQMSRCSGDASGLNRRAAEFNAALGTILANLATKFHRFRYSLADFYSFSNTNFANPSATDCYFAECQMPGTRQMLLLCQVPGFTNTNNACCSGLCATYYYDIVCSERMEYWFWDDFYTTEKAAKLAAAAFYSGKAFTAPVNIRRLIAMKG >PVH67113 pep chromosome:PHallii_v3.1:1:57997519:57998007:-1 gene:PAHAL_1G430600 transcript:PVH67113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEWPRRRRRRAPRPPRHRQRACFHPSLPLLFSEYSTLSPLPELSLKSEPLPDPPQRPRAANTFFWWCSSSAADGELRAWDTASHRTTSSVWFLAAPLCYGGWFDFWRFDVIAWDCEGLTRGRPGCTRWLLAPDSGDSKIVVPRDECSYPFFLTSSRRSL >PVH67112 pep chromosome:PHallii_v3.1:1:57996635:57998086:-1 gene:PAHAL_1G430600 transcript:PVH67112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEWPRRRRRRAPRPPRHRQRACFHPSLPLLFSEYSTLSPLPELSLKSEPLPDPPQRPRAANTFFWWCSSSAADGELRAWDTASHRTTSSVWFLAAPLCYGGWFDFWRFDVIAWDCEGLTRGRPGCTRWLLAPDSGDSKIVVPRDECSYPFFLTSSRRSL >PAN05351 pep chromosome:PHallii_v3.1:1:30737609:30742894:-1 gene:PAHAL_1G197900 transcript:PAN05351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGEEAVRALGAGFDLTSDFRLRFAKAGAGRRLVELGGETRDVPLPGGAGATLRGVPLDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALYELSGAWLTDARETKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALAWFIKTYGTHIIIEMAIGGQDVICVKQSHSSTVSTADLKLHMEDLGDFLFSDGKGHSPIHRKTKSKVPDVFVRIVQQPNNLHLSSYSETSTKDGLTVTCSKRGGEVYIQNHSKWLQTVPKKPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLQDLQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRFLGPKLHVSTSQVSSSQKPIVGLRLYLEGRKCNRLAIHVQHLSSAPSMLVDSMASSMSEWRESEDTDPGYIEAIQWKNYSCVCTSAVKYNPEWHKRAPGGVFVITGAQLVTKGTWSKKVLHLRLLYTHIPNCTIQRTEWTRAPAASQKGSFLTTISTTLSSPFTQRDAHQHAPRHEPAQLNSGVYPDGPPVPLRSRKLLKFVDMSEVVKGPHDVPGHWLVIAAKLVKEGGKIGLHVKFALLGYDGQQSSQGDSFAS >PAN07830 pep chromosome:PHallii_v3.1:1:53549698:53554688:1 gene:PAHAL_1G366200 transcript:PAN07830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHPATASAPSRCLSGARPRCADHRSPSSLAVAAPPAGLRPCGSRALRVRAEVASGVQGASVVDDGVERPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNQCFLGGLIIRNLSICTSNWRCKETLEEYLIKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRTDDELLEMAKKWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKDQSSATFHVVAYDFGIKHNILRRLTSYGCKITVVPANWPASEVLKMKPDGVLFSNGPGDPAAVPYAVKTVKEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPESLPEGAKVTHINLNDNSCAGLQYPKMKLMSLQYHPESSPGPHDSDTAFSEFIELMKSNRL >PAN07883 pep chromosome:PHallii_v3.1:1:53853068:53858202:-1 gene:PAHAL_1G370200 transcript:PAN07883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARRVGGRIAAARRALAAGVSLPIPVLRITNGLAMISLVLSSCDLLRLCSDRSRPLRFPLGGREFATVLCQLASVVYLLSLFGVPSGSGHPKAPASDDEGGGSKRRARAAADAPAAMHAGVEGGDEEIVAAVVSGTLPSHHLESRLGDCQRAARLRREALRRVTGRGVEGLPFDGMDYQAILGQCCEMPVGYVQVPVGVAGPLLLDGRQYHVPMATTEGCLVASVNRGCRAIAASGGAVSVLLRDAMSRAPVVKLPSAKRAAELKSFMEAAANFETLASVFNRSSRFGRLQGIRCALAGRNLYIRFACSTGDAMGMNMVSKGVENVLGYLQNDFPDMDLISLSGNYCSDKKPTAVNWIEGRGKSVVCEATIKGGVVQSVLKTTVQTLVELNIIKNLAGSAVAGSLGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEAVNDGDDLHISVTMPSIEVGTIGGGTCLTSQASCLNLLGVRGPNRDSPGANAKLLATIVAGSVLAGELSLLAALAAGQLVKSHMKYNRSSKDVASAAS >PAN04252 pep chromosome:PHallii_v3.1:1:3525035:3525675:1 gene:PAHAL_1G052100 transcript:PAN04252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVTAFVASVLLLALVFVSYDAWCLEYPAPDPNACHGYRGLDYCRDECVAVGHGFRGGVCLKNPDGSYGDCLCVKCADQPPAADIH >PAN06936 pep chromosome:PHallii_v3.1:1:49100500:49104990:-1 gene:PAHAL_1G299500 transcript:PAN06936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASNSRDIRTEGGENVRIKYGAASMQGLGPKMEDAYAAAPDLDHTTSFFGVYDGHGGAEVALLCARLFHIELQVHPNYQSNLNNAIRSVFSRMDQVLRQSNTWRELVSPTDGRNWIQHVICPIANPWYCTEETPYIPPQSTGSTASVAVTRGNQVIVGNVGDSHCVASRNGQAIQLSTGHKPYHQNERQRIQAAGGVYDIDNNVVIERGQLAGFSFLEGMLTTSRAIGDFVFKQNNNLPPEEQMVICNPDIHAMEITNDIEFLVMASRGIWLSMTYQDVVDFVREKLESGETDLRVICERLMHRARPIIIDATVILIQFKHDAPDDAEEGEEDIKSDTSDDREIKSASSDEQPPFAPNG >PAN07517 pep chromosome:PHallii_v3.1:1:51894346:51898759:1 gene:PAHAL_1G342300 transcript:PAN07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT3G51390) UniProtKB/Swiss-Prot;Acc:Q7XA86] MFCLPGRPRRDGSLAPLLLPKSSLPTPQSRAAPVTFPSPGPSSEPAPAAAPPSDATASMASSSAAEPGTRLSDRARRSSVGLRFMVLLMHVLFVGAVFVLDPTLGWRIHEEPWYIGVYGVLVLLTLVQYLYTAGSSPGYVIDVMRAGSTMHATFVNTAALSKQSNSRNGNISSPTSRAQLQKLTTMTPTSSWAQMVMDLYPPGSSSRDWTCTYCRVIQPPRTRHCHDCDKCVLQFDHHCIWLGTCIGKKNHCRFWWYIFEETILCIWTAALYIESLHLDVDKDWWKDFVGVILLAVLIFILIFLLLLLGFHTYIALTNQTTYEVARRKRIFYLRGVPERVHPFSKGICGNLYDFCCSSQKGFILEALPPTEELEARAARYTCRDVICCRCC >PAN06246 pep chromosome:PHallii_v3.1:1:45283026:45286482:-1 gene:PAHAL_1G249600 transcript:PAN06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHARYPAHAFPHDPRAIASSPALDTATGASAFLGEQGCGGHQLAAAVAGNTAFSDITCNNNDSGSPGPRKRARVGDVAGAGLTMDLEGQRALLPPVPLSVVPQAFRPVLCSGAASTSGRPAGPAPVSLGVLSHLYSNGVEIDALVRIETERLRAGLQELRRRHAPIMVSAAERAAARRLRAAEADLGRALARNAELNARLRETVAEAQAWQGVARSHEAASAGLRATLDNLLQSPCATEGEGAGADGDAEDARSCCFEQEQGGAEARCRARACRSCGAAGACVLLLPCRHLCLCRGCHVAAEACPCARPPRTPRSTSCSPDD >PVH66217 pep chromosome:PHallii_v3.1:1:25760950:25761675:1 gene:PAHAL_1G180400 transcript:PVH66217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTIDEAWMFWISYGGQKGFEVRKMYTNKRKSDGKVRSCRYVCANEGHRKKDKRDHLTKCPRAETRTDCQVRMGLIMDREKETYKVADLILEHNHMLQLPQTSHLMVSQRKISELQGFEIETADDAGIGPKAAHELACVQVGGSSNLSYTLRDHKNYLRAKRQREMAYGQAGSMLMYFQDKIAENPSFQYALQMDIEEQIANIFWVDAKMLTDYAYFGDVVSFDTTFGTNK >PAN08264 pep chromosome:PHallii_v3.1:1:55704175:55708299:-1 gene:PAHAL_1G399000 transcript:PAN08264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASGMTNGHGKEAALYEEHMSKIGKVRSALGQLSGKSALYCSDASIARYLIARNWDVKKATKMLKKTLKWRSEYKPDEIRWDDISDEAVTGKIYRTDYFDKSGRSILVMRPGCQNTKNANGQVKYLVYCMENAILNLPHGQDQMVWLIDFAGFNLGNLSIHVTKLTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPLLERKTRNKVKFVYSDRPDTMKIFEDLFNMDELECAFGGKNPSTFNINDYAERMREDDKKMPSFWSPENSALTSEPYLMSNQKPRESSSVGAKTEQTESEKREDADTTDTTPEKKEESDTESEKEEETQTESSTVEQKSLPGEGTAPADKSGP >PVH66611 pep chromosome:PHallii_v3.1:1:49038402:49039255:-1 gene:PAHAL_1G298300 transcript:PVH66611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLEHWTSPRSLLTQIFSVSDVPRIRIKIANELMFVPANTWLKRLVISFREEEDE >PAN06978 pep chromosome:PHallii_v3.1:1:49306731:49308278:1 gene:PAHAL_1G303200 transcript:PAN06978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQGAGAAPPPVSAIGFEGYEKRLEISFSEASVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEDFDSYVLSESSLFVYPYKVVIKTCGTTKLLLAIPRMLELAEELSLPLAAVKYSRGTFIFPDAQPSPHKNFADEVAFLNRYFGGLKSGGNAYVIGDPAKPGQNWHIYYATEHPEEPVVTLEMCMTGLDKKKASVFFKTSADGQTSCAKEMTKLSGISDIILEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGLNPSSFAYGDLVKRVLRCFSPSEFSVAVTIFGGRDNAKTWGKKLDADAYACSNMVEQELPSGGLLIYQSFTTTDEAATGSPRSVLHGFVGDRAENGELDAPLCWEADAVDDETEEREVKKMKC >PAN08601 pep chromosome:PHallii_v3.1:1:57513893:57514942:-1 gene:PAHAL_1G423500 transcript:PAN08601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVTGKKALTLAISLLAVLALLLLPCAAARPVPGTATIDGSRSLHLPLRGSLLRGPESVAFDGGGAGPYSGVSDGRVLKWNGLARRWSTYAYGPGYSAKACTASRTRPAEVTESMCGRPLGLRFYYKSGNLYIADAYKGLMRVGPGGGEATVLATMVDGVPLRFTNGVDVDQVTGEVFFTDSSMNYQRSQHERVTATGDSTGRLMKYDPKTNKVTVLQSGITYPNGLAISADRTHLVVALTGPCKLMRYWIKGPKAGTSEPLADLPGYPDNVRADVKGGFWVALHREKMELPFGPDSHLLAVRINADGQVVQVMRGPKSVRPTEVVEREGGKLYMGSVELPYVGVVSE >PAN07145 pep chromosome:PHallii_v3.1:1:50151607:50160298:-1 gene:PAHAL_1G314100 transcript:PAN07145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSKCHLLMRALILTIMAISVVMSAAFIVALVIKDCGFSEFMRIPNTFLVLVVLLSFDFAIYLAFKQSWPVRTSVDEKIYSQVLLENGHDYRVGNKKNAFTDTTETDDHVLGELEDTIKAPGTGLDPVLGSACETTLREQVMVFDEEDETFDVEEISLSKLSQDHLGQTIGKEIPISKDLCVEPTDSVSCHNSFFLSENEANARNLIPPCGQALTSKIHSTKDMLDMIDYLAVEGFANGEIVRNAVSAALSQQVAELISAKRNEKNETLKFYNEYACSMNYGQVQESFSEHDRFTDILDDFWGNLFDLHGKLTDKGKIIRLDLLIGLDVEEKIKNCPCCFDGTSGGLCDRFDLVNLSAMKTPSLFRINNSMCPEQGNCTLPAVVELRRCIMDSFLQEDHTLLKDDEVSYFVMENDQVQYEVPIYEKFYRVAKSIGMKSNCTSDWAPCPLEELNTMTVLVQKCPSQQVAQHASKTNVYFSYNELKGLLLNSFRGCILNISELGKSDWLFQYRDGYDEKLIGLAAEMVIFRDIYPNGLFSPNVVVDSDGKMFNVCTSVLGCGDACMWLPSLVISFGVWCISRILEIMLSEKQPVMRGEYSMVLNRLQGILDPAFLKPVQPVPICPCTDTVPSVRVPDASQRTSAEAVLKKLMEVEAFIFGPKCYGMYCREKEKEDLKMVLNRYKLWFSKVTSQE >PVH66685 pep chromosome:PHallii_v3.1:1:50324377:50332803:1 gene:PAHAL_1G316400 transcript:PVH66685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHLRYFKQGYELLGQMEPFIHQVLTYAQQSKEVAVSEQDKLAKRIQEFRTQEEIANVRMASNVDTSTSGDGIHVVGLQSYKTIEALMQSTANGEVQVIKQGYLFKRPQNTRGEWKRRFFVLDSHGTLYYYGNKGKSQGVASQQTAGEGAGVFGRFRFLNQRASSQGEDSLSCHTIDLRTSTIKVDADENDLRFCFRIISPIKTFTLQAESGADQKDWIQKITGVIASLLNSPFPQQLSYSNIAIESNRSASSVDSLSIEDNKSSEGHDDIFNLLRSIPGNDSCAECRSPDPDWASLNLGILFCIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHALGNDYANSIWEALLPKEDEGMDKSNGAILFIEKPKPSDAFSIKERFIQTKYVDKLLFARDTNQVTNDILEAIRTNDVRAAYRILAIAEVSANMTYDALSKEVHHVQPVTDKMLLDPVSCEIIRDNGKPEGCLQGCSLLHFACQYGHPIMVELLLLFGADINMQDFHGRTPLHHCVQKKNDDLTKHLLKRGARTTIKDGGGLTALERRMELGAITDEELFILFVR >PVH66684 pep chromosome:PHallii_v3.1:1:50320580:50332803:1 gene:PAHAL_1G316400 transcript:PVH66684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTKLEDSPMFRKQVNSLEQLTDELKERCSNLHKGCKRFMGSLDEAYAGDLSFADSLQAFGAGLDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSDRLSQFINMDLNGVKDCRRRLDRAALAYDQAREKFVSVRKGTRAEVVTELEEDLQNAKSTFERCRFNLVHALANVEAKKKYEFLESISAVMDAHLRYFKQGYELLGQMEPFIHQVLTYAQQSKEVAVSEQDKLAKRIQEFRTQEEIANVRMASNVDTSTSGDGIHVVGLQSYKTIEALMQSTANGEVQVIKQGYLFKRPQNTRGEWKRRFFVLDSHGTLYYYGNKGKSQGVASQQTAGEGAGVFGRFRFLNQRASSQGEDSLSCHTIDLRTSTIKVDADENDLRFCFRIISPIKTFTLQAESGADQKDWIQKITGVIASLLNSPFPQQLSYSNIAIESNRSASSVDSLSIEDNKSSEGHDDIFNLLRSIPGNDSCAECRSPDPDWASLNLGILFCIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHALGNDYANSIWEALLPKEDEGMDKSNGAILFIEKPKPSDAFSIKERFIQTKYVDKLLFARDTNQVTNDILEAIRTNDVRAAYRILAIAEVSANMTYDALSKEVHHVQPVTDKMLLDPVSCEIIRDNGKPEGCLQGCSLLHFACQYGHPIMVELLLLFGADINMQDFHGRTPLHHCVQKKNDDLTKHLLKRGARTTIKDGGGLTALERRMELGAITDEELFILFVR >PAN04711 pep chromosome:PHallii_v3.1:1:5958490:5966177:1 gene:PAHAL_1G085900 transcript:PAN04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADACFSTQLIDGDGVFNVTGLENFMKEVRLGECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFKGRSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSRTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVQVVGLSSYEEKEELFKEQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFIADEEWQQFEEAVQHDYYPGFGKKISSLLDRCLSEYDMEAIYFDEGVRSSKRQQLESKLLQLVNPAYQSLMGHLRTRTLEAFKESFDKALEKEGFAAAARDCTQTVLEKFDKASEDAAIQQVNWDTSKVKDKLKRDIEAHVASVRTTKLSEVCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAVLGLESAVSSFELDEATEKELLAKLEKHGKSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLEEDGDNIENTLSLALVDASRPGTTDRSIQSSDPLASSSWETVPEEKTLITPVQCKNLWRQFKAETEYTVTQAISAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLLVIFVVYLVGKAIWVQSEIGREFQHGFLPAILSLSTKFVPTIMNILKRLADEGQRPAGPERQREMELQPKSTRNGSYSNATSAGSSSVTTSEIGPEYSSPVAQ >PAN04712 pep chromosome:PHallii_v3.1:1:5958477:5966177:1 gene:PAHAL_1G085900 transcript:PAN04712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADACFSTQLIDGDGVFNVTGLENFMKEVRLGECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFKGRSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSRTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVQVVGLSSYEEKEELFKEQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFIADEEWQQFEEAVQHDYYPGFGKKISSLLDRCLSEYDMEAIYFDEGVRSSKRQQLESKLLQLVNPAYQSLMGHLRTRTLEAFKESFDKALEKEGFAAAARDCTQTVLEKFDKASEDAAIQQVNWDTSKVKDKLKRDIEAHVASVRTTKLSEVCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAVLGLESAVSSFELDEATEKELLAKLEKHGKSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLEEDGDNIENTLSLALVDASRPGTTDRSIQSSDPLASSSWETVPEEKTLITPVQCKNLWRQFKAETEYTVTQAISAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLLVIFVVYLVGKAIWVQSEIGREFQHGFLPAILSLSTKFVPTIMNILKRLADEGQRPAGPERQREMELQPKSTRNGSYSNATSAGSSSVTTSEIGPEYSSPVAQ >PAN04704 pep chromosome:PHallii_v3.1:1:5959575:5966177:1 gene:PAHAL_1G085900 transcript:PAN04704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSFVSCTAEMADACFSTQLIDGDGVFNVTGLENFMKEVRLGECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFKGRSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSRTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVQVVGLSSYEEKEELFKEQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFIADEEWQQFEEAVQHDYYPGFGKKISSLLDRCLSEYDMEAIYFDEGVRSSKRQQLESKLLQLVNPAYQSLMGHLRTRTLEAFKESFDKALEKEGFAAAARDCTQTVLEKFDKASEDAAIQQVNWDTSKVKDKLKRDIEAHVASVRTTKLSEVCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAVLGLESAVSSFELDEATEKELLAKLEKHGKSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLEEDGDNIENTLSLALVDASRPGTTDRSIQSSDPLASSSWETVPEEKTLITPVQCKNLWRQFKAETEYTVTQAISAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLLVIFVVYLVGKAIWVQSEIGREFQHGFLPAILSLSTKFVPTIMNILKRLADEGQRPAGPERQREMELQPKSTRNGSYSNATSAGSSSVTTSEIGPEYSSPVAQ >PVH65921 pep chromosome:PHallii_v3.1:1:7719122:7719397:-1 gene:PAHAL_1G104100 transcript:PVH65921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHARGITEVRHRPVVTYPVWKAVDDAAAKAARRRTSPIPHHHGAQAATARRGRLGDRVGDDARWGRHRPVDDVVVGGREGRHRSGRGRG >PAN06624 pep chromosome:PHallii_v3.1:1:47691596:47693035:-1 gene:PAHAL_1G277000 transcript:PAN06624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPPLPLNPCDGPDPKHKCSPPVLAPPSLYLYPPPPPSSSAASRGKGTFVTALIIAGSVLSFLALCLSIFLFVRRMRRRRRQQEALLEAALAPAAPPTAPPDDGAGLNPTDEEVVHHAWHIRTVGLDEAAIESIALTRYRAGGALGASDCTVCLGEFQDGELLRLLPKCVHAFHVQCIDTWLRAHVNCPLCRANVTGPAAEQPDPTPPGAGADAEQEEQDAGNIGAPEQEQPGQHAGEQRAQVDQRDQPDISSEHPRQRPGPRGRSFRRVASMDSPSPVASPEEAPEHDQAGGEKQGTGGAAAVCCEVSPGSDRLNRAAMKRSLSAGSRWTLLSRHCRARSSLLPL >PVH65546 pep chromosome:PHallii_v3.1:1:1586711:1588627:1 gene:PAHAL_1G021900 transcript:PVH65546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPAAVLHAALLRASCLPPSITFNTLLAASASSPLPRLRALALPALALAHAAGRVPLDSYALCPVLRAAPSAAEMLHALAAKSGWLGSVFVSCALAASHGGSGRFLDARRLFEESPAKNAVFGNAVLAAYVGAAEWTQALGFARRFLELRLQVNGCTMTSIMRACGEVANADLGIQAHGYAIRKLGGVEADVFLVGALVDMYAKCGLVHQAERVFGLAQQENGGRGDVVLWTAMLNAYGRHGQCKEVIRMYDLMVASGIYPDELAMLAVLSACHHAGEVAKGLKYFESMHEDYGLVPTPEHYGSVVNMLCRAGDVAKAWEIATKNGCYSAIGVSTWGALLSACQDCGNVEVGKMAAQKAIELEPANVGIYIELSNLYARACLWEEIDQLREVLKEKRLEKDVGFTWVEHGS >PAN06753 pep chromosome:PHallii_v3.1:1:48286973:48290413:1 gene:PAHAL_1G286100 transcript:PAN06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGVVRRAANVGFPSRSIIRGGFLLPGRARFFSEDTAAAPLRVDSLPQPRTHGRGGFAHLQAVDGEAPRLPGVLRGILLDHEKMACPDLLKAALLSTMSLLAVPLEASAETCQPPNSFANMPIFIAVALIGAAVGGLLARRRKEELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVGRTKETDVVVDPRKQQLGTNLRNGKNYMRNQDLDKAVLEFRTALELAESIGDRFEEKKAARGLGASLQRQGKHREAMRQYAKVLELSRETGEDSGCTEAYGAIADCYTELGDLERAAKVYDKYISRLQPGE >PVH66579 pep chromosome:PHallii_v3.1:1:48287442:48290216:1 gene:PAHAL_1G286100 transcript:PVH66579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLHGCLHFVCCGVQYTDSTWCPASACVFEKMACPDLLKAALLSTMSLLAVPLEASAETCQPPNSFANMPIFIAVALIGAAVGGLLARRRKEELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVGRTKETDVVVDPRKQQLGTNLRNGKNYMRNQDLDKAVLEFRTALELAESIGDRFEEKKAARGLGASLQRQGKHREAMRQYAKVLELSRETGEDSGCTEAYGAIADCYTELGDLERAAKVYDKYISRLQPGE >PVH65669 pep chromosome:PHallii_v3.1:1:3336647:3338758:-1 gene:PAHAL_1G048400 transcript:PVH65669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20770 [Source:Projected from Arabidopsis thaliana (AT4G20770) UniProtKB/Swiss-Prot;Acc:Q9SVH0] MSRSGVRVDPVAVSSVLGACAQACAGEYSVVRAIRLGQSIHALVVKKGFGLDQHVGNSLMDMYAKCMEVGEAMKVFESLPSISIVSWNILITGYGQVGLYAKAVEVLDLMQESGFEPNEVTYSNMLASCIKARDVPSARAMFEKISKPSATTWNTLLSGYCQEEQHQDTIELFRRMQHQNVPPDRTTLALILSSCSRLGILELGKQVHSASVRLLLHNDMFVANGLVDMYSKCGQVAVAQIIFNRMTQRDVVCWNSIISGLAIHSLNEEAFDFFKQMRENGMFPTESSYASMINSCARLSSIPQGRQMHAQVLKDGYDQNVYVGSALIDMYAKCGNMDDARLFFDCMIAKNIVAWNEMIHGYAQNGFGEKAVELFEYMLTTKQKPDSVTFIAVLTGCSHTGLVDEAIAFFNSMESNYGITPLVEHYTCLIDALGRAGRFVEVEAVIGKMPYKDDPIVWEVLLAACVVHHNAELGECAAKHLFRLDPKNPSPYVLLSNIYASLGRHGDASAVRALMSSRGVVKGRGYSWVDHKDGARAFMVADDLGTNVGQSTTFSESEDTSGIAEVHCDETCAG >PAN03906 pep chromosome:PHallii_v3.1:1:1975231:1977575:1 gene:PAHAL_1G028200 transcript:PAN03906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSLRSRQEPRRVSNGVIIGAMLLSLCVLSIVKARYCATPFGKAEDQLQEQMNSSIRMETEESPARTPGEEEEEEKEEVPTTASAVTTPAVVATSGGGKGKGKKGKAKPTCYMTSKRSERCDASGDIRVDGNRSTIYVSGIDREWRTKPYARYHDPVAMATVREYTLKPLPAGGAAPACTKNHSVPGFMFSNRGFSGNLYHDYTDVLVPLFISTHQFKGRVQFLLTGMKPWWVAKFTPFFRQLTRYDVIDVDNDQEVHCFPRIVIGATFHKDMGVDPRRSPGHVSVVDFKRALRRAFGLEREAASRGGATGAGKPRLLIISRRGSRRFLNEREMARAAAEAGFEVRVAEPDQHTDMATFARLVNSADVMVGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTSVTFKDPAADMEVSYMDYNVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIRMDLDRFRATLREAMSRLP >PAN03506 pep chromosome:PHallii_v3.1:1:10253:14037:1 gene:PAHAL_1G000100 transcript:PAN03506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAESKQQQPSPEVAMAHHNPTQSAHAQGPGISSSTLPMEDTDELPIQHGGTLSAEDQKKPLSVTSCLLPARSLRSTAAVAPAAHAQSQADGDLCIRSLSFSKLFSFRMARATSSLSTSEYIDQTDPDAAASNCSALTPGNSSTKEEEEKLKQVCRSQSVPTSGRRFKVAKGLRRVADSSSPGPAPAGVFRLRVVPLVPQTEASITAVVGEEEAAVAAEDIAVEEAVCRICMVALSEEAVLKLECCCKGELALAHRGCAIKWFSIKGNGTCDVCSQEVLNLPVTLRRLHDHPSIQAQGAQQQADPTATTTSSRGRRYRVWHGTPILVIISMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTAKMVSRRYVWIYSAVQFLFIVLFTHLFYRYVRMQAVIAIILSTFAGFSMAICTNSVLLQILRWRARHVASPTTTTTGEEGHGSREPPAADLEIALPPS >PAN03870 pep chromosome:PHallii_v3.1:1:1859048:1862710:-1 gene:PAHAL_1G026100 transcript:PAN03870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAGPRAAADPAGSGAPPLQEFDGKGASGGGAAEGSAPQPVVAGLGDLRAGITESSGQGEACLHPCNQKDIPQLTTEGATQHGDRVSLLLPQAGSLQPEAPCLTLGRDPNAVSVEKLHGGDSLSCGKENIGTDLQPKPDAEHVENRMSAARLGLDLNTVDSSDAAEFNPFFPYKKLGQSKVSDPSECGSTTGATEESESHRKWREMKQNGFLSSSHGKAVVPRPRGRPPKRKRDDEFKKNTSTQHSQANKFVKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSERLENENQPACTSRTGERGKEVSDRIQDQKYGGGFMKCHFMMKDNNAMFHQALPTASQFLPQDDDNLKLQLSSAVTMSSDRTCSTSADDHASNHDYMTLLSVKAASVASQWLELLHQDIRGRLAALKRSRKRVRNALHTELPYLISTEFPSNQENESCIANTSEAGCTDKAVSEAHAARWKSLFVQMDRALQEEGKHLENRLKQVQEMQLNCDKGLKHMTCDAPPLGPMAELWKLKNPDISESEWAVQAAAASIYSTCNMVMRTENVPCF >PAN07557 pep chromosome:PHallii_v3.1:1:52066632:52072657:1 gene:PAHAL_1G344800 transcript:PAN07557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYVQAFPPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGTAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNALTWWEQMDNGKQLTRNRKFLIVVPVVLYLIALHTTDYQQPMLFLNTLAVSVLVVAKLPNMHKVRIFGINAEN >PVH66857 pep chromosome:PHallii_v3.1:1:53793311:53793740:-1 gene:PAHAL_1G369500 transcript:PVH66857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTRGMCGGLAYVMVILLFLVASPALQCRLHGLASAGTAARSLRNTTNNGAHLGVDEKVVLKFCVKGRCDSDAGPTCYCCQTSKPQPLCWYTFDECTANCPSCNPECPP >PVH66981 pep chromosome:PHallii_v3.1:1:55731200:55732581:1 gene:PAHAL_1G399500 transcript:PVH66981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRGTRSSVGFRTKRAPGSRPPLVSGSPLVHSSVRAPGLSPATHHPRPNHSPPIRPITDATPNCLIMFSRSDRVHRVECGCVHVNMYYILKEK >PAN04079 pep chromosome:PHallii_v3.1:1:2743866:2744579:-1 gene:PAHAL_1G040300 transcript:PAN04079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRTASVPSSPCSNVTNVEEQIQTLKAAVSSPSATIKTIVDGLSKLGNIYSCIDELTCFPSSQRQQRKAVEEELERSLILLDLCNAMQESFAELRTSLQEMQLGLKRGDDVAVQAKAQSYARLVKKAQKQLKKINSKVVLDTDSCRVVKLLSEAREIALSMLESTLYLLSKEILMPSASKWSLVSKAFQKKRIACKEEQLQVLELGIVDLESELQIVFRRLIQSRVSLLNTLSL >PVH65737 pep chromosome:PHallii_v3.1:1:4452966:4457041:-1 gene:PAHAL_1G065100 transcript:PVH65737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVGRAMGWASCCKRSDGAEPGRRRKKKDATWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAEKEFAVEVEVLARVRHKSLLSLRGYCAEGQERLIVYDYMPNLSIHSQLHGQHAAECNLGWERRMKIAIDSAEGIAYLHHYATPHIIHRDVKASNVLLDSNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGIMLLELASGKKPVEKVNPTTKRTITEWALPLARDKKFKEIADPKLNGNFVEDELKRVVLVGLACSQNKPEQRPIMSEVVELLKGESVEKFSNLENNELFKPEQTSSFQGSSGPDSSDCITEEKSSKTDVKEEAVDSSETVSSAR >PVH66247 pep chromosome:PHallii_v3.1:1:26870961:26872065:-1 gene:PAHAL_1G184800 transcript:PVH66247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLSLVPYPPTSLYPRAKTSRAAALLAAREQATADGALILPGGRPSRQWPRWWQASPAHSPAEALCSPPAECRSVAPLAPLLSGQFRRRLRKVETPLYLSDIFQHEAVNLSWVPDGQSLLPFSPRIKKITFEHTRHSTGKVEENSMATNHWVRDRILNWFAKTILH >PVH66246 pep chromosome:PHallii_v3.1:1:26870923:26872065:-1 gene:PAHAL_1G184800 transcript:PVH66246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLSLVPYPPTSLYPRAKTSRAAALLAAREQATADGALILPGGRPSRQWPRWWQASPAHSPAEALCSPPAECRSVAPLAPLLSGQFRRRLRKIKKITFEHTRHSTGKVEENSMATNHWVRDRILNWFAKTILH >PAN07486 pep chromosome:PHallii_v3.1:1:51758559:51764127:1 gene:PAHAL_1G339600 transcript:PAN07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGREPETWEPMWSARCSPATSSAGSLEDAGDELDEQQSPPADAEDKVFVALPEEVSDGRSTLLWALHNLMEDGSKLVIVHVHSPAQEIAKGLVKLISLHSITKLVMGAAADQHYSREMNTPKSLTALKLMETAAPSCKIWFTCKGHLICTREENENLPAIPPSPEESTVPLLPAGSISNQMRSTALPELEYEVSSSKGCTSSSLVATEMTTWDYFFGDWGMRVYGSSKTEDAQSLVTDGTNELTPVKHSLTHESGNVYFLQASASNQEDKPSVDVEMCDNLQHLCNEAKLLDDEPDEESKKLHKAETDLLSALQRIKELEGSYLHEVGQREETEKMFSKQRLKTDELRRQRYTLSDELQDSNKHKLILEQRINQIKSSAKDHVEEITKHFLKQSHEESKKHRKIEMDLLSTLQRVKEIESLFQNERAQRKEMEEKVARQRTEIEETKRERDKLYYDLHDVKEQKLQLEVTDASKEIDRRRKAERDLLSALQRIKDLEHQHIHEVEKRDEMQEIVARQEEEIKATKRQLQGIHDRIMIEMKSAVKVHEEKLADTKQFLQELQSKYEKLLHDRDAAVAEAKELRQKNKQRGSMTNEPLNTEFSFAELQQATKGFDAEFKISDDGFASIYKGFLRNTTVAIKLFHPQSLTGQAKFHREVAVLSRVRHPNLITLIGACPNHFTLVYEFLPNGSVEDRLSCKKNMPSLTWKVRTRIIGEICSALAFIHSQKPYPIVHGDLNLANILLDANFVSKLGDLGICQFLSQSNITTINQQRHPTNHRGTLCYMDSDEFQSACELMLWSDVHSFGIIILRLLTGRSQHRIAGIVEEAMEKGNLHSIMDTSAGDWPLVQAKQLAHLGLRCITMSGGRQPDLGGEAWEVIEVLMRDACLTAGPSEFASSSNDDSTPSCFICPIFQEVMNDPYIAADGFTYEATAIKEWLDSGADTSPMTNLRLAHRGLTPNRALRSAILEWQQHWK >PAN07485 pep chromosome:PHallii_v3.1:1:51758559:51764127:1 gene:PAHAL_1G339600 transcript:PAN07485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGREPETWEPMWSARCSPATSSAGSLEDAGDELDEQQSPPADAEDKVFVALPEEVSDGRSTLLWALHNLMEDGSKLVIVHVHSPAQEIAKVRNHTSMKPEEIKKYIKLKREKADKNLDAFVQIAKCTREDLQVDCETVIIEMDNVATGLVKLISLHSITKLVMGAAADQHYSREMNTPKSLTALKLMETAAPSCKIWFTCKGHLICTREENENLPAIPPSPEESTVPLLPAGSISNQMRSTALPELEYEVSSSKGCTSSSLVATEMTTWDYFFGDWGMRVYGSSKTEDAQSLVTDGTNELTPVKHSLTHESGNVYFLQASASNQEDKPSVDVEMCDNLQHLCNEAKLLDDEPDEESKKLHKAETDLLSALQRIKELEGSYLHEVGQREETEKMFSKQRLKTDELRRQRYTLSDELQDSNKHKLILEQRINQIKSSAKDHVEEITKHFLKQSHEESKKHRKIEMDLLSTLQRVKEIESLFQNERAQRKEMEEKVARQRTEIEETKRERDKLYYDLHDVKEQKLQLEVTDASKEIDRRRKAERDLLSALQRIKDLEHQHIHEVEKRDEMQEIVARQEEEIKATKRQLQGIHDRIMIEMKSAVKVHEEKLADTKQFLQELQSKYEKLLHDRDAAVAEAKELRQKNKQRGSMTNEPLNTEFSFAELQQATKGFDAEFKISDDGFASIYKGFLRNTTVAIKLFHPQSLTGQAKFHREVAVLSRVRHPNLITLIGACPNHFTLVYEFLPNGSVEDRLSCKKNMPSLTWKVRTRIIGEICSALAFIHSQKPYPIVHGDLNLANILLDANFVSKLGDLGICQFLSQSNITTINQQRHPTNHRGTLCYMDSDEFQSACELMLWSDVHSFGIIILRLLTGRSQHRIAGIVEEAMEKGNLHSIMDTSAGDWPLVQAKQLAHLGLRCITMSGGRQPDLGGEAWEVIEVLMRDACLTAGPSEFASSSNDDSTPSCFICPIFQEVMNDPYIAADGFTYEATAIKEWLDSGADTSPMTNLRLAHRGLTPNRALRSAILEWQQHWK >PVH66074 pep chromosome:PHallii_v3.1:1:13831576:13832988:-1 gene:PAHAL_1G141600 transcript:PVH66074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNINMVEGYDRSTRRQLDFTFGINMAGHTSRQQEADSHDRPQKEERDYITEEQVRHVRNQRLISSHLLRKYQYHVSNVRLKKKNTSGALGNATGSERICEIISIARSSRGNSEGEEDKCHRPRWCPDGLNRSQKKRVRQLRSLEEAEAQNLETLRKARPDLAEKVHHPKKAEPSSSKKMWRPKKSKADVKTSADAHMVFVLPAEFHAPGHEEVPVAQLDLGPWPVIFEKPRERSYRHLKALYLKGYINSQPVSRMLVDTGAAINIIPYSVLRWLGHSVGDLIKTNITLSDFNGQTSEAQGVLSVDLTVGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSVKVSYAAMSVWDAEDQEPISGISLEGCDRVEATKSGVRLVLSTGLTE >PAN06513 pep chromosome:PHallii_v3.1:1:47117949:47121126:1 gene:PAHAL_1G268300 transcript:PAN06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGEGNAAAAVRVLVVDDSPVDRKVVELLLRNHKGGAAPFHVTAVDSGKKAMELLGPKGQGKLDSSDADSNELSVDIVLTDYCMPEMTGYDLLKAIKALSTPNPIPVVVMSSENEPQRINRCLTAGAEYFILKPLKSKDVQRLRNCSNPAKPKDADDAQCKSLSSRRKMPSDQIAKKATSEQRSQIARLAMVLNASSVELSHYFQFLFKFILVAYAVLCLGELLHRWSNGSFLSLWSS >PAN08547 pep chromosome:PHallii_v3.1:1:57195780:57213980:1 gene:PAHAL_1G419500 transcript:PAN08547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRKGSKVWVEEKGEGWVEAEVTEAKERAVVVLTSQRKKITVLPEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKKRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAALDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGHASSFHYLNQSKTYDLEGTNNVDEYWKTKRAMDIVGISRKDQDAIFRTLAAILHLGNIEFAPGKDSDSSKIKGSTSNFHLQMAANLFMCDADLLVSTLCSRSIHTREGIIIKALDCPAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHPRLEKTKFSETDFTISHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPFVSGLFTSLPEESIRSSYKFSSVASRFKLQLSALMETLNSTEPHYVRCVKPNSANRPQLFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFAVLIPELMIGSYDEITLTKGILEKMKLDNFQLGRTKVFLRAGQIAILDMRRAEVLDNAARHIQGRFRTFIARKEFVRTREASISVQAYCRGCLSRKMYAIRRETAAAVIVQKYVRRWLLRRAHLQACLSALLIQSYVRGFITRLYFSAIREHKAATVIQSTWRRRKVVMLFQHYRQATVAIQCAWRQKLARRELRRLKMAANEAGALREAKNKLEKKMDDLALRLTLERRLRAASEESKSSEILKREKIIESLSAECAAAKSAAQIEHAKNLLLQRQLDESLREITMLQSKRIITAEAEKENSNLKNLVESLSVKNSILEKELNVACKSSDDTMEKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKAFNTPPMNNLAVAPKTLSEKFSEISASTGLPNCESKSTFDSPTPTKYLAPLPQTLTGSRRSRLPVERHEENHEILLRCIKENLGFKDGKPVAACIIYKCLLHWRAYESERTAIFDHVIEAINDVLKGQEADGRLPYWLSNTSALLCLLQRNLRSNGLFATPSRRSGALGLGGKLVQTLRSPSKLVGRSDSLPQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLNVCIQAPKSTRGQPGKVSKSPGVGAQPASNSNWDNIVNFLDLLMDTLRENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPSLSVRQIYRICSMYWDDKYNTQGISNEVVAAMREVVNKDTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAMDYAGVDLPESLQHYTSVQFLLRQQDPQPVQ >PVH67061 pep chromosome:PHallii_v3.1:1:57196304:57213980:1 gene:PAHAL_1G419500 transcript:PVH67061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLTYLNEPGVLYNLKKRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAALDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGHASSFHYLNQSKTYDLEGTNNVDEYWKTKRAMDIVGISRKDQDAIFRTLAAILHLGNIEFAPGKDSDSSKIKGSTSNFHLQMAANLFMCDADLLVSTLCSRSIHTREGIIIKALDCPAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHPRLEKTKFSETDFTISHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPFVSGLFTSLPEESIRSSYKFSSVASRFKLQLSALMETLNSTEPHYVRCVKPNSANRPQLFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFAVLIPELMIGSYDEITLTKGILEKMKLDNFQLGRTKVFLRAGQIAILDMRRAEVLDNAARHIQGRFRTFIARKEFVRTREASISVQAYCRGCLSRKMYAIRRETAAAVIVQKYVRRWLLRRAHLQACLSALLIQSYVRGFITRLYFSAIREHKAATVIQSTWRRRKVVMLFQHYRQATVAIQCAWRQKLARRELRRLKMAANEAGALREAKNKLEKKMDDLALRLTLERRLRAASEESKSSEILKREKIIESLSAECAAAKSAAQIEHAKNLLLQRQLDESLREITMLQSKRIITAEAEKENSNLKNLVESLSVKNSILEKELNVACKSSDDTMEKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKAFNTPPMNNLAVAPKTLSEKFSEISASTGLPNCESKSTFDSPTPTKYLAPLPQTLTGSRRSRLPVERHEENHEILLRCIKENLGFKDGKPVAACIIYKCLLHWRAYESERTAIFDHVIEAINDVLKGQEADGRLPYWLSNTSALLCLLQRNLRSNGLFATPSRRSGALGLGGKLVQTLRSPSKLVGRSDSLPQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLNVCIQAPKSTRGQPGKVSKSPGVGAQPASNSNWDNIVNFLDLLMDTLRENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPSLSVRQIYRICSMYWDDKYNTQGISNEVVAAMREVVNKDTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAMDYAGVDLPESLQHYTSVQFLLRQQDPQPVQ >PAN04434 pep chromosome:PHallii_v3.1:1:4581968:4584586:1 gene:PAHAL_1G067100 transcript:PAN04434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQNTGYASNSKGTAKMIAMQKLMKEEAELKKQLEAANRQGEEQMARMKNFDPKLVRMLNGDFEGKGYEDLKIYHDKLVEIQRMINDRIN >PAN07844 pep chromosome:PHallii_v3.1:1:53604822:53605319:-1 gene:PAHAL_1G367100 transcript:PAN07844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCAAETGLVAMDCLVVCCCCPCLVLQVTVFLFVRLPKKVVVKTKRIVLRRWHRRRPPAPSSSKGGAGCAASARLKLEELLDLDDGFEAAFGDGKGGADGWKERCFPVDDDGGDAVWEAIIEQEGLFWFGSFWGRPEQEGPAAGGDDQMSGSFRLPVGLERVCE >PVH67069 pep chromosome:PHallii_v3.1:1:57380690:57385267:1 gene:PAHAL_1G422000 transcript:PVH67069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRGSGGEEVADLWAMAAELERQFAGYRQRLAERGGTAARERDDDAAAAAYDGTGGVGGGGVYEAAEADEAGGRGSSSDVRGRMYEAYVRRRDERLREGWRARMERKEAEVKALWAQLELTGRAGAGAERAPGGGGDPTTDGGAATGDERKTERTDDDDKRRSSDTAVAPRRITGKKHARTRSFSSSITANSNRSDPGRRRALSQEPPPSEPDASAEGRKDNRVRPAGGAATTTATARPKTSLRRKNSVKGHVSATTAGPKLPRSLPRRASSGGLEALSREAALPIAEAAAPVQSSSSEYPAHGETPKASPPRPFLVKDDSSDVTSAANARAASPESERVEVVEAVPVPDGESEAENADVEKLCEEKVDASSDKLGSPNGEITSDSETEPSYVYINKDNAEEQAMTVPEPLKLACSDAALDSDMRTNKENGEEMPAPADATAAEIATTNADEAPARESSDESLLSVRSGLSARPSCSSRDRSIERLLEADAVLLRKKREERAEKSTPKSPGSASSRVSGAARSPRGTVRGFKKFLSFGKKNRGREATVIDCTSPSVPSLADDDSTSGGWQSVGSIKPRMGSSDAASDDTNHGYTVSLRATCSLQSLVAASPAKSELAEIVPQEKSPKAPRSFFSFRSLNCGRG >PAN08579 pep chromosome:PHallii_v3.1:1:57380690:57385267:1 gene:PAHAL_1G422000 transcript:PAN08579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRGSGGEEVADLWAMAAELERQFAGYRQRLAERGGTAARERDDDAAAAAYDGTGGVGGGGVYEAAEADEAGGRGSSSDVRGRMYEAYVRRRDERLREGWRARMERKEAEVKALWAQLELTGRAGAGAERAPGGGGDPTTDGGAATGDERKTERTDDDDKRRSSDTAVAPRRITGKKHARTRSFSSSITANSNRSDPGRRRALSQEPPPSEPDASAEGRKDNRVRPAGGAATTTATARPKTSLRRKNSVKGHVSATTAGPKLPRSLPRRASSGGLEALSREAALPIAEAAAPVQSSSSEYPAHGETPKASPPRPFLVKDDSSDVTSAANARAASPESERVEVVEAVPVPDGESEAENADVEKLCEEKVDASSDKLGSPNGEITSDSETEPSYVYINKDNAEEQAMTVPEPLKLACSDAALDSDMRTNKENGEEMPAPADATAAEIATTNADEAPARESSDESLLSVRSGLSARPSCSSRDRSIERLLEADAVLLRKKREERAEKSTPKSPGSASSRVSGAARSPRGTVRGFKKFLSFGKKNRGREATVIDCTSPSVPSLADDDSTSGGWQSVGSIKPRMGSSDAASDDTNHGYTVSLRVSATCSLQSLVAASPAKSELAEIVPQEKSPKAPRSFFSFRSLNCGRG >PAN06655 pep chromosome:PHallii_v3.1:1:47815771:47816768:-1 gene:PAHAL_1G278800 transcript:PAN06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMGGDFVEAYVLKNAYKEKLRRMEAAEEEEETKRRGAGSAEKKKASSGGGRGGGLFGLLKKKVHPKAAALSEAGGAAASSS >PAN07542 pep chromosome:PHallii_v3.1:1:52008414:52009061:-1 gene:PAHAL_1G344000 transcript:PAN07542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPIPADPRGDAWLQPGPAHRHVALPDDGVVFANSAYRALYELSTSPEILFEEQALRKGCTWSEDLTLCTGVGYLAGAVAGLPRAAVEAERGESLKLRASRVLNNCSSVGRSYGNRVGVIAMLFSGTKSGISHYRSGADDWINTAAAGVSTGALYRMPGGPRSAIVGGIVGGIMAGAALLAGKPLIEKFAPNLGIRNTCSLMVLHYLIWPNST >PVH67184 pep chromosome:PHallii_v3.1:1:58899540:58900670:-1 gene:PAHAL_1G445700 transcript:PVH67184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGTRAVENLKEGRVESQAVSRLEESILQSAGPVHGGRQGKGQRRQIPFKSPLQFRLHHLLAQQLLTFEQVQSGQATIARFMG >PAN07833 pep chromosome:PHallii_v3.1:1:53567522:53571439:-1 gene:PAHAL_1G366500 transcript:PAN07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGITVDGVVTEEVPNGVNSSQNNEHLSAPKSTAASTMAPSMQSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEENGSAANALPSAENGTPAQAQSSNSSKKSKKASKSQLESDASVGPNGKASTEEGESEISKPASRVGRQRKSNANPRNGTEDAGLDNPDLGPFLLKHARDLIASENPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYEEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYASGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHREHGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFAYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGESYDSFKSAIAKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLELEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLGELLKEAGRVRSRKAKSLENLLETNPYTVTKRNTVAA >PAN04919 pep chromosome:PHallii_v3.1:1:7433966:7435680:1 gene:PAHAL_1G101600 transcript:PAN04919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPRLLLAAALVLLLLAVGALPRGADAQLSAGFYSASCPAVHGVVRQVVSQAVMNDSRSGAAILRLFYHDCFVNGCDASVLLDDTPATPGEKGAGANAGGSTFGFDLIDAIKTQVEAACPGTVSCADILALAARDSVNLLGGPSWAVPLGRRDATFPNATGAATDLPGPGSDLDRLVAAFAAKGLTSRDLAALSGAHTVGMARCLSFRARAYCDDNVSPAFAAQMRGACPASGGDDAAAPLDAATPSEFDNGYYRNLVAGAGLLHSDQELFNNGPLDALVRLYSANGAAFSSDFAASMVRLGNVGPLTGSAGEVRLDCRKVNSS >PAN04326 pep chromosome:PHallii_v3.1:1:3949272:3950418:-1 gene:PAHAL_1G058400 transcript:PAN04326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLHLSPLLPQLGSPQAWPNQTASQQFVFPEPSVHIHPAFNEPIPSPPRRSTKRTKSKASSSRGPSYSTAEDKALCSAYLNVSRDPIVGAYQTAETYWERIAKYYNENNKFRVPRTPDSLATRWHNISKDTARFCGKKAEVDRRRESGKTEEDRIEDALQLFLGQVGKPFQFMHCWTVLRNTRKWEDWIATKGADSAGDREAPNAEEGQEFHAGAGELCRPIGRDKAKKTRHSEGKQSSESSACIELFQQMAKNKELKNQQEAMWASEYKQVQERQLALKEESTRRQLALQEEQTRIQREQLEYQRLEHDSKIMMMDLSSFSDVAREYFLGMQREILEKRRRGGDNNNAN >PAN03749 pep chromosome:PHallii_v3.1:1:1427492:1427704:1 gene:PAHAL_1G019100 transcript:PAN03749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPIPGKSSWPELVGVLGTLAATAIAHDRPDVAVEVLPPGAPIIPDYNPTRVRVFLDNNGIVAKTPVIG >PAN06857 pep chromosome:PHallii_v3.1:1:48776406:48778489:1 gene:PAHAL_1G293200 transcript:PAN06857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRYSKRLFKRRPSSKQAAACGGGNGGAAGGGAGEIEWEVRPGGMLVQKRDGKGGEEVIVVRVSTGFSWHDVSIRATSTFGELKLMLSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKLRALAAQVVQSPCQPFIQV >PAN08637 pep chromosome:PHallii_v3.1:1:57736296:57737944:-1 gene:PAHAL_1G426700 transcript:PAN08637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASPARASWRPVIPATSAYATRSCFRVRAKRFAGLQTTCTCLHQAMRLTAAHVKSGEAEGRPSTESAAAGTPDEESLRRELETAIQEEDYARAVALRDELRVLQEDGRSAVLAANTRFYTAFKNGDLVAMHQAWAKGDHVYVVHPSAGRISGYEMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDVGYVTCLELIRTKGSSSWGKQVATNVFEKVDGKWFMCIHHASHFDE >PAN07504 pep chromosome:PHallii_v3.1:1:51847923:51852747:1 gene:PAHAL_1G341200 transcript:PAN07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQARRQSSLRTQGSGKSSSRPGSGALDPRPAEEVIVRVDGNGNGHAPFSFHGADGGGGGGRAGNATPSTNSTATTPRTASGPRTSETSSPRSPAKVWREGSYEFWNSDGGGGNGADGRPAATEAFSFKNRQPQSPSQVPSPSLSPQQQPAPASAAPERGAVDPPTRLIGNFLRKQAASGGEVALDLDLEMEELGRAAQLREQPSFSSSLERDARVSFREPQKRRSTSSCSSGSDTDDGPKRGGGDDGEVVRCTSSSTAAGAGPLLRAKTRSRLMDPPPQSQPAPAPATAAAPVIDEERKSSIPRTPTKSGQLLSGLMSGKKSGPMGKSGPMDEEEDDPFADEDIPDDFKRGKLDFLTVLQWLGLFLVIAALVCSVTIRILSEKKVLGLHLWKWELLVFVLICGRLVSGWVMRIAVFGFERNFLLRKRVLYFVYGVRSAVQNALWLGLVLASWHFMFDKNVQQDSPVLPYVTKILFCFLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDENHVLQEVHELQRAGATIPKELRDAVPTKNVSGQRNIQLSGLLPKGEGSKQLSKENGEGISIDMLHKLNQKNVSAWNMKRLMRIVRFGTLTTMDEQIQQATGEGDESATQIRSEYEAKIAAKRIFNNVATPGSKYIYLSDLMRFMRQEEAIKAMDLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVVVGIIVFALWLLILGIATTHFFVFLSSQLLLAVFVFGNTLKTVFEAIVFLFVMHPFDVGDRCEIEGVQMVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNFYRSPDMGDAVDFSIHVATPVEKLALMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIRVLKDLEIEYRMLPLDVNVRNAPPIQSTRMPTTWSYS >PAN03550 pep chromosome:PHallii_v3.1:1:321159:342548:1 gene:PAHAL_1G002700 transcript:PAN03550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPPADDAAKKELPPPSYRSLAAPVATPVDKFALLPAFLKVRGLVKEHIDSFNYFTTRGIRNIVEANNRIEARNDPSIYLRYTNVYVGTPSVQVDYKIENITPHFCRLTDRTYSAPIRVDIEYTVGKQHEPQHKKNVLIGYLPIMLRSYACVLHGRDEAELARQGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVITMDKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVVQMVGRDPRYGDLLFPSIQECASEAIYTQQQALQYMDEKVTYPGAGNQKEGRSKSILRDVFIAHVPVKNGNFRQKCIYTGVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSQAVELMNKNSDKTRSSPSDFSQLIKHEAITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLMNLCKSLGVEDLTLLSGEEIHAPGSFLVMFNGLILGKHRQPQTFANAMRELRRSGKIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGISRVKECHMKELRDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHVDQDDVERSSITHIEIEPLSILGVVSGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVMKENYGDGVSDRIAKPQRDKDGVLLRQNMRALDEDGIAAPGQIIRNHDVYVNKQSPKIVDRRGAGALLKDMDYKDSLAIYKGVDGETTVVDRVMLCSDTNEKLSIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELIGGKAGLSCGRFHYGSAFGEPSGNADKVEDISNTLIKHGFSYNGKDFLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNYKLKTSYCSMCKNGENMAKMRLPYACKLLFQELQAMNVVPRLKLTEG >PVH66243 pep chromosome:PHallii_v3.1:1:26712720:26713139:1 gene:PAHAL_1G183800 transcript:PVH66243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVRLFFHSLRILRRVSLSPSSGGRRPRSWLDWSPMEVRLVQELMLAGISPEKALLLRLSVSRRRRRPRLAGTAPLNLLEPGSRTVSDDRLPTAGERTPLRPREGRLSTTTRRGWPWHLQNPPVLLAFHEGST >PVH66304 pep chromosome:PHallii_v3.1:1:31343089:31343580:1 gene:PAHAL_1G200600 transcript:PVH66304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESETTREPTPEYDPIAAYEDRAPLHWDAVEWDFHYQSEDDESLTDGEDLALLLGAELEEEEDDTLWGEDLSLSEEESDSISSEEDPMAGTFFFDRSSDDTSDGREGADDDDGFTSSSGGDDDGSRDGSSSSGTSIAPPSKRRKASDVYWW >PVH66291 pep chromosome:PHallii_v3.1:1:30202090:30205519:-1 gene:PAHAL_1G196500 transcript:PVH66291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQSENEDNPDDELDDIAGGGSRRHVIVRYRLFQQFVVDIYVKVESMHLDWYAKLAHQAISRADLYQDGLRVVLSKDFPGSDRDVQSRFVDAMTLVTWYGRPDFLFAELLLGQTPQDRPDVVARVYHAKILDLQDFLIKHLGKVAAWAHVTEFQKRGLPHEHFLLVMEPGSKLKSPNEFDKYISAELPDKKKYPELHNLVCKHMMHGPCGVLNKDCPCMVDGECRFRYPCQFSETTQQGKDSYPKYRRWEDGQKEKVRNEWLDNRWVVPYSPVLLMRYNCHINVEICCSIKSVKLYAFKLYSMSPPVLQMQVHLEGMHMVAYKSTDDLSNVVQSEKSQRSMLTEYFKVNRSNPTARKYLYTEFPKHFTWNKSKKSCEVTGLVESHKSLDDCLTELATFKMPCSLWRLFATIMVFCEYDFRHTCDNSSRVEQMVLRDISYHLTSIGKDITHYGLLELHETGVELTEEQNLGFDEDHLNIVETLNVEQMAGYEEILDHVLKNKGQVFFVNGPGGTGKTYLYKALIAKVRSMDLIAVATATSGIAASIMPGGRTAHSRFKIPIKLSGNTMRSFTKQSGTTEWLRRASLIIWDEVAMTKRQAVEAHDRSLRDIMGCDKPFGGKVMLFGGDFRQVLPVVPHGTRAQITDATLLRSYIWESVRRIRLTQNMRAQSDTWFADYLLRIGNGMEEAFEDDYMWLPDDILIQNPPQDDSIDILVDRVFPDLVDNCTSATCMREHAILSTRNGHVDAVSALMIDRFPWKHKVFYSFDSADDDSCNNYPLDFLNSITPNGRAPHELKVKKNCPIILLRNLDPHNACREKGVHTEDTNVIVRRPHSTFQV >PAN06898 pep chromosome:PHallii_v3.1:1:48933232:48936442:1 gene:PAHAL_1G295800 transcript:PAN06898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MACLEAPPPLDLRTATGQPRGRKLQPWRLSLPSSSSCNACTLVSRQLPICNAQSYADELWVAAPQSPSSVRSRLLAAEREEAKAVLSLFLRQKGLRSTLAARIVNKSDGFIEHLVSKLQIAYRSRYAEGRELSTPEIRDALLPYLEALSREHGDRLVEVVENFADPFSLEREALSSSMVLTPTSSNKQKAVARISTPTSGGALPELVVYLLDLGMDHEEIKNIVRKFPAFAYYNVDRKIKPLVELLLDLGVPRSSIPGIIRKRPQLCGISMSDNLKPMMAYLENIGVNRAQWSKVLTRFPAFLTYSRNKVETTVSFLIELGVSKKNIGKILTRCPHIMSYSIDDNLRPTAEYFRSIGADAASLIQKSPQAFGLNVEAKLKPITEFFLAREFSIEEIGIMVNRFGIIHTLSLEENLLPKYEFFLTMEYPRSELVKFPQYFGYSLEQRIKPRYARMTGSGVRLILNQMLSVSDARFEEILEKKRTVV >PVH67224 pep chromosome:PHallii_v3.1:1:59604765:59609692:1 gene:PAHAL_1G457200 transcript:PVH67224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRQPLVEVTTARPPPLVSNLPTTTTPLHSLIDLIAMPPHATKEIAPPTMLPPPRSLDDALAAARPFLRGEEDQVDPALPELAAVLRAAGAGECWHKHGTFLAHLLDVYRILRLWRAPDAVARCGLYHSAYSNSYVNLAIFHPDTGRAHVAGVVGAPAERLVHLFCVVPRQQLIHDDLLFHYTDHDLVADLQRSEASLQDARRGVFHFHDDEPWRRKIQRLLPPAGITVKHIRTGEDVALSRRIAASFLLMTMADFSDQLFDWQDRLFDNANGRLEFRGNTWTSLWPGTGKPGLWVTSISRMAALYTLIVREEEIYIAQRAHAATTAQEEGNDSTNRDEDIHLVLPPVFDGCTKVLNAQDQKVARDLYWEAVCNGDEASDWHEVEQLLQQSIAKNPFVGEPHLVLAQVYLNMERHGDAQIQAEEGLKLLLEWGSCWDKRMPWEGWVSWGRAMLTKAREKGWPHTSFGILSLGLVK >PVH66061 pep chromosome:PHallii_v3.1:1:13013598:13016548:1 gene:PAHAL_1G138500 transcript:PVH66061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQIFLCSWWVGTRGLCGCFLGRQELHHRSKSWCVRHSRLPFHDVCKRFPKVQHDASCI >PAN06914 pep chromosome:PHallii_v3.1:1:48996350:48998604:1 gene:PAHAL_1G297100 transcript:PAN06914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNVVQKNKRQWNQDRKRAAHGEPGTGKLKQRTAPVSMSGKRKRKLERRLNREQKEAAMIKALENNMGDVDMVSAEESSESAKVKPQVKLNLKKNSRIQIKRLKGKGRKKAKNAKPPTKDKVDSMVE >PAN04758 pep chromosome:PHallii_v3.1:1:6261470:6266141:-1 gene:PAHAL_1G089100 transcript:PAN04758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPLVAGGGGRILLAAFLAASLLASAANAAVSYDHRALVINGRRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPAQGQYYFADRYDLVHFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIKFRTDNGPFKAAMQKFVEKIVSMMKSEGLLEWQGGPIIMAQVENEFGPMESVVGSGAKPYANWAAKMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNKKYKPTMWTEAWTGWFTKFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGMLRQPKWGHLRDLHRAIKQAEPALVSGDPTIQSLGNYEKAYVFKSKIGACAAFLSNYHTNSAVKVRFNGRHYDLPAWSISILPDCKTVVFNTATVKEPTLLPKMNPVLWFAWQSYSEDTNSLDDGAFTKDGLVEQLSLTWDKSDYLWYTTQVNIGGNEQFLKSGQWPQLTVYSAGHSMQVFVNGRSYGSVYGGYENPKLTFNGHVKMWQGSNKISILSSAVGLPNTGNHFESWNVGVLGPVTLSGLNEGKRDLSHQKWTYQVGLKGESLGIHTVTGSSAVEWAGPGGKQPLTWHKALFNAPAGSAPVALDMGTMGKGQVWVNGRHAGRYWSYRAAGSSGGCGRCGYAGTYREGRCLSGCGEPSQRWYHVPRSWLKPSGNLLVVLEEYGGDLAGVALARRTT >PAN07476 pep chromosome:PHallii_v3.1:1:51727116:51731498:1 gene:PAHAL_1G339000 transcript:PAN07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRRASKAAKSKINYHRLSLDEHQLFEPDALVTKDRDANHQLSQSPQSGRTYTDRRLTTPQFVSALTGIWNLVGHPESSSTTQRSDSHGISSREDPVCFSRDQQRHILTPSCVENSSGLSSRSCLSTPKSDYEDLNSVKKMLMLTSFSNIFGVSSTWRHMHSINKIGGAQYLQCANVYSMQTKKTEEIGTSESSKRSMCEETYAASTNMDITDDDYSSQTRSTPTELCTSSIEEASTTHDCESSLHDTESNLEIFHEDPNFSASSVHHMEIAKEARIMAGNQISSKACTDSQVDTLTRSCLVGDNVVLDPANADEYAYADGIYLQHSVDKCSHERQPTFEHRFDGAVTINRHAVAGALAGTAVSVSLHPVDTVKTIIQANSCGQSSFNQTLRRTLVERGVLGLYGGLASKLACSAPISAIYTLTYEIVKGALLPVLPEEYHSIAHCAAGGCSSIATSFVFTPSECIKQQMQVGSQYQNCWNALVGCLKRGGIASLYAGWGAVLCRNIPHSIVKFYAYESLKQSILKSAPDNAKLSSGETLLCGGFAGSTAALCTTPFDVVKTRVQLQALSPISKYDGVLHALKEIFRQEGFHGLYRGLTPRLAMYISQGAIFFTSYEFLKTIMFPEQEGPASSFGS >PAN04235 pep chromosome:PHallii_v3.1:1:3451635:3456532:1 gene:PAHAL_1G050900 transcript:PAN04235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVGTLGEADLKGKKVFVRADLNVPLDDAQKITDDTRIRASVPTIKFLLEKGAKVVLASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVVADKFAPDAESKIVPATAIPDDWMGLDVGPDAIKTFNDALDTTQTIIWNGPMGVFEFEKFAAGTDAIAKKLAELTTSKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDEA >PVH65786 pep chromosome:PHallii_v3.1:1:5227963:5228276:-1 gene:PAHAL_1G076400 transcript:PVH65786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTILWIWERKKIRTTSASGTFVSACYSVRDLLKYSMSHIVRVQTAVAVLRTIVNIYFT >PAN07105 pep chromosome:PHallii_v3.1:1:49946212:49953789:-1 gene:PAHAL_1G311300 transcript:PAN07105 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MASDGHPPEPVKEEEVATTAAGPGVNDLDDPQFMCCVCLDLLYKPVVISCGHMSCFWCVHKAMHVFRESHCAVCRQPYKHFPSICQLMHHLILKLEPADYKRREKEVLEEERRIETYSPQIIEFLNSKNNEEEKRIQTYSPQIIEFLNSKNNVGNNGENRNEDSKTRIPQEASLNGSIVDEHSKKIKLEDVSCPLCKEMLYQPAVLNCGHVYCVSCLPSLNEEALKCHVCGSLHPGDFPNVCLDLDHFLEEYFPVAYESRGQKIQSKKGECNREGSSSGASSAEGSSKAHRDEDISKDISNYISNIHIGVGCDSCGAYPIRGKRYKCKDCTELVGFDLCEACYNSSSKLPGRFNQKHTPDHRMELDNSALLQRLLRILPEEAQQELIVEEAVPDAIVQIVFDNHGMGGNDGGPGDAAMGEPVGAPGDMFIDDQEGMGDNGEEGQEA >PAN07107 pep chromosome:PHallii_v3.1:1:49946212:49953788:-1 gene:PAHAL_1G311300 transcript:PAN07107 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MASDGHPPEPVKEEEVATTAAGPGVNDLDDPQFMCCVCLDLLYKPVVISCGHMSCFWCVHKAMHVFRESHCAVCRQPYKHFPSICQLMHHLILKLEPADYKRREKEVLEEERRIETYSPQIIEFLNSKNNEVGNNGENRNEDSKTRIPQEASLNGSIVDEHSKKIKLEDVSCPLCKEMLYQPAVLNCGHVYCVSCLPSLNEEALKCHVCGSLHPGDFPNVCLDLDHFLEEYFPVAYESRGQKIQSKKGECNREGSSSGASSAEGSSKAHRDEDISKDISNYISNIHIGVGCDSCGAYPIRGKRYKCKDCTELVGFDLCEACYNSSSKLPGRFNQKHTPDHRMELDNSALLQRLLRILPEEAQQELIVEEAVPDAIVQIVFDNHGMGGNDGGPGDAAMGEPVGAPGDMFIDDQEGMGDNGEEGQEA >PAN07110 pep chromosome:PHallii_v3.1:1:49946471:49953634:-1 gene:PAHAL_1G311300 transcript:PAN07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MASDGHPPEPVKEEEVATTAAGPGVNDLDDPQFMCCVCLDLLYKPVVISCGHMSCFWCVHKAMHVFRESHCAVCRQPYKHFPSICQLMHHLILKLEPADYKRREKEVLEEERRIETYSPQIIEFLNSKNNEEEKRIQTYSPQIIEFLNSKNNEVGNNGENRNEDSKTRIPQEASLNGSIVDEHSKKIKLEDVSCPLCKEMLYQPAVLNCGHVYCVSCLPSLNEEALKCHVCGSLHPGDFPNVCLDLDHFLEEYFPVAYESRGQKIQSKKGECNREGSSSGASSAEGSSKAHRDEDISKDISNYISNIHIGVGCDSCGAYPIRGKRYKCKDCTELVGFDLCEACYNSSSKLPGRFNQKHTPDHRMELDNSALLQRLLRILPEEAQQELIVEEAVPDAIVQIVFDNHGMGGNDGGPGDAAMGEPVGAPGDMFIDDQEGMGDNGEEGQEA >PVH66865 pep chromosome:PHallii_v3.1:1:53932964:53934427:1 gene:PAHAL_1G371900 transcript:PVH66865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKRASSRIIRANLHRKASREPAAPEPPWRVGPRFWPGGAHQPTSARRGGKSGPPYTWSALFRWLPAGRDPDRAAAGAGRPWRASDALRDPWEVGILVGPRAIRPLLFSPAPKQTPRIIPSENSERLMVIGTPR >PAN03604 pep chromosome:PHallii_v3.1:1:944444:945232:1 gene:PAHAL_1G009500 transcript:PAN03604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPSATMRRLRLKQATAASRASQAAAPAPVLRPPPAGGGGGSGARGPAGRVHRTPPSSDKRQATTGEGGSNGGRDQVRAIRPAPPVPASAGKRCGTAPPASGRAVRDPPPKVPSAPPAAAAAAAAAAGGNAAAVAVGHRVLVRTPVTPTLAGQHVVITLGAEVVSAVEEDGESYFDVVFDGEFPPHDPSSTVRITRDEIVMTTPAAATNPSPSSATTTSTTVPAPARPGKREAVGSAAASSLRGAGQQRSLQIVRSKRSRY >PVH66560 pep chromosome:PHallii_v3.1:1:47907192:47908410:-1 gene:PAHAL_1G280100 transcript:PVH66560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPLPLDLLLLEIFSRSGPATVARCGATCKLLRRHRRHVADRAFLRRLRAASSDRFLIGLYHRSRSWKGEEKSTRLPPLFAAPKLSAGSTTLMPSTVIARLSAELAGPYEPVASRGGLLVLKRGQYHDTGLRVCDPVAGRSYVLPPKRMADDLHVVMPKDGEGGGVPFKVLIADSGLRTQTYSSRTGAWGPITDTGKRLPWHYECSRPSLGSCSAAPRTGCTNQGGTRAYSVLALDVSTGQAGAVDRGLAGLPPAPQGARVAPGAAPGVIGRRGARLARLGGAARGVHVDRVAVRRRGPRIREVVGSARGDRQGGDPSVGAPDVQPARVPADRLPVLCCLAEGSGTVVFHMYAAGTVLLNLRTLEVRHLQRPRFEISENSPFCVYELDLVSLLPSTSSWISV >PAN08029 pep chromosome:PHallii_v3.1:1:54477389:54479771:-1 gene:PAHAL_1G381100 transcript:PAN08029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MTSLSSAVALPSSCRARPASGSRRARLLVTRAAASSPKLQNGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDAYLRSRAQSAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSNGKVGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMVYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPKRVAGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNSAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >PVH66939 pep chromosome:PHallii_v3.1:1:55097840:55107805:-1 gene:PAHAL_1G389000 transcript:PVH66939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLRNSRSVLSRLLRHRPHVAAPPAPPTAPQSPASRYYSFYASRVLRSKPAVSPPPPPPPQLPGPRHYYTSGRQELIHFTRRRGGSRWYHDQRKLTAAVFITGGGAVAFYFGHLEAVPYTNRSHFIILSPKLERQLGESQFAELKKEFGPKILPPLHPDSIRVRLIASEIVRAVHRGLAGHQRYDASYREDASYGYGDISDDHTIKNRDADATAAMLGGSPRKNATAAAAAQRDDEVLDDRWVTECRNRGKAKGAQPQTGHLDGLNWEVIVVRDDIVNAMCLPGGKIVVFTGLLDKFRADAEVATVLGHEVGHAIARHVAEQITKNMWVAILQIVVLQFIYMPDLINTMSTLLLRLPFSRRMEIEADHIGLLLLGAAGYDPRVAPSVFEKLAKIGGDSALNNYLSTHPSSKKRAELLSRANVMNEALELYREVSVGQSTGGFL >PVH66696 pep chromosome:PHallii_v3.1:1:50503711:50504865:-1 gene:PAHAL_1G318000 transcript:PVH66696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILRYFFTHIFLMLQIFDLDVMTECSMEVFLLDVRTLAAPKKIIFVAQRTTVQPMGNCSHTT >PVH66392 pep chromosome:PHallii_v3.1:1:42799376:42800236:-1 gene:PAHAL_1G233200 transcript:PVH66392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPSLLINALEGLGVTKRPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHRDTYPLAIRKALRYLCRIFEGYLAATPVRFFPPAIRTPVWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRVAQAKAKAAVAASSEAVAQESLRQAQDRRMQEWTRSGTPVPAIGEDHILLGTPVIGWGTLFGNTQAPPENPESSAAAVEGDAAAQPLTNGNPEDGEQGSLTLSAPEEGPPRE >PAN07452 pep chromosome:PHallii_v3.1:1:51621692:51626636:1 gene:PAHAL_1G337200 transcript:PAN07452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAADLEARQLRILARIADLELAAQQRRLGALSISAAPSEDGEAGAGTTKARLSALLAARGVRDFAFRRVPADYYDRPLEERRGLLRADSVTQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYMARLNAENIKNFLYELNEKQIPKKRFNMRLAPEEESRKLTGFVHNAVTCIGMETNIPVIIDEAITKLDEDFFWLGGGEVDLKLGMRTSQFLSAFTPFVVKCS >PAN09050 pep chromosome:PHallii_v3.1:1:59460448:59462076:-1 gene:PAHAL_1G454900 transcript:PAN09050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNNLAKRKKQYEFDLKREKEAKEKQAKKLQAKKSKMKIDGGENKRKGGQFKVGKKKVKTKLSALAKAKAAQAMEVDK >PVH66928 pep chromosome:PHallii_v3.1:1:54928830:54929442:-1 gene:PAHAL_1G386600 transcript:PVH66928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRNFAMPAIMVFLVFSAVAVGAARPLAGEELSGVQATAGESIVRFLRQIYRQRLNGPGHSCQTWNPNGGC >PVH66406 pep chromosome:PHallii_v3.1:1:43272028:43272252:1 gene:PAHAL_1G235400 transcript:PVH66406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNRNCVSREPFADLTNTGGIVDVDSKELKEADGVSAFCFSIRRSEKRA >PAN05119 pep chromosome:PHallii_v3.1:1:9049728:9052398:-1 gene:PAHAL_1G116200 transcript:PAN05119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTCRSLIPFLLSSTEAARAPSAMAPPPPPPFHPLLPPHVGLPLAPPECSSPRRPGSAPVLPKLRPAPPVLPPPPPTPLELRLGGRPSSSPHRRGSACSPPPRRSELPPRTGPTSHAARDPPSTARTPTPRRLSSALRQSELRPRRPSSA >PAN08804 pep chromosome:PHallii_v3.1:1:58327929:58329292:1 gene:PAHAL_1G436700 transcript:PAN08804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELGLAPPNAHYPMINNHLADELSSSSTDSSARGKRAFREAFQQETLPLFDDGSCRRTKKPLVGWPPVSSARSRACAGANYVKVKKEGDAIGRKVDLSLHASYDELLATLGRMFPTTSNQDDKEISSSTRHVVVTYEDGEGDWMLVGDVPWDDFARSVKRLKILG >PAN06202 pep chromosome:PHallii_v3.1:1:44848133:44851663:-1 gene:PAHAL_1G246300 transcript:PAN06202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGRGGRGRLAAAAAAAAASASGGEAAAAAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAASREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRAILGSRSAGNAAEEEEAVAAVRVEEEKEAGPAEAVFDSGRPATEEALFSEDEEEEEEEAPAAAPPPLPPQPVIAVPVSEKPEASRQQQSAEQGTSKDKQPVQSTERDAPAQQGGQKRPRTDEEAGEGTTDLQSKLIEILDRNSRMVAAQLEAQNQNCELDREQRKDQANSLVLVLGRLADALGRIADKL >PVH66437 pep chromosome:PHallii_v3.1:1:44848275:44851512:-1 gene:PAHAL_1G246300 transcript:PVH66437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGRGGRGRLAAAAAAAAASASGGEAAAAAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAASREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRAILGSRSAGNAAEEEEAVAAVRVEEEKEAGPAEAVFDSGRPATEEALFSEDEEEEEEEAPAAAPPPLPPQPVIAVPVSEKPEASRQQQSAEQAGTSKDKQPVQSTERDAPAQQGGQKRPRTDEEAGEGTTDLQSKLIEILDRNSRMVAAQLEAQNQNCELDREQRKDQANSLVLVLGRLADALGRIADKL >PAN05199 pep chromosome:PHallii_v3.1:1:9582323:9583958:1 gene:PAHAL_1G121200 transcript:PAN05199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDICASAGSSSHQHGDSQSSCRSSRRITGKSSCRSSRRIISRSSSLSNRLIFAGSYPCWTSARLRSSRSLPGRLPEIMAPLKWPRPMMDYFVNECSKMVEDGKSPANEGVFFNVLERSMSRQSFDITLSKEDLIEQFRRVRTLWNKFHEFLQNNEMAKRTGDIYANKFNFIRRHETKLNTIYRKFYESKAKSLGRYRFNIEHSDRYSGDSSVSSKKCSLDEPFSRQLRLKSSDMLESSQNGSASDIQDYDKAMAGQCLRDPKTLHSYSHIPTIERKIRFLHGTWRVMHEPKCVLELFVGTSPNS >PVH66533 pep chromosome:PHallii_v3.1:1:47513743:47516937:1 gene:PAHAL_1G274300 transcript:PVH66533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASPLLRPHPPPGGKPPPAASRFARCSSHAHDELRSFRACLAWLFVDHSPSRGLAAAGSWAVFLLLAVAAPAAARLLHAPPRPYDGQVQVSLTLAASLAYVSLRAVLRRSGGLRRLLYLDSLRRDSEDVQAGYAAQLARSFRVLACFVLPCALAEAAYKACWYWYYAAAGLPALGSPWWAAAACALEVASWVYRVALFFMVCVLFRVICYLQILRMVGFAREFGRFADVATVLQHHRRIREQLRKISHRYRKFIVFSLILVSASQFAALLSTTRPHAVVNLATAGDLALCSLSLVAGLLVCLHSAAKITHKTQAMTSVAAAWHADATVHAFDNDQEDPDPDLPPTAGYLAPANAYRVAAGDESGSDDDDSHSETSSLDDPKYVPFQANICFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >PVH66631 pep chromosome:PHallii_v3.1:1:49209181:49211082:1 gene:PAHAL_1G301300 transcript:PVH66631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLARYLTTRGSAWRVKWEMLVLLALLLLCRGIGSTHGSPDDHAGDGDMMSLLDFKRAITSDPKRALASWNTSNPLCRWAGVACGRAHRGRVISLGLLDQGLEGEITSSLGNLTFLRTLNLSENSFSGRLPPLAHLGKLKVLDLSQNSLRDAIPDGLANCSSLRILNLSYNSLVGELHPKLGLISGLSALFLRQNRLTEIIPPSLDNMTHLEILDLGNNRLSGSIPAELGILSNL >PAN03654 pep chromosome:PHallii_v3.1:1:1138550:1142051:-1 gene:PAHAL_1G013300 transcript:PAN03654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MNSLKEATFIITGNSKSVMNMSQADQVALWESVRKGNFGGYKNISTRLKLGPFEEDGLVRTASTERQHQQSSDEPESPGFGKPCRVPVRLYVRGVQEDLEDIEDAMPVRDWESVSYINRPFEIRKVEGRSYITLGHALQTLLPEFFSSDTQNAEEVDSAAGNSDTTDPSRSSLEAEPASASLREAEITKKAKVKLVRVQGIELDMDIPFLWVANNLKNPEFYLHICVYVSTRKQ >PAN03653 pep chromosome:PHallii_v3.1:1:1138550:1140167:-1 gene:PAHAL_1G013300 transcript:PAN03653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MQVHFRGYPSEILSPCEGEDIVKWSYMNSLKEATFIITGNSKSVMNMSQADQVALWESVRKGNFGGYKNISTRLKLGPFEEDGLVRTASTERQHQQSSDEPESPGFGKPCRVPVRLYVRGVQEDLEDIEDAMPVRDWESVSYINRPFEIRKVEGRSYITLGHALQTLLPEFFSSDTQNAEEVDSAAGNSDTTDPSRSSLEAEPASASLREAEITKKAKVKLVRVQGIELDMDIPFLWVANNLKNPEFYLHICVYVSTRKQ >PAN03655 pep chromosome:PHallii_v3.1:1:1138543:1142132:-1 gene:PAHAL_1G013300 transcript:PAN03655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MAAAHEEAAAWSEEAARRVWGGAVPLQVHLHDADVTALPPPPPFLTLGPRIGYLPLLIPVIKAHFSNALPPGVDTVWFEYKGLPLKWYVPIGVLFDLLCTDPERPWNLIVHFRGYPSEILSPCEGEDIVKWSYMNSLKEATFIITGNSKSVMNMSQADQVALWESVRKGNFGGYKNISTRLKLGPFEEDGLVRTASTERQHQQSSDEPESPGFGKPCRVPVRLYVRGVQEDLEDIEDAMPVRDWESVSYINRPFEIRKVEGRSYITLGHALQTLLPEFFSSDTQNAEEVDSAAGNSDTTDPSRSSLEAEPASASLREAEITKKAKVKLVRVQGIELDMDIPFLWVANNLKNPEFYLHICVYVSTRKQ >PAN03930 pep chromosome:PHallii_v3.1:1:2066712:2081538:1 gene:PAHAL_1G029700 transcript:PAN03930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGQAAHLSGQMSGQAAQMNQVANSGLGVGVGGADGLQQHQPMQDMAADQQFVMLRTAMREKIFEYIGRKQSSAEWRKRLPELAKRLEEILYRKFPNRNDYYNMMNGPVEPQLQFAITTLSAQNQRNQQNPQVSRQIASSSGYGTMIPTPGMTQSTSGNSRIPYVTDNNTLSSSGSSMVPQNANMGASMPGSMSNGYQHIAQNSTPNSIQSTMGSVGVQRQLPHMIPTPGFSNQQNVPANPDYSNGTGYFNGESAVAPHMQHQKQFSSNQNSNQIQHIGGHSNSGIHSSMLDNSSAYGLSDGHMNGGIGLHGSNMQLTNRTSAPEAYMNISNPYGSSPKPLQQQFNQHPQQRIPTSVDMAGSGSFYATGSTPLTTANSQSMNVANLQSRSRMNPMLVNNQLNIQSIQPQSQIKTEVLDQPEKVNFQSSQLTHDQLLRQHSMPQHQVQPNSQFVQNQYHINQQQPNPQHQQAMLRSNSFKQSQMASSHSMQLSEQGTLPHTELVSSQASDPVDLPSFQGQYQQRNALDNVKGGQMFGHLSGSQNFHASASHVSQQLLPSNPQLDDGSNDVSYVLKGSQTDQMLRPQWQPQTMEKAPMTTNSTLEKQIHEDFCQRTMAQDGVQQPFSSDWRLSPCTVTSIDPAVPKPPGGGFEQVTGNIHYLRQIRWLLLLFHAKSCTYPVGSCKFHGCVQVQELLKHFQNCQRKDCSYRSCSRSRMVCHHYKTCVDEQCPVCSIVRKFLRQSTEQTSKQKALESRKLAQQNVRQRIMNGVEGDRMDVDPASAEVFDDQPSVPKRLKMQPPSPSAPENDISVTSNPHVNPGFVLQETQPEQLEHSNRGTYLKRETDAKADMRAPQKPIKVGYGIDGNVATRHNVIPGAPNDMNSHIKQENLSIDKETSETTIEVKNETNDPTDATVSKSGKPKIKGVSLTELFTPEQIKEHIDSLRLWVGQSKAKAEKNQAIGHSENENSCQLCRVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHYFCIPCYNESRGETIEVEGQAFSKAKLEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKCGLRKPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQEKQDRAAAAGKNIDEIPGAEGLVVRVVSSVDKKLEVKPRFMEIFGEDNYPQEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSFPNQRRVYLSYLDSVKYFRPEIKTVSGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASKEEIVVELTNLYDHFFITMGECKAKVTAARLPYFDGDYWPGAAEDMISQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQYSCSHCCVLMVSGRRWVCHQCRSFYICDKCYDAEQQLEDRERHPSNSRDTHMLHPVDIVGVPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNACFKKGAVNHQHNLTNHPSAADRDAQNAEARQMRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACKDSECNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >PAN03934 pep chromosome:PHallii_v3.1:1:2066639:2081538:1 gene:PAHAL_1G029700 transcript:PAN03934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGQAAHLSGQMSGQAAQMNQVANSGLGVGVGGADGLQQHQPMQDMAADQQFVMLRTAMREKIFEYIGRKQSSAEWRKRLPELAKRLEEILYRKFPNRNDYYNMMNGPVEPQLQFAITTLSAQNQRNQQNPQVSRQIASSSGYGTMIPTPGMTQSTSGNSRIPYVTDNNTLSSSGSSMVPQNANMGASMPGSMSNGYQHIAQNSTPNSIQSTMGSVGVQRQLPHMIPTPGFSNQQNVPANPDYSNGTGYFNGESAVAPHMQHQKQFSSNQNSNQIQHIGGHSNSGIHSSMLDNSSAYGLSDGHMNGGIGLHGSNMQLTNRTSAPEAYMNISNPYGSSPKPLQQQFNQHPQQRIPTSVDMAGSGSFYATGSTPLTTANSQSMNVANLQSRSRMNPMLVNNQLNIQSIQPQSQIKTEVLDQPEKVNFQSSQLTHDQLLRQHSMPQHQVQPNSQFVQNQYHINQQQPNPQHQQAMLRSNSFKQSQMASSHSMQLSEQGTLPHTELVSSQASDPVDLPSFQGQYQQRNALDNVKGGQMFGHLSGSQNFHASASHVSQQLLPSNPQLDDGSNDVSYVLKGSQTDQMLRPQWQPQTMEKAPMTTNSTLEKQIHEDFCQRTMAQDGVQQPFSSDWRLSPCTVTSIDPAVPKPPGGGFEQVTGNIHYLRQIRWLLLLFHAKSCTYPVGSCKFHGCVQVQELLKHFQNCQRKDCSYRSCSRSRMVCHHYKTCVDEQCPVCSIVRKFLRQSTEQTSKQKALESRKLAQQNVRQRIMNGVEGDRMDVDPASAEVFDDQPSVPKRLKMQPPSPSAPENDISVTSNPHVNPGFVLQETQPEQLEHSNRGTYLKRETDAKADMRAPQKPIKVGYGIDGNVATRHNVIPGAPNDMNSHIKQENLSIDKETSETTIEVKNETNDPTDATVSKSGKPKIKGVSLTELFTPEQIKEHIDSLRLWVGQSKAKAEKNQAIGHSENENSCQLCRVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHYFCIPCYNESRGETIEVEGQAFSKAKLEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKCGLRKPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQEKQDRAAAAGKNIDEIPGAEGLVVRVVSSVDKKLEVKPRFMEIFGEDNYPQEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSFPNQRRVYLSYLDSVKYFRPEIKTVSGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASKEEIVVELTNLYDHFFITMGECKAKVTAARLPYFDGDYWPGAAEDMISQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQYSCSHCCVLMVSGRRWVCHQCRSFYICDKCYDAEQQLEDRERHPSNSRDTHMLHPVDIVGVPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNACFKKGAVNHQHNLTNHPSAADRDAQNAEARQMRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACKDSECNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >PAN09092 pep chromosome:PHallii_v3.1:1:59622255:59625036:-1 gene:PAHAL_1G457600 transcript:PAN09092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLQYTATRRQRAATACLFLTGAALIVAAARLSYANIEPQRAQAAERRRVLEAFIRRKLGSDHQQDPPPTKP >PAN03636 pep chromosome:PHallii_v3.1:1:1091055:1094905:1 gene:PAHAL_1G012300 transcript:PAN03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWSRAVILLLVWSAILLSRSGNGAAQQPRRCGAGDLAALRGFSAGLDAAVDGWPIANASDDGCCDWPGVLCNDAPGGSAAVVGLVLPNRTLRGEVAASLASLAALRVLNLSSNALRGAIPAGLLRLRSLEVLDVSANALAGGLGPGVVIELPALRVFNVSGNAFNGSHPVLPGASNLTEYDVSGTNFGGPVDAAALCAESPALRVLRLSMNRLSDAFPVGFGQCRSLTELSLDGNGIGGTLPDDLFGVASLQFLSLHTNSISGGLSPRLRNLSSIVRLDLSFNAFSGPLPDVFDALTGLQELSAPSNKLSGVLPATLSRCRRLRVLNLRNNSFVGDIGLDFRALKNLVYLDLGVNSFTGPIPASLPECRGMTALNLGRNKLTGEIPASFANFSSLSFLSLTGNSFSNVSSALRTLQSLPNLTSLVLTKNFHGGEEMPSDDAGITGFPSIQVLVIANCELHGAIPSWVAGLRKLRVLDLSWNRLAGPIPPWLGQLDRLFYLDISNNSLQGEIPGSLTRMPGFVAGGTHGGDDDEAQVQDFPFFMRRNTSVQGRQYNQVNSFPPSLVLSHNNLTGGVPAALGALTKLHIVDLSWNKLSGPIPPELSGMTSLESLDLSHNSLSGPIPASLTRLSFLSHFDVSQNNLSGEVPVGGQFSTFSRGDFEGNPFLCGIHVARCARKDPQQEQEDGRAGGGRRDKERSTSAGVVAAISVGTALLLAVAVAVTWRVWSKRQEDNARVAADDDSGSLESLAKSTLVLLFPAEESDGERTMTLEDVMKATCNFDESRIVGCGGFGMVYRATLPDGREAAVKRLSGDFWQMEREFRAEVETLSRVRHRNLVPLQGYCRAGKDRLLIYPYMENGSLDQWLHERPGALPWPARLGIARGAARGLAHLHASSEPRVLHRDIKSSNILLDARLEPRLADFGLARLVLPTDTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLLELVTGRRPVDMARPAGGGRDVTSWAVRMRREGRRGEVVDASVGEGRHREEAGRVLDVACACVSENPKARPTAQQVVEWLDAIAAAAAPASPPADTDHGVNGCDWR >PVH67018 pep chromosome:PHallii_v3.1:1:56594634:56595560:-1 gene:PAHAL_1G412200 transcript:PVH67018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDQPSASTPCADAAPAPLSRLESTEDAKLALAPATCGSDASVAVDGVRQRLGLARVAVDVGHGAAQSLWSNADEVALLAAAAAFSKAHRPRPALPGCGGAAVWLRQGLRLAAHRRGQGVRQAVPPREQVPARCAGGVPPARSRMTARCMTCPPKCEASPTADVVFPPEDNPVVQGSPVMSDA >PVH65878 pep chromosome:PHallii_v3.1:1:6830853:6831161:1 gene:PAHAL_1G095300 transcript:PVH65878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDDPTSHNYELWMMGLRGDDEEDLSMDAEELFGGSNEAIDHDPEPQGSESVGTGIFSGSGASRKRRVSTSKAWNDFEKIYEVIDGKKR >PVH65979 pep chromosome:PHallii_v3.1:1:9209569:9211215:-1 gene:PAHAL_1G117600 transcript:PVH65979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEESKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDGELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPNLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASPREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN07129 pep chromosome:PHallii_v3.1:1:50053801:50055238:-1 gene:PAHAL_1G312700 transcript:PAN07129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKMGLKRGPWTPEEDRILVAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIHLHHMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPKPASQQQAAPKRKPRKQQQADAEVVVLDGPTSSTVPVSPEQSLSTSTTSSTDYSAASSLDNNADSFTSEEDYQIEDSFWSETLAMAVDSSDHSSGMEREDSPAAPAANDEMDFWLKLFMQASDMQNNLPQI >PAN08049 pep chromosome:PHallii_v3.1:1:54645511:54651266:1 gene:PAHAL_1G383400 transcript:PAN08049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGAAPKQDDLTPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVLPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIVGFSGLWRNVARYLSPLSAAPLVALVGFGLYELGFPSIAKCVEIGLPELILLVIFAMYLPHTVHMLKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPIPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCIFFAYAGSAGLGFLQFCNLNSFRTKFILGFSVFMGFSVPQYFNEYTSVAGYGPVHTHSRWFNDMINVLFSSKAFVAGFVAYLLDNTIHRHESSVRKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >PVH65732 pep chromosome:PHallii_v3.1:1:4352201:4358342:1 gene:PAHAL_1G063300 transcript:PVH65732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADADELAADIICSLRGADLAGWTPPWCKPAPREGELIWPPVARGKRSRRRSPSAGPAASGKGRWGRGSPASPLDYSGGSGSGSGSGSGGSTSGGEDGGGFCSPGHRRAPATKVGSIGRPQLTFPTPPTWATGQRPRKKLRLPEVQQLVRSLTVENDSLREEMRALQRACKALSKENDKLETRLGHSDSPNEITSKDQKGKEQIDEQVAQSTQDSFVLPDLNLPPQDTADVSPVH >PAN08994 pep chromosome:PHallii_v3.1:1:59228624:59229639:1 gene:PAHAL_1G450300 transcript:PAN08994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKQLVAVAVVAFLLAAASGRRQPVHLRLYMHDVMRGPGTTAIHLIHGVGPPHDIERGGYFGDTAAIDDVVTEEPDVGSPAVGRAQGTYMLASQHEEVLVVAVTVALTAGPYNGSTFSVAGRVGVYDDKAEAAVVGGTGRLRRAAGYLTWRMVKLVVSEGYVMVELDVHMSVPVSAAAAAGGNWPVICCGRVLGRLAQRKKYARGNW >PAN08563 pep chromosome:PHallii_v3.1:1:57285745:57286684:1 gene:PAHAL_1G420800 transcript:PAN08563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSHASFSDLTISQELLVHLSRYKQCNGILNYSYPYTNQGPDIPFGHQPDSYPPCQGKQQEEIRRREMEDLQPAAVVRVPRRAMLFRLPRRPLARASGAAPPVPAGGKKRKMAVARLGGKRRLFGAIRRLRMRWLAVLYRRTLRRMRAYYATAINDLLEGAAVISSLRGPAGADCSFGTAFAPVVTVGF >PVH65792 pep chromosome:PHallii_v3.1:1:5319753:5321271:1 gene:PAHAL_1G077900 transcript:PVH65792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLHSLLLSNNEFSGKFPSLIQSLSNLILLDLSWNKFYGTLPTWIGHLAKLRFLDLSHNILYGSIPVNITHLRQLQLLNLSFNNISGSIPQSLSKLMAMTKTHMPGPRTNGLDWYWYVPWVNSEFLDIMSAVTKHQQHKYAAESILYIVDIDLSVNHLAGGIPDEMASLDGLRYLNLSRNCLRGNIPKNIGAMESVESVDFSWNSLSGEIPASLSDLTFLSVLDLSYNNLSGRIPSGRQLETVYDSNPTMYDGNNNLCGPPLQRNCSSGNSDPKHGNEKASGENSESLFFYFGLVSGFAVGLWGVLCALLFKKP >PAN04264 pep chromosome:PHallii_v3.1:1:3614812:3618379:1 gene:PAHAL_1G053300 transcript:PAN04264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline-phosphate cytidylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G32260) UniProtKB/Swiss-Prot;Acc:Q9ZV56] MADGAKAEAARARPESSQEEEEDWKEAEGDVAEVDRAAPNGAGEGQVPTDRPIRVYADGIYDLFHFGHARSLEQAKKLFANTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVSEEFLDKHNIDFVAHDSLPYADASGAGKDVYEHVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKNLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFGSLLQYDSDDYEEEDEDEHFEDVKE >PVH66607 pep chromosome:PHallii_v3.1:1:48999098:49000549:-1 gene:PAHAL_1G297200 transcript:PVH66607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDPALDLLHKRMASELEALRELVKKAELISQGPACKSGAATAGKSKRILAAEPRSEAQIEAGGKMQSVKRRKMSPPLYQNQKQIEVPRMSANEREQLAGRLASLAAVPGHIVEFLQQQFGGDADPQGEIEIDVHKVENSVLFELKTRLDKFAKERLSADAAVLPEEEHAPVMIELDLKTQMDSNLAEVIRSDAIPEQDEEDVDICAMSDSDSDSSSSSSDSDRSSSGSDSDSESDSDSESDTDEVVSIPAPPAVLLPEENGTSAQPPPAPAPEAEQSTKPEIVPDGVDSTSPPALLPEENVVIRAQPPTRPAPEDARSAEQKKVRGVQRAAPKAVCLPGAIFRAKVRRELMEIERAVQPDESIHPRDLRRLCIAEYGRPGIMRRLGLFLKADA >PVH66357 pep chromosome:PHallii_v3.1:1:37845315:37847991:-1 gene:PAHAL_1G220100 transcript:PVH66357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAGFSASNGNGAALHVVERWTFRTFSFANSSHASPPSEPPAPPNNPLLPHSKPLLLTGNHQHHHHHHHHHHNLLYKVLGGVLGGVVLLVFVIGGSVVWLSRPIRHPSEECTVPSEDKPYGTMSMEVMRAATKGFDSGNVIGVGGSGATVYEGVLQSGSRVAVKRFQAIWPCTKAFVSELAAMLNCPNHPNLVRLAGWCCSKDELVLVYEFMPNGNLDCALHTIGGATLPWEARFRAVVGIASALEYLHDGCDHRILHRDVKSSNVLLDGEFNARLGDFGLARLVSHGGLPHTTQPAGTLGYLAPEYVHSGVATERSDVYSFGVLALEVATGRRPTERGISVVDWVWVLWGRRRLVDAADQQLQGRFIAEEMRRVLLVGLSCVHPDCRKRPGMRRVVKMLDGTAPLTLVPDKKPPVMLQTQVNQASSMNSVDTVNTAFYSCR >PAN04799 pep chromosome:PHallii_v3.1:1:6545664:6553364:1 gene:PAHAL_1G092300 transcript:PAN04799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPSSLAPPAVPMELHAGNRDRLVAALRGHLSASGRPPRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFAPRLPADYAVWMGEIKHLSYFRDTYKVDVVFYVDEITQVVQDRFGDQGKPLLFLLHGKNTDSGNFSKPASFEGMEKFDTDLSTLHPILTECRVIKSDLELAVIQYANDISSEAHIEVMRQARPGMKEYQLESIFLHHAYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTLNDGDMALMDMGAEYHFYGSDITCSYPINGKFSSSQIVIYNAVLKAHNDVISHMRPGVNYLDMHKLAERAILESLKKEQILQGDVDDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTTRELKEGMVITVEPGCYFIDTLLTKARNDPISSKFFNWQEIEKYKSFGGVRIESDVYVTAQGCQNLTNCPRETWEIEAVMAGAPWPLRPSTSPAAAAESGV >PAN07979 pep chromosome:PHallii_v3.1:1:54268378:54270121:-1 gene:PAHAL_1G377700 transcript:PAN07979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATVALASPASDDRRFWDRLRTRVDTILDDRHVLPPPAAAAKRGVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVSALATCSSQARECERSAGVDEEVEEPKAKRLCGDSLEAAGLDGDSPAAGKEAAAGSDAEETAGVKLRRGTGGAQASAEVAQSTNLKRARNLAVSMASRAAALARELKNIKSELHFMQERCGLLEEENKRLREGYDNGAAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLDESYEDVMQGMRLDFSSPLGKISDDEEGEYDDGVPVTPAEVLSSPDE >PVH66864 pep chromosome:PHallii_v3.1:1:53913259:53913981:-1 gene:PAHAL_1G371400 transcript:PVH66864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVIFEFTHCNLHHPWHTTKRNKHRNRTKGQRRTIQKIRTKASWGFIT >PAN05101 pep chromosome:PHallii_v3.1:1:8975208:8976442:1 gene:PAHAL_1G115400 transcript:PAN05101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVRAFAVALFALGWALAVAADNVTTSSSSSPAPAPPPAVWQRGHATFYGGADASGTMGGACGYGNLYSQGYGSRTAALSTVLFQDGASCGQCYKIACDRKTDPTWCRPGVTVTVTATNFCPPNWELPDGGWCNTARPHFDMAQPAWEKIGADTRAGIIPVIYQRVPCARRGGVRFTINGHDYFNLVLVTNVAAAGSIKSMDVRSSDSADWQPMARNWGANWHSLAYLSGKRLSFRVTTTDGQTLEFTNVVPPGWTFGLTFASNLQFK >PAN06570 pep chromosome:PHallii_v3.1:1:47420146:47423914:-1 gene:PAHAL_1G273100 transcript:PAN06570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFVDPFIPAPAWPQDLVFTGSSWSDSASAPLADSAGTYIGASPDQDTEFHLQNGSSPVLLNRSAKEMLSPVELHEQFLQAQLQDDATQGLNFEMDGALMASTLGSVLSTSCAISLADSAPVVCSSNDSSGSEQSGLPQFILGEQPVPVPAAWASTFTQISSLVGEETSQGFGFRALSNGDLLREACAADAKKYPQLGNVPSVPLQLHNDAEFNTGKMLSFAPGSRQQNTNFEDLQISQKEFSGLHHLNLSSLVPWQLASFNATGVTHNPKQSNEASGGKNGLNAAPLMARSEVPNGSGIAGNGAPKPRIRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNRTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATEAVVPLLTESQTESSSGGFLLSPRSGRQQAGEGGLLGQPELRDGAAFEQEVVQLMENNMTTAMQYLQSKGLCLMPIALASAISDQKGTSSAAVRPANSAAADEGGAGGDERDATEMLRGLNAFGGAREMRSRA >PAN06625 pep chromosome:PHallii_v3.1:1:47693601:47698046:-1 gene:PAHAL_1G277100 transcript:PAN06625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAPPSSSVAAALTRQQARFLNGCSVSKETKGSVCSLFTPNSNNAKVKSMGLRISSSLKRDGGYPAGGASGNGDVLLPRSTSVRGQDHSVADPVLPTDSMVAPEILSANLARVADKFADEDTDTELDLDSPTEGFASIADAIEDIRQGKLVIVVDDESRENEGDLIMSASLVTPEAMAFIVRHGTGIVCVSMKEEDLERLDLPLMVTTKENEEKLCTAFTVTVDAREGTTTGVSAKDRAKTVMTLASPESKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDADGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLIERASVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMSMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYSGLKGYGLSIAGRVPLLTPITSENRRYMETKRTKMGHVYGQASQASDSNSAAEKH >PAN06439 pep chromosome:PHallii_v3.1:1:46781496:46786774:-1 gene:PAHAL_1G263800 transcript:PAN06439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCSAGIPGDKSPTELSFRAMGFVVEQEFRAFSAASKNRTAPVEDAAEPEQVSDQSSRLPEKGSPPPSTGSKARHSISKEPPHLMRAESGKSKAGKPRRSTSSKAGPSKVSDIGLALGRKSTSGLGKAVEVLDNLSSSMTSLSPGGGFVSAPTTKGNKISILSFEVANTIVKGMSLMQSLSKESLKYLKDTVLLSEGVQRLVSSDMDDLMRIAAADKRQELRVFSREVIRFGNRCKDPQWHNLDRYFSKLESEITPQPELKEMAKADMQQLMTLVRYTGDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVHSLKKKSLWSKVLDDVMEKLVDIVHFLHVEIQDTFGPCDGNSNESQESRQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDVLYQGLPPNVKSALRTRLLTSSESQEVPITQIRCSMEKTLQWIVPVANNTARAHHGFGWVGEWANTGNELSRKQPGQPDVLKIETLYHADKEKTEACILDLVVWLHHLISYSRPNKGGRSPSRSPVRSPARSSHAAPRSPVSAAASRGTAALTQEDREMLLDVYARRRSPGKSKSQELSTAAHGGGRSALSRNDRLSKSSGSHGPSREHGGRVFPLTTSRSPAASPVVYFDIDRIKALDAELTDRANVQKQP >PAN06438 pep chromosome:PHallii_v3.1:1:46781584:46786739:-1 gene:PAHAL_1G263800 transcript:PAN06438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCSAGIPGDKSPTELSFRAMGFVVEQEFRAFSAASKNRTAPVEDAAEPEQVSDQSSRLPEKGSPPPSTGSKARHSISKEPPHLMRAESGKSKAGKPRRSTSSKAGPSKVSDIGLALGRKSTSGLGKAVEVLDNLSSSMTSLSPGGGFVSAPTTKGNKISILSFEVANTIVKGMSLMQSLSKESLKYLKDTVLLSEGVQRLVSSDMDDLMRIAAADKRQELRVFSREVIRFGNRCKDPQWHNLDRYFSKLESEITPQPELKEMAKADMQQLMTLVRYTGDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVHSLKKKSLWSKVLDDVMEKLVDIVHFLHVEIQDTFGPCDGNSNESQESRQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDVLYQGLPPNVKSALRTRLLTSSESQEVPITQIRCSMEKTLQWIVPVANNTARAHHGFGWVGEWANTGNELSRKQPGQPDVLKIETLYHADKEKTEACILDLVVWLHHLISYSRPNKGGRSPSRSPVRSPARSSHAAPRSPVSAAASRGTAALTQEDREMLLDVYARRRSPGKSKSQELSTAAHGGGRSALSRNDRLSKSSGSHGPSREHGGRVFPLTTSRSPAASPVVYFDIDRIKALDAELTDRANVQKQP >PAN07128 pep chromosome:PHallii_v3.1:1:50049115:50052048:-1 gene:PAHAL_1G312600 transcript:PAN07128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNHAAARDMVLALLATVLVLTARPATSAAAAMQPRASCLRRCGDIEIPYPFGVGPGCHLETGDWTFVLTCNRTAGGGRPRLYNYQIEVLDMSVRLGQLRIYSIINPWCYNATTRAMNGQSNWWYNMSITNFRINDAQNRFTVVGCNSLAYIRSLNDTIDTSRYMTGCMAMCPGVGRLADGSCAGVGCCQTAIPGGLNGYQVSFEEKFNTSGIAGFSRCSYAVLVEAAAFDFRARYVTTDAFVAENGGQVPLVLDWAIGNKTCQQARRNASAYACVSANSECVDSKYGKGRGYLCNCSAGYDGNPYLLNGCQDVNECEDARFRYPCSVPGTCVNTVGSFYCACPDKTTGNAYNGTCEDNKSQIGWQIAIGVTSGVVVLIITATCLYMVHEKRRLAKIKSEYFKQHGGLLLFEEMKSQQGLSFTLFTQEELEVATNRFDERNVIGKGANGTVYRGTTKDGEAVAIKKCRLASERQKKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGRRGEHPRIPFSLRLRIAHQTAEALAYLHSWASPPIIHGDVKTSNILLEEDYTAKVSDFGASTVAPTDEAQLVTLVQGTCGYLDPEYMRTCKLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLVLGEGRLGEILDEQVKGEHGLELLEQVAELAKQCLEMASDRRPSMRQVAEELARLSRMAQHPWGRQNSEEILALLGGSPSTASEIELSSPRNISFTDTAYVGIRSPR >PAN08390 pep chromosome:PHallii_v3.1:1:56473807:56475068:1 gene:PAHAL_1G410100 transcript:PAN08390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAGRHQIMGSGVGGGAGRKMGPTAAPAMGLQKQNSWSPDIERDEAWERRRRGMRRGGSALRRVRSVTDDDLDELRGCIDLGFGFEPPAAGSGCAACGGAGRSRLLETLPALDLYYAVHGGGGAGAERCACACGAASEVSSDESPLGSPMSILSPGDTPETVKMRLKQWAQVVALSMLSRH >PVH67037 pep chromosome:PHallii_v3.1:1:56778816:56782185:1 gene:PAHAL_1G414800 transcript:PVH67037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEVCGRGVRRNADGNRCAQAAAKACLLSLQTFAKAEGWSPEVRTHQPRVMMMDSCLMVVVANVHVHHLHVIPNPGRRGAEQGSATGTGTPPWLSKGSGNVCRGGRTVVRGGRQILGKFPSVPMSVHCPLPVRANAS >PAN07631 pep chromosome:PHallii_v3.1:1:52517120:52521184:1 gene:PAHAL_1G350800 transcript:PAN07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEHVLYVPRAHESPPDRKLHGPENTLAASPTVSPRRRAAKNPQALCFQGHSPSRAACSWSAEISDRNNLMAGAGAERKVAAVLYHYPCPDGAFAALAAHLYFSAAARPVRFFPNTVYDPIRSDSLPLDEIEDVYLLDFVGPPGFVEDIAPKAERVTILDHHKTAFESLCGNSTLGQNVTKVIDMQRSGATIAFDFFRNKLLTEASILWGSESDKDVAEIKYVPDNKVETVHKLFKFIEDGDLWRWKLPNSKAFSSGLKDLDIEFNVNANSKLFDQLLELDPEHVISRGQVTLLEKQRLIDDCLGKSYEISLGCGQFGNCLAVDADAISNLRSELGHQLASKSRNLNLRGIGAVVYKVPELHNDQMLKISLRSLEQEDTTSISQEYGGGGHRNASSFMLSITEFDRWKVGAEPSQTEGA >PVH65769 pep chromosome:PHallii_v3.1:1:5040007:5040402:1 gene:PAHAL_1G073400 transcript:PVH65769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEFPTKYKQTRRNATSPSPPPARPPAPRRTAPRRPASRRPSPPHRPLSLLLRRPTRHPPLLLVRLKTPPQPAQAPPPPPPPPPPPSRAQWKAEEEAGRASSSPRPGTEVSARLRRLACFRPPRPRSRLD >PAN06514 pep chromosome:PHallii_v3.1:1:47062760:47063578:-1 gene:PAHAL_1G267400 transcript:PAN06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELLRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYVGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMCMLRWFCGYTRRDRVRNEKIRDRVGVASIEEKLIQHRLRWFGHVQRRPLEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN08133 pep chromosome:PHallii_v3.1:1:55170065:55171318:1 gene:PAHAL_1G390300 transcript:PAN08133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGDMIPSSPSVETSPSSSDVATESTGSFFRDRSTTLGTLMGVSLADDEPGQAQDHQPGRDAGDRTGTPRAPAHEEEGWRWRRRWRRRRWRSAGGGWWRLCRDDARAPTSLGHFLDMERQLTGAGLLCGDGAGERDAAAASPVSENDGRVRPLAAGGAAGEESGGWKLRRPAQSTSSSSLARLPVLLTAICSGGAA >PAN03553 pep chromosome:PHallii_v3.1:1:302928:308867:1 gene:PAHAL_1G002400 transcript:PAN03553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMLGSSASRRESRSGELLKTTTMSLRDAATKIVEAPKAATMSLRDAATKIIEAPKAATPKPSNSMAAVVREAAAAAVRHEGWMVRYGRRKIGRSFFHTRYFVLDSKLLAYYKKKPKDNMVPLKSLLIDSNCRVEDRGLKTHHGQMIYVLCIYNKKEKEHQITMGAYDIEDAMAWKKKIELIIDQQQDSMTAKNRKAFASIDFDMELGEQFSFSDHDSAAEDDEERPILTRRTTIGNGPPDSIHDWTKEPDIGLFNQNDPNQVHSKKNWRLLRCQNGLRIFEELLEVDYLARSCSRAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRHGSLVEEIDGHTAILYHRLQLHWCPMLVWPRDLCYARYWRRNDDGSYVVLFRSIEHPNCGWQRGFVRAFIESGGFKISPLKCHNGRPRTQVQHLMQIDLKGWFLNYSLSFQYHSLLQILNCVAGLREYFSQTDEIHVTPRIPVMETMFDVDSEPKGHKTQEVDSKSKAVYQGHKNMGMIDEESDEDDEYQVPEADLEEDLNKFDNDAKGTDEPPEKIDLSCFSGILHRDVEEKSRNCWTVPDSKIFKVRSKNFPHDKSKIPAASYLMEVAAIDWFKDTKRMDNVARQKGCVAQVAAEKGMHTFVVNIQIPGSTQYSLVMYFVTSSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYFRGRGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPATAVVPDLENAASESGNNEDSNNNASSEDDVSKKTN >PVH66136 pep chromosome:PHallii_v3.1:1:19043424:19043901:-1 gene:PAHAL_1G158000 transcript:PVH66136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSKFRNQSNRGNDCRTQVEASPEEKTDVVVQQGRHLYISNPTLCSYASYSCVCISLFLLDMCMNRTY >PVH66703 pep chromosome:PHallii_v3.1:1:50597740:50598901:1 gene:PAHAL_1G320600 transcript:PVH66703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWRRGRALLLWDPDRRRGGEGRKTRRSSPPPAPHRKDATRTCCSGLGLFFPPGKASRQAGGSQSRVGARSPVLHWRRERGGPGTKKPRSPFDLRWD >PAN03931 pep chromosome:PHallii_v3.1:1:2082178:2084903:1 gene:PAHAL_1G029900 transcript:PAN03931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRLFSSHLALHPREPARPLATAATAVAVPHRRGKHDAVACKATGKTKPKAKAKAANKGGERLRRRPLEEHLKRRTRSAAAFDADLYGRHGHAHHVPVLLGEVLAAFRRPRPLRSFVDCTLGAAGHSLAMMEAHPEMELYVGMDVDPTALEIGRGHIEAFLAGREANGGELRAYTHVKNFKYIKQVLGSLDESLAVGSSGVDGILIDLGMSSMQVNRSNRGFSVLQDGPLDMRMDPKATLRAEDILNSWPELEVGRILRDYGEESNWQFLQKQIAKAREMGGLHSTGDLVKLIQRKCTISKGRQGWIKTATRVFQALRIAVNDELRVLEDSLHSSFDCLVTGGRLAVVSFHSLEDRIVKKTFLELIHGGEADDEEDDDDDLTLSDIDDEDEPWFNQRVQGRNGIVLTKRPITPSQEEEKLNQRCRSAKLRVIQKA >PAN05827 pep chromosome:PHallii_v3.1:1:35535754:35536638:-1 gene:PAHAL_1G212000 transcript:PAN05827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLATRISREMGQQIEKAPLCENPFHMVNPFSSRLYVSPWATMSAPVFPLGLSNSHGGLGLCGASKRGREVIEMEFLFSKILTPSDVGKLNRLLIPRQFAEKYFPKISKTKSDGSDPILTFEDSSTGLIWHFCFSLWRSSTTYVLTKGWPTFIKEKKLNNGDIVSFYRSVDKSVGMNRFFIHIKPHVDISSVPHHNTILMFTPSGLLNDEWVREGLGFSNCYRFEPTWKPLSFGSGGLEPSMTLMPQPNMSPMSFGNSMGKAGKHLRLFGVDIDVPPCANCDDFHNEWSNGVA >PVH66680 pep chromosome:PHallii_v3.1:1:50129620:50132155:-1 gene:PAHAL_1G313800 transcript:PVH66680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEKSNVAANGDGLCMAKPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVANGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPGEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGTKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTSATGGLHAARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGTAEFNAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAAIPNRIAECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >PAN07366 pep chromosome:PHallii_v3.1:1:51163434:51164937:1 gene:PAHAL_1G329900 transcript:PAN07366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSGSCHQIRCHRRFLMSDSQSSITSFTGSVVIGHSCHQIDAKLVGIGRRHRQICSHQLLRAAKLAVISGHQSPITLH >PAN05889 pep chromosome:PHallii_v3.1:1:14902233:14903281:-1 gene:PAHAL_1G144800 transcript:PAN05889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVPFFTEGELLVSRQRRQLCARSAAPEDASSVPSAAGAATARNDQRERICRLDSFKRLKQIGEGAFGTVSKARDRRTGEVVAIKSARNGSSEAAAALLREAALLAACAGNPAVVALREVARSEPAGLHLVMDFVGPSLHDIISARRRHDLRFTESEARRAMAQLLAGVGSMHAYGIVHRDLKPGNVLVGEHDRRLKICDLGLATSTAAPPRDAQIEGTLGYIAPEALVCQKDCGGPADMWALGCIMAELVGGEKLFPEDDEYSQLVSIVDLLGIPDQPSNLREKVPEERLSPAGFDVLRGLLEYDPKDRLTAAAAQRMPWFSASGGGRRKF >PAN05558 pep chromosome:PHallii_v3.1:1:44064069:44066353:1 gene:PAHAL_1G239200 transcript:PAN05558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLLHELARSASVNECVRIDRKFSGMVPKTIRHMYIELMSPTVVEQISQVKKLRTLIMQFQDQDQAGEELILRKVLSVAKSLRVLSLITNSTCKLPNTVNAMVHLRYLSVIWGRKNMKQFSWFPQSVYKLYHLEIMKFDNPQLTVPVKGEMEGSCNLVNLRHLQLSYGIMAMIPDVGKLTSLCLLYGFRIQQQRGYTIGELKNLKNICHLHISGLDKVNSTEEATEVMLDQKEKLSAVTFSWSPRPEDLLNLGSNSSDSCDPSKAEQILDKLQPHPNICKLKIQGYPGSRSPCWLESLKLINLTYLCLCDCKVLQRLPPIGQLPSLQYLYISNMESVNRVDSSFYGSEKPYGLQSLKVLEIEDMPSCTEWVGLDDVNLFPRLDTLIVRNCERLRRVPSVPISIQHVEIHFTDLRDMPPLSVTSNTSSSSSPGYSLTALEELSIQQCASLSCLQEDFFCSLSTLKTFEIVKCPNLITGEIRLPPTLRSFTLGWCSTAESPLFKSLLGLKSLKRLYLDGCAMLSLPSDVFACLTGLTDIMFIGCAMTSLPSTAAFAGLTSLENLAIWDCKDLASLDGIQGLPSLTLLTISGCNRLVEDMFVQSVESADLSGCTLELRELDIDHPSLLLKDPLQSITSVKRFRVSGGPELTLLPEEWLLRNCQALEEIVVTNASGLQCLPQEMASLISLESLQIFNIQTLPDMPASLSNLRIHNCHSNLKERYKKNGGPDWGKVAYIHDVDIS >PVH66562 pep chromosome:PHallii_v3.1:1:47945274:47946430:1 gene:PAHAL_1G281000 transcript:PVH66562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSILRSCNVGTNATPPGGFTNFIQPNMSQNFIFGGQPSQYAPFRQPRNMQDVQSEEEFSTPISAKDNNPYVNVDSGEEAPRTEKRIFWSQEEDVRMISSWLLNSTDPTCGADRKNEQYWSDVEVTYNETTPSHRARNAKQIKDRFHKVNRWTDLFHSAWLKARMIYTSGYNDLMWIEKAHVFYIEDNKKLNLGPFVLMEVWNTVKNEAKWITYKNGLKAARKRKGPGNEKKERLRIIQM >PVH66588 pep chromosome:PHallii_v3.1:1:48481145:48481255:-1 gene:PAHAL_1G288600 transcript:PVH66588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISDIKLIRTDTTLDLSQKAEKGMSCPSALPLIL >PAN05114 pep chromosome:PHallii_v3.1:1:9028338:9030721:1 gene:PAHAL_1G116000 transcript:PAN05114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MRWDAAEPEAVLERIWDLHDRLSDAILASSRAHLLLPPPPPPPTAPPAGGARLRCGGGGGRDGGVFVKGCAGAAEAVAEARSLHAIRSALEDLEDHLQFLHTVQSQQRAERDAAIARLEQSRLVLAMRLAEHQGKRYRVIDEALAFVGEVSDKSRFISPEDVCATHSEDDAEHNRGSSSRIMSSMLSCSLSLAKNSLRLDKIGGALGNAAVFAVSMLAFLQLHQIAFGSKTPAMGYRKRIEYSFQSGSSQQNGKGKHLEVYLARG >PVH66526 pep chromosome:PHallii_v3.1:1:47301887:47304191:1 gene:PAHAL_1G271200 transcript:PVH66526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQMDKVEFNSNLSLQQSYPPKLPTIFSCSYCRQKFQSAHALCGHQNAHKLQHSLGKRNREAFLAVRQGKDENAGMEGSSALSAEAGSNVPRGKKQRDEVCQVLQGSGGSTSSSTMIQKFLQQEVFFLLIRETCSPFYLSVKQKQCSSAVFCFSRNASVAPLNHLFQKF >PAN08917 pep chromosome:PHallii_v3.1:1:58859579:58861520:1 gene:PAHAL_1G444400 transcript:PAN08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATPPQPLPLQLQRPPGPPPRPFLTRHRSSRLNRIAASQDPLTSLSSLLWGRALPPAQLVLAVRHGWTAAWQLLMRQLAPSDPETGAFTRTPSRFPAVAETPSSRLHLYVGLPCPWAHRALVVRALLGLEARLPVSVAVPGDDGAWSFTPDSPDGLYGKRKLREVYAVRSGGFEGRASVPMLWDAERREVVCNESIEIIKFLCGLANADGGGLDLRPPELRQDIDRWYGVIYPSVNNGVYRCGFAQSQAAYDAAASELFGALDRLEAHLAGSRYLCGDRLTLADVCLFTTLIRFDLVYNTLFRCTRRKLAEYPSLHAYTRDIYQMPKVAETCDMEAIMAGYFKTLFPLNPGGIQPLPPASCDSESLLRPHGREALSSAAGTPLEAAGVS >PVH65972 pep chromosome:PHallii_v3.1:1:8970703:8971137:-1 gene:PAHAL_1G115300 transcript:PVH65972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDNLLKRNISKPLQCQFCTEFESSPHLFFECIVARNAWHYVDVRTDVKIRSYEDMACKWPCGGNYEIVNCISAGVCWGIWLTRNDMVFNRQIWRSIKTVLGAIWRCIITWKPMLKDQVAAGVDLWCSYLEGAITSPLAIKAA >PAN07374 pep chromosome:PHallii_v3.1:1:51201071:51204614:-1 gene:PAHAL_1G330500 transcript:PAN07374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 2-1 [Source:Projected from Arabidopsis thaliana (AT5G47180) UniProtKB/Swiss-Prot;Acc:Q9LVU1] MGGGGTLISVYPEELTFLFELDKACYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDVQCKDKFLIQSTKVPASTDMDEIPPDTFNKEADKVIDEMKLKVVYTLPSGGSDDSSVSSLGSRSFKAASDDLTMLKNASIEEIQTIQRLKDERGNMLQQNQQMQRELDVLRRRRSRKGDTGFSLTFAAFAGLIGVLVGLLLSLIFSSPPATA >PVH67144 pep chromosome:PHallii_v3.1:1:58310608:58312311:-1 gene:PAHAL_1G436500 transcript:PVH67144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRTRPTESTKSASSPTTSDVLLDILGRLFTVGDVRTAPRTSIPSRRWRHLPWPEIPQVSLDVGSFFVDSDEWCLVEGRHRFWRQHHATVGFTDALARFLAAPPSKRSIQRLSLAFILMRRDYVRRIGDLVGDASDTGRVKNVELEILTEMSCSSGDDAPLMVTYSERFRDFLKDCPGAFRSLTKLTLESLWFKDQDALRNLVRGCNALEFLSLMFCGLFRPRSPDLDDIVIRPAVLTIDAPQSRLKALVCDQSYIERIELVQAPALVEFQHRWFFDDHPPISFGCVPLLRSLSLTHHPHRQDDDGDIDVMKWKLSELLARDGNQIQTLRFDFENDEISDHICRGEWRKRRGENTNLTWQPPSPGFMQHNLKKLSFRRAFNVYKDMRFARLIMELAVNPETLTFGVKTLECKDCVAAEPNFPDPARSRLRLPGNSEHVDAVVKKLKDGISTSALINYNPT >PVH65927 pep chromosome:PHallii_v3.1:1:7870744:7871262:-1 gene:PAHAL_1G105700 transcript:PVH65927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNPAAVAYISAVWITLAAWAVHSLLAASPEAPANVAFNVLVVLAAAASRLLLVMCRPSLPVDTGGAAAGRCRPRLAAYRGASSARATDPAAAGNNRDARPHEHGAAAAVQCVVCLGEVGDGETVKRLPACRHLFHQQCIDVWLHGHSTCPVCRCGVVAASPGRWCASTIF >PAN03886 pep chromosome:PHallii_v3.1:1:1920077:1925543:1 gene:PAHAL_1G027200 transcript:PAN03886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAALPLLLPAALAVLLLVAACSAAPGVFQVRRRFPAGGGAAALRAHDGRRHGRLLAAADLPLGGLGLPTDTGLYFTEIKLGTPPKRYYVQVDTGSDILWVNCISCDRCPRKSGLGLDLTLYDPKASSSGSPVSCDQGFCEATYKGKLLGCSANMLCEYSVMYGDGSSTTGFFVTDAMQFDQVTGNGQTQPGNASVTFGCGAQQGGDLGSSNQALDGILGFGQANTSMLSQLAAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVADMPHYNVNLESIDVGGATLQLPAHVFETGEKKGTIIDSGTTLTYLPELVFQEVMFAVFKKHQDIKFHNVQDFMCFQYSGSVDDGFPTITFHFEDDLALHVYPHEYFFPNGNDIYCVGFQNGALQPKDGKDIVLMGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKIKDDKTGATYTVNSHNISSGWRFHWRKSLVLLLVTVVCGYLIC >PAN04013 pep chromosome:PHallii_v3.1:1:2423816:2428404:1 gene:PAHAL_1G035300 transcript:PAN04013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYALCSPAGSPGPSSLPPAAGGTPEDVALLHLPPPPPLGSSRLADRLDALAPRTRRCYRTRRRSASPGAGGRARATPPREPQDAPPLPLPPPPPLGSSRPELAVVQLEGDGAPPAAAAGYMRKSASPGAGAGGGGQRRRRRRSPTRRSSPSPKRSRRGSSWSKGRRSEGRGSRTGSRSRSPDRPQSGYGASTNGQDNTGRLGLMTYKQFTQVLEDDVSPAQAGSRYQEYRTEYIATQKRAYFDFNKNEDWLKDMYHPTKLLSVIERRNEFCKTVAKNLILDLRNGTLDLGPGVTADAPTKSGGKDGSPEDDADYGERERKHGRGPQKEIELLSAAPKAHPVSSQYRRIHADIERTLALLKKLDSEKDIAGNILLTGVQGKSNVDKSFSRSMGPVVIVRGLNTVKDLEGVELLDTLLTYLWRVHGVDYYGMYEMKYAKGFRHVRAENKSGSMVENINAADWEKKLDSFWEERLMNGGDPLVVLTAKDKIGAAIVEVLETYVRKLQDEKYVWKYGCGANGCEKLFHAPEYVHKHLRLKHPDLVSTLASKVENNIYFQNYMDDPDAPGGEPVMQKMEPDRMRRRPDEQMYGASGGRGSDTLLLSKPSLVLIPVPGAGPYGPFVPAPPEMAMQVIQKGFPGPDAARHWKPSVLGPMLPMHPSFPLDSRTYRSYKDLDAPKEEITAVDLRRL >PAN04432 pep chromosome:PHallii_v3.1:1:4574686:4574970:-1 gene:PAHAL_1G066900 transcript:PAN04432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTRVCLLLAAALAVSCALLATPADADAAAPVEGLPPSIGGTVFGCNPATDKTCKPDGPKLLPGGGIDIDGDGDEDELPGFDPHFTILGHAH >PAN05669 pep chromosome:PHallii_v3.1:1:16048790:16049217:-1 gene:PAHAL_1G148900 transcript:PAN05669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQNHKLMASKISYHFVYYYKTTQRVQEPDTEWCLILINYSIVPIKVKASSQSSKVVSRTVKNVS >PVH66413 pep chromosome:PHallii_v3.1:1:43701934:43702452:1 gene:PAHAL_1G237400 transcript:PVH66413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSVSSTSPSSSSIISITSPDSETSKEAMPEFDPIASYEALAPLHWDAEEWDFSTWSEDDEPLTDDEDLQILLHGDLDKGDDDSWDDDFFSSSEEDAQDTSIDDDSAKGGFLRGGSSTSEDDRDTSDDASRSSDGGNSSSTGGGDGDSDDDSSASPPYKRRKSLGTYWW >PAN08549 pep chromosome:PHallii_v3.1:1:58987669:58992224:1 gene:PAHAL_1G447200 transcript:PAN08549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAWRRHLLDHHLSPSTSAAIAAFRSASQTGLTPQGLCGADGARYMSSARAPAVKGSGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKIMGDLGQIVPMKYHPRDVDSIKAVMAKSNVVVNLIGREYETRNYSFEEVNHHMAEQLAMLAKEHGGIMRFIQVSCLGASPSSESRMLRTKAAGEESILKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVGGGTAKIQPVYVIDVAAAIVNSLKDDGTSMGKIYELGGPEIYTVHELAELMYETIREWPRYVNVPLPVARAIASPREMLLNKVPFPLPTPSIFNLDQINAFSVDNLVSKDALTFEDLGIMPQKLKGYPVEYLICYRKGGPAFGSTVSEKMRSSEM >PVH65805 pep chromosome:PHallii_v3.1:1:5604902:5609015:-1 gene:PAHAL_1G081000 transcript:PVH65805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate-inducible and autorepressible transcriptional repressor, Nitrogen respons [Source: Projected from Oryza sativa (Os02g0325600)] MDPPPHSGRRRCREYLLALEEERRKIQVFQRELPLCLELVTQTIEGMKSRMDGAGGSEETVSDHGPVLEELMPLKPSLSVSSEEHESSGGAGKREEAAETPDWLRSVQLWSQEPQQRPSSPSSPRKEPLCKPVALSARKAGGAFQPFEKEKRAEAPASSATTAAASSAAAVVGDSSDDAPADTDTRERPRSDDKETSRDAEDKGGNKKDSKGGEEGQSQAPARKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQYRLHTRRPNATATVQSAGTSAAPAPPMPQFIVVGGIWVPPPEYAAAAAQPAGGDAPGTTTTAGTVYAPVATLPSGVHRPQPRQQGPPRQSSGCSDAGRRRGDASSGSPAVSSSSHTTSA >PAN04645 pep chromosome:PHallii_v3.1:1:5607134:5608800:-1 gene:PAHAL_1G081000 transcript:PAN04645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate-inducible and autorepressible transcriptional repressor, Nitrogen respons [Source: Projected from Oryza sativa (Os02g0325600)] MDPPPHSGRRRCREYLLALEEERRKIQVFQRELPLCLELVTQTIEGMKSRMDGAGGSEETVSDHGPVLEELMPLKPSLSVSSEEHESSGGAGKREEAAETPDWLRSVQLWSQEPQQRPSSPSSPRKEPLCKPVALSARKAGGAFQPFEKEKRAEAPASSATTAAASSAAAVVGDSSDDAPADTDTRERPRSDDKETSRDAEDKGGNKKDSKGGEEGQSQAPARKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPNATATVQSAGTSAAPAPPMPQFIVVGGIWVPPPEYAAAAAQPAGGDAPGTTTTAGTVYAPVATLPSGVHRPQPRQQGPPRQSSGCSDAGRRRGDASSGSPAVSSSSHTTSA >PAN05843 pep chromosome:PHallii_v3.1:1:33240310:33244344:-1 gene:PAHAL_1G206400 transcript:PAN05843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRPQSVAGCRPHPRSNFQPVQLPSQSKLSSRRSSSPSRNEHRRRAPPDGSGVPRSVDSADEHPRAATSLSPTSCWTKLSNLTSAQVFAGPTSASAGTGARSSRSSWTRGTRHLLPGGITSLSALSRTVLCPSNRTPARREAYAAAGRAAPRSGGDARSRQ >PAN04639 pep chromosome:PHallii_v3.1:1:5575127:5576954:-1 gene:PAHAL_1G080600 transcript:PAN04639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAMAGPAMLLLLCTVCRLALGITDGLLPNGNFERGPVPSQLRGTRVLGSSAIPSWQTSGFVEYIPSGKKQGDMLLVVPEGAYAVRLGNEASIRQRLRGAARGARYSLTFSAARTCAQAEQLNVSASGQSGLLAMQTMYSSNGWDSYAWAWVADADEVEVVIHNPGVTEDPACGPLIDSVAIKTLNPPRRTNKNLVKNGDFEEGPYIIPGTKWGVLIPSRVVDDHSPLPGWMVESLKAVKYIDGSSFAVPRGRRAVELLAGRESAVAQVIRTVPGRRYALSFTVGDASNACRGSLMVEAYAGRESTKVAYESAGKGGVKRAVLPFRAASARTRLVFFSSFYSTRSDDLSSLCGPVLDDVAVVSVRAKRG >PAN07775 pep chromosome:PHallii_v3.1:1:47934991:47935800:-1 gene:PAHAL_1G280700 transcript:PAN07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLVQDSVMPVLHPGKPASTCSSPLHCSLLHLDGKVLIIEAKRWMDSSCISCCTSSQLLPANPCAPMHNF >PAN07776 pep chromosome:PHallii_v3.1:1:47934991:47936123:-1 gene:PAHAL_1G280700 transcript:PAN07776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAGAPRHPTKAMLNSGAAGRPDSVMPVLHPGKPASTCSSPLHCSLLHLDGKVLIIEAKRWMDSSCISCCTSSQLLPANPCAPMHNF >PVH66222 pep chromosome:PHallii_v3.1:1:25932286:25932927:1 gene:PAHAL_1G181000 transcript:PVH66222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRAGVRGGGTLVGVPRTRMLYAVRGLGLMVWASKPSVAGLVVWSSKPSVAGLVVWASKPSERFHGFGPQNPGGGSNAERTARGGIKEVASKQGY >PAN08875 pep chromosome:PHallii_v3.1:1:58810986:58811240:-1 gene:PAHAL_1G443200 transcript:PAN08875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSLFALVMVALLVSSCGATARGEMVMHEHDDPACEVMVPCNVLDCTQHRINVGLRQKGFCTAKPDLQFYCCCPVVSA >PAN05694 pep chromosome:PHallii_v3.1:1:16984455:16990603:1 gene:PAHAL_1G151200 transcript:PAN05694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGAAAGGTRRPWSICRQSAGAPLAAAGSMSIRAVLDRVFSAVDASGPRPVLAIGNGDPTASACFRPPLEAEEAVVEALRSRKHNGYSPCVGVLPARRAIAEYLSRDLPYQLSRDDIYLTSGCCQAIDVMISILAQPGSNILLPKPGFPLYESRTMFSNLEDRHFNLIPDRGWEADLESVEALADENTVAMVIVNPSNPCGSVYSHDHLAKIAETARKLGIIIIADEVYDHLAFGNKPFIPMGIFADIVPVITVGSISKRWLVPGWRLGWIAMCDLNGILKEAKVDKLIESYINITNDPATFIQGAVPQIIANTKEDYFMKILNLLRNSADLCYGKIKETRGITCPHKPEGSMFVMAKLDLSCLDGIQDDLDFCCRLAKEESVIVLPGSALGMKDWIRITFAMDMPTLENALERIKSFCQRHAKLEA >PAN05693 pep chromosome:PHallii_v3.1:1:16986326:16990098:1 gene:PAHAL_1G151200 transcript:PAN05693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGTTGWHISRPSARGAPLADAGSMSIRAVLGRVFSSVDASGPRPVLTLGSGDPTACASFRPPPEAEDAIVDALRSGKHNGYSPTIGVLSARRAIAEYLSRDLPYQLSRDDIYLTSGCCQAIDVMISILAQPGSNILLPKPGFPLYESRTMFSNLEDRHFNLIPDRGWEADLESVEALADENTVAMVIVNPSNPCGSVYSHDHLAKIAETARKLGIIIIADEVYDHLAFGNKPFIPMGIFADIVPVITVGSISKRWLVPGWRLGWIAMCDLNGILKEAKVDKLIESYINITNDPATFIQGAVPQIIANTKEDYFMKILNLLRNSADLCYGKIKETRGITCPHKPEGSMFVMAKLDLSCLDGIQDDLDFCCRLAKEESVIVLPGSALGMKDWIRITFAMDMPTLENALERIKSFCQRHAKLEA >PAN05600 pep chromosome:PHallii_v3.1:1:23829100:23830198:-1 gene:PAHAL_1G173200 transcript:PAN05600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARALPPVELVERPAGPLGGSADVSCDQHEGFYFLTSQEHLLVFDPEYDDDGQISGDLDGYLFPDHHMTSPPEAGQVVGGRYLVESDGRLLMVKRFISPGRGTVSFQVFTLEWETSDPHWESSAAVVTGKLLFIGRGCSRAIQTGRSVPGFIYFLDDAEGFPDVQSIVRTEKQYRCSDAGWFRCSPQYIEKSWPQGPRPDCSPWIWLYH >PAN05146 pep chromosome:PHallii_v3.1:1:9175846:9177877:1 gene:PAHAL_1G117400 transcript:PAN05146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPYLKKVRRLFSHLLFSLGIDPSLSMMIFAFWMFVQENGCFDFFECINAFDGQHISVMITFVRKCVATLVHLESSDSNRIDFYLNNFCYEAVGDPLNDFEIQELIYEYAQDHDARLKEEIYKRLGLGTNLAKSSGQTHSSQGQGTRAHLLDPIEKETTSSPSIDSLGETVDALNITETTDELQHRLDIILKEERTLLVSFFDGYPLTGHELNDFFSYCLFSLYMEPSIRPNPHWALITFCSPYVLTNVLNGEQRFHFIINGKDVWVQRYIEAKERHFAANGKDLFCWG >PAN07150 pep chromosome:PHallii_v3.1:1:50191340:50192444:1 gene:PAHAL_1G314600 transcript:PAN07150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYALCATGFAGINRLWIAAHDTEKGEDQALVSGLLDWMMKCENYGEPLGAAFGLAGVVKGFGISSLKK >PVH66198 pep chromosome:PHallii_v3.1:1:24793669:24794701:-1 gene:PAHAL_1G176500 transcript:PVH66198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVTSSLRPGPAPPAGRPHPRRRPAERAAVLEAILTSPTADADAKPTITDRPELFPDLVRILRDATSPAAIWCMAAAVQVRGRPARASLVRAEAIPALALAVAAAPMALRLRAEAARCGDGKAAIAAERGRGCGRRDGVDDPVGPSGEQRMREAVASAPEALGKLLVMMQGYCAPTTSRMAGELLRAGCGWSRSARAWPPPNPIDRRTGREEWEER >PAN06776 pep chromosome:PHallii_v3.1:1:48403668:48406905:-1 gene:PAHAL_1G287400 transcript:PAN06776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKRTDAPVEAAGAEKPDDSAPERPPRTLFGFRDPAPDAEPASVAAAAPFRNKEKVLITCSRRITYRYRHLMQDILSLLPHAKKDSKVESKQSKGNALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSTNFDEQPHWMLVKEMITQIFATPKDHRKAKPFHDHVFAFSIVDGHVWFRNYQISVPHNEINKIDKGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTWYENPYYISPNQIRALEKRQKAGKYVKKVKAKVRRKMHEMENTLEPDEFAELWKGE >PAN08069 pep chromosome:PHallii_v3.1:1:54727498:54729000:-1 gene:PAHAL_1G384400 transcript:PAN08069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLVHGHFGCPSRGLVEGRSCALPALCNSVLPHYRYYSAEKHKHDDTLGEIGEKARSTAEEFLRVAKEKTDEVTEGAKETLHETKEAVVGESDDEKEKFKRRVEDGRYHHQK >PAN04328 pep chromosome:PHallii_v3.1:1:3960069:3961819:-1 gene:PAHAL_1G058600 transcript:PAN04328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPAGGEHPMAMGHGPAAAAASPSSSARLPAPHSPWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLSGSLLADGESEDAERQGGAGSRRGDGKAAAAGEAGPFGDRWREHVVVIMAGDERPTFLATPASGSGRGVDADDVAVGMDAYDLAADEGRCVECAARSRPAGDGLVSRSELSDYSGSSVISER >PAN04018 pep chromosome:PHallii_v3.1:1:2435035:2437790:-1 gene:PAHAL_1G035500 transcript:PAN04018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEEEDRVAASLAAARRTLRAGVEKSRALGHALARAGPRLEEIQVALPALEAAVRPIRAPRGELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLAPGGARGEDLPGYLAVLAQLEEARRFLAGNCGLAAQWLADIVEYLGDRDLADPRFLADLGVTLDGLRAPDGDLDGGLLAAALDILEAEFRRLLADHSAPLAMPKPGAAVAPARVPAAAVHKLSLILDRLVANGRQDRCVAAYIDARGSVVSASLGALGLDYLRDPAQDAQALGPALEMWGRHLEFVVRRLLESERQLCAKVFGQHKDAASACFAEVAAQAGVLDFLRFGRAVADAKKDPIKLQRLLEVFDSLNKLRLDFNRLFGGKACAEIQSQTRDLVKLLIDGAVEIFEELIVQVELQRHMPPPSDGGVPRLVTFVVEYCNRLLGDQYRPVLGQVLTIHRSWRKEVFNDRMLVDAVLNIVKALEANFDVWSKAYDNAMLSYLFMMNTHWHFFRHLKATKLGEILGDVWLREHEQYKEYYLSMFIRESWGALSPLLNREGLILFSKGRATARDLVKQRLKTFNSSFDEMYCRQSSWIIPDKDLREKTCNLVVQTIVPTYRSYLQNYGPLVEQEGNTGRYVRFTVDGMEKMLSALYMPRPRRSASIQIRHSSSKIDSAMTGLHRSASAVK >PAN06677 pep chromosome:PHallii_v3.1:1:47917485:47919735:1 gene:PAHAL_1G280400 transcript:PAN06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAATPPVTGEKPHAVCLPFPAQGHITPMLKLAKVLHARGFHVTFVNTEYNRRRLVRSQGAAAVAGLPGFRFATIPDGLPTSDADATQDPAAISHATMHHCPPHFRALLAGLGAVACVVADNLMSFSVDAARELGVPCALFWTASACGYMGYRNFRLLIDRGIIPLKDEAQLTNGFMDMPVDWAPGMSKHMRLKDFPSFLRTTDPNDVLLTFQLHEVERSESAAAVILNSFDELERPALDAMRAIIPAVYTIGPLASVAEQVVPAGGPLDAIICSLWREDPACLAWLDGREPRSVVYVNYGSVTVMSGEELAEFAWGLAGSGHDFLWVVRPDVVRGGTASAEAALPPGFAEATRGRGLLASWCDQEAVLRHEAVGLFLTHSGWNSTVESLAAGVPMLCWPFFAEQQTNCRYKCAEWGVAAEVGGDVRREAVGARIREAMRGDGKGREMARRAAGWKEAAARATVESLANLGSLIDDVLLSGTSRRRTLSLS >PAN06679 pep chromosome:PHallii_v3.1:1:47927960:47929007:1 gene:PAHAL_1G280600 transcript:PAN06679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSRFLDLERHDVLFFYGAYHRSDDAGAGAATHALVFWPVFLVAALLLHLVTPFPHAAAVCAGIYVAYCFLLDRAARASSAGPAAAPSRPTAQCVTRAGYTIGQDS >PAN08626 pep chromosome:PHallii_v3.1:1:57671474:57674788:1 gene:PAHAL_1G425600 transcript:PAN08626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSRFHTSAACSLASASSRSPPPRRRQAASLMGQSPSVPPGCSRSRSSSRWQQPGLGLDLGFLFGRKPRPGDERLDLANWMRCFLSQHLPPPSAEAEAEAEGKAAGSCEEEEVGGEEADHLVVMVNGLYGSSADWKFAAEQFAKRLPGKVFVHRSQSNHSKLTYDGIDLMGERLAEEVRQVVQRRRNLRKISFVAHSLGGLVTRYAIGKLYEPTINETSSLDADKLTDEQKIPGAGKIAGLEPINFITSATPHLGSRWNKQLPYLFGVPLLERIAAGTAHFIVGRTGKHLFLTDRDDGKPPLLVRMVEDCDDGKFMSALRSFKRRVAYANVTYDHIVGWRTSSIRRQHELPKLKLSANDEKYPHVINVDKGNSEDCQQEESVEASLADSLEERMIRGLTQVSWERVDVCFHKSWLRYNAHHNIQVRIHPVNSDGEDVIYHTVDNFLV >PVH66489 pep chromosome:PHallii_v3.1:1:46618083:46618463:1 gene:PAHAL_1G262000 transcript:PVH66489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRDSRKLGPAASVHRGPRTSVGQRRRRGFPLRKVARTAAGASGGGRQGRSGGGVEDGARTATAAEARARGSNTEDGAGAEAWARTAGAGTSSRGMSDGGRPERRNGEEEEIRMGENGGRKMSK >PAN08143 pep chromosome:PHallii_v3.1:1:55223415:55226377:-1 gene:PAHAL_1G391200 transcript:PAN08143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGGKRKGAKVMQLDGTSFRVKLPAAAADVLRDHPGFQLLESEEVKLLGARARPLPPDAPLRRGRLYFLVALPRRAPAGGGAMRRAWSGNLRVGARERLESLMLARRSTSDLSSLPVHASASAPTSPLPSGATSPLPAAGGATPVRLKMRLPRAQVEKLMGESKDPAEAAAKIMELCGAAHASARVTPERPPGILRSPRFAKTPEWGAGFMLPAPAPAKTPQRWPTLPRTTTEKKARFVELPDELIA >PAN03536 pep chromosome:PHallii_v3.1:1:397677:402075:1 gene:PAHAL_1G003900 transcript:PAN03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPTSSGDSPPPQSPPPAQTESGSISSMVASSAASAAAAAADFTRWAETFGTEKADAAKAALASAATIATSSASAAASASSTAASSAYAAASDLTLIAKEELEWANKEYSVHEQMVFGKIKEGVVMAITHPGIAAGSATLAGIVLFKRPRSYLIQRVQRMFVSKETLLSGIQAEVTHMRQTVNLVSNESQKLMDRAATAEKRFQKGWNTLREEGRAIQHELSEISDIEKQAVGLKGILDQLPRAHASEFRSEISGLASQVKKEKRVLNATLSKIVNYGVPI >PAN07946 pep chromosome:PHallii_v3.1:1:54105266:54110168:1 gene:PAHAL_1G375000 transcript:PAN07946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMASLLRLAALSLLLAVATPVRDITDACSSQVKAADFPHLNGSGLHLALHHPRSPCSPAPLPADLPFSAVLTHDDARIASLAARLAKTPSSRPTALDESSSSAAPDESLASVPLGPGTSVGVGNYVTRMGLGTPAKSYVMVVDTGSSLTWLQCSPCVVSCHRQSGPVFNPKASSSYASVSCSEPQCGDLTSATLNPAACSKSNVCVYQASYGDSSFSVGYLSKETVSLGSSSMPNFYYGCGQDNEGLFGHSAGLIGLARNKLSLLYQLAPSLGYSFSYCLPTSSSSGYLSIGSYNSGQYSYTPMASSSLDDSLYFIKLTGITVAGRPLSVSSSAYSSLPTIIDSGTVITRLPTGVYSALSKAVAAAMKGTPRASAFSILDTCFQGQASQVRAPAVNMAFAGGAVLKLAARNLLVDVDSATTCLAFAPARSAAIIGNTQQQTFSVVYDVKSNKIGFAAGGCS >PVH66913 pep chromosome:PHallii_v3.1:1:54496077:54497739:-1 gene:PAHAL_1G381400 transcript:PVH66913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRQATVLTALLLASLLPLALSRGLGLGRGHVRPHAHGVGLGRRHAQPHPQPHGHAPLGGGGWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCEAAGGGSHSCLPGSVVVTATNFCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALYRAGIVPVSYRRVACNKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKGDRSPGWQAMSRNWGQNWQSNTLLDGQALSFRVTTGDGRSVVSTNAAPRGWSFGQTFSGAQFN >PAN06434 pep chromosome:PHallii_v3.1:1:46748876:46751182:-1 gene:PAHAL_1G263600 transcript:PAN06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHGVRASLRFLVSTTEAASAPASRGFHSTGVRRMGAHMHDEPYYVHAKHMYNLHRMKHQQLKVSLAVLAAVGTGVGVPVYAVVFQQKKTASA >PAN07503 pep chromosome:PHallii_v3.1:1:51838745:51842970:-1 gene:PAHAL_1G341100 transcript:PAN07503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHAKTDSDVTSLAASTPPRSPRGRPAYYVLSPAASHPDFHLGASGRAAEKMSLAGSTPAESPLHYHFHHHRHNHSGGGAAGGGGGGGVHHSRESSTGRLLFSDQLRSGGDVAAVVSAPWRRLAQSSGAGSVGDDDDEDASLHGGLASSQWRCYALGALAFVAVFAFFLLVLWGASRSYKPHVVVKSVVFESYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTATPFLLFYGELTVASGEMAEFYQPRKSGRTVTVSVVGKQVPLYGAGVSLHSKPNNGRLGPAVVPVRLGFVLRARAHILGLLVKSKFYRRVRCGIDIREARLGRPVRGVAAGCEYRDGR >PVH67029 pep chromosome:PHallii_v3.1:1:56692685:56693373:1 gene:PAHAL_1G413300 transcript:PVH67029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVQRPPYPDDNWVSAFYQIGRGQLPTVPSSLPLVAREFIHKCLRVNPDDLHSADELLGHPFVALPDSEQHVA >PAN04065 pep chromosome:PHallii_v3.1:1:2704084:2706321:-1 gene:PAHAL_1G039100 transcript:PAN04065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASAILCLLWVSLGSCLVYKFLTASGKTTSSSNARRPPGPAGIPLLGNIFDLRGAELHHALARLAGVHGPVMSLKLGTSNAIVVSSAAGARDVLQKHDQILAGRSVNDAARGLGHHEVSMLWLPATSPVFKRLRAVCNNHLFSARGLDATRAMREGKVRELVGCLRDRHAGQVVSVGVVMLSGMLNLMSNVLFSEDVADLNSADAQELETLLNDAIEEITKPNLSDLFPVLSKLDLQSHRRNFALYIKKLYDFFDRVISRRRQSAAGGEKKVDFLDVLLQLHSEDQFSLQTIKSFLLDLFVAGTSTTSLTVEWTLAELLRHPAVMSKVRGELQQVLGSKEEQHPDESDIDKLPYLRAVVMEIMRLHPPAPLMMPHLAMAGGAEVGGFPVPKGTQVIVNVWAIMRDPASWEQPEAFMPERFLGTGLDFRGVDARAFVPFGAGRRQCPGMPVATRSVMLILASVLHAFEWSLPDGMRPCDVDVRDRFGTSLNMVTPLKAVPTPVPVWH >PAN05140 pep chromosome:PHallii_v3.1:1:9146476:9152835:-1 gene:PAHAL_1G117300 transcript:PAN05140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPANHYMDPYHSHYRNHNPCPFYPPPGWEIPSGHPREMDSSYQPPTYGPWPYNAGIHHPQHPSEFHCCCNRMYPSGYYSFRPPLPQELPPPHLYYHGPFPQHSNSCPSYFVPAHPYPADQMPYGCDKLKSHCCGCPNHVCHGAEKSNVKIEEERPDVKLENEHKDAGSSRIICHPNNQYPSIWLPAGNLEGQENGKRYELAPQLLNGWAPMSRKMTGDVKQQEQDNQLLNGWAPVSSKRTGNVTHQEQDNQKEKQFHWPIVWVPAGYDEPKEKVKELKMGEAPNNSEEAPQSPKIKVIPLSWFENGHHDQKPSTRDGSGDHGDRSAVKNQPAVTEHRDGMTLEGSPKTTPVVPKRVNDERKPSRENYKTIPVVPENEIDEKKATTYRTIPVMRETDEKQTGMSEKKEAKKATNAGKVEENGKTKHSESSTAKHSKLPPVCLRVDPFPRKKSGNGSSRSPSPPTRKDGDMAKKDMKQAQGQNLEPKQSDTRHITVSEVKEKSPNETKKGMASNNETVQAPSMEHSWEEEVPTSKDDQKVQAGSSTIGTPENSGARSLQGGVVQENAGADSLKGYDKSKNEDETVIETETAKDDARACRANLSEPDAAVCIQSAYRGYDVRRWQPLDKLRKIRNVHEQMQGVKKQLQCLEDSCKKPTDKEQVAISENIMNLLLKLDTIQGLHPSVREARKSAARELVCLQEKLDCLCKQPSWELNRTNSDEEKSERAGNIVPTVARTVTTEACDREERAVELGKLEEPSSVDSMEPCDEVPSGIPMEVEQDADASEQKNEKEESCSTTMEEANEKGRAAEQFELQVPSSTYMLSDAGLPEYPTDNQEHEVEESNAVSVEQVTEEKPAVEDEEKEAPLLVNSTEQLHDSASAEDSSRLKQCTASTEQSLHAESNAGLSSASTEDINATAVAASLESGVAAEKDGSVDGQVHGTAAVESMELKRDASLAEEDQQRELSSPIVHLEDSSVSLQDEGQYDPSPADDSVMSNTKDRSEEATDISIQEQAVDTMQDSTEEPDGILEASMNNVELSASADAKKPDQPALLEPILKPDSDPEQTVVDESDDAVQCGVSRKDEQPHEDQKTEATVDKLTGGSTNDGYSLLRASRKEPDIQESHPNLAEEADDTRDENEIVFPELDSYELSCAHEGAITGHERSETGASSKSQTDVQKDDANVAVSETDACTETLKEAPVDSSTSSSAEDVGVQVPVTEKCTEMPENSAEDVGVQMSVTEKCTEMPSDAQVGALGANSAEDVGVQVSVMEKCTEDASVRAAGANAAEEEAHNLKQDIAVQMESKASEEALSDAATPDDGLKDSGEKKLAEENQQLKELLQKLLASGNDQMGVITDLSEKVKALERKLARKKRPKVRVHRPSGHATAKVH >PVH66979 pep chromosome:PHallii_v3.1:1:55701444:55704526:1 gene:PAHAL_1G398900 transcript:PVH66979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHNAPRDIPKPASVDEFLVKNGKKKKSFMSGLFRKKGRSADKRLLSLRDRDVVFDLEGKSGEAIEFLDASPAAVRKSFSDRHCTTRIENLSLSCLNSPRGPNVDTREYRVFVGTWNVGGKPPDSSVNLEEFLQIEGLPDIYVLGFQEIVPLNAGNVLVAEDNEPAGKWLGLIYQALNKPQAQDGQTSGDELSPPESTSSQQSNRHGGRDTTSAIPKSASGGLLFPPKPSFKVVNKSYRVDNALVKTCTCMSDPSTMQRRAREMREFLYRVEAAAASPGRGADDDGGALPIEGGDQRGGGTNYCLIARKQMVGIFLSVWVRRELVQYVGHLRVDCVGRGIMGRLGNKVCLRPRERLRSRCLLKLSFLDSDVTGGGFRDAWR >PAN03910 pep chromosome:PHallii_v3.1:1:1977750:1978261:1 gene:PAHAL_1G028300 transcript:PAN03910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVAAGHEATEQSLIRVGQPMEGSATRPRQRTAPTATATRVVWRQQAAAGHGGRMRREEEDDGKGRDFAVRAFSLSSRRDSAGRRRRRMLRPRRRPAKSGADGITALSARARDGIMGGGNATAAANPPPAPPCRHLSTSPVNRKLPSILA >PAN04368 pep chromosome:PHallii_v3.1:1:4272091:4274345:-1 gene:PAHAL_1G062400 transcript:PAN04368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQTLLHSRPPPLVNFTAQLASRAPSPLVKRRTGLGRPCRRRPSPLPPSGRLTGPPLTRAPHTDLWGIEQAARNGGGGGGSSGRPVRVYVVPAGDRLPSSPSFLPAALLGRRRPLRRPLSALAAAALLLVQARYEYRWADGVQIKKPIEVSTPKYVEYLMDWIEGQLDDESIFPQKLGIPFPPNFKEIVKTIFKRLFRIYVHIHHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELASLHELIESIIVPY >PVH65731 pep chromosome:PHallii_v3.1:1:4271793:4274345:-1 gene:PAHAL_1G062400 transcript:PVH65731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQTLLHSRPPPLVNFTAQLASRAPSPLVKRRTGLGRPCRRRPSPLPPSGRLTGPPLTRAPHTDLWGIEQAARNGGGGGGSSGRPVRVYVVPAGDRLPSSPSFLPAALLGRRRPLRRPLSALAAAALLLVQARYEYRWADGVQIKKPIEVSTPKYVEYLMDWIEGQLDDESIFPQKLEDCQPKGGGSS >PAN04369 pep chromosome:PHallii_v3.1:1:4271741:4274349:-1 gene:PAHAL_1G062400 transcript:PAN04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQTLLHSRPPPLVNFTAQLASRAPSPLVKRRTGLGRPCRRRPSPLPPSGRLTGPPLTRAPHTDLWGIEQAARNGGGGGGSSGRPVRVYVVPAGDRLPSSPSFLPAALLGRRRPLRRPLSALAAAALLLVQARYEYRWADGVQIKKPIEVSTPKYVEYLMDWIEGQLDDESIFPQKLGVWPD >PVH65616 pep chromosome:PHallii_v3.1:1:2650068:2650463:1 gene:PAHAL_1G038600 transcript:PVH65616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAARFFMLPNVVDGKYPVNKLLEILITFILLSGVDCSSWNSPLSLLKLTSRTIMLLDSSSSSGNPPESELPERLSLNRLVRLPSEGDMCPSRPREAIEMSMTAPSILQMMPSHKQQFVSFCHEVGRPEF >PAN06450 pep chromosome:PHallii_v3.1:1:46868216:46869281:-1 gene:PAHAL_1G265000 transcript:PAN06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKAPTSSTAAAFYATLARGLDDLDRSLASSPFLSLPSLRAALALLRAAHAGLARLVASLHLPGGAAWLDEYMDEASRLCDACRALRLGAAAVEGYAGSAAQLASLLMQAPSSPNLSRQQVPRAISVCRREAMALKEENRALVEARAEALALRLSEGVPADAKLGGFNGFRGVLCATRMLTSFLLTLLSWGVLHYWTDPNAGAGAAGDCGSYFGAAFASALSRAQQRAAAEAGRSVAAAGGGAGVMMHEFRRARAAVEEAKEAAEHGGDVAAAAAEVGLRAGALRAACEDVLALIDDLFDEVVEARKKLLDLCSGGN >PAN06451 pep chromosome:PHallii_v3.1:1:46867665:46869700:-1 gene:PAHAL_1G265000 transcript:PAN06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKAPTSSTAAAFYATLARGLDDLDRSLASSPFLSLPSLRAALALLRAAHAGLARLVASLHLPGGAAWLDEYMDEASRLCDACRALRLGAAAVEGYAGSAAQLASLLMQAPSSPNLSRQVPRAISVCRREAMALKEENRALVEARAEALALRLSEGVPADAKLGGFNGFRGVLCATRMLTSFLLTLLSWGVLHYWTDPNAGAGAAGDCGSYFGAAFASALSRAQQRAAAEAGRSVAAAGGGAGVMMHEFRRARAAVEEAKEAAEHGGDVAAAAAEVGLRAGALRAACEDVLALIDDLFDEVVEARKKLLDLCSGGN >PVH66829 pep chromosome:PHallii_v3.1:1:53284866:53286138:-1 gene:PAHAL_1G361700 transcript:PVH66829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGREASERRDDGRHGKNPTAASAGVLRHAGGCLTTPGAFSARARAGGPPAPPDAVSPSTFTSTYASPLCTRATPGNMKSDGARGAGGEGGCCCRSGGARGDDRTRKTARRRARLERGVSAEAPATARGHYHRWLHPSLSTGQRKLRTESSVGRGSKGRRIISANMQSQAPGSEPGRAPAKRKQRRPAGDGETDDDGDDERRRKVRGLLRDFFEQQLRLDVQRHETMERHARERLFFEEQWRQSMQRMERERLVLERAWMEREEQRRMREEARAERRDQLLTSLLTRLLHGDL >PAN07751 pep chromosome:PHallii_v3.1:1:53164608:53171926:-1 gene:PAHAL_1G359900 transcript:PAN07751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPLHSSSGHNAAAGGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQTLWVYEGGAMVNRAVTYVPGLYKIFDEILVNAADNKQRDPRMDSLRVEIDVEGCCVSVYNNGDGIPVEVHQEEGVYVPEMIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTEFVIETADGHRQKKYKQVFSENMGKKSQPQITKCKQGENWTRVTFKPDLAKFNMTHLEDDVVALMRKRVIDMAGTLGKTVKVELDGQKLSVKSFSDYVDLYMQSANSDRPAPLQRVYEKVNDRWEVCVTLSEGQFQQVSFVNRIATIRGGTHVDYITNQIANYVMTIVNKKNKNANMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQGSFGSKCELSNNFLKNVEKIGVVSNVLSWADFKLSKELKKTDGSKKSRISGIPKLEDANEAGGKDSDKCTLILTEGDSAKALAMSGIAEVGRDHYGVFPLRGKLLNVREANHKQIMDNAEIQNIKQILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFLVEFTTPIIKATKNKTTKSFYTIPEYEDWKRNLGASASSWTIKYYKGLGTSTSKEGKEYFSDISNHKKDFVWVDDHDGNEIELAFSKKRIADRKQWLTNFQPGTYLDHREKRIKYRDFINKELILFSMADLQRSIPSMVDGFKPGQRKILFCAFKRNLVKETKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQSFVGSNNINLFYPGGQFGTRAQGGKDAASARYIFTKLSHVTRSIFPKDDDILLNYLNEDGQSIEPSWYVPILPMVLVNGSEGIGTGWSTYIPNYNPRDIVANLRRLLNDKSTVPMHPWYRGFKGSIVKSHNAKVAGATYTITGIIEAVDSTTLRITELPIRRWTQDYKEFIESVTLDPKNKDKETFIEDCTMQGDSDDVYFVLKLTEENMNKVMEEGLVKKFKLTTTIGTSNMHLFDSDGKIRKYDTPEQILEEFYKLRLEFYDKRKKTLLQNIKLDLKKLENKVRFIRCVVDNEIVVNNRKRADLFLELREKNFDPFPMKKKKAEPAAVGAIEEEENEESPEAANGVDPSDYEYLISMAIGTLTLEKIQDLNAEREKLVNEVEELENTTPKSLWLRDLDTFEKDLDVLDQMDLAEEEERRMKREKNANKEGGKAGPKKQRKKAAVKLPKVESDTEGDGAEPVVAKRGAQQKKPAKKVNGAGSDDEDYVAENAKPEQQKKKQTKKASAPVDEDEDDMPTLKARIAAFTLNDSSPDSSAMETETTEEQNEDKGVKGPSRRGGGKKVSSSLAVISDDEDDDVDFAMEVPEVQAQKKGRGRKTAAATQPKAAATRKRAPAKGKAMMLKTTEDSNTSAPSPEKKVRKMRASPFNKKSGSILQRGSTASSCEITAEASPPSGSSAEPVAAPQPRRTARATTSKPVYISESDPEDEVVEVTDDSDFDVDGDSDDE >PVH65980 pep chromosome:PHallii_v3.1:1:9234270:9234728:1 gene:PAHAL_1G117800 transcript:PVH65980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMSTPFLPEVIPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLILSIRDPTFQLPGRILISSASFLTLRTRREKCEDPCSFFITPGRRCSAPQRLRRPDPCSLIIVPGSRYDAPLKG >PVH66517 pep chromosome:PHallii_v3.1:1:47124010:47127192:-1 gene:PAHAL_1G268400 transcript:PVH66517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFHQKHRDLPWPATTRPPVHQQRSKHPCGAASERMTAPKPGGGAAAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSAEHLRSLEAEITSPSRHFLTVADVRSDSSVAELAKAVVERKQIPDIIVNNAGTINKNNKTWNVPAEDFDMVVDTNIKGTANVLRHFVPLMIDKRHGIIINLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAVALSPGVVYTDMLTSCFGSSAALYQTTETWAPKAATMILSLSLDDNGASLTV >PVH66465 pep chromosome:PHallii_v3.1:1:45743590:45745081:1 gene:PAHAL_1G253200 transcript:PVH66465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWGGLSPGKVFPNCCCWSSDHVGFLFLAHAQSPSRGRPKGRDGVCSDKAHQQLRSRFCKEGPTNFKVHSCQKKKELQERFRWIAGSVKTEVFIWCPARASATYVFRYPFAVVRAKLATAKHRQAHLNKMGMGPWRTRD >PAN07319 pep chromosome:PHallii_v3.1:1:50905492:50909186:-1 gene:PAHAL_1G326200 transcript:PAN07319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-1 [Source:Projected from Arabidopsis thaliana (AT3G60600) UniProtKB/Swiss-Prot;Acc:Q8VZ95] MSTEAGELLGIDPVELRFPFELNKQISCTLQLTNRTDKQVAFKVKTTSPKKYCVRPNNGIVAPRSTADVVVTMQAQREAPPDMQCKDKFLVQSAIVAKEIVPKEVTGDMFTKESGNVVDEVKLKVVYLTPSTQSEGFEDGSAGSLNYQEPLALISKLMEEKNSAVELNNKLRQELDLLRRDISRQHGGFSLVLVLVVAIMGILLGFLMKR >PAN08207 pep chromosome:PHallii_v3.1:1:54772401:54775425:1 gene:PAHAL_1G384900 transcript:PAN08207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAMAASSSSVAEVAAAAAAAAAMESALPQQQQEEEARPRPMLAGGAKSRHHAYSRKEKSLGLLCSNFVVLYNRDDVESIGLDEAAKSLGVERRRIYDIVNVLESVGILVRKAKNRYTWIGFDGVPMALRELKERALREKSGLAPLQTEQQSASTVSDDEDDDKLGNPDGDIENEKLSQTVDNPSDKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTVSLDEAAKLLLGEGHEETNMRTKVRRLYDIANVLSSLNLIEKIQQGDSRKPAFRWLGRAARPNAENAVTVAVPPPGKTVSNKRAFGTDITNIGVHRSNQDSSIQKKAKLAQSGGDILKNCKSVVQSRLGQGKKSGFVYGPFHPAGARKHELDGGNKPGQRERAEDWESLSDSFRPQYQNQALGDLFAHYVEAWKTWYSEFAQGSNIMQQHFGQSAVNHFL >PVH67118 pep chromosome:PHallii_v3.1:1:58020608:58022246:-1 gene:PAHAL_1G431000 transcript:PVH67118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLRKSRSSSGVAGLGAQSGTDGVASQSNPAAGSLPSFPAFGVPSGHGFRPAYAAAGVPPAGGFIPTYPTGPSSTAPSAGEAPTASCGGRNRRPPVAPSSIGVGMDNDIELTDASTAFWSDERTRIVCDIFAEEVLIGNRSSTHLNKARYNNVIQKFKTATGLEYTRKQFKNKSERLKSDHSIWKQLKAQTGLGWDGNGNIIMIDEWWKKMSKEIKGSGRFKTRGLQNEEKLEIMFENLHNTGEDHWCASSGVPPSQSYQPSEEEEEDDSEPDPGTPTSGAKRRNRLSDNSKGKQPKTSKGSWLLGEVERMSIARSVKEKVQSVYSIQQVMALVKDCGAIPGTNEHFIATIIFTKKVEREMFMTLENREERFEWLSKKYEWMAKH >PAN04268 pep chromosome:PHallii_v3.1:1:3621270:3625331:1 gene:PAHAL_1G053500 transcript:PAN04268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRACGGTAPASVPALVRARLARRASSTACAASTVAAASSASSALEELAAERKGLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKSIGWGLYNSVSMFCVRLMQLEEEARRDPTCALNMERLLEARISSAVDLRRSLGLPSANTNAYRLVNSEGDRLSGLIVDIFADVAVIASSAAWVEKYREEIQFLVSKVNGINHIKWRPSEDILKEEGLDISEQKTHASSRSTVKVMENGIVHLVSLEGQKTGFYADQRENRHFISLLSKDQRVLDICCYSGGFALHAAKGGADNVIGIDSSGSALDLANENITLNELNRGRISFVKGDATAFMKGAISKNELWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGMFLKTIQGAASMAGKKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVA >PVH65693 pep chromosome:PHallii_v3.1:1:3621270:3625331:1 gene:PAHAL_1G053500 transcript:PVH65693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLEARISSAVDLRRSLGLPSANTNAYRLVNSEGDRLSGLIVDIFADVAVIASSAAWVEKYREEIQFLVSKVNGINHIKWRPSEDILKEEGLDISEQKTHASSRSTVKVMENGIVHLVSLEGQKTGFYADQRENRHFISLLSKDQRVLDICCYSGGFALHAAKGGADNVIGIDSSGSALDLANENITLNELNRGRISFVKGDATAFMKGAISKNELWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGMFLKTIQGAASMAGKKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVA >PAN08523 pep chromosome:PHallii_v3.1:1:56931398:56935151:-1 gene:PAHAL_1G417900 transcript:PAN08523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G77470) UniProtKB/Swiss-Prot;Acc:Q9CAQ8] MAGATAATPMDIDAAAPPPPPAGAAPKGKAPLCAPGRAAPWVEKYRPQSLADVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYSNMILELNASDERGIDVVRQQIQDFAGARSLSFGARPSVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSHVRERLQHIIKSEGLSVDEGGLTALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPMPKDIEEIAFWLLNEPFSTSFQYISDMKMRKGLALVDIIREVTMFVFKIQMPSGVRVKLINDLADIEYRLSFACNDKLQLGALISTFTNARTAMVAAAS >PAN08969 pep chromosome:PHallii_v3.1:1:59081435:59083326:1 gene:PAHAL_1G448700 transcript:PAN08969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPGRTIAAAPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPPTAASRLLRPHLRAGHPLASLRLFLGMLRDHQPSATSATASQEQETVPNSHSLSAALAACSSHESPSPGFCIHAFLLKLGFAPDIFATNSLLHFYASFGLHSLARKLFDEMPARNTVSFNTLIDSYVQSGRIDGAFGVFRNMVQGGFRLDGWTITALLGACAERRDLMVAKAVHGVARRALRLKLFNSAEVVIGLVDMYVKCGAVQLARKVFDLSVEKAKGVRIWSVMLSGYARAGEIDVAQRLFDEMPNKDLVAWTVLIGGYVQTGRYNEALQLFEEMEATGLEADEVTVVTVLSACVQHGAIGLAKRLHRHMNQNGLISRNARVATSFVHIYAKHGCIQTAMDVFRGVVDEFKTVELFNAMIHGLAHHGYGEKAISLFDEMESLGLHSDETTFVGVLCACSRSCLVMQGREMFNSMLDKYGVKPNAKHYACMVDLLGRAGQLDDAYSFIQNMPCEANHVIWSSLLTACKIHGNNKIRKLAEKQLLKLDTTYKPEKLTLSGLFSDEKRKEVAARVRKVIRRKSEHRQTH >PAN09148 pep chromosome:PHallii_v3.1:1:59866489:59867682:-1 gene:PAHAL_1G462800 transcript:PAN09148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGICIFKSKLAFLLLCVWMLLGAVSAAKIHGNPANDLVALINGKRAASKLPALRNSAGLGCMALQYISECMATAAAACSSDNTVACRPPEAHITEVYAANCGVELPTVDVISGRLVGCHRERAGPEDALQALLASAAGNTTNATEILGKEHTQVGAGFDRAHRRGPFFWCLLFSSGSANSTFLLEAGGKGIRQTHGCFSAPDRTSCNAAPRLAGAAAALPLLLFLLLSS >PAN08922 pep chromosome:PHallii_v3.1:1:58871080:58874144:1 gene:PAHAL_1G445000 transcript:PAN08922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVLRRPLLAALLPAAGGAAGPSRFHIRRRRSQHPALAVSSDSSKPVASTSSSSAGGDNPEEEPPVLPLLQELADCLVLPPKLLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWELADTSTSNNLAKQLPSMEPYLTCSAKSAFGKRLVSAGRRFQSMGQYGQGELKKIAETMIKNGKLLSTRPVVQSDVQAMKEKRKLKFGELEFELTAEKANIGAAVGAVFGFISWQLAQGVQGIPDGTTQYANDNALQLAKSLRVALLVLGYTSTGLSLFAALGLLVLAQQINSENKSE >PVH66383 pep chromosome:PHallii_v3.1:1:41969743:41970895:1 gene:PAHAL_1G230600 transcript:PVH66383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKGGSAAAKKKQSEPENVLPSTNQSQMQLVVFQEHSGTGTSAEPPEPVRGEQGQQAETPIIEDDESMSVDETEPSDGDNDDYSIEHDPGLRAPISSYDVNGQDSVRRAYIALGPCQPKMNREDFPQHDCGDSCKFAGGDAFVDGGFQNWNMKVRINRNAGKIDSAHSEAEEKYNMFMRTFHGHDETKASNNAANFRELLAWLAGNFEEVNKVVLENAPQNGQIIDHKIQK >PAN03600 pep chromosome:PHallii_v3.1:1:765177:775977:-1 gene:PAHAL_1G008600 transcript:PAN03600 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPSGGPSGSSRSSPATSPRPEQPQPGTPASAQQQSQQQQLGFRSQGMMHHHEQQQAYQSGAPPGMMGPGGSGSFPQSSSAMPPFQGQRNLPLSGGPQGMVGGQMHNQVAMQQQYLKLAMQQQQQQQKVAQGMLLQQQAKMNMPGSSSRDQDMVNNPAKMQEFMALQMFKRQAEHIQQSEKQKEQGQPSSNEQRTGDMRPPMPPQGVPGQQLPSVGMIRPMQPMQGQVGMGSAGGGPLTPLQFQAIQAWAKENNFDLSNPANMSAISQLLPIWQSSRMAAMQKQNEASMAAQQQATSSQMNSDAPGHGNVASQGAPLKARQPIPPSSVSGGEEAKIVNSSNLQLQQQLSAHNRDGSNAVRPPMTVGNGAQTMHIPQSSGHVNKVPEQSNPKNVLANSEAVQMQHARQMQQLNQAAAPTATPGETGGSQAPTPSARPQTGQTGFTKNQLHVLKAQILAFRRLKRGDRTLPPEVLELIVTGRPPDSQGQQISGPQATPNRERPGVSNVDEHGRQMETGDKAPEKQALLKGPCLPKVEVSASEDKASPASGPGPMQVMKASPKESLKIGPVSVPEHSNNTVIKSEQDLERGIQRTPGRSDYNAERGKSLPAESDSVDAEQTKRAASTSSAPVPRDVPRKYHGPLFDFPSFTRRHDSLGPANYNSNLSLGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIRPDLVLRLQIEEKKLKLLEHQARLRDEVEHEQQEIMAMPDRIYRKFVRQCERQRFELARQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKSQQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRDNTSVNKYYNLAHAVSESVTKQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVMAMKFNVLVTTYEFVMFDRSKLSRVDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFQDWFSKPFQRDGQTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKDSIVLRCRMSAIQGAIYDWIKSTGTIRVDPEDEKQRAQRNPMYQFKTYKNLNNKCMELRKVCNHPLLSYPFLNHGKDFMIRSCGKLWNLDRILIKLHRAGHRVLLFSTMTKLLDIMEDYLQWRRLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYHKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRTTLETLLHDEERYQETVHDVPSLQEVNRMIARTEREVELFDQMDEDFDWTGDMMKHHQVPKWLRVSSTEVDAVVASLSKKPSRNMSSGGIALDTNDTPEKRRGRPKGTGKYSIYREIDDEDLEESDEDSEERNITTPLPDEGEIGEFEDEEDNDDSVPDNKDESEEEEPIHDGRYNFTDGLGSRKANRMEEAGSTGSSSGSRRLPPPAPSSSSKKLRSLSALDARPGSLSRRTPDDLEEGEIAMSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRVRPRPNAEKQEDRSGGEGVFPQRAARQQDAVHPIVKQKRNMPSKKVSPASRTGKLTYLSGSGEGSAERSKENWSSKAIDSASPEYRGTKMSDSMQRKCKNVISKLWRRIDKEGHQIIPNISSWWRRNENSSFRGPAGSTLDLQKIEQRVDGFEYSAVTEFIADMQQMLKSVVQHFSYRHEVRIEAETLHNLFFNIMKIAFPDSDFSEAKNAMSFSNPGGAASGVAGQSTKHAALGHKRRASTSEAEQHGSGHSRHNQPSEVPSRPHSSRSDRDSRHSGSGSRDQLPDGAGLLHPSDMFIVKKKRQERPRNSIGSPSSSGRAGPLSPTNPGRLGPVPSPRGARMPFQRDAHPSQQSMHSTVWGAHSDHGGSSSTPGIGDIQWAKPAKRQRTDTGKRRPSHL >PVH65636 pep chromosome:PHallii_v3.1:1:3001181:3001948:1 gene:PAHAL_1G044000 transcript:PVH65636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVGSLWQEREDARTDDVSLLVEGLERKAVELEAQLRRRSEEENAALKRRMESYHIRWLQYEIRIKSLEEAFHEQMAALQLAQDAARRAEETAAYDHRESSEHHVDAPEDPPVRLWHGRDRMVVGARRSAVSRLGAEFRRQSHTLERGAEALVAEEPAAAAPSGGCPSSSAADDLKKLKAQFRAWTKDYKARLRRTKAELGSRDARRQGSCWI >PAN06333 pep chromosome:PHallii_v3.1:1:46016054:46017564:-1 gene:PAHAL_1G256200 transcript:PAN06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTTATTLEDLPADVLACALRRLDGRSLAAASCATAGLRALAADPETWRALCLARWPSLLQARPGLLLGAAVPPRRLFADAFPFPCLPADDNAAPAAAPDLQQQLPAELVSAVDVYHGGAPLLSRVVETPASSSWFLTSPFRVDAVECGSPAPAGPSLGRGVSPAELELSWVVVDPRAGRAVNVSSRRPVAVDRHWYTGEALVRYAVVLGGCKFEATVTCSEEAWSIREISLAAEDADGAAVSGEGTLRLLAAAMAGPRKGGEEQEEAAKRRYEEFLRSKRGRKESKARKEVLVDLCCSAVSAVALLSFIAAVVLR >PVH65675 pep chromosome:PHallii_v3.1:1:3422768:3423367:1 gene:PAHAL_1G049800 transcript:PVH65675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFFNACRNFDCLLCTEILATFFFSAQRYKKIVCDLQAPGFTYPPSSSPFTVLDKRIAESEPNSSSAGRVIPPPIPTAGPVSSATETRIHKRIPSRSRDATTSSRAPRPPPQRLRSPLAAGLSPAAAGAPRVSGHRTRLHPLDRAPPPPAAGLTPRLSPLGPGELAVERRGCRRRQDARRQGSPALPPRRAPLRIHPC >PVH66013 pep chromosome:PHallii_v3.1:1:10211922:10213247:1 gene:PAHAL_1G126600 transcript:PVH66013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDYIELYKRDLTPPESGLWASLHHFPVYLRMAPTRHRLAKKIRELKVRVREVGDGERRLRYDVMLPKASPKTKPKPVAKDSSVEGKRGDFLRALEEEGGTGTHSLAFNRAISMLPCDLGRDGSAARSTLEINGTGDLMRRCCNGGGAAVLFIM >PVH65556 pep chromosome:PHallii_v3.1:1:1790558:1791964:1 gene:PAHAL_1G025000 transcript:PVH65556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQSKRTSAPPPPAVPPVGGQAGQPPTIPFMVSPEAWCPPCPLPQPMVLSAGFNLHNGLQQPGMVGSTTTQGPWWAPIGVGAPVAEDLNGGVDSHPPGGFVNFLKQNASSTTQYMSKASSSQPIDVVDDTNCGSRTEKRLTWTKEEDPVLIGACLNNSKDPIQSNYKKNDQYWKSVTAAYNSAVPKSRARQLKQVKDRFGRIKKRVAWFCASWTEANAMWASGESDVNLMDRAVKLYEVEHKNDGPFMFKHCWEVLCKEPKWDAYIEQHFSLNNVVDEWPIGGKKTKEQQKRKRKVQACIIDIEDELHDFVEAQNKANEGRKEMLEAQKRVSSDNLEARKLAYLAAKENKESGMLETYRELLKQDATGMPEDVRAEHVLALKCLREKLFGN >PVH66186 pep chromosome:PHallii_v3.1:1:23445037:23446435:-1 gene:PAHAL_1G171800 transcript:PVH66186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAASGGAGLGAADAGAAAAATAAHAARHEARAAAPLVAVRRERGQGGKPAPPPERLCRTGRRPREQDRRPPWPGQLRWLGRRPCGQGPAGLGGGSRMVSRGGCAGLGAGPAGEGVKRATVAAKMQAVSPAPSRECAVCLSELPSLQRSAPRPGPQLPPERGPEPPRAGSASRGPLASSSSGAAGASAVVRAGGVEQRAQPQPTPACRRQSGSNNCAPSAARPVSAAVAGRLKLFACLRAPPAAYCPPPACVSLMAQMLLRHYVRGKGFGEIAKFILELWCPAQIRP >PAN09004 pep chromosome:PHallii_v3.1:1:59277563:59278201:-1 gene:PAHAL_1G451300 transcript:PAN09004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATASGGAAAAAAAATGAGSPCGACKFLRRRCVPECVFAPYFSSDQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGGAAHSPVSHHQWPADHGSGITALLRQDTACGARKPLGDCFMPELMASAGFRDDVVAAQHCAAKAADAGDLQYLAQAMMRSPNYSL >PVH66064 pep chromosome:PHallii_v3.1:1:13406720:13407984:1 gene:PAHAL_1G139500 transcript:PVH66064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGSQQSLHSSLSGSIVQHQPPISSRSPSSILHPIQANRAEQAGSSVPAWAMAATLQPVLPCFMLLLLLTAGAAGAGRHAGGRTLVFILAGQSNMSGRGGATSGTWDCVVPPECAPSPRILRLSPALRWEEAREPLHAGIDVGNVLGVGPGMPFAHAVLAASGKVVPAVGLVPCAQGGTPLANWTRGTELYDRMVTRARAALAKCGGAGELAAMLWYQGETDAMKKEDAELYQGRMEELVRDVRRDLGRPDLLVIQVGIATAQYGGKFLDRVREAQKAVTLSLPNVKYVDAMGLPIASDNTHLTTEAQVQLGNMLAKSYMETL >PAN04748 pep chromosome:PHallii_v3.1:1:6155236:6162333:1 gene:PAHAL_1G088600 transcript:PAN04748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEQSAEHFRGQARLPGFAAPRRYDLRLAPDLAACAFAGSVAVDLDVAAPTRFLVLNAAELDVAPGGVGFAPRGSGQVLQPVEVTNVPEDEILIICFNEVLPLGEGTLTIAFQGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPAFKAVFKITLEVPSETVALSNMPVIEEKVNGPTKTVYFQESPIMSTYLVAVIVGIFDYVEDFTTDGTRVRVYTQVGKSAQGKFALEVALKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADQFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAEVFQKSLAAYIKRFAYSNAKTEDLWAALEEGSGEPVRTLMHSWTKQQGYPVVSVKLKDGKLQLEQTQFLSSGSTGVGQWVVPVTLCCCSYSRQEKFLFDGKQEDFDLSGLMECQKKDNFWIKLNVNQTSFYRVSYDEELASRLRYAIETNKLSAADRYGVLDDAYALCMAGKQKLVSLLHLIAAYKDETEYTVLAHVITTSLNIAEMMAVAAPEELGSLKKFLIDFLEPFAQKLGWDAKSGEGHLNALLRGTLLTALAELGHEATINEAVRRFNVFLEDRETPLLPPDVRKAAYVALMQTVNKSNKTGYESLLKIYRETDLSQEKVRVLGSLASCPDPVIVREALDFILSPEVRNQDAIFLLRGVCSGAHEVAWQWLKENWDYILGAYSGTLLTYFVNITVSPLATDEKGDEAEEFFKSRTKASIARTVKQSIERVRINAQWVKSIKGEADLGNVIRALAHKQ >PAN06296 pep chromosome:PHallii_v3.1:1:45745535:45746875:1 gene:PAHAL_1G253300 transcript:PAN06296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQQRQRWQEGHRLCANNCGFFGSPATLDLCSKCYRDLNQHQPAFTPSSAAASASAASEAVIAAVASSEPVAPAMAPAGARQAGRCASCRRRVGLTGFACRCGATFCGVHRYPERHACAFDFRAAGRDAIARANPVVRGDKLKDKV >PAN04931 pep chromosome:PHallii_v3.1:1:7574121:7577395:1 gene:PAHAL_1G102700 transcript:PAN04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAREEQVMRELKDKGVLVEILDDDEERSIDNKLLDLNEGFDAESEEGEVGDDEEDEGEEGDDGGSTTDVGGSGSSSNNSSTNNNSGSKKGDNKGGSKSEGSGEQRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDQDGRPRGAITSVYSPMDFHFMRGDRRFHEMSFFQRAAALSSSKPEHGSFFASRNCSAPELSRLYGLLQHRPAPQTFDFRNSRNPDWASSQREAVISRKDVTPPSTAPHQTHPLAAPAALRSERRWWPFTDVGAAAATGERRVETGREVGFANSIGSSSRRPHLWAMSVSGDPRLPFRWRPGGSSGRDPVGYPSNSAKITTRASDPVVIDEALDAQQLERQKHVEPTRDSATPAYEACRKRSPPVEAQDGTADLQLSLSPATVVDAKKRKTTAPSEQEIMDTSELSISLSLSPPAAASMQKQQQEKTRRSNDGTGSGEAVLGQSTLDLTMSIRALE >PVH67208 pep chromosome:PHallii_v3.1:1:59286257:59290114:1 gene:PAHAL_1G451600 transcript:PVH67208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARGYRSNRTSLFDGIEEGGIRATSYSSHEIDEQENDRAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDTSRGFLSGTVDKFKMVFETKSSRRMGTLIASFVALFLLVYYLTR >PAN04329 pep chromosome:PHallii_v3.1:1:3970948:3971246:1 gene:PAHAL_1G058800 transcript:PAN04329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVDSVVDPLREFAKDSIRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >PVH66972 pep chromosome:PHallii_v3.1:1:55628046:55628931:-1 gene:PAHAL_1G397400 transcript:PVH66972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCNRGQMSKWGWQNLTEKYFKRTKLVHDNVTFGNKLRALKKEWRAIRDLQIKETGLGRSSDGSVNASEQWWQENSQDQQKELKHGLPVYLPEMDKMFQGVCVTGETSYVPGRRKGPQTISSDEDEDGGDTTPQSTPHSSGSKRSSSSLSTRSTGSSPIKKSRSPAVRAMQSNMRELNIILENRIAAQNQIWADRQKREEQLEEQKRARRKRVREMARQLGVVGDSRLWVGVLKLVRSDEDMESFEEADEEGRKCILAHLSGVGN >PAN04405 pep chromosome:PHallii_v3.1:1:4428381:4432191:1 gene:PAHAL_1G064500 transcript:PAN04405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVCGKRSSSIFGDELIPSSSSPPSPPHHHHPSKRARCSSARRREALLHHLLPLFPDMDPQLLERALEASGDDLDSAIKSLTELRLESAEAILSATVGESENGLSAALKLSAEGTVSNGHLGVVTEQPPATDNDQTNNQSSEWVELFVGEMMSSSDIDDARARASRALEAFEKSIMDRVGAEAVHNLHRENAMLKEQLSIILRENAVLKRGVAIQHERQKEFDVRTQEADSLKQLVLQYQEQLKTLEINNYALRVHLKQAQQNNSMPGRFPPDVF >PAN07346 pep chromosome:PHallii_v3.1:1:51089859:51092501:-1 gene:PAHAL_1G328500 transcript:PAN07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP I protein, Transcription activator, Stress response, Panicle developmen [Source: Projected from Oryza sativa (Os02g0649300)] MERDCQFVVVPPRHGQYEEGPFVHQLMVAGDPDPAGAGRGGGGVGGERKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALQAQYDALHARVESLKQEKLALAAQLDELKRRLSGRQDQPSASCEVSGGAEAADGRMNSASCVAEDDGAVTPAVAASEESAAAEYCYDHAPYGGGLLEPFCTTPELWDTWPLLEWNAVA >PAN08824 pep chromosome:PHallii_v3.1:1:58439026:58444761:-1 gene:PAHAL_1G438500 transcript:PAN08824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVFNQTVRDIKREVNKNVLKVPRIEQKILDATSNEPWGPHGSLLADIAQATHNYHEYQMIMNIVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHAYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGTHRSPGGYGSGYDNDRLEGSYGSRYDNRNGNGREREYGYRDDDRYGGPGDTPNREGDRYSRDSNERYGRDYREDEYKGSQSNHEYAEGTGRRSYGRERDSYGDDEAYSSRGRGSNADAPTQDERPIERKLSNQQIASPPPNYEDVTRDGQDNLHADRNGGSIPAAVPKVSSPSVPATSVPAEQVNGVHDNTVEDVPAPPTAHAEPNGFDEFDPRGSVPDSSSPVNPAPVVNSLEMDLFGSDPISSLALVSVPQPTTTSNVEPPANSGFETNNFMGMPPTSSGFGEIDASNPFGDPTPFKAVRDESPAVPQTNAAPAGSFQSTGPGADANPFQPAPAASFGFEDTLGDLTFASNTAPEQQDIFANTTSFPSEVSVANPSAVLQQPVSTNFPSQAPQPAVAGPSPVTHAAPTAFAPPQAPQAAHAFAYSQAPHPAAASQSQIPQAVATSFAPSQGPQPVAPNLPSGQSNFFTQPTSGAGFDSHSGVPTQSGAPSYIPPQTSQFTAPANQQPSQPSFLPQTGTSAPQPALISRGASQPLGVPNSVPAATSFPLHSSSSAPPETLISALQVSQTEPVKKFEPKSKLWSDTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKINQAPVVSTVTMGKAMGAGSGIGRAGAGAMAPPPNPMGAGRPMGMGMGTGAGYGSGMGMNRPMGMGMGMNQQPMGMGMGMNQQPMGMGMGMNQQLMGGMNMGMNQGMPMRPPMGMGPGGMPGAGYNQMGAAYGGHQPYGGYR >PAN07205 pep chromosome:PHallii_v3.1:1:50529515:50530512:-1 gene:PAHAL_1G318500 transcript:PAN07205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAMESLEPIQETLSEINKRTPEISVFKFVTRVLLTLGTEYAPPAREEGDAGHGRRAERPDARPSALPACVLPLHGEDAHFGHAEAGLIGVADGVGGYRDYGVDAGAFARELMASALAKVELAAKASRRRRRLRPEDVLERAYESMVIKGTPGASTAVVLSLDRATLRWAYIGDSAFAVLRGGRIVHRSAEQQRRFNCPLAKAEVCGMPVKDGDVVVGGTDGLFDNVHDDQLARAVQMGTELGFSPKNMADIIAGVACDMSKTKTERACSPFSIGYRKAYGENYYGGKEDDITVIVAYIVSKDSSFKEGTCLKN >PAN04144 pep chromosome:PHallii_v3.1:1:3059498:3062262:-1 gene:PAHAL_1G045300 transcript:PAN04144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVSAMATGRRGGSWRSVVAMAMVVVVASSVTGARGQLRIGFYAQSCPGVERLVGEFVRQHVRRVPTVAAALLRLHFHDCFVRGCDASVLLNSTAGSVAEKDAPPNLTLRGFDFVDRVKTLVEEACPGVVSCADVLALAARDAVAAIGGPSWRVPTGRRDGTVSSMQEALDEIPKPTMSFKELTDLFASKGLGVRDLVWLSGAHTIGIAHCSSFADRLYGYPGAGAGNDTTDPSLDAAYAVNLRRRKCRAPSGGYAEDAIVEMDPGSHLSFDLGYYRALLKHRCLLRSDAALLSDAAARADVESVVGGPEEVFFQLFARSMATLGAVQVKTGAEGEIRRNCAVVNSHSN >PVH66148 pep chromosome:PHallii_v3.1:1:20974602:20975213:1 gene:PAHAL_1G162300 transcript:PVH66148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVLDHVDKKFIVIDPSRVPEWCEDVPYRKYGQTITHFYKKYTTAMNVNSPRWDQNIYKWSFTHEKGIVEDEEKGYSTGYLVLQYMSWWKSIQSMEICTDRVTMRQNLIIYILSLGVNAYRQLLPAEAKNYLSRINEWDIK >PAN03828 pep chromosome:PHallii_v3.1:1:1690969:1696334:1 gene:PAHAL_1G023800 transcript:PAN03828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKLPHPPLGEEELSPPPQRRPRGFASGPAPSAGAPPRRRGDREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRSSNQPPLPPPPPQLGMFQVASGETPAFINTLNSYTIGTPLDSQASALQTDDSLSPSSLDSVVAERSIKTENFGNSSSVNSLNCMDNNQLLRSSAMLAGDYTKTPYIPVYASLPMGIINSHCQLVDPEGVRTELRHLKSLNVDGVIVYCWWGIVEAWIPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGDVLISLPNWIMEIAKENQDIFFTDREGRRNTECLSWGIDKERVLRGRSGIEVYFDFMRSFHMEFRNLSEEGLISAIEIGLGASGELRYPSFPERMGWKYPGIGEFQCYDRYMQKNLRQSALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLAALAFDGAEIVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVLKMLKKHSVILKLVCYGEEFTVQENDEAFVDPEGLTWQLMNAAWDHGLPLCIESALPCLDGDMYSQILDTAKPRNDPDRHHASFFAYRQQPPFLLQRDACYPELRTFVKCMHGEAPQNGEG >PVH65554 pep chromosome:PHallii_v3.1:1:1690969:1696334:1 gene:PAHAL_1G023800 transcript:PVH65554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKLPHPPLGEEELSPPPQRRPRGFASGPAPSAGAPPRRRGDREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRSSNQPPLPPPPPQLGMFQVASGETPAFINTLNSYTIGTPLDSQASALQTDDSLSPSSLDSVVAERSIKTENFGNSSSVNSLNCMDNNQLLRSSAMLAGDYTKTPYIPVYASLPMGIINSHCQLVDPEGVRTELRHLKSLNVDGVIVYCWWGIVEAWIPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGDVLISLPNWIMEIAKENQDIFFTDREGRRNTECLSWGIDKERVLRGRSGIEVYFDFMRSFHMEFRNLSEEGLISAIEIGLGASGELRYPSFPERMGWKYPGIGEFQCYDRYMQKNLRQSALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLAALAFDGAEIVVKNCKPCCRAYSRILQSYKQRWILSGSQNAQEAFCNS >PVH67216 pep chromosome:PHallii_v3.1:1:59426107:59433805:-1 gene:PAHAL_1G454600 transcript:PVH67216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKRGVPFDGVTVNTVLVGLCRAGLVDEAARLAEMLVGGRGIDSLDVVGWNALIDGYCKVQDMAAALAVAQRMRTQGVVLDVVGYNSLVAGFCHSGDVDAAWDVVEAMKADGVEPNVVTYTAFIGEYCKRKGIEEAFNLYEGMVRLGVLPDVVTLSALIDGLCRDGRFSEAYALFQEMDKIGAAPNHVTYCTLIDSLVKVRRVKESLGLLGEMASRGVVIDLVMYTALMDSLGKEGKIEEVKDMLQHALLDNLTPNCVTYTVLIDAHCRTGNVDGAEQVLLQMEEKSVSPNVVTFSSILSGLVKRGCLSKAANYMRKMKGIGIAPNVVTYGILIDGLFKCQGQEAALDMYRNMLHDGVEPNNFVVDSLVNGLRKNGNVEDAEALFKDMSERGLLLDCVNYTTLIDGLFKTGNMPAAFKVGQELMERNLPPDAVVYNVFINSLCMLGKFKEAKSFLKEMRNAGIEPDQATYNTLIAAQCREGKTTKALKLLDEMKRSSIKPNPITYTTLAVGLLEAGAVEKAKYLLSEMASAGFTPTSLTHRRVLQACSGSRRLDAILEIHEWMINAGLHADIVVYNTLVHVFCCHGMTRKATVVLAEMLGRGIAPDTITLNALILGHCKSDHLDNAFAMYAQMLRQGVSPNIATFNTLLGGLESTGRIGEADTVLSEMNKMGLEPNNLTYDILVTGYAKKSNKVEALRLYCEMVSKGFIPKASTYNSMISDFAKAGMMNQAKELFNEMKRRGVLHTSSTYDILLNGWSKLRNGTEVRKLFKDMKERGSSPSKGTLSFISRAFSKPGMTWEARRLLKTLFKD >PAN05652 pep chromosome:PHallii_v3.1:1:13714880:13717616:-1 gene:PAHAL_1G140800 transcript:PAN05652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPNGIGRSSMPRSNEGMRLIFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANNHKKNNSDSNSDEIPKIYVPTNPKGAERLPPGIVVSETDLYPRRLWGDPSEDLTSEPRYLVTFTVGIGQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVMKQTKWWYAKRFLHPDVVARYDYIFVWDEDLGVEHFNAEKYIELVRKHGLEISQPGLQPDRGLTWQMTKRRGDREVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGKAPWEGVRARCRKEWGIFQTRLADAEKAYYLERGITPPNSTAV >PVH66067 pep chromosome:PHallii_v3.1:1:13714194:13718406:-1 gene:PAHAL_1G140800 transcript:PVH66067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPNGIGRSMPRSNEGMRLIFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANNHKKNNSDSNSDEIPKIYVPTNPKGAERLPPGIVVSETDLYPRRLWGDPSEDLTSEPRYLVTFTVGIGQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVMKQTKWWYAKRFLHPDVVARYDYIFVWDEDLGVEHFNAEKYIELVRKHGLEISQPGLQPDRGLTWQMTKRRGDREVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGKAPWEGVRARCRKEWGIFQTRLADAEKAYYLERGITPPNSTAV >PAN03674 pep chromosome:PHallii_v3.1:1:1195029:1198467:1 gene:PAHAL_1G014400 transcript:PAN03674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAAGKAAAPDLPAAAASRRRLAAVLAPLLLFLAAALSFPSTLRLPLLLPPAAAPPPPRVAVCLVGGARRFELTGPSIARHVLGGSYRDQPVDVFLHSPLDADAYKLSLLAPPRGVSLAAVRVFRPEPVEETPEGAQVLTALNSPNGIQGLLQYFRLVEGCLDLIRDRESRGNFTYAAVLRTRVDGFWTAPLRLDDLLLPAAANGSYYVVPEGSRFGGLNDRLGFGGRRATDAALSRLSALPRLAAAGYRGLNSEAAFRAQLDVAGVAGLERRLPFCVLSDRAYAFPPALGYGVPVASLASAGPLSGAKCRPCRPACRGECAARSVDALEGGWSWTEHGNGTAVELCDASGPWEDGWEALFDEAAGADAAAARRRVARMGARECVAEMEAFKARAERWDAPSPVEICRIGLIARSSAAASSSSGGES >PAN08978 pep chromosome:PHallii_v3.1:1:59116913:59123179:1 gene:PAHAL_1G449500 transcript:PAN08978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) UniProtKB/Swiss-Prot;Acc:Q9SS47] MAPSPAAAAGPTFEDLERDLQAVLMDQNHTSSADDLSIFRSGSAPPTVEGSRTAIGALFSGPPLNVNNLGGRSSSGAGVDMLTEEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRAAQRFQAVSGGVGDRRRRPSEVGGGNSLFSVQPGACEGGGEKVLLNDRMGRGERNGLARQQSSEWLGRGTDGLIGLSDVNGLGSRRKSFADALQENISRPAATAGHLSRSNSRNALESPNPIRSSDSPKPQLQNRSESMNGLRSGSTSPSLVRVQSLGSSMSHTFASAVGSVSRSTTPDPQLIRRTPSPCLPPVGVRMGSSDKKVEAIAAASLNHDGADIAATLSSLSLSGNKMSNVETEAQNHVYQNFGDQTDVLFNVPKEHRQFSQQSLAQNTDEDSLNAPEYSVFPNGGSNFSNLHVSKLASHSNSKFSMQSPHSNANKKGSLMSSAGSISHYQNLNCDSPGIDISGRHMKTHAGGFTSSMLSNQLTPDADYGNVLSNHGGSSFQGQPTETMYAQYLQANPDSPLGATASMSPFQGRGFTSTGHLDSPGYQKAYLGSLFAQQKLQYGMPYLTKSGALNPNIYGNDPAFGMGMTYLTSPPSSPYISSHQGHARQGERLTRIPSVVRNTAGGSMGSWNSENGLMDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASIEEKNLIFPEILPQARTLMTDVFGNYVIQKFFEYGTETQTKQLASLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGSIMRCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSMHPYGCRVIQRVLEHCDDENTQNAMMEEIMQSVVTLTEDQYGNYVIQHVLQHGKPEERSTIITQLAGQIVKMSQQKFASNVVEKCLTFGTPEQRQILINEMLGTTDENVPLQAMMKDQFGNYVVQKVLEICDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRVGATSSC >PAN04174 pep chromosome:PHallii_v3.1:1:3220218:3224661:1 gene:PAHAL_1G047300 transcript:PAN04174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAATVASDPVKFNEVFLGKPNEAYCAWILDPEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYSERVMLIYDGLHYDALAMSPAEGAPEEFDQTIFPVNRNRSIGPAEGLALNLVREAQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >PAN08022 pep chromosome:PHallii_v3.1:1:54444413:54446603:-1 gene:PAHAL_1G380400 transcript:PAN08022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRASARRRAVVAAVTTLILLASVSFLLSATATSSAAANSPASRLAVVQRHAEDHAAVLAAYTAHARHLSALSASQTDAFLSISSRLSALASRLSVSTVGALEKEVKAQVKRARSLAGAAKEAFDTQSKIQKLSDTIFAVGQQLLRARRAGVLNARIAAWSTPKSLHCLAMRLLEARLANASAVPDDPPVLPPQFADPSLHHYAVFSDNVLAVSVVVASAARAAAEPSRHVFHVVTAPMYLPAFRVWFARRPPPLGAHVQLLSVSDFPFLNASYSPVLRQIEDGNRDVALLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDMGAAVNAALHTCFGGFRRYGKYLNFSDPVVQESFSPRACAWSYGVNVFDLQAWRREQCTEQFHRFMEMNENGTLWDPASVLPVGLMTFYGKTKPLDKSWHVMGLGYNPHIRPEDISGAAVIHFNGNMKPWLDVAFNQYKNLWTKHVDTEMEFLTLCNFGL >PVH66824 pep chromosome:PHallii_v3.1:1:53055972:53060043:1 gene:PAHAL_1G359000 transcript:PVH66824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDYFLQRMDGDHRQAGDLTDVIRAGGAMPAGVAELSSTGTPGWHQLPAPEPGAGLFPPPQPSSSDGAGPSGDGFGDAFAGLSDAFGTGSDFRACSSGGAAGFFDFEAPVGGRGGGGALVDSGGGGVVAMGMQMPALSPREIRPYPVMMADGDTVKLGLPTMMPGLAVGPPCAFDAVGAMQMPSPHGGGIKRRKNQARKVVCIPAPAASAEGRTTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPSLLVITYNSEHNHPWPTQRNALAGSTRSHHAKNSKNNSSHNLQKPTVKAELDHQTPPVTSAAATATAATSTTTTATTSTTSNNTPTTTMAVKEETMAGSEMDKDSSDHHDTSVALDHGDLMQQMFSQSYRPLIPEGGHHVDDFFADLAELESDPMSLIFPGCDPGSREKAAPPKGLGADPLFNMLDWGANNVVATSAGSSFEQGESGL >PAN03573 pep chromosome:PHallii_v3.1:1:575333:576516:-1 gene:PAHAL_1G005900 transcript:PAN03573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSEADGYYCWVALTLTLGSICVEARATAVTIALGEVRMGGQQRMSRHFRRQAASIGVRAQEEEERRRRRRTSLSIPRKTTFFFIRYFPWHHVWYHSVGLNLSNPTAALCTKLQRCYGDGWLLLAVAVHGTRHHFI >PAN08667 pep chromosome:PHallii_v3.1:1:59642021:59642970:1 gene:PAHAL_1G458100 transcript:PAN08667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGSSSSSSGSYLTIARTIKKKSCSRHKHNHSHGRLGWCRRKKFCASGRLYMHEKIGEEKLGNAVCML >PVH66255 pep chromosome:PHallii_v3.1:1:27311595:27311834:1 gene:PAHAL_1G186900 transcript:PVH66255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINSTVALSSPSAVYFPFFSSLSCSSQAEQTTQLFFSFSLPDSDFLFTEQSKSEQGIQPQAPRHPNTSTCSFFPPLFC >PAN08611 pep chromosome:PHallii_v3.1:1:57547771:57551800:-1 gene:PAHAL_1G424200 transcript:PAN08611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPKQLLIQSKTKKAPSRISYSTIITWNLIVVLVVLSLYATYRHWHQRSAQDIEMDLHQAELAVRPDDSKMTSRPGFAVMNTGKGPITIEIYKDASAHVVDRFINLCKRNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWIKKAKASGKNDLSPKHEAFMIGTAKNPNNKGFDLFITTAPIPDLNDKLVVFGRVIKGEDIVQEIEEVDTDEHYQPKTPIGIMNIILKQEP >PAN08234 pep chromosome:PHallii_v3.1:1:55603247:55606239:-1 gene:PAHAL_1G396800 transcript:PAN08234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAKMPAGHCWLLLLGVVLAFGVAASPAQASRANNHYDFFIKETKVTRLCHEKTVLAVNGQFPGPTIYARAGDVVVVNIYNQGNKNITLHWHGVDQPRNPWFDGPEYITQCPIRSGANFTYRIIFSEEEGTLWWHAHSDFDRATVHGAIVIHPKRGSAYPYTKPHKEMPIILGEWWNVDVEQLLEATKRTGSDVNISDANTINGQPGDLFPCSKNGTFRMPVEHGKTYLLRIINAGLTNEMFFGVAGHRLTVVGTDGRYLKPFTVDHIMISPGQTMNALLEADRATDGSANSRYYMAARTFATNPQLRVNNSTATAILEYTDAPPSAGPPDLPSLPAVDDIAAATAYTVQLRSLVTKEHPVDVPTHVDEHMLVTIAVNLLPCGANETCEGPRGNRLAASLNNVSFVAPSVDVLDAYYYSIRGVYEPDFPNKPPFFFNFTDSLPLELSFTKRGTKVKVVEYGAIVEVVFQDTGILGAESHPMHLHGFSFYVVGRGFGIFDNNTDPATYNLVDPPYQNTVSVPRAGWAAIRFRAANPGVWFMHCHFDRHTVWGMDTVFIVKNGKTPEAQMMPRPSTMPKC >PAN07222 pep chromosome:PHallii_v3.1:1:50565060:50565580:1 gene:PAHAL_1G319500 transcript:PAN07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNLKLRTCMSLSSIQLRQIKNLNHSFQLLSLTLNTGTTDLRHLPAALIRQTIAQWAAPKSGREPERNKLRTRNQSGTSISNNPIIQRHEQLLPKTPLNPLTTSTLLISKKR >PAN08551 pep chromosome:PHallii_v3.1:1:57237795:57244513:1 gene:PAHAL_1G419900 transcript:PAN08551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPAVQVNSNSPELRAKLNAFRPSDPKVGGGRGGGGGERGGEACKVSPASPAAGEAMAHERGGAASTPAAAPTSEEDALFIDLLHEAPLSGHREPRSIVGGTLYCILLVGLASVAISAPWIFLFAPDMISPLLCSSNVILLVLTGIFQQYWVHQVRKVRLQGYYEFSQKLKHIARLPFATIASGTALMLLIMVWQPLVHILSVSLLLRIAIVVEVTCAGCFMGLYIWHIHRYNSLDGQPDILRSLYSALQPSNTFEDQRYYDARLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDAGTTPQVDLAHLLASRDQELRALTAEMNQVHSELQLARGLIDEKDSEIQRIRVSNNQYVEENDRLRAILGEWSARAAKLERALEAERASNIEMRKNIAKLRGHLYKEQEA >PVH65611 pep chromosome:PHallii_v3.1:1:2559305:2564230:-1 gene:PAHAL_1G037400 transcript:PVH65611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARVVVFPVKGRAWCFALPRAAAAPAGDGALSPPPPTLRDLWRGITSAGRTAPEKAESVVDFVADKMNRAWIGFGSAPEGSMKSRIHSFGVKLLSRVRPSEVLLKSVTKDVGALEIVHPASINSRLVRRRLRHIAVRGASVHKKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDCSDQWEILEKEIGSVKDGNPNVNARYSPWKLRPSKRLDGFLERRNLDEGLDCDTISRICKEYDLDKIDVVKYRDLP >PVH66149 pep chromosome:PHallii_v3.1:1:20986648:20987556:1 gene:PAHAL_1G162500 transcript:PVH66149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRENRVSLRLARAVASRSRPKRSGPTLDMDEARRTERPSAITGRGEERSCQALGGEAASSAGHEQRARSACSPVWQSGQATREAGMGRCRGKVARSVVIPRELRYGR >PAN04535 pep chromosome:PHallii_v3.1:1:5025629:5032929:-1 gene:PAHAL_1G073300 transcript:PAN04535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MGRSRDVRFVSSGVKLPSASASVPAPSPAPAPALLSAALPFAHIGRAFDAAARRLGACLPRVPVARADTAAPPPVRRHGKDAGGGGEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFRDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRKTGEPTVGKTQPETILRQLTSKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNFTDPWIDGDNKRTSRTVMVQNSRTPGTLVHGGDHPDHGPITIGRVTAGVEYSRPFRPKWSGTLGLIFQHAGARDDKSIPIIRDFYNSQLTASGNNYDDTLLAKFESVYTDSGDHSSTMFVFNVEQGLPVLPEWLSFNRVTTRLRQGYEIGPARLLLSASGGHVEGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQARRFHFAVGYRN >PVH65947 pep chromosome:PHallii_v3.1:1:8345681:8346615:1 gene:PAHAL_1G109900 transcript:PVH65947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGRKPQVLPEEKKLQVIRDYNLWHEGAGYKVDGGSFERDKGSLQIPPEIPLHEKYQSTLPSRCLLNCPMVEKKAYLQEPKVVLKESNHLEGDERCVVGTLQRRVGKDKVLSPTSAASGNGRGFRQDLKPVLILLLILFSRGGRMMAMLRRLRCINWWRGRVVKRCDT >PAN08258 pep chromosome:PHallii_v3.1:1:55688684:55691194:1 gene:PAHAL_1G398500 transcript:PAN08258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPGSHASLPSASFYAPLLRKNPPLTHQSPSPHLQISRRTKPSNRRGAGSRSAGGREGGRGMEPAERIGSGKALGKRPRSRVLPRTASMVTVPSAAKQGRQERGAGVPSSSSLPAGGAGMGHGGGAAAPRGFFSGGYLPGAETTAAFLKACGLCNRRLGPGHDTFIYRGEVAFCSQECREQQIQYDERMEHTCSLTSIKEAPSVSGASGSDQSGSGGDTVAAA >PVH66630 pep chromosome:PHallii_v3.1:1:49207113:49209074:1 gene:PAHAL_1G301200 transcript:PVH66630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTECCRRYNRQKQVLARYLTTRGSARRVKWEMLVLLGLLLLCRGIGSTHGSPDDHAGDGDMMSLLDFKRAITSDPKRALATWNTSNPLCRWAGVACGRAHRGRVITSLGLAGQDLEGEITSSLGNLTFLKTLNLSENSFSGRLPPLGRLGKLEVLDLSRNSLRDAIPDGIANCSSLRILDLSENSLVGELHPKLGLLSGLSALDLHGNRLRGTIPPSLDNMTHLKVLGLENNSLSGSIPAELGKLSNLWILSLGENILSGGIPRCLGNLQQLRWMELDNNNLRGKIPVTLGNLQQLKLMDLSHSNLSGKIPRTLGNLQQLIMMNLSNNNLRGKIPVTLGNLQQLQLMDLSHNNLSGKIPRTLENLQQLMWMDLNNNNLRGKIPRTLGNLQQLLSMDLSHNNLEGDIPSNLGDLGQLYHLDLSDNKLKGIIP >PVH66254 pep chromosome:PHallii_v3.1:1:27293255:27293546:-1 gene:PAHAL_1G186800 transcript:PVH66254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPFIFSIRIGFLLASSGGSCSLLRQLQKDKLHWNRESFVHNCVKGEYV >PVH66189 pep chromosome:PHallii_v3.1:1:23922400:23922573:-1 gene:PAHAL_1G173400 transcript:PVH66189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFTKLITYLILITSSDFNFLPTFIFLALIFHGQGDTICGTNSREVQLEWTSSRLA >PAN09037 pep chromosome:PHallii_v3.1:1:59390199:59396149:1 gene:PAHAL_1G453800 transcript:PAN09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYHHHRMGAAADFRRDLEDLVCDHLGGCFSPAPSSSSSCSAAAGGGGGGGGHEPDEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSEPATPPPRPQAPSPTAPPSLLQMWRELEHRRADAHQPFDREPSADTADRDRVRQIARRLTDPARGTTAAAANGEWLGETERQRVRLVREWVQMASQPRDSRAASRRDEPGAGDRDRRGEPPRLRGRQARLDVITRLARERQRELQGISGYHVVSEFPRRSRNRIQGLLRGRFLRNGALPVEEERPLSVAARELGQLRQSHRTPTLRSESVVSSQDVSQSDASVPESGRLLGNDETQRGAESRAFTDSEDSAQAVLENVDLQEVDADRAEVHSPSIPLDDTVVVQESLTQGDNMRQDETEDGTGFWQSSLDGSLDRWPNEIEEVADRNWGGNAQDLHSETVEDDDREHDHLQEEHDDWHDDESHGTVENWQDDYQDSTLDTGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLEQLIRSYVQRRGHGPLDWNLDGAMPPSNSPNENQEQERNTETRQFQGPVNRPGLVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLRTDMGRLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRFAGAEGFSMDLSDDGSKWNQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYSVM >PAN07795 pep chromosome:PHallii_v3.1:1:53387154:53391094:-1 gene:PAHAL_1G363300 transcript:PAN07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTITHYVLDPFLETGSPAQVQKAPSKPPPPPPDKATPVPVVPVRTQTSPASLYATPESTTLPDSPSSFPGTWSPYIINHKRRGASLAKTLSQGDAVSEGSQLKLPAILPGLPKSDEPIVVHEPEFAFQQVGNGQAEGDSGLEEPLNGQDELLQKVEGSVAAKILQDQPEFEFQRGSLEAFVRPVNVGRPSNGGAPKNAETDAFFELQDSMSVASNNEVDDVGMHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGGTRSSRAMDDDLREMRLSLLMEIERRKQAEEALENWQTEWRKLSHHLSLVALSLPSPSIAENTDDSSMDPGAELCQQITVSQLVAAAIARGLSRAEVESEMETVIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRKERKKRQKWFWGSVGLAVTLGATAIAWSYLPSSQPQASADSNSPSSE >PVH65677 pep chromosome:PHallii_v3.1:1:3441964:3442197:-1 gene:PAHAL_1G050500 transcript:PVH65677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDESELTLVFCTYKGALQCGDEEPCYCCQAQQEPQCYCTAGECRAKCPVCNPRCPPETAAEGRPSSLHLSINDTLY >PAN07818 pep chromosome:PHallii_v3.1:1:53492456:53496001:-1 gene:PAHAL_1G365300 transcript:PAN07818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKRSSHVEEEQEEEEEEEEEDEEEEEEEDEDEARGHRYTTAAAAPIGAAQQQQVQAQALGLGSHASLMDAAAFSRPRLPPNCSLVTQPPLPPPGFMPTQRQPQLHPRRAERERERAAGAPQPQPRRHQEGARNGVLGGGNVPPQASTLALATGAGAVGPVEAAQWRYRECLRNHAARLGAHVLDGCCEFMPSAGDGAAALACAACGCHRSFHRREAVPGVAAAAVAVSPSPTTPTASSSRVMPLLLAPPHMQTRPHVPVSPSSAPAALTESSSEELRGPVAAAAHPGPPTPLPPHAQLAVGGSASAPPAPSKKRFRTKFTADQKDRMREFAHRLGWRIHKPDSDAVDAFCAQVGVSRRVLKVWMHNNKHLAKIPPSPPSSQPPQQQPPHHRDHQPPPPPHHIHLHHPPPPPPQQQQQQHDA >PAN07956 pep chromosome:PHallii_v3.1:1:54152489:54156395:1 gene:PAHAL_1G375900 transcript:PAN07956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGDGDGGRQAQPLLGKLSESSSGEHLVKRTGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVFFAGVTAVQSSLIADCYISHDPERGVVRNRSYVDAVRLYLGEKSHLFCGFCGFFLNFSLFGTGVVYTLTSATSMRAIQRANCYHREGHDAPCSVGGDGYYMLLFGLAQVVLSQIPGFHEMAGLSVFAAVMSFFYAFVGVGLGVAKVIANGVIMGGIGGIPMVSTTQKVWRVSQALGDILFAYPFSLVLLEIEDTLRSPPPENETMKTATRTSIVITAFFYLCCGCLGYAAFGDGTPGNLLTGFGFYEPYWLIDLANLCIVLHLLGGYQVYTQPVFGFADRHFGGAAAEVPVLGARRVNVFRLCFRTAYVAATTALAVWFPYFNEVIGLLGAFTFWPLAIYFPVEMYLKRNKVTPWTRQWLAIHGFSSVCLLICAFASVGSAVGVFGSETS >PVH66507 pep chromosome:PHallii_v3.1:1:46944856:46948311:-1 gene:PAHAL_1G266100 transcript:PVH66507 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLSLLGRKVAVVNLDPANDALPYECAINIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEGKLKPLIEDHYLLFDFPGQVELFFLHSNARSVINKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQHHLEQDPRSAKYRKLTKELCDVIDDFGLVNFSTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLDWDYYRTAAVQEKYMNDDEFVQQTSRMQ >PAN05532 pep chromosome:PHallii_v3.1:1:43533985:43536413:-1 gene:PAHAL_1G236600 transcript:PAN05532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPELLHLITTSLLLLVAAGPCCLAASGGGGDGRQFAYNGFAGAELALDGVATVTPNGLLMLTNGTIQKKGHAFHPSPVPLRAARSFSTTFVFAIFGQYIDLSSPGMAFFVTTSREVLSAALPGQFMGLLNGTRNTNRGAHIFAVELDTLLNAECRDISSNHVGVDLDSLVSRGSADAGYYDDGTGRFQNLSLISRKAMQVWVDYDGAATEVTVTMAPLGVARPKKPLLRTAVDLSAVVAQQDTAYVGFASATGILFSRHFVVGWSFALDGPAPALNISSLPALPPSGPKPRSKVLEIVLPIASAAVVLAVGAAAYVLVRRHLRYSEVVEEWEAEFGPHRFSYKDLFQATKGFSDTNLLGAGGFGSVYKGVLRKSAMEVAVKRVSHESRQGMKEFIAEVASMRRLRHRNLVQLLGYCRRKGQLLLVYDYMPNGSLDKYLHDRSKGGTLDWPQRLHIIRGVASGLSYLHEDWEQIVIHRDVKASNVLLDDQMNGRLGDFGLARLYDHGSDARTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFVLEVTCGRRPIKQDEGNNGVMLVDWVAEHWRNGSITKAADTRMLNSRFNLDEVSLVLKLGLLCSHPLPNGRPTMRQVMQYLDGDMLLPDSSPAAYLSSTMLEWMHSPELNTNAIKSYVSSMSFGVISDLSGGR >PAN08236 pep chromosome:PHallii_v3.1:1:55885996:55887255:-1 gene:PAHAL_1G402600 transcript:PAN08236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRVLVVAVVLMSLAQLSAGSRRLMELYIPTASDQLTYHHGTVLSGDIPVSVLWYGKFTPSQKSIVSDFLLSLTTAPGAATPSAGQWWGTIDQLYLSGAATNGAAPARALLDAQAYDEACSLGRSLTLAQVEQLAARAGGKRGGIALVLTDEDVAVEGFCSSRCGKHGSGAQGESAYIWVGNSAKQCPGQCAWPFAQPQYGPQGKPLVAPNGDVGMDGLVMVLATMVGGTVTNPYGDAFYQGPKEAPLEACTACPGVYGSGAYPGFPGNLLVDQITGASYNANGANGRKYLLPALYNPETSTCSTLV >PAN06324 pep chromosome:PHallii_v3.1:1:45976474:45979255:-1 gene:PAHAL_1G255700 transcript:PAN06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKKTREPKEENVTLGPAVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >PVH65539 pep chromosome:PHallii_v3.1:1:1524366:1532454:-1 gene:PAHAL_1G020900 transcript:PVH65539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTDRSAAVAGGDEGLESRCCRGERRSASPRVSPPSKRRKVGSEGEAPEPKVNLAGESSSRPGDAKVMVKSLLHERQQGSVVERTAEVIHNAKGMFPAAPATVLYRNQFIDLWMCGRALEKPWDEKWRQIPLVLHDLDLAEAVRINLPQAEETEDLSDRISKILKNHPGPIDSIRLDHTACPGEGRLGEWVDVLSAKDVQEVVLLNRTRPTDAEFPLHRLRSRSLRILALSFFALDWCCYDDNDDLSSLQQLHLAGCRFSGRALSALVCKLPRLISLTIGGCELTAGCGPQGLTIDSKSLTWLHFWNCSASALTIAEAPALLVLVAGITPAPAAGRAAAAAVFIDLRSAPALQELRQLDLHCHALRILSLPYMDSLDVGIQVCVPRQAPLLADVLCNLPQLRKLILRRVDDLCARGDDEARAWSLPFLPPCITQSLELLTIKCYRGGAGEIAFIRRVLATGTRLALVTLSSRRTEPLGSVAAAFAGCPIASQECKLVVQHEN >PVH66133 pep chromosome:PHallii_v3.1:1:18790036:18791874:1 gene:PAHAL_1G157200 transcript:PVH66133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLCLTIVSRRGAFGAVLGAAVFRALRAAAGRVRGSARQRGGLRQRLRRLLGVCLPVDVPVAPSFSCISFTLPQLLNRFLIRL >PAN07275 pep chromosome:PHallii_v3.1:1:50772870:50774170:1 gene:PAHAL_1G323600 transcript:PAN07275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLSHVVSDLCIGRPRVLTLPPSTPVAAALAALRAGADPFVFVDAEPAAYRAKRGAATVYVKVSVADVLCYVCGDAGNLRDPAAALGRPVSAVAGGHGDTHRVDPQTRLLDAIDVLLTDGCQGLLVPLHARARKRHHQVPSPDAVATSSDCCVLTREDIVRHLFGSISHFSPVAALTVASLGLIRRDVHAVHVDDDGLDAIPLLRRAVSNGTAVAIVADDGALVGEICPGVLVSCDVESVSAAFAALPAGDVMTYIDCSLTHMPPEFLLRAIRAQLKDRGLDAIAELMDTADAASLPSSPSSSTSSDEDSPSVRGRRPRRMSSGSFGWRSTEDVVACHSGSSLVAVMAQALAHRVGYVWVVDETSGALVGVVRFADVLAVLREHLRPQSQVLCR >PVH66887 pep chromosome:PHallii_v3.1:1:54170365:54172261:-1 gene:PAHAL_1G376100 transcript:PVH66887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLLSLMRDNYSSTYLINLFLLCYRLMNLFARTSIPIPCPRSIRRFLGQAPQRHQSRPAQTQTNAARPTSEFDRLHRSLPPLPLAAGAPRRHDRERIRHHHHLTKVGYRNRRRIEYPPHIIVPTSPCSFCASTSPPTRASATKPGGDRSSAAGDLTPREPPAVPPPFRRLADPLRSK >PVH66731 pep chromosome:PHallii_v3.1:1:51264072:51265609:1 gene:PAHAL_1G331600 transcript:PVH66731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSPQQFVLLADITGVRPRRPRAGGARARAERYSAAAARSPHRRCRSTPAPARAGGVRAGPSVLVRVASRRGHVDRPGPGEHGDGGGSVEHRKQAGGADERPPPPPAPPPSLARMVASPPSIPTTTASPCPTSVAPDLESAATAGRNTLLHVAAAAGHADLASLLLRRAQRRARHAAPPRCPRRGAQGHRASRRFLHLDLLRAIPAFPDPCHKRRGETSLHDAVRRGHEAASRALATADPGPCGAVRRRRGVAVLHGGGGRWMEELDGQL >PAN07805 pep chromosome:PHallii_v3.1:1:53414940:53417045:-1 gene:PAHAL_1G364100 transcript:PAN07805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASVAALRTAGGSGRRRGAGSPQVGLNGGRFLMMQRRELVTKAGIALAVSCSMATSPASAAGSAQGLEILPFKPDGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVDYEATIWMEQVSDFLREIVKEPAVLVGNSLGGFTTLFTATEVPELVRGVVLLNSAGQFADPNKPAAAPAEEEGEGSPLSRFVVKPLKEAFQRVVLGFLFWQSKQPARVEKVLKSVYIDSSNVDEYLVGSITAPTADPNAGEVYYRLMSRFMSNQSRYTLDRLLGKLACPLLLLWGDLDPWVGPAKAARIQEFYADTAVVHLQAGHCPHDEAPEQANRALLEWLAALDARATPAEPSLQTV >PAN04051 pep chromosome:PHallii_v3.1:1:2594696:2597932:-1 gene:PAHAL_1G037900 transcript:PAN04051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALHFSHMKYSNRFGRTFIGLALVLLLFPSLTISCTEHESTSLLRFLGGLSQGGNLTLTWKNGTDCCTWEGITCSPDRMVTDVFLASRNLQGLISSSLGNLTGLLHLNLSYNLLSGGLPLELVLSSSITVLDVSFNQLSGDLKEMSSATPVRPLKVLNISSNLFSGHFPSTTWEVTKSLVVLNISNNSFIGKIPTTFCFSAPSFAVLELSYNQFNGSVPSELGSCSMMTSLSAGHNNLSGTLPDVLLNATLLEHLSFPNNQLKGSLLSSISKLRNLVTLDLGGNEFSGNIPDSIGELKRLEEIHLDYNNISGELPSTLSSCTSLVTIDLKNNKFNGALTRVNFSTLTNLKTLDLVWNNFTGTIPESIYSCSNLTALRLSCNGLHGRLSESIDNLKSLSFLSLVNISLTNITSALQILRNCRNLTTLLIGHNFLHEAMPQDDTIDGFENLQVFSINDCSLSGKIPPWLSKLTNLEMLFLYNNQLTGPIPDWISNLNSLFYIDISNNSFTGEIPMALMEMPMLKTNNIPPKVFEIPVYTTPSLQYRMPGAFPKVLNLSINNLTGMIPKEIGRLKALLSLSLSTNKLSGEIPEAICNLTNLQVLDLSSNNLTGKIPAALNDLHFLSKFNVSNNDLEGSVPTVGQLSTFPHSSFDGNPKLCGPMLVNHCDSAEAPLTSKKRRNKTAIFAPAFGVFFGGVAILFLLARLIFFFRSKRFMTRSRGNNKDVTEGMPSNFNSEQSLVMVSRSKGENGRLTFTDLVKATNNFDKENIIGCGGYGLVYKAELPHGSKVAIKKLSSEMCLVDREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDDDTSLFLDWPMRLKIAKGASQGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLVLPNKTHVTTELVGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELLTGQRPVPISFVSKELVQWVLEMRSEGKQIEVLDSALRGTGYEEQMLKMLEVACQCVNHNPSMRPTIQEVVSCLDSIDDNLTIQNSVHIE >PVH65987 pep chromosome:PHallii_v3.1:1:9390669:9391194:-1 gene:PAHAL_1G119600 transcript:PVH65987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHLRAQTAAAGPCSSPRATSAAMSAGVPPIGPLEPTRLAHLELASWARPSAPITTFLALTSPCATDSSPWRYAMPRATVAAYTRTAASARRLPFLSTSSASEPPGAKSRSSWNSSPAAARKPRQGSTCGGREGGIWGEEDKRGKFLPTLPAAKDGNS >PAN06320 pep chromosome:PHallii_v3.1:1:45940017:45941324:-1 gene:PAHAL_1G255500 transcript:PAN06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATACAYGYQQQGTRPTPPPPLALAAPARSSSQVPDPFLIGFDDDDAPTPPPPPLPGKKTPPPFPSRHLGICTEGLGSESSGDIDLSDLPDDVSNGYGDAEVGQAQVVPCKRQHRDGGGEGAGRRARGGRPAPFPPPISVIGAGGKPWLYLRPHREDGRLVLREVRIPTRELLQARREGGRFTLQFAQHQPEEEEPEDAHHHHHQCQDHEPADEKRQEGDE >PAN06464 pep chromosome:PHallii_v3.1:1:46913089:46926929:1 gene:PAHAL_1G265600 transcript:PAN06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPGAGARGPEDHAADAARLRGAEEVRGATEARLPAEVDQEKGGDFAVGLVAPVEAVSASTEGRTLQIGQGKGSSLEQRDMYVEEEKGVSLAPASQSSAEPGGLQTCHEANGGLPHKTLCAPKDTGCVYGMLEETAEGSQFEQEDLVGNGGDWVGEVQGVKATLEDLRIACDEAECDHARLLGSTSHGSEQEPCAVDGSGSITDANDELQQDALMPDNEAVVSKTRGSEQEPCAVDGTGSITDANDELQQDALMPDNEAVVSRPAHKDSVPSISGGVNLSLDGKTGQFGGISGDSMMCHVSDGGMWNDGLCAAGGKGSQFIDSRCMYDTVDMATEGSPCQQGFLAGGRGVSSGVVEDSQTCYEEPHCGNEGLPDLVNRDIEQLPCSMGATCLKKNANRDLEKDGLSNSSWTLHEHSVPSISGHNPAVSLDGKTGQISELPEHMSSMENVAYSAEVCGTFSCESAFHKEAPEDERRFSGRKVSTSEEDLQTDCMEAAHDNGDLSDLGKHHSEKLLCGADGLPLMTGANHELENVGFLPNINSVASCRVDETSVPLDRKVGQAVDTSEISAGFEKLVCDSLGGDLTLCDSGLRTEAYGDENQHSGPHADKELQHTPLKYGSSELSPEGNLCVSSYNQPCDDEPCCSGKESSALCLGHQDSAVGRSGHLDQGLNAGNCADDSSVDFVSNANDGESQSQKLTALNVFRRRNPKRAASSRRDPERPNQMNQASSSTCKPKKVDVASSLQQSTTNLFPNKIAKGRSGMSRPPKPSTWGSLKELLDGFCQSCRPSTSNSHPTCLDKGRSDNISDQKSQPSIRRSRSSRSSKSKFSSMSVTGYADSELNGQSTLSTVVDIGHAASELNEQPAFSTVADTDVSLESRRQNIPKLSSDTSINIFDSTSNTAESNDSYRTVKSKCIQTDAQQLERALVSSTKETCAADVHGECAKLSTSESSLTNAKGSAMLHVEFSPDSVLEVASVTCEGNVSASHDVMLHENSTNTGALNVGDYHPSVSSTSNFGKEQALLSLMSLEQQAKPTLHDNTRKEEIDPSHAMVDNDVGEGKAQALQKSNAVRKIRIVRKPGCKKKDGSKGKRKNVIGSTKISPCEASKHRPFSSDSISPDPSESILCTRPPEFSSCFEALTSGTQDHAIYEHDSIGSHSVMDGDRGSAFDSTKSPRRKKKDANTGKKKGKAQDPHKKEKSKRKNIADDTSFDHGLLDLPSSDLAASRMNEQSNLDPATEFELKNSSAMSAHLPGNAACKTDGASVPPRAAWVCCDDCQKWRCIPAELVDVIGETRWTCKDNGDKAFANCSIPQEKTNAEINAELDLSDASADEADDDRSNPKACKAPSWTSIRSNLFLHRQRRTQSIDESMVCNCKTPQDGRQGCRDGCLNRMLNIECVKRTCPCGEQCSNQKFQRRSYAKLRWFHSGKKGYGLQLQEDVTEGRFLIEYVGEVLDITSYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISVVDTVTQDDAEAGHFEQMIPEKDSEELMGANGSDSDGSLENIAEPEFSIQGEDLHDCSVARELEPLEHTGGTVVETCEPENSLEAWSLQEDEDVIRTPVHVSRTFESSLQQFPVHGPLSSDLLLKTANSVEGSKAPNVINGSTPSSDFRSNLVPGFNASKRNNLKQHRNVKPQSSNPIDNEHILGVEGRLNNLLDGNGGISKRKDATNGYLKLLFVTAAEGDSAGGTSKSVRDLSLILDALLKTKSRSVLVDIINKNGLQMLHNILKQNRTDFHRIPIIRKLLKVLEFLAQKGILTSEHINGGPRCAGVESFRDSMLSLIRHKNFQVQQSARSFRDRWILHNNARSEPTEYPHTSTSAQDIQGTNMVWSSARRKRKSRWDYQPDEHYKMVGLKIQKVFSGHGEFDVQFGFTRNKLQRNQGINNCYNGVHHMESSTEGADDDVPPGFETKQECQPSQLSIGSEVAPGLCMERCQPSLSVSYGVPVTLGQHFGTPDSEGGQCHQKWKVAPGVPSSPFLPLPTHPRGSPCPSTSSSHMFQPDGTSPVNHSSSGNCGRTAGRDGRVHRMWRNGPRTKWPYHQQGRRFSSNHHRFERIEPPRPQ >PVH66508 pep chromosome:PHallii_v3.1:1:46951738:46954138:-1 gene:PAHAL_1G266300 transcript:PVH66508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARSPTRTSLMACDRDAALLILKSESWAPNLPASTPANHPLPVLAIKLPEKDLAPPFHGAQAQSSPSLPTVFPAGTSSSSLSLSLCLLGASCMLSASLWQAMKD >PAN06886 pep chromosome:PHallii_v3.1:1:48866057:48866579:1 gene:PAHAL_1G294700 transcript:PAN06886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRDGTGVEEDDPAPEPQPAAAAVRRGAPLHPPAFQLMLLAAVVIIGAAAAPLPLPRLLAAFVAWLVGYLSLFIPPL >PAN04427 pep chromosome:PHallii_v3.1:1:4520724:4524976:-1 gene:PAHAL_1G066300 transcript:PAN04427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLASERTVVGWAARDATGHLSPYTYTVRETGPEDVVVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYRVGDVVGVGVIIGCCRECRPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASTMIVDQKFVVPIPAGLAPEQAAPLLCAGVTVYSPLKHFGLTAPGLRGGILGLGGVGHMGVKVAKAMGHHVTVISSSSRKRAEAMDELGADAYLVSSDAAAMAAAADSLDYIIDTVPVHHPLEPYLALLRLDGKHVLLGVIGEPLSFVSPMVMLGRKSVTGSFIGSVDETAELLRFCVDKGLTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSNIEAAAAGAPGN >PVH66066 pep chromosome:PHallii_v3.1:1:13575841:13576167:1 gene:PAHAL_1G140300 transcript:PVH66066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWGRVRTFMSRPYRISSQPCLFVGIDYRCSSSCSLRGLHQVTTIWYIRTNISMRNLLGGFTVELVIRRVTSHLVYDICWRIMLLWGCKCLRHWWSGLRRQLPFVTP >PAN03564 pep chromosome:PHallii_v3.1:1:208531:211643:-1 gene:PAHAL_1G001200 transcript:PAN03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLTDNDCRLEICTEAKTILSVDDILALIGDRCDAVIGQLTEDWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGQFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGNIKGYPVWGNPNQMEPFLNESATPPPACPSIVNAKQLGLPSSKL >PVH66940 pep chromosome:PHallii_v3.1:1:55136383:55136550:1 gene:PAHAL_1G389600 transcript:PVH66940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGGLRGADKGVVWMEATDDNSVGDDDTPGMRSCMRTTRVRARERSRVDEMGRA >PVH66563 pep chromosome:PHallii_v3.1:1:47992173:47992871:-1 gene:PAHAL_1G281400 transcript:PVH66563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVYGNHSRTMNNLLVEGESYDFLRVGFAPTLNDPLAHIFLLCSHYFILLSPLTVICEPLRTVWIAIFPRTFKHFENVFRQRENTFTDIIGLVVYVDDIRDRNNFRRRPNRHVVLINERPAASGFRTMAALHVRKNAMARTPITSVHSDLIST >PAN03824 pep chromosome:PHallii_v3.1:1:1660939:1662309:1 gene:PAHAL_1G023500 transcript:PAN03824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSVPDLMEEYVEKVDERKQEERLRDASLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGAGTVAIVGSFGVTVYTLWQMVEMHEMVPGKRFDRYHELGQHAFGERLGLWIIVPQQLIVEVGTDVVYMVTGGQSLKKVHDLLCNGRCKDIRLAFWIMIFAAVQLPLSQFPNFNSISAVSAAAAVMSLTYSMIAFFASAAKGAHAAAAADYALRAPTTAGKVFGVLNALGAIAFAYAGHNVVLEIQATIPSTPEQPSKKPMWRGVVVAYAIVALCYFAVAFAGYYAFGNSVDPNVLISLEKPRWLVAAANLMVAVHVVGSYQVFAMPVFDMMETVLVKKLRFAPSLGLRLVARSAYVLATMFVGMTFPFFDGLLGFFGGFGFAPTTYFIPCIIWMMLKKPKKYGLTWFVNVFCIVIGVLLTLASPVGGMRQIIHDVKSFKFYS >PVH67151 pep chromosome:PHallii_v3.1:1:58424774:58425254:-1 gene:PAHAL_1G438200 transcript:PVH67151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGMGTQHMVCTLGDLTHLCEFLLARSLPRKRSAKGKLASSLLLPGLGCLVHTETQVPLFFLAAG >PVH66414 pep chromosome:PHallii_v3.1:1:43974570:43975493:-1 gene:PAHAL_1G238100 transcript:PVH66414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDIKGLKTLIMQESPSAYYIHCFAHQLQLVLVAVTKGNNDCVWFFDQVSLLLNIVGVSCKRHGMLRDARIENLMRALDCGELETGSGLNQEMGLASPGDTRWSSHYKAVCNIIAMYPIIREVLFTLGEDTTVRADWTKIYTMLGAFESFDFVFCLHLMFTILGYTNDLSECLQRKEQDILNAITLVKAAKKRIEHLRNHGWDQFLDRVILFCNKHGVQVPAMEGNYVPFGRSVRFVHDQNNDDHFRRAIYIRVIDQISIELASRFDEVNMELLSCMAAFDPSNSFASFDAQKVRRLAEFYQALTC >PAN06305 pep chromosome:PHallii_v3.1:1:45849544:45852606:1 gene:PAHAL_1G254300 transcript:PAN06305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAETALRRLGAAVRGLSGAWYGRHMAAAERAIRTRLPLVDLVLEVRDARVPASSAFESLRRRGPLEPDGRRFVVLNKADLADPSETEKWTAYMKKQRTCPCIAVNSHNRESIKELLNVVRSRIREIKHGERDCTGTVLLVGIPNVGKSAIVNAMHQIGRIGAADKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLSPRFANDDSGPRLALTGAIKGSLLDEYDIAQFLLAVVNSREGYRSWENLNQAGDTSSSGNANTSRSHSKKRQYVSDHSQDFIVKAVHQVLFETISSFKGDLGKEDELRRLVDRQFISLQEAFKVSTESSEDVGKSIALKLLNLYSTGRLGHYTLDHVPDVRQEVAA >PAN06306 pep chromosome:PHallii_v3.1:1:45849544:45852606:1 gene:PAHAL_1G254300 transcript:PAN06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAETALRRLGAAVRGLSGAWYGRHMAAAERAIRTRLPLVDLVLEVRDARVPASSAFESLRRRGPLEPDGRRFVVLNKADLADPSETEKWTAYMKKQRTCPCIAVNSHNRESIKELLNVVRSRIREIKHGERDCTGTVLLVGIPNVGKSAIVNAMHQIGRIGAADKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLSPRFANDDSGPRLALTGAIKGSLLDEYDIAQFLLAVVNSREGYRSWENLNQAGDTSSSGNANTSRSHSKKRQYVSDHSQDFIVKAVHQVLFETISSFKGDLGKEDELRRLVDRQFISLQEAFKVSTESSEDVGKSIALKLLNLYSTGRLGHYTLDHVPDVRQEVAA >PAN07921 pep chromosome:PHallii_v3.1:1:53990685:53992977:-1 gene:PAHAL_1G373100 transcript:PAN07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLHRRLDSDTGRLHAARSITVRSPPLPFILRRLLPSAAASPNGAALCHCVETSLVDAQRRAMDVVVRNVSLRGLIEVEERASYRPHPDRPDEWTQFKQETTIRCRPLAALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAESAGAAPSAV >PVH67226 pep chromosome:PHallii_v3.1:1:59633950:59636757:-1 gene:PAHAL_1G457800 transcript:PVH67226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPALFRAAAAELRRRAPLPLPALSSLLSSPSPQSPPSSCPDPSPPAHRRHLITLCRCPRPASASAFSPDSLYDRILLPSHFPPASPLSTSSSSSSEPADKPPLTWVDNWIPEAARPYAMLARLDKPIGTWLLAWPCMWSITIAAIPGQLPDFKMLALFGCGAILLRGAGCTVNDLLDRDIDNKVERTKSRPFASGVLTPSQGVGFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAVVLPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKYWISGFGAACIGSLALSGYNADLGWPYYTFLCAAAAQLSWQISTVELSNRSDCNRKFVSNKWFGALVFSGILFGRLVS >PAN09096 pep chromosome:PHallii_v3.1:1:59633224:59637025:-1 gene:PAHAL_1G457800 transcript:PAN09096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPALFRAAAAELRRRAPLPLPALSSLLSSPSPQSPPSSCPDPSPPAHRRHLITLCRCPRPASASAFSPDSLYDRILLPSHFPPASPLSTSSSSSSEPADKPPLTWVDNWIPEAARPYAMLARLDKPIGTWLLAWPCMWSITIAAIPGQLPDFKMLALFGCGAILLRGAGCTVNDLLDRDIDNKVERTKSRPFASGVLTPSQGVGFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAVVLPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKYWISGFGAACIGSLALSGYNADLGWPYYTFLCAAAAQLSWQISTVELSNRSDCNRKFVSNKWFGALVFSGILFGRLVS >PAN07157 pep chromosome:PHallii_v3.1:1:50229163:50232524:1 gene:PAHAL_1G315400 transcript:PAN07157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIEASGPEAGEFSAKDYTDPPPAPLIDAEELTKWSLYRAAIAEFVATLLFLYITVATVIGYKHQTDATASGPDAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKGFQSAYFVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHVATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >PAN03565 pep chromosome:PHallii_v3.1:1:206549:208527:1 gene:PAHAL_1G001100 transcript:PAN03565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQEEMPHLISCSLLLLVLLAPTNCSASARAAAGVSSISSAAAAAAANTTSSSKNKPQAAALIVFGDSIVDPGNNNGINTIVKADFPPYGDDFLNHRPTGRFCNGRIPTDFIASRLGLKDLLPPYLSPQPLDKPDLITGVSFASGGTGFDPLTPKLASVISLPDQLAMFREYLGKVRAAAGDAKAAEILSRGVFAICAGSDDVANTYFTMRARSNYDYASYARLLVQHASSFVEDLIHAGARRVAFIGIPPIGCVPSQRTMSGGLERGCSQGHNEVAVAYNAGMVRELAALRKKYPGTLLVFMDIYGFLYDMMMHPRSYGFTQATKGCCGTGLLEVSVLCNGVTSAVCDDVGDYLFWDSYHPTEKAYKILADFVYDNYVKLII >PVH67212 pep chromosome:PHallii_v3.1:1:59384545:59385416:1 gene:PAHAL_1G453600 transcript:PVH67212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRLPSQRHRCPPLSTPYCVASPVGSRVVGLCLAKASVWSPLPICWLVPPDSP >PVH66104 pep chromosome:PHallii_v3.1:1:16982791:16985252:-1 gene:PAHAL_1G151100 transcript:PVH66104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAATQPTHHHMELHETRSQSAMERGATPNPPHTHNNSRPTMQQPRLPYARAAPPIVGAMPQAAEVTPSRSCNTPPSCGRYRSRPRPSDPIVEAEPPRPATSSRRAIGRACFHVAQSGSSKMEQTGVGGCSNAVAWSASAAKRALRSRDGSSSFLILLFSLQQAFSFIFFHVSI >PAN06262 pep chromosome:PHallii_v3.1:1:45537727:45545203:-1 gene:PAHAL_1G250900 transcript:PAN06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYELVRSDDAAGAALGPDLESGAGGTTSKAAPPSPAARQPRLVSLDVFRGITVLLMIIVDDAGGFIPALNHSPWDGVTIADFVMPFFLFIVGVSLTLAYKRVPDKLEATKKAVLRALKLYCLGLVLQGGFFHGVHSLTFGVDLAKIRLMGILQRIAIAYLLAAICEIWLKRDDDVDSGLDLLRRYRYQLFVGLVLSFTYTVLLYGIYVPDWEYQISGPGSIEKSFSVKCGVRGDTGPACNAVGMVDRTILGIDHLYRRPVYARTKECSINYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHRGRITNWLIPSFSMLAVAFLMDFVGMRMNKPLYTISYTLGTAGAAGLLFAGIYTLVDVYGFRRPTITMEWMGKHALMIYVLVACNILPMFIRGFYWRDPNNSLLKFIGVGA >PAN09047 pep chromosome:PHallii_v3.1:1:59450740:59452380:1 gene:PAHAL_1G454700 transcript:PAN09047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 715, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G52400) UniProtKB/TrEMBL;Acc:F4KG63] MEVVPVPGVAAGDHLPCAGAMAALALLLCAFIYAAWLSPAATRRRLRRAGFDGPRPSFPFGNLPEITATTMAAANSSTSTKTTLPLLPASPSSTISDVHAAVFPYFARWRQAFGKVFVYWLGTEPFLYVADPDFLKAATAGALGKRWGKPDVFRRDRMPMFGRGLVMAEGDEWARHRNIIAPAFSATNLNHMIGVMQRTTAGMLARWADAVVAGQSVIDVEAGIVRNAAEIIAKASFGMDDDDEAGARVFHKLQAMQAMLFQSNRLVGVPLARLLHVRKTYEAWKLGREIDALLMEIIDARRRRQGRSGGSSNNKDLLSLLLAGSGSESSEERRLTTREVVDECKTFFFGGHETTALALSWTLLMLAAHPEWQEALREEVLAAGAGGEGPLDAASLGRLTKMGWVMSEVLRLYPPSPNVQRQALEDISSSSGVVVPRGTNMWVDVVAMHRDPALWGEDAHEFRPERFARDPVQGGCRQRMGFLPFGFGGRICVGRNLTAMEYRVVLAMLLRRFRLEVAPEYRHAPRVMLSLRPAAGIQLRLTPIIH >PAN05774 pep chromosome:PHallii_v3.1:1:15767324:15769368:1 gene:PAHAL_1G147500 transcript:PAN05774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGLGVGVGLGLGLCAGAGAGAVMQAAAPKKDHRKRNTEAPVTKVVTSRHQNNTEDIDESSAEGLRDAMSYVKENPMLIQVPVLGTARKFWRLSDKATRISRKLALILWNHHKAGRYLTAPLKVSNVWIGSTGSVKLRGASFSPKGFISIERVRDDYEHLSKVLILLIKTSGGDIDNLPPDYKEFLLLLGRGTFTMKDEFFIVNHVALLPMENRTEVFLMLHDIIVNHLGRTDRAKKRKILCNLPYKNNWLDTARANEAINKWVVNVQNEYRSTPIDLLRLNRNVRCHMLQYNNNNIEETLYCEWPELLMVMEKMLQSVGELVDTGIENKFG >PVH66839 pep chromosome:PHallii_v3.1:1:53473661:53473978:-1 gene:PAHAL_1G364800 transcript:PVH66839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVRRMPRAEAEAGRAPASGFRGWVGGQLPRSRVAHHRRRKVARAGAGAARSRRNHRPVAPRAPRPTPGSNPIQPLSISTVSLRRRRREGKEVAPSVAGPANR >PVH66008 pep chromosome:PHallii_v3.1:1:10097976:10098371:-1 gene:PAHAL_1G125900 transcript:PVH66008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSTECWPTKRRHVQQLSVAEMRMLCWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPDAPVHSGVLMRGDIVKRGRGRPRLTWDETVKRDLKEWNIAKKLAMDRSAWRLAINVPEP >PAN04027 pep chromosome:PHallii_v3.1:1:2467775:2471023:1 gene:PAHAL_1G036200 transcript:PAN04027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGKARVVVVGGGVAGSLLAKTMQGHADVVLVDPKEYLEIPWAELRSMVEPSFAERSLIYHKDYLTEATIVTSSAVNITNDAVLTADSQSLPYDYLVIATGHALTSPGSRAERIKEFQRDNGKIESSDSVLIIGGGPTGVELAGEIVVDYPDKKVTLIHRGPRLLEFIGDKASKKTLDWLTSKKVDVLLQQSVDLGSLSDNEKVYKTSGGETITADCHFVCIGKPLSSSWLHDTILKESLDNKGRVMVEKDLRVKGYNNVFAIGDITDIPEIKQGYLAQKHALLVAKNLKLLIKGSPNTKLATYSTGYPLALVSLGRNEGVAQLPFLTLSGCLPGKIKSRDLFIGKTRKQMGLNG >PVH66653 pep chromosome:PHallii_v3.1:1:49715890:49720292:1 gene:PAHAL_1G307400 transcript:PVH66653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPMGARKLVKSVMIVVLALMLFYRPPYVHSAAVPQNSTDMLALIDFRQAITGDPRGFFNSWKNSVDYCNWNGVTCSKTHLGRVRELNITGQSLEGRISPSLGNLTLLKTLDLSSNSLSGQLPDLNRLRKLQKLLLKFNNLQGLAPNALKNCSSLQTLDIRGNMLAGSIPSELGFLYNLVVLHLESNSFTGVIPSSLGNITQLMYLFLHKNQLEGHIPTELGQSAKLIQLALGENRLSGSIPTTLLNHSSLEILDVNTNFLLMELPSTIGNTLPRLSGLGLRYNMFQGQIPASLGNASYLSVILLTSNNFTGQVPSSLGNLSLLQYLNLDGNKLETSDSKSWEFLDALGKCRVLKSLTLADNQLQGAIPNSIGKLSPGLQYLGLGQNKLTGMIPESIGNLKGLNSLYLGQNNLAGPIGSWVGNLKNLGILNLTDNNFSGPIPSSLGSLTGLTWLYLQNNKFDGPVPASLENLQQLLVLNLSCNNLQGPIPKELFSPISTITTCILSYNNLEGPIPPEVRNLQQLNELDLSSNKLTGEIPVTLGECRQLEILKMGYNFLTGNISLLSTLKSLSMLNLSHNNLSGSIPIELGNMSYLTQLDLSYNDLRGEVPRDGVFRNASAVSLVGNSGLCGWTLDSHMRSCPTASRRKMAQYYLIRVLIPVFGFMSLLMLIYFVLTEKRMARAPSLSPLGDQFPIVSYNDLAQATKNFSESNLIGRGSCGSVYRGNLTKNKLEVAVKILDLDMRGAEKSFLSECQALRNIRHRNLVPIITACSTVDANGNVFKALVYEFMPNGNLDSWLHLKGNGKARKPLSLNQRTCLAVNIADVLDYLHHESGSTIIHCDVKPNNILLDDDMNARLGDFGIAKLYLDSRSQSTGDSNTTSSIGVKGTIGYIAPEYARGGQATTYGDVYGFGIVLLELLTGKRPTDSLFVNQLNIVSFVERSFPDKILDVIDTPLQDDVKTTQANMVTENGAYQCLFSLLQVALSCTRQLPGERTTMREAASRIRAIKTTYAEGKQKHALK >PVH67020 pep chromosome:PHallii_v3.1:1:56596189:56597896:-1 gene:PAHAL_1G412300 transcript:PVH67020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRPSASAMDADAGPSPPRSKKRPSGKSDSDWSPNPSPRGSPSEHKRRRRTSAESAALALTAVAARASAASSDAGRGAVRRSLRPRRRAARVDGGDGGIQMLGDAAARVGGGRGGVKKYWSDADEFTLLAAAVAFRERNGRAPRLPDMAELFDSISDSISPDIDQFMVYYKMKRLKSKFQHSNGPSDRRLRNLCSILWGVGVVPSSEDDSDAAERRSVPDAAAMMPVVTEVLGEYWKTNERVMAPVPLEKGLSLLGKKEGRLLETKWRQQLDEEMQSQMRQHDLAKEVCGLLTDAIKGLGP >PAN06826 pep chromosome:PHallii_v3.1:1:48660399:48662354:1 gene:PAHAL_1G290900 transcript:PAN06826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRLGLRDVRQICGQARRHRYPPNQRLELNPPTSTAPPSGTPTRRLSVTGMKSSAEPRAAGDVDVELLKAVAQAWHAWSGNPRPSRGSETGGDDSDAAGAGARPRAGASRHRPSRFKLEAMAAAAAAVAAPPGEVAWDFTRSLWDTYELVSVARKLESGLAIADHAAAAAAAPAVPPGGDARGAGKRARESGRSLRSLFLRSTSRRFEEPNS >PAN06209 pep chromosome:PHallii_v3.1:1:48697186:48699354:-1 gene:PAHAL_1G291600 transcript:PAN06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGGVVSLVMVLLLGACLPAPAAAGVLLSTLPKALAVTASPKPGQVLHSGVDKVTVTWSLNTTEPAGADAAYKSVQVKLCYAPVSQKDRGWRKSDDDLSKDKACQFKLTQQDYAAGARGSFEYTVARDIPTGSYHVRAYALDASGTQVAYGQTGPATAFDVAGITGIHASIKVAAGVFSAFSVAALAFFFVVENRKKNK >PVH66309 pep chromosome:PHallii_v3.1:1:31883099:31883590:1 gene:PAHAL_1G202200 transcript:PVH66309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESETTRESTPEYDPIAAYEVRAPLHWDAEEWDFHYQSDDDESLTDGEDLALLLGAELEEEEDDALWGEDLSLSEEETDSISSEEDPMSGTFLFDWSSDDTSDSREGADNDDSFTSSSGGGDDAGHNDSSSSGTSVAPPSKRRKTSDVYWW >PAN05842 pep chromosome:PHallii_v3.1:1:33234662:33239757:1 gene:PAHAL_1G206300 transcript:PAN05842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTAPSTPAAAPMAPPPSYPASSASSASTTASAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >PVH66734 pep chromosome:PHallii_v3.1:1:51344049:51344867:1 gene:PAHAL_1G333300 transcript:PVH66734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRNAWRLAINVPEP >PAN05007 pep chromosome:PHallii_v3.1:1:8213167:8216723:-1 gene:PAHAL_1G108800 transcript:PAN05007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSLSSPLFLAAPARARDVISHGVASASTPWSTANALGKGYMGRVHDHGQKRRMAIVSVIGRKSRTRETVVPDPDYRLPIAILGIAGAFAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDNEALEVKVGDQLQESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKESQTKPEGQVHFFPVIFNGQQLYDVMVERAGPSKTSGPK >PAN07544 pep chromosome:PHallii_v3.1:1:51915356:51920765:1 gene:PAHAL_1G342500 transcript:PAN07544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKGSKLDEQEAVALCRGRADLLAAAVRHRYALADAHDALADSLASAAAALHLLLAARPPLALPAARKDAGAPPTQPAASPPHSSSHINFAPSSDSEPGSASSSPPRRLAGHDHLPQHPHPHALPYPHYGYAAGYGYAPEPPYGGYPPGSLRLYYSRSRPPPASVAVEQRTAESGRVYYGSFEPAAGGYPQYHSYGAEPAPAAPGGAPLPPSPPRSSSWDFFNVFGDYDVYDNYCYDAGGAGAAAAYTPSRSSREVREEEGIPELEEDDAVVKQVAGEFSAPGSGARSRRSSLGGVSSSIAEVDEEENSVVDKEVIGGGNVARQQAPAQRNVEASAPTARRVVDGSDVAGEIKAQFVRAADAVKALSPILEVGRRRYNHRSSVYHVSSRMVSAIALPHSDLGGVELLDVGGEKVLGGRSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNTKRLKFLDHKGAEANKIDATRNMVRKLSTKLRISVRVIAKVSKKINRVRDEELWPQINALVQGFVRMWRDKLDCYQIQCQVMSEAKNLDSVVPDGCSRDMALELELELMKWIVNFSSWVNEQRSFVKALNGWLSLCLNYKAEETVDGVAPYSPGRVGAPLVFVICNSWSQAMDRFSEKEVVSSMQALVSSVRKLSEKQNVEQSEQIIATRERERWNKILERKTVEINKEADVLNRKLALVPGRHSRLPSAQTYQDHLLDVSSLQTSLQRVVQALESFASSSLQAFEQTLRHAEEERSSRENKNAKVS >PAN07474 pep chromosome:PHallii_v3.1:1:51721287:51724453:-1 gene:PAHAL_1G338800 transcript:PAN07474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSAAAAADTATPPPPPSAEPAAAPALDQVSHPPPPQPDAPAQPPAPAPAPAPAPKKRKLEEAGFHTSDYYKIRAVVADLRVRFVQVYQATNFRNTDAAREILKEIKGVMELSKKMRLKLGATPDPVKSTEKPSAVPVKEEPVKPAEKPQAGPVKDEPVKPLEKHSAEHVKDEHAKPLEKPSAEPVKDEPAKPLEKPSAEPMKDEPLKPTGPAPAGENNQVPGVGQATISPNNAGVDSAVKNDNSDAQQ >PVH65509 pep chromosome:PHallii_v3.1:1:1027943:1029680:-1 gene:PAHAL_1G011000 transcript:PVH65509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHACAHPLQRRPRSPLAALPVADRRRCPRRPLAVLGRLLPRHPLLRTVSFLRFPPDNFPDTHNRSIACSWLYRGVSAIDAGRALIKFVDVARYDDIVYGALKPGTGLTITCHTLTLDGMVWNKKTLGGKELWSKDCTFTSDELWSSADNPPERLPRGILMFPQVNIDRPHVVHFVVFTEFKYVMKKMWLVAIDMNTRTVESCSEYTNGREDVYLTYVRSCLPMPFLHCEFSKYVHPSRHLCIFAIKKKKKMMMMMMMMFTKLGYRTGKAPLQLRDLLDAYNHLVES >PAN07352 pep chromosome:PHallii_v3.1:1:51110703:51114757:-1 gene:PAHAL_1G329000 transcript:PAN07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-nicotianamine (NA) transporter, Fe and Mn transport to the endosper [Source: Projected from Oryza sativa (Os02g0649900)] MEAAAARGGVPAPEIEKSVADTEDVESEPVAAVDRRGEERVPPWREQVTVRGLVAALLIGFVYTVIVLKLALTTGIIPTLNVSAALLAFLALRGWTRALGRLRLGGAPRPFTRQENTVVQTCAVACYTMAFGGGFGSSLLALDKKTYELAGVNTPGNAPGSYKDPGIGWMTGFLLAISFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTPRGEENAKKQVSGFLRCFGISLLWSFFQWFYAGGEGCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSTLFGAVLSWGILWPLISKQKGNWYPANVPESSMTSLFGYKSFLCIALIMGDGLYHFIKVMVITVNSLHERSSNKHTKKVKNEDTIAADDMMKHDEVFNTDSIPNWLAYIGYALLSIIAVIAIPIMFRQVKWYYVIVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKVALFIFAAWGGKDDGVIAGLVGCGIVKQLVQVSAELMHDFKTGHLTLTSPRSMLVGQVVGTAMGCVVSPLTFALFFRAFDVGNPDGYWKAPYALIFRNMAILGVEGVSALPAHCLELSAGFFAFAVLANVARDLLPRRYGARVPLPTAMAVPFLVGANFAVDMCVGSLVVFAWRKVDGEEAALLVPAVASGFICGDGIWTFPSSLLSLAKVKPPVCMKFTPGS >PAN08631 pep chromosome:PHallii_v3.1:1:57693825:57697630:1 gene:PAHAL_1G426000 transcript:PAN08631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSRMEQATGVSGPEHIIDIPRDTGSSASVSRSVDRENHEELNPVDRPSTRALVPALQAPSAIGAVPSAGQTSGTRRSDNYVRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLCLSRKEHPQAPLLEWVIGYTVGCFATLPHLYWRYIHRNIVNGEHEPAHAPQGSSHNNSTEATHAASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINALPTYKFKTKKRRHGSGSEAEGQEGGVVAAGTEKERSLSAEDAVCCICLAKYAHNDELRELPCSHCFHKDCVDKWLKINALCPLCKYEIASSSSTSDTRQSDQNAIPVQEIEMH >PAN08093 pep chromosome:PHallii_v3.1:1:54942495:54948195:-1 gene:PAHAL_1G387000 transcript:PAN08093 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS4 [Source:Projected from Arabidopsis thaliana (AT1G33390) UniProtKB/TrEMBL;Acc:A0A178WIP4] MEDSNALILPCKRKNKGQGKAKDGKKAKKEDPKMSKTKLKKLQKLEEEKQKKLLQAKSIEILQKHKISEDAYSLLHASGTIGQVETLKEKRRRAMQLSKAGLNVPEELSLFKTSSDKKISENSDNMEQILPAEFVEPAKSEDPCSEHKNNMKNDSMKAMECQPKMDVRVSISEPKTEGPSDNAHLLANQKIQSSIPCCSGSELDLQGKEPGQGQREAAVQECFGPPIVVPVSRPHEVEKARRDLPIIMMEQEIMEAIYENSIVILCGETGCGKTTQVPQFLYEAGFGTSDRADRRGMIGITQPRRVAVLATARRVSYELGLKLGREVGFQVRHDKLVGSNCSIKFMTDGILLRELQDDFLLRHYSVIILDEAHERSLNTDILIGMLSRIIKGRKNLYADQQYKIRSGVKIEPEDRISQLKVVLMSATLQLKDFISNRRLFDVIPPAVKVPVRQFPVTVHFSKRTQDDYLGQAYKKVMSIHKRLPPGGILVFVTGQREVDYLCKKLQRASKAQTAKKPEKIDGDDNGPCPEVGDKEILEAYDIDRNKSEHQDDIFCSYDDDDDDMDPGPDSGSSDNETEGEMDTDTDDEESVTYETTEKDAKVLAFLKNTENSSVLKASFGALSGISGVPESVEKSSDATSEEKSSPSVHCFSKCTERIPVSHGRLHVLPLYAMLPASQQLQVFQDIPEGERLVVVATNVAETSLTIPGIKYVVDTGKEKVKKYDHATGMSSYEVQWISKASASQRAGRAGRTGPGHCYRLYSAAAFGKDDLFPEFAEPEIKKISVEGVVLMLKFMGIHKVVNFPFPTPPNKESLVEAEHCLKTLEALENDANGKLTPMGKAMAQYPMSPRHSRLLLTVIKILKSQQGLARSNFILGYAAAAASALSFTNPFLKQLDECDINGESEENNANPEANDPCERKRQKKHRAMVREAQEKFCNPSSDALTIARALQFFELSESPVEFCRINSLHLKTMEEMSKLRKQLLRLTFHHTKFCKEFAWNSGDSDDVEQAWRSESSKGPLQINEEEILGQGICAGWVDRVARRNYTYSRSARDDQKVRAVRYQSCALNDTIYLHRSSSVAQVAPELVVYSELLETKRLYMHGVTSIKPGWLLKYASSLCTFSAPLEDPKPYYDPLNDQVYCYVSPIFSRHNWQLPLHSLPIQDNTSRLQVFACALLKGDVLPCLRNVKDFLALSPSFVFGPASQRRVGDLLARMHIDKKLKIGQEIISRKLIDSRAALRDAWKADPNFLYPEIKAWYQDRFHSQFDVKWEQMHQEVLLEGHELFPKRSKKVKG >PAN06393 pep chromosome:PHallii_v3.1:1:46547512:46548545:-1 gene:PAHAL_1G261200 transcript:PAN06393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKHHHHLFHRHKDGEEEGSAGGEVDYEKKEKHHKHLEQLGGLGAIAAGAYAIHEKHKAKKDPENAQGHRVKEEVAAVAALGAAGFAFHEHHQKKDAKKHAAADN >PAN08848 pep chromosome:PHallii_v3.1:1:58602444:58607440:-1 gene:PAHAL_1G440400 transcript:PAN08848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-10A [Source:Projected from Arabidopsis thaliana (AT4G14330) UniProtKB/Swiss-Prot;Acc:Q8VWI7] MAPPTPSPRPGPPPTPQGGSLATPLRTPASKHRLHFPATTPKNAHHGGGVGAATEHPVEVIGRIRNLSSGGASALEIAGGGTAVRVRGDAGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGGEGDGSAGGGGDDAGFGAGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKARLEVMGKKAKNATYLSGNEAGKISREVAKVEKRRIVKSTLCNDRSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKISSEESSTMLNSRIVAMNQFIYKLQKENKLREKERNEAQNLLRLKEEELTQVRAKLKLIEGQEKAAKEEEINSKVMEKTQTLRSELTKMEEAMLRQQEELTALKQRLQEVEHEKVDARQPVQQDFIGGRLLARLSEMPAGLDQSMSMVMSMELDTGDQPQDVKVIKEDTRQQGHIWNHTATAGVCTGAVVQEDDVRLSGYPEKFVLSTVFEEGDEEDAERDSGLEEVCKEVVQESFKVDTTQHALAEPDDPATRKHRIENIFRLCGNHREVAKKPKVQSPTKEVFADENRSPTKHLFGEESKSAAKQAYGNENREPLAWGALETPMCDVKVAESPVSSQLSPIVCQVVDEPLSEQLKSCSAVEDSDQNKENSFAGHKEQDGLLEVYIKWESGNLIKGLKLLRNSCLSDLRKLIEAHFEEAGGKEQHQFTFLLLRDPSGAPVSREKEASVQISKLPHWNNQPDSYLACLRAAKKPAVDHMPFSPLDSKLNSVVKDTHLAGVLSPKVNQMSPNYIRELRA >PAN07681 pep chromosome:PHallii_v3.1:1:52761351:52765004:1 gene:PAHAL_1G354800 transcript:PAN07681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVDALCAPPGDASALIYDTFNAASFLFDGPAAAALYDGAGIVECPAPAQQQQQAAEAAGETQAATSSAPTRVRKRRRRARSCKSREETETQRMTHIAVERNRRRQMNEYLAALRSLMPEPYVQRGDQASIVGGAIEFVKELEHQLQCLEAQKRTLLVHQHKAAKPDATPMHHSSGSTKATAGTTACVESAAAATTTSNCSSSVTEDAADHAPPPPFAQFFTYPQYVWCHSPRDPASSSSAEDGGRPGVADIEVSLVETHASLRVMTPRRPGQLLGLVTGLQALRLGVLHLSVTTLESLALYSISVKVEEGCSLATVEDIAAAAHHVLCLIDAAEATEQQQEEEEVLAASGPR >PAN06314 pep chromosome:PHallii_v3.1:1:45908266:45911777:1 gene:PAHAL_1G255100 transcript:PAN06314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQKSAFYVALVCACFLLNHACDHDSLRFGYSGSTGPNYWGSLSPNFTLCSKGINQSPIDIVKHEAVYNPQLEPLERDYTATNATIVDNVFNIALRYNDTAETVKVGGIKYKLKQLHWHSPSEHTINGERFAMELHMVHFTEDGNITVIAILYRYGKPDPFLFQIKEQLAELHAEGCKAEKGDPFPVGVVDMTELKQGGDRYYRYVGSLSAPPCIENVIWNVLAEVREMSKEQAADLMAPLEGSYRHNSRPLQPLNGRMVQLYDKSLKIRKVM >PAN04489 pep chromosome:PHallii_v3.1:1:4845102:4847756:1 gene:PAHAL_1G071200 transcript:PAN04489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSDLGGLGGRPTNPQANPFGGALHGTGSGLIRTGLEAYGGRILDSSSEFMQSNITQYLSDPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGVLGRFTPEALTLQFSKGILGWFLQVILIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLSSISG >PAN04491 pep chromosome:PHallii_v3.1:1:4844815:4847755:1 gene:PAHAL_1G071200 transcript:PAN04491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSDLGGLGGRPTNPQANPFGGALHGTGSGLIRTGLEAYGGRILDSSSEFMQSNITQYLSDPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGVLGRFTPEALTLQFSKGILGWFLQVILIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLSSISG >PAN04490 pep chromosome:PHallii_v3.1:1:4844866:4847717:1 gene:PAHAL_1G071200 transcript:PAN04490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQRGTMAAMNSDLGGLGGRPTNPQANPFGGALHGTGSGLIRTGLEAYGGRILDSSSEFMQSNITQYLSDPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGVLGRFTPEALTLQFSKGILGWFLQVILIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLSSISG >PVH65794 pep chromosome:PHallii_v3.1:1:5367439:5368170:1 gene:PAHAL_1G078300 transcript:PVH65794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSMRLTDFRSLASRLLYDLVAIVFANLCWIETGSMSTIEREMAVARIAIS >PAN04930 pep chromosome:PHallii_v3.1:1:7557537:7561777:-1 gene:PAHAL_1G102600 transcript:PAN04930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHLAAGRRLLLLLAAVATSAAAAGAAAEANRSSSCTLLPDAEFVRAAFLNVSNFQPPLPGHRACRPVRRLHFPSRNLTGPVDWAELGNLSSLLTVDLSGNSLQGGIDASFWRAPSLRAVDVSRNNLNGVLRFDDVPSVRLAALNVSGNQFTSVVGLAGLTGLEFLDVSRNRVGAAPEGLQNLTKVRWLDLSCNGMAGRFPDDLPPLDGLVSVNISHNNFSGTVPAAAVRRFGQSAFFQAGNALQVIEDGAPSGGKKRNRAVVIALISAGAVVTAAALAFVAACVARGLARRRKKKKKRKDKDGKAAVWEDEEVVVGAVKVAAAAPVVVIERPLMELTLADLAAATSGFGRESQLAETGGRSGAAYRAVLPGDLHVVVRVVEGPVAGVGEDDDEAATAAGFRELARLRHPNILPLLGYCIAGKQKLLLFEYMEKGDLHRWLHELPVGSMDTEDIGIDTMEALEERKPAGDWPTRYRIILGIARGLAFLHQGWAGSGRPIVHGRLVPTNILLGDDMEPRISDFLHPSDETPEGDVYRFGTLVFELVTGQARWNDASTSWARGVIRNRKGLNLVDDRLLRGDAAGAPEAEKEMAECLQVGFLCTAGATEKRPTMQQVVGLLKDIRPPAAPAGEPPVASLMMQ >PVH67060 pep chromosome:PHallii_v3.1:1:56980599:56981132:1 gene:PAHAL_1G418300 transcript:PVH67060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRSPILLLPRTTSAPAAQSSPHLSSSGSGSGSAQLARRLAAWLPCSSSRIQERDRGGWCAPVLLT >PAN07249 pep chromosome:PHallii_v3.1:1:50687685:50690082:1 gene:PAHAL_1G322000 transcript:PAN07249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQRRQAGCDVRRLMHGPDRDPERYKHSGSTTMSSALGHLPAMRARSRRRDWTKLPPDIAAEIAGRLLGVDMTEYIRFRSVCKPWRQSTEDPRSLHSRFIPHNWVVLINYLDCFRCNYGEDARKFRLLNVATGASLTHVEFPELSGHHAMGYAEGLLVLWNKATSGIRLLNPLTHAVTDLPDFSSVVAEASPAALDGAYHFRGFGVIDGGPGAAGASPPTIVFFLDGEVPMIVCIRLGEPRWALVNTSELDGGTGHISFSSTLSLRGRFYMATSTGDVLTVELDPEPRLVYVIRQTTAAPKPTTTTAPRATRPFFEFFLSPSSNDHAGMVMVRASRDRGQVEVFQVDVDAGKLVPTSTVGADRAVFIGSTRALSISTRLFPSIAANAVYFCIGSISMDLLFYIVHLDNGWGEPARLPYSDQGQAVGPFVDPCNLDVYLACCIDVVQVLKCKLPCTRMNNIKP >PAN05063 pep chromosome:PHallii_v3.1:1:8489605:8497882:-1 gene:PAHAL_1G111200 transcript:PAN05063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYALSDLPPLASLPNPPRRRSGRRPGTRHRRPSPLRQDRTARPAQRRAPPSTEAASGDASGGTGASSLTAGMEESGDGMPRQRRDVSSTNHSSNSDAVAEGTSGVPHETAKHCQDAESEKTEDPPCKKNLHGFNECSSSKNLERTKCRWSPEENELLAQLVNKHGTKNWQTIACAIPDRNAHACLSRWKYILDPAINKEAWSQQEELRLIRAHQIYGNKWCKMVKHFPGRTNNALKEHWRGSMKRKLDSYLASGLLEQVPDLHESLSVPQSSQSDIPKDSKLSSDRIRFSSILSTSSKFKQELRELSEDAYTSVGESSDFIYAKAFDADSAKVSESIIAKPQQCATARKKLNFVSSPVKLKSDVPPETVKQHRHEMESEKTEGSPCKKNGYCFKQGSSLKKSERTKGRWLAEENEILTKMVTKHGLKNWRTIASAIPGRNAQQCRIRWTRSLDPAVNKEDWSEQEELKLIRAHQIYGSQWLKMVKHFPGRTNHALKEHWRGRMKGKLDYYLASGMLEQIPDLQEDLSVPESSQSDIPKDGQGSSERNRPPSLPIRPKPKSDLSELDENASTSEEESSDCMYNKGLDAHSAKVSEKVMAKSKQRARARRKLDFLSTPVELKVCTAAASCQRPPPEMEQTTPAGDNISPSDVCQDIPQNAASERVDVVIPTAASNPPNDVHSWATPDPCSPEIHEANASDPLDMSYCDDLIIDSPPYLHGSSFI >PAN05062 pep chromosome:PHallii_v3.1:1:8490147:8497661:-1 gene:PAHAL_1G111200 transcript:PAN05062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYALSDLPPLASLPNPPRRRSGRRPGTRHRRPSPLRQDRTARPAQRRAPPSTEAASGDASGGTGASSLTAGMEESGDGMPRQRRDVSSTNHSSNSDAVAEGTSGVPHETAKHCQDAESEKTEDPPCKKNLHGFNECSSSKNLERTKCRWSPEENELLAQLVNKHGTKNWQTIACAIPDRNAHACLSRWKYILDPAINKEAWSQQEELRLIRAHQIYGNKWCKMVKHFPGRTNNALKEHWRGSMKRKLDSYLASGLLEQVPDLHESLSVPQSSQSDIPKDSKLSSDRIRFSSILSTSSKFKQELRELSEDAYTSVGESSDFIYAKAFDADSAKVSESIIAKPQQCATARKKLNFVSSPVKLKSDVPPETVKQHRHEMESEKTGKGSPCKKNGYCFKQGSSLKKSERTKGRWLAEENEILTKMVTKHGLKNWRTIASAIPGRNAQQCRIRWTRSLDPAVNKEDWSEQEELKLIRAHQIYGSQWLKMVKHFPGRTNHALKEHWRGRMKGKLDYYLASGMLEQIPDLQEDLSVPESSQSDIPKDGQGSSERNRPPSLPIRPKPKSDLSELDENASTSEEESSDCMYNKGLDAHSAKVSEKVMAKSKQRARARRKLDFLSTPVELKVCTAAASCQRPPPEMEQTTPAGDNISPSDVCQDIPQNAASERVDVVIPTAASNPPNDVHSWATPDPCSPEIHEANASDPLDMSYCDDLIIDSPPYLHGSSFI >PAN07819 pep chromosome:PHallii_v3.1:1:53506141:53508458:-1 gene:PAHAL_1G365400 transcript:PAN07819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFIEAQKPLLSRLMKMAGLRPIEVELEPGTTMHVWAPKHHVGKKGTTISPLDPAGGGGKKPGGSKKRRSPESRPNVVLIHGFAAEGNVTWQFNFGVLVSRYNLYIPDLMFFGKSSTSSADRSPDFQAACVAGALARLGVARCDVVGFSYGGMVAFKLAEARPELVRSLCVSGSVVAMTDAVNRETMERLGAGSSAELLMPETLKGLKALLSISMYKKMWFPDRFYKDYLKVMFTSRKERMELLQGLLISTMDAKIPVFQQKIMLLWGEEDKIFDIELAKKMKEQLGDKCFLYGIRKAGHLLHVERPCAYNRQLQRWLAYVNSTAAGEEQASS >PAN06916 pep chromosome:PHallii_v3.1:1:49004563:49010100:-1 gene:PAHAL_1G297400 transcript:PAN06916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNGVVECSVCRNRLVVPSPRSVSRAYDKHRSKMSSKFRALNVFLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVMKVIFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKFIMRRKFSVIQWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYIYTLVFVTVPSMASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPADLLELEDTQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT >PAN04336 pep chromosome:PHallii_v3.1:1:4014696:4020219:1 gene:PAHAL_1G059500 transcript:PAN04336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLSSWPWASLGQYKYVLFGPLVWKVVQEWREQGGLPLGSWWLHLLVLFAVRGLTYQFWFTYGNMLFFTRRRRVVADGVDFRQIDAEWDWDNFLLLQTLIGATVVNSPLLPGLRQLCLWDPRGWAVALLLHVGFSEPVFYLAHRALHRAPLFARYHAAHHASGVTQPLTAGFGTPLESLLLTLAMGAPLAGAFLVGHGSLALVYGHAFVFDYLRAMGYSNVEIVSPRVFDAFPPLRYILYTPSYLSLHHRERRGNFCLFMPALDAAFGTLDGRAWALQRAAYDGAAGGGALGTPEFVFLAHVVDIMSSMHVPFALRSLSSAPFANHFILLPFWPVAFGFMLLMWCCSKTFVVSFYYLRGHLHQTWSVPRYGFQYFLPAAKKGINHQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEVPSNVREVFLTGATSKLGRAIALYLCRKKIRVLMFTMSSERFLKIQREAPPEFQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVRGLSSCEYTMERGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDVVWKAALKHGLAPV >PAN05337 pep chromosome:PHallii_v3.1:1:22738062:22739331:1 gene:PAHAL_1G170100 transcript:PAN05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSWHLFFFSWEHLGKQHRETFCWSLLRSLMMKNHRQWLMCQNYNNQKTRRIITII >PAN06632 pep chromosome:PHallii_v3.1:1:47737228:47740083:1 gene:PAHAL_1G277600 transcript:PAN06632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASQSSMSGAGEAGVRTVVWFRRDLRVEDNPALAAAARPAGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVVALLDIVRSTGATQLFFNHLYDPLSLVRDHRVKELLTAEGITVRSFNSDLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKKINSGDLSRCVSDELIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLIDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNDGNHAGEESCTLFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLRLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPRPIVELDEANSKLQGALSEMWELEAASRAAMENGMEEGLGDSSDAPPIDFPQELQMEVDRQPVRPTQTPMMAGRRREDQMVPSMTSSFIRAETELTADFGNISEDSRPEVPSNMHLQPRMEREETVDGGAGNGVRMNGNYHQQNLQNNTRRVLGVAPSVSEASSSWTGREGGVVPVWSPPAASGHSDPYAADDADISSRNYLDRHPQSHTMMNWSQLSQSL >PAN06633 pep chromosome:PHallii_v3.1:1:47736682:47740898:1 gene:PAHAL_1G277600 transcript:PAN06633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASQSSMSGAGEAGVRTVVWFRRDLRVEDNPALAAAARPAGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVVALLDIVRSTGATQLFFNHLYDPLSLVRDHRVKELLTAEGITVRSFNSDLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKKINSGDLSRCVSDELIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLIDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNDGNHAGEESCTLFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLRLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPRPIVELDEANSKLQGALSEMWELEAASRAAMENGMEEGLGDSSDAPPIDFPQELQMEVDRQPVRPTQTPMMAGRRREDQMVPSMTSSFIRAETELTADFGNISEDSRPEVPSNMHLQPRMEREETVDGGAGNGVRMNGNYHQQNLQNNTRRVLGVAPSVSEASSSWTGREGGVVPVWSPPAASGHSDPYAADDADISSRNYLDRHPQSHTMMNWSQLSQSLTTGWEVDK >PAN08850 pep chromosome:PHallii_v3.1:1:58615603:58619500:1 gene:PAHAL_1G440600 transcript:PAN08850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLKGRGGGGKASATAAASRPLTPKPFSISSSARRTPAAAAGKENSASKPVKPTSAVRWSTSSLPRASRIQSSVDSSKLVSTLRASVVPGRASIGKDPVADAGLQRSVSGGIRSSSVEKGRRSVNVVGSRASEARRGSAGVGSDDISKRREGFDAKAKGLDGIGRKRDDLDAKAKQTGEIDRKRESFDAKAKQIGGKRDNFGVNVSKQCDEIKGKTEITGANMKKQSEEIVGRREDLDTKAKAGEEISRKKDGLNMKLVKEMHAKKADLGEASSNAADFCPAQKDDEEGNGSVIPVFTVHVVDSIDVPGTREQQKNNEESKKQEEKGKLADKIRVFERAAAGGEGRSVKPVSGVNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADSSECESSYAESAAKCTLVKPSGLKHAGKGLNTDELEARFFPHHKLLRDRKSSTSTHQESSADTKKISPGKTGPAAPAPDVDENSITMEFLASLDGEENDFFKDRRAKKMEKNMICEVADATSKTSSQGSSKNPIGPNHKEEIELLASEKLEEFDEQENKPSMMVQEETEESSNDQLSGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANHEFKSEYKPPSMVSNNMWGDCWLIRAPGVDGCSGRYVVAASAGNALEPGFCSWDYYTREVKAFHIDEEASHAPAPPPRAVLGPLSNLGSSRSSSALSNGETQQWWYKPCGPLLLSTASKQKMVTAYDIRDGDVVMKWEVSNPVMGMEYSSPLQWRSRGKVVIAGSESIGLWDVNSLNPQPLLSVASSGKKVYCLHVNNTDAEVGGGVRQRVSSSEVEGNDGVFSTHESVNVFDFRVPAGIGLKIARHGGTANSIFSRGDSVFIGSTEGRLQIKGGLRSRVQQYSLRKGKLVATYELPEFNAHFHHSSITQVWGNSNLVLAACGMGLFAFDTYKEDMQPTYSFDRGNTIGVRETIGPDDLYCPTFDYSSSRVLLVSRDRPAHWRYMS >PAN03912 pep chromosome:PHallii_v3.1:1:2012841:2017027:1 gene:PAHAL_1G028700 transcript:PAN03912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLTTSFARNVGKEHNFVCSSKLVSENQWVPRRICCYAPSLTNFSQRHKSTAMVYPMRPIIGRHSRWRFFAASLNLEDGPAPSDSTSSSSEQTTDGDASENLLSEKLSSDELKSLLADSERSKLLRRLSEANQYNRFLKRQLQLKDDAVIKFKSELAALELELQALVGLAEEIANFDVPLGSRKINGKYIQSLLLSRLEAVHGKVMEQIKDVDSLKPQEVSVYWVGMAENVQIMGSFDGWSQGETMSMEYSGDYGRFSATLKLRPGRYEIKFLVDGEWRLSPEYPMAGEGMTQNNILVVE >PAN03913 pep chromosome:PHallii_v3.1:1:2013473:2017027:1 gene:PAHAL_1G028700 transcript:PAN03913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTIVCRNNSSCVMTQWGFLSETIYPFLNKLSFFFLSQGNVMECLTTSFARNVGKEHNFVCSSKLVSENQWVPRRICCYAPSLTNFSQRHKSTAMVYPMRPIIGRHSRWRFFAASLNLEDGPAPSDSTSSSSEQTTDGDASENLLSEKLSSDELKSLLADSERSKLLRRLSEANQYNRFLKRQLQLKDDAVIKFKSELAALELELQALVGLAEEIANFDVPLGSRKINGKYIQSLLLSRLEAVHGKVMEQIKDVDSLKPQEVSVYWVGMAENVQIMGSFDGWSQGETMSMEYSGDYGRFSATLKLRPGRYEIKFLVDGEWRLSPEYPMAGEGMTQNNILVVE >PAN09035 pep chromosome:PHallii_v3.1:1:59379189:59384468:1 gene:PAHAL_1G453500 transcript:PAN09035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLPAVLLLLAALAGMAAAGDIVHQDDEAPKIPGCANDFVLVKVQTWVNKREKDEFVGVGARFGPKIESKEKHANRTKLLLAEPSDCCTPPTEKVSGDILLVERGLCKFTKKAKVAESAGASAIIIINDKHELYKMVCERNETDLDIGIPAVLLPKDAGSKLRNLLSSGEVLVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEIPPNFEAGGSSGMVDINMASAILFVVIASCFLIMLYRLMSHWFVELLVVIFCIGGVEGLQTCLVALLSMSRRFKPAAESFVKVPFFGAVSYLTLAVCPFCIVFAVLWGVYRRLPYAWIGQDILGITLIVTVIQIVRIPNLKVGSALLSCAFLYDIFWVFVSKMLFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTICTFLALGMKRGELRNLWTRGQPERVCTHTHPSLKDSADPVRSS >PAN09034 pep chromosome:PHallii_v3.1:1:59379189:59384468:1 gene:PAHAL_1G453500 transcript:PAN09034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLPAVLLLLAALAGMAAAGDIVHQDDEAPKIPGCANDFVLVKVQTWVNKREKDEFVGVGARFGPKIESKEKHANRTKLLLAEPSDCCTPPTEKVSGDILLVERGLCKFTKKAKVAESAGASAIIIINDKHELYKMVCERNETDLDIGIPAVLLPKDAGSKLRNLLSSGEVLVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEIPPNFEAGGSSGMVDINMASAILFVVIASCFLIMLYRLMSHWFVELLVVIFCIGGVEGLQTCLVALLSMSRRFKPAAESFVKVPFFGAVSYLTLAVCPFCIVFAVLWGVYRRLPYAWIGQDILGITLIVTVIQIVRIPNLKVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTICTFLALGMKRGELRNLWTRGQPERVCTHTHPSLKDSADPVRSS >PVH66779 pep chromosome:PHallii_v3.1:1:52065546:52066006:-1 gene:PAHAL_1G344700 transcript:PVH66779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRASRRREQNLLRSITVGLWAGTSPGQFLDLFLDSDCHRDSAFRQISEGLEMDGVLGGIFRNATVRGSFLLEMDSTFSLFRPLGVLNLLVFTLHDAIFCK >PAN08694 pep chromosome:PHallii_v3.1:1:57993552:57995056:1 gene:PAHAL_1G430400 transcript:PAN08694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEGGSTAPALPLPPRLSASSPPTVGTLLTRASAAGAPRARECSSPRSLLSRILHRGRGGGGSGFGCRLRLLPRYCTSGAAAKEHVATAREDVREEPREAAALPNVVRGQPAPRESPRNSLGKKATMAEEHALPASLGLGASLVLLLSKSAAELSRMAELRAQMERLMLDVRADVRSCNGRPSGSDGHTDSASVVKGPFIRAGDEDGALSLSDCSRTAAPASRGTSENAGRRDMDRMEAELEAELSRLQQASDDEERASPRRDRELETKAKSSASSRSRSAICSDSDNDGVNDDGETNSDRDSDGNQGNGNEEEEEESERDAESNGKSPPHGGVSARELERRLHELLQSRHEARIAELESALERARRKLRETEREACRWRDTAKLATRFTDESRLR >PAN08367 pep chromosome:PHallii_v3.1:1:56386674:56388263:1 gene:PAHAL_1G408000 transcript:PAN08367 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK inhibitor (CKI), Seed developmen [Source: Projected from Oryza sativa (Os02g0762400)] MGKYMRKCRGAAGEEVAGVEVTQVVGVRTRSRTAAAPAPAGGVAKVAPRRKKALAPPNVAAGEPAAGGDGGSCYIKLRSRTLFMAPPQQPSAPRVPVPAEAAGAGQGAAALVPGLSRCSSTASSVDVGCQDRSLACRSDAAKAGGDHNLEVSASNSGSGPERERRETTPSSRAHGEVSDLESDLAGQKNNGQSSPAPQLITPPADEIKAFFAAAEKAQAERFAAKYNFDVVRGVPLDGGRFEWTPVVSI >PAN08552 pep chromosome:PHallii_v3.1:1:57244579:57248417:1 gene:PAHAL_1G420000 transcript:PAN08552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVDAPAVVAEEVAVNTLGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIKKRFKTFVESSLPVIEYYNSKDKVKKIDAAKPIPEVFEDVKTIFAPYAPKAE >PVH66998 pep chromosome:PHallii_v3.1:1:56262802:56263141:-1 gene:PAHAL_1G405400 transcript:PVH66998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTGGSFVKRPDGQLSIPGFLGTVRSRFSAGERLCSKSSSQAQSLESLIAWYSN >PVH66159 pep chromosome:PHallii_v3.1:1:21846167:21847075:1 gene:PAHAL_1G166800 transcript:PVH66159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHAHTNALHWEGFPHLLWESLQVFGYTKPPPYDGVEYEEEGVPRCRVKMTVPPHPTLSLWQPIEVNVIGHRLADTFEAAAMEAIHIFCDQHPEEVAGYPIGLFPTMDSRDPEWTFRVTYCDHLLGTLAGETLRTAVRFMNAQYHYQTLQQHGIYRLTNQVGRQTTVTAKEEVITQREETIQHREEQIVESDALITQRDIVIDFLQEQVHELNLNLGPAIDHINMLHEQPGQPVVDEPDGDEEEDPEEVEGVFGIDSEHGDPVLSPHHSSSGSQSSVGNFDDF >PVH66284 pep chromosome:PHallii_v3.1:1:29679703:29680629:1 gene:PAHAL_1G194900 transcript:PVH66284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDSEGHLHTNTLHWEGFPRLLWESLQSFHYTEPSQYDAVEHLEEGVHRAHVRMTIPQHPFRSQWQPIEISMMGYRIVDTIEAAALEAIYAFCNQHPGEVAGQPIGLFATTDPGESEWDLRVIPESHRLEGSSEEALRGMMRFTNVQYHYHSLLRREMGQLINAARSLHGEATRHITQVDQLRALVIEKDGIIATQNETIHHREDQINESDATITQRNTIIEFLQEQIHDLILEVDDANAHINELQQQPVPPAVPASEEEEEDPEEIEGVSEIDSEHGDPVISPYHSTSGSQSSVGNFDDF >PAN08906 pep chromosome:PHallii_v3.1:1:58843294:58844807:1 gene:PAHAL_1G443800 transcript:PAN08906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARAFASKLLRPQGITSAHRLSGMSVGALAGFFSAAAVASASDGGSNACPAYPWPQDGARGGQKVFMQHDCAACHSMLPYAGLAEAAAGRGEVEAKVAEIVVVHEAARPEPAAATTLHGGACPPDLSVITKMLEGLHHNNLFNAEELKKRMALPNPVWLQLLQPYRT >PVH65996 pep chromosome:PHallii_v3.1:1:9618710:9621389:1 gene:PAHAL_1G121600 transcript:PVH65996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENREGLISSLLPQVGMEFNTIDEAWMFWISYGGQKGFEVRKMYTNKRKSDGKVRSCRYVCANEGHRKKDKRDHLTKCPRAETRTNCQVRMGLIMDREKETYKVADLILEHNHMLQLPQTSHLMVSQRKISELQGFEIETADDAGIGPKAAHELACVQVGGSSNLSYTLRDHKNYLRAKRQREMAYGQAGSMLMYFQDKIAENPSFQYALQMDIEEQIANIFRVDAKMLTDYAYFGDVVSFDTTFGTNKESRPFGVFVGFNQFRETVVFGAVLLYDETFESFKWLFETFLKAHNGKQPKTIYTDQDFAMGKAVKEVFLEAWHGLCTFHIMQNAVKHLAEPEDEDEGSKKKGSKKDPKHVDDEGSEEELSILSDFSACMYEYEDEATLEHAFQLMRTKASKQTWLDSIYKVREKWAECYMQDVFTLGMRSMQLSESLNSELKRHFKSDFDIIRFLKHFKRVVADKRKKELDAEFESRTKQPGIKMKTPMLLQASKLYTPIIFEAFQGEYERSLVACTTTLEGNNEYLVAIGSLDENFTCFEKEYKVTGDPLKQTSTCSCGQFNRFGILRGHALKVLDLMNIKSLPAQYVLKRWTREARCGIVQDNEGRNIIENTKLDDMLRYKDMTRRFLSLALRAASNPGCTLLVNNTLGVLSKQVEEEINGCTDNVEPVTVPINIAPQSDLVSTARLKKKEVQTKTLKRQKTWLDKKRKFTKKGSKKKGQGSMGPFTNDLDAEFESFRE >PVH67202 pep chromosome:PHallii_v3.1:1:59163447:59164078:-1 gene:PAHAL_1G449800 transcript:PVH67202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRWIGRVLWLMLLTEPGPKNAIDQIVIKSVGVAMVLVFGVLIFQESSISSYSFCI >PAN05518 pep chromosome:PHallii_v3.1:1:43274850:43283122:1 gene:PAHAL_1G235600 transcript:PAN05518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHAFSMQLIDGDGVFNVSVLDNFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNHLFGTSFREMDAFKGRSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVSHLRDRFQHSIAPGGLAGDRRGVVPASGFSFSLQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEEAIQHDYVPGFGKKLSNLLDRCLSEYDMEAIYFDEGVRTSKRQQLESKLLQLVNPAYQLLLGHLRTRTLEGFKESFDKALTKEGFAVASRDCTQTFLEQFDKGSEDAAIQQVKWDPSKVKDKLKRDIEAHVASVRAAKLSELCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAISGLESALSAFELDEATEKELLVKLENHGRSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRMWTGKEDIKAITKTARSASMKLLATLAAIRLDEDGDDIENTLSIALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAIWVQLDIAAEFQNGFLPALLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQQTNRPSYSNVTSAGSSSVTTIENGPEYSSLVAK >PAN08293 pep chromosome:PHallii_v3.1:1:55795387:55798746:-1 gene:PAHAL_1G400800 transcript:PAN08293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTVPASVPPVAEDCEQLHKAFEGWGTNEKLIISVLAHRDAAHRRAIRRAYAEKYGKELLRALGDEIHGKFERAVILWTLDPAERDAVLASEEAKKWHPGGRALVEIACARTPAQLFAARQAYHDRFKRSLEEDVAAHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHENIHKKAYSDEEVIRILTTRSKAQLLATFNSYKDQFDHPINKDLKADPKDEFLATLRAIIRCFTCPDRYFEKVLRLALGGMGTDEDDLTRVIATRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >PAN07735 pep chromosome:PHallii_v3.1:1:53041683:53045952:1 gene:PAHAL_1G358700 transcript:PAN07735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHDPNPFDEGADDNPFSNGGGGGAGARGAGGKSQFGFRPAEPVGFGGGRGNATVDIPLDTMNDSKGKAKELSQWESDLRRREADIRRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGIPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYLLHIGFCIFAAIAPPIIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQKVYMYFRGHK >PAN06901 pep chromosome:PHallii_v3.1:1:48944881:48947217:-1 gene:PAHAL_1G296100 transcript:PAN06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDPLVVGNVVGDILDPFIKSASLKVLYNNRELTNGSELKPSQVANEPRIEIAGSDMRTLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNVSFGNEVVSYESPKPTAGIHRFVFVLFRQSIRQTIYAPGWRPNFNTRDFSALYNLGPPVASVFFNCQRENGCGGRRYIR >PVH66429 pep chromosome:PHallii_v3.1:1:44395433:44403008:1 gene:PAHAL_1G243100 transcript:PVH66429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSGNILFLYLRYLISLSGSFLCAGALQICRLSYLHHVQELAYQYARKGACLSLVARRKHALEGVAAAARERGAPDVLVVPADVSDPEQSRRAVEETVAHFGKLNHLVANAGAWSISFFDEITNITAFTKMMDVNFWGSVYPTNYALPHLKASKGKLIVSSSIAATAPTSRLSLYNATKAAQLRFYETLRSELGSEVGVTILTAGFVESEMTRGKAIQRDGEVAVDEEARDVQIGVFPVARVEKLCEAALNGIRRGDWYVTWPSLYLTVPLIACLAPEVLTWQSYALYNAKKGSPPLSQRMLDATGAKRFYPPALRHHPGIKTERTDDHKEDAASNV >PVH66920 pep chromosome:PHallii_v3.1:1:54628060:54628779:1 gene:PAHAL_1G383200 transcript:PVH66920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFEDIVKKLEGFETIMQETLDKVSGMEAWQSVTDTSMGALLLTKFDEAALRLRRLETAPLPQTPPRPPPPPPSRWVELLHLNLAPPAVTRPPAWTMERPSGHRSETGHRDVGGGILGSHPPHPVKGMSSGNPQFHSSQHGPRHDYLPRAPTVPKLEIPKFDGDNLRLWRDRCEMFFEVYSVGDNLKTRFAVLNLKGVAASWLQTVERRGRVLDWDVLCQAVFDRFDRDQYQLQLQI >PVH66786 pep chromosome:PHallii_v3.1:1:52251129:52251542:-1 gene:PAHAL_1G346600 transcript:PVH66786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEDGRAHCVVKERVEVPFGTDLASAGVCACLLGSCWPPPGGGGGGGGVGWGARAQGMGWHGRTLGT >PVH66798 pep chromosome:PHallii_v3.1:1:52516862:52517215:1 gene:PAHAL_1G350700 transcript:PVH66798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFGPVCRLARPAPSPAGPWLPRPAPRPEDEVDPATLRGSGAGASASAGGNSIAAQISAAAKKPAPEHGVTFLGSLQRVTRVLWCFLHALDVLVYSSPGRKEASKTKTKFDFGSDN >PAN05590 pep chromosome:PHallii_v3.1:1:22688319:22689196:-1 gene:PAHAL_1G169600 transcript:PAN05590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCLSVALWKEKLYADGGRRSVRGRVDEVAGVKGGGCEYGILGGDVLEVEKKVLVAPAAEVVKSGGAEGAAVSGGTPARPIWQRRVLMGVKCQLPRFSGMILYDESGRPVCSIRDRARDQEKHAAAISVLRDLL >PAN03679 pep chromosome:PHallii_v3.1:1:1221860:1224024:-1 gene:PAHAL_1G014900 transcript:PAN03679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVASLSAPAPAQAAAARRRRFSPAASSSLRRVASGGGSSWRSERRLMSELERTVTAGAAERVIRSYVGTKSERAALAALSRLLMDSDPLAIPFYEAVTQARWFKWSSVHAAAVAALLEVNGSTGESRSLISDSISQHLQSNDEVALFYCDLMAAFSSRGLKDRAVDFYTELRSMPHTGRKAYMAMIKSLCLMGLASEAEEALREMVSLGYQPEAFQFGLVAKCYGKSGSLVEMERVIASMSDAGIRLGTGAVNIVLSCYSSCRDHSKMLAWLKKMRKLRIAPTAKAYNFVLNSCPTLALVVQELDPSLPLSTAGLVKKLKSVSTLASEAELVHELLASSVLDRATEWSDTEVKLNLHGFSTIAAYVLMLQWVDVIKGRTLPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSTRNKGRLVAKRDRVTQWLASFPVPEEVFR >PAN03678 pep chromosome:PHallii_v3.1:1:1221860:1223988:-1 gene:PAHAL_1G014900 transcript:PAN03678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVASLSAPAPAQAAAARRRRFSPAASSSLRRVASGGGSSWRSERRLMSELERTVTAGAAERVIRSYVGTKSERAALAALSRLLMDSDPLAIPFYEAVTQARWFKWSSVHAAAVAALLEVNGSTGESRSLISDSISQHLQSNDEVALFYCDLMAAFSSRGLKDRAVDFYTELRSMPHTGRKAYMAMIKSLCLMGLASEAEEALREMVSLGYQPEAFQFGLVAKCYGKSGSLVEMERVIASMSDAGIRLGTGAVNIVLSCYSSCRDHSKMLAWLKKMRKLRIAPTAKAYNFVLNSCPTLALVVQELDPSLPLSTAGLVKKLKSVSTLASEAELVHELLASSVLDRATEWSDTEVKLNLHGFSTIAAYVLMLQWVDVIKGRTLPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSTRNKGRLVAKRDRVTQWLASFPVPEEVTDKLPDATSQQPFVFTLFRKLEQFFSTLV >PAN09138 pep chromosome:PHallii_v3.1:1:59806633:59821965:1 gene:PAHAL_1G461700 transcript:PAN09138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGGRRMAPGGDSSSASPASAAGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQSILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKEHDKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDAVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGQEEAFLKKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNDVPRHLANGEDGPAGNDNWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDRGVFKQVLSIFITAAILKLCQAILDIILSWKARKNMSLVVKLRYILKLLSAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVVYLAPNMLSAMLFLFPVIRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKEPIRTFQWHEFFPHANNNIGVVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFQSLPKAFNQCLIPSDTSKKRGFRAAFSSKPSKTPEGTKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRAMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMRSDPYFTYAIKECYASFKNIIYALVIGPQEREVIQKIFNVVDEHIAEDTLIKELNMSNLPTLSKKFIELLDLLQKNNKEDQGQVIILFQDMLEVVTRDIMDEQFSGLLESVHGGNYRRHEGITPLDQQAQLFTKAIDFPVKETQAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMSMPSAPKVRQMLPFSVLTPYYKEDVLFSSQALEEPNEDGVSILFYLQKIYPDEWKNFLERVDCKNEEELRETEQSEEELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLMEGFRAADLLSDESQLLTQCKAIADMKFTYVVSCQQYGIQKRSGDPHAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITISMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPLRLSGKRGIVLEIVLALRFFIYQYGLVYHLNITTHTKSVLVYCLSWVVIFVILLVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLIAQAIKPAITSVGLWSSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >PVH67240 pep chromosome:PHallii_v3.1:1:59687050:59691741:-1 gene:PAHAL_1G459400 transcript:PVH67240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARPRRRQQHSHVAAAAAMLLLSFTARLGLPLAVSARLPSPPTTRRLLFAALPPSSPLLAFCPRERPSPATCAAFSSTAMAADNPLLAADFDFPPFDRVEPSHVRPGIRELLTRLEGELEELEKGVEPSWGKLVEPLERITDRLEVIWGMVDHLKAVKDSADLRAAVEEVQPDKVKFQLRLGQSKPIYQAFQAIRNSSDWDSLTDARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLSQKFSENVLDATKKFEKLITDKKEIDGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYIAVMQHARNRALREEVYRAYLTRASSGELDNTNIIAQILKLRLEKAKILGYKNYAEVSMAQKMATVERVEELLEKLRAASWDHAVKDMEDLNTFAKDYGSPEATDLTHWDLTFWSERLRESKYDINEEALRPYFALPKVMDGLFTLANKLFGVSVEPADGLAPVWHSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGLPARLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGYVAGIRGVEWDAVELPSQFMENWCYHKDTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPYGSLSIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAA >PAN08527 pep chromosome:PHallii_v3.1:1:56974766:56977662:-1 gene:PAHAL_1G418200 transcript:PAN08527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSQEVRSVDSFSQLPFIRPAPSPAPAPAPRDTIRLFGCEFSNDQKVQAKQEAMADSPDAANGSTVTSESNAKQSGAAAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYVPGHMYGLFNYHHHLGRFDQPAPLPPPPPAHYPMWTSASPPGPYGGGPGSVSQPINGSPVPGIWRVPPPMENFGMAGRHGADTAILVGPAGEEAACKDEKAVVSLLSSSPSLSSCSSTSPEKLGRYELGQKESVSLDLHL >PAN04481 pep chromosome:PHallii_v3.1:1:4821996:4822723:1 gene:PAHAL_1G070700 transcript:PAN04481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQASRRGHRVRLRHVRLGSLLRLRVRLFGLAALLVRCLEELNCCPRRWSPATARAHKMLSHAGRCPRPGPAERENSLQAEAIADCLEFIKRSYLADDHKTAC >PAN07016 pep chromosome:PHallii_v3.1:1:49445128:49447112:-1 gene:PAHAL_1G305300 transcript:PAN07016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGGRVAATAVPLLAFALLAAAFVLPPPAFLGGESAASRERRREHFLRHVPHEPQNAAAVPASTPPAAEKVSVGPIEEGLARSRAAIRRAAREAPAAAAESARRSFKDVGDAFVPRGAIYRNPRAFHRSYLEMERKFKIWTYREGEPPLAHLGPGADIYSIEGQFLEEMEDPRNPFAARDPGEAHAFLLPVSVCNLVHYVYRLNTTAYVEPMRRMLSDYIDVVAGKYPYWNRSRGADHVIVSCHDWAPLVSQGNRELYGNAIRVLCNANTSEGFRPRKDATLPEVNLADGILRRPTFGLPPENRTTLAFFAGGMHGHIRKALLGYWLGRQDPGMDVHEYLPAGQDYHARMARARFCLCPSGFEVASPRVVESVFAGCVPVIISDGYPPPFGDVLDWGKMSVAVPSARIPELKAILEEVSERRYRVLRARVLQAQRHFVMHRPAQRFDMIHMVLHSIWLRRLNVRLPY >PAN06888 pep chromosome:PHallii_v3.1:1:48872002:48873645:-1 gene:PAHAL_1G294900 transcript:PAN06888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARGDGVKKDPAAAAAAPAFEAVDGDLSGAWPVGQAGVDFGGQGSVRPGDGDLRPQNLFGLGAGVRGFASPGLGGARRSTVLGGGDLRPQDLSFDAPAPPGGGLDNAFDVPVPGLGRGAGGGLGSPDGRLTRPLLGAQHVGGAGPAPAGRRGYPHGPERDPLGAIAREMQVPGDSRSAAGGDRGGMTRHLALLFLLLGAVTLAGEPNAPWLSQLGALLAWLAGCILLFLSLLAGRARSGSLLPAVLRPPTCQEFDSDGDQSCTVP >PAN07490 pep chromosome:PHallii_v3.1:1:51681713:51683613:-1 gene:PAHAL_1G338100 transcript:PAN07490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGERGRRRRCRIHGFSPPWTTSRRLADRKTAKFQKNITKRGSVPETTIKKGNDYPLGPVVLGFFIFVVNGSRCFR >PVH66866 pep chromosome:PHallii_v3.1:1:53950789:53953772:-1 gene:PAHAL_1G372200 transcript:PVH66866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFGFQSGRWSDAIPETRNMLVSITITPVRRLGPVMIVTTNKYKHGLISILARKYLEEIDENDSIGVQIATRSLCHDEDEIFV >PAN03932 pep chromosome:PHallii_v3.1:1:2085026:2089012:1 gene:PAHAL_1G030000 transcript:PAN03932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAPHCASTSSAFLGLPQGNGGRRQGNSCRFVANARLATVSATLDKETAAAKPRKSRNRRSRKATKSESTALLAPDEPAEAKIGGAPEAEEVAKGAGGRGMVALDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASSAAVAEQDPAVLFRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDVVVGDVGDPSSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLTIAKFKSAKSLKGWEVRQGSYFQDIYPSRFDGGTDASFEYSESGQAVFSGFVFTRGGYVEMSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSEGAASDPRNFELKMEFIKALPSGQETDIVLVSCTGSGIEANRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGSRISQGISCADVADICVKALHDSTARNKSFDVSYEHVSEQGNELYELVAHLPDKANNYLSPALSSGEEHLI >PAN06154 pep chromosome:PHallii_v3.1:1:44312461:44313214:-1 gene:PAHAL_1G242100 transcript:PAN06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVVGVVLFVLLSPGLLCELPGSHRHVDFGGFHTNGKAIFVHTLIFFAAFTILTLALHVHIYTG >PAN03759 pep chromosome:PHallii_v3.1:1:1477381:1488984:1 gene:PAHAL_1G020000 transcript:PAN03759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASSPAMASQHPPPRQELDLDAFLPSSPTSSAASDADADHRRAVDDLLLLLSSSDSDSEESTRVPSTSSRALSRVQAPAPPAEPSPLRSPSASSSPRRSTSASPSEALSSLVARTFSSNGASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSVSAPVEKLLEEGSGSEASEELPSTGSLEAEVEEKGNSEEVPEPTEQTVSGNVTDEFEEEKHGEVEIEESYESMKLVEASTLDSVVADDFSGHEQTAENGNLMEADKIENQIVDVYEENTNDKTGGDGYMQSAQGMDPAGSVSEESFDDDREADMSDGFIEDQVESESLIDKVIEERMEQLEISRKAEKNAEKKQKVSMKPLEWAEELEKRQASFGQHWEEGAAAQPMQLEGIGKGPPAIGYMQIEMDNPVTRAMSSPSFRPDHGSPQVLAVHRSYIAMGTSKGAVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSPVTAMCFNQQGDLLLVGYGDGHMTIWDVQKATAAKVIYGEHMAPVVHVCFIRQSKAITGDSKGVVLLHTFSIIPVINRLTVKGTQRLFDGNTGIVLSACPLLVDESFGFSNSSTQANQTTSSSGSGLGSMMGGVVGGVVGVDSGWKFFNESSSTVEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTSSFSDSSSLDEERVSWLALAWDRQVQVAKFVKSKIVKHKEWKLDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTTFVPDGLLFDDTILHHAHFSNKFGNPERHFNSSVAVRGVTVYILGPTFLTVSRLLPWKERIEALKRAGDWMGALDMAMRLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYISYVFEYISIALSSHTGKGGETDVLIEADRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFVAAQSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVIQNSNRKDAASTGYRMLVYLKYCFQGLAFPPGHGIIPRSHLHSVREELLQFLLEESKSLTSEVFKGFSASCGKCPNICYLLWMDTEATLEVLKCAFAQDSFEPRDELSGTVNAPASEDEDDIIAGNPGSQNNMVQNVLDAIIDIVGLENEVVRSVVMGTADSEFWPSEKEFGYLIEFVSFFVSHKRATASKRVVMHILTYLTSSYDDTRARTQKEKEVLQLFNAVPRNDWNSDFVLNLCSDAHFHQACGLIFTTRNQNLAALDSYMKDKEEPFHAFIFIDKRLFKLADDEGLSFRATVISRFPELVKLSRECAFVLVIDHFCDKVQQILAELRSDRHSLFLFLKTAIEVHLLGKLDFSELSVRNNQTVELQYSSTDLEDYQQRLSNLKLDQNPVSIDDELVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKISVFISSVENTFSGAASKSTSETKQPDIVLEMNEAHPVLDALHASIGLCQRNSQRLDPEESQSLWFQLLDSFSEPLKKLYGSNDVNEKSARSKGSEAPIEHLKGKALSQQMRISAKQRCLNVLRKIFSQFVGEIIEAMAGYIPLPAIMAKLLSDNGNQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFVCCICNCSLSKEGAISAIRLFSCGHATHLQCESEQSRSSSRESKDGCPVCLSTSNTQARNRSPMVDKGLVKYSGPEHEVSHGIHQTHEVDHAERSRGLQHMSRYEILSNLQKEQKSFHIETVPPLKLAPPAIYHEKIQKRTTSVGEPSKHLVRSQKPRKIWQMKEQKSSVFRTNSN >PAN04855 pep chromosome:PHallii_v3.1:1:6888283:6892469:1 gene:PAHAL_1G096600 transcript:PAN04855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGAGDPQAAAVSGEAEAKMETEEQEGPVLCLDLTSYQLHDLSEVEIPPTLEEVDLTANRLTSADPRIGRLAGLRKLSFRQNLLDDAAVAPLSSWDAIARLQELVLRDNKLSRIPDASIFKGLLIFDVSFNEISSLTGLSKVSSTLKELYVSKNEVAKMEELEHLHALEILELGSNRLRVMENLETLTNLQELWLGRNRIRTVNLCGLKLIKKISLQSNRLTSMDGFQECIALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTAIENIETLTRLEDLWLNDNQIPSLDGIEAALAGSRKKLTTIYLERNPCAKTPNYSSTLKQVFPNLEQIDSDIIA >PAN07487 pep chromosome:PHallii_v3.1:1:51766565:51767200:1 gene:PAHAL_1G339700 transcript:PAN07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAARRAASPNWGIANHATRTALVARCLAGSGPLASQLQLAARTERIGSQSFDLGPLAISELRTPWFHHEILKIGSGGDGHRTPRGRLG >PAN06374 pep chromosome:PHallii_v3.1:1:46412601:46412998:1 gene:PAHAL_1G259500 transcript:PAN06374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKTVGDLECSMWKELPGNDKGTRREKGAGQHGQQALPPLPSHTLAAAAVGAAVAGGSQLHRNSSGVLSSHLLAKLLCSDHLQLVLCFSCYYYCLDGS >PVH66826 pep chromosome:PHallii_v3.1:1:53234570:53234878:-1 gene:PAHAL_1G360800 transcript:PVH66826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRTAERRRRGWWLPAAGPGSGRGRGVGEVREGGDAPAAGGRRVSSPPKTDRAAAVAGEQWKGGEEGRREGGPRWCRGQRRRGGARGGRRWRRGPNGLEDG >PAN08223 pep chromosome:PHallii_v3.1:1:55555658:55557406:-1 gene:PAHAL_1G396200 transcript:PAN08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGQSCLISRSLRSSCEQESRLAYMTFHLLEITRSKRPPANLSIEHDVAAVAALTKRTKSAENQKGEPLDSQGSNDQGDSDSSALISSIGRDNSINCLARCSRSDYGSIASLNRSFRSLVRSGDLYKERRQLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDADGRVLRSAELYNSETKRWITLPSMNKARRMCSGVFMDGKFYVIGGMTSNTEVLTCGEEYDLDRGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNKMDNSWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWHMIGSKPSGNFVYNCAVMGC >PAN03948 pep chromosome:PHallii_v3.1:1:2159304:2162183:-1 gene:PAHAL_1G031100 transcript:PAN03948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTAAAAAEKASSYRYWVREATGDAAPLPVPRKLDPAAAAANGNGNGNPPPLGSVWNQAGTWEEKNLNSWATSRIKDLLGSLGSLEFPTGKASIDEVSKCSGDAFQVTVRNKKRVGYNYELSLRFRGEWLVKEENKKVKGHLDIPEFSFGELEDLELQVRFSDNKDLTSNDKTRICKDLKSFLAPIQEKMRMFEEELKGR >PVH66646 pep chromosome:PHallii_v3.1:1:49485302:49486053:1 gene:PAHAL_1G305700 transcript:PVH66646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCTRPVRVMTGRRRAGSHIPHATYVTSRPAYKTAHGSPAARCSRRRRASISLGGSDQRSVGGGDGAWAPGCSLFPCPCYDPAPPRKSDAGAFYLLSRWAHCTQPAAAKDLHGVIRRARGEKVGAQSRRCMHG >PAN06605 pep chromosome:PHallii_v3.1:1:47569036:47575857:1 gene:PAHAL_1G275600 transcript:PAN06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRPRRGREEEQDGGPAAGEGSTPAKRPRGCSCSCPCCLHRQEKEVSYEAQIEILTEECKNRKREMQELYQNSQLQHKEVKELISERNSHIQLIWERLNTLISETSELGEHVRKYSDLRALSRQREIRKYQLKFVNKCCNDKYSRHDMTADDGSPIKVAIYDHENRIITNGPLSSVLVKIVALDGEFNKENKEQWSENSFKTSIVHCRPGKQPLFANELYLRLENGVAHLCGAKFQDNSSFVPSKKFRLGVMAADDSISEKILEGISESFAVKDGRGYQKKKDLFPSLSDPIYKLKKIGENGDRRKLLENMDINIVQDFLRFYNKDMNSLREACRNIPDHDWNIIVGHALSCKPGPERYSYCIPGMDAKICFNSLYSIVGAEFDGKYISYEELSSTQKSLVEMSKMTAYENLKVSKYEYKDPCHEHEVIAEYKGSCCLRGSCSRLPLSTLSTRFHDDISPQGEVLESAPAQESPRPRQRWAKIMTVVTILHFLNKKPQATPPEISQTPSTESCFGMTYVPDNLLVEADIEMCPTPMTGSSSGMVELPYELWDWC >PAN06604 pep chromosome:PHallii_v3.1:1:47568935:47575857:1 gene:PAHAL_1G275600 transcript:PAN06604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELYQNSQLQHKEVKELISERNSHIQLIWERLNTLISETSELGEHVRKYSDLRALSRQREIRKYQLKFVNKCCNDKYSRHDMTADDGSPIKVAIYDHENRIITNGPLSSVLVKIVALDGEFNKENKEQWSENSFKTSIVHCRPGKQPLFANELYLRLENGVAHLCGAKFQDNSSFVPSKKFRLGVMAADDSISEKILEGISESFAVKDGRGYQKKKDLFPSLSDPIYKLKKIGENGDRRKLLENMDINIVQDFLRFYNKDMNSLREACRNIPDHDWNIIVGHALSCKPGPERYSYCIPGMDAKICFNSLYSIVGAEFDGKYISYEELSSTQKSLVEMSKMTAYENLKVSKYEYKDPCHEHEVIAEYKGSCCLRGSCSRLPLSTLSTRFHDDISPQGEVLESAPAQESPRPRQRWAKIMTVVTILHFLNKKPQATPPEISQTPSTESCFGMTYVPDNLLVEADIEMCPTPMTGSSSGMVELPYELWDWC >PAN04604 pep chromosome:PHallii_v3.1:1:5427187:5432202:-1 gene:PAHAL_1G078800 transcript:PAN04604 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MDQGENQAADNLPVSSSDNNESEDLPVKERCFDQREALPGEPRCVVCGRYGEYICDQTDDDICSVECKTILLARVAAKTKPAVQAAKRVNLPLGDESICIKDTNFPNIPTLADSRITALRSKLDICVKGEAVPDPIMCFSSCGLPEKLVHNLETAGYCMPTPVQMQVIPASMSNRSLLVSADTGSGKTASFLIPIIAHCSQVRLQQCTGKRGPLAIVLSPTRELCVQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHDVDLSDVSVFVLDEVDCLLQRGFRDQAMQVFQSLSNPQVMMFSATLDSEVEKMSNSLAKTVIRISCGNPSRPNKSVKQVVIWVESKKKKQKIFEIIKSKQHFKPPAVVFVSSRVGADLLSEAITVATGLKVVSIHGEKTMSERRESLRRFLTGEVSVIVSTGVLGRGMDLLKVRQVILFDIPNSIDEYIHQVGRASRMGEEGMAILFVNEEDRRIFKELVPVLKTAGAPIPRELANSRYMAGVSLGSERKRKLSSRSRP >PVH66705 pep chromosome:PHallii_v3.1:1:50645466:50646321:-1 gene:PAHAL_1G321400 transcript:PVH66705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEKPASGLFLSECSQYFQSCDPAPSSCFYCFLQMGIINSHCQLVTQRSLNVDGVIVYCWCGIVEAWIPRKYEWSGYRDLFGIIKEFKLKVHVVLSFHGSGETGSGDVLISLPNWIMEIAKENQDIFFTDCEGRRNTECLSWGIGKECI >PVH67206 pep chromosome:PHallii_v3.1:1:59263886:59266903:-1 gene:PAHAL_1G451000 transcript:PVH67206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRAASLLLRSRLRGPVPTPARKTLNPLPAPPRRHFSPRPPPPVPASSAAAAAVAEAAEEAFETARTTKDMLAAFSRLEATVPANDKRLALACLKLGQHLEASGSADPSRVLSLALRCLGILEANPNASTSVSASDAVSLAMALHLAGSASFELSRFHDALSFLARSLRLLTPLIPDRSVAFGVGEEPEGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYATLLDFKQALPLCQKALELHESTLGKNSMEVAQDRRLLGVIYTGLEQHEQALEQNEISQKVMKSWGAAGPELLHAEIDAANIKIALGKFDEAISVLKNVAKQVEKDSEMRALVFISMAKALANQEKAGDTKRCLEIACDILEKKELTTPDKVAEAYIEVSSLYEMVNEFDKAISLLKRSLAMLERIPQAQHLEGNVAARIGWLLLLTGKVSEAIPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANSYNAMGSYALAMEFQKRVVDSWRSHGPDARDELKEAIRLYNQIKTKALTSLSPGGPANALPEPQEQETDSDSAKAVQQ >PAN07008 pep chromosome:PHallii_v3.1:1:49397470:49400983:-1 gene:PAHAL_1G304700 transcript:PAN07008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFKDPNKLSAYRDRRFPGTQEEYEAALQASTTVYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKMVQKELEAQRELVDYGGSFQPNAPPPYERGERKRGYGDSYRNDRGDYQRKRYRNDDRSAAPEGSKRAPDSDQAEKNPRFREKGDSDEEDDDYDKRRRR >PVH66888 pep chromosome:PHallii_v3.1:1:54195004:54196727:1 gene:PAHAL_1G376400 transcript:PVH66888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRDSPACAVSIPASREREQELTMPQRAAKPSLLVDALLFAAGGVVATVLLLALANPFAYYDEGEGALHAGSSPGGGGRTFYDDPVLAYTVDRPIAGWDEKRAGWARARPELSRGEERVLMVSGSQPAPCGAPGGDNTLTRLLKNKADYCRLHGVQLLYNTALLRPSMDRYWAKIPAIRAAMVAHPEAEWVWWVDSDAVLTDMDFRLPLRRYRGHNLVVHGWPRLVFEARSWTSLNAGVFLIRNCQWSLDFMDAWAAMGPDSPDYRRWGTVLKSTFGDKVFDESDDQSALVYMLLQSGSPWREKVFLESDYYFEGYWLEIVGRLGNITERYEAMERRPGSAALRRRRAEVEHAAHAAARNAALAGAGLSEAGVNGWRRPFVTHFTGCQPCSGQRNEHYTGASCDEGMRRALNFADDQVLRAYGFRHAGPLSDDVQPLPFDYPATASQ >PAN07495 pep chromosome:PHallii_v3.1:1:51812734:51814344:1 gene:PAHAL_1G340400 transcript:PAN07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCRAFTARHATHLQHDLVAPSSQAAPRLPLLPRGPSLAVTVASPPPRHLPAGPRASTSASDLSPTPPSERTMTALDLASLWAGLVVGVPAYYLAGSLVDLGMSALQGVATVTFANLIVLVTLVLTAAPAVTHGLPFPVLARAAFGVHGAHVPAVIRALVGCGWFGIESWIGGRAIFLLLPSRLKSCQPLVAPMPGLGAAPLELACLLAFSAAQIAVIMHGMEGIRKLEKYAAPVLIVLTSALLAWAYTSAGGFGRVLTQTPRLTSGEFWKLFFPSLTANISFWAAVAINIPDFARYARSQADQVLGQVGLPVFMGLYTFAGVAITSATETIFGRVISDPIELLGRIGGPATTFLAISGITLAIITTNIPANVVAPANALVSMSPRRFTFAKGAVVTALISLAFQPWRLVSSSDSFVNIWLLSNSALAGPIGGILLADHYIVRRTALDVDALYSEDRGSPYYFQGGFNVAAMVAMAAGVAPIVPGFLHKVGVLTSVSKAFVTAYNNAWFVSFFVAGAVYCLLCGQREMQARPQYN >PAN08306 pep chromosome:PHallii_v3.1:1:55837468:55840182:-1 gene:PAHAL_1G401600 transcript:PAN08306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAACSPPRGSPMALRQPWWTALLLPFLLAGVGPSTAASIPTDACWVPTIVESVLGTPKMCSTLDRLLGDPVGVIEGDEVTLAKAVNLLHMNKDDYIAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYTDVSGINASVKSTAGESIGHSLDDIEHKKDVGQENCPFWWARSPEKILQQDTYLSLATAFVILRLLYLLFPKIDSFARWAWRRHNLFANLTGAHEYFVTYLEQARQKFHRLYPSSSKRGNLQEGAMNATAWASKSLASVSIGESSAIGRTNSTSELR >PAN07643 pep chromosome:PHallii_v3.1:1:52566742:52569022:1 gene:PAHAL_1G351800 transcript:PAN07643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLPGELCLKIFQLLDHQSLASAPQVCRKWRALTSDDELWRKLFSDRWGADAAAFYAPEGSKSWKDVFVVQDRCDRFGLGVRIIREGKEYYLIYQGEIQRYLGSRQDTDGDGGKDAPRQGAEDDEQRQISNRILFFLGDLEAACADAKRVKG >PAN04227 pep chromosome:PHallii_v3.1:1:3430742:3432894:-1 gene:PAHAL_1G050100 transcript:PAN04227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MVTTAVTAIAAAVPPLPKGKAVTVAATPLPTLTRRHLLVVGATASTLRTAAASAAAPKFAEIPGSGGVKALDLREGSGEVPANGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDAMPFVFTIGSGKVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELINIRQRS >PVH66862 pep chromosome:PHallii_v3.1:1:53896001:53898742:1 gene:PAHAL_1G370900 transcript:PVH66862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MEVVPAAGNQKAKKHIHLFYCSECEELALKIAASSDAIELQSINWRSFDDGFPNLFINKAHDIRGQHVAFLASFCSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKLLQHFPMIVCNKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQLGQVTSLLISGSRTHALTQ >PAN07894 pep chromosome:PHallii_v3.1:1:53896001:53898742:1 gene:PAHAL_1G370900 transcript:PAN07894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MEVVPAAGNQKAKKHIHLFYCSECEELALKIAASSDAIELQSINWRSFDDGFPNLFINKAHDIRGQHVAFLASFCSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKLLQHFPMIVCNKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLASHGAAKVSAYVTHAVFPKQSYERFMTSNSAGPGDQFAYFWITDSCPHTVKAIGQRPPFEVLSLAGSIADALQI >PAN08232 pep chromosome:PHallii_v3.1:1:55585063:55587918:1 gene:PAHAL_1G396600 transcript:PAN08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGVGLALQTRVAGFGTGRRRGGLQSPIGSLRVTDPAGAAVAVRARGSKPVAPLRAKKSSGGHENLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESLHKIESFVIEHTADNAAGDSQAESQVQRIQTL >PAN07668 pep chromosome:PHallii_v3.1:1:52674534:52686300:-1 gene:PAHAL_1G353800 transcript:PAN07668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGPRSKLDHETRARRQKALEHPREPRRPKVHWDHVLAEMVWLAKEFDSERKWKFSMAKKIAQRANKSIVDQATKGERKQKEEEHRMRKVAVNISKDVKKFWIKIEKLVVYKHQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDMPYPQKLENGTLQTNQSSHPEEVAEENVNAAITDDPDNMEVDDDYESSLDEEPEDDEHTIDEDEAHITEAERNEELAALQAEGDLPLDDILKMYTETKVSRESSPDSKDTLSNLGSKNLIVDSLNQANGYDHEESYDDGNSSSDDGNSSSDDGNSSEEDDDDQSYADFVKKNHGKSNGNISSIDEQEDEDYVASDEDEGKDDEATLSEEEELAKKEVPDHLDEIKLLQKESEIPLEELAMYQKDGYADHETTELENSPCLVEETNTDMSLDNQSANILEVNSDTFVDHLSMDVLKTEHNVSANSLQSEIAPEPCAQQNFVEENNLTDVNMVNEDESDDVIADAAAAARSAQPTGNTFMTTKVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPKKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMPGINMHLSYSVCMLLDKTPFSQVDLSEMNFLFTQNEFSMSSWESDEVVAAFPPGITSRDSDLDVSCSNKDHQGSNVMNIFEDIQKALQEERIKESRERAASIAWWNRVRCQKRPVYGTNMREVLTVKHPVSDILEKRNNPLCHMDYSSSLADLVLPSVGRFQKMLDIVESFTFAIPAARAPPPVCWCSKGKSPVFIDPVYREKCMNEFSPILSPIRSAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDVLEEFINLYGYTYLRLDGSTPPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHTLRVEDQQKDCSASAGSSNDLDVALSNADVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEVAGRPEDDELVNEEDVKPDEQINEEHRYNSSDVEKEKNVALPINQLNKEKALTLAVGDEDTDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFMELWDPVIDKAAINHQVNVEEEEWELDRIEKLKEDLEAEIDEDQEPLSYESWDLDFATTAYRQHVEALTQKQLLEEQERQAREAAKELEEMNDNMSSHRRKSKKNKKKTGKFKSLKRGRLSSESEVILEETSIDTMSIDDNAPSPELISDDSPRHYSNKRKKIMSATEEENASSRSLKKFKKFTKSSCISEALSPRHLREELNDSDPKSSSRTKSDGRISIPCMPVKRVILIKPERLKKKGLWSRDCTSDSWTSEEDAVLCGTVHEYGPLWELASDFLHSLPGGAFYRGRYRHPVHCCERYRELFCKHAMPAADNSNNEKVPSGTGKAILRVSEDQAQMLVNVTSELPNNELLLQKHFMAVLSSVWRSKCWRDPRRVTSTYSSALHMFSPAKKPGGSSENWPMVNFRPGANLVRTALADAQAQSTRMAIPPPMRNQEYHRNYLELELDFLTDQHRYNEDFPSVVNVSILEPEPVKQAAEPVEQSLLSGLSCRQAENRFRIASEACYEGEGSHWASSAFHINDATRHKSGPKTIGKHKAASECGRPPKSKIQKITESHQEVPIASSHFHRMPGQLLPDAADFNISESLSDFGISDSEFNYSEDLWQEVDYLEFLPDQDDSVLLPGIEELEPLSDFTDIG >PVH66756 pep chromosome:PHallii_v3.1:1:51725810:51726818:1 gene:PAHAL_1G338900 transcript:PVH66756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRGVLELLLVSAEDLKHSHHHPRRSKRHYVTVECGGKTASSKITQGRGKKIWWNEKFRFPLSDAECKELAKVTLTIMEIDKFAEDIPVGETKVHVGEIISEGGEQEFLQVKPAPYNVVLEDGTYKGVLKLGIKFISSVRLAPSTDCVRGSVPAARQPSVGYGLFLNFACPSIPWRRLFFFCSRSSDGQSGNKDL >PVH66030 pep chromosome:PHallii_v3.1:1:10664180:10665592:1 gene:PAHAL_1G129100 transcript:PVH66030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGSPRFNMSNSPKLHKYMPTTSASKKKPSPKCSGAKKSGGSPRVKQRADWNPVLERSLVDILHEYKDSGYRGDNGWNSEGWNKMIKEFHLRNKYVSYMKAQIQEKEGQLKRDYKVLKAAKQQSGSSWNEKRNMVEGPPAMWTNLMVTFPTIKKFNNNKATFPLFDALGELYDGYLAEGIYNITSLETPKDEEPPEQLQDADDEPQGFYDNMVYEVNDEGGDGTERNEEGLQGMADTLSRDEKNDAPPIERSGQQRPAASRNKQEKELKRPRKNENIVGMMGAYLQMRTKQAEAEAAHQREAKEKDAAQASYFSIERCISVLNTMEVTKEEKAKAYTIFIKSKENREAFICACEVDQESALSWLRSEKA >PVH66349 pep chromosome:PHallii_v3.1:1:36646126:36646856:1 gene:PAHAL_1G216500 transcript:PVH66349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRPPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQYERTEKDAPWCTSYRAYGKRWVAKCGEDNC >PAN07158 pep chromosome:PHallii_v3.1:1:50235480:50239223:-1 gene:PAHAL_1G315500 transcript:PAN07158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFLMQRTSACPRSFFFWSSVLVLLLFLSPANSQNLSSCDPGDLKALEGFSKGLEGGGVGGWVFSNYTSVEASCCAWTGVTCDGSGRVVGLDLHGRRLRGELPPSLAQLDKLQSLNLSDNSFRGAVPAPLFQLQRLQHLDLSLNELAGTLPDNMSLLMIELFNISFNNFVGSHPRMRGSEQLAVFDAGYNSFAGRIDPSICESSGAIRVLRFSSNLFTGDFPAGFGNCTKLEELYVDINSISGRLPDDLFRLPSLKNLSLEENQLSGRMSPRFENLSSLARLDISFNSFYGYLPNVFGSLRKLEFFSAQSNTFRGPLPPSLCHAPSLKMLYLRNNSLNGEINLNCSAMTQLSSLDLGTNKFIGTIDSLTDCLNLRSLNLATNNLSGEIPAGFRKLQLLTYLSLSNNSFTNVPSALSVLQDCRSLISLVLTKNFHDGKALPMTGIHGFHNIQVFVIANSHLSGSVPPWVANFTQLKVLDLSWNQLAGNIPAWIGDLEFLFYLDLSNNSLTGGFPESLKNMKGLVTRNILQQSTETDYFPFFIKRNKTSKGLQYNQVSSFPPSLVLSHNKLTGPILPGFGSLKNLHVLDLSNNHISGVIPVDLSGMSSLESLDLSHNNLTGGIPSSLTKLNFLSSFSVAYNNLNGIIPSGGQFSTFSNSSYEGNPKLCGVRLGLRPCHSIPAPTMVATNKRKNKGIIFGIAIGIALGAAFILSIAVVFVLKNSFRRQDHMVKAVTDTNRALELAPASLVLLFQNKDDKALTISDILKSTNNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGSDRLLIYSFMENGSLDHWLHENPDGPSKLIWPRRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDENFEAHLADFGLARLICPYATHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVTHMKKENREADVLDRAMYDKKFEREMMQTIDIACLCVSDSPKLRPLTHQLVLWLDNIGVSSDAPK >PAN08873 pep chromosome:PHallii_v3.1:1:58720273:58722663:1 gene:PAHAL_1G442300 transcript:PAN08873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAPPSSCEIARLPEDLIAASIARTTPRDACRAAAVSPAFRAAADSDAVWANFLPRDLPPLADGELSPAPLSRKALFMRLTDSPVLLADGLMSTWLDRETGAKCYMLSARALCIIWDDTPEYWRWIPLTDSRFSEGAELRAVCWLEIRGKIHCKMLSQNSTYAAYMVFKLSNESYGLDYPLQEAAVIIGESKFTRQVCLQGHENEGEDEEEVPQNYRSLMVPAIRRRLRRRNRRMPPGVIVPKKRADGWMEMEMGEFKNEEGEDGEVSISLMETRGGNWKKGLIVQGIEIRAKK >PAN08924 pep chromosome:PHallii_v3.1:1:58878322:58880956:-1 gene:PAHAL_1G445200 transcript:PAN08924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDPSTSLALTAAEASPQSPTKRNKKPANPPKRFVHTPIPPSILSDPTLTAAATSLLPANYNFELPKTAHRIRSAGARRVALQLPEGLLLFSLPLSHLLAPYLEPDPANDVLVLADATYGACCLADRPAKALAADVLVHYGHSCLVPVTSSLLPVLYVFVEIHVDATRLAAAVRNAFPDPAAAPRLAIAGTVQFISAVHAAREMLTKEGYRDIVVPQAKPLSAGEILGCTAPTLKKSEEVGAVVFVADGRFHLEAFMIANPAVKAYRFDPFLGVLVLEEYDHVGMKQARKAAVLAARKAKSWGVILGTLGRQGSVKVLDRVVEHLEEKGLEHTVVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKPVLTTFEFDVALGYVPGWWEKGTSECGGKRGSGCCSGSGSCGDCDCSSGDCSSGNFGGYYPMDYYSQDGGDWNSCYMKKKPSVGERKPRVRIGNGFEVTEKR >PVH66214 pep chromosome:PHallii_v3.1:1:25531361:25536125:-1 gene:PAHAL_1G179700 transcript:PVH66214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRERRTVFVTVGTTCFDSLVMAVDSPEVKKALLQKGYTDLLIQMGRGTYVPAKVSGDATLQVDHFMFSPSIADNMRTASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLFCAHPQTLGETIQAMDLGTLVPYVPGDAEPVVTVINKFLGFPVD >PAN07825 pep chromosome:PHallii_v3.1:1:53528846:53532185:-1 gene:PAHAL_1G365900 transcript:PAN07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MMAGAPPMHICMDSDWLKGIVPEEPGMGSSSPSAELIACPRPVHAAAADRRLRPQHDQPLKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRASAKKPAAPPMLQAHARQVAAETGLHLSFSGMQQLPPPPAAADPLCSLGLLDWKYDPILAGSGGAAGALDGASSEAHFAGAGMMGIPGGGECHALSALRYAAGLGEHLQLQGLPFGGRAEHDAVEMKPPAAERLLSLDWYGEASRAPESAISSLGALGLWSGMIGGAHQHHGSSAAI >PAN03739 pep chromosome:PHallii_v3.1:1:1399060:1402461:-1 gene:PAHAL_1G018400 transcript:PAN03739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPRPGPAAPLADRLESATFAPPPPPPPPPSPSAILSAWSRLREPSSSSPAVALAALETLHLHRRALRLSSAHVALLLPLLPLHPRLVAPLLATSPHLLPASLPDSLPVSPRLLLLGARAFAKSAKDPPSGASLGSATAKNLGGGESASGHDDDPVLAVGRMLEDVEQGGQSIDDLDHLALAGIGYALASADEVQFRRILVSLLRICGRIGNLAVGVRVLKLVEWLVMGFVESRKMRKVQVLFEMISPETCESEGYVLFPVVMAACGGLRALRVASARYRLDFDPRLKEAPERTIRFAAERVVLEGRPADDQHILVQCVTLGLTQCGRVMFHEPVLQCICMGLLKELLPLPGMLRMSVESVEGNAADVVKAKVNQHLDSVLFKEAGPVTGVLCNHYSYAGSMAKEFVETCVWEYAQEIYCHLRAAVLLHRGKQDDLITAIDKIAEASFLMVVVFAAEVTKHRLIAKSLEGFQPEVAAKILVAFSCVEHLRRLRLPEYTEAVRRAVLANQENAAAVTLFIESMPSYAELTSQPDFPSLAGTRYIWHKDEVQTSRILFYLRVVPTCVGLIPAHMIRDKVASIMFLYLQHSNEKVTSASHSVMVSFLSSGNDADQDDRTILKEQLIFYYIKRSLEAYPGVTPFDGLASGVAAIVRHIPAGSPAILFCIHSLVVKAKDLCDTAKVQDKSLWRSWEESTEPCKKTLDLLLRLIFLVDIQSFPYLLKELAEFVTLLPKEGQDALLDDMHAHVAESDDVTRKPVLVSWLQSLSYISSQWSRSESHSKATNASSVASDELTLNRTMARL >PAN03537 pep chromosome:PHallii_v3.1:1:393835:397676:1 gene:PAHAL_1G003800 transcript:PAN03537 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MASPHLPFLSFPKTPPPPPPLASPKPLTLHSPLALPSRPRATPAAAPPPDAAGAGPAAPTRGDCFLGRQLATEAAARVLAPDDAERRRRRKEKRRALARKPSGLASCYGCGAPLQTAEDAAPGYVDPATYDLKKRHHQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVSAEELREKLSYLRHEKALIVKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVESVVKKKLNVLSVHLTSSKSLVGITGVISEIHQEKKGRDVYILGSANVGKSAFISALLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIEIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRCFPANDTDVELSGNSLFWAGLVRIDVVKALPRTRLTFYGPKKLKVNMVPTTEADEFYKTEVGVTLTPPTGTERAEGWAGLQGVRELKIKYEELDRPACDIAISGLGWISVEPLGVPSNSADSNGEEEYDDGELHLIVQVPKPVEVFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >PAN06340 pep chromosome:PHallii_v3.1:1:46097501:46098500:1 gene:PAHAL_1G257200 transcript:PAN06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPTPPTDRLLVAGLLAAAAVALGCLAGGAGATVVTTCRAAADSDARVDYGFCVAELGNHRESPDADTWGLAKVAALTGVNNADDAVYDAKALLARPGATDGPARAALERCAKLYDSMGFTFAEAEDEINNRRYAAGKGKVAEAASLAHQCDDALAKAGAVPSPLATHSSYSMKIATVCTAITNLIK >PAN07230 pep chromosome:PHallii_v3.1:1:50588621:50589649:1 gene:PAHAL_1G320300 transcript:PAN07230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPEHDAKSDQDRAGARPVRASLVARLGLAGARRATCRLLPLCVIPPLPIAMHGALWPEAWPRSPPPSSAARRSRLGKLPESPLLLTSNLGRGWDPTSERVSSASIDGRARFVPRKRSPRFLG >PVH66874 pep chromosome:PHallii_v3.1:1:53985225:53987381:1 gene:PAHAL_1G372900 transcript:PVH66874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPESAAAAGETNDPIHIRRLELSDHERGFVALLSQLSTCPDLTASEFATRFAELAAQGDDHVILVAEDTSAPERRILATGCLFVERKFLRGGGKAGHVEDVVVDAAARGWGLGLRIVRRLVEIARDAGCYKVILDCTPELRAYYAKCGFVEKGVQMAVYF >PAN06153 pep chromosome:PHallii_v3.1:1:44308822:44309602:-1 gene:PAHAL_1G242000 transcript:PAN06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGIAILVHAVLYFALITIFLIAIGVHIYAG >PAN04078 pep chromosome:PHallii_v3.1:1:2739377:2742223:-1 gene:PAHAL_1G040100 transcript:PAN04078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPHSNGTNVEEQIQTLKAAVSSPSATIETIIDGLSKLGNIYSCIDELICFPSSQRQQRKAVDEELERSLVLLDLCNAMQESFAELRTSLQEMQLGLKRGDDMAVQAKAQSYARLVKKAHKQLKKINSKVVLDTDSCRVIKLLSETRAIALLMLESTLYLLSKEILMSSASKWSLVSKAFQKKRVACEEEQLQVLELEIVDLESELQIVFRRLIQSRVSLLNTLSM >PAN06864 pep chromosome:PHallii_v3.1:1:48794527:48796824:-1 gene:PAHAL_1G293500 transcript:PAN06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTCAHVEFLRAQPAWALALAAVGLLVAACAATRLALWVYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAIAFRFAAAGLGLVLVGRNPEKLAAVAAEIKAKHPKVPEVRTFVLDFAAEGLAAGVEALKEAIRGLDVGVLVNNAGLSYPYARYFHEVDEELMRSLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGSASVIPSDPMYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIKKSSFMVPSADTYARAAVRHIGYEPRCTPYWPHSVMWFLISILPESFIDSLRLGMCIKIRKKGLAKDAKKKSL >PVH66843 pep chromosome:PHallii_v3.1:1:53515138:53518544:-1 gene:PAHAL_1G365600 transcript:PVH66843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFTYVILGGGVAAGYAALEFVRRRGDAAPGELCIISEEAVAPYERPALSKGYLLPEGAARLPGFHTCVGANDELLTTKWYKEQGIELVLGTKVISADVRRKTLLTATGETISYKTLIIATGARALKLQEFGIQGSDASNICYLRNIDDADKLVNAMKSCPGGNAVVIGGGYIGMECAAALVTNKIRVTMVFPEKHCMGRLFTEKIAEYYEDYYTSRGVTFVKGTVLTSFERDTTGKVTAVILKDGRHLPADMVVVGIGIRANTSLFEGQLVMSMENGGIKVNGQLQTSDSSVYAVGDVAAFPIKLFDGDIRRLEHVDSARRTARHAVASILEPSKTRDIDYLPFFYSRVFTLSWQFYGDNVGEVVHFGDFTSSSPRFGAYWVNKGRIAGAFLEGGSRDEYEAISVAVRRKAKVANMAELEKQGLAFAIQESQTEAPDCGLAVVGKPTYAWHATAGVVAAVSIAAIGYWYGRKRRRW >PAN05152 pep chromosome:PHallii_v3.1:1:9245716:9248226:1 gene:PAHAL_1G118100 transcript:PAN05152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRDGHSAFTISFSNPNGFVNQRTVVPAASHPGAGSSQPDVLAPRGYKRKLTELALCLGDSSSSDSSRQSMGTGCTVSSARGSDDGSCMDYDINFQLSLGNEGTSKLHKQACDSRRAFEKPGLDLKLSLAPSQSGVTDADLIRTPALQDTFVHPHIMALVPTVDEGSTSARRPYGGMVLSFLNQAEQLAGFSLSQAFPVSSNQVQGPAPPTPTVVQQPKSPAACSSGFVHSQQRSSCTKVCSYPGCVKGARGSSGRCIAHGGGRRCQKEGCNKGAEGKTIFCKAHGGGRRCDHLGCTKSAEGRTDYCIAHGGGRRCSHEGCKRAARGKSGRCIKHGGGKRCQKPNCTKSAEGRSGMCIAHGGGRRCQHNGCGKGAQGSTNFCKAHGGGKRCTHPECSKGAEGSTQFCKSHGGGKRCSAEGCTKSVHGGTQFCVAHGGGKRCVVEGCKKSARGRTDRCVGHGGGKRCQSTGCGKSAQGSTDFCKAHGGGRRCLWGHPGSDLGSGGTPCDRLGRGKKGLCDHHNPLVNDNSVHGGVSFGAFSIVSDALSHGASPPSTGTSMHSFFMHPAEAPRHAPASAHEGRVHGGNFMPMLGGVSLGKKPANNTDASTSTTHNWKSAANMEKPSSSARRSWL >PAN05579 pep chromosome:PHallii_v3.1:1:19788173:19800315:1 gene:PAHAL_1G160200 transcript:PAN05579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQMLGLRGSASKDRGRGGDASPSSSSAAAAAAGGTGTPRSPWSASSPRSPFAAEAGGEGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDSEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGRSTVSELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRSLFPDRECFTLVRPLNNENELQRLDQIPLEKLRPEFHAGLDELIRFIFERTRPKQVAGTIMTGPVLAGVTQSFLDALNNGAVPTISSSWQSIEEAECRRAYDSAAEIYMSSFGRSRLAEEDALRDAHEAALRKALDAYNTAAVGTGTSRAHYEKVLNNFCRKAFQDYKRNAFLEADKQCSNAIQNMEKKIRAACAAHGVKVSAVIQVLETSLAEYETSCTGPAKWRMLAAFLRQCLEGPILDLCLKLINEAESERTSFSLRCRSNEDQLELLKKQLEANEAHKSEYLKRYETAISEKQRTSADLSGHLANLRTKCSTLEERCVGISKELDHVRHECTDWRAKYEQSASQQKAEQDRYVAQLASLESRYSSAEGKLGAAREQAASAQEEAAEWRKKYETAALQAKTALERLASVQEQINKIAQERESAIRAEFAIHLEEKEEEIRKLLAKIRQAESEENILTERLQVAESKMQGHNKETAGLKDEIKELTNKLEFLRDRAVSYEKQARMLEQEKSHLQEKFVSECKKYDEAEQRYKSAERDAKKATELADVARTEAIASQKEKDEAQRLSMEKVAVIERIQRQVDRLEQEKVNLLGEVQRMRSSESDAWSKVTLLESRVAEREKEMDDLLSRSNEQRSSTVHVLESLLATERAARAEANKRAEALSLQLQSTQGKLDVLHQELTSIRLAETALDSKLRTTTRGKRLRDNEVGADSVHDMDIDPPERSRKRTKSNTSPLKAMHTEDGGSVHMGEDSVTVSTDMKGGNPDGYKKFTIAKLKEELTKHGFGDQLLELKNPNKKDILALYKKLVLCE >PVH66691 pep chromosome:PHallii_v3.1:1:50384751:50386822:-1 gene:PAHAL_1G317000 transcript:PVH66691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLEHLGVTERPRYYSREYEHLGTRRCRVVLSIARSSRHPDIEPWRVTATGFQHRDAYPLVIRKALRYLCRIFEEHLIPTPMRLFPSVIRTQVWQARMRNLERRHHQEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAHESLRQIQDRRMQEWTNSGTPVPAIGETQVLIGTPITGWGGLFRTPQAPPEGAERTVAAVEGGVVEQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PAN05273 pep chromosome:PHallii_v3.1:1:10342076:10346175:1 gene:PAHAL_1G127700 transcript:PAN05273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSGGEHRCVEAPARRSGGKAAASPEKALNCCVRSVALVERAGNALGTLAFTWATVVLLGGYPTVLRPTDDFWFATTIVFLEAARMFSRNNRLDYQVFFHTRGAARSLGWKGLVIIVSLSNVLNYLFLVSTKHLNASPEFRNPSDVDLKRLNNLNIGMLILTAALGRLLSPGALKLLGNTRLRRSMSLCSPLVAILLLAPSIQSSAEGTFRSTMAKWTVFIVLFLAVLLLTISRLRFRRITQLVDRALGRKQVFWRRLIMNLCMLIALAMVVFMHDDPIYSAFLLVYALYAVLAVSFGNLQIPAAALRVVLALVRLIPHNYYGDGDDTIGDKTNLAPSLNIFYGMVLGQGILYLVACTLDIFTFIPRRSIARHGGFEGQLGMESINLYHGYVLEKCMERDALAPKKISLSSFAMDSLNSDSPRMQLHGIRLMHNLLQTEPAKTQLLAKLTTSANTSARLINMLDWTHPRNTTIRLFAAKVTAELAKGLRVVTTPGLIQSVSALLDCGNKVRGRGNPLMDTDDEQEAMHDPVLNVSDNHEERCIAVPDSGNLLETQDRSTQQVGTTEQSSEEEPLAETDQDLLPALAMSILYNLAGCDQSNCEEIIRSTGLIPKIIRFTAYRRSDANYTDSQGKVLVTSSLQLLHRLTSINGEIGIMLRHKVSKHAFLLRNLTKILKDCTSSQESRKLVAGILRNLAVDGNTRQEIGCIQVVITRLMQEFLSLGRPLATHADHVLQKVAGQALAMLAMESVHNSSVILNETGHVFVKELTTMIHDDRYRCVAASLLRSMCLHARPELDESDLQAISYSVREVLERIMNAEGAELEILIGLSSEICKVIPEDFARELEHGYIKDRFVKRLVDVLNANMEPSAHYPGIRRVIIQQAINMMEHDPCYASCFGNCRMVEAVSMVEETASKAENYSIFLGDVGLMEHREPLTSLVVRVKQLLAVRPIQQPSIST >PAN08127 pep chromosome:PHallii_v3.1:1:55137680:55138432:1 gene:PAHAL_1G389700 transcript:PAN08127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEKQAQEGQQAAAAGVVHSQVRRIKQEEDEKVKVHETYQHHVAEMRLVLRRDLARQRSRSPLGRAGRPGAISIGGDS >PAN06953 pep chromosome:PHallii_v3.1:1:49195284:49196375:1 gene:PAHAL_1G300900 transcript:PAN06953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARGLITRVKNSEARLIYMATKYIVGSVAASFAFAYACGVYLADRKVLGGTTPRTVATSEWWQETDRKFQAWPRTAGPPVAMNPISRQNFVVKPSSET >PAN06952 pep chromosome:PHallii_v3.1:1:49194798:49196537:1 gene:PAHAL_1G300900 transcript:PAN06952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKYIVGSVAASFAFAYACGVYLADRKVLGGTTPRTVATSEWWQETDRKFQAWPRTAGPPVAMNPISRQNFVVKPSSET >PAN07571 pep chromosome:PHallii_v3.1:1:52245751:52250299:1 gene:PAHAL_1G346500 transcript:PAN07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIETDGRFGNKRVHNRLGPGSGGAPSSTTGKVCNFWRAGRCNRFPCPYLHSELPEAAAPPKRPAGPGGNVWRNPNSGGRGGGGHNRWGRGPGGGSGAASHRPPDRPCKFFLAGTDCSYGERCRYPHSYCISDSITMLTLLKGHEKGVTGIALPTGSDKLYSGSKDGTVRMWDCQTGQCAGVITMGREVGCMISEGPWLFVGIPDAVKVWNMQTAAEMNLTGPTGQVYALAVASELLFAATQDGRILAWRFSAATNCFEPAASLDGHKLAVVSLIVGGMRLYSASMDKTIRVWDLATLQCIQTLSDHTDVVMSVLCWDQFLLSCSLDQTIKVWAATESGNLEVTYTHKEEQGALALSGMPDAQSKPVLLCSLNDNTARLYDLPSFSDRGRIFSKQEIRAIQMGPGGLFFTGDGTGELKVWQWVEAAQT >PAN07661 pep chromosome:PHallii_v3.1:1:52652630:52653706:1 gene:PAHAL_1G353200 transcript:PAN07661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSSVLFLLLVVPATCKSSTLEDACRSFAAGHPSIGYDYCIRTFQADRASAAAADARGLATVAARIAGAKANATAARVAALSAVETDARRRDRLAVCAEVYSDAVDQLAQAAEDLARGEGAGADDAVTQLSAALDAPGTCEDAFGEADDTSPLAGEDAEFKKLATLALAVAASLTPPAPASPATPMISD >PAN03751 pep chromosome:PHallii_v3.1:1:1435516:1435737:1 gene:PAHAL_1G019300 transcript:PAN03751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADPKRTSWPELVGIPATPAVMRINHDRPELAVEVLPLGIKLAKGFDPKRVRVFYNPRDSAGLVAKVPVVG >PAN08918 pep chromosome:PHallii_v3.1:1:58863860:58865196:1 gene:PAHAL_1G444600 transcript:PAN08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEAVSRKKGAAAGAN >PAN03514 pep chromosome:PHallii_v3.1:1:561572:564703:-1 gene:PAHAL_1G005700 transcript:PAN03514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTTTAAPQLLLCVCVTLVVVVVAWVLALHHGHARDKNPKLPPGPPALLFLAKFLALRRSIFDLGPLLRDMHTRYGPVISLRLARTLVFVADRRLAHRALVQGGATFADRPPPVDPNSLFSAGGRDISSSPYGAYWRLVRRNLAGEALQPARVALFAPARRWACDGLATSLRSHAAGDDEPVITLRPFLRRAMFELLVYMCFGARLGQDALDEIEGLQHRALLSVTSFPVFAFFPAVTKRLFLKRWEEYVAVRRRQDEVFEPLIHAMRSGDDPPCYAESLRALRVPDENGDRPLTDAEMVSLCSEFLNGGTDTTVTLVEWIMAELVNHPDVQAKLHQEVNANDGGQLQAMPYLKAVVLEGLRLHPPGHFLLPHGVQSDAAEIGGYTVPKGAEVNFLVAEIGRDETVWTAAREFRPERFLDGGEGCGVDITGSREIKMMPFGAGRRMCPGYAVGMHHAEYFVARMVRELEWRPAADGVAVDMAEALDFTTVMKHPLRARIIARKNPNT >PAN06015 pep chromosome:PHallii_v3.1:1:25526274:25530662:-1 gene:PAHAL_1G179600 transcript:PAN06015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPLLGGADLWRPVAAARGGGWATAAALLVIIMSHLAVLLIRRRLRRRGGVDRIARTEAAAAAPAPASASGLEGLVTEDDLRQLVGSLGVGAREPETEGWEHVISKGNDVVSYRAWCDKPTAGPPKYLSITTYERCSTEQLRDFYMDNEYRMEWDNTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWEANDKSFYCFIKECEHPLAARQKKFVRVRLLRSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFSKGIWSYICKMNNALRQYPQHLSPSVSILTMQKLIKKFPQDLETAMDASLPASQTTAGTAVPSTQTARTSRKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMALFLKKAFKQERESGSSTSRGKRDVTRSRR >PAN06016 pep chromosome:PHallii_v3.1:1:25526274:25530662:-1 gene:PAHAL_1G179600 transcript:PAN06016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKSALIGHRWWGLAGLIVSCLPLGDGRLEGLVTEDDLRQLVGSLGVGAREPETEGWEHVISKGNDVVSYRAWCDKPTAGPPKYLSITTYERCSTEQLRDFYMDNEYRMEWDNTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWEANDKSFYCFIKECEHPLAARQKKFVRVRLLRSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFSKGIWSYICKMNNALRQYPQHLSPSVSILTMQKLIKKFPQDLETAMDASLPASQTTAGTAVPSTQTARTSRKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMALFLKKAFKQERESGSSTSRGKRDVTRSRR >PAN06017 pep chromosome:PHallii_v3.1:1:25528606:25530088:-1 gene:PAHAL_1G179600 transcript:PAN06017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPLLGGADLWRPVAAARGGGWATAAALLVIIMSHLAVLLIRRRLRRRGGVDRIARTEAAAAAPAPASASGLEGLVTEDDLRQLVGSLGVGAREPETEGWEHVISKGNDVVSYRAWCDKPTAGPPKYLSITTYERCSTEQLRDFYMDNEYRMEWDNTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWEANDKSFYCFIKEHDITRNELSWQSGVLRSCLSDPICVVHGIAAGAEPEMPSTWG >PAN07401 pep chromosome:PHallii_v3.1:1:51321641:51328011:-1 gene:PAHAL_1G332800 transcript:PAN07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASNSREGPLLQKSSEGGENARIKYAVSSVQDRRKNKKDAFAAVPDLDDLTSFFGVYDGHEGTEVALLCATQLHTELRNHPDYKEDLVNAMRKAFFRMDELLEQSEEWKELLPRDTSGRTQCLCLRACISAYHWPCTQPPPPYIPPQESGSTACVAAIRGHKIIIGNVGHSRCIISKNGQAIELTSEHKPSHSIEKYRIERAGGRVTRDQVVLPGEAEGFFHQRGSGISRINGILAYSRAIGLFAFKYNKDFPPEEQMVTCDPEILTMDITSDIEFLIIVSDGIWTCLTSQGVVDYIHFYLRPGRTDPRTICQKLCDRCEVSGDNVTVILLQFKDALPPAPEENLPGDAANNRNVARPPAPAGNLPGDAGNNRDNAAPPAPEVTEEHEDKEPEGGEEVPLLRGDAITDA >PAN04747 pep chromosome:PHallii_v3.1:1:6132980:6133871:-1 gene:PAHAL_1G088400 transcript:PAN04747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSFGDPVLSAALQQLMDLPDELERQLNAPTRAYVRDRRAMANTPMDVKELPSGAVVLAVDMPGVSPADVRVQVEEGNVLTISGERKRPAEDAGAEAGKQQQQAADGGAGGEKQGVRYLRMERRMGKFMRRFPLPESADLDSIRAEYKDGVLTVTVDKKPPPEPKKPRVVQVTVGEHQGK >PVH66525 pep chromosome:PHallii_v3.1:1:47293869:47295160:1 gene:PAHAL_1G271000 transcript:PVH66525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILYSKTKRNGILNKKRNGILTVCTSALLQRAQKIGVALRPVGGSAGALLQVGSFAGTGAGAGGARPRPLPSEAPQPPERRSQPRRARRLGGVALGRRRHGRLRDLDRQDARGAGGSALVPVGGHRHGVERAPVGHPEEHAGGQCRGVARGLRRHRREKRRARRRIGLGDGEAAERAGSVGREPRVDALGVEAVAAAGQEPRRLAVLELREAHGALHRALLRLLGTRRRRAVHRDGQRAQQLRVDAPGSGPDPTITTATAAPPPRARRVFLAPLSVPGVEAVLERDEDEGEDDEEQEDPRHGEDAAELHGTRRGRHGRRGGVIGGAGAAARLRRARGPGGALFGVLRHAARPN >PAN07409 pep chromosome:PHallii_v3.1:1:51360723:51364903:1 gene:PAHAL_1G333600 transcript:PAN07409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRMTRKSSNNTKYYEVLGVSKTASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDALKDGMGGGSSSDFHSPFDIFEQLFPGSGTFGGGGSRVRRQKRGEDVVRTMMVSLEDLYNGTTKKLSLSRSVLCSKCKGKGSKSGASGTCHGCRGVGMRTITRQIGFGMIQQMNTVCPECKGTGEIISEKDKCPGCKGSKVVQEKKVLEVHVEKGMQHGQKIVFQGQADEAPDTVTGDIIFVLQLKDHPKFKRKYDDLYVEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGHLFVEFNVEFPEPGALSPAQCRSLEKILPPKLGSQLSDMELDQCEETTLHDVNIEEEMRRRQHQKRQEAYDEDEEESGPRVQCAQQ >PAN07408 pep chromosome:PHallii_v3.1:1:51360723:51364903:1 gene:PAHAL_1G333600 transcript:PAN07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRMTRKSSNNTKYYEVLGVSKTASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDALKDGMGGGSSSDFHSPFDIFEQLFPGGGSRVRRQKRGEDVVRTMMVSLEDLYNGTTKKLSLSRSVLCSKCKGKGSKSGASGTCHGCRGVGMRTITRQIGFGMIQQMNTVCPECKGTGEIISEKDKCPGCKGSKVVQEKKVLEVHVEKGMQHGQKIVFQGQADEAPDTVTGDIIFVLQLKDHPKFKRKYDDLYVEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGHLFVEFNVEFPEPGALSPAQCRSLEKILPPKLGSQLSDMELDQCEETTLHDVNIEEEMRRRQHQKRQEAYDEDEEESGPRVQCAQQ >PVH65601 pep chromosome:PHallii_v3.1:1:2410047:2410608:-1 gene:PAHAL_1G035000 transcript:PVH65601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACKMPSIFLKAKNRANKNVCTRVRCCCFFSSLPSICIIVWPLQPLLAPKLS >PAN05545 pep chromosome:PHallii_v3.1:1:43982625:43984845:1 gene:PAHAL_1G238300 transcript:PAN05545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRGKNKAELQAQLKELKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >PAN05375 pep chromosome:PHallii_v3.1:1:31370642:31376958:1 gene:PAHAL_1G200800 transcript:PAN05375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLRASSFSRALLLLLTLSVALAPAPAAATGVFRVRRKFARHGGAGEAEEHLAALRRHDGRRHGRLLGAVDLPLGGVGLPTETGLYFTRIEIGAPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGSTVGCDQEFCAATNGGVPPPCSSESPCQFRITYGDGSSTTGFYVTDLLQYDRASGNGQTTPSNATITFGCGAQLGGDLGSDSQALDGILGFGQSNSSVLSQLAAARKVPKIFAHCLDTVRGGGIFAIGDVVQPKVKTTPLVPNMPHYNVNLEGISVGGATLQLPTNTFDSGENKGTIIDSGTTLAYLPEEVHKTLMAAVFNKKQDMNFRNYQDFLCFQFSGSVDDGFPIITFSFEGDLTLNVYPHDYLFQNGNDFYCVGFLNGGLQTKDGKNMVLLGDLVLSNKLVVYNLENQVIGWTDYNCSSSIKIKDDMTGFIYTVDAHNISSGWRFQWHKSLVLLLVTTIWSYLMF >PAN08187 pep chromosome:PHallii_v3.1:1:55424423:55425371:-1 gene:PAHAL_1G394400 transcript:PAN08187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLSNGVLAALNLVTLLVSVALIGAGAYVLAQPATECQRLVRVPAMALGAALLVLSLMALAGACCRATPLLWAYVVCMFLILTGMFVATAFAFAVTNRGAAAAVSAAGYGDYRVGDYSDWLRDRVGDYETWSRIQSCVADAGVCAGGGWLGGVQGGINAGKLYQQYLPLVQSGCCKPPAYCGFERVNATFWAAPAATTAADAIDCRAWSNDARVLCLQCNACKAAVVESAIHHWKAVAALNVAVLLLLMLSYSLGCCAIRSNHRRRYYY >PAN05706 pep chromosome:PHallii_v3.1:1:17457156:17458734:-1 gene:PAHAL_1G152600 transcript:PAN05706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKILVLLLLNLALLVLSSDSIEKASIRSSSADAPSVVPCISTIIRWGYCDPVGCKIECRFLSGREDGYCALLGCSCKRCGNAFRPIIDKKM >PVH66350 pep chromosome:PHallii_v3.1:1:36687325:36687630:-1 gene:PAHAL_1G216700 transcript:PVH66350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTCNIHSSMYEPGYFPILLQDVLWELGNTMKPLYIIDHYTEPALGDYYLTHVHIREHLEASRGLRSRSMHNSATPHTTYAASISNAAKRDLWSLCYCHH >PVH67077 pep chromosome:PHallii_v3.1:1:57507670:57509454:1 gene:PAHAL_1G423200 transcript:PVH67077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTSPPFSFPKLARVPPFKSPMAIRSSCHPAPSLAIPSFGTRKATATVRFSTAVHSSREHISNSARSIKKTVFEDQVRGVVCYRDDRGEMVCEGYDEGPRLGMRLPEKACFPWPMGIRVTDFIELSTLRVLDDEDALK >PAN06853 pep chromosome:PHallii_v3.1:1:48765956:48767446:1 gene:PAHAL_1G293000 transcript:PAN06853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMILMLYSMMVILLQILDYAYQLSKCIQILGMQPKEHIFWHLLGISLQSVKFLLSLMYNLKVGIGDIV >PAN06656 pep chromosome:PHallii_v3.1:1:47821249:47824194:-1 gene:PAHAL_1G278900 transcript:PAN06656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVEDPESFFATAPPLGDAGAVAARLQEFVARNSSHPSSEGGGRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFVHRRGSCQPFCRFLPDDSFLKFFDVTTESKVQVVESHTTVVKKAIGEYSKAIEGGALLKLPFTTIFEYLQLLKMVATSMSSVGLHGMFYLAAAVSDFYVPWDSMAKHKIQSAGGPLDMKLSQVPKMLSVLRNQWAPMAFCISFKLETDSEILIQKAEMALHKYKMNVVVANLLATYKEEVVVVSNGERNTIRRCNADEDLEEHIIKLLEKSHSNYIYSSTDDGCNKNDYETLVPLGIKSLA >PAN05194 pep chromosome:PHallii_v3.1:1:9530066:9534247:1 gene:PAHAL_1G120800 transcript:PAN05194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKGRVLDPQGQFLQTWNKIFVISCLISVSVDSLFFYAPAIDGDDSCLYLDHKLEKIASILRSLTDIFYLLRIIFQFRTGFTASSSRVFGRGVLVEDTFEIAKRYLTTYFPIDFLAVLPFPQVFVLLVRPHLQGLKVMTEKNILMLIVICQYVPRLIRIIPLYIQITRSAGTVMDTAWPGAAFNLLVYILASHVIGALWYILAIQREETCWREACNGQDGCDRASLYCGSAVYGNNTFLQDACPTNGDADIDPIFGIYLPVLQNVSQSTGFFEKLFYCFWWGLQSLCSYGQNLKTSTYIWENLFAVFVSTSGLVLLALLIGNVQTYLQSASGHIEEMRLKRRDMQQWMAHRLLPEHIKERILRHDQYKWQETQGVDEEDLLTNLPKDLRRDVKRHLCLSLLMRVPMFEKMDDQLLDAMCDRLKPMLYTEGSCIIHEGGPVNEMFFIMRGTLESMTTDGGRMGFFNSNVLKGGDFCGEELLTWALDPTSGSNLPSSTRTVKTLSEVEGFSLRADHLRFVATQYRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRYCRKKMEDSLYEKEMRFQAAIVSDGSTSRSLGAALYAAHFACNMVRVLRRSAARKARLLERVPSRLLLKPAEPNFFAEEE >PVH65665 pep chromosome:PHallii_v3.1:1:3314209:3314376:1 gene:PAHAL_1G048000 transcript:PVH65665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTLLLLLLVAAAACVDVAATGATSWPVALAAYTLWALASLALAVLLPRRRRPE >PAN07030 pep chromosome:PHallii_v3.1:1:49487499:49492854:1 gene:PAHAL_1G305800 transcript:PAN07030 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT1.1 [Source:Projected from Arabidopsis thaliana (AT1G80050) UniProtKB/TrEMBL;Acc:A0A178W1K2] MGEEASCNAVSVMEAAKQQQPPKENGLAAAGEAVTAAAAPDPRLQGISDAIRVVPHFPKEGIMFNDITTLLLRPGVFKDAVDLFVERYRGMGIDAVAGIEARGFIFGPAIALAIGAKFIPLRKPRKLPGEVISEKYVLEYGTDCLEMHVGAIVPAERVIIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKNFYKLNGKPVYVLVESRESDK >PAN07029 pep chromosome:PHallii_v3.1:1:49487499:49492854:1 gene:PAHAL_1G305800 transcript:PAN07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT1.1 [Source:Projected from Arabidopsis thaliana (AT1G80050) UniProtKB/TrEMBL;Acc:A0A178W1K2] MGEEASCNAVSVMEAAKQQQPPKENGLAAAGEAVTAAAAPDPRLQGISDAIRVVPHFPKEALIPLLSLTVCACMRRGGGVDGAGIMFNDITTLLLRPGVFKDAVDLFVERYRGMGIDAVAGIEARGFIFGPAIALAIGAKFIPLRKPRKLPGEVISEKYVLEYGTDCLEMHVGAIVPAERVIIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKNFYKLNGKPVYVLVESRESDK >PAN04347 pep chromosome:PHallii_v3.1:1:4103255:4104603:1 gene:PAHAL_1G060600 transcript:PAN04347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKGCYVRATPARLVLRANWTIGATLVKQPATAARLVIITSGAASRTGAGRGVFVIPPPPRNLLQRPVQNARSGPPVSATVRRASSPTLAGMALWPVRRSTRLWRMSAVYSGWPWMPSACSPTANASTRVFSEKASTVAPGGSSHTSSWWTSTREALEGSSLRSPRNAKPFRSRAKVTFFTPTSHPFRALPTLPPSARHRTWWPKQTPRTRFSMAWSARMSSQRRRTQGSSPYASCGLPLTTKPSYDARSSGAGNSPAITRKQSHLSAPSSPASPPPNAATKTLRYPPYTFLAYSESHSASSSAYRLPTAAGFVAATAIVVCRVQTEHRSYAQRRGSSRPATRSASSS >PVH67005 pep chromosome:PHallii_v3.1:1:56362085:56362633:-1 gene:PAHAL_1G407500 transcript:PVH67005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNDEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRNGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN04023 pep chromosome:PHallii_v3.1:1:2456092:2457779:1 gene:PAHAL_1G035800 transcript:PAN04023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTTAGSSEEHHYSVSAEEVGTHLSLGIGGSGSGGGGGRSRPRTVQLFGEVLSLQGDDHDVGERHREPTAPPASRKRRDRGGSGAAGVAASRQQNKKARKLQDADDGDRTRSMTSAGAGTGRKKLRLTAAQAAMLEDSFRAHNILSHGEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCELLRRWCDRLTDENARLRRDLADLRAPARVAVCASCCDRQMVAAAAVRAGEMA >PAN06974 pep chromosome:PHallii_v3.1:1:49285738:49287941:1 gene:PAHAL_1G302800 transcript:PAN06974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATAIVAGHGLALRRSLSLPNSPGRPTSVSLSARSLPHSRQRMIVPASPSPRPCRCRSISSESSTAASAAADTAEEEADPENTDVEEGDEVVDPQAEVCYLDPDADPEAIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRYFPNNAINSVTLRDALASVSEALGVPMPDRVRFFRSQMQTIITRACGELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQAGTRPLLALDNPFPTTLPENLFGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLNLLGFELDDSTLVPGVAVESSRAKPLAAWMNGLEICAMEADTGRASLILSAGVSTRYIYSGYQKTPAATQEAEAWEAAKKSCGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >PAN05200 pep chromosome:PHallii_v3.1:1:9599975:9600941:-1 gene:PAHAL_1G121500 transcript:PAN05200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQALFKEVLSFPVDDILKRKQSHFKVETIPNEFATRLAYTEAFRNPKLEEIWHHIDSSMDTISRGLDVVSYKCSKNRRGLNEYNIKLNLENVENMPRKGDLMLFLERGLESRDQIIKAGSFCTIIVVIDNTRTYNHTTSMLVWMSRSPYGGSLNDQRSYQVMSMCNLTTFACSWEVMTRGCQDNPEIINLMLTKNKENVDNILLADGKYGQFTGKDFGLNKSQKDAVASCISASECPNKLSVRLIWGPPGTGKTKTASVVLLMLLKNLSTRRTLVCAPTNTALTQLASVLFL >PAN07711 pep chromosome:PHallii_v3.1:1:52897176:52898684:-1 gene:PAHAL_1G356700 transcript:PAN07711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPWLVLAWAVLAVSSAARASPPLKVGFYEHSCPQAEDIVRNAVRRAVARDPGLTAGLIRMHFHDCFVRGCDASILLASTPGHVAERDSPANNPSLRGFEVIEEAKALVEAHCPRTVSCADIVAFAARDGAALAGGVDYRVPSGRRDGRVSVEGEVLQDGNVPFPTSTVEELVENFRRKGLSADDMVTLSGAHSIGRSHCSSVTERLYSFEGEPGRTDPALDPAYAADLKRRCPPSTGNMDDRTTVPLDPVTPNGLDSQYFKNVLAHKVPFTSDQTLLDSPWTAGLVAFHAAVGQAWEAKFAAAMVKMGAIEVLTGDEGEIREKCSVVNHY >PVH66753 pep chromosome:PHallii_v3.1:1:51656959:51673887:-1 gene:PAHAL_1G337700 transcript:PVH66753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGSNWDGTPLVQCIQVLGGHSVSVRDLHTWLLLIKKALGTRWATPLTLALENAVASEEAKGPAVTFEFNGETSGLLGPGDNRWPFSNGFGFATWMYVECFSGSLNTAMATAAVAAAQASTSGNSSPSAEAAAGCTLVGEGTKHMPQLFSFLTSDNHGIEAYFNGQFLVVESVAGKGKEASLQFTYEFKPQCWYFVGLEHSSKQALLGKAESELRLYVDGELQESCSFEPPRIVKPLAFCCIGTNPSPTIAGLQRQQCPLFAEMGPIYIFTEPIGPERMSRLASRGGDALPSFGNGAGFPWKATSNHIRETAEDSYTLDIEIGGSLHLLYHPSLLNGRFCSDASPSGSTGTHRRPAEVLGMVHVSYRVRPAESLWALAYGGPMALLPLIVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAVQHPGNKEELCHTHGPELLSQVLHYLLDTLSKLESGKKEILSDEELVTAIVSLCQSQRNDHGQKVQLFSTLLLDLKMWSSCNYILQKKLLSSLADMVFAESTCMRDANALQMLLDGCRRCYWVIHEADSIDTFTLTGHERPLGNVNALVDELLVVIELLIGAAPSTLASDDVRCLIGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANMFAQSFISRGVVEALLVLLQREAKSGDNIILHSCNVPQNADSWNGSSKLTNKDLELSASGEANRKDHQIQSVQHHEPTSHEIGTRLGSTSKWCLLKGQFLKNLGGIDVPNISDNVENSVYNIDNGDGVLVGIVHVLGALVASGHLTSTSSTVRPKLPSGFLTTSNGEGHTMFEDRVSLLLFALQKAFQAAPRRLMTRNVYRALISSVIDVSSSKDNLKNLHVSGCCFKHTPLLIVLLRSLPYASRAFQARAIQDLLYLVCSHPKNRSTMTSISEWPEWILEILVSNHEIGDNKNSDGVSICEVEDLIHNFLVVMFEHSMRQKDGWKDVEAAIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLDFSAQELQVQQTEGIAAAADGVAAEGIVPKETKVQAEKAANLSIVLAENAIVLLMLVEDHLRSRSQQFFMSCLVDSAASPASMASSAVSRSNSLSRTGSEHLEAGGSRQSLSSDAGGLPVDVLASTADTNGQLSAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRTRLWYGVCIPPKSNVFGGGGSGWVSWKSVLEKDSNGNWIELPLVKKSVSMLQALLLDSGLGGSLATGVGSGPGVGVIGALNQLLDSDQPFFCMLRLILVSMREDDSGEDDIFTRNIGMKDVISEGLGCQSGSMLALDDHSSASIKQHPAALLWRVLGPILNMPVSESKRQRVLVASSIIYSELWHAVSSDRKPLRKKYVGLIMPPFAAVVKRYRSVLAGIHELTSPDGENPLVVDDWSSAADTSPVEVGVSMISPGWASAFASPPVAMALAMIAAGASGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPTDTTPSLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRSAGDIERAQRWNTSDAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVEILNRSCMSIGLRAWRHLLHCLIESSRLYGPFGELLCTPDSIFWKLDLTESSLRMRRFMKRNYNWLNHLGAFANYGEKNFLCDDPNACHSEDGDSLPKNVLSTSSLITVDGGHEHIVQGETENICSSVDDQLTSSSPPDQSLTGSVDSRSSDFSGVRNLVRSTAVAPGYRPSNERIIIELPSTMIRPLKVVRGTFQVTSKRINFIVDEHMSDNYMDDTASTSGQCDQQDRDRSWLVSSLHQIYSRRYLLRQSALELFMVDRSNFLFDFEDVGARTHAYRAIVHTKPPYLNDIFLATQRPEQILKQTQLMERWAKWEISNFEYLMELNTLAGRSYNDVTQYPVFPWVIADYKSKTLDLESPSSYRDLSKPIGALNPARLNKFQDHYSSFKDPIIPKFHYSSHYSSPGTVLYYLARIEPFSTLSAQLQGAKFDHNDCMFTDVARTWNSVLEGMNDVKELVPELFYLPEVFTNANPSGRLGSVALPPWAENPVDFIHIHRKALESDHVSTRLHEWIDLIFGYKQRGKEAVVASNVFPHVTYEGMVDIDKITDPVQRRATQNRISYFGQTPSQLLTVPHIRRRPLTDILQLQTIFRNPNEVRPYALPSPDYCNVPASAMLISKDCIVVIDSNVPTVHVALHHWQPNTPDGVGAPFLFHHGKNAINSSGGAIFRIFKGSSGSTEDYQFPRAVAFAASAVQNSSAVVVTCDKEVITGKHADNSVKMISPDGARTVETAFGHLAPVSCLALSADSNYLVTGSRDTTVILWRIRQVGSSHEKNAPEPPPSTPTTPTSPGATGSSSDSSPSKNLETYRRRRIEGPMHILRGHLGEVTCCSVSSDLGLVASSSSVSGVLLHSLRTGRLIKKLDVPEAHSICLSSQGIVLIWNESDKRLSTFTVNGIPIAMLVLSPFSGRVSCIEISADGQFAVMGTCSASNCNHDSSNTTEDDYELDKPVDEDAQESNETRLSVDAPSVCLLDLYKLEVIHALKLGEGQDVTALALNKENTTLLVSTANKQLIVFTGPAANNPSASAL >PAN09036 pep chromosome:PHallii_v3.1:1:59385837:59387528:1 gene:PAHAL_1G453700 transcript:PAN09036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANRYSERQPIGTAAQGTDEKDYKEPPPAPLFEAEELTSWSFYRAGIAEFVATFLFLYISILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQQGLYMGAGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSHAWNDHWIFWVGPFIGAALAAIYHVVIIRAIPFKSRD >PAN04171 pep chromosome:PHallii_v3.1:1:3209707:3213721:1 gene:PAHAL_1G046900 transcript:PAN04171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKRGGGGDGGVSRRWAVLLCVGSFCLGLLFTNRMWTLPEASEIARPNANVEESNMPVAAECGSKKIQEKQDYRDILQVQDTHHDVQSLDKTIASLETELSAARSLQESLLNGSPVAEEFKVSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSRLALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGESGNKYFRHATGQLYAISKDLATYISINKHILHKYINEDVSLGSWFIGLDVEHIDDKRLCCGTPPDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >PAN08680 pep chromosome:PHallii_v3.1:1:57924735:57933647:1 gene:PAHAL_1G429200 transcript:PAN08680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACEIQAPGAVLLPKSELPAEKNYANGQTDAAVKRRVAAMPAAAPTTPRRHPSPNAGRASSPTSAGSQAKRSQSTERRPATPSRPSSGGSRPTTPSRISAPTSPSSAPSSPSSSSSSSSTPVRDTVSETQSAPRKLSGSRAPDGLWPSMRSLSSSFQLESKGKRITSSSSADQAKMRDAAPADRKRNPSRGRANPEQSENPHAKVIDHHRWPAMMGGRVSAIAMSKSMDLTDKISRSALPSVPSRGVSPKRTTMSSAANALSRSNDLADKIDRLVSSSVSSRGGSPRRSAALSGANDVSKSISVGKDLKPASVTISSRRASPIRTAASESMDLTENDNSTLSSSVSSPSISPSASVSSVSNAASQTTTKSSERLNGPITNPSSSRGLSPRRTTSGGIGTLSKSVDFPEKDRRPASSRGTLPRRQLTSDGVNDIVRNMDFAEKDSRVVSSSIPSRGVSPRRRLASDGVDAILRSTDFSGKDNRPSTSSSASRGISPRRRLASDGISAVTKGMDFADNPNRPSASSAASRGISPRPQLASDGVATVSTPSDLADRHDRPSTSYAASRGMSPRRRLASDASNATSERINFTEKDSGTVSSSVAHRGVSTLRRLSSHGVETMSKSMDVVEKDTRPTTSSAALRGFSPRRRLASDGVNVISKNMDLVENSNKPVTMSAAARGVSPRRRLASDGVNLISKNMDLFENSNKPFTMSAAARGVSPRRRLASDGVNVISKSFDLVENSNKPVTVAAAARGVSPRRWLASDGIESISKSTDFAEKSIRPSTPSMASRGVSPRKRLTSDGVNAILKSTEFADKNCRPSSSSAALRGVSPRSRSASNAISTGMNFAEKDSTPSTSSSASCQTLQNSRLQSDGVNTLSKDVEISSTVSDCTSDSKHDDTDALVKGIDVTEKITVPMQDGGDGDDPGRMDSTDIGAGAVSSSIASQDRSPSRPVIDDVKNTSENVDAAQKGTRAISVKIPSRGASPRRRLASDCIDTISKSMDFTEKDKKPMAVSVPLRGMSPRRTARSDSANVMSKSMDFADKCNGPISSMVPSRVVCTRRVLGPDGANAMSRSMDLTDKIRQQISSTVQSSRASPRKMPLAYNRVKVPEVLSGDVESPASIDGSESQEENASSSPDAPSNNSEKFTPPKRLARTSSSPSRVLIRPSSPSNASSTSSFASRRLPSPSRTRPSTPVSPCSSARSDSASSILSYIGDATRGKKSPAHMEDAHQLRLLHNRNLQWRFTNAYVDEMLSIQKMSAETMLYSVWDANSRMCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLDNWAALQTEHSSSLSSATEALKASTLRLPVSGGAKADVLTVKNAVSSAVDIMQAMGSSICHLLSKLQGTHTLVTELSAVAAKESTLLNEYRELLATAAALQVQESSLRTQLIQQTE >PAN03519 pep chromosome:PHallii_v3.1:1:539173:543956:1 gene:PAHAL_1G005400 transcript:PAN03519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDGDGDGDARGLEAAAAAAAEAARELREAAAALVATRAAEEDALRRRAVALDADVRRLQGSLPALDPSAVDKIEEELERARVAITDSDVASFLPSKRNGKFFKMFLGPVNVRVARKEDKLKIKDEYNNYRDRTAYKFLLFPSILLLLRWWIWDGCLPAWAVQIYQAWLLFLYTSFALRENVLIANGSDIRPWWIYHHYLAMVMALISLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFILQGFEAYVGVLLLQTALHGLASEWQVVVCGILLVVMAVGNFVNTVETLILKLRFKAKMKKAKGRQDRPHQN >PVH65637 pep chromosome:PHallii_v3.1:1:3018215:3018514:1 gene:PAHAL_1G044500 transcript:PVH65637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSTPPRRVPAPQVALVILLLFVVLVCAPCGAGARPVREGVRSHTDNARARTSPALDVGRWASGSVATGAGAHRVATVGGGAPTPPSGPSQNHN >PAN06706 pep chromosome:PHallii_v3.1:1:48035192:48039638:1 gene:PAHAL_1G282100 transcript:PAN06706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRSKIEVELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGSERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >PAN07448 pep chromosome:PHallii_v3.1:1:51603190:51604504:-1 gene:PAHAL_1G336700 transcript:PAN07448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKPPPPRRRPTAAAAGSWVRSLHCKSMAADDVAARVAAPGPKRLHPLLPRAGCGSYGDALSHVSSSKHAGAARPQQGSKPSPEPGQKTKTKPASVVPPSPPPGPLGPVPALTELPAGHSSRQVVEIIFLSSWSSPLPVPVPQPPPNQPGAPTATSSSGAFPGVVEMLFRVHNPARAVARFEDYRAAVRARAGGAARSAADGNEMMRFSPAPPYGSSPFASTPSGGEDAPLIRTFDGSGGAHASGRGPAAGRRAMFLCRVIAGRVAEAGAGPKLKEHHDSVRFGGKGGELVVFDRHAVLPCFLIIYRL >PAN07817 pep chromosome:PHallii_v3.1:1:53487147:53491902:1 gene:PAHAL_1G365200 transcript:PAN07817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLRLRSLGRAAPAVAGAAAAVASLTNVAYADGASLFRRQSAPSNPDDGDNLGATAFGRDPETLERMARALRDINNSPLAKQVFELMRRQEDTRLAEIEAEKVYYAINEKLRDIERKQKEAEEYSNNLQQQAHAKAQGLRYEDELARKRMQTERDAQRRQDAELVKMQEASAIRKEEARRATEQKILQEMIQTEKEKAKQEQETDRIKALAEAEARALEQRELEEITRRTMLEKMKGEKEKWLAAINTTFSHVEGGFRTLLTDRSKLMMGIGGVTALAAGVYTTREGARVTWGYINRILGQPSLIRESSMPKFPLPMSRLLKPTSASLSSGTGFDNVILHPSLKRRIEHLARATANTKSHGAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSKKGMLVFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAVTDRIDEVIEFPIPGEEERFQLLKLYLKQYILKEEGKGSSWGALFKKQQRKIQVKDISDDLLREAARKTNGFSGREIAKLVASVQAAVYGRSDCILDPQLFSEVVDYKVTEHHQRIKLASEGMA >PAN04289 pep chromosome:PHallii_v3.1:1:3749570:3751968:1 gene:PAHAL_1G055500 transcript:PAN04289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRALYNEIRGMKVREVPAYLKPRLTWENVKKSADQAVDRYIEKYIETSKPDPLFHVCFGGMAFSYLVALPWERAHLAHREEMERTGGKH >PAN07742 pep chromosome:PHallii_v3.1:1:53074918:53078290:1 gene:PAHAL_1G359200 transcript:PAN07742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDAMERGEQRAPLLPESHGPKIQDDSLQVPLLKDKKRAGSKAPAVVLGFECLESTAFSGISSNLVVYLETVLHGSNLASASKVTTWFGTSYLTPIFGAIIADTFLGNYNTILVSLAVYLLGMMFVTFSAFLPTAAVLGGSSMFGAQTVAFVGLYLVAIGSGGVRSSLLPFGAEQFDDDNPTDRESKGSFFSWFYLCVDFGPIVSGLFIVWIQNNVSWGLGFGISTVCIALAFGAFVLATPMYKRRMPTGTPLKRLSQVIVAACRKIALKVPADAGMLYEVSDKVDNQPKIEHTGEFSFLDKAAIITESDFEEITEEAGSSWKLCTVTQVEELKILLRLLPIWATSIIMSSAYAQMNTTFIQQGSVMNMSILSVSVPAASMGSFEVTCVLTWVLLYSKVIAPAVRSLSSNGDGEPSQLQRMGAGRLLMALAMAVSALVEMKRLDSAARGEQITIAWQLPQYFFLAGAEVFCYIAQLEFFYAEAPDTMKSTCTSLALLTIALGSYLSSFIYAIVAAFTATADSPGWICDDLNQGHLDYFFWTMAAMCTLNFVVYSGFAKNYKLKTVLS >PAN06291 pep chromosome:PHallii_v3.1:1:45701275:45703307:1 gene:PAHAL_1G252800 transcript:PAN06291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGAKPAASSKPNPFDSDSDSESQPTKKSSAAYQAPADAKKRYKDGFRDAGGLENQSVEELEHYAAYKAEETTDALAGCLRIAEDIKQDATDTLITLHKQGEQISRTHEKAVEIDQDLTKSETLLGSLGGFFSKPWKPKKTRKIKGPAEVSRDDSFKKKASRMEQRDKLGLSPRGKNANRQYGEATSAMDKVQIEKQKQDDALDDLSGVLGQLKGMAVDMGTELDRQNEALDHLQDDVDELNSRVKGANQRARKLAAK >PAN06406 pep chromosome:PHallii_v3.1:1:46597942:46600865:1 gene:PAHAL_1G261800 transcript:PAN06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDGPHDHQDTASSDERSFVPPTTMTFLGPAENDDNRGSRTGSPVGMDAGKGKDAVPNAIQGGDGSASGGKANSGTSEGKDLATGAAAADAAGDGSTSNKGKSPLAADDNGELKVHIIMERERRRRMKDMFNTLHELMPHVSNKVDKATLVGETINFIKTLEETKAQLEKKKLEQALARQATAEAAAAGASSFSVPCTAHGMAALSDGWDPVPPQQPAAPAAAPLAAAAGPVGFQTWSTPNVVLSVLNDEAIINVCAPRQRGMLTMVVSVLSKHGIDVISIQIGADDVQSFFTIYTRVNGAGGENLSAEDVYKLAVSEIMVWISN >PVH66941 pep chromosome:PHallii_v3.1:1:55150334:55154395:1 gene:PAHAL_1G389900 transcript:PVH66941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAMTSMGAKVIESINDGRAPYVFKISGQVCHRVGSLMPSEGHRPEYAQLYIFDTEHEVSNRINVASSSSRAPFHANQNIVASLIEMLDMHNPIVKLFRTARERLLGDSSDRAPVASEVVGLIVGDIGQTDVGRDIIIEDRSSRLQRINEQHCKFMAMQYPILFPYGEDGYHESLMYPIAIYRYQKYQDCIGICRKHGCPDLFITFTSNPAWPEIMEALPPGLQPSDRPDIIDRIFKMKLNILMDDIKKRKFFGPINADNFISAQLPDPTTDPIGYAAVSSHMVHGPCGALNTSSPCMFEGKCSKFYPKQFCEKTTILENGFVQYARPKNGLVVTKNNVDIDNTFIVPHNVDLVVKYQAHINVERVNHDGMHKYLFKYVTKGFDCARVGFHGNSSTQGSSNDTINEKQNYLECRYVTPHDAAWRLLQYDIHHTDPSVERLPVHLPFENSVVFTEEDDLEEVIENPNNLITKLTAWFEANNQFPAARERTYIEFPESKGKLALAVASSGIASLLLPGGRTPHSRFKFPLDIRENSMCNIKKNTHLAELIQQTSLIVWDEAPVNHKYLFEALDRSLRDILSENRPNAQDKQFGGITVALGRDFRQTLLVVQNATKHQILRACIVNSYLWRHCMVLQLTQNMRLTSTFLTPSDREDLRLFSEWLLRVGNGTEPFIQIQNEPSSTYIQIPQSLLLHPDYRNLDGLISFVYSSGCQPTDIPSYFCDRAILAPTNEVVTEINNKMISQLTTYEMSYYSSDLIDNTSANHSTLESLYPTEFLNTITINGLPEHVLKLKIGVPIMLLRNLDPSRGLCNGTRLIVTQLTTRVIEGEIITGKARGSKAYIPRIITTSNQFKWPFKLKRRQFSIRLSYAMTINKSQGQTLNTVSAYLPSPVFSHGQLYVAFSRVTSPKGLRIVIENNPPLFED >PVH66206 pep chromosome:PHallii_v3.1:1:25220734:25221174:1 gene:PAHAL_1G178200 transcript:PVH66206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRVDCFRPKLIRDLVFIKHCPCGFNESSIFPFNNSILLWSIWNGEFMTNALFI >PVH66134 pep chromosome:PHallii_v3.1:1:18987188:18988834:-1 gene:PAHAL_1G157600 transcript:PVH66134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIHAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKNKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLLPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEECHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN08602 pep chromosome:PHallii_v3.1:1:57514919:57518337:-1 gene:PAHAL_1G423600 transcript:PAN08602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLARALRSLGPAAAAREVPLLAWLSSARSAASSSSVPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSNYPLLLACSLRKNVIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLSPVVKALRGLDVDRQDIPRVLERYPDVLGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHYPFFLGMRVGTTIKPFCDYITSLGLPMRILARILEKRPYILGYDLEETVKPNVDALLSFGIQKEALPLVIAQYPSVLGLPLKAKLAAQQYFFNLKLQIDPDGFARAVEKLPQLVSLNQNVILKPVEFLRGRGISNEDVARMVVRCPQILLLRIELMKNSLYFFKSEMKRPMSELLEYPEYFTYSLESRIKPRYMRVTSKGIRCSLDWFLNCSDLRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDEDTDDEVLYRRTVML >PVH66492 pep chromosome:PHallii_v3.1:1:46678061:46678483:-1 gene:PAHAL_1G262500 transcript:PVH66492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAHDPAQPRGGNGGSFDVFDPVTKNRYTLSARVPGVTVPDDSASLMLHDSKDGWLLVSRCQYSFFLMNPFKRGNNAMVVLPPAHELFFNGISFCSTPGSHEHFFKGISFYSTPAAGVARLHGHDHRRILLLRPRHRCS >PAN04274 pep chromosome:PHallii_v3.1:1:3657027:3659968:-1 gene:PAHAL_1G054100 transcript:PAN04274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGARFHGMIGGGGGKGMQDNEINGFYNMPYYQKFGEGSHMSVDSADGFNLANCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLSEDALARVLMDPNNPTEILNNYEQWTIDLGRLDMGDPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSRLSHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPSLTHIMTRCWDANPEVRPPFTEIVCMLESAEMEIVSNVRKARFRCCMSQPMTTD >PAN08676 pep chromosome:PHallii_v3.1:1:57911878:57915075:-1 gene:PAHAL_1G428900 transcript:PAN08676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitous nuclear protein, Regulation of photoperiodic flowerin [Source: Projected from Oryza sativa (Os02g0793900)] MKDPAPADPPRIFWKSRRSANGRSLQQEPDKDATEEVNEQAQGESMKIDDATDTIATAEDVQPDPKANLSEKRKALFEPLEPINGKRSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQVSMLMNMLDENEGFDEEGEAPPPDSFD >PAN04095 pep chromosome:PHallii_v3.1:1:2824014:2827090:1 gene:PAHAL_1G041700 transcript:PAN04095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVRRNNLRPCIRLLLLPLLAVTAVSAGASPVTSGDLYALSKLKSSLLSRSARNSTSLADWDVATPPPPSSSPAASHHYCNFSGVTCDASGNRVVAINLTGVPLHGGVLPTEVSLLDALASLTVAACSLSGPIPASLASMPLLRHLNLSTNNISGFFPSGPEPYFPSAEVIDVYNNSLTGPLPPFGGGRLRHLHLGENYFSGGIPEEYGASKQLEHLGLNGNFLSGRVPPSLSRLKRLKEMYLGHDNVFDGGIPSEFGELEALISLDMAHCNLTGPITPELGRLTRLETLYLYSNNLVGEIPAELGNLKNLVNIDLSFNKLTGEIPASFAGLTRLKLLNLHGNELQGVIPEFVGELSQLEILQAWENNLTGELPANLGKNGRLLTLDVTDNRLTGAIPPGLCAGRRLQFLFLMRNKLSGPIPEDLGNCKTLAKVRLNSNFLNGSIPAGLLDLPMNSMLDLSDNLLSGELPKVIPSAGLGFLSGASNRLSGPVPPEIGHLKKLSLLNFSANALTAGVPGELSHCESLTVLDLSRNQLAGEIPTEITNLKVLTMLNLSRNSISGELPLEISKMIGLGVLDVSYNNLSGRVLQSQLQGVFAVSDATDFEGNPGLCVERVTAASCSRLQRSRRRDDKTWTMLLWLVPAVSAVVVAMAVYLGLKWWQAARRRPAAWKMTRFQNLDLEMDDVLGCLREENVVGRGGAGTVYRCATRSGAEVAVKRLRGPGRRDHGFRAEVATLGGVRHRNIVRLLGFASGAEGSLLLYEYMPAGSLGTVLHGERGALLGWGARLRVATEAARALCYLHHECKPRILHRDVKSSNILLDSAMEAHVADFGLAKFLRRGASGSGAVAAAECVSVVAGTYGYIAPEYAYTLRVDEKTDVYSFGVVLLELVTGRRPLGDFGDEIDLVHWARSAVPRPSDATAVLAVADPRLPPEPAGLIAGLFRVGISCVRESSQARPTMREVVHVLSSFVRPVADPSNSTSKVLGEVHFAGSG >PAN06161 pep chromosome:PHallii_v3.1:1:44368495:44371327:-1 gene:PAHAL_1G242600 transcript:PAN06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGGGSDKSGSGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKHTLTDG >PAN05482 pep chromosome:PHallii_v3.1:1:27680214:27680946:-1 gene:PAHAL_1G188300 transcript:PAN05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLLFLALLAMACSSAIASDPSLLQDVCVADKTSPVQVNGFACKDAMDIAAEDFHFSGLDKVGNTSNKQGSAVTAVNVAQIPGLNTMGISMVRIDYAPNGMNPPHTHPRATEILTVLEGSLFVGFVTSNPNNKLIAKALNKGDVFVFPKGLVHFQFNNGTGNAVALAGLSSQNPGVITIANTVFGSKPSIADHILAKAFQVNKETVDLMQAQF >PAN08539 pep chromosome:PHallii_v3.1:1:57125451:57131391:1 gene:PAHAL_1G418900 transcript:PAN08539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDSHGAVVNKSEENDFEKKGGSNPVVYQLVRVEGDGTLVPATEDDVLQFEHFLHDEKVDLPSIEDVGHVEEFFSNDCILLKKSDLEDCSSKLKTIELHTQKSGADLEENRLQSMDDSLSPPSKCSVVHVQQPDKFLTEQGDNSIAQQNNASTETTKSTVLNDSCNAEKDKADARSRPVDDTSTEPSVSGVNSSVPDFSILRGEVRLDDLTIRELQEAFRAIFGRQTTVKDKIWLKRRITMGLTNSCDVPSSGCVVKDYKIVGRDSNKDMPNTGEIPKIGLQATSLVRDQVILPGNEGDSPSSYYYHSEDQQGSSKRFKRAPIHNEEPQVILAEQSTNKRTRKPTKRYIEELSDIETHDSTGKLSSPAKRTARDEVLLKPRVVHFHEVESLGTTYPTRKDTLGGFSVHVPYVSRTRRGRPRKDFISFVDKEPFVEHNGVQTAVGMMLAKECEEGNHVRKTSEVPLTVNSGRGHIEAVDRKRVQNLQPNVYNAASKPKIKRGLTRKHHRAWTLCEVMKLVDGVARFGAGKWSEIRKLSFSSYSYRTSVDLKDKWRNLIRATQTQLPAQKDGVCPRKINPSIIPIPPSILLRVKELNELQSQGGGFTAPVKFSRQNGKVVQEKGSGFL >PAN08538 pep chromosome:PHallii_v3.1:1:57125451:57131391:1 gene:PAHAL_1G418900 transcript:PAN08538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDSHGAVVNKSEENDFEKKGGSNPVVYQLVRVEGDGTLVPATEDDVLQFEHFLHDEKVDLPSIEDVGHVEEFFSNDCILLKKSDLEDCSSKLKTIELHTQKSGADLEENRLQSMDDSLSPPSKCSVVHVQQPDKFLTEQGDNSIAQQNNASTETTKSTVLNDSCNAEKDKADARSRPVDDTSTEPSVSGVNSSVPDFSILRGEVRLDDLTIRELQEAFRAIFGRQTTVKDKIWLKRRITMGLTNSCDVPSSGCVVKDYKIVGRDSNKDMPNTGEIPKIGLQATSLVRDQVILPGNEGDSPSSYYYHSEDQQGSSKRFKRAPIHNEEPQVILAEQSTNKRTRKPTKRYIEELSDIETHDSTGKLSSPAKRTARDEVLLKPRVVHFHEVESLGTTYPTRKDTLGGFSVHVPYVSRTRRGRPRKDFISFVDKEPFVEHNGVQTAVGMMLAKECEEGNHVRKTSEVPLTVNSGRGHIEAVDRKRVQNLQPNVYNAASKPKIKRGLTRKHHRAWTLCEVMKLVDGVARFGAGKWSEIRKLSFSSYSYRTSVDLKDKWRNLIRATQTQLPAQKDGVCPRKINPSIIPIPPSILLRVKELNELQSQGGGFTAPVKFSRQNGKVVQEKGSGFL >PVH66527 pep chromosome:PHallii_v3.1:1:47307309:47307686:1 gene:PAHAL_1G271300 transcript:PVH66527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKEEAARRGRRRCGRGRRRRRGGGGGGAAGGGGGGGAGEEEAMARGRRRRCKEEAAAQGRRRPWSTGGGTNLDGRARRGRSECGAERLHPSDFLERPRSVSGENILRREPLRSTSVATKHLQK >PAN07413 pep chromosome:PHallii_v3.1:1:51382324:51383459:1 gene:PAHAL_1G333900 transcript:PAN07413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDSSSCSEPTTSSSAEAPASPSATTACSSSDSSSSPGKGTKRRRSKDGHHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPELAGELPRPATAAPKDVQAAAALAAAANFPAPGANADGAGRADPDDDGPDASASPPQPQETDGNPDDALFDLPDLLFDLGRHGPSSCQLSCAASWDDDVCFPGAGASFRLEEPPPLLWDYY >PVH65482 pep chromosome:PHallii_v3.1:1:535711:539172:1 gene:PAHAL_1G005300 transcript:PVH65482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSCPICNIQVLTVELEQHANSHFEDDDELQRDMELAHQMALAESNTDIMDSRHGSLFMSRVEVLLCENGPEHCMGSFTRDSNAQGASSSHTGYSPHYGEVLDQQISCLVRAQIPSKVQEVEGGIMSLLMSCLESEGGSSTSMISGYIDHHQSLSSEDKGWGCGWRNIQMMSSHLLKQRPEAREVLFGGSGFVPDIPSLQRWLEIAWDKNFDTIGSNHFHNKVYGAKKWIGTTECATLFRSFGLRSRIVDFDSTESSGLQNKNGKHGVSQVRGPMDKFLIKNNPPKSSSELCREDAEIMRGRQVLVDWVWNYFASERSDRFTTPRVTVSNKTPLYFQHQGHSRTIVGIQKKKGYRGSHDQYTLLILDPGHRTADLERTLRSKKGWQSLVKRGVHTLRKPQYQVCYVDSGIANSEEMEQLETIDSILVRF >PVH66521 pep chromosome:PHallii_v3.1:1:47250156:47250618:-1 gene:PAHAL_1G270300 transcript:PVH66521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHRHPMCAEIIEEFQKCHLDHPVKKFFGECTDLKIKLDHCFRQEKALKRKANFEASKKFKEQLQAYKREIAEKNQE >PAN07311 pep chromosome:PHallii_v3.1:1:50879387:50881946:-1 gene:PAHAL_1G325800 transcript:PAN07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVRAAPFTYVAHALAVAAAVMVLVWAIHFRGGLAIEATNKNLIFNVHPVLMLIGYIIIGSEAIMVYKVFPTLNHDTAKLIHLILHGIALVLGAVGIYFAFKNHNESGIANLYSLHSWLGIGTITLYGIQWIFGFVTFFFPGAAPNLRRSLLPWHILFGLFVYILALANAELGFLEKLTFLESSGLDKYGTEAFLVNFTALVVVLLGASVVVAAVAPARLEEPQGYAPIPEN >PVH66296 pep chromosome:PHallii_v3.1:1:30984215:30985033:1 gene:PAHAL_1G198900 transcript:PVH66296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEITRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHMLESRGFRLSRTKIEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKNGDIDEDVRHRISAGWLKWQQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLHWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRDRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVSEP >PVH66967 pep chromosome:PHallii_v3.1:1:55515191:55521057:1 gene:PAHAL_1G395900 transcript:PVH66967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRLHRPEPPQNHLATAAATASAPVPNPADDWVDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRGVHTSFSCHKCRRSKRAPSSADEAEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGLPGGGDAALFHGAPAFSAALWRCTGYVPKRFGFRYCEFPSWADDNDGADALFALAREKPREMVDAVLIDVEPKTEKHHVRSLSCRGKKVEGDRQAVPLTEAKKRDPDRLKDGCQQSGACALRDATREDHNAETKMASSDLQTVKTKKKMEESVELNGEKKSSEQVPVMLSKDDKNVPLKLEFLSGVRTTSSVAEQEAHSGFIGVEVTMHQQQSEGDHNAGLRSGVTSSGAGPIKMQDMQDFQQQPNQTSNMQDVAGAPDSQIGQSKSQIMKTEPSSLENQKADCIQLASDDHESNKQGLGDAAVFSIGQRDSPKLTYDSVYREHPKSESQNLVHTVVEHPSSTLGSAKVGTSFSCSISVPREISHNLASKDQSSAGNSDCSKKEELVSPTDSKHDSAKFSEDSSQEVRKCSEKVQLKGSLPSAPKSSQVSRMHVSTVKPRLPISKEQSHKIAITGGSSARSFHGEVLPLQSRNKAVASSSSQKKDKVHHRTINVTQESSNNSASTELRASDLAAPLSDEQLALLLHQQLNSSPEYRGCHVAIKQLVRRCFIQLELLFFLSGLQRTEEGIMQRF >PAN07489 pep chromosome:PHallii_v3.1:1:51778496:51788908:-1 gene:PAHAL_1G339900 transcript:PAN07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWWGKSSSKEVKKTAKENLIDTFQRLLSSNEQKGSRKSRGSRKHGKDRAGDKGCWSTAQSRSTSPSKEVSRCQSFAADRPQAQPLPLPGSRARVTRTTSDITNSKSTLEKRGKGQLLPLPQPQKRPEATEPVAEEATASVSSNCSIDSDDPGESRLQSPVGNEAENATRVTATNSSSVVHKERSSAITRKSTKEVAKRNNTFLSNQIPSTSPRGTVPDSYQSNLQSPRQITLESAPNSLMSSPTRSPRIICPDQIPTSAFWAVKPHADVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGVAPESPTSRHAEGKKKQTHRLPLPPLSISNSCTFLPNNSTPTSPISRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDKGEMCAMKEVTLFSDDPKSKESAKQLCQEISLLSRLQHPNIVRYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSSGCNLAVDIWSLGCTVLEMSTSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEEGKDFIRQCLQRDPSSRPTAVDLLQHPFVRNALPLQKSAASDPLEQLTAISCKKNSKVVGHARNMSSLGLEGQSIFQRRAAKFSLANSDIHIRSNISCPVSPCGSPLLRSRSPQHQNGRMSPSPISSPRTTSGASTPLTGGSGAIPLNHVRQPAYRNEGFTVTSRGLDDHLPSRPADPVHRRFVRVQQLSAGLQERVVSEPDILSSQFGKMRHANVWDSHDRPLPSECSSQRSFGDHVQLKPSLDLRPGPPHPGRNHGH >PAN06789 pep chromosome:PHallii_v3.1:1:48473053:48476559:1 gene:PAHAL_1G288400 transcript:PAN06789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPETIGPLLARYGASRSLLAGAHLHSHLLKSGLLAACRNHLLSFYARCRLPRAARAVFDEIPEPCHVSWSSLVTAYSNNSMPREALGAFRAMRGRGVRCNEFALPVVLKCAPDARLGAQVHALAVATALAGDVFVANALVAMYGGFGMVDEARRMFDESGGAGGERNAVSWNGMMSAYVKNDRCGEAIGVFSEMVWSGARPNEFGFSCVVNACTGARDLEAGRQVHAMVVRTGYDEDVFTANALVDMYSKLGDIDMSAVVFKKMPAADVVSWNAFISGCVIHGHDHRALELLMQMKSSGLVPNVYTLSTILKACAGAGAFNLGRQIHGFMIKADADSDEFIGVGLVDMYTKDGFLDDARKVFDFMPKRDLILWNALISGCSHGGQHGEVLSLFRRMRMEGLDLDVNRTTLAAVLKSTASLEAISHTRQVHALAEKIGLLSDSHVINGLIDSYWKCDCLNDAIRVFEERCSDDIISSTSMITALSQSDHGEDAIKLFVQMLRKGLDPDSFVLSSLLNACASLSAYEQGKQVHAHLIKRQFTSDVFAGNALVYTYAKCGSIEDADMAFSGLPERGVVSWSAMIGGLAQHGHGKRALELFHRMLDERVAPNHITLTSVLSACNHAGLVDEAKKYFESMKEMFGIDRTEEHYACMIDLLGRAGKLEDAMELVNNMPFQANAKVWGALLGASRVHRDPELGRLAAEKLFTLEPEKSGTHVLLANTYASAGMWDEVAKVRKLMKVSNVKKEPAMSWVEMKDKVHTFIVGDKSHPRTREIYGKLEELGDLMNKAGYVPNVEVDLHDVDKSEKELLLSHHSERLAVAFALISTPAGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGTCSCGDYW >PAN07905 pep chromosome:PHallii_v3.1:1:53928711:53933475:-1 gene:PAHAL_1G371800 transcript:PAN07905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMTEKEREKQEQLGRAGGGAAMQRSPVDKPPFTLGQIKKAIPPHCFERSIIKSFSYVVHDLVIVAALLYFALVIVPALPSPLHLAAWPLYWIAQGCVCTGVWVIAHECGHHAFSDYSLLDDVVGLVLHSSLMVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKEALPWYTPYVYNNPVGRLLHIVVQLTLGWPLYLTTNASGRPYPRFACHYDPYGPIYNDRERAQIFISDAGVMAVSFGLYKLAATFGFWWVVRVYAVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDPTPVAKATWREARECIYVEPEDRKGVFWYNNKF >PAN08037 pep chromosome:PHallii_v3.1:1:54523933:54526643:-1 gene:PAHAL_1G381800 transcript:PAN08037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEAVAAPAPDEGALDERGAALHLPRLLAGVVSGALTGIFALAGALTGAVTGAVAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGASSMADFIEQLIQARFVQEQLTSSGYTSSRWQVSMSDFGHDDLYDIFGDISSKGLSLESLKKLPHYVVTDQMRDSFGEILSCPICLQDFVAGETARRLPNCSHTFHQPCVDKWLVDHGSCPVCRQEM >PAN08257 pep chromosome:PHallii_v3.1:1:55679072:55679943:1 gene:PAHAL_1G398400 transcript:PAN08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVRQLLGLLGAINGRPREKRKKMVLRRPVQEVELRVRMDCERCEREVKKALSGMRGVQHVEVNRPQQKVTVMGEVDPLAVLRRAQSTGKKAEPWPQNAAAAGGYYYAPAGVALYGVGAAQLQAHDGGRGANPAAAGYYHHPAVRSVEAAIGAEQITDLFSDDNPNACSVM >PVH66303 pep chromosome:PHallii_v3.1:1:31340056:31340235:1 gene:PAHAL_1G200500 transcript:PVH66303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMDLCDGLDVSRWSSRVSLGAHGGDNEEAASMSAFQYFPCYGDVCSSVGATSVGGGD >PAN03576 pep chromosome:PHallii_v3.1:1:590087:593817:1 gene:PAHAL_1G006200 transcript:PAN03576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSLLLLRAPPPSPHLISPCRRCLDSPSLRPTTRASRPHPLRLPPAGSRRAPRATARVVEAGDAGASGLIPIARCYEGRLARLELAGAARREQAVAAAAAADGGAAAEAHLAAGAESMVVEAFLPAADGGGASASSTRVILQAKEVKGKASKIKRQFGPEFFSDNETDSETMLAMAFKQVVMQRLSNFRLEVFSPGSERDFQDLGRPRKVSMDFSVISSDEKLLPSLAEAIFSCVIQDASNNQLRATGGLFQKQQLNCSIDSSVCIHKISEAEIVRSAKRCLESFDLMKSPQNVHKTKNGWWPAPNYESLVKIGGPEFVLWANEYIPTYKLQIKTRAFENTNLEGRCESESNRWEVLLTRSQLAELGNVIDMYFEDQFTLPGKAFHPHWNSDPSKIKKNNGYLNNIFSFMAGSCIILFVAIFAQLCWPRSLGDKRLFKGISNVSSSQGYCSDVNSLDSSEIQAYCTSLIKKMKDSYGCPGDVMVDAHIGAWVGELPDCFKGIDSEDNAASDSVQHSDTFIQENQAQLVPINTKMSDLEQNDRTQETLQNIASFQVVMSEERKVVGFQPTNRPAVNHWSINPLATLLYQGRMLSPGILEPKLKISRPSKAVPIELLMSVNQDSFFALARPIQDP >PAN07975 pep chromosome:PHallii_v3.1:1:54247703:54253327:-1 gene:PAHAL_1G377400 transcript:PAN07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFPKLSTQFPFLPPLFSSQRAPTRRRLLPLCLLMASPTAGDAPLAAPAPAADATDAAPAAAAAVPDPDEEFGFQRPELGKEKLAGTVGFHERHVFLCYKGPEVWPSHLEAAESDRLPRLLAAAIKARKPNLKKTTKLTICEGEDGTESSNGDLLIFPDMIRYKGLTHFDVDNFVEEVLVKDTEWLPGSPEAISGSYVFVCCHGSRDKRCGVCGPALIKRFKEEISGLGLDGQVAVSACSHVGGHKYAGNVIIFRSDDKGEVTGHWYGYVVPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEQQKQALELRNMTNGAKESLEETGTDGASCNPAAAGGCCQGNGGFTCCQTDLPKEKQDKSVAAEQNQKGSQQENDKESSTGSKKGHTKTCPMPTWFETWERADTYAALAVVAAAASVFVAFRIYKNLN >PAN09091 pep chromosome:PHallii_v3.1:1:59617510:59620686:-1 gene:PAHAL_1G457500 transcript:PAN09091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRPAEGGAAAADKQLVPASNGNALAVRKASSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSSSSSQTTPPAAPFILGKRVRDDADAEPTVAAPAPGFWALPARADFGQLWSFAAAPEMMVAAAAAAAATPAMAGEASAARVGNYLPMAQGNLNLLASFSGGPAPTATAGRAEEESAR >PVH66034 pep chromosome:PHallii_v3.1:1:10942631:10943535:1 gene:PAHAL_1G130300 transcript:PVH66034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDWSVHGGNHGDEEPHVTRTELRRMANSLLEAMERMFNERLPTAGGRGRRHQHEDNHRPHEHRHGARRDGENHHGHRNRDDPDGSARVKLSVPKFSGREDVDAYLESEKQCDQIFRVHNLSDERRVNLASIEFSGDGVTLGEIWD >PAN06876 pep chromosome:PHallii_v3.1:1:48826739:48833017:1 gene:PAHAL_1G293900 transcript:PAN06876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MGSTRSSSTPSPAGGDGVTGLEALALAKVAEAADAIAAAASAGEVVHAIHAVAALLFPVDSAAVAGTVEEPFRTQIIEAVNLSNDERESWRRAFYHGPAFPTMSKILLGNVAVKWLRKAHASARNEIYDPFFVKGPPTEVIQALVPALSEKEDSKEDHRAFCLNLERLLILCLLDNKGVSQILAEFTCCNKHGNDVLNPDKTMVVSRVAQLLASVPDKARLGASAALASTSFFKDVVSQLLAGAEAATVQLAADKDATEHCALSSVFLFVGEVLSRVSRRGSTGILIAELIPRIRNHLHRCVPSDHKAISPETIQHVSQSQFWLNVVEAMRDQHSVERLTEELLRQLAPQPISDEEAYWILWTLFNQSFKRLTVMRSMFVDKFLLWKTFPLCCLRWILHYAVFECPPNSATETLMQRTPDFFGTLQSLVSIWSRKEFVQSYSVEQQAYITAAIGLCLEKLTKKELETTKDVLNSILQGVSCRLESPIELIRKMASAVALTFSKVVDPKNPLYLDDNCCENVDWEFGVLSPKEIKAPLHAVESENKPKSRENKRNAGERKAKAIKPDVPDVRAKIVEIKSVDRDQMSDTVTNGQFEEEECDEDSMNIDASSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDPDGVENALSSAEKLVRASPDELCHNSGDLVRALVHVRCSDLAMEGEEDSAEEKRQKALIALLVTCPFESLNVLTKLLYSSSVDISQRILIIDVMTEAAQELAETKILKTEQRRGNLITDNSPSWLVPSNRGPSGAGPWREVSEPGTLLSWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCVAMHPEASALAPALLDMIRSRDVSQHAEAYVRRSVLFAASCILISLHPSYVASSLIEGNRDISTGLEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADHPKTGRGLPTKLDSIIIPFGNVMK >PAN07388 pep chromosome:PHallii_v3.1:1:51270845:51275099:1 gene:PAHAL_1G331800 transcript:PAN07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRWIPLEANPDVMNQFMWGLGVPEGEVQFCDVYGLDDELLAMVPQPVLAVIFLYPLTSLDEEKEELGASGASTAGGKDLSKKVYFTKQTVGNACGTVGVIHAIGNIASQIKLVEGSYFEKFYKKTADMDPIQRAAFLEVDDEMEGAHSVAASAGDTDANVDVNEHFVCFSCVDGELYELDGRKSQPISHGPSSPETLLQDAAKVIKARIAENPNSMNFNVMALSKK >PAN08464 pep chromosome:PHallii_v3.1:1:56790476:56794874:-1 gene:PAHAL_1G415100 transcript:PAN08464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGGEKRHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLLDQDTKPAHLWQLMVTKSRLSPFANSRTRRKRDGTDGKLVFSTSPISAPHLRNKSSRWYSLIDEAMREKKLQVNKLKDARILNRELHQTLYGFIIFEVDWADVRGINYLNELQTDTSMVVESKIMKRWEFDSVNQASSLVTSWFSGNHFECQLLQEYLYSISSNGDVFYDAQNDFLTPECENSPSDCDDSGHVQIFRESSSFIDSSYTPPPCSGPYKRRKITKFDDGSSMPEESYSEIVTSPKYSSSSCSSCGSDNESAKPLLEPSTYKDVLICFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFFQSYPVFCKIYRPWMCPLARALYVLMSLVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVRSVKSAFSVLTKPIAGPIMEVLEFTLPMWNLCAETVGYLGSVVMVSLETSWSVVFGTMQMIIWPFWFVFSTVVNIVNAVLYPAIWLLGEILAAPFRLVLGLSSFVVDLFVDIVSVLKQSWSTLSALYQAGSVPRSAVLTSDNSIWGSLWKDLLYQIFRAVRSILYGFVAFFSTCNRHRLSIYNHIQVFLQRLSRVSTRVPYANYREGGRKYSSQNHPRRKTKTR >PAN08463 pep chromosome:PHallii_v3.1:1:56789998:56795422:-1 gene:PAHAL_1G415100 transcript:PAN08463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGGEKRHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLLDQDTKPAHLWQLMVTKSRLSPFANSRTRRKRDGTDGKLVFSTSPISAPHLRNKSSRWYSLIDEAMREKKLQVNKLKDARILNRELHQTLYGFIIFEVDWADVRGINYLNELQTDTSMVVESKIMKRWEFDSVNQASSLVTSWFSGNHFECQLLQEYLYSISSNGDVFYDAQNDFLTPECENSPSDCDDSGHVQIFRESSSFIDSSYTPPPCSGPYKRRKITKFDDGSSMPEESYSEIVTSPKYSSSSCSSCGSDNESAKPLLEPSTYKDVLICFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFFQSYPVFCKIYRPWMCPLARALYVLMSLVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVRSVKSAFSVLTKPIAGPIMEVLEFTLPMWNLCAETVGYLGSVVMVSLETSWSVVFGTMQMIIWPFWFVFSTVVNIVNAVLYPAIWLLGEILAAPFRLVLGLSSFVVDLFVDIVSVLKQSWSTLSALYQAGSVPRSAVLTSDNSIWGSLWKDLLYQIFRAVRSILYGFVAFFSTCNRHRLSIYNHIQVFLQRLSRVSTRVPYANYREGGRKYSSQNHPRRKTKTR >PAN08115 pep chromosome:PHallii_v3.1:1:55109175:55110828:-1 gene:PAHAL_1G389100 transcript:PAN08115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYAAGAEAVMLLLLTLPGLDGLRRGMVSVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWVLFSVTQLVVRLEQMQQRVDKLKKRND >PVH66399 pep chromosome:PHallii_v3.1:1:43066315:43069946:-1 gene:PAHAL_1G234500 transcript:PVH66399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNYHVRAMIFSIFVISATRYLTLCTPLFVALSAGSLKCVKLLIKAGADVKGVGTVTPLITAANDGLTDFYNCLLEAGADPDVHDDFGHLPIELAAYNNRRKDVEILLPVTSRVPYVRDWSVDGIINFVKSMPSVEDDPMYKMKPVDLKLEGSKAYKRKDYATAAKLYSMAADQCPDDSTLYSNRCLCWLKMGEGD >PAN08112 pep chromosome:PHallii_v3.1:1:55051703:55053851:-1 gene:PAHAL_1G388300 transcript:PAN08112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRSVATVPGAANLLDERPRTKGKGAEGRSRSGAPKGGEKSSSGAVVALAHAGKHEEVVEHFRMMRREGVPVSRFVLPSVFRACAGLRDSRMLRAVHGLVINCSLCQHVVVGTALVDAYVDFGFLDDAKKVFDEIREPNAVSWSVIIGGYARSSQWDEASVAFSAMQHSGVLPNVSVLVMAIQASGALGCLVRGKQMHAMAVVLGFGMNATVWNCLIDMYGKCGSMESCRGVFDTMTGRDQVSWNTIISRYVRLGLCKEALDMIVQMQESGFTIDRFTLGSGVAACAHLGDTYRGRAFHGYLIRRALDTDVIRGTALVDMYGKSGSMELARLVFDRMEERNYVSWDALLSGYVENGLVDSALDTFRKMESANIKPNQHTFANLLRLCGDRRYKEYGRQIHGHAIKVINQMNVVLETELIDMYAKCGCIEVSRLLFLRMNERNLISWNTLLSGYVGDRQSVATINIYRQMELACVRPDHYTLAGLLNLCRFQGLPHYGRQIHARLIKTGSEMNVVLQTLLVHMYFKCRRWRDAHNVCTLIRERNSHVYEAFFKVYGDDYLI >PAN06951 pep chromosome:PHallii_v3.1:1:49188556:49194503:-1 gene:PAHAL_1G300800 transcript:PAN06951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAATTSSSASTTTSSQNPNPLNLNINLPPWLRGLRCPFTFPCPPPPPPPPPPPPPPQPEPVVARSRRLPSLRVTTEYDSEQGVFANKVSCKLPGGLAKLRLSFQSDPQGQWQGQGGGEEGDPLQQLFARPLVEFITKHFSVRYDVEERNALLSGDASLPGGAVRLRASHDVKLQQGEISVITRLGDPLYKLELSSLVPYSGLPSATFHFPIGQVSVEESRNEEEEKLLSVNGIAKSDFLDGVLTAQYDKNNLNLRYCYKDSELTLVPSVSLPSNAVSIDFKTRFGPSDKLSYHYNFDTDAWNAVYKHTVGKNFKVKAGYDSEVQVVWTSLWVGEEEGKVKTAPMKTKLQLMLQVHQDNFRNPDFLFNVKKQWDL >PVH66628 pep chromosome:PHallii_v3.1:1:49187740:49194577:-1 gene:PAHAL_1G300800 transcript:PVH66628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAATTSSSASTTTSSQNPNPLNLNINLPPWLRGLRCPFTFPCPPPPPPPPPPPPPPQPEPVVARSRRLPSLRVTTEYDSEQGVFANKVSCKLPGGLAKLRLSFQSDPQGQWQGQGGGEEGDPLQQLFARPLVEFITKHFSVRYDVEERNALLSGDASLPGGAVRLRASHDVKLQQGEISVITRLGDPLYKLELSSLVPYSGLPSATFHFPIGQVSVEESRNEEEEKLLSVNGIAKSDFLDGVLTAQYDKNNLNLRYCYKDSELTLVPSVSLPSNAVSIDFKTRFGPSDKLSYHYNFDTDAWNAVYKHTVGKNFKVKAGYDSEVQVVWTSLWVGEEEGKVKTAPMKTKLQLMLQVHQDNFRNPDFLFNVKKQWDL >PVH66929 pep chromosome:PHallii_v3.1:1:54965893:54976642:-1 gene:PAHAL_1G387400 transcript:PVH66929 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, Regulation of endogenous genes through smRNA-mediated pathways involving DNA methylatio [Source: Projected from Oryza sativa (Os02g0736200)] MGRTIQVSGFALTDSAEYVKDFLERIAGAGTIYALKLRHPKNITATSKAFAIVQFQTQESASLVENAAQRNVLRSGRFYLKVRPADRDIVPRPRIAMFSLDDTVLHLGCLVKENILSGLFSARNVSVQFGFDMKKMYFYLSYNLIKYKLELSYESIWEMQLHRPPAYRSRTKFLLFQVQAAPKIYELLPRCSDLMYEDPFFNWFRDDTDEQWTRTIDFTPSASIGQSSILCLEVPQQCELPNIADYFVYYKEHNLDFECQKGYSYSCGNYFVPIVKSPEYIEVPYEILFKVNNLVQNGTLSGPTVDDNFFHYVSPKVIPIDHIKRALLKMSYLRSTCLNPTNWLSVQYSRIRKLRYALQRSSNISLDDGLVYVHRVQVTPAKVYFYGPEINVSNRVVRHFSADLDNFLRISFVDEDCEKLRSVDLSPRSASGNDARRTALYNRVLSVLSNGISIGDKHFEFLAFSSSQLRDNSAWMFASRPGLTASDIRKWMGDFRNIRNVAKYAARLGQSFSSSTETLKVHKYEVEEIPDITNGTKYIFSDGVGKISANFALEVAMKCKLKRFAPSVFQIRYGGYKGVVAVDPRSNRKLSLRKSMSKFQSENITLDVLAYSKYQPCFLNRQLITLLSTLGVSGNVFELKQEEAIRQLNRMVTEPQAAIEAIELMPMGEVTNVVKELLSCGYQPDHEPYLSMLLQTFRASKLLELKTKSRIFIPQGRAMMGCLDETRTLKYGQVFVQASYCADDHGKFVLTGKVVVAKNPCLHPGDVRVLHAVDVPDLHHMFDCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDQSLIPCRMRDPMDYTPAPAETLDHDVTIEEIEEYFTNYIVNESLGIIANAHVVFADKEPLKAESLPCIELAKLFSVAVDFPKTGVPALIPPELRVKEYPDFMEKLDKVTYESSGVIGKLYREIKKHTPHIKHFTRDVARRSYDTDLIVDGYKDYISEAIEFKEEYDFKLCNLMDHYGIKSEAEIISGCILKMAKNFTKSSDADAIRMAVRSLRKEARSWFNEMSTGEDGIGQDAIEAKASAWYHVTYHPQYWGSYNEGYDRPHLISFPWCVYDRLLCIKQRRNFLRKMEPDLASLLNNMNQNLRFH >PVH66930 pep chromosome:PHallii_v3.1:1:54965718:54976890:-1 gene:PAHAL_1G387400 transcript:PVH66930 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, Regulation of endogenous genes through smRNA-mediated pathways involving DNA methylatio [Source: Projected from Oryza sativa (Os02g0736200)] MGRTIQVSGFALTDSAEYVKDFLERIAGAGTIYALKLRHPKNITATSKAFAIVQFQTQESASLVENAAQRNVLRSGRFYLKVRPADRDIVPRPRIAMFSLDDTVLHLGCLVKENILSGLFSARNVSVQFGFDMKKMYFYLSYNLIKYKLELSYESIWEMQLHRPPAYRSRTKFLLFQVQAAPKIYELLPRCSDLMYEDPFFNWFRDDTDEQWTRTIDFTPSASIGQSSILCLEVPQQCELPNIADYFVYYKEHNLDFECQKGYSYSCGNYFVPIVKSPEYIEVPYEILFKVNNLVQNGTLSGPTVDDNFFHYVSPKVIPIDHIKRALLKMSYLRSTCLNPTNWLSVQYSRIRKLRYALQRSSNISLDDGLVYVHRVQVTPAKVYFYGPEINVSNRVVRHFSADLDNFLRISFVDEDCEKLRSVDLSPRSASGNDARRTALYNRVLSVLSNGISIGDKHFEFLAFSSSQLRDNSAWMFASRPGLTASDIRKWMGDFRNIRNVAKYAARLGQSFSSSTETLKVHKYEVEEIPDITNGTKYIFSDGVGKISANFALEVAMKCKLKRFAPSVFQIRYGGYKGVVAVDPRSNRKLSLRKSMSKFQSENITLDVLAYSKYQPCFLNRQLITLLSTLGVSGNVFELKQEEAIRQLNRMVTEPQAAIEAIELMPMGEVTNVVKELLSCGYQPDHEPYLSMLLQTFRASKLLELKTKSRIFIPQGRAMMGCLDETRTLKYGQVFVQASYCADDHGKFVLTGKVVVAKNPCLHPGDVRVLHAVDVPDLHHMFDCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDQSLIPCRMRDPMDYTPAPAETLDHDVTIEEIEEYFTNYIVNESLGIIANAHVVFADKEPLKAESLPCIELAKLFSVAVDFPKTGVPALIPPELRVKEYPDFMEKLDKVTYESSGVIGKLYREIKKHTPHIKHFTRDVARRSYDTDLIVDGYKDYISEAIEFKEEYDFKLCNLMDHYGIKSEAEIISGCILKMAKNFTKSSDADAIRMAVRSLRKEARSWFNEMSTGEDGIGQDAIEAKASAWYHVTYHPQYWGSYNEGYDRPHLISFPWCVYDRLLCIKQRRNFLRKMEPDLASLLNNMNQNLRFH >PAN08099 pep chromosome:PHallii_v3.1:1:54965718:54976887:-1 gene:PAHAL_1G387400 transcript:PAN08099 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, Regulation of endogenous genes through smRNA-mediated pathways involving DNA methylatio [Source: Projected from Oryza sativa (Os02g0736200)] MGRTIQVSGFALTDSAEYVKDFLERIAGAGTIYALKLRHPKNITATSKAFAIVQFQTQESASLVENAAQRNVLRSGRFYLKVRPADRDIVPRPRIAMFSLDDTVLHLGCLVKENILSGLFSARNVSVQFGFDMKKMYFYLSYNLIKYKLELSYESIWEMQLHRPPAYRSRTKFLLFQVQAAPKIYELLPRCSDLMYEDPFFNWFRDDTDEQWTRTIDFTPSASIGQSSILCLEVPQQCELPNIADYFVYYKEHNLDFECQKGYSYSCGNYFVPIVKSPEYIEVPYEILFKVNNLVQNGTLSGPTVDDNFFHYVSPKVIPIDHIKRALLKMSYLRSTCLNPTNWLSVQYSRIRKLRYALQRSSNISLDDGLVYVHRVQVTPAKVYFYGPEINVSNRVVRHFSADLDNFLRISFVDEDCEKLRSVDLSPRSASGNDARRTALYNRVLSVLSNGISIGDKHFEFLAFSSSQLRDNSAWMFASRPGLTASDIRKWMGDFRNIRNVAKYAARLGQSFSSSTETLKVHKYEVEEIPDITNGTKYIFSDGVGKISANFALEVAMKCKLKRFAPSVFQIRYGGYKGVVAVDPRSNRKLSLRKSMSKFQSENITLDVLAYSKYQPCFLNRQLITLLSTLGVSGNVFELKQEEAIRQLNRMVTEPQAAIEAIELMPMGEVTNVVKELLSCGYQPDHEPYLSMLLQTFRASKLLELKTKSRIFIPQGRAMMGCLDETRTLKYGQVFVQASYCADDHGKFVLTGKVVVAKNPCLHPGDVRVLHAVDVPDLHHMFDCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDQSLIPCRMRDPMDYTPAPAETLDHDVTIEEIEEYFTNYIVNESLGIIANAHVVFADKEPLKAESLPCIELAKLFSVAVDFPKTGVPALIPPELRVKEYPDFMEKLDKVTYESSGVIGKLYREIKKHTPHIKHFTRDVARRSYDTDLIVDGYKDYISEAIEFKEEYDFKLCNLMDHYGIKSEAEIISGCILKMAKNFTKSSDADAIRMAVRSLRKEARSWFNEMSTGEDGIGQDAIEAKASAWYHVTYHPQYWGSYNEGYDRPHLISFPWCVYDRLLCIKQRRNFLRKMEPDLASLLNNMNQNLRFH >PVH66676 pep chromosome:PHallii_v3.1:1:50085968:50086303:-1 gene:PAHAL_1G313100 transcript:PVH66676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRDESCRPPNGRGPAGGGKSLRRGLFAKIGNILPFQRAGGLPRDLPPAQRAGRSGPPAVPTGGRSPEGHPALPAGGTFWRGASRPPTGGRSPGAATRPSNGRDVSPPPI >PVH66837 pep chromosome:PHallii_v3.1:1:53411738:53412010:1 gene:PAHAL_1G364000 transcript:PVH66837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKEPNHHSLLFLRCCSAQGKETRDANLCQISETKMNQESSYLKDQEQNHVVQPPTVGGPNEGQKQEL >PAN09031 pep chromosome:PHallii_v3.1:1:59366229:59367892:1 gene:PAHAL_1G453200 transcript:PAN09031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLYPPPARATPVDAAAFVLSRLPHHPATAPAFVDAATGGTLSFSALRRAALSLASGLRLGLGLRRGDAVLVLSRNSLLLPQILLGVLAAGAVVVAADPDATPAEIAAAAHASGAVMIVAEPEAAGKVAGVGVPLLLTSQSLDPRTLSAEELIYGGDPTAAEPTTVEPSHDDRAFLAYSSSTSRTTVAMTHADLVAAVAGVGLPPQDDERRVCLASLPMCSAHGLPLIALGLPAARVTTVLVAPPSDPRAAREAVAAHGATDVVAAPEAAAALAGPMALDVGKLSSLRRVMVAPAPFTPEARQEFRRRLPWVDLTELSSGTPAASEQVLLGGAAAAVLMLPQTDAAVIQHNSQPAEQMSATTDEANSPVPPLKKIQKIILGDIFSRSTASKNLRKHPVTGNRQAVSKL >PVH66728 pep chromosome:PHallii_v3.1:1:51217225:51218411:1 gene:PAHAL_1G330800 transcript:PVH66728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLRPLVLALLIASCAAQQAPPAQPPQTPNAPPQAPPAGNPPPAPQAPPAGNPPPAPTNPPPAPTTPPPAPTTPPPAPTTPPPAPMTPPPAPTTPPASPPPAPTTPPPSPPASPPPAPATPPPSPPMAPPPATPPPPATPPPAAPTPAPSTAPTLPPVATPAASPKSPKTPSPAAATSPAPALSPAGTPTTEDSGASAARAAAFATVVALAGAGLAVLL >PVH66317 pep chromosome:PHallii_v3.1:1:33070449:33071198:1 gene:PAHAL_1G205500 transcript:PVH66317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWLPIEVNVMGYRIVDTIEAAALEAIYHFCVQHPKEVAGKPIGLFSTTDPDEPKWNLRIVPESHRLDGPPEEAFQGMMRFMNVQYHYHLLLRRELGRAIYIARGHYREADRQNTQVDQLQTLVIQKDEIIAARDETIHHREDQINESNHIITQRDTVIEFLQAQIHDLILAVDDAQAQIEELQQPPIPPVAPAVPEAEEEDLEEIEGVSELDSEHGDPILSPHHSSSGSQSSIGNFDDF >PAN09101 pep chromosome:PHallii_v3.1:1:59672039:59674945:-1 gene:PAHAL_1G458800 transcript:PAN09101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGAATSLATPTAPRRRVVPFRATASPPALDRRRRPQNVPGEFFVDHRCIDCQTCRWMAPEVFKRVDGQAAVAAQPSSQEERTKALQALLSCPTSSIHTDKPPKDILQVHNMFPLPVDVDQNLLPGVYLCGYHSEHSFGATSYLVTHPQGNILIDSPRYTPRLADNIERLGGALYMFLTHIDDVADHRKWAERLKCERIIHSGDIEEGTADVEWKLDGNGPWNIGTDFELIHTPGHTQGSVCLYYKPLKVLFTGDHVAKSEESDDLNLFLIYSKQSVSLQLDSIRKLLGVEFEWLLPGHGYRIKYKDVQAKNAAMEFLLANYTS >PVH65745 pep chromosome:PHallii_v3.1:1:4666433:4670038:-1 gene:PAHAL_1G068300 transcript:PVH65745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSETGKSPYQKKKRKEKKRKNGEEAGGPGEPTPATSCELAFTGPTLWAGAGPCQSPIIIRPKATPGSDPRRGTERRRGKLPPAMASSNPAVAEAAGQRDELSESLAELFSNVSVMVRGELQGTNNQLALLEKMNDRVAQEYSNYGDVAAGLRVFVEQLNEKNRGFEEYVSQIDAIDQQVTEFEAVVSMLDKHVALLEKKVKSAYHISPSTQ >PVH66501 pep chromosome:PHallii_v3.1:1:46836202:46836742:1 gene:PAHAL_1G264500 transcript:PVH66501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRHIRQDAAAAPCCCSCSTSIGLAHFVSLPFPVPVRKRPSRVPGVARPCPILH >PAN07772 pep chromosome:PHallii_v3.1:1:53279039:53281678:1 gene:PAHAL_1G361500 transcript:PAN07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLLLLVPPVGLLAALAFLARPRAARVPLKGRHVLITGGSSGIGLAMATAAAREGARVSILARNLARLEEARAAIQRESGRGDVGVLAADVRDADAVARALREAGPVDVLVCNHGVFVPEELERQDMEEIKWMVDINLMGTFHLIKAALPAMKARTRETRLPGSIAIMSSQAGQVGIYGYTAYSASKFALRGLGEALQHEVITDNIHVSLIFPPDTETPGLEEEHKRRPELTNIIAGSSGGMKANDVAKKALDGIKSGKFIVPCNFEGAMLAVATAGLSPQSSPVMAFLEVIGAGLMRFAALCFQWNWFSTIESYYAKNKKRE >PAN08512 pep chromosome:PHallii_v3.1:1:56886563:56889185:-1 gene:PAHAL_1G416900 transcript:PAN08512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHEREANQNQGTNTQSPPPLICTLPKSSIALSSLFSLLSPLPLPLVLRPSMRIRRRPPGQPLAYLLHSDPPAPQPPRGASGDHQDRPAGDKREEEGLHLHPSAAADLGGDRSSAARRPALLPLLPQDGVVERGRGLGAQQQGPADGHRSLENGRHRVLEPVIKAGERLNLSNGGDRLALAIVTTTTTMVAKDGGGGSSKKPRGPGVLLEGSRCSRKNGRGWRCSQPTMVGYALCQYHLGKGRMRSAAAAAAARAAAGQLGRTENGKKAPAAAAAATATITATAAPPKAADVPPASVQHC >PAN06945 pep chromosome:PHallii_v3.1:1:49154917:49163460:-1 gene:PAHAL_1G300300 transcript:PAN06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIARRRKYLLEHVNTPILSSSSTFQHGRIGLEAEPRTSQQFLDQSSGDSKSEKGQYSVNLTKRNLAGLANGFLRRPAHGISLSYCGIGNNDFGLPLRARSMLQSFHTSSTATAGQPKLDIDSEHSEDQKQNTKKKKASPEECDQAVEGLSTVKAKAKAKQVPESLKASQSVVQKFWARLLGIGPALRAIASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERRQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGEHVSNDEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDNYLRFMLRKKLQDIKKDDKMIQAEGVESLSEEELRQACRERGLLGLLSTEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKEPDGASEDLALKEMTEATAREEEELQKEKQHDKEKLCNISQALAVLASASSVSKERQEFLGLVNKEIELYNSMLEKEGTEGEEEVKRAYIAAREESDHQAEEAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKIHVEDIVKLASQTENNEEEEEARQ >PAN06946 pep chromosome:PHallii_v3.1:1:49154916:49162541:-1 gene:PAHAL_1G300300 transcript:PAN06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIARRRKYLLEHVNTPILSSSSTFQHGRIGLEAEPRTSQQFLDQSSGDSKSEKGQYSVNLTKRNLAGLANGFLRRPAHGISLSYCGIGNNDFGLPLRARSMLQSFHTSSTATAGQPKLDIDSEHSEDQKQNTKKKKASPEECDQAVEGLSTVKAKAKAKQVPESLKASQSVVQKFWARLLGIGPALRAIASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERRQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGEHVSNDEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDNYLRFMLRKKLQDIKKDDKMIQAEGVESLSEEELRQACRERGLLGLLSTEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKEPDGASEDLALKEMTEATAREEEELQKEKQHDKEKLCNISQALAVLASASSVSKERQEFLGLVNKEIELYNSMLEKEGTEGEEEVKRAYIAAREESDHQAEEAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKIHVEDIVKLASQTENNEEEEEARQ >PAN06947 pep chromosome:PHallii_v3.1:1:49154893:49163541:-1 gene:PAHAL_1G300300 transcript:PAN06947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIARRRKYLLEHVNTPILSSSSTFQHGRIGLEAEPRTSQQFLDQSSGDSKSEKGQYSVNLTKRNLAGLANGFLRRPAHGISLSYCGIGNNDFGLPLRARSMLQSFHTSSTATAGQPKLDIDSEHSEDQKQNTKKKKASPEECDQAVEGLSTVKAKAKAKQVPESLKASQSVVQKFWARLLGIGPALRAIASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERRQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGEHVSNDEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDNYLRFMLRKKLQDIKKDDKMIQAEGVESLSEEELRQACRERGLLGLLSTEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKEPDGASEDLALKEMTEATAREEEELQKEKQHDKEKLCNISQALAVLASASSVSKERQEFLGLVNKEIELYNSMLEKEGTEGEEEVKRAYIAAREESDHQAEEAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKIHVEDIVKLASQTENNEEEEEARQ >PVH66708 pep chromosome:PHallii_v3.1:1:50749607:50755876:1 gene:PAHAL_1G323300 transcript:PVH66708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEEEVEEQEGEEEELFYESLDRILSSSGSSTSASDDDGADRPRRRRGCDASAAALDLWTSQPAPVQERRCRLLQLLGLAGDPSLARFGAGRSASDAAAGSLPASPVSRSRSGGAALGYAAKPPLGSGRLRPSLSDASDAALEAVEEDPRCLIRNLDDGSEYVVREEFCLREVGTGRQLTVEELGRSPIVLELMRRQAFSTPNSNCNSNSQSGASTPIERSSSSSSNGGARSRRRSSWLRSIRCVAGSIATHSRDRRSSDEKDTSSEKGGHHSGSATDGSQDCVPRHGPAHVKVRQYGKSYKELSGLFTTQEIQAHNGSIWSIKFSPDGRYLASAGEDCVIHVWEVLEFERVGKEKEVEENGVCNPVAMVCNGSSELIVSSAAPSGSHWEKTLRAKLLHSGGSVSSDRLVLPEYVFALSEKPVITFAGHSDDVLDLSWSKSQYLLSSSMDKTVRLWHMSSTYCLKTFSHTDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPKREIVDWVDLHEMVTAACYTPDGKGALIGSHKGSCHLYDTSDDMLCYKKRIDLQNKKRKSRQKKITGFQFVPGSSSKVIITSADSKIRVLDGFELVHRFKGFRNTSSQISACLAGNGRYIISASEDSHVYMWRNNDDFEPSRKKGTVSVTNTHEHFHCKSVTVAVTWPFTSTTMASNKQEELDYGSENDHILQTKPAKAQEMPDVKYQSTNIASNNSNHNGDRTSVTWPEELITPAKQSHRPTTCLADEGDQAPNRSAWGLVIVTAGRGGQIRTFQNFGFPVRV >PAN08120 pep chromosome:PHallii_v3.1:1:55126601:55128023:-1 gene:PAHAL_1G389500 transcript:PAN08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVARQGRELQRYSQRTGGRIVVGCIPYRVRCDGELEVLVITSQKGHGMMFPKGGWEEDESMDEAARREALEEAGVLGDTEPVLGFWHYKSRRYVDQTYEGFMFPLRVADELHQWPEMASRKRTWATVNQVMDGCSHWWMREALEKLVARHAMAMLQSAL >PAN06389 pep chromosome:PHallii_v3.1:1:46514908:46515641:1 gene:PAHAL_1G260800 transcript:PAN06389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PVH67024 pep chromosome:PHallii_v3.1:1:56666309:56667955:1 gene:PAHAL_1G412800 transcript:PVH67024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCSKPDKRSKDNKNKHRHDSSDDEEEERRNKNKKFRKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESFVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVARLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHYQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGMVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH65664 pep chromosome:PHallii_v3.1:1:3312750:3312965:1 gene:PAHAL_1G047900 transcript:PVH65664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKSEVHNLLSMFINISVLPYWTIQAYSSLKLLVIICTDPGNCSVALALLPVIPMPHGATYIEIQQLPYI >PVH66416 pep chromosome:PHallii_v3.1:1:44015632:44021653:-1 gene:PAHAL_1G238500 transcript:PVH66416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASTAAAALASPAALSVSPARRGLVSFAAPALRSGPGSRAVALSGVTTHVAAAEQAVVPDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGEAITFGGDVSKEADVESMIKTAVDTWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNAGQANYAAAKAGVIGFTKTVAREYASRNINANVIAPGFIASDMTAELGEELEKKILSNIPLGRYGRPEDVAGLVEFLALSPAASYITGQVLTIDGGMVINFSSI >PAN07562 pep chromosome:PHallii_v3.1:1:52136209:52140321:-1 gene:PAHAL_1G345500 transcript:PAN07562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRLAATKLHIPPSAARRPTFLPFVAVLLLCSASYLLGVWQHGGFASPSESPAVSIATAVACTTAAAPATRKKAPSARARSRSSPSQPSRALDFSAHHAAAADEALTSAASSGSSSSSSSASPRRYPACPVRYSEYTPCEDVERSLRYPRDRLVYRERHCPASERERLRCLVPAPRGYRTPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPNGADAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWQLYDGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWTKVKEAGDIAVWQKPYNHAGCKASRKAKSPPFCSGKNPDAAWYDKMEACITPLPEVSGASDVAGGAVKKWPQRLTAVPPRVSRGGIRGVTTKSFAQDTELWRRRVRHYKSVISQFEKKGRYRNVLDMNARLGGFAAALAAAGDPLWVMNMVPTVGNTTTLGAIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDRILLEMDRVLRPRGTVIIRDDVDMLVKVKSVADGMRWDSQIVDHEDGPLVREKILLVVKKYWTAQDQDQ >PAN07704 pep chromosome:PHallii_v3.1:1:52880484:52883182:-1 gene:PAHAL_1G356300 transcript:PAN07704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPRSEIYPTIEYRPIQPSDLEALEKIHLALFPIRYEREFFLNVVNGHGIVSWGAVDTSRSDDRRDEIIGFVTTRMIAAKDSEIEDLFRYNSSRKDLTLVYILTLGVVDSYRNLGIASSLVREVVKYAASISNCRGVYLHVISYNQPAISFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRSPCSPLEIVTSFVVDFRAFVKMLVAKFWSKEDHCNPRWTRCQESNTLLAPQSNKRIISGDNTRCHV >PAN07703 pep chromosome:PHallii_v3.1:1:52880185:52883718:-1 gene:PAHAL_1G356300 transcript:PAN07703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPRSEIYPTIEYRPIQPSDLEALEKIHLALFPIRYEREFFLNVVNGHGIVSWGAVDTSRSDDRRDEIIGFVTTRMIAAKDSEIEDLFRYNSSRKDLTLVYILTLGVVDSYRNLGIASSLVREVVKYAASISNCRGVYLHVISYNQPAISFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRSPCSPLAFVKMLVAKFWSKEDHCNPRWTRCQESNTLLAPQSNKRIISGDNTRCHV >PVH66991 pep chromosome:PHallii_v3.1:1:55852800:55855961:-1 gene:PAHAL_1G401800 transcript:PVH66991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEGSDAQPPPPPHAEAAEQPPPVPMDQDEGRGAAAEPMEDGETAAADASEAADPMEDGETAGDSTAAAEPMEDDAPTSSPTPSAPSATAAVDDSTIARKRRRRKKQFPGMIPTAGVRVLRGSSSSSAHTAAHLTGVPRRRGRPPTSSSLRLARELDSEALIALAAGFPADSLSEDEIVAAVLPRIGGAEQANYLVVRNHIVALWRSNPLSPVAPNAALASIRAEHALLVAAAHSFLSEHAYINFGLAPAVLSLPPRSPPSFPPPSVLIIGAGLAGLGAARHLIALGFKVAIIEGRLRPGGRVFTKTMRSSAAEYPDTVAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVIADGVPHGVDLSLGMALEAFRAAHGVAAEHEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVRALADGIPIFYGQNVRRVQYGRDGVMVHTDKQSFRGDMVLCTVPLGVLKKGDIKFVPELPAQKKEAIQRLGFGLLNKVVMLFPYDFWDGRIDTFGHLTEDSCQRGEFFLFYSYSSVSGGPLLIALVAGESAVKFEEASPMENVEKVLETLRKIFSPKGIEIPNPLQAICTRWGTDRFTYGSYSYVAIGASGDDYDILAESVHDRVFFAGEATNRRYPATMHGALLSGYREAANILRAARRRAKKVDSPEKMDINIEVKVDVNGEVKDNVKDSNIRLDDLFRSPDDAFGGFSVLHDPSTSEPDSISLLRVGIGARKLGSGSLFLYGLIMRKNVPVLAAIEGDEQRLSTMYRDFGTKLVGLDSLGDAGESLISRIKAAYRK >PVH65504 pep chromosome:PHallii_v3.1:1:956339:959180:1 gene:PAHAL_1G009800 transcript:PVH65504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGGRSTAARALEPLVVVRRRREDMTGGSHNQDKYLGVTMADRPPLPSGLPARLDVTIVLLTLMKSPIYIPYSCTIS >PAN04236 pep chromosome:PHallii_v3.1:1:3457672:3461245:1 gene:PAHAL_1G051000 transcript:PAN04236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase IMPL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31190) UniProtKB/Swiss-Prot;Acc:Q94F00] MARYLLAPTSTSSALLPKDAAKNSPPSRTLRLPVLGSRSGNARPIMAVASEQTATPAKYPKVAAPTTGPIPAAELLGVIEAAAKAGADVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVTKNFKNHLILGEEGGLIGDSLSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRTISASSGGGAYCNGQRIHVSQTDKVEQSLLVTGFGYEHDDAWTTNINLFKEFTDISRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVTRMDGGAFTVFDRSVLVSNGLVHGQLLDWIGPPTEDLKKKGIDFSLWFKPDKYPTDF >PVH66640 pep chromosome:PHallii_v3.1:1:49338831:49340652:1 gene:PAHAL_1G303500 transcript:PVH66640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYQNVVGGRLKLKGKALDVKEGGVKKKKKKQQHREESSQIEHDELHEGGSSELPTDPNSELTEADKMGEEEGNPHPDYDHLTPAERRYMEQKQKIDMQKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >PAN04763 pep chromosome:PHallii_v3.1:1:6289223:6291196:1 gene:PAHAL_1G089500 transcript:PAN04763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARFVALALITSFLSVAAGQLRPLPAAGLPGDIFNLGIASRLRTDRNTTAKASTDFGRMVRAAPEAVFHPRTPADIAELIRFSASSPAPFPVAPRGQGHSWRGQSLAPGGVVVDMRSMGRGHRAARINVSAAAGAEPYVDAGGEQLWVDVLSAALRHGLAPRVWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANAHELDVITGTGEMVTCSRDKNSDLFFAALGGLGQFGVITRARIRLEPAPKRVRWVRLAYSDIAMFTKDQEFLISNQASEAGFNYVEGQVQLNRSFIEGPKSTPFFSTTDLSRLAGLASRTDSAAIYYIEGAMYYNEDATISVDQKMEALLDQLNFEPGFVFTKDATYVQFLDRVREEESALRSAGVWEVPHPWLNLFIPRSRILDFDAGVFKGLLRDANPAGIILMYPMNKDRWDDRMTAMTPTADEDVFYAVSLLWSALSADDVEQLERANKSVLDLCAKTGMECKQYLPHHTSQEGWQQHFGAKWGKVAELKAKYDPQTILSRGHKIFPSRAAADGVATA >PAN04114 pep chromosome:PHallii_v3.1:1:2968818:2973121:1 gene:PAHAL_1G043300 transcript:PAN04114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSLLNSKFYNKCKHAVKCTRTRLDLLRRKKQAMVKFLKKDVADLLFNGLESHAFARMEGLIVEMNQASCYDMIEQYCEYIVKQLNNLQKESECPQEALEAVSTLIFSAARFPDLPELCDLRHIFTERYGSSVEPFVNSEFVQKLQNKSFTNEEKLQVMKSIAEEFSVPFDSKALEWKITCGPQNKHDLPKKSSVKREVEASARNGHKVDRHAVNERKSNPVAENYGQKQEMKTKPKDIHVIPDGIGQLGEKSRKNYSDKPSEKRHMDNSLPPLDVKERNGQKEMKKYEKKDDHLRRELRNAEELDLNGLKKQDGGLVKPPSGTEHSWGHADLGLKTLGLEKHEIDSSCTLNGKTVNKAPPYSKPYRATGEMSAEGNSNSLYDRRKHEGEFGQSMRDRQHVPEKAASMRPPYVKPKFEKHTGAEEIGHPKGEPVYDPVSVRSRHAKPPAHADDYARMAYEEKMADQAPDGRRRHSSRRNGAYDDYDQKVGHVLPLEVMGGNDDINNARPFHRIPSERRKHRSRRHGSTSGSDYNGAIDDHESDEDEANTAIDFGNLLPRAPSSHRKHRSRSADPRKGGRDDEERMMDKLLMHYSKKGLDREERKERVKSRIPRPRADRPADGAAELSNKEGVSANRPERALSLPSESASPKAKPKAPVRSMSMQPEISRGNVHPPDFDELAARISALRNT >PAN07649 pep chromosome:PHallii_v3.1:1:52591474:52595486:-1 gene:PAHAL_1G352400 transcript:PAN07649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNLLFHDRGEQERKEMDMPRPPQLAGVSPAAVYFSSGGASGNRRKRPREAMAPPPPPPPAKEDYVNLFALQPQQSTSFVNMAHFQNQNRVSLSPSPAATALVSTGLRLAFDEQQQQQQQQQQESKQMNALRCSSSPSLFASVSDELAAQVKQHDDEIDRFIREQGEQLRRAMADRLRRHNRAILVKADQSAARRLREKAAEAEREARRGAELEERLARLRGEAAAWQAKALSEQAAAVTLHAQLQQAAAAARASVEELAAAGDAGPAESSSSAYVDPRRAGPSSDRACLGCRLRPASVVLLPCRHLSLCGECFAAGDADVAMACPVCLCVRTGSVEAILC >PAN04977 pep chromosome:PHallii_v3.1:1:7881926:7882591:-1 gene:PAHAL_1G105900 transcript:PAN04977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCSDCLFAATVLAGVNAVCVGGTGFLIYTLVRLARTPRSSAGGIAGVSIFLLIWVYYSARMYPSLCGTFFSCSEFGGCMASIFHALLPCMRGVGWLLGLPCQCARAARARLWRPGRGGGGGSALPRSAIDALPREPPARGGARVAGAADIPAYAQRGAGDAAACAVCLGEVEEGEMVKRLPVCLHMFHQYCIDPWLLSGKSTCPVCRCDVFAPLPAEMV >PAN05295 pep chromosome:PHallii_v3.1:1:10777691:10778172:1 gene:PAHAL_1G129700 transcript:PAN05295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGEQRWRVPLCLESGTCELYRGEQFMRSTLSHWMLHTLSAFDCSFEGQAQVEGWG >PAN08746 pep chromosome:PHallii_v3.1:1:58161625:58165694:1 gene:PAHAL_1G433800 transcript:PAN08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVEGVEDAGRLDQDIDKHAGFAMERVCENTVSVDFRQNFVPFIRSGEWSDIGGRDYMEDAHVCISDLAKNFGYNAADDEVISFYGVFDGHGGKDAAHYVRDNLPRIIVEDADFPLELEKVVRRSFVQTDSKFAETFSHHKGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRPCCINERKRVESLGGYVDDGYLNGQLAVTRALGDWHLDGMKEMGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDFFSNQNAVDFARRKLQDHNDLRLCCREIVDEAIRRGASDNLTAVMVSFHQEAPPQTRVNRTGRVARSISAEGLHNLRVLLEGQ >PAN03625 pep chromosome:PHallii_v3.1:1:1042626:1046623:-1 gene:PAHAL_1G011200 transcript:PAN03625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVRGSAFLLAVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGGNKVFSPEEISAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTIGDKDKLADKLESEEKEKVEEALKEALEWLDDNQSAEKDDFEEKLKEVEAVCNPIISAVYQRSGGAPGGGADGEGGVDDDHDEL >PVH66901 pep chromosome:PHallii_v3.1:1:54351956:54352453:-1 gene:PAHAL_1G379100 transcript:PVH66901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKLEDGSPSWFSPAALASWLARFCSGSIGGGDDHDDEPAAGGNDDRPMAQMAAAAAKYLTYSPHKIKLA >PAN06733 pep chromosome:PHallii_v3.1:1:48179343:48183096:-1 gene:PAHAL_1G284300 transcript:PAN06733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPPAPQSPAALIAHLAAVLSSPDWRFHPSLPHLPALLAPSLPRTLVVPLPLRLAAAVARAAAPSRHLLDISLPVVLRLHALSPPPLRPLFDRPFRSLLAHFSRFALTPLMLRLFAHMHRHAPPAPTGATYNALIRALCRRADLRRAQRYLSLMVRSGWRPDAFTFNSLIVGYCRTQQVEVAQDLFNKMPLRGFRQDMVSYAALIEGLCETGRIDQALELFREMEQPDMHTYVALVKGLCDAGRGEEGLCILQKIKELGWRPNTRAYTALVDLWCRERKVDEAEKMLEEMFDKGLAPSVVTCTAVVNAYCREGRMSRAVRVFESMKLKGCEPNVWTYNALVQGFCNGRKVYKAMALLDKMRACGVEPDVVTYNLLIRGQCIDGHIESAFRLLRLMEGDGLSADKYTCNALIAALCKDGKTNEACSLFDGLEGRGIRPNSVTFNTLIDGLCKAGKVDVAQTFLEKMISAGCAPDTYTYSPFIENLCKTKGWQEGLYFIDEMLQKDLKPSTVNYTIVIDKLFKERNYGLATRMWEQMVSLGCSPDVVTYTTSVRAYCNEGRLDEAENVVMEMNKSGVTIDAMAYNTLMDGYASIGQTDHAVTILNHMKSVASMPNHFTFLILLRHLLQMRLAEHVPLKATRVWKTIELADVFELFGRMKKNGVPSSARAYLAILEGFSEDRRLDEVTSLVSRMKEDNLPLNEDIYTALVNCFCKLRMYPDAWALLCSMIGHGFLPNLLSYQNLLSGLTAEGQADRAKEIFRDSRWKDYNPDEIVWKVIIDGLIRKGHSDMCHDMISILEQMNCKPRDETYAMLTEELSTRE >PVH66274 pep chromosome:PHallii_v3.1:1:28789220:28791671:-1 gene:PAHAL_1G192200 transcript:PVH66274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVCWSKIAHPSSIIILLVVAVALPVAPIAHQSDELMTCTRYGEPRRTYTKMKRQGVGEENLHAPGDSTGCRTRDRKSRPLRLRRGAYR >PAN06882 pep chromosome:PHallii_v3.1:1:48848638:48854573:1 gene:PAHAL_1G294400 transcript:PAN06882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFFFLREHKAAVPTSYDSKYSFFSFYLKEEGNNTIIMSGGSSESSPLSGQNSLSSLSSFKDLELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQAGEVHIFGYARSNLSDDGLRERIRGYLKGASDEHVSQFLQLIKYVSGSYDSGEGFELLNNAISEYETSKNNESGSYHRLFYLALPPSVYPSVCKMIRSYCMNPSSHTGWTRVIVEKPFGKDLDSSEKLSAELGKLFEEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFKEDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPDESNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDDGKLKSVSYKPGTRGPQEADEMSRRMGYMQTHGYIWVPPTLAKF >PAN06881 pep chromosome:PHallii_v3.1:1:48847942:48854573:1 gene:PAHAL_1G294400 transcript:PAN06881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSSESSPLSGQNSLSSLSSFKDLELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQAGEVHIFGYARSNLSDDGLRERIRGYLKGASDEHVSQFLQLIKYVSGSYDSGEGFELLNNAISEYETSKNNESGSYHRLFYLALPPSVYPSVCKMIRSYCMNPSSHTGWTRVIVEKPFGKDLDSSEKLSAELGKLFEEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFKEDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPDESNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDDGKLKSVSYKPGTRGPQEADEMSRRMGYMQTHGYIWVPPTLAKF >PAN07732 pep chromosome:PHallii_v3.1:1:53027937:53030631:-1 gene:PAHAL_1G358400 transcript:PAN07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31920 [Source:Projected from Arabidopsis thaliana (AT1G31920) UniProtKB/Swiss-Prot;Acc:Q9C6T2] MVGGLVLSQAQSHQVATPRTAAPATVPAQALEKRLREQAAPCGTAAAAVSARSVDDVRTAHARHIKLGLDRSPRHARPLLAACALSGWPGGMELAASIFESLDEPEAFDYNTLMRGHVSSGRGDRDPSAALRLYADMLEAGVEPDNYTFPFVLKACAQLAALQEGRQLQAHIVKLGFQHDEHVQNSLISFYGKCGEPAMARLAFDRTEAEDRTPASWSALLAAYTRAGLWGDCLESFGAMVREGWRPDESSMVSALSACAHLGAYDVGRSIHCALLRNATRLNTIMETSLVDMYAKCGCIEKAAAVFDAMDGKNAWAYSAMVSGLALHGDGRKALQVFDAMVREGQAPDAAVYVGVLNACSRAGLLEDGLRCFDRMRLEHKVAPNAQHYGCMVDLMARAGRLGDARALIGSMPTGPTGTAWRSLLNACRIHGDLGLAERALQELRRLGAANAGDYVIVADMHARAKNWDAAAALRTEAADGGLAPSPGFSAVEVRGEVHRFVSQDMSHPRRRDIYEMLHQMEWQLRFDGYEPDTSEVALAVGEEEKRRVAAAHSQKLAMAFGLLSTPEGTPVRVVTNLRMSKECHAYSALISEIFGREIVVRDRSRFHRFRRGACTCRDHW >PAN07353 pep chromosome:PHallii_v3.1:1:51116873:51119626:-1 gene:PAHAL_1G329100 transcript:PAN07353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADHADEIDKCEAGGDVGPEPEPGAASPAAAALEHQQPWREQLTVRGLVAAALIGSVYTVVVMKLNLTTGFVPTMNVSAALLAFLALRGWTGALARLGARSSSSSSSAARPFTRQENTVVQTCAVACYSLALCGFGSSTLGLNRRTYEAAGVSTPGNVPGSVKEPGVGWITGFLVASSFGGLLTLIPLRKVLVIDYKLTYPSGTATAVLINGFHSPQGDKNAEKQVRAFLKYFGISFLWSFFQWFYTGGSACGFAQFPTFGLQAWKQSFFFDFSMTYVGSGMICPHLVNLSTLLGAILSWGILWPLISKRKGDWYPADVPESSMTSLYGYKSFLCIALIMGDGLYHFVKVLGVTAKSLHERSKLRRSDNRVMLIKVTRTVERDAVADLDNAAAIDDLQRDEVFNRDSIPAWLAYAGYALMSVVAVVTIPMMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGKVALFVVAAWAGRDDGVVAGLVGCGLVKELVLISADLMHDFKTAHLTMTSPRSMLAAQAAGTAMGCVVTPLTFLLFYRAFDVGDPDGYWKAPYALIYRNMALLGVQGFSALPRHCLPLSVGFFALAVLANATRDVLPPRYGGYVPLPTAMAVPFLVGASFAIDMVVGTVVVFAWHWIDGSEAALLVPAVASGLICGDGIWTFPSSLLSLAKIKPPICMKFTSGS >PAN07354 pep chromosome:PHallii_v3.1:1:51116761:51119685:-1 gene:PAHAL_1G329100 transcript:PAN07354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADHADEIDKCEAGGDVGPEPEPGAASPAAAALEHQQPWREQLTVRGLVAAALIGSVYTVVVMKLNLTTGFVPTMNVSAALLAFLALRGWTGALARLGARSSSSSSSAARPFTRQENTVVQTCAVACYSLALCGFGSSTLGLNRRTYEAAGVSTPGNVPGSVKEPGVGWITGFLVASSFGGLLTLIPLRKVLVIDYKLTYPSGTATAVLINGFHSPQGDKNAEKQVRAFLKYFGISFLWSFFQWFYTGGSACGFAQFPTFGLQAWKQSFFFDFSMTYVGSGMICPHLVNLSTLLGAILSWGILWPLISKRKGDWYPADVPESSMTSLYGYKSFLCIALIMGDGLYHFVKVLGVTAKSLHERSKLRRSDNRVADLDNAAAIDDLQRDEVFNRDSIPAWLAYAGYALMSVVAVVTIPMMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGKVALFVVAAWAGRDDGVVAGLVGCGLVKELVLISADLMHDFKTAHLTMTSPRSMLAAQAAGTAMGCVVTPLTFLLFYRAFDVGDPDGYWKAPYALIYRNMALLGVQGFSALPRHCLPLSVGFFALAVLANATRDVLPPRYGGYVPLPTAMAVPFLVGASFAIDMVVGTVVVFAWHWIDGSEAALLVPAVASGLICGDGIWTFPSSLLSLAKIKPPICMKFTSGS >PAN07892 pep chromosome:PHallii_v3.1:1:53884075:53887453:1 gene:PAHAL_1G370700 transcript:PAN07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMAVRVRTAPPLAGTERRPSAAVRPLAFLSFAVASTGARGRVGRGLSATTVGSGSAARARAVPRGIVASSEVEQSYVMIKPDGVQRGLFGEIISRFEKKGFLLKGLKLFQCSTDLAQDLKDKPFFPTLIDYITSGPVVCMAWEGDGVVASARKLIGSNNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFEEGELCQWESVQTPWLVE >PVH66745 pep chromosome:PHallii_v3.1:1:51485792:51486421:1 gene:PAHAL_1G335200 transcript:PVH66745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PVH65949 pep chromosome:PHallii_v3.1:1:8421691:8422844:-1 gene:PAHAL_1G110300 transcript:PVH65949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGVQLRRATTLKPRSDEEATVKATPFLPMLVVVWDILVRLPAKALLRCRAVCRSWRDLTSGDDFLLAHHQHQPSLPLLSFGGEIDACRRNVDAALDAFDFRRRPAERRPVLRFSDYNRRGPSLMVPSTSATRPRASGPHTTSGGATFKPAVTSVKKIDDIGSPTPTARRLALFPTQRRFVFQFPIGVFSVLARTATTPHRGNRQLLRSCLLQPRP >PVH66746 pep chromosome:PHallii_v3.1:1:51501714:51503930:1 gene:PAHAL_1G335400 transcript:PVH66746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVMENQQPLARMPLVPAPPPPPPVLPQAYKHRCRVCKKGFMCGRALGGHMRAHGVAADGLSADDALDDDAAGPCGGGDSPEAGSASAAAATAKRMYALRTNPGRLKNCRVCENCGKEFTSWKSLLDHGRCNYDEEEGDLDGDAADDGEEGEDLALAAGWSKGKRTRRAKVMVVGNGSITTEEQLPAPSSEEEDLANFLVMLSSSASSAARPHVVVEVDQEPCARKNEQRNQLLVPQPIAMVAPAVPHLKLLAPPQVLPHHVSTVPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESNRTDPPQPVVASANDKVVGDAIPATVGTEQNTTGVDGSVEGNSVNAETGVVVMATAPPEMAGDEVPATSGAAPFKKKGKVHECSICHRVFMSGQALGGHKRCHWLTTGATDPTGAVAKLQPFVTQDHVMHAMCQQLTLGRPMFDASDPFLDLNVPTNPAEEPAATRQAAKLNDSVLSLNAPASLYMHSWAGHSNASNMNNTATSGHDDAAEAAATEDEADSTSAKRAKISDLKDMNMAGETSPWLQVGIGLPSEINEEKATQA >PAN05480 pep chromosome:PHallii_v3.1:1:27732439:27733499:-1 gene:PAHAL_1G188500 transcript:PAN05480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVFLLAAALLAAMSCFHATASDPSLLQDFCVVDKMSKVRLNGFPCKDANDVVAEDFFFSGLHMAGNTTNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPFGQNPPHTHPRGTEILTVLEGSLYVGFVTSNPDNKLFAKVLNKGDVFVFPEGLIHFQFNYGTKNAVALAALSGQNPGVITVANAVFGSKPSIQDYILAKAFQVDKMTVDRIQAQF >PVH67016 pep chromosome:PHallii_v3.1:1:56520504:56522103:1 gene:PAHAL_1G411100 transcript:PVH67016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAPAHHGGGTRHRTCRMYWCYQCARALRIISYPSTDVFCPRCFGRFLHEIDAPPRPAFPPPPHFLPHPFHPQHQYDGHPRRWVIYGGEPTTVPGRAFRQPAPAPAPSPAPAPPRRRVPSPPPPPVPRRPSTPPAIDPGNYFTGPNLNNLIEELTQNDRPGPAPAPSSAIDSLPTVRITEAHLSDGPQCPVCKEDFELGEAGAAELPRHHSDCIVPWLRLHNSCPVCRYQLPGGGSNGSSQQAAPRGGTGNRERDREPPTMVRWGPFSWLWPPRELDDPDDAWEHRRRGRQHDAADAGGNDMTALQSFVLMASCVFLFSFFV >PVH65897 pep chromosome:PHallii_v3.1:1:7360635:7360790:-1 gene:PAHAL_1G100700 transcript:PVH65897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSKSKCPCVKRVRITVRFSSGTKEDGAACHMAGRRRPFLAKGELPCHS >PAN08289 pep chromosome:PHallii_v3.1:1:55775416:55776949:1 gene:PAHAL_1G400500 transcript:PAN08289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRRSRTLGGSSDRAARRAVAAMKGGRGSNGAATDLLVFFPSRAHLALMPPKAICSPSRPSASEPAKRRHSTSRAGPAPPSGLYKSATARNPSRRAAADAPVDEEPSSPKVTCVGQIKARPAKPKGLGDGGKKTKKATWLQALGIKKEAMALLDALHGAFRFNVAGCFGSFPGAVGVGYTSGEDDDEEEGEERAENETEHGAALARWFMVLEEGNKVPAKKFQGQEPQQNQEEGKEEDAAPPANALMLMRCRSAPAKGLARRLEAEDSEDVKIAKKTPEEEKEKESLVLMTYSPDFFKVSLDIAKETWIVGSDDAVLRCRSWKR >PVH66586 pep chromosome:PHallii_v3.1:1:48441484:48441999:-1 gene:PAHAL_1G287800 transcript:PVH66586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELNAAASKPPQAAAESGSNNGQPARPEGRDAAKRRKTDGGPDSSASSTAFAVLQQMNDRCEESGQQQEAHMQKILGMKENKIALEEKMYDLHKQDAERRAMLKEEHLKLTKMDIEVRDKQSEAQLLTAEIGIMGADLEKLAPNVKAYYLAMQAEIMKRRGIRSPTSSDM >PAN06019 pep chromosome:PHallii_v3.1:1:25518801:25519736:1 gene:PAHAL_1G179400 transcript:PAN06019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVPEKLGGYTQYDDISITLSNLVYDSLSKDDFDKGWKNMIAQFGLHVNEWLGGLYDNRHLWAPAYVKDTFWAGMSTTQRSESVNAFFDGYVNSTTTLKQFVEQYDNALRDKVEKQNRSDCKSFQEVIPCITHYEFEKQFQAAYTNAKFKDFQDELRGKIYCYPHWVRNEGSNCTFKVREDKKVGEKMIVLEFIVLINEEEGDMRCECRRFEFRGILCRHILSTLPLVGIIEVPPKYILQRWRKDIKRKHTFIKCSYDDQLDTPVMKRYDNLCKRFSEVAENGSGSDTLYNLVIDGLNELKIKISAHQDN >PVH66068 pep chromosome:PHallii_v3.1:1:13737694:13738270:1 gene:PAHAL_1G140900 transcript:PVH66068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPPIAPQPPFLRVRDPFPRFFSAARATSWEPTSFSSAAAQGAAHAATTATCSCPAAQRRDASTTHAVRRRPAPSVARRRAHPSMEQRL >PAN07442 pep chromosome:PHallii_v3.1:1:51571624:51573264:-1 gene:PAHAL_1G336200 transcript:PAN07442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVRLRSCQPPPNTSQPPPTAISFGTWPRSSHLAVLRGRPCPRPRRARAPRVAAPDSRPLETIRADSVGQGTKLRGDKFFPVEMTVRDCELDHYGVVNNAVYADYIEKAREEVASSLGISRCSIASTGNAMAVSDLKLNYLAPLKRGARFVVMVRVEIKGARMLVEHFIETLPERKLVLEATATVVCLNKHYRPTRVFPEVASKVLRFFSS >PAN08461 pep chromosome:PHallii_v3.1:1:56782286:56785458:-1 gene:PAHAL_1G414900 transcript:PAN08461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRALLVAGILAAALPLLLLRPAEAGTVGVNYGRVANNLPNPAAVMQLLKQQGITQVKLYDTEPTVLRALANTGIKVVVALPNEQLAAAASRASYALLWVRRNVAAYYPATQIQGIAVGNEVFATAKNVTAQLVPAMVNVHAALARLNLDKSVKVSSPIALTALATSYPSSAGAFREDLAQPVMKPMLDFLAQTGSYLMVNAYPFFAYSGNAGDISLDYALFRPNAGVQDTGNGLKYYSLLDAQLDAVFAAVSRLGNYNGVRVVVSETGWPSKGDTNEVGASAANAAAYNGNLARRVLSGNTGTPLRPNADIDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGSGGGGAAGGGGLGWQENGGPTSGNPPSGVKVTTGEAWCVANAMVGEQRLQAALNYACGEGGANCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRSIGTCDFAGAAYVVNQAPKMGKCDLPSTV >PAN04906 pep chromosome:PHallii_v3.1:1:7353937:7356577:1 gene:PAHAL_1G100600 transcript:PAN04906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHQSTDGTDLAGRVREGVRNRGQGVQCDDGPAARVAEEEVAVAAVGDASPDRGRACRHRSGERRPRTPCRRGAQWRDRGRSGWRRRRMGLRTLRHRGNPLESAAEGRQGADGAEPRAAGERSADEGREAEEDLQEDVRDCGTEAGIVVAGGATASTANAHI >PVH66881 pep chromosome:PHallii_v3.1:1:54069459:54070494:1 gene:PAHAL_1G374400 transcript:PVH66881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWGGGAAPEQPESRARAAAADLCSARPVPPSDPRELPSTSVILRVRLLLTARATHGPSSSGDAARAEGGAARHRQDPRGPAPQGGGDLQDLCPSSGDTGAAHRAGIWRRPRSEREMTLKQPGMNMKRGLERPYVKLPSDEGGQAGRGGRAAAAGARHGLSCQDWIAGADDDADAVGS >PAN03696 pep chromosome:PHallii_v3.1:1:1274394:1278395:-1 gene:PAHAL_1G015800 transcript:PAN03696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILSDCSSARLLPLRRALLPQRLPRIRPCPALASPRRLLAAARPQLLPRPPRMDSVPAAAASSAESVTVSADASAKVIDGKLVAKQVREEIAVEVSRMKDAIGIVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEEEVIKHIASFNSDPSVHGILVQLPLPRHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSQTKNPEEITRQADIVIAAVGVANLVRGNWIKSGAAIIDVGINPVDDPESPRGYRLVGDVCYEEASKVAGAITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >PAN05933 pep chromosome:PHallii_v3.1:1:12777478:12778080:-1 gene:PAHAL_1G137400 transcript:PAN05933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELERPWRHARPPPCRLLLLRQRRPPAPCRWCSATTTSCARSSSASASPPPSSAPLWSVGAGCGTPPTRPSSAPSAPATHPASSVPTSPPRTAPLSLASSRRARCHRSPPPSHAARAPYSTPPPSAAPRRPSGTAAAAASSSRPTRRTTATPSPGTWSAARCPRRAGAAVVPPPAPPLPATRAWGQRRLPLPRVPPRRRR >PVH65520 pep chromosome:PHallii_v3.1:1:1184963:1187071:-1 gene:PAHAL_1G014200 transcript:PVH65520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFILVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGYAVVQPTYVWSNTSHFRLPVPVGGDEITTLGEALGTRIQWSKHRILIPPRTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQQQEKQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PAN04993 pep chromosome:PHallii_v3.1:1:8032231:8037303:1 gene:PAHAL_1G107600 transcript:PAN04993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHLALLSCLLVLLLSLDKFLLHYLKKRLFSGPRIPSGTSKSRRPMAAAAKWSETAMLVIDMQKDFVDPAMRSPMLVAGGEAVVPAVAEAVAVARERGIFLVWVVREHDPSGRDVELFRRHHYSGGKGPTVKGLKGAELADGLVIKEGEYKLVKTRFSAFFATHLDSVLKTAGIKNLVIVGVQTPNCIRQTVFDAVALDYEKVTVLIDATAAARPEIHLSNIRDMKNIGVETPTLEEWRR >PAN08729 pep chromosome:PHallii_v3.1:1:58112213:58115149:1 gene:PAHAL_1G433100 transcript:PAN08729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVLRSHDALNNRMHLDAFTPSPTKPRRRRSPKPAGASSPPPRAAAVASPAPKAAVVASPPVKAAAAGRRSPPARPAARKQPSPTKEKPKQRLVMEEVRILKRGEEPPAPAPAPAPVLAAPLANAAAAVDQRALCSTGRIGPQAPAVVPTKKIMADAAGYAGPAFAAAAPEPSSLPMPAFFLRRAESEATRGLRCLLRIGEVA >PVH66790 pep chromosome:PHallii_v3.1:1:52376448:52377307:1 gene:PAHAL_1G349000 transcript:PVH66790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAASTSTCPIKDRSQRRPAKRPADSVQVSSYLRQRQLPGGRRPEPARLHVCMQRLAWEITRSCWQICCLWTLDNDWWMFVLGSKNNLLAQNFARKRARKH >PVH67186 pep chromosome:PHallii_v3.1:1:58912160:58913944:1 gene:PAHAL_1G446100 transcript:PVH67186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLRRPATRRAGRALRAAKSTDPLRRTSRLWGRRRVPIRADDALRPGGAAAAGQGAAHALHAPRASGVAQLFGGRLDRVRCGRARALGDRGARSWRHALPTRGGRGA >PAN06227 pep chromosome:PHallii_v3.1:1:44967539:44970104:1 gene:PAHAL_1G247200 transcript:PAN06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCDCAEAMSSDAVAAARKGRLKQRYDNEFRLVAGCVPYRVKKDDEGNPCSSLGGAPAEVEVLMISTPNRADMVFPKGGWEDDEDVYEAASREAMEEAGVKGVINRAALGHWVFKSKSSQNSTSPRVACKGCIFAMEVTAELESWPEQETHSRRWVSPAEAYQLCRYDWMREALTALLERLSVIEPVAAAAATQELTDQTGMYMMLQASSDGAVALC >PAN05559 pep chromosome:PHallii_v3.1:1:19019912:19020911:-1 gene:PAHAL_1G157800 transcript:PAN05559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHTVLPLLLLLPFLYAAAADAAAPGPSPPGGELNLTSILESGGQYSTLLRLLQATRITEQLTSQLKNSYDGLTFFAPNDNAFTKLKIGTLNGLTDQQKIQLLLYHVLPRYYSVTTFQTASNPLPTEASGPGGMYSVNVTTTTTSHLVNMSTGVVDVPISSTLSAKFPLAVYSVDDVLLPEQLFGTSHKGKASAPAPAGQAGAAAGKASARKKGGVPKSDVAAVPVAAGEEAEDSENTAAGRGSAGWTTIAAFALIMAVVNLVGA >PAN06619 pep chromosome:PHallii_v3.1:1:47654944:47656950:-1 gene:PAHAL_1G276500 transcript:PAN06619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVLLAVAVAVVLVALSWRLIRPSLAAKPKLNLPPGPWMLPVIGSLHHLVSSPLLFRILRELAKKHGPLMTLRLGEVPALVASSVEAAQAILKVHDTSFADRFTPATFATVAYGGTDLILSPYGERWRQLRKIVVQEMLTTTRVQSFKHIRQEEVARFLQGMAASAAAGTAVDFSTAVSKLINDAFLRECVGSRCKYQDEYLDAVHTATQLASGITIADLYPSSRIMQMLGTAPRKGLACRRRFDRILKQIIQEAKEAMECGDKTAHESFVSLLLRLQKDGSMPIPLTNETIIALMFDMLAAGSDTSSTTLNWAMTELIRSPAAMARAQAEVREAFKGKSIITEDDIAKSGISFLKLVFKETLRLHPTSPLLIPRQCREACQVMGYDIPKGTAVFVNVWAIGRDPLYWDDAEEFKPERFETNNLDFRGTNFEFIPFGAGRRMCPGVNLGLANIELALASLLYHFDWTLPKGMEPKDVQMWEAVGIVASKATSLMLHPVTFIPPPAVA >PAN07424 pep chromosome:PHallii_v3.1:1:51449876:51451600:1 gene:PAHAL_1G334800 transcript:PAN07424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKTWALSRFVFAALAALACLLRPGAPVELHRKLSGWSGDAGATWYGAPNGAGSDGGACGYQNAVDLPPFASMIAAGSPSIFQSGKGCGSCYQVRCTRHAACSGSPVTVVLTDVCPGGACLKEPVHFDLSGTAFGAMAKPGQADQLRAAGRLTIQYTRVPCNWQGTEITFKVDAGSNPNYLAVLVEYESGDGDLRSVELMQSGGAAWAQMQQSWGAVWRYDSGAALRAPFSIRVTSGSGRTLVASDVIPAGWTPGATYRSVVNFNY >PAN05264 pep chromosome:PHallii_v3.1:1:10125308:10126969:1 gene:PAHAL_1G126200 transcript:PAN05264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGKQGAALLAVIFASALVGLAAGGNFYEECDATWEPQNCWAYDDGNRLSLALVSNSSGSMIRSKKQFVYGTVSTMIQLVPGDSAGTVTTYYTSSLGDNHDEIDYEFLGNVTGQPYTIHTNVYADGVGNKEMQFKPWFDPTADYHNYTISWAPCMIVWYIDGVPVRAFRNYEASHGVAFPTSQPMYAYSSIWAAEDWATQGGRVKADWSKAPFVASYHGIDLDVCECYGGGCIAGCAAGFGGSGRYCGLSAAQVGKMQWVQSNYRIYDYCADPKRWINGQKPVECDLAQY >PAN06941 pep chromosome:PHallii_v3.1:1:49134666:49136595:1 gene:PAHAL_1G299800 transcript:PAN06941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVVLVSAAVAVLGVAAAVLGFVAEGTKSKAFVSFDGQRCVYRRTPALVFGVVAALLALAALALATAASGCFGRRGAPATGRRSATAFRLSTVAWVLVAVAVVMFLYGAAMNRGGTRGLSTSRRGRYYRRTYYYGCAVLRNGIFSTASILSAAAAACAVTAYVYLQQMDAPAVPGQFAVPGVAMGQPQWAQPYPPPAYPPPMGYPAPPPYGGYGAKQPAGTA >PAN03763 pep chromosome:PHallii_v3.1:1:1489896:1490681:-1 gene:PAHAL_1G020200 transcript:PAN03763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIACRKRAATPFLDEVTPAPPHLAKRGRFSPCPGAAAAQRPPLAVDPLDALRRVFPDAGPGELEACFAASGRDVHATVEACRARQRQAREREATAARVASAAARADGGGMEECAGVLVEQMSAAADVADARGRASLILKLVESAVASRAAAAAEAQAAALREENAALKARAEELERDNGVLRCGVAAQHRRQEELERDNGVLKRGVATLHRRQEEAERAAEELKKKVAELAAANYALGVQARGADSCRFQVFRGPDVF >PVH66262 pep chromosome:PHallii_v3.1:1:27669383:27669835:-1 gene:PAHAL_1G188200 transcript:PVH66262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEIVEPRPTAGGATPQKRTLSYPISIAVLPYDLPASPRNPPSHSPTDDDHRGRRRRRRFNSPLDAPSRRALSSPPANSGPRGSVHARLGSPLAAAIHMAAEARQGHRFPAGSLVAAPCVAPESMCRAAQAFDATTTRAAVAAPEAPTQ >PVH65823 pep chromosome:PHallii_v3.1:1:5905189:5905416:-1 gene:PAHAL_1G085100 transcript:PVH65823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVERSAGGRHRRQWDSSESMSESSKIKLRFCVRQFFCRRLLSTCYCCQTLPKFPLAGGNIKCAGTSAAKRCCF >PAN05234 pep chromosome:PHallii_v3.1:1:9846102:9847386:1 gene:PAHAL_1G123600 transcript:PAN05234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEGGGLGFLCLSGYNAQLWMRKMDCDGISGWVLGRTIELDKLWLNSGEDFPPAIVGFAEEDNMSFLMIAIGGNIGGIMVKLDSTQFKKSFGIENVGIHHPFASVYTAGIGIGGGHAGAELLHNT >PVH65988 pep chromosome:PHallii_v3.1:1:9388208:9390668:-1 gene:PAHAL_1G119500 transcript:PVH65988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRFSVPRPQAPLARGGGAASPAITKAEGSSSKKVCGFGAVQLPRALVQRPMQQSASATAPGAPVAVDTDDVQQLLEEMPLRLVHFLISQVGNETCTLTCIANCPEHLY >PVH66767 pep chromosome:PHallii_v3.1:1:51889822:51890214:1 gene:PAHAL_1G342100 transcript:PVH66767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLRGNVRTRWLRDARKTDQQRSGGRSARLRRGHQGAGRAQGPVPGPRVSRFRRGGASGRGGRRVGGGRRHVGGPGDARGVSSEEARTARAVRVGRRGVLLPRSTPSAIHLLRCPRDACLPLIGFLIFI >PVH66269 pep chromosome:PHallii_v3.1:1:28337891:28338836:-1 gene:PAHAL_1G190300 transcript:PVH66269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRTPTTSPRTTSRVPSCTQSCLWVRSPWELRMAQDSDDPLFAVSVVIWVFVVILAIVALHCPLPRRVVR >PVH65758 pep chromosome:PHallii_v3.1:1:4881556:4883249:-1 gene:PAHAL_1G071700 transcript:PVH65758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIGKVKHHNLVPLLGYCACGDDRFLIYEHMHHGSLEMWLRDRGNTTPKAIGWPDRLRICLGSAHGLMFLHHGFVPNIIHRDMKSSNILLDEHMEPRISDFGLARIISAYDTHVSTNVAGTLGYIPPEYALTMKCTAKGDVYSFGVVMLEVLTGRPPTGQEVEEGGGNLIDWVRWMIAQGREGELFDPSLPVSGLWREQMVRVLAVALECTADEAWKRPTMPDVVKGLKMAQLMKPEPHDLQVQGRVVQT >PAN04987 pep chromosome:PHallii_v3.1:1:7951060:7952253:1 gene:PAHAL_1G107000 transcript:PAN04987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPIVEDESSVSKVLTNDGLLGEILPRIHCPTCYVRAALASKRWIRNASNQTTIRTFRSQHSPHLLGIYVLSDGFSRPEFVPLPDASRPELEPALRHGKFGFDDLDTFSLSVWDCRNEHVLYGFGPSFELPLGPAVQTPLRYPGENTVVLPRLPCTICPPHAMLLPDDNDDDSSCYRVDIENRDQMVYAKVFVLRAGSWSIHCSASADLARSSEHILKVTLLMLGTIYMLTTTGYILTLDLATAKFSIIDLPEGVQFEYSSNLAPCRGDDSILYLFHVSGDKLTVWLQRMNDHGGDGSTASKWILRDTISLLETCGHLVEQGWELEDRREAFVSVVGVGDNAEFVFLELEETGVIVYMHLKSRKVKKVYQRHPYNDFGIRVLPFMMVWPAVLPEL >PVH66322 pep chromosome:PHallii_v3.1:1:33664217:33665653:-1 gene:PAHAL_1G207600 transcript:PVH66322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDRSTRRQLDFTLGINMAGHTSRQHARKQEADSRDRLQKEERDYITEEQVRHVRNQRLVSSYLLRKYQYQYQQHLQCEAEEEEYERRTGKRLRKREETLEDCPECKSQKQDERSASVFQRLGPEQPRHGQAESTRTGGNLEDEEDKYHRPRWCLDGLNRSQKRRVQRLRSLEEAEAQYLEILRKARPDLAEKVHRPLKAEMSSSKKVWRPKKSKADVKTSADAHMVFVLPAEFHALGHKEVPVAQLDLGPRPVIFEEPRERNYRHLKALYPKGYINGQPVNRMLVDTGAAVNIMPYSVLRQLGHSVGDLIKTNITLSDFNGQTSEAQGVLSVDLMIGGKTIPTLFFVVNSKGSYTVLFGRDWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSVEVSHAAMSVWDAEDQETISGISLEGCDRVEATKNRVRLVLSTGLTE >PAN04102 pep chromosome:PHallii_v3.1:1:2839309:2845328:1 gene:PAHAL_1G042100 transcript:PAN04102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPGAKILVDIARSQDSEVGDGTTTVVLLAAEFLKEAKPYVEDGVHPHSLIRSYRTAGNMAMQRVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGMVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEASCLILSVDETVKNPKSESAQGEAAAGAMAGRGGGAMRGRGGRGMRRR >PAN03988 pep chromosome:PHallii_v3.1:1:2315457:2317517:-1 gene:PAHAL_1G033000 transcript:PAN03988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGMAPERVVEGFSEEFLESFLALIRRAHRHSRVAATVVYNEYIADRHHVHMNSTRWATLTEFVKFLGREGYCKVEDTPKGWFMTYIDRDSEQAVKARLKRKRIKSDMAEDERQERMIARQIERAQKSLAKANDGDDGNAAEGESESESDSEEEYSGSEDDGEKREDGSKEANKATGKIAIALQKSAPGQKVNPFEDKPKVNFGFDEEDSGVREKEKDEVANKKGKDVKATEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKKVINKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTEKFCAKVQVEKGLYDGKVLRAVEYEDICKVSS >PAN06429 pep chromosome:PHallii_v3.1:1:46720960:46722267:1 gene:PAHAL_1G263200 transcript:PAN06429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAVGRNDDEGRRDKVSAPLAVAADKGLLPTDVLREILLRLPADALCRLRLVCRPWRSLTSDPGFANAHATPHLLITGLDRSRRAHSLILVCVSPDHGPSFLLNSVTGVVATMPKNVGCAVESPSILGLLPATGEYKVLRFHLESMNGSMVQYCHVVTLGVNRRWRAGPRPPMIIGWRRSGHRVVMGGVAYFLLGTCLLNSASIGPDSIASFDLATEEWRPTMAGPLSSSGSSKLLYHKHRHEFRLAKLNDCLMNIWFLVDADKGIWAKKHSIQCEPGFCKHACDPPHPLSVLNDERIVFRVLGGVLRAYDPSTSMWADLASLGGYSAIAVASCLS >PVH66736 pep chromosome:PHallii_v3.1:1:51352449:51356202:1 gene:PAHAL_1G333400 transcript:PVH66736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT3G48150) UniProtKB/Swiss-Prot;Acc:Q9STS3] MASAKETYRAELRAAARQLGERCLYSAAKWAAELLVGVDLDAAPASSAAMDSPSSSSAAAGRLLHLHRSGGSSFRHRPRPGGGSSSEAGTPLGGVSYVSTPIPDDDAFDSGGDKYLLAKTYFDCREYRRAAHVLQNQAGRKAVFLRCYALYMAGEKRKEAEMIELEGSLGKSNAVNQELVALERELSTHRRTGSIDSFGLYLYGLVLRDKGCEGLARTILVESVNSYPWNWSAWSELQSLCTSSDILNNLNLKNHWMKDFFLASTYLELKMHEEALKRYERLMGVFRCSGYIQAQIATVQYSMRDLDEAEMIFEELLRTDPFRVDSMDIYSNLLYAKESLTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEYVELKNTPAAIDAYRRAVDINPRDFRAWYGLGQIYEMMGMPFYALYYFRKSSYLQPNDARLWIAMAQCYESDPLQMIEEAIKCYERAANSNDTEGIALHQLAKLHGMLGQSEEAAVYYKKDLERMEVEERQGQNFVEALLFLAKHYKSIGSFEEAEHYCTRLLDYAGPEKETAKNMLQGIKRLQSGFPSMDIDHFAL >PAN08784 pep chromosome:PHallii_v3.1:1:58287394:58288830:1 gene:PAHAL_1G436200 transcript:PAN08784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARAVAVATPAAPPRAAGPSSNLAPRWSSLFRVFCRYRSRVAASGPAATRSRAGRARLSSRDPADAETDAGAGRVLKDDSSYLWTLGLGSVGGAAVIKYGSILLPDITTPNIVLALLMVSLPVVAAVLILLKASSSED >PAN04172 pep chromosome:PHallii_v3.1:1:3214099:3215067:1 gene:PAHAL_1G047000 transcript:PAN04172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLRATPRAAECSTHHQFLRPGALARLRDSRIVARSLWSSACLLLPRPAPPSPAPRAAVAAAEQAGAPRFLGTAGSGRYPLRRRVAAARGVAFLPPPSP >PVH65648 pep chromosome:PHallii_v3.1:1:3089740:3094272:-1 gene:PAHAL_1G045600 transcript:PVH65648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVMASAATGVMGSVIGKLTAMLGEKYQLARGAEDGIRFLKEELSTMDAVLQNLAEKDDDQIDPLAKDWRSKVRELSYDIEDFIDRFRLNHSHGGSKANFVRKAVRKVKILLQDRGLAEEIQKLKSLVIEQSERAKRYDIHAASPQPVLLDLRAPALFAEARDLVGIDGPRKEIIELLTCEEMQHKVVSIYGTAGQGKTTLAMEVYRKITEAFDCRAFVSVSQTPDIKKRLSDILSQISNNQFDDQTERWEMEQLIRNMRDYLIDKRYLILIDDIWSVAAWELIESALPRNDNGSIVITTTRSKTVAKSCCAGIGAHMYEAQPLGDDDSQRLFFKRLFCSREDCPQDLREVSSDILKKCGGLPLAIISIAGLLANKRQTVEVWVNTLKSISAAVDKDSHIDKMKRILLLSYFDLPRHLKSCLLYLSVFPEDYLINCRELIVLWVAEALIPGQDRESMEQLGRSYLNDLINRSLVQPAKVEVDGSTVKTCRVHDVILEFIVSKAVEDNFVTIWNRNGFSQNYTCNKIRRLSIQEDISGPVEEMVKTMKHAHIRSINIFGCNNSGLVKHASKFLSNQVLRVLNIRGLQVDGECYLGQVKRISQMKYFGIMDIRPGGCELPEDLETLQHLETLNFTSTNFSKLPASIIQLQRLVRLNVSFLMQLPDGIGNLQALEELSMINLSSQSVKFIQGLSDLTNLKVLAIIWTDATEVRDVEKEKACISSLTKLFTRLRQLHVWEWPDATLSFMSLCVGTPPPLQRLVLGNHLSAVPHQISSLLNLTHLRIELWGEVSKEGINILASLPILVSLTVRLLPGKEGESSSLPILGIFHPRYAINSEGFQRLVKFTVRCALETAVALEFEPGAMPKLQRLKLLLPAWCQFKYGDGGLVLGLQNLAGLKYVDLCTNCTAATSDEVDSLEDDIRGVAGVHHNRPIVQVKRTHQDWMAPRVQP >PAN07902 pep chromosome:PHallii_v3.1:1:53917133:53917909:1 gene:PAHAL_1G371500 transcript:PAN07902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPAPAEVTVALIRALDGSGSRCSPSALVQPGRRTAYSVLQVVRAMFHGAPAIPLLEDGHPAGAEEALRLASRGRVRGLVVGTMSSYLRACGRIPLVFPEGSLDLNAAVAQPPPERGVLPLPVICAHCNRGFCFGVYDVPSLIPPPGYPYPEPPVPATADLLAPPPVSVLLVCSNPHHFMVGMGMLRLPHDAPSLVWRRRPSSLGILPTAVVPPSAPPPGPSASAGAKRKFVTPGDEDMVQLSLRHKYDPLALTLG >PVH66962 pep chromosome:PHallii_v3.1:1:55460352:55462231:-1 gene:PAHAL_1G395100 transcript:PVH66962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSLAHHLLRPLAAGCCSDVDEYDDEEPTNVAFPSFWPPFPALSSDSDSDAASFVRQRVDRPRRRETAASSFFGLGFHDGDDDEWAPPDEEDGEVELPLCWDCLQLEDHDGHPRWDVGVSDADEWEQVAAREEEEEAAAASAVRSLEWEVLLAANSLGSLVVNDAGDDDDLDAGIETYFLDEADDLLFGQLAAADADDHGPQGKCGRPAAKAAVEALPTVVVAEADAQCAVCKDGVGAGESARRLPCAHLYHDACILPWLAIRNTCPLCRHELPTDDPEYEKWKARRAGGGGGEADPRAAATEPLI >PVH66961 pep chromosome:PHallii_v3.1:1:55461028:55462011:-1 gene:PAHAL_1G395100 transcript:PVH66961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSLAHHLLRPLAAGCCSDVDEYDDEEPTNVAFPSFWPPFPALSSDSDSDAASFVRQRVDRPRRRETAASSFFGLGFHDGDDDEWAPPDEEDGEVELPLCWDCLQLEDHDGHPRWDVGVSDADEWEQVAAREEEEEAAAASAVRSLEWEVLLAANSLGSLVVNDAGDDDDLDAGIETYFLDEADDLLFGQLAAADADDHGPQGKCGRPAAKAAVEALPTVVVAEADAQCAVCKDGVGAGESARRLPCAHLYHDACILPWLAIRNTCPLCRHELPTDDPEYEKWKARRAGGGGGEADPRAAATGTMASSGYIDGWISAGELTNLLQ >PVH66973 pep chromosome:PHallii_v3.1:1:55631028:55632204:1 gene:PAHAL_1G397500 transcript:PVH66973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRSHLLLPAGRAASPLPAASSLHRLLLFLYSTSTTSTSPAAQFVVEDYLATSCGLTPAQARKASRYLPSLKSNVNPDAVRAFLAGIGISKADVATALARDPRFLCSNLRQVGLSPPQISRLISIVPDIFVVLSRIPRLAFYLSFLGSYDKVHKALRRSPLLLHQDLEGACGLSVSDIARLMLRAPRRFLLETERVKEIVLCADKLGVAGVPHRSGMFRHALEAIYNISPRRISAKLDFLKKILGCSESEVCTAVGKFPSILALSEDNLGRTVEFLRMEVGFSMKNRLVPWNYVLKVLKTKGLVKKDIEFYGVANMSEKRFTMRFVEHYSVTIPRLEGAYAAACAGQVPPEI >PAN07679 pep chromosome:PHallii_v3.1:1:52735699:52740564:1 gene:PAHAL_1G354700 transcript:PAN07679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAPAPLKDAVGGLERDGFVALLSKLIGESARLQNDPPVHRPQEDLVARHVVDALRPVSTEAGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPGEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPPLKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMEMNMEALKEIQKRFYTDFPPHEKEKVYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTSHVVEKLKGYVEDINERLETTLDTRGPVSKYVLPDENLRGRLEISFDGDVMNGVACNLESRGFKALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAEGFQVFVSIISQLEEEV >PAN08632 pep chromosome:PHallii_v3.1:1:57697753:57701761:-1 gene:PAHAL_1G426100 transcript:PAN08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSAAAASFVALWLLGALVGHRTAAEPVRVGVILDLASAAGRRWKTSIQMAVDDYYASHPNSTTRVELSFKDSSGDAVGAVSAAMDLIKNAQVQAIIDGAQTAGEAEFVAHIAGRAHVPVLSFSATSAAQTPFFVRTSADESSQAAPIAEVLGHYGWHAATLLHEDSRSGAAIAPALADAVRGVRASVEHRAAVPADASDDRLDAVLYRVAAMTTRVFVVHMPLPLALRLFHRAKNAGMMSEGYVWIATAGVGEVDALSPEDNDAMQGVLSVRPYAPPTSQVTAFAERFRARFQQENDGSQDIPDPTVSTLRAYDAAWATAAAVEAAGISGSAFKPPEGSTGPTELDQLGVSATGEKLVKAVRDTTFDGLAGKFTLLNGQPQTPAYEIVNFVAEGPWTVGFWNTKSGISPEFAAGSGEGLKEVLFPGVDQSDIRIPDGWAFSPAGQELVVAVPVKHGFQQFVRVYNDTTSNRTMFSGYCIDVFDAAIKALPYPVYYRYEPFYGIGNGSSGTYQQLIDLVPDQKADAVVGDVAITVSRMGEVDFTMPFTESGWTMVVPVQSQAATGMFFFLKPLTPALWLASLGAFIFTGFVIWVIEHRINPEFRGTPLQQFGIIFHYAFSTLVFAHRENVVSNLSKFLMVIWVFAVLILTSSYTASLTSMLTVQKLKPAVTEIHDLINNGDYVGYQEGSFVYGELLEMNFDQSRLRSYTTPDEYADALSKGSDDGGVAAVFDEVPYLKIFLSQYCDSYTMAGPVYKGTGFGFAFPKGSPMAPELSRAIVGLTEGDEMGLIERKWFGAPGTCGGDGVDASNASLTLWNFSGLFLITAVAATLVLIAYLAMFVYRERHELRAAEPGSGSVSLKRVRAWLQHYDRKDMTAPHFKQQLWSDSPSANGSSHGKKRERAEQDESRDFGGPGASPLSDHYRMDSASPLERKGSGEFRTPFDQRTGEAALERKPSLKLQQNTEERKKLPLSP >PVH65501 pep chromosome:PHallii_v3.1:1:927822:929105:-1 gene:PAHAL_1G009200 transcript:PVH65501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIALGILAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALAYQEPIPVEQLVQSLCDTKQGYTQFGGRRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVGLALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPDALGKLLAKSGLTQPAPEA >PAN07718 pep chromosome:PHallii_v3.1:1:52921882:52923883:-1 gene:PAHAL_1G357200 transcript:PAN07718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRQISRFKFFVMAPICSFAWYAVPGYLFPALTSISWVCWVFSKSESVMAQQLGSGMKGLGLGTFTLDWSTVSSFLFSPLISPFFATVNIFFGYVFCLPDHAYWGFNLYNAKTFPILSLHLFMSNGTKYNIPSIVNSQFELDKDVYNQHGKVNLSIFFALSYGLSFATIATTFTHVSLFYGKEIYHRFKASQKEKPDIHTKLMEKYDDIPAWWFYSLMALSVTVSLLLCTVLKHEVQLPWWGLIFACGMAFLFTLPISIITATTNQTPGLNVITEYAMGLIMPGYPVANVCFKVYGYMSMSKAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVASTVNIGTAWRLLGSIKDICSDSLPPDSPWTCPIDHVFFDASVIWGLVGPRSIFGSAGNYGALNWYFLIGAAGPVIVYTLHRMFPNQRWIPLINLPVLLGATASMPPATAVNYNSWLLIGTIFNFFVFRYRKKWWTRYNYILSAALDAGVAFMGVVLYFSLTMKNKSIDWWGTAGEHCPLASCPTAKGLELGPDSVCPVF >PVH66272 pep chromosome:PHallii_v3.1:1:28463135:28463653:1 gene:PAHAL_1G191100 transcript:PVH66272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSASSFSSASSAISFESESSREPTLEYDPIAAYEILALLHWDAGEWDFQSWSEDDDSLTDGEDLLLLLGHELEEDNEDDASWEEKLSSSEEKADSSSIEEDSVTGNFLLVGSSEDVDEDNEETKDDGSFTSSSSGDDDSNEKSSSDGSDASTAPPAKRRKTSSVYWW >PAN08685 pep chromosome:PHallii_v3.1:1:57945473:57947764:-1 gene:PAHAL_1G429600 transcript:PAN08685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQPLHPVTVLEQCHVSPSPAPGQPRALPLTFFDLVFWGFPPVQRLLFYHNADLLSVSDFTLGELPRFKKSLAAALHHFYPLAGKLTCEMAEGAVPEVVFLHGDSVPLTVAVSGDDFEDLAGDHARDTTRLHPLLPALRKHGGSRSQDVLAVQVTVFPRAGICIGTTLHHAVADGSSYAHFLRTWAAIHRLGPECRKVLEMDVPPLFDRGVVRDDAGLREAFLRDHRALASAGDTRLDGWDLSLRRGVVLATFRFTDKQLRALGGHVESETSARCSPYALACGAAWAGIVHARGGSIGRDVDGAAASRAHFGFVTGCKPRASPPIPANYFGNCLGLCRVEAGERGLTAAAASAAIWRVIEGLAEEGRALRDARGWVRSVREYASARAVTVAGSPKLRLYAAADFGGAWGRPRKVEIASVERTGAVALAESGRDGDGGGIEVGLALPRAEMEAFRTFYLDLFASLC >PAN03709 pep chromosome:PHallii_v3.1:1:1310530:1310832:1 gene:PAHAL_1G016600 transcript:PAN03709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHAHTTTSRWRRRRGAATHYRVSPCELPSPTASLPLVSISTIQSKKGSLLAAKRSHSSLSIFLWSLLSSPISLYTVHTRNAGREEEMRHGRIDIVRAK >PVH66766 pep chromosome:PHallii_v3.1:1:51888243:51889708:-1 gene:PAHAL_1G342000 transcript:PVH66766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERSTQHHQVGEAQEKAADHVEVKDRGILDTLLGRKKPEDQEKQQPEEELVTGMEKVTVAEPEKHEHKKEEHEAGEKKESLLAKLHRTSSSSSSSSDEEEEMIDENGEIVKRKKKKGLKEKIKEKLPGHKDHAEGEHHLAVPAPAPAPVGTHAYKEEEHKPYVPAPAPPPAVETHVHHHDHAVVVQKVEDDAPPAPEEEKKGLLDKIKEKLPGGHKKPEDAAPAVHAPAPAPAPHAEDVGSPDGKEKKGLLGKIMDRIPGYNKGSGEEDHKAAGGEHKTSSS >PAN07827 pep chromosome:PHallii_v3.1:1:53541602:53542183:1 gene:PAHAL_1G366000 transcript:PAN07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPDPTVYYPTSSSNAILRAHPSTAAASRGSFGPVFAVLAVIAFLAVAACVVGRLCGRRLSRRKAADHDPYGCDAVGGDLEKGFEVRYPAAMKPMASSRAMIHDIDDGFEIKFAPGKPAAWKSDGKADSKGRHHQQHQQHQVHHQPQLVGMMPKGCAVPKEYAGFRYPADAVVRQGQIRGGAFIPAKPPST >PAN03591 pep chromosome:PHallii_v3.1:1:686572:692809:1 gene:PAHAL_1G007600 transcript:PAN03591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLVVATTSDPASIGPAAAFLAMPGWSPGPPIAEGMESFTNGNVRLLKHERSIIAEDDLDQRWLEATGEPVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGTPGWAAIPNPRIGPWLRLMQKIAAEQGLVPEFEITLEATHHGPVTSTPTMFVEIGSTEEYWGRQDAAQAIALVLWKGLGLEDGNDVGSWQGNGEKVLLGIGGGHYAPRHMDIVIKDGVWVGHLLSGYSLPMDTPSQVNGKTSQEVAGMWKHSIKVSYEATKAAFPGGEVIAHLDHKSFKGWQKNAVTSYLQEENIRIGKPSDFF >PAN05771 pep chromosome:PHallii_v3.1:1:28953937:28958949:-1 gene:PAHAL_1G193200 transcript:PAN05771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQHETSDAEALVSAASRNLSSSSSAFVSANQSPFFTPRSLSARAPEHAGPENKCSANGIALKISDILSGDSFLQPEQLPSANVGVLPSDASPPISLCTSSNFGTPAIVYNNPSFISTFNGPCQGSSSATSNGGHSARKEKQKRLGAMYRKSSSSQPTTSAASVSRLRSYDVYIGFHGRKASLLRFTNWLRAELEIHGISCFVSDRSRCRNSHSHDAVERIMNASTYGVVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLDAADCLARDIIEKRGELWEKHGGELWMLYGGMEHEWMESVDSLSRVVDVQLEANDGNWRDCILQAVILLATKLGRRSVVDRVNRWKGRMEKEEFPFPRNGDFVGRKKELSELELILFGDVTGDGEREYFELKTKQRRKGLAVRRSANNHEQVNTDDSKGKEPVLWKETEKDIEMQRLGSPSLHGRPLRVKNGVRHGRKRRSRKILYGKGIACISGEPGIGKTELVLEYAYRFFQRYKMVLWVRGENRYIQQNYLALRTFLEVDLSVDSHMHEKGSDRCFEEQEEEAIAKIRQELMCDIPFLVIIDNLESEKDWWDKRVIMDLLPHFGGETHFIITTRLPRMMNLEPMKLSYLSGAEAMALMKGGVKDYPLVEIDALKTIEEKLGRLPLGLSIVGAILSELPITPTRLLDSLNRTSLIRNFSWNEREVLSLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVSGWFAPSAIPVHMLALAAHKVPKKHRRGPRWKKLWRTLTCGLATSRMKRSEAEAAAMLMRFGIARCSSKPEHVQFHDLIRLYARQRGGTRTAQAVVQSVYLQGSIKHSSEHLWASCFMVFGFGSDPLLVELRPSELMFFVKQIVVPLAIHTFITYSRCNAALELLRLCTDALERAAESMLAHAGKWRETSFSCFRQAQSETQYTYLWQELALLKASVLETRAKLMLRGGQYDIGDDLIRKAIFIRTSICGEHHPDTVSARETLSKLTRLLTTVQLS >PVH66341 pep chromosome:PHallii_v3.1:1:36095750:36096322:-1 gene:PAHAL_1G214400 transcript:PVH66341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKDVCTFYPGLLCKLLLLPSLGSEGLIGTRSACPDTTTMAPPSSSHAISTHTPMDTDSENN >PAN06704 pep chromosome:PHallii_v3.1:1:48026155:48031198:-1 gene:PAHAL_1G282000 transcript:PAN06704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTSSSCDGGGNPSPPAAAGVAGAAAAGAAGAAAAWPRRQCRDVFWLVVFLLHLLVFGAALALFGLNRFRQADRFNIGRYANLTAEPWGTPAGSPDPAPAPPPPSVYRSEDPSVPASELTETYWKFYGAAGGVGAALAWAWLAAAAWRKDGGKVVMRTAVHSLTAYLAVVSVLCFWGKHFFWGVALAVGAALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGIVAFGIPNGGQWWLLLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGPAAATMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCIDFLFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIEALVAYDCSGAILLMSTILGGLITGTCTGVWTYFNQSDKAIMVGSTSMLMGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFEQLSEALHERLQYRSARARQILNGRLDHLPHTSSI >PVH66566 pep chromosome:PHallii_v3.1:1:48027523:48030692:-1 gene:PAHAL_1G282000 transcript:PVH66566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTSSSCDGGGNPSPPAAAGVAGAAAAGAAGAAAAWPRRQCRDVFWLVVFLLHLLVFGAALALFGLNRFRQADRFNIGRYANLTAEPWGTPAGSPDPAPAPPPPSVYRSEDPSVPASELTETYWKFYGAAGGVGAALAWAWLAAAAWRKDGGKVVMRTAVHSLTAYLAVVSVLCFWGKHFFWGVALAVGAALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGIVAFGIPNGGQWWLLLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGPAAATMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCIDFLFHIVETLVRFFNKYAYVQL >PVH66361 pep chromosome:PHallii_v3.1:1:38599826:38601156:1 gene:PAHAL_1G222000 transcript:PVH66361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFRRREVRNNDDAFSKIKFKIPPFDGTYDPDAYITWEIAVDQKFACHDFPENGRVTAATSEFTDFASVWWIEHGKKNADDMPQTWDALKRVMRARFIPSYYAHDLLHKLQQLRQGTRSVEEYYQELQMGMLRCNLVEGEEPAVARFLGGLNREIQDILAYKDYTNVTHLFHLACKAEREPRTTTSMGGRAPVPAPSPSRTAASSPSSDKPRAPPTTSATKTVQKPAASASSVASTGRTRDVQCHRCKGFGHVQRDCPTKRVLVVKDDGAYSSASDFDDDTLALFATDHAGNEGPPDEHIDAGAAEHYESLIVQRVLSAQMEKAAQNQQHTLFQTMCVIKERSCRMVIDESSCNNLASSKLVEKLALSTKPHPHLYHIQWLNNSVRCCAYASLPYSAR >PAN07156 pep chromosome:PHallii_v3.1:1:50222424:50223577:-1 gene:PAHAL_1G315200 transcript:PAN07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSRVMWEQQVRRSSRPTFSSPAAAQEELEAGGSRSPSEDAYIARRGGLIQFAHSSCGWSFFRFHYLLKVTSILYVSVSIILLCVWSPADCKKTRPIHAFF >PAN03554 pep chromosome:PHallii_v3.1:1:300480:302663:-1 gene:PAHAL_1G002300 transcript:PAN03554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARAAAGRGGGPVGRGSAPPVRR >PAN04140 pep chromosome:PHallii_v3.1:1:3041965:3047824:1 gene:PAHAL_1G045100 transcript:PAN04140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRERFDRRRSPHRRHSPDRDSRGHRPLHDRRPTSQERESSYSRSPSRKSIRRHEKNPDDGETNSSRSLSISDKNDDRKKETLLSADDKEDQEIQLKQIRQDMELLREDKSHLEIILDEKNAEVRKISSRVNDLDLQIRKEKEECHRMTSKIKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSVNVDEDPNGPYERSPNAATAKKRSTPYSTSEEAKAVKKRRDRDLEFDKSSKGTEPTKALYLKKKLWEDEKDKIGNVVSSANTDKVKDSPVKHVLPSTGMAAHAVDDLFEAVELEDRHDPINASVENDAGDGTRSPAMPPQPPPVVNAYEQYEGDDEEVDVE >PVH65644 pep chromosome:PHallii_v3.1:1:3041965:3047824:1 gene:PAHAL_1G045100 transcript:PVH65644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRERFDRRRSPHRRHSPDRDSRGHRPLHDRRPTSQERESSYSRSPSRKSIRRHEKNPDDGETNSSRSLSISDKNDDRKKETLLSADDKEDQEIQLKQIRQDMELLREDKSHLEIILDEKNAEVRKISSRVNDLDLQIRKEKEECHRMTSKIKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSVNVDEDPNGPYERSPNAATAKKRSTPYSTSEEAKAVKKRRDRDLEFDKSSKGTEPTKALYLKKKLWEDEKDKIGNVVSSANTDKYEGDDEEVDVE >PAN05384 pep chromosome:PHallii_v3.1:1:24084844:24086839:-1 gene:PAHAL_1G174100 transcript:PAN05384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLMWGEGGPPAVHAPEAGDAEPAMLLSAPEVRRRPVELLPAWAWARRRLAGLLRAAGRPRPALQMWARPRLPPAMLVLAGAARPLPHAARAAARPPPCRCSSTPMVAEARREFCGRHKLIRLRWSSFASSRK >PAN04270 pep chromosome:PHallii_v3.1:1:3629490:3638748:1 gene:PAHAL_1G053700 transcript:PAN04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MAMAMMTMRRAAALGARHILAASSSRVAPLRHMSADAGAAMEKIRAAGLLRTQGLIAGQWVDAYDGKTIEVQNPATGDVLANVACMGSRETSDAIASANCAFYSWSKLTANERSKALRKWYDLIIAHKEELALLMTLEQGKPMKEALGEVNYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVRSLHVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMTFYEPTVVGNVSNNMLLFREEVFGPVAPLIPFKTEEEAIHLANDTNAGLAAYIFTKSIPRSWRVSESLEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGVDEYLELKYICMGNMG >PAN06621 pep chromosome:PHallii_v3.1:1:47674073:47675989:-1 gene:PAHAL_1G276700 transcript:PAN06621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNKLLLAVSVAVLLVVLCWRLIRPSLATKPKLNLPPGPWTLPVIGSLHHLVSSPLLFRVLRGLAEKHGPLMMVRLGEVPALVASSVEAAQAILKTHDLSFADRFTPVTFATVAYGGTDLILSPYGERWRQLRKIVVQEMLTATRVKTYKHIRQEEVARFLRVMAASAAAGTAVDFSRGFSKFINDAFVRECVGSRCKYQDEYLDAVHKATQLASGVTLADIYPSSRIMQMLATAPRKALACRRRFDRILKDIIQESKEAMECGDKTAHESFVGVLLRLQKEGSTPIPLTNETIMALMFDMLAAGSDTSSTTLNWAMTELIRNPAAMARAQAEVRETFKGKSIITEDDIAESGISYLKLVFKETLRLHPTSPLLIPRQCRETCQVMGYDIPKGTTVFVNIWAIGRNPLYWGDDAEEFKPERFEANNLDFRGTNFEFIPFGAGRRMCPGINLGLANMELALASLLYHFDWKLPKGTEPKDVEVMEAVGINGSKATSLLLHPVTFIPPAVE >PAN07654 pep chromosome:PHallii_v3.1:1:52614307:52614819:-1 gene:PAHAL_1G352700 transcript:PAN07654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPIAIILLAVVIAPGLAACSSSVINATYYCVGVLSGNPAAAAATDVRGLAAAAINITADKAASTLRVISYLVDELSICRGYYAYMLQSLAIVAADFGAGRFENASFEMSGNATGYPQACDILLFAGNSHKDPVFKENAENDLLVRLASSILNLLTGARI >PVH65886 pep chromosome:PHallii_v3.1:1:7116557:7119292:1 gene:PAHAL_1G097900 transcript:PVH65886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPYKPKNILITGAAGFIASHVATRIAKNYPDYKIVVLDKLDYCSNLKNLLPVSSSPNFKFVKGDIASADLVNFILVTENIDTVMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACRQITGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGEPLPIHGDGSNVRSYLFCEDVAEAFEVILHCGEVGHVYNIGTKKERTVMDVAKDICKIFNLDAEKTIKFVDNRPFNDQRYFLDDEKLKGLGWSERTHWEEGLRKTVEWYVANSDYWGDVSGALLPHPKAGMMPGNEGSEEIKGMLTQFITQHQTKVVSAPASEYPAKTVENGAGLYTNGTVH >PAN06938 pep chromosome:PHallii_v3.1:1:49108186:49111877:-1 gene:PAHAL_1G299600 transcript:PAN06938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSMDCASSKDKEAAADPPRAPWWTGETVAVVTGANRGIGLALASRLAERGLSVVLTARDEARGEAAAAAIRDARPPGLGAVRFRRLDVADQASVAAFASWVRDELGGLDILVNNAGVSFNEISTNSVEHAETVLRTNFHGAKMLTEALLPLFRRAPTTSRILNISSQLGLLNKLKDPALRRMLLDEAALTERDIEGMVLRFLAEVGDGTWRGRGWPEVWTDYAVSKLALNAYSRLLAARLAGERVSVNCFCPGFTRTDMTRGFGKRTAEEAGRVAAGLALLPPRDLPTGKFFKWRTPQLYSKL >PAN06937 pep chromosome:PHallii_v3.1:1:49108186:49111816:-1 gene:PAHAL_1G299600 transcript:PAN06937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSMDCASSKDKEAAADPPRAPWWTGETVAVVTGANRGIGLALASRLAERGLSVVLTARDEARGEAAAAAIRDARPPGLGAVRFRRLDVADQASVAAFASWVRDELGGLDILKLKDPALRRMLLDEAALTERDIEGMVLRFLAEVGDGTWRGRGWPEVWTDYAVSKLALNAYSRLLAARLAGERVSVNCFCPGFTRTDMTRGFGKRTAEEAGRVAAGLALLPPRDLPTGKFFKWRTPQLYSKL >PAN07146 pep chromosome:PHallii_v3.1:1:50161057:50164164:1 gene:PAHAL_1G314200 transcript:PAN07146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MASAASRNVRFQNDIEVQHFRANPLENLGRKHGKGHDPRRCRLGFRGGCLEKACRNPTLKDRVLSRAFSEELESLMHAGGRLFFDPRGHLIHLWNKIFLSACLLSLFVDPLFLYLTGTQRNMCIEFKYPLALTLSMIRSLLDLFYAAHILFRFRTAFIAPSSRVFGRGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVIPRLNESPMANRKNILRFSILSQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQESCWREACLLAGPACQTMSFDCKTVSGNRTVWYELSNITSLCATSNGFYPFGIYGEALDVKLSSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEIAFAIVIGVLGLVLFGLLIGNMQSYLQATMVRLEEWRSKRTDMERWMHHRQIPQPLKQCVRRYHQYKWVATRGVDEEALLKDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSFTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPAAALPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARVRHRFRFYSQQWRTWAACFIQAAWRRHKRRRASVEIRVREGGGVRAGGSLRRSRRHSIDGSAPIKKPMEPDFTVEEED >PAN03867 pep chromosome:PHallii_v3.1:1:1855726:1858641:-1 gene:PAHAL_1G026000 transcript:PAN03867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSAANLLALLRRNAASPAVALRLFLHLSSAASPPPPRSTSFLARLLAAQPAADALLPRLHRHIISFPDPSPHLLALLSCSDVLPLRLALPAFRSLRALESAPPPPTPVYNRLILSALRESRLDLVEALYKDLLLAGAEPDVFTRNLLLQALCDAGRMELAQRVFDAMPARNEFSFGILARGYCRAGRSFDALKVLDGMPSFNLVVCNTVVAGFCREGQVEEAEKLVERMRAQGLAPNVVTFNARISALCKAGRVLDAYRIFKDMQEEWQQGLPRPDQVTFDVMLSGFCDAGFVDEARVLVDIMRCGGFLRRVESYNRWLAGLVRNGRIGEAQELLREMAHEGIQPNSYTYNIIVSGLCKEGKAFDVSRVEDFIRSGVMTPDVVTYTSLLHAYCSKGNTAAAHRVLDEMAQKGCAPNSFTYNVLLQSLWRAGRITEAESLLERMSEKGYSLDTASCNIIIDGLCRNSRLNVAMDIVDGMWQEGSAALGRLGNSFLSVVSDSSSRQRCLPDRITYSILISALCKEGRFDEAKKKLLEMIVKDISPDSVTYDTFIHGYCKHGKTSLAIKVLRDMEKKGCIPSTRTYNLLIRGFEEKHKSDEIIKLMSEMKDKGIPPNVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNITSFDLLIKGFCKMANFSSAQMVFDAALRTCGQKERLFCLMCTEVSTYGRWIEAKNILETALEMRISIQSFPYKRIIAGLCEAGEVDHAHSLLKKLIAKGYTFDPAAFMAVIDALSNKGKKQDADMLSEKMMEMAEFNDDLPNHSGKIILGSRRHEHAKSGQSDWRALLHRDDSARTIMKITKRVRTGWGQRGNVYEHKQQQNDEFYVLENTG >PAN05520 pep chromosome:PHallii_v3.1:1:43273237:43273584:1 gene:PAHAL_1G235500 transcript:PAN05520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEAGAPQPAAAARGEPSDAPPPRASGSRAKTSAATRGAPAAPRRRPCGGPPRAAGGERRRPRVGPPPPRAAVERGGRIQVRSCCLGTRKKRKVDAQRVTRDKSWSTFGLGKHG >PAN08904 pep chromosome:PHallii_v3.1:1:58834064:58837699:-1 gene:PAHAL_1G443700 transcript:PAN08904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPGPLSRWPWQDLGSYKYALVAPWAARSTYRFMTTSGSEERDLLSFAVLPVLLLRLLYSQLWISVSRHQTARSKHRIVNKSLDFDQVDRERNWDDQILLTALLFYVINAAVPAAQAVPWWNSKGLVVAALLHVGPVEFLYYWLHRALHHHYLYTRYHSHHHASIVTEPITSVIHPFAEEVVYFALFAIPLLTMVGTGTASVAVANGYLIYIDFMNYLGHCNFELVPKMLFDVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYLYGTLDKSSDDLYERTLHGREEAPDVVHLTHLTTPDSVLHLRLGFASLAAAPLAAPFASRLRLRGAAPLAKLTSLLGSTFRAEANRLDKLNIETWVVPRYTPQYLSEEGLHAIGRLIEKAVADAEASGARVLTLGLLNQANELNRNGELYVIRKPNLKTKIVDGASLAVAAVLHMIPPGTKDVLFLGDASKVTTVLASALCEQEIQVQMVDKDLHDCLTQELRPELHKHLLVSSSYSSKVWLVGDKLTDQEQWKAQAGVHFVPYSQFPPNAIREDCVYHSTPALVVPDSFENLHACENWLPRRVMSAWRAAGIVHALEKWDAHECGARVTGVDKAWQAALAHGFRPYDRHDAAVAK >PAN09055 pep chromosome:PHallii_v3.1:1:59466851:59470727:1 gene:PAHAL_1G455200 transcript:PAN09055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRANIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >PAN04154 pep chromosome:PHallii_v3.1:1:3159858:3161037:1 gene:PAHAL_1G046100 transcript:PAN04154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSCGLGLACFALLVAAAGATQYKVGGDNGWAVPDAGAEPFNTWAEKTSFQIGDTLLFVYPKDKDSVLLVEPADYNACNTSSYDKQFDDGSTTFTLDRAGDFFFISGVEDNCRANEKLIVMVAGAGAPGGSPSPTPSAPAPSSGGDAKAPPSSSPNAPAPKNSTAKGAPHAAAGGDKNGAGLTVAGFLASFVACIAYATLAF >PAN04742 pep chromosome:PHallii_v3.1:1:6114709:6121233:-1 gene:PAHAL_1G088200 transcript:PAN04742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHGDLDRQIAQLRDCKFLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >PVH66781 pep chromosome:PHallii_v3.1:1:52076447:52079747:-1 gene:PAHAL_1G344900 transcript:PVH66781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAQWHQGLGLGKPMEEMLMAGNTNPNQNPNPPPAAPSAAPGAQRPTGAQAAAAAAPGAGAAAGAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSAVSSAAAAAASTSAAVSGTIPVGLAAKNPKLMHEGAHDLNLAFPHHNGRGLQPPDFAAFPSLESSSVCNPGATMAGNGAAGRGVGALSAMELLRSTGCYVPLQHVQLGMPAEYAAAGFALGDFRMPPPPQSHQSVLGFSLDTHGTGAGGYSAGLQESAAGRLLFPFEDLKPAVSAAAGAAHNNGAGQYEHSKDQAGDGSGASGVTGGHETPGFWSNSILGNGSSNGGGGPW >PVH66380 pep chromosome:PHallii_v3.1:1:41939417:41939795:-1 gene:PAHAL_1G230500 transcript:PVH66380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWSERMISHTRTETRPRLLREAAVGNFPQWAKA >PAN07389 pep chromosome:PHallii_v3.1:1:51275213:51278212:-1 gene:PAHAL_1G331900 transcript:PAN07389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPSREGDAARAAAREHTKRCRERRRLMREAVRQRRLLAASHAAYLRSLGVVASTLTRFAVGEPLPVSDHTPPAVIVQRAVAPSSPPPLLRAIDQRRREASQQQQQEDGGVFVDVGEVAAATRTEGVVVGGAEEELRMVVRHRSLAEVAAGLEEYFVKASVAGDAVSSLLEAAATEYKGGSHSFLGALCCLSAPAVDRIDSMSGRQRHSATLQQLLAWEKKLYKDVKARERLQIRHDKKLAALRDQEYSRKIGVDIQKLKTAWDRARAQLEAASRSVDTSASAIAELRDTHLGRQLLGLCHATLDMWRAMRQHHEAQGLIAQQLRGLSSRTSMEPTTEIHHKATRALEAAMNAWCAAMAAVAKHQRDYVHAVGGWLRLTVAPVNGAEASPVAAELAAFADRWGKVLDRVHCVDVLKSIKGFTAAARAVHVLQSDELRVARRVRQHTRELDRKSRMLRQVEKSYYDSYLPGGMSLWHWGRPMWRDDHLQAREARNEVAQRRDEIAACRKMVEDEMRRHAKAIDATRTATVTSVQEKLPAVFQAMAAFSASLANSLEAVCRAPQQNTHTLQ >PAN03752 pep chromosome:PHallii_v3.1:1:1440580:1443368:1 gene:PAHAL_1G019400 transcript:PAN03752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDPGYGYGGYGYGGYGAGGYDSDMAGYGTYYAASDRYPAAPAAYEDPLAGRRQQDFPAPLTGLEFQPSDTCPRNYVIFDQTYDRSRVMFHPSLASNLGGGYGYDHHCYGYDQAYAAAYNDGGGAAASVRQKEDTDEIDALMSTEDGEDEDDVVSTGRTPGCRGGGSSPDSTCSSGYGAGGRKQEAGGGGEKKKERMKKMVRTLKGIIPGGERMDTPAVLDEAVRYLKSLKVEVKKLGARGSSS >PAN08141 pep chromosome:PHallii_v3.1:1:55217726:55220618:1 gene:PAHAL_1G391000 transcript:PAN08141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLTKKSLAGIPLLVLGNKIDKSEALSKQALVDQLGLESIQDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >PVH66534 pep chromosome:PHallii_v3.1:1:47558105:47558967:-1 gene:PAHAL_1G275200 transcript:PVH66534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLFGSYRHGVAWNGCTGLAPMTSRGHVRRADGLGAAGRCTPPEMLTCARTLRCSSFTVAINAVRMHRGSRGCLPMPQLSTAMGAGVRLSKHQSSRISHISAYQCPLPNCPDLNWSRRGLGFPGHFS >PAN06993 pep chromosome:PHallii_v3.1:1:54378456:54381925:-1 gene:PAHAL_1G379600 transcript:PAN06993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQQHREESSQIEHDELHEGGSSELPTDPNSELTEADKMGEEEGNPHPDYDHLTPAERRYMEQKQKIDMQKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >PVH67203 pep chromosome:PHallii_v3.1:1:59193424:59197783:1 gene:PAHAL_1G449900 transcript:PVH67203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGAAPASNGEAAVRSTGIDASSCHSAVRSKCSFSVAAGGTHSMVSSKEVTSGHESCHGLLAYDKALGASVIRSGGKSHQKLRHGTSNRSLCIFLSLLISQHIVGQLEVVMLSTTVLKVEHCWIFLFLLAASTLCN >PVH67204 pep chromosome:PHallii_v3.1:1:59193437:59197783:1 gene:PAHAL_1G449900 transcript:PVH67204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGAAPASNGEAAVRSTGIDASSCHSAVRSKCRSLPTSSSLHSVQRSLSNMHDLSSLLAPAWSTLVYSLLLCFCIICAGLFEYPCFSVAAGGTHSMVSSKEVTSGHESCHGLLAYDKALGASVIRSGGKSHQKLRHGTSNRSLCIFLSLLISQHIVGQLEVVMLSTTVLKVEHCWIFLFLLAASTLCN >PVH66211 pep chromosome:PHallii_v3.1:1:25474644:25499960:-1 gene:PAHAL_1G179100 transcript:PVH66211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIRRPPHLLALPLLLLLLAQQAPSPEAAESTPGTRARKIGGSGASSVFSLFNLKAKSKFWTESVIRTEFDDLKGSASRASSKMGMLNFTRAGSIANYMSLAEVDSIYLPIPVNFIFIGFDGKGGHEFKLEPEELERWFTKIDHIFEYTRIPPVGEVLTPFYKTTVKKLQHYELPLVSHVNHNFSVHAIHMGEDVLSVFEHAIKVLSRREDLADSGENEGGTLQVDGAQMEHIFSTLVDHLQIQEAYNIFILNPKPISKSINYGYRKGFSEAEITLLRENRTLQARILQSKSDNKLSLDIEKGVNRKPLYETHPLSSFSWATTDNMDMGDWSKKCKEALSKFELLKEGKSKDDIVYDKAVQILHGTKDEMHDILENALKSSGLKGLHAECLTDTWIGRERFAFVDLSAGPFTWGPSVGGDGVRTELSLPNVAKTVGAVAEVTEEEAEEKLQDTIRERFSSFGEDYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMGDLKNELEGYNTGDSDEINKKALDALKRIESWNLFRDTKEEHHSYTVARDSFLAHLGSMLWGSMRHIIAPSVSHRAHHYYEKLSFQLYFVTQEKVRSIKQLPVNVKSITESLNSVLLRHQKSMFSQHLLSLSDEPALMMAFSMARRTAAVPLLLVNGTYKSTVSTYLDSAVLQHQLQRLSEHNSLKGGHSNHRSTLEVPIFWFVHSEPLLLDKHYQAKALSNMVVVVQSDDDSWESHLQCNGRPILWDLRKPVKAAIATTAEYISGLLPSHLVYSHAHETAVEDWTWSVGCNPLSITSHGWQLSEFQQDVIGRNYIITSVEESIQIVNSAIQRLVTERATEKGFKSFKAHESMMIEKYNAVVSLWRRASAMSKGLKYGDVVKLMSMLEDASHGFSVAVNSTISSLHPVQCTRERKLDVQLDLTTFPAFLAVFLLLWFLLRPRRPKPKIN >PAN08446 pep chromosome:PHallii_v3.1:1:56698936:56700426:-1 gene:PAHAL_1G413500 transcript:PAN08446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g05750, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05750) UniProtKB/Swiss-Prot;Acc:Q9MA50] MAVSAAPSLAIPTPPRPTPKPRRARARSPRERDVVSWTSAIARAARQGDLPAAAAALSAMLLSPAAPAPNDITLLTVLSACADSPSSPLARPLALSLHAHALKLFPSHLLLSTCLVRFYLASRLPHLALQLFDSMPIRSVVTYNTMISGLMRNCLVDTAVEVFDGLPEPDKVSWTALIDGCVKNGRHDEAIDCFRAMLLDGIEPDYVTLIAVLSACAEVGALGLGMWVHRLVVRQGLERNVRVANSLIDMYARCGQAELAAQVFRSLRKRTVVSWNSMIVGFAANGRCTDAIELFEEMRRQGFKPDAVTLTGVLTACSHAGLTEQGLRYYDLMTTQYGVTARMEHYGCVVDLLGRAGRLDEAMCVVETMPMRPNEVVLGALLAGCRMHGDLEMAEQLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRTLMKARGLKKRPGYSAVDLNGDVHEFVSGDRSHPQAEEIGQMLGLLRQEMYDYDEHGGSCFDGD >PAN08999 pep chromosome:PHallii_v3.1:1:59258635:59260012:1 gene:PAHAL_1G450800 transcript:PAN08999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPLSNGAAYQSVPESYVLPGDKRPGSSPSSAAAIPVVDLGGDDPDRIVKQIMGAGREFGFFQVINHGVPGQVMGEMMSSAEEFFGLPTEEKMVLYSTDSKKLPRFHTSIGNDQEKLLYWRDCLKLGCYPLEQFRHQWPQKPARLRAALEAYTTAVRAVALRLLRLTAAGLGLDEGHFEGELTAGPVIMNVNHYVPCPEPSLTMGLAPHCDPNVVTVLADNGVCGLQARQRGSGGGWVDVEPLPGALVVNFGHQMEVVSNGALRGGEHRVVTNARAARTSLATFVMPAMGCAVAAAPGMVPDGEAPQYRPFTYQEFMGAYTAAIGDRDAVLARFQNKD >PAN06883 pep chromosome:PHallii_v3.1:1:48854952:48857752:-1 gene:PAHAL_1G294500 transcript:PAN06883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:Projected from Arabidopsis thaliana (AT5G50960) UniProtKB/TrEMBL;Acc:A0A178UBK9] MENGGGGGSRVDVPDDANEHCPGTQSEDAGKADACAGCPNQQICATAPKGPDPDVVAIVERLATVKHKILVLSGKGGVGKSTFSAQLSFALAEMEHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQPLSDLRFMKSGESGETDATEWVLNCIREKVPELLSVVACSEVFDSSKGGAEKMCIEMGVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALQSIVKKLIKTE >PVH66709 pep chromosome:PHallii_v3.1:1:50798569:50802027:1 gene:PAHAL_1G324100 transcript:PVH66709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGTLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQRSSIASGKGTNPEWNENFVFTVSDQTADLLIKLMDSDTGTADDFVGEATIPLEAVYSERSIPPTIYNVVKGEKYCGEIKVGLTFAPEDTRQRGLPDDCGGWKQSR >PVH66722 pep chromosome:PHallii_v3.1:1:51074379:51077214:1 gene:PAHAL_1G328400 transcript:PVH66722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFVKARNQQATQIGEAVEQDSHVHAMELSFLSVCIKYHCLVGDLEEVTCMVRCTKTLAMV >PVH66348 pep chromosome:PHallii_v3.1:1:36645179:36645385:1 gene:PAHAL_1G216400 transcript:PVH66348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAKRSAEAVGCQNASVGECSALEGSKRESGGRRSGSENVGLSNENIGENPMPRKPKVSSARFVHGG >PAN08331 pep chromosome:PHallii_v3.1:1:55964038:55965455:-1 gene:PAHAL_1G403800 transcript:PAN08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWASGLPLAALLLVACSSTAAASTYTVGDGSGWTTGVDYTSWAASKNFKVGDSLVFNYAKGLHTVVEVSAAEYMACTAASPLGSDSSGATTVPLKTPGTHYFVCSITGHCGAGMKLAVTVGGSSSPATPTPTTPRTSPTPTTPYTTPATPATTPYTTPTTTTPYTTPTTPTCAGGGGTTTTTPGTTPFMAYPSAAGLGPAALAGFGLVWFVIVQLALL >PAN05789 pep chromosome:PHallii_v3.1:1:39005298:39011572:1 gene:PAHAL_1G223300 transcript:PAN05789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSATIAAHPAAAAAITLRQRHSLRRRQPLRVPIGAAAPRRRMETARSSVVNAVASQGVPAVPPKLSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSATEVPDGKLKICDREIRIHDPSESEKILPSIMEEYPDLIVVDYTVPDAVNANAELYCKLGLPVVMGTTGGDRQLLHKTVQDANIYAVISPQMGKQVVAFLAAMEIMAGQFPDAFSGYKLEVMESHQATKLDVSGTAKAVISCFQKLGVSFDMDEVKLVRDPEEQVNVVGVPEEHLEGHAFHMYHLTSPDGTVSFEFQHNICGRSIYAEGTVDAAMFLYTKIQSGASKKLYDMIDVLREGNMR >PAN03746 pep chromosome:PHallii_v3.1:1:1417709:1420022:1 gene:PAHAL_1G018800 transcript:PAN03746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRVSDKAAASVAVVVVVFLFLMAGGNAVAAFNYADALDKALLFFEAQRSGKLPPGQRVAWRGDSGLSDGAAEGADLVGGYYDAGDNVKFGLPMAFTVTMLSWSVAEFGDAMARAGGGGHLEGARAAVRWGADYLLKAAAALPGALYVQVGDPYQDHRCWERPEDMDTPRSVYKVTPDSPGSDVAGETAAALAAASLVFRACDPGYSSKLLQTARKVFDFADRYRGSYSDSLSSVACPFYCSYSGYNDELLWAAAWLHLATTAAGKGGGGAGSNSSADAYLSYIYSNGHTLGAEQDDFTFSWDDKRVGTKVLLAKGFLQPRGGGGDKTAQAAAALQLYKAHADCYVCSLVPGAAGFQSSQYTPGGLLFKSGDSNMQYVTSTAFLLLAYSKYLAGAGATVSCGGSAVPPPALVAVATRQVDYILGANPEGMSYMVGFGGRFPRRVHHRGSSMPSARAHPARIGCDEGFRYLHSAEPDANVLVGAVVGGPDGADGYTDSRDNYAQAEPSTYTNAPLVGALAFLAGGRGH >PVH66983 pep chromosome:PHallii_v3.1:1:55735129:55735684:-1 gene:PAHAL_1G399700 transcript:PVH66983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLLGSLNLTVEWNGDVACGFVFLWGGGGLRRLSGEERLWRPGPFIVPGLRPCTRSQASISSSLFIAISSPRRISTK >PAN08038 pep chromosome:PHallii_v3.1:1:54531436:54540908:-1 gene:PAHAL_1G382000 transcript:PAN08038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLRKLHIGEGSSDGGSSPSPPPSRKGGSGGGGVHHHHHQPQHDHRQQPSAVSSWLDSVPTRPPPPIPVEAEVPTSASFGVGAEERSARQSAAAERRRSQQEEMERRRSQEEEMERRRSQEEEVLRERRRSQAEEMGRERRRSQEEDEVEERVIRESSEAEERKREREKEEDDLEAYQIQLVLEMSARDNPEEMEIEVAKQLSLGFCPPQTSPAEVLAARYWNLNALTYDDKISDGFYDLFYVGNGPASVTMPSFAELRAQPFSHKVNWEVVLVHRGEDPELMKLQQEALIISLDLQSRTSESVGNALVKRLASLVARHMGGVFDPESMSVKYQNMLNSLRSSIGSVVVPLGQLKIGLARHRALLFKVLADGLDVPCRLLKGRQYTGSDDGALNIVKFKGGREFIVDLVADPGTLIPSDGAVLSSDFDENFVADNHHLKKDETTNLLGSSFSRASSSAYGSFDYELLDRRSTSSNVGPSDTDGPTTNQTSNQQSMLSSSFEKLSVSTCTSESRPISNESTNADYITVAKNKEKSIAPVDSSSSSPSASDMGSTPAVRRMKVKDISEYMINAAKENPQLAQKIHEVLRENGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKRTMNEFESRKYTDSGHAPSLPHHPGHELQPKVVPHRTSLESLKPVEGLGVYQPHDIRDIASPFVPQYEPSAPPQEAPAPLTKQLPVTAAAVATAAVVASSMVVAAAKSNSDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLLSLPSPSKGNELVEKGGDDFWDKDILEADHSQDNALDQEIPQEAERTSDKSSGTESAKSDLALEDVAEFEIQWEEIAIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDLSGDALEEFRTEVRIMKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNIDPAIAEIIVKCWHTDPKLRPSFADIMAALKPLLKNLTSNQAPKAESTTNR >PAN06724 pep chromosome:PHallii_v3.1:1:48164912:48166230:1 gene:PAHAL_1G284000 transcript:PAN06724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHHRPRLLRLRRRRHSLRMLGSEASSAAPDESSQPLPWQFVEERGAAARGGEDGDELPAGGGGRGTNVGCPERSRWHGRNVLLPAEPRSREEKNHPVLLLCMLANITSFLLPWEYHLGLAVSTVPRVFVS >PAN08816 pep chromosome:PHallii_v3.1:1:58396582:58403651:-1 gene:PAHAL_1G437900 transcript:PAN08816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MATLVRPPALHICAVSGAGGGKEKWAPLPQRSWWGRNKPSLPRQPRQSGGNGGRGGGGEALDQVLGVLRRDGEFLQAAAGAPLRDVFWLRFLEKKQQQRKQPKPKPKPAQQQQMLQQEEVEVEELPREAPAFPPPAYQPGLSCVELMAADFQALKVYAGSAQRFLARRFLGSQGQSKAQQQPKPKPQEQQQILQPPVFPPPSYPPGLSCMELMMADLEALKLYINYYSTILTTPLPQHYDPDLLAQYFASRPHILAFRTIQILFAFVSAVAKMQISKRSQLTADATYSSGNSSNGFDASQYTVGQLLKEMFLDLGPTFVKVGQSLSTRPDIIGSEICEALAELHERVPPFPREDAMEIIEGEFECPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPAVLRDIYILRLGLGFIRKVAKRRSNISLYADELGRGFVGELDYNIEAANATKFLEVHSRYPFMLVPKVLKQLTRKKVLTMEWVTGENPKELLSLTKGVSGKVTEVSEKQKLEAKTHLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMERKHQRAMLASIIHIVNGDWASLVYDLTEMDVVPPNTNLRRVTMELEDALGEVTFEDGIPEIKFSKVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAVAADENFKTFQAAYPYVVWKLLSDNSLATRRLLNQAIFNKKKEFQWQKVAAFLKLASARSNFKYNSGALPEPDTKDVTVASLLEISGTSSLDRATATPERAVHTANLCVRLLLSKDSVVIRRLIMTANAKSLARDLISRDASMFRVLLSKVIADVVCQWMLNVAGLKRVAETRMRTPVTIGKNDGHLVLSEESSTLMALQAVVTDRRMQVIYSKFVRELREEPVLMVRVSWNMFVISVTSAAVGLHRFMVFLSEEYLPTLPPPVPPPRLVQIQTL >PAN08158 pep chromosome:PHallii_v3.1:1:55290223:55293937:1 gene:PAHAL_1G392300 transcript:PAN08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPPPAGEHQPQLHGVVIITLPPPDQPSKGKTITAFTYSDDPGAPLPPQEPAMGYPVPPGARRRSRRALSPRRVAAMALVLGALAVAAYYCFYSDVAVQFLGMEQEEAQKERNETRSFLLPLYPKARQGRALREFGDVKLAAKRVDDGGRTAANKMKVGKAAAAGTNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPTKEKIVPPRDSLCQELQGEQNYCETCKQCDYEIEYADQSSSMGVLAKDDMHLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASHGIISNIFGHCITREQGGGGYMFLGDDYAPRWGMTWTSIRSGTDNLYHTEANNVKYGDQQLNVHEKAGNSVQVIFDSGSSYTYLPNEIYENLIAAIKYSAPGFVQDNSDRTLPLCWKADFPVRYLEDVKQFKPLSLHFGKKWLFMSKTFTISPEDFLIISDKGNVCLGLLNGTEINHGSTIIVGDVSLRGKLVVYDNQRRQIGWANSDCTKPQTQKGFPFFL >PAN04962 pep chromosome:PHallii_v3.1:1:7781055:7783216:1 gene:PAHAL_1G105000 transcript:PAN04962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPRAPSAAAQDPWSAAGEFLGFAAARRGAHRRSASDSAAFLEAVPMDDVIGGAGGDDFDRLDDEQLMSMFSDVDAPAVSDGAGGERAGDAQLMDMGDAEDGMAASSPAGARAAADGVADPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQLFHQQQIKATTGGANIATAASMQARQELLACEGAAIR >PAN06023 pep chromosome:PHallii_v3.1:1:25473510:25474481:-1 gene:PAHAL_1G179000 transcript:PAN06023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPPCLRKELKSGNYSMRGCKPIGEPSKTTSEDCLGRAGFRGCSDDARLGGVRSRKFISFLLEGDLIPQTFHLVSV >PAN07971 pep chromosome:PHallii_v3.1:1:54212170:54216326:1 gene:PAHAL_1G376900 transcript:PAN07971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMRWWRADASEVMAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHSSESAKRQQMRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMATFKTLSLLPVAPPSKSTPFSSIFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMLFQKKVSTQKATTLAVVSFGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSSLQQSGNWTALALMWKTTPITIFFLLTLMPLLDPPGLLLFSWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFGSDPGITSICGAVVALGGMSFYTYLGLKKDAATGGKKAPSRQNSFMAKPKAAADNDNADSEQEDNV >PAN03903 pep chromosome:PHallii_v3.1:1:1958712:1966098:-1 gene:PAHAL_1G028000 transcript:PAN03903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHLDCSWPLLAPGRTLDMEFFTEYGEASQFQIQEVIGKGSYGVVAAAIDTHTGERVAIKKIKDVFENVSDAARILREIKLLRLLRHPNIVQIKHIMLPPTRREFRDIYVVFELMESDLHQVIKANDNLTPEHHRFFLYQLLCALKYIHAAHVFHRDLKPRNILANSDSKLKICDFGLARASFNDSPSAIFWTDYVATRWYRAPELCGSFFSNYTPAIDIWSIGCIFAEVLTGTPLFPGRNVVHQLDLITDLLGTPSMESLSQICSDKARKYLIGMPRKPHIPFSHKFHNADPFALRLLERLLAFDPKDRPTAEEALADPYFRGLAKLECEPSAQPISKLDFEFEGRKLTKEDVREMIYREILEYHPQMLQEYIEGEEQIHFLYPSGVDRFQRQFAHLEETYRRGVASTPLRRQPTSLPRERVCSSEDGHNQDSGSEKGRAASYVARTTISPPRSQEEQHKHHQSSYHSGDSISCAKSYLKSAANISSSRRGIKGNKGPKENGISEDEKEEVVHELLDKVPRVLS >PAN06443 pep chromosome:PHallii_v3.1:1:46806502:46811507:-1 gene:PAHAL_1G264200 transcript:PAN06443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAATAAEKEAPPAPRSSFLSVFMHADAADVALMLLGLVGAMGDGMSFPATLLLFIRVTNDLGRGPNLVREFSSRINENARNLVFLACISWFMAFLEGYCWARTAERQASRMRARYLRAVLRQDMEYFDLRAGSTSEVVTSVSSDSLVVQDALAEKLPNFVMNATLFVSSYVFGFAVLWRLTLVALPSALLLVVPGIVYGRILTGIARRIREQYGRPGAIAEQAVSSARTVYASVAERSTVARFSAALEEPARLGVKQGLAKGVALGSNGLTFAIWAFNIWYGSRLVMYHGYPGGTVFAISSAIVNGGLALGSGLSNVKYFSEASAAAERILEVIRRVPKIDSGSDDGEELPNVTGEVEFRNVGFCYPSRPESLVLVRFSLRVPAGRTVALVGGSGSGKSTVVALLERFYDPSSGEVLLDGVDIRRLRVKWLRSQMGLVSQEPPLLATSILENIQFGKEDATVEEVIAAARAANAHDFITQLPQGYSTQVGERGVQMSGGQKQRIAIARSILKSPKILLLDEATSALDTESERIVQEALDLASTSRTAIVVAHRLSTIRNADMIAVMQSGEVKELGSHDELNAIENGLYTSLTRLEQQTRDLHEVDDEISRIGSSSIAAGQSSRHNMSRESSLVSRSSPGRLMGDVGNDESIVKQSSLPPVPSFRRLLMINIPEWKQMLIGSFSAVVLGAIQPVHAYGMGSMFSVYFLADHAEMKEKTRVYVLLFVALAVLSFLLNIGQHYSFGYMGEHLTKRIREQMLAKVLTFEIGWFDREENSTGAICSQLAKDSNAVRSLVGDRMALLVQAGSAVLIACTMGMVIAWRFALVMLAVQPLIIICFYTRRVLLKSMSKKSVEAQSQSSKLAAEAVSNVRTVIAFSSQACILRLFKQAQNGPRKESIRQAWFSGLGLGTSLALMTCSWALYFWYGGKLMAEHRISTKELMQTFMILVTTGRVIAEAGSTTTDLAKGSHAIASLFSILDRETEIDPDSPDGHKPEKIKGEVEILNIDFAYPSRPDAIIFRGFSLSIQRGKSTALIGQSGSGKSTIIGLIERFYDPLTGVVKIDGRDIKTYNLSALRRHIGLVSQEPTLIAGTIRENIVCGMEETSSEAEVEDAARSANAHEFISNLKDRYDTWCGERGFQLSGGQKQRVAIARAILKNPSILLLDEATSALDSQSENVVQEALDRVMIGRTTVVVAHRLTSIMNCDMIAVLDRGMIVEKGTHASLMAKGPSGAYFRLVRLQQGCN >PAN06137 pep chromosome:PHallii_v3.1:1:44192587:44198709:1 gene:PAHAL_1G240900 transcript:PAN06137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAPAPPPPQPESPSAGGGDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSSITNDHLFPSPDPSSGSGSGSASASGSSASEISAAAAFDAADQLIQLWDATPEALVFEAPEDDVAQYLAAVDVAVEHLARGGPGGARAGVAVQLAMARLEEELRHLMVRHAVPIDPTGLFFSLRRLSLESMDDLDASSEFDAATPHSLDGTPAGSETARGAALGSNPFEDQVFDPVRPEAVDELRAIADRMARAGYSRELADAYCGVRRDLLDEYLSVLGVERLSIDEVQRIEWKLLNDKMKKWVQGVKTVVRVLLAGERRLCDQVLAVSDDLMEECFLESTKGCIMQILSFGDAVTVCPRSPEKVPRILDMYEVLAEVIPEMRDLCIGSSGDGVISDVQAILDRLGDAVRGNLFEFGKMLQQETSRRAMTAGEIHPMTRYVMNYLRLLVVYSETLDVLLADDNSDHDALRNSDDQDQEHLESMTPLGRRLLKLISYLEANLEEKSKLYEDAALECIFAMNNLLYIVQKVKDSELGKILGDHWIKRRSGKIRQYSKSYLRISWTKPLSYFKEDGYGSGSGSGSGSGSGHSSSRMSIKEKFKNFNMAFEEIYRNQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGNQVDGGRNPGKYIKYTPDDLESQLSDLFEGSSVSVNNSRRRA >PAN08521 pep chromosome:PHallii_v3.1:1:56926620:56929796:-1 gene:PAHAL_1G417700 transcript:PAN08521 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] MTTSGAPTRPRFKRSSPRKKSQLRSRRLAAGEAEAAGGPALARAPLPSPLEVDAVPRIGHALPREFFEVDALDLAPRLLGKLLRRDEVILRITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNIVADMEGVGAAVLIRSCSPVTGLETIQRRRGQQTEKPILLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPEAILVGPRVGIDYASPEHVAAPWRFAIAGTPWISAPKNTLWPR >PAN08315 pep chromosome:PHallii_v3.1:1:55878015:55880138:1 gene:PAHAL_1G402400 transcript:PAN08315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGAAQGSSKPHAVCLPYPAQGHITPMLNVAKLLHARGFHVTFVNTEYNHARLVRTRGAAAVAGVPGFRFATIPDGLPPSEDDDVTQDIPSLCRSTTETCLGPFRRLLAELNDDSAATGHPLVTCVVSDVVMGFSIDAAKELGLPYVQLWTASTISFLAYQHYRLLMSRGLAPLKDAEQLTNGFLDTPVDDVPGLRSMRFRDFPSFIRTTDLDEFMVRYVLKETGRSAGASAVIVNTFDELEGEAVAAMESLGLARKVYTLGPLPLLAREDPPTPRSSISLSLWKEQAECLRWLDGREPGAVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDSAVLPPEFLAATADRGLMASWCPQQEVLDHPSVGAFLTHSGWNSTLEAMCGGVPVISWPFFADQQTNCRYQCNVWGVGMEIDANVRRDAVAGLITELMEGEQGKEMRRKTGEWRDKAIEAAKPGGASHRNFDELVRDVLLPKN >PAN08358 pep chromosome:PHallii_v3.1:1:56339608:56341115:-1 gene:PAHAL_1G407000 transcript:PAN08358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELITPPPQEFLVGSASAGAYTPKSAIMNPFASGDEVAASNPFLATAVTAPPSPNPFEHLPPGASDADPFDLFQHFTSAPASPARAAAIYAQFDSVDGGGGGKVDGDAGFQPRVSYSTVASTVPFNWEEKPGKPKPEFASVAAVTVEGGEVDDTDFDFGVLLDKAAQAQELTTADELFDEGKIRPLKPPPRLLEGGSVGSSPRSARSVMWSPRLRRSLVRPGGADFDPFAAALAKAANAPSPLGAGSKDDVSGVELASSPENPADPATTATSTPPTSTNGRRKKWRLSDLLLFRRVSGKGRDAGNISRDPVFKYAPVQQLGTPVKTASSGPAAAEGEVSSSKHKKQSKKAAPEGGLQLPHRQGMMGCVRLHPGLHRLAKGFHGHSAHLGARGTARSAMKG >PAN03873 pep chromosome:PHallii_v3.1:1:1880307:1880432:-1 gene:PAHAL_1G026500 transcript:PAN03873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVISEILLSGFMINSTLRRRTHLVQSFSVVFLYWFYVFS >PVH65941 pep chromosome:PHallii_v3.1:1:8267585:8270153:1 gene:PAHAL_1G109400 transcript:PVH65941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAVAARSRSLARAVSSSLLRRCCLPASRRASCVTRLPLVSGGLLSALPLHSAVASARLRSAIASESRSWCLVPQGNSMPL >PVH66654 pep chromosome:PHallii_v3.1:1:49721466:49723011:1 gene:PAHAL_1G307500 transcript:PVH66654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPKCHLTQNLLFILSYTAAIVAASTPQTYYNQQYTPTDYPMPHDFPNDSLYQAYLVIQRFKKTITCDPLNITSTWTGHDICGATTYVGFYCTTLPGHGKNVTVTSAVFDGFGLCAPQLQGFIDQLPDLALFLATSNKFDALGVLNLAGLTYHYKVGISDDPAAQPFSSLAPAVDLALMIGAEVHFPHSLSLEAIPGATNARVLLLNNDELSGSIPENLGFSKLSYLAVANNKLTGPIPPSIGHLQDSLLVLLLLNNQLSGCLPHELGMLHKAAVIDAGMNRLTGPIPSSFSCLSSVELLNLAGNRLYGLVPDALCKLAGPAGRLANLTLSGNYFTSVGPACAALIRDGVLDVKSNCIPGLANQRRPAECAAFQSQPKTCPAASTQQVVCPAAAAAKGAAAPGERKARD >PVH66904 pep chromosome:PHallii_v3.1:1:54376422:54376595:1 gene:PAHAL_1G379500 transcript:PVH66904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSILVSYCIVFILFASLHLCDYDGLVDEYRIVIIPVSSFLVKSETDCSALSSFCSR >PAN05053 pep chromosome:PHallii_v3.1:1:8459019:8462267:-1 gene:PAHAL_1G110900 transcript:PAN05053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFLRRGHSLDKTGSHSYDSHHKEHFLRRSGSNTTEMHESVGNGAGAGGTPPLPNGRAAAAGARSRLGRDGPPSELDLMKEKFAKLLLGEDMSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVTDHVVEFVPTRQTAENGTIMEIMSTAQRRDLAMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPSEGLSDVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEIYIESLPKKGKTSLGDAIYRSITEETFDPLEFLAGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDSKSSWSSIVSFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLAADAAAQNLTASEAARRMMESAAEAPAARKLDAKEELEKLNEAPASMTLFDFMGWHFDQDELMKRREDGTLDADAEAKLLKKAPSMAPAKKFSYVDTLSSGGMRSPSSRH >PAN04155 pep chromosome:PHallii_v3.1:1:3161193:3163236:-1 gene:PAHAL_1G046200 transcript:PAN04155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKTREPKEETVTLGPTVREGEHVFGVAHVFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >PAN04272 pep chromosome:PHallii_v3.1:1:3641741:3644586:-1 gene:PAHAL_1G053900 transcript:PAN04272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSKKATSAAAAAAPVSSNDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSVKDAAAMEMQRNAASSSGIMGRSMNDRSVHMNEAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLASGDVAACPAGYKSLGNQAILDVCSLKDIGPSMGFASLQDLHMYGGGHLELHEQMERPMEAFFANCDIGSLGKKRPNNPYAAGKSPMMWGDDEQGKGIDQLQMAPPMMDAGIDVMDSIADVYGDVKPMMSGDSTGSKGCFDGKLERPSPRRPHMGNERMGSPSVIGGQTRNLSYG >PAN08266 pep chromosome:PHallii_v3.1:1:55709465:55713832:-1 gene:PAHAL_1G399100 transcript:PAN08266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRARFSLHVAAPAAVLLLHLMLAAASDPPYTCGAGAPPNIPFCDTGLPIDRRVGDLVARMTVAEKISQLGDESPAVPRLGVPAYKWWSEALHGVSDHGRGVHLSGPLRAATSFPQVILTAASFNPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYGISGPVNSTDLEASACCKHFTAYDLESWKGVTRYVFDAKVTAQDLEDTYNPPFKSCVEDGQASGIMCSYNRVNGVPTCADYNLLSKTARQSWGFYGYITSDCDAVSIIHDAQGYAKTAEDAVADVLKAGMDVNCGSYVQQHGASALQQGKITEQDIDRALHNLFAVRMRLGLFNGDPRRNRFGDIGPDQVCTQEHQDLALEAAREGIVLLKNDGGALPLSKPTVASLGVIGFNANDATRLLGNYFGPPCVTVTPLQVLQGYVKDTRFAAGCNSAACNVTAIPEAVQVASSADAVVLFMGLDQNQEREEVDRLDLTLPGQQQSLIESVANAAKKPVILVLLCGGPVDVSFAKTNPKIGAILWAGYPGEAGGMAIAQVLFGEHNPGGRLPVTWYPQDFTKVPMTDMRMRADPATGYPGRTYRFYRGPTVFDFGYGLSYSKYSHRFVSNGTKPPSMSSIAGLKALEPTTTAAGAAMYDVEAIGAEACERLKFPAVVRVQNHGPMDGNHPVLLFLRWSNATDGSGRPARQLIGFRSLHLRAMQAAHVEFEVSPCKHFSRASEDGRKVIDRGSHFVMVGEDDEFEMSLE >PAN03848 pep chromosome:PHallii_v3.1:1:1763690:1764740:1 gene:PAHAL_1G024700 transcript:PAN03848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSNGGGSLLSVTLIWLAILFGCLALSAQCRSHELLKNVGRTRGVYLTGSASNRSAYHTQIECPPAADDDGESKLKLIFCTVKCFCNGVHGDAICYCCQKPPGPVCYEQLADCQANCPTCNPDCPPGAAAEGQRLLATTNATSYL >PAN06963 pep chromosome:PHallii_v3.1:1:49231881:49234565:-1 gene:PAHAL_1G302100 transcript:PAN06963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWSMDPQVTIHGDWVSAVAPLLKLLCLTVIGLLLANPRVQVVPRATFKLLSKLVFALFLPCLIFVHLGKSVTIDNVLHWWFIPVNVLISTAIGCILGYIVALICRPPPHLFRFTVIMTGFGNTGNLPIAIIGSVCHTSDHPFGPACDTKGIAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIQEEPEQISNYSRSLLHEAEWPGMVDKVTEHSKTPFIARVFMSISGSSQNTFPDIDFTEEGTSGAGPSSPKSLRCLAEPRMVRRIRVVAEKTPIQHVLQPPTIASLLAIIIGMVPVLKDFVFGADAPLSFFTDSLDILAAAVVPSVMLILGGMLAEGPKDNALGIRTIVGIIVARLLVLPCIGIGVVTLADKLNLLVEQDHMYRFVLSLQYSTPSAILLGAIASLRGYGVKEASALLFWQHICAVFSLSLYLIVYFKLMSFI >PAN05474 pep chromosome:PHallii_v3.1:1:27885592:27889886:1 gene:PAHAL_1G189300 transcript:PAN05474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYDFPTAGGYDPMAAMGMGMGMGGYGLGGPMGMGMGGYGLGGGEDDEEAMKDLHAGAGAGAGEGEGDHPPAELKVGEEREIGKEGLKKKLVKEGEGFDRPGAGDEVEVHYTGTLLDGTKFDSSRDRDSPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWASIKDICKDGGILKKVLSEGDKWENPRDPDEVFVNYEARLEDGTVVSKSDGVEFTVKDGLFCPAISKAIKTMKKNEKALLTVKPQYGFGEQGRPASGEEAAVPPNSTLCIDLQVVSWKTVTEIGHDKMVLKKILQEGEGYDRPNDCAVVRVKLIGKLANGTLFVKKGHDGEEPYEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPHHAFGANETDQDLAVVPPNSSVYYEVELVSFDKDKDSWDLKNNTEKIEAASKKKDEGNVWFKVGKYARASKRYKKALSFVEYDSSFSEEEKQLSKPLKISCKLNNAACKLKLKDYKEAKELCTEVLELDSTNVKALYRRAQAHTYLVDLDLAEADIKKALEIDPDNRDVKMGYRRLKETVKEYKRRDAKFYGNMISKLSKVEDTEGNDHESQGRTKKRGLWSLAELLRRFFTADGTKGSTLWLVLRLLILVVLVVAICVGYYMQSGVQEIDCINC >PVH66266 pep chromosome:PHallii_v3.1:1:27886933:27889886:1 gene:PAHAL_1G189300 transcript:PVH66266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWASIKDICKDGGILKKVLSEGDKWENPRDPDEVFVNYEARLEDGTVVSKSDGVEFTVKDGLFCPAISKAIKTMKKNEKALLTVKPQYGFGEQGRPASGEEAAVPPNSTLCIDLQVVSWKTVTEIGHDKMVLKKILQEGEGYDRPNDCAVVRVKLIGKLANGTLFVKKGHDGEEPYEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPHHAFGANETDQDLAVVPPNSSVYYEVELVSFDKDKDSWDLKNNTEKIEAASKKKDEGNVWFKVGKYARASKRYKKALSFVEYDSSFSEEEKQLSKPLKISCKLNNAACKLKLKDYKEAKELCTEVLELDSTNVKALYRRAQAHTYLVDLDLAEADIKKALEIDPDNRDVKMGYRRLKETVKEYKRRDAKFYGNMISKLSKVEDTEGNDHESQGRTKKRGLWSLAELLRRFFTADGTKGSTLWLVLRLLILVVLVVAICVGYYMQSGVQEIDCINC >PAN05397 pep chromosome:PHallii_v3.1:1:24737415:24741189:1 gene:PAHAL_1G176100 transcript:PAN05397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTLRMSSPSSSPAPPPAAVAAHRLSPLRIYLKHSKPRRHHLAAAVAASTRRTDRRSQSQTPHGRRGGAGSIWVNPSAPPRPGAANRTLRRLVELDDLDAALRLLLGGPSSTSTPAASDSVPEPPPVITCNILIKKLCARRRLADAERVLEALKASGAADAVSHNTLVAGYCRDGRLADAERVLEAAKASGAANVVTYTALIDGYCRSGRLADALRLIASMPVAPDTYTYNTVLKGLCAAKQWEEAEELMAEMIRNNCHPNEVTFATQIRAFCQNGLLDRAVKLLEQMPQYGCTPDVVIYSTLVNGFSEHGRVDKALQLLNTMLCKPNTVCYNAALKGLCIAGRWEEVGELIAEMVRKDCPPNDATFSTLINSLCQNRLVEYATEVLGKMHKYGYKPDVVSYNTIISCLSEQGCVDDALKILNGMLCKPDTISFNAVFKGLCRAERWCDATQLIAKMIKEDCPIIEMTFNILIDSLCQNGLVSDAIEVFELMSKYRCTPDIVTYSSLINGFSEQGLDEVAFDLFGSMPCKADIFSYNAVLKGLCTAARWDDAGELIADMVRKECTPNEVTFNILINSLCQKGQVDRAIEVYEQMPKYGITPDIFTYNALINGFSEQGRLDDALKLLNTMCCEPDTISYNSVLKGLCRSERWKDAEKLATEMLRKGCVPNEVTFKYANQLFMSNRTG >PAN05538 pep chromosome:PHallii_v3.1:1:43687690:43688214:1 gene:PAHAL_1G237300 transcript:PAN05538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRSNENMSIAPKDPSKSKGLAEDRMSSAAEVAGEASPIPPRKNRTTVCNRCVIAATPPPFLVVDEDELPRPTADFTEPPREADQQEVVEEEVAPGHGTPVAAALTSPSTGEVVTLGHVTPAAMGRLPRVPSRLFKSRWCSGNQNCC >PAN06193 pep chromosome:PHallii_v3.1:1:44626718:44630735:1 gene:PAHAL_1G244800 transcript:PAN06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKAARVADVPTLDVVAPGLVVAEADASAAIAAARGPGGRFSVVGHRGKGMNALASADRRLQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFIYTEEDGKISQKRVTDLQLEDFVQYGPQNEQGKIGKPLLRKMKDGRMLNWNVQSEDALCTLQEAFEKVNPRLGFNVELKFDDNLEYEEEKLTRILQAILKVIFEYAKDRPILFSSFQPDAAQLMRKLQSKYPVYFLTNGGTEIYTDVRRNSLEEAIKLCLGSGLQGIVSEARGIFRHPAAIPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITEAVSELIALPEPDSEVENLSSNQAAKGAATPNFSQREISFLLRLIPELVQ >PAN07784 pep chromosome:PHallii_v3.1:1:53340645:53344234:1 gene:PAHAL_1G362400 transcript:PAN07784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLLFLCLLAPFVLACAARGRRRRAAAPACGKALPLPPGSMGWPYVGETFQLYSSKNPNVFFARKQNRYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRASVPAIEAIALRSLRSWDGQLVNTFQEMKLYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGDIVAHIISARRERQRGSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPSVLKAVIDEQEEIARSKRSPDAPLTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMTFTRKD >PVH67004 pep chromosome:PHallii_v3.1:1:56357001:56360813:-1 gene:PAHAL_1G407300 transcript:PVH67004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGEGGVRSMRSAASRRRPSRSCRRSTRPSPPRSTLAPTPSSPTLLPPPPRSRSSRLRIPGGEGCRSPPACYDARVVDDAYQAACGALGAGRPDAAVRSLRVALASCPPERTAAILRRRYTEE >PVH67196 pep chromosome:PHallii_v3.1:1:59048573:59053132:1 gene:PAHAL_1G447900 transcript:PVH67196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDVVISTKLFWGGQGPNDKGLSRKHIVEGLKGSLKRLDMDYVDVVYCHRPDASTPIEETVRALNWVIDQGWAFYWGTSEWSAQQITEAWAVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSTYGIGLTTWSPLASGVLTGKYGKGNIPADSRFALDNYKNLANRSLVDETLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMKALDVIPLLTPEVIDRIEAVVQSRPKRTESYR >PAN07959 pep chromosome:PHallii_v3.1:1:54167790:54170343:-1 gene:PAHAL_1G376000 transcript:PAN07959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTANRYQHIKSTKPVVGKAKKLKDLMIKSDNRICADCGAPDPKWASATIGVFLCLKCGDVHRALGPDISKVLSVTLDDWSDSDIDSMLEVGGNSYANSIYEAFLPKNHPKPKPDSTMEYRTKFIRAKYETQDFLKPSLRISSRSSFKSTTSTKSVDSSFSSTSRKDVFEDTREFVGELNITVVKGTNLAVRDMLTSDPYVILSLGAQRDQTTVKPSDLNPVWNEVLKISVPRNHGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAVMAFGDTSRLGDMQIGRWFMTKDNALVKDSTVNVVGGKVKQEVHLKLQNVESGELELELEWVPI >PAN04466 pep chromosome:PHallii_v3.1:1:4712327:4718364:-1 gene:PAHAL_1G069200 transcript:PAN04466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVKPAAGMPPYHHRALPGPGPGPGQGPVPTAHGMMHREVRDPYGPGMHLPPPGHGPGPGPFPYDMLPPPEVLEQKLAAQRVEMQKLAVENDRLAVSHSSLRKELAAAQQELQRLQAQGEAAKAAEEQEMRGLLDKVGKMEAELKACESVKVELQQAHAEAQNLVAVRQNMAADVQKLSKDLQRNLGEAQQLPALVAERDAARQEYEHLRSTYEYERKLRVDHSESLQAMKRNYDSMVTELEKLRAEMRNAANLDKSGIFYKPITGQKDDGTSSHLSVGQIAYDGYGRAQARTTPTGLVDPLSGSPAGTGLHSGFDPSRGNTYDASRVASFSSSKSGTHDVSRVASGFDSLKSAGYDASKAPAIGGQAAATVAHGSSAGSYGSNQTTPPPYAWVQSASTYGSVQMPPSYASASVPSSYGAATAHPYGSAQALPSYGQTQAPAAYGHTQQLSSYGLAQAQSSFAAAQGSSPYGLAKQPPAYGSGRAAANAGSNYEALHGRK >PAN06895 pep chromosome:PHallii_v3.1:1:48919890:48921966:1 gene:PAHAL_1G295400 transcript:PAN06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSQGMMSLSYDAGGGSGRGLLACYVRAKPRPSKWDDAQRWLSSSRAPDDDRRRSSCADDRLLLPSASQKGRHSWSAADAAAAAVPAAAGPGARDDGDAETEAQTKRVDSVLAYGQQPRCLSLRDIGTEMTPAGSKEPSRANTPRATLAADPAPAPAPSTAGRASGTHASRCRPDGGSPRGHPCKGADADAEERGEAAAPTAVSPAGAWDAAERAKHMARYRREEMKIQAWENRRRQKAELEMKMTEAKAERMKLRAREKTASKLASAQAAAREKRAAAEAKLSRRAARVGDKADVLRRTGHLPSSSSTGFSLKLPLMCS >PVH66957 pep chromosome:PHallii_v3.1:1:55358979:55360082:-1 gene:PAHAL_1G393500 transcript:PVH66957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSCGRLVRAARHDTTRPVAITDGTGVNRESQKRSGGRRNQGGGGAGRRAGARADGGGWIGAQYSSRVVASHLADAFPRLISTRTGPCSAALRPPCSVSGTGRGAPASLAPHASPNHQGDQLPRLQLPRAAPNPVRRRSTLCPCARGRGRAGRGFHCPAPPAADPPAITIHQAPAAAHHVPCVARVRNFYGCGFKFQLHRPAEARLPPAAPRALRSRPDAGGDAACDRRV >PVH65946 pep chromosome:PHallii_v3.1:1:8340713:8344300:-1 gene:PAHAL_1G109800 transcript:PVH65946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPDPKPSREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYIGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYDNGVITPRPPPVHYSKPSRMIGIVTTEETPRMALHSKEITRTAALHKEVIRIARHSKETSRHTALSKMEEAIPHSRIMHKVYRMLEVLGGMIMQTVQVTVDHLVASKVKHLGTQGIQLVKVKVTATPKSAGTSRKAGRSFRPGGTSPPGSYGQPSTPGSYGQPSPPGSYGQPSPPGSYGQPSPPGSYGQPSPPGNYGQGPPSYPGGSRVPGVNPSYGDSRQGTGPAYGGDNWQRGSGQYPSPDEGQGNWQGRQ >PVH66899 pep chromosome:PHallii_v3.1:1:54330694:54334333:1 gene:PAHAL_1G378700 transcript:PVH66899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFFREIIPANKGGSCKNTCLSFHLEAINTPRLNSAKTLPLPSRTDVNGDVSPAAVRRPQNLPDFSSLPPAGMDLWTRARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSLEIAAEATKQADLLAGQIKHLASDLPVPSIPPIPAIPPIPSGTAAEPDAAELERYGITDDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQAKHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNSYTAPESRGREKGFPEGSIPVTGLTSYNCRTEGHNSPKQDFYVNESGT >PVH66898 pep chromosome:PHallii_v3.1:1:54330694:54334333:1 gene:PAHAL_1G378700 transcript:PVH66898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFFREIIPANKGGSCKNTCLSFHLEAINTPRLNSAKTLPLPSRTDVNGDVSPAAVRRPQNLPDFSSLPPAGMDLWTRARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSLEIAAEATKQADLLAGQIKHLASDLPVPSIPPIPAIPPIPSGTAAEPDAAELERYGITDDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQAKHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNSYTAPFENKYFEELKVKAEEEKKDSQKEASQSQASQATTAEQKDTTAPSKTSTSTNPEHDLDVFLLGDLGSDDEGPDVGDDGLDDDFDKIDATSGLESDDDDKNPAAGKAEDAK >PAN05253 pep chromosome:PHallii_v3.1:1:9978974:9981772:-1 gene:PAHAL_1G124800 transcript:PAN05253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLVVLVLLTLLLTVHIARADEKQSGDKAHGNKDAKGADGGESSKRGDGDNGVGPVKKPHCKKPGHGPDPHGDGHGPPKKTECDDDGQESPSPPGDSAEAPSYTGNDHQPPPPPSVAPSSPPPPPSQSSPPPTYEQPSAPPPQSAPPATPPSNNAPPPSSSPPPAPPQQYPPPPQSSPPATPPTNYSPPPQSSPPATPPRNMPPPPSSSPPATPSQQYPPPPQTNPSATPPTNYSPPPQSSPPTTPPTNAPPPQYNPPATPPQQYALPPQSSPPTTPPANNSPPPKSNPPTTPPTNYSPPSESNPPATPPSNKPPPPSLSPPATHPGTPPPQSTPPATPSTNYSPPPQSSPAATPPTIYPPPPQSSPVATPPPSLSPPATPPQQYTPPQSIPPAKSPSNISPPPSSSSPTIPPPQSSPPTTPPTNYSPPPQSSPPATPPSNKPPPPSSTTATPPQQYSPPPQSSPPTTPPTNYSPPPQSSPPATPPSNMSPPPSSTPPATPPQQYPPPPQSSPPATPLANYSPPPQSNSPPATPPTNKSPPPSSSSPVTPPVYQPNNQPPPPSSSPTTMPPSQSPQPPTLSPPAIPPTYSQPPTSPAGPVVSPPQQASPPPSSPSAPATPPANQPYNRPPPPSSSTPTAPPQSTPPTNSPPSSLSPPTMPPTYFQPPPSPSSPPITPSQQSSPPPQSIPPPTIPNDQAHNQAPPSYNVPDWTKGWQQIYNFRDAMYWQIGKSVVQQLTKNNQYLTLVDVLWASMMPAGIGNNYFLVLKMADVSSQNVGRYQAFVWGVPQQQEFPWKVLSFQYVGN >PAN07682 pep chromosome:PHallii_v3.1:1:52766238:52768874:-1 gene:PAHAL_1G354900 transcript:PAN07682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASSSPPATIVGPQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDLGGPGSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLWNRLFGSGRGRGHGADGGGGGGGGGGSRSQEPWSAVPSDGLDETLINKITVCKYKRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRCNITFVTVGVVSPSPSPERRGTREERTDNLELVLTIDDDSEQARDEPQDQNAASGNGGGGGEEAPKDCPGRSEDASGIVEIKEDGAPAVRASSSLSDTHREGRMSIADVLQASLEDELMMARESGLLAGSSGTGSSRRLHGEHSNKDGGGGRSGRALPDAAKRLPSVGRSCFSSRSGRGKDSVLPM >PVH66428 pep chromosome:PHallii_v3.1:1:44400904:44401487:-1 gene:PAHAL_1G243200 transcript:PVH66428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFRRTTPTRIRKSHRRTNQRLRRQPLLRRRRRELAMCALVRITLLQSVRTAKATTPPTWLLASLEEHRDRGDFLLADGERIACACSWCWYVYFEEDRAAEERAACPHHQEEFSQRLSTV >PVH65697 pep chromosome:PHallii_v3.1:1:3777277:3778834:1 gene:PAHAL_1G056000 transcript:PVH65697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSVSWPATEDLALQLPLRSDASFTHCPRASKPWSRERTASRLPSPQRQPIHPQAQRRHRCMIDQFGGTTHTKLWQ >PAN08253 pep chromosome:PHallii_v3.1:1:55662299:55666512:-1 gene:PAHAL_1G398100 transcript:PAN08253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKEPIEVRFRLFDGTDIGPTKYDPSTTVSALKEFILARWPQDKDIAPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQADKNSEKQLANSPKQNRCGCTIL >PAN03686 pep chromosome:PHallii_v3.1:1:1251118:1254509:-1 gene:PAHAL_1G015400 transcript:PAN03686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Tapetum development and degeneratio [Source: Projected from Oryza sativa (Os02g0120500)] MGGGDHQCMAAAAAAAAGDGGASVESALRPLVGADAWDYCIYWRLSPDQRFLEMTGFCCSSEFEAQISALGELPSSIPLDSSSAGMHAEAMLSNQPIWQTSCVSELPASYSSEHTSGGPRTRLLVPVAGGLVELFAARYMAEEEQMAELVMAQCGVPATAGDGEGNDGGVVHAWPEAPGFAWDGAAAGAGADPQRMYGAVPSLNLFDAAGNVSADPFLAAAPGVVDDAAAAGWQYATAAGSEPSVVAVQQEQPHQLHGAARAGGADSGSEGSDMQGDPEDDGDGDAQGRGGGGKGGGKRQQCKNLVAERRRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQNQVKALQDELEDPADGAPDVLLDHPPPASLVGLENDDSPRTSLHQPAAPLAGSKRPRAAEEEKAGSKRPRAAAEEEKGHDMEPQVEVRQVEANEFFLQVLCEHKPGRFVRLMDAVSALGLEVTNVNVTSYKTLVLNVLRVAKRDNEVAVQADRVRDLLLEVTRESYGVWSSAPPVGSGGIDAKLDGIDEQAQAAAEDHYGGYNHLLQYLA >PAN07694 pep chromosome:PHallii_v3.1:1:52849097:52852536:1 gene:PAHAL_1G355900 transcript:PAN07694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGARPKSAAAEGKSGKVTPPTPKGGRASKPASAKPANGTPSQAPRTADRSPRSADKPPSGERRTPKVFDRLSTPPAEKQNSAVKLSQELQAQLAAVQEELVKAKEELVEKEKEKGKVLQELEDAKRLADEANANLMVALAARKKAEEASETEMFRAVELEQTSIESMQKKEEELQRKLENMRSQQESDAAALRSTVEQLEKARYELADAIDAKNLALNQVDDAARLSEVNAHKVELLNAEVARLKDLLDTELESKEKEGAEQIMRLEAEVSALKIELQKAKDAEEKVAELGDVIEELRVDVANATKATTEAEELADEWKQKAEILEIKLEAANQSYMLKVDSLNSVMKELDAASTLLAEKESELSDLQNKLQALEDEVARQNEDIIASNERLDVAEKEAIELREEINELQSKLQALEEEKMDAINNENNASSHIESICEEKEKLAQELEASKDEYEKVKKAMEDLASALHEMSGEAREARERYLNKQEEIERAKAQIEELNMNLKNTQENYEVMLDEANYERVCLKKTVERMEAEAKNTSEDWQSKEASFVSSIKKSEEETSAMRVEMDKATETARDWENRNAELEERLKELEAQVEEANRAKDEAKAEALGWKEKLLDKENELQNIKQENDELQVKESSASEKLKEISSMLGNAKGRVLNGTGPKDENEKANTKEDDPVVVVAKMWENSKVTDYNLSTEKEKDGESELDLESNRGDAASDCHRLSTDTRMNNNTKLAIKQQQPKKPLMKKFGGLLKKKSQH >PAN05569 pep chromosome:PHallii_v3.1:1:19089518:19091488:-1 gene:PAHAL_1G158700 transcript:PAN05569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDESYDIDGVSRFLQTPPPESHLLAVTAADVEPAAPPVGAPQDPPAGVHQVGLVLQTPPLNPEPAPANNVGNRQAPTMDVEPPPPPPPRDPVPAARATTDPTPSFEALFDDIVLPDLGTMSLYDEFMDDGDDEEVEALIRSVEDAGNTGALAEHSVPPAEEDLDFVPFVRGQLDCSNCRSVREVLHESANPKLHFAVHVADPGTFQHAIFDRTYIDADGQIILNEMFYLDFRQRTHEWVKEFIANTVEMLMEDTSGQLMKDSSSAFHSAVCTNASTPAENDAHRELELDMLKHMFSSPTARTEAVAPQFAPEAPQPVRRAEENSNADGSLLGAANWHGAAGLSRPAILESFQVAVQDHGVGSGTSASHLAKQRKRTSGFPMEDVLNRMHMTRKDAAKELNISATSLKRLCRKNNTNRWPGRKIISINNKIKKLEEAARKNVGMIGLLAFKEKLDKLKLERAQLYSSVVRGVQENEKHNGGGAGSSGSK >PAN03643 pep chromosome:PHallii_v3.1:1:1118630:1124362:1 gene:PAHAL_1G012900 transcript:PAN03643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHERAANLALAALSLAPLVVKVNPNLNVILTACLTVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIVALSATLLPSIKRFLPKEWNDNLIVWRAPLIHSLSVEFTKSQIVASVPGFFFCMWYASKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKQLLEFDESKAEAEEAGEEEQDDKSKEDKKGD >PVH66926 pep chromosome:PHallii_v3.1:1:54880655:54881317:-1 gene:PAHAL_1G386300 transcript:PVH66926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTSTSTMRKVMVAMLLVLLVTSGSATRAAARALPATSPGGSTNNALPPPPPPPPSAHGRGLHRLLAVEENKSGAGASCGSSDKNIGCPSPH >PVH66557 pep chromosome:PHallii_v3.1:1:47886457:47886711:1 gene:PAHAL_1G279700 transcript:PVH66557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHCHRLAIVVALVLLATATQEALAVRSLSVLAPSSSPDVLPSARFDTPEKKRAAAAPSAVFDPDRMSKRRVRRGSDPIHNKC >PVH66546 pep chromosome:PHallii_v3.1:1:47716806:47719597:1 gene:PAHAL_1G277300 transcript:PVH66546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKARPVSFSPHLLQIPGRPAQFFYGFELLPVNPVRHPRAIATPIKPVGFIATASPARPARQVPARSPASSRAAPARDQPTMQPSSRARSRSWGAGPWGTTPPLSPRQQAKPRHERSRSTTSTYSPLLPSEQSHGDSGGMAEQAPAPARLEEEEELSSRPATETEGKASGSADAGMDRVVRRLEREAAAAKQTEMKMLESLVQQTKELEQAKIALEEARLEVATLRQHQQQEAGPAQQQQWSVMDLMFGGVDEEINGLRARLRAASQAEERSRKAAEDLTAALSAVTMEAKQVKAWLSDAQAELEASNAEVDRLRDLLQGAEAELWSATEQVDTLTSEWKEAAAGWRAREKALLARARAAEEEAAAARRENADLAGTRRALGDENDALRRALERASEDANAATEALELVSGENADLRDAAAEKERDLEALRRENESLRASEAAAQERAKDLEAQLLAAAAKAPATDDGAAVGKAAEIPLVEKWRREAAQGKLGAAAFLDPGRVLPGRKDRMFASLSNLAELKSAAAAAAMDDYDYEFDHLDVGQYGGGGGTEHAMKHKKRRSILRKFGDLFRRRSLYKPDLAPELHNHY >PAN06628 pep chromosome:PHallii_v3.1:1:47716806:47719597:1 gene:PAHAL_1G277300 transcript:PAN06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKARPVSFSPHLLQIPGRPAQFFYGFELLPVNPVRHPRAIATPIKPVGFIATASPARPARQVPARSPASSRAAPARDQPTMQPSSRARSRSWGAGPWGTTPPLSPRQQAKPRHERSRSTTSTYSPLLPSESHGDSGGMAEQAPAPARLEEEEELSSRPATETEGKASGSADAGMDRVVRRLEREAAAAKQTEMKMLESLVQQTKELEQAKIALEEARLEVATLRQHQQQEAGPAQQQQWSVMDLMFGGVDEEINGLRARLRAASQAEERSRKAAEDLTAALSAVTMEAKQVKAWLSDAQAELEASNAEVDRLRDLLQGAEAELWSATEQVDTLTSEWKEAAAGWRAREKALLARARAAEEEAAAARRENADLAGTRRALGDENDALRRALERASEDANAATEALELVSGENADLRDAAAEKERDLEALRRENESLRASEAAAQERAKDLEAQLLAAAAKAPATDDGAAVGKAAEIPLVEKWRREAAQGKLGAAAFLDPGRVLPGRKDRMFASLSNLAELKSAAAAAAMDDYDYEFDHLDVGQYGGGGGTEHAMKHKKRRSILRKFGDLFRRRSLYKPDLAPELHNHY >PVH66328 pep chromosome:PHallii_v3.1:1:34005356:34006602:-1 gene:PAHAL_1G208800 transcript:PVH66328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKIPTTLPKTPSRVSSCTQSCLWLRPPLELCMAQDSDDPLFVASIVVWVLVVILAIVALHCPLPRRVVR >PAN05725 pep chromosome:PHallii_v3.1:1:17917532:17925695:-1 gene:PAHAL_1G154600 transcript:PAN05725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDAALLAAVVAFLLPLRLISLALRLTSKGRGASGRHLRRSCAALAVAGALLAVIFALPRDRAGQCAVPVTAVVDGEGLRSEVEQLKLQLARLESLWDNNLKAFDEKGDSLEKVVDKKGDPLEEDGRIMRAMGLDIQSLINEQENMKKQELLCSSYFGDNIKAMEDEVRLIKDESRKMSSDISSVWSLAKDATEKVEALHSDIKKSQVITDEWGRMNSSINRLWSFVRNTEKKVEGLCSDIKKVQLITGEWGRTKFNRMWSFAKDTEKKFEDLYSDIKKKKKCVPFMRT >PAN05724 pep chromosome:PHallii_v3.1:1:17917532:17925694:-1 gene:PAHAL_1G154600 transcript:PAN05724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDAALLAAVVAFLLPLRLISLALRLTSKGRGASGRHLRRSCAALAVAGALLAVIFALPRDRAGQCAVPVTAVVDGEGLRSEVEQLKLQLARLESLWDNNLKAFDEKGDSLEKVVDKKGDPLEEDGRIMRAMGLDIQSLINEQENMKKQELLCSSYFGDNIKAMEDEVRLIKDESRKMSSDISSVWSLAKDATEKVEALHSDIKKSQVITDEWGRMNSSINRLWSFVRNTEKKVEGLCSDIKKVQLITGEWGRTKFNRMWSFAKDTEKKFEDLYSDIKKGFKQKKKCVPFMRT >PVH66306 pep chromosome:PHallii_v3.1:1:31546680:31549127:1 gene:PAHAL_1G201400 transcript:PVH66306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSQISVVARVKLVASLPAFPSFAVCLSPRPAVLISADSTEQAWPWLRARQLDARQIGLHRIGHVDAARCELLSSSSLRSVSICHRSTGQKCTMHEDCRELGSEVKKEHTSPWILWKQRNDCVFDGSPPNLKW >PVH66002 pep chromosome:PHallii_v3.1:1:9845273:9845581:1 gene:PAHAL_1G123500 transcript:PVH66002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDEDLPSGRRRRRGRSPAPAPAPPLDNDDLLSDILLRLPPLPSSLPRASLVCKCWRRLVFAPAFVRSFRARHRAPAQRSPPRLLYPWRKPPLLHLHTGTA >PAN08074 pep chromosome:PHallii_v3.1:1:54805967:54815627:-1 gene:PAHAL_1G385400 transcript:PAN08074 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MHCLAHHFLLPLPTASRHALPQTQTPPLPLLLLTSRAVRLHLASARSPSRAAASVSDDDEDEEEVYVDDDDDDEISIRDADDEDYDEYEDGDEGDEVAVDEESGGEDEEEAEDEDGEREDTSARRRESEEYKSRRVAKLVAEVREFGDDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWISQIHGKTELVTSNKRPVPLTWHFSKKYALQLLLDGKGKKMNRKLRMSNFQNLASPKSEFYYVKGKRRLRTNKNEQGNRSPLDISKQVQLSKHELSNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDTEASEVELELRRFKMQYPDAVRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHAVLVQTPYEGPEECCDIIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDLKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEEIERIQQEIQYLSSEITDESIDRKCREDLSEEDYADISLLQKRLKEEKQIRNELKKRMELERMAAWKNRLEEFESGHLPFMCLQYKDKDSIQHTIPAVFIGNLKSFADQKIANMVEEEDSLGTGKHKVDSGEQLYCPSYYVALSSDNSWYLFTEKWIKTVYKTGLPAVPSIEGGTLPRETLKQLLLREEMMWDKLAKSEYGSLLSMDGSLDTWSWSLNVPVLNSLPEDDEVERFSQEHQDAVECYKQQRRKVSHLKKTIKSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIKQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAVCGSLVSEGIKLRPWKNSSYVYEPSSVVTGVISYLEEQRNSLIDLQEKHNVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACSVMDRVPISELAG >PVH66762 pep chromosome:PHallii_v3.1:1:51795367:51797332:-1 gene:PAHAL_1G340000 transcript:PVH66762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEWIVGNLRRTGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHAVFRDLLGDGIFNSDGDTWVAQRKTAALEFTTRTLRTAMSRWVSRSIHLRLLPILEEAATEKTHVDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENAFASAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLARSVAHVDEYLAAVIKARKLELAPGSGRCDAAGAVAHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPDVERKIVCELCAALAASRGSHDPALWLASPFTFEEIDRLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGDDCLEFRPERWLSADGARFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVHQRDLAPVIDELRGADAAAARPATAPCA >PAN06906 pep chromosome:PHallii_v3.1:1:48970203:48972588:-1 gene:PAHAL_1G296600 transcript:PAN06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKAPSPPQQLSLANLRALSVLGQGARGVVFHVVPVAAAEAAAAAGDSSATAGADPMAMALKAMSRAAARHKGAGPGGTCGSDGHRRIWFERDVLLALRHPLLPSLRGVVATDAVVGFAIDRCPGGDLKSLRRRWRAETTFPESVIRFYAAELVLALEHLHGLGVAYRDLKPENVLIQDSGHIMLVDFDLSTTLPPPPPPPLPNATAQRVSSPSRRPSSRHRRRKSKKAAAAIVFACFSSRHAASPETSSAQSPASISMAASSASSSSFFSSGGARTPAKSNSFVGTEDYMAPEIVAGSGHDHAVDWWGLGVVLYEMLYGRTPFRGRNRQETFRRVLAAPPELPGEPTPLRDLIARLLEKDPRKRLGAHGVRRHAFFRGVDWDRVLDVARPPFIPAPDDGGAGAEALAESEALDVEKVVHEVFGASGNGEMPPTDVGSDGGKDYDFSIFF >PVH66168 pep chromosome:PHallii_v3.1:1:22860843:22861232:-1 gene:PAHAL_1G170500 transcript:PVH66168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAILVAMRYIQGWVLGSFILIPRYPLSDVGGHLYQKLEVYLINFAMSSPRDPPPYADQIPISPLCFDYIRCSIPLLLTFCVVFLSLAYLLILHNWDGYRRGAKGNVGARISTRSDCPDNELHQSASR >PAN06824 pep chromosome:PHallii_v3.1:1:48567131:48567628:1 gene:PAHAL_1G290100 transcript:PAN06824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHVFRPLIEEIGCSSVFAKPPHLQPHSAPPRDGVRPRRVATKIGSPALLLRHRPRRPHPHLRACRRSVADAVAAGAHALFSEVRPGARAPSLVAGELPHRSESALRARSLAALFVSLRPVSGPRRPGAARRLASRRRGHPWHQGSLRPPGWQAAICGGGGTIA >PVH67256 pep chromosome:PHallii_v3.1:1:59873289:59873678:1 gene:PAHAL_1G463000 transcript:PVH67256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWRRWPGSGARRRLGRGHRWRRAGRGAATATGRGAGGRRRMPRSGRCRRRRSSRIWRADSNASL >PAN04706 pep chromosome:PHallii_v3.1:1:5937995:5938906:-1 gene:PAHAL_1G085500 transcript:PAN04706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKVTPILLLAVLLGCLAFSANCEGGYEERRPPGDGRRCQITGDRMTVRRSSSRRKMMTNMVAGACVKNTCEGSTCYCCQTVPTKPCFLELDACLRVCSGPLLAEPLPVSSSSAGRQQAPRREASSA >PAN03571 pep chromosome:PHallii_v3.1:1:655666:658230:1 gene:PAHAL_1G007200 transcript:PAN03571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEATPRKAAAGAN >PAN04973 pep chromosome:PHallii_v3.1:1:7863035:7863709:1 gene:PAHAL_1G105600 transcript:PAN04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADDEPYTCYRWSRDFLVAHAVFASGLVTAPVAVLLLVHRPRSGRAIFFAAFAAFCTTSSLVLCLHFYAELRRPPWPRWRSPAAASGRWRQQQQQQQDGESVVVGRETTARETSHALRHPEQPVTRHAEVQTALASGRIPSYEHQLADDGGDVAEDCAVCLGGVEEGETVRRLPACQHVFHKGCIDPWLRAHATCPVCRSGVLPRPERPVEVVVVEHLELFVR >PVH66147 pep chromosome:PHallii_v3.1:1:20056629:20058419:1 gene:PAHAL_1G160600 transcript:PVH66147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKALGLPPGVRFDPTGDELVEFYLLPRALGRPPAVPGIIIEDAAAATTSASHPWKLLTRHRRTDDGAAYFFERASSSDDAKVGARQYRSCGSRWKWVGQRRWPDEALPPRGGEQVSWRKCALNLQEGRRKSGSTGWVLHEYTVASPQCPFPVKLCHVAFTGYGYKRQRVPDGGEGEAQELEPQAAPPPHKRAAATASSVITTATLDQEDLGEVRHRAQDQEQQFLDYGTSSVGDFCGSDAGFSQESSVLDPWCPDAGPSQQEPFALDQELAQNQECFTNQSQEQFVNHEGSSIEAYCALLLAPDLRSSQEPPAGQSLTEEQQLQLQLAQLLGGINSPRGPPTAPAGGEAAYHEEHIQTTSDLPLPTDQESCTTEKPDGNMGQDDFFEGWGDLDSFCDTTGVQTDDDEMAAGSPERLPAMVVEVQPVAGAKSEVKCDLDRHFLDQQTEIF >PAN06599 pep chromosome:PHallii_v3.1:1:47549968:47554792:-1 gene:PAHAL_1G275000 transcript:PAN06599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSGSVGGGSVGGGSSAGGGAAVPLAVLLRREVASERTASERPELHSGLFSQAKKGEDFTFLKPDCERVPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNILSAVPADLNREDWLAALPRSLVAAFVKTDKDFMTKARSSGTTVTFVIIDGLVITVASVGDSRCVLEAEGSIYHLSSDHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIIPVPLVKQVKLSTAGGRLIIASDGVWDVLSPEVAFNCSRELPPEHAAEQIVKTAVQSKGLRDDTTCIVVDIIAEKNNSSMPLPKKQPGIGVFKNMFCKKKSSDSSSHADREYVDPDIVEEIFEDGCALLSRRLDSEYPVRNMFKLFICAICQVELKPNQGISVHEDSSQPGSLRRWDGPFLCQSCQEKKEAMEGKRHSRDSSKNSE >PAN03568 pep chromosome:PHallii_v3.1:1:138102:138991:1 gene:PAHAL_1G000800 transcript:PAN03568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHLTVRDVLYFYCDARNVYERFVAIGSHPEQARNAVALLLWLDPAHHQAIRHLPSLNPAAVGIVAAEANSILDCLRQQSLVLPPIPFISALCQEGGIGEVDAAFLAFNQDLVVRGVADILDGAGALIFDDHLYRLLRRYQTGLVGRLRKLEAPYTCRPVTVPEDCRSMFVTFSKGEPIEREEIFDYFRQKWGDCIVRVLMEKTTGGTPPMYGRIIFKSEAFVSLVLNGEPLVKITVGHRQIWLRKYIPRPHNM >PAN09039 pep chromosome:PHallii_v3.1:1:59397795:59400225:-1 gene:PAHAL_1G454000 transcript:PAN09039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVACLLPLFLIPVVNALPYLFDLILSKVYRLLGWEYRRPERAPPACPYKPAAKKNDEGASESKPLGQPQGAAADDKKEE >PVH66079 pep chromosome:PHallii_v3.1:1:14045437:14046197:-1 gene:PAHAL_1G142500 transcript:PVH66079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRSMAAPVVFVVLLLVATDLCAAETVTARVVADETHCLSQSHTFKGMCFSSENCASVCKSENFPSGECKMHGATRKCFCKVVC >PAN03651 pep chromosome:PHallii_v3.1:1:1133736:1138126:-1 gene:PAHAL_1G013200 transcript:PAN03651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKLAKLKEAVGGLAQISENEKSGFLSLVARYLSGDEELIEWAKIHTPTDEVVVPYDTLESPPEDIEATKKLLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYASSSIEIHTFNQSQYPRVVADEFLPWPSKGKTDKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAYVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNSARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELESLKVSGDVWFGSGIVLKGKVTITAKPGVKLEIPDGAVLENKDINGPEDL >PAN03915 pep chromosome:PHallii_v3.1:1:2020381:2024536:-1 gene:PAHAL_1G028800 transcript:PAN03915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEMDADMDGVIQRFDHRLDRDGGSRGTDACGSDRDRSRGGSGGGGGEGAEGKEEVGGGGAVKERIARALRIYKEAAAGDGGGALVQVWAPVRDGGRRVLATRDQPFVLAAPQCHRLFQYRTVSLTHAFPVGGAGVPGERGLPGRVFDAGAPEWTPNVQYYGTGEYARISYALIYDIQAALALPILDPGTGSCVAVVELVTTSPRLRFAAEVDKLSKALQAVALRGSEICRPAAEVSDDEAAQLAMSEVSDILTTVGEAHKLPLAQAWVRCKRCSTSTEHASLMAAGTPYYLADADQSLIGFREACVEHHLRPGRGGLVEEAAAARGPRFCADVTKYSMDAYPLAHHARFCGLAGCLAVCVQLRRDNNDNGSMDDGSREQCVLEFFVPPDCREGAAQKAAADAVAATVTERFGNAHLKAIDISGLQDLAFEIVADGECVLRPDRVVMADAPEVELNDHGGGDERDSDEEGLHLASAVGAADIEAPKMNNDDENGGEDPRSQVGEKKKKAKRKGEKTVSLEVLQLYFSGSLKDAARSLGVCPTTMKRICRQHGISRWPFRKISKANRSLDKIKRVFESVPGSTNPMAASTPAAAVSHQAPAAATARGGHALPCLSSALGVTSSQGSCQAPPPPKDAALRTPLHGVDAGVVTVKASYRGDIIRFRVPSSAGVATVKGEVAKRLGLEASEFDVKYLDDDNEWVLLSCDDDFQECLEVVPALSGASSLSGSALAQPVVRLMVQEVPENHGSSCGSSE >PAN06470 pep chromosome:PHallii_v3.1:1:46940738:46944480:-1 gene:PAHAL_1G266000 transcript:PAN06470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSRIPFAFLVALLLLLSSSSTASAEEEAVLTLDAGNFSEVVAAHQFIVVEFYAPWCGHCKQLAPEYEKAASILSKHDPPVVLAKVDASDEKNKDLSGKYDVQGFPTIKILRSQGDNAQEYNGPRDADGIVEYLKKQVGPASVEIRSVEDATDLIGDKGVVVVGVFPAFGGSEYDNFMAVAEEMRNDYDFLHTLDGSILPRGDKAVKGPAVRLFKPFDELYADSQDFDKDTLEKFIEVSGFPTVVTFDTNPTNHKYLLKYFENDGTKAMLFLSFDDDRIEAFKSQFHEAAKQYGEKNISFLIGDVTDAQGAFQYFGLKESEVPLLFIQASTAKYIKPTVEPDQILPWLKEYSDGTLLPHVKSDPIPVVNDQPVNVVVADNLNDVVFNSGKNVLLEFYAPWCGHCQKLAPILDEVAVSLQNDEDVIIAKMDATTNDIPPDFAVEGYPTMYFYSSAGNLLSYEGGRTAEEIINFIKKNKGSKPGEGAVGDDAAETDAMEEQELALESVKDEL >PAN08683 pep chromosome:PHallii_v3.1:1:57938123:57939540:-1 gene:PAHAL_1G429400 transcript:PAN08683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNGSQHPAATPPEPLRGRVAIVTGGSGGIGAAVTAHLASLGARVVVGYIGDPAPAEQLVAALNSGSGAPRAVAVGADVSDPAQVARLFDAAQAAFGPDLHVLVAAAGVQDAAYPSIADTMPEQWDRAFGVNARGAFLCCREAARRLTRGGAGRIVTFSSSNVGSLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFYAGKSEERVAAVARECPMGRIGEPDDVAPVVGFLCTDAAGWINGQVIRVNGGYV >PAN04324 pep chromosome:PHallii_v3.1:1:3874071:3875331:1 gene:PAHAL_1G057300 transcript:PAN04324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRMAPCSKLPPLLVTLAVLLPAPRSLGAGFGVGAGTIDVTKVLAGFPEFSTFSSMLTETNVALAISSRDKVTVLAPDNTAVAAAFSGTLRVPRSLLVDLLALHVVLDYIDEPRLGALQHDRKGEGSVVTTLLQVLGAPPRGVGFLRIYSGDGGRAMLSSATPGGLGRNATVEKLVTAKPYSVAVLQVSGFVVPPGIRVQRAFPPRTSRHMAAPPRKPAAPAPASRRRPDPPRRPQSGPARWFRAR >PVH67092 pep chromosome:PHallii_v3.1:1:57734137:57735963:1 gene:PAHAL_1G426600 transcript:PVH67092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQQHHHHHTERWADLLDDDGGDLDLGMLLPPPVVVGPDAKGIKTVTEYRIDGEGNKVKVTTTKRVRTVRRSRSAIERRSWPKFGDAAREAAGSRLTMACTEEILFDRTRATGSKDKELSTKVDSLVKANDKGGGLLMVCRTCGKKGDHWTSKCPYKDLAPQQGESSADRPPSSDGSASQGGRGAGVAGAYVPVFRRSGADKSGADVMRRRNDENSIRVTNLSEDARDPDLAELFGQFGPLNRVYVALDRATGASRGFGFVNFVHREDGERAIKNLNGYGYDNLILCVDWAAPRPN >PVH66451 pep chromosome:PHallii_v3.1:1:45512161:45513386:1 gene:PAHAL_1G250400 transcript:PVH66451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEGRRVRRRRRPGGGLVVVGGGWLVLVPRALLTLGRTTRRQLQFPLVGLYLQRPHRPPAPLLGLLVLPPLLPAFVHVLLVDERLDHLRSLAKLLCFLPAGN >PAN08030 pep chromosome:PHallii_v3.1:1:54481843:54486896:1 gene:PAHAL_1G381200 transcript:PAN08030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTIASSSSAFLLLVASSSPRRRRSRVGAALRSYGYGGAGLRLHWAHRGPSRDGAAAVRAAAAPGGGEGEEAAAALESSTLKGVAVQGSKDKAADSASPPKPVTSTPKQRRSAAKQNGALESSSASKSAAPVSEPKAESPAPVSETETDARAKVSEPKPTALDDAKECVGIAETVEAKADAAAATEAAAGAADDSEDKEPGPLAGPNVMNIVVVASECAPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEARDLGVRRRYRVAGQDSEVTYFHSYIDGVDFVFIEAPPFRHRHNDIYGGERLDVLKRMILFCKAAVEVPWYAPCGGTVYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYARSVLVIHNIAHQGRGPVDDFFSFDLPEHYIDHFKLYDPVGGDHSNVFAAGLKMADRVVTVSSGYLWELKTLEGGWGLHDIINQNDWKLQGIVNGIDMSEWNPAVDVHLHSDGYTNYTFETLDTGKRQCKAALQRQLGLQVRDDVPLIGFVGRLDHQKGVDIIADAIHWIAGQDVQLVMLGTGRPDLEDLLRRCEAEHSDKVRAWVGFSVPMAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADAGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >PAN06044 pep chromosome:PHallii_v3.1:1:36040317:36044292:1 gene:PAHAL_1G214200 transcript:PAN06044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALMPERRKSRSRLSTVRVGRSPAAASPSPPSRRRRKSGSGSGARGLRMAVHPAPLGPSPRSRTRREGKGRALARSASEPALWFGSRVHSVSDGLYYDSPPSPPPPPLERPHTCFDVFVPDLPFGHSPSAASLAKPSPWEEAKVVVSVTVEGSVGPVKAMVRLDASVGEAIAAVVERYAREGRSPRLEPAAAEGFQLHHSHFSLQSLNKNDKIGDVGGRNFYLHKNDSSNRLYLQSEEPDGNSTGSETSWSHEQISSAPYHYQVFTIVIKKLNKIGRRTKRIWRFITCDNCT >PVH66000 pep chromosome:PHallii_v3.1:1:9811975:9815895:-1 gene:PAHAL_1G123200 transcript:PVH66000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPPGDGAGGEEPAPGPAPAALEEEAPAAPAAPAMRLWPEEIKVYSRKYPGKNPKPPEPAPSPAPSPSPSTAPSPAPAPSPSPAPAPAPAPSPAPAPNPNPLSETLSSIRRSIRRGEAGGSAPRPDPVAAASTLAPEPPGERAAASEEPSSGHDRDGGGGVVPNGHGDDRAAEKAEKARKRRARSELRRRLAGELDQVRVLSRRLKEAAETLAQQEAAAPPPAPLPLVVMPQQQVVDAGYVQQQFSAGDMGTPMSAQLVGAVAPVRSLLPRRPLTVSVAHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPPSDLHGRKKSKHHKKKHRSQESHGADFDAERRLYSHAFKKSSSLLSRLMKHKFAWVFNKPVDPAALGLHDYFAIIKHPMDLGTIRARLSQGQYRNPKEFADDVRLTFHNAMTYNPKGQDVHFMAEQLSGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSMRRHMALDSSRPISHTPTYTRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHSVQLPQQPQPPQVAQEPNVGEKSPKQIEKDTMAGKQLATSAPEQNDENRQNASSSSSSSGSSSDSGSSSSDSDSDSSSSDGSDAGNSS >PVH66321 pep chromosome:PHallii_v3.1:1:33167480:33167892:1 gene:PAHAL_1G206100 transcript:PVH66321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFAALYELYICDQTMKAKWPESTKYLCIVVFLWVCHQRRNTSVFVIAPRK >PAN08726 pep chromosome:PHallii_v3.1:1:58106181:58110408:1 gene:PAHAL_1G433000 transcript:PAN08726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT4G31990) UniProtKB/TrEMBL;Acc:B9DG21] MASAASALTSPAASAVAARSKAQVLGGGKNQGRNGCRVGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADKNDLKLNLGVGAYRTEELQPYVLNVVKKAENLMLEKGENKEYLPIEGLAAFNKATAELLLGADNPVIKQGLVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIEAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDAFSVRLFVKRGMEVFVAQSYSKNLGLYAERVGAINVVCSAPEVADRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEQMAGRIKNVRQKLYDSLSAKDKSGKDWSFILRQIGMFSYTGLNKAQSDNMTDKWHVYMTKDGRISLAGLSLAKCDYLANAIIDSFHNVS >PAN05160 pep chromosome:PHallii_v3.1:1:9297778:9303126:1 gene:PAHAL_1G118600 transcript:PAN05160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEESAASTAPAAEHRPPPPPQIRVVRCPKCDKLLPELPNYSVYVCGGCGATLQAKKNSASDTSSDKSDGEHVKYLEVLESLPDKNGDATSEARCAVREADTNKVEGRPEERFVPNRMAAAHSQSGFSFNDSQATCAPSSTLKLEPALRDVSREVREAKYRRIRHEEKGEAKQPARARENSPRSVVSSIPPPNAYPREGPSEYTMKPGYRHANGDLGDSRNSDGPSRVGGLEKDRSELLRILDELRDQVQRSCEIADKPSGSASTNRVVDAASSYNPNERLSRLRYGSPQLQRNGSQRSPSLNGHTPGVPPAYPPMSVQQDLHGYGEPVTHIGAPSYPVGPYPWRNFDNYFHGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVHGAPLGFNHRKAPYLMNNPSLYPVEGPVMFGAQNYNSRGMNGLMRHSHMRATLSKKPAQTCEPIVNGAPFTICYNCYEVLQLPKKSLALGKDEYKLRCGSCSHAIVVRLDGSRLDVSAPTPVSHLSPGSKNCSNNGQGSNGHTADERLLQSYSFSVCSHCSQEKDLPSNSSEADKMQSISSSASISEDDDSPARSNSHKNSAGSRDLPPDAEVVNRVPSLPLRDHFGYSPSDRAVDGSGKGSRSTRSEHEKGVLTESFKPSTVKDVPVASVLDLTDDEYDDPEYSQDPGDGAQYADHPRATKSGDSFFSSLIKKSFKINGGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIAEFNYPMPKNCAGGNTGVFINGRELHQKDLDLLVGRGLPDSPDRSYRVEISGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRVLQ >PAN07290 pep chromosome:PHallii_v3.1:1:50795864:50798287:-1 gene:PAHAL_1G324000 transcript:PAN07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLAVAVSVSVSAAAPASSPSPVTAASPPRVALRSGLPPTCRALRARPRSRGAAVVCQAQGGQDTAIQVPDVSKSTWQSLVVESELPVLVEFWASWCGPCKMIDPIVGKLSKEYEGKLKCYKLNTDENPDIATQFGIRSIPTMMIFKNGEKKDAVIGAVPESTLITCIEKYVDGR >PAN05742 pep chromosome:PHallii_v3.1:1:11801643:11804224:1 gene:PAHAL_1G134800 transcript:PAN05742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVALLFLFLSVPRRCSATTATTAAAASPPPPPPPPPPAPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLAERLGLPFVPPYLEQNMRTGAGGVGLSNVDGMIQGVNYASAAAGIISSSGSELGMHVSLTQQVQQVEDTYEQLSLALGEAAAANLFRRSVFFVSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVSTMRQEIKNLYNINVRKVILMGLPPVGCAPHFLEEYGSQSGECIDYINNVVIEFNYALRHMSNEFINQHPDSMISYCDTFEGSVDILNNRERYGFVTTTDACCGLGKYGGLIMCVLPQMACGDASSHVWWDEFHPTDAVNRILADNVWSGQHTKMCYPLDLQQMVKLKL >PAN07788 pep chromosome:PHallii_v3.1:1:53368895:53377531:-1 gene:PAHAL_1G363000 transcript:PAN07788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDILSGKLLFSSCAAAKPPRKKVIKVLATGASPSTPSRSRSSNSSSLRPRRIRTVPSSPTKQAPQPAVRSSSGTVKRRGRRSKVEEEQGVGGGEKGGECVPSTEEASISVGTLYQNGDPLGRKELGRCGVEWLRQGMGSMASKLAAAELEGDLAGAALALEWGSAEGRLGFVIQAQPYLSAIPMPQGLEALCLKACTHYPTLFDHFQRELRDVLLGYQNQGLISDWRDTQSWRILKEMANSSQHRAAVRRTSPRPKAIHSSIGISLKKVRLMQARIEEFVRHMSDLLQIERDVELEFTQEELNATPILDDDSEPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVLFRVEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCKQGFVYNFGEDGCSITVALESRHGDATFSRLFGKSVRIDRIQGLADALTYERNLEALLLLQRNGLHKDNVSIGIVATLFGDSKDVVKMAKNNLTDWDESSGPDLSLPERHAYDASQLRALKLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVKQGERVLVTAPSNAAVDNMVESLSSSGLNIVRVGNPVRLSPSVASKSLGEVVNGRLRQFRKELERKRTDLRKDLRQCIEDDSLAAGIRQLLKQLGRDLENKEKETIREVLSNAQIVLSTNTGAADPLIRRTGCFDLVIIDEAGQATEPACWIPILQGKRCIVAGDHCQLAPVILSRKALDGGLGKSLLERASSLHDDLLTTILSTKALDGGLGKSLLERASSLHDDLLTTRLTVQYRMHDSIAMWASKEMYNELLKSSRSVASHLLADSPVVKATWITRCPLLLLDTRMPYGSLNIGCKEHLDPAGTGSFYNEGEADIVTQQVLNLVHCGVSPTAIVVQSPYIAQVQLLREKLEKYPELSAIEVSTIDSFQGREADAVIISMVRSNPLGAVGFLGDSRRINVAITRARKHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVAPGSLDGVSGLGFSQPTLPSIS >PAN05187 pep chromosome:PHallii_v3.1:1:9512333:9516407:-1 gene:PAHAL_1G120600 transcript:PAN05187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIGSLLRALVLRAAASSAGRRRGPRVLCGRAADVRVTLRHGYGWRGLRAVAGRMMLDSSDPASPAAAEAGQVQPQRRAAGAVPQAHDGGYASGGWERGKRATMEDFYDVKLTEVDGQAVSLFGVFDGHGGSRAAEYLKEHLFENLLKHPEFLTDTKLAISETYQKTDTDFLESELSAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAMALSEDHKPNRIDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPYVVAEPEIQEEQVNGELECLVLASDGLWDVVENEEAVSLGKLEDAAESAARKLTEIAYSRGSADNITCIVVQFHHDKTG >PAN05188 pep chromosome:PHallii_v3.1:1:9512333:9516406:-1 gene:PAHAL_1G120600 transcript:PAN05188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIGSLLRALVLRAAASSAGRRRGPRVLCGRAADVRVTLRHGYGWRGLRAVAGRMMLDSSDPASPAAAEAGQVQPQRRAAGAVPQAHDGGYASGGWEREDSKLSCGYSSFRGKRATMEDFYDVKLTEVDGQAVSLFGVFDGHGGSRAAEYLKEHLFENLLKHPEFLTDTKLAISETYQKTDTDFLESELSAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAMALSEDHKPNRIDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPYVVAEPEIQEEQVNGELECLVLASDGLWDVVENEEAVSLGKLEDAAESAARKLTEIAYSRGSADNITCIVVQFHHDKTG >PAN04321 pep chromosome:PHallii_v3.1:1:3850455:3850729:-1 gene:PAHAL_1G057000 transcript:PAN04321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVLTYMQSLSAATGVPPPASLFAQPPPPDPYSTPRQSAASNDPHATPSPNQATPNQPSRNLRM >PVH66978 pep chromosome:PHallii_v3.1:1:55697465:55697701:1 gene:PAHAL_1G398700 transcript:PVH66978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRQPPSLFSRHPPTSPWTLGPDKPNQLRSRSRPRPPFIKPAGPRRAVPELGSTTRPSQQTTRPAAPCPLGFAAAPR >PAN03614 pep chromosome:PHallii_v3.1:1:993549:997823:-1 gene:PAHAL_1G010300 transcript:PAN03614 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MESTPGHTPLIHGLPDEIALICLARVPRRFHNVLRCVSKRWRELLCSEEWHICRKRNNLDESWIYVICGETGIKCYVLAPDPSSRSLRVMHIIEPPCSGRRGVTIEALDKRLFLLGGCSWLNDATDEVYCYDASSNRWSTAAPMPTPRCYFVSASLNEKLYITGGVGLTDKSPNSWDIYDWATDSWCAHKNPMLTPDIVKFVALDEKLVTIHQAAWNRMYFAGIYDPLDRTWRGTENEIARCFSSPTVVVDGTLYMLEQKLGTTLMMWQKDTKEWVMLGRLSDKVTRPPCQLVAIGRKIYVIGRGLSVVTVDMDTAARVDGFLVTTSTGPLVEQDLSPDRSRVITI >PAN08944 pep chromosome:PHallii_v3.1:1:58966529:58970736:1 gene:PAHAL_1G446700 transcript:PAN08944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQDRRHKTKQDLQVLAPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDVSPAGRDRSNTFKMAINPPAQTEDKQRDSQARRSSPTKRSNSPTKRSGGTPVKMLMEQDMWKEGVPDEEPLNVVARLMGLHDAPVQQSNFVLGSQLDKEYQSGGFEENYRNIKMKKESKCHQNRKAGPRHQHPWSGFGDQPSRINSSQSRLQGNEPCCENRMSLVREKFAEAKRLATDEKLLHSKEFQDALQFLSSNRDLFLEFLDEPNPLLSSNRYEFQPAAPPSEVKQITILKPSEPTKRRGSVLVGRQLFSDGDESERNRYRRHQSLDVSPANSNLSEPTKIVVLKPGLANSHDARIVRSPLSSAEESEDESMMTVDETVSSRRLAKEITWQMRMRLKDKQDEESMLSCEYPDFYIGDDSFSKSEVEIAKEMSGETSEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREGKKKILERWSMVSSTVSSEEEMEGRRSTGTLGDMLTIPKVKVQEDIEGETLESQASELEAEEPFSCLPRSRSLPVSLSGIESNGVASGTQVAQKERIRKSSSFREKVSSLFSKNKKSTREKVDPPASNRLQHGGAVTNGDVREGWNHLVLDNCQKQNICLNTDEKKTMQGLVISSWHTNSTANIPSKDISSMSSLSAPGIIGDPQDQPSPVSVLDGPSISDNNRRLLYSSENLIASSPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDLDSLTFIQKLVHSCSMDREGCLLAEPLDPKLLEKFSDYQEEGVKLGKRRSKQRLLFDAVNEALTELASMAELTAYPWGRSCSLEHRDCKNGSSNSAAEEIWRVIRNWSILEKYPPGEAIERNILLEMILKREVAEAASADTTRLEIFEVNATICAMVLEDLVEEILLDLTNN >PVH66642 pep chromosome:PHallii_v3.1:1:49368090:49368699:1 gene:PAHAL_1G304000 transcript:PVH66642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQFSLPFPKHLISPSASRLSLVWISPVPRMHLSFSCHECLAGASQPSAPPLVASVSHQTCWCAVACSSLQFDGGITRFDGTNAQLELDSAAWPVDSTANKLDLASPVLDSMADELNSTAT >PVH66393 pep chromosome:PHallii_v3.1:1:42856795:42857764:1 gene:PAHAL_1G233500 transcript:PVH66393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPQPPAVADPALTLPTNVFNSDDSDTQTETDPSDDEFRGYILPVPRGPALHIFGHADGTFGCLVCPDLGHRWTRPNEVKDHIVGKANSSTPRAENKRRYSHHRVLARNEGWI >PVH65558 pep chromosome:PHallii_v3.1:1:1808342:1822282:-1 gene:PAHAL_1G025400 transcript:PVH65558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDEAREAADGMEVQVEAVDLGSAHFHAHPEVKLSTIMGIKNVGEIINSTEGTLKIPEDQVLVEAPSEVALPPEHNLNGKASSLNGHVDIEEKISNAQPHENNHEEAELDGTSAHQSNGISKEEMTDGLSHIESTTEDRSLLKHEKDEEPRYEQQDSGVTVADDLVQEDTLKTDSSIEQTDDDQHDQNQEPEKTNEDTQPSSIANNADIEEAEASTGLQTLVEPHLDDSGSVPGTIDEKMETEEPANADGVIHPDHKESFPEDTSIAGPTEEVVKVDQQSQQADVMDADAVQEEMLKCEETHVQEEVPKSDAHEPTTNTQEMLNKESAEEIGDPMNEKNEETDPTKKENEETVHQSNMEAPKETKPELETTTRVPPANVQVQNHEPSEEIEDAEPVDTEAEMQQSSVAFEDAIPEDQCNLGVAMDNSTVPEDTVKAVEQTDDGQQDQDLEPEKATEDTQPASMENTPGVEVAAEAPSGVQTPVEPNLDNSDAVPDSIDGITETDGPTKADGVLHPDHKESFPEDAPIAEPTEEVVKVEDQQSQQADAMDADVVQEEVPRSEHAEEPATDAHEVLNEEFTEETDGPLNEKTEETAHQSNMAAPEEITPENDTTAGEPPVNIQVQNQESVEEIEDAEAEMQQSSVAFEDAIPEDQCNLGVAMDNSTVPEDTVKAVEQTDDGQQDQDLEPEKATEVAAEAPSGVQTPVEPNLDNSDAVPDSIDGITETDEPAKADGVLHPDHKESFPEDAPIAEPTEEVVKVEDQQSQQADAMDADVVQEEVPRSEHAEEPATDAHEVLNEEFTEETDGPLNEKTEETAHQSNMAAPEEITPENDTTAGEPPVNIQVQNQESVEEIEDAEAEMQQSSVAFEDAIPEDQCNLGVAMDNSTVPEDTVKAVEQTDDGQQDQDLEPEKATEVAAEAPSGVQTPVEPNLDNSDAVPDSIDGITETDEPAKADGVLHPDHKESFPEDAPIAEPTEEVVKVEEKQSQQADAMDADVVKEQVPKSEHADEPATDAHEVLNEESTEETDGSLNEKTEETAYQSNMAAPEEITPENDTTAGEPPVNIQVQNQESVEEIEDAEAVDTEAEMQQSSVAFEEAIPEDQCNLGTTMDGNTVQEGMLEDIKQTENDQQDQDLGPEKATENTQPSSIPDVEVVAEARSGVQTPAGINLDNSDAIPDTTDGNTETNESAKAEGATATSELKVTETEEEPKDSEATEAQEITEHGHVTPSKELSAEDVLIADEPHNDDIQSTLGQDLVEVKETVFTSEEATVKDSVTVEEPTCDSQEVDNAESTQETKGNTAKNIAEVSDVVIVDEAQTEDIAETHMREVEPEETKDTEPVEPEEASDQRNAVLFNDLTQEDTPESEMQQTGSATETTETEAAPQESSDCVSEEPSPEEHKIESETDCDTQEVSITESLEISGDKDIITEGISGQSSMISAGELAQENDVPESEPTADIQPVQEPEPEDIKNTERVEVKETSHEMKTTISQTPAEEDNPETIDLHESERGLSNTEATEAETPDQSDASQSEEQAPEEIASEPQVLEPETVEEMSDTEAIEPPNVSQDNLISTSGESVPEEIATEDNTTTKPDVDHQQLQDQESTDIKETEADKPEGIASSCTLSTSEQSTSEDNATTIEPRFDTQEENSEPAEVTEGTENVKNSTALAEAAAPEEHVEKDATADTSPVQEPELEETKDAEPAGTEDIMTPRDLPAENKNMETMGTEAVPHESHVESVKELTEDDAEPVLELESDEDTKCTDTMEYPGEPNGSTSDEPTPTEENTTVTEPDFDTQQVQNMTSQEIKNGEDAKTDEFSDLSSFPTPEGADQESNLPRTESPTDVQQVQELGSTEETRDIGSVGIEDHQQVSTFEPVDGEPNVDDQQLHAGVKEKEAMETEEVVWQNNFASHVDATEEGSERRSDPDSYVQPAQQVELSRDSEISQLVKAEETSGQVNAVTIEEIPTEDSVVSEIEPPVDIKQEHEQEPVEEIKGIDANEAKEEFITSQVDALEKPASEGNIASIEPTSNIEQENELEATKEIDGIEAINDGEQAENATLEYPSPTDNETTPEGHPAELNEETIGNETDNVMLVPGLKDEIQTSLELKDGACDLGETVLTTQGSENVTDEDAVQSSGDDILDTSNNIDQFKEEQKDGCEHNSSKMSGAQNEENIIHVQDRDISVELLTKRGTDEEASQALFESDTTEDGEKISDLNRQPDDVALQLQTCEADALSIGRQDEVAQKVDLDQEQNEDEHIQSQKEELQADEQKHDDKAGDFTTEPLVEPEGIKNGNTDRTENTDACEAEETEAIITEILKHEEAPHVYEESTPSSMDMKVDCIKGTEEDADAKHDNKDEEENAEKDDIVAKNSTDKQDETTAEITNEELGPGLASSAQEASDLAPSNDDILENDPAAVTQNFESGEHREDKECTGKVNDDVHTSRAAEKEIADEIHDNKEIRNEDNAIHHDESQTKPEEDVAPKPEDNAKIDDTTTTLGGEIIDGNASIKPREIEEIGENKGLESTSNPFVESSIQNNVEHDLHHKVEDEKLSMAEQNDVDIEAMQEKADESASDINQMKQCQEGINTDDVQQLEIEENSFDKIDETISHEKTETRTTEVTINDNIIDKARGGDGGPSDESLKTFNDTGRDLDVSSVITASKEESMNENMEDHKLVLPAHTAQDENTPEQVLWLENAEREMPSSEKLLPTEPEDKQIPNESNEEELQDENQIPNEKNEEDMQDTEVGDAQKDVEQDLPVSHFLMNLILGKKNADADENSESEAERKEGETTEGDKCVVISKQEENMGSLSTENKVDDDLTFEQEKHDVKCSEETQEMVKGQIDNLKLDTEISTQTDDEFNKNTRDLEIPAYQGTTQDKISGELLSEEAASVSTKMETRDIEIFNLELDDKGVDTVCQENTEVSEKIENESLNSNINDLTNIEASEKDTLGEGQTGLLHDSLPEDKSANAVAEQTPLLTESGMIDAKDFSCDAEAVQNLACEKEDETTESSTMEATSTSHIQLECEEVEKKEEEQHASIDTDKVSEEAVETSNDSPQKSTRSEVTPDEQAPQITEPVTDTEKILAHEKEIYEGSTCMDEKENSNFSIKGVENFQTAFEIQADSPNMQINQDKKDEIADNETAMGPEKLGESEFQEHQETGTEQKSPKASDEGDQQFLVEKETMNKEQMVPGTVESHEQTVSVKSNEEQELVVSKVQECDFNVVSPREASEAEENFVDVTKTEFNTDEDQSPKADAEEKAYNEKIKNIEGTKNFTDEAEVKTEAPRATQKAHKKLSLLSGVGSKVKAVKQQLAKVKKAIVRKPGNTKPDSPKS >PVH66218 pep chromosome:PHallii_v3.1:1:25762152:25763444:1 gene:PAHAL_1G180500 transcript:PVH66218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDVFTLGMRSTQLSESLNSELKIHFKSDFDIIRFLKHFERVVADKRKKELDAEFESRRKQPRIKMKTPMLLQASKLYTPIIFEAFQGEYERSLVACTTTLEGNNEYLVAIGSLDENFTCFEKEYKVTGDPLKQTSTCSCGQFNRFGILCGHALKVLDLMNIKSLPAQYVLKRWTREARCGIVQDNEGRNIIENTKLDDMLPSNPGCTLLVNNTLGVLSKQVEEEINGCTDNVEPVTIPINVAPPSDLVSTARLKKKEVQTKISKRQKTWLDKKRKFTKKGSKKKGQGSMQEQENIKVPSVDGVPVQNISTSTSLPKEGMSEAYMTINTFSQLLTGPFTNDLDAEFESFRE >PVH66395 pep chromosome:PHallii_v3.1:1:42978720:42981013:-1 gene:PAHAL_1G233700 transcript:PVH66395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFERFMAVLKKYVRKCSRPEGCIAKGYGTEEVIEFCVDYLPDLNPFGLPVSTSPPTYRRPDVLFHCCRCPKLRPPRTSSSSRHCCRHPKLRPPRTLSSSRAARSAQPGRPAAPPPPPPRLGVLAPLLRVLAALLPPPEAPAAAHLVVLARGPFRASPAARLPLLGVLPPLLRRPKLRPPPSTPPSRPPPRPPIAKTDSR >PAN07431 pep chromosome:PHallii_v3.1:1:51544271:51546345:1 gene:PAHAL_1G335800 transcript:PAN07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAARPAQPIPVPGCSTGGPIMRAWRHGPSPSPAAAAHAPALATAASTTPPIRRLSAAKRPSTSSRRCSLSSVGSPTSAARCLMSSKGSGGAYHRFGGQLVII >PVH65831 pep chromosome:PHallii_v3.1:1:5968617:5969828:-1 gene:PAHAL_1G086100 transcript:PVH65831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGEASPCISVIAEKIPRGEGFLPERESKHLAVLARVVEALVEDEAAEGPGEKGANGGEVEGRAPDAVMTFDVEEVC >PVH65582 pep chromosome:PHallii_v3.1:1:2054046:2054799:1 gene:PAHAL_1G029400 transcript:PVH65582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGRSTEVRVPGSIRPCSTGGTSRAHTQWLATTESTQTSGRSTPPCRGGDAGDEGEVRARQPPAAQLASVARRSLLREQVSER >PVH66768 pep chromosome:PHallii_v3.1:1:51891717:51892265:1 gene:PAHAL_1G342200 transcript:PVH66768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRACTQPSTVHWNNGLNSSASFRTTASSCSSTERQISSATEGAPGGGKCAPISAGTSATNTREVPNECPVGKARAPRLPTPPKSCRSTGAWAPRCVLGAGVATSRPGHGQC >PAN03748 pep chromosome:PHallii_v3.1:1:1424785:1425033:1 gene:PAHAL_1G019000 transcript:PAN03748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLSLPPPAVGGADEVNTSSWPELVGSHLTDAAAVIESQRPDVHIKLFGAADPEPRDFDPHRVCLFVGDNLTVVRMPVVG >PAN09022 pep chromosome:PHallii_v3.1:1:59348584:59351022:1 gene:PAHAL_1G452700 transcript:PAN09022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEPALKVALGSAAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLLGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGRLLSWRSHGGRDLLVRTCAVSALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGSVVNAAILLCLYWGQLDGGMKPAAEEVVAVPTEVVEEEDVTSHRFSPATMSHLRSKSQPQSQSQRLGASSDAAGEDYHVKPAAADATANGNGHREYDGKQALANNGIHQRRVGATNGGAGKVNDHSFQSEDKEVGMDDDEEEAWQSRVWKTCVYVITAGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSTFWEFMEPYARIDTPTGTAILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAQTNAWLILAWTSTVAGNLSLLGSAANLIVCEQARRSQHYGYTLSFFSHLQFGFPATIIVTGIGLLLIRTY >PVH66405 pep chromosome:PHallii_v3.1:1:43177203:43177839:-1 gene:PAHAL_1G235200 transcript:PVH66405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGPVTCKRCGEKGHRQASYKYPLNGTKKRKRKPRKNTTKQRKDSVTTIQMLTRDQILRDSPGRMTRSRLAFLFGEGTSSQPATSTTNVQEATASQAKKTTPKKKRQKEPRQKNYSKEEAVSSTTIKCTNVSVYFPCIELVLMYTDGQVYLVLVWSVSRGKFIL >PVH67201 pep chromosome:PHallii_v3.1:1:59098258:59100459:-1 gene:PAHAL_1G449200 transcript:PVH67201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGCGVFLPLLTAGIQILVIRSMYCRSLHLWTFISFLPDHHGRSYTGYYEANSRCLQVQHHQDSHQTHLQLCAGSHCIPVEMRILMMLHQQTLTHHLEANKGERIHPVQQLGTNDQTEDKSEVFIFCLMLGPERSSIQQMCCDLPFPHSLHFNWHASSNPHILKKAEGRAHQFPLLGTSLCCAHLLMLRIISSGRSKWLLDIIKLTPSLKVLVFSRAPF >PVH66270 pep chromosome:PHallii_v3.1:1:28412623:28414269:-1 gene:PAHAL_1G190800 transcript:PVH66270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMIKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTHLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNWPKPDKRNKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSKDSSDEEDIINIALTNEEPPLHPPPMCLMVKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLGNYNDLLKKHNESLALAKQVEESYKKLRQEHRELAHKYQELEFAYEVIDSRLEKFAHEKVNASTSCDDLLIDAYATNVVPKLAPSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTSSLEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPNLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQI >PVH66314 pep chromosome:PHallii_v3.1:1:32655734:32655997:1 gene:PAHAL_1G204000 transcript:PVH66314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKLFSFTIVWWMLWLSRNKKRMEKKFLKHPGDVLYSISLKMQKWGVLLKPAKRDRFDKLRKVMETWMKEFLKSRHGKETLDDFSL >PVH66797 pep chromosome:PHallii_v3.1:1:52516266:52516812:1 gene:PAHAL_1G350600 transcript:PVH66797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLVLASHHYSGAQQHTSCSPPAPAPARRRSSPAPSPSRTASPARRSSAQNQPPPPPQAARLKRAGGADSMDWVASSLTRRFGICTGVAWAGFLVVSVVSEQLKTRFEDVEQEQEQEVVLPNGIRYYELRVGGGDAPRPGDLVVIDLQDLMRFA >PAN04759 pep chromosome:PHallii_v3.1:1:6328933:6333378:-1 gene:PAHAL_1G090000 transcript:PAN04759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAEADSAASSSGRLLVLYASQTGNAMDAAERVGREAERGGCTAVDVLSMDGFDPSRLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLRKDLGAQWLEGVRHAVFGLGDSGYQKYNFAAKKLDRRLSHLGAEQVIEIGLGDDQHPSGYEGALDPWLLSLWKSLNETNQSLLPRVSDINDPNLSTLGDPKVHVIYYSSNEVPQDSILSDPNKIINSARTMSPALQFHDDGGPPYMLQMVANQRLTEEGSDRHVRHFELEDPSSAISYKTGDALEILPSQNPSAVDAFIERCNLDPDCYVTIRGKSEDKISNGSAVSSLMDRTKLKTFVALTMDIASASPRRYFFEVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKESRTVLEVLEDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTVSIVSWLTPFKRTRHGLCSTWLAGLNPRKGNLIPCWIRHGSLPPPHPSVPLVLIGPGTGCAPFRAFVEERAAQSVAEPTAPVLFFFGCRNEDNDFLYKDFWLAHAQDEGVLSSKKGGGLFVAFSRDQPQKVYVQHKIKEQSARVWNLLLSGAAVYIAGSSTKMPADVTAALEEVICKEQGVKKEDASKWLRALERAGRFNIETWS >PAN06392 pep chromosome:PHallii_v3.1:1:46538392:46540435:-1 gene:PAHAL_1G261100 transcript:PAN06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAATTAPCGALLRRSCGPLLALLLCFQVLRTSQAFKLRGGYEEEKVPLTVIVPDPSPQLSGLSPAPLAAPPPVFGGGGGGDDMRPRLPTERWRRGRGEARRSAAHPPAAAASSHSHQAPAPATAPSASLPGPARGPAPGPEASAPAPDSAAGSGGSASIKSSPAVPVPRGVTDTATILPMPAPGEKRQEVGAATSVGAGLVPPLLLGLLIVMMASFGL >PAN06578 pep chromosome:PHallii_v3.1:1:47449763:47450616:1 gene:PAHAL_1G273600 transcript:PAN06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGGPPDKASCPGLVNGERGQQQQRDGHHPSSTPRRTGGAPGLLVPLPRTPHGMRMERRDSLTGGRSSFVHGGRQFSPPAILPSYFLPAVWLGCSCSGLPLFFRGVEICSDYSASLSACTVMFLCRVPSGMDGFIWPVLPLLLLAVTRDESRRNLLQLPVVVSGCGSPVEMARGTCSLVLYTR >PAN03947 pep chromosome:PHallii_v3.1:1:2150127:2153968:1 gene:PAHAL_1G030900 transcript:PAN03947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] MTTDGADYRRLLHGRQNSHQAPIDGADGFEDDNLAAPQSPPHNVGARPQRDLGSADWGVFGSMKIVLFKSKLNLLIPCGFLAILIDYTSQNQGWVFPLSLLGIIPLAERLGFATEQLGLFTGRTAGGLLNATFGNATELVISIHALRSGKLRVVQQSLLGSILSNTLLVLGCAFFGGGLACGKTEQAFRKEDAVLNSGLLLMAVMGLVSPAMLYYTHTEVNLGQSALALSRFSSCIMLVAYAAFIYFELSNSRRRDEASEGGGGDQGDDDYEYAYPEISKWEAIAWLGIFTAWISVFSDFLVDAIEGASKAWKIPIAFISTVLLPIVGNAAEHASAVMFAVKDKLDISLGVAIGSSTQISMFVIPFSVVTGWMMGQPMDLNFHLFETASLLITVLVVAFLLQDGTSNCFKGLMLILCYLIVAASFYVYADPNIDGV >PAN04886 pep chromosome:PHallii_v3.1:1:7253647:7256987:1 gene:PAHAL_1G099500 transcript:PAN04886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMCVWDQIQFLVRSMEPGGEVATKRPKLSSDVSAGAGSEDRLSALPDDILVLILRHLPTTAAARTSVLSHRWRRIWTLLPELRFPSATDPHQIGHALQVHEAALRCLFVLTRDAGPDSVTAWLPVAARRLSGFLFFQNLERQRSAQERNEEEAAQRGAFEFPCLERATNVSLHLGFLGLAVPPAGVFARLTELCLSRVRFHAPGLLGDAVSSLRCPCLQKLTVRDARGLDNLAINSDSLRQVALSDLRGLRQLTIVAPALEDFNITHSFFHNQSQPVASISAPQLATLEWMDPYDPSSVHLGEMEHLQLLRPFFFLVYGHEGWTHNQSCLSLLRRFKFIERLILTLTYLWNMDYCHYVMEDMTMLPDIMILHLNVLANGHAFGASAFHVLRMCSGIRRLVLALFAPTEVEAQTTCPPGCICCEQQNWETEPLLLNRLQEVEIIRLRGSEHEVTFVKQLFIWATALEKMTVTFDDSVTESVAKELSEVLRSFCRPEIHMEIHTYEDMIKMMYAPEDMHRLIAMLS >PAN04885 pep chromosome:PHallii_v3.1:1:7253774:7254970:1 gene:PAHAL_1G099500 transcript:PAN04885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMCVWDQIQFLVRSMEPGGEVATKRPKLSSDVSAGAGSEDRLSALPDDILVLILRHLPTTAAARTSVLSHRWRRIWTLLPELRFPSATDPHQIGHALQVHEAALRCLFVLTRDAGPDSVTAWLPVAARRLSGFLFFQNLERQRSAQERNEEEAAQRGAFEFPCLERATNVSLHLGFLGLAVPPAGVFARLTELCLSRVRFHAPGLLGDAVSSLRCPCLQKLTVRDARGLDNLAINSDSLRQVALSDLRGLRQLTIVAPALEDFNITHSFFHNQSQPVASISAPQLATLEWMDPYDPSSVHLGEMEHLQLLRPFFFLVYGHEGWTHNQSCLSLLRRFKFIERLILTLTYLWVSPLPLLCKFSVCACFPCLGTDMKTGHQYPSNCAIGASIVLKHQCN >PVH66087 pep chromosome:PHallii_v3.1:1:14944784:14946145:-1 gene:PAHAL_1G145100 transcript:PVH66087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVAGMLGLPPGVQFRPDDDELVEFYLLPRARGEPAPFPGVVVVDDDAAGSTLPWELLNRHGRGADDEAYFFVRSSGDGEGQEKPGARQERGCSGGGTWKSQKRLAHGGSRVDDAGEMVHWNRHNLNLHMGRGESGGSVGWVMHEYALTDPSCPPLKICHVAFTGHGKNRKRLPGGGDVDSQSEEPAPKRARVPSAGTGGSASSGSGSTTTTVDQDYCGEFMASLPMVQETAGMAELENPYQPMMTQHEFMAPIMGVTLGQYCGLSRIGDMEAGAQQDFVEWEGILFY >PAN04414 pep chromosome:PHallii_v3.1:1:4463188:4465180:1 gene:PAHAL_1G065200 transcript:PAN04414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSDSESAPEELTAVQGVEKHEEISKVEKDSVIRVTREGKERRKRWAKRRTSSKPDKQAPQEIEDQDAHQSEENETHTMPGMLPSSVIEMLAAREKQTFSSDSEEENVQKVQKKKKRMKTSGPETILLKDVRSTQQVKNALDFLEQRKNQVPRSNAVLKNANKALRLLSSKGNFLS >PAN06666 pep chromosome:PHallii_v3.1:1:47887793:47891708:-1 gene:PAHAL_1G279800 transcript:PAN06666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHVAVDVGELVASRAREAAGLMPSGKEEAEALIGMVECRICQEEDLAKNLESPCACNGSLKYAHRECVQRWCNEKGDITCEICHQSYKPGYTAPPQVHHDETTIEISGGDWTISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITNSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPPESPASPQLEPNQ >PAN06665 pep chromosome:PHallii_v3.1:1:47887834:47891641:-1 gene:PAHAL_1G279800 transcript:PAN06665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHVAVDVGELVASRAREAAGLMPSGKEEAEALIGMVECRICQEEDLAKNLESPCACNGSLKYAHRECVQRWCNEKGDITCEICHQSYKPGYTAPPQVHHDETTIEISGGDWTISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITNSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPPESPASPQLEPNQ >PVH65574 pep chromosome:PHallii_v3.1:1:1901746:1902482:-1 gene:PAHAL_1G026800 transcript:PVH65574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLSTMSSDTSDIATCWRRSKDGKQERPNLRGKHKALTSRKSGTVLENNFFFFATKGRARPREKYPTSSAAPNPSSRGPRAPETHTQYAHVPLWSLETNGTRSRQGKRTSDHRSDPACGVPTARPASSNRLRPPRQVSSAAPPPVPSAPSPHGVQNGSFPTSPLPPPTNIGARTAIESLGP >PVH65893 pep chromosome:PHallii_v3.1:1:7222209:7222705:1 gene:PAHAL_1G099000 transcript:PVH65893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGADLLLCLEFSGHSSFQDFSDIGRPAFEEHYHMQPRTYQISSPTPFVLHSTPYCMRWYTCTFPECT >PAN06851 pep chromosome:PHallii_v3.1:1:48757189:48760812:1 gene:PAHAL_1G292800 transcript:PAN06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSRPAVVIDNGTGYTKMGFAGNVEPCFITPTAVAVNDSFSASAQPAPRGAPAKGNWLAQHSAGVMADLDFYIGEEALARSRASSTYSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPLTGKDVTQFVQQLMKERGENIPPEESFDVARRVKEMYCYTSSDIVKEFNKHDREPSKYIKHWTGIKPKTGAKYTCDIGYERFLGPEIFFNPEIYNSDFTTPLQVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRASNTRLISGDPKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >PAN03762 pep chromosome:PHallii_v3.1:1:1494228:1497952:-1 gene:PAHAL_1G020300 transcript:PAN03762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLSAVARVLEQPTAWGAVWEMALLAGPLWAAALLGLLLGWAWRPRWAAGLVATADGNATAPAPAQLPFATLDFWKAQLPARLRAPLGYSETTVQQQEDEATAHGSSEMANEELSVGKDDLVNLWRLVGGRDGGPAWIKMMEKSLPTMTYQAWRRDAQTGPPQYQSSTIFEDATPEEVRDFFGDDEFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGIPCSSIPRRNKPRRVDLYYSSWCIRAVESKRGNGGLTACEILLFHHEDMGIPYEIAKLGIRQGMWGCVKKIEPGLRAYQEARAAGEPASKSALMARINTKVGDNFVRSLETNSDESEIVEAEEKPARSNMSRFLVLGGAVALACTLDQGLLTKAVIFGVARKFVGQRKTL >PAN07770 pep chromosome:PHallii_v3.1:1:53261863:53265628:-1 gene:PAHAL_1G361300 transcript:PAN07770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPYASLSPAGADHRSSTATASLLPFCRATPLSVSPASDGGLAEDAQVGARWGAARPVPFTPAQYEELEQQALIYKYLVAGVPVPPDLVLPIRRGLDSLATRFYGHPTLGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVETQLVPPSQPPATAAASPAAPLAAAANGSSFQNQSLYPAIAGSTGGVGGASNMSSPFSSPLGSSPLHMDNAASYAALGCGTAKDLRYNAYGIRSLADEHNQLIAEAIDSSMENQWRLPPSQNSSFPLSSYPQLGALSDLGPNTVSSLSKMDRQPLSFLGSDFGGVDSGKQENQTLRPFFDEWPKARDSWPGLSNDNTNLASFPATQLSISIPMASSDFSVASSQSPNDD >PAN06516 pep chromosome:PHallii_v3.1:1:47064056:47065924:-1 gene:PAHAL_1G267500 transcript:PAN06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIRNSLGRNPLSDTLHRNPGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHMGATNVGYERVHGGFGYGSRNEGGGSNTLFRKRESHLVTFHSGQYSSQIDFILARREDRRACLDCKVIPGECVVPQHKLVVADFRFRVRAHRDKRAKIARTKWWKLRGEEAQTFKERMLGEGPWEEGADVEDMWLKMATCVRKVASEVFGVSRGGKQEVKETWWWNDEVQRAIKEKKECFKRLHLDKSATNIEGYRLAKRSAKRAVSVAKGQSFDNLYQRLDRLLVKDEEIKDRWREYFDKLFNGENEGPTFELDDSFDDTNRCFVRRIQEAKIGEALKRMKGGKAMGPDSIPIEVWRCLGERAVVWLTKLFNLIFRSNKMPEE >PAN05553 pep chromosome:PHallii_v3.1:1:44028774:44032603:-1 gene:PAHAL_1G238700 transcript:PAN05553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTTVDTWGIIDVLVNNAGITWDTLLMTMKKSQWQDVIDLNLTGVFLCTQVLSFLHTPSCYHDYFAHVSATYSLQAATKIMVKKKKGRIINIAPVIGLTGNVGQANYAAAKAGVIGFTKTVAREYASRNINENVIVPGFVTSALTAELGDAIEKKILTTIPLGRYGRPEEVAGLVEFLALSPAASYITGQVLVIDGGMVM >PAN04191 pep chromosome:PHallii_v3.1:1:3308059:3309096:1 gene:PAHAL_1G047800 transcript:PAN04191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTAIHAYKSKITTFNKPKHPRYKSLNKPRLWRGGTPAASAAAPSRSGEEAARARRPLVGQALRGSRAGALGATGSPAAAPAQSRTGADLIKVVYVLTPALASPSPKSWLV >PAN08767 pep chromosome:PHallii_v3.1:1:58235573:58239266:1 gene:PAHAL_1G435100 transcript:PAN08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRINPRRGPIFPVPRAFFSSNPPFPPPPPPANDPDPDAAHSPSSSPHHNPGAPRDSASSRFQEIRERLRTSPTSPPPRRIPINSRRPNSAPQQPIEDVRRFLENFRSGGPTSPSTPGAAPSFMDLLKNQTPNAGQGFPGFRAIQESLKRPSPPPQRQSRWPTPFMSQSSHNIFNKEREGNVKAGKEEEKDSGTTLTRTYSYEELGKRLGELRPAGAVKDGKEWFSLEELQGRIAKLVELEKQEYGYDGRFFAIRKSISNLTKQEKPAQVLPMQTILGLGAQLTPNYTRLPPQEELVERYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTLKIKHLSAVLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKSKSAIKAKSKKSKSKKRKKKIKA >PVH66688 pep chromosome:PHallii_v3.1:1:50372475:50373209:1 gene:PAHAL_1G316800 transcript:PVH66688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTNGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMRFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAANAEYSVAALQVQIQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN07236 pep chromosome:PHallii_v3.1:1:50599119:50602147:-1 gene:PAHAL_1G320700 transcript:PAN07236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPSGGCGALINEVLTDDELRAVLTRLGPEAERDAFGLVCRRWLRIQSSERRRLRARAGPDMLRRLAARFPGALELDLSQSPSRSFYPGVIDDDLDVIAGSFRNLRVLALQNCKGISDVGVAKLGDGMPSLQSLDVSRCIKLSDKGLKAVAVGCQRLRQLHITGCRLITDNLLIALSKSCLQLENLGAAGCNSITDAGISALADGCHHIKFLDISKCNKVGDPGVCKIAEVSSSHLVSIKLLDCSKVGDKFIYSLAKCCSNLETLVIGGCRNISDASIQALALACSSSLRSLRMDWCLKITDTSLRSLLSNCKLLVAIDVGCCDQITDVAFQDGEGNGFQCELKVLKISSCVRLTVAGVSSVIKSFKALEYLDVRSCPQVTRDSCEEAGVQFPSACKVNFYGSLLESDPSAERFF >PAN04334 pep chromosome:PHallii_v3.1:1:4007990:4008845:1 gene:PAHAL_1G059300 transcript:PAN04334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASSHHMRLHSSCRSARCIYTSTHALLKQRGASVHSPLLLPIPSSSSTYFPSTDAMRGPNARAFQQGFLKNLILSLQACSEACVSSAGTASAVMSLQERKRAIKSSADIAMAAARGGGARWPRAILASSSSSRLACKSSPAAKARRCKRIVRRCFRTRRSSRDGAAGACSLARTTTAMISSGEVARRLVRKRTKVLRKMIPGGELLDEISLLHEAMDYVAHLHAQVDVLRRISNAAR >PAN08747 pep chromosome:PHallii_v3.1:1:58166805:58167564:1 gene:PAHAL_1G433900 transcript:PAN08747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSVPLAAAAFLLLLLTTMEAEAIRLDAEIRAAVNQQQQVAYKPGENLAQKDAPVKSSVGESETKRSIAGQEQVRATAHKLPEFHEDYYGASVHEPRHH >PAN05819 pep chromosome:PHallii_v3.1:1:35617194:35621727:-1 gene:PAHAL_1G212300 transcript:PAN05819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G01395) UniProtKB/Swiss-Prot;Acc:Q8L838] MAVPSPSPTPRSPRRPEVIVAPDPSSADAQPSLDFGDPACLAALRALTDAGAATRLLHECVAYQRALDARLDSLLARRADIDCAAASLLRSAPPLLSLAASDAAALKESSSSTAALADALSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLVAAATAVHEFLTIDARFPTDDDLRRDLLDIKRRLEGLARRRLATAVDAQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGSQILRQYADYRKLARLASDINSYMKNLLSVVGSMASAAGGNEGPDPREIELYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFNKMEQDLTGFYVIFEEFFMVENVRKAIRIDEPIPDGLTTSMVDDVFYVLQSCCRRAASTASINSVLAVLSGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDISSEYVLKLRHEIEELCAEVFHAPADREKIKSCLSELGEISASFKKILQSGMEHLVASVAPRIRPVLDTVATVSYELDDAGYGENEVNDPWVQKLILAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >PAN03620 pep chromosome:PHallii_v3.1:1:1013307:1015852:-1 gene:PAHAL_1G010800 transcript:PAN03620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSSPASSSSAAQHHETTLQQQELSAGASEATGIISLPPAAAAADDKDDNAELSPPRCEWEFRLAATLPSTALPGASDAIGSVDFDPTGRLLATGGIARRIRMYDVASLLVDSGNDNSAGPGPGPAACICVPAKLSSVRWRPGAPSAVVGCGDYDGVVTEYDVERGVAAWERDEHAGRRVWSLDYAPGAAMAASGSDDRTAHVWDPRAPSAGWATARAGGAVLCVEFDPSGAPLLAVGSADRRAVVYDVRALGRGAVAWMDGHGRAVTYVRWAGAGAARRVVTSAVDGTHRLWEWGGAAAAVAAAREVRSYSGHASARSFVGMGVWRGAGLVASGSESNHVFVYDLRWAKPIWVHPFVGGAHGPDTAGDAAAGGGFVSAVAWRQGSDHGGGGALVAGGSDGLLKMFTCHRRKAAGDADDASLLL >PAN06028 pep chromosome:PHallii_v3.1:1:42755698:42756018:1 gene:PAHAL_1G233000 transcript:PAN06028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRLVRHRASLVLLLIGLLLSSSSTAARAIETTRSTARATTESYSYPRSRKLLVTAPRVSPDTRPSGSQQEMDVGGWRRATPFRRAGASLGRRVPGSHANPSHN >PAN07154 pep chromosome:PHallii_v3.1:1:50216578:50217326:1 gene:PAHAL_1G315000 transcript:PAN07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPLDDEYEKRRAKAYQDYDIICKQFPVLLEKMDALDPPKKRTRRSAKKRKTEAEKKPDDDPPPALTPEIRARYKELAVVIKGYLQELGDDVDGEAAAGGSTGQAAPPPPTAAGDEQ >PAN08698 pep chromosome:PHallii_v3.1:1:58003059:58004105:1 gene:PAHAL_1G430800 transcript:PAN08698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRTGAKLPLALLISCLAVLSLLLPCTARHVRETATIDGSRSQHLNLRGSLLRGPESVAFDGTGAGPYSGVSDGRVLKWNGQARGWSTYAYSPGYSAKACTASRIRPAELTESKCGRPLGLRFHYDSGNLYIADAYKGLMRVGPGGGEATVLATKADGVPLRFTNGVDVDQVTGEVFFTDSSMKYPRSQHERVTATGDSTGRLMKYDPKTNRVTVLQSGITYPNGLAISADRTHLVVALTGPCKLMRHWIKGPKAGTSEPLADLPGYPDNVRADGKGGFWVALHREKMEVPFGPDSHLLAVRINSGGQVIQVMRGSKNVRPTEVVERDGGKLYMGSVELPYVVVVSV >PAN04667 pep chromosome:PHallii_v3.1:1:5736078:5740694:1 gene:PAHAL_1G082500 transcript:PAN04667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDEVTSVYVGGLPYEANEDMLRDAFEYYGTIVSVKVINDHSVKGKCYGFVTFTHPKAAENAIAGMDGKKIGNRIVRVNEVRTRGPRDFGRDGFRRDPRRYGRDPYWDRRDRERSYDRERDPYHDRDSDRSREHDRDRDYEHGGFNREIDYPMDRDHEVDERRPREHDRALEMHNMDSDNDRDKEHGSRKRFSRPKGRDSRDLSSSSDGLQNDQEKNHLDKAIQMREDLENEVHQMKDKIAAKEQNIADLQKKAQKLEDELVAARKVSSERQLAVTDLYKHFLQLQDYNDRVKTAEQRLQSLVNAAMVELDMAEDATTRDGSMYENGVV >PAN07277 pep chromosome:PHallii_v3.1:1:50777857:50781913:1 gene:PAHAL_1G323700 transcript:PAN07277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSSSAACAVLLASLVCAACLFGSGEASGAAHRVVDPEWHPATATWYGSAEGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKSGEGCGACYKVRCLDRGICSRRAVTVIVTDECPGGVCAGGRTHFDLSGAAFGRLAVAGAGGQLRNRGEINVVFRRTACRYGGKNIAFHVNEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSARWQDMQHIWGATWSLTPGPLVGPFSVRLTTLTSKQTLAAQDVIPKNWAPKATYTSRLNFA >PVH66656 pep chromosome:PHallii_v3.1:1:49753578:49753899:-1 gene:PAHAL_1G307800 transcript:PVH66656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAILLHQYGLQGPAKSSALSMCLLKFSEEEHLPTVISTDFLYPISLIPKGFKCLELSNLDLVY >PVH65532 pep chromosome:PHallii_v3.1:1:1376320:1376769:-1 gene:PAHAL_1G017800 transcript:PVH65532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASPRGGGGSEAAEAYEDLLPVMGERLGAAGLLSELRAGFRLLADPARGAITAESLRRGAAAALGVAGMTPAEADAMVREGDADGDGALSEAEFCVLMVRLSPGIMADAEAWLEEAIAEELARGEEPRLADADGSGTAAPASRRSV >PAN07783 pep chromosome:PHallii_v3.1:1:53325521:53327580:1 gene:PAHAL_1G362300 transcript:PAN07783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSRGVSCRSAICGIVALLSATAFACSVAAEFRKVKDKDVKLDGSLCSLPRSSAFELGVAAIAFLFVAQLVGTSAAVTTAYAAKPRKRAAARGRAAFVALLALSWLSFAVAVILLATAASMNHGQRYGRGWMDGDCYAARNGVFGAAAALVVVTALTTLGLTFATEPTAAMAPAPASPAAAPDACASRTHPEAAAADAEQPGGRSKQ >PAN04282 pep chromosome:PHallii_v3.1:1:3722405:3725387:-1 gene:PAHAL_1G055100 transcript:PAN04282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDEEAAVKTCHDRKSFVKKAIAQRGLLASSHVAYVQSLRRVSMALFYYFAEDEHLYFLQEQSSCLHHPSSPEKVLVINCLRPARAPVHPVVEQWDPEAVETATIDRFFGLDHQFIHPSSIDSMNDTPISPRPPRWELPWDPFSSLNDHPLYADYGVEGIKVGQAEDEHIPELEEESDDDDGDDHPEGETEEEEEEEEEEKGEQADAAPPEVAPRKEEERKVGHVNNELRVMASADAEQRGTPGFTVYVDRPPTSMAEAMKDIQGHFLKIVDTASEVSVLLEVVPYHRRVQPPAPRDDGDEQGAPEIPPEPFELFQSHKESLDRLYEWEKRLYEEVRAGERVRLAYEKKCALLRSQDANGAEPFAIEKTRVAIRDLRTKLDISLTSVDAVSKRITAVRDDELLPQLMQLVRGLARMWRVIADAHRVMKRTADEATALLTSSAAAAAAARPALVGEGGIRGPPPPPSSTRAAAGAGALGAELRGWRAALEAWAESQRSYAAALWGWARSCVKDGEDMPRLIVGWARAVESVDVDAATRAVDAVAAEAAAIASAAKRQRGSEEWFNEEEAKKKVCLGLTTALAAIAEAGGWAVLAYDELLLEMEMEARGERDRELAGRDDESIQN >PVH65801 pep chromosome:PHallii_v3.1:1:5498241:5499034:1 gene:PAHAL_1G079800 transcript:PVH65801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHSNVEHCFFRIITSINVLSMFGDIAKFHISAHMNIRMLHSTAGRIIPTPPRFCTQMLHPESCGINIDAISIYESYDMSKTVICPHSACCTPPNYLAEQVAHHAAFMEIDSPTH >PAN08525 pep chromosome:PHallii_v3.1:1:56936147:56936995:-1 gene:PAHAL_1G418000 transcript:PAN08525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGYYYDQGTVTYLPAPAPRATSFHLCVFLATAALLGATTFYSRYESAVESLVDQVRFAVVLSPLLLLLAVQYWAATSGSRTRGGGVSSLLLGDQPSWYGGGWGQQQRDAAGASSPWGVALALALVLLLVSYQSCFRDLWFPLVSRR >PAN04093 pep chromosome:PHallii_v3.1:1:2810741:2813266:1 gene:PAHAL_1G041500 transcript:PAN04093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATKLNPAAPPFPFPDPYAIHFAPPAPPPFPLADAACPPQFPFVTYCCVPAPPAGHIGFCFPVQPPPSPQPGVGKGGVPTAAAAATPGRPPHKLIAAFSDLGGAGKREDAAVKPWKEPARAAAAPALAPAAPRKPWVARRKEERIVAKVKAPRSRKAAGPRACKAAQAQRESSPTPFRYTTRRLRCLGPPPKPELVLGWSTTTIMLRNIPNKLRSSDMISLLDEHCKRVNKSAGAVVAAYDVLYLPMDFRRECNFGYAFINLTSPEAAHRLYRSLQRRGWTVHGSKKVIDIVRAKIQGKEELVRHLQRMKLQCAEDEFLPAVFSPPRDGVTAGTTVRRLGRLARRPSTTTPCAATPAPTPKES >PAN04740 pep chromosome:PHallii_v3.1:1:6110128:6114676:1 gene:PAHAL_1G088100 transcript:PAN04740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATAGAAAAPPPRQCKLDPKSELRVEVPPDAPLRVRLVAGTAEIFGTELPPEGWVPIPPRSKIAIFTWHGATLELDGVTESEYPSEETPMVVYVNTHAILDARRARARAAAAQGGDLEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPTPSINPDVYKVLMKELAKTLERQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLVLGQEKLWKMLKDAVQSKPNIDVVKLQKSEGVVLRNSKYRQKTRSFRIKEYFYGIANDLAPHSNIVNFSDISVYRIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMIHTVLAISYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >PVH65475 pep chromosome:PHallii_v3.1:1:292285:293497:1 gene:PAHAL_1G002000 transcript:PVH65475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVLNVASSTVIWQQKRSLEDTPSRGWIGPNNIIGWIRENRREPVLSLLHTASDARPVVASASAQHHVRHWIEPARSSRGSGSEQAANKVRSIDPLPSSLISMAPPHQTESCSGSSAPATVTTVDVTAARDLIAAGGGHRYLDVRTEEELSKLGHLVEVNRCINVPYMFITSQGGRVKNAQFVEQVASLFTKEEHVVVGCQSGKRSEQACVDLQAAGFKNVKSMGGGYLAWLHHGFPVHHRTNRPPPRQDA >PVH66332 pep chromosome:PHallii_v3.1:1:35338903:35339229:1 gene:PAHAL_1G211000 transcript:PVH66332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLLSKREKRLRTLCREIAEPFYDKKEAAKLVAQTVALEAPPLPVRGPQSQDAGSSRADSSASAMDRASATTLQAARRAITVPPVLRLGGWTMHTTRHGSFGSPGS >PVH65573 pep chromosome:PHallii_v3.1:1:1879373:1879888:-1 gene:PAHAL_1G026400 transcript:PVH65573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGSGSSGSLSAATAALAAAAGTEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLTAQAAHLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLASLNDILACMNTNAAAAAGAVAVSLTAAAAAVSASSDPYLAFDGATALDDLLRSCPEMFPLC >PAN04791 pep chromosome:PHallii_v3.1:1:6413340:6416598:-1 gene:PAHAL_1G091400 transcript:PAN04791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATSCNGAPEAGLVAHQSVPVLVLISFMSLFSAFLIYFYAPFWLVRRVPGPPTRFPVGHLHLLAKSGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPTIGSLHQDALFLTRDSTWSAMRNTVIPLYQPARLARLIPVMQSYVDALVANIAGCPDQDCIPFCQLSLRMAIDIIGKTAFGIEFGLSKNSAGSSCGSETDGGEGDDDVREFLKEYKRSMEFIKMDLSSSLSTILGLFLPCAQTPCRRLLRRVPGTADYKMDENERRLCRRIDAIIAGRRRDRAARRRGGDGDADAAARSAPLDFIAALLDAMENGGGGGKDSALEDRHVRALAYEHLIAGTKTTAFTLSSVVYLVSCHPRVEEKLLRELDGLAPPRGRAPTADELQSRFPYLDQVIKEAMRFHLVSPLIARQTSERVEIGGYVLPKGAYVWLAPGVLARDAAQFPEPEEFRPERFAAEAAEERARHPYAHIPFGVGPRACIGHKFALQQVKLAVVELYRRYVFRHSPAMESPIQFDFDLVLAFRHGVKLRAIRRE >PAN07567 pep chromosome:PHallii_v3.1:1:52208385:52213133:1 gene:PAHAL_1G346100 transcript:PAN07567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRTARADADAAAAAAARALAQPPAPFDFDIEFRNVADLDRTIEHSRSGLDSFAVAAIPTMSYSSEAFHSKDDAQCSICLGEYKDKEILRVMPTCRHNFHLECIDVWLQKQTTCPICRISLRDQSGTKSTASPLRGLPQLLGHPESSANRSPHWILPIHRDRTGGRQNSPTSQESLEVIIEIQPQRH >PAN06838 pep chromosome:PHallii_v3.1:1:48727123:48729524:1 gene:PAHAL_1G292000 transcript:PAN06838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRTPRRAALCLLVVLVAAGHRATRAAAVTLSTSSRWVVDPAGRRVKLACVNWPSHLEPVVIEGLGRQPVGAIAGMVVSLGFNCIRLTYPIALATNASLSSLTVRQSLLAHGLAETAGGVEVNNPGFLDLTLMDSLKAVVNALGEKDVLVILDNHVSKPGWCCGNDDGNGFFGDRDFDPDVWVDGLGNMATIFADVPNVVGMSLRNELRGPRQNPEDWYTYMQRGAEAVHAANPRALVIMGGLSYDYDLSFLAARQVGVSFAAECKLVFEVHWYSFSDARAWETENANEVCARAARDFERRAGFLLARGFPLFLSEFGADLRGGDLKGNRYFPCAAAVAAEHDLDWAYWALQGSYALRQGVAGMDEVYGVLDWSWSKPRNETVLPRIQALQRPIQGPGYGEALPYTVLFHPLTGLCAAAAANTLELRPCNETDAWAYAPPASTLVLRDAAAAGLPCLRAEGRGLLARLGAKACGDALSTWRLASDSGMHVAVESPPGLDSGGGGMLCLDVGADGRSIVTNPCACLRGDGTCDPERQWFKLVTSTRRAARRPPATLA >PVH65853 pep chromosome:PHallii_v3.1:1:6352511:6352795:1 gene:PAHAL_1G090300 transcript:PVH65853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLNSLQNSIGIEQLWTVNPLMERCSRIKSTVLTCILWNIRKCRNAKVFRHEDETNLMISRRCRDDLILWSNRCSSPSDRAKLVGWSKLFLM >PVH66699 pep chromosome:PHallii_v3.1:1:50538456:50541355:-1 gene:PAHAL_1G318800 transcript:PVH66699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIRNSQSSTPPLSPAALSFPNVAVMGAEAEHVMPVHPAAAVVLVPFPAQGHVSPMLRLARALAARGVAATVAVPDFVHRRIVGACGQAGCGYAPGVELASIDSGVPDDGDGEPPGFASIGHAMEHRMPASLEEMLTAPRRGGVVCLIADVLASWAVPVAARCGVPAVGFWPAMLATYSVVASIPELIDKGLISDSGIPIWTKRLNNGDEQKVNGDHHQIGDGLHVLPAELELSTAELPWLVGNAACQKSRFTFWLRTMERAKGLRAILVNTFPGEVVGDDSGGSEHLHESTVLRILQVGPLPTDGGFGCRTKGDLLRDSPSTKNPSIWQADETCMEWLDQQGERSVVYISFGSWVPSIGRDAINELALGLEATGRPFLWALKDEPSWREGLPSPYAAAVAGRGKIVGWAPQEDVLRHEAVGCYLTHCGWNSTLEAIQHGVRLLCYPVSGDQFINCAYIVKMWGTGIRLWSPKRGVVEDCVKRVMEGEEGRRMQEKVDELRQRVMMGEARCAAKINLDSFVDGIMRDELVLGQL >PAN03733 pep chromosome:PHallii_v3.1:1:1380481:1384449:-1 gene:PAHAL_1G018000 transcript:PAN03733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFCGNLDYDVRISEIERLFGKYGRVERVDLKTGFAFVYMEDERDAEDAIGRLDGVDFGRKGRRIRVEWTKEDRSAGRKGSSRRSPTSARPTKTLFVINFDPINTRIRDLERHFDKYGRVANVRIKKNFAFVQFEVQEDATRALEGTNGSHFMDRVISVEYALRDDDERGERGNGYSPDRRGRERSPGRRRSPSPYGRGRERGSPDYGRGRERGSPDYGRGVDRRSPDYGSNGGGRGDERGSPNYDHERREASPRRERREASPRRERREASPGYDRPPSNSPGRDERD >PAN03734 pep chromosome:PHallii_v3.1:1:1380837:1381899:-1 gene:PAHAL_1G018000 transcript:PAN03734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEDAIGRLDGVDFGRKGRRIRVEWTKEDRSAGRKGSSRRSPTSARPTKTLFVINFDPINTRIRDLERHFDKYGRVANVRIKKNFAFVQFEVQEDATRALEGTNGSHFMDRVISVEYALRDDDERGERGNGYSPDRRGRERSPGRRRSPSPYGRGRERGSPDYGRGRERGSPDYGRGVDRRSPDYGSNGGGRGDERGSPNYDHERREASPRRERREASPRRERREASPGYDRPPSNSPGRDERD >PAN05817 pep chromosome:PHallii_v3.1:1:35623846:35625085:-1 gene:PAHAL_1G212400 transcript:PAN05817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRGRAQIVVQGDLSNGRDLMNVDTEHDTTEPTPEIGTTRKRAKWSHEMKLFLIGLLKDHDVPGFRTHNAWSKEAWTNIVCRLNGKFGCSFTLNQVKQKEQDLKKDYRVVKELQEESGFGWDSERKMVTAPPNVWANFAARKNNSDALTWQDKSFPYFDDLFALYDGRYAEGRTRHGMDHYANKAKKASNPSTQQASAAGTYQSPSPTWPAEFDSGLQFSFDEEAGVTPVQHMQTPPSSTPTPLEGTEFRRGKKQKTKSCSPEEGFHERYLKLKREEIDRFAAIEEKKLEDPYSINKCITVLESLNDLQMGDIILASDIFQNKNNREVFLSFQGDAIRLAWVKREIGRLQAEKN >PVH67126 pep chromosome:PHallii_v3.1:1:58048063:58051785:1 gene:PAHAL_1G431800 transcript:PVH67126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRACEFEDEKKGIKIKKEDWENLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSLYGGFVKWCMGKKDDGSDSLAMQLADETHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPSRVLKMEEAIKNRDFDSFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNHSQGTPQVAYTFDAGPNAVLIAPNRKTAALLLQKLLYYFPPQDKDLSSYLVGDKSILGDAGLHSIEDVDALPAPPEMKIPDQKFKGDVSYFICSRLGSGPKVIADENQELIDSVTGLPKGV >PAN08509 pep chromosome:PHallii_v3.1:1:56867488:56871606:-1 gene:PAHAL_1G416400 transcript:PAN08509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MAGVPATLSASAAQIGGFLSKKPYAPPSWATHLSPMPSHTFSLGHFPTPIHMWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKRLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEQQIQRSADAQFDDIVVACGSGGTIAGLALGSRLSSLKAKVHAFSVCDDPEYFYDYVQGLIDGLQSGLDSHDIVSIENAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAAYGLLKDMAGNPAKWKGRKILFVHTGGLLGLYDKADQLSPLAGSWRRMDLEHSIPRKDGTGKMF >PVH67207 pep chromosome:PHallii_v3.1:1:59269602:59270788:-1 gene:PAHAL_1G451200 transcript:PVH67207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERTCGFKIISWRTEMLNRNFDNDVIGCLFDRDTWATPPLIMGSNTASLPECADTRENLLTCFREKSAGEEITDAHAAIKNGDRQSGGSSRGGDEADFGHISNHQQAPKSLFF >PVH66080 pep chromosome:PHallii_v3.1:1:14250811:14252209:1 gene:PAHAL_1G143100 transcript:PVH66080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPSVNMVEGCDRSAQQQLDFAFGNNMAGPAPRRYARREKGEPCNRPQKGEKEYITEEQVRHIRNQRPASAHLLKKYECQYRQHLQYESEDEEYKHHTRKSLKKREDTRDNWHCPLFKYCWDSEGILVFRRFGPVQPQHEQAEPPRRKEDFEEEKDKYHRPRWCPDGLNRFEKRRVQRLRSLEEAEAKYLEILRKACPDLADKVHHTQKRESRPPKKEWLPKPTRADAKTSADAHMVFVLPAEFYAQSREELPVAQLYLGPRPVIFEKPRGKNYKHLKALYFKGYINGQPVNKMLVQTDAAVNIMPYSVLRQLGSSTGDLIKTNITLSDFRSKSTYTVLLGRDWIHANCYIPSMMHQCLIQWDGDEVEVVHADDSIEISHATMSIWDTEDQETILEISLEGCDCMEATKNRVRLVLSTGLTE >PAN06489 pep chromosome:PHallii_v3.1:1:47031145:47031956:1 gene:PAHAL_1G267000 transcript:PAN06489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMADTKLRKGKSRPAALQHLSDVLPDPLNIAHAIVNSIGFQHHRPGHTDELSPPAIRAWRISGDRPAATTTPTERISAAPAAPLPLIRLSCTAATLAVGDQTESAIASNSAPCG >PVH66672 pep chromosome:PHallii_v3.1:1:49957346:49961568:-1 gene:PAHAL_1G311400 transcript:PVH66672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPRGGGGFLGKRKEREYYPPYPSASSSEQAVLLQRPPQPFARPDPRFGRPDRAAAVRLGAKPPLPHPGVAGLQVGGGGGGGGNKLLAGYLAHEFLRCGTLLGERRLEPSAWKEKEPALAAPAPEPSRRYAEVSRLLMAGGARIPGIVNPSKLGRWLRIKE >PAN06386 pep chromosome:PHallii_v3.1:1:46506076:46507670:1 gene:PAHAL_1G260600 transcript:PAN06386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGYVFSRRALLLRSYGENAAASQVVAGQDAPPAADVAGSGGGVSAGNSFDANVVMILAVLLCALICALGLNSIVRCALRCSTRMSPPGGAPRQQPAAGEPGLSVARLAQAGARRKALRAMPTLVYSAGLLPLQAAGGGGGPVCAICLAELEPGERVRVLPKCNHGFHVRCVDRWLLARSTCPTCRQSLFAAPHKGSGCADTGAGAEPPVRAFLVPLRPEGFVTPYDF >PAN04110 pep chromosome:PHallii_v3.1:1:2951461:2957415:1 gene:PAHAL_1G042900 transcript:PAN04110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRHSTAARASNSPAKRDSDASAASSPFLASPSARGSRGGDGDDGKDTHRSSPLLSHQHHKRVHLLTSPFRSLLALEDPRSPAASSSYRILLALLALLLATGIFCAPLLWSRLNTPYLCHKEGITLHCPETKEPLSLWENPRAATTSWKPCAERRSDEPSDVPSEKETSGYIFIHAEGGLNQQRIAICNAVAIAKIMSATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNVPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPHIEEMADKLTARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFTGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAVILRALGYTSGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAEEMAPFRRHVTSLAALDFLVCLRSDVFVMTHGGNFAKLIIGARRYAGHRLKSVKPDKGLMSKSLGDPDMGWASFAEDVVVSHRTRTGLPEPTFPSYDLWENPLTPCMCRA >PVH66821 pep chromosome:PHallii_v3.1:1:52959891:52962816:-1 gene:PAHAL_1G357700 transcript:PVH66821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESLDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRIIFAGKQLEDGRTLPDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGLVN >PAN08097 pep chromosome:PHallii_v3.1:1:54948348:54954290:1 gene:PAHAL_1G387100 transcript:PAN08097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSSLALVAAAVCLAFAVLAPAVSGDGATLESVPDLVKAMYLNIESFPCVRLLNLSGEIGCSNPGSEKIIAPIVRLTKGSDQLVQPSTVLLPLDQMSDFLLRVSNDPEFHQKVSGVLIESNGANNNLQELSPDRKFPQDAFAPYSNRSHDWNPAGSGILWNRYDFPVFLLSEESTRILQKVSEKNKKTDNGYKANVAEFDLVMQTTKAQTHDSASCLKERSCLPLGGHSVWASLPPIKNGSTEHHKPIILAITSQDSASFFRDRSIGSDSPISGLIALLTAVDALSHIRDLSNLKKQLVFAVFNGEAWGYLGSRKFLQELDKGADSVNGINSLMIDQVLEIGSVGKAVIEEYPSFYVHAAGNSSASKQILDALQRASKSLGSDNVKVKQAASSNPGVPPSSLMSFVRKNMSTSGVVLEDFDSHFSNRFYHSYLDNPANINSSSIAAAAALVARSLYILASADSVVDLMTLNTIKVNVSLVEELIGCLLTCNPGLSCGLVKSFISPSSSSCPSHYVGVFLDDPSGTQFPSYADDTSRFVWNFLADRTSTSAGNKSSCTGKCGDEGEVCVGAEVEAGGRCVLSTTRYVPAYSTRVKFEDNAWHVLPANSSDPMGVVDPVWTESYWNTIGLRVYAVQDSTYDWLILLAGLSITAASYCAVLVSRSYISKVVKRD >PAN07085 pep chromosome:PHallii_v3.1:1:49877669:49878772:1 gene:PAHAL_1G309800 transcript:PAN07085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHARGTDERLLDDEDGGGGGCCDQAMGFFMEILPGVLGTLLAIVIMVPLLYYPYQWSFDNGKYPEYSVAVAGFSGFDPARAVMDPTFDLTVRIKEPRKWSTACVERGTAVVSYRGARLASGPVPGFCGRNENTTEVSSVMAWGTGVPVPQFARDRLADELGRGEAAVDVVLMGPVRYLISYYVQTVIECKPRLGRGEASPPCWVRDEVPTLPADPARRPQQARKQLRTPQ >PAN08405 pep chromosome:PHallii_v3.1:1:56514823:56519500:-1 gene:PAHAL_1G411000 transcript:PAN08405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFDRSRESLLPSFLYASSSSARSFAATPSSLSVPVPAPAPAAAAAGGGAPSSIQAPKEKIELYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPVKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVELIYKHAMPVPKSECSKMTQLGISFAGGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKFGLVGLFTRGLPIRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAEAESKASA >PAN08572 pep chromosome:PHallii_v3.1:1:57361853:57364436:-1 gene:PAHAL_1G421600 transcript:PAN08572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQLSSARVGAAAFAAKGKASLEPLRLPATVTIGEARPARRAFRGLVVRAATVVAPKYTTLKPLADRVLVKIKSSEEKTTGGILLPTTAQSRPQGGEVVAVGEGRTIGDKKVDISIQVGAQVIYSKYAGTEVELNDYNHLVLKEDDVIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGNRIPLSVSAGSTVLYSKYAGSEFKGADGTNYIVLRVSDLMAVLS >PVH66700 pep chromosome:PHallii_v3.1:1:50542319:50543376:1 gene:PAHAL_1G318900 transcript:PVH66700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPLPRRSPPHASLRLTRAVAASLCCTRELRRGRAAVGAGLRHRPASPRGRAAVAASLRCARELCHGRAAAGACLRRGPAPLAGEPPPQARASAARVPSQRAGLPPSHARAAAAATLSAAGGPPPPPCARRGRELRRGRAAPGRTAWEEEEDDKWGPLVLEIGGESSWEGWDDTRGSVIKSKDFRFFSQRISHNTTVFS >PAN09116 pep chromosome:PHallii_v3.1:1:59719345:59724031:-1 gene:PAHAL_1G460000 transcript:PAN09116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRFPRAAAASAWPCFLLLLLHFHLLAPHLAAQAADADALLTLKSSLDRSDRLPWRPDTAPAFCSAWPGVRQCVPAGRVTKLVLEGLNLTGSLTAALLAPLAELRVLSLKSNALTGPIPDALPRALPNLKLLYLADNRFQGRVPATLAQLHRATVIVLSGNRLTGEIPSSLAAVPRLTSLLLDRNLLTGSVPPLAQATLRALNVSGNRLSGEIPPALARRFNASSFLANAGLCGPPLGVRCLAPGPAPLAAATAAFAPRMTESRRRGKNAGIVAGATVAGVVVLGLLVAAALMASRRGRNKRVAGDVDKGVGVGVVGEQQEQQQQQQQPHQHASPHQQRDFSWEREGIGKLVFCGGVSEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMREPSAAGGVGAAEFGRRAEELGRVRHPNAVALRAYFQAKEERLLVYDYYPNGSLFSLVHGSRASSKGKPLHWTSCLKIAEDVASGLLHLHQWSIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPSNAQLHSLFYRAPEVRAAAPGCFTPASDVYSFGVLLLELLTGRTPFQDLMELHGDDIPSWVRAVREEERDTTTDSSSVSVSADDKLTALVAIAAACVAADPARRPTTAEVLRMVREARAEAMSSSNSSDRSPARWSDAMLGVPRDQPTDSFTDRD >PAN08711 pep chromosome:PHallii_v3.1:1:58060084:58064798:-1 gene:PAHAL_1G432200 transcript:PAN08711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEERNGAVRRVRDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAVIALRMLRENRDLFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRLEELRNIWQHVVRRKFSNRERANVDGYEECNRPLNADFDNVLDQTCVSPDQSGRPSKKRKEYYSEEEDEGEESNSQENDDSSAPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASHQASIVAALGGSDPFMHMSAFEGLQSYQGFTSSAALSSFSPQGLLTRNNPTSFGIQGMAASRPIQIASVNSTISHSIGDTNKYQLSLPGTSNRPGNLAEGLKATSVGQVQLPQKWIQEETHDLSTIISGNGLVNGVPGALRSVADSPLLQQDLVERRQANIVIQRSSSANSEHLEGTTGVSSCLMDSHISQQSGLLLSAFSASAVPMNGSFSGTNVAKLGATSSGSTNICPSHDLRVARDNDVGDSSFGSVILLPPDTAQNQKYLNFGGGSSVRHNMDGGNADTLLDAKLAWSSLPTSQPPSNIGSHHPLSQRPNNGSLGVKMIEQTRASIAAPQTKFDMFISGDILTPKNASDLSFPRLNSELSSSSCSFDGLLNSIIKMEKDDASFSDDLGCDFYSLGACI >PAN05218 pep chromosome:PHallii_v3.1:1:9704176:9705044:1 gene:PAHAL_1G122400 transcript:PAN05218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRASTVARFLMVELDQVGVATRRQHAAYSRSEENQASTPRCYAHSCHHESHKEMIAAMLAGRRGGQGEGGRWRRTR >PAN03629 pep chromosome:PHallii_v3.1:1:1061490:1064361:-1 gene:PAHAL_1G011700 transcript:PAN03629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGSSSEAAAREALSTEKAFEREKLPAWSEQITVRSVVVSTALGLFLSFIVMKLNLTSGIVPSLNMSAGLLAFFLMKTWTSALERCGIFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAKTSINVEEPSLGRIIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKQQVSILFKSFAGSFLWSLFQWFYSAGPGCGFSSFPTFGMEAYRRRFFFDFSATYVGVGMICPYIINFSLLLGSVVSWGLMWPYIESKRGLWYDAKLPRSSLHGLNGYQIFISIAMIIGDGLFNFLSILVRTTYDMYLKRTKPAEAAAKPFAGVDINERQVLSFDDRRRTQVFLKDQIPTSIAAGAYVLLAAISVVAIPHIFRQLKPKHVVWAYVVAPVFAFCNAYGTGLTDWSLSSSYGKLAIFIFGASIGSQDGGVVAGLAACGLMMGIVSTASDLIQDFKTGYLTLTSPRSMFVSQVMGTGLGCIISPVVFWIFYKAYDIGLEEGYPAPYAKIYRGIALLGVNGWNQLPKYCLRFCLAFFLLAIAICALKEVAKTRGWWLQDYIPSALGMAVPFFLGSFFTIDMCVGSIVLYLWSKSDRVRAHMFAPAVASGLICGDGIWSLPSSILSLLNINPPMCLRVFSAETNYQVEEFLWTLRNPAAT >PAN06646 pep chromosome:PHallii_v3.1:1:47773913:47775983:-1 gene:PAHAL_1G278200 transcript:PAN06646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPVAVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCSEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >PAN05092 pep chromosome:PHallii_v3.1:1:8909269:8916698:-1 gene:PAHAL_1G114600 transcript:PAN05092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVELPLPAKVDFGKILAPAAAVEGVDGGGVGGGPGGGGGEVLRRCADADRRHGSDVKEQNQNVEGFPSYRSKRTPLEVSMQKSLGFGLKSENGKRDFVGNDNIQSLHKQDSKIVAKKTIKLLDGPPCSKRPKLEPVQIGRDAEAKGHDFISQKNVPELTQCAASEKSRLLKQKRISDAKRIDKKNIRSGVRSKYDCFSSKAGLANFDSGFSGNSILGAHGLKSDVRDITNHIENLSLSELLDGTYKYSSLGRDKGKKVLRMKDELLVSVRKAFSMLSDMDNCNGKDSNLILSPKLPTTSTSSYEVKEQCGDKPAPLVKDSLQINVCHTALCPPKDILNRLTLPHGQDLDSLLAPGSESTVKPYMPSMTVHGASLPPFPWSHSQAGGYRQSVDCGKHGSSRSNSQWQWVRVGSNLTLDYEDSSVHKIDDLLQEMEAAKLSIMDSCDRRYNLCGTESTSGSLVQNIHSRKIGSENGSQQLQSLDHGDSSDGFQKHDIEHSLLKTQVSPKIQRAAEILCDMRRSTESWSAQGYSNGAIKWPKSPSEKVMRARKPSSQLGTAESSSGSRNNDATWNGSSHSTKKIVDRKNDSVRLNNPSKGTIRWPVPIEDGASPVRSERGLMLDTRQPHGNGGRHPVQVSSQARLEKEYENQQKLRKATLTSSLGSAGDWNRERNRRM >PAN05523 pep chromosome:PHallii_v3.1:1:43358370:43363421:1 gene:PAHAL_1G235900 transcript:PAN05523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os02g0496100)] MEVAGARGSGGGGGGGGGGGGGGGPAPFLLKTYEMVDDPSSDAVVSWSDASDGSFVVWNPPEFAARMLPTYFKHSNFSSFIRQLNTYGFRKIDPERWEFANEYFVKGQKHLLKIIHRRKPIHSHSHQPGALPDNERALFEDEIDRLSREKAALQADLWKFNEQHSGAVSQIEYLERRVLDMEQRQVKMLSFLQQASKNPQFVSKLTKMAETSSIFAAVHKKRRLPGLEYSAGAAETTSSFYDDHSSSSRQEMGNVLNQHFSDKLKLGLCPAMTESNLITLSTQSSHEDNGSPHGKHPDCERPGVECLPLVPQMMELSDTGTSICPSKGQSVSFAAAANDDGLLPCHLNLTLASCSMDVNRSQTSDANGNSVEEEKDGPPEATAATMEDDDGIRRHGDTQHKASGDSATAADTTVPPDRDSQQALPPHEEAAAPPVVANDKFWEQFLTERPGCTDAEEASSTLRREDPYEDTRSDRRDMGQLKL >PAN05497 pep chromosome:PHallii_v3.1:1:42986760:42987117:-1 gene:PAHAL_1G233800 transcript:PAN05497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNVTCRQSSSILLMLSCIQTKSLCWINLGDGEKALLDAEFCRMMHPDWPKACYRQGAAHMLLKNYEKACDAFLDGLKLDGPNKC >PAN07287 pep chromosome:PHallii_v3.1:1:50783261:50790491:1 gene:PAHAL_1G323800 transcript:PAN07287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MDLSHSLTSSSDNETRALNTLLDVFGCAFSLDDIADAYVKAKGDVNKAGDFLTDLQLSSPRISDVEPSVETNISQTDKVVEENNMDNSSQPRTVSQIEQAVEEKHTENSDQTRMPEKLQKSSAAFGTVSSMLGKESARATTQANRASKKDKPLKVELPEYMRDDFKVKSDESGSAPRRETLNNRDVEEFLFCMLGEGFKLNMEVIRDVLGSCGYDIKKSMEELMSFSEKDLDKKAESKSNALQDVAVECSISKGKCLGSQSTLSTHSSQEGMQRSKPQISPGELIEAIFTVPGRLEEEPKLRRYELGANRSRVPDQKPVLKPLEDISTYSTNFPVKVSVGSKEPAVNEEDYQNYRRAAKQHWDMMKQYYEKAADAFREGNKKEVDYLIQEGKRCYQMARLADEKSAGEIIKSKEAESRSEVCLDLRSQDPANVPNLLRFHLKQLANIPSMDYLNVIIGVDDGSFKMGQRRRKVMKYLEKNSIQWTEEEPHSGNILVRINQVGNQQG >PAN07288 pep chromosome:PHallii_v3.1:1:50783747:50790483:1 gene:PAHAL_1G323800 transcript:PAN07288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MDLSHSLTSSSDNETRALNTLLDVFGCAFSLDDIADAYVKAKGDVNKAGDFLTDLQLSSPRISDVEPSVETNISQTDKVVEENNMDNSSQPRTVSQIEQAVEEKHTENSDQTRMPEKLQKSSAAFGTVSSMLGKESARATTQANRASKKDKPLKVELPEYMRDDFKVKSDESGSAPRRETLNNRDVEEFLFCMLGEGFKLNMEVIRDVLGSCGYDIKKSMEELMSFSEKDLDKKAESKSNALQDVAVECSISKGKCLGSQSTLSTHSSQEGMQRSKPQISPGELIEAIFTVPGRLEEEPKLRRYELGANRSRVPDQKPVLKPLEDISTYSTNFPVKVSVGSKEPAVNEEDYQNYRRAAKQHWDMMKQYYEKAADAFREGNKKEVDYLIQEGKRCYQMARLADEKSAGEIIKSKEAESRSEVCLDLRSQDPANVPNLLRFHLKQLANIPSMDYLNVIIGVDDGSFKMGQRRRKVMKYLEKNSIQWTEEEPHSGNILVRINQVGNQQG >PVH66487 pep chromosome:PHallii_v3.1:1:46522576:46525102:1 gene:PAHAL_1G260900 transcript:PVH66487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLPPRALIPGGAPFDLGQPFHFADQPQVVQVHQGAFAAPAANQMQGFGSAVKPSLSSEEDADDGHHGRGKAAAPTSQWHRVKWTSDMVKLLVSAVAYIDEDIDTDHGTSSGRKKHAMLKRKGKWRLVSSVMTERGFSVSPQQCEDKFNDLNKRYKRLTEILGRGTACEIVEKPALLEQVSLSAKLKEEAKKHLSSKHLHYEEMCSYHNHNRHCLLDDPSLQRSLRVALRSPGEQGKKCSFGYDDEDDQMFLSDEDDEDDGFNDDLEFSAEDHRHHRVHGSKKLKHDHEGGCCGSHLSEVAAIDMNQMFSEGTGGSAQKNPSGMNAVQIERQRLKIKEDMLKLEQSRLKWLRSCKEKDRELEKMKLENERMELENERLELELKLKEIEMGIKPKRIY >PVH67021 pep chromosome:PHallii_v3.1:1:56618276:56619429:1 gene:PAHAL_1G412500 transcript:PVH67021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEEKRGKVKKGWLAVRVGAEGDDRGFQRFVIPIAYLYHPLFRRLLEAARDAYGYDYSAGPLRLPCSVDEFLRLRALVERETQAAPASSSSSHRVHAGGHGHYSLSPCTRAKVSS >PAN03807 pep chromosome:PHallii_v3.1:1:1619508:1623045:1 gene:PAHAL_1G022900 transcript:PAN03807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRRISGFFGISRDDADHPDSSSSNAAAAVEFPQDRAAAAAAAAAAHGARRGFSVQVPVPVERQGPGPVLVPCPQGDGGVQGFRWYTRKLRIDEDGDVADEFLDEVIPESSINNNASPVGRFQPKYNTKPASLALRKQIIAVDGDVRHSLEHQGQLQWV >PAN06903 pep chromosome:PHallii_v3.1:1:48953019:48959255:1 gene:PAHAL_1G296300 transcript:PAN06903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEISEIKKIGIGLVGFSILFSFLGVILFFDRGLLALGNIFFLTGVGLLLGWQSMWQLFTKKANLKGSVPFFIGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPIIGWILQYPFQLFAQLRRKRA >PVH66307 pep chromosome:PHallii_v3.1:1:31610001:31611135:-1 gene:PAHAL_1G201500 transcript:PVH66307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPIPIPPPPTPTPPVGQPPPAQRLHAAGCSGLIPSCFNPRDPTDGRRPASRVHPSLCSAPRGQVPELGARLLPRPQRHTRRG >PAN08107 pep chromosome:PHallii_v3.1:1:55047297:55048087:1 gene:PAHAL_1G388100 transcript:PAN08107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASMDPPHAKAEAAAAAVTAPTPPRPGKAEKGAKATTLLDVEEVEWITRELERLLAREQSGADAGAGGRHCRKRAKLSPAPKRGGFLADLLGRHAVSICSGDGTAADSSAARAGRRRGGRGSFREVEKV >PAN06404 pep chromosome:PHallii_v3.1:1:46587007:46588115:-1 gene:PAHAL_1G261700 transcript:PAN06404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPSSTVPTPPLREATEAAAIVAALAQVIAGGRGAMLTTPRPATSPAPSPVVPPCPPTAVGSHRGDVSPPAWQGEASASASAHIVSDSAVPQVRSAPAQCLLPSPAQAPMASTWPQGAEQGLAPPAPRSYRGVRRRPWGKWAAEIRDPKKAARVWLGTFVTPEDAARAYDGAALCLRGSRAKLNFPEDASSLRHLPAPVGSRQPSSCWNRTIDRSPCPEMVHRRDTTDGFSGGDNGRFLGSWNIGTSSPSPKATCSTAPVNATLLCGSHGTGSSGTKDAGNGTDKRNNARHS >PAN07929 pep chromosome:PHallii_v3.1:1:54018109:54021223:1 gene:PAHAL_1G373800 transcript:PAN07929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVEAAISCSKVDVPAAPEPEEAAASKAKNAAAEHGGDAANGKCGEATPPPHCHEDEEDEEEAPKVIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSILSPGRPDIFLPLPVEPNAKGVWFTLKEGSPYRLKFTFSVSNNIVSGLRYTNTVWKTGLKVDRAKEMLGTFSPQLEPYTYVTPEDTTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPSTS >PAN04073 pep chromosome:PHallii_v3.1:1:2727187:2728187:-1 gene:PAHAL_1G039600 transcript:PAN04073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHQRSISLPSRPASKVEEELQILEACTSSSSTTIETTCDGLRKLGDIYSSIEELVCLPCNQVCSSQQRKLLDGEMECSLQLLDLCNAMQEHFAELKAIVQDLQVSLRKGDDAAVQAKILSYFRLVKKAKKHLKKAAKKVSSDKEDCRILRLLSEAREITTSLLESTVQLLTKQIAMPKSSIVLKAFQKRTSVVCKEEKLQVLERNIKDLEDGAGLLFRRLIQSRVTLLNILSS >PVH66123 pep chromosome:PHallii_v3.1:1:17714445:17716232:1 gene:PAHAL_1G153900 transcript:PVH66123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPNEVFFEPIVSNGSNYASWSAHVLNAFRTMGPIIERILIVSILPPKFDIDHIDWANITQEELNCTQLNACVINFLRSILCSGSGRETERHRPSEESTSASHSSSHLDHHQCLMAIHEDELHSNSDSDDEEFVDELRKMSNRSQTTIIKMMKKVMRQDQEIERQEKLLRDKDEEIKSLALVEKKNQAVTAQVDELTSKCMDLQAYHMVLKCSNEMLVESYAMLEIAHEVVIAMMKSCQPINNTCSQNGNKEKQSWFEQVTVEDCNDNLVQENEVHEQEVERLLKDLTKMKGKSVVQPSQDNCETIVKKLEKGSTVQSSCNQVHKSNKSKPQAKKKNLDHIKCFKCSNIGIYASISLAKRRCFGCCKKGHKIATCPSKSNVLSGKTGSSVSAKSEVSDLAVQHRYKLNKGFNRAQAQYLKRKAVRNNESKPASNLKHKVCYTCRGKGHLGKDCPNGNTSKPNLVNNHHVLLRRSHDGACAGRMIKSSTIWPKAI >PAN06181 pep chromosome:PHallii_v3.1:1:44493942:44495045:1 gene:PAHAL_1G244000 transcript:PAN06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAAAEQAWYMPAAPMAESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLLGYGPAGAPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >PVH65518 pep chromosome:PHallii_v3.1:1:1157462:1162557:1 gene:PAHAL_1G013600 transcript:PVH65518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MAPRVAPAMRVLPLALAAAIFFGVAAIFIYLSGLSSYGSGRLSESDLAALAALQGRFSKCVDANGLGLKAMSGKDYCRVVIQYPSNTVSKWTDPNTGQVEGLSFEFNLCEAVASWEQVRNSTTVLTKEYIDALPNGWEEYAWRRINKGILLNKCHNRTLCMEKLSLVLPETSPYVPRQFGRCAVVGNSGDLLKTTFGDEIDSYDAVFRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEILIIKTTIHDIMNQMIREVPITNPVYLMLGTSSSFGSSAKGTGVKALEFALSICDSVDMYGFTVDPGYKEWTRYFSEARKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRSVKWLPTKDIIEAARVASEKLLRRPGAGSDGPLGTCTMIKKREKGKAPNRSDLRDAAMKHLEYMKGTTRYPLERSAGGGYLCMINDR >PVH65497 pep chromosome:PHallii_v3.1:1:752804:754859:-1 gene:PAHAL_1G008300 transcript:PVH65497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMELGAPGSSLHGVTGREPAFAFSTEAPDDAAAKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSIIDNAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHILMGILVLTMGQDLPDGNLRSLQKKGDVNKDKFSKVMWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFNLDLRVSGIIAACFGMANIVARPLGGILSDIGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPASITAMVLFSFCAQAACGAIFGVTPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMLFPANAGAAEEHYYSSEWNEEEKSKGLHNASLKFAENSRSERGRRNVVEATTATTPPNNTPEHV >PAN07266 pep chromosome:PHallii_v3.1:1:50725446:50726833:-1 gene:PAHAL_1G322900 transcript:PAN07266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATASVAPPSTSTASSVLLLRRFPSPSLASGRRPGQQPRRARLLLQVALTSEVSSDDVAAEEAAAAPKIGRRVRVTAPLRVHHIVKAPDLDIQGMEGVVKQYVCVWKGKRITANLPFKVEFQIAVEGQPKPVRFFAHLREDEFEFVDEE >PAN05979 pep chromosome:PHallii_v3.1:1:26760836:26763563:-1 gene:PAHAL_1G184300 transcript:PAN05979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGYTVHQSLTAEAAAVLKLSLGLARRRGHAQVTPLHVAYTMLGGATSSSYSQASLFVKAASTPAYGLLWRACARTHTGGVARGAPPLQYPSHPAQCRALELCFNVALNRLPTANAVAGSPFSSPSSSSASSTSFPASILHQPSPTLSNALVAALKRAQASQRRGCVELQTRPPSPPGPTSTSPQQQQQPMLTIKVELDQLIISILDDPSVSRVMKEAGFSSAAVKTNIEEESAAMMPAPFLNYQPDVEFESPCKEDDVRAILEVMSRTYGRRTNPVVVGDTVSVAEASVAALMRRLEGGDVPDELRGARVLRLHLSHVHVRLMAKADVDACVADLRRTIAATAATTNTRTGGLVIYVGDMRWAVDDDDEARALAASGGFSPAAHLAAELARLLGELPAAAASCGGRAWLVAAASYGTYMRCQQRSSLEATWALQPVPVPAGAGAGLGLALGPRAATRSETVGKVAQLAKFPLLDSSPGEEDGVPILCDECARSYETEASAARAKAEGTDLVLTFFPGWPQADEPQTSHKDDLIDLKRKWSRLCRRVHLQHSQPTRPSIATTTSSSPDLCLSFGTREIKHQDVKTTLSLLPPDSAHHPLNEDTDAKQAIAQESIPVVDSRDMKNVLQLWIDELPSGDLKRKAENVRLPRESKRWMGGCGLDLNLNLCAGDEENQDGGCTTSEDELVPSDLTNNGEGSGDVTDSLGSHC >PVH66435 pep chromosome:PHallii_v3.1:1:44764208:44765511:-1 gene:PAHAL_1G245700 transcript:PVH66435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGQRGAKLLGLLQRAAPARAMGGQGTRTPLEGRWWKLCAGAGVNGLIWLLMEVPERTDIGGIITWFAP >PVH66448 pep chromosome:PHallii_v3.1:1:45200269:45200691:1 gene:PAHAL_1G249000 transcript:PVH66448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALSEFDIRYQPAKAVKGQALADLIAERTSSDIAALSIRAWAMYFDGSVCGDGSGIGILLISPRGATYSFSIRLPTPYTNNLAEYEAVHKDMELLLEAGAEAVEVFGDSKLVISQLTETYRCESELLFPLWRQCQELMA >PAN07656 pep chromosome:PHallii_v3.1:1:52618639:52622312:1 gene:PAHAL_1G352800 transcript:PAN07656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTSPVTAALAAWAFAVATCVKLLLVPTYRSTDFDVHRYWLALTHALPARQWYTDASSQWTLDYPPFFAYFSRLLSLPAPVVDVTLVSIPVADSPPFAHLLYLRLTVAFSDLLLLGSVLLLARDARQRQRPFLALALVLWSPALLAVDHVHFQYNGFLMGLLLLSLYFLEQGWDLAGGVVFASLLCSKHLFLVAAPVYFVYLFRHYCCGRGVVKGLGRLVLMGAGVAAVFAAAFAPFVYYGQIQQLFNRLFPFGRGLCHAYWAPNFWVFYIILDKVLAFLLRRLGFNIAIPEASFTGGLVGDSSPFAVLPKVTPITTFLLVILAMTPCLVKALSNPQTKHIVRWVSYACTCGFMFGWHVHEKASLHFTVPLALIAMDSLNDARHYFFLSIVSCYSLFPLLFEKQEYLIKVMLLLTYAALMWVGFTSHFAANSDQEGKKVNRSGSTVKTKGFVGWIGLSYLLGIGAIELWSQVLHRHVFGDRLPFLPLIMVSFYCGVGMIYSWMWQLMYIVRHT >PAN06531 pep chromosome:PHallii_v3.1:1:47213098:47213658:-1 gene:PAHAL_1G269800 transcript:PAN06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLHHSQQQQPAAPAASAAPAPQYRGVRRRKWGKWVSEIRQPGTKVRVWLGSFDSAEAAAVAHDVAALCLRGPRDAQLNFPGSAGWLPRPASTDPADIRAAAAEAAERVRREPALVAAAEPPAGRLDDLAVGGEFGDDMESSPRLWTEMAEAMLLDPPKWGPDGSDGSEGSGSQHWPQGSLWDAC >PAN08195 pep chromosome:PHallii_v3.1:1:55443392:55450072:1 gene:PAHAL_1G394900 transcript:PAN08195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLVLRAAPYRHSSHLCAATLLVLSPSQHRRFASFPSSPPTAARRILPSPLRVPIRALESSPGPTKEEQEPSPAAGEAQEPPQPAASAFEVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWAKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGVEPEIQRIGKYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSTHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVGQKDKKSLRMVDYSKYSRVSKRTLGLQGGGEQIAIIRASGSITRTRSPLSAPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKLYERIDFNKEILSKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMETVAQGRVWSGQDAFSRGLVDSVGGLSQALAIAKQKANIPKDKKIQLVEVSKPSPTLPEILSGIGGSLLGVDRAVKGVLQDMSSLSGVQARMDGILFENLGDMSGENQLFLLIKDILNYFD >PVH66999 pep chromosome:PHallii_v3.1:1:56278788:56279634:-1 gene:PAHAL_1G405700 transcript:PVH66999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVKATLLVVQINLRSACKTPRRILLAQNRDFSVWILMHLHLNSICKQNWDPPTISAHFMIKHIFGDRISIVYCHFISCL >PAN04623 pep chromosome:PHallii_v3.1:1:5510302:5511440:-1 gene:PAHAL_1G080100 transcript:PAN04623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGAGSDVRPGDWLCSCGAHNFASRSNCFKCSAFKEEAAVNSGAGGFDGDTSRSRYGFGGGAARTNRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGSAAMTYDTYNLH >PAN07600 pep chromosome:PHallii_v3.1:1:52328877:52330065:-1 gene:PAHAL_1G348300 transcript:PAN07600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYSEMLLPRALLQVLLLLGHLHRFLLWAFHAVGLGDLIDLGCNDYPAPPSAALAERTGRDASATLQHRRSEFRPVPAVLVEEALPVVRFEELAGAACGGGDCAVCLSGIGAGDEVRRLSNCRHAFHRGCLDRWMAHDQRTCPLCRAPLMPGAAAAGDPSWAAAAAAPWADAADHDMSYPSSLPSTPLLMVPTPTLLRPHELLLSGLGGFQ >PAN03981 pep chromosome:PHallii_v3.1:1:2285750:2299682:1 gene:PAHAL_1G032600 transcript:PAN03981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATRRIVGAEVPIPSSDKLRWIDLTVPSSSAPASPADPFVCVPPRAASGCHIIPSGDSQYYLSWRIHEEHQNVLEVIELGASKEFPSSGLRLVFQEALCPFAFLCEREGRRQGELVYLLYVLTVSGVALLCHLRSPSSYLSGSVLHQDDIVEFSLQTHAQSAKVTAVTAKPGCLVIGRQDGSICSYSLGKLAPNTPGFLNELRDDAGIGRLWTLISRTKTVGPVQDIVATIVNERDLLFVLHLDGHLRIWDNHTKLLNYNVHSNDIEGHPSRLWVGEADDDKELISLAVLHQNTVVQDCDHVAVYGFSFSAGEKFPFSPEPSISTIPLLEGKLVDLKIGMNKLWILKEFGSMLYEILQYDIETKKICSYVLQEDAISEQLFQSSDNALDDLVWTADSMFSSLKEHAFNFISSMFLRRLLQPGVNHCSALRETLLEHKRFLSDSEFQSLTANGLRKEILSIIEQEGSSQTASATAYHWKKFCARYLHNWCCNNRPYGLLLDTKNEVFGLIRKGSFSLFRCLEGVEMLIYGSSDELRNIDDLRMNLLDDTSNFELLNEVLRFMGHIHHLLGRSSTAIYYESLISSVISSDEIASQIVKILETGFSPQSSSSLITLLGTDAYVERRQAAHKSQRKFSVEMLLSFHKLQSRSTSWSVVFDVIEKFMKCLNTNINVQDYESKRVCNVNSMLLVQATSQVARTMYECAFDLFLFMSYLVGVGGQVALLQSDVARIKLKLFPMIQDILGQWIVLHFVGISPTSPPTIEDFSYQLSSLQLGKVDELSLHRKLGCSDFTLACLLDFPKSPEGDVMSPCFPSPVEVINLVRRFSSLILCGRNFECVQTFLGSTINLSAILIRHGQYEAAQNLLGILETYLNNEKVSRAGPDADTACSAYLHLNGFCLLMLAHDEANTVLRESKVHDAIRCFFRAASGHEAPKALQNFSLETGFQVSGECRSISLWRLHYYEWAMQIFEQHSMSEGACQFALAALEQVDDIFDLDNGTEAESLPETAAMIKGRLWANVFKYSLDMKHFRDAYCAIISNPDDDSKYICLRRFIIVLCELGETKVLCNGEIPFTSLVEKVEQELFWKAERSDLSSRPNIYKVLYSFEAYRNNWRKAAAYMYRYFVRLNREGNAGGSRQLPHVLQEKLHALSAAINALQLVDPSFAWLDSVCEADDQISPSKRPRNLLMENSAFGTDSELSRLQFCVDVEILEKEYTLTKAQYMLSTVKSTFNFSESQSIESLVDILIDEKLYDLAFTIVLKFWKESGMKRELERVFSAIAQQCCPNRSDKSGGNLTDSRQLLLLPSSEDDAWDGNAKSIAVTQQLQGSCHWETLELFMEKYNDLHPRLPVIVAETLLYTDPKIELPLWLVQMFKTSKAGSRISWGMSGKEADPAALFRLYINYGRHAEATNLLLEYLESFASSRPADVLHRKKVSAAWFPYTAFERLWCQLGEMQHAGHSVDQCDRLKKLLHGALMSHLQQVVVDSEDVLSSVGVGQGMEA >PAN07430 pep chromosome:PHallii_v3.1:1:51522644:51523677:1 gene:PAHAL_1G335600 transcript:PAN07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIASSLSRRDAAVKPIPRRDRGTTEEYEVMFFEEERLGPAALEARGDRFMSIGRHRRGWSPDISRLFEEAATSYVLAEKWRKAAQAFSEQARYDLQFGDEGELSAASALLRCAKCYNQIEEKEAGEIAATKHALEKAIALFVKKNNLHLAATSCVDLAEFYTEHQQLHNALYSYEQAADYYGANRRRNRHCRFKANLLRFTLANQEMLRVKGLVHIKDYKRRSGIYIKSSDPDWRARVRSLFDSTSIVQPWM >PAN08182 pep chromosome:PHallii_v3.1:1:55376010:55378080:1 gene:PAHAL_1G393800 transcript:PAN08182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium sensing receptor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23060) UniProtKB/Swiss-Prot;Acc:Q9FN48] MAPMSASATVAPLAPPPPPKATARNPARRAPANAASAAASAAAAGSAALLTLTPAAPAAALSKEDVTGSLTKVVDTVDQAIGVGGKVVEQVSGVLKTLGEAAKPALPVLKSATDEAVKLAAPVVSGASKQATEALQGAGVDPAPVLTAAKTAAEQSTKVIDAAKPVASATVETITSLAPEDYVVAAGASFLAYLLVPPVWSLVTFSLRGYKGDLTPAQALDKVTTQGYVLIDVRTDKDKAKAGVPEMPSNAKNKLISMPLEELPSKLKGMVRNAKKAEAEITALKISYLKRIGKGSNVIIMDSYNDVSKTVAKTLNGVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSTVEVVTPSRVIPAGRIGTTAGRFGTTSTASRATSGKLLPGSVD >PAN08570 pep chromosome:PHallii_v3.1:1:57350817:57354209:1 gene:PAHAL_1G421400 transcript:PAN08570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETGAGRLHQRRGAAAFVAAKKTLLAAAWVVGFALVFLWQSASISLRSGGARGGSGSGGVLLGLLSAPLPPLRPAPRLRPAAYNLTDFGGVGDGRALNTGAFERAVEAISALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGTEILGIPDEKYWPLMPALPSYGYGRERKGPRFGSLIHGQNLRDVVITGHNGSINGQGEVWWMKHRRRMLNNTRPPLVQLMWSKDIIVANITLRNSPFWHFHPYDCTNVTVSNVTILSPVSGAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGTAYGRPSSNISIRNVTARSLVSAGISIGSEMSGGVANVTVENVRIWESRRGVRIKTATGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRNAFPDITSISFKEIHGRGVRVPVRAHGSDVIPIKDISFQDMSVGISYKKKHIFQCSYVEGRVIGSVFPKPCENLDVYNEQGQLVKRAVSLNSTELDYDI >PVH66719 pep chromosome:PHallii_v3.1:1:51044542:51048763:-1 gene:PAHAL_1G327900 transcript:PVH66719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHGCTCPGPGPAQPHGRPRASTLFNTHLRAPRVQRSCHCPALQQRASERAAMGSVPEEIALGFGGLVGDLREVYESGRTRDLEWRHSQLRGLVRLLEEKEEDIFDVLREDLGKHRAESFRDEVGVLKKSIVDKLQNLKNWAAPEKARTPLVAFPSTALVVPEPLGVVLIFSCWNLPIGLALEPLSGALAAGNAVVVKPSELAPSTSAFLAANIPKYLDPKAVKVVEGGADVGEKLMEHRWDKVLFTGSSRVGRLIMTKAAKHLTPVALELGSKCPCIVDWLDSNRDSQVAVNRIIGAKWSTCSGQACIAIDYVLVEEEFAPILIELLKSTLKRFFTKPEYMARILNEKHFNRLRDLLADRRVSASVVHGGHCNPKTLSIEPTLLLNPPLDSDIMTEEIFGPLLPIITVKKIEDSITFLKSKPKPLAIYAFTRNEKLKRRIIEETSSGSVTFNDAIVQYGLDSIPFGGVGHSGFGQYHGKYSFEMFSHKKAVLKRSFLIEFLFRYPPWDESKIGMLRHVYRFDYVSLFLALIGLRR >PAN04062 pep chromosome:PHallii_v3.1:1:2682593:2683651:1 gene:PAHAL_1G038900 transcript:PAN04062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGKEKEKVEGDGSACERTITWDDDQTKFMFGWFIEFIKDQHAGFKLKKQHHFKCAEALNRQFNMGVSATQVERHLRHYKKNWKFVASALAKSGNTFDATRSMVIISESDKAKLQVRVRRLLSKPIKFYNEMQELFRNSNADGSLAMDAANCMNDTQDDEDNDLNDDICNDFSNYAQPQDDLGDDSDTLPSPTNEQTSFLSQTGDGSSSSSGMKRPRAEGKPAKRDVRPKSRLSKIGDTIATTLVTLQQELKKPAPALPHMPNSDAILWQRIENMTLTTDQKLMVGTFLAHKDQKGMRGFLSGSAEMTFQSWVFKFLSDSGL >PAN08213 pep chromosome:PHallii_v3.1:1:55509063:55513671:-1 gene:PAHAL_1G395700 transcript:PAN08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEEGVNLGKQMEEEKAAGESGDEGTIERSHSINLNTVPPVAVEARSTQENGETHGAGVSGTKDSRTREREQSGDADQKKLPKCEQVDYESEVEGRENPADKAALVTVVGNEGHADYGDDDERAQVLSIVKKDEPADEVGGSINPVPVTEAGCTEEKGAIVASAGISAVRPAGSRSSSFHGVTRHRWSGKYEAHLWDSSCRVEGRRRKGKQVYLGSYDTEEKAARAYDVAALKYWGQNTRLNFPISLYEKELEDIRDLSREECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYVDKGMHCIEGEGLRLLMSKPE >PAN05722 pep chromosome:PHallii_v3.1:1:17905870:17910038:-1 gene:PAHAL_1G154500 transcript:PAN05722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHHQNGAAARAAEDGIKGNGNGNAAAEWRFARPAKERALAAAGDKMSIRAVRFKISASVDARDPRPVLPLAHGDPSVFPAFRTAAEAEEAVAAALRTGKLNCYPAGVGLPDARRALAKHLSRDLPYDLSSDDIFLTAGGTQAIEVVISVLAQPGTNILLPRPGYPNYEARAALNNLEVRRFDLIPERGWEIDIDSLKSIADKNTTAMIIINPNNPCGSVYTREHLTKVAEAARKLGILVIADEVYGNLVFGDSQFIPMGVFGHIAPVLSIGSLSKRWIVPGWRLGWVAACDPKKILQQTKILTSITNFLNVSTDPATFIQGALPKILENTKEDFFKRIIGLLEETSEICYREIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDIDFCCKLAKEESVILCPGSVLGMENWVRITFAIDSSSLLDGLERIKSFCQRHKKKNMLNGI >PVH66460 pep chromosome:PHallii_v3.1:1:45648187:45651548:-1 gene:PAHAL_1G252000 transcript:PVH66460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEIPPEDIPYLKPHLQEGKIVDIKRFLVQRAKNIYKVVEAPYMIKLTQRSIITPVVPEPPDFLKYVYNLIPFSELPHHANLTDRFLDIIGYVAAVSNVAKVRYGYGKIQTKRIFILKDDKGNSIEISLWGPRAIEFDAETVYANGQESAVIVIFVGTLAKTIKTEKGDKVILTGTSACRWYINEYIPAINEFYAKG >PAN08333 pep chromosome:PHallii_v3.1:1:56149377:56151326:-1 gene:PAHAL_1G404500 transcript:PAN08333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFPMEHLACTAKADSASLGCGFDIDDLEEKGGVVLQVEAAGALPLGDGAATAWDAALCPCSVAPGALEECWDAQRHLSVSPPPVPAPPGGRGKATASAARRRRRRPKAVKNTEEMESQRRNHIAVERNRRRQMNEYLAVLRSVMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRAGCAEPPAAPPFAGFFTFPQYSTGATGAVGASDSSGSAGGDQSGGGCAGARRGVADIEVAVAESHANVKVLAPRRPRQLLRMVVALQCLGLTVLHLYVTTTADHLAFYSFSLKMEDECRLSSVDDIAAAVNEIVAKVSDEVIISQLAA >PVH65847 pep chromosome:PHallii_v3.1:1:6223509:6224015:-1 gene:PAHAL_1G089000 transcript:PVH65847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLLSFLGRLLLSSFLFGELYDLPAFLQSLVSLHYFIPSSGLYCLLLPSLCSWLLVFFFLNEVICISRY >PAN04765 pep chromosome:PHallii_v3.1:1:6303710:6307108:-1 gene:PAHAL_1G089600 transcript:PAN04765 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor, Chloroplast development, Plant architectur [Source: Projected from Oryza sativa (Os02g0220400)] MSTIYMSQLSTLPLMEGEKDQGLFPAFDVMKDPPILFPFMINNPVDQLQGQSSYGDQRLRHQVLAESTHQQFTDRMMMSGSDVFPRPSPFRPTIQSIDGDMIQRSAYDPYDIENKRADGSISGWAVAPPAKMKIMRKATSEYPEGGAARKPRRRAQAHQDESQQLQQQAMGVVRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAAAAASNGGAPQVASVASQAKPAKKEKRADVDQSLPFKKRCKMVAVDHAVTAAKATPTVAAPTKQDQDHVSSDKVAAAATAVSLQSKVATPDPPAMSIHAFPADEITDAAMLLMTLSCGLVRS >PAN09112 pep chromosome:PHallii_v3.1:1:59692321:59692944:-1 gene:PAHAL_1G459500 transcript:PAN09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0830200)] MSTMTVPPEPPHVLAVDDSIVDRAVISRLLRSSKYRVTTVDSGKRALEVLSLDRNVHMIITDYCMPEMSGYDLLKRVKESAELRGIPVVIMSSENSPTRIRRCLEEGAEDFLIKPVGASDVSRLCSRLTL >PAN04280 pep chromosome:PHallii_v3.1:1:3708111:3711029:1 gene:PAHAL_1G054800 transcript:PAN04280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSHEPATEQKASPTRRSSVAKLTTTSSSLVNLLSVFLGANSPEPRPRRSFDAGGVGLGIVADMSRACLTGAEPIAIGPAARRRAREEDELSESYTCVITHVAGAGGGSVRKRVYRGFGDGDGWLVEADDEVPAQAADFLSRCCLCDKRLDGLDIYMYRGEKAFCSSECRCHQMLMDDRAENCRSEALRATDYSVSPHSAPMALSPSVAAA >PVH65999 pep chromosome:PHallii_v3.1:1:9731384:9732255:-1 gene:PAHAL_1G122700 transcript:PVH65999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALISSLNNMEMETGQMSESLIQQKPGPEANQNPVGNDVNPGKETDELPGSEVNEEPLETCQDTVVETEIIPEKKADPEAGLEVNQKPTETCQEKSAESFLKEKSAEPDVNPEKRTPDPGVIYRCKKCRRMLATQEFVVTHEVGAGGKSFNRGKRSDDDDKQTECPCIFVEPMKWMQTVEEGYVANKLFCMGCKARLGQFNWAGMQCSCGAWVIPAFQLTKSKIDECSM >PAN07538 pep chromosome:PHallii_v3.1:1:51994199:52000437:-1 gene:PAHAL_1G343800 transcript:PAN07538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEPEARPEAGTPSPSREPPPETEPDAPAPAGIQELQPWEQHAAVINLPRYNYRASGSLLLRSHSGFLITCPIKREKSATKEAISILEEYIGHAKCHVSEQAEPCDVENAVKKRKFCSLASENSEEAVTDENSNSAPESTGSIGDSSSPQNKTSENVDRASNLSLVKLSRSGLLFFKFPSGGLHVVEMLTEIFHSLKSGKLKSPQWCHRIFPVQETCVLSEKDLHATVSKLFLEFSRSKNNKDEPIKLHIIEGASMRQR >PAN07539 pep chromosome:PHallii_v3.1:1:51996964:52000376:-1 gene:PAHAL_1G343800 transcript:PAN07539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEPEARPEAGTPSPSREPPPETEPDAPAPAGIQELQPWEQHAAVINLPRYNYRASGSLLLRSHSGFLITCPIKREKSATKEAISILEEYIGHAKCHVSEQAEPCDVENAVKKRKFCSLASENSEEAVTDENSNSAPESTGSIGDSSSPQNKTSENVDRASNLSLVKLSRSGLLFFKFPSGGLHVVEMLTEIFHSLKSGKLKSPQWCHRIFPVQETCVLSEKDLHATVSKLFLEFSRSKNNKDEPIKFAVAYNRRGIDETEMKPQKNSNEGSSQQALMDRDQCFKVVAAAVKSVAENSVVDLKSPEVAVLIEMLPVSGVPLGSSVAGVSVLPAELISTKPRLCVKSLASDAKATKKK >PAN08510 pep chromosome:PHallii_v3.1:1:56875797:56882418:1 gene:PAHAL_1G416600 transcript:PAN08510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQERDDVPMLLRNVELPRFPLRSTSLCIPVRDDEYEEDTFVPHTGPLFIQPPTQTTSGIPFTSRDTPDRLPRPSQGKPVSKPHAIMPEETGGNRWSYSGQVPKNEHLLMSGPLGQCDNPDCVNCPPACKNKRHFHRGSNALDNKLHNTISGHVGGWKKKIEKILSNIPIMNPHAKVVQQWNQFFVISCLIAIFIDPLFFFLLSVEQDNKCIVLNGNFATALAAVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKVAVHYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDACSASTIPYCDTFIDCGRGIGSGLYRQQWFNDSGAAACFNTGNDATFQYGIYGQAVLLTTEGSAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEKWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGTVDKMVFIVRGKLESVSADGSKAQLHDGDVCGEELLTWYLEHSSVNRDSAKIKFHGMRLVAIRTVRCSTNVEAFVLRASDLEEVTLQFARFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRKRRLKRAEQSRLNEESYPSYPITAHDSFPRGQWG >PVH66732 pep chromosome:PHallii_v3.1:1:51285342:51287825:-1 gene:PAHAL_1G332100 transcript:PVH66732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g16890, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16890) UniProtKB/Swiss-Prot;Acc:Q9LSQ2] MRSRRLLPRLLPRLPKPTDLSAQIHQRSNLSAQSCHDATESGAAADPARAVSLLAERSWFERINSEFAASLLQLGPRFVVRALQHAAAEPLLCVRLYVWASRFGQHFARDRAVRRALGDALWRRGPVVLSAALVAEVQGCGCVISEELLCALVESWGRLGLAHYAREVFVQMPRLGLRPSTMVYNAVIAASVRAGAVDSAYLLFQQMPADGCRPDCFTYNALVHGVCRRGIVDEALRLVKQMEGAGIRPNVFTYTMLVDGFCNAGRPEDAVGVLEKMKEKGVAPTEATYRTLVHGVFRSLGTDKAYRTLSKWIESHPAMHHRNAFDTLLYCLSKNNMAKEAVELVHKMSSRGYLLGNTTFGIVISCALKRLEFSHVCQLVDDFIEKGGKLGFDVYILMIKSLLSSKDISKVHEYLSQMVLHRLFSSVTSYNMVIDCLSKAGEMVMAMEIVKVMQESGFLPNLVTFNTLISGYSKLGNVHDAKAVLKMLTELGFMPDIITFTSLIDGLCHTHQLDDAFDCFSEMPKWGVRPNVQTYNVLIRAFCSAGHVNKAIHLLNKMKMDGISPDAYSFNAPILSFCRMRKIDKAHKLFTAMLRYGVAPDSYTYNTLIKALCDERRVDEAKEILLAMESSSCIAANQHTYWPVVGALTKMGHFNEAGEFMNKFHRRNAHLGSSAYLRAGSEILVQTVNV >PVH66497 pep chromosome:PHallii_v3.1:1:46799888:46804581:-1 gene:PAHAL_1G264100 transcript:PVH66497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHTALPSAPRLLWLWPAQDSGILVLVLQCTCTRSCQAGYLWSSPS >PVH66498 pep chromosome:PHallii_v3.1:1:46800505:46804463:-1 gene:PAHAL_1G264100 transcript:PVH66498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHTALPSAPRLLWLWPAQDSGILVLVLQCTCTRSCQAGYLWSSPS >PAN08872 pep chromosome:PHallii_v3.1:1:58717650:58719478:1 gene:PAHAL_1G442200 transcript:PAN08872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAAESGPACEIARLPEELLSASIARAAPRDACHAAAVSPAFRAAADSDAVWACFVPRDVPPLADGELSPAPPSKKALFMRLCDSPVRLADGLTIMWLDRETGAKCYMLSARALCIIWGDTPCYWRWVPITGSRFSEAAELLQVWWLEIRGKIDSKMLSQHSTYAAYIVFKVAPGAYGLGSPYPETTVSLGGSKSTCHVCLDVSDRDDEDSWWTLRPSRRSHLEIPLSNVLLPQQRADGWMELEMGEFQNDEGEDGEVSISLMETSATVKIGLVVQGAQA >PAN07716 pep chromosome:PHallii_v3.1:1:52916153:52917209:1 gene:PAHAL_1G356900 transcript:PAN07716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQLSLSASPPRQQQQQQEDGGGDAAQAMAITVDESASHSKADRARPAREERAIHLIPLLTFLCFLLLFLCSRDPSASDMSSFAGGGGLGSGNRRLKMML >PAN05247 pep chromosome:PHallii_v3.1:1:2075572:2075865:-1 gene:PAHAL_1G029800 transcript:PAN05247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN08140 pep chromosome:PHallii_v3.1:1:55208929:55210354:1 gene:PAHAL_1G390900 transcript:PAN08140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCEKVGLKKGPWTVEEDQKLVAFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEALVIDLHAQLGNRWSKIAAQLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHRPLSPARPEEVFPLAQQRHDPPHVREGRCDDEPKQALSSRGLPGAEDDEDEEAPASAQPQGATSPASTAAAVSPSCSSSSTASASFATPGADVAAWSDPIDLFQVDSIMDMDWAGILSGCGEDGAGIDVDLFDHYPGFDQQVWM >PVH65877 pep chromosome:PHallii_v3.1:1:6786394:6787254:1 gene:PAHAL_1G095000 transcript:PVH65877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDHLFDEQANLLREQTHRAEQAELAVRLQQIRATHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRGGTPVPAIGEDHVLLGTPVIGWGSLFGSARAPPEHPESSAAAVERDAAAQPLTSGNPEDGEQGSLTLSAPEEGRPRE >PAN05097 pep chromosome:PHallii_v3.1:1:8951909:8954689:1 gene:PAHAL_1G115000 transcript:PAN05097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARTPLGAVAVAALVVAIFMPAAAAQGPAPAPTSDGTSIDLGIAYVLMLVALVLTYLIHPLDASSPYKLF >PAN07831 pep chromosome:PHallii_v3.1:1:53558755:53564479:1 gene:PAHAL_1G366300 transcript:PAN07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHDSMADSPRRRHNLLRDKVQLVKRKDSNRYEIVRFHDPLSFEKGFFVVIRACQLLVQHNEGIIFVGVAGPSGAGKTVFTEKVVHFMPDVAVISMDNYNDATRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKSSCRTGYRTVDAPSSRIVIIEGIYALSEKLRPVMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFSGFQNPMYILKSPRSLSPEKIKAVLGDDHTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLGQLNRQYIQVQGRDRLYVKFVAEQLGLDGSYIPRTYIEQIQLEKLINDVMALPDDLKTKLSIDDELVSSPKEAFSRVSADKRNKLMKSGLSHSYSTHGDKNMVKLSKLTDSNRRYGGGRAPEPPAINQGAVTQLSEQISTLNERMDEFTSRVEELNSKFTVKKHLPSQQNLALPNDACNGSTPTNLFVSQLGNGTLIPHSSSSNQLSKDSPMIEEIMNISRGQRQVIHQLDNLTNLLHEHLVLTRQSNAASRNRVLDIDTVICPLICLTVASIGYFMFKGLSRG >PAN03552 pep chromosome:PHallii_v3.1:1:309410:313826:1 gene:PAHAL_1G002500 transcript:PAN03552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFGTCRLNKPATAAFATNKTLLLSPPVVSLPRQMKMRPQRKCRLTVNAAKELHFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKIVAAGANPVQITRGIEKTAKALVNELQKMSKEVEDSELADVAAVSAGNNYEIGNIIAEAMSKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKINNARDLITILEDSIRGGYPILIVAEDIEQEALATLVVNRLRGALKVAAIKAPGFGERKSQYLDDIATLTGGTVIRDEVGLSLEKADKEVLGTAAKIVVTKDSTTIVGDGTTQEEVNKRVMQIKSQIEATDQEYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQRVGAEIVRKSLSYPLKLIAKNAGVNGSVVIEKVLANENFRYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKVPEQAPAANPMGGGGSGFGF >PVH67065 pep chromosome:PHallii_v3.1:1:57269055:57272614:1 gene:PAHAL_1G420500 transcript:PVH67065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLTPQCARCCPPTYPILRDGLPAGISRPAPRVLYLPRTSRPSRSVLAVVPDATQQLQTTLTPSFLGLSASSGLLPRSNGATDVVIGVIDSGINPKGRASFAADASLPPPPSKFRGTCVSTPCFNAFAYCNNKLVGARFFYLGYEAAHERLNETVESKSPLDTVGHGTHTASTAAGSAGVDASFFDYAKGKVIGMSPGTAVGVAPGARIASYKACWQTGCASSDVLAAFDEAIADGVDVISISVGDNRKPQKFHKDSVAVGALRVIRKGIVVSASAGNTGPAESTVTNVAPWLLTTGASTINRRFPDTVVLGNGETFTGTSLYAGSPVSATEIPLVYGGNVGSRFCEAGKLNSTMVAAKIVLCDYGINGGVAKGEAVKLAGGAGAILAGVKDFGEFAYANPHMFPTTVITFASTEKIMKYLSTAASPVTTVVFHGTVDGRIPTSPRIAAFSSRGSNRRAPEILKPDVIAPGVNILAARTGESSPTGLGTDRRRVQYNIISGTSMSCPHVSGVAALLRQARPDWSPAAIKSALMTTAYVLDNAGNVIKDMSTGKASTPFARGSGHVDPNRPLDLGLVYDADADDYVSFLCALGYTADQIAVFTDDDPETDCLTHTGSAGDLNYPAFSAVFNPNMDNVTQRRTMRNVGCNFRATYTANITSPAGVRVTVKPRKLRFDARQRRRDYEIAFFTPQGAGNLTDKYTFGSIVWSDGEHRVTSPIAITWPWPAPQVAAM >PVH66219 pep chromosome:PHallii_v3.1:1:25815246:25817075:-1 gene:PAHAL_1G180600 transcript:PVH66219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSSPADSSSSPRPHTYTIGYAMLPNKHDTFIQPSFLDLAARHGVRLEAVDASRPLAEQGPFDLIVHKLHGQAWRAQLEAFSALHPDVPVVDPPAAIERILDRFTMLDVVAGLGVATEGAVATPRQVFVADAAALACDDADAALGGLRFPLIAKPVEVDGSAASHDLCLVYRREGLRGLRAPVVLQEFVNHGGVLFKVYVVGDHATCVMRSSLPDVADARLHDLAADAAAPFANISLLPPPAAGDVEMPPQDFVNRVARELRRALGLHLINFDLIRASDPDGDAKYLILDINYCPGYSKMPGFEPIVLEFLLEMLRNRPGHEQPCPGAGSGTGLSAEALKAEVEPSFIPSGAEPKQVQA >PAN05943 pep chromosome:PHallii_v3.1:1:41990615:41992400:1 gene:PAHAL_1G231200 transcript:PAN05943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRREPQPNARVIQGVRNMSTGLKSSESDQGMRRARSVPTSPDRRLSPSLASSSSNTCRPSSSVSARTTSSRSTSGSGSSSTHGKTPHSASSLASAKQANTIRKVEKPGATSVWPAALATPNASSKDMTRTAKSASTVQKGNLSTRPGIEKMATSSMKLKTQKSTAGPLGAGKTQAVSSTCAPGSIAKRRTGCENYVSTQRTRSGLARQIETPKIEEQDVELLMEFDETESISTSSIEEHLQEQLPDPVDLQSVGVNSKPSSAQEEYKNENTGDNLEEKREGKDNKNLNPCDNADAEINSDINILKEATSEDVSPESVDETELNKAVSDTELNEAIDETKLKEADCGTALKEADSETELKDAVAEPKLIVQEEAKPKENKIMLPAKTTEMAQRWRKDDRRSNEATEEGRDKPIQERKNKVMALVGRFETAMSGRE >PAN07015 pep chromosome:PHallii_v3.1:1:49436612:49441272:1 gene:PAHAL_1G305200 transcript:PAN07015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALTRLRSAVGRLGPSRARAFSEAAAEAEAAGPRRDSRSFAAAAVAAGSGLGIWLLPSNRQPLADSGQVADAASAVAGGGKGAFSAFFGGVGAAEEREREQEQERRFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVHNPEGEMYMLPTDLMRAVVPVFPPSQSKIVREGRLKGERHPGELHCAPSEFFMLFDTNGDGVISFSEYIFFVTLLSIPESSFNVAFKMFDLDHNGEIDKDEFKKVMTLMRSYNRQGASHRDGLRFGRKVGQLVEDGGLVEYFFGKDGNEHLHYDKFSSFLKQLHDEIVRLEFSHYDVKSSKTIPVKDFALSMVASADMSHINKLLDRVDDLDDNPDLKDLCITFEEFKAFADIRRRLEPFAMAIFSYGKVNGLLTKQDLKRAASHVCGVDLTDKVVDIIFHVFDADRDGNLSSEEFLRSLQRRESDIRQPTTSGFLGVVACWMNCTKCSLQQMLPQ >PVH66444 pep chromosome:PHallii_v3.1:1:45140227:45146843:-1 gene:PAHAL_1G248400 transcript:PVH66444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCLLILKGGPGSFGDTEYSTNKEPKPLSGLPYAGVLVLQLVSLQFQLRPASFRILTDKRKDKEQIYLQFLQDNY >PVH65810 pep chromosome:PHallii_v3.1:1:5698629:5700560:-1 gene:PAHAL_1G081700 transcript:PVH65810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNLLSGSIPMCFGNLNSLAMLNLSHNNFSGLIPITLSKLQLLTELDLSHNYLEGEVPKEGVFKNTTAISLEGNWQLCGGVLELHMPPCPNTVTQRRTGRRHYFVRILTPMLGIVSLTLLIYFIISRKKVSIAQSSLSFSDEQFPKVPYKDLAQSTDNFSASNLVGRGSHGSVYKGRLITPEPVVVAVKVFDLAVEGTDRSFMSECQALRNIRHRNLLPILTVCSTIDNRGNDFKALVYRFMPNGSLDSWLHPPGYGNTANNMNLSQRLKIAVDIADALQYIHHDCESPIIHCDLKPSNILLDDDMTAHLGDFGIARFYLETKSQRAGDSRSAGTISLKGIIGYIAPGNTRT >PVH66057 pep chromosome:PHallii_v3.1:1:12389719:12391365:-1 gene:PAHAL_1G136600 transcript:PVH66057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKIKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH65797 pep chromosome:PHallii_v3.1:1:5407677:5413975:-1 gene:PAHAL_1G078600 transcript:PVH65797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAVQAVEPLPAAAAAPAAAAPQPRGAAGGCGGPARAAATMEHVRLALRETEAEREARIRAVFAFFDAAGRGHLDHAQIMAGLAALRVPVPEGDAGPGAGAEDYARALLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIGDEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEVTIENIYHHWERVCLVDIGEQAAIPEGIRKHVSASKYLIAGGIAGAASRTATAPLDRLKVNMQVQTNRTTVAHAVKSIWREGGLVGFFRGNGLNVVKVAPESAIRFYTYEMLKEYIMKSKGEDKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTYERGRIPSLGALSRDIWIHEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRIQAQPANSEDRYRGMTDCFRRTLQREGFSGFYKGLVPNLLKVVPAASITYLVYETMKKSLSLD >PVH65796 pep chromosome:PHallii_v3.1:1:5407677:5413975:-1 gene:PAHAL_1G078600 transcript:PVH65796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAVQAVEPLPAAAAAPAAAAPQPRGAAGGCGGPARAAATMEHVRLALRETEAEREARIRAVFAFFDAAGRGHLDHAQIMAGLAALRVPVPEGDAGPGAGAEDYARALLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIGDEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEVTIENIYHHWERVCLVDIGEQAAIPEGIRKHVSASKYLIAGGIAGAASRTATAPLDRLKVNMQVQTNRTTVAHAVKSIWREGGLVGFFRGNGLNVVKVAPESAIRFYTYEMLKEYIMKSKGEDKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTYERGRIPSLGALSRDIWIHEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTSVQAESPAHSYLLRRFCSYNL >PVH65798 pep chromosome:PHallii_v3.1:1:5409682:5413205:-1 gene:PAHAL_1G078600 transcript:PVH65798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAVQAVEPLPAAAAAPAAAAPQPRGAAGGCGGPARAAATMEHVRLALRETEAEREARIRAVFAFFDAAGRGHLDHAQIMAGLAALRVPVPEGDAGPGAGAEDYARALLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIGDEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEVTIENIYHHWERVCLVDIGEQAAIPEGIRKHVSASKYLIAGGIAGAASRTATAPLDRLKVNMQVQTNRTTVAHAVKSIWREGGLVGFFRGNGLNVVKVAPESAIRFYTYEMLKEYIMKSKGEDKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTYERGRIPSLGALSRDIWIHEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRIQAQPANSEDRYRGMTDCFRRTLQREGFSGFYKGLVPNLLKVVPAASITYLVYETMKKSLSLD >PAN08555 pep chromosome:PHallii_v3.1:1:57250635:57254146:1 gene:PAHAL_1G420100 transcript:PAN08555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDWSIFSKLDHNGGYLHKFPVDSQISHDIGLGLISQVGSLVECSFQHPRHICATGSGAVQEAFSCFNKFAGAFYFWFSRASNPKLFQRLSTAAGSSSRACRSHIKQVTSCLQHLPGLQFGSQLREEHAIQVLLARLASATFGRLWTEVEECHACNVLMLAAATVIPPFENISPKMLAESMALGKYGGHIREPADQPYSEENRSCCACVAVPRLILPEDATEPKTGIKFPTLLEDNSNLSTEVLVGMGFRSMQIMRVKNLNLYAFGLYIQPDSICKKLGPKYACIPDAELKDHPDFYEDLLRENIDMTVRLVVSYNGLSIGTVRDAFQRSLGFRLQKMNPNTDYRCLKTFGSYFSEDIRIPAGTKIDFRQTSDGQLITEIDGKQIGTVQSKDLCRAFFDMYIGDPPVSVETKQDIAQNVAGLIRRC >PAN08554 pep chromosome:PHallii_v3.1:1:57249355:57254346:1 gene:PAHAL_1G420100 transcript:PAN08554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDWSIFSKLDHNGGYLHKFPVDSQISHDIGLGLISQVGSLVECSFQHPRHICATGSGAVQEAFSCFNKFAGAFYFWFSRASNPKLFQRLSTAAGSSSRACRSHIKQVTSCLQHLPGLQFGSQLREEHAIQVLLARLASATFGRLWTEVEECHACNVLMLAAATVIPPFENISPKMLAESMALGKYGGHIREPADQPYSEENRSCCACVAVPRLILPEDATEPKTGIKFPTLLEDNSNLSTEVLVGMGFRSMQIMRVKNLNLYAFGLYIQPDSICKKLGPKYACIPDAELKDHPDFYEDLLRENIDMTVRLVVSYNGLSIGTVRDAFQRSLGFRLQKMNPNTDYRCLKTFGSYFSEDIRIPAGTKIDFRQTSDGQLITEIDGKQIGTVQSKDLCRAFFDMYIGDPPVSVETKQDIAQNVAGLIRRC >PVH66046 pep chromosome:PHallii_v3.1:1:11613773:11614510:-1 gene:PAHAL_1G133600 transcript:PVH66046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERSGRTWIARMRRLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIHGIEKITQELEEQRTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN07165 pep chromosome:PHallii_v3.1:1:50268699:50275574:-1 gene:PAHAL_1G316000 transcript:PAN07165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSTDPNHYGVFPHSFCNQHVVSFQTSSVTSGSGGAMPVCLDTSSAMNVNMAMLNTTSSTIVSTGSPNMIPDSSGQSLKYSAPMAVEWSYAELQMLNDGLIKYANEPGIMKYIKIAATLPDKTVRDVAMRCQWMAARKEATRRRKPEEHYPGKKIKDRKDKMAEPSSWVTNPPVQTDMRASAFMPCNTRRNNGFLSGDSQIDREMLNILEENARLLNEIEVNILTSQAQNNIDLFHRTRRNINGLLQSMSQIPGIMSKMPPLPVSVDERLASYILPRSPMAQVLGSSHLKEEPRVW >PAN04756 pep chromosome:PHallii_v3.1:1:6177704:6182933:1 gene:PAHAL_1G088900 transcript:PAN04756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRASSGDDQQKLSPSGLPVRQIPGGYGVPFFSPLRDRLDYYYFQGAEEYFRSRIARHGGATVLRVNMPPGPFLSGDSRVVALLDARSFRVLLDDSRVDKAGTLDGTYMPSLALFGGHRPLAFLDGADPCHAALKRVAIRLAAARMCHVAPEFGAALAATLEGVEADLAASGAAEFNKHNMRHMLDFTCAALFGGAPPSKVLGDGAAAKAYKWLAFQLHPLASKAIKPWPLEDLLLHTFRLPPLLVRRDYAELTAYFADAAAGVLDDAEKADPGAIPRDELLHNLVFLSIFNAYGGYKIFLPHLVKWLARGGPELHARLAGEVRAVVPAGGTITLAAVERMPLVKSVVWETLRVNPPVEFQYGRAREDTVVESHDAAYEVRRGEMLFGYQPLATRDERVFRRGREFVPDRFAACSDDERRRLLGHVVWSNGPETGEAAEGNKQCPGKDAVVAVGRLMVAELFRRYDTFAASVEENPVEPVVTFTSLAKAAVAAGHGDGGKA >PAN05195 pep chromosome:PHallii_v3.1:1:9537123:9543212:1 gene:PAHAL_1G120900 transcript:PAN05195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAGNGLTGTAQTKGTGGDGSAKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRCGKASKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREISRHGSVESIDICEIDQLVIDVCKDFFPQLSVGFEDPRVQLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICHETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPINPIEKLEGATKAGREIRFYNSEMHRAAFVLPTFAKKELEAYCVSTEREQPEETAATAPKISVASKSEILTAS >PVH67167 pep chromosome:PHallii_v3.1:1:58801662:58804155:-1 gene:PAHAL_1G443100 transcript:PVH67167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLALLTVLLLAHAAAYLAWQAVSRRRRARCYLLDYACHKPSDDRKVTTETAGAVIERNKRLGLSEYRFLLKVIVNSGIGEHTYCPRNVLEGREERPTLADALEEMDDFFADAVAAVLAKTGVAARDVDLVVLNVGSFAPEPSLVSRIVRHFGMREDVMAYNLSGMGCSAGLIAVDLARNVMLTRPRTMALLVTSESCAPNWYVGTDKSMMLGNCLFRCGGAAALLTNDPAFRDRAKMELRCLVRANIGAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPARELARFASRLLIRKLLRRKAAAKGEGPKIDFRTGVDHFCLHPGGTAVIEAVRKSLGLSAHDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLKRGDRVLMVTFGSGFKCNSCYWEVSRDLADAGAWEDCIDEYPPETLVNPYMDKFGWVNDVQGQGGGFVF >PAN07325 pep chromosome:PHallii_v3.1:1:50954512:50959824:-1 gene:PAHAL_1G326800 transcript:PAN07325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARWCVALLVVALAAAGAGAEEGEWDPVIRMPGEKEPAAARGGERLDEEEDDGVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGLKEENIVVFMYDDVANSALNPRRGVIINHPEGEDVYAGVPKDYTGDQVTAKNFYAVLLGDKTAVTGGSRKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLREKHASNSYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSISWMEDSETHNLKEETIKEQYEVVKERTSGSNNYGAGSHVMEYGDKTFKGEKLYLYQGFDPANANVTNKLLWRGQKAVVNQRDADILFLWKRYELLHEKSREKLEVLREITGTVTHRKHLDSSIDFIGKLLFGVENGPSTLGAVRAPGQPLVDDWDCVKRMVRIFESHCGSLTQYGMKHMRAFANICNSGAPATAMKEASISACGSYNSARWSPLVQGYSA >PAN06890 pep chromosome:PHallii_v3.1:1:48890075:48891745:1 gene:PAHAL_1G295100 transcript:PAN06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGLRRLRGCCDAGRTLLPLPLRRSSSSTRPNEDSSATALTAEEEAAFERIKRSLFKARKGSVEDLVGSLGAECSGIRLTSGVADRLLRRFGDDWKSALGFFQWAQSRGDGYAHTPYACNRVVDLLGKMRQIDRMWDLLSELHCRGLVTVDTVAKSIRRLAAARRWKDAIVLFDQLEDMGLERNTETMNLLLDALCKEKKVELAREVFLVLSPHIAPDAYTFNIFVHGWCSVRRIDEAMWTIEEMENKGFTPTVITYTAVLEAYCKQRKFRRVYELLDSMGSKGCHPNVITYTMIMTSLAKCERFEEALGVSDRMRSSGCEPDTLFYNSLIYLLGQAGHLFEASQVFRVEMPRNSVPRNLATYNTMISILCQYGRDDDALDVLKEMEAQSCKPDLQTYQPLLRLFLRRRGCGDAVDHLLSQLVKKSGLGLDLDTYTLLIHGLCRVGETDWAYRLYDEMVGSEIVPRRKTCELLLSETQRQNMEVYVERIRNDIISFGLCP >PVH66575 pep chromosome:PHallii_v3.1:1:48229148:48230221:-1 gene:PAHAL_1G285400 transcript:PVH66575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEMQGASEDDLPAKAAAVSGGNGLGYEEEARLVAEARASWERKAKGEESDDEEDPEMLSVYHAYETRQFRNTWNCLYFGYFGRFEDSTRIHPARFTDEPPGERRRSAYPSETLQVFSVRVTAVTGGLQWPLHVFGKVALRDSDDCQILTREAPDLRLTGSSPAVLLLDPVTFKVDLKVRGRTEPEDEHLSFLAAPFLSMQPADSCLRRRAYTSKLSTLKFTLGIIISSVEATISARVSGGSWPDGLHAQVILLDSGDGELPPDGDGAIALDRRVASVEGGEVVAHGEMGFKARKAGRSSDTLDVGFCQVVSVAWSLF >PVH66775 pep chromosome:PHallii_v3.1:1:52003758:52006808:1 gene:PAHAL_1G343900 transcript:PVH66775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKWNPVWFETYPDRLSERTGELPAVDVFMTTADPELEPPVVTVNTVLSLLALDYPAGKLACYVSDDGCSPLTCYALREAAAFARLWVPFCRKHGVAVRAPFVYFSSGAEPRMADQEFFREWTFIKDEYEKLLGRIENAEEISLVQSDHELADFFGADRMNHPTIIKILWDNSKSKTGEGFPSLIYVSREKSHKFHHHFKAGAMNVLTRVSAVLTNAPIMLNMDCDMFANNPQVILHAMCLLLGFDDEVHSGFVQAPQMSYKALQDDPFGNQAEVKYKKLGCGFAGLQGIKYNGTNCFHRRKVIYGMPPNCTTLVKPRRTKGSSRSPSWEELQVKLGNQKELIESTRSIISGDMLAVPIVDLSSRVEVAKEVSACRYEASTCWGQEIGWVYGSMYEDILTGQRIHAAGWKSAAMNTSPPAFLGSAPTGGPGSLTQYKRWATGLFEILMSRNNPILLSICKRLQLRQCLAYLVLDVWPLRAPFELCYSLLGPYCLLANHSFLPKYSEPGFSILLALFLTYNLYNFMEYMDCRLSARACSCNGNGSTDEADKRRFTFDSSPVFIPPAALAILNAVAIAVGAWRVVAGAVEDKRGGPGVGEFVCCCWLVLCFWPFVTGLGGKGSYGIPWNVKLKAGLLVAAFVNFCR >PAN04348 pep chromosome:PHallii_v3.1:1:4107383:4112418:1 gene:PAHAL_1G060700 transcript:PAN04348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGTHFEIRVQPNDTIMAVKKNIEEKQGKDSYPWGQQLLIFNGQVLKDESTLDENKVSEDGFLVVMLSKSKTSGSSGASSAQPSSTPATRQPPPVDPPRQAPQPPLAATTTSQPEGPPAQAPSNTHDNAASNILSGSNLDTMINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPVTAEVAVPVGVQGGNTTDQPPTGEADLSGIPNSSPLNIFPQGGSNAGGGAGGGPLEFLRNNQQFQALREMVQTNPQILQPMLQELSKKNPQILRLIQENHAEFLQLINEPFEDGEGDFLEQPEQDEMPHAIHVTPEEQEAIGRLESMGFERARVIEAFFACDRNEELAANYLLEHAGEED >PAN07811 pep chromosome:PHallii_v3.1:1:53467669:53473768:-1 gene:PAHAL_1G364700 transcript:PAN07811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNNKVMGISQRKSCPKVHSSMCNELTMMLDKVSSILPSIEAARPGCKAGIQELCNLYNVVEKGKLITLHCIECSKLYLAITGEAIVARCERIRDSLRRSLFLIQNMVPPALANQIAEVHDDLRDVKFVLDPMEEEPGKAILQMLRQSNASEELELETFLQAASKLDLTSPKALLIERRAIKKLLDKVNGDDPKKEGVLKFFLYLIKKYGKSIRSETGEQNENIQSESQSLTPSTTSSDAGPPEKCYTPTDFQIYEDHNSMSGAATPPAEFCCPISTKLMHDPVIITSGQTYERENIERWFNEGYDTCPRTQIKLENFSMIPNTCMRDLICNWCKEHGFTLSDFIPPSESAYSYLPEQLHGYSVSSLHNVSVPLIAGKDNRFVIDHSNTSFALSDASYVSNASHARDMEDSKDISQFSWNADYQKYLSFHNFNQEMFLRFFHELSMLPLELQDKSIKDLKNVLDYENEVSYDMVSNGFVEAFLEFLRNDTGSHSVQVQKAGFQFFLAFLSNSRAKVPSMNEEAFHLIISFLDSELKVEALLTLHELVQHLSSPRSHVMASVVTPPLFKILASEDTEGLELALKIICELSCDADIRSSLVSMGIISKLVPIFSEGSFVECCLEILRNLCDMEEGAARITITNRCLASVAEYLDIGSPKEREHAVVILLEICSRSIEDCLLVMKEGVIPALVDLSVNGTEEAKSCSIKLLHLLRDMRRSDQFTNSCSQEVVATDVVEDAPDNSVHKKPVSKSSRFFQKKLNIFSKPRSLTLF >PAN06735 pep chromosome:PHallii_v3.1:1:48189656:48191176:-1 gene:PAHAL_1G284500 transcript:PAN06735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEIIIRMHAKSDKCQAKAMKVAAAVSGVESVTLAGGDKSLLLVIGTGVDSNKLVRKLKKKVGQAEIVELRTHDTFEAAALPLPGTKQEVAAMRSPYNGSHPQWQYNSSYAAAPASPYAYHYYPSPVGAVAGGFGHGYGYGGYGARVSSYSLAAAQSHPGNYSPLVERHDYQSMDHNSSSSSKRRQSMAAVPRRGGGSNTCTIL >PAN05233 pep chromosome:PHallii_v3.1:1:9839914:9840506:-1 gene:PAHAL_1G123400 transcript:PAN05233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVCLCIFFLLVASSSPVAISDRCPIQCRPPEDAAASMVVGQAQSSAAGMTTTAAAADQPQEVFESSKRLSPGGPNPQHH >PAN08919 pep chromosome:PHallii_v3.1:1:58865919:58866713:1 gene:PAHAL_1G444800 transcript:PAN08919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGRGHGNDSLRSPPSSPLHLLEVTVISAQDLHRRRLGRRVRAYAVAWVDEAHKLRTDVDRTGGAVPTWNDRFLFRVDDAFLRSDTAAVTVEVRGARSLGGDAVLGLTRIVVSTFVRPGGRGRQVAALLLRRPRSLRPQGIVNLAVALLDATHAARTVPLYDAPSSPDAFAVRDLVMTRPASLCKIAECSEEPDVDEDQSNQAFIDHYGYLEPKGAAVEQRKLEQKLEKWKADLSPGPKEGRRGGARRWGRGLCFRSSGEWDR >PAN06344 pep chromosome:PHallii_v3.1:1:46094716:46094925:-1 gene:PAHAL_1G257100 transcript:PAN06344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFFLGVEKHTKNSISLGTNAFSRITKPNMATHRVVDGTLLANLCASWLASLRSGGRGGPCTPEIEA >PAN07159 pep chromosome:PHallii_v3.1:1:50245052:50246353:1 gene:PAHAL_1G315600 transcript:PAN07159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRRMAAPVLVFLLLLVATEMGPATVAEARHCLSQSHHFKGLCMSSSNCANVCRTENFPGGECKTEGPTRKCLCKRIC >PAN07978 pep chromosome:PHallii_v3.1:1:54264015:54265361:-1 gene:PAHAL_1G377600 transcript:PAN07978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDTGRTLPKFGEWDVNNPASADGFTVIFSKARDEKKAPPAKGQGHISNRSADMKDSRADKVTSYNSRNNASKKWFCCVSPSPTQS >PAN07916 pep chromosome:PHallii_v3.1:1:53977233:53980749:1 gene:PAHAL_1G372700 transcript:PAN07916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPVVDLAPFLGAAAGGEEEEEVRALCATVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSAEAKRLQERPHLHYQVGVTPEGVEVPRSLVDKDMQEKIRSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLPKDAFTSLMKEGPHLLAPTGSDLERHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAKEQNRSLWRVSSTLFSHIASDAILKPLGHFAETPNANSYPPICAGDYVEQELSVINLKGKDGL >PVH66141 pep chromosome:PHallii_v3.1:1:19063331:19063843:-1 gene:PAHAL_1G158500 transcript:PVH66141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSASNNSSSSIISITSPDSETSREATLEFDPVASYEALAPLHWDAEEWDFSIWSEDDEFLTDDKDLHILLHGDLDEGDEDSWDDDFFSSSEENAKDSSTDDDSVTGGFLRGGSLTSEDDGDASDDADHSSDEGGNSSSNCGGGEGSGDDDTSASPPYKRYKSLGTY >PAN06932 pep chromosome:PHallii_v3.1:1:49060229:49065216:-1 gene:PAHAL_1G298800 transcript:PAN06932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQLDQLMGANRNGDVREVSRKYDDRDVCRLYLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGTDNYDRELEETIERLIVECERKIQRALKRLEEEDAKAAIAISVTEVTQTKEVMELSKQIRQKMKDIDAFDLEGNTEGKIRATEELDKLKEQRAEEQAKMLLEAFNKDRASLVNSLQNATQTTTPVPPAAPDARTQEMINEKLKKAEELGENGMIDEAQKLLDEAEALKKLSARPQAVPDTSKITAHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIRERLSELQEEKNKKWKVDRAQYDKRSRERSGERERASSRDRHRGDRSSSRDRDYDRRRSHDRYHDRERDRESRSRSYDSRSHRRSRSRSPRGSSRDYDRYGRHERRDRY >PAN06899 pep chromosome:PHallii_v3.1:1:48936368:48939500:-1 gene:PAHAL_1G295900 transcript:PAN06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDYSRSSNAPLMTDLDGNIVAVPNPSGRGPGYRYFGAARKLPGVRELFDKPPETRKRRTRYEIHKRINAGYYGYYDDEDGVLERLEAPAEKRMREEVVTEWHRVERVRREAMKGVVSGEVAAAGGCSGEAAREVLFEGVEEEVEEERKREEEKREREKGEDAGREFVAHVPLPDEKEIERMVLERKKKELLSKYTSDTLLVEQEEAKEMLNVRR >PAN03772 pep chromosome:PHallii_v3.1:1:1544006:1546393:1 gene:PAHAL_1G021100 transcript:PAN03772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKGGARVPRAVSVLAGLLERAAERGDGGAAPAPSSSPPSAFRGKALPAIPVRRYAERIYRYAGCSPACFVVAYVYLDRLAQRPLDGEEDEEAGAAVVGVDSYSVHRLLITAVMVAAKFMDDMHFNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPDTFARYCAALEGEMLVPPPPPAPAAADEEDEAERRDHQAALLLIRKAKDGAATAVRDQAGVGGRAAAGGVPVVVPRAAVEMIAR >PVH66132 pep chromosome:PHallii_v3.1:1:18503846:18504244:-1 gene:PAHAL_1G156800 transcript:PVH66132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVITPSAGVVGLVEYLCTHPIYICGFLRGRPGLRDRKRRIRVVSAPNSACGVGPPQDASASVLL >PAN08368 pep chromosome:PHallii_v3.1:1:56392640:56394822:-1 gene:PAHAL_1G408100 transcript:PAN08368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACINMYNPDGAAGFGGGPQPPAALGPRISFSSDFAVEPPPPVQNRAMSLRCQEEDLNFEFSVGSHPMMAADQLFSKGRILPFKDNGAFAGRPPTTLRDELRGGGASDDDERASASKGSSRWKEMLGLRKALCVGGGNGPAKKASSSDKGIADADMVTADMAASNQQEL >PVH67129 pep chromosome:PHallii_v3.1:1:58094548:58094899:1 gene:PAHAL_1G432700 transcript:PVH67129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >PVH66686 pep chromosome:PHallii_v3.1:1:50334390:50336645:-1 gene:PAHAL_1G316500 transcript:PVH66686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDQFINEVAILSQIIHRNVVKLFGCCLEDEVPLLVYEFISNGTLYELLHMDTTVKCLLSWDDRLRIAMEAAGALAYLHSAASVPIFHGDVKSSNILLDDNYTTKISDFGASRSLSLDETHVVTIVQGIFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFVNDLGAKQSLSYYFIEGLQEGSLVRMLDSQVLEEADQEEINEIASLTDACLRTKGGERPTMKEVEMRLQFLRTERLRKRQCLHEKEGGIEPLLCPEAKNPHKHMNIDNDAHITHQGMSGCYSLEQEFASSLFGMSR >PAN07460 pep chromosome:PHallii_v3.1:1:51573783:51577737:-1 gene:PAHAL_1G336300 transcript:PAN07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNSSSAAAATAADGGDDLDQLLDSALDDFTSLDLSASAAPKSGSEASASASGSGAKGPVLGLGLGLPDPKAPKRRGAQPPPQPRGVCASEALEKLTRETREAVRGLETATGGVPSLDDEAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKDKISKEEYERYNNQLELMVKLIEVYENDPENMTKIFDIMQNMQECGQPPSDLVQDIVPDLDLSKLGQLSPEMLESAPNCCVM >PAN07443 pep chromosome:PHallii_v3.1:1:51574221:51577553:-1 gene:PAHAL_1G336300 transcript:PAN07443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNSSSAAAATAADGGDDLDQLLDSALDDFTSLDLSASAAPKSSGSEASASASGSGAKGPVLGLGLGLPDPKAPKRRGAQPPPQPRGVCASEALEKLTRETREAVRGLETATGGVPSLDDEAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKDKISKEEYERYNNQLELMVKLIEVYENDPENMTKIFDIMQNMQECGQPPSDLVQDIVPDLDLSKLGQLSPEMLESAPNCCVM >PVH65741 pep chromosome:PHallii_v3.1:1:4549579:4549776:1 gene:PAHAL_1G066600 transcript:PVH65741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRPSRLAVRLVVAVALLLLCGYALSTAEGARPFRGRGSGGFSTANLPVFVVARAGPSRRGAGH >PAN08348 pep chromosome:PHallii_v3.1:1:56314440:56314550:1 gene:PAHAL_1G406400 transcript:PAN08348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERIRELVLLTLRAPHARLALVGGNHTAARFCTR >PVH66801 pep chromosome:PHallii_v3.1:1:52553589:52555864:-1 gene:PAHAL_1G351400 transcript:PVH66801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRSGSLYSSSNAQGRRRRRRRAQGRWRWAPGRAGRDALLDAEEKFAAGEVVAALRAVEREMEAAARAVPAGVVTGVVAAVREPATARLAAKVLLVVLLDEGNRDLRSPPVAVPAPAARRGSPHPPSVAASSAHRLMRRPLSSVRHPLLRPRPRDCSPSSSRSRLRWRPARRRRPWRRWQRPGRRGPRWRARWPRWSSGRAAVQGEGSACGRQAQGRSRRRERRPSRR >PVH65496 pep chromosome:PHallii_v3.1:1:743455:745715:-1 gene:PAHAL_1G008200 transcript:PVH65496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMELGAPGSSLHGVTGREPAFAFSTEAPDDAAAKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSIIDNAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHILMGILVLTMGQDLPDGNLRSLQKKGDVNKDKFSKVMWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFNLDLRVSGIIAACFGMANIVARPLGGILSDIGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPASITAMVLFSFCAQAACGAIFGVTPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMLFPANAGAAEEHYYSSEWNEEEKSKGLHNASLKFAENSRSERGRRNVVEATTATTPPYNTPEHV >PVH66359 pep chromosome:PHallii_v3.1:1:38317608:38318802:1 gene:PAHAL_1G221100 transcript:PVH66359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKTQTTSQKTSSRVSSCIQSCLWLGPPLEFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRAVR >PVH65626 pep chromosome:PHallii_v3.1:1:2798134:2799954:1 gene:PAHAL_1G041300 transcript:PVH65626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLVLTSSSASPPARSTLPPFAESGGRRRRTSSRKELPVALHLPKVEAEVLLPQPKAGSSAGDDGNNVDESSDEASLAELGVKVFPNMPPQSAANTWVRFVNNAKACINHYNKKYQEKDPLLLGEQDGSYYYHINFHAQDKKGHAQLFFGEIRVCVVPKEEDATCCSPVSPSDAGGKRLQTVEEAMKYKFPTWGTAGMDEECCYGCYPAMKHPKGTCYVAGHVADPRQYFVPDK >PAN04391 pep chromosome:PHallii_v3.1:1:4392016:4392333:1 gene:PAHAL_1G064100 transcript:PAN04391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPPCSKAAAAGSPAGKYCLCAPTTHPGSFRCRLHRSPVEAKATAAAATSGADQEAATAAAAAVARELLERMARKPRRQGVVPGAFRPGPSRLGATATAMDD >PVH66710 pep chromosome:PHallii_v3.1:1:50802045:50802681:1 gene:PAHAL_1G324200 transcript:PVH66710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCFSDHNTRDHERDTKAPQKSPHPPPPQEEEQCSLLKASKLSREIWNGTRTQERPEKKKAAEEEAGARTPQLLQSSAWQHGHG >PAN06849 pep chromosome:PHallii_v3.1:1:48746517:48749810:-1 gene:PAHAL_1G292500 transcript:PAN06849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPFDSINLFLDQLNLGDCTIRGNLEAFSCKHTATDRRLSISLEHEILDYLGKSSDSDPSSPVEHLSSRSSRKTLIYLVLTLGHMYPDYDFSALRAHLFFQEEEMESFKQMIDTYLSDASRQWAATNEGSSLLDSMTKAIDEVIKIRECDIYSYNPDSDGDPVQEKGAIWSFYYFFYNRKLKRVVSFRCYCTSKLSADDFLIGAASDGEEEDALIDMDI >PAN04821 pep chromosome:PHallii_v3.1:1:6673845:6679721:-1 gene:PAHAL_1G093900 transcript:PAN04821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPSAWSDGVLAAAPFRFLPDEVEEMEARLQPLRNPSPDRVAMEELARKFSASTERIGKVVIQPKQVRNWFCNRRYCSREGKAARAAQAQGNSAARRVEAYRQLAAGSSAPVHAGSSSGKISMEGSQIKYEAKSDRDGAWYDVDVISFRMSELGEPEAMVRFSGIGAEEAEWVNTRTCLRQRSLPFRATECVLLRCWDRVLCYKESEQSGLYFDAEVHGRQIRKRHDSRECDCRFLVRYDHDQSEEIVYLRNLCRRP >PAN04871 pep chromosome:PHallii_v3.1:1:7135710:7138048:-1 gene:PAHAL_1G098200 transcript:PAN04871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSLVTSRVIGDVLDPFYSSIDLMVLFNGVPIVSGMELRSPAVSERPRVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTNDTYGREAMCYEAPNPATGIHRMVLVLFRQLGRETVYAPSRRHNFSTRGFARRYNLGAPVAAMYFNCQRQSGSGGRRFTGPYTGGRHAPAPLD >PVH65973 pep chromosome:PHallii_v3.1:1:9075283:9078945:-1 gene:PAHAL_1G116700 transcript:PVH65973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPPLPPKPKPPRPPPKGPAAAARPAPAPAPATGARTAPPFKKPALAPPPQVLPLKPPPARQYLHQLQPPPAGGARKPARYRQQHRRGGGCSCRRACCLAAGFALLALCLALAAACLAYLYYRPRPPSFHLQPLAPVRLRLGNSSAAPALDATVGARAVSWNPNERVAFRYGAGEGRVALADADGDVALGWAPVAGFEHAPRSVAAVAFVATARGVVVDEAVAARVRDRYRRRQQAFRVVVDTRVGVRVGALRTGMVPVRLVCDGGVMAPRGGGTPVGPLSRCQVYLFRVRWFSLN >PAN07221 pep chromosome:PHallii_v3.1:1:50562876:50565840:-1 gene:PAHAL_1G319400 transcript:PAN07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALVKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAAMPKKN >PAN07181 pep chromosome:PHallii_v3.1:1:50422914:50426541:-1 gene:PAHAL_1G317400 transcript:PAN07181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDGEIVCAMAFVLACLAAMPPASAASGEGGLLHIPSAASLAHCPSSCGDVNISYPFGIGAGCFRQGFELTCNHATQPPELFLGNSTTQITYMYDSGFVEAPMFFNVTSGSGTNNYNISWNTPAKGITISGAYNTFYAVGCDFDVKLFDNVGNPIGSCMSRCRGEVLPNQGSCNNIGCCFISLEQDISGFQATIVRADGMAERSDSVHPGIIASMANHYHAWNYPLPWTNASKLPGLLEFAIMDQPSCERAQTNKASYACATNSDCAIASYGGYTCYCNSGQFNPYLSEGCPDYNPEPKEQCLRSCGNMSIPFPFGLEDGCSGNERFQLNCTTGYRCNCSSGYEGNPYILDGCQDINECLAPNSCNGICQNTPGSYKCTPCPHGKEFDSTKRRCAISTKKRNLLLGTAIGSGCGLGSIVFALGVIVLANKWKKGIQKRIRRAYFKKNQGLLLEQLISDESATSKTKIFSLEELDKSTNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQMEIDQFINEVAILSQIIHRNVVKLFGCCLEDEVPLLVYEFISNGTLHELLHMDTTVKCLLSWDDRLRIAMEAAGALAYLHSAASVPIFHRDVKSSNILLDDSYTTKISDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFVNDLGAKQSLSYYFIEGLQEGSLVQMLDSQVLEEADQEEINEIASLTDACLRTKGGERPTMKEVEMRLQFLRTERLRKRQCLHEKDGGIEPLLCPEAKNPHKHMNIDNDAHITHQGMSGCYSLEQEFASSLFGMPR >PAN04259 pep chromosome:PHallii_v3.1:1:3572353:3576204:1 gene:PAHAL_1G052800 transcript:PAN04259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRPGWVAGLVAESFFVACPAHENHKKNERNIFCLACCASICPHCAAAHRHHPLLQVRRYVYHDVVRLGDLEKLIDCSYVQTYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCSLSCKVDHVTMQGGDLFNTLQYYGGGATTDPDHLAFPQFENLRVDGSDLDDDTDGGQITPNSTLEDPTQQYGNGGGGGGASSDNCDTRAVGGARRSEAGKRKKGGGFFPQIVLSLGNRRKGAPHRSPLA >PAN06273 pep chromosome:PHallii_v3.1:1:45632917:45636531:-1 gene:PAHAL_1G251700 transcript:PAN06273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTRPDAGGSNPDAPYCAAAALLLEPKLEDEELPLRQLASPGPFVPLDQLMPGPEPAVAVPPRPLEALLQGPQLPPFLSKTYDLVSEPALDGVISWGAAGNSFVVWDPSTFARDVLPHNFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTQQSSIQHGSSSGESNLDPELHTLRREKNALLEEVARLKQEHRQTIEQMSTLNNRLESAEDRQKQMVSFLAKLLQNPSFVRQLKLHREQKEIDSSRVKRKFLKHVPHGSIESESSSQYGGESGSHFPASSPMAISAHDDIAELQNFLLEDDDLNFGMDPDNIELDRVEQLDDIGALVQGFDTQEELELGSGAELLEMPPASGPLGQDPTIGRSKGKSELCPRLDAISSEASYLGSISDTMGALSGTMLGTASTMMDADEEQMWGVDASAPLQSTCSGSSQQTFSRLASDPYLMDIANKPEKFWDLDFQTLDQGDLQLDKCAIDDPTLHQRQQQRNMKDP >PAN08473 pep chromosome:PHallii_v3.1:1:56814312:56814692:-1 gene:PAHAL_1G415500 transcript:PAN08473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEGASNTKTLRIILRCTFAGGFTGEQLRYPCKLRRRICARCGMHRGEHNRPYAGVRDDLLPPRKRSAFRRLGEFQLLGLSGGEEEEEEEEGQVLLTGSAITKGKRGPRRKRADLLCCDLSSLNE >PAN03878 pep chromosome:PHallii_v3.1:1:1897871:1901684:-1 gene:PAHAL_1G026700 transcript:PAN03878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAWEEGGERSRSWYLSREEIERDSPSRRDGVGAAKEAELRATYCSFIRDVCIRLQLPQITIATAILLCHRFYLRQSHAKNEWQTVATVCIFLASKIEDTPCSLKSVVIVAYETMYRKNTDAAKRIHQEEVLAKQKSLILVGETLLLSTIRFDFNILHPYEALKLALKNLGISQKEVRQGAMSIINDTLPTTLVVQFKPHFIAAGSLFLAAKFHNFILPSKNGRVWWNEFDVAPKQLQDVIQQMTELFRKRDPHSMGPVIKPVATSTPTGKHQIKPTPNLTPPPTNKQQINATPTPMNKQLIKQTPTPTLMDKHQVKPSPLPTPMDKQHIKPTPIPTPMVKQKIKAIPAPTPTDKQQILSTPDPPLKHTQFSRRSFSNSNTEAYGYVPFGSSFDDKSTGRSAMYEENQYPRRYEENMYKRKHINHNLDQRLGEQSYQGILKTARVNEAEIKSFGKKSTSRSARRTCEENPYQQTHINHNSDAVAMDQRLEGQACRGNLKAGCVNEAGIRDLKKRRVQEVTGLQAPVHKSDTNAWRYERQVSYVGTNSSWKKQKIGRRYII >PAN07880 pep chromosome:PHallii_v3.1:1:53830727:53834424:1 gene:PAHAL_1G370000 transcript:PAN07880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAAAPLRTRVCIIGSGPAAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPDGIMGADLMDRCRAQSLRFGTNILSETVTAVDFSARPFRVASDSTTVLADAVVVATGAVARRLHFPGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEANFLTKYGSHVYIIHRRNTFRASKIMQARALENPKIQVVWDSEVVEAYGGEGGGPLAGVKVKNLVSGDVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVATKPGSTHTNVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKSD >PVH66987 pep chromosome:PHallii_v3.1:1:55766443:55767647:1 gene:PAHAL_1G400300 transcript:PVH66987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARHGEEYDWRTSDVDVGALYSSGGGKKHGRFSMLNGVIDTSGALSEARCSQSTQNSRGYQRQSQRETAMQEQIRQHEEAMQRQEEWARQQHEYMQGFFAQHRQIQEMLAATLGSQFNLPPLPSPPPPPPNFIPFVRVPSPQVGSTSTHPREVSASPSTPPSAPRNISRGDCGSGHNITSPP >PAN06922 pep chromosome:PHallii_v3.1:1:49033333:49033679:1 gene:PAHAL_1G298000 transcript:PAN06922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMEDGEARVFQFRDFQYDYAVDPDITFRYSYQYDALYKDLPKKQHTLKKAKYCEFCNSKSFPREEPTFCCRKEKVNIYILELPAGLR >PVH66723 pep chromosome:PHallii_v3.1:1:51092350:51092931:-1 gene:PAHAL_1G328600 transcript:PVH66723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSELNSEQRRVGLRPPAALRLEENSDPSAGHAPATMAAFHGSQASAAPHATRQGRPVPSTPPPRRPVPEKLPPSRPDDVDAAAPAQSTYAPRSPPPFCRNLAAPMEARALQPPPRRASGAPRVRPESVSRRITTTPSVRPSARAVIDRGATERMRNTWTRRQAVGGAYMSSVARAGATCEHRAGGPARGAPV >PAN06662 pep chromosome:PHallii_v3.1:1:47870241:47873616:-1 gene:PAHAL_1G279500 transcript:PAN06662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGKEAAGKRLDWATMNLEGGRAVAGEKGDGNATRKPPMGIVRLFLACMVSGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTAKIGRRRPFILAGCIIICLSVLMIGFSADIGRHLGDTKENCSTFTGSRWSAAAVYIVGFWFLDFANNTVQGPARAMMADLSAGQHGPNVGQAIFSLWMALGSVLGYLSGANAKWHEWLPWLKTAACCDACANLKGAFLTAVILIIISMSVTLALAGEEQLGKDDVEASSGGACSAFVDLFKCLKNLPPAMFKVLAVTAVTWLSWFPFFQYNTDWMGREIYHGEPQGLGSKADAFNAGVREGAVGLLFCSIALGVTSFLIPKLCRKLTSRVVWSISNLMVFAFMTVMVVLGMISMKGYKPSLTAGLIGPDPTFRGIALAVFALIGIPQAVLFSVPWAVASEVATEEGGGQGLTIGVLNIAIVLPQLVIALTAGPIDGVFNKGNTPAFGIGAAFALICAVLAMVLLPKTRGTSNATVMAGGH >PAN06111 pep chromosome:PHallii_v3.1:1:44055125:44056549:1 gene:PAHAL_1G239000 transcript:PAN06111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVENYNRSARRQLDFALGINMVGVALRRQVKNREADPSDRPQKEKKEYVTEEQVRYVRNQRPTSSDLLRKYEYQYQQRLQRESEEEEYERRTGKRLRKHEDARMSRLRTIRDCPECGPMKTEARDLVFRRLGPAPTRQERVRSPRREDEEENRYHRPRWCPDGLNRSQKRRVHRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPSGKEWRPKSIKADKKVSADTHMVFVLPAEFHARTQEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLNHLGWSTGDLIKTNVTLSDFNGQTSEAQGILSVDLTIGNKTVLTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGYDRIEATKNGVRLVLSTGLTE >PAN07689 pep chromosome:PHallii_v3.1:1:52804981:52808080:-1 gene:PAHAL_1G355500 transcript:PAN07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASKEPLHKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDNDVTAKFQEINEAYKVLSDPAKRLEYDLSGCYEINQYTLREYLTRFKGMILTCNGLGIDHNSKWARHLRELKPH >PAN09115 pep chromosome:PHallii_v3.1:1:59715653:59719332:-1 gene:PAHAL_1G459900 transcript:PAN09115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTTSRRGPGAARNMDDDNLTFETSPGVEVVSTFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLAIGDYLNIQVHACIGGKSIGEDIRRLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHEILEMTSKFMTEPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRTNNFTVSAMHGDMPQQERDAIMTEFRSGETRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >PVH66792 pep chromosome:PHallii_v3.1:1:52491454:52493085:-1 gene:PAHAL_1G349800 transcript:PVH66792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKIKTNKSRKVKMVKQDSSSSNEEDDHHESSSDVEDDGEFALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTKLFPKKKGHTKKSFFVKKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKIFASTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKNLKQEHWELAHKYQELEFAYEVIDPSLEKFAHEKVNASTSCDDLLIDAYATNVAPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPKPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQFWVPKALVTHVQGPKLVWVPKTQK >PVH66589 pep chromosome:PHallii_v3.1:1:48526125:48526454:1 gene:PAHAL_1G289700 transcript:PVH66589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLRWFCEHTRTDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVRRGRGRSRLTWDETVKRDLKEWNIVKELAMDRSAWRLAINVPEP >PAN06837 pep chromosome:PHallii_v3.1:1:48717559:48726038:-1 gene:PAHAL_1G291900 transcript:PAN06837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFARVELRCPRALDPRPSWTLGDVLAELDALDATRRAAPPTPLKQPPDWASDGSARQKAFVMRVDDEDDSDDEDDISDGESQALVATGARFSCNDLESSDSDDESGGRVAPYLLMEKRNLEKSILLELEREHHLKVQEEVRSKLSALEACHQNEIQRTISAFARLQKYAESRKEIDRRLDVHFQRRIAEVLDRHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAIKEEKLRQERARQDSEARQKEAAKLAAEARKTAFEAAQKEAAEKEAAEKEAAKLREAAASQPSQNSQDNIAGIKVFADKYALEAESRRRALVHNQVPENIHLNKEFSKYDRQIAKSIGKLMPTTDSVRARASELIKALDGRDCPRPIACSLFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTNQVPDAMDYLLTEFNRVCMYTVPKHLHALNAQARNKDYYRLIGYQEENGQLESTESYLTYVVAYVKLYAAMIQTEIKGVRHPHGLAEGWKWLAMFLNALPATTATACALHAFLKMAGFALHKKYGSQFLKILDVISRCFLPALKDQGNKMQSEAVNNLQNYLNDKIYLQEPEGQYLVQQLLSKELFM >PAN07228 pep chromosome:PHallii_v3.1:1:50580637:50582771:1 gene:PAHAL_1G320100 transcript:PAN07228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEKPEAAAKQPMQAEAGDRVDPRELVSSDDEIDYSVEPEFYDPDLDDVDERWINRQRKGRTSDAVLSCPACFTTLCLDCQRHEKYVNQYRAMFVRNCKVRADQILREGKSKRKNHKGKAADPSSTTPEAESKGQAYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >PVH66258 pep chromosome:PHallii_v3.1:1:27502120:27502775:-1 gene:PAHAL_1G187300 transcript:PVH66258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPTAKRGQTDSMVGSAEEPAMKQQKIEEHGVESSGTTGVPEESVLEFVASHDGVFLDKVVKHFNGSRRESVVEILDSLESEFKIYRKNRKYMMM >PVH66095 pep chromosome:PHallii_v3.1:1:15893676:15893960:1 gene:PAHAL_1G147700 transcript:PVH66095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRGRELLALSLLLCCTSCQECASPGMLGEGMAMRFEAGAARVAARRPLVSSGADAQAAGGVTSSERRRKGRAAAAVRAEPWRWALSSWDSSG >PAN06540 pep chromosome:PHallii_v3.1:1:47267580:47270551:-1 gene:PAHAL_1G270700 transcript:PAN06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDAGTPSKKAKTSAAAGATPQKPWKAAPADQILTPEKLAPRVTVAAAAEQIWTPEKPEEMPRARSRSVAFSVKEVRRAALGLRRPEKGTPAAAEEADELESLERELGVGAGASQSPVKRKAEVKLPGSYEMLCEFFNCLESSTRLLRMKGSKASFPNICASIQHLSERRFTYSHLAQLKYVMPEAIVINKILLRDDTTCCMKPDLQVNLVVGAVESVKKQKGETAYSALRRIFRQRLVDFFRDHPEGDDIPEHELPHPFNRTRLSMPQAAPRVVPEPASPIEASDVNGQQATMMSHMSQSFKRRFSQRSPISSATASATSQLVKVESTVLSPLSRNSLSSSYVSASKEAQPEEDGKVVVSISGVSEGTPAKYASTPVRLMASTPDLKTPKRPISDAGYGTPPLKMSKRSARAKLFTTPTKVDSMDGEDQNTISAVDSDDELLSFLPQSLLQSVKQKELRAMEEKETGFSDKVKRQKLIASLPSVFDVVFLIYQSRQRSVMTKQELIHKIVASSPKIVDRSEIEEQLTLLKELVPEWISEKTARSGDVLCCVDATLSQADIRQRLYAAE >PAN05287 pep chromosome:PHallii_v3.1:1:10592305:10597599:1 gene:PAHAL_1G128900 transcript:PAN05287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLGFAVLILVACLPCLFASDRQGDALYDMKQKLNVTGGQLSDWNQNQVNPCTWNSVICDNNNNVVQVTLAARGFTGVLSPKIGELQYLSVLSLAGNRITGSIPEEFGNLSSLTSLDLEDNLLVGEIPASLGNLSKLQILILSQNNFNGPIPDSIANISSLTDIRLAYNNLSGQIPGSLFQVARYNFSGNHLNCGPNFPHSCASSISYQSGSHSSKIGLILGTVGGVLGLLIVGVLFLICNARRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFNERNVLGQGGFGKVYKGVLPDATKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWPARKQVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLDSIVDGNMNQNYDSEELEMIIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSAGR >PAN06566 pep chromosome:PHallii_v3.1:1:47410547:47411726:-1 gene:PAHAL_1G272800 transcript:PAN06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTLPPFVVLPGLAGADARTTMATHVEAAPAGYGYYGDGDDAADIDALLRDIHAAVVRPRTPADPPMEFLARTRRHYNHYDGDLDAVLRRGIRSVRVPVPGAGFASVPTDAHRDAALTTPVAVLGEPRSYGDDAFAGDDPVATVHTTTSPPKKQPQSQRTTPASTPRSVTLMYNFSGYYNLAPGTLHRAVSYVDRFLSASVTAGGCAGVNLVLVGAVAVFTAAKYEDRSTTLALRADDVARHVGCAACDVVAAESALFAALGYRLSGPTAYTFVDHFTRHGQEEDGSLLMLRTLAHHLADLALLDCRCGARFLPSAVAASAIALARMAMGGSAPSWSEEEVKVTGYELEDLAGCMAMIYDIHKHPEAWPGCYHMMDDCKLIYALPPLVI >PAN08080 pep chromosome:PHallii_v3.1:1:54845760:54852485:1 gene:PAHAL_1G385800 transcript:PAN08080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKYCGARRKRGWRGLAAAAWVLLAVACSAAMHWHLRRESMDRADERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHYEKLPSAIDQETFAKYTGRTSFERPLLNGVAYAQRVFHHEREMFESQQGWIMNTMKRELAPPQIEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARTTGKAVLTNPFRLLGSNHLGVVLTFAVYRPDLPADASVEQRVDATIGYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEAMVLYGPPSLDDQVPLLHVSMLDFGDPFRKHEMRCRYREKPPTPWSAITNPLGTFVIWMLLGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDFAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVLGDPWRFRQILTNLVGNAVKFTERGHVFVRVCLAENSNVEANQVIHGTMNGKDGKVESTANGAFNTLSGFEAADRRNNWQYFKLLLSDKESLLDDLDGKNSNQNDSERVTLAISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSATLKRSCKDASADSSRSLSEALPTAFKGMKAILVDGRPVRSAVTRYHLKRLGIIVQVVNNMSAGLKAFSGQNGATISREKAAMLFIESDFWRPETDVQLLNRLREHKNGQLSDAPKVVVLVTSEADKDKYGSIFDIVMCKPLRASTIASCIQQLLKVEMPERKENQNRPSFLRSLLVGKNILVVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQPPHCFDACFMDVQMPEMDGFEATRQIRQMEMKANEERKNKLASIEGSTFVECHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTTDSAV >PAN05222 pep chromosome:PHallii_v3.1:1:9745287:9745656:1 gene:PAHAL_1G122800 transcript:PAN05222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTILLICIRSLTHHTFLNELQVCLTQAKILYFLISLLTWYNQLTKSCIINSSIISLDSEIGKNRVW >PAN05911 pep chromosome:PHallii_v3.1:1:33162773:33169178:-1 gene:PAHAL_1G206000 transcript:PAN05911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDHMSRIKMHMAEGSLVDRNRCQQPGCNEVVDGRVLYCKFHSGGLSHQQYNHLQIAQGSSGVLVPPVNDSQFNGPVSSAVTCTEQEMHIKHEGDDRSKLKDSFGSTQGQITQLVFRGAGRLCKHESCSKQAQENSIYCKLHSGVSKGCMVRGCARGAHGGTPLCIGHGGGKRCIISGCPNAACGQGRSDRCVRHGGGKRCKFEGCAKGAQGNTDYCIRHGGGRRCKFEGCTKSAQGRTDFCIKHGGGSRCKFQGCGTSAKWGTDFCSVHRKSLLSGDNAIPEASPASSEKRRRAKKPKKAVKPYEPSQESVTAAGIAGSSTQQMGVLLVATPVPNHDMLTKGVTVTAQAAIAPPQIVAPLSMKSPTASGSVASGEREAGASRAMLGL >PVH66594 pep chromosome:PHallii_v3.1:1:48685215:48690113:1 gene:PAHAL_1G291400 transcript:PVH66594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGGLGGAGAWALDAERAEEEEREAAANPAPAPAPATGFPSLREAATGAAGKSKKKNKGTTLSLSEFAGYGPGRRQAPAPAPATEPKGLTPAEMMMLPTGPRERSADELDRPRGIGGGFRSYGDDDGRRGPPGRGADLDMPSRADEDRDWSMSKKSLAPADSAPRSRYGGLGGGGAPASVGRADDDGDWSRGKKPMPSGPSRYPSLGTGGGGGGFFRDSPVSTDSSDRWSRAAPAPTNGERERPRLVLDPPKRDASATPTPPAEAGRSRPSPFGAARPREDVLADKGLDWKKMETEIDQKKTSRPTSSQSSRPESPHSSRPGSPGSQVPAAGSEGVPRARPKVNPFGDAKPREVILQEKGKDWRKIDLELEHRRIDRPETNDERVLKEEINLLKLELNENEAKMSDDDAKSLAEKITQMEKQLELLTISMDDKIRFSQRPGSGAGRVTASPPTNLADESQIKESMERPGSHSGMDQYPKPTEERWGFQGSRDRGSLGGTRSSDRSSGGQRW >PAN07981 pep chromosome:PHallii_v3.1:1:54277442:54279266:-1 gene:PAHAL_1G377900 transcript:PAN07981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRVAVFAVSCALLAASVSSAPPPAMYKVGDERGWAVPSANGTETYNHWAKRNRFQVGDILNFKYANDSVLLVSHDDYKQCSTETPVSRFTGGDTKFTLDGLGPFYFVSGVPGHCEKGQRMIARVRMPSSLTAGVPAAAPGMPPTVRAGGAPRPAASLPAVPSVAGSGSASTPTPAPSPLPEASGASSRRALSVVSSDVVGLAVVGVVTLFVVV >PAN04407 pep chromosome:PHallii_v3.1:1:4437007:4439387:1 gene:PAHAL_1G064700 transcript:PAN04407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLTYYLYLFSALLLPLLLLKLTRRGDDSGLRLPPGPWRLPVIGSLHHLLGSPLPHRAMAGIARRLGAPLIYLRLGEVPVVVASSPDAAREFMKTHDVSFATRPWTPTMRVFVEDGEGLVFARYGALWRQLRKISILELLSARRVHSFRGVREEEARRLVAAVAAAGEGAAVNVSERIAVLITDTAVRSMIGDRFERREEFLENLAEGIKITSGFNLCDLFPSSRLVRLVSGTERRAQANHRRNFELMDYAIKQHEQRRAAMVESADGTVEKEDLVDVLLRIQREGGLEVPLTMGMIKAVILDLFGAGSETSANTLQWAMSELVRNPNVMQKAQAEVRGKLQGKPMVTEDDLADLRYTKLIIKETLRLHPVVPLLVPRECREPCKVMGYDVPKGTTVFVNVWAINRDPRYWDDAATFRPERFEAGTVDFKGTDFEYTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWELPGGMPPDELDMAEEMGITVRRKHDLYLRPVVRVPPHVTP >PAN08750 pep chromosome:PHallii_v3.1:1:58184566:58186372:-1 gene:PAHAL_1G434200 transcript:PAN08750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATTRRPSGPVLSAAHYRSASPTRVKLAGTGTRSPAGQSVSVSTSSSSSAGGARSRRTCMCSPTNHPGSFRCSLHKERKAPHGGHGRKPTSPPSPGSSSPTSSRLGASASRLMGSALVRIGAVEGGEWARRALAATVRPSPAAQQSQHRRRVGGFRPRPTRLSAVSMAGDRAGDNDQ >PAN04580 pep chromosome:PHallii_v3.1:1:5256428:5259991:-1 gene:PAHAL_1G076900 transcript:PAN04580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRWKSGDGRRRLLLLLCCCLLAFPCHAQVTNVSHRSDQAIHSFVGTYGINYGRIADNLPPPEDVVRLLKLARIRNVKIYDAEHKVLDAFRGSGLNLVVAIPNEFLKDMAANPSKAMDWLNENVQPYYPSTRIVGITVGNEVLGGGDTGLAEALVGAVVNVHDALRMLGLDRKIELSTPHSEAVFANSYPPSSCVFRGDLMVYLRPLLDFFSKTGAPFYVNAYPFLAYMSDPSHIDINYALFKPNSGIVDPKTNLHYDNMFEAQLDAAYFALEAAGYPRMEVRVAETGWASAGDATEAGAGMVNAVTYNRNLRKRLFLRKGTPYRPNRVAKAYIFALFNENLKPGPTTERHYGLFKPDGSVSINIGLKGLVASSAAAPPPPSLMAFKRVRAWGWIVQYAAALLPCTLIFLALAT >PVH67255 pep chromosome:PHallii_v3.1:1:59869252:59872066:1 gene:PAHAL_1G462900 transcript:PVH67255 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MDEIVAGSRTAATATAAAAAAAAVMGFPTPFPQLKPKLIALEPPVGGYPRSRRLMRLRCALNSENVPPMTVSITGATGFIGRRLVQKLLSDDHKVFVLTRSATKAASVFPASTYPGVTIAEQGDWEACVRGSSAVVNLAGMPISTRWSPEIKQEIKRSRVNVTSKVVKYINHAGNADAQPSVFVSATAIGYYGTSEIHSFDESSPSGNDYLAEVCREWEATARLVNQQDVRLVLLRIGVVLGKNGGALAKMIPLFMMFAGGPLGTGRQWFSWIHLDDLVNLIYESLINPAYKGVINGTAPNPVRLSELCERLGQVVGRPSWLPVPEFALKAVLGEGASVVLEGQKVLPVKAKELGFSYRYPYVEDALKAIAQDIPTRLTTGK >PAN07809 pep chromosome:PHallii_v3.1:1:53453470:53457703:1 gene:PAHAL_1G364400 transcript:PAN07809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) UniProtKB/TrEMBL;Acc:Q94II5] METVEEDVEEYSWREVVLPRLVPVVPDAPPELERETGERRRGRDLLVAVDFGPNSKHAFDWALAHIARIADTVHLVHAVSSVHNEIVYEKSRELMEDLAIEAFKTLLVRTKARIVEGDAGKVICREADRLKPAAVILGTRGRGIIQSVLQGSVSEYCFHNCKAAPIIIVPGKEAGEQSVL >PVH65733 pep chromosome:PHallii_v3.1:1:4359304:4362932:1 gene:PAHAL_1G063400 transcript:PVH65733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHYPDHGLTMDAAAAAAAAAAAASSPNPSGFSPGVGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNEGSDGMGFGPLILTEGERSLVERVRQELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSGEKTKKKR >PAN08752 pep chromosome:PHallii_v3.1:1:58191339:58195862:1 gene:PAHAL_1G434300 transcript:PAN08752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALCSASPAISTAASLGLPARRVASLLRLRLRAAARSYSAAAAPRAAAAAAPSWRARRRFAASAASTTEEECSGVETMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEFGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVNKKKGKFDHIVIETTGLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVNEPEVSSLVERIRGINRMANLKRAEYGKVDLDYVLGIGGFDLERIESAVSEKSQEDHAEHEHDHHHHEHEHDHHHHHDHDHDHGHDHHVHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLEHSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGRNLNKEELEKGFKDCLLKK >PAN07373 pep chromosome:PHallii_v3.1:1:51192945:51196976:-1 gene:PAHAL_1G330400 transcript:PAN07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASAGSFVAVRRLSGSDRAAAFHHSSSAEVVTGSSAWIGRGLSCVCAQRRDSDARLSFDLTPTQEECLQRLQNRIEVQYDSSNREHQEALQALWCAAFPGTELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNFPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLIEDIQRIEDMPSYRLLAR >PVH65716 pep chromosome:PHallii_v3.1:1:4069940:4072387:-1 gene:PAHAL_1G059900 transcript:PVH65716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNSEQCLHETVAKRRNLQKISLSDLPMDILSTILSLLPINDAIRTSVLSRKLKYVWCSHTNLTFNKATMRKTYFKPSTGYYQFLRDHEFVTRVNTVLRQHSGTGVERMEIKFRLHSKHADHIDRWVNFAIASKAKEFVIDLSGLSKVAFFRKLPYEKKRIVGEEPYNLTSQFFSPSNGLHLQCLELIAVSLHLPSDFKGFLNLKSLSLVDVSITDEDVECMLSKCNLLEFFEISYCRMVTSIRMLHPMNRFKHLVVHICPKLQEIELNCSPTTLKYAGDVVPLIFASTPRLKNIDVVTDQSALSYIVTGFPSTLPSLETLTLLCYEPERTIVPEGHFQI >PAN06920 pep chromosome:PHallii_v3.1:1:49027445:49028910:-1 gene:PAHAL_1G297900 transcript:PAN06920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLEALSARLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGLASASACSDASDAHDSDHHHAPPKATVEPPQPAVSAAAQQVPSPPPFLPQGWAVDELLQFSDYESGDKLQKESPLGFKELEWFADIDLFHDQAAPKAGRALAEVPELFGSQAASDAAYYRPSKAGAGVRQSKKARIEVTDDEDYLIVPDLG >PVH67062 pep chromosome:PHallii_v3.1:1:57216065:57217823:1 gene:PAHAL_1G419600 transcript:PVH67062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGYEFEQVSFCMHKPGGKLPFDDGSVGAVLAVVENESLREQLVAEISQVLKAGGRVLVPSSAPSSSQKPNTDIERKLLMGGFVEVQASTASSQDNVQSIIVSGLNVMAKKASWSMGSSFPLKKATKALPKIQIDDDSELIDEDSLLAEEDLKKPQLPVVGDCEVGASRKACKNCTCGRAEAEAKVEKLELTAEQINNPQSACGSVVWVCGLGDAFRCGTCPYGGLPPFKPGEKVSLSGNFLAADI >PAN08954 pep chromosome:PHallii_v3.1:1:59033906:59036491:1 gene:PAHAL_1G447600 transcript:PAN08954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSWRSPTCWLLFLTTTILMSTTRLPALAGMARPGCQERCGNLSIPYPFGIGRGCFRSGLEVTCDHSTGRAYLGGEGSNVWAFEIFLLQGEARVHKHLTWDCYDGNGISNFIRSPIDLHSYQISHTKNKFTAVGCDTIAFIQGENTNSYTSGCVSFCSSEASVDTSGQCTGMGCCQTSIPANLTYFNTTFSTRRSASVLGFNPCSYAFVIETQQFRFDISDLAGYNFAHKYSDGVPLVLNWVAGKESCDEAKKNMSSYACRSKNSECVPSDNGPGYLCNCSSGYGGNPYLEEGCQDIDECAFPEQYLCLGQCTNTIGSYSCTCPKGTQSTNASTISCSPYQDLDHTQTLKMVLVFLLVCIFPLVIECQKRKLVKEKDGFFKQNGGFILLEQMRSRRVETVRVFSKEELENATNNFDKRRELGRGGHGTVYKGIMEDKRTVAIKRSKVCNTNQKDEFVQEIIILSQINHKNVVRLLGCCLEVEVPMLVYEYIPNGTLFQLIHSEGPSISLDDRLRIAIESAEALAYLHSSAFPSIIHGDVKSSNILLDDKHMAKVTDFGASHMLVKNETHFMTVVQGTLGYLDPEYLQERQLTEKSDVYSFGVVILELITRKTAIYSEDSSERKGLASSFVMAMKENKLQDMLDKSIVGVVGMEPALGAISELARKCLSMKGEERPQMTEVVEQLKLIRRTCCQEKLTEYMIQEQKINMQNLVRIILPTLHNTEASP >PAN06304 pep chromosome:PHallii_v3.1:1:45845215:45849121:1 gene:PAHAL_1G254200 transcript:PAN06304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSGKKPSANGGKAPATQASHSGGIARRLPRLAFVLLLALAYRQLQAPPPKIPGTPGGPPVTSPRVRLQDGRHLAYYESGVPREEARHKIIFMHGFDSCRYDVLRVSPELAQELGIYLLSFDRPGYGESDPHPARTERSIAFDIEQLADALELGPKFYLAGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNYWWSGFPANVTRDAWNVQVAQDKWAVGVAHHAPWLTYWWNTQKLFPASSVISFNPAILSREDMAVIPKFAYRTYAYQVRQQGEHESLHRDMTVGFGKWSWSPLELEDPFPGGEGRVHLWHGAEDLIVPVGLSRYISQRLPWVRYHELPTAGHLFPIVDGMADTIVKSLLLGDE >PVH66374 pep chromosome:PHallii_v3.1:1:41901369:41902818:-1 gene:PAHAL_1G229800 transcript:PVH66374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEFLENIQIPQRASYIRVIMLELSRIASHLLWLGPFMADLGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWMDKCLDFCDYFLRGVVEYQQLITRNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGTQWDLRKIDPYESYNQFDWKVQWQKEGDSLARYLVRIDEMRESIKIIQQAVEKIPGGPYENLEARRFKKAKNSEWNDFEYRFLGKKPSPNFELSKQELYVRVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKNEIG >PAN07869 pep chromosome:PHallii_v3.1:1:53749988:53750837:-1 gene:PAHAL_1G369100 transcript:PAN07869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLAQAVAALMGTCARRLSRAARRLHLRPGLAASFSSSRAIVPFFSGGGVIRKALSSSSKSKRRRKAEDDELSFRWEDGVWRKEIMMGERCQPLDFSGAIYYDAEGRRLEQPPTPRSPLRSPLPASVVLAANAGGH >PAN08595 pep chromosome:PHallii_v3.1:1:59256186:59257426:1 gene:PAHAL_1G450700 transcript:PAN08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPFFLGLSGLLFFLGCSLVLVLADKGGCLVGFWRGFGLDSRLSSLISCSWHWSLAYQYTSRGLSDGGSHNREEKSQSVPTNTDVCRKNGYKNLWLGETYSSLYCFCPRNRLSLFFLSVLFFFFLSTSTTAISHRHLKNRKGANGCSEHTRVSYRRSQFNCLIILNELSNAQDDTCEVLRPRYIFELSWC >PAN03999 pep chromosome:PHallii_v3.1:1:2339205:2344373:1 gene:PAHAL_1G033500 transcript:PAN03999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKQETMEETILVGDDLMRGPPSPVIPKEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERMQDSEYKLGSSMPLEEAKGRATQLQSEVTLLERRLILASGLEGMEGFRQRWSLHGQLEDTRKRLVALNRGIGKRENQSSMGEGTKSAPAGKRWFFW >PVH65857 pep chromosome:PHallii_v3.1:1:6408090:6408817:1 gene:PAHAL_1G091200 transcript:PVH65857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKVSLSCPTPSSLSEDIIGLRTGGYGMAYKLQRPADFVISKPNLLTTLCSGVLYLYRFGGQYYLDWASRTSDAWCEFVITRLVDQPVGAFTGRKMKRS >PAN05967 pep chromosome:PHallii_v3.1:1:27162043:27173563:1 gene:PAHAL_1G186000 transcript:PAN05967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRVVLASVEAGALLVVVAFLLCLCVPPVRATPAGAGVTEFPGFHGELPSKHYAGYITVGHEQQNRHLYYYLATSERNPTLDPVVIWINGGPACSGFSAFHHSIGPFKIEYSHVHIKDNPRVTTNPYSWTKMASLLLVDSPAGAGYSYAENEDDYITNDTNRVVDLYDFLSKWFAEYSEFQSNPFYVAGCSYSGVLVPVLAQEILQRNEESYGMKINFKGYSLCNPAVDVDIENNAHVPYAFRMGLISDELIQSLVTTCNGKYWNSSSPSCQGNMEQFYMQIKGINMEHILCPPCRYKMGITKEFMEYDSGQMFERISKTSEHGLECHDQEQALQKLFDPKLGREKLHAKQTEVSGTWKRCPNHIQYTRDILTLTEYHLNVTSKGYRVFFYSGDHSLLVPFTATMEWLKKLNFKETEKWHPWFVENQIAGYSMRYGSNILFATIKGAGHVPSDYLPFEAFVAYQRWLDGANSL >PAN05968 pep chromosome:PHallii_v3.1:1:27162043:27173563:1 gene:PAHAL_1G186000 transcript:PAN05968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRVVLASVEAGALLVVVAFLLCLCVPPVRATPAGAGVTEFPGFHGELPSKHYAGYITVGHEQQNRHLYYYLATSERNPTLDPVVIWINGGPACSGFSAFHHSIGPFKIEYSHVHIKDNPRVTTNPYSWTKMASLLLVDSPAGAGYSYAENEDDYITNDTNRVVDLYDFLSKWFAEYSEFQSNPFYVAGCSYSGVLVPVLAQEILQRNEESYGMKINFKGYSLCNPAVDVDIENNAHVPYAFRMGLISDELIQSLVTTCNGKYWNSSSPSCQGNMEQFYMDQEQALQKLFDPKLGREKLHAKQTEVSGTWKRCPNHIQYTRDILTLTEYHLNVTSKGYRVFFYSGDHSLLVPFTATMEWLKKLNFKETEKWHPWFVENQIAGYSMRYGSNILFATIKGAGHVPSDYLPFEAFVAYQRWLDGANSL >PVH65624 pep chromosome:PHallii_v3.1:1:2748163:2750271:-1 gene:PAHAL_1G040400 transcript:PVH65624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFGLPLVLLLIMASPATSCNEEEKSSLIQFIAGLSHDGGVTMSWRNGTDCCKWEGITCNGRGAVMEVSLAYRSLEGSISPSLGKLTSLLRLNLSYNSLSGNLPSELLSSGSITVLDLSFNRLSGALQEPHPSITEQPLQTLNISSNLFTGEFPSTMWEKTRNLIAINASNNSFQGWIPSSFCINSTSVALLDLSFNQFSGSIPAGMGKCSALRVLKAGHSHLSGLLPDELFNATSLEYLSFPNNRLQGLLDGAQIMKLRNLVNLDLGGNRLNGKIPESIGQLKRLEGLHLNNNNMFGELPSALSNCTNIITIDLKDNNFCGELHKVNFFNLLNLKALDLLYNNFTGTIPESIYSCSNLMALRLSSNNLHGQLSPRIRNLKYLVFLSLGANNFTNITNTLQILKNCRNLTSLLIGSSYKGEAMPEDETIDGFQNLRVLSINDCSLSGKIPLWLSKLKNLEMLFLDRNQLSGPIPAWIKNLTSLFLLDLASNNLTGELPTALMEMTMLRTEKTATHLDLRVFELPLYFAHSFQYRIATTFGKTLDLSRNELTGVIPQEIVQLKSLEKLNLSFNGLSGEIPQQLSKVTNLQILDLSSNHLTGAIPSALNNLHFLSVFNVSHNDLEGPIPNGGQLSTFPSSSFDGNSKLCGIMAAKLCGSAEAPPVSVPSTGQTVERVAFVISFGAFFGVGVIYDQIVLSRYFG >PAN05207 pep chromosome:PHallii_v3.1:1:9668124:9669264:-1 gene:PAHAL_1G122000 transcript:PAN05207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGVPAPYVQGGTCERNLPAQARHKNEARPAYTIPGVPMPVRPAPKQPSTRPKAPPSPSRPGLKDKAKAKAALHQKAGETSEMKDRVEKFFSIFLGNRDKKK >PAN06877 pep chromosome:PHallii_v3.1:1:48834060:48835014:1 gene:PAHAL_1G294000 transcript:PAN06877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGVGVDLLAKHEVYASAAAEHVELAAPLLAHSASSYDDGAAAVVSAYDSDIDASLRAAESDARERPSPDYLDSTQGGRMGPAERAALVAAIVAGGADDLDYLLRLLGAAAVYAAAKHEDRDTARMVGARDIAARCGFAASQEVVSAERALLAALGYRLGGPTAHTSLEHFTRHGHGGGRGDGGSPLALRRAAHLIADASLLDHRCLGLLPSAVEAAAILLARLYLELSHGREQLRRWGRELEELRGYRPPDVNGGLECMYETMPDDPGFLISPLLLLFADPSQPVEK >PVH66065 pep chromosome:PHallii_v3.1:1:13517643:13518354:1 gene:PAHAL_1G139900 transcript:PVH66065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAVVKVAAMFMLVLCVGSQLKLTRASNDDQQDEAAAAGSALLQKPVALELAEELLSGGLGCSPACSYCKALCASFCSTAAVPYARCLQRCISNNQCASK >PAN07832 pep chromosome:PHallii_v3.1:1:53564815:53567293:1 gene:PAHAL_1G366400 transcript:PAN07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGGVAVPPTPAGSSAAAGKKAKRFDIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >PVH66338 pep chromosome:PHallii_v3.1:1:35758938:35759867:1 gene:PAHAL_1G213200 transcript:PVH66338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPFAPVPDCLRCPCFQMAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPAIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPIPAIGETRVLVGTPITGWGGLFRTPQAPPEGTEGTAAATGGGAVERPQENGILEDDEEELLIPLEVHSAPENDSPRE >PVH66701 pep chromosome:PHallii_v3.1:1:50570336:50570521:1 gene:PAHAL_1G319700 transcript:PVH66701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWCSPSTPRQLLSTASLFALGAGLLAFGIHLSYANIEPQRARTLARDQFVRDYLRKKHDK >PAN07268 pep chromosome:PHallii_v3.1:1:50727329:50734025:-1 gene:PAHAL_1G323000 transcript:PAN07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPPPMLSVPEKKTAAAELFRDRHFFSAAAFSDIRDARAAVAVPNPQAQPPASRRALILRYHRLLFSARDDPCAFDETLSFTWHDAFRPHLKHAASSLRLEKAALVFNVGAAASRIAAAHSRATEEGVRAACGEFQRAAGAFRAVGEMMEEEAATTVDMSSQASAMLERLMLAQAQECCFERALAGGKSPAVCSKVARQAALYYEEAYAALVAPPLQNHFERSWVSQVQLKAALFNAEACYRHAIDLHEKTEIGEEIARLQVGINAIVDTKRTARGAPGPLYDYASRLEQDMNKSLETAKEENYRVYLFRIPAATSLAPLPAASLVRSASLSEILEAKTENGTQSP >PVH65968 pep chromosome:PHallii_v3.1:1:8753078:8753657:-1 gene:PAHAL_1G113100 transcript:PVH65968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDTCTAPERILVESPRHCESHGWTARVRMQADGLPQEVPDMHGFAMASPCRAISPTPLPDYTPVMSLYTPTPDELPEFLLKGTIAARHGAPPFYMAAGSSSSMAATAAAANAHPDLRRIIKTEHVLAKMSAGGEARRPHPQVRGRPECGEETRLGK >PAN07994 pep chromosome:PHallii_v3.1:1:54294442:54295650:-1 gene:PAHAL_1G378400 transcript:PAN07994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVGGRSALVLFVTAAVLVATSAPAWSAAHNITAILSGHRDLTEFSRELTATGLADDINGRNTITVLAVDDAHMAPLKARGLPRGTLRHVLSLHVLVDYYDDAKLHGLPGGSADVSTLFQASGDAPGSAGMVEIADRRGGRVAFAPQDAGAARGTAVFYVKAVHQAPYNISVLQVSGVMSSPAAEAPSSSSPEPSRLDFSDVMSKNGCGRFAGLIAATAGASAAFDKHTRDGFTFFCPADKAVEAFEPTFEKLRADARLAVVLYHGALGHYSPQALRAGHDDLATLASDDAGTTVGFAVHSAGGKVTLVSATHNAARVTRTLADADPVAVYMIDAVLVPFSLTANASSPPDLGPSESDGRSGRKDGGDGRKTSGSAPGWPRRGWVASALVFTNLLTAFASG >PAN07853 pep chromosome:PHallii_v3.1:1:53634144:53640401:-1 gene:PAHAL_1G367700 transcript:PAN07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQGKGWTGWSTPTPANQRSGGGAPAASAPLGKGKGRVAELEHELHEYQYNMGLLLLEKKEWAEKLEEVSQMLKQKEEILKREQAAHLNAISEYERREESMRKALGVEKQCVIDLEKALREIRSEIAEVKFMSEKKTADAQSLEASLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLDEVEARQRRLEKEKLYFETERKAREKQLKEQEESLQEWDKKLKESQNRLVDLQRSINDREERANKNDQLFKIKHGELEEARKSVEANKRALKAKEDDINRRLNELHSQEKDANSKRKELEEQEKKLIEREEKASSREKEGLQKLLEDHQVDLETKRRDFELELARERKSFDEKMVQKQADLVKREKDVKSLEAKLSKSEQVLNDKKKEMEGWQNDLDAKSKALKRWEESLKNDDKRLLEEKQRMDQEKHQVEMSKSELERIKSTLEAEKERILEEQNNLKLTEEERQEHSVLTEKLKKEIEEYRMRSNSLSEEIEDLRKQRQKFEEEWEQLDEKRAHLVEEGKKVNIERMDLERWRDSEEKRLNDAKLEMEERYKEKLENLDRKEKALNDDIKHKQMENDELLKGERADLKRKLQLHQHELEMELEQKQASKEKELEDKENELNKKMDFVENKLRHAIELNESKIQKIISEKKQLQMERKILLEERQKLETDKADIKRDIDSLHVLSQSLKLRRESYNRDRNNLINLFEKYKVCKNCGISLFEGLDSLALKDSVEIEYPSLAVERDDRSLNADTSAPDTGTLVNSGGRLSLLQKCSRLFKFSPVKKGDQSSEQPTENIPFGARLEEASRSDGDYEPTPVYEIAHDSFDAEDDLPSDSGARENEESKRHDPADDVQMESSFGVADNGIDVRGTQSFDGTNDMAVDATIASVDQNGKDSAAPAEAVQPEISKQGRRQQNRKGRGKGGVKRTRSVRAVVEDAKAILGETLEEKNDGQGDPVAVGTRKRRFAGATISEQDEEGSEAHSESVSLGGQRRKRRQTAGAVTETPGEKRYNLRRSTVANATAATVQTDKKKAAKAGKKHKVEAPADDTEGTSKAVEEPAPESKRASESADYGASQLHEFSQAEVGDAPAPAEGIGEEDGDIVDGKEALPDVPMTPSGSELGAEQDGEDDDDLERRNQSISKKLWSFFTT >PAN04946 pep chromosome:PHallii_v3.1:1:7647743:7652358:-1 gene:PAHAL_1G103600 transcript:PAN04946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVEAAAAAAAAAVEGPIRAEAKVAPEAEAEVEVKGDAPAAGEAGEEEEEKEYKSDMRKLEELMSKLNPCAQEFVPSSRRAAAPASLAVKPAGGGVLSADAPVFVSAAEYYGAPGGHLQVAGGGGASGGSRDSSSDGSSNGSGHPLNRRRRNSFNQGRRRMGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKIFFQGTCGKVSRLRLLGDYVHSTCIAFVEFAQAESAIMALNFSGMVLGSLPIRVSPSKTPVRPRSPRVMSN >PAN06571 pep chromosome:PHallii_v3.1:1:47430936:47432407:1 gene:PAHAL_1G273200 transcript:PAN06571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHIPFGWLPMDPAAASAATGNLPAPFSAAAANGHVYYYLDPALYASAVPLARQPAVPTAAEVARRRQEEEDAGIHLVHLLVTCAGAIQAGDYSAADDNLTQARSFLAAITSSTGIGRVAKHFVDALAQRLVPAHPQAAPPPATPAELHIHFYDAGPYLKFAYSTANQAILDSFEGCDRVHVVDLALMQGLQWPALIHALSQRHGGPPYLRITGIGGAGDEIGEVGVRLEQFARSLDVPFTFREVRVDQLDGLRHWMLGVVPGEALAFNSVLQLHRLLVDPDVDPAVPAPIDTLLHLVTSLQPMVFTVVEQEADHNRPALVERFTNALFHYSAMFDSMVAASHRTGGGSTTSALAEACLRAEILDVVCGEGSARAERHEPVGRWRERLARAGLAQLPFGADEVRRATAQLIRATLFSATGYGVLECAGSLALAWHDRPLYAATAWRATGGDERDKGRRRNSRNGSGESNGRGNRATA >PAN08878 pep chromosome:PHallii_v3.1:1:58821496:58824528:-1 gene:PAHAL_1G443400 transcript:PAN08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGYKVTLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSTPAGSTPYGRPLRVVELGVTHLPREVFEDYLRAIAPRYTAETYRLLTHNCNNFSNEVAQFLVGTGIPDYILNLPAEVMSSPMGPLIMPMIQNLESTLRNNAAPQATQFVPTPASVSVPAPEKAAATPSSAAAKPEEPAPAAVAENVSKEAPAPAPTPAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDAATMQQG >PAN04988 pep chromosome:PHallii_v3.1:1:7961682:7962659:-1 gene:PAHAL_1G107100 transcript:PAN04988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSTNSLPYSTDKGGYSTHDTLVLLGIGFCATAVSILIIVLCECLCCRRRRAGGTVVYVAARPFFLHGGDDDGDGGLTPSAVAALPSFVFRRGLVAGGAGRGEGSGSGSGRGWAQCAVCLNLVQEGETVRRLPACAHLFHVCCIDMWLRSHSTCPLCRAAVEPTKEPSSKDLAPPV >PVH66077 pep chromosome:PHallii_v3.1:1:13901646:13904528:1 gene:PAHAL_1G142100 transcript:PVH66077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIIPDFRDGHAILQRNIYVWDIRMFRHVEVFMDCPLIVVQRKICIFSRFSDVIHVRGCVNSSILPTGDLETISISLRTVELDGKWIKMKN >PAN06897 pep chromosome:PHallii_v3.1:1:48931599:48932832:1 gene:PAHAL_1G295700 transcript:PAN06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKEPKKTRCHVKWDEDNLNDIESNKPEREKINEPKTPYHPMTDEDEGPVSPLQLSEELVDKSAHADAIKTALAEAVFSGKIFDRNSWESCENEEAIKQGNAFEEHRKVHYDEYHKMKELLQKGTMTDDAEEDECVPDNRKE >PVH65687 pep chromosome:PHallii_v3.1:1:3521709:3522020:1 gene:PAHAL_1G052000 transcript:PVH65687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFDRRVIGCVRAGAHADSGEASPPAMARRASSPSPEVVVVPGQGRCPLIPVPALVGACRRPPGLPACAAQCIVYHYRGGYCDLLPNGRPGDCFCTNCLGSGA >PVH65816 pep chromosome:PHallii_v3.1:1:5855875:5856879:1 gene:PAHAL_1G084100 transcript:PVH65816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHLSLHLHSVAAAASGGVHHPGAAAVASVAAFLAVCALALALCASHSAPGAGRLRRALASVSRRRTEPVIAAVHQVQPGGGEASPCVWQKGILMGGKCQLPDFSGVINYDPAGNLVAPARPGRAVLALGW >PVH66146 pep chromosome:PHallii_v3.1:1:19793428:19793721:-1 gene:PAHAL_1G160300 transcript:PVH66146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFFKAHHMTSLDTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN07903 pep chromosome:PHallii_v3.1:1:53920390:53920941:1 gene:PAHAL_1G371600 transcript:PAN07903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVCRLCGVVWPSAELLTEHFVVHAKQEHGWAHRRFAMPPAAAPRPVMPPILRAPPPAMAPAQLPLLPHFLLMSTMLSLAPPRPTPAAGVRRMAVVVPAAPAPPPVQRPASPPGPGPFVGPGNTIRMVPFRPNPAFWARYRSGLEPFVEYLSIDRPRPASPSPSFEDWIQADHDADSSEDEE >PVH66099 pep chromosome:PHallii_v3.1:1:15967370:15968158:1 gene:PAHAL_1G148400 transcript:PVH66099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKRRAGGGGSGTWAGAVGTGRRSRGQDTARRAARRRSSACACRRRAARRAARHAGETGRAQATRRTAGGAARGTRARGRGWRAQARAVARCGCASRRGQAGAGAVVRQGCAARTGTRACVHEGGGAEAQCQAGGAALGSREEEKEKKKGGKRRKGEGKEREGKGKEKKRNRGREKEKEKGERGRKGGARRRRSQRRPRSVGRARASFACGGIRGARTLREEIGEGGGKKKRKRVGANRGGGRGWSATRVRHSRAESRRR >PAN05981 pep chromosome:PHallii_v3.1:1:26717133:26719005:-1 gene:PAHAL_1G183900 transcript:PAN05981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAASSLPPQLRVLDTTLVAPGAPALPPRSIPLTFFDVKWLHIPPVERVFLYRLPPDADVAAILSALRTSLSQALRAFYPLAGHVRLANKRHEILYRPGDAVPFTTAEYDVDVDDLASDDPVRVTTVAPLVPQLPKGRAVLAVQATLLLRGLAVGVTVHHSACDGASSTHFLHTWAAAATACAGAVDDHHQPSPPPPVIDRALIPDPRGLYDIYLKSLPPMISDDGFEFVSKAPSSFEDKLVATFTLPQNLLVSIKTAVAGEAARRGITPPPRCSSILATYGFIWSCYCRAMRAGTTPRRKTSYFLFSVDQRPRLKPAIPGTYFGNCLCPAIATAPEEEVAAAGIGGLFAACAAVAAAVEEVVREGAQDRWDACVDRVKEAVAHGTLSVSGSPRFHVFDVDFGLGRPAKVSIVSAAKGAGAMPVAEARGGGGGVEVGVSLPAAAGDMERFQKSFADGIAWLDLLVHQSSNGC >PAN08548 pep chromosome:PHallii_v3.1:1:57217445:57220662:-1 gene:PAHAL_1G419700 transcript:PAN08548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLFRCFVGSEPEEAGSGNDMPAARKKTVRRMRSATARLRSLSPDDLSRTLASSGLHAFTLSELRSATRNFSSSHFIGEGGFGPVYKGFLDERLRPGELPPQHVAVKYLDADGPQGHREWLAEVVYLGMLSHPHLVKLIGYGCQDEQRMLVYEYMARGSLEHHLFKNLLSSLPWCTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDADYTAKLSDFGLAKEGPQGDDTHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRHPERLHRVMDPSLDGQYSGKAAHKAAMVAYHCLHSVPKSRPTMRDVVDALEPLLAMCADVPAGPFVYTVPEDEAAAGAATAARKKCLASAVHAESELPARNQRYANSVAGRKSSSPKQSRDRGA >PAN07267 pep chromosome:PHallii_v3.1:1:50734604:50737115:-1 gene:PAHAL_1G323100 transcript:PAN07267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPADRGGGGRGLGLGRGRGWKGKAVTSGGPAAGRQLAPVLEDTPAAALLRPLKKIRSPDRRFHRSLSTLSSPAPASPDSSSVSNPVSPPATPLPSTRHVFPFAYDTAPAASAPSVPPLLQYSSVYQQPQQQPLQHQQMISFGGSQQQQQPPPPQFGAAASPLFPPQLVAPEVQPQMLLRYWSEALNLSPRGFRGGAVPPALYQQLLRSAGPPKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENAKLNFPDLFLGKGRVGGSGRTSASAAASCSSSSSSAPPTPDETNAKQAQQHHGEQPPSNSEGKPLLPETEQAKNSEPEPNPQLQPTDHQGGDGNAAVFQPSATSGGVWVPADEAWFSAWGPGSSVWDYDMDNAHGLFLQSRFASEATSMDYAASAPDVPATPAAGTAMASAASISFPPPPPPPRSPAYMWKD >PAN05298 pep chromosome:PHallii_v3.1:1:10929652:10930357:1 gene:PAHAL_1G130100 transcript:PAN05298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETISPPFDRRWRVRHFILAAVGVVLVAIAIVLTISISLAPAHISFSISNATLSFSSHAYNLTITANNTSRRPDVMYDFMSAEIWTNPTTSYLAEVNRTEAPAGRRQGPRSAASFNVLVDYRQFDLTTDNSTNSGSDADCKVVVVARVRFRIGHGVPTRPYTVTATCMHVNFLSRHNASDFPVECAMY >PVH66894 pep chromosome:PHallii_v3.1:1:54288065:54289070:-1 gene:PAHAL_1G378200 transcript:PVH66894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALPTKFHKIERMGRKAKRGGAKKGVRDAEKTKVAPADCASPDGEGGSASAAAGLPMANLVRLMRQVIPKGVKVSTRAKHLTHDCAVEFVGFVAGEASEQARAQHRRIISPEDFTRAFQTLGLDDYVEPMSTYIRRYREHHNIAAGYGGFVTRAPPVAATAAAAPVTAPGVPCFSDEEMQHLSSMVLPPAHGEHDGEGSSSAYTPTPAGRCYSYTGYM >PVH66478 pep chromosome:PHallii_v3.1:1:46121914:46127971:1 gene:PAHAL_1G257600 transcript:PVH66478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpB4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G25140) UniProtKB/Swiss-Prot;Acc:Q8VYJ7] MPWASPNQRLAARRSTLLRGSMRPAGTVSSTKGMMWTGGLSGGSGSVVSAPKAAALGMYCRDMTAKASKMDPVIGRDDEIDHIVCILCRRTKNSAMLVGAPGVGKTAIAEGLAQRIVAGAVDLGAMVAGTKYRGMFEERIKKVIEEAEAADGKVVLFIDEVHMLLGAGQSKGGSMDGANLLKPALARGRIRCVGATTLDEHRKYVENDAAFERRFQKVHVQEPSLLATIAILQGLKEKYEEHHGTEIQDAAIVAAARLANRYITGELWILLIGPILKSLIGTVAMDKKIPSTVLLLQVRHQIGRQFPDKAIDLIDEACAAARMQTDNILKGSSTQYVSENATKEAICWNPLDTWRCWFSSRFYRGTWRHRTSSLVGWEVRPPLAGPGAQAPWGSGFHTWGPKIIPWWFGPVVVSRWTGIPVNTLDQDEKEKLMCLADRLRERVVGQEAAVNLVAQTVLRSRAGLDQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMTEFVGSHSVLRLIGAPPSYHGHQDGGQLTEKVRQRAYSFILFDEIEKADPAVFNVLLQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEYLMEAMDGEKSMEAARGFVIEQAQKHFRPEFLNRLSELVIFEPLSQDKLREVAKVQMKGIIARAADKGITLSASDAALDVVLSESHNPLYGARPIRRWLQKNVMTRLSEMLFRGEIDVDTTVIIEASEDQKDLKYEVVKNTSKRQARRQDKMPLVETPCDSQSDDDVAPTAKKMKVVAIPTSPGK >PAN08291 pep chromosome:PHallii_v3.1:1:55781268:55785565:-1 gene:PAHAL_1G400600 transcript:PAN08291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESRDPPTGCAMFGIYSGMFRRRRSASMTSLHRINGTSPAASDAETEAAAAPPAQANQAHRKPAVTHDSSLVRRQSALPVPAQNGAGARAAPPANERARPVAKAANGLGGARTAAEPASEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGAGGASARSSVERGAKMANERKAPNGYAFSGMGNIVKEAKPAGGDLCRALSHRTDPERLKEMGNEEYRQGHYTEAVALYDQAIMMDARRPAYWSNKAAALAALGRLIEAVGDCKEAVRIDPSYERAHHRLGGLYLRLGEPDRAIYHFKQSSKESTGADVCRAQSVKNRIAKSSDARSLKNWITVLQEAQAAVSDGADCAPQVLALQAEALLRLQRHDEADAVFSGAGEPKFGVDESTKFFGTFGHAYVLIVRAQVDMAAGRFDDAVATAQTACQLDPSNREVTNVQRRAKAAAAARLRGNDLFKAAKFAEACHAYGEGLDREPGNAVLLCNRAACHAKLGRHEKAVEDCSAALAVRPSYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVEAKLKSQRNGEVARPQH >PAN06027 pep chromosome:PHallii_v3.1:1:28898811:28901390:1 gene:PAHAL_1G192800 transcript:PAN06027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAQRAMGLLRRSLVLAPPTAQRALSTSAAPAAEGAAATEAAAKEAKKRKKKNLFDVVQFLPGWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAADAPTRISGVNKCGWKYIKESKKKLQDVPKVEAPVTA >PAN05352 pep chromosome:PHallii_v3.1:1:30813892:30814809:1 gene:PAHAL_1G198200 transcript:PAN05352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMRVQIWGGRALAIMEAAARGVRVQGEVGRCRSREGPPRVTGGARPPCAASGHLGRRCGEPRRRRTRLAASPARAAAGVPRRWRMRLAAGDPGAARLPWCCSRIF >PAN06808 pep chromosome:PHallii_v3.1:1:48523403:48529959:1 gene:PAHAL_1G289500 transcript:PAN06808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPESAAGGRKRRRRGGGRNRRKPSSSSQQAPAPAAAPPPPSSPPAKRRRKDGTGQAAATPKRGNTSSLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFKNDPNLFDVYHTGYREQMSHWPEQPVNVIINWLKSHNASWTVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSVDVAIFCLSLMGTNYPSYLEEANRVLKPSGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKDEKNKMFILFYFRKKDKSKVAKSIDWPQLKPCLYKRR >PAN07870 pep chromosome:PHallii_v3.1:1:53767076:53768493:-1 gene:PAHAL_1G369200 transcript:PAN07870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSLAQAVAALVGTCARRLSRAARRLHHLRPRDGAAASFSSRAIVPFLGGGGGVRKALSSSSSSSSSKPKTKRRSKAAAEDAAGDGVWRKEIMMGERCQPLDFSGVIYYDAEGRRLAQPPPPRSPMRSPLPASVKLAANAGGY >PVH66040 pep chromosome:PHallii_v3.1:1:11424869:11425642:1 gene:PAHAL_1G132600 transcript:PVH66040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNTKLELWRHTLESRGFTLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDIRHRISAGWLKWRLKGKFYRTAIRPALLYGAECWPTKRRHVQQLGVAEMRMLRWFCGHTRRDRVWNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEGPVRSGVLKRGDNVRRGRGRPTLTWDETVKRDLKEWNIAKELAMDRSSWRLAINVPEP >PAN08297 pep chromosome:PHallii_v3.1:1:55808824:55813379:-1 gene:PAHAL_1G401100 transcript:PAN08297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MRATGEHTAAVSIPAPPPTLAAEEAEKEKKKNRRRPARRSKQAGAAPVAVPQGPHADAAAGPRSVRSMPPMHVGGGARADAEAEAPAAGTSQSCPLLPTPRPAEALDARAGGGAPGRRHFQPHWPERAVEEAVKRGHAFVGKFRVNAHNRNEAYCTIDGIPVDILVTGLAQNRAVEGDLVAITLDPVVHWTRMKGPNVTCNPAIGGDSVVRENGETNGNHSQKKGQADAGCRFENCSNGVPGLGRTHLPHKNSGFSQAVKCENGNATVLESNERDLNDGKSEAARALQRICAMIHTHPGRRPTGKVLSVMKKSPRRDAVVGFLASFPEFPDGEQQKNQMGGKKMNNRAQSAVSGLIFLLPTDPKFPQMVVSVSTLPDSVRQSLREGDAAIEKELVAARLDEWNEESLYPYARVVRFLGKGGHVKTHMDAILFENAISDTEFSPESLACLPDNCWKIPQKELEARKDLRKVLTFTIDPPTASDLDDAISIEILSGGTVRIGVHIADVSYFVHPETALDAEAQSRSTSVYTLKRKISMLPSRLSEELVSLNPGVDRLAFSIIWDIDPHGNIVSRWIGRSIIFSCCKLSYDLVQDLICSDASQSRSAVSSLQVHGIFERDDVIKSLRGLYEVSKNLKEIRFKSGALSLDTAKLMILFDEDGAPCDSYRYVRNNACFIVEELMLLANMSAAEVISNAFPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHLSLSRVKEKLQDDPVLFDILMFYASKQMQSAEYFCTGDLISKKDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEQVYMKQKKSSTGQNGLKASCELMDRCFTGLQFSRDAAESEEGKKALSAAAKKFRVPSSENLGEVAEHCNERKWAGRRAEEAGQKLYMWALIKNKEIVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLTVEWLEATGTLVLDACRNKPAQRRGTQMKSRPIEEVAMVVNPSETMLSEEDEESGATEAGGCTAKSVLLSGDAVKAPDAPAVLPLVIHYLSDIPVVLHATGGDNCAVDIGVRLYMASYFK >PAN06956 pep chromosome:PHallii_v3.1:1:49115268:49121308:-1 gene:PAHAL_1G299700 transcript:PAN06956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIECGCSAAVESILCIAEKVEKKCIRCGELAQGEFVNANKFNDEQLNTVICITSKLLCPHINAPEILWGPPGSGKTRIAVGIIHYMLNLRSRMLSFKDLYPSFNMSMVLVLNDLNAMENCSIFGETSLESRAQELYCCMYMWGKFLKETTFVLEMKPYCKDNCDHKGRICTISNLAVFSIVAFREKVCALALDLRKCSDALLSSLLATKLSDNDIENVNRLNYELSYFEKLLLKASMTSSRVRTAFGWFEGGLKEFCIAHSRIIISTPSSSSRLLGLKSYSVDILVVDDAAQIRESGLLIPLSLTPRHVVLLGDHLHLQPTVKSDLMNPEISKFVCDHFYKGMVEDAWTFKSDYNKKLSAYGFFDIMVVEDLRSKGNIFVENAIVVFLLQFLCKSLRSVDRKLRVCAVCLSNNRVDAITNLVSDEYGRHEKFNLEINSLDNLYEKWYEVVILSTVVDNKSELPKGKRINTSLTRSRYYLWIVGEATQLRACGDTWKELVDDIGHEFTWEGRPNNTKNILAPLQDQKADTCTFHAILGTVESLNKFNCSCLDSPQNFTWHLCSDNPEESSKRGKVRLEAGLDTIKGHGVIGSHKDGSETRLFKIESYEKLDVEKEEETESLMEVGNIMVGNFRASHNYFFLKPGGTYVYDATIPYIHPKSCLPGAAWPCHITIQNSYGRRFGSNGFGRVSRRSLRGLYKITVPELARP >PAN07000 pep chromosome:PHallii_v3.1:1:49368297:49376855:-1 gene:PAHAL_1G304100 transcript:PAN07000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDQPVISLRPGGGGIGLRAPRLFPTAFAAATGAGDFLRPHGGSSTGFAAKLGDSRFEPLERVRYTRDQLLEMREIVDIAKEILKLQQDFSVVLLGEEHQDQSWLHNDSNVQSQSHNGYTETDSRDWRSRSQTSPVASEEKSWDKIREAKESRVSSGKQEQLSSQFAAKAQTGPTPALVKAEVPWSVRRGNLSEKEKVLKAVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVITLMFEKAVFEPTFCQMYAQLCFELNESLPSFPPEEPGDREITFKRVLLNNCQEAFEGAGNLRAEVEKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVTEKIVHHIVQELLGSDSNSCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRMKDLTTNTQLAPRLRFMARDVLDLRSNNWVPRREEIKAKKISEIHTEAEMKLGLRPGSTANIRNGRTGTGGPLSPGAFPLNGPGTGGMMPGMPGARKMPGMPGLDNDNWEVPRSKSRADPLRSHTQLVNKPSSVNSRLLPQGSGALTSGKTSALLGSGGPISRPSSYSPGFAVAPAPSAAPVVEKPAAAPKPNSASLQKKTVSLLEEYFHVCILDEAQQCIEELKSPDYYPEVVKEAINLALDKGGNSIDPLVRLLEHLHSKNIIKTVDLETGCLLYGSLLDDLAIDLPKAPAHFGEVIGRLILSHCLGIGVVEDTLKKMEDTFIRSAVFKAATNVIGANPSGQAILSSHVAEIDACNNLLSSK >PVH66758 pep chromosome:PHallii_v3.1:1:51734218:51735735:1 gene:PAHAL_1G339200 transcript:PVH66758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAKIALLFALSSALLPEAVAVPDDDTLTPSAQVGAVWKTSNMGEGVVIGVLDDGIDAGHPSFGDEGMPPPPARWRGRCKHPGVASCNNKLVGAREFTRHLRNPARRAPRAGTHGTHASSIAAGTPVRSADGGAVVSGVAPRAHLAFYQVCAGRGCTRSPIMHAVETALADGVDILSMSLGDDDGVGFHEDPVVAATFSAVMKGVFVCAAAGNKGPTPGSVANDAPWVLTVGASSESSPHPTTVAAFSSRGPSRNNGGVLKPEIVGPGVDILAAVPRSPRGPSFASLSGTSMSVPHLSGVAALIKSAHPTWSPAAIKSAIMTTADTSVADETGAPAGYFAMGAGLVNPAKAIDPGMVYDISPEEYIPYLCGLGYTDDQVNRIIYPAPAVHCADMENTEAKDLNTPSIMVALTADRPAVAVRRMVTNVGAAGSVYRADVSAPEGVSVTVIPGELQFDEVHQKASFTVTVERAPGVVLASDVLDAQIAWVSQEHAVRSPISISARF >PAN07687 pep chromosome:PHallii_v3.1:1:52792306:52794290:-1 gene:PAHAL_1G355300 transcript:PAN07687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDHATPLGLKSRGAMGGGECDDGAENQRWPPWLKPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLAHHRDHHAIQIRRSSYHDVIRVSEIQKVLDIAGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDCFRFCSLGCKIVGTSRGYRPRKKHAGGGGNRNRKRAALKDVRCDSEDSCTGSSGGSSDKSSVVQSFSPSTPPPTAASCRPWNKRRKGVPHRSPFGSLIVEF >PAN07450 pep chromosome:PHallii_v3.1:1:51609182:51612248:1 gene:PAHAL_1G337000 transcript:PAN07450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLRVCPSDLKMAFELKKQNSACLELVNKTDQCVAFKVKTTNPRKYAVRPASGVVPPRGSFGISIVMQAPKEIPPDYHCKDKFLVQSIVVEEGTAPKDIVPDMFSKAPGKLVEEFKLRVIYVPANPPSPVPEEAEEEDSLDSDVDHEVEPPSTSNSTSGHGHASRSQTSHNEDVSLVSKSGDQESRYAEENKKIQKELELLRKTKPSPGGFSATFVLLIFSLSFLLGYLMLGSRA >PAN03638 pep chromosome:PHallii_v3.1:1:1098406:1101351:1 gene:PAHAL_1G012400 transcript:PAN03638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVRVPEEDSCDSESVASNFEFHKERGTSARSAAASVVPPFSKPAPSKWDDAQKWIASPTTNRTSRAAGGAVARKMEKPSSGMGRLPATKVVLEATEEIDTKRIDPSQEKREIGWQKAVNWAPPDPYPEVEPCSKTTIAAESTIVDSAVTFDRNDSSTMLQSATTCIPPPSTVRSVSMRDMGTEMTPIASQEPSRTGTPLRATSPNCSRPTTPRRTLGPNAIGAVISHGECSNAELSEQELQTKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKTVPMDQSTQNITEVRAAAWEEAEKAKYVARFKREEIKIQAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMTQLASARHNADEKRAAAELKMNRAAARTAEQAEHIRRTGRVPPSFGCWNWCS >PAN03637 pep chromosome:PHallii_v3.1:1:1097468:1101318:1 gene:PAHAL_1G012400 transcript:PAN03637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIEKPFPTQGGGFSPKRLRAMLLGVDKRRKGHDAEEEDGGAGAGDDDGGAVPRASVRSDADADARGGGTICEEYKDVDVVSTISESSTSLETGGGHRWRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGTSARSAAASVVPPFSKPAPSKWDDAQKWIASPTTNRTSRAAGGAVARKMEKPSSGMGRLPATKVVLEATEEIDTKRIDPSQEKREIGWQKAVNWAPPDPYPEVEPCSKTTIAAESTIVDSAVTFDRNDSSTMLQSATTCIPPPSTVRSVSMRDMGTEMTPIASQEPSRTGTPLRATSPNCSRPTTPRRTLGPNAIGAVISHGECSNAELSEQELQTKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKTVPMDQSTQNITEVRAAAWEEAEKAKYVARFKREEIKIQAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMTQLASARHNADEKRAAAELKMNRAAARTAEQAEHIRRTGRVPPSFGCWNWCS >PAN06142 pep chromosome:PHallii_v3.1:1:44200359:44201665:-1 gene:PAHAL_1G241000 transcript:PAN06142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATRYMKQLFAAVVAAVKSTAVGTRASSLRTRLIVLGIMRNKKLLLSAIQSKIHAIMGGSGQGGHSNAVATHGGGEGGTTTEGAVRGGAGGRLLLGGGRKAAVLQSLPSFVVEQESRAVVLSSLPSFALERDGGAGLARSPLAGGEEVVEYNDGSCEKQAIAAAAAAGSAVEGEFRLEDEIDRVADVFIRRFHDQMKLQKLESFKRFCEMLERGA >PVH66769 pep chromosome:PHallii_v3.1:1:51933144:51937169:-1 gene:PAHAL_1G342700 transcript:PVH66769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASMRVNLGLLLPLMDKYAAPTWAILISGFFMLLSVSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLVNPDTSVYCGILRDGYEAFAMYCFGRYITACLGGEEKTIAFLKREGGEGSGKPLLHHASEKGVIHHHFPINYILKPWRLGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGVYCDGEFKWGCGYPYFAVVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVIIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYELLGKQYSPTNISVLGDYAASDPVDPDEIKDISRPTKVRLPQLEPDEIIATNIKESVQDFVVGSGKYVIKDFKFTVKQAVRPVEKRFDKMKKNIKFRQSQDDNWVSASPPERMIRGIDDPLISGSASDSGIGKGRRHRRDPSSAGTVDSWEGTEHAPDGFVIRGRRWEIKKL >PVH65485 pep chromosome:PHallii_v3.1:1:595115:596460:-1 gene:PAHAL_1G006300 transcript:PVH65485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFGDATLDPGNNNRLQTAAKANFLPYGVSFYGGKPTGRFSNGRLVTDTLAGKLGIGRSIPGFHDPKLKPGQLMTGVSFASAGSGYDDATAKRSFSTNYLYFFFDMHEKSLAGPRRAEQLVRKATFFISAGTTDLLFHYLPSNQSAQDSQLHYEDQLIAHVANYTQVMRKLGGRRFIFVGLPPIGCLPIVRTLLGTGPDKCHGNMNLLAASFNEKLLKLVQILKNEPDTRAAFIDVYTIITKATTEPNNFGLTETSRGRCGTGTIEVGQTCRARKTCTDPSKYLYRDAVHQTERMNQIITDDAIMNSIGEIYI >PVH66210 pep chromosome:PHallii_v3.1:1:25413317:25426352:-1 gene:PAHAL_1G178800 transcript:PVH66210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSLWTSTRSSHLPSSSSSSRESTRKMVNTRRTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQLQMQMMATLNNIVQALQQIHAQPPPPPPPQPRDRRADFLRGHPPTFSHATDPL >PAN03804 pep chromosome:PHallii_v3.1:1:1617714:1618972:1 gene:PAHAL_1G022800 transcript:PAN03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMITSMLGRKQQSQKQGGARTGGGAEVVEPVSIDILEPFVDAISLTAFAAPALGLPPFATASMDWKETPTAHVFMADLPGVRRDEVKVEVEEEKVLKISGQRQRAAEDKGDRWHRVERSSERFVRTVRLPPNANTDTVHATLENGVLTVTVPKDNERKAYGRLIPIAN >PVH65691 pep chromosome:PHallii_v3.1:1:3604434:3605199:1 gene:PAHAL_1G053100 transcript:PVH65691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTAPTATGKQATHHDFFPFFFFFFFFFSGGRRVLVRALIICSGIWHMLATRVRARASLTAKIRWG >PAN07933 pep chromosome:PHallii_v3.1:1:54002536:54007030:-1 gene:PAHAL_1G373600 transcript:PAN07933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVPCKFFLHGACFKGDYCEFSHDCNDRPDNVCTFYQKGACSFGSRCRNEHVEVRRNRPQPATTAARVSSNSSQLVTSSGGPHCSECQTDQCDQTQQICKSTTALSAPEPAWADDYHEHDTPEDASSSSSYQTVENQTSQHPAHMPISSSAAAGTCPYGKDCSQMHGDLCTFCEKQCLHPHRPDESGLHVELCKKNNRLLEALRKSEDIECGVCLDRVLSKPTAKERRFGLLSDCDHSFCISCIRNWRSTSPTSGMDVNSTLRACPICRKLSYYVVPSTTWYSSKEGKQEIVEGYKAKLRSIDCKHFDFGKGTCPFGSSCFYKHAYRDGRLEEALLNHNDADGASAAIARLMRLSYLLTRLHV >PVH65633 pep chromosome:PHallii_v3.1:1:2973783:2974037:-1 gene:PAHAL_1G043400 transcript:PVH65633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRQLTRARVQGLLPLFLRAFARLAACVATRPVTATAATVLYHAGALPRDPALERLVCDDILDGGGDDCILHFVVGVMRSLG >PAN06473 pep chromosome:PHallii_v3.1:1:46954437:46956124:1 gene:PAHAL_1G266400 transcript:PAN06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGATDLPPGFHFFPSDEDLVVHFLRRKAANLPCRPDIIPTVLLQHYNPWELNGTALQAGNQWYFFSHAAQSRISPNGYWNPIGADETVTSSGCIVGLKKTLIFCTGEPSKGFKTNWIMHEYHLQDGGYNVSGSSTSSSSSSSRKSQRKRVHSSTESNNWVICRVFESSCGSQVSFHDEGTELSCLDEVFLSLDDYDEVSLPNN >PVH66112 pep chromosome:PHallii_v3.1:1:17518096:17518979:-1 gene:PAHAL_1G152900 transcript:PVH66112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPKIFWDHEGHAHTNALHWEGFPRLLWESLQLFCYTEPPQYDGVEYSEEGVPRCRVKMTIPQHPFRSLWQPIESNVVGYSLIDTIEAAALEAIHIFCDQHLEEVAGYPIGLFPAADSRDPEWVFRVSHYGHLRGDLAEETLCTMIRFMNAQHHYQILQHRGMNQLTSIAQSHHRNVDQQRNTIIEFLQEQVHDLTLELDDAIAHINVLQEQQVPLDVPGEPESEDEEEDPEEIEGVLDLDSEHGDPESNP >PAN05095 pep chromosome:PHallii_v3.1:1:8923295:8929119:1 gene:PAHAL_1G114800 transcript:PAN05095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDSRYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTMHA >PVH66819 pep chromosome:PHallii_v3.1:1:52940348:52943286:-1 gene:PAHAL_1G357500 transcript:PVH66819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESLDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRIIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGLVN >PVH66245 pep chromosome:PHallii_v3.1:1:26868544:26870652:-1 gene:PAHAL_1G184700 transcript:PVH66245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVISEMRILSRSWTSASLFALIIFAFLFSTSFAGRQRSFLTGQDDLRQHGEAAGTGQKEAVALLVPARMLNVKTNDYGIYDPSPSMDKPHFKLIPN >PVH65730 pep chromosome:PHallii_v3.1:1:4270661:4271497:1 gene:PAHAL_1G062300 transcript:PVH65730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRATPYARPLGGGRAVSRPHGRCSPPRRDPWPPVGMAALGWSGAARATAGPCHWAAAVCYACCRESDAQLWWTRTSLRVLGLGRRPRPPLHVFTPSWPPSCVIASAAPLTAPPVVAPGLAPLARRLPQS >PAN04963 pep chromosome:PHallii_v3.1:1:7785877:7790518:1 gene:PAHAL_1G105100 transcript:PAN04963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLPAPPLLLLLLLLLAAALLAHGRVIPGAGVPGAGATVLRLPSEAAPPAGEGGGCEMTYGFLPCTGTALGNLFLVLAYGFLMFKAATYLSAGSELLLEILGPGVVGGLFLPILGALPDAMLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLLENSTTTDCQDTKGFSLFGSGVSTDVQTSYAARIMAISIFPFIIVQIPKIFKLHSGHRLTVLLGLIVAALLVLAYCLYQVFQPWIQRRRLEYARLKHVMSGLLRHAQMHVFGRLLDEDGTPNVPVIEKLFHKIDLDNDGKLERGELQAFIIGIKFEDVDLDSSLAVDQIMADFDRSQNHTIEKREFVDGILRWLEEAKHSVATSGAYSKKFLQDFHTRTREEHNLLLDKDDEDGEAIENPTWTCFKAISLLLLGTAMAAAFADPLVDAVHNFSNATSIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTLSLTFSEVYGAVTMNNTLCLAVFLALVYARDLTWDFSAEVLIIFLVCTIMGLFTSFRTNFPLWTCFVAYLLYPLSLIIVYVLDFKFGWS >PAN04577 pep chromosome:PHallii_v3.1:1:5241125:5246046:-1 gene:PAHAL_1G076700 transcript:PAN04577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDAAATRRRSAITDYRKKLLNCRELESRVGTVRESLKNAKKDFAKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >PAN05768 pep chromosome:PHallii_v3.1:1:29725763:29728224:1 gene:PAHAL_1G195000 transcript:PAN05768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEENRRALRELLFCAPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLNIGPNQPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIERCAYVTEMVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVGPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQASTLKAWAGKEENVEKARAAFLTRCKANSEATLGTYKGDAAAGEGVSESLHVKDYKY >PVH65785 pep chromosome:PHallii_v3.1:1:5218441:5219301:1 gene:PAHAL_1G076300 transcript:PVH65785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPGLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLATRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTQRAEQAELAVRLQQIRAAHAEARAATAVSSEAVAQESLRQARDRRMREWTQSGTPVPAIGEDHVLLGTPVIGWGTLFGNTPAPSENPESSAAAVEGDAAAQPLTDGNSEDGERRLLTLPTPVEGAPRE >PVH66404 pep chromosome:PHallii_v3.1:1:43170894:43171186:-1 gene:PAHAL_1G235100 transcript:PVH66404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHIFRTIPWSWPRVSYRMKLHLFSFQISFKFSTCNTIQLESTLFIQKNKSF >PAN04655 pep chromosome:PHallii_v3.1:1:5695424:5698628:-1 gene:PAHAL_1G081600 transcript:PAN04655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSGAAAVAGAETAPHFVLVPMMAAGHAGPMLDMARALARRGALVTFVTTTLNLPRLGRGPGDDAIPIRFLPLRFPCAEAGLPEGCESLDALPGPSLLGRFNDACAMLRAPLVACLRGDAAAGAPASCVVADACHPWTGGAARELGVPRLAFDGFCAFSSFCMRQMNVHRIFDGVDDDRRPVRVPGFPIDVEISRARSPGNFTGPGMKEFGEEIMAESARADGLVVNSFAELEPRFVDAYEAAIGKKVWTIGPLFLNTMPSAAATAEDAAAVRCANWLESKKPRSVVFVSFGSLVRSSVPQLAEIAHGLEASNRPFIWAVKPGNLAEFEWWLSGDGFESRVGEMGLVIRDSAPQRAILSHPATGAFVTHCGWNSVLECVAAGLPMATWPHFAEQFVNEKLVVDVLRVGVPVGVKDATPWGVEAAALVAMREDVARAVAEVMDGGEEGSARRARAAELSRKARDAVARGGSSDRNMALLMEHVERKKTTASEEQ >PAN03510 pep chromosome:PHallii_v3.1:1:31044:31679:1 gene:PAHAL_1G000400 transcript:PAN03510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITGDPEQSPRDCSRSRSSSSGKHRRLCRVLIAASSTVASLASLALILWLTLRPSSPRFSLLAATATLDDDASAATIIVDAALIAHNPNAHATALYGQLRASASYAGVPLGAGAPLPALEQPDQGDAVLSALLTSSTSPSWSWRPAPGRRALLRVRIEGQLRWKVASWVSGRHGLTVDCVAAVVPSSAGQQQQQQVSSSSPSQCATQVL >PAN04585 pep chromosome:PHallii_v3.1:1:5282626:5286587:1 gene:PAHAL_1G077300 transcript:PAN04585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPVPPRQREEERPPPRSARSPASVVADFAMGGAAAVVAKTGAAPVERVKLLLQNQGEMLRRGSLTRPYRGIADAFARVLREEGAAALWRGNQANVIRYFPTQAFNFAFRGYFKSFFGCDREKDGKWKWLAGNVASGSAAGATTSLLLYHLDYARTRLATDAIESRGNKRQFRGLLDVYKRTLTTDGVSGLYRGFSVSIVGITLYRGLHFGIYDTMKPLVLVGPLEGNFFASFALGWAVTTFSGACSYPFDTVRRRMMLTSGQPFKYRNGFHAVKQIVLTEGFFTLFRGVGANILSGMAGAGVLSGYDQLQQFASRHGQNFKRKMEGALK >PAN06243 pep chromosome:PHallii_v3.1:1:45257337:45258114:1 gene:PAHAL_1G249200 transcript:PAN06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQRHLSNKGKLSSADRDLLIFMPRKKLSMLSVGSAALEKCREFWRGLTSGGFAVVRRRHADDYFRGSYEFSCTATPINLPAKTTTNGRGRRRRLPPCVGGKQAQEMLERMAPGRGWSPERSPGPGAGNEIDGLAEEFIRRFYEQLRMQRVDELQVEHETRARRASPGSLCSSDVNGLYT >PAN08655 pep chromosome:PHallii_v3.1:1:57816408:57817965:1 gene:PAHAL_1G427700 transcript:PAN08655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGGSSLGMQPGSKRFRDAILKNLLLGLGKGSAAASRGMGLHERRSAIRRAADAALATARGAAPRWSRSLAAELSQGGRDEHLIRPARSAPSSSECKSPMSKMVCSKRIPRRRLRARPKSRATAKAAGVLARVLARKRARALREIVPGGRGMDECTLLGETLDYAVSLKAQVEAMQLLLRTLQAPKNPSMLKNQ >PVH67125 pep chromosome:PHallii_v3.1:1:58040868:58041768:1 gene:PAHAL_1G431700 transcript:PVH67125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRQSASREPPVARIEAEHASDRTFVSHGTKIFAMQPGEASPAIPAFDTRTLGLSVCPWPFSDRDYGLPFFASAAGKLLVFTDTSRRAVAVLLYASQVTCYALHPDGRTLFVYATSRRSERSGTFSLDAEWLEWTCHGDWLLSFSGQAYFYAELEAWVVLCGERDSAGHLCSCDVAPIAAEFTGPPSWKISKEKLFRRNPELHLGAKLVYMGHSKFCLVEFLFHKDDDHLRSDKPRRRVLRMTTFGLKYSKEGQLRSTLQRARACKMYKRPHNSGGSLKPLAFWL >PVH66814 pep chromosome:PHallii_v3.1:1:52800284:52802865:1 gene:PAHAL_1G355400 transcript:PVH66814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEKAAVAVAAGGGGGGGGEEWRCRKHPAARSGGGVCPCCLRDRLLRLCPNCAHVRPCACAASCASPSSSSSASGDAVGRVHSLIEREHRIARSRSVAAGSSAAFAAAAGAPSAAAPAASGAGRRRARVWGWPPFWKPAAARDSDAGLGEDEEEGLGLPRSSSVSATAVEAKAAAAAARARRGWHFPSPLKAFRHRRSSASVAGRA >PVH67250 pep chromosome:PHallii_v3.1:1:59825058:59828002:1 gene:PAHAL_1G461900 transcript:PVH67250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MDTPTAKAAWNANYGVVSSGDRRLAFSRQLSSNSATPRLARSDSSIAMPMPMPIPIPAPYQGPKPSKKLLRLATASRPMRRLALLLALNVAYSATELAIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRTKPDNLYTYGYKRLEVLAAFTNAVFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRNAEDMNYHSVCLHVLADSIRSAGLILASWFLSLGIENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSAFAKCSRQIIACEDVSEVWQGRFWELVPGQAVGSLSIRVKSGADDRAVLEYAHGLYQDLGIQDLTVQTES >PAN05197 pep chromosome:PHallii_v3.1:1:9553254:9554322:1 gene:PAHAL_1G121100 transcript:PAN05197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIQQGGSSAFAGEGSPAERSGRRSRTSGGDGEEDHGASAAAPSSVVKVKVVMNKGELGWLVARLKAGDGCLEDVLREVARKREGRGAGGDGWRPSLESIVECPAETAEEAAAGADD >PAN07862 pep chromosome:PHallii_v3.1:1:53711638:53718273:1 gene:PAHAL_1G368500 transcript:PAN07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPRTWGILLAVLVAAAVAVPLATAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEAAGITARHPSKVFARARDLLAKPFPYVQSFAESHFLPYDLVPDARGAAAVRGDDGQVYSVEEIVAMVLHYAAGLADAQVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGINVLALINEHAGAALQYGIDKDFSNASRHVIFYDMGAGSTYAALVYYSAYNAKEYGKTVSVNQFQVKDVRWNSKLGGVEMEMRLVNYFTNQFNKQLGNGVDIRQSPKAMAKMKKQVKRTKEILSANTAAPISVESLYNDVDFRSTITREKFEELCEDLWEQALTPVKEVLAHSDMKIDDIYAVELIGGATRVPKLQAKLQEFLGRRELDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYAFMLEIDGPDYVKDESIDQILVPRMKKMPIKMFRSIRHTKDFDVSLNYDKAYELPPGIPSHKFAEYSVSGLTDATEKYSSRNLSAPIKANIHFSLSRSGIVSLDRAEAVIEITEWVEVPKKILTLESNNTNQNSTSEAGAATSTPDSKDNLNSDSDANSSAPIDESNAQETITEKVLKKRTFRVPLKVVDKTTGAGTILSKELYSEAKNRLEALDKKDAERRKTAELKNNLESYIYSMKEKLEESTDILTVSTEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARVYLSELQKIVKNWETNKPWLPKKRVDEVVSEAEKVKSWLEEKETLQKSTPAHSQPAFTSEEVVDKILDLQDKVASINRIPKPKPKIEKKPAKEEEPANKEKTASSESASSETESTGTSQESKATEGDQSASPVTSDSEPQSHDEL >PAN08530 pep chromosome:PHallii_v3.1:1:57022514:57023143:-1 gene:PAHAL_1G418400 transcript:PAN08530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCHGALLLAVAMVLLSTGICTARAPAPGLDEFQLEYSAAPAPGPGFDELPPEYSCLKILYSGGCDAKTCDDKCLSQLKGNGQCIDKACRCSYLCKPPPLDI >PVH66336 pep chromosome:PHallii_v3.1:1:35411111:35412300:1 gene:PAHAL_1G211400 transcript:PVH66336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYARLAAARLRPGAPRLAALLPVVVLLYTIPFAFSTTTFRGTSGFFLTWLGSFKLLLLAAGSGPLEPSLRLHQFVCSASLPVKLRQSTGKQKSKAPVRGPARILLCGAVIPAIIYAYQFKNSMNRYQVLALYTLHIYFSLDLLLATVHIVIHDLLGMEMEPQVDHPYLASSLRDFWGRRWNLMVPSILRPSVFRPVRARLGTAAGVLATFLVSGLMHELMFYYIMWTPPSGEVTAFFVLHGACAAAEGWWASHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMVEGGLDEMVLQECQGMVVLMEQAGRRLAGATDLVSSTM >PAN03676 pep chromosome:PHallii_v3.1:1:1215203:1216794:1 gene:PAHAL_1G014700 transcript:PAN03676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVMKMRSARRKTTTLILLLTVILIASREEANMMVAAETGDPCVENKDASPETKCFVSCFKPGKCNECCKNLGFVRGKCSNLACYCCTTPEEKPTIT >PAN04283 pep chromosome:PHallii_v3.1:1:3729607:3735594:1 gene:PAHAL_1G055200 transcript:PAN04283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGDRVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDALWEFQPNKLGVGDVITGGDLYATVFENTLMQHHVALPPGAMGKVSYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTLDDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYDVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYENFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAAGTDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEPALVAKFQKLHDDLTAGFRNLEDEAR >PAN05122 pep chromosome:PHallii_v3.1:1:9068842:9069489:-1 gene:PAHAL_1G116500 transcript:PAN05122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHAALAALAVACAVMAAASAQLCGQHAGGMLCPHNLCCSRSGLCGLGADYCGAGCQSGACCPSLRCGAQAACPGNLCCSARGVCGLGLEYCGAGSGGAANATCGGVAGGRACPGNLCCGPYGRCGLGVEFCGDGCQSGACCEQRCGWQAGGDGCTNGYCCGRLGYCGIGGEYCGAGCQSGPCVDAGGGDAARAVGDLLNRTASAAGTKASLPQ >PVH66208 pep chromosome:PHallii_v3.1:1:25263663:25264537:1 gene:PAHAL_1G178500 transcript:PVH66208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSMNTNLASPSPRMTAAFSCCRRALPRPAAARSGGAVPAAGPPDRPCRGAAAPGWLRARGSAAAGSGGAGRMLAPHGRLRREAAGSCLGAGRGGKRPEAGEQRCRAGRLAVGQEGDEGKLM >PAN07310 pep chromosome:PHallii_v3.1:1:50873392:50876645:-1 gene:PAHAL_1G325700 transcript:PAN07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPKKAEAPEAAPAAAEEKAVVPGPEPPADDSTALVVVDKVADKPSAEKNTPRNSNERDIALAKVEAEKRNTLIKAWEENEKAKAENKAAKKVSTILSWENTKKAVVEAQLKKKEEELEKKKAEYAEKMKNKKAVIHRRAEEKRAMVMAQRGEEVLKAEEMAAKYRATGIAPKKFLGCFGA >PAN07960 pep chromosome:PHallii_v3.1:1:54175963:54181684:1 gene:PAHAL_1G376200 transcript:PAN07960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRYMAYSPSPSTTPHSPRIGGLRSPSAAVAEQEKYLAELLAERHKLGPFIPVIPHSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSSGLIVKKTMKVEIPVDKYPTYNFVGRILGPRGNSLKRVEANTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >PAN09137 pep chromosome:PHallii_v3.1:1:59800173:59801352:-1 gene:PAHAL_1G461400 transcript:PAN09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLRLRGGGGLRIHAKEKLPLGYNSLIQAHGEIDASTAGAAAPSYLALFVRQFYPQLSANAGVGVHLHKGDDLTYNLRAKKALPFTSNGLLGLNLKGRLLTDREFKPKKRTGAVELAWTILDLRKGQDVRLKLGYEFYDKVPYLQLRENNWTLNAYMDGKWDVRFDM >PAN07135 pep chromosome:PHallii_v3.1:1:50091075:50092042:1 gene:PAHAL_1G313200 transcript:PAN07135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENADWNEENTRLLCELFAEQVRAHNRSGTHLNRTGYKNVMEKFKEKTELDYSKLQFKNKWDKMRKEYGNWKRLSRETGLGWDPVKKTYTAPDSWWKKENKVYKGIAKFKNGPLQHEDLKTIMFEDIRNTGDDHWSPSSGAAPNTQDTEPDDDKDEDYEANEASDDCHEISPEPSKGKRPAPTSRKDKGKKPKTSGGHWVQDQLIKLVSMSERSTASCESLARREDTSGCSIKDVMVLVRECGAVPGSKEHFIASQVFIKRAEREMFMTLETPEERFQWLTMKHNWLTRNDSTM >PAN07365 pep chromosome:PHallii_v3.1:1:51148023:51157336:-1 gene:PAHAL_1G329700 transcript:PAN07365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61670) UniProtKB/Swiss-Prot;Acc:Q9FKF4] MLCSGRMLACSGLGPGRLRPPRARAERLRPLPPARRWRVAASAAASGGNPDLPSSSSSSSSPTPPFGVGEDQAALSPGFCIIEGPETVQDFAKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNAELGISVEEPDRELPDFPSFIPFLPPLSAANLKVYYATCFTLIAGIMVFGGFLAPILELKLGIGGTSYEDFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSTFSDGDQPLSAPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFI >PAN04231 pep chromosome:PHallii_v3.1:1:3440638:3441457:-1 gene:PAHAL_1G050400 transcript:PAN04231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSYGGGRVCVMTTLLVLLFGRLSPCIQGRSTLLNNGGDDETNKSYPRNSHLTSQPPCPSSSTANSTSPVDESKIKLVMCVYKGLNCVESEPCFCCALEQPAPRCYCTHSECEAKCPFCYPPPCSPGAAVEEGRAVREQGAAAIMLPCSDGNRTTGGCLNCV >PVH66139 pep chromosome:PHallii_v3.1:1:19057583:19058920:1 gene:PAHAL_1G158300 transcript:PVH66139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMMEGYDRSTRCQLDFTLGINMARHTSRQHARKQEADSRNRPQKEERDYITEEQVRHVRNQRPVSSHLLRKYQYQYQQRLQRETEEEEYERRTGKRLRKREDTRDHWHRPFFRYCWDSSMKRLPTLEDCPECKSQKQDARSASKRRVQWLRNLEEAKAQYLETLRKARPDLAEKVHHPQKAESSSKKVWRPNKLKADVKTSADAHMVFVLPAEFHAPGHEEVPVAQLDLGPRPVIFEKPRERNCKHLKALYLKGYINGHPVSRMLVNTGAAVNIMPYSVLRRLGHSVADLIKTNITLSDFNGQTSEAHGVLSVDLMVGGKIVPTSFFVVNSNGSYTVLLGRDWIHANCCIPSMMHQCLIQWDGDEVEVVHADDSVDVSHATMSVWDVED >PAN06995 pep chromosome:PHallii_v3.1:1:49342599:49342853:-1 gene:PAHAL_1G303700 transcript:PAN06995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGLQSSLIVSFELYFYLSFCTLCFPSWCLIVLFSYFFASLHLSDCDGLVDEYTILKIVIIPVSSFLVKSETDCSALSSFCST >PAN08964 pep chromosome:PHallii_v3.1:1:59070070:59072501:1 gene:PAHAL_1G448400 transcript:PAN08964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACINTFQSCSIARGAKFNTRARGSAGKGSPTFQCRASTFVDASLRLELDENPEAIISGEWPENFSLLSYDDLRAYLQSQETVQEGDQHVALLSETMSTPVLLATAEQTLEEVECHFEAVSGLPVVDNSLRCVGVVVKNDRAKASHGPKTKIEEVMTSPAITLSSDKTVMDAAVLMLKKKIHRLPIVNQDKQVIGIVTRADVLRELEGLLKI >PAN08578 pep chromosome:PHallii_v3.1:1:57375079:57378862:-1 gene:PAHAL_1G421900 transcript:PAN08578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHHKPGLRVRLRITAARRRAWLSAGLRSTCRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSSSFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWTRTKIIEIVAAKDVIFALAQSGLCAAFNRTTNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTNCHVPLTILSIEDGTPLKTFSQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFEDHELWHPNCNTNNIYITADQDLIISYCKVSKQATDCADSEAGEVSSMGSINMSNIFTGKCVAKISPSDPTLTIAPRKRGDKSRSTIHSTVSEALEDITALFYDEDRNEIYTGNSKGLVHVWSN >PAN08047 pep chromosome:PHallii_v3.1:1:54624088:54627440:1 gene:PAHAL_1G383100 transcript:PAN08047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLMDHPGLVPVTTAQGEELRRQIGAMYYIECSSKTQQNVKAVFDAAIKVVIQPPTKLREKKKKKSRKGCSMLDIFCGRKMLCFKS >PAN07675 pep chromosome:PHallii_v3.1:1:52714666:52720368:1 gene:PAHAL_1G354400 transcript:PAN07675 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLL1 [Source:Projected from Arabidopsis thaliana (AT2G35350) UniProtKB/TrEMBL;Acc:A0A178VV40] MGSGASRLLTACACARPAPAAADDGPCLDDALGHSFCYAANSAAAAAGHSSSFRHAISGAALSANSSVPVPIYHSSSAGGGMLPQYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRGGQLSGPLDQAVPFSGPLPAKPTKPAPSSSSRGFSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRYLLRELRGIFYEEADPDSKRLWQFLADGDDEDSELDFSGSGRFALSLARLKERRQPIWAHAAAAGDGQSGREWEVKRLTAAPAVRDHSAVLTALTRALAATESAYLDMTNQSMGTHPELAVTGACLLVALLRDDDVYVMNLGDSRAIVAQRRDDDDDCVLGTMRVEDIGVGLETEARIHGYSAIGLEALQLSTDHSTSIEEEVQRVKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNEYIGDTPYISCTPSLCHHKLSTRDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPSQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTIMVISLEGRIWKSSGTYV >PVH66949 pep chromosome:PHallii_v3.1:1:55293938:55295638:-1 gene:PAHAL_1G392400 transcript:PVH66949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYEYGVRVFLLTFCYVTVSGYNTGEFTATAISRFVLIAIGAAVSLTINIGIHPIWAGEDLHKLVAKTFSGVAKSLEGCVDGYLSCMEYERIPSKILTYQASDDPLYSGYRAAVEASVQEEALLGFAIWEPPHGPYKMMKYPWKNYTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFCAELHRVGEEGAKVLRELGQRVKTMTKLSSPNILSEVHLAAEELQKKIDEKSYLLVNTERWEVIPRHDGTSQIQDSSSAADNENKDEPPEHTTVNIGSVHKSTSFASNPLLSRDDSSNPFLSRAHSSNPFLRRDDSSNPFLARYDSGSLKPQSSWPARQSFHSNLPFEGVESRTYESASALSLATFASLLIEFVARLQNLVGAFEELSNKANFKDPVEEPAAISREYCGFLLRIRKFLRLQG >PVH66818 pep chromosome:PHallii_v3.1:1:52927319:52928372:-1 gene:PAHAL_1G357300 transcript:PVH66818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGKEKDEGDGSTRERTILWDEDQTKYMLGWFIDYIKEQHAGFKIKKQHHFKCSEALNRQFNMGVTATQVERHFRHYKENWKFIRTALSKSGNTFDSSRSMVIISESEKANLQIRARRLLCKPIKFFNEMQQLFLNSSADGSLAMDANTCLDETQADEDNDYDDDICNELSSYAPPEDNLGDDSDTLPSPISGQPSMASQVAEQSSSSSGVKRLRSEGKAQKRDVRPKSRMSRVGDAITTALVELQNKIKKPPPPPPSMRNSDDILWQRLENMTLTTDQKLMVGTFLASKEQKGMRSFLSGSSEVTFQSWVFKFLSDSGM >PAN08653 pep chromosome:PHallii_v3.1:1:57800265:57802891:-1 gene:PAHAL_1G427500 transcript:PAN08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGNSSWLMPPPSSSPPQPPASALDNVEAKISPSILFIVAILAIVFFVCGLLHLLVRHLLRLRRRRRAREDAESVTAFQGQLQQLFHLHDAGIDQAFIDALPVFLYRNVVGAAGQGEGKDPFDCAVCLCEFSPDDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCSPVVMVLESESSRDMAASATRATVDADGEPSGLALPQEGAEEVVEVKLGKFMCVDGNGNANATANDGDGAGTSSDGNGDANAKAGIGQRRCHSMGSYEYVMDERASLRVAIKPPKKKPAVSKSRRRGAMSECEFSASKRGESSLRLPFTAMVQKQQQQQQSDTAMAKLTKDSFSVSKIWMVPSSKKDPEAAGERRAVSFRWPVSKDQEEGKSKKSGSEADWDVEAGSCGGNSVVSSVAEERPSFARRTLLWVVGGRQQSRVGAVHDQDT >PAN07543 pep chromosome:PHallii_v3.1:1:52014533:52018648:1 gene:PAHAL_1G344100 transcript:PAN07543 gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] MEAQTGAAPGGGDDLATMREQCRTIEESISARREAQLDLIGSLQHLVPDLVPSLDQSLRLIAAFNGRPFVPTLYPNASAHAQGHNLKPHHRRALPDPARSTRRKTSPGSSPASAAAGGSGAAGGIDAVRTMVAVCLLELVPFSEIDAAALARRLQAETSSASEAERAALADLATELGGSAPAAVALALRRIAEDSGALQIEEAFIGGKQMTMVWAIDRSKLLKELPESASVPQVQPPPTPQAAPTETDANSAIIPRPPPLQQPEMWGPPMQPMFPRPRGMAMPRMPPGMMPLQRPFMAPGAVMPMGGGPGPSPTQLKQRTEEEELKDLEVLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDVPPMMAGALAPPRQIKPQRAEYCSEIELGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDVEVQPPSPPRTSTPTDVEQSSSQKAAAPDGGERPA >PAN04616 pep chromosome:PHallii_v3.1:1:5484649:5488453:-1 gene:PAHAL_1G079500 transcript:PAN04616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAARRLSAAASSSSTSTRASKLAAALNPQRWMHDRNKKAMELIAKGWSALQEVDRVIDYADHNDKRLIPLLRGAKENFELALEIDNDNTHARYWLGKMHFKYHVPGACKAIGAALLVEAANMGDPDAQYELGCRLRIENDYVQSDQQAFNYIEQAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHAGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKVRKRTIQQDPIKLAKEQFQIAAEAGCDLGLRWLKRLEDYENQEEKLKQIQQ >PAN05801 pep chromosome:PHallii_v3.1:1:36096368:36097931:-1 gene:PAHAL_1G214500 transcript:PAN05801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVAASIHGHHLPPPFNTRDFHHHLQQQQQHHHHQLQLKTEDDQGGGTPGVFGGRGTKRDHEDDENSGNGNGSGGGGGGGGGGDELALVPPSGGGPEGGEATPRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITAFARRRQRGVCVLSGAGTVTNITLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEEDDMLAAQAQADSAGILVGAQQAAQLAGSVDPSLFQGLPPNLLGNVQMPPDAAYGWNPGAAGGRPAPF >PAN06133 pep chromosome:PHallii_v3.1:1:44175426:44177214:-1 gene:PAHAL_1G240400 transcript:PAN06133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFVGSQEQFAQPQTCIRARMLLKPPTRPKLYSGFMPEEDHDSYSDLIPGLPEDLAKICLALVPRSHFPVMGAVSKRWMSFLESKDLIAVRKEVGKLEEWVYVLTPEAGTKRSHWEILECSGQTQSPLPRMPGLTKAGFGVVVIGGKLVVIAGYAADHGKEYVSDEVYQYDSCLNRWTLLAKMNVARCDFACAEVNGVIYVAGGFGPNGDSLSSVEVYDPEQNRWTLIESLRRPRWGCFGCSIEGKLYVMGGRSSFTIGNSRFVDVYNPINHAWGEFKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKIPVPLTGSSSTRFSLGIHDDKLLLFPLEEEPGYQTLMYDPTAPTGSEWCTSELKPSGSCLCSVTIKA >PAN08372 pep chromosome:PHallii_v3.1:1:56411310:56413840:1 gene:PAHAL_1G408500 transcript:PAN08372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSAPPDAYNKFNTGAPPTAPPPAAYQQHGAVNMNPSRPGAALRTWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCSCIASGLIYGLICASTGMGCLYSCLYRSRLRAEYDLEEGECPDFLVHCCCEHLALCQEYRELKNRGFDLGIGWDANMDRQRRGVASGTVMGAPATPLGMIR >PVH66281 pep chromosome:PHallii_v3.1:1:29126850:29127584:1 gene:PAHAL_1G193900 transcript:PVH66281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSFERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYLDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTCIARMRRLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEKESP >PAN07137 pep chromosome:PHallii_v3.1:1:50107762:50108834:-1 gene:PAHAL_1G313400 transcript:PAN07137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRFCLCFDQQIFSSILLLVSRQRKTLPTLCMYIPLSLLFFFLRGRQDEHVYGFEFTRTKTNIILLVM >PVH66283 pep chromosome:PHallii_v3.1:1:29266155:29268425:1 gene:PAHAL_1G194400 transcript:PVH66283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGYAVVQPTYVWSNTSHFRLPVPVGGDEITTLGEALGTRIQWSKHRILIPPRTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQQEKQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PAN08239 pep chromosome:PHallii_v3.1:1:55624301:55626629:1 gene:PAHAL_1G397300 transcript:PAN08239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQKHLLPLHRSTSPIHLSLQRALLSIAAAASSSPSHFAAEDYLVTTCGLTREEAAKATKCFSHWKSPANADAVLAFLTGPALGLSKADIALLVTKDPRILNSSVDNNLRARLDGFRSHGFSTAQIRSFVRASPCAFRTFNIDAKLGFWISFFGSPDKFLRITRRNFYLVYSDLDKVVKTNIRLLQERGLSVQDINSMCVTNPRLLTCYPDVTRAVLMRADELGVPRNSFMFRQAVKTAAGLGPETMASKLKMMSKTFGCSDAEVARMVQMNPLVLTSSMERLQRVYEFLTKVVGVDAKYIQGRPTILMYSLERRLAPRNYVMKMLQEKGLIRKDLSFYSMVTIGEKMFYSRGLQGTT >PVH66974 pep chromosome:PHallii_v3.1:1:55624301:55625989:1 gene:PAHAL_1G397300 transcript:PVH66974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQKHLLPLHRSTSPIHLSLQRALLSIAAAASSSPSHFAAEDYLVTTCGLTREEAAKATKCFSHWKSPANADAVLAFLTGPALGLSKADIALLVTKDPRILNSSVDNNLRARLDGFRSHGFSTAQIRSFVRASPCAFRTFNIDAKLGFWISFFGSPDKFLRITRRNFYLVYSDLDKVVKTNIRLLQERGLSVQDINSMCVTNPRLLTCYPDVTRAVLMRADELGVPRNSFMFRQAVKTAAGLGPETMASKLKMMSKTFGCSDAEVARMVQMNPLVLTSSMERLQRVYEFLTKVVGVDAKYIQGRPTILMYSLERRLAPRNYVMKMLQEKGLIRKDLSFYSMVTIGEKMFYSRYIHPYKDVLPGLASAYASACKGRIPT >PVH66975 pep chromosome:PHallii_v3.1:1:55624301:55633945:1 gene:PAHAL_1G397300 transcript:PVH66975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQKHLLPLHRSTSPIHLSLQRALLSIAAAASSSPSHFAAEDYLVTTCGLTREEAAKATKCFSHWKSPANADAVLAFLTGPALGLSKADIALLVTKDPRILNSSVDNNLRARLDGFRSHGFSTAQIRSFVRASPCAFRTFNIDAKLGFWISFFGSPDKFLRITRRNFYLVYSDLDKVVKTNIRLLQERGLSVQDINSMCVTNPRLLTCYPDVTRAVLMRADELGVPRNSFMFRQAVKTAAGLGPETMASKLKMMSKTFGCSDAEVARMVQMNPLVLTSSMERLQRVYEFLTKVVGVDAKYIQGRPTILMYSLERRLAPRNYVMKMLQEKGLIRKDLSFYSMVTIGEKMFYSRCIVMRTSLLWIYKRFLRWTFKPFKVQSQELARDN >PAN04063 pep chromosome:PHallii_v3.1:1:2679908:2680854:1 gene:PAHAL_1G038800 transcript:PAN04063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSGQRAAAIARGCGQRGAGRGSPWAAARGAAGGDQGGAATAWAAARGAATGRAAARGAAGGCGRRPGAPPRHGLRLGAPWAADRGAAGLGHGVGCGQRRRGARPWRGLRPEGPLPGAAAAGRGAACRQGLCHGRGRPALCAAVQYLHGFAPNCFTNFVAFTFF >PAN08371 pep chromosome:PHallii_v3.1:1:56409075:56409896:-1 gene:PAHAL_1G408400 transcript:PAN08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMRYYRGLPHGETTVEEFRAWLSQFDADGDGRISREELEEALRSLNLWFAWWKAREAMRAVDANRNGAVDGDEMGRLYAFAHKHLHLKMSQLEE >PVH66035 pep chromosome:PHallii_v3.1:1:11072525:11076090:-1 gene:PAHAL_1G130700 transcript:PVH66035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPCKKSQGSLTGRRRNRLHANPARGKTKPARPPARRRGKEWREVRLQREQARMAGSGVLDGCACSARRRRSGAQVGGKWSDGERPLDPTIRVGGGCLVANFSTSSFSALDSPTDAPTPTFSPSLRFDLPTASSPVKISPLAAGRAPLLLAGGHPGGAPPYPPRRHSRLSLPTRGEGGAGGHSFPPLANGQAGTHSHPLERPQEGLSPPKRRSLRPSLPRRPSLPIHGQSFDKSRKGMVHLF >PAN08627 pep chromosome:PHallii_v3.1:1:57675181:57678855:1 gene:PAHAL_1G425700 transcript:PAN08627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYSDGKDGETGGSYPLVAVCIDKDKNSQNALKYATETLAHKGQTIVLVHVNTKGTSGGVEDAAGYKQPTDPQMKDLFLPFRCFCTRKDIQCKDVVLDDHDVAKSIVEFAAHAAIEKLVVGATARGGFVRFKAEISSTISKTAPDFCTVYVVTKGGKVNSVRQAIRQAPAVSPLRTMIQGPKPDQVHAPAAAAAQKWTTPPPSRGDVAATPMMQDNPIMSPFARSTGVGAGSARKPFPEFSLPESSDISFIGSAPRRSTERYPPCPPRLSSGSEGLDQHSFEARTPSRWGDSFGNDSTAHSQTSTSSWCSQPTDDMEAEMKRLRLELKQTMDMYSTACKEALSAKQKAMELQRWKVEEEQRSHETRLTEESAMALIEQEKAKARAAIEAAEAAQRLADLEAQKRIGAEMKALKEAEERLRSMGGGARESVRYRKYTIREIELGTDHFNDARKVGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTARPPMGLTHHVGRALERGTFADLLDPAVHDWPMEEAHRFAEVSLRCCELRRKDRPDLATIVLPELNRLRALGEDNMEYCDPMSGRGGMHSSSAFHSGPYSNPRHDGVSDPSLGRPHQQHSSNGSQAAMPTRRLNYN >PAN07692 pep chromosome:PHallii_v3.1:1:52808567:52809445:-1 gene:PAHAL_1G355600 transcript:PAN07692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEESLIESGGNKTVENAHFVQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCVSAFLLKALGLGWSCIFYIESIARVKKLSLSGLLLYKLRIADQFSVQWPQLQQKYPRACYAGRLM >PAN07691 pep chromosome:PHallii_v3.1:1:52808191:52811112:-1 gene:PAHAL_1G355600 transcript:PAN07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGVDVLPAACYALPVLVSLLTIRFFYVLWRNGQPSSRPRAAGLRCLIVLGSGGHTAEMMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEESLIESGGNKTVENAHFVQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCVSAFLLKALGLGWSCIFYIESIARVKKLSLSGLLLYKLRIADQFSVQWPQLQQKYPRACYAGRLM >PAN04457 pep chromosome:PHallii_v3.1:1:4670498:4674173:-1 gene:PAHAL_1G068400 transcript:PAN04457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGNGSSSDVPFASHKAMQDETTPLLPIKAEEDAVHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIVLVALLTEASIDMLVRCSHQGKITSYGWLMGDTFGQWGRIALQVSVVINNIGVLIVYMIIIGDVLSGTSTTGVHHSGIFEGWFGPHVWNSRPVVLLATTLLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGVAIVRLVEGTVEIPKLFPEIDGISSVWKLFTAVPVLVTAYICHYNVHSIDNELEDKTQIKPIVQTSLGLCSSVYIATSFFAYLLFGEATLADVLANFDSDLRIPFSSVFNDIVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISCDNRRFTIITVSLLAVIYVAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGVSTNRDKILAVTMIVLAVVSNSVALYSDTLNIFYRKQEA >PAN06537 pep chromosome:PHallii_v3.1:1:47255596:47258859:-1 gene:PAHAL_1G270500 transcript:PAN06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVHGIVIVGGGICGLATALALHRKGIPSLVLEKSETLRTAGGSIGVHVNGWRVLEQLGIAPELRETADIVTEFHDVWTQEQGDKRVVVPVRGELRWLKRKDLIETMAKNIPSGAIRFGCHIAEIHPANPENHGAVLTTVEGSIIRAKALIGCDGSNSVVAKYLGLSPPRSTSRMLLRGVTRYPHGHPFGPHFLRLRCKGLFVGRSPMTDNLVSFFVAYWHPGADSTKDARAMKAFVLEKLKDQCSDEIIEMVRDPDPESLIVLTRIWYRPPWQVMFSSFRRGTATVAGDAMHVMGSYIGQGGSAALEDALVLARSLSRAAAGGRELREEEIGAAMGAYVRERRLRVVRLSLESFAMGTLLATKSLLTKLACFAIVTLLGTNSLGHTEYDCGRL >PVH65487 pep chromosome:PHallii_v3.1:1:605430:605962:-1 gene:PAHAL_1G006600 transcript:PVH65487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNFVYRWICNRGFPRLETGPNTSHYCPCMMRPSPLDIANRKRRTQPNQLLDCYSFCSFSPRVPCLRSPLPIRDLSKLGW >PAN07684 pep chromosome:PHallii_v3.1:1:52784594:52784959:1 gene:PAHAL_1G355100 transcript:PAN07684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDASCRCAWSGGALAPRPGQPCGCAQSGIGGRGLRVCTRPTRGCGRAARRGSRGAGRRSSGRSDESRGAGRGGSVRLAGAEREATGEKAVAAEGVLWKMIIRYRGVSVNIRIAINNCDPI >PAN04997 pep chromosome:PHallii_v3.1:1:8069850:8075178:1 gene:PAHAL_1G108000 transcript:PAN04997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMKGGSDDTTRGGGGGVSDKRRCSWAPMFPPAPPELRLEAGKRGWRRWPSSSWCAAKRALLLAVCVAAAVVVLLAGAGSLDGLPDSLFFHDAQVNLTADHLLDGLLTAEFSYRSCRSRYEFASYHKRSSHKPSPYLLSKLRKQEALQKRCGPGTAAHKAALRRLESGEGAATGRVEDGDCRYLVSIGFRGLGNRMLAVASAFLYAVLTERVLLVHWGKGAAGLFCEPFPGATWLLPRAGKRSPLGNLDRYDGESKESLGNILQSGAVVGASADGNVSWASPRPPPYVYLHLAGGYGFHDKLFYCGEHQRLLRGVPWLLMRTDSYLVPGLFLTPPFRGELEAMFPEKDATFHHLGRYLFHPSNAVWRAVTSYHRPNLAGASRRVVGIHIRVFQKKQPPRQVLDQLLSCVRGERLLPDQVAAATNDAVLVTSLSPWYHERIRAEYGGRVAGGVHQPSHEGEQRWGDAAHDAKALAEMYLLSTCDVLVTSGFSTFGYVAQGLAGRRPWVMPRPSPWEEWAAGQAPAEPPCLRAPSVEPCFHSPSYYDCAARRDVELDKVAPYIRRCVDVSWGIQLVNESSTRW >PAN04644 pep chromosome:PHallii_v3.1:1:5597959:5601021:-1 gene:PAHAL_1G080900 transcript:PAN04644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPAPPTNAAAVAPEPSPSRRLPDFQQSVRLKYVKLGYHYLISHGMYLLLTPLMVLVAVHLSTLSPRDVADLWAHLRLNLVSVLACSTLLVFLATAYFLTRPRPVYLVDFACYKPGPERRCTRDTFMRCSRLTGSFTDASLEFQRKILERSGLGEDTYLPPAVTRVPPNPSMDAARAEAREVMFGAVDELFAKTGVRPKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLLSVDLAKDLLQTHPGSYALVISTENITLNWYSGNDRSKLVSNCLFRMGGAAVLLSNRRADRQRAKYELLHTVRTHKGADDRCFGCVTQEEDGEGRVGVSLSRDLMAVAGDALKTNITTLGPLVLPLSEQLLFMASLAAKKLLKVKGVKPYIPDFKLAFEHFCIHAGGRAVLDELESNLSLTDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRRRDRVWQIAFGSGFKCNSAVWRALRAVNPAEETNPWMDEIDRFPVDVPKVSKVSSA >PAN07141 pep chromosome:PHallii_v3.1:1:50120245:50124825:-1 gene:PAHAL_1G313600 transcript:PAN07141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECETGLVRSLHGDGLCVPAPAAAARADPLNWGKAAEDLSGSHLGEVQRMVAEFREPLVRIQGASLSIAQVAAVAAGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVDAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAIMAEVISAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMTSTFLIALCQAIDLRHLEENMKAAVKNCVTQVAKKTLSMNAMGGLHIARFCEKDLQTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVVETSIFAKVAEFEQQVRAALPKEVEAARAAVESGNPMVPNRIKECRSYPLYRFVREELGTEYLTGEKTRSPGEELNKVLVAINQRKHIDPLLECLKEWNGEPLPLC >PVH65928 pep chromosome:PHallii_v3.1:1:7918777:7919298:-1 gene:PAHAL_1G106300 transcript:PVH65928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLPREGPVHGGARAVAAAAAIPAYEQRGGALPDGAPECPVCLGEVEKGEMVKRLPVCLHVFHQRCIDQWLHGHSTCPVCRCDVFASLPGQVV >PAN08035 pep chromosome:PHallii_v3.1:1:54507120:54508674:-1 gene:PAHAL_1G381600 transcript:PAN08035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPLLKAHSHAAAALQPRRRAADLHGRCPATVHLGRSHDHGFTSSRSKRSGSAKVKAFPSLDVVPLMVTMVEHVELSRDYVVTKSIWHLSDATLKSVYTFYAMFTVWGVCFFASMKDPFYDSDAYRSQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAGREEQLTK >PAN07733 pep chromosome:PHallii_v3.1:1:53032538:53037658:1 gene:PAHAL_1G358500 transcript:PAN07733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAKAAAAAFSAGATVALCSERAHAEGGGTTFRFPGFSHPAPAPAPAPAAPPPHQQHPAPAGGRGEEAVEEAPRVSTQHPRTSAAGFDPAPLERGVEALNKLKQASDPKKLFELLKKQEETRQQEFIAKKAEEQRALAQLEIEKKRIDYEETKKLDQQRAKIKSQMAQYEDELKRKRLQAEHEAQRVRNQELVKMQEESAIRLEQIRRATEEQIQEQRRQTEKEKAEIMRTTIKLKSMADAEARVIETKQTEDVKRRLLLEQIKADREKWIEVINTTFEHIGGGLRTILTDQNKLAVAIGGLTALAAGIYTTREGARVIWGYVDRILGQPSLVRESSRGRYPWSGSFARATSTLTSKLKNGSNLGKNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDVVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERFKILKLYLDKYIVKAGDKHEKSWLRFFRGQPQKIEVKGITDDLIREAAAKTEGFSGREIAKMMASVQAAVYGSKDCKLTPGLFREVVDYKVAEHQQRKRLAGEEPKQNA >PAN04390 pep chromosome:PHallii_v3.1:1:4388306:4391508:1 gene:PAHAL_1G064000 transcript:PAN04390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIVAARRAAPVVLLFLVSASLLAADASAAEANSSFVLAAEKTHRKDPLDGLRYYSGGWNISNEHYWASIGFTAAPVFAAAAVWFVVFGIALFLAGCCFCCCPGGGGDAYYSRACLVVSLVLLLAATAAAAVGCAVLYDGQGRFHGSTAATVDYAVRQSGDTVANLRSFAGFLETAKAAGVGPVTLPANVKGKIDDVVRKVGAAADVLAARTSSNAAKIRAALETVRKVLIVVAAAMLILAFLGLVFSLCGLESIIYVLVFFGWVLVTGTFVLCGTFLLLHNVVGDTCVAMGEWVAHPQARTALDDILPCVDTAAATEALDRSKEVNYQLVAVLNGALSNASNRDFPPQVPPPLNYNQSGPPVPLLCNPYTPDLRDRACAPGEVAPDAAPQAWRGYVCDAAAADAAEVCATPGRVTPSMYAQLAGAANVSYGLYHYGPVLVALADCTFVRETFRSIGDDHCPGLRRYSGQVFRGLLGAAAGVLLAVLLWVVHARELRRRNDAKEILLASSPYRLPVEERAFLKSPARPQYYM >PAN06272 pep chromosome:PHallii_v3.1:1:45582065:45590307:1 gene:PAHAL_1G251400 transcript:PAN06272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEREREEQVAMVRAVLGDGMPEMDIIRALHMAGDDPTKAINILLDFDHKPPPPPLRPTPLPSPSPPPGKPAKTLAESTPPSKAPARPKPTAEKPKAAPAPATTNGNGAGEHWWLVGSAEMAGLSTCKGRRIAPGDAVTFSFPNAAAGKSRPGRSSLASCSSEIMRFSTPNHGEVGRIPNEWARCLLPLLKENKIKVQGTCKSASEALSIMDTVLLSVSVYMNSSMFHDQKQSTPKAARVAPEESTFYPLPALFKLIGLAPYKKAAFTPEDLYSRKRPMETKSSIGAPATKLRSENMRFVSGGNEDDQGEVTVSDSDLDDLIGVSDSSALEERDPPDSLLCDLRPYQKQALHWMLQLEKGSSSQNAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLSRGGILADAMGLGKTIMTIALLLSDSSKGCITTQNTTHISGEASGLGESQDAVKKLASPFSFSRQRTLKAPLIGGGNLIICPMTLISQWKAEIEAHTKPGAVNIYVHYGQNRPKDASFIGQSDIVLTTYGVVSSEFSIDGSTEHGALYSVHWFRVVLDEAHMIKSSKSLISLAAAALTADRRWCLTGTPIQNNLEDLYSLFRFLRVEPWRNWALWNKLVQKPYEEGDERGLKLVQSILKPIMLRRTKNSTDNEGRPILNLPPTNIEVKYCDLSEAEKDFYEALFRRSKVKFDQFVEQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQDFADVNKLAKRFLRGGNGPVNGDSSYLPSRAFIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSATAGLCPVCRKSMSKQDLITAPTDSRFQIDVDKNWVESSKISALLQELEVLRSSGAKSIVFSQWTAFLDLLQIPLSRNNFSFARLDGTLNLQQREKVIKEFSEDRGILVLLMSLKAGGVGINLTAASSAFVMDPWWNPAVEEQAVMRIHRIGQTKTVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFS >PVH66288 pep chromosome:PHallii_v3.1:1:29955597:29957001:-1 gene:PAHAL_1G196100 transcript:PVH66288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGITSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQVGLSESSKSQFWEDFDSMVSTVPISEKLFIGGDLNGHVGATKVGYERVHGGFGYGSRNEGGGCFEFCGGLRPAVSEYPL >PVH66816 pep chromosome:PHallii_v3.1:1:52917210:52919443:-1 gene:PAHAL_1G357000 transcript:PVH66816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTVKVHNVSLSASEQDISEFFSFSGDIVYVELQSCDERSQFAYITFGDNQGAERAMLLTGATIADMAVIITPATDYKLPASVLADLESKNVGGMESAIRKAEDIVGSMLAKGFVLGMDAVEKAKAFDEKHQLTSTATAKVASLDKTMGLSQKFNTSTIVVNEKMKEMDEKYQVAEKTKTALAAAEQTVSTASSAIMSNRYVLTGAAWVTGAYNKVATTATDVGAKAKERMVAEQEGEHRDRELEKTHLPGSYEADEKECKLQEGSGDLESLETTQQESKYQEGERQMSNVPGNTEMVKDQTKNQEGEIAVAHVQENNEIVEKEPNHHETEQCKANIPDSLLMAEQTDQEHKQPSGEFAKTHVPGSPVTIPVTMATIDGNSSKSPKKPESAQGFL >PAN05552 pep chromosome:PHallii_v3.1:1:44024689:44026898:1 gene:PAHAL_1G238600 transcript:PAN05552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRRGRGRVFRCRARRRMSWNPSLRSSSTPSSPAFPSATPSAPQPSPAPGAAAGSPSPPSSSNGTRARTPAPSPASSGATHTPSASSATQPSGRRRSATPTAGSASWSSRGSKPSSLISTDHMEVEDDNILEGLSCPFNDLKRLTLDTSLSLLSSVLSVFCLLRNAPKLEDLYIEVNDVYSERDEVEIDFLNAQWTGDLLSKLIRADVVDTMCTLSEMNFIKLYCPRQDGLKNSMSVLLKTVQNPMKRLQSW >PAN04563 pep chromosome:PHallii_v3.1:1:5190714:5191646:1 gene:PAHAL_1G075500 transcript:PAN04563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRSKSCEPYSKMEEHAGCSSGATSCDDSATAAGRAPSSAAVAQCSTVTVYLAKISGAPRLVTAVWNKNLINQSFTVSIDRPDDDDGAASHKVELKPWPFWSKKGSKALDVGGGDRVDMFWDLRSAKFAACSSPEPAGGYYVALVSNDEVVLLLGDSKKDAYKRTKARPSLEDPVLVCRRESVFGRRSFSARARLDARRSKEHDIVIECSPAAGTIRDPEMWVTVDGFVLLHVKNLQWKFRGNETVLVDQAPVQVIWDVHDWLFAGPGSQAAFVFKPGVPPEIQEDSGGNGIQSEGTDFCFFLQAWRTE >PAN04710 pep chromosome:PHallii_v3.1:1:5950869:5952063:-1 gene:PAHAL_1G085800 transcript:PAN04710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIWCVMAVLPRRRRFLALVQLAVAVWFATASGCLCRLIPPCGHMPPPPPPTLQPPPPPTLTPPPPAGVPPPPPRHRPICFHPYCRPPPICAHCTPGGRVPPPPSPALTPSPPPPPTLLPPPPPAGTPPPPPPRRRPWPFPPWCIGRRCPPPVCTPEGCSGQSPP >PAN07888 pep chromosome:PHallii_v3.1:1:53869110:53874891:-1 gene:PAHAL_1G370500 transcript:PAN07888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYGVPRELSEVQKKRALYQPEVPPCLQGTTVRVEYGDAAIAADPAGAHVISQAFPHTYGQPLAHFLRKTAVVPDAKVISEHPAVRVGIVFCGRQSPGGHNVVWGLYEAIKAHNQSSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTKEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVILIPEGLVESIPELYALLQEIHGLHGRGVSAENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHIIAAGLNGYMATVTNLKSPVNKWRCGAAPISSMMTVKRWSRGPSATQIGKPAVHMASVDLKGKAFELLRQSSSSFLLEDIYRNPGPLQFEGPGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTETLNIMTSSSTGQTQL >PVH66033 pep chromosome:PHallii_v3.1:1:10751973:10758002:-1 gene:PAHAL_1G129600 transcript:PVH66033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPFKVDVTCMHGWTIAVSCFSISHDSTILFLLSFASFILLFPSCSLTLLASLSSLPTWLLLCCLVLLSIRAMVTSCGQISSPVLVLLAFLFLHGALSRAADDTIAKGQPLYGGQSLVSKRGKFELGFFQPDNSSQQWYVGIRYNQISTRDIVWVANRDAPITDLESSQLSISRDGNMVLQDHRNSPIWSTNVTNMASSAVPMVGIILDTGNLVLAEASNTSAVLWQSFDHFSDTWLPGGKLGRNKLTGEVDRLVAWRGYKDPSPSMFSLELDPRGTSQYLLNWNSSKQYWTSGNWSTADHIFTDVPEMTAANPSHGFPYTFGYVDAGNESYFTYHVEDDAVVAHFRMDVAGQVKFLMWVEGAGEWQQFWSQPKAQCDVYALCGPSGVCAENALQSCSCPRGFRRRRPLEWAQGDYTSGCARDAGLRCGSRDVNGGEDRFYAMANVRLPIDAQNVVAASAGDCERACIDSCSCTAYSYNGSCSVWHGDLINLRDTSGIGTATGGGGGTISIRLAASEFSSAGDRTRRLVVGLVVAGFVAAATIIAVVSIVVIRRRSRRAFKASRRVAEGSLVAFTYRDLQLATKNFSEKLGAGAFGSVFKGSLADDAAQPVAVKKLEGRLQGEKQFRAEVSTIGTVQHVNLIRLLGFCSEGTRRLLVYEHMPNGSLDRHLFGATSSHLSWEARYQVALGIARGLDYLHGKCRDCIIHCDIKPENILLDDALAPKVADFGLAKLMGRDSSRVLTTMRGTAGYLAPEWVAGTAVTAKADVFSYGMVLFEVVSGRRNARQRQDGTVDFFPSTAASLLVGGGDLMGAVDGRIRGDADMAEVERACKIACWCVQDDERARPSMGTVVQVLEGLLEISVPPIPTSFKMLANPSKKYVDFFTCLPST >PAN03652 pep chromosome:PHallii_v3.1:1:1132521:1134234:1 gene:PAHAL_1G013100 transcript:PAN03652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MASSGLPSRTFHRRRLPSSAPSHPSGETCVPFCLPGAVSRRRAAVQLLSAGFLTAVSPPPPSLAARRGRIVVPPEDYVTAPDGLKYYDLVEGKGPTAEKGSSVQVHFDCIYRGITAVSSRESKLLAGNRSIAQPYEFIVGSLPGKERKRDFADNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPPDAPFELDIELLEVVSPAEK >PAN04596 pep chromosome:PHallii_v3.1:1:5347154:5356275:1 gene:PAHAL_1G078200 transcript:PAN04596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSAAKLLLLIVAAASSFLSVASHGLRPRQLKRNASCLPHERDALLAFKENITSDPEGILSSWRRGRKDCCRWMGVTCSNQTGHVLELDLSYRNLAGQISPSLLSLEHLEHLDLGGTYLSGHDGRFPEFLCSFKNLRYLDLTHNAYALPSEVPPQLGNLSNLRHLGLASNYLYATDISWLVHLHQLEYLEMDGINLSTIDNWLHVVNMIPSLKFLSLWNCSLPRANQSLTHINLTKLEVLDLSTNYFGHPIASSWFWNITSIQLLLLSSTYLYGPFPDALGRMTSLSILGFRENGNSATMAVDLKNLCELRNLLLDGSLSSANITEFIEKLPQCSSNKLYYLSLNDNNMTGIMPQVMGHLTSLGILSLSNNSISGSISPGLQNFTSLEALFLSSNHLSGQIPLLPRGLEILDVSMNFLSGHFHFRAPNIEVLILSSNKITGPIPEKFCELQYLSDLDLSNNSFVGELPVCSSMPYLRSFLLSNNEFSGKFPSLIQSLSNLTLLDLSWNKFYGTLPRWIGHLAKLRFLDLSHNMLYGSIPVNITHLRQLQLLNLSFNNISGSIPKSLSKLMAMTKTHIPTTYGFDWYTGWANNEFLDILSAVTKHQQHKYGAQSIFYIVDIDLSVNHLTGGIPDEMASLHGLRYLNLSRNCLRGNIPKNIGAMESVESVDFSWNSLSGEIPASLSDLTFLSVLDLSYNNLSGRIPSGRQLETVYDNNPTMYVGNNNLCGPPLQRNCSLGNSDPKHGNLKASREDSESLFFYLELVSGFIVGLWGVLCALLFKKP >PAN07363 pep chromosome:PHallii_v3.1:1:51145066:51147976:-1 gene:PAHAL_1G329600 transcript:PAN07363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALMANYASDSDSDSNGGEPAAVPAGAPEVPEPSALLPPPPLELLQPPNFVDYSAMAQGSRVRSFPHVEGNYALHVYIPVVIPSDAKKQLALAMKRAASLVPDLHAVDADYALSELCKDEHKLEKVLLSREFHVSLGRPVAVQVHQIDSFIAMLRQKFQSQQRYWMEFNKWEHFVNDDCTRSFLSLEVTRTGLPEISKQILVVDEVYRLHGLPEFYKNPRPHISLVWALGDVSGKLKQAIKDIEKYQSSTSSLQKCNVRCKFSRVVCKVGKKLHDICKVAD >PAN07766 pep chromosome:PHallii_v3.1:1:53239392:53239508:1 gene:PAHAL_1G360900 transcript:PAN07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVCRAYLLQCKQFFSKETLDWAPGNTHLSSLVNYT >PAN03587 pep chromosome:PHallii_v3.1:1:633049:638503:1 gene:PAHAL_1G007000 transcript:PAN03587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRRRSRAAGSPPGDAGPPPSPGKARRIRPWAALGSDRRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRVAFGYGHLTWEWKRGLRSYLHPLIFAALYKILALLHLDNPWFMVMAPRLLQSVFAAFGDLYLYKLSKCIFNVQVAQWTLFCQLVNWFMFFCIPRTLSNSLETVLTVAGLYYWFTAIESSKGTSVISKQQAANRQTHPSRKVALLIAALSCAIRPTSAVTWLYVGLLDFIQMKSKCHFVFLEVIPVGVIVLAVTTLLDWWMYGSQVIVPLNFLKFNLFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPFAMCGIVKSLEWRISGLIAWVLGVYSILGHKEFRFVLPVLPLALMFSGYCLAAMSQFKGKNLHGKRCLSGLQLSVILLIITNVPMALYMSLFHQRGTEDVLYYLSKEAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSDNKGTLDESDSFLTSPSEFVGEVFGNLTSFSHIVLFESEERHVLHLLLRNSFLEVRRFFHSHFKVDRDLQSSVVVYSRRDVL >PAN04480 pep chromosome:PHallii_v3.1:1:4818196:4821135:1 gene:PAHAL_1G070600 transcript:PAN04480 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MAAAVAAKAAEEMVAVLDEETLALMGVSNAAAAAPVAVGTECETFKENVRPLKRGRDVSKLNRALKAQVDPAQRAALLEARRRMIEAIYEYQGEDPLQPWLDCIKWVQESFPTGGECSGLVVLYEQCVRTFWHDERYKNDLRFLKVWLEYAGNCADAEVIYRFLEANQIGQSHAIYYMSYASLLESKNKLRKANEIFDLGITRKAKPLEKLEAVYRTFLRRSTKTSEHSEQDDTTNDLPIRSFGTNLKRDENRNQQADNSHLGRPRALQRIDINKPLSVYKDENSLPNQGIDKTRRKENTSWRTLGTQADRNKENNMMPTKWTCHKVPQKLGARGAVQPTRATSIEVFVDEECAQEPARKVPKSPNPSVLKLRQATSKSFKKETELLKENPLRNFPLSSLR >PAN03834 pep chromosome:PHallii_v3.1:1:1683994:1687803:-1 gene:PAHAL_1G023600 transcript:PAN03834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSYSSMAYPPITSKTPFPNKQVSNWIPTTISNGNGTGGMFSVARRNSRIGVQVHAVTGDQGSRNVSDVKFPSDYPELLMQAKEAAESALKDGKQLLEIEFPTAGLQSVPGDGEGGNEMTGSMLLIREFCDRFVPAEKATRTRVFFPEANEVTFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVRPEDETFLVAYPYFNVNEMLVVEELYKDAVVGTNRKLIIFNGELDRIRSGYYPSFFYPKLAVLSKTFLPKLDAVYYIHNFKGVKGGTLFRCYPGPWKVLRKATSGSYICLHQQEEMPSLKEVALDILPSV >PVH65479 pep chromosome:PHallii_v3.1:1:474364:475236:-1 gene:PAHAL_1G004900 transcript:PVH65479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGICHEEPGFPRLLINSLERLGITERPREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILRGQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAIAQESLRQIQDRRMQEWTNGGTPVPAIGETRVLIGTPITGWGGLFRTPQAPPEGTEGTAAATGGGAVEQPQENGILEDDEEELLIPLEVHSAPEDDSPRE >PAN05537 pep chromosome:PHallii_v3.1:1:43635495:43637847:1 gene:PAHAL_1G237200 transcript:PAN05537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLSSVLGEVMARSINFILSKVSTPPAPALEDSLQRALLQAQVIVDEATGRHITNQAMLLQLDMLRDAVHKGSYALDNFRYHYQPHATEDDTDQPVRRSVPLSIANCAKIPRFSRGSARILAQLREALDRLISMVADADVLVLFLTSYPRRYRQPYSMHLMLDNCMFGRQMETEHVISFLLHAQPSHGAEEPEVLPIVGPGRVGKTTVVTHVCKDERVRDRFSEIVLLNDLDFTDGELAALRQRCSMRNGDRGSNSNSRDGKFLVVVEVAGDFNEDAWTRLYSSSKLWMPRGSKIIVTSRSDKVTRVGTARPLTLKFLPREAYWYFFRTLAFGSADPGAHPRLANMAMEVARNMNGAFISAHITARVLRGNLDARFWGKVLALYREFVDKHGSRFGGNPFATLNQDGPALLGRMGRAFADLMIHHHYECSSPVEVPDVMTVHEVIFGSEVVRAQGKFQFVWTSPIPPYYSHIYTCEILGSKPAAAKRKRSVENEVALS >PAN03557 pep chromosome:PHallii_v3.1:1:293388:297304:-1 gene:PAHAL_1G002100 transcript:PAN03557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSRAAAAWARLLYLRPHHIASSTTLPCHNHLASRITPPRRHLAFSASAGGARPQIQSERAVHELLAEVERERQRERQDCRAREGGQEEEAKEEEEEDYLGVKPLIEKLERRKAKETAADEGYWEPTDSDSDEEDERYTPDAIKRRVDEFERKCKRHGELLRSFAEAETLDEAHKWMTKIDKFEERHLKLPLEYRVIGDMMNRLKDSTGKDRFVLLQKLNRAVRIMECKEAFDPSNPANFGLIQHQQVGSPEDLVLNAGFDKEKQMIQGEQIDDDDEDEEFNEAKERDDLLIEKLNAIEKKIEDKLAELDHTFGKKGRVLEEEIKDLVEERNSLSEKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPIRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVQQKFGRTVVESYLL >PAN03953 pep chromosome:PHallii_v3.1:1:2171619:2176158:1 gene:PAHAL_1G031300 transcript:PAN03953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSGHGAAAVAVALVSWLCFASAGVGAIGANWGTQTSHPLPPDTVVRMLKDNGFQKVKLFDAEEGTMNALKKSGLEVMVGIPNDMLLTMANSMKSAEKWVDTNVSSYFNDGVNIRYVAVGNEPFLETYNGSFLQTTYPAIRNIQSALIKAGLGNQVKVTCPLNADVYASPTTKPSDGDFRTDIHDLMIAIVKLLSDNGGAFTVNIYPFISLYIDPNFPVDYAFFEGASSPIVDGSITYTNMFDANHDTLIWALKKNGFGNLPVIVGEIGWPTDGDRNANAQMAQRFNQGFMTHITSGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGTANSGSLVRAKGVKYLEKKWCVLKPSVSLNDPKLADNVGYACSMADCTSLGYKTSCGMLDVRGNISYAFNNYYQKNDQDDVACGFQNLATTTGQDPSTGTCRFGIMIEVDSAFSLRLQRLGSNFLLMLLLVLLQLCLSFS >PAN03952 pep chromosome:PHallii_v3.1:1:2171619:2176158:1 gene:PAHAL_1G031300 transcript:PAN03952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSGHGAAAVAVALVSWLCFASAGVGAIGANWGTQTSHPLPPDTVVRMLKDNGFQKVKLFDAEEGTMNALKKSGLEVMVGIPNDMLLTMANSMKSAEKWVDTNVSSYFNDGVNIRYVAVGNEPFLETYNGSFLQTTYPAIRNIQSALIKAGLGNQVKVTCPLNADVYASPTTKPSDGDFRTDIHDLMIAIVKLLSDNGGAFTVNIYPFISLYIDPNFPVDYAFFEGASSPIVDGSITYTNMFDANHDTLIWALKKNGFGNLPVIVGEIGWPTDGDRNANAQMAQRFNQGFMTHITSGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGTANSGSLVRAKGVKYLEKKWCVLKPSVSLNDPKLADNVGYACSMADCTSLGYKTSCGMLDVRGNISYAFNNYYQKNDQDDVACGFQNLATTTGQDPSTGTCRFGIMIEVDSAFSLRLQRLGSNFLLMLLLVLLQLCLSFS >PAN04761 pep chromosome:PHallii_v3.1:1:6279916:6283741:1 gene:PAHAL_1G089300 transcript:PAN04761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNITACVTLLALICAVPVIASGVWFASAQGDECARLARWPVAILGGLLLLVALAGFVGAYWNRRRLLAFYLFAMAALIVLLIALLVFAFAVTRGSGAYPVLGRAYDDYRLDGFSMWLRGYVSDDPGRWEKIKACLAVSDTCKKLQRQAAFVNAEQFYQSRLAPLQSGCCKPPSVCGYTYVSPTVWTSPARPAADPDCGLWSSNPGQLCYECESCKAGLLEALRDQWHKANIALVVATVCLVFLYLIGCSAYKNAQAAAFFRHYK >PAN05667 pep chromosome:PHallii_v3.1:1:16044459:16045546:-1 gene:PAHAL_1G148700 transcript:PAN05667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAGEARVTSSKKWSVVYPVYINSRKLGRRVAADKACPDPTCGEIADCCSHLKIPCRIESDKSYPRDFLQVGRVRVQLKRDDGFPVNPEIVTRKNLWLTTGLLYNRFCLPCQPWVPSARH >PAN05666 pep chromosome:PHallii_v3.1:1:16044108:16045818:-1 gene:PAHAL_1G148700 transcript:PAN05666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAGEARVTSSKKWSVVYPVYINSRKLGRRVAADKACPDPTCGEIADCCSHLKIPCRIESDKSYPRDFLQVGRVRVQLKRDDGFPVNPEIVTRKNLWLTTGLLYNRFCLPCQPWVPSARH >PAN05166 pep chromosome:PHallii_v3.1:1:9357111:9357661:1 gene:PAHAL_1G119100 transcript:PAN05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRAASLALCILLLTLCSSAAEAGGLTEYPVDASATPYCGRNFIASQGTCDDTKCWGLCHDKYFRNPKVIHVGGACETPTCCLCEVDCE >PAN03798 pep chromosome:PHallii_v3.1:1:1611668:1613138:1 gene:PAHAL_1G022600 transcript:PAN03798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAVVATALLVLAAAAAAVSGLPAINVTAMVFEEGYAPLFGQDNILRSADGRTVSLLLDRSTGSGFISSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNGDVFEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVSRGREERYVLPFDPTTEFHRYSILWTAAAVAFYVDDVPVREVRRSAAMGGDFPSKPMSLYATVWDASTWATSGGRYRVNYRYGPFVASFTDLALLGCRADPIRMVAGGGRQASCAADEEALRASDVAVMTVEKQQAMRRFREQNMVYSYCYDTLRYPAALPECDVVESERRRFKDSGHLRFALRRRGPRRSSRGTAAGRAAAAAAARAAAASRAAADLAAAAKKRAADM >PAN07884 pep chromosome:PHallii_v3.1:1:53863912:53867204:-1 gene:PAHAL_1G370400 transcript:PAN07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGTNGLANGAAAAKGERAEDGTAVFRGTAYSPLRTTVALALWLGAIHFNAFLVLASLFLFPRRVAALVLATQLFFMFVPVSDKSRLGRRIARFISRYVIGYFPVTLHVEDYDAFDPSRAYVFGYEPHSVLPIAVGILGDLVGFMPLPKLKILASSAVFYTPFLRQIWTWLGLAPASRKSFYSYLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIEMGSPVVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFSPIIFWGKLGTPIPFATPMHVVVGRPIEVVKNPQPTPDEINEVHKQFVVAMQELFEKYKARTGYPDLQLRVL >PVH65499 pep chromosome:PHallii_v3.1:1:876883:877812:-1 gene:PAHAL_1G008800 transcript:PVH65499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPEGHLHTNALHWKGFPRLLWESLQSFHYTEPPQYDAVEHLEEGIHRAHVRMTIPQHPFRSQWQPIEISMIGYRIVDTIEAAALEAIYAFCNQHPGEVAGQPIGLFATTDPGESEWNLRKIPESHRLEGSPEEALQGMMRFVNVQYHYHLLLRRELGQVIHVARSHYREADRQNTQVDQLRALVTQKDEIIAARDETIHHREDQINESDHIITQRDTVIEFLQAQIHDLILAVDDAQAQIEELQQPPIPSVAPAVPEAEEEDPEEIEGVSKIDSEHGDPVISPHHSSSGSQSSVGNFDDF >PVH67007 pep chromosome:PHallii_v3.1:1:56382714:56383548:1 gene:PAHAL_1G407900 transcript:PVH67007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGTASDPAWGGRGKEQTARIAARTPRPCGALRFHQPMPIHCAPLLRHCHRPSTLVSSGTERRGPSGLAQGGVPRGQKRRFLLPERPGRVFIDVCSVGACNICCSALILCSLLLFAATGVIFCQL >PAN08192 pep chromosome:PHallii_v3.1:1:55433750:55438447:1 gene:PAHAL_1G394700 transcript:PAN08192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVLAASSLVLLLSFSACRHASGLLSPKGVNYEVQALMMIKNYLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGILSPSIGNLTNLETVLLQNNNINGLIPAEIGKLTKLKTLDLSSNHFSGEIPSSAGHLESLQYLRLNNNTLSGAFPSSSANLSHLIFLDLSYNNLSGPIPGSLTRTFNIVGNPLICAATTEQDCYGSLPMPMSYSLNNTQGTLMPAKAKNHKVAIALGATIGCITLLFLGVGLLFWWRHRRTRQTLFNVDDQHIENVKLGNMKRFQFRELQAATENFSSKNILGKGGFGIVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLAVHRNLLRLYGFCMTTSERLLVYPYMSNGSVALRLKGQPPLDWVTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDCCEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKQLDILVDKGLGSKYDHIELEEMVQVALLCTQFLPGHRPKMSEVVRMLEGDGLAERWEASQHTDSHKFKVPEFTFSHCYSDLTDDSSLLVQAVELSGPR >PVH65688 pep chromosome:PHallii_v3.1:1:3552084:3552639:1 gene:PAHAL_1G052400 transcript:PVH65688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTAATAMLLLLLTFGVEADRCETGSRSYKGACNDHSC >PAN03757 pep chromosome:PHallii_v3.1:1:1465933:1466145:1 gene:PAHAL_1G019800 transcript:PAN03757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKTSWPEEVGWPATAAVTRINGDRPDVAIEVVPAGATVSPGYNAERVRVFFNAGNSLGPVVFTPMVG >PVH65915 pep chromosome:PHallii_v3.1:1:7622376:7622743:-1 gene:PAHAL_1G103100 transcript:PVH65915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIEGRLLGVFVVCSRSGGRSSRSVSGVFEGEGRGIFHADTHPNALNWSASGVGADAEGIHRAF >PAN06394 pep chromosome:PHallii_v3.1:1:46549400:46552892:-1 gene:PAHAL_1G261300 transcript:PAN06394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPKGKAKRPVPPLPRRWAGGRWRPVAVGGGLGLAAAAYVGVDYLRHLSPAWHGRLRPALWAALALATAARAPFYRRWDAELRAAPRFLAALVFMLAALLCEAISVRFINTVLGLQWHRSTAPLPDTGQWILLALNEKLPQTVVDLLRARIISLHHYLMLFIMLGFSALFDCIKGPGLGIGSRYMFTMAVGRLLRTITFLATILPSARPWCAEARYRIPDYPHPWAQKYYAPYASDRMMIWRVMKQDMPYATLQDYPDEYKPDWGLMSFLVDILRPNTGEGPSWYHLLKKSSGGCSDLLYSGHMLVAVLTAMAWTEAYGGWSSIVIWFLVVHSAQREIRERHHYSVDCIVAIYVGMLLWRMTGFLWSARETNRSRRIAKLDEVQNRLFRAAKDSDILEVRSLLGEVELAGQEKKGFSQCIIFSFAAAMIVFTLLFVLLAFTLTNDG >PAN04487 pep chromosome:PHallii_v3.1:1:4836630:4839843:-1 gene:PAHAL_1G071000 transcript:PAN04487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVWGGGEYDLPLEEVDAVLASFSGDPAAVFAPLLAPDAEAGASRELLAAGEGHREGLGEIEKFLMEDYEDEAAMDADGVDEFLDGVLVGDGEDDGSPKSTGERSADGAGASAGDDEEVLGADGGDDLDSKKKKRRMRNKDSAMKSRERKKLYLKDLEMKSKYLEAECCRLSYALQCCAAENMALRQSLLKDRPVGAHTAMQESAVLTETLPLVSLLWLVSIVCLFLTPGVPKRSLVAPSSHGRDLVKLARTSTNGVKMFRLTTKGDDPRSLELVRLGRRCRSTRARIRSPLLPWHAVADTAGHRAILL >PAN04693 pep chromosome:PHallii_v3.1:1:5850609:5855874:1 gene:PAHAL_1G084000 transcript:PAN04693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGRPRAVFMAFGTRGDVFPVAALATAFARDQQQYAVVFITHSAHRSLSAHLAASNVRYMPVSSPPVLAAEQVENISGGSQSNHEDESFSSRKMIIQMEHRKECLSSVEAVFGDDPSINGDFVVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPSSFQRQFKHNFPLLYKYFQEAPANTVCWTDIIHWMWALFTESWGSWRSNCLNLSPIPFTDPVTNLPLWHVREESPLLLYGFSKEIVECPGYWPSSAHACGFWFLPTAWQFSCDKCMELSRNMNSSLGSVLCAKHSSMERFLVGNSYSSRPVFVGLSSIGSMGFLRNPKAFLMVLKAAIESTDYRFILFSSGYQPLDSAIRSIASSVTESSEAAASSLSDDSALLFNGRLFCFSGSIPYSWLFPRCAAAIHHAGSGSTAAALLAGTPQVVCPSLLDQFYWAERLHWLGVAPEPLQRQHLIPDSDDASSIHNAAEVLHGAIKSALLPEIKAQATRISDRLSFEDGIGEALRILKERVLIQDQT >PAN06756 pep chromosome:PHallii_v3.1:1:48311984:48312797:1 gene:PAHAL_1G286300 transcript:PAN06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALLVLALLLAAAFLVASANNEHTQLKEEENKQAGVQDYRGGGGYPYGRYPGGGWRGGGYPGGGYPGRGGGYPGRGRGGYCQWGCCNRGYYGGCRCCSRPDEIPEPMYRPEFVEVHN >PAN04307 pep chromosome:PHallii_v3.1:1:3842938:3846319:1 gene:PAHAL_1G056900 transcript:PAN04307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLSTVVDQAFLTTHRDPHGFTQFPHAHIGARRPFPVLRSSLALHPAMKSSSRMMMNTNTMAAPTNDVDFSFGAMQAQPYAGFDAGAMAMPSVERPLLQHHQNHQLYDSFDLAAAGFPPFQEPGLLPPASLPLPPSMVMAMPSPLQLPLPGVLTPAEVYPFGGAGGAAAFLKREDGHHQLVDAGGGGTIGLNLGRRTYFSPADVLAVDRLLTRSRLGGGTGVGMGLGMGMLGLGLGAAHHHHQHQPPRCQAEGCKADLSAAKHYHRRHKVCEYHAKAAAVAAGGKQQRFCQQCSRFHVLAEFDDAKRSCRKRLTEHNRRRRKPAGAQGKDSPPPPPPKRAADTCVAASHSSDHHHKCASAMAAAKLTAISPNGSGVSCLDAMDNGHSSGGGAAPTALSLAALPPLHDEKDDGGGLDSMLMMRQVQGRDDDEHRRFLTSLVMQQQQQQDHQHDDGSGGHDGVGGGNILSCPSVSDHQNGGCNGFFEVDFI >PAN05534 pep chromosome:PHallii_v3.1:1:43583527:43585745:1 gene:PAHAL_1G236800 transcript:PAN05534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISLTSLLISSLPQQWQPDLLFLALVAAVSLLLLTRSWWWSSSSSSSRKGPIKLPPGPATVPLLGNLHQLGPLPHRALRDLARVHGPVMWLRLGRSPAVVLSSAEAAWEALRAHDIDCCTRPVSPGTRRLTYDLKNVAFAPYGAYWREARKLLTVELLSARRVRAAWHARRDQVERLASTLHRAEGEPVALDEHVLSLSDGIIGTVAFGSIYGGDKFSQNGSFQDALDDVMDMLSGSGSSAEDLLPGAIGRLVDRLTGFTARRERIFRQLDAFFETVIEQHLDPKRALPPENGGDLVDVLIDLWKNPRGAFTFTKDHVKAIIFSTFVAGIDTNAATILWAMSELVRNPRVLKKAQAEVRAAVGGGSEVRPDDMPKLNYLRMVVKETLRLHPPTPLLLPRETMRRIRVGGYDVPAKTRIYVNAWAISRDPANWPDGPEEFNPDRFEANEVDFKGEHPVLMPFGTGRRICPGMAMAMATVEFTLATLLFGFQWALPEGTASDDVSMEEEGRLVCHRKTPLVLVPTVYRHGGLE >PAN04990 pep chromosome:PHallii_v3.1:1:7971915:7973474:1 gene:PAHAL_1G107300 transcript:PAN04990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDATGTPPAPAAGRGRCCTSGATLELVGAFTAVCLVLYGVILYMNYLYVRWSGRDGVHRTDSGAGLPARKRPAAGLDKSALAAMPVLTFKAAAPGGEPMECAVCLSAMQDGDAVRALPGCRHAFHAACVDAWLCARATCPVCRARPALPPPQQAPKAGAKAAAAGSSGRQPDLESQV >PVH66308 pep chromosome:PHallii_v3.1:1:31653535:31654269:1 gene:PAHAL_1G201900 transcript:PVH66308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLKRVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTSSFRFPDTYRKAARKALRRLQVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAITQELEEQRTRAASAEYSLAALQAQLQEYENRNGIGGWIEEEEEQPMETHWDKGTQTKNEMDRFLPIKNRSIRTEEESP >PAN04331 pep chromosome:PHallii_v3.1:1:3972517:3975916:-1 gene:PAHAL_1G058900 transcript:PAN04331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHSREDLDLSDSDSEDAESRASDNSSDYGTPPLASASSKAAGGAGAATPASIDAIDRHLRSLHLKYNEPISPNPSPGPAPTANPAALNAVKLYLHIGGSSPSAKWIVSDRLAAASFVRTGDDEDDDAPTTGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGFGDFCAKYHNCLFENSYGVAATAEGRAKVFGKDFAAWARPEDGDESIWEDATDGFSPGAKGSPMPPRTPTLKPLMEDLREFEEPVEEGSGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGVSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATNGKPHAKGVHQLDIETGKVVSEWRFEKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQDLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFSGRMGNRIAAPRLLKLNPLDSHLAGANNRFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQYQEGLKSCYCYKVIPKDESIVASRFMHDKYAISDSPEAPLVVATPMKVTSFSISSRH >PAN04925 pep chromosome:PHallii_v3.1:1:7504122:7505723:1 gene:PAHAL_1G102100 transcript:PAN04925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTAAGRHFCFLLALLLLSATAHGQLSKTFYAASCPPLDQIVRDEVSRALFRDPPPLGGRRMGASLLRLFFHDCFVQGCDASVLLDVDVTKGILLSEKDAGPNANSLRGFEVVDAIKSQVEAACPGVVSCADILALATREAVVALNGTTWPLLLGRRDSRTANQSQANSDLPSPGSNLDTLIAAFARKGFTATELVALSGAHTVGLAHCVNVDQSQMQRCRVPTADGLMAALDDQTPERFDSAYYDKLGKRGLLRSDRVLTSRPDLDAQVRSYSASEAAFFADFASAMKKMSEVGVLTGANGEVRAYCRRIN >PVH66128 pep chromosome:PHallii_v3.1:1:18077119:18078386:-1 gene:PAHAL_1G155400 transcript:PVH66128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKTQTTSPKMSSRVSSCTQSCLWLGPPLGFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH66704 pep chromosome:PHallii_v3.1:1:50603240:50603878:-1 gene:PAHAL_1G320800 transcript:PVH66704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPLIPLLAVILSCSIGDATPLVYDTKGYELMSNSSYYILPVEKGGTGSGLKISYEWKRCNFFVAQARGKAEDGVAVRIAPPSASVYDPIFNPVAVHLSTDITITFDVVTVCVESMYWHISGKSPLSTTSYPRQHVAVGKDEDADMPFPPSPDMLFSIERYDGAVKGYKLVSCNGTGPCENLGLHAFKGKNWLTTSDPPLVVVFKKGHEYA >PAN08156 pep chromosome:PHallii_v3.1:1:55286177:55289707:-1 gene:PAHAL_1G392200 transcript:PAN08156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVLPPLLIGGVLSVSVSTSTKAASRAISAPHRSPSALRSTARHRSRHRFPLLATASESPSTPLAAALSESHSRSPSRWVVVMDSPPAAAGGSGVSRAEAVDYYASTLAGVVGSEKEAQMRMYEASWEGSYEFRCEIDEEASKELAKMPGVLSVRPDMDHKLEMDNDGLSLSAANLVSISDGASKSSSGRNEFWLVRMEKPGVEVVTKAQMVDHYTQILMKVLGNEQDAQVSIYHISWERDYGFCCHIDEECAKELADVPGVLSVRPDTNFESDNKDYKGNDGFKSSEGTGAVDIKTKRLFVTGLSFYTSEKTLRAAFQPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDAAKTRSRDHQSGRPNQTFRPPYHTR >PAN08965 pep chromosome:PHallii_v3.1:1:59078632:59081345:-1 gene:PAHAL_1G448600 transcript:PAN08965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDRLNSPSTSAISLEVMGHRLHISQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKMKGKRVIELGAGCGLAGFGMALLGCDVTTTDQVEVLPLLMRNVERNRSWISQSNSDSGPIGSITVAELDWGNKEHIKAVEPPFDYIIGTDVVYSEHLLQPLMETITALSGPKTKILLGYEIRSTTVHEKMMEMWKSNFVVKTISKSKMDAKYQHPSIHLYMMDPKAPLLTEAGASDNGSEEEEDVSNPGEDEDPGVKSEPCCGSQEASLDDWEIRRCGAMAARLLKDVKL >PAN07118 pep chromosome:PHallii_v3.1:1:49983073:49984839:-1 gene:PAHAL_1G311800 transcript:PAN07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALAILLRHGRRAHAQARGIPLSRALSTTTAGTDPGALSVADATRRLRREHDPDRAVSILEAIDTASISAASTRHALSLAARRLSRSRRFADAEALLSSHLPASTTEPHLAAVLCSYASANLPEKALDAFRSTATSLPTPISPLPFNALLSAFLRCRRHHRVPVLFSELSKEFSITPDATSYGILVKAYCMSRDDVKAKQALDQMREQGISPTTSIYTTLMDSMYKQKKTEEAEHLWKEMVESGCKPDVATYNVKAMNYGLHGKPEEVQEVMMEMEAAGVKPDTITYNFLMTCYCRNGKVEDAKVLYHSLAEKGCSANAATYKHMLAALCAHGDFDAGLGIVKESLKRHKVPDFRTMKGLVEGLAKGGRVAEAKTVVAEVKKRFPENLLSGWMKLEKELGLDSDSIDNTLQSKGTSGETVVESKPVAADAEALEREGSALEENAVSEESSDDEVPGHEVSSSEEMPRGPA >PVH66537 pep chromosome:PHallii_v3.1:1:47567843:47568401:1 gene:PAHAL_1G275500 transcript:PVH66537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGWHRITREDHGQAQLASGNSVYMVGCSSDPSPTLQTSELLKLLHAYEKSAQRGVRCCL >PAN07403 pep chromosome:PHallii_v3.1:1:51328720:51332183:1 gene:PAHAL_1G332900 transcript:PAN07403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MTQEPTSLLPVWGRTPTTRRPKSRRHQLPISSGAMEEADDHRPYSSTGRPFLSGLCAAALRRKPLGAHTSTAASGEGLVRQLGVLELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYMYTYAAFNEITAFLVFTQLMVDYHIGAASIARSLASYFIQFLELIPFLKGHIPSWIGHGEDFFGGVVSINILAPILLILLTVILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKRPQRDLPIGILGSLLACVLFYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFARVHQTRHTPLHSQIWVGCVAAVMAGLFNVHMLSHILSVGTLTGYSVVSACVITLRWNDKGTSRRSLGNMSIWQEGALCLVIVALCGFIVGLCYRFNYDIAFMVVAFLIAVAASFALQFRQVYVDPPGFSCPGVPLVPVISVFFNMVLFAQLHEEAWYRFVILSLVAVGIYAGYGQYNAVPSSSDHSSVGYHGVPSEAP >PVH65879 pep chromosome:PHallii_v3.1:1:6834763:6835497:1 gene:PAHAL_1G095400 transcript:PVH65879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPISSSPQQNAAAKKREITGPDNSDKPEKERAAVARPAATGLDATLSPRSPPPPTAARKHRSSFRGPPPRHPATTRLLPMAGRRKIPGTRAPRTAVPGMWQVLPRIPAASNQRNGSRPPPPHRRGERGRRRNHQRPPPHSAKLRLLEMAVRSKNWESGAPRTAVPECGRCYLHFRLPRTKEAAPAHRRHHHFRQEEGRGSSRSESHGGLCSRRQAISRRRRQQASW >PVH65998 pep chromosome:PHallii_v3.1:1:9730070:9730555:1 gene:PAHAL_1G122600 transcript:PVH65998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVKVTYIETRFVTSDAAGFKDLVQRLTGRSPAGDAPAPAAAPRGAPAAAAGTQGCYHPPSPPSAARVGDGRLAPPRLDEAYGGGDFAGLLYGASASQDAQCGHGGYYTFSDYFPC >PVH65515 pep chromosome:PHallii_v3.1:1:1106440:1110169:1 gene:PAHAL_1G012700 transcript:PVH65515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRAAIHRSGALLLLAAVLAAAASSASAIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGEKGWVKVTDWNSFQTEKKAAARAHSKNLSSFCGRLLEETEDELSEWIKTSSSESENVSKALCEDISKHCQSTSATIQIDDEL >PVH66223 pep chromosome:PHallii_v3.1:1:25941036:25941887:-1 gene:PAHAL_1G181100 transcript:PVH66223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAAEVKRSMRCSEETVAGDGSMCAARHQEKKRPRKADKPSKKEKRSEEKKKKRSKKKKRRIEKFASKLGKQAAGEQEKRTKKPTMGDEVMREIEDDSAAGGGMTKLSQELLDYLRTKEVMGLLATEAPLPLWAFDLDQRLKEEIAAEFQEKREFDAHVLYQYRTYGYAYAEIIKGTETEAKNKKMKLVTQEINNQAEMGHYLSNEVWSYVMSIGVSTFEQAVTREMDTFDDLATEGRRLASRIRHIENQANLLRQYRTNGHAVLQYQVTDDERDDVEEV >PAN05908 pep chromosome:PHallii_v3.1:1:33970120:33974417:-1 gene:PAHAL_1G208600 transcript:PAN05908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLDNVRGLTLAMSSSAFIGSSFVIKKVGLKKAGDNGVRAASGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKENLHMFGVVGCILCVVGSIGIVLHAPKERKIDSMKEIWHLATQPGFIVYSCVAVACVLLLIFRVVERSGHRLMLVYIAICSLMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFIVVVTICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIVANMIMYKDWASQTATQIATQLCGFVTIVAGTFLLHKTRDMGNPPPPDQVCLEEARECAPRSTNSSS >PAN06556 pep chromosome:PHallii_v3.1:1:47379047:47382801:-1 gene:PAHAL_1G272100 transcript:PAN06556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEATATAGRPRLYSYWRSSCSHRARIALNLKGVDYEYKAVNLLKGEQSDPEFLKLNPMKFVPALVDDDAVIGDSYAIALYLEDKYPDPPLLPQDPKKKALNHQIANIVSSGIQPLHNLSVLRFIEQKVGAGESVSWTQQQIERGFTAIENLIQQKGCGGKYATGDEIQLADVFLAPQIYAAIERTKIDMSNYPTLARLHALYMAHPAFQAALPAKQPDAPSCT >PVH66919 pep chromosome:PHallii_v3.1:1:54620046:54621566:1 gene:PAHAL_1G383000 transcript:PVH66919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQAVHRRRYSPSPSLPSLASALSMALASKQQLLLVCYHGVQEVESNQHVLHSKARRTWAGPLTRRVAPAVPRRMPPREPGAPRRGGGAKRRKETNVTWA >PAN04767 pep chromosome:PHallii_v3.1:1:6314065:6317358:1 gene:PAHAL_1G089800 transcript:PAN04767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGSGNKNAFKALIAAEYSGIKVELVKDFQMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVTRLKADNPLYGSSLIDYAHIEQWMDFAATEVDASIGKWLYPRMGFYPYAAVSEETAIAALKRALGSLNTHLASNTFLVGHSVTLADIVLTCNLYLGFIRILTKSFTSEFPHVERYFWTMVNQPNFKKVIGDVKQAEAVPPVQKKAAPGKEQKPKEAKKEAPKEAPKPEADEKSTQEEPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKVKGLWLFRGSEIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEALLDAKCFK >PAN05642 pep chromosome:PHallii_v3.1:1:22840332:22840739:-1 gene:PAHAL_1G170400 transcript:PAN05642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSSCLNRSLKPNKLLLRRIDGAIQVRSLIDLTFYSLVGSGRPGGGGHPQSIHPLSVYGELSLEHRLRFALDGKMEHLTTHLHRPRTTRSPLSFWGDGGIISFEPFFHAFLGGLERAIINRTSLILPS >PAN06545 pep chromosome:PHallii_v3.1:1:47299844:47300808:1 gene:PAHAL_1G271100 transcript:PAN06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MRPPAPRPPAASSSSSYSQREPRPENPLLLLPSCRAAKLSLGCPLLDRLLSGGLPAASVTEIAGESASGKTQLCLQLALLAPQSPLSASALFLHSDLPFPLHRLRGLAPKSRPDILDHVLVAAAHSPTDLLSLLSRAQRLLANPGRSPHRLPIRLILLDSIATLFRADFDASPADLKRRSALFFQISAKLKELAYRHHCVVVVTNQVVDVVEGDAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTREVDGASGIARRRMKVAFAPHLPERACEFVIRRDGVFGVEPAGEVGAPVLG >PVH66017 pep chromosome:PHallii_v3.1:1:10296031:10297671:1 gene:PAHAL_1G127300 transcript:PVH66017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRALLLVAVAAAVAGLAAANFRDDCDIPWEPQNARFTGDGNGLSMSLVSNYSGCMLRTKKQFIYGTVSTLIQLVPGDSAGTVTTYYASSVGENHDEIDFEFLGNETGQPYTFHTNIYADGVGNKEMQFKPWFDPTTGYHNYTISWSPCMIVWYVDSVPIRVFRNYQSRGVAFPTSRPMFAYSSIWAAEDWATQGGRVKTDWSKAPFVANYDNIHLDICECGAGGYGGACATSCPAAAAQYNGACHLSPAELGQMQWVQGNYRIYDYCVDYKRWINGQKPVECDLEQY >PAN08233 pep chromosome:PHallii_v3.1:1:55588224:55601025:-1 gene:PAHAL_1G396700 transcript:PAN08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALAVSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDMGGMMSISVEAAAARDSLTNDKELIHTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWGHITNFFKLAKESPQTLVRALRVVEMQEIIDQQVAEEAAEAEGAGAIATITNQRRTAKKGAGAAATPRKGTQEKSKVQGKGYKDKCYESVRMAVEARFNKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIQNINILKVTGWVVQYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSKEDGKLYTPAAVDLFRILTEQVQIVRDNSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLHCYELSSELSSSTLEALPPNYAEQVNFEDTCKGFLDIAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWMEGMVTEYLVATFADYFGDVKMYIEERPFRRFVEACIEETIVVYVDHLLSQKSYIKEETIERMRLDEEVLMDFFREHINVTKVESRVRILADMRELASAESLDSFTLIYTNILEHQPDCPPDVVEKLVAMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTAKKGIWSKLGQ >PAN07152 pep chromosome:PHallii_v3.1:1:50207262:50210318:1 gene:PAHAL_1G314800 transcript:PAN07152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFADLTEPAAERGVDRQLWLACAGGMCTVPPVGAGVYYFPQGHAEHARGLAGAADLSAARVPALVPCRVAAVRYMADPDTDEVFARIRLAPLRAGEADAGPDDDAATDGEREKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGVAWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGAGGGGGEEAPLPGWDHYAGMVRGNVSPCGAAKAQGKVRPEDVAEAARLASGGQPFEVVYYPRASTPEFCVRAAAVRAAMRVQWSPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSIPAIHLSSFSPPPKRPRIRAYPEFPFEGQLLNPAFPPNPLPHGHHYLHTHPSFFPFPDGSAPAAIQGARHAQFVPPLSDLHLTHLQSSLLYPGLRRPDHVGPATPLPARISTDLTIGAVPARDDVSCALSMGASNNKKPGAAKPAGLVLFGRTILTEQQMSLSGSGGATSPAATGNSWNAEKGPNVSEGSGSGSGVTQNSPTKNCSSSERLPWYRYASEVAGLEPGQCKVFVESDTVGRNLDLSALGSFEELHGRLSEMFCIESAELRSRVLYRGAAGDVKHAGDEPFSVFVKSARRLTILTDAGSDNLGS >PAN05357 pep chromosome:PHallii_v3.1:1:31023495:31038457:-1 gene:PAHAL_1G199200 transcript:PAN05357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLAVVLRAALSHVPEERKAAEESLNQFQYMPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFIAKNWSPNDPDESPKVLESDKAMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPSLLHWVTHNLELQNQIFGALYVLRVLARKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFINLLERPVPVEGQPIDPEIRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKPESKAFAQMFQKTYAGKILACHMQLLNAIRTGEYLPDRVINLVLQYLTNSVTKNSMYQMMQPQIDILLFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKNNLQKFIHFIVDIFRRYDEAPADLKPYRQKDGALLAIGTLCDKLKQTDPYKSELERMLVQHVFPEFSSRVGHLRAKAAWVAGQYAHINFSDPNNFRQAMHCIVSGMRDPDLPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASSEADDEADDSGALAAVGCLRAISTILESISSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYISRGTDHFLACKDPDYQQSLWNALQSIMMDENMEDSDIEPAPKLIEVLFQNCKGNVDPWVEHYLRITIERLRRTQKPYLKCLLVQVIANALYYNPSLTLETLNKLGVAVDIFNHWFAMLQQVKKSGARVNFKREHDKKVCCLGLTSLIGLPADKIPAEALDRIFKATLELLVAYKDQVAENKKQNEEDADDMDGFDADEEDEEVDSDKEMGLDDEDGDEVSSLQLQKLAAEARGFQPADEDDDSDDGFSDDEELQSPIDEVDPFIFFVETVQGLQTSDPARFQNLMQTLDFRYQALASGIAQHAEERKVEIEKEKLEKSNAQ >PVH67054 pep chromosome:PHallii_v3.1:1:56884010:56885331:-1 gene:PAHAL_1G416800 transcript:PVH67054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLLPSRAASARPLLPPTSPSALRRAGTARPAASSSNVEVIDATATSTSKPGSQWRAGGLGLELSEEMRRGMLWRMVAPPATAVAADAAFLRLLDRAAPGDAPAWAAAAGSALLFAAGLLGVHYGFLSSRWDAAERGSVLGWDLAVRHWEVLSMAKEHSSSVEEEEEEEEDDDDDEEYEDEEYEEECEEDDD >PVH66196 pep chromosome:PHallii_v3.1:1:24515803:24517467:-1 gene:PAHAL_1G175600 transcript:PVH66196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIQTTSPKTSSRVPSCTQSCLWLRPPLELRMVQDSDDSLFVASVVVWVFVVILAIVALHCPLPRRVVR >PAN07647 pep chromosome:PHallii_v3.1:1:52581198:52582334:-1 gene:PAHAL_1G352200 transcript:PAN07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYYYFPSSGQTASYVDAGFPGHAASRDPSSPPPRTRRASRDAGELRHHYLDACFRCRRHLGGNKDIFMYRGDIPFCSEDCRQQQIEADEARERGSKQPAAAKGERERRQRRQSSSPKRIPLWAR >PAN04849 pep chromosome:PHallii_v3.1:1:6877346:6879437:1 gene:PAHAL_1G096300 transcript:PAN04849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKQQQQGQGVVAAAEPGAARRLWRVVRAVLYMLRRGLPSGRKLAMDLSLLLHRGKIAGKALGELFLAFHHGRHGSAAAFPYAGAGSSSTAGAGPFSCRALDPSLAVHEPAPAGRREVEFSCSNTPSSAARGGGLGLLGVGKRRRRNRQHRDDTSGGYLQYSNYDAAEVARVFEMLNDDDHYYRVFGDDGAAEPGAAASAATSVTATPSPAQLLYWAVARSPAVAAARSRTPRLTDSPADGAGVDRQADEFIRRFYEQLRAQRSAASTPDYYGHTAAASPYTTPRARRPVAAGIA >PAN06740 pep chromosome:PHallii_v3.1:1:48206807:48207665:-1 gene:PAHAL_1G285000 transcript:PAN06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPQPVRAGDVYPASADHHEARRERDKVIGQGQEEQRGGGGGLHVTETDLPAGKRMVTASAGGQVMAQFTVPVADRNVAEATDAVTVGEALQAAAQTSAGGRPVGPADAAAVQAAEMRATGLGGNLPGGVAAAAQRAAEANAARSAAGGGRGAEAVTLRDVVGAAAEALPANKVATREDAEKVAATAARNEGKAGAGAGGAAGGKKGGVVDAVAAAADMNEGRMM >PVH66820 pep chromosome:PHallii_v3.1:1:52946166:52951459:-1 gene:PAHAL_1G357600 transcript:PVH66820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGQKLFLARAQKRSGTRGQLGRRHYSIRPHAMGEIGADDRGLAAAGKEEEGVAGGGGGGEEEEEEEASPIEQVRLTVPSTDDPSLPVWTFRMWSIGLLSCALMSFLNQFFSYRTEPLIVTQMVSLPVGHFMARVLPRTRFRAPALLGSGEWSLNPGPFNMKEHVLISIFANAGFAFGGGNAYAVGIIDIIRAFYHRHISFFTAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRAHHEKDDAGTGSRQISRSKFFMVALACSFVWYAVTDYLFPALTSISWVCWLFSKSVTAQQLGSGMEGLGLGAFTLAWSTVSSFLFSPLISPFFATVNIFFGYVFLVYLIMPIAYWGFNLCNAKTFPIFSSHLFMSNGTKYNIPSIVNTQFQLDKDAYNQHGKVNLSIFFALSYGFSFATIAATITHVGLFYGKEIYHRFKASQQETPDIHTRLMKKYDDIPAWWFYSLMALSVTVALLLCTVLKREVQLPWWGLIFACGMAFIFTLPISIITATTNQSPGLNVITEYAMGLIMPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNLGTAWWLLGSIKDICSDSLPPDSPWTCPRDRVFFDASVIWGLVGPRRIFGSAGNYGALNWFFLIGAAGPVIVYALHRMFPNQRWIPLINLPVLLGATASMPPATAVNYNSWLLVGTIFNFFVFRYRKKWWTRYNYILSAALDAGVAFMGVVLYFSLTMENKSIDWWGTAGEHCPLASCPTAKGVDLGPDSVCPVF >PAN07396 pep chromosome:PHallii_v3.1:1:51308228:51310735:1 gene:PAHAL_1G332500 transcript:PAN07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLLVDPAAASRRATTAARCHRVAGRSGARFLSCPCSASRDEPATRAWASYSSAGNMPRAVKSASRVNGWNGNGHGWTPAREESCVLDAMEDEYGGMVVDADRLPSDTGGFAGSLAASLSCWKSAGKKGVWLKLPLDRSEFIPLAVKAGFRYHHAEEKYLMLTYWIPDEPCLLPANASHQVGVGGFVINDKMEVLVVQEKYSASTSLGAWKLPTGFIHASEEIFTGAVREVKEETGIDTEFMELIAFRHAHNVAFQKSDLFFICLLRPLTNEIKIDETEIQAAKWMPLPEFIEQPFIQEDHMFRKISDICVQRLRRRYCGLTAHHVVSKFDGGASTLYYNVAEPERGDLSCDAAA >PVH67055 pep chromosome:PHallii_v3.1:1:56909258:56910020:-1 gene:PAHAL_1G417100 transcript:PVH67055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAARRRETAGRSDQRRPQPQYNILPTPTTPRRKRPNSQWQATTTISNKILVPRTVKIQGHAGGHNQYRISGEQRRAQR >PAN04436 pep chromosome:PHallii_v3.1:1:4585408:4588307:-1 gene:PAHAL_1G067200 transcript:PAN04436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQPSPDEAARNQITPSPPSAVGTTDPLPLRRRLSSSSPVTMIPQSQSSSLQRLHHVEKRIVRVLELAGAVMEELGNSQGPRTDAVGAHCREFMLAMKDIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLEYVIEKLDTVHQNLEQSNDDV >PAN07736 pep chromosome:PHallii_v3.1:1:53046268:53049043:1 gene:PAHAL_1G358800 transcript:PAN07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSAHTTTSLHSPCATVSNTGFRQKQVIFFTSNRRGGRRHVGARTFQVSCSVEKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKAIKEGQAIQKPIYNHVTGLLDPPEVITPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIQASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKHFNPVYLFDEGSSISWVPCGRKLTCSYPGIKFAYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIVAERADAPAEAAKV >PAN08764 pep chromosome:PHallii_v3.1:1:58220228:58223648:-1 gene:PAHAL_1G434800 transcript:PAN08764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSDAATQVLVPLAAVVGIAFAVAQWVLVSRVKLSPSASAGARDKDVLGDSLIEEEEGLNDHNVVVRCAEIQNAIAEGATSFLFTEYQYVGIFMSIFAVVIFVFLGSVEGFSTRSHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTGMCYPLLVSSVGIIVCLITTLFATDIFEVKAVKEIEPALKKQLIISTALMTVGIALISWLALPAKFTIFNFGEQKEVSNWGLFLCVAIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVVGAMLPYWFSAMTMKSVGKAALEMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIKQMIPPGALVMLTPLVVGTFFGVQTLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARALGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >PAN03598 pep chromosome:PHallii_v3.1:1:763469:764743:1 gene:PAHAL_1G008500 transcript:PAN03598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQADAAAFFRDAPPRVVIEIIRRLPARSVLRLRSVCKVLRDVTSYQPLLAAVHLLHLPQPLICFGRDAFPDRSIRFRDYCVEALHLRSDMLRPVLRFTDSEYYDFIDNIQGADAPPIYAFTKDHIYYGDGEEVGDGQHYRPRITVHGSIDGLLLLSFINIWYICNPATRQWVALPDLSNCTVIGLYAHVSSGEYRMLVHTGQDDGATWTRYYVLRVGAEEARGIGRPIPPPATLHVGSLSIGLDPVSLSPPVQLRCNLHWPPQERQGYHMLVFDTEAEVFSWMSSPNPIREGSTQLLEMDRKLAMSVSKVGRPTLELWRLEDYRNEIWVQIYRIRIPVMEIPDLHYIDWFPHVVSPQGDVLIECSNKLLLHCDRNGNLLRKFQFREEAPVVRHALKETLLPHAIFREPKIADGDVEPPFFEGL >PAN08329 pep chromosome:PHallii_v3.1:1:55932707:55940003:1 gene:PAHAL_1G403500 transcript:PAN08329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYLISLPLTLGMVVVTLRYFAGPAVPRYVVATVGYAWFCSLSIIILVPADIWTTLTGSAKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLIGLILLLIMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWSHRQKVLSHRVAKMAVKLDSAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDNMLAQMLREDPSFKPSGGRLGENDMDYDTDDKSMATLRRQLRRAHEEYYRCKSEYMTCVMEALKLEDTIKNYERRDANGWKYVSSFRESRSGTLGSLLGTIEFIWHCILRKQLQKAFAVILGCMSAAILLAEATLLPSVDLSLFSILIKAVGKQEVLVQVAAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGNAKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDFFGSWKRFKLQREEENIDGLDPSGMIILQKERSWIEQGYKVGEQVIPLARNFNGVSTDIESQNVPLVENTVEMKAGATSSRIDGRAGHSKYANNRENIASKYTSVREQNRQAGKAVKKEIQSNSVSLLEERNSEQRFNTGVPPTGVSATWASMKTGFQNFKANMGAKKFIPLRQDPGFVPNSNVSSPESLDDIFQRLKRRSANMPVDYLDDDDDNTGDMDLHFQDH >PVH66933 pep chromosome:PHallii_v3.1:1:54987842:54988186:1 gene:PAHAL_1G387500 transcript:PVH66933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTGACVPPGLLRLRPCEVCSFSDLGSRLWRRLATDPECRDTGRLPVRSMLQVCSYLLTAPPILASKTVVTSFAWVSASIFLLGDSLSSMAMEEY >PVH67057 pep chromosome:PHallii_v3.1:1:56916224:56918134:1 gene:PAHAL_1G417400 transcript:PVH67057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASGETLDAASPSNLAVVVRSVAGRSRRAAPPAVSDTAVLDSSLLLGSRGPVVVFFRNSYQICDLSCYIFGCH >PVH67141 pep chromosome:PHallii_v3.1:1:58279214:58279570:1 gene:PAHAL_1G435800 transcript:PVH67141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAEMHWRSIIKLPGHPCLRRPQLISVVELIISCFIELLDRSPIEAKRSVLRELLLRINAAVQESCGRSQRGPCHADPIQYTCMQLPPGEIGKGKRETEGRGVDMQMPA >PVH66571 pep chromosome:PHallii_v3.1:1:48063065:48070441:1 gene:PAHAL_1G282800 transcript:PVH66571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVTRRLRAHHSSVMARACHVTLMLLLPLLLSNMDISSSSSAASNGTDLSVLLVIKAGLSDPLGILSGNWTPNSPFCDWVGVSCSRRRQRVTALELPDMPLQGELSAHLGNLSFLHVLNLTNTRITGPIPSDIGRLPRLRVVDLSHNSLSGSIPESIGSFIMLQVLSLEYNQLSGPVPPSIFNMSRLETMLLGSNNLVGPIPHNGSFSLPMLQVVVLSVNNFTGPIPSGFAACQHLRVLNLANNLLEDVVPTWLAKLPQLTLISMGGNGLLTGSIPSDLSNLTMLQALDLSFSNLRGEIPRELGKLEKLTWLQLQSNQLTGSFPASLGNLSQLYQLALDSNHLTGSVPETVGNLRLLYYFDIGSNLLTGTLDFFPALSNCRQLRHLGIHTCFLTGSIPASIGNLSRKLKQFGAHRNYLTGSLPTTISNLSGLNWLKLSHNNLSGIIPESITLMENLEVLDVVVNVMSGPIPTQIGTLTRLHIVFLEDNKFSGTIPNGVSNLSMLEQISLSHNQLSSSIPEGLFNLSNLAVLSLSHNSFTGALHSDLSPLGSLYYVDISSNSLVGTLPDSFGNLGLLSYLDLSHNTLQGSIPESYQKLIDLGLLDLSFNNLSGTIPKFLANFTLLTSLNLSFNEFQGEIPNGGIFSNISAQSLMGNPGLCGSPPLGFSPCRDTSNRTKKQLMRFILPTVLITVAAIAILLYLMVRKNNNMNRPDVTMISVDMGDVVNYRIVSYHEIARATENFNEDNLLGVGSFGKVFKGQLDDGSVVAIKVLNMQLEQALRSFDAECQVLRMAKHRNLIRILSTCSSLDFRVLLLQYMPNGNLDEHLHTGNREPLGFIKRLDIMLGVSEAMEYLHHYHHQVVVHCDLKPSNVLFDEEMTAHVSDFGIAKLLLANDNSISSASMPGTIGYIAPELAFMGKASPKSDVFSFGIMLLEVFTGKRPTDPMFVGETSLRQWVSRAFPAKLIDVVDEKLLQDEVISHGVHHQTNTNSSALSSTTCSGHFLVSIFELGLVCSSESPEQRMAMNEVTTKLKNIKQDHST >PVH66770 pep chromosome:PHallii_v3.1:1:51929024:51933143:-1 gene:PAHAL_1G342600 transcript:PVH66770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRQRRRYHGGCAAPGAAPGAAACLAAALLLLMLAFHCVLSPPLGDGGRNDPPRPQGPTFVSNNPGELRRNVLAMDTNVHASNVLRDGSKMGEELWDSGAGNDFYGCSNASTEFAGAEANTESNRYLMIEASGGLNQQRTGIIDAVIAARILKATLVIPKLDQKSFWEDSSDFADIFDADYFISSLSEDVKIIWQLPDTMISKKYLPYKIRVPRKCTPFCYENRVLPALSKKNVVQLTKFDYRVSNRLETYLQKLRCRVNYHALRFTDAIHEMGGILVQRMREKSGGRFIALHLRFEPDMLAFSGCYYGGGETERRELGTIRKRWKTLHEADPDRERRHGKCPLTPEEVGLMLRALGFGRGVHMYVASGDVYGGEETLAPLKALFPNFYSKETLASKEELEPFLPFSSRMAALDFVVCDQSNVFVTNNNGNMARMLAGRRRYFGHKRTIRPNTRKLNSLFLNRTSMSWDTFASKVQTFQKGFMGEPNEVEPGRGGFYEYPLDCICQKSKARTEHTIDPLSKDTTDIMENHLTNREGRDVDFMERTLLPAGSSNETEADYSHGNGLDIPEMDDVVSD >PAN04380 pep chromosome:PHallii_v3.1:1:4334129:4337993:-1 gene:PAHAL_1G063100 transcript:PAN04380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKVHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGDNNEPFICTMDCIGAKELAKDFVVSGTSSESLYGACESMYKPNMEPEELFETVSQALMASVDRDCLAGWGGYVLIVTPTEVQERVVKGRMD >PAN08831 pep chromosome:PHallii_v3.1:1:58495019:58497849:-1 gene:PAHAL_1G439300 transcript:PAN08831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLQLQAIALAVLLLALAPAIPASSAQRQPPPVGLARPGCRDRCGNITIPYPFGIGAGCYRHDVLGGFQLHCDDTRSPPRLTISDYSRIQLAGLSLAAGEARAYLNATRKCYNSTGGLVDRSLSYMSLGTSPYLFSPAKNRLVATGCPSLGYFVDSREYFVSGCTSVCRPPQYTIPGQGSCTGVGCCQSDIPPGVDYFQANTLSLQQAQLDPAFTTNVVVTRCHYVFLVETAWFSYSDRGFLNRTDDFAVPVVLDWAVRNVGNCSAAKRNATDYACRSANSDCFDSIGNGAGYRCNCCKGFEGNPYLDGGCTDIDECRRKDRYPCYGDCTNTPGSYICECPPGTNGDATRQNGCRTKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMRSYSSAGAGAGGFKIFSKEELEKATNNFAADRVLGRGGHGVVYKGVLEDKTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHNKDLKADITLDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFDGPEEGRSLVSCFMTAMKAGRHGELLDSQVRNEVRAEVLEEIAHLVMQCLSMSGEERPTMKAAAERLERLRRYQQHPWARADANPEERQTLLPMEHRDLPIMFRQQDVLDLEEGSTYTYSL >PAN07785 pep chromosome:PHallii_v3.1:1:53356776:53359260:1 gene:PAHAL_1G362600 transcript:PAN07785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGERDGEGRRAHAAMVGSQLINAGYHVVAKLALNVGVNRVVFCVFRDLLALAVLAPLAFFQHRGSHAKALPPITFRLLVSFFVLGLTGIFANRLLFLLGLSYTNPTYAAAIQPSIPVFTFILAVIMGTETVSLGSIEGRAKIGGTVVCVLGAVLMVLYRGPALFGSGELELDSQGVMPAVSQLEPAGSFGLQKWHIGVLCLIGNCLCMATYFALQAPILVKYPSTIPMVISGALATNDKDDWSLTQSEFAAVVYAGVISSALNNCLLTWSNKLLGPAMVALYIPLQPVLLALLSMLLLGSSIYLGSIIGGFLIISGLYLVTWARHRENLTVIGPSYTKCTLRDSDFQAARSGNLVSKSFISLSRPWNVPS >PVH67241 pep chromosome:PHallii_v3.1:1:59699050:59700237:-1 gene:PAHAL_1G459600 transcript:PVH67241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGKAPIVPCKYIDWEYFERMNDPFFNQAIAKCKEFGFYDIMGFRYDWNEEILAQFHSSLFYDARQIAFFWNTEGVKYGVDYMTFSRLLGLGSEDEKRDPIHVEHQLKPSQLPALFYNPILAEAGNASTLQPFYYTMNQFFRTTIDAKDGDATALRYFACNLLARIMPGGRPFSIMDFIWNELRRTMNDPQKFLPSAPYIMYMIERVTKVTFPKDCKHAALHLRPRFGDAPHAPPLYADATRNPRFDPAPSYSGPSSSRRGHHDSFIKRALKSIFCMCKTATQEINENRRDIIEIKSHLGLPADPYHELPEFDDPFAEWDAADEAATAAAHAPLPRPRQRTRAPTRSRRSPSRGQEIFDEEEEIEEEAPPNYREIPDSDEDEDTSDEDAQDDDE >PVH66690 pep chromosome:PHallii_v3.1:1:50386823:50392098:-1 gene:PAHAL_1G317100 transcript:PVH66690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWSDGIYPAEPGLPKLLLLSLGRVRVMDPPEYTYREHNFRGTPRCDLMIFVGKSTLYPDVDPWFISTTGGRGCSWIARMRGLGREEEDLEDTVSHLSIYLTGLDRLYSEQTKQLKHQIRRAEKAEQELEVQFRRATEAEAQAESSLASLRDIWRSNAQGRERIEAHRREAGLLEGEPEETHWDKSTQTEGEVMEQCLPPKKRPNRIGEGFPWGE >PAN07797 pep chromosome:PHallii_v3.1:1:53394548:53395032:-1 gene:PAHAL_1G363400 transcript:PAN07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRTGRRALRDDPGAAVRRTSMWQIWRRATQPTWSPAPSHPRRRAGLEALRAAGIQGRRTRLFVASIVGWPSSRTCTPGRQASRPPHLLAVVCLVEWPNRLCPHLGRSRSRRFSWEKIWGKQRAEREALLLA >PAN07659 pep chromosome:PHallii_v3.1:1:52644447:52647238:1 gene:PAHAL_1G353000 transcript:PAN07659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEGGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGKVPDPESTDNAEFKIVLTIIRDGLKADPKKYRKMKDRLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVLSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKSQADYISVPVEGPYKPAHYRY >PAN06036 pep chromosome:PHallii_v3.1:1:37841584:37842631:-1 gene:PAHAL_1G219900 transcript:PAN06036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVANVMSCVLDSLIVDDFLWYAPRCSASSRAPVPLVPPFHCIYTAGVPIDALWLQQGRPRSATTLSRLGLMLTQVPWSQCPDVGNSGET >PVH65985 pep chromosome:PHallii_v3.1:1:9274778:9276579:1 gene:PAHAL_1G118500 transcript:PVH65985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFLNSLAVERRLTSDGGHGGGKGGSFTILRVPACVREVNRTLYEPRLVSVGPYYRGRAELRAMERHKWRYLRHLLQRAAPVPLRAFVRAVRDVESRARACYSEADADAEEGFAEMLLLDGCFVLEFFFKWHRQEPDVLCDAGWALVLLHSDLLLVENQVPFFVLEALFDAFFRGGVPRNDLLALLLLHLKPNGALLPHQPPSPCKAPTGPIDHLLHLFHEAFVPRPQAPPAASSQASPPPPQVIPCVSALREAGVRFVRKPPSPRGMFDITFDGDKGVMEVPPVAIDQASLPLLVNLVAFEQSRGPRTGSSGAPLTSYAALMASLVRTGKDVEELQRRGIVDNMLSSDDDAAANFFQSLGACSTMNYDDHLFAPLFADVKRYHDMSWHRHRARFMRDYCSNPWSVIALVLAVLAFFFSLFNQSVAVYNLAHPQPQ >PAN06444 pep chromosome:PHallii_v3.1:1:46827806:46834423:1 gene:PAHAL_1G264400 transcript:PAN06444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFAHADAADVALMVLGLVGAMGEALSTPVRMLIFSHIANDLGNGPDQLQQFSSKINENVRKLVFLAFASSIMAFLEGYCWARTAERQASRMRARYLRAVLRQDMEFFDLRAGLASEVVASLTNDSLAVQHVLSERVPNLVMNATMFVGSYAVAFVLLWRLALAAMPSVLLLVVPGVMYGRVLTGLAGRIRERYARAGAVAERAVSSVRTVYSFVAETGAVARFSAALEEPARLGLRQGLVKGVAVGSNGVTFAVWAFNVWYGSRLVMYRGCQGGTVFAVSTAIVGGGTALGWGLSNVKYFSEASAAAERIMEMIRRVPKIDSESDTGVELDNVAGELELKNVTFYYPSRSQSPVFFNLSLRVPAGHTVALVGASGSGKSTVIALLERFYDPSAGEVMLDGVDIRRLRLKWLRAQMGLVSQEPALFATTIRQNILFGKEDATEEEIVAAAKVANAHDFISQLPQGYETQVGERGIQMSGGQKQRIAIARAILKSPRILLFDEATSALDSHSECVVQEALEVASMGRTTLVIAHCLSTIRNADMIAVMQSGEVKELGSHDELIANENGLYSSLVHLQWIGDTSGEANRQIGRIGYTPASVRKPAGSHDMSRILCFDNRLIGDGGGDDSTEKPKVRVPSFKRLLMLNAPEWKHALIGSFSAALFGGIQPMYAYIIGSMFSVYFLTDHAEIKNKTRDHAFIFVALAALSLLLNIGQHYNFGAMGEYLTKRIREQILEKILTFEIGWFDQDENSTGVICSLLAKDANIVRALVGDRMALVIQAVSAVLIAWTMGLFIAWRLALVMIAVQPLIICCFYARNVLLRSVSNRSKEAQSKSSKLSFEVVSNLHTITAFSSQDLILRLFDQAQYITRKENIRQSWFAGLALGTSVGLMACTWPLNFWYGGKLMAGHHITAKALYQTFMIIVSTGRVIADAGSMTTDLAKGAEAVASVFAILDRKTKIEPDNSKGYKPEKLKGDVQIVGVDFAYPSRPNVIIFREFSLSIQPGKSTAIVGQSGSGKSTIVGLIERFYDPHQGVVKIDGIDIKMYNLRALRRHIGLVSQEPTLFAGTIRENIMYSIETASETEIENAARSANAHEFISSLKDGYDTWCGERGLHLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIGRTSVVVAHRISTIQKCDLIVVLEKGAVVEKGTHTSLIAKGPSAKYFGLVSLQQGGNRH >PAN04221 pep chromosome:PHallii_v3.1:1:3417655:3422432:1 gene:PAHAL_1G049700 transcript:PAN04221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPPTPSSLRDLLARERVEEEDAPPAPRRASRSPRRAASRSRSCRHASPPPPPLVRKKGEDAAAAEGGGGAVTAVVAVLAAYAGRFLKDAEFRSGLRDKCASCLAPASAAAAAEDTASGRAVLANLDLGIESIERLAADGAAPRDAKIRSLRNSIRLLSVVASLHSPRPGAAAGSRSSSTTCGVPNSHLAACAQLYLSVVYRMERNDRVAARHLLQVFADAPGLARRDLLPDLWDHVFLPHLLHLKVWFTKEVELVADWDADDRCRRMKSLQRLYNDHMDSGTAKFAMYYKEWLKSGADAPPVPSVPLPSMPGNIDACEKLSASVRRSSINRNLYNAVFGTTLELEDVKDAKLDDETELVLDVDVDVELNDNSVTWKPEKLVHSKMGLQEKHSRSRKEGAIPETAPTQRKSYSFRLFSCQGDISRNVINHPRTTKKEVVSVEKELDNDEVTMTLERAISVVSNSDNLRQCEDAVHEVARACSTLQGDPNLVNLLSCASFIQGLLEVTFTSKDNAVLESAILIMGKLVLGNEVIRQLVLNADPQLEVFLRLLRSNELFLKAAIVLYMMKPKAKQMLSLDWIPLVLHILECGDEVQSLSSVKCAPKIAAFYFLDQLLMGFDVDRNIENAKQMIALGGLDLLISRLEAGDARESRHCIALLTACIQADGSCRHYLADNLNKEPIVQLLVGNQKKASAAALNLLSELVCLNRTTKIVEFLKELKTSGCLNTMHILLVYLQQASLVQHPLAAVLLLQLDLLGDPLQYSLYREEAIDAMIAVLEHSSQSRKVQEQCARALLILAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSVRAEEEKVIEERLKKLALMLLNSGGKRFLMALSNCISDGIPSLSRSCLITITWMSSSLSPLRGCNDFQPLACSILAPKLLDSLSYDRVLEERVLASLSLLNVVRHPECMEKVFPLKKETIESLQDLAEVTWTAKELLFACCR >PAN03513 pep chromosome:PHallii_v3.1:1:33295:34839:1 gene:PAHAL_1G000500 transcript:PAN03513 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G22880 protein [Source:Projected from Arabidopsis thaliana (AT4G22880) UniProtKB/TrEMBL;Acc:Q0WWD6] MESTLQLPARVEALSLSGLSAIPPEYVRPADERADLGDAFDLARDHTAPRIPVVDISPFLPLADDDKQQQRINSECVEAVRAAAADWGVMHIAGHGIPADLMDRLRAAGAAFFALPIHAKEAYANDPAAGRLQGYGSRLATNASGQREWEDYLFHLLHPDALADHALWPAHPPDYVAATREFGRRIREVASALLAILSAGLLGPGRGDALEKELTRAGDDDLRLQLKINYYPRCPQPELAVGVEAHTDVSALSFILHNGVPGLQVRHAGRWVTARDEPGTIIVHVGDALEILSNGRYTSVLHRGLVNREAVRVSWVVFCEPPPETVLLRPLPDLVTTDEPARFTPRTFKQHLDRKLFKKHQRQLLPPPPHDEQHQQEDTDGGGHHRHQINQSCIIY >PAN06563 pep chromosome:PHallii_v3.1:1:47399770:47406282:1 gene:PAHAL_1G272600 transcript:PAN06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLPX [Source:Projected from Arabidopsis thaliana (AT5G53350) UniProtKB/TrEMBL;Acc:A0A178USB7] MSGLLRWRRLAGAATRAASTLTAAECSPAAAGAAGGAAAPLPPPPHRRLQERRKWESSSSGGSSSSSSTDEPEPRRIRAEAHCPRCSKHMDILFSHRGPPAAGAGGGYQALNLCPNCRSAYFFRPHDLAPLQGTFVEIGRVRADIFDHPAARARDPSFWEAIRASSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGGSAGAEEGAGKEGWGGSNLGKDLPTPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSLQKGSGADSGGFDGEADDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGVSSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFKKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILENILMDSMYEIPDTKSGEKRIDAVVVDEDAVGSVDQPGCGAKILYGDGALDQYLSQIKASGDGAGNEVDGEAELSASRAIGM >PAN08382 pep chromosome:PHallii_v3.1:1:56440947:56444015:-1 gene:PAHAL_1G409300 transcript:PAN08382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTAANSHGRALHTCTASASALTGWSRRALAVPAHRVRSPRARVVVRAIAQRATWLPGLDPPAHLDGTLPGDFGFDPLGLGEEPAALKWYVQAELVHCRFAMAGVAGILVTDLLRVTGIRDLPVWFEAGAAKFDFANTTSLFFVQLLLMGFAETKRYMDFKNPGSQAEEGTFIGLEAALVGSQPGYPGGPLFNPLGLAKDIENAHEEKLKEIKNGRLAMVAMLGFIVQASVTHVGPIDNLLTHLSDPFNKNIIHALSSS >PAN03524 pep chromosome:PHallii_v3.1:1:457082:460497:1 gene:PAHAL_1G004700 transcript:PAN03524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRESAPSTTPLPYSYSPLPAADAASAEVSGAGRAHGSRRPLCAAALVLSAALLLAVAALAGVRIAPPRPIMVGVGETAAATGTSAAAPRSRGPGAGVSEKTSGAWSSSSSGMLGEGGNAFPWSNAMLQWQRTGFHFQPQKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDINGVWTGSATTLPDGRIAMLYTGSTNESVQVQCLAVPSDPADPLLTNWTKYEGNPVLLPPPAIGPKDFRDPTTAWFDPSDRTWRIVIGSKDPHHAGIAVTYRTKDFVHFDLLPGPLHRVEGTGMWECIDFYPVGTRGKGSENGIDMSDAISKNGVVGDVVHVMKASMDDDRHDYYALGHYDAAANAWTPLEPERDVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVLFDTKTGTNLLQWPVEEVDTLRTNSTDLSGITIDHGSVFPLNLHRATQLDIVAEFEVDRHALMALNEADVGYNCSTSGGAASRGALGPFGLLVLADKHLHEQTAVYFYVAKGLDGGLTTHFCQDESRSSSANDIVKRVVGSALPVLDGETLSLRVLVDHSIVETFAQGGRSTATSRVYPTEAIYANAGVYLFNNATAARVTAKTLVVHEMDSSYNHDYL >PVH66436 pep chromosome:PHallii_v3.1:1:44847609:44848127:1 gene:PAHAL_1G246200 transcript:PVH66436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTISTFCITIAAVQIYYLNKSDVGVPLQDENIGYSIPSTRLAPEAENFAHFNYMTW >PAN07526 pep chromosome:PHallii_v3.1:1:51946167:51948115:1 gene:PAHAL_1G342900 transcript:PAN07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKADTSKKGDGRLKAGGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQQYNAQHPENKSVAAVSKAAGEKWRSMSDEEKQPYVDQAGQKKQDYEKTKANFDKKESTSSKKAKTQDDEGSDKSKSEVDDEDGGSDEENDEDE >PAN09014 pep chromosome:PHallii_v3.1:1:59320411:59324158:-1 gene:PAHAL_1G452100 transcript:PAN09014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRTSESDRAPVHIYHRQNPEEHEPLSSDFDGAPPTSAIVAVDTNLDTSTLDTYRAPPAPLPYDVGLTVAENPDLGKSDTKIKTEGQQSPKVGEFESCEKGTPEDKPDEEDVCPICLEEYDEENPRSMTKCDHHFHLCCILEWMERSDTCPVCDQITLIDEMYE >PAN06029 pep chromosome:PHallii_v3.1:1:42756985:42759983:-1 gene:PAHAL_1G233100 transcript:PAN06029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAVHARRRRRLVLPLLLLLLPVVRARVTALIVFGDSTVDAGNNNAVPTAVRSNFPPYGRDFPGGRATGRFSNGRVATDFYSEALGLGRGFVPAYLDPDYGIQDFAIGVCFASAGSGLDVATSRVFQVIPLWKQVEMFREYKARLAAHLGAAEAHAVVAGAVCAVSIGTNDFIENYFALTTTRFLEFTVPEYTEYLVGLARAFLAELYGLGARRIGFTGLAAMGCLPVERARARALARGGCDEAYNAAARGFNAALAAMVADLGAELPGADIRVAEVYDFFEGVVREPARHGFVRADVGCCGTGTYEMGYPCGAWAAAPGGTCPDADRYVFWDAVHPTERASRLVAEHLVNSTFGRFDN >PAN06518 pep chromosome:PHallii_v3.1:1:47146156:47149164:1 gene:PAHAL_1G268700 transcript:PAN06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTSPDPPDYCSAESPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGLKKEMREMLPVVIYKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHINCIDHWLSKNTTCPLCRVSLLPAPKATSIDPDLEAQTVEESLNVHHQEDLSDRNTPQEDQAAEGGGQAEEPCSDAVEPLTVRVVTEPQADTEGSPSTTCQPDKAKK >PAN07322 pep chromosome:PHallii_v3.1:1:50930100:50933309:-1 gene:PAHAL_1G326500 transcript:PAN07322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFSHVPPGFRFHPTDEELVDYYLRKKVASNKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYTKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTTPEEGWVVCRVFKKRVATVRRMADGAPCWFDDHVAGFMPNLGSSPRQLMMHHHPPSASAAAGYGGQQLYHHCKPELEYHHLLPSQEAAFLQQLPQLESPKPPAYIAQGSCSLQSDEPSRYTAQQPAMDPAYMAAGDDSVTDWRVLDKFFASQLFSHGDGTPKEAGYSNPAQVFQAENKQPEEALADYASTSGNGGGEADLWK >PAN07341 pep chromosome:PHallii_v3.1:1:50930431:50931404:-1 gene:PAHAL_1G326500 transcript:PAN07341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYTKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTTPEEGWVVCRVFKKRVATVRRMADGAPCWFDDHVAGFMPNLGSSPRQLMMHHHPPSASAAAGYGGQQLYHHCKPELEYHHLLPSQEAAFLQQLPQLESPKPPAYIAQGSCSLQSDEPSRYTAQQPAMDPAYMAAGDDSVTDWRVLDKFFASQLFSHGDGTPKEAGYSNPAQVFQAENKQPEEALADYASTSGNGGGEADLWK >PAN06295 pep chromosome:PHallii_v3.1:1:45741820:45743301:-1 gene:PAHAL_1G253100 transcript:PAN06295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein CCH [Source:Projected from Arabidopsis thaliana (AT3G56240) UniProtKB/Swiss-Prot;Acc:O82089] MAAETVVLKVAMSCEGCAGAVRRVLSKMEGVETFDIDLKEQKVTVKGNVKPEDVFQTVSKSGKKTSYWEGEATAQAAPAPPAAEAAPSTEAEAPAAEAAPEITPAKAAAPEIAPAKSDA >PAN07967 pep chromosome:PHallii_v3.1:1:54207918:54211279:1 gene:PAHAL_1G376800 transcript:PAN07967 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS-like protein, Heading promotion under long-day conditio [Source: Projected from Oryza sativa (Os02g0724000)] MAALCDFCGKQRSMIYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCCSQPAAVRCIEENTSLCQNCDWNGHDAASGATGHKRQAINCYSGCPSSAELSRIWSFVMDIPTVAAEPNCEDGMSMMTIDDGDVTNHCGASDDKRLLEIASTALMSDPPTGDKLKPLIGSSSGDGFNVLPLATNQPAGPVSMTQKVPYAADDDKFNDGSMYEDLCVDDTDLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEMPPFDSNEEHKYMQLECSNVVSADSAMSNPGAQADSSLCIPVRQVRSSISQSFSGLTGESSAGDHQDCGVSPMLLMGEAPWHPPGPEGSVAGGSRDSALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFIKAGEAYDYDPLNQTRSY >PVH66100 pep chromosome:PHallii_v3.1:1:16111288:16117273:-1 gene:PAHAL_1G149100 transcript:PVH66100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTADTIRTAIGVIGNGTALVLFLSPVPTFYRIWKKGSVEQYSAIPYVATLLNCMMWVLYGLPVVHPHSMLVITINGTGMAIQLTYVTLFLLYSAGAARRKVVLLLAAEVAFVGAVAAMVLTLAHSHERRSMVVGVLCVLFGTGMYAAPLAVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIKFDLYITIPNGLGVLFAVAQLVLYAIYYKSTQQIIEARKRKADQVAMTEVVVDAARVGSNTNTAGY >PAN07124 pep chromosome:PHallii_v3.1:1:49904059:49905495:1 gene:PAHAL_1G310400 transcript:PAN07124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLDDLGLLLGGSSNASVAATYICNKFTDTSSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNSVNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGRQFFGLKRLPQTGFGYDFFLFQWAFAIAAAGITSGSIAERTKFVAYLVYSAFLTGFVYPVVSHWFWSADGWASAGRTSGKLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRLGRFDGAGRPVAIRGHSASLVVLGTFLLWFGWFGFNPGSFLTISKVYGQSGTIDGQWEAVGRTAVTTSLAGSVAALTTLYAKKWMVGHWNATDVCNGLLGGFAAITAGCSVVDPWASVICGFVSAWVLIGCNKLAEMVRFDDPLEAAQLHGGCGAWGILFTALFARREYVEQIYGAPGRPYGLFMGGGGRLLAAHVVQILTIVGWVSLTMGPLFYALHKLGLLRVAEDDERRGMDVACHGGPGYVLVEQDGAGPAIEMDDA >PAN08955 pep chromosome:PHallii_v3.1:1:59038878:59040534:1 gene:PAHAL_1G447700 transcript:PAN08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKPQHHHHGSSSLGAEELNLLHMARGSPDGGGRGESRGALGQWKCRLLGSLGGLLPRRARCVVCLQVQHVTGLPQAAEGRGVVVGWRSKGGEGEHTAPARVTRGAAAFDEVLLATPRSFTVWAALLDSPANGDLGAFPVDLTEVAAAETSNPKFGGKALSFPLGGAAAGAVLSVSIYCRVMEPEENHGANGHAREKKNKGKGSYASCLPDLSCLRNRQVAAASGSARRATSIRSDRGGFITIENSVAEMDGAGAGSAFRVAEDVDEEGAGFITMEKGTVSSRSRRPLPDTVSSADEEDEKPCLFMELSEEAASVASAFDVEKVEDEFLAMLEDKYWARSKEIEKGLSVSLDIGLDLGLDLDSLIKDAEMELAKAEQAWKSKVGAAIVEEEEYKELVRRWSARETMNSQSAASTGCSWGFGFGSPI >PAN03605 pep chromosome:PHallii_v3.1:1:941848:943561:1 gene:PAHAL_1G009400 transcript:PAN03605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITWYSNRIERWHLKRGDHIYCWRTRAGYFYSHHGIYESDQKVIQYCGASTFSSSLIQIPLTPLSAMTQARRTCIACREAEREGGVVISCLDCFTEGDNICLFVYSVPRWFRNVWLIGSPIQHTCLVEAEDPPETVLRRANNLLANHGRFSVDSYDFAANNCQHFAIYCKTGRKLTRFN >PVH66096 pep chromosome:PHallii_v3.1:1:15898281:15906893:1 gene:PAHAL_1G147800 transcript:PVH66096 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 21 [Source:Projected from Arabidopsis thaliana (AT3G49260) UniProtKB/TrEMBL;Acc:F4IWT1] MGKKHAAGSGGGWFAVVRKVFRPSSSSSATTSKDKDAVQHGKQQDGAGEEAEAAAAGGGEEPEVLLLEHFPASETSAEASNEGGDAELLAPPVRKAEYHRGAGGGLREHEAADDDDDDMERARALAAAAEAAVAAAEAAARVVRLAALRRLSREERAAVRIQAYYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTELPLLLLPPPTPPAIRPSLSLLGARRHHHQPCLDLALVGDHQDARDDGEVAEDLLQQQRSRSRGRLRRGDDDNGGGRSPSAGWDASSRTLEDARAEGARRHDAAARRERALSYAYAYQQRQWHRQEDEKAGLGFHWLERWMAATQPQRRQDAPDHAKTTYQGAAARTASYVTAAAALPGGMPEKTVEVDTSLRSPLNQAVHGRPPAIPGYMAATRSARAKARPAPPPPATPTHGRSPSGGGIAGDSSSSGQSAGQNSGAIAGYSPDWSCTGDWTPPRLGVSTRTSRVAYT >PAN05661 pep chromosome:PHallii_v3.1:1:15898281:15907136:1 gene:PAHAL_1G147800 transcript:PAN05661 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 21 [Source:Projected from Arabidopsis thaliana (AT3G49260) UniProtKB/TrEMBL;Acc:F4IWT1] MGKKHAAGSGGGWFAVVRKVFRPSSSSSATTSKDKDAVQHGKQDGAGEEAEAAAAGGGEEPEVLLLEHFPASETSAEASNEGGDAELLAPPVRKAEYHRGAGGGLREHEAADDDDDDMERARALAAAAEAAVAAAEAAARVVRLAALRRLSREERAAVRIQAYYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTELPLLLLPPPTPPAIRPSLSLLGARRHHHQPCLDLALVGDHQDARDDGEVAEDLLQQQRSRSRGRLRRGDDDNGGGRSPSAGWDASSRTLEDARAEGARRHDAAARRERALSYAYAYQQRQWHRQEDEKAGLGFHWLERWMAATQPQRRQDAPDHAKTTYQGAAARTASYVTAAAALPGGMPEKTVEVDTSLRSPLNQAVHGRPPAIPGYMAATRSARAKARPAPPPPATPTHGRSPSGGGIAGDSSSSGQSAGQNSGAIAGYSPDWSCTGDWTPPRLGVSTRTSRVAYT >PAN03888 pep chromosome:PHallii_v3.1:1:1930498:1933328:1 gene:PAHAL_1G027400 transcript:PAN03888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MAMEEGSRGGGEEETVAVGTVADAAAAADAVVVLGPNDDDGKEAVEGRRPAPAATAAYAAVVIGGTFDRLHQGHHLFLKAAAELARERIVIGVCDGPMLAKKQYAYLIQPIEKRMENVKDYIKSIKPDLEVHVEPIVDPYGPSIVDEGLEAIIVSKETLPGGLAVNRKRAERGLTQLQIEVVELVPEEATGNKISSTAFRKLEAERELQHQQETQQQTAAQLECRT >PAN07513 pep chromosome:PHallii_v3.1:1:51880527:51883810:-1 gene:PAHAL_1G341900 transcript:PAN07513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPEARELLLRVLLAAGAFACAAAAGAASGSSSKVPAIYVFGDSTADVGNNNYLPGSAVPRANFPHNGVDFPTARPTGRFSNGYNGVDFLAMNMGFKRSPPPFLAVANKTNKQVFRGLLGVNFASAGSGILDTTGSSIIPLSQQVEQFATVQRNISARVSQGAADAVLSRSLFLVSTGGNDLFALFARNSTPSDADKRRFVGNLVSLYQNHVKALYVLGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGFNKGVRDAMRGLGSSFQGLKYSVGSSHAVVQSIMKHPQRLGFKDTTNACCGSGRFNGNSGCTPNATLCGNRHEYLFWDLLHPTHAASKVAAAAIYNGSLHFAAPVNFRQLVEDQC >PVH65652 pep chromosome:PHallii_v3.1:1:3216048:3218914:1 gene:PAHAL_1G047100 transcript:PVH65652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPSSALPAWATDNALLRRHRRLLPLVLPAVSHRDLLPALCYCLVSGLARNPFVASRLLLASSGLSLPFSLLLLSHLPASSLSPFSFNSLIRASPPGLALRLFDQMRRRGFSPDPYTLPFLIRACSGSDPPLCQSLHGQGFRLGYGGHLFTQTALMNMYFACGSVLAARRVFEEMLARDVVAWTGMVSGYVDSGMYLKAAEVFQQMRGADDLVRPNEATVVSVVSACAGLGSLEYAKGLHSYLEKIGLEGELIVRNALIDMYGLASHGHGNEAVALFFRMLEAGVRPDSTTFIVVLSACSHAGLVDEGIHVFNSMESEYHVSPSIKHYGCMVDLFSRAGFVHRAYEFISTMPFEPNLEILGALLSACSINNELEIGELVLSKIDSVCSYKGGAGVLLSNIYANQNLWQEVDIIRRKIRTEAIARKPPGQSLVATEVSCTSL >PVH66604 pep chromosome:PHallii_v3.1:1:48948854:48949078:1 gene:PAHAL_1G296200 transcript:PVH66604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISASYQVFMFQFCAESLKGDTTCIGAKKLKFDQRISTVP >PVH66980 pep chromosome:PHallii_v3.1:1:55729862:55730632:1 gene:PAHAL_1G399400 transcript:PVH66980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFLATFKCIIQSGQVCFTRPISRENMSFLRFLIGESLSIKSQLEPCQFKFLKDVNVQLLLQIEVDRNRVRPWWFPAASAKKENNLARHQRSLHCH >PVH66290 pep chromosome:PHallii_v3.1:1:30197490:30199834:1 gene:PAHAL_1G196400 transcript:PVH66290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDASHMPVAAIDDASDVPVAAMDGTSDRLTVTNGLSYVRDVDSSSKPVIGMTFDSIEDVQNFYKDYAHDAGFSIRIGQQRKENEEIIAKYFYCSREGYRKERKTKIVDQAGKKRKPPSVLETRCGCQAHIYVKLGTDKKYRITSMVEHHNHGLVSPNKRHLLRSNRHVNERVKSTLFSCHKASIGTSQAYRLLHVSEGGFQNVGCTLRDLKNYYRDLRSRIKDADAQMFVAQLERKKEVNSAFFYEFEVDAQGRLMRVFWVDPTSRKNYKHFGDVVSLDSTYTTNQYNMIFVPITGVNHHLQSIFVGAAFLSNEKIESYVWLFETFLKAMGGVPPHLIITDEDASMKAAISKILPDTTHRLCMWHIMDKVPEKVSPSLTEDREFWDRLNSCVWGSETPEEFESSWPSFINDFQFTGNEWFSTRYLIRASWIPAYFMDVPLAGVLRTTSRSESANSFFNRFICRKLSLVEFWLRFDTALECQSQEELIADNKSMHSEPTLKTSWAMEKQCSTIYTHEVFAEFQSQLLAARDHCFIQGITDNEEMKSVIVSSRSRKERVVTLDKSTMFWKCSCKFEESYGIPCRHIIQALRTEKKDEIPVIYIMKRWEKRCKREIFFDEEVLPSKMNSKLSLVQRFQMKSIYTFPTMSSPRGDARGSRKARR >PVH66164 pep chromosome:PHallii_v3.1:1:22697188:22698611:1 gene:PAHAL_1G169800 transcript:PVH66164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTHPFPGVNMVESNDRTARRQLDFALGINMAGVASRRQTKDGEADSSNRPQNEKDDYVTERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIRDCPECGPGRPEARDSVFQRIGPAPIRQVRVRSPRKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKKWRPKSTKADKKVSADADMVFVLPAEFHARPQEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGDWIHTNCCIPSMMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PVH66368 pep chromosome:PHallii_v3.1:1:39472973:39473833:-1 gene:PAHAL_1G224700 transcript:PVH66368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFSSLLINALESLGVAERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFERHLAATPVRYFPPAIRTPVWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIQAAQAEARAAAAVSSEAVAQESLRQPRDRRMQEWTQSGTPVPVIGEDHVLLGTPVIGWGPLFGNTQAPPKNPESSAAAVERDAAAQPLTNGNPEDGEQGLLTLPAPEEGTPRE >PVH67213 pep chromosome:PHallii_v3.1:1:59396150:59398660:1 gene:PAHAL_1G453900 transcript:PVH67213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPPAEARLAMMELANMISVPMALTAIIRLGVPSAVWAGGANAPLSAAELLPEGHPDPSVLERLLRLLASRGVFSEHGASPRRFALTAVGRTLVPGPSGASYADYVLQHHQDALVAAWPRLHEAVLDPAGPEPFARANAGVPAYAYYGKDREANEVMLRAMTGVSEPFMEALLDGYAGGFDGVATLVDVGGSSGACLEMIMRRVGTITEGINFDLPDVVAAAPPIAGVRHVGGDMFKSIPSGDAIFMKWVLTTWTNDECRAILSNCHSALPAGGKVIACEPVVPEETDTSTRTRALLENDIFVMTTYRTQGRERSEEEFRRLGLAAGFTGFRAIYLDPFYAILEYNK >PAN04353 pep chromosome:PHallii_v3.1:1:4131710:4137114:-1 gene:PAHAL_1G061100 transcript:PAN04353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSSGSAVTGGGAEEAAAAVPLGQKLLVHVAENGHTLEIECFGDTLVETIQKCIEKYCGIPFGDQRLVCGNSSLDSAHPLAYYRLPRDDREVFLYNKVRLLADSRPPPPESVDIPEPKIPPPPRPQDSPPVEVSADPALKALVSYEIRFRYHFQVANAVYRCSDEKFERCKRLLREQQVQERALDTARSSLEHTFRKVSQRYSEFVRCFSQQHRAHMELLTNFERDLQRLHAVRLHPALQCEGRQCLLDLLKENDMRKLADGCFSSQKHFEMKVSQLKANFLELKKRVDNLFNVMSSSGCKDLEKLIKEHQGVIGEQKSIMQSLSKDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPRIRNFDHMLTKLLEKCIDKKNEMITLVHVSMQRVKSTQVNIRDIMNELIAFQEGMSHQDDFANLKIVSGLGHAYRACLAEVARRKSYFKLYTGLAGTYAEKLATECETEKARREDFYRTWVKYIPDDIMGSMGLFDSPSQCDIKVTPFDRGLLPIDVDDVEKLAPQSLVGSFLKSERSQLPKSSPSNSSTSGNLNKSEQNPLSADDKMDFQDFLGDYDTIDIAGTSKLEVENARLKAELASAIATLCNLGVEYGYESVDEGQIDSVLKKAREKTAEALAAKDEFANQLKSLLTANKEKCLSYEKRIQDLEERLANQYMQGHMVSGSKDTSDSLLSAFKSNDCNLDVSGGRQTQIRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDSVACTNLDAFMTELPRDTDNEHKIVSIDKEGRMLTQLTMADTSDVPVEDRHALELRNKELLVSELQNALDEKSKQLGETESKLSAMTDEVNSLKKELEHARVLLDESQMNCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNSCVTAPGVTSFADSLRSLAVSLASSVKKDEADTTVQFQQCIKILAEKVALLTRQSAEMLERYSAVQAAHGAIAKDLDEKKELIKNLYNKLQLEKQASKEKISFGRFEVHELAIFFRNPAGHYEAINRNGSNYYLSEESVALFTQQHPRHPAYIIGQIVHIERRIAHPDQMGGAPRPDSSGGRRSPASALNPYNLSPGCEYFVVTVAMLPDPVC >PAN05861 pep chromosome:PHallii_v3.1:1:29874392:29875703:1 gene:PAHAL_1G195500 transcript:PAN05861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFLGGKAVTVHMSCAAGGGPRRGERRGSGRARGRAGPAAAGGRGSAAGAGGGWREGRASASGRCARRRRGGARGTSAGRPGARTAAVWRSGGWRELSRRAAPSGTRWTRGTGVRKIAAGAARVPELHFPPSVSSVPIADEGPRATIGTSSGGFLRSLDS >PAN07303 pep chromosome:PHallii_v3.1:1:50831589:50833268:1 gene:PAHAL_1G325200 transcript:PAN07303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNAGNQSEVAVVTGGNRGIGLEICRQLASNGVTVVLTARDDKRGAEAVSILGSLGLSNVVFHQLDISNPSSAVRLADFVKEKFGKLDILVNNAAIAGTTRSEVDNPETFRQEIAGMDLMEKIGRIRKHIREPYKQAEECLRTNYHGTKAVTKALLPLLHSSSHGRIVNISSRYGLLRFFSGEELKQELNDIDKLSEQRLDELSELFLRDFKDGQLERRGWPTEGGFTAYKVSKAIMNACSRILAKEHPSLCINCVHPGFVQTDMSFQVGDLTVEEGARGALMMALAPKGGMTGGFLNLTEVAPFM >PAN05072 pep chromosome:PHallii_v3.1:1:8582539:8584492:1 gene:PAHAL_1G111900 transcript:PAN05072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKARVQDTDMPARMQAAATSAASRALDLFDVADCRAIAGHIKTEFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAAAAS >PVH67058 pep chromosome:PHallii_v3.1:1:56918544:56918981:1 gene:PAHAL_1G417500 transcript:PVH67058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPMRHPLPFPFLSSPATLSSPVLASLLSIPGLPSPPPSRPAPHLLRLSCLLDSAAVQPPCQGTERGILRPPLLMRRGEASIGSVTRRRGTPAAAAGSRGRSPASIRQRARGPGWRRRPTRRRTATTRRRRRMSRRARRPGTAAG >PAN05687 pep chromosome:PHallii_v3.1:1:16963387:16968120:1 gene:PAHAL_1G150800 transcript:PAN05687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAGPGDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSATVAEFDSRLKEKGADFPDYFVRTLLTIIHAILPPSSSNPSSAAVAAGPAGAEASKFPGLARPDDPDHARNLRLELERDADAAAPAPARDDRDRRRDGRSRDRDYDRGGRDHDRDRGGRDHRDQDHDRGGRDRDRDRGRDREYGRDRDWDHGRDRDGDQDRYRDRDRGRDRDMERDSDRDRGRSRRYEDEEEEEDRGVGGRGREVAASNPSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHVSQMSSRRVTNAKEVVKRDQEVHVKVVSVKGQKLSLSMRDVDQDTGKDLLPMQRGADDAPRVNPSGGGGGAMGPGRRLGLSGIVIMEEDEAVPTSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMMYQEEGAEEELEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGRDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >PVH66867 pep chromosome:PHallii_v3.1:1:53953898:53955951:1 gene:PAHAL_1G372300 transcript:PVH66867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRGKKLTIARSHEDKGSSGEEVVPARKRRGRPQKRFADKIDQTDVENFVEKVDGDGEEVDDVKLKNSTSSVGNKRGRPPKEGSNLVLEENNSSVRSSSDESTRTNGFRQIGSRRKSKPRRAAEAGLECK >PAN04118 pep chromosome:PHallii_v3.1:1:2977740:2982541:-1 gene:PAHAL_1G043600 transcript:PAN04118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCO1 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G08950) UniProtKB/Swiss-Prot;Acc:Q8VYP0] MRARVSHLRALLSRALAPGLAPPPARALPQITGPGESRFGAAFLGRARFFSADASTAAQGGSKPPAATAAATTGGDGGGDGQSGKSEQADAGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNRTSAVKPGQSVGTAAIGGPFKLLNHDGKPVTEKDFLGKWTLLYFGFTHCPDICPDELQKMAAAIDKIKEKAKLDIVPVFITVDPERDTVEQVRDYVKEFHPDLVGLTGTTDEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDTDSLADGIIKEIKEHK >PAN08238 pep chromosome:PHallii_v3.1:1:55620067:55623224:1 gene:PAHAL_1G397200 transcript:PAN08238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFLPAAAPLAAVSLLPARCRLRLRLTLTRAAATSTPATPTPAPASTFAVEDYLVASCHLTPPQALKASKSLAHLKSASNADAVLAFLAGLGLSPKEVAAVVAYNPRVLCARIDRSLAPISAELRALGLSPSQIAHLAQIAGRYFLCRSFVSKVRFWLPLFGSPERLLQASDWNYWLLTSDLEKVVEPNVAYLKQCGLSAADIAKLLVAAPRLVTMHPEYVQDAVRRATQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKETLGWSQEEVNLAVSKAPRILVASEERLRRNAEFLLNEVGLPPEYIARRSVLLMYSLERRIVPRHLVLKALKEKGLVEQDRCFFNVVAPTEEKFLEKFVAPYEDSIPGLADAYESACAGKVPEAFQH >PAN07384 pep chromosome:PHallii_v3.1:1:51245771:51249396:1 gene:PAHAL_1G331300 transcript:PAN07384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD2a [Source:Projected from Arabidopsis thaliana (AT1G02130) UniProtKB/Swiss-Prot;Acc:P28188] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQDSFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVPTETAKAFADEMGIPFMETSAKNAINVEQAFMAMAASIKDRMASQPAASNARPATVQIRGQPVNQKTSCCSS >PVH66822 pep chromosome:PHallii_v3.1:1:52966054:52968638:1 gene:PAHAL_1G357800 transcript:PVH66822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRAGFLLAAAAWVWLWAVAASAFDDVPTAAFDERFSPLFGDGNLVRSSDDRSVRLLLDRRSGSGFMSSDYYLHGFFSASIKLPKDYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTSHGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDGTPIREVIRHPDMGGDFPAKPMALYATIWDGSAWATEGGKYKVNYKYAPFASEFSDLAVVGPRADPVLRAPRGAGDDQDLLGLMTADYAVMTPQKRAAMRAFRARHMTYTVCYDAVRYASGPFPECDNSDEERENFSAWGESKTVVMRPRARGRRRGRKAGAGARGRADVASS >PVH66051 pep chromosome:PHallii_v3.1:1:11821360:11822975:1 gene:PAHAL_1G135100 transcript:PVH66051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAELRFPAMVVLLSRRLKILQDLSDGDGVGVPLGEVAASGFCLLFFASFGPGALFVGVGVASGFGGPPIPGGEGMRVLFCSRAKGMDLMRSPRSCFFRAGGFGVGGGTPVGLSFPGGNESASSCSGWPGLRWLEDLTPLRSFSLVRLSILVQRLKSILDGAPGWWVMRLNQGLGSSGGGTGVLDIAGAASAQQVGAVDLSVISLFFGVLFVKGDVLCSSFRKINK >PAN03611 pep chromosome:PHallii_v3.1:1:972101:973390:-1 gene:PAHAL_1G010100 transcript:PAN03611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTKKTGAKRKRSARAPRRAPPQLMEEILVMEILARLPVRSLLRFRSVCKAWRAAISDPVFIRAHLRRSAAKCPQTLDDGWPAIFSNHILFYQWQLQRRGGTSPNNNQVTFLDEFCRPFNILRYLTHCDALLFALTNTGLYLFNPATRDAITLPDSHRNDLRALGRPKCYRAGLGLDPRIGRYKVVQAFYRSVVDPDTGTGTDMGMEVFTVGDGGGGWREIAGDPPYPARRVQNALAASGFMFWRLAEPLEQEPWGILDLSLDDEEFDVTGLPDDLNPEDEFFLDVLHGRDLCLTACNSSQTILNIWVLPIADEGLCTMWDWRYAIEFTAGLCHTMALPSFSSNGIILWRAETVYCYELATDEVKVVCELRDMRYQRAREWKSLFNFSTAMPFTESLVQITSKSHQGSSWNPWIKLLML >PVH66430 pep chromosome:PHallii_v3.1:1:44404876:44405235:1 gene:PAHAL_1G243300 transcript:PVH66430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPSATPPPIHQIADLGLAACVRCSLNATEAKRSRIAVLIFLVTSQGGTRNWSPTFLLHLRRGRHHQALCILHP >PAN03742 pep chromosome:PHallii_v3.1:1:1407636:1411897:-1 gene:PAHAL_1G018600 transcript:PAN03742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDVAPAVTLMSNGHISKMPAAVAAPAGNGHYYSMMPAAAATPGNGHRSSRRMPPAVTLSSGHRMPAVGLGVWRIEKADVRGLIHAAIREGYRHFDCAAKYQNEAEVGDALAEAFEIGLVKREDLFITTKLWNSDHGHVIEACKDSLKKLKLDYLDLYLIHFPVASRHTEVGTVASVIGEDGVLDIDTTVSLEATWHAMEDLVNMGLVRSIGISNYGVFLTRDCLAYAKIKPAVNQIEMHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANAKLFGSLSCLDDPVIKELAEKYGKTPAQLVLRWGLQKNAVVIPKTSKVERLQENLEVFDFDITDEDMEEIKAIDKNHRSNQPAKFWGIDVYS >PAN03640 pep chromosome:PHallii_v3.1:1:1103026:1106439:1 gene:PAHAL_1G012600 transcript:PAN03640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MAAPAPTALCARHFRLPRASSSSSSPSPSPPRLPATASACSSSRLRPRRLAVSPRAEAGTGDVEALRAGVSVYKPRSYDVLVTDAARSLACAIDDGKTRLEIEFPPLPSSISSYKGSSDEFIDANIQLALVVARKLKELKGTRSCIVFPDQPEKRRASQLFRTAIDTIEGITVSSLDDVPADAVNSFFKSIRNTLDFDFSDDNEDRWKSDEPPSLYIFINSSTRDLASIEKYVEKFATSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVAPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESEARFTLGQAKEELLRVIGLQEEEGSSLEFLRRGYKNATWWEENVDQETSAAWRT >PAN07768 pep chromosome:PHallii_v3.1:1:53244466:53250595:-1 gene:PAHAL_1G361100 transcript:PAN07768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACIACSTKDGGDQDGPRAATPHGRDAGKSLTSQLKDMVLKFSGSGRQYKAAGSPSFRGNRFHRSSRLAAYPGVIDDSGFASDSGFASDSGYSYMRTTAAAAARAAPPPPPWEAAKVVRGFPQHARSPSASWIPSIGEEDEDDDEEEAVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREIFNKWEAQRWWGENYDRVVELYNVQTFSRQQGVSTPTSSVDDATTQRDSSFYSRAGSTRESPVVILPPTAAGREQPVARATPCRAMAAAAASTGRAPCNPSSTAVPDPSDHVWAHHFNLLNSAPPPAPAPPHFDPSRATTSSLDEAASVSVSNASDLEAAEWVEQDEPGVCITIREFADGTRELRRVRFSRERFGEERAKVWWEQNRDRIHAQYL >PVH66827 pep chromosome:PHallii_v3.1:1:53244420:53246231:-1 gene:PAHAL_1G361100 transcript:PVH66827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVIILRGSGHLTKELSFPRMCFQLKDMVLKFSGSGRQYKAAGSPSFRGNRFHRSSRLAAYPGVIDDSGFASDSGFASDSGYSYMRTTAAAAARAAPPPPPWEAAKVVRGFPQHARSPSASWIPSIGEEDEDDDEEEAVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREIFNKWEAQRWWGENYDRVVELYNVQTFSRQQGVSTPTSSVDDATTQRDSSFYSRAGSTRESPVVILPPTAAGREQPVARATPCRAMAAAAASTGRAPCNPSSTAVPDPSDHVWAHHFNLLNSAPPPAPAPPHFDPSRATTSSLDEAASVSVSNASDLEAAEWVEQDEPGVCITIREFADGTRELRRVRFSRERFGEERAKVWWEQNRDRIHAQYL >PVH67152 pep chromosome:PHallii_v3.1:1:58426090:58429908:1 gene:PAHAL_1G438300 transcript:PVH67152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGFVEEMMREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSARIIDVGGRGGNAPAAPAPPALEPLMALPPPAAQDDAAAFFAAAGAPVFDAKDVGGHIGPCDDLDMDMAQLEWLSGLFDDASIPHEPAFPGASCAAPIKSSALAANAGVALLPPDKMEDALFRSSSPISVLEHNSFNANNNVGSASSSSSSASSSSESFSGSGGHAWSAPVSPRPEPPVLVIPARARSKRSRPSAFTGAARAAEAPTILVPTPMYSSTSSHSDPESIAESNPHQAPPKKKKKAKKPAPPAPTASDAEGDNDGDADYEEGGERSQPQGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRSGDPSCDLLQFIRRRD >PAN03639 pep chromosome:PHallii_v3.1:1:1101470:1102805:-1 gene:PAHAL_1G012500 transcript:PAN03639 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPB15.9.9 [Source:Projected from Arabidopsis thaliana (AT5G09920) UniProtKB/TrEMBL;Acc:A0A178UIQ5] MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQQHASESDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTIGNLCPDTSGEATALVPSLKSGGRFVGDAGNEKIEKMLNDLSLIKKFE >PAN06685 pep chromosome:PHallii_v3.1:1:47936563:47937611:1 gene:PAHAL_1G280800 transcript:PAN06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRRLLDLETHDAGVLFFYGGACHYGDRVVVAARAVVFLAAALLLRLVAPLRHAAAACAGLCGSCSASSSIDRAARPRSRSFASGAASRATPRRVMARRGGAGIECSK >PAN06405 pep chromosome:PHallii_v3.1:1:46606720:46610072:1 gene:PAHAL_1G261900 transcript:PAN06405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNGPHDHQAAAASDERIFTPPTTMTFLGPAENRNGGSRIGSPVGMDASKGKDVVLPNAVQGGEHGSAGGGEANADKSKGKHPAVGDAATAAAGDGFPKGKGSLAADDGGDTKAHIITERERRKRMKDLFSNLHALMPHVPEKVDKATLVGETIHFIRALEQTKAQLERRKQEQALARQAAAEAVVSALLSAPQTAQGMAAMSNGWGPAVPHHQQPLAAAAAPPSLATATGPAGFQTWSAPNVVLSVSNEKAIINVCLPRQPRMLTLVMSVLSKHAIDVITAHVAADGPRSLITIYTRVNVAGGESPSAEDIYKLAVSEIMVWLTS >PAN04562 pep chromosome:PHallii_v3.1:1:5115697:5116483:1 gene:PAHAL_1G074600 transcript:PAN04562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPIRAPRVGMGAVRGAPASLAPFFFRGRVDGSIVHPSIAFPTSFQRGASCLVVPPFLLFAFVLVRPALLLPPGGPGDRWRCSGCGSGRWTSTPPSTSRSGACRCSSSPGSSAAASPGGTRSTPSPTPPRPRPPPSPSPMSSRAASASAASGAGRVIPRARRTRGLLLSSAFHLVALLWTGGWLLFSSVVLSCL >PAN03738 pep chromosome:PHallii_v3.1:1:1389704:1394261:1 gene:PAHAL_1G018200 transcript:PAN03738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKGKDLHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHRNIVRLQDVVHNDKCIYLVFEYLDLDLKKHMDSSSDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRNNLLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPNEETWPGVASLPDYKSTFPKWPSVDLATVVPTLEPAGIDLLSKMLRLDPSKRINARAALEHEYFKDLEIA >PAN03768 pep chromosome:PHallii_v3.1:1:1508766:1513527:-1 gene:PAHAL_1G020700 transcript:PAN03768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSAAAKASAAFAHKKELAAAAPAPTQHRAGSGRRSKPCRVRAVASPARAPRAPSSTGSVKTAMTMTEKILARASERAGLEPGENVWVDVDVLMTHDVCGPGTIGIFKKEFGGDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVLGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLSMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVDYEPVYSDAQARFFSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDLYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLM >PAN09106 pep chromosome:PHallii_v3.1:1:59681208:59682718:-1 gene:PAHAL_1G459100 transcript:PAN09106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVQDLSLGLSLSLRGRSFVSSKGAKVQCLLPCSSSSSSSCCSAAAIHRRQWRFAVTTRAAGDDRSESSSSGGDDDEEEAAADEARRSSLSREDLERLVGPDDDDKFNGLDLANLIRKKYGRSYDVTLIKKEFMGRNLVAMNVMWKYREQRSFPLSEEEYLLRLDEVATTLRCWGAVAHVRNTLEKLKERPRIGKAVSIFIDMDQTGGRANEWIYK >PAN07529 pep chromosome:PHallii_v3.1:1:51959965:51961041:1 gene:PAHAL_1G343200 transcript:PAN07529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLTEDKWSQLPPELLHLICRKLPDTGNFLQFRAVCTSWQDGAPLSDPPPQLPWILEHRGPKLQARRHLRFYSHSSGKMYCVRVGGRSSWLLGSGAFEGHVIAIVDLAKTMLYNPFTSEVQALRPAPYKPWLDGVFHVVCDGGTGCMVVNTCTITRHFAYCRPGMDAGWNIFDERKDMSHSTYNGGRFFVNTVSKTTLIIDADTRGVETIVPAPSKENFTTALGDYLVASHGKILRALQHPRDGNQAASASDYYFNVYQLDMLDGKTGRWRKIETIGNAALFFDHHGHGFSLEPNDAAGLRRDCIYFMHKKRMWVRFCNYRSLCRYSIHDGRVDKTMSLANKLGDTWVVPNLCCANE >PVH66599 pep chromosome:PHallii_v3.1:1:48880726:48884825:1 gene:PAHAL_1G295000 transcript:PVH66599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVAVFGCRNPEEIPWGAAGAEYVVESTGVFTDQEKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYEEIKAAIKAEAEGNLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVIDLVRHMHSTN >PAN07052 pep chromosome:PHallii_v3.1:1:49749324:49755715:-1 gene:PAHAL_1G307700 transcript:PAN07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVKSVIGQAPGDGLPVQRIISELKKITNPVEEKSGANGSSSSRKSNATSLKMLLEKEMAKEVESKRRAPSVIGRLMGLEEDLPTEELIVRHTKADLTSDLNASNKTLHGKEHHQSIRLKTQDHQSIDEMIEYNDVYEVSEQQSGTSCFQDQTSLKGWPSENKSKQFDIVQEKFIKPKCFAMEEKLLHTKELQEALEVPGSNKDLFLETPEEHSSSFSRQLSGLHTNQAPPPTKRITLLKPIKSVEINSIRQSRTEQVSKQNVLNMRKFHRIPSPKEEISSQPSRIVLLRPTPGKPSTSEAKLTSRESTFQLINRNSLHGSVDYSQATLGSSGLVHGIMQRRQDGFHQRDDSLLSSAYSNGYGGDESSFSDSEVDYSSGSEMDCIEDGGTLSDSEGGSPLSKNSWNRRGYEGPYSSSSLSKISHFSESSVIREAKKQLSERWAVVTCDDISQEQVQSSRRTCTLGEMLSIKEAKKEDVSTEILSASSNRSCSLDNELTTRSMYVTSSRKNGENGERSPRKLPRSNSVPVISSTFGNTVVDAQASNPDSRKLKMVVVSNKGKSSLKGRVSDFFLSRSKKPTRQRSTYHPSDCVADRLEACRVRSRSDGSGQDYNHNLVANGKAVDCEDRIDSFSTQISTSMSERSSIGATISLDCPRGSLDKLGVNKGLNSNRDQPSPTSVLDAPSEDSSCNEPETSGRTSKNAISRSSAIETVARFVSWDDSASESQLLGTPRTTSLMSDVDDDESECHVLVQNIMSSAGLGSSQSSIVFTGWHLPDYPRDPVLCNKVSELKEQSSYRRLLFDCVNIALIEIGENALLSSFPWSKRHPRTWRNTSSPDLGVEVWSILKDWIYGARMFVVSRRDNAGIMLDRIVKQEVEGRGWVNSLMLQVVDITEHLEGGVMEELLEEAVLDFAVCFRRGGVVCNW >PAN06854 pep chromosome:PHallii_v3.1:1:48767314:48774346:-1 gene:PAHAL_1G293100 transcript:PAN06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERLIGSSSLPFHPACTFFYWSGAAVDGGPAAAEEVASMAGVGEALVSAVLKEVLRKLGSAVGEQMTARWKLRQDMESIKSTLELVQAVLRDAERRSVREEAVSLWLKMLKNAAYDISDMFDDFEVKLSQGKISSSVAKFSMGRKLKNLREKLTNIAAQRSQFGFMLHTCSTDHEEIKKRETTSKVNRATIVGRQKEKVEIVTLLASNREQKTMVIPIFGFGGIGKTTLAKLVFNDDQMPNFDLRAWVYVSPHFDLKMIGKSIISQIKGQVEGLHDLQSVSNSLEEIMCGRSCLIVLDDLWENNCSQLTDLLQMLSNFKKESMIRIIVTTRTEEVARKIGTVTPYKLEPLSDDQCWALFKNISFLPGCPLGEDEKNVMENIGRDIANKCQGVPMAAQALGYMLRNKAVVEWKSVRDSEIWSGSSTTDDVLPSLKLSYYQMSPYLKLCFSYCSVFPKGFEVHRGNLIQQWISLGFIPPSPQKHITLEKVGENYVNELLGMSFVQYSRLTSLSTREDTKNSMLLRMHDLMHDLGRSVIGDELLLVDGEKEYSSSNGNYRYALVLNHEAQMAVCNDEPAKLRALHFSECPEIQLSLFGKSLRILDLGKCPRGNLPASIGKLKQLRYLSAPDMLHKKVPKHVTSLSKLIHLNMSGSIKISTLPDSIDKLRSLLHLDLSGCCKLHSLPESFGGLTNLAHLNLANCSLLETLPKSVDKLRSLLHLDLSGCCNLSSLPESLGDLMNLSHLNLSNCSLLNTLPESVETLRNLLHLDLSGCTGLCSLSESFGELVNLSYLDLENCYDLSSLPKSFGRLCELQYLNLSGCLKLNLKVDIETVCCLTNLQYLNLSRCPSLMHIPESVNNLKNLHTLDLSRCDWIERFPKRLCGMTSVKFLLIKGCSPWLQQRVRESQFKNDMLTLPKFIVQRTASSICMSSNISLLQAVHPAELEIECLQNVTSIEEAAAVNLADKSVLAKLVLAWTPAVGRVVEDEDLLQELQPPGNLKFLKVQGYMGTSFSGWMIDMASCLPHLVCIEMVDLPRCEHLPPFGQLQNLEQLTLKRMPILRKLGTEFCGGSGAFKKLRKFTLIDLETLEEWVTKDSANGEFMFPNLHKLEICRCPGLRLKPCLPRATEWRIQASDEIIATKYDAGSSFSLTLAKLHVTDCHLLPEEWALFEYLPALEILEISNYQKKKLPESLVFLVSLWSLKIDVSNNNQEQLCDWLVFLSAISSDQGLAVFPSPDLTALENLEISLNDESQKWCKMHGRWTLQNVKNKPTTFFERQIANIRKKKDQSLISYIHAVKQLADTLTAVGQTLRDEEIIRLILDHRLGLEDRTLIMRITGPNDDITLNDLCYYLSVWFDPPANCLIETDSD >PAN08826 pep chromosome:PHallii_v3.1:1:58446948:58451501:-1 gene:PAHAL_1G438700 transcript:PAN08826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRWRKAKMSLGLNLCVYVPRTLEGDDDSSPNTGSSTAALVSPVASSSSAATSANTTPTAASAEQSNGKVNGGAGAMMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLPAELPQVNARINPVNGNQNGGHMTILRPLPRARSSGRLHHLAALLPDNDPSTFNDDEPLELSCEATKDPQQGSLRTVEIKTHPEFTEVPENSSERNFTVLIHLKSPLAQHLQTPSNLGDGNGPSTARAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPTAGVHKGAPEYCALLPSTNGNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGLHVKVESLHPDVHFGSIRSGSYSSRVSDDKRNGSIDVGDLYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARFSAERGDLTNAVSLLEDCRRMITGSASGQSGDRLCQALDAELKEMQDRMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMIDMLLRSQTMSRSSTPRQTPQMRHAKSFPARPQPR >PAN03753 pep chromosome:PHallii_v3.1:1:1446497:1448384:1 gene:PAHAL_1G019500 transcript:PAN03753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGHGYGAYGYAGYVYDAGAYASAGGYYSDGGYPSAPAYEDPLVTAGRRAHDIPAPLSGLELQPSEACPKNYVVFDQTCTHSRVTFHPSLARKLGGPTESHGAGACAADADDGCSAVRQEEDPEEIDALLSLSSEDGGGDEDDVASTGRAPGCGRDDGGSPDSTCSSGVGKKKARVKKMMRALKGIVPGAKRMDAPDALDEAVWYLKSLKVEAAKKLGGARGSDS >PAN08753 pep chromosome:PHallii_v3.1:1:58196105:58198760:1 gene:PAHAL_1G434400 transcript:PAN08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGMKREISETHDALRFGINAGVKADLAPPHPLQSTIQSEAKFWADKKKFGTEAIYGSAFNIRKDLDAQILSRFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNMPQDSDSFRQPDMHHGMEVRLGLSKGPICPSFN >PVH66014 pep chromosome:PHallii_v3.1:1:10256709:10260028:1 gene:PAHAL_1G126900 transcript:PVH66014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHISKQRAEQRGKSSEMGGVVASSALVVLLLGVALVAPASAQLPADCPDRCGNISVPYPFGIGARCARDFGFELVCNHSYNPPRLTFFPPLPTPTSILAGRRLNLASLSLADGEAIALVSAYRECNTTAGVVISNNRNQTIYLSLLGSTTYRLSAARNRFVALGCPNLGYLSDDMGYYVTGCTSVCRPSQWNVVSPGACTGVGCCQSRIPPNVSFYEPSVQGFDKETRIFNENTTACRYAFVAEDKWIETTYSGRPDFNRSDDFAVPVVLDWAIRNVANCAVAQRNMTDYMCRSAYSDCVNSTNGAGYRCKCSQGYEGNPYLHDGCNDIIECDHLNKYPCYGVCTNVQGGYRCDCPPGFSGDATKINGCRPNDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQIRHSGGGAGGFRIFSTEELEKATNNFAADRVLGRGGHGVVYKGVLDDNMTVAIKKSKMTEEAQIKEFAREMFILSQINHRNVVKLLGCCLEVQVPMLVYEFVSNGTLYHYIHGKEPRVDIALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTTAVKAGRHQELLDSQVRDEMNNEMLTEIAHLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPLAEAAGNAEENQSLLGMEQLNSNYYQFRQHDVLDLEEGSTYTFSS >PAN07032 pep chromosome:PHallii_v3.1:1:49494814:49500433:1 gene:PAHAL_1G305900 transcript:PAN07032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGERGALLPVSADDGKGNGGGGAGEDAALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTCLLYVLRRLKIISFTNSDPSVPSDSLFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFVAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKRAIEFPYLYSPGFQAVLLFSCILAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >PAN08942 pep chromosome:PHallii_v3.1:1:58955283:58964121:1 gene:PAHAL_1G446500 transcript:PAN08942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAVARLRELATAPGAGLDGPGAAALAECCAELLRAGGGDAEAAREALEALCAAGGGDAMRRHADGLAPLVVARLGDGDAAVREAARRFLVLLMEMKEMNARTESTQPNTCMSDSDDQHVHCTTIKMESYSQVRKSSKEKITTRDISLLAGEGDITKKLVEPLKVFSEKDLLREIEKVVSTLQPDNEWSIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQLLDRRSSVVKQACHLLNFLSKELLRDFEPYAELLIPVLLKNVVITILVIAESADNCIKEMLRNCKVARILPRIIEFAKNDRSAVLRARCCEYAILMLEYWVDTPEIQRSAYLYEDLIKCCIEDATSEVRSSARACYRMFSRIWPERSRMLYSSFEPSRQKMINDEDAETHQRHLPPVESVKLSQPQPSSCTPAVTDKVVKVDSGTSFSFGDLQPSQILCLEYDDMTSKCQDQGSKNDTSAIGSSFEDKITLGKEEFTNRDTGKCDSDNSAGFNSSSCDLPSDTPFATGAPSEMPLTDAAVVTIVQDKAECVSNAEQITSHQVQGPEDPSELTSMPPAVSLRGSGNLLKQNPIEVSSDAGSGGKLGPQQERKHCFGTPKKSAVSKEPRNSYTPNFRRPLLSKQMTNWFYASTKSDLDEKKLILGDMISNMDVPSSLTEALSLGLNPRSDWMMKVYAFDFLRQCLLERGPKGIQEVAQSFEKVMRLVCRYLDDPHHKVAQAALSSLTEIMPAFKKPFEHYLDKTLPHIFSRLNDPKESIKKQCLAILKLASELYFIDSLLPALLRSLDEQKSPKSKLAVLEFANASFAKCTINSESYSSSSFLKPWFGKLALLFKDKSKKLKEVAVVGFSSIYSHYDPASMLSFLVSLSMEEQKRLRQAMKQLIPTIEGDLEEFLQQRRHKQKASSFDIFTAKSPLHPASQSAKSPMHPAYRSAKSPLHPTYQYAKSPLHPTYQSAKSPMHPAHPSNSVKTDDCFSSALQCLPNISLEVQERRTERVEFESSNEYYGHKAEMMDKKSSTMRPRNSLQRRIDFSMISDNTIQNASGDSQNMKVFDKPNASELSMNFRNEATGYDCQDHKEAVRQLEEVSETNGHRVPKNLHQMSSSLLEMLDDPDVPTRELALSLLVEILEKHRKAMESSVEILIVKLLHATKDAALKVVNQAHICLTTVVTQFDPLRCLGAISSQLACQDEKILIISINSLSKLVNRLSQETLMAHLSTFLPALLDAFENHSPYVRKAVMVCVVDTYLKLGPSLLPYLEGLDSAQLQLVTTYASRLSQARFIAAADG >PVH67010 pep chromosome:PHallii_v3.1:1:56472973:56473262:-1 gene:PAHAL_1G410000 transcript:PVH67010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDRSTEASHDDDVDRLLTAPLAISRDLSARSHKYLRVDPQIHHTGVAPGEDFSPGQMIGARFRPQGKVSARPDPIHG >PAN03856 pep chromosome:PHallii_v3.1:1:1798711:1802799:-1 gene:PAHAL_1G025200 transcript:PAN03856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ATP synthase 24 kDa subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21870) UniProtKB/Swiss-Prot;Acc:Q9SJ12] MAMAARLVSRSRQLYSAQAAFVNGGATQARSFAKDAASDRPPVSGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVREKADLLSDSQRIKYTIETFTNGIPDARTYLNTLQEIRVKSGLIDHLGIEPLMMEALEKIEKDIKKPLLRNDKKNMATLLAEFDKINKKLGFRKEDLPKIEEELELEIAKSELTELKKECIEAMETQLKREEFKDEEMPDVKELDIRNFL >PAN07125 pep chromosome:PHallii_v3.1:1:50022255:50024758:1 gene:PAHAL_1G312400 transcript:PAN07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALREIESTLPPGFRFYPSDEELVCHYLLKKVANERIAQGTLVEVDLHAREPWELPEVAKLTASEWYFFSFRDRKYATGSRTNRATRTGYWKATGKDREVRSGGAVVGMRKTLVFYRGRAPNGVKSGWVMHEFRLDTPHSPPREDWVLCRVFQKTKGDGADGQDGDSASSPTAFAGSSRVEPPPEPDHSASSGVGCYGYAPFPSQQEVPVQPQYYYYGGGGGAAAADHHYGFPRDDAAGALPGFGPGGDGYGFGAYFDMGGGFGDLASLGGGVEFPQVWN >PAN07583 pep chromosome:PHallii_v3.1:1:52290258:52291739:1 gene:PAHAL_1G347500 transcript:PAN07583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPAVPAKRRHGGGAGFALGCGCKDAKSVSVTVSASASPSATTGTSATATAATTGRRSAGANPWASTTTDTLTTLTSAASSSSLWEDAVAELGYKDGSCGMLPESTVATPSFSGLLRELSELERSVASWGARKGHRREEKLSPPPPPLPSRHEQRKAKGDGKVGDLRAAKEGRFGDADGDGGKGLEGSVAVVKQSDDPLADFRRSMLQMIVENGIVSGEDLREMLRRFLTLNAPHHHDAILRAFAEIWDDVFVAASLDCATPGRASSVPRREPGRPPVPMTPPRHRRSPPAWRV >PAN08654 pep chromosome:PHallii_v3.1:1:57806925:57811189:1 gene:PAHAL_1G427600 transcript:PAN08654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGYWEVVQTLILFNANVHRTDYLNGGTAVHFAALHGHARCLRLVLADYVPSISNFFTQTNHRSSEEDSATDFDHDALVKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEVTIEDGTTIDLIGAGSAPLHYAACGGNAVCCQLLIARGACITAQNASGWTPLMVARSWQRNSIEEILSKEPEGQIRTLPSPYLCLPLMSIMNIARECGWRYLNQSPVCIDPCAVCLEGSCSVATEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIISFITLPGTSPIRELPRNSLSLSFCTTCPAVNSDSSASIAAHLYRTEFHCGRMPPMGSSSFRSMSCQRIPAMKLNPVFCLGGMDTNPCLIRCSRFGSSLRRSASQGETTRRAWPVTFNPIVATGN >PAN06307 pep chromosome:PHallii_v3.1:1:45853700:45854884:-1 gene:PAHAL_1G254400 transcript:PAN06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHHLLLLLAALLPAAATADPDAVQDYCVPDAGGRGRPLELALLPSYPCRSPANLTAADFAFAGVRTAGNFSADTGFAGVSVTPSQFPALHTLGVSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRIFAKVLEKGEVMVFPRAMVHFQMNVGDEPATVYGTFNSENPGIVRIPATVFGSGINGGVLERAFGLSPAELRRLEKRFGPPKTKLSEMDD >PAN08839 pep chromosome:PHallii_v3.1:1:58579329:58581151:-1 gene:PAHAL_1G440000 transcript:PAN08839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLLKGLRYISQIFDAKEPEMQIGNPTDVKHVAHIGWDNNSVTAPSWMNEFKASPGTTRGNEPEPSQAGGGGEEQHGGGEAGGKAERPRRTRGKGSGGGEPKRREGAGEGSRRDRRAAKTDAECSEGDAAAPKQRRRKPRAGGGTSGGRSKSSSGGAGGCGPASDSEAARSAAPEAEDDRDGC >PAN04914 pep chromosome:PHallii_v3.1:1:7397423:7402768:-1 gene:PAHAL_1G101200 transcript:PAN04914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPRLSPFAAALAAAWVLAAAGWAAAGDPPLSPKGLNYEVAALMAVKSRLRDEKGVMALWDINSVDPCTWSMVACSPDKFVVSLQMANNGLSGTLSPSIGNLSHLQTMSLQNNKISGDIPPEIGKLTNLNALDLSSNEFVGDIPSSLGQLTRLNYLRLDRNNLSGQIPVDVAKLPGLTFLDLSFNNLSGPVPKIYAHDYSLAGNRFLCNSSIIHGCSDLTAMTNGTTSRQVQKAKNHHQLALAISLSVTCSTVLVLLFVYWLSYCRWRLPFASADQDLEFELGHLKHFSFHDLQSATDNFNSKNVLGQGGFGIVYKGCLRNGPLVAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYRNGKPSLDWSKRMRIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHGQSQKGMILDWVRELKEEKKLDKLVDRDLKDSFDAAELECSVDVIIQCTQTNPILRPKMSEVLHALEANVTLAESSIELNREPLPYGGPYGFSIRHEDPHDSSSFIIEPIELSGPR >PAN08138 pep chromosome:PHallii_v3.1:1:55191480:55193552:1 gene:PAHAL_1G390700 transcript:PAN08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAVVFAIAILAHCSAVVAAAAADPATADTRNFTIPSPRSTTTTNKGPVTYVFGDSMSDVGNNNYFPMSLAKSNYPWYGIDYPGREATGRFTNGKTIGDYMADKFGVPSPPPFLSLSLAGKDVLGGVNFASGGAGILNETGVYFVQYLSFDEQITCFETVKKAMIAKIGKEAAEAAVNAALFQIGLGSNDYINNFLQPFMADGTTYTHDQFIRLLITTLDRQLKRLYGLGARKVVFNGLAPLGCIPSQRVRSTDGKCLGKVNAYAVQFNAAAKKLLDGMNAKLPGAQMALADCYSVVMELIEHPDKHGFTTAHTSCCNVDTEVGGLCLPNTRPCRDRSAFVFWDAYHTSDAANKVIADRLWAGMMASAGHGGGASAPPSVGASSPAPAPFPSEDY >PAN07982 pep chromosome:PHallii_v3.1:1:54279005:54282217:1 gene:PAHAL_1G378000 transcript:PAN07982 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g47680 [Source:Projected from Arabidopsis thaliana (AT5G47680) UniProtKB/TrEMBL;Acc:Q9FGI9] MADEAEVEQPAVPAGEGAQTPALSKSARKKLQKQERQAERKASRKAAEKERRRADVERRRREWEEALAAAPSDEARAEMVAARRETRRERVGRRAEERGARAERLRRAAEGAGQKVVLDLEFADLMRPNEIHSLTQQIMYCYAVNGRSANPAHLWLTGCSGEMATHLQRIPGYDKWTIEKAAKPYLEAFEDRKGNLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAAEQGIQSAKLPIVNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTAFFHVIPQRKRGEAEAGDDEAKVSLDNNGDAAEGTPNGDLSEEDLKKFFDGELDDGGDKELEDEETDMSNKRQCVRREDAGAGDQDHPGAVAEATPAGVEATAQAEQAKESNDGGED >PAN05831 pep chromosome:PHallii_v3.1:1:35376887:35377922:-1 gene:PAHAL_1G211300 transcript:PAN05831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAQDVFDEMRVHSYLTNPARMGAIIYQAIQGRLSSGASVTPCIGWFMVGSMPSSIRGIKKTKRRDEFTNNPTSTMRHQVHCSAVAPAMSTIINTVMLLLATVVSVLCCRAGNWGHLPARVRSTIFTWT >PAN08079 pep chromosome:PHallii_v3.1:1:54839516:54842185:1 gene:PAHAL_1G385700 transcript:PAN08079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKALAAKKDAAEGQEGEAAAEETKKSNHVVRKLEKRQQGRTLDPHIEEQFGSGRLLACIASRPGQCGRADGYILEGKELEFYMKKLQRKKGKGATA >PAN07407 pep chromosome:PHallii_v3.1:1:51356203:51356663:-1 gene:PAHAL_1G333500 transcript:PAN07407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFALGIWIIPMTLIFAPCRRLVLLVARLQQIEASIMRTRSSPPAVWSRIARIHTLSITL >PVH65905 pep chromosome:PHallii_v3.1:1:7412919:7414734:-1 gene:PAHAL_1G101400 transcript:PVH65905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLRGKEIHVNIYQFIHALEVQGKKRGEIIGTAPRCISTSGMYILHSCLHFAASKAGKLICSQLRQPAASLKRHINSGGIVKEGSCKSMHGEPQQIQAEAGLLALPAPPGGCRCLPSRINTNDWALLVPSGLLPRGQATHTCACPPMHVFCTAGVALFPRSRAEDNRPGRPASRSPLMASREWNPVFRMPIVRKIIFFSFCEEPEGSFDSAPATYVS >PAN08040 pep chromosome:PHallii_v3.1:1:54563924:54567354:1 gene:PAHAL_1G382200 transcript:PAN08040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein REH1 [Source: Projected from Oryza sativa (Os02g0743400)] MITGADFYHVMTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDNAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADAFGIRTGATPRPSNYEDDASKTKYPLPVVNAAPGAGHYPAPNPAVATVPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYSDAAAVKSPRKMDGAKDRDDYVERDEFSFGNRGAMDRDAEAGDEKAAAGAGADPNAVAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >PAN04819 pep chromosome:PHallii_v3.1:1:6664630:6666297:-1 gene:PAHAL_1G093700 transcript:PAN04819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELCNLSSHGVPLCQDESCNERGGDDSEFASFSGESSGSLCSSASNLSDDATSSPPGHPREPSSASSSMLQLDDEGPLYKLSSLVVQLPIRKGLSKYYQGKSQSFTSISDATCVQDLAKKISYSKRMKTCKSYSAGLDMNQRSNNLPRPCNKVIAKRPSNGSVARVMTRTCNTRHLYSSAKPTTHQNKRDAQMHISL >PAN05783 pep chromosome:PHallii_v3.1:1:33756352:33759668:1 gene:PAHAL_1G208300 transcript:PAN05783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G25140) UniProtKB/TrEMBL;Acc:W8PVC6] MAPAAGSAWRSAGPVAALALLVLLVLAAAAPAAAAGVSAAVNGDRLRAEQIRKQASDAAASAAAFAAASRRLHLDRARHLRLLSSLHRNLTNTLRSLSLAADSDPSASDPAAANASSPRQLDLQAKDLIRAARAAIAESKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPEQYADPVLPPRALEDPALFHYAIFSDNVLAASCVVRSAVANSNDPSKHVFHVVTDRMNLGAMQVIIRRMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKAKFSPNACGWAYGMNFFDLDSWRREKCTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDEYIRQCNFAPP >PVH66315 pep chromosome:PHallii_v3.1:1:32741842:32744432:1 gene:PAHAL_1G204300 transcript:PVH66315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRVLTLKWTKVLTICQSNLMNSLTKNLTKNLNHRVLTLKNKKKEVVIAEPSGQLLMLEEAPPPPSPSFNSPGALTRGRKRALMEEGSPAPTNFSGHQNVAVHKDKKCKRGNQKKDGSK >PAN06479 pep chromosome:PHallii_v3.1:1:46978714:46982061:-1 gene:PAHAL_1G266600 transcript:PAN06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKETEYYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKNSVSRDNILDGTVVFTLLFGSELFEDYIGHLAMATMASTEMTSDTDNPEKLQDRLKNVQREREEKLARFLKEFLSQYVRGDKEGFASRAEAEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFVAEWVRNKGHLWKSQITAAKGALQLLQLQEEACRQSCKDGIATEQDVDFQMRMNKDLMMSSLWKLNVVDIEMTLLHVCEMVLYENNVKKEDLKARATALKILGKIFQRDKEALPGPGPGPGPSGPSKRTVLDDDSSSDDSSDDDIARTVPYRTPAFTQGIGRLFRCLCNPAYDVDDDFEPRK >PVH67200 pep chromosome:PHallii_v3.1:1:59097353:59097923:1 gene:PAHAL_1G449100 transcript:PVH67200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSLLRHADLFTISSTATALAESIIYMPLLALLPGIVLFSSLFLMISWLLQLGSRSVDHGTA >PAN08019 pep chromosome:PHallii_v3.1:1:54432684:54438197:-1 gene:PAHAL_1G380300 transcript:PAN08019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKLRRKGAASAAPTPKADAPGPTKPDKFKKRAVKTDPEKVKAAAAESAGTAEASASAPSPAPKPVEASPAAASGADKGGVAPSKREDRKANRKESMKGREEEKGKGEDRKTNRKEMNNGREEERVRREEKRRRTTGNEEEEDDDEKGFIFMCSARTKPECYRSGVFGLPRGKMDVVEKIRPGAKLFLYDFDLKLMYGVYRADTRGGLDLVRHAFEGKFPAQVKFSIDIDCLPVPESSFRHAIKENYNSKGRFTQELSPKQVHRLLETFKPIGLSQPTPQHIEETRHPRIVEDRRELYDYEERRVPHHVEERGTPVHALAYPPEDHYKITHSLRPPLLDEPRHIMVLDPYHMQEPQHVPPKYYHQVATGSLNREPHMAILHERTAAEPTARDPVLARDYGALPGELAARSECVDELYRSYKLSTRAMDLYQRPSYASSSYENSASLYSESPQRPVVTRVRGPSVPVSTRYSFLGPPTYR >PVH66958 pep chromosome:PHallii_v3.1:1:55364381:55366844:1 gene:PAHAL_1G393700 transcript:PVH66958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAEARLPTTTVRDRIYKKQEEEASMAAILWRKKLVLHRSLAGVSIGISQNPIMHAPIPSAQGDPLSRAEENERSPGTTSRRPEKQASPGAGQFGYDTAAGGRSWWTTTGALELKFEEMERGLLIGAGAGWVDDFALRWQRWVAGAGDERC >PAN05868 pep chromosome:PHallii_v3.1:1:22290188:22293107:-1 gene:PAHAL_1G168100 transcript:PAN05868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENIHKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLDHPGLAPITTAQGEELKRMIGAAAYIECSSKTQQNVKAVFDSAIKVVLCPPKPKKKSARKQRSCWIV >PAN07570 pep chromosome:PHallii_v3.1:1:52242686:52244299:-1 gene:PAHAL_1G346400 transcript:PAN07570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSVKTSSPDLPTLQKLAGPRKPRACSAALPRFQRLPSIRRGAVAVACARGGEQQSSPVVAAAQARPGTDALSVEFRTREGCRLGIARYPDFAYDAQGGRGAGAGRGAGSAEGGTVLVDFDVASLYIPPMSGATTRFLGLPLPPFLKIDILPEALGGSIDRASGQVDLKFRSRFCFSVGSIYKAPPLFVDTTLTSEESRGAIRSGTGERMDDEGRCKLVGVAVVDPIDDLFMNTFLGLPTECIAYLNATISIAATPQIHA >PAN05594 pep chromosome:PHallii_v3.1:1:23479217:23485807:-1 gene:PAHAL_1G172000 transcript:PAN05594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASVVSSARTSPAFARDTAGSCRRDCTRHLPLGGVQVPSACQLACRFTRSAARRLPVALATGGGAVGDAFVTEGSTNVKFPRELIVPGYTGSLVILGTGYRDKFFVKLYAAAFYVDYSLGIDTEQWKEKIGIESFDSNSVFDSIFKAPVVKSLSIILVRDVDGKTFVNALNDVIARKIKKPNAEEESSLSTFQNTFLGRNLKQGTSIYLTWMEPSRMLISISENQDPSQVDAEIKSATVNYAVYDGFFGNSPVSPSLRSSTAQLLEALLTK >PAN06379 pep chromosome:PHallii_v3.1:1:46470083:46471287:1 gene:PAHAL_1G260100 transcript:PAN06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAQNRPLRCWEENPADSTGYTLPCPPPGPLLCPTRRPPCPGRTALHPASAGAIGCAGPGSAAGARSSAARLLNAAPTLGAPSQPARATRGRRRSSARASICAAPRISPLICSARSPPARTAPPELAGPPASPTVPICSA >PAN07033 pep chromosome:PHallii_v3.1:1:49500629:49502856:-1 gene:PAHAL_1G306000 transcript:PAN07033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAWCLSAPAAAPPVAPAPGAPASAAGGVPALARAVVPVGRRRRWGALVVCAAPDEEKITRRSPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEQPPPEEPQEDDPDKEEPEEDDPDKPTE >PVH66028 pep chromosome:PHallii_v3.1:1:10499705:10503085:-1 gene:PAHAL_1G128600 transcript:PVH66028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNPDCSASNQARNLAPPPISRERSGGCGEGARTRRKAAGPAARGGEMLKFLSKVVVEYCPLDPRKAAAVELLAQCNGRKAKDSNPACSVELRRLPAPPPTEDPKSRPPLPPPRVLVTYLNGAEEAIVAAEGATAQGIRDQILARGRLIDTEQMFRDGGEKWPVVIPEEELGMSFPGIKPKKAEDKPQA >PAN06042 pep chromosome:PHallii_v3.1:1:37325152:37326209:1 gene:PAHAL_1G218300 transcript:PAN06042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKDGLVRRVENPGQDDEGSSAGGGGGGRRKVLVHVPSGEVVTSYEVLERRLRELGWERYVNDPCLLLFHQRSTVHLISVPRDFARFRLVHMYDIVVKTRNVFEVRDAAN >PVH66093 pep chromosome:PHallii_v3.1:1:15389129:15390472:-1 gene:PAHAL_1G145900 transcript:PVH66093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLTTAPPSRPPSTTAQPTSWAWATTRAPLLPPSTAWTPPRTGGGSIRAAEYPSHRPTPAADRGWKESQGPAALLILSSFVVSCVVGIDGGLERGAPLVKTPSTLFRCEVQVRHDLQTPIGQSKAQSCLNPIDLHHHMAPDQGMHTIKPVI >PAN05115 pep chromosome:PHallii_v3.1:1:9033422:9042101:-1 gene:PAHAL_1G116100 transcript:PAN05115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKERTPASHESRSRRKVVAKRILLSSSKERGSGHSGQASRDSSPCVASMSVHKELEGVEEEGDELDNSFDHNDDLSVSLPSASEGEDVVEVSSDGVSMPYKEKRGRKRLLKLSLADLQASEPHNARRVPRVAGSSDRSPNGSIFTRFGKTEEHAFVIIGRMNCGRR >PVH66788 pep chromosome:PHallii_v3.1:1:52299630:52302723:1 gene:PAHAL_1G347700 transcript:PVH66788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRAFLDMLEWGAGGAADQAEDDDTVRPNEFTAAAVLQACGLARDGRLGRMVHGYLVAGGFCGDPFVVGSLVNMYAKVGDAASARRLVLGLPCRDVVSWTAIISGCVLNGMLEEALGVFVMMLEDGVLPNNVTMLSVIQACSLMGASELFGPVHALVVLLELEDDASVVNSLIMMYAKNGFVEEAMRLFNGAYLKSGNLCSNEDVLAAILYGCTISGYQKNGEGIHAHLIKMDAFPSIGIENSLMGMYARFEQVDAVHLVFSGMEAKDIVSWNTIISCLAKSDHVNEAMELFSVLHAGGGGLAPDFVTILSIVQACSNAGLLHQGQMLHGCIMKSGFIYDVSICNALISMYAKLGRIDFAKMIFERMDTKDLVSWNSMITAYGMHGDGRSALRIFNQLKDAGTPVPNAITFVSVISACSHAGLISEGYKCFESMRMEHGIEPSMDHYACVVDLLGRSGRFAEAEEFIRDMPVPPNSSIWGPLLAACQLHGNVDLAEKAANELSALEPESDIWRVSLANTYALAGRWKDAAMIRTEMRRAGLRKETGWSFVDVGGVEGFKFVSADTRHQEAEKIYSVWHTMNKHMADLAADVHKPGPISTV >PAN08977 pep chromosome:PHallii_v3.1:1:59113633:59115003:1 gene:PAHAL_1G449400 transcript:PAN08977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MATAPAHAGAVAAGGEAVMSHGRVGTRRIPPRLGFLSKPSSRWAAISLPPGPRHAAPAAAAAKERVADEEDEGPAWVELDPIASEQQLDRALAEAQQLDLPIVLLWMASWCRKCIYLKPKLEKLAAEYHPRIRFYCVDVNAVPQKLVNRAGVTVSLPFQY >PAN08976 pep chromosome:PHallii_v3.1:1:59113503:59116179:1 gene:PAHAL_1G449400 transcript:PAN08976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MATAPAHAGAVAAGGEAVMSHGRVGTRRIPPRLGFLSKPSSRWAAISLPPGPRHAAPAAAAAKERVADEEDEGPAWVELDPIASEQQLDRALAEAQQLDLPIVLLWMASWCRKCIYLKPKLEKLAAEYHPRIRFYCVDVNAVPQKLVNRAGVTKMPSIQLWSDSQKQDEVIGGHKSWLVIDDVRRMIEREE >PVH66027 pep chromosome:PHallii_v3.1:1:10489212:10494801:1 gene:PAHAL_1G128500 transcript:PVH66027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQLRQKENDILPALRLSYMYLPFHLKRCFSFCAVYPKDYNFEKASLAEIWVAEGFVEPQGNIPLQHIGDQYFEDLLNLSFFQKLHGKYVIHDLMHDMAQLVSKEECFIVKNASDIEMVPQNVRHLSILRSGDVNFSNLWSLCKHTKLRTLLCNKSLGSETLHPVMDHWFSGLQHLRVIFCASTKRLPESIGNLKHLRYLEISRGCHFDSFPSSLCSLYNLQILYARKCKFGRLPRGVSKLINPKKFEFELHIPKMEVDAAKWGEQIWFINNLNQITRDLTIYNLGAISKDDSAEMGLGKKKYLNSLTLRWSELRYPEHNEVEVLQALQPPTNIKSVHLIGYPGEYLPSWFCGCDEPGRAGTISSSVTELSIEGCQNLSSLEQFLQPTYVPSIRRIVIADCISLKSVPTEWFEDFPYLEELKVYKCPNITHLLAPSLKKLELRNSGILGDDVDCSSLTIFHFILSQLASIELQKWSLPVLQELKISRCRSLTYIRESEPSLGWARARRSTGKFPLLTHLTIEFCYEMESLDDLLTHDCLPAIENITVWECQSLSLSAEIFGRFPFLKILDISECPCLCWPGGMALPSSLQKLSLWNCGDFSAWSSSCLESLTSLESLTMCLCQGIVSIPGNLWSSNLISLQDLMIRYCPDLVSIGGPTALDNINTVFIQDCPKLKELEQPLRRGIS >PVH65618 pep chromosome:PHallii_v3.1:1:2712516:2712993:1 gene:PAHAL_1G039200 transcript:PVH65618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLPGVVADQSARICDRSIEFGRLRFGVGRRSNSCKDNPRGRVPPSPFLGSSSSAVAPGGGAEAMAVAAGGIASHPLCAVPVPCASLARSGGSSRPLTYAAASRGGGWESQRQLGTRDFELQQAATL >PAN08503 pep chromosome:PHallii_v3.1:1:58227179:58231480:1 gene:PAHAL_1G434900 transcript:PAN08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMASPRGRSIRETVLETVAAYHNQQRMRRKLRKSLTYAGELSSAGRARGDGASSSASVSSLCGPEDDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDEYRVFVKDLCKDHAGWPLNNMENSYKFMVKHVQLWKVAFHSTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHANVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDELRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKEQGKPIGQLIVICGRNKTLSSSLQALEWKIPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPKETASLVARWFGPDSEELKKMSENALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFISSPETTPLQLI >PAN04124 pep chromosome:PHallii_v3.1:1:2946227:2950058:-1 gene:PAHAL_1G042800 transcript:PAN04124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPDVEMEPAPEAPAQHPVPAPAPAAAGEGWSMLSRARALLEEGKPSLALQAVLLAIRSQGGEQALIQTMNRARELYAQRLQASPSVDELASLLAQCAIAEAQSTNANPRQGPGSDPVDMLNSDETCILSVSGRKQIILDAFADGSSFICLKCGGLYSTSRKDEHLAYWCGTA >PVH66345 pep chromosome:PHallii_v3.1:1:36642597:36642776:1 gene:PAHAL_1G216100 transcript:PVH66345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFIYLLTRNGSRAGLKKDLRVSRVGPGGSLNPFLFLPIGVISQGLAMVRGRRGKKHT >PAN04263 pep chromosome:PHallii_v3.1:1:3609258:3610681:1 gene:PAHAL_1G053200 transcript:PAN04263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTGANMAHWAGLYGGGNGAPAATEATVVTAAAGAGTVSSPTSGGSVGSPTRAQPGVEGGRVAKPARRRSRASRRAPVTLLNTDTSNFRAMVQQFTGIPPGPYGPGGGGGAGAGPVISFGSGGDYGAALVRPSPTSAVMSFDHLAAASQQQHHRPASLQGQLFRPQQQYTGDVGYGMHGGGDMPPFLHGFESSAEDRLLLQSIQAAQMMPTRPSSTTSNGNGYNFG >PAN03717 pep chromosome:PHallii_v3.1:1:1328140:1331770:1 gene:PAHAL_1G017000 transcript:PAN03717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELARIFSFSSVEPLLLPAPPVAGNGRRGRLRGGCIRSSLASRTLLPTDDFDLQEGLTTNIQKILRQRRRQSGREMMATIDNLKRLCIDHYFEEEIESAMGACMDLIHSDDLFDATLAFRLMREAGHDVSADDVLRRFIDGNGEFALALSKDVRGLLSLHNMSHLDMGVEASLPKAKEFSSKHLASAIRYLEPGLARYVRQSLDHPYHLNLMQYKARHHLSYLQSLPTRNIAMEELAVAEFQLNKLQHQQEMQEIKRWWMDLGLAQEIPVARDQVLKWYMWPMAVLQGSSFSRYRIEITKIISLVYVVDDIFDLVGTLEELSLFTEAIRMWNTAAPDSLPSCMRSCYNALHTITNEIADMAEKEHGFNPVNHLRKAWAVLFDGFMVESKWLATGQAPAAEDYLRNGVVTSGVPLTFAHFFFLLGQDHIACIDEDDAKLSDHIPPAAVSCPAKILRLWDDLGSAKDEAQEGLDGSYRDFYLIENPSCTPADAEEHMRRLIAREWEQLNRECFSRRTFSGSFARACFNAARMVSVMYSYDEEQRLPVLEDYMRMLLRL >PAN05965 pep chromosome:PHallii_v3.1:1:27180693:27194593:1 gene:PAHAL_1G186200 transcript:PAN05965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGASSPWLRSTNGFLGRAVWEFDPDHGTPEERGEVEKVRREFTENRFKRRESSDLLMRMQYAKQNGHQRHLPRTKLQEDEQVTEKAVLESLRRALDQFSSLQASDGHWPGDFSGVMFIMPGLIFALYVTGSLSPVISPEHRREICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEELNFKNYAMAKGRKWILTHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFIGPITPTILDIRDEIYVMSYDKIDWSAARTACAKEDLLCPQTMLQNTVWTSLYKYVEPLMSRWPINKLRERALGNLMEHIHYEDVNTQYVCICAVNKALNMICCWVEDPNSDAFRCHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATGLVNEYGLTIRRAHEFIKHSQVLRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSKISNNIVGDPIERERLHDAVDCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNMVVDHPYPECTSSVLQALILFKELSPSYRTKDIHKCIRNAVKFIESRQQEDGSWLGTWGVCFTYATFFSIKGLVAAGRTYENSPSIRKACQFILSKQLCTGGWGESHLSNETQVYVNFKGDRAHAVNTAWAMLALIYAGQIEQDPTPLHHAAKELINMQLETGEFPQQEHVGCFNMSLFFNYPNYRNLFPIWALGEYRCRLGAKKRNGFM >PAN06244 pep chromosome:PHallii_v3.1:1:45259930:45267416:-1 gene:PAHAL_1G249300 transcript:PAN06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEQNPYLTELLRLEEVAKQQGVGRWSKEPGSAEESIRDLPPSAIGEASGFDAKGFAVENKGKSLKAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRSSAPTVVAETDGTANGANGEDSEGAPAQLTTAQRLAASAASAEIPPDRYGREAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYPDGEAAKDLALELVENGLAKYVEWSANMLDVEVKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPRMGNARRDEKPDNFAREAKEFLRTRLIGKQVAVEMEYSRRISTVDGQNAAPTANAADTRVLDYGSVFLGSPSQTDGDDVSSTPSSASQPGVNIAELLLSRGFAKTSKHRDYEERSHYYDALLAAESRAEKAKKGVHSLKESPVMHITDLTTVSAKKAKDFLPFLQRNRRHSAVVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKGEPYSDEAIALMRRRILQRDVEIEVEAVDRTGTFIGSLWESKTNMGSVLLEAGLAKLSSFGLDRISDAYVLTRAEQSAKQQKLKIWENYVEGEEASNGSTPESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRAVESPNDKFEVFYIDYGNQEVVPYSRLRPVDPSISSSPALAQLCSLAFIKVPSLEDDFGQEAAEYLSECLLSSSKQYRAMIEDRDTSGGKSKGQGTGNVLIVTLVDAETESSINATMLEEGLARLERSKRWDTRERKTALQNLEQFQEKAKKERLRIWQYGDVESDEDEQAPAARKPGGRR >PAN06541 pep chromosome:PHallii_v3.1:1:47272608:47275271:-1 gene:PAHAL_1G270800 transcript:PAN06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAHGIVIVGGGICGLATALALHRKGIASLVLEKSEVLRAEGAGIGVQANGWRALEQLGVAGELRKTAGLITSYHDVWLQGDKSTRDRYPVRTELRCLNRKDLIEALAKDLPAGAIRFGCRIAAVHEDPGGHGAAVLTMADGATIKAKVLIGCDGGTNSVVARYLGLPPVRTIPRLVLRGFTSYPHGHPFKNEFLRLRVGDFFIGRLTITDNLVHFFVTMPTPSPDAGLAGADLRDVRDLVLKELEEHRCPAEITEVVRGSDPESLNLVTKFWYRPPWEVALGGFQKGAVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARTLARAAGGAGEGEDGEAAVGGAIGAYIRERRLRLVLLSLESYIMGVLLVRSPSPAVKLACVAVLVLLGSKSLRHANFDCGRL >PAN07765 pep chromosome:PHallii_v3.1:1:53232375:53235945:-1 gene:PAHAL_1G360700 transcript:PAN07765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDARSEVPLAVLQDRPGSPAPPPADPGSDIGQQEKLAPNTGSAASSAPMGECSTEYRVLPSSNADANGVAASAPAPASLRKVSIVPLVFLIFYEVSGGPFGIEDSVGAAGPLLAIAGFLVLPVIWSIPEALITAELGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGPPRAFAVLGLTAVLTLLNYRGLTVVGWVAICLGVFSVLPFFVMGLISLPKLRPARWLVVDLHNVDWNLYLNTLFWNLNYWDSISTLSGEVDNPGKTLPKALFYAVIFVVVSYLYPLLAGTGAVPLDRSQWSDGYFADLAKLLGGAWLMWWVQAAAAMSNMGMFVAEMSSDSYQLLGMAERGMLPAFFARRSRHGTPLVGILFSASGVLLLSSMSFQEIVAAENFLYCFGMLLEFIAFVLLRVRRPDAPRPYRIPLGTAGCVAMLVPPTALIVVVLALSTLKVALVSLGAVAVGLVLQPLLRLVEKKGWLRFAVNSDLPDIGVGHAHAPAAADETLAP >PAN07086 pep chromosome:PHallii_v3.1:1:49879125:49883942:-1 gene:PAHAL_1G309900 transcript:PAN07086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVWRKAKKALVGGLCVQLPAVAGDREDGASERRASDAVSLDSTAAAHVSAPNTPAATATAMATADASGPSALRRSKSGGKSSKRMCAICFESMKPGHGQALFTAECSHMFHFHCISSNVKHGNHVCPVCRAKWKEIPFNRSLSSIVPRGRGGLNVNQARLPQQDAYMALLRPVPNRQREPPVLLASEPIDFNDDEPLQKMESVKIGSSRTVEMKTYPEFSAIPQSSQDDFAVLIHLKAPYANPEQVTGRLVNATSNGYPTSRAAVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGASGGTNIADALKKAAKVIEDRSYQNPVCSIILLSDGQDTYNIPSNITGTQPDYRSLVPSSILNHTFRLVPVHAFGFGVDHDSDALHSIAEASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQNMQLIVECVHPGVHLRSIKSGSYLSKVAANGRNGSIDVGHLYADEERDFLLSVSLPQCREQTTLLKVACAYKDSLTSEFFKIQGDEVKILRPKSPTSEPVCMEVDRERNRVRAADAIEAARVAAERGALSDAVTILDDCRRILSESFASRSGDRLCTALDAELREMQERMANRQRYEASGRAYLLSGLSSHSWQRATARGDSTDSATLVYSYQTPSMVQMLQRSQNHCPSPQGPSQVQQPRILLAKPQPR >PAN04005 pep chromosome:PHallii_v3.1:1:2376683:2378967:1 gene:PAHAL_1G034400 transcript:PAN04005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWAGGRSSHLMHASCGHASLFSSPATAQQKRITVTAQQQRQLQACKGEERLAFALQRQSPGSASKGTSHRSSKLQHADYQHADYFLFFFFRQRSCSRLLARLLLNHPLLLQLTV >PAN04298 pep chromosome:PHallii_v3.1:1:3781449:3783182:-1 gene:PAHAL_1G056100 transcript:PAN04298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDHTFSISDEDDLIGGAVGGPRGAPVKEIAFAAALLAFGALGAVGGLFMAANQVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >PVH66276 pep chromosome:PHallii_v3.1:1:28852011:28852308:1 gene:PAHAL_1G192500 transcript:PVH66276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDAWALGLAAWEKDAAFEGDLGRCLPAPQAAHPTPLSVIAAARFHARRGIPPRLTSTMYGFLDDLF >PAN06848 pep chromosome:PHallii_v3.1:1:48744889:48745558:-1 gene:PAHAL_1G292400 transcript:PAN06848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLVSLVALVFLLSFRPLLHHQVLVGEGAAAAAAGSGRHGRNQQQGRQHAEEWAEERKRMRWFMTRDYARARRHTPRNNRLDP >PVH66135 pep chromosome:PHallii_v3.1:1:19009411:19011091:1 gene:PAHAL_1G157700 transcript:PVH66135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWAPHKRELYRSREALKRGRKAPQPLRRITDTLSLASDATASPLHRLSTTTPCPRPIPSRAGKTGVGNTHGFLVAFVVSLFSLVFFLSFLFSAEVVHKTLLLFYFNKSQ >PVH66386 pep chromosome:PHallii_v3.1:1:42068191:42069048:-1 gene:PAHAL_1G231400 transcript:PVH66386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGICHEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQREDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPVPAIGETRVLIGTPITGWGGLFRTPQAPPEGTAAATGGGAVEQPQEDDEEELLIPLEVHSAPEDDSPRE >PVH67122 pep chromosome:PHallii_v3.1:1:58036812:58037846:1 gene:PAHAL_1G431500 transcript:PVH67122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYLHFASSCRQFGYDMNSLTELRRDEREHHGSLAVMLEVLKRVHQGFFDSVLDGSCSDVREVIRAVRREVLRGCTVAFSRVIPLADFAGDHPMWKLTERLGAVCAANADATVTHVVALDPGTEKARWARDNSKFLVNPSWIMAASFRWCRPNEQEFPVTRGRGTKLCGFLRLRVGVAHPGLECFRSFT >PAN04902 pep chromosome:PHallii_v3.1:1:7337702:7338652:-1 gene:PAHAL_1G100300 transcript:PAN04902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSLLDLMSLSPSHDGSDSSEASCGSVVQVVPRDVSDELLGKFEDAGEFGFEYGRSGLWSPLVLRPELLASAQRGRGRRSHRSWRRKVFCCW >PAN07130 pep chromosome:PHallii_v3.1:1:50063070:50065364:1 gene:PAHAL_1G312800 transcript:PAN07130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGILNARPSSWLAAVAAAAAKPASFPSVHAVLLTSGHLSSRASVNSLLRAAPFPSACALLLRLLLLHRLLPDNISLSFSLHSCTRVPSHPITSLLHSLAVRLGHSRDVYVVNAAVSSYFTASDVASADRLFAEISNDVADVVTWTTMVTGHASAGSLGRARSFFDAMPERNVVSWNAMLGAYARAGMLSEVRKLFDAMPNRNAATWSSMITGLVHSGHCEEALRVFSDMVSSGVVPNEAALVSAVSACAQLRSVEHGAWVHAYAERELHGAMSIILATAIIDMYGKCGSICNAVRVFAAMPVRNIYSWNSMIAGLAMNGGERQALSLLWKMQMAGVRPNDITFIGLLSACSHSGLVNEGRRLFDSMIEDFGIQPVPEHYGLMVDLLGRSGRVREAIYFVKSMPVEPHPGLWGALASACKMHGEVELGEEVAKKLIELEPRHGSRYILLSNLYGSANRWDDMATVRKLLKRRKVPKGTGNTVVGNDIQHTE >PAN05859 pep chromosome:PHallii_v3.1:1:15333354:15340309:1 gene:PAHAL_1G145500 transcript:PAN05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVTVVHHAALVLAALWAAASAGWAHPALFLVALLYIFAVNERYTMRLRRRLQYEERKCSNQRKLLSDAETVRWLNYAVEKIWPVCMERVASQQFLLPIFPWFLEKFKPWTARKAVIQSLYLGRNPPMFTDIRVVRQSTDDDHLVLEIGMNFLSADDMDARMAVQLRKRVGFGITANMHITGMHVEGKVLVGVRFLRQWPFIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVVDLEKFASESTENWFSVDEKPPIAHARVEILEGADMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPKWLEEFKIPITSWEALNLLSLQVRDKDPIFDDTLGDCSVSINKLRGGQRHDIWISLKNIKTGRMHIAVTVIEENEKVPNDEEDQDGTPKVGKASTPRSSFSSRTNNESESSDEFRKMSDEFEPVDIEGSEKPDVWVHRPGSDVTSAWEPRKGRPRCQDSKIQRENDACSDSPRSSVSESHRSDSSTEEPMSAVSHRHLHKVKKGLGKLAGAVFRRSPKNGTDDEASPCVTPHPNIQPVGESRVSVTYVVDQDPGSNREETRTDEQQHSSPEREELDSSSRRHLRKKAAHMVKHAGKTAHNLKSMFSKKDLDKSKEECRNDEGGDVAAMKIDGVAVDPPVPSKDVVLPPESVADDGKDKVR >PAN08243 pep chromosome:PHallii_v3.1:1:55636002:55639164:1 gene:PAHAL_1G397600 transcript:PAN08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLKQRLLSAIRAAAPLPAASLHRLSLSTTAAATPPAGFVAEDYLVSSCGLTPAQARKVSKYVSRLRSPVKPDAVRAFLAGIGLAESDVAAAVASYPQLLNAKVEETLTPRIAQLREIGLSPPQISRLITVAPEVLFSPVKISRLAFYLSFLGSYDRVHSALNRSGYLFRPDLETVVRPNIAFLRQCGLTDDDIGKHFLMRSRMLLTQPQRVKEIATRAEELGVPHNSVTFKHAMYILYSLNAGSLNAKLSFLKKVIGCSEAELSNVLCKLPAVLTRSESKIGRVVEFLKVEVGLEPSYVLQRPAILGYSIERRLRPRHCVLRILKAKGFLSKEFDFYNAVCTTEEGFVEKFLLPYNKSVPGLIEAYAAACRGQVATEL >PAN08242 pep chromosome:PHallii_v3.1:1:55636024:55639091:1 gene:PAHAL_1G397600 transcript:PAN08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLKQRLLSAIRAAAPLPAASLHRLSLSTTAAATPPAGFVAEDYLVSSCGLTPAQARKVSKYVSRLRSPVKPDAVRAFLAGIGLAESDVAAAVASYPQLLNAKVEETLTPRIAQLREIGLSPPQISRLITVAPEVLFSPVKISRLAFYLSFLGSYDRVHSALNRSGYLFRPDLETVVRPNIAFLRQCGLTDDDIGKHFLMRSRMLLTQPQRVKEIATRAEELGVPHNSVTFKHAMYILYSLNAGSLNAKLSFLKKVIGCSEAELSNVLCKLPAVLTRSESKIGRVVEFLKVEVGLEPSYVLQRPAILGYSIERRLRPRHCVLRILKAKGFLSKEFDFYNAVCTTEEGFVEKFLLPYNKSVPGLIEAYAAACRGQVATEL >PVH66714 pep chromosome:PHallii_v3.1:1:50883292:50883990:-1 gene:PAHAL_1G325900 transcript:PVH66714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGGYYPRANGNGGGFRHGGGHQPYRPPAPPEQQQMTPQQREAVLMAAGRLAAEYLVDRGDLPSDVLENRRPPAPIPFQQQGPPAPRFHQGRGPPPPQHHQPAGPRPFHSFHHGQQRPHRRFAGPRPFQFHGGHGPFPKRPRQGPPRSFPYGPRAVAAPPDKETTGCAGQGAAAPPVAKSEVQQDEGNVAVAGEAGESQPTAQPGSATSGGTEQVNEPSSSSLGANDDESS >PVH66636 pep chromosome:PHallii_v3.1:1:49231154:49231414:1 gene:PAHAL_1G302000 transcript:PVH66636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFLFAIGIETLLLADNPAMLFDGPSQQPCISIYSSTVNSIAWILAPIISEVSYLKKPLQYVLSIDLCHRSVVLKPQCTKMTSFF >PAN05528 pep chromosome:PHallii_v3.1:1:43456267:43465479:1 gene:PAHAL_1G236200 transcript:PAN05528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGALVNLALCFVCSSVELVAVLLLRGLALLAVSAVQLLRLPGQAGSAALEVTKGAIDAAVELVLGVAWDVLAAVVSAFLDFLWSVAAGAAELSVTAATELFEAAQDGGEEAAKALAAALEGAVDAAVAVATRLVESYVGALGQVVDSLNS >PVH65588 pep chromosome:PHallii_v3.1:1:2192706:2194072:1 gene:PAHAL_1G031600 transcript:PVH65588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEAGVHVEPMGDLNTEAEKKLGELVRDKYDTEFYMLCRYPSAVRPFYTMPCPDETRYSCSFDVFVRGEEIISGAQRVHDPELLAAQAEARGIDVRTIAAYVDSFRYGAPPHEGFGVGLERVVMLFCGLGNIRKTSLFPRDPRRLAP >PVH66419 pep chromosome:PHallii_v3.1:1:44076287:44077127:-1 gene:PAHAL_1G239500 transcript:PVH66419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNARTCGTNRPLPATGIAVPLYYPVSCAEPRSSTNSRKERAHEAPAAAPGRGGDRIGALPDGVLEDILGFLPAQDAVRTCVLARRWRDLWKFAKALRVVGGDGKFLGSVKELREFVDYLLLSRRRHTSRHVRAQFIGVQR >PVH66897 pep chromosome:PHallii_v3.1:1:54319357:54320552:-1 gene:PAHAL_1G378500 transcript:PVH66897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSRNSLARPWENNAAACRHVIPAWNLCLRCAAAGTEHITSAGKQHRCPVAFTAVQQDGNRGTEGDCWKRPTGVRKLL >PVH66963 pep chromosome:PHallii_v3.1:1:55485665:55486156:1 gene:PAHAL_1G395400 transcript:PVH66963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESESSREPTPEYDPIAAYEVRAPLHWDTEEWDFRYQSEDDESLTDGEDLALLLGAEMEEDEDDTSWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSDDSSDSSAGAEGDDSFTSNDGGDDDDSSSDTDDSGPSIAPSPKRRKTSGVYWW >PVH66670 pep chromosome:PHallii_v3.1:1:49942669:49943253:-1 gene:PAHAL_1G311000 transcript:PVH66670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILSWNCRRIGNPATVRELHDFAKDYALSVIFIMETQIAKYRVENLRYTLGFDYSFAVGSCGRSGGLGLFWKNDVSVSVKKFSKYHIDTFVSEKGKEPWRISFIYGEANRSLRFHTWDIMKQMRSDTDLPWVCMGDFNEILRREEQLGPNIREEFLMEGFREAVDVCQLCDIGYMGVDWTFEKKVTGGHYVQV >PVH66620 pep chromosome:PHallii_v3.1:1:49096407:49099907:-1 gene:PAHAL_1G299400 transcript:PVH66620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSRLLSCLALPPGLVPSPSGGGAMMHTNPFSFGLDIALPSPASFRSFSDGGRVHAMRSNPSSFFDQFSGGGAVHTLQANPFSGGAAGHALHTNPFSFGLDIAHPPLAGYDPFSDGATVHADEHSNPFAVDLCDDLPPLAVFGPFSAGADTDKGPKNLDDYVSAGGAPPGLPDADSPRTPVYSNPFNANAAAALSMKIPALWEWDLDGGHSQPAVDEPVPPLLIPAPTASVCENATTAPARTPCRHARRPQLCASYDDDDDDIEAILRAQEEDVKSRPSTDYMATTQGGRMSPEMRTALVSWMTGITRRYDLAPVTLHRAVSYADRFLSARPLSDASAHGLNLLGAAAVYAAAKYEDQAAVYKLKAREIARHGGFATGREVVDMERALLAALDYRLSGPTAHTFVEHFTRDYGQEEGDDLGLELRFRAHDFADVSLLHYGCLELKPSAVAAAAMLLAMRTLKPSYRRMVAWGRELEELTGYKPKDLERGVDAIRALIPKDETATAARDISQSRLGPGGNQHRPDLLAASCICMRRRVAYSSLSTNRRISSSSFSGIPTKAHLQFSHSPAGMLTAGAATGRERPPERMPLLVGARDSAWTPENTGLQTRASADTAALRVERQRGVRPRSARSRASTAGAGASTAAGCLHRRSPPSARWYHAPGRALLKALPSLRQISSRISRTNSSHGNRLEALEPRPRSSDLQKQNYTKKTKNRDDHSRCVLAPVMKMSKHYLDGWIREPSRRCAERGASIAPHGNRNLSDEPTSFSRLAFRGR >PVH65640 pep chromosome:PHallii_v3.1:1:3024387:3026001:1 gene:PAHAL_1G044800 transcript:PVH65640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAASVKAPSLVVAASMGAVEALKDQAGLCRWDYALRSLYHRAAAPRIRAALSSSAAAELPRAGAGRPAAADARMRKAYHLVCWGPN >PAN08113 pep chromosome:PHallii_v3.1:1:55080174:55083677:1 gene:PAHAL_1G388800 transcript:PAN08113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVAAEEPWYGIEQEYTLLQKDTNWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVTFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKTAIEKLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >PAN05730 pep chromosome:PHallii_v3.1:1:11557107:11558029:1 gene:PAHAL_1G133300 transcript:PAN05730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYFLYSRAVNLFTLDGQFIGSMLMLDERWLDLMNLSVLYVNILSVVKCCYANCMF >PVH66105 pep chromosome:PHallii_v3.1:1:16990907:16991140:1 gene:PAHAL_1G151300 transcript:PVH66105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQQPVSMPSFHPRRPAPLESRPPPPPSRPPPPRQSALIRRHRHRLPQAGAPALAVTPSPDPSPSSPPPSRRRRA >PAN04947 pep chromosome:PHallii_v3.1:1:7695330:7703863:-1 gene:PAHAL_1G103700 transcript:PAN04947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADVPVLFLVFIVLPVVAYFLLGRWHEAASKKARVSVLAQRAAEEAFRVETMACPDVMPPGPSLRTMPYFRPAPSIRQEFHECATCHAPAKTRCSRCKSVRYCSGKCQIIHWRQGHKETCQKWLGSGSSSFGGSGPEASEQMPFLTNLNSPLPGGDVHLRDLNFDTLSEPSFPTTDGYNLDSDPFPTDRSNMNKSNQGLHTSENGAVGASYEKNNYNADDEIRSSEILSGNKVSNNYFGCADGMSGNGDATYPVKSNAQQPSNCAPEIRKRTKSSITVYEPDMGVYLTSDMVSSCEGPYASASEPLQRSLSSGRTIGKANLVNKRPPCPSGKVTSSQKSQERVSTSYQNDGHEKNPCNKNDQRSIQTTESTSSNLQGCNGISKFGASKVEVLKKPSKFLKTSLVGLINDNKRNKVLFPYEDLVKFFQYEARGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCCSKNWCLMCELEQYASTLRESGGPLSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGSGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQVVSVNQVMSEGAYMLFYLRSFPRPPRIYIEKGLLPVPTTVKRHTSKSSKGSKHERKQTELLFSGSDQTYGIYDFRPDGEGYMQDQHPELRSRDFHHADDAFADSVSTDFSEATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPCYAPEHPPGNFVSCTRFSPSNPQTRYFSESTDFVSDSSMPTHPHGNVHRGRYPDRACASSAEPLASAHQRSGYGRYPLSRDGFVQTSGFCQM >PVH66365 pep chromosome:PHallii_v3.1:1:39213585:39215231:-1 gene:PAHAL_1G223900 transcript:PVH66365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKIKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH65632 pep chromosome:PHallii_v3.1:1:2962085:2965085:-1 gene:PAHAL_1G043100 transcript:PVH65632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKRSSKGKQNGPLVKEKRPRLQLSDLPMRATLPNKPFNFLCLRHLRLELNFVSLRKKRTDVLDLACLLEVAPLMENLEVHMWMDCNLERYHKCHGELRSLHWHPHTHLKMVDITGFYGQKDQLELALHILRVCTILESMKIDPRPMVASITLDLDTEDGLRFVDGYNVARKYLLKEDHRGIVEVTKVRRRDVENVWPYKLIDPDWLAMVAEDE >PVH66667 pep chromosome:PHallii_v3.1:1:49897294:49897599:1 gene:PAHAL_1G310200 transcript:PVH66667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVPVPAPSLRRLSRPSSPCGRGRALPHSQGRRVPSDRASPHVSPRAGCVTKGTGGGRATRGAVTWDPCRAGRSSAWSAAGPAPPAATSAEREGRGRTNG >PAN07437 pep chromosome:PHallii_v3.1:1:51563703:51564611:-1 gene:PAHAL_1G336000 transcript:PAN07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMVGSLLRRLAAGGGPGHKPKPIRRWEEEREVMRATAKGLNETAFSHVYAKNWREAALAFGEQAAYDLKLGDEQSAASALLLSAKCYAWIHDEDEGAIAATKLALDKALALFLKRNDLQMAAASCVQLAELYVEQRELQTASDFFEKAAGYYGSNRRSRRCRFEAGRLRFLLANKETYRQSPDPDVRSQLYEVFATGIM >PAN09066 pep chromosome:PHallii_v3.1:1:59500506:59503943:1 gene:PAHAL_1G456000 transcript:PAN09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRPLMRGIRPPRVFPTRAGRASPYAIALTALLLVSAFLLALIAFGVFSLPVSAPSAATTNAAGGETESADARPSRPRARRDLGVGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFVPVEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATLLMYLSDVEEGGETIFPDANVNSSSLPWYNELSECARRGLSVKPKMGDALLFYSMKPDATLDPLSLHGGCPVIKGNKWSSTKWMHVHEYKA >PAN03538 pep chromosome:PHallii_v3.1:1:381878:385388:-1 gene:PAHAL_1G003700 transcript:PAN03538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGYGGVSEFQQFIMDGGFAMSAPPQQPQPPQPAAAQELGGPFRYQPLHHHALPPQHHHHAPHMPPHFAHFGAPPQAPFTQQLLHQAAAAGHHHHLQLFHEQHHHHKPPPPQPQQHQPQQSAPSRWAPQHHHQQPHQQHHHPHHLGFDVEAVPESSGAGAGSAASGGAAPPGVPPFLAAAMNFKLAVDAGGGSGATGGTDDALNDGGGGAGSGMMLHVGGGGGGGDDEAAPESRLRRWNGDEETSIKEPTWRPLDIDYLHSTSSSKRAPGKEKVATPESPAPTAAAANYFKKGDDNAAAAAAAAAAASAGGSNYKLFSELEAIYKPGSSGAGGAQTGSGSGLTGDDNAILEPAMADLPGVAAADAPQLNTSETSAGEDAAAVVQPPQPQPSADAARRKRKRRRQEQLSASASFFERLVQRLMEHQESLHRQFLDAMERRERERAARDEAWRRQEADKFAREATARAQDRASAAAREAAIIAYLEKISGESIALPPPAAASGDDMSQDAAAGKELVPYDGGGGGGGGVCGDTAPGSGGDVGSLHLSTSRWPKHEVEALIRVRTGLEGRFQEPGLKGPLWEEVSARMAAAGYGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRTGGQSNNGSAGSNSNAGGGDEAKASSELLDAVVRYPDAQGGPPGFMFDREHQNEAGGREEGAADEEEDGGIAKGRAADDEDQDQDDEVESHGGHDDDE >PVH66029 pep chromosome:PHallii_v3.1:1:10612680:10613429:1 gene:PAHAL_1G129000 transcript:PVH66029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIPQHPSRSQWQPIEINMMGYQIVDTIEGAALEAIYAFCNQHPGEVAGQPIGLFATTDPNESEWNLRVIPESHRLEGSQEEALRGMMRFMNVQYHYQSLLRSEMGQLVNAARSLHREATRHITQVDQLRALVIEKDGIIATQNETIHHREDQINESDATITQRNTIIEFLQEQIHDLILEVDDANVHINELQQQPMPPAVPAPEEEEEDPEEIEGVSEIDSEHGDPVISPHHSSSGSQPSVGNFDDF >PAN08404 pep chromosome:PHallii_v3.1:1:56508210:56512276:-1 gene:PAHAL_1G410900 transcript:PAN08404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRPPLAVLLLLLLLGAASLRARGGRIATEVLAPDFSASYLLFIDTFGVFLASRSGAFQAVVHNPAGQQERFYLAVLHAPSKTCVWVANRAAPLTDRAAPLQLTARGLSVEDPNGTTIWSTPPFGEPVAALRLDDRGNLALLDARNATLWQSFDRPTDTIVSSQRLTAGAFLASAASDSDYSEGDYRLNVTAADAVLTWMGSMYWRLSNDASSTMDRGGTVAYMAVNGTGLYLLAADGGVIIQVSLPAAELRIVKLGYDGKLQISSFASANSSRTPMDGGFAAPTDGCALPLSCGALGLCSPKGCMCPPLFAASHDGGCAPSDGSTPLSVSSCGGAGDGSSLPVSYVSLGNGIAYYANRLDPPTLAGDTISSCQALCTSNCFCLGYFYDSSSLSCYLVQHQLGSFMSANSTDGSDKFGYIKVQSSQQSRSSDSSSNSNLIAILLPTVVAFVLIVVVSAIVITSWRKQGRRSSRSRDLQLRRQRSPSDSAHLVRDVDDDSNDIAIPGLPTRFTHDEIEDMTNSFRIKIGAGGFGAVYKGELPDGSQVAVKKIEGVGMQGKREFCTEIAVIGNIHHINLVRLRGFCTEGQRRLLVYEYMNRGSLDRSLFRPTGPLLEWKERMDVAIGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKFLTPEQSGLFTTMRGTRGYLAPEWLSNAAITDRTDVYSFGMVLLELVRGRKNRSEHVSGGGGGGGGGGGGGGGGEASNSSDGTTGSSSRGAKSDYFPLAALEGHEAGQYAELADQRLQGRVVDKEVERVVKVALCCLHEDPHLRPSMAVVAGMLEGTMELWEPRANSLGFLRLYGRGFSGPVDGGGSDMNLNHVASPGDRSGTTTLTTMSGWPSYMSSTQLSGPR >PVH66495 pep chromosome:PHallii_v3.1:1:46709264:46714007:-1 gene:PAHAL_1G263000 transcript:PVH66495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVEPAAAGADQAVEGAVVPHDEGVGGGGGGDVATTEVQVAVVVSTSGDERRVEYGDDADNEGEEAATVQGSKEGTEELLRKVVYSEEAAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGEKLTDANFNDPHTRTNCRAMVRFRVNDHGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSSSVEAMVYAGYQVQGGAPQLPAGSTSAANIVENSKQHLLLSYSAMANTLAVGTGDLHSLVSYLKSRANEDGMFYWDVQLDQSGRMTNFFWRDGRSRIDYDCFSDVVVFDSTYRLSKQNLICAPFVGVNHHWQTTMYGCALLADESMSAFVWLFKSFLESMGNRHPQSIFTNLDQVVSKAIENVFPNTCHRIAHWHIQKNAHSRLGALNVSKVFNKMFTKCIQGCDSETEFEETWAQMIHEFKLQDNKWLKKLYKLKQKWCSALNKCTFDGGVEYEPQCDSLSNIFNSVADKLTSLSAIAVAVDKLSEDWREKELEEDTRCLQKPPSCIIKHSDILNHAAKVYTHRIYKLFETDFLDGCGATKFKELPCEDNNTHQFEMTMQGRGSRVCTVHLNMSTMELSCSCSKFETMGLLCPHALKALSIKNICKIPESYILKRWTKDAKKWVFNPKQYESSYQECMDDDAAYCNYVMHYAYDLVTKSQGQEELRKALWETLENGEKELEKYLGNGTQYAPSYAA >PVH65674 pep chromosome:PHallii_v3.1:1:3409711:3410365:-1 gene:PAHAL_1G049500 transcript:PVH65674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLQLVKFDTLLLSGFLQQHLVVFLSLTGPAIGFAQQASVMALSLASAMCFCRLRMGVVTQLTCTKSAF >PVH66351 pep chromosome:PHallii_v3.1:1:36819774:36820460:-1 gene:PAHAL_1G217200 transcript:PVH66351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEHEVCFKRRRKRAGGSVSKLCRSIHLAAKEDPFYMDVITKAPGEGGAAQPGQGLGSHEGGLPELRRAGVPSSIQHRGMQALSPRACVRAPQPGRA >PAN08828 pep chromosome:PHallii_v3.1:1:58459519:58461055:-1 gene:PAHAL_1G439000 transcript:PAN08828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGGEEALRREYVIGDEIGRGRFGTVRRCYAAATGAPFALKSTPKAPLRALEADPLDLALAEQEPKVHLLVSAPPPASRHVVALHAAFEDADAVHLVLDLCEGGDLFSLVSAGPLPEPEAADLAAQLADALAGCHRRGVAHRDVKPDNLFFDASGALRLGDFGSAGWFGDGCPMTGLVGTPYYVAPEVVAGKEYTEKVDVWSAGVVLYVMLSGTVPFYGATAGEIFEAVLRGNLRFPPRAFAGVSPEAKDLMRRMLCKDVSRRFSAEQVLRHPWIVSRGGSAAVAC >PAN07646 pep chromosome:PHallii_v3.1:1:52576788:52578379:-1 gene:PAHAL_1G352100 transcript:PAN07646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTSSCFHAFGNPDFAAVFSGGSAQAHRPRRSTEGSARAAAEDGRSPSSARRAPSMFCVPDTEAEEPNQFLDECTLCRKALCGDIFMYRGDTPFCSDECRREQIEMDRVRHRRKKQHALLAAQQAAAVMAQREHRPQRQLQPQH >PVH66063 pep chromosome:PHallii_v3.1:1:13177053:13178242:1 gene:PAHAL_1G139000 transcript:PVH66063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPPQADSTAAIAAVFGSGDLLRDILLRLDSHAYLFRAAAVSKRWLRHASDPAFLRRFRAHHPPRFLGFYVSNSSYPRVRFLPVSQHPELAGVLPTAASTCPTTPDFIVCSPLHPDPDRATCLLSPPPIGEAANRDLIQKSLDLAFHEDSGDGLLCTMVLFRCSDRRASVHLSDLQDGTWGEVRNSHIIELPEQLEGWNRWGNRILLTHGKLYMNCMAGYILGVDSSSMSLFCIKLTNGVQNGANSLGLSRAQGSRLCLINVREFKFHVWHRTTDCASTDNWKLMDTICLRQAFGHLADPTWHSQDARVHVDVVGDNDDFVFLQIEHRVFCVHISSSTVEKVYEPTQEYEYLHGVYPFTMFWSPTFPVLNGGHDHDA >PVH66682 pep chromosome:PHallii_v3.1:1:50226187:50226456:1 gene:PAHAL_1G315300 transcript:PVH66682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDESLRSGKRRRRDCLPRATAKPASSSFNGAATRTSRFVRRTGAPLPASTPCLLAAGLRRVAELVLGPRLIVMVSRACCWDRPWKGRR >PAN07940 pep chromosome:PHallii_v3.1:1:54083372:54085383:-1 gene:PAHAL_1G374800 transcript:PAN07940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPKLLLLLLCSYHTLVAHAGVDSSYKLLSIGSLKSDSVCSEPKATPSSSGVTVPLHHRHGPCSPVPSKKVPALEEMLRRDQLRAAYIQRKFSAAKGVAGDVEQSDATVPTTLGTSLNTLEYVITVGIGSPAATQTMLIDTGSDVPWVQCKPCSKCHSQADPLFDPSSSSTYSPFSCSSATCAQLGQEGNGCSSSQCQYIVTYGDGSSTTGTYSSDTLALGSNTVRNFQFGCSQVESGFNDQTDGLMGLGGGAQSLVSQTAGTFGKAFSYCLPPTPGSSGFLTLGAGTSGFVKTPMLRSSQVPTFYGVRLQAIRVGGKQLNIPASVFSAGTIMDSGTVITRLPRTAYSALSSAFKAGMKQYPSAPPSGIFDTCFDFSGQSTISIPTVELVFSGGAVVDLAGDGIILSSCLAFAGNSDDSSLGIIGNVQQRTFEVLYDVGGGAVGFKAGAC >PAN05703 pep chromosome:PHallii_v3.1:1:21728474:21729403:-1 gene:PAHAL_1G166400 transcript:PAN05703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTKPPPYDGVEYDEEDVPRCRVKMTIPPHPTLSLWSPIEVNVIGHRLADTFEAAAIEAIHTFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRVAYCDHLLGTLAGETLRTAVRFMSAQYRYQMLQQHGIYRLTNIAQGYRNQVGRQNTQIEALQATITAKEEDITQREETIQHREEQIVESDALITQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPEQPAANEPEDDEEEDPEEVEGVSGIDSEHGDPVLSPYHSSSGSQSSVGNLDDF >PAN06785 pep chromosome:PHallii_v3.1:1:48445858:48451517:-1 gene:PAHAL_1G288000 transcript:PAN06785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFRLLILVLVLVVCPSARAARDADAVVSRIAFGSCANQSAPQPIWDAVVAFDPQVFVWLGDNVYGDNKRPFQVFGKERTVGPWKNVPRFYPSTEEELRRRYQLAKAKPGYASLRERAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLLLDFLDEAEDSKRRKQAGVYTSYMFGPEGKRVKVILLDTRYHRDPLLSDGTILGDPQWQWLERELHGPQSEITVIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIESSKRNGVIFVSGDVHFGEIARFDCGVQYPLYDVTSSGLTQSVDNSVPVVFQPIMRLLAVLTPTTMRVLNPNCLYKSCTTGQPNFGAIEIDWNAVPPRIKLELRDVEGRSVHSVEFPISELQPSGALAMKKQEHAFQRHCTLETELPWLTQYRLALLFFGTIAVFIIAVVLLAITCLSSILMCSKKTKKE >PAN06755 pep chromosome:PHallii_v3.1:1:48290953:48291824:1 gene:PAHAL_1G286200 transcript:PAN06755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKALLLLALLAAAAVLATAADQRTHDSKEKMASGAAGVEHWHGGGHGHGGSGDEHSHGCEYGCCRRVYHGGCQKCCPPPSGGGPEVEN >PAN06347 pep chromosome:PHallii_v3.1:1:46155779:46160081:1 gene:PAHAL_1G257900 transcript:PAN06347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPPADVLRHTNNLGLPQMPSAFAVHRRHMASVADLSVASLRKTSLSAADVLENLGSLLQGTVAASYSNVPHHVSLHAAGPSTAAVLQRNARSQAPPMVANPTPSSVAPVTLGVVLRETLHAQSTAQSGSTPANMNLAATLLGGSGSTAAALKPKASALEVYGRDMVAAVSKADPVIGRDDEINRIICVLCRRTKNSAILVGAPGVGKTAIAEGLAQRIVAGNVPAALSGAHILELDLGAMVAATTLRGMFEERIKNVIQEAEDANGKVILFVDEMHMLLGASNISSVGAANLLKPALARGRIRCVGATTFEEYRKYIEKDAAFERRFEKVLVEEPSLSATIAILQGLKKRYEEHHHTIIQDAAIVAAARLANRYITGRQFPDKAIDLIDEASAITRIHTDNQLKGNNMQHSPVDALKKAIVCPDQVAQVVSRLTGIPVSSLAQDEKVKLMHLADRLQERIVGQQEAINLVAQAVLRSRAGLDQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSERMLIRFDMTEFVGSHSVLRLIGAPPSYQGHDDGGQLTEKVRQRPYSVILFDEIEKADPAVFNVFLQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEYLMEAMTGEKSMEAARDLVIKQAEKHFKPEFLNRLSEIVIFEPLSQDKLRVVANVQLKGIIDRLAEKGINIYASEAVLDVVLSESHNPLYGARPIRRWLQKNVMTKLAEMLFKGEIDGKTTVIIDASEDKKDLKYKVVKNARTLEKRPLMEISSDSDSDDDIDLNAPIVKKTKGIVISSNWK >PAN04709 pep chromosome:PHallii_v3.1:1:5944401:5945921:-1 gene:PAHAL_1G085700 transcript:PAN04709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLLPRRPRLLPLVQLAVALWLVASTGCLCQQSYPINPQPVGSYPINPQPVGSYPTAPLRPPPRRRPFPPCIGRRCTPP >PAN03891 pep chromosome:PHallii_v3.1:1:1947141:1950171:-1 gene:PAHAL_1G027800 transcript:PAN03891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAQASPLPSPRRSPPRAPGAAEGAGAGADPPALTSARETAGDEWPDPENPPAVATLPRSPPAADLSTAVVVAARHHAAAAKYVPPRAASRTADPDPGRSAGWYSWSGRRSAPPPRRARPDPPLPRRQRPAEVPPHPQAPAPAPVRAPAPAPVRAPAPPPAPAPAPAPAPAPAHATTPAQFGSADRVVPNILSRKRRVAAMQRAALVARGAAAGLCLAALAVLAADSGKGWARDSYSNYSQFRYSEAVNVIGFVYSVFQFAVLVGLMRKNKHLVPHPKRDLFDFTMDQVLAYLLISSSSSATARVGDLIDNWGSDPFPSMANGSIAISFMAFIVFAICSLISAYNLFRRDM >PAN07151 pep chromosome:PHallii_v3.1:1:50198046:50202004:1 gene:PAHAL_1G314700 transcript:PAN07151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGFQLGVIESLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLRFFEAKAIDGQTVVLFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKFSLLILLLGVGIASVTDLKLNFLGSILSGLAIATTCVGQILTNTIQRKLKVSSTQLLYQSAPYQSAILFTTGPFVDQLLTSRSVFAHKYTFPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLRDPFTARNILGILVAIFGMALYSYFSVREGRKKAAGDALPVSQMPDKETEPLLASAAKDGGDAKKANGVAHDC >PVH66220 pep chromosome:PHallii_v3.1:1:25835830:25836759:1 gene:PAHAL_1G180700 transcript:PVH66220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPEGHLHTNALHWEGFPRLLWESLQAFHYIEPPQYDAVEHVEDGIHRAHVRMIIPQHPFRSQWQPIEISTMGYRIMDTIEVAALEAIYAFCSQHPEEVVGQPIGLFATTDPGEAERDLGTIPESHRLEGPPEEVVQGMRRYTGVQYHYHMLLRREIGHLITAARSFHGDAARYFTQADQLQAVVIEKNGIIATQNETIHHREDQINESDHIITQRDTVIEFLQAQVQDLILAVDDAQAQIEELQQPPIPPVAPAAPEAEEEDPEEIEGVSELDSEHGDPVVSPHHSSSGSQSSVGNFDDF >PAN05813 pep chromosome:PHallii_v3.1:1:13884807:13890694:-1 gene:PAHAL_1G141900 transcript:PAN05813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEREGAELTAPPPPAASAAAVSVVFDDDDLLREILLRLGFATTLVRVALVSRRWLRHASHPAFLRRFRRLHPPALLGFYLRELGAWAPRFVPVSRAPELATAIRRAGAAEGHFFISDCQNGRLLVTDFDPTACRHAVLSPLRPARGKAVLPPAPKWSLIWFFLPEKAAGCDDDGAVAVLMLPLGTKAKPQVDLLTSGSGAWVVRRTAVIDLPEPLPPIAYTLPPVEGKVYSLTKCGHILRLDMAAAESCLLQLPDRVSTDNFTLSCGEEGSVLFLIHAEGYLLSIWQLPMTSSDADDWAVVYDKVPVREACSRREDVMVLAVDDNLEFVFLWLRSSAVLMHMHLESRSEKVYDELKVRDGRFLDINPFMMVWPPVFPALRDDDNLDG >PVH67205 pep chromosome:PHallii_v3.1:1:59246069:59247507:-1 gene:PAHAL_1G450400 transcript:PVH67205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARLLPLLRRRLAATNADSPAPSSRGFSFPPTTSAGLRSLLTVTEASNNASDNNPLDHEQEGSRTDTPPASVPAPEPSFKVRDTSNLKISPRHYLAMIFTCKICETRSMKMASRDSY >PAN05123 pep chromosome:PHallii_v3.1:1:9071886:9074887:-1 gene:PAHAL_1G116600 transcript:PAN05123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGSAQSQKKSGRGLAEQTGFNPSGLARPSKEQCIHSRRWTFSRRSAQGQIPLGGGGGGGMAKVSESAAESASTAASPLPPASAPPSRPKAKHRQEIEGYPVEGVSIGGQETCVIFPTLSLAFDIGRCPQRAVSQEFLFISHGHLDHIGGLPMYVATRGLFRLRPPTIFVPACLRDLVERLFEVHRAIDQSELKHNLVPLEVGEEYEFRRDLKVRAFRTCHVIPSQGYVIYSVKQKLKQDFIGLPGSEIKRLKLSGVEVTNTVSTPEIAFTGDTTSDFILDPDNADVLAAKILVVESTFLDDSIPVEHAREYGHTHLFEIASQSDKLGNKAILLIHFSARYTTEEIDAAIIRLPESFRNRIYALKEGF >PAN06018 pep chromosome:PHallii_v3.1:1:25520540:25525421:-1 gene:PAHAL_1G179500 transcript:PAN06018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTAPAAAALNHWNRLIQLAAASGSYADCLRLYAGSLLATDIRGDASTFPSLAKSCAALRLPGLGRAIHARAFLAGTAVSRDAFVRTALIDMYAKCGRLPDARRLFDETPRSSRTLVAWNCMVSAYGRSSQMDEAIAVFNAMRRAEVRPSGSTLVGLLSGCADSVSARNIGACLYGYSVKSGLDADLLVLNSVLTMLIRGNQLDTAQSLFDRVENKSVVTWTAMASGYLQAKDCVKVFDLFRAMRVTEQSMDSVVLINLTAAATLFGNVLVAKGVHALVIKGGFQFQDDLAASLVNLYTRCGDPLAAKEVFDSVHCKNAVLWTSMLNGYVKCGCPDKALESFDAMLCARVEPNRATLLAVLSACANLGSPNLGHKVEEHVIAIGLQSDLQVSTGLIDMHCKCGSIQHARKIFDSVSNRDLAIWSAMINGYACNGKGSEALTLFSEMQNKGIQPDAIVFTHVLTACSHSGLVDEGLNCFHRMTVEYGIKPSIEHYMCMMDLLSKAGHLSGAMKFFKEMPVQLRNQVLAPLIGAHKAHGVDSSIDIVSLELLNLDPQDSSHCVLISNMLSCLGEWKKARNYRRLISKQGLVKKPGWSYIELGA >PVH66285 pep chromosome:PHallii_v3.1:1:29769079:29769402:1 gene:PAHAL_1G195100 transcript:PVH66285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSLPQGSFLCARCHLVHEDRQAWNRAYSQRWQCSRCGPVQAEYRLGTMIYGLDEFDCELLIPDLDNVVMHGNTLMLPAHVLKMLDEKRERELAAGKDHAKAPVR >PAN08940 pep chromosome:PHallii_v3.1:1:58925141:58926244:1 gene:PAHAL_1G446300 transcript:PAN08940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRRSSEGDGGSTAERRRPQQLYLIFDNWSRGYSIREVNLPSGSSHLPAVSGKVAEQRLPPPIFRLAVPRQLPQYFVCAFGTKIIAAHPKDPVFEPTGENSIPILGVRKRSIIIGPAQSLFPVYPTYVPVGDRRLFCLHSGFIELLCRSQREQPSGDNMEWAWCNHKALPFESNDVSSYAVHPAGPSILVSTKSTRYGTTATFSFHTEEFVWKQLGGEWMLPFTGRAHYVRYLEIFVGLSKDPETLGHLTSNTQCPAPAWKLCQEKLFSDNPGEEHVSATHVYMGSKSKFCLVECILFEDVRADQVLEERGLRQRGSCYMYRLMKFSLRFDMNGDLKTKSQRVGYFKVPEKTSIELIFGGPVAFVL >PVH66364 pep chromosome:PHallii_v3.1:1:39030655:39031584:-1 gene:PAHAL_1G223600 transcript:PVH66364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPEGHLHTNALHWEGFPRLLWESLQAFHYIEPPQYDAVEHVEDGIHRAHVKMIIPQHPFRSQWQPIEISTMGYRIMDTIEVAALEAIYAFCSQHPEEVVGQPIGLFATTDPGEAERDLGTIPESHRLEGPPEEVVQGMRRYTGVQYHYHMLLRREIGHLITAARSFHGDAARYFTQADQLQAVVIEKNGIIATQNETIHHREDQINESDHIITQRDTVIEFLHAQVQDLILAVDDAQAQIEELQQPPIPPVAPAAPEAEEEDPEEIEGVSELDSEHGDPVVSPHHSSSGSQSSVGNFDDF >PAN06928 pep chromosome:PHallii_v3.1:1:49047802:49051835:-1 gene:PAHAL_1G298500 transcript:PAN06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFQATTCKPHSGLIVNRPIAGLGSTSQYPLRSHALGFHKLQRKVYPRLVLIAASHKRPTSVCALSGKGNPDNADDPLMESLKKAMADAKKPRPIQDLLKEQMAKLREQASGGGGGNGNRRGGSGGSGGPEDESFKEALDEIVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQKEYSEEDEYERPAASKPTWWQQPQKFVHLMQELCRGNWRPHAQES >PAN04707 pep chromosome:PHallii_v3.1:1:5940611:5940957:-1 gene:PAHAL_1G085600 transcript:PAN04707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPRHPGLLALAVALLLAATGGCLCRSVPSGVPSSRALLSTPPAPPDTPAPPPFHFPRPICRLCPPRCPPEGCSGGRSP >PAN08835 pep chromosome:PHallii_v3.1:1:58505515:58509141:1 gene:PAHAL_1G439500 transcript:PAN08835 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP-like protein BP-7 [Source: Projected from Oryza sativa (Os03g0183100)] MSAAAPTLLHHRGVSGRGTLPVHHGDCRVPPGACFMGTSPMLGPMRVSLVCNASPNNHRPRNSDISRQQRGGSSRGKGKPYQDKDDSENIDEFDSDIMFSKNGPPISLASNSRPQATSAPGEREKEIVELFKRVQAQLRARGKSREDKKPEPAKVQGERGSVDSLLKLLRKHSVDQRRKSSDDKEQNFDLTRRSNDSGNRQSSTISGTKGDTQEEQKKPPPAPFKRPASNFRRRSPVPGVKFQPVINADADADAEAEAEADRKSIANNVADAVEKAKTALGERTAADEPNSVSPYEPDSVIQPENISLDDFDALSDDESDTEELNEYPEPSLEIADVTDTDESHDSAAESSDLSSLKVAELRELAKSRGIRGYSKMKKTELVEILSGVA >PVH66121 pep chromosome:PHallii_v3.1:1:17645845:17651510:-1 gene:PAHAL_1G153600 transcript:PVH66121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSELWISRLMAAKRQFALQRAQRQHAAPASHHDRFDDIEPEDEGRSDFPCPYCYEDHDITSLCTHLEDEHPFESKVVACPFCSARISKDLLEHITLQHGYLFKLQRHHRLRRVAGAGSHTLSYAGRDLQETYLKVLLGNSGRSSSTTNTSTSVTDSLLSSLVLNLSSSEAEDTSKSSAPAVAENSWFKRSLPSKNWKISSADSNLSHEEREQRRRQATVRSAFVQHILVTTLFDD >PVH66377 pep chromosome:PHallii_v3.1:1:41926620:41927492:1 gene:PAHAL_1G230100 transcript:PVH66377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGVTERPRYYSREYEHLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHRDAYPLAVRKALRYLCQIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRHQEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAHESLWQIQDRRMQEWTNSGTPVPAIGETQVLIGTPITGWGGLFRTPQAPPEGAERTAATVEGGAVEQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PAN05660 pep chromosome:PHallii_v3.1:1:15902240:15903740:-1 gene:PAHAL_1G147900 transcript:PAN05660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKPPRLLKTSWGPAAPCGVMRWRRLRCLCASREGWRSAATVCALGAALRRRLLRAEQGWAGGERRANGSAELSASVRPSLNPKRRGVLRFPAKPPSLTKPRTIGSSRPSPPPCGTPPPPSPRRGRRTGSAVTWWTSAAA >PVH66225 pep chromosome:PHallii_v3.1:1:25965638:25967399:1 gene:PAHAL_1G181300 transcript:PVH66225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAAEPVAGDGSICAVSITKRAKLEEPEAEAADGITRRSPKLEAADGAGISPEKPSKKEKGAEEKRKRSKKKRAEEKNKQPNKVEVAPDLSMEEKIMAKLAEFDKKMAEEKGKRSDQEKRIEEFNKKMAQEKREFDKKMAREKSKRSDQEKRMEEMLMRIEKIASKIEEQVADDGMKKEKMTKVKRAKKLEGVEVRENKVMREMADNEAGGLKKKKVVTWSLSQELLEYLRAKELMGLLASEASLPLWANKMTEELFPNPEDPNPEDPDLKDEIPLKERIAAEFQENREFDAHVLYQYRTEGYVEIEEEVSDEEVDEEVSDKEHDVEADGILVGKKPEKLSKKEKGAEEESKRSNQEKRTEEKNKVEFLTMEEEINAELAEEEKKNKRLLTVELSQALMEHYLFHEVLDYMVAKPMVTFGEYNFRQDLGMYDDPYKGAKFYAFRRRSIERKANVLQQYHTKGSAVLQFYATDDETE >PAN04443 pep chromosome:PHallii_v3.1:1:4607138:4607758:-1 gene:PAHAL_1G067600 transcript:PAN04443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGAAAAADCDVESRFRGVRKRPWGRYAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARMLRGPKAKTNFPLPASAAAAAHHRMPAAAAAYTPYTTPGVSTPPVARPACSSLSSTVESFGGARPRPVLPPRPPPPPIPDGDCRSDCGSSASVVDDDCTDAAASPSCQLPLPFDLNLPAGAGCGAGVGWYGDEEDDLRLTALRL >PAN05697 pep chromosome:PHallii_v3.1:1:16995307:16999942:1 gene:PAHAL_1G151400 transcript:PAN05697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVETPVINQTDGSSIVLNTLDTNPEMSLLERRNDKDRERTIKKYHGMTDEQKADRNARKQANRYRSIFDAGATIANNKDADAIDGACITTFGTCTLAIEDDVTPEEQKRENERKRYHDMGGLARNEKIIKIIESCKKRNIENQGKHVDTPVTGTLQSALTQQQSGRVTRACTRNIDRDDQFDSDLWEPYDPMHGVEENDLDQMNLMDYGLADIEDDEACIFHHQDFQYEYAMDRDIGFKGSDCTRIFRRNTMS >PVH66540 pep chromosome:PHallii_v3.1:1:47600617:47606975:1 gene:PAHAL_1G276100 transcript:PVH66540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLSLITAPAAALPATSLLRRRTAGTQVQNAVPGRAPRWRRERSHQGFIVEALQSEGSEPVAYKPVVGKTQHKGEPRHIDEMIGTVRAALTSMGGGDISFSPYDTAWVALLKKLDGAEGPQFPSCIDWIAKNQLPDGSWGDDAFFLVQDRLINTLSCIMALKTWNVHSDKCNKGLSFIHENIKRLPEDNENWMLVGFETIFPTLLEMAKDIGLDMPCDEPALQDIYAKRNLKLARIPKDVLHSVPTALLFSLEGMPGLDWDRLFKLQSPGGSFMSSAASTAYALMQTGNKKCLEYLTDIVHKFNGGAPFAYPVELFERLWVVDRLERLGLSSYFRSEIDSCLDYAYWHWSDEGIGFTWDDMVRDVDDTAMGFRLLRQHGYHVSTGALKRFETKDGEFVVYPGQSNQSVSAMYNLYRAADQAAFSGDDAVVQRAKAYSYAFLQERRASGNLNDKWIISSGLPSEVAYALDFPWKASLPRVETRMYLEQYGGTDNVWIGKVLYRMHLFNNELFLKLAKADFSNFQRQCRLEWQGLKRWCEKNNLEMYGVTPQSAMRAYFLAAANIFEPDRAAERLGWARTAVIAQAILSSNACTTDSMLEGLISELSSDDHNFARRGGKYSTENGLLTALHELIHLFAPGKDASDNLREAWKTWLMELTTNDGHESCEGKTALLLVRTVEICSGRHCSANQNLKLSEYSQLEKLTSSICSKLGSRIFSQVNQNGTTTENTENLEQQVDQEMQELAQCVFQSCDTISKLTKQTFLHVTRSYCYVAHSSPETIVSHISKVIFEDVV >PAN06269 pep chromosome:PHallii_v3.1:1:45572262:45574632:-1 gene:PAHAL_1G251300 transcript:PAN06269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKHAAGAAEQDDGQAGAAAALDGIQYCSEHPYRPGSAAAAAAVAGGGVCAFCLQEKLGRLVSSSKSSPFFPLGGHPPPSGSPSSPPSFRRAPEPPPPLRPSAASRKFISFHRKKTPSSSSSSSSSASAALSAGGGGLKRSKSVAPRPEEQFPYSSASSLAAESPRKKSFWSFLYLSSSSAYAHQAAAPYAASGGAAAARRKSVSVASAAWASRANAGAQDQQPRGGAAASSTLGRTLEAIGEPESPSQSQVSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSNGFGDCTLRRVESHREPKPHKMRGGGGALGHLGGAGAGADDDEEEDDVYEHQHRIKCAGFFGGLGPASSSYWLSAAEGATGGVGSGTRKSGGRSHRSWAWALASPMRALRPTTSATSTKTITVVPPSHVASNGNTSVSALSISSPTPPSSGAPAPAAAAPMATATATAAN >PVH66692 pep chromosome:PHallii_v3.1:1:50418583:50419455:1 gene:PAHAL_1G317300 transcript:PVH66692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVSYVASTRAICCGAPRAAMACAAPAPNRMQTWPRLIMKAKDGHEQAMACAAPNPDLRRLERPRASARQYYFVDPYDLIRFVKLVKRMASTSTPTSAPTCTAIQNLGNCRIEWIAPQSAPLDHPLPAVAL >PAN07745 pep chromosome:PHallii_v3.1:1:53122262:53125968:1 gene:PAHAL_1G359400 transcript:PAN07745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDAMERGERAWLRPKLLALPSGLRRSPSMGTPRHLTLTPRTPTPDRQRSFGPRSTPRGQTPRTADRLRWTIGARSPVPGGTEKFSPLQGHGPETQDDSLQVPLLNDKECTGSKAPMVVLGFECLESTAFNGISTNLVMYLETVLHGSNLASASNVTMWFGTSYLTPIFGAIIADAFWGNYNTILVSLTIYLLGMILVTFSAFMPTATVLATSSVFSAQTVAFVGLYLVAIGSGGVRSSLLPFGAEQFDDENAVDRESKGSFFSWFYLCVDFGPIVSGLFIVWIQEKVSWGLGFGISTACIAIAFAAFVLATPMYKRPTATGKEPADAGTLYEGGDKVDIICESDLKDIPEEAGSSWNLRTMMQGEELKILLRLLPIWVTSIVMSSAYTQMNTTFIQQGNAMNVSILSVKVPAASMGSFEVVCVLTWVLLYSKVIVPALRESGFSFGGNGEPTQLQRMGAGRLLMALAMAISALVEMKRLGSAARGEEITIAWQIPQYFFLAGAEVFCYIAQLEFFYAEAPETMKSTCTSLALLTIALGSYLSSSIYAIVAAFTATGGSPGWISDNLNQGHLDYFFWAMAAMCTLNFFVYTAFAKNYKLKTQDSVLMT >PVH65619 pep chromosome:PHallii_v3.1:1:2719124:2719844:-1 gene:PAHAL_1G039500 transcript:PVH65619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHLRSASVPSSPRSNEIDIEEQFQSLRTTISSSSSTIGTMCDGLRKLGEVYNHIGEIASLPSSQLTRQRKAVEQELECSLYVLDLCNAMHGSFGELKESILDMQLALKRGDDAAVQTKIQSYIRVAKKTQKLFKKISKKSAAAEQDSCRLMKMMSEAREIVTSMLESASQLLSKQIAIPSSSKWSLVSKTFQKIRVVCDEEQLQELELDISRVSLLNALSL >PVH66658 pep chromosome:PHallii_v3.1:1:49759321:49761578:-1 gene:PAHAL_1G308000 transcript:PVH66658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWDAKSMIPILVFIDREPVSGQIFSEKRSKLLKIAAKTASMGSAELFQRRSEFVGDILQRLGAKNIIRKQEGSMKHSKIDCREAPAIPKGQFDKLLDCRRRDFTSPTKLRRTGKSSPSYASDDACEFMALPWVHNQGHPSFIDWKNHLPRGDSKARECMALPWVCVNDISSSDWKRGIVHSQVSNLLLDVEPYIQRRPASANELSLNVQTASNDQHGWSPMLSVKLAESFRDRLSFPCQIEEQHHAVPYAILNTSWQSDHHSSTEQCVSISAELEREYRKESGPVNNSDARFLTRFDQLPAKSAASSFLDSENEILDRFSTGNGSQSNNMVFSVSTGCLNSIFSISGHPYEHGTKSLHDSAAGVSCLAGLEEKYSREVELSDNSDKLLQVLDQLPVKFTPSSFYNEEPRIQDDHLPRYISSCPPEDSSSILPLDAIDGGLNSLSSYSAHPCKPDWNSLNDSSTELGSSVHQLQSHANLGAVLGFMPNASAYSDLVEGQRSLMLVQGDLNNDILGTTDLSFFGSCSALDNIREALMLSSDGITW >PAN06663 pep chromosome:PHallii_v3.1:1:47878216:47882191:-1 gene:PAHAL_1G279600 transcript:PAN06663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPADKGKKAKTEAGGGEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVMEVEQKYSEIRRPVYLKRGDIIKTIPDFWLTAFMSHPLLSELLTEDDQKIFKYLDSVDVDDSDVKAGYSIHLNFSENPYFEDTKLTKTYSFADDGTTTIKASQIKWKEGMGPANGNGINKKGSKRPLVEESFFTWFGDTELKSLADGVQDEVAEIIKEDLWPNPLKYFNNEVEDEFEGDEEDDDDLDGEDGEDDDEEN >PAN08172 pep chromosome:PHallii_v3.1:1:55339940:55340520:-1 gene:PAHAL_1G393200 transcript:PAN08172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAHSVVFLVTGLAMVFVVHVFMLFWALNWCCRAQPSSRVGERAEEGGGGLSAKQVGELPCHECKEGPGAGECAVCLEAFRAGDRRRVLPGCEHGFHAECVDSWLRKSRRCPICRAEVVVVAAHGKNAGEVAEATAVEIVTER >PAN08383 pep chromosome:PHallii_v3.1:1:56444643:56446990:-1 gene:PAHAL_1G409400 transcript:PAN08383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVLVPFQGSEDCHRHLKIMGDLSQCALPVAKAPYAAGSGDGMQHPLFRSSEGVPFLFFCFPSALLPISLHWIMSGIKVFCVSRERKTT >PVH66706 pep chromosome:PHallii_v3.1:1:50677580:50679195:-1 gene:PAHAL_1G321900 transcript:PVH66706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDIMEVGELVGEIRAHEMSILGMSEEPTSSKSSALKTKTNKSCKLKMIKQDSSSSNEEDDCHESSSDVEYDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCAEKGHIRPNCSKPDKRNKDNKSKHRHDSSDEEEEERMNKNRRFGKKKTHDKKTKLFPKKKGHTKKSFLMEKQEWVTDISSSEDSSDEEDIVTIAFTNEEPSQPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKYNDLLKKHNESLILAKQVEESHKKLKQEYRELVHKYQELEFAYEVIDPSLEKFAHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKKVGSYCQQCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLTKQLWVPKALVTHVQGPKLVWVSKTQE >PAN07080 pep chromosome:PHallii_v3.1:1:49855169:49857454:-1 gene:PAHAL_1G309400 transcript:PAN07080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQFGWGREEGGWRKGPWTAQEDKLLVEYVRQHGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSQLLLQQQQGQDQQQRQRQAGDDHDDGAVTREASSSPAVSLAAQHEEDLQMLQQDMDDLLFQFCCPMASSSCLLPGAAAAASASASEEGSTGDHPQLDEGATWGWGTLWNLDDVADDVDGGACGGWDTSLFPLLQDQGLAFY >PVH66780 pep chromosome:PHallii_v3.1:1:52078834:52079961:1 gene:PAHAL_1G345000 transcript:PVH66780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKKNKACLPACRRDGSSRPHTPIRKQSSVTKAGQRGRTRHQDPTRQDPNCAPRQRFFVLPAWTRAEEDDELRRRKTRRSLLDGTGEG >PVH66409 pep chromosome:PHallii_v3.1:1:43536710:43537472:1 gene:PAHAL_1G236700 transcript:PVH66409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRRRLELRRVSLVAAMVVVTSFLTAAAHRRDEGDLSPSSFTAAPTTGINHVAAELQSTARHLLLPLRQRRRHRSSKPATTADLLPSADGGTNNLCSSETIVTNGFIDPHQSQLLPRGPLMPPASVYGNLLASAGEVNTEEASCRRVDSDSLNFLTRGCGSSVKNTFVQGVFVIVLHV >PAN04508 pep chromosome:PHallii_v3.1:1:4899577:4904542:1 gene:PAHAL_1G071800 transcript:PAN04508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDAPMLFSCRNLAANKFEGNMPSTLPWLHSLKYLNFSYNKLSGIIGDVFVNMDSLETMDLSFNAFSGDLPRSFSLLTNLHYLYLHHNEFTGSVILLAGLPLSSLNIENNHFSGYVPGTFESIPELRIDGNQFQPGFRHASSSFTRRAHSPPPQSLSPSPPPPPPPPPPPPLPPAAKQNPKHRPKSPKPSFGYSSLQSNSHHRKSHSRVTAAAVASATCTVFVLLIVGFVLKSWKSCSTSPKSTSNRAKTFPANMEAVPKANEVLYSWSSLLIGSDPSSSNGITSDRVPKIKSWSKKSKNLLTAKQFPAADILAATRDFNEECLIGEGFTGRVYRGDFSDGQLLAIKRIDMVDLSLSEQDELMDMLWNISRLKHPNICALVGYCVEFGHCALLFEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEYMHLTCSPPVAHGNIKARNILLDAQLMPYLCDSGLTKLSHFVSTAGTKDSEAITSAKGYAAPELTDPGADGIKADIYSFGVILLVLLTGQKAFDSSRKQNEQFLVDWAAPHLDDLDFLERITDPRISGSMPPKAISSLGIIILLCIKSPDLRPPMTIVADKLVKLVQSTGLQKTSTTQRLEVDAQDPSFVTTRPYFEPSSTVSQGGTESCISR >PAN04507 pep chromosome:PHallii_v3.1:1:4898102:4905569:1 gene:PAHAL_1G071800 transcript:PAN04507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGRLLLAVAVLCAAFASAASFTDPPDAIGLWGLYRTLESPWQLSGWTFQGGDPCGEGGGRRQWRGVFCKGSSVVAINISGLGVGGWLGPELLKFQSLKKLDLSFNNIAGEIPPTLPPNVEYLNLAANKFEGNMPSTLPWLHSLKYLNFSYNKLSGIIGDVFVNMDSLETMDLSFNAFSGDLPRSFSLLTNLHYLYLHHNEFTGSVILLAGLPLSSLNIENNHFSGYVPGTFESIPELRIDGNQFQPGFRHASSSFTRRAHSPPPQSLSPSPPPPPPPPPPPPLPPAAKQNPKHRPKSPKPSFGYSSLQSNSHHRKSHSRVTAAAVASATCTVFVLLIVGFVLKSWKSCSTSPKSTSNRAKTFPANMEAVPKANEVLYSWSSLLIGSDPSSSNGITSDRVPKIKSWSKKSKNLLTAKQFPAADILAATRDFNEECLIGEGFTGRVYRGDFSDGQLLAIKRIDMVDLSLSEQDELMDMLWNISRLKHPNICALVGYCVEFGHCALLFEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEYMHLTCSPPVAHGNIKARNILLDAQLMPYLCDSGLTKLSHFVSTAGTKDSEAITSAKGYAAPELTDPGADGIKADIYSFGVILLVLLTGQKAFDSSRKQNEQFLVDWAAPHLDDLDFLERITDPRISGSMPPKAISSLGIIILLCIKSPDLRPPMTIVADKLVKLVQSTGLQKTSTTQRLEVDAQDPSFVTTRPYFEPSSTVSQGGTESCISR >PAN07777 pep chromosome:PHallii_v3.1:1:53295819:53298840:1 gene:PAHAL_1G361900 transcript:PAN07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSLYVWWCRALACALALVAVDGLLVDITYVETAVAKGAVCLDGSAPAYHLARGSGSGVNSWLVHFEGGGWCNNVTSCLQRKRTRLGSSKEMATQIAFSGILSDTPDYNPDFYNWNKVKVRYCDGSSFTGDVEQIDPTTKLHFRGARIWQAVMEDLLAKGMDKAENALISGCSAGGLTSILHCDRFHDLLPSSARVKCLSDAGFFINEKDVAGVGYIAAFFNDVVATHGSAKNLPSSCTSTLPPGLCFFPQNEVKQTQTPLFILNAAYDSWQVRNILVPGVADPRGTWRSCKHDIDQCSAAQLRVLQGFRDDFLKAVAEQGSSASRGLFINSCYVHCQSETQELWFSSDSPMLGNTTIADAVGDWFFDRSLFQKIDCPYPCDSTCHNRVYDDPSHA >PVH65522 pep chromosome:PHallii_v3.1:1:1204608:1206315:-1 gene:PAHAL_1G014600 transcript:PVH65522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLPHANAMRAAAAKLPVALVPKPKPHAASPSAPLPERRGTNPAKPHRKPHRGPKAPGAIAPTATNAAQGEGEGRKPIKTPADLAAAIRTAADADIDAAVSLALEAPPAVPLPTYSLALLLRRLAARRSVAAARDLLSKLHPAPPDPASSTAPRGALLALADAVCRRGDPREISRLLPVLADHGVRADAHVYNALMKAHVAASDPAGILAVLRRMEGDGVQPDLVTYNTLVYALARAGMVAKARTFLETMAAQGYFPDVITYTSLMNGMCVQGDALGALKLLEEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVEVYKSMVAAGMKMEAPAYATFVRALCRSGSVADAYEVFDYAIETKSITEVTAYTELENSLKWLRKMKS >PVH66757 pep chromosome:PHallii_v3.1:1:51732899:51733228:-1 gene:PAHAL_1G339100 transcript:PVH66757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYGRTSAAVASCWGRFGLAALWRRLRRMGWPRRRYRTYVLGAGGLNYDPVSYSQNFDDGRVCECEPDFLDRFAARRLGIVGEVLAVRARFQPSGAHVLVVSSMSSQ >PAN07645 pep chromosome:PHallii_v3.1:1:52574715:52575740:-1 gene:PAHAL_1G352000 transcript:PAN07645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGHSVHFLDACFLCRRPLAGNRDIFMYRGDTAFCSDECRSAQMAADEAAERKERASGASARAVTHGALPAREAEGPQERGKVRAGSILAL >PAN08067 pep chromosome:PHallii_v3.1:1:54725240:54726581:1 gene:PAHAL_1G384300 transcript:PAN08067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSNSFGTSWADQWDYGGDPSPRARGRQDGGGGGSKKQGGVEKTKAAAATGLRKVKEGTASGFQWIKDKCQKKSGGGKKQGAHEGSGIAGY >PAN08862 pep chromosome:PHallii_v3.1:1:58641757:58642854:1 gene:PAHAL_1G440900 transcript:PAN08862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRWTRRPSAALLLLLCALLCAVLLFVPAVRRGEDTERFPVSASSSSSWGAGGRRALPQPAAVRSRRFRPRGRWNSAGLADSKHEVPSGPNPDSNR >PVH65856 pep chromosome:PHallii_v3.1:1:6405086:6406655:1 gene:PAHAL_1G091100 transcript:PVH65856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPHPRDGLHLATDRRPPRFVSPRPSRNTFLFREPPNPVLRATPLPMMRRPPLPVGRSLLPIGHPPLHLSLSVLGGWRSPPPAPLPFRVRGALSASSTTGTNSSVLPKCQTEPPVHPSSIRPPVRSSPPSIPPAVRATNTEQLSVLLPSLHLQPPI >PAN04459 pep chromosome:PHallii_v3.1:1:4681009:4681702:-1 gene:PAHAL_1G068700 transcript:PAN04459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNCVANLACLPGLDFTSFFLPQNDLHIYDVNPLGMVGPYNYNGSTTISSMSAGTADNQDRRNNDERKKRRLASNRESARRSRVRKQRRLDDLSSQVAELLDTNQRLLIELNHMIARHSRVVRENAKLREEAAALQKRLNEMEVEEAEAAPGTPEVA >PAN04972 pep chromosome:PHallii_v3.1:1:7836888:7839392:-1 gene:PAHAL_1G105500 transcript:PAN04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDVLKVQTLVLRVNIHCDGCERKVKKTLHKIDGVYQSSVDAEQGKVTVSGLLDPDTIIRKLSKAGKPAQLWGAKAGGVPQNGHHGGGGVKGHSKDAGGGKGQKGGGGGGGSHNKGGGGGGGKDAKMVLPQPTPQQLQQLQMQMQMKGLKLPPELLGGKMPAFAGAAPLKDPKTVKFALPKDNFDDDGSEFDDDFDDYYDDEDYDDDGLDDDFYDDPKMMMKPMAMPPGAGGGDRKGGNGGGGKKGGGGNEIPVQIKGNAQANHGGGKNGGGGQAGQGKKGGSGAVGVGGPMGGMLPQQAMMRPNMMGGAGFPGTGQMGGGPMSVPMGHPHMGIMQQGGSGGAVQGMPAPGFYQGGAGAGGGGMPSGAEMLQAAAAAGNPMAQQQYMLMQQRQMMMNGHGHEPHGHGHHHNHGYGGRPPMHYPMAYPMPPHSHAEQYNIFSDENPNSCSVM >PVH65958 pep chromosome:PHallii_v3.1:1:8649546:8652528:1 gene:PAHAL_1G112200 transcript:PVH65958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKYHQIYLYYLYTTKKGLRGTKPSSCRSPGTTSRPTRRAARRRPNPGESPGLFPLFPFVGGGVGLPPMCAAAPPPPARRPVAPPRRAAGAAPATRPSSPYVYSPASCVPNGPREPPPPARSPPFR >PAN05005 pep chromosome:PHallii_v3.1:1:8163358:8169614:-1 gene:PAHAL_1G108400 transcript:PAN05005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKLNSSVYKRVPSREAAMEPDVETPMRATTDAVAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFSGNTLAEGLVVSICLGGAFIGCLFSGSVADGIGRRRAFQLSALPMILGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPAVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVAAIPATLQALGMEFCAESPQWLYKCGRTIEAEMQFEKLLGPLHVKSAMAELSRSERDDGESVKYSELFYGRHFNVVFIGAVLFALQQLSGINSVFYFSSTVFRGVGVPANLANISMGISNLSGSIIAMVLMDKLGRKMLLSGSFLGMAFAMGLQAVGANRQYLGSTSVYLSVGGMLLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWIVNFFVSLLFLRLLEQLGPQVLYTIFSSVCVVAAIFVRRHVLETKGKTLQEIEVSLLQAQ >PAN05350 pep chromosome:PHallii_v3.1:1:30720565:30722955:-1 gene:PAHAL_1G197800 transcript:PAN05350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25410) UniProtKB/Swiss-Prot;Acc:Q8RXE8] MAAAASCSHPPFSPAASLHHQPHHVRRCGATPRFSPPPPPPPPPRALLRRRPRRLAPTTFCSAPSLPRLGRVGWPRREGNAWLLSFRGDTAAAPGAALGDPSKALSALLPLVVAATAVAALGNPATFSWVNKDYYAPALGGIMLSIGIKLSVDDFALAFKRPVPLSIGYAAQYVLKPLLGVMIAKVFRMPSAFFAGFMLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKGVVNVIQPAMPFVAMVCTSLCIGSPLAINRSKILSPEGFFLLLPIVAFHIVSFVAGYWVSKLPQWRQEEPVCRTISVCTGMQSSTLAGLLATQFLGTSQAVPAACSVVIMAIFGLTLASYWGSGSRIRDVAGGFLPQATAGVSP >PAN05607 pep chromosome:PHallii_v3.1:1:39470063:39471334:1 gene:PAHAL_1G224600 transcript:PAN05607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGKEKEKVEGDGSARERTITWDDDQTKFMFGWFIEFIKDQHAGFKLKKQHHFKCAEALNRQFNMGVSATQVERHLRHYKENWKFVASALAKSGNTFDATRSMVIISESDKAKLQVRVKRLLSKPIKFYNEMQELFRNSNADGSLAMDAANCMNDTQDDEDNDLNDDICNDFSNYAQPQDDLGDDSDTLPSPTNEQTSFLSQTGDGSSSSSGMKRPRAEGKPAKRDVRLKSRLSKIGDTIATTLVTLQQELKKPAPAPPHMPNSDAILWQRLENMTLTTDQKLMVGTFLAHKDQKGMRGFLSGSAEMTFQSWVFKFLSDSGL >PAN07559 pep chromosome:PHallii_v3.1:1:52091791:52093749:-1 gene:PAHAL_1G345100 transcript:PAN07559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLAALARGEGWTEERHAAFLDRMELSFVRQALAGSDVCQASRRLGRRPAATQAEGGGQQVPAAPLPLDRPLPDSAVESNRSGPAARRRAASDARRPVDPAAAGFSRNS >PAN08961 pep chromosome:PHallii_v3.1:1:59060239:59064416:-1 gene:PAHAL_1G448100 transcript:PAN08961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGGGVGGGAGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFESEHDEDERKEGSQEGEGQAPGSSFDNASAKKSNILSTSSSNSLPDAQGLVSGARATDSARIDKFTKELSRPAVILDNLRELSWSGVPPYMRPNVWRLLLGYAPPNKDRREGVLTRKRLEYVECVSQYYNIPDSERSDEEITMLRQIAVDCPRTVPDVTFFQNPQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGNMDSWSMDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDEPVSKHIEEEGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLQKLDFQEMVMFLQHLPTRTWAHHELEMVLSRAYMWHTMFKSSPSHLAS >PAN04476 pep chromosome:PHallii_v3.1:1:4794335:4798685:-1 gene:PAHAL_1G070300 transcript:PAN04476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLKKMSLLDAQRAAPAWLRRLLETDSFFEPCPEHPAAWRSTRSAGCCNFFCTTCAGRALCSRCLGDHAGHETIQIRKSSSHCLVKVEDLDHLLNVSQVQTYVINGEPAVFLDKRTISGKGKPGVTRCEECSRGLHDAGCLFCSLGCKAKGIEDRLDFSISFAVDPRSDSSGEESESASDEEDSSRPTKSQKI >PAN06187 pep chromosome:PHallii_v3.1:1:44687966:44692003:1 gene:PAHAL_1G245000 transcript:PAN06187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLATRLLLRRPTATICISRSCRRVRHFSTQLLEGLPRYSKPTRGRYFLPNASPYQLWSRSFSSENGDLVEAVVPFMGESVTDGTLANFLKKPGERVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAVISKSAVPNEAHVSPPEETSWKETPLPPEEKDNTEEKSPKVEPVKKQEPKLTTPPLKSSSSEPQLPPKDRERRVPMPRLRKRVANRLKDSQNTFAMLTTFNEVDMTNMMKLRSDYKDKFVEKHDVKLGLMSCFVKAAVSALQNQPVVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRDTDRMNFADIEKGINSLAKKANTGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVMDGKVVARPMMYIALTYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >PAN08544 pep chromosome:PHallii_v3.1:1:57148666:57158823:-1 gene:PAHAL_1G419300 transcript:PAN08544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATAQSSRPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSRPYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDDDGKRPRNLFGNNHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPEGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPVFPRRSRYVITTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTEGVSMLTSLSLEEACEENDICEGTEDTVQKQYLDGNTTEVDKSSESSTGVSSKELSDTDSSSGCKETQFDFVSKEGQGQDSSCAKEQSDVEMETVTHCDSPKVSDNCVPEDTSTDQSGVTHHELDPAPDIQNESCSRKNCDDSPRGNVDDEQLDLFITDTILPLMRSRLCEDCESSPSSQDSPSESRNLRSDTQESDSEEPSSIGHGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLNFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGGLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPIDMMDFLDAPVPYIVGVQNKTSDVLNRLSNAVVIDANRNQIKSSSVPQLPQHRELLSSLRPYHSILVGESYLARKRPVYECTDAQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPARDRPFMKLFVDTQLFSVHTDLVLSFYQKD >PAN07488 pep chromosome:PHallii_v3.1:1:51775233:51778465:1 gene:PAHAL_1G339800 transcript:PAN07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWSHHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >PAN04729 pep chromosome:PHallii_v3.1:1:6074075:6079418:-1 gene:PAHAL_1G087800 transcript:PAN04729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKHQKQFMDEALIEQPAVEDHEGAWPSLLTIIGFAFLTFNSFMAVYRSNGDVGAIFFVVFSYLDLVLLFYCLRQYERTPPESPRREHIKMAVWLLTTLLTAAFSYKVAAIMPLPVQVLVWAMAGATVLGGFYAFFIHQEKTRLDPPKA >PAN04834 pep chromosome:PHallii_v3.1:1:6819579:6823795:-1 gene:PAHAL_1G095200 transcript:PAN04834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAEASKTLHNWMGEPGQGDRDDEEKTLQLSLGLPGGGGGPAAAWRAPGREKGSHSAAGSSMLSLGYSNAAFSPCSQGKAKGSPAAATGHALPSTNNASQTRSPNAPVIGWPPVRTFRRNLAASSKASLDHQNGKKAAKPEETIKRAPFVKINMDGIPIGRKIDLNALDSYENLSLAVDKLFRGLLAAQQDPLPSGTRKCPQEEVAISGLLDGSGEYTLVYEDYEGDRVLVGDVPWGMFVSSVKRLRVLKTSDLSSSLTASGRKRAVAEC >PVH66530 pep chromosome:PHallii_v3.1:1:47440449:47443226:1 gene:PAHAL_1G273400 transcript:PVH66530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRRLGGAGWPAQLRVAFLVGPLRAGPDLEVETGLSYFVGQGSAHRLRNGTSVSAELSSKTSDRVSAPPAPYLRSAAPPQMAGATSPAVRALVSRWLPPLLLLFLHGCCSCGAVERERTLAMIKPDGLSGNYTEKIKTAILDSGFHIVKETQVQLDAERASLFYAEHSGRSFFDCLVKYITSGPVLAMVLERTDAVAQWRALIGPTDARKAKTSHPNSIRAMCGLDSEKNCVHGSDSLESAAREISFFFGEADSETVEHDEL >PAN04470 pep chromosome:PHallii_v3.1:1:4764976:4767732:1 gene:PAHAL_1G069700 transcript:PAN04470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LYK5 [Source:Projected from Arabidopsis thaliana (AT2G33580) UniProtKB/Swiss-Prot;Acc:O22808] MPSPRPPGLPAAALPPLLLLLLLAAAAPARGQQEYEANKQNACYATNASSVLGYACNATPASAPACDSYLVFRSSPPYTTPVLVSYLLNSSPDAVAAANAVPTVSPLAASSLVLAPVPCACTPGGYYQHNSSYKIQFRGETYFIVANLTYQGLTTCQALIAQNPLHDSRSLVEGDNLTVPLRCACPSPAQAARGVRHLLSYLVTWGDEVTSIAARFRVPARDVLDANSLNADQTIYPFTTLLIPLRAPPTPDMLASPAPPPAPTPPQTVPAPSGGSGKGKWVGVGVGVGCGALALAGIVGLLFLRARRRRRQRRGDGESGRQGKVVLDMSLSAEYGALASGKQTTNTTTSSSSSATRSLVASDVRGAVEALTVYKYSELEKATAGFAEERQVPGTSVYRAVINGDAAAVKRVAGNVSGEVGILMRVNHSCLVRLSGLCLHRGDTYLVFEFAENGALSDWLHGGGGGGHTLRWRQRVQVAFDVADGLNYLHNYTNPPCVHKNLKSSNVLLDADLRAKVSSFGLARAVTPADGGAQLTGHVVGTQGYLAPEYLEHGLITPKLDVFAFGVILLELLSGKEAAFADAETGEEALLWEAAEEALVADGGEDVDRVKVRAFADPRLHGDYPMDLALAVAALALRCVAREPRARPAMDEVFVSLSAVYNSTLDWDPSDYGTSGSSMVGR >PAN04838 pep chromosome:PHallii_v3.1:1:6839818:6841845:-1 gene:PAHAL_1G095600 transcript:PAN04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKAAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKDKLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNVEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >PVH66384 pep chromosome:PHallii_v3.1:1:41972507:41974093:-1 gene:PAHAL_1G230800 transcript:PVH66384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIDDLMSCGGGAPVVPGGDDQASTTPRRRQMMVAVGDHQLTVSRIRTAVSMLGRRTGHARFRRGPAAVVVENPSSLPDRQQPSGSAAAPGVPLDLVDFVKGRGRDEAAFSASASGDSSSLPSTTLTSLTAGEGSVSNGRFPPVSGHAAGTPAVSMLQQHAASDYYYTPGAAPRSKCADRARSENDAGGGKAHAGRCHCSKKRKSRVRRVVRVPAISSRNADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYEGDHRHDCQQDRGDADAAAAPPEHMSTNK >PVH67145 pep chromosome:PHallii_v3.1:1:58348343:58348610:-1 gene:PAHAL_1G436800 transcript:PVH67145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQLYIPLPRWTKPRNFASYSTKKLENAQASGNPRRYSCDRHGFRQNPNPSIPRDLS >PVH66681 pep chromosome:PHallii_v3.1:1:50218363:50219925:-1 gene:PAHAL_1G315100 transcript:PVH66681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTRSAATERAYLHFAPSPAHGVAVPGPAAAAAGEEFDESDIWGPLAPSAAPSEAPAPRARPLPARKAAAATRKPVPAAALVGGAGRAAHGSLPVNIPDWSKILGAEYRGHQAGAAGDWEVDEGDDEDAAADAVIPPHELAWRRRAASLSVHEGGGVVGRTLKVRDAVWKRTTGFQD >PAN06642 pep chromosome:PHallii_v3.1:1:47760360:47763679:1 gene:PAHAL_1G278000 transcript:PAN06642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGALAVGSEGGGGGAITFTVVMSCLTAASGGLLLGYDISVTGGLMQMESFLQAFFPEILRKTNNAQQDAYCIFRNQELTMFVSSLYLAAILSSLVSGHLTRTVGRRNSMLIGGFLFLAGVVLNFTAVNISMLVIGRILLGLAVGFTSLSAPVYLAEIAPARWRGAFTSCFHFFFNLGMLMADLANYGTNTIPRWGWRLSLGVGLVPAAVIIVGAALIPDTPNSLVLRGRLDEARASLRRIRGAAADTEAELESIARAVEQDRRHASGAFRRLFCRREYRPHLVIAVATPVFFDLTGMIVVSIFTPLLFYTVGFTNQKAILGSIITDVVSLASIAVAGLAVDRYGRRSLLMLGSAVLILSQVAMAWVFGARLGTDGGKSMPRGYAAAVVALVCVYTAGFGLSWGPLKWVVTTEIFPLEVRPAALGLGGAISGVLVFVQSQSFLEMLCSFKYATFLFYAGWVVVMVASVAAFLPETRGVPIEAMGAVWERHWYWNRFVTPAPASAPAKISDGPV >PAN04841 pep chromosome:PHallii_v3.1:1:6855118:6855852:1 gene:PAHAL_1G095900 transcript:PAN04841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRANGCSSVCVCVVFFFSEDFAVLVQALKMHGGATRHMVCLSCFGMNDWIAGWYTCRCVLFGPSICSICFCASDLIGSCQDVLLMPVPSRLFIHPEQSSSVYVCTATATCTDY >PAN05186 pep chromosome:PHallii_v3.1:1:9505839:9510868:-1 gene:PAHAL_1G120500 transcript:PAN05186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGGGGAGDPGAGAGSAPLCSFVRKPPKNIRKRPAAPAGSDDEDDGGGALAALRSKKGPSSVGKLVFSSADASSEPRRFQYESSRTIQSTDSRATATLETETEFDRDARAIRERQLKQAEEALKKNPSGAASASASGEVYKGIHGYTDYKAGFRREHTVASEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRRIAMGGGDGSDDEAADEDDDDDDEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTMGIFNAAQEIRKKMAQDKKQQQQQQQHDED >PVH67188 pep chromosome:PHallii_v3.1:1:58986418:58986994:1 gene:PAHAL_1G447100 transcript:PVH67188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVWTADRLLLREWPNYYFCPLCQRNLETALHLVAECPFSQQFWAAASVWANCPSLTPANWSPLALDLLSWFTQLSDVSSQRKKGVGSLIILILWSLWKERNNRIFRQEELPIARVLSLLKDDIRLWIFAGAKHLDSLVGHTFGE >PAN06332 pep chromosome:PHallii_v3.1:1:46008871:46014470:1 gene:PAHAL_1G256100 transcript:PAN06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPPGVDGMETPEIFSGGGGAATVVRRAVLIGNGSPGAENQCLGLARALGLADNLTLYRVTRPRGGINELLHFLPISLHKFLDQVLRKFFRNTRFAIVVQGRKPYRVPNAGSVGLSTVLEADVKKIVTVAHDTYEKEGPTLIVACGWDTISYSSLIKKVASDNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTASGQQEVPRLFRRWITPQEPPGSNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLVVNIGGPTRNCKYGVDLAKQLISSLYNVLDSCGSVRISFSRRTPWKVTDIVFKEFAGHPKVYIWDGEEPNPHMGHLAWADAFVITADSISMLSEACSTGKPVYVIGTEHCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYAPLNDAIEVATRVREVIAERGWTVG >PAN07576 pep chromosome:PHallii_v3.1:1:52272140:52273658:1 gene:PAHAL_1G347100 transcript:PAN07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKETDSAQPPAKLPRLSGADTSAGEVTMAASSPLVLGLGLGLGAGGGERDAEASAATAAPKRASALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVVASSFGPQRFPSLMGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEAASAAAPTTAAAAVSSSRSGAPVHHHGGAGVAPHGLGFSPTSVLLAHSAARAT >PVH65631 pep chromosome:PHallii_v3.1:1:2943435:2945262:-1 gene:PAHAL_1G042700 transcript:PVH65631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMAGPRLLVVHTSSNKVANAVAPTSPMSFLGWSRCLWLVIFLALFTCVSLLTVFSTARASAAAAYQATLFTVTAAAASGGGGGGGAAVAEAGLPLYVFDALVQYAAAAGNSSGCMPEPDVRAIASVLRRRAPCNMLVFGLGAETPLWRALNHGGRTVFLDENPYYVAHLEGKHPGLEAYDVAYATAVRELPDLLDAARAARAAECRPVQNLLFSECRLAINDLPNQLYDVAWDIILVDGPRGFTEGSPGRMSAIYSAAVMARTKGAETEVLVHDYEREVERACGREFLCEENRVTGTTTPSLGHFLVRGGGAANREAFCGPPAKKSN >PVH66910 pep chromosome:PHallii_v3.1:1:54453469:54454658:1 gene:PAHAL_1G380600 transcript:PVH66910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQLLPHLESYRERKEVSGQIDSAGSWISWPLGLSSFKPELVHLQTKSRYALRFPNWGKPKRSMSSPHSTLVTKNSAMETRRPGTDSRRVGTRRARKKNAGHGTAQR >PAN04857 pep chromosome:PHallii_v3.1:1:7009086:7010423:-1 gene:PAHAL_1G097000 transcript:PAN04857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGEVSSPASSGGTSSSLPLLPVEAGARRGGGGAEKRARDRDGGEKHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPEMAAALPRPASAAARDVQAAAARAAAMEPALPPDGSPPAAAAAASLQPAPVAAAGQQQDEELEAIVERPRLDEDCAELVTTTVTAFGAAPFSFQDVATPAPWCDPVWIDDCAGYAAAAHDDLFGLFGLDGGDHGCWASSVGTLLWNL >PAN08809 pep chromosome:PHallii_v3.1:1:58378296:58379465:1 gene:PAHAL_1G437300 transcript:PAN08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLHCNVAASPSFSSTGSSSGGDNVVSRDGSVRIYACFAHGSNNSLECYEPGANTWRRVGALPGVPDGHILKGFAVVALGESVYVIGGRLCKRERGAAAGVYHDTDVSVRADVLRYEVRRGEWQHCAPLLLPRFDFACAPCRGRICVAGGQCSLSGARGTAAAEVYDEEKGQWSALPNMSTMRYKCVGVTWQGSFHVVGGFAESTLTAGDALLTPGTTVLQSSALERSSAEVLHCSRGTWEILPGMWQLDVPPNQIVAVANRLFSSGDCLNCWKGHVEVYDGELNIWSIWDHSALPDLSLLANLPSSAQRLYLTMAAVGTQLYFLAGYQVPSSNDSFRTVSLVHSFDTNAPPGLEPAWSSFQPKMEPDDIEDESKELFSQCCSVQLSS >PVH66536 pep chromosome:PHallii_v3.1:1:47561923:47567736:1 gene:PAHAL_1G275400 transcript:PVH66536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLTPHPRAPSSLSASTPCELGTRGGFPPSSSHPAATAMQMAAAAAPTDAPAAAAAAAPHHHPHAHAAAAAPPHPHAHAPHPHHHMPQPRWVVIPYPPPHHPMVAAPPPPPPQFVKHFAPPASVTPPPPPSAGSGGNGAEDNRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFYSHASAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRSELASDHSIFVGDLAVDVTDDMLLELFSSKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGVYCSTRPIRVGPATPRRSQGDSGSSPPRQSDSDSTNRTVYVGGLDPNVSEEELRKAFAKYGDLASVKIPVGKQCGFVQFVNRADAEEALQGLNGSAIGKQAVRLSWGRSPASKQSRGDSGHRRNGNGMYYGTPFYSGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >PVH66880 pep chromosome:PHallii_v3.1:1:54068920:54069271:-1 gene:PAHAL_1G374300 transcript:PVH66880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WACVLPSSCCSLKSRSAGALASCSSLSALATRAGRASPERAGGRRAWRWGTSQLEVEPAVCRYLPAPQLGLEACSAPACRSFLRISC >PAN07855 pep chromosome:PHallii_v3.1:1:53662431:53663751:1 gene:PAHAL_1G367900 transcript:PAN07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVREHGGYGGYDAGGADAFDALGYGHDALQGFDAAASLFGGGGSHAGAGYVADSGNVCLPPGARASSVLAFDRAAAAAAVSGEEEDEERDAWIDAADRSYGDSAAAEARSLAVSVGFDAATGCFTLTERAASSGGAGRAFGLLFPSTAADAASPERAAPVRASQKRTYVGVEPPADVSPKKHCGAGRKATSKAKSAPTMPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGTAPEISQVREALDAILSSASQRGQLN >PAN07561 pep chromosome:PHallii_v3.1:1:52109837:52111424:-1 gene:PAHAL_1G345300 transcript:PAN07561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGDKTFKGEKLYLYQGFDPANANVTNKLLWRGQKAVVNQRDADILFLWKRYELLHEKSREKLEVLREITGTVTHRKHLDSSIDFIGKLLFGVENGPSTLGAVRAPDQPLVDDWDCVKRMVS >PAN08414 pep chromosome:PHallii_v3.1:1:56547619:56550357:-1 gene:PAHAL_1G411600 transcript:PAN08414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRAEPEQAFPNAAPAARFSRCRCGAVAGKVVSATCVSVLLLAVGGFLSAFFMLLHLRASGGGVPDDPDILAEIEAGFILLVPESQIASQGGTLEKEIYNQIGVPNSKVSVSMRPYNYTNTTYVKFGVLPDPRNTSMSIKSIKALRTSLIRLTLQQLNLSLTPSTFGDPLCLEILGFPGGITVLLPHNASRADSIQPIFSITFDLTIREVREFLEEMKSELALILQQTPDEELFVKLTNTNGSTVATPVTVQVSISLIDRSNFLQPYRLKQLAQIITEWSSRNLGLNTSIFGRIRDLKLSPILEAFLPSCAPSMPPTPTPSLFWPPISEHPKTNTYRDFSCPALVKRQNEATPHRRLMGVSSMVISPQLSTWLHRKYASEGKKNSIAVVEPTFIAPVPEPKK >PAN08415 pep chromosome:PHallii_v3.1:1:56547619:56550195:-1 gene:PAHAL_1G411600 transcript:PAN08415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRAEPEQAFPNAAPAARFSRCRCGAVAGKVVSATCVSVLLLAVGGFLSAFFMLLHLRASGGGVPDDPDILAEIEAGFILLVPESQIASQGGTLEKEIYNQIGVPNSKVSVSMRPYNYTNTTYVKFGVLPDPRNTSMSIKSIKALRTSLIRLTLQQLNLSLTPSTFGDPLCLEILGFPGGITVLLPHNASRADSIQPIFSITFDLTIREVREFLEEMKSELALILQQTPDEELFVKLTNTNGSTVATPVTVQVSISLIDRSNFLQPYRLKQLAQIITEWSSRNLGLNTSIFGRIRDLKLSPILEAFLPSCAPSMPPTPTPSLFWPPISEHPKTNTYRDFSCPALVKRQNEATPHRRLMGVSSMVISPQLSTWLHRKYASEGKKNSIAVVEPTFIAPVPEPKKVSCFRFYQDSSKIY >PAN03925 pep chromosome:PHallii_v3.1:1:2048686:2053980:1 gene:PAHAL_1G029300 transcript:PAN03925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYCLTLQRATGAVCPVIGSFSGRDTKKSAASGSGSTQEIAVVRGGTLDLLRPDPETGRLRTLISVDVFGAIRSLAQFRLTGASKDYLVVGSDSGRLVILEYCPDRNRFDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPIFAAIELEYAESDRDPTGQAANQAQKILTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGSDGPSGVLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKTMFFFLLQTEYGDIFKVDLEHSGDTVTELRIKYFDTIPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDADVESSSATLMETEEGFQPVFFQPRALKNLMRIDDIESLMPVMDMRVANLFDEETPQLFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVTLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYIAVIESDTGAFSAEEREAAKKECLEASGAAENGNANNGDPMENGDAQEDGAEEGNTFPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRTLAAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYIGDMQESFHYCKYRRDENQLYIFADDSIPRWLTAAQHIDFDTMAGADKFGNIYFARLPQDISDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >PAN05455 pep chromosome:PHallii_v3.1:1:28506722:28507374:-1 gene:PAHAL_1G191300 transcript:PAN05455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAHEDGTFVAPISAAASAWLTVASHSCALTESEAPLMRLIS >PVH66037 pep chromosome:PHallii_v3.1:1:11127368:11127678:1 gene:PAHAL_1G131200 transcript:PVH66037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSPSTRPVPYRAPPLPPALWPCPNSPHANPLSLPIDKCSTSAACVEPHPTRQDGCFSHHVSTCSIVFHDDIVPARVRRLWFLN >PAN07845 pep chromosome:PHallii_v3.1:1:53605993:53609332:-1 gene:PAHAL_1G367200 transcript:PAN07845 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit O, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13450) UniProtKB/Swiss-Prot;Acc:Q96251] MAAARHLRSGLPLIRAHLAASESTAVAQGSRGFSSQVAKPTGKQVKVPDALYGGTGNYASALFLTAAKANTLDKVESEIQTVVEASKKSPLFSQFIKDLSVPKETRVKAITEIFAEAGFSDVTKNFLAVLADNGRLKYIERIAERFVDLNMAHKGEVKVVVRTVIPLPEKEEKELKETLQDILGKNKTILVEQKIDYSIMGGLVIEFGQKVFDMSIKTRAKQMEAFLRQPLEI >PVH65768 pep chromosome:PHallii_v3.1:1:5009727:5010637:1 gene:PAHAL_1G073000 transcript:PVH65768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVLCAALVAAASATAVLASASEAPSEAPAGAVGGAAGPSASGAAAGAVPAAGALIASFLAYYLH >PAN03656 pep chromosome:PHallii_v3.1:1:1142378:1144514:-1 gene:PAHAL_1G013400 transcript:PAN03656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKRAAASLSAARSSPEASAMRCLRLRLPFLLLLPVTVTILILLSRRVSPPQSLPCGAAPSDSTAGRWVPTPRPAPPPLYAASCPFHRNSYNCLRNGRPPLVALSWAPARCGGAVVPRIDAAGFLAAARGRRVGLVGDSLSENLAVALLCALRSADPGARKWKRRGAWRGGYFPREDVTVAFHRAVLLAKYTWQPVENPEEVQKDGIKGIYRVDVDIPADEWINVTKFYDVLIVNTGHWWGTYKFPKETPLVFYRGGEPIEPPLSIPDGLKVVIRSMASYIDREMPSKTLKLWRTQSPRHFYGGEWDRNGSCVTDRLLEEDELESWFDPRFGGVNKDARTVNLAIQEALAGSGFRLVNLTYMSEFRADAHPATWLGKKDAVAVYGQDCMHWCVPGVPDTWVDILAAQILHYFKQSKG >PVH66484 pep chromosome:PHallii_v3.1:1:46420806:46422079:1 gene:PAHAL_1G259600 transcript:PVH66484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMMRKSLRDLTLQLPRPAGRLLRSVRRSGMALVLRSDGVVLPRGPGRRHGPPRQEPQEMVGGASVQGLALERLHASRRLAAARRHQQQEEEEERRHQPPRRLRQWPPRPAATGSCCLEKTAGGHGQRQRGASTWSSFLLTLATDPVAGVNGGAGARSSERRERGWMESCTCVLGAMSKW >PVH66679 pep chromosome:PHallii_v3.1:1:50127676:50128453:1 gene:PAHAL_1G313700 transcript:PVH66679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMNLFWIWIWHGTIQSARNHSEEKLFSKQLARSYAQMEVKPYDLLPQTSILTNCVRLLVGLDSN >PAN07393 pep chromosome:PHallii_v3.1:1:51296844:51298339:1 gene:PAHAL_1G332300 transcript:PAN07393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSGEIDDFNMQFIREQLLGGEACLPVVNVPGAAAYSAPSVHPAAQAAFQHPAAFVPQPQHLHQAAYVDLTNEYADAAAAVAEAAFRAEPVMIRFGGEPSPVSDPGRRPLLTISLPPTSHAWGPAAAAMDANDFRKYRGVRQRPWGKFAAEIRDPKKRGSRVWLGTYDTAIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPPPQSSQQNKRKLAHDAAEAEPAAKSVKAEAFGSPASSLTSSLSPATTTASTVTATSSPSSEAGATEMFPFSMTPSSWTWEQLEGFFGSLSPLSPHPQLGFPEVTVN >PVH66912 pep chromosome:PHallii_v3.1:1:54460790:54464586:-1 gene:PAHAL_1G380700 transcript:PVH66912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNSRSNSRANFNNEIHDIATAQNATMPPMYYSDRSLADFFPPHLLKKVVSEVVSTFLLVFVTCGASAISGSDLNRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPITVIGTTTPTGPHWHALVIEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAVSGGSMNPARTLGPALASNLYTGLWIYFLGPVLGTLSGAWTYTYIRFEDAPSGKDAPQKLSSFKLRRLQSQSVAADDDELDHIQV >PAN07007 pep chromosome:PHallii_v3.1:1:49396248:49396958:1 gene:PAHAL_1G304600 transcript:PAN07007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRYAHVDVPDGGYSQCISDVALPCPGVALRLNTVIDCRPGEDRMPRVTIDFVKSLPPAAQLAGRFECDSGDFILFSQLRRVAEMVAAAGLPPECSPSVHDFVRLSARSATNTFFRAVAISVEACAPGSIAADEHEGGGGEVPDGAPTGECAICYAEYVVGAATSVIPPCGHTFHRSCLDRWTSVKRSCPFCRAPVPVVYDYWWCGEEDGDTEEDYGDEAPSADDDAVSGSHQPS >PAN04720 pep chromosome:PHallii_v3.1:1:6010960:6013500:1 gene:PAHAL_1G086700 transcript:PAN04720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGMASAAADAVLVRTARRCGGCDGREDAAVDALLQWQKMGDLLIAASLLSIPLELLYFATCAALAPLRRALLQLGTFIVMCGAYHLLNALAYDRPGSRRVLLALTFAKALGAVATSAAAVSLPVFFPRLLRIKVRESLLRTKARQLDRDLAAVRRREEAVWRVARAVARHVRDSADARAILRTTTLQLAAALSLHECAVWMPAALPHRGVLQLTHQLLPDEGGKVLDRGTRAISVRHPDVAAVLASKDAEVLRPGSVLEAATGGGLPPAGVAAAIRIPYFHGGSCASELAGYAILVLVRRGNDDHRSSSSAASTWSNQDLEVVKVVADQVAVALSHAAALEESQLIRRELAEQHGALVHARSELEAATRSRNAARGAVRDAVGRPMHAVVGLLSVMQQEAAALRPEQRLAVDAIARTSALSSTLVDHVMETLSTTTGNHDPPPPSSGPTLVARRPFDLRSLVTDAASVGGCLALCRGLGFSHHLEASSLPEWVVGDDKRVFHLLLHMVGALLSRCHGHVAGGVLSFSVCSFSNIVGDDQDRIPVPERAKFSGGNQVFVKFQVGLTRSPESDPGSSPATRPPPSGLAPESGDDTGVRLSTAMCKRIAQMMNGNMWWASESEGFGETMTLLLRFQLQQPLNPHVPGSGTYRIGASVPHHHHHFNGLRILLADGDATSMEVTRKLLERLGCEVLPVPSAADCLSLLGWSGGGAAAAAAEPPFQMVVLDIDASGAGAAAAAANGFEVALRIRELSNACWLLILVAVAASGVDDGVRDMCRRAGVNGLIQKPVTLPALGAQLRSVLQSN >PAN06798 pep chromosome:PHallii_v3.1:1:48498794:48499861:1 gene:PAHAL_1G289000 transcript:PAN06798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARREVSSMAFCSADGEAASGCTEGRRVELLAMELWSTCGWRRLASGDGRRQRNLEDLACGGSKYWIVISLFLGTFVQLGRNSCPLYPLPAYPYVYRFV >PAN06718 pep chromosome:PHallii_v3.1:1:48097044:48106451:1 gene:PAHAL_1G283200 transcript:PAN06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPRPQQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMDPVPPRLSAAPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVSLIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSSLPHSTWDTYPHEYEDFATDASFLDHWSFDQFQGLLNRSFDDIKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSSIYLNNVKVVDQIAESVYNLMENYFKDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTEKPDDGFRFVDDHKHDTPTPQDWALEGFERVDVNQADIAPLMSTLVGLPCPMNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSHLKQSSSLYFKPFKPLANYSSVLSQIEDLISERDYDTAMKHSEELRRMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTLFPAILLKKAILHPKNTSMKVYIVGCFFMGLSSIILLLEKSPLLYHAYAFMTIFLWTRIVQNFEFLKAVWREFSNMPFKHTLNLLISSAIALFVLEFLVISFFDRKIYTWCFLALGILGSTYVAFFIQASPALAIYIWLACWFLSVFTLMPAEIPENNNLVILSGALIILIAVASRWANSNCTTFWLYLTRANKRDSQSSKLYFVQVILVAISSIMVWLSTSHRSQNRELHSLHQLINWSVAGIAMVLPLFSPPSALSRLTSIFLGFAPPFLLLSIGYEAVFYSAFSMVLIGWIFVESANLYCSEESGSARRRSLVDGSVFGYEERHLQLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVICAFSTITKIVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTRDIVVSSRQLTARKVM >PVH66011 pep chromosome:PHallii_v3.1:1:10187164:10190015:-1 gene:PAHAL_1G126300 transcript:PVH66011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGRLKVGTKTTEGPHQAGKKLHPYELQRLKQVTSNRARLKELGIPDTYNELVNANSILNNKKKPTFRNSEDSESKYLPSEEDLVDDDSVKGSKGSNMRTTNMRSAEIMQRSKRVFAEQESIRITRSKKTTSQPDATLSPTDIHFVENTDIANRGHATAQPDGHNLMGNQVRNHVPVYKHWKDYKDQASLFNLFTDKLSAKFDINTNDEPVKKACSQMMKIAVRQQRYKLKKKYFDPFPLHLVTKTSPIRSMTDKQWNDLVEYWKSPKKMVLKFHQTTGSRSYMVHVENLTQMENKCSTLAEGEESMSVTEVVANVLAENTKKNVFLQNVGIQNVGCRSNLRNIEAQLEVEKRANSDLRSVVTAQREQLDVLLKQMQETEESRIREQEEVKKRQAEMEAKLQLLLSQVHPS >PAN06622 pep chromosome:PHallii_v3.1:1:47679131:47680210:1 gene:PAHAL_1G276800 transcript:PAN06622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKVDRFRRHPLAVLSLHLAVVAALTTVTSQAPSPPQLQLLTRATGRTVPTTVIAIAVIVFFVLVLLCVLVNRWRSSSADADASVGGQQGSIRRRRRGLDPAALAALPVLPYAEIRKHKSGGWLECAVCLTAFDDGDELRLLPQCSHAFHPDCIDPWLEGHVTCPLCRANLENPAPPPVPLSSPETEPQAPEAVAVPVAVEDDEARKEEFVELEKLRCVRRAARMPRSRSTGHSVSTTVAAEAGDHERFTVRLLPRVREEVLKSRRLRHATSLGGGSDCAGSSTTCSVGGERCHGTRRRWALLSRTTSWSWAQGGGEGSERKKGVGPGAGTRRPPCGHAVCSLAARWPARCKANNVV >PAN04125 pep chromosome:PHallii_v3.1:1:3004309:3006654:1 gene:PAHAL_1G044100 transcript:PAN04125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEKRRRVGGGGHEEEEEEVKEMDRISELPDALRLQILSLLPLKSAIRTGALSSRWRGLWEQRWPEPSSLRVRLPPGAAGAAARVEQFGAIDRRGRRRMDCFSLAFHGGQLTQPDLRRCLDYAAACEVEDLHLRLDGAAGRGSRGGGGGATRGRGMLTVHFPVGSRLLARLSVRGLNLTAAANAMVATLEVIHLHSVFLTDAALRRVVAACPRLRELDLRYCRRLRRIDFSAVGVPNLRSFTIVDCSRTTEMRVPVAPRLRSFRFSGAFLSSNILSGASGSLEHLYLCSGGPETGLPPTNLPTSVPRLSNLSVLTLCSIALQYISASTAKDVVESKLHSLRELHFLMFGMANSNLADIYSFLKTCSCPQLERLFVQLPTNIHDSFTENFLAVAEEEPPKGGLENLCLAKMTNFKGHRNEMQLVEFLLRKSSCLKKLILTAPTEDHPQGLRKIQSDVLPNFPKTEILHLERASANTQIIFSEPDGPQIQPLHSEVFVRF >PAN05154 pep chromosome:PHallii_v3.1:1:9249274:9251539:-1 gene:PAHAL_1G118200 transcript:PAN05154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGPSVVTLQQQKQQPNKAGKPAAAAAGEQQQAGGSSSCGGGGGFFRMPLHYPRYKRADYEAMPEWRVDCLLREYGLPVAGDLRAKREFAMGAFLWPGQY >PVH66360 pep chromosome:PHallii_v3.1:1:38425818:38427464:1 gene:PAHAL_1G221500 transcript:PVH66360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDIEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKRHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCHDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH66795 pep chromosome:PHallii_v3.1:1:52513082:52513306:1 gene:PAHAL_1G350400 transcript:PVH66795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASASRRWCGGAGEGAVRGSISGEQRCVPCSYAPQATLMVHGHGFLASTPWGVVLPVMRCSVFCRSKLQGKLR >PAN07918 pep chromosome:PHallii_v3.1:1:53979576:53983786:-1 gene:PAHAL_1G372800 transcript:PAN07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMESGRRQGVVVAIECVAGGSRAEEWGPGSSEAVQTGDVVEELLIGVGGRGGPAAHAAPFKGGRAALQKLLHAAYKRGDTSVEVRVRRHAQGGGSGELAPAGAATAARMQACIVPQESVGGGGIGRSGRQYVLRSIRDPNYAVGLVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVSYPWEKKMREVQPIPNSSSFLSLLILPTALDRAGSRYNSVEDTLSRANAWMLSSQASGVPIVFLNVQTEALLTKISGETASATVNAGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAEAGEMPVEITLEESDARLGFAISRTEEGFIYVSSVMEDDGDLQVPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHVIMWDGESEVPARPGREPCPLPLPLPSPAFAELPRQDSFAYAEQRVQTEGDPGIMNERDTAGDASFRFHNFSLPNNWV >PVH66347 pep chromosome:PHallii_v3.1:1:36644051:36644206:-1 gene:PAHAL_1G216300 transcript:PVH66347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFARLSLACSWIQQAVKKVDLFGNLRIYAYFQLPEAFRRLLRPSSSLGA >PVH67254 pep chromosome:PHallii_v3.1:1:59865448:59866488:1 gene:PAHAL_1G462700 transcript:PVH67254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARHSQPSMSPCSTSTTAGHGSTGTSGPWWRHGPNVPTPWRRGQGRAPASRRRRGPQLRPPPAPEATAAVCCHKHEEAVEEDGPQAQDVDLRRSLGVGRQIRPLHHRIRQPNRLDGEPPPRGSRGRGRHRHGLMRDTEGPAAASLAAAGLPADRSGSGKGGGGVGRALAAARLRGRPRGRPGGLCRC >PVH66936 pep chromosome:PHallii_v3.1:1:55074188:55075360:-1 gene:PAHAL_1G388700 transcript:PVH66936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEARDQSAPSVPNRAAAHVGALVDGFRTPPFIRRRRSAFAFGCPAAAHLPTTRVFAPSEISTYLPRPRGGVGGGGLRRSPCGRAPPPAATGRSRVALHAAPPAAAVVVGYGRWVGGRRGGLG >PAN04538 pep chromosome:PHallii_v3.1:1:5047817:5051812:-1 gene:PAHAL_1G073700 transcript:PAN04538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetase [Source:Projected from Arabidopsis thaliana (AT1G12050) UniProtKB/Swiss-Prot;Acc:Q8RW90] MARAEQLRSFVEVPAGSHFPIQNLPFGVFRRRGSQAPPRPAVAIGDLALDLAAVADAGLFDGPALAGSPCFHQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALRNKCLVPMSDIEMVLPITVGGYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPIGYNGRASSVVVSGTDVIRPRGQGHPTGNSGPYFGPSQKLDFELEMAAIVGPGNELGKPIDINNAEDHIFGLALMNDWSARDIQAWETIPLGPFLGKSFSTTISPWIVTLDALKPFTCEAPKQEPEPLPYLAEKNHVNYDIPLEVWIKPKDQSDASIVAKTNFKHLYWTLTQQLAHHTINGCNMRPGDIFATGTLSGPEPESLGCLLELTWNGQKEIPVGNSTRKFLEDGDEVILTGCCKGEGYNIGFGTCTGKVLPALP >PAN04349 pep chromosome:PHallii_v3.1:1:4111958:4114729:-1 gene:PAHAL_1G060800 transcript:PAN04349 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MATTPNPKREPLRPRSSNAPAAPAPARRGPTSAEKENLGPKNLGNGEEEKGKGKAKTAAATEMSKPARTTPAVAPPPPPPLKPSSLQLRMKDDSAEAGAGAGAPVLVGPRGRELLPPSSSSYEAWDLSDSESAPASSWATLPNRALLCRPLPQDVGRCTCVIAREAAAGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFIVAQNQDDIFCTSDDKNFLGTVAANLVGSKYQILGQGNRVDEMKSHSKRLLGVVAFAPTITTLTGSYRSMRAWIPKNQSMQLKTNNSAQIQHISGLPKDWQEKKSRADQLCSRAPFYNHMTKRYELDFRERAGRMGYKVQTSVKNFQMTLEENGRQTVLQLGRVGKSKYIMDFRYPLTGYQAFCICLASIDFKLCCTL >PAN08727 pep chromosome:PHallii_v3.1:1:58099801:58106930:-1 gene:PAHAL_1G432900 transcript:PAN08727 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MSGWDEGAVFYSDQAQFPRGGPGGDPAADLSRHSALRKFKEFLRGFTGPTGDFPYRESLIHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAAAEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSLRSIGADYMSKLVKIAGIAIAASRVKAKATHVTLLCKNCRSVRTVSCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIPVPDKSKYVDLQTLKLQENPEDVPTGELPRNVLLSVDRHLVQTIVPGTRLTVVGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDNNSNGPSNFTLDEEMEFKEFAQRPDAYAKLCSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYDQDKRIASHIIKVHASGAAASSKNTEASEGENWLKRYIEYCRATCKPRLSEKAAEMLQNKYVEIRQKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLIDELTRMGMNESIIRRALLIMHQRDEVEYKRERHVIVRKA >PVH66796 pep chromosome:PHallii_v3.1:1:52515174:52515485:-1 gene:PAHAL_1G350500 transcript:PVH66796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PAN07132 pep chromosome:PHallii_v3.1:1:50070448:50072019:1 gene:PAHAL_1G312900 transcript:PAN07132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAELKRDYAIGEEIGRGRFGVVRRCTSRATGEAFAVKSVDRSQLADDLDRELAQLEPKLAQLASAGNPGVVQVHAVYEDEAWTHTVMDLCTGPDLLDWMGLRRGAPVPEPVAAAIVAQLAQALALCHRRGVAHRDVKPDNILIDAAAAEEDEDEEDGRGGGAEAAPRALLADFGSAAWVGAGGLGRAEGLVGTPHYVAPEVVAGSEYGAKADVWSAGVVMYALLSGGALPFVGESAAEVLAAVMRGSVRFPPRLFGSVSPAAKDLMRRMICRDEWRRFTAEQVLAHPWIVTGGGARAMERPT >PVH66519 pep chromosome:PHallii_v3.1:1:47171820:47172422:-1 gene:PAHAL_1G269000 transcript:PVH66519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLSSPKQAPPPLQSHLPLLRRMEHRATAVEATHATQRGSAGESPLPRRAVPRDPLSELLSSSKNGRRLNPADRTPPLPPPQRLQLDGAPRAAPHPSRQQGSRAPRVARPHSHATLSPRIHLSNSSKNGLHLNPATETPPPPPSRRQQQRLRRTPQVAPPPSRKRGSQAPRAASPHSRAAPSLPRLTISLPRPMRCW >PVH66783 pep chromosome:PHallii_v3.1:1:52169204:52169991:-1 gene:PAHAL_1G345800 transcript:PVH66783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERGKSAPAAREFSGIGGAFLQPRVREESSAVRAARRTGKEAMGRPRKARSDPAAERLNARPGRLELQQPQLRGRLPINSPSARARLARRDPIQQLRRRGPETNKHAPRQGFHRWSRGRRQQQLSRDQRRDALLPLVNRGHELSCVLALLGSWRLRQARLLRVLRLQTWRYSFYSFVHPCLTCWVGHRRGIYARGCRWGSRFG >PAN07871 pep chromosome:PHallii_v3.1:1:53771461:53773953:-1 gene:PAHAL_1G369300 transcript:PAN07871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYPPLDKIVSKALGKCNGRDRWRKERLDYALAYPPTEIHYMRPEARTVTFASNNSVYVIPPSPPPPQQPPSQQQSPPEPPRQPQTPPQPEPEQHHDAPQSQPQPQPEQPAEAEAPSQTQDAPPPAEPKPPKGPKRGKKKQSGRVRFGPEPPPPQQEEQPQQQEEHDAQGPGDSGNAPAPGRQEPQCAAPAPAPAQGQGYLLRYTPSPLPRWEATPRRHEYFSGEYRSYYPTPVREGIYRIATDANRLTTIFSEENPNACTIA >PAN06623 pep chromosome:PHallii_v3.1:1:47683284:47684339:-1 gene:PAHAL_1G276900 transcript:PAN06623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATAAVLVATAAASVAAAESPGPRGNESVVLGISIFISIFFPIFIVLLAFACLHLFRPPRDDDPTAPDASPSASASEWSRRRGGLDAAAIAALPLVFYREVRSHRVVDGRDDALECSVCLLEFDDDDALRLLPTCPHAFHPECIALWLERHATCPLCRASVHDSPPAPEQLELQPMPPPPPLQSPEASPVHATVVVIGEAGSSEEVEEEEDWDTIQRLARNRRAAGRQALPRSNSTGHGGGASDGGMERFALRLPEHVRTEILMSHRLRHVTSAVASVRVREGSAHEASTMGGSVRNAVARLMSLFAPGAGWMGDGDDKSGKADATGASSVRRRENSSRGAVAEEKRSV >PVH66569 pep chromosome:PHallii_v3.1:1:48062334:48062648:1 gene:PAHAL_1G282700 transcript:PVH66569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESRERRHRWPHWTGSGGRGSVHASPNHEGVGLRDLRASMPQPPGQTCEAGATLASLSSRPARRRFADAFGLVRPRIPAAPRPAPARETPDPGATAHDSPSSS >PAN07800 pep chromosome:PHallii_v3.1:1:53401453:53405780:-1 gene:PAHAL_1G363600 transcript:PAN07800 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa2 [Source:Projected from Arabidopsis thaliana (AT2G18230) UniProtKB/TrEMBL;Acc:A0A178VY12] MAGTAVLNERILSSMSQKHVAAHPWHDLEIGPGAPEVFNCVVEIPRGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLILMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDIKDLPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAKDAIDAIRYSMDLYGSYIIEGLRK >PAN06907 pep chromosome:PHallii_v3.1:1:48973317:48976156:-1 gene:PAHAL_1G296700 transcript:PAN06907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MPTASHHPVPHHRLPTFLLLLLLLASAPRLAAPASTDRAALLAFRATLAPPSRAALGSWRGPLSPSWRGVSLHPDAAPAAAPAVAELVLRGLNLTGALPAAPLALLRRLRALDLSANALSGELPCSLPRSLVSLDLSRNALTGAVPTCLPSSLPGLRALNLSANLLRFPLSPRLSFPARLAALDISRNAISGAVPPRVVADPDSSALLLLDLSHNRFSGEIPAGITTIRSLQGLFLSDNQLSGEIPPGIGNLTYLQVLDLSNNRLSGAVPAGLAGCFQLLYLRLGGNRLSGALRPELDALDSLKVLDLSNNKISGEIPLPLAGCRSLEIVDLSGNQISGELSSAVEKWLSLRFLSLAGNQLSGQLPDWMFSFPLLQWLDLSGNKFVGFIPDGGFNVSAVLNGGGGQGVPSEGVLPPQLFVSASVDTMSWQLGLGYDLQATTGIDLSRNELHGEIPEGLVALKGLEYLNLSCNYLAGQIPAGLGGMGRLHTLDFSHNGLSGEVPPGIATMAELEVLNLSYNSLSGPLPTSKFPGALAGNPGICTGEGCPEDARMPEGKMEGNNHRGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSPKARNFVFRPVRVEY >PAN08818 pep chromosome:PHallii_v3.1:1:58403940:58407015:-1 gene:PAHAL_1G438000 transcript:PAN08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MAMAGGGGLNRSSSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLMFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTYERCLLVKGWKAGASWSFPRGKRNKDEEDHTCAVREVLEETGCDVSTLLNLDDYIEVSIGQQKVRLYIITGVKRDTVFAPQTKKEISEISWHRIDDLLPASDDAVSRGVNGMKLYMVAPFLTGLKAWIAAHPPMLYQKSEASARGTVWKAKNSSSSGAPVENPVARAGSDAQHVDNRPGRSFRNFRFDTARILQSMEASFLRT >PAN04942 pep chromosome:PHallii_v3.1:1:7630393:7631886:-1 gene:PAHAL_1G103300 transcript:PAN04942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLATSADAPPRPHVVLVASPGAGHLNPMAELARRLVARLGLAATLVTFTGLSAAGTDAHSAAALSSLRDAGVDTAVLPAAPLEGLPAGARVETALFELIARSVPRLRALLRDIGSAAPLAALVPDFFAAAVLPLAAELGVPGYLFFPVSLCVLSFVRRAVELNDGAAPGEYRELPDALRLPGGVSLRREDYADGFQSSKEPLYAQLIEEGRRYRAADGILVNTFYEMEPGNVEELRQVAEQGTFPPAYPVGPFVRSSCGEDAASPCIEWLDRQPTGSVVYVSFGSAGTLSVEQTAELAAGLEDGGHRFLWVVRMPSLDGEHSDLGKTRADDESDPLAWLPEGFLDRTSCRGLAVASWAPQVRVLSHPATAVFVSHCGWNSALESVASGVPMVAWPLRAEQRVNAAVLSEKAGAALRPRAARADGLIGREEVAAAVRELMEGEKGRAVRRRTGDLRQAADVAWAPEGSSRRAMEEVAGRWKAAAFGGRARGAMVM >PVH66718 pep chromosome:PHallii_v3.1:1:51030217:51031815:1 gene:PAHAL_1G327600 transcript:PVH66718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFEFVLAVVLSVAATLVFNHALWLLTAGVSRPDPGRFRPHRSLARLAERHGPLTCIWFGRYPIVVASTPDAARKVLACSELAGRTVLDTIRAEGHADNCVLLLPPGPKWRTIRRLVMAEVQTKGQLAAREQLRQEKARELVRYVSERAARGEPVDVGHAAFVTAVDLVSRTLISVDIGSRELRDKVRECAQLLTTPTIADVFPSLAAADLQGARRRFSALVRYSNGIVDEEFVRRRRGRDAGEPRKNDMVDLVIDKEKEWEEEGSELNYDVVRCLITDLFLAGSETVSSTVEWAMAELLQSPKSMEMVKEELKAVIGTKGQVAESDITQLPYLQAVVKEAFRLHPAKFMPERFIGKDISYWGKDFELIPFSAGRRQCLGLPLAHRMVHLILGSLLYHFDWTLPADVKDSGIGMSENSGVMVSMATPRKAIAKKCDE >PVH66666 pep chromosome:PHallii_v3.1:1:49893440:49896198:1 gene:PAHAL_1G310100 transcript:PVH66666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRHHHRSRSARGPTPVPPHPHLQPQHRHHRQNPSLSPAAAAHIFRPRHPMDDDAISTLMDIDDSPLGAAGAGFLDEDDGEGDMLLAPHRGGRGAGDARGPLHFAGFYNSFDGADFDDADIA >PVH67026 pep chromosome:PHallii_v3.1:1:56683930:56684232:-1 gene:PAHAL_1G413000 transcript:PVH67026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRSTSHHYCLALYTHLAQLVGHGGLWGMLAGSRHPRPSLQLIAACCREDREASDQASFLASQCIHGVSSVTYLEAMKYSAVERGRRIIPCACSMLGLG >PAN08449 pep chromosome:PHallii_v3.1:1:56709832:56712547:1 gene:PAHAL_1G413700 transcript:PAN08449 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY9 [Source:Projected from Arabidopsis thaliana (AT1G68150) UniProtKB/TrEMBL;Acc:A0A178WJS2] MSSKKKRAAIDLSLEAERREEDDRSGGDRRDKDARVDKEEEQFKEQKEAPNKEETGGEEKVVEVVVDQGGDGTKEEIKNRTQQGEEMEEDKQSEEDGSGDDESDGAGTRADDDKLVAVEDSGDGDGDHTTMVQDEVSAMQEEMEKMKEENRMLRRVVDRTVRDYYELQMKLAAYQQQPADEPKEPEVFLTLGATAGFPEPKRKEQAARRPSVGSDDTDDGKEDLGLSLSLRASSSYEEEKLQEAARDFEGGASVAGADGKAKGYALLESSKLGAPAAGDLAAAGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSSSSISEAGGGSAAPPYLSPYLLNSTSHHSSASPLLTTPSSVPSVPGAASGMNLFGHSPMLAQHHAPHLKYPWSSSDSSHGSGGGGLAGSKRPFWSTGGDDKAATLPDNVSAVMSDPSKFSVAIAAAINSFMGKDGQVMSSKDGESSSSKSSNKWGVVESLPPP >PAN04485 pep chromosome:PHallii_v3.1:1:4832574:4836742:1 gene:PAHAL_1G070900 transcript:PAN04485 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQATPSWTIRHVGGAMRGAGAEQISVLVRTVVESKASKNVLHYFYTLGYKLDHEILKIGFAFRFHRGAQITVTVTSANKMPRLHATDEAMPVTPGIQLVEITAPAADDNYNDVVSAVTAFCEFLAPLLHLSKPGHSTGIVATAGAAAASLMSSGGGKTL >PAN07075 pep chromosome:PHallii_v3.1:1:49841195:49842946:1 gene:PAHAL_1G309100 transcript:PAN07075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKAKEIVASSPVVIFSKTYCPFCTRVKQLLAQLGANYKAVELDVESDGSDLQSALAEWTGQRTVPNVFVKGERIGGCDATMAMHNGGKLVPLLTEAGATGRASAPATATPSL >PAN08409 pep chromosome:PHallii_v3.1:1:56526316:56528218:-1 gene:PAHAL_1G411300 transcript:PAN08409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGAHQLQDAAADGNAAAAARQQGAGCGGGKRQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSAADLNFPVHLPFHIPAAAMSPKSIQRVAAAAAANAGCSPLQAGAPYYPAAAAINAATPPCSYGDASSGASSPETGNAGQYYRTAHDDVDVTGDADLAALADIEAFFQSPKCMEYAMMDPCSSFFAPAPMATDAAGEWEEEGVRFSCFKLLR >PVH65986 pep chromosome:PHallii_v3.1:1:9361524:9362787:1 gene:PAHAL_1G119300 transcript:PVH65986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSSAVRGGFEDLEWGGLATRSLLRCRHGKHPRRLYAWEGRQTSRRFLGCPLKEKSKRCRFLQWVKDEWPPRVQQVLRTLWDMVDQFMEKANRIGVDLMVEKAMGHGLEEEKEEHLEENEGLLREKQALFYEKEALKQCNLALKQATRKALGEKQRIWKVVLALLGVLVVLLVVLLKMK >PVH66371 pep chromosome:PHallii_v3.1:1:41845556:41846694:-1 gene:PAHAL_1G229300 transcript:PVH66371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAAWRSATAGGRLGQGVFRTGSGGQVAARGRAGAGGSPSDAATGARGRPAHGSPDGAARPGHGQADGRPWSRSPEPWSWCLSTKFDL >PAN06517 pep chromosome:PHallii_v3.1:1:47132803:47138124:-1 gene:PAHAL_1G268600 transcript:PAN06517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASATGAGSDAAGPAEAGASRREKRRERKKERRRRARREAAARARASAEADALEVDPEEERRLLEQEEAEAAAESERARRAFEDAERRWLEAAAARAAEKAAAAAAAAEEARAAEASTREKSKDDHGNESEEDGEWEYIEDGPAEIIWQGNEIIVKKKKVKVPKGVKEKPPIQEEDRPTSNPFPPQSVAFAAQRTEPSMSAQEVLEKVAQETPNFGTEQDKAHCPFHLKTGACRFGVRCSRVHFYPDKSSTLLMKNMYNGPGLALDQDEGLEFTDEEIEQSYEEFYEDVHTEFLKFGELANFKVCRNGSFHLRGNVYVHYKSLDSALLAYSSMNGRYFAGKQITCEFVAVTRWKAAICGEYMRSRYKTCSHGAACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMSALFGPAADTMHDKRSDTPDFERPQRSDRKRLKNSSDRYVSRRSMDEDAHPHSSRDYSRPKQEHGSRSMNYEYSQHRRGSSASDRHQGQDTVDTNGRQFSTMENESHPRKHKHVERHRSDHGDGGKYDDRIRSRKHRSDRREPGSSDWPSDLTDTDVSKGPSGGKYSSRYDDHEKNRRKSSEDQSLEGCCTAHKSSGKEHRSRRGSKHGTENDYFDKKDGGRGKSRKHRDGNKYSDDRWVATYSDADSDVERYQRSSSGGTKFGRKDDAHSDAEVRHQRSSRRRKDDKRRRKTHSGNKQLSTTEEDTTDSDARDLSSDSWSCRSRSSEENFATHRSKRKRSRSKEKSSS >PAN07120 pep chromosome:PHallii_v3.1:1:49995208:50000110:-1 gene:PAHAL_1G312100 transcript:PAN07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKTKWENSSWGKKLIVQKRRAALNDLDRFKVMLAKIKRGGAVRQELAKLKKASTA >PVH66286 pep chromosome:PHallii_v3.1:1:29801010:29801424:-1 gene:PAHAL_1G195300 transcript:PVH66286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRPGLHFYCAIGLPLGNRMRKSIPNVLINPIRWLKDVVEAVGGTTPIVQIEVVRQRHFKQVHISFQVPVRNIDFIMIAPGEAIPVVSIDAVGGVAPNYVTYERLAVEACLQQL >PVH66101 pep chromosome:PHallii_v3.1:1:16138845:16141734:1 gene:PAHAL_1G149300 transcript:PVH66101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRAKFPAKKPQKHRPVAAEQPLHPYEVERLQQCMRNKARLKEFGIHDLVDVLSNANSIAHKKNKLNCRNRENSKDEYDPTNNDTDEEDLLDDDTPEGCNNKTAAMRPEAIKTHSKRVFAEPQTTRSTRSKKTTSYRDANNGYVQIEDNMTIGGEATTNLGVQNEITNEVRNHMPVLKHWKDYKKNSALLQQFRGTRKAKFYINTNDASVQKACFGMMKNAIRQQHHRLKKQYFDPFPLHLVSKSSPIKSMTDEQWNDLVESWKRPKKMHHHTTGSRSYLVHVENLSEMETRLSASREGEQSLSPTKVVADVLAEKTKKSSFLKNIGIHNACSRPSIRSIEAQLEAEKRANGDLRAVVDAQREQLDLLSKQVKETEQGRIREQDEMKKKQAEMEAKLQLVLSQIKST >PAN07309 pep chromosome:PHallii_v3.1:1:50862770:50866811:1 gene:PAHAL_1G325600 transcript:PAN07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDRTKGLKKGPWTPEEDKLLVDYIQANGHGSWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFSPEEQKSIVQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLAEPPPGPAAGCPAARHMAQWETARLEAEARLSLLASSSSGATGTTAATTTISASSSSTFAAAGEKAASKPADIFLRLWSSDIGDSFRRRAAAPAPVRRNDVVIIKQEAQAPPGPGDDSSAASNETEALEEYQMFLDFAGEELGLFHGRHGGFSLFPPHDDVLAEASLDTAF >PAN03613 pep chromosome:PHallii_v3.1:1:989998:992825:-1 gene:PAHAL_1G010200 transcript:PAN03613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPAPAAAAPPGCARFPPVHHYDASARPRRTVAADLDGTLLASSSAFPYYFLVALEAGSYARALALLLAAPLLLLLYTAVSEAAAIALLALVTFAGLRVRDVEAVARGVLPRHYAAGVRADTWAVFRGCAARRVVVTASPAVMVGEFVREFLGAEVAGTELETCAGGARFTGRIRAALVGERKREVVERLFAGGDMPDVGLGDRESDHDFMAICKEAYMVPPDRRAPRAAADSLLSRAVFHDGRLVRRPEPAQALFALAYLPLGFLLALFRVFFNLMIPVRLVRHTYRLTGIRLAVRGTPPPAPRPGAPGSLLVCNHRTALDPIIISVALGRPVTCVTYSVSRLSTAIAPIRAVALTRDRRADAARMAALLAEGDVVVCPEGTTCREPALLRFSALFAELTDRVVPVALDARQGTYYGSTARGWKWLDPYFFYMNPRPGYEVTFLPALRPEETCGGGGRSAVDVANHVQRIIAKELGFECTALTRKDKYMKLAGNDGTVRKAGGRDDDDAGPGAKKFA >PAN07757 pep chromosome:PHallii_v3.1:1:53210440:53213156:-1 gene:PAHAL_1G360300 transcript:PAN07757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGGGYFDGSHDHILMEGSMIHDSSQCSIYDNTNEEQQSFRLAPFSIEDHSNPANLTSEPAMVIDHIQNQLGIDMEQDNSGHMIQEVPTVETANLVPSIYGVHDHILSSQIGEGPHNITVEQQLLDYDAASYRSGTYATAHDLLNSLQTQRSSLTHEFPSTEHIFGDPAQNMVNHLNMNNDLPGIAIHESGMMFSDSTLPLGYHATQSHMLKDLYHSLPQNYGLFTSDDERDGMIAVPGVSGNIFQEIDESQFDSPILRSRRQKGGFGKGKGKANFATERERREQLNVKYGALRSLFPNPTKNDRASIVGDAIEYINELNRTLKELKILVEKKRNSTDRRKRLKLDDEAADDGESSSMQPVSDEQNNPMNGAIRSSWIQRRSKECDVDVRIVDDEINIKFTEKKRANSLLSAAKVLEEFCLDLIHVVGGIIGDHHIFMFNTKIPKGSSVYACAVAKKLLEAVEMKNQALKIFN >PVH67157 pep chromosome:PHallii_v3.1:1:58498952:58500515:1 gene:PAHAL_1G439400 transcript:PVH67157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCYRCCPPILLPFWLQRYYDGEIRYREIAPCFHDFFSQGKLTAVYWCHNVRHEMAPTIHSDGAAILVRKLLHYPNNPTRVFVGDVVLVRNPKTPSHYLVRRLGAIGGCEMVSTDEKDEPFVLAHDQCWVLLDNQSVTPKVAWDSRVFGPVPISSICGRVIYSLRTSVDHGPVQNWFVPC >PAN06096 pep chromosome:PHallii_v3.1:1:30150445:30151350:-1 gene:PAHAL_1G196300 transcript:PAN06096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPPAIALLLVLAGLLASPAAAFNITRLLGEFSDFSTFNSLLSQTKLAEEINRRQTITVLAVDNGAAGGISSLPPDVQRKVLSMHVVLDYYDTAKLGAIKNHTTLLTTMFQSSGKATDRMGFLNFTKRSDGVMVFGSAQPGSPKNSQMVKSVASRPYNISVLQVSALIVPPGVGGSADSGAPPPHNKARAPAPAPATKGKKGAKDAEAPAPGPSSDDDDSADAPADAPGPAADGPAADGPTADGPTADGPAADGPTADGPAADGPASSDHAADAPEGSAAGRVVASAGLGTMALLMTII >PVH66390 pep chromosome:PHallii_v3.1:1:42503675:42505144:-1 gene:PAHAL_1G232400 transcript:PVH66390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVQRIYWDAEGYAHTTCLHWEGFLKILWDTLRIFHYRYPPQYKGREYVEVGVPRCRATVTFFQHPILGWQSLEIEVVGYRLVDTFEAAALKAITTFCEQHPEEVAAYPIGLFAAVFAHDAEWNYRTNYFGHLVGNFPEETLKAVIRYMNAQYRFQSLTQKSTDEMVNLAQDFHRDLTLKDDQIHSLGQGIAGRDTTIGHLEVQILEGDAQILQHNTVIDFLQEQVHDLNQELEQQLPPLVLNELEEEEEEEEPEEIKGVSKIDSEHGDPEPNPQLNHSSSVSHSSFGSQSSVGNLDDF >PAN09029 pep chromosome:PHallii_v3.1:1:59367364:59373180:-1 gene:PAHAL_1G453300 transcript:PAN09029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGINGDACLAAAPYDHWVLLGPAGGSPRPSARYKHAAQVVQDKLYVVGGSRNGRSLSDVQVFDFRTFTWSALNPTRDSNQLNLENNATGGSFPALAGHSLVKWKNNLVVVAGNTRSLSSSNKVSVWLIDVETNSWSAVDTYGKVPTARGGQSVSLLGSRLLMFGGEDNKRRLLNDLHILDLETMMWEEVKTEKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFSDLYLLDLQTLEWSQPDTQGAHIAPRSGHAGTMIDENWYIVGGGDNASGSTDTIVMNASKFVWSVVTSVSSRDPLACEGLTLCSTTVDGEKFLIAFGGYNGKYSNEIFVLKLKARNLVQPRLLQSPAAAAAAASVTAAYAVITATDEKTRDIVATDDFDIKKAQPASSSKKFVAEIDLVNGEKDKLESRLAEVRDENSKLKDKLDLANLSYGELAKELESVQNQLAAEGSRCQKLESQIAAARKRLESAGSLENELEVLRQQISQVEQTMASTQRQKSGGVWKWVAGSAEVSDDE >PAN07957 pep chromosome:PHallii_v3.1:1:54118552:54124872:-1 gene:PAHAL_1G375300 transcript:PAN07957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYVFEIFFSHLVKIYNFYLNVKDISASDRPRLGMLRGRLARGRLSDSPPAQGSGPQVHLLPLFLKLFPSLGLSPPLLFLSWRRRLGERRRHLSRLRPALCRRRAHPPGKSCISPMRGSMSNYHDDHAEEMEDDYDYDMDDPVDDMVDEHQERGFRDSDSDDDDDYVRSNADIPDTSSADARKGKDMQGINWERLAVTRQKYRQTRLEQYKNYENVPNSGEEAMKDCKPTEKGGMYYEFKQNTRSVKSTILHFQLRNLVWATSKHDVYFTSHYSIRHWSALSGINTELMNVEGHVAPREKRSGSLSEGFSQTQVSTLAVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDDNAITNAVEIFNTSSGAVHFIASNNDSGVRDYDMERFQLCKYFQFEWPVNHTSLSPDRKVVVIVGDDPDGLLIDASSGKTLHSIKGHRDYSFASAWSPDGRTFATGNQDKTCRIWDTRNLSKAVHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHIYDVKSDYNRRQELDFFGEISGTSFSPDTDMLFVGVWDRVYGSLLQFGRLYNYAYLDSLF >PAN03730 pep chromosome:PHallii_v3.1:1:1363819:1370244:-1 gene:PAHAL_1G017600 transcript:PAN03730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVHSLLARPNSAPFAFSLQPATHRRPKPPPPAPVACRAASRWADRLFADFHLLPTAAADPPAAASPSSSPSVPFFPDTADRALPLPVDFYKILGAEPHFLGDGIRRAFEARIAKPPQYGYSTEALLGRRQMLQLAHDTLTNQSSRTEYDRALSEDRDATLTMDVAWDKVPGVLCVLQEAGEAQLVLATGEQLLQGRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIRCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLALPIDEKHKNKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLRMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIASKRPQFIMMADDLFEQLQKFNIGSQYPYENEMDLALERALCSLLVGDISNCRMWLGIDNESWPYRDPKIIEFVVNNSSIDEENDLLPGLCKLLESWLVSEVFPRSRDTRGMQFRLGDYYDDPKVLSYLERMEGGGASHLAAAAAIAKLSAQATAVLGTVKSSALQAFSKVFPLIEQLDRSDKGNPSDDLEKSPEKLAPQNVTGDDINDSRNAALKIISAGALFALFAVIGLKCLPRKKSLPSIRNEHGSVAVADSIGGPAADEEPLEIPRMDAKLAEDIVRKWQSIKSKALGPEHSAAVLQEVLDGNMLKVWTDRATEIDRHGWFWEYTLSDVTIDSVTVSVDGRRATVEATIEEVGQLTDVADPKNNDSYDTKYTTRYEMAYSKSGGWRITEGAVLKS >PAN08948 pep chromosome:PHallii_v3.1:1:58998556:59011599:1 gene:PAHAL_1G447300 transcript:PAN08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQASIAVGSQVWVEDPDVAWIDGEVIKVNGDTVTVKCSNEKTVTAKATNVHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMQQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEERERYKLGDPRTFHYLNQSKCIKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGVDGDSSKPKDEKSLFHLRTAAELFMCDAKALEDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVNKINNSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIVALLDEACMLPRSTHETFAQKLYQTFKNHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLNASKCAFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEVSAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSASLIQRKVRSFLAQKSFIALRRSALQIQTVCRGELARRVYHNLRREAASLKIQTWYRMHTARKAYKELSTSAVTIQSALRGMCARKELHFRRQTRAAIIIQSHCRQFLARLHYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQAALQEVQQQYKETKEILVQEREAAKKAAEIAPVIKEVPVVDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYQETSKISEERLKQAMDAETKIVDLNMAMLRLQEKISTMESDEKVQRQALLNTPVKSMSEHLSIPIAPKNLENGYHEVEEQKEPQSAPPAIKEYGNGDPKLRKSCADRQLENVDALIDCVGKNLGYCAGKPIAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEDDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNIKKELSSLISLCIQAPRTMKSSMLRVSGRLSGQPQNQSNHWQKIIESLDKLLKTLQDNYVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAASSWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSMQEKDFSDMKPAEELLENPAFQFLQD >PAN04337 pep chromosome:PHallii_v3.1:1:4021972:4026338:1 gene:PAHAL_1G059600 transcript:PAN04337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAHKIGSICEVRRIIKDAETYEETARNDARQLWRIVSNTGGHGVFLDVDLLAGKDESFHFVQQKLEELRRLVVGSDKRIGELVAVVDRLEAVLGAGGPGLPSSYDPKAYCGANPYMDVDQEIEDVGLEVHIDVLRALMRETSPGNPPTRGRRSAGNLKRRERLEQRATTEFNLAVLRMKRDILIEMRNNLHH >PVH65714 pep chromosome:PHallii_v3.1:1:4021972:4026338:1 gene:PAHAL_1G059600 transcript:PVH65714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAHKIGSICEVRRIIKDAETYEETARNDARQLWRIVSNTGGHGVFLDVDLLAGKDESFHFVQQKLEELRRLVVGSDKRIGELVAVVDRLEAVLGAGGPGLPSSYDPKAYCGANPYMDVDQEIEDVGLEVHIDVLRALMRETSPGNPPTRGRRSAGNLKRRERLEQRATTEFNLAVLRMKRDILIEMRNNLHH >PAN06581 pep chromosome:PHallii_v3.1:1:47485660:47488132:-1 gene:PAHAL_1G274100 transcript:PAN06581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGAEEDSYGPPANQAAPPPNANAPGNRGGPRGPGAPRTGGPAKPVSIDVPAIPFDELKKITNNFSDRALIGEGSYGRVYNATLSDGRAAVIKKLDPSASQDSDSDFAAQIAMVSKLKNEYFLELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWMQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNSDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKAIQPLLNAPKPAAPAAPQS >PAN06328 pep chromosome:PHallii_v3.1:1:45984923:45986080:1 gene:PAHAL_1G255900 transcript:PAN06328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRVLPLLVGALLLVLGLAVRGEADAPRLRGSVACLDCAAGHDLSGVVVAVRCADGGGAGLLRAAQTDARGAFDVAMPAAVAPSSSAPATPCAARVLGATEQLCAPRGLAVARVVPDRAPGPSSSSSSYALGSPLAFFTMRCRPASGGSAAAATMDAPDQQRQRDAPRVPPEARPAPPAMQAPVGAPPRAGGITSPPPFGVGGGLPLIFFFPFIPIIGIP >PAN07242 pep chromosome:PHallii_v3.1:1:50648130:50648716:1 gene:PAHAL_1G321500 transcript:PAN07242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTGRLVLALAAGVLLVTLAGRCSGDTDHHLRRGLADGCQPSGTLRPAKGGPASCDECCKVGRAYPTYACSPPHQAVMTLNDFEEGGDGGDPSECDGRFHRNSERVVALSTGWYAKGRRCGKNIRISANGRSVLAKVVDECDSLHGCDKPHAYQPPCRPNIVDASQAVWDALGITGDDVGEYPITWSDA >PAN06260 pep chromosome:PHallii_v3.1:1:45534948:45535908:1 gene:PAHAL_1G250800 transcript:PAN06260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT2G16600) UniProtKB/TrEMBL;Acc:A0A178VVJ7] MANPRVFFDMTVGGAPAGRIVMELYANEVPRTAENFRALCTGEKGVGRRGKPLHYKGSTFHRVIPDFMCQGGDFTNGNGTGGESIYGEKFADEKFVRKHTGPGVLSMANAGPNTNGSQFFICTVATPWLDGKHVVFGQVVEGMDVVKAIEKVGSRSGSTAKVVKIADCGQLA >PVH66389 pep chromosome:PHallii_v3.1:1:42494098:42494652:1 gene:PAHAL_1G232300 transcript:PVH66389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPSNVSWDHARHLHTNALHWEGFPHLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVRMMIPQHPFHSQWHPIEVDVVGYRLVDTIETAALEAINIFCNQHPMEVAGYPIGLFPAIDSSDPEWNFRIDHIGHLLGDLAEETIRSITRFMNVRHHYQILLLRSMGQLTGVAQGHYRNADRQVT >PVH67185 pep chromosome:PHallii_v3.1:1:58911523:58911852:1 gene:PAHAL_1G446000 transcript:PVH67185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPHSASTPRSLCGNSSVPFTVRAHYDRDLEIFVGLSKDPAALGHLCFCGMNSLNTSSSTQCPAPAWKLCQEKLFSNNPGEERVSAILVYMGSESKFCLVECIFVCF >PVH65802 pep chromosome:PHallii_v3.1:1:5500961:5502637:-1 gene:PAHAL_1G079900 transcript:PVH65802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAGRKGREGRSRWPGCRGRRRPSGGRARRGWSGTSASSPRAPRTAAAARGGRDAGNRSSGTRGAWAACCGAARRERDTTTRSGSWCSPSRSKRTTTTSSSSSRRWCLLAGRRSGRGTWPRPPSRRRRGCPPAAARPAPSSGHRLAAGAPSQAPEALGDEV >PAN07876 pep chromosome:PHallii_v3.1:1:53800540:53803775:-1 gene:PAHAL_1G369700 transcript:PAN07876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNARKDAGGGGGGGGGAPFAIPCVDVKSFVASLAFLTLFVAFWQLQPYGSLLTAARTSASPPCALLATTAAAATDLTSPSATAAAAADMKQPAADSGTTTAAAATNAAPVRLARPARPEDPNKRVLRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPTHVFGTPYFKCEWLPNPTAGDPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNAGNAGGKLLVHAYYSTASRRYERFVALEEAPGSYDESLFSPPFQYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVLHDAGGVSAEVKAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPNGQKLDEVLGKLSGYSQFTIEQNPMSSKLCVEDPSRDYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNVRGRTTHKTESLIRYYHYHNSINVMGEPCREFVPMPVNGSTIMFEKIPFVYDDRMKRVAGEIKRFEKETIGSVQT >PVH65956 pep chromosome:PHallii_v3.1:1:8519048:8519890:-1 gene:PAHAL_1G111700 transcript:PVH65956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLGRNPLSDALHRNPGVVLNGEGPGRHPLRDAYYCDPGMMISEQGSGRRSLCGALHLRPGIVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVNVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGITSGRNGVGILIDKSLKDGVVDVRRQGDQIILVRLVIGDLVLNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYEQVHGGFGYGSRNEGGGCFEFCVGLRPTVSEYPL >PVH66725 pep chromosome:PHallii_v3.1:1:51130218:51131172:1 gene:PAHAL_1G329300 transcript:PVH66725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLNHLTPGKDYTIECGEGKKVYHKGDKASENLFSWLEDSVLRRPTYSRFCALLGNYNPHQGYKESEISRSAPIKYLHGYLVMKEAMSQDYEDFKKVLTSLWFDLYGRGGCSGSSSAFEHVFVGEIKGQKQGENEVSGFHNWIQFYLEESNGNVDYQGYIFPRRHGELPYSETQLTIQFEWHGVLKSVSSTLIGVSPEFEIALYTLCFFAGGEDNHVDIGPYSVNIKCYRLGDNKIGSAFPIAEN >PAN07840 pep chromosome:PHallii_v3.1:1:53586766:53587600:1 gene:PAHAL_1G366700 transcript:PAN07840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAEKAAPAEKAPAGKKPKAEKRLPAGKSAGKEGGDKKGKKKAKKSVESYKIYVFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >PVH66518 pep chromosome:PHallii_v3.1:1:47158131:47160335:1 gene:PAHAL_1G268900 transcript:PVH66518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQSVEEAWIFWEAYASRTGFEVRKRYTNKRKFDGKARSCRYVCAKEGHRKEDKRDHLTKCPRAETRCGCEVRMSLALDQELGYYKVTDLVLEHNHILHTPETFHLMVSQRKISKLQAFEIEAAHNSGISPKDAHELASIQVGGSFNLSYTCRDQRNYLRTKRQSEMAHGEAGSMLKYFEDKTKENPSFQYVLQKDCDGQIANIFWADAKMVIDYAHFGDVITFDTTFGTNKESRPFGVFVGFNHFREMVIFGASLMYDETFASFHWLFDTFLKAHNGKQPKTVFTDQDSAMGKAVEQVFTEAWHGLCTFHISQNALKHLHEKEILKDFSACMFEYADQTTFEDAFNTIRSKVEKQTWLDSIYKLKEKWAACFMKDIFTLGMRSTQLSESLNSDLKEYLKSNLDIIRFLKQFERVVQGKRNKELDSTFDSRKKFPRIKMRTSMLLEASKLYTPIIFEVFQDEYERSMRACSRLLDEPNKYRVTIENLDQKPTFEECEVIGNPLEQSVICTCSQFSRIGILCGHALKVLDSMNIKTLPTQYILKRWTREARHGTIQDNHGRNITENPMLDSMLRSRLLSHKFHSLTDQVAGSLDCCLLIDSTLDILIKQVEEKMHACRITLEDPCAGHITNTNVEVSNDLMGIRLKKKEVRTSTSRRKRTWLDKKRMPRKKNESNMLTALVSKNDSLTAQITSDSCSRYNNTSVEEYGVISSFTQLVTVILLYLNVQFDVSELF >PAN04385 pep chromosome:PHallii_v3.1:1:4363862:4364683:-1 gene:PAHAL_1G063500 transcript:PAN04385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPSPASPPSSLSALRHRLRASVCCCFGSGGGLGERMRWRRRAGVGEFRYDPLSYALNFDEGDLDADDEDLFEAAHAGRGDGLLYQSFSSRLSTPAAAIEVA >PAN08131 pep chromosome:PHallii_v3.1:1:55157302:55158453:1 gene:PAHAL_1G390000 transcript:PAN08131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAMDDLAKKFYGFEALMNQMLDKLTGLEAWRSTAEEATNRLLSQSERTASRLQRLETAPPPPTHPFTAPPPPPSRWLNQFDLNTAPQQEARPSASSSERPSGHRVATTHRDAGGGILGSHPPHSVTGMSTEPNPSSSQFRDGMSTAPGHAPHLPKLEFLKFDGDNPPLWRDRCDMYFEVFSVSPDLKTRFAALNFKGAAALWSLTIERRGRVLDWDTFCSAVFERFDKDQYQI >PAN06829 pep chromosome:PHallii_v3.1:1:48670405:48672199:-1 gene:PAHAL_1G291100 transcript:PAN06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLDFRYLDEGLGGERGKRKRREEEEEAEAAAADSMDLDADVPRPSKLRAMPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEPRTRRASAVVVSRKPTPLEQRVREKSLKRAYQARKAELKEEIRQNKVAKRKAREEREKRKQENVLRTGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSEASRRMQVPGLEN >PAN07752 pep chromosome:PHallii_v3.1:1:53175909:53177006:1 gene:PAHAL_1G360000 transcript:PAN07752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAKRPSNAAGASPVPRRHQAAVSTVSTAQILRASGYSAAEAAALRALSDIAGRYIESLGRAAAAFAEVHGRTEPNVADVVLALEDHALGGFPGASDPARPVLCSGALAELAGFVDAVTEVPFAKPLPRRDPGSGAGKGWESFAAAEREPPLRHVPQWLPRFPEGWEERLHGRGGEAEAKDEEDAGEVVAVMANGNGVENGRRAVPENREKVSFCLREKRRRHAVPPEKFGGALERFVKKKEKFL >PVH66608 pep chromosome:PHallii_v3.1:1:49003102:49004313:1 gene:PAHAL_1G297300 transcript:PVH66608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILLAAFVALLLVVGPCHARPEPEHAAKSAAKGKTTVVDGITAIYNFGDSLSDTGNLLREGAGGMLQYTTAPPYGSAIGGATGRCSDGYLMIDFLAKDLGLPLLNPYLDKGADFTHGVNFAVAGATALDAAALARRGVSVPHTNSSLAVQLQQFKDFMNANTRSPQETREKLARSLVMVGEIGGNDYNYAFSANRPAGDGERNLYNFGRMAAGVVEATALVPDVVRSVTSAARELLDMGATRLVIPGNFPLGCVPSYMSAVDEKDPAAYDGNGCLAALNLFAQMHNVLLQQGIRELRRSYPGATIAYADYFYAYVRMLRDAGETGFDEGALTRACCGAGAGAYNFDMDRMCGAPGTSVCARPDERISWDGVHLTQRAYRVMTDLLYHEGFASPAPVEFPRA >PAN05383 pep chromosome:PHallii_v3.1:1:24058872:24064550:1 gene:PAHAL_1G173900 transcript:PAN05383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAAAGRRSGTSRRRPSGSGGEHQRLVAVAVAARVVMVTTRSAGPAAAGGGRGAAAAREVEGGGSGGGRCVEDFFDCLLGVLGALGVMWGASARPQRQPRPPLPRGVGAAPAPADARRFAAELRATPGRIAGNGACAVASLYTLQGKKGVNQDAMIFWENFCSRDDTIFCGVFDGHGPYGHLVAKRVRDLLPVKLGADLALEDGRETSSSNIKSNTNEVRSPEHIDRGDTVISSEAEQNGEYPEIFPALRTSFLKAFHVMDRDLKLHKNIDCFFSGTTAVAMVKQGHNLIIGNLGDSRAVLGTRDENDQLVAIQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDHGVISMPDVSYHRITEKDEFIVLATDGVWDVLSNDEVVSVVSQATSRASAARFLVESAHRAWRTRFPTSKIDDCAVVCLFLNTDEASKSSSSMPNNLANAVEVSSDQHSTTVQLSTGVSADLVTAMVKDGNEVSVLETVARSVTLVDMPKDG >PVH66300 pep chromosome:PHallii_v3.1:1:31295381:31296890:-1 gene:PAHAL_1G200200 transcript:PVH66300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQTLMGLLLLRKRGRGKMVTAGEKRKDVPVCEYEAQRNANVLANNMKLKALQLQELGQEVSSSLMTGKKRKVEAVRHQEKRTTTNATIQHNLRTSSRLSAARANVQPGNGSGAPSAHLQARNGPSGDLQPENGSSGASAHLQPRNEELREQEKKKVRGPTTKADIFARQNKPKLKLEINDCGQPCGPSSTEFANFIGALVRTKGFPMAHDDWRKVCPKKKYKLWTDAQLYWDIDNGSFNWFMKTAATKWREFKAELRKVFHDAMEYEELLELRDERVHEEDWKWLIDHWMSPDGAVSYNSLLLELLYMNHEYYK >PVH65950 pep chromosome:PHallii_v3.1:1:8423742:8424807:1 gene:PAHAL_1G110400 transcript:PVH65950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEDEFNQIVMKELIDPSSSDDENDLFFDAAHMIIEDSVNHPSQIGYVKGHDVVDRERLFWHDLLYKDYFSDNPTFRVKTFKHRFG >PAN06788 pep chromosome:PHallii_v3.1:1:48470138:48472992:1 gene:PAHAL_1G288300 transcript:PAN06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPSKIESMRKWVVEHKLRAVGCLWLTGISSSIAYNWSRPNMKPSVKIIHARLHAQALTLAALVGSACVEYYDNKYGSSGPKVDKYTSQYMAHAHKD >PVH65507 pep chromosome:PHallii_v3.1:1:1004261:1005596:1 gene:PAHAL_1G010600 transcript:PVH65507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSELQIRGLLIPSCSLSRHQTRRFAFEKNQKNSSSALADSYRLVEARQNYNCWQIDLGTAACGQTPNRHTVSHLAL >PVH66267 pep chromosome:PHallii_v3.1:1:27926198:27927135:1 gene:PAHAL_1G189500 transcript:PVH66267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSLIQEHNHHSANMSRPSRALLVVALASLATLHGLSGVDATVAETCSAASNSDRRVNYDFCVSELNKHRDSPGADAWGLAKVAANVGVNNAGAAVNDMEALLAAKQPPPDARATAALRLCEKLYYDMELAFAGAYDETNARNYTAGKQMAADADSLVRRCTGGFSEAGLQPPEPVARRSAYAVQIAIVCTAITNLLISP >PVH66275 pep chromosome:PHallii_v3.1:1:28796678:28806627:1 gene:PAHAL_1G192300 transcript:PVH66275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLKRYALIRTTGSNFYGPDQIYFAKGGVTCSNLKFIHFSSNKLQGRIPTWVGTLPALQVLILSGNNFVGNVPDSLGTTPSLTYVNLGQNYLTGGILLNITNSQSLQYLLLPDNGLSGRIPPELFNSSSLLVLDLTRNNFTGAIPSVSSVSSPLFSLTLGDNSLSGSIPISLANFSSLSVLYLGGNNLVGSIPDSLGMLKLEKLDISINELSGLVPYCIYNMSSLTFLSIGNNSFAGKLPWKIGLLLPSISTLILQANRFEGPIPASLVNASSLEVPDLGVNSFHGLVPKLGTLTMLKDLDIGANHLEGQDWISLSSLTNCSSLVKLLLDDNKFKGSIPESVGNFTINMNWLWLSNNNFSGRIPSTIGNLKNLTLLYADQNQLTGKGTIPSTLEGCKNLLILNLSSNSLDGSIPVELFRLLSLSRSLDLSHNLISGSIPSQVENLINLGQLYLSGNLLSGKVPVSIGQCVLLQSLKLDQNSLDGCIPDSFRNLKGIEKMDLSQNQLSGPIPIQIGSPFNSTAEVSLQGNKILCALTTIHGLPPCLTFNSSGKGISYILKIVLPLVYKAVLDVAALPVAIKVFNLEQHGAVKSFCDECEVPKIIRHRNLINVITLCSTIDYSGKEFKALIFEYMPNGSLEKWIHPTTYGSGERLLSLGQRINIAMDVAYALDYLHNRCVPALVHCDLKPSNILLDYDMTAHVADFGLTKFLNTSSSSVQHNSSTMSCGPIGSIGYIAPEYAMGVKNTTEGDVYSYGVLLLQMITGKRPTDEIFKDGLNLHRFVYAAFPERISEILDANLLQELSNTGSGSEERNCAEAWMHGCIIPLVKVGLLCCMELPRQRIRVGDVCSEVAGIKDEYLIKT >PVH65884 pep chromosome:PHallii_v3.1:1:7100022:7101693:1 gene:PAHAL_1G097600 transcript:PVH65884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVILVSAVLVGLVSLSSCRSLAELSEQKTYSSAPSYGSSPTPMYGTGGGYKPTPTPDYSTTPTPSYGSTPSTPSTPSYGIPEIPKHGFTGSCDYWKSHPDMITAVVGSLGNLGKTFGTACSLIVGKKLENLHDALSNTRTDGVGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAITSDGAASAQAGIFKKANEYHY >PAN04966 pep chromosome:PHallii_v3.1:1:7811253:7812925:1 gene:PAHAL_1G105200 transcript:PAN04966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEQPLPTLLELIVVVTLLVLAMSHLVSSRRSPSPSPPRLPPSPPGLPVIGHLHLLRPPVHRTFHELAARLGPLVHIRLGSTHCVVASSADVARELIHGHDATISGRPITALARLFSYGSAGFAFTPYGPQWRFLRRLCVSEVLGPRTVEQLRPVRRASLAPLLRAVLAAAARGEAVNLTRELIRFSIASIIRMVASDVPGSVADEAQDLVKAVTGLLGAFNVEDYVPLCRGWDLQGLRREAAGVHRRFDALLEKMIRHKEEARDHGRPGNAEHQQEDKKQAPATRQRNMDLLDILLEKAGDEAAEVMLTRENMKAFITDVVTAGSDSSAATVEWMLAELMNHPEAMRKVREEIDAVVGGDRIVGEADLPRLPYLQAAFKETLRLHPGAPIAHRVSTAEMAVRRCTVPPRTAVFINVWAIGRDPVFWEDPLAFRPERFMPGGAAAALEPRGQHFQFMPFGGGRRGCPGVGLAQQSVPAALAALVQCFDWAVADGETGFVDMDESDVGLVCARKHPLLLRPTARLNPFPAVA >PAN08921 pep chromosome:PHallii_v3.1:1:58868739:58870952:1 gene:PAHAL_1G444900 transcript:PAN08921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTACSIRLHAAPPSRRRGSVVASAVRIDRRSAVLLLLSAAVPAGTAPPANAAGIGLFGIRKKLERAEESAAEAVREVEEAAAEAAAIGGEAVKEAAVEAAAVGGEAVKDAVVEAEKEASEVAGKGLQLVAGAELAGDGLVQAAVVAGAEALGVVVGLSVVNGILKPEA >PAN06380 pep chromosome:PHallii_v3.1:1:46473746:46476047:1 gene:PAHAL_1G260200 transcript:PAN06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRREMSGRLSAEYQGLEVKVPSLFRCPISLDVMRSPVSLCTGVTYERASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLIALWASTAAPPSPSSSSSSSPPAPSAVGPTPAAAAAELLRRVAAPGADPCPPLRKLAAFLNDDDVDEFDKNAFARAAGAAETVASVLRRAEKEEGLEAAEAAVRVLAAIAASDCIEEENKRRVAAALAADARSTAASLARVLRGGSALEARVDAARLVESLLRNAAARAAVAESEPLVAELVRLIGPADEKGGLDRGAVAAGLSCLAAIAATRRARAEMVRLGAVPAAVRVLSADAGCPAQALRVLEAAVGCAEGRAAICESAETAVPAVVSRMMKGGMGGAEAAVSVLWAVCHRYRDRRAVAAAAGCEGGLARLLLLMQSGCSAAARQMASELLKIFKVNGKSCLGGYDSKTSHIMPF >PVH66256 pep chromosome:PHallii_v3.1:1:27372649:27373768:1 gene:PAHAL_1G187000 transcript:PVH66256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGCPSLGERVRERVLGREREHTREEYSPGREREQEREEYEGLGRESAESMGDWGGESTGEAGEYERE >PVH67072 pep chromosome:PHallii_v3.1:1:57411574:57412474:1 gene:PAHAL_1G422400 transcript:PVH67072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDGRSQINEPPLNPELCSGGICAMEWPLVMFKSRTCRTFRNECKYSDFSSVDNTEANSTAFVIQFPVCHALVYHLDHLAWSSI >PVH65759 pep chromosome:PHallii_v3.1:1:4934655:4936750:-1 gene:PAHAL_1G072100 transcript:PVH65759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRGGGCGRVAPQRRRVLLGEGRGVVGIDAGGNSYAGGGGAVERMRGVGDWGGVVALGEKGLDMLAVASIEADGSSLTSADYIHLYTTLGKMSMELNPHQIYERYKEASEDYLKTKVCPH >PVH66292 pep chromosome:PHallii_v3.1:1:30532336:30532644:1 gene:PAHAL_1G197100 transcript:PVH66292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSSARLQATGSDELGQSCRVYCPATGRVPFVWEDEPGKPKGSARMDVVPPLCPSPAMQSARIAGRRDRRRKGKQSDGTAAGFEGCIPLRFHLGRAMKRWV >PVH66443 pep chromosome:PHallii_v3.1:1:45067664:45070485:-1 gene:PAHAL_1G248000 transcript:PVH66443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIERKKKGGCRDRRPVAVTKMPRDGLARDLEVEAALAAEAAWGLKEAVASMAWSIDMDVYSPLHLPTELVGNGSISANKVWNRCHLKGTSKRDHLWQLRHQIFSIRIISCLQFRREEKELGLEPF >PVH65603 pep chromosome:PHallii_v3.1:1:2458508:2458831:-1 gene:PAHAL_1G035900 transcript:PVH65603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRWAPLAAAAAACLVALLALLAAAVHGAPSAGAPVPAARRSGATGGGGRAAATVAFDAARVARCKKQGKKWKKKAGAACARLPGGGGDDDKRVVPTGANPLHNR >PAN04594 pep chromosome:PHallii_v3.1:1:5336402:5339025:1 gene:PAHAL_1G078000 transcript:PAN04594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSAAKFLLLLVAAASSFLLVASHGLPPRQLKRNASCLPHERDALLAFKENITSDPEGILSSWRRGRKDCCRWMGVICSSQTGHVLELDLSDRNLAGQISPSLLSLEHLEHLDLGGTYLSGHDGHFPEFLCSFKNLRYLNLSLLSFASRLPAQLGNLSTLEYLDLTDAYSLPSEVPPQLGNLSNLRHLDLAHNYLYTTDISWLVRLHQLEYLEMAGINLSTIDNWLHAVNMIPSLKSLSLSNCSLPRANQWLTHINLTKLEMLDLSMNYFGHPIASSWFWNITSIQHLGLSSTYLYGPFPDALGRMTSLYYLGFTKNGNSATMAVDLKNLCELRTLELDGSLSSGNITEFIEKLPQCSTSKLGWLSLKDNNMTGIMPQVMGHLTSLTALSLSNNSISGSISPGLQNFTSLEELYLSSNYLSGQIPLLPRGLKMLDVSVNFLSGHLHFGAPNIEVLILSSNKITGPIPEKFCELQYLHVLDLSNNYFAGELPVCSSMPYLHCLLLSYNKFSGKFPSLIQRLSNLTLLDLSWNNFYGTLPIWIGHLAELRFLDLSHNMLHGSIPANITHLRRLQLLNLSFNNISGSIPQSLSKLMAMTIIYQDQPDWYVGWVNNEFLDILSAVTKHLQHKYAAQSIFYIVDIDLSVNHLIGGIPDEIASLDGLKYLNLSRNCLRGNIPKNIGAMELVESVDFSWNSLSGEIPASLSNLTFLSVLDLSYNNLSGRIPSGRQLETVYDNNPTMYDGNNNLCGPPLQRNCSSGNSDPKHGNEKASGENSESLFFYLGLVSGFAVGLLGVLCSVLFKKP >PAN04386 pep chromosome:PHallii_v3.1:1:4366642:4366953:-1 gene:PAHAL_1G063600 transcript:PAN04386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASSSSTSSLSALLRHRLRATVRRCFGNGGGRLGLGERMRWRRRAGAGEFRYNPLSYALNFDGGDLVGVDGEEDRRRRDRFLHRTFSSHLTPAPRAAVEAA >PAN08963 pep chromosome:PHallii_v3.1:1:59065254:59069279:-1 gene:PAHAL_1G448200 transcript:PAN08963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDRQRVLLAHLLPSSSSSQPQLEASACAAGDSATYQRTSSFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPSDIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSINSIAWEGQVNPKISAFQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATASGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVALKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLQIEDIDLFELNEAFASQFVFCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDTVDELSNVRDIQSHNFLSRDAK >PAN08262 pep chromosome:PHallii_v3.1:1:55698209:55700868:1 gene:PAHAL_1G398800 transcript:PAN08262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSQHALSSIKLWSNSSFSLEKRTCHVHSVSVGRRCPSARNLGLVCASNSQSSVVEPVQLPGSPKSGTTPRKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHKWSQIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIIPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKNLAQYRQKLDSMIQ >PAN08334 pep chromosome:PHallii_v3.1:1:56422539:56422758:-1 gene:PAHAL_1G408800 transcript:PAN08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLISSICVYIFANCFTTNEFEYGAEKTISATSACTGRYRKRMEYSECSKRPA >PVH65929 pep chromosome:PHallii_v3.1:1:7919404:7920426:-1 gene:PAHAL_1G106400 transcript:PVH65929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKHQVLFGFPLTKLCPQSHPLLEATRTEFFVGFQVPTVASYVRYLKKQFLQEHYLCEAITDLGKTRQVSTEISPASTPRKFTRLQPHHSITRSM >PAN04016 pep chromosome:PHallii_v3.1:1:2429878:2433609:1 gene:PAHAL_1G035400 transcript:PAN04016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLEVFIKKYVQCYGCGNPETEILISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGKDKKAMRRAEKERLKEGEAADEEQKKLKKDAKKKGASSKESTAKVSKKKAAAAAGSDEDHSTSPTRSRDGDNAAADEDDDDDDVEWQTDTSLEAAKQRMQEQLSAATAEMVMLSTDETEKKKKPATPKEATANGSVNAQESSEPAVTKPSPYNELVGDIKASLGSAATPTQVKAVLASSALPPQDVMNALLEALFDGVGKGFAKEVVKNKKYLAAAVPDEGAQTVLVQAIESFGGKCSPEALKEVPVVLKALYDGDVLEEETIVEWYNAAVAAGKNSQVVKNAKPFVEWLQSAESEEEDDE >PVH66260 pep chromosome:PHallii_v3.1:1:27540985:27542073:-1 gene:PAHAL_1G187500 transcript:PVH66260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLPQHALIPGGAPCDLAQQSHLSTQPQMVQARQGTLAAPAADQMQELGNVEEAPLGLKEDASGKAMATASQWHRVKWTGDMVKLLVSAVSYVDEDIDADQGGARRMGKWRLVSSAMTKRGFAVSPQQCEDKFHDLNKKYKRVTEILGLGTACKFVENPALLEEAGLSWKLKEKAKKLLSSKHLHFEQMCSYHNRNRACLLDDRVLLRMLRRMARMSPSKNYPFEYDKDNQVLICDDNQVLICDDDDEGGEFNRDLEVTAEDHVTKKLPQAAAQGSGGPADEKDPSHMDAAQIHRERLEIKRDMLKIKKRRLKRMRSIKEQEWELQKMRMDNEMMDLENDQLELELELKEMEMGIQPKRI >PAN03567 pep chromosome:PHallii_v3.1:1:139252:144599:-1 gene:PAHAL_1G000900 transcript:PAN03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSVSERSLIIESDDDDDHQPQSQPSAARRRRRRHEDGSGSESDSSSGSDSSSPCATPRIPPTASSYTQQWPQSYRQSIDILSSVQSPNLSFLGTPTLSRLSNSFITNSFRGKTPEIISNLVKPLLRPTTSDDRQHQQQEETQKSSQYLLPSRKPSLQQIPEDQKPLLVAHEVSTYQKCSYTQAVVNGINVLCGVGILSTPYAIKQGGWVGLVILCLFALLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTSGRILISIILYVELYACCIEYLILESDNLSKLFPNAHLTIGNLTLNSHVFFAILTTIIVMPTTWLRDLSCLSYLSAGGVIASILGVICLFWAGAVDNVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTCIGLSTILYAGAAVMGYKMFGEATKSQFTLNLPENLVVSKVAVWTTVANPITKYALTIIPLAMSLEELLPPNQQKYSNIIMVRSALVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKRKATWHQVAACSFIIVVGVSCACVGTYSSLSRIIQNYT >PVH66916 pep chromosome:PHallii_v3.1:1:54570423:54570590:-1 gene:PAHAL_1G382300 transcript:PVH66916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASVRLALGFAAPLPDRMRWPCDCEPAHMPARGVFLLLRSLYVRLQYCSILYA >PAN08635 pep chromosome:PHallii_v3.1:1:57724288:57731761:-1 gene:PAHAL_1G426500 transcript:PAN08635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGEVSSAAGNGNGNGDVRRSPSTAPSARVSIWESVRACGIWGKEVDKAELRRQVVMPLHLRRAVAAAVAAKDEAAGVAAASAAKEGAGDGEKDEGPTVAPLVVFVNSRSGGRHGPELKVRLHELITEEQVFDLSVVKPSDFVHYGLSCLERLADQGDNCAKAAREKIRIVVAGGDGTVGWMLGCLSDLYKMKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATGPICRLDSWQTVIQMPEGEIKELPYSLKKVEPADRLEISQGNGTEFSEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWEQVQMPSSVRSLVVLNLYNYGSGRHPWGDLKPEYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLIQDQSTILEINKVPYHSLMINGEQ >PAN03680 pep chromosome:PHallii_v3.1:1:1224469:1230216:-1 gene:PAHAL_1G015000 transcript:PAN03680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDPPAPAPAPARQSEAGAGGRRTDKHGRRLEVYNEVLARLRSSAGAAPAEISPAFEDALWAHFHRLPARYALDVNAERADDVVTHQRLLEEARDPERRPALSVRVVQVSRIIDGDMGDSLDPDMEMVTSNHLPNQLIHPPPAFGSSSNLEALGLETSEGDVRSTNDTDHSVHLISRPMHEITFAMVDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLESTEQLQGKLLQKFHKIEAQACPVSSRPSPSSEGWQGRASVPSTSVEIPTDGADVWEIDLRLLKLGNKVASGSNGDLYRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTDFMSGGSVYDYLHKKNSTFKLPEILKVAIDISKGMNYLHQNNIIHRDLKTANLLMDENRVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTGKIPYEYLTPLQAAIGVVQKGIRPTIPKDTHPKLIKLLQKCWHRDPAERPDFSEILEILQRLSKEVGTDTEGRHKTKTGFLSALKRNH >PAN08318 pep chromosome:PHallii_v3.1:1:55889844:55891571:-1 gene:PAHAL_1G402700 transcript:PAN08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVICAKALVLAVVVVLVSTPQLAVGARRRMELYQPDPADMLSYHNGQVLHGDIAVSVLWYGQFTQVQKTVIYDFVLSLTMMPQATPPSVAQWWSTIDQQYLSKAAQDTPNAGGEAKRTQVLLADQVSDDDCSMGKSLTLAQVSALAARAKPKKGGVALVFTARDVTVEGFCMSQCGLHGSDAESGTAYVWVGNAATQCPGQCAWPFHQPEYGPQGPPLTPPNGDVGVDGMIVNLASEFAGVVTNPFGDAYYQGSSDAPLEAATACPGQFGSGSYPGYAGNLKIDQASGASYNANGAQGRKYLLPALFNPSTSTCSTLV >PAN06577 pep chromosome:PHallii_v3.1:1:47447493:47449603:-1 gene:PAHAL_1G273500 transcript:PAN06577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFASSMVRPAPEFPWSSHLPPVYHTLPAPHPDEPKTKGLTRTKGRSRRARIRGPRETAACRAANRRMGDAEPWRRLRWRGLGRRHRRRRLPVARLGGGRGRGILRRLRLRWLTARWLRRAARRLAAIYLAALAGPPSPRGAASSTCPPWLGLEPCFATPFVASTRPCW >PAN06720 pep chromosome:PHallii_v3.1:1:48115120:48116486:1 gene:PAHAL_1G283400 transcript:PAN06720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSFFCPIFSFLRKSRRYDDDMSDWDGRTGYVRKVRSSDEDYGGWWVGERDVDRKASDFINNFHQKKVAV >PAN03517 pep chromosome:PHallii_v3.1:1:544271:546882:-1 gene:PAHAL_1G005500 transcript:PAN03517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPVALAILFFCVLLLASAAIAVLLIRHCLAALRRPDADPEARAVVVPQLPQYELALSAEAPAAAEQATDHKDAPRRLTWPEVEALTGGFDEAAVVGRGGSSTVYLARLRDGAPVAVKVHRWCGGERRLRAFRQELDLLRRIRHPRIVALIAYSDDHEEGGALVLEYLAGGTLADRLHGATAPLSWPQRMRIVHDVACALEHLHDASAGAPPVVHGDVSASNVLLDSRGLGARLCDLGSACEGFSAAVAPTRAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEAVTGMPAAGAPGSENLAPRILPRVRAQGVAGLVDGRLGEGYDEEEAGDVARIAVECLAAQPGLRPAMGLVRATIAEKAARSIAKADLGDHHIQLSKLLELT >PAN04054 pep chromosome:PHallii_v3.1:1:2606021:2606362:1 gene:PAHAL_1G038100 transcript:PAN04054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRATFRRPSTPSLCASAGTRGPMLSSSGGPQASQVNSAVAPRRPLPPPSANHSTTATSCSPLMPLPCVRPRRPGAARRNAGSGACNAAPLSRKHSSALKLLDERGGPVTSAP >PVH66106 pep chromosome:PHallii_v3.1:1:17022551:17026190:1 gene:PAHAL_1G151500 transcript:PVH66106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVAGGTGVDESLEMVVAGTPTPPRRRRRGAAEQCAAPALASPRNGRRARRRLEKDVEAEEDTARRARRRKSTKAALAPKAAVNEEDTSLALVPAWPDATSGTVFVEQSEWEGLWERIVDLVMWRNVAKSSLWFGFGSMCFFSCSFSKEITFSPISALCHLGVMILGLAFFKDSVPQRPQVERGRSFQLTEEDLLRASRAVLPIANSMISTAQVIFSGEPSMTLKVLPVLLFGAKYGSLVTVWRLLAAGFFTSFTVPKLYSCYSSQIHKRVGILGDRALDAWKSCPRKKLVAGTAVTMFWNMFSVKTRVMAAFVSLVILRYNQKYRKADINPRVESRQEDEGQKMELDE >PVH66992 pep chromosome:PHallii_v3.1:1:55856209:55857186:1 gene:PAHAL_1G401900 transcript:PVH66992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYSQEYPIFLEKIKYTSSLYTYTYKVGHVSSFLELNLAHRSKSLNRSPPAPCPRTTPSLSRGTHARGGAEAERSTCRTAASPPNP >PVH66421 pep chromosome:PHallii_v3.1:1:44177261:44177743:-1 gene:PAHAL_1G240500 transcript:PVH66421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPYEIKFIILSQSDFFLGLSFYNDCSRLDLKNGCYCWLIVIQIYMDIGIGAMCEGGNCFYLVNKESTVDSIRKNHFTVSQYRMAFETARVMKTETSKLKNIISASFKPLSLTIPIGDGFHESFPFSHCHL >PAN05886 pep chromosome:PHallii_v3.1:1:14802074:14813938:1 gene:PAHAL_1G144200 transcript:PAN05886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPESVEVLEPQPLEVIAPEVNAKKRNPVPKVRVLGRRIYDPENGKTCHQCRQKTMDFAVACKQVKKKGPCPIKYCRKCLLNRYGENAEEAEGKENWICPKCRGICNCSFCRKKKGEMPTGIMAHIAKASGCTSVHDLLEKGSDMVAATQAILKMNGSDKHQGTKRSRETDAADKVAAKRDESVGIDLNTVPGGEGNENIGVDLNARPSVCIKKRRKLQHGVKKNPADERSHGGDSNEPLPRNENPDVLSNNIALPRGTPVTNIAGAELDNEDIGAAIQFLEFCRTFAEIFQIRKEHPERILQDVVGGRELRLVSSVVAEFHIKLLSVIQEEKGKKPLAYTRDGDAWVVDVGKYISESAFTSKELPHDCLNQGVSGYKNLSPSCKLRVLNFLCDETLSTDKLRNWIDMQNDVAAEPMNAAREKARTAKEKEKELKDRLKDNMDKSMLSPNETAALTSEENKDLISQIKEAQEVKRSAISDMAAIAKQGGLWTKPLMVEKGLAYWKLDGYCDNTAILLQEYGDDELLANKDKWFMFTEDEEKVIEEHIARRSRHQMRKRIRV >PVH65729 pep chromosome:PHallii_v3.1:1:4174407:4176066:-1 gene:PAHAL_1G061500 transcript:PVH65729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKKQAPGRAPMPLGKEPAGQALMPPGKEAPGRRDDANRGEMPDNPPPTTNSNPAHSTLTLGEMVTKNPPGRTIAARPRQPAGFSEYEKERAQHIMRNNQIFQRLGIGQLASLLKNVSANVEDDGPQKSGSEYSPHDKEGLEDDDEVISKSVKVSSQGTRGSKRVRPPRLQLERRVTRQNSAATISLTASTEEALATVQTENLNPTADEDELVEVTEQVRRGRSMGKDLDRITRGLGSKICIHVSEGKRRPAVPLQAAKLASEAGIVLRQHRQFNIDTDNKAVKDACIDLLKGGQRQRRYNLKLKYFNGLSQDQVPRTSPVACMSDAQWLELVAMWSKEEHKVLL >PAN08202 pep chromosome:PHallii_v3.1:1:54751034:54753174:1 gene:PAHAL_1G384600 transcript:PAN08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVSITGYKSPIMVPVLLLVCVISGLLASPATAAAGGEEVHLVPAVYVFGDSTVDVGNNQYLPGNAALQLPYGIDFPHSRPTGRFSNGYNVADFVAKLLGFKRSPPAYLSLTPRTSRQILRGLRGANYASGGSGILDTTGDTITLTKQIEYFADTKSKMAASSGGQGSSSVDELLSKSLFLISDGGNDMFAFLTQNRSASEVPSFYADLLSNYTRHVRALHSLGARRFGIVDVPPLGCVPSVRVTSPDGAARCVDGANALARGFNDALRALLANLTASGALPGARYSVGSSYNVVSYFTAHPAAAGFREVAGACCGGGRLNAQTGCTPNATYCSDRDDYLFWDGVHGTQATSRKGAVAIFSAPPRMGFAAPINFKQLVSS >PAN07572 pep chromosome:PHallii_v3.1:1:52256599:52261559:1 gene:PAHAL_1G346800 transcript:PAN07572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKRLMADQLEEWREYYINYKMMKKKVKQYVQQTQTGGKNREQVLKEFSRVLDDQIEKIVLFLLQQQGHLASRIENLGEQHAALMEQSDLSQICQLREAYREVGYDLVKLLRFLDINATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIFKQVGVVAVVGALSRNLAYLQDNRESFPSIYDHPSITIKDPVIEQINHSVQKLTHSTNFMQFLGQHALIVAEDMHSGSDLVDDQSYHFLSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDLNSLTALIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTKFRIYGLTFNQNTLPGWVMCLAWLAYLVWLWISFKEPGHIATENSGNTQSSDSAHRVSGNLEDGLGQPLLLDAKERNDEDVEDNDDNEEDPEESHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWTTSTVAIFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIVMSFSFTPHYSVPQYVTSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGNLGQSRLLNATLLPSLVICVSSIVATFCTYNSLY >PAN08661 pep chromosome:PHallii_v3.1:1:57853955:57857582:-1 gene:PAHAL_1G428000 transcript:PAN08661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MASSSAPSQVICASFNQDNSLFSVGTKEGLKIFDSRNGRLCYENNLGGLNIVEMLFSTSLLAIVGTGEQRAMSPRRLCLFNTQTKQSKRDLNFKTSILAVRLNMKRLVVVLQDRTFVYDLNSTTILEEIETGPNTKGLCAFAPNSEECYLALPASTSKGSALVYKTSEPELICQIDAHQAPLAAMVFSSNGMYLATASEKGTMVRVHLVTQATKSHSFRRGTYPSTIYSLAFSPSVDLPDVLVATSSSGSLHLFFLDAARNGRRQANTLLSSVIPGSVTDALDPANHHVIHNVVPADIKSYLAVQSVENSQNSSKLPALKTVIYIVTQDGYFREYVIGTTKSNESSWLLEREFNFLDSGLSTLKHNEQHID >PAN06661 pep chromosome:PHallii_v3.1:1:47868746:47869177:-1 gene:PAHAL_1G279400 transcript:PAN06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSVSRTKIVGEALVVVVALLVVGAAEGQILPTPCCRIDCCDGRPECCDAGPWMAPAAAAATAPPPADARARPAGAAREVGGGN >PVH65561 pep chromosome:PHallii_v3.1:1:1831376:1835865:-1 gene:PAHAL_1G025700 transcript:PVH65561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAVSAPGGNHARRRQWRYTWEALAHLPLLRLYVFPCPALPAAIPSGGLRADLRLEDSLLLLSFSLAGEGAPVALRVPVPRVLVDPAAPVECRAAGDHVEARLALVIPVDHPVVAAAFPPLHGGEPPAPLAVRDEMMSLSTGDVHLYCKNCSARLTKQPLRKIMEMPSVNWEEVADNWFGGCCTSFGGAGEKLVAQFINAYGRLEGTSLLDTTAITIETDYLVADLVAQVACSALGSDFVDVKEATSEVSAGKDHTTGKIKLKISEEQANITAAHARPPSIFDEGPIVSSSETDGDTLQTDQSGTCQMEIDIDLNFEKSKNDCCVENMDESNKEVDLSLVDPCHCCCANEYSGKAEGKPSQMSSVNQKKQTVLETKRDYKLTKTISLGSSFIVKASNLLNDFEWVELLCGQCSSPLGSYPSQFSLVPADGRVRLFKCYTSTELPVTGTHDVFRGHTLERVFVNLLLEVAEDEISFRTVVRDLKTKRPILQLVLLSSKAWLASGCCYENDIDGSHGTADLQPSVKLLYSDYSNASEADVRIVEEWSSKYRAEELYMMKRQIDGLAECLSSAMENFPVSCSSLEGMHLSSLRR >PAN04658 pep chromosome:PHallii_v3.1:1:5705271:5706968:1 gene:PAHAL_1G081900 transcript:PAN04658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEGLAPPTAPITTGQARSNAGAMSRGGRYFIYRPPLESDPSGAVANTAGRRAAQVSTTGFPSWRRVPASQVEGSVVNCRRRNSQRHGGRRRCAQFDGRLLDLASGHVFGGRAFHRTYGRGGGGAAGRSVRTGVDVERVAARHDSSDRHGGHRAAARPAACRRCAAIGAW >PVH66570 pep chromosome:PHallii_v3.1:1:48060664:48062478:-1 gene:PAHAL_1G282600 transcript:PVH66570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGVRGRPCAPEQAAGRRAAARRQRIEAACGRCSGTAQRNGRPGEALRAGGGRQQEGRRAPPAGRSRLRPVQRNGRAAEGGPARRRVATCKRATRCGCDCRLGAWGCRQGVIWSFPVPFQLI >PAN08134 pep chromosome:PHallii_v3.1:1:55171970:55175448:-1 gene:PAHAL_1G390400 transcript:PAN08134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAASRSVKEMAAAAVVLAMLLSSAAAAAAQHDYGDALHKCILFFEGQRSGRLPPDQRVRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHMEEARKAVRWATDYLMKTTAKPNTVYVQVGDAFRDHACWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRESDPAYSKRLLDRAVAVFEFADKHRGPYSSSLHAAVCPCYCDYSGYQDELLWGAAWLHKASRRREYREYIKRNEVVLGASDAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCTLLPGISNHPQIQYSPGGLLFKVGSSNMQHVTQLSFLLLAYSNYLSHAGGRVSCGSSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRASSLPSVAAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPSDASDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAESGGD >PAN03630 pep chromosome:PHallii_v3.1:1:1065423:1067985:-1 gene:PAHAL_1G011800 transcript:PAN03630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSPLAALHSSSFASSTPSLPCKLAAPPRRRRPYTRIRAIDLDQNTIVAISVGVVSIAVGIGVPVFYETQIDNASKRENTQPCFPCSGSGAQVCRFCAGKGIVTVVLGAGETEESKCVNCDGIGSLTCTTCQGSGIQPRYLDRREFKDDD >PAN05025 pep chromosome:PHallii_v3.1:1:8809964:8813460:1 gene:PAHAL_1G113600 transcript:PAN05025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSQNGVPRQRPAKIETIHGVARAGDLSGVQRMLRENPALLNDKNPVMCQTPLHVAAGYNNTEIVKFLLNWQGTEMVDLEAKNMYGETPLHMAVKNSCCESTNLLLERGAHIEAKANNGMTPLHLAVWHALQAGDCSTVSVLLSYNADCFAKDDEGKMPLNHIPGGEGSEKLLKLLSRHMEEQRKRKALISCREGKAMSEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKLQDAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFSTTELAEILHMKMKNPTESSLLYGFKLHPSCSIEVIGELIGRETTEERRKQMNGGLVDTLLINARENLDLRLDFNCIDADTMITITLEDLEAGLQQISRQQQLQ >PAN06767 pep chromosome:PHallii_v3.1:1:48342426:48346269:-1 gene:PAHAL_1G286800 transcript:PAN06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVVEQIGRGAYGSAYLVLHKTERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSVCIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTRDNNIRLADFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAQRPAFKATDMAALVNKINRSSISPMPPIYSSALKQIVKSMLRKNPEHRPTAGELLRHPHLQPYLAESCSCSPIYLPVKPTKSNLGDKQSRKPSNGRKRTVKANGSNGSLETATEHTVEGRDSFTNFSDASTIGTQEALILQMPGDLDARNKGPQSSDVLSLQRAEENLMQTTDRQIVSPICLKAIRTSNVKDEAPVGASDQKPEEAPIPNEELTIGVVQEERKDVKPRSYQVLKPGSGDTTMTEESSPISTLKLAHTESAPAEWDHLNIVQQRADALESLLELCAKLLEQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMSPPKFGESPTKLL >PAN04057 pep chromosome:PHallii_v3.1:1:2615977:2618370:-1 gene:PAHAL_1G038300 transcript:PAN04057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRDLTTLNLEGNKFTGNIPDSIGQLKRLEELHLDNNNMSEELPSSLENCTNLRTIDLKSNNFSGDLVKVNFSTLYNLKTLDLLYNNFTGTVPESIYSCRNLTALRLSGNNLQGQLSPRIGNLKALTFLSIGRNNFTNITNTLQILKSCANLTTLLIGSNFKGEILPQDDTFNGFENIQVLDIEKCLLSGNIPLWISKLTNLEMLVLGGNRLSGLIPMWINTLNSLFYLDLSNNSLTGEIPTALMNMSMLASDATAAHLDPRIFDLPVYGSPSRQYRILVAIPKMLDLSSNKLMGVIPPEIGQLKALISLNISFNNLTGPIPTSICNLTKLQVLDLSNNNLTGAIPSELENLHFLSTINISNNNLEGPIPTGGQFSTFQNSSFDGNPQLCGPMLGRRCSSADAPLVPTKGRNKKAIFAIAFGVFFAVISILLLLGRLLITIKVKRLTAKSTIEANGDVETTSPNSSQEHTLVMLLGSKAEENKLTFSEIMKATNNFDKEHIIGCGGYGLVYKAELPDGCKLAIKKLNGEMCLMEREFTAEVEVLSMAQHDHLVPLWGYCIQRDSWFLIYSFMENGSLDDWLHNRDDDASTFLDWPTRLKIAKGASHGLSYIHNVCKPHIVHRDIKSSNILLDKDFKAHLADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGSVATLRGDIYSLGVVLLELLTGLRPVSVMSTSKELVPWVLEMRSQGKQIDVLDPTLRGTGHEEQMLKVLEVACKCVNHNPSMRPPIMQVVSCLESVDDGLQSEKSVKTWRSTATSQLNMVTS >PAN06170 pep chromosome:PHallii_v3.1:1:44371711:44373817:1 gene:PAHAL_1G242700 transcript:PAN06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G48560) UniProtKB/Swiss-Prot;Acc:P17597] MEIHQALTRSPVIANHLFRHEQGEAFAASGFARSSGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDIDDIPRVVQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTPMSLPGYIARLPKPPATELLEQVLRLVGESRRPVLYVGGGCAASGEELRRFVEMTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGMNALLEGITSKKSYDFGSWHDELDQQKREFPLGYKTFDEEIQPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFLMNVQELAMIRIENLPVKVFVLNNQHLGMVVQWEDRFYKANRAHTYLGNPENESEIYPDFVTIAKGFNIPAVRVTKRSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDGDGRTVY >PVH66720 pep chromosome:PHallii_v3.1:1:51050890:51052873:1 gene:PAHAL_1G328000 transcript:PVH66720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRLNKGRKENGLVSFVSLPPGFRGERRRASTPQVCVCSCQKPKTSSLWPVHSSLSTAILPLGRSKREPKPTPPATLAPISKPEPTPRELSSIDATMASIGRRVLLLALLPPLLLLLALRRAAALPAPAVTFPGDKAALAALKSAVAASSVPPYSCLASWDFARDPCAAFPCGIRCYAPATAANSSSYLRVMAVALDPAGYAGALPAAVLSSLPFLASLSLAGNRFHGTLPEGVPLPPALRVLDLSGNAFSGAIPASLFTASSGLQELYLSGNAFSGGIPPQLALLGALARLELQHNGLTGPLPRQLGAMRALYHLDVSGNALSGPPLGAAPGLLPPSLLSFAARNNSFSGPLRAAALGALPALRVLDLTGNTVSGAVPGAALAHPALQQLRLGSNRLDAVEEAPGGGSASQLVELDLSGNRIAGRLPGCLGAMPRLAAVALDRNRFTGGIPDRYAVRAAAEEATDQWVPFARLMLQGNYLCGALPSQLRQLKEGGAAVSLADNCLPRCPRKFFFCQGAPQKDHATCPKCETSFHREDALLRMP >PAN08046 pep chromosome:PHallii_v3.1:1:54613785:54619109:1 gene:PAHAL_1G382900 transcript:PAN08046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSSASNKRKRRRGRKAKASPPSPDRSSPSPAPAPVAAGRRGRKPRRHEAPADADAARPPSPPRRGEPKPVANGGDAVAVAVSEAAPASWDEVVRVVPCMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLKHEDAENIGYVIPTPVITHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKSMGMKPDQKGVRVRRVEPTAPESGCLKPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRDSKVHEFKIRLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVTMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKA >PAN08459 pep chromosome:PHallii_v3.1:1:56773826:56776545:1 gene:PAHAL_1G414600 transcript:PAN08459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSTLSFPVINMEKLQTEEKPAAMEVIRDACENWGFLELLNHGVSHELMDEVERLTKAHYASCREPKFQEFAARTLEAGEKGADVKDVDWESTFFVRHLPASNIADLPDLDDHYRQVMKQFASEIQKLSEKLLDLLCENLGLEQGYLKQAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVDVPPVRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVAAADEERAGAAYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSEIATA >PVH66153 pep chromosome:PHallii_v3.1:1:21229802:21230473:1 gene:PAHAL_1G164200 transcript:PVH66153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKKEHEQYVASGEEPPFDIEDLLRHHAPSPPKTEVPALPSSSVPAKNLSEHSAFPPEDG >PAN09122 pep chromosome:PHallii_v3.1:1:59738653:59742327:1 gene:PAHAL_1G460200 transcript:PAN09122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAARPDEEEARRRRSTDCIYFLASPLTCKKGSECEFRHSDAARMNPRDCWYWFNGNCANPKCSFRHPPLDNLLGAPTTPRAPQQPAQQVSVPAQAHGSIPAKQGVPCYYFQKGMCAKGDRCAFSHGPQPAGNPAPQPPPAAKIFAPALQPNSQLKNSWTKPNSSGQQNTPAGIPDKSKLSTHDAKPVQKQHVTSRVDHSSRTYQNHSNSYAQSGTTKHYQPQPSVQDGLNENGMEAGEFVREPSAGSGVLVGGVDDDSEQSFKGNRSSYHHHTSHGGYAPERSYRSSAERLSSDKRISEQEPMPAVIAGSSDLRHRLLKQRRLNNNLGSTGAPDMNDSCLEGERNDQRRWRGEEHDGSLPRSRLRDRIRLPGATSFDRHGSRSEKEWDRAPRGRLSPPEHSDLRGKLHERLKVRSAEEIPVNSVKSSVVKASSGEDAESLNFAGPKSLAELKAKKGGASSSQGEAIVKGVGLSRVTSGIISSREPAPFEGPKPLSAILKRKREVASEDAAAHFGSIQEEDNAAGVDEESQILADDTVEENMEGNTAAEEEGEEEAFHPEDDVAYDDNADEATGQELEEHQDVETAGEGEDSDYEAADTNAAAGQELEGHQDAEAVTEDYDYEAADANANSNAAAGQDLQDVEAAAEDYDYEAADVNAEEDNEYHEYQDDDDDLEDDDDDDFARKVGVMIS >PVH66346 pep chromosome:PHallii_v3.1:1:36642917:36643147:-1 gene:PAHAL_1G216200 transcript:PVH66346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSSSPLLRKSEPPVQVQDTIITAWTIRQPTRNRNDPIARAELYQLSYIPPEPSGVCMKESDASSILFPGVAGPS >PVH66271 pep chromosome:PHallii_v3.1:1:28443709:28444222:-1 gene:PAHAL_1G191000 transcript:PVH66271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYTINDDLRACGLPGDDKDDLASSGEVLFDSSAAPINVDGGDDAGAVGGAGAGTPGQSMMPSSIPSVNTGTSIRGKRARSGAWNDFEEIFETLPTGKKVRVDAKCRHCSHVLSGHSSTGTGHLLRHQKVLIVLSIHGSISLMLLVVSCVV >PAN06456 pep chromosome:PHallii_v3.1:1:46891908:46896402:-1 gene:PAHAL_1G265300 transcript:PAN06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane-bound ascorbate peroxidase, Tolerance to bacterial blight, Response to NaC [Source: Projected from Oryza sativa (Os02g0553200)] MAERLAASLLPGASPSPSARRATVAAAAAAASFPSSCPARAGLRLVSSRRSARFPKAAGRRGGGALRVVRCMAASDVAQLKSAREDIRELLKTTYCHPIMVRLGWHDSGTYDKNIEEWPKRGGADGSLRFKPELNHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAPEQCPPEGRLPDASPRDPAEHLREVFYRMGLDDKEIVALSGAHTLGRARPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDMEFLSKLPWKWQKGQDLLILPTDAALFEDPSFKVYAAKYAEDQEVFFKDYAEAHAKLSNLGAKFDPPEGFALDDDTSDEPAEEKKEEAAPTPEPEPEPEPEPEPEPEPEPEPAAAAAPPPPPPAPAPAPEPLPEPAAAPAPEPVAAAVATATADDNNGAAPQPKPFFAAEYSYAKRELSDSMKQKIRAEYEGFGGSPDKPLQSNYFLNIMILIAGLAFLTSLVGN >PAN08184 pep chromosome:PHallii_v3.1:1:55383767:55386499:-1 gene:PAHAL_1G394000 transcript:PAN08184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHKSNPCSPKRSAAIVTPVVVLLVLALVSVYDMTFSNRYPHIDRVPASSSSSSLPSPANYSSSAPPATTTESCDLTRGQWVPDDEARHYTNLTCPFIDDLQNCMKFGKPSLEFMRWRWRPDGCDLPRFDAARFLEAMRGKSMAFVGDSLARNHLKSLLCLLSKEAQPVEVRTSPEIDVTGRAVRRDYHYSNHGFTISLFWSPFLVKANLSNATLGQWDLHLDTADSRWAAHIADFDYIVLSGTNWFLRPSVYREGGRAVAHNGAAATAGNLTKLPVPRAVRAAFRTALGAIAAREGFRGKAVLRTVTPAHFENGEWNTGGDCVRTRPFRRGARALGAVEAEYRGAQVDALRETTEAAARRNGAELLLLDITEAMHLRPDGHPSRYGHPPGSSVEGSFVVDCLHWCLPGPIDLWSELLLQLLIAHPS >PVH66043 pep chromosome:PHallii_v3.1:1:11487183:11488337:-1 gene:PAHAL_1G133000 transcript:PVH66043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGIMVVLLRNILGHAYSNNKEVTKYTHRMLPFVAASIILDCQQCALSGVVRGCGWQKRGAFINLAAYYLVGIPAAVIFAFVFHLRGMGLWFGLLCGLVVQTILLLSITLCMNWDKEALMAKDRVSSSTPPVPAEMSTLNKSMEV >PVH66815 pep chromosome:PHallii_v3.1:1:52889179:52891664:1 gene:PAHAL_1G356500 transcript:PVH66815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEPSSSAPAGEVGAGYWAAREEAAARLEAMAAAARVDDDLSEEQFQGNSQIQEDELLALQAIYGDDMVIFDNMDGLRFFQVTSESESHPDSPPVQTGAVSANTVMHTSLLQIFLHYQLQGDIQVYMNVGTNETTDTRDEGDDEDADDGLLYACSLQHLPPITPTCLLPRSYPRPLLQLPGQEVVFRWADWLSGLSWSCIASDDQMVLGPDTSSAGADERAIGRSLILDSTIPLMHRYSEERSQETFDQSVHECGVCLSENTGADRLSPGSIQNFIRLPCNHSFCVKCMESYCGIHVKEGSVTRLECPDTSCRATQPPPVLRRLLADEGYARWESLALRRTLDTMPDVAYCPRCNAACVAAGRPATTRSAPRDSSPSACSAGSGATSGTTASPRRTSSTSCCTRQCPSCRMAIAKTEGCNKMVCHNCGKFFCYRCSRAISGYAHFANGECGLFERVGRGRLPGQQARMDNQDLDEDVEIKEPGWMRALRYTCPTCGAKRTKAGANNLLVCRACQTRYCALCWKRVWEVSQHCGPWGDCQQRS >PVH66062 pep chromosome:PHallii_v3.1:1:13170804:13171057:1 gene:PAHAL_1G138900 transcript:PVH66062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQQKPCLFKKGPAPLPYSCQICSMRSFCKQLDTTLSRILEYDLINSEISGTSLF >PVH66373 pep chromosome:PHallii_v3.1:1:41891475:41893029:1 gene:PAHAL_1G229700 transcript:PVH66373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNTAPSGVDSADEVLHEFGIVRIYKSGRVERPLVALPVTPGLDDATGIKSRDVHLGAYSVRLYLPPAAVTAPSARLPVVVYVHGGGFVAESAASPGCHRFLNRLTAACPALGVSVEYRLAPEHPLPAAYDDSLAALKWTLAAADPWVAAHGDLDRVFLAGDSAGANICHYLAVHPDVVDAQTQHQGQGGSARARRRLKGAVLIHPWFWGSEAVGGEPRHPAARAMGARLWLFACPDADGMDDPRMNPMAPGAPGLGTMACERVMVCAAEHDFLRWRGRAYAEAVAAARGVEGEGSVELLETEGEGHVFYVFKPDGGKAKAMLDRIVSFVNAP >PVH65563 pep chromosome:PHallii_v3.1:1:1851816:1853579:-1 gene:PAHAL_1G025900 transcript:PVH65563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQISNSAGPQEGKGRQGRTGSADSDMDVAGLPSDILVEVTASIATRSATPLNDIVNLRRSCKVFRDATAARKVGRCMAVHREWRLHWWDKARFLSVLRRCAASSNPEASYILGLEEFCNRRKASGLRHLLHAMEHGHAAAAYMMGMITLHDSLRSPGGAEQALERLDCFSSAPASSGPSRTRRRMASVRREAVSVMRRLTLRRWRMPEPPTPCANPWCGKVESKRAEAWDGDGDDERWFCSQICWWKHEYCKFTDKI >PVH65736 pep chromosome:PHallii_v3.1:1:4450050:4451204:1 gene:PAHAL_1G064900 transcript:PVH65736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIPAAFFWPPPGNSLSTDASTPVAMRHGLEPGTLNVYENTVLRFLYGYVPKPPVSTAATLSGAAAPEEGEGIDRISVLSDDLLRRILARLPAKDGARTAALSTRWRGLWRSAPLVLVDTHFLPRGGAEGRPPRPGAVSRAVRRAVSATLRAHPGPFPFVSLSCGFMEAVDRERAVLARWFQLLATKRVDELVFVNRPSPLAGLHLPAALFSCASLRRLYLGAWRFVDTATLPRGAFPRLHELALGAVALEDRDLDFLLAASPVLEILAIVGSVHKLNARLASHSLRCAQFCLAILEEVAVVDTPSLERFFIWRCLNQRHAGRVKIGHAPRLSMLGYLEPGVHVLEIGNTVIKVSISQFPFLSFVLSIIGMHALEISANRGA >PAN05098 pep chromosome:PHallii_v3.1:1:8957206:8959935:-1 gene:PAHAL_1G115100 transcript:PAN05098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKLPAIILSSICLLVLLNASHVESARTSGSFHWYNGLFVFGDSFADTGNFPKADLSEVTRQWYKPYGCSHGFLRDPTGRFSNGFVQSDFIAKILGRTKAPETYRDTKENDGDKFGVNFAVGGAGVFEVPRKAPTLAKQISSFKKMLDGGDIGKWQLKESVALVAISGNDYARVANMSSEREILDFIGNVTDEIAGGVERLQKLGVTKVLVNTLHPLACTPWQARPSNYTKCMGRGNMAALLHNDDLEKKLNATSRDSVYLLDLNWAFTNIIDPSDPHDIPRVSKQFKSKLKPCCESFDPNGYCGQVDEDGGALYSVCSNPEKHFFWDDVHPTQAGWEAVMEQLEKDIKDFLHVTY >PAN06715 pep chromosome:PHallii_v3.1:1:48075468:48081861:1 gene:PAHAL_1G283000 transcript:PAN06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQVEAMRKEFARIMLNMAQESAARVLAAERRAAALAAGLEAAKEDGVAALLRLKAIMEARVKEVELESSVHVKRIKELVEQLHGAQNTMASLKVELHQANDELEQTRRTLAEARINGLPTYKEADSNKDTSPRSKIHQQGGSILLKNKKNAEDCDDACLVPIAAKENGAVEKLDINRCSPDLPSFMERNKKPKFNHNGCTQRIHALKQQAQGTDASVKQNQKQATVLNSCSKTRRNNDAKNPCHTRSIMEEILQTKFLGKYKRKRDRRSKPSCKFDNSTSEHGEAEDKLSDTSEGNGCLLLLQALEQELSPPKISAGHAKEALSDMNKDLKIGRRDAGLNQGIAFPELLDVLAMNNMQVKKRKRTKTIRVLEDEFSDSKSVPRSANTLLRTTIDKSMSDNELISEMTENCSDTPAKDNGPSLKYANENFMHRTTADNGQFYPEKSCAVILVSTKSEAVDYGNLVVDQLQQRTPNTNTTSRKEVSEEGSCSLASHKAGASTVISLDKEEKLKASSGLPMQALDKTDASIGISLNKEEHAKTASGASMQTEGARHIKYTFNRRKRKCVSIYSTPQCAVPEKSSDLGSPPNKQNPHLDPVMQNHPMGSPQGNNNLVHVAQQLILLSERK >PAN08923 pep chromosome:PHallii_v3.1:1:58874145:58878175:1 gene:PAHAL_1G445100 transcript:PAN08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILSTALCHTDHYTWSGKDPEGLFPCILGHEAAGVVESVGEGVTDVQPGDHVIPCYQAECKECKFCKSGKTNLCGKVRSATGAGVMMNDLKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSIVAVFGLGTVGLAVAEGAKAAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVLIDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRTHVPWLVEKYLKKEIKVDEYITHNMNLTDINEAFHLLHEGGCLRCVLAVQD >PAN04912 pep chromosome:PHallii_v3.1:1:7391203:7396979:1 gene:PAHAL_1G101100 transcript:PAN04912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRAASCLTRRPQMQPSRLQAARAMASSLFGHVEPAPKDPILGVTEAYLADPSPDKVNVGVGAYRDDNGKPLVLDCVREAERRIAGNLNMEYLPMGGSVKMIEESLKLAYGEDSELIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTYTYYHPESRGLDFAGLMNDIKNAPDGSFFMLHACAHNPTGVDPTEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRVGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRTALKENLEKLGSSLSWEHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVGYLANAIHEVTK >PAN06318 pep chromosome:PHallii_v3.1:1:45933626:45935357:-1 gene:PAHAL_1G255300 transcript:PAN06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRAVGGALVPRPAWAALAEAVARRMEGLGGAGRAPRYFSDKASGRVLSEEERAAENVYIQKMEREKLEKLRRKADKDKAEAAKRAAAAKGDKKKGGEEAHPS >PVH65783 pep chromosome:PHallii_v3.1:1:5211253:5212749:-1 gene:PAHAL_1G076100 transcript:PVH65783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLGDAGPQGHHLACINYCAGHATAQRSELQGAPHTPPRTRAAAAQLSRAPMADAGGEAGGGGKGEEPGREIPDEIAGEILLRLPSRSALARAAAASGGFRALVSSPRFLRRHRALHRDPGALLGVFTFSLSRDGAGGDGTGFHPAEPPHPAAEAARALAGAADFSFGFLPADPSATDGAGDEGWMVRDYRDGRFLLDRVATGTERGTVFTELAVCDPLSRRYVLLPPIPEDLADTVDGVLNVFGGRRACEPFLGPAEPCEPDAERPPFTVFWTARCQRKVAAFAFSSRDGRWRALPSPDCFIWRRHRSPFSCPMNTVWNRRHYAHGRFYWVDCLTNRWLVLDTHTMELALSVIPSPVGYWEEHVAVVEAPDGKVGVFAHDFHHPGGKADLHYYTIVQEADSPQWQLEKTIPLPWPAAYHRPFCVRGTANGCLILEVSEEKPAFMASYRVRDAELFKIDVRSFQLEKICRARCAGGAAGQCCWPYFGFQPSLSLPTV >PVH65599 pep chromosome:PHallii_v3.1:1:2366546:2367001:1 gene:PAHAL_1G034200 transcript:PVH65599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIIPFESVLARHALTDGCRSNNCRSVQGCPGRPIACSRPSHPSALCPRRQQPGAEKPRRRVIPARLPPFISVSSSICREAAAATPPPPPPSRSHRRSALLLAPSRRGRHALAPYSPPRAPSAASSAHRGRTRSPHLGGRAGRSSARPCRSVA >PAN08339 pep chromosome:PHallii_v3.1:1:56264247:56268223:1 gene:PAHAL_1G405500 transcript:PAN08339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPHSSSTTSAPHRASPPQIPQSLTLAALTASSASPSSSSASGMRDAAEDDSDSPPSQMSEDDPGGGGGGGDRWEPDLRGGNGGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAAERFGGLRSVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTVSDDDLALVAKSFPFFRELSLVCCDGFSTVGLAVVAERCRHLRVLDLIEDYVEDEDDELVDWISKFPESNTSLESLVFDCVSVPFNFDALEALVARSPALRRLRVNHHVSVEQLRRLMARAPQLTHFGTGAFRSEAAPGGGLSVTELATSFAASRSIICLSGFRDVNPEYLPAIYPVCAKLTSLNFSFASLTAEELKPVIRNCINLRTFWVLDTVGDEGLRAVADTCSDLRELRVFPLDASEDSEGSVSDVGLLAISEGCRKLESILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDWITRDPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQYIFEGCTKLQKLEVRDSPFSDKGLLSGLNYFYNMRFLWMNSCRLTMRGCKDVAQQMQNLVVEVIKDHSDDEGEAEIVDKLYLYRSLAGPRNDAPPFVTLL >PVH65874 pep chromosome:PHallii_v3.1:1:6670913:6673169:1 gene:PAHAL_1G093800 transcript:PVH65874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAEDCVTRVCALAIATAACVGLPGALVYAIVRTAAARRFGATFALSVVLVFWVTVSAAYYPRVCADVVRGSPLVRRVRGRQRAPPRHPRGGALSQLSSAAAERQGGRGGMTTLPREQSPPPVRVIADGTLAASYERQRNGALVTRRQGEGRDGGMTAVPQEPPAARGGEWVVVADDDALLEYERRAARAPRAHGETSERCAVCLCDVEKHGETATFLPACLHVFHQHCIDQWLHLHGHPTCPICRSDAFVAPPLPPQGGHGGMTALPRALPPPPPPPPPPVRGGARVVVGTLVASYERQRNGASLVPPPFVARGRQEDHHRVMTALPRAPPPTPPPPPPVRGGARVVVGTLVASYERQRNGASLVPPPFVARGRQEDRHRVMTALPREPPAARVVAADVILASSSYEGRQPDDGETSERCAVCLCDIEKHGETATFLPACLHVFHQHCIDQWLHLHGHSTCPICRSDAFAAAPPPEQMV >PVH66472 pep chromosome:PHallii_v3.1:1:46038939:46039756:1 gene:PAHAL_1G256600 transcript:PVH66472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSLHHGRVAQLPPTFCSSAPGFARAGVLQKPLSGEPLSRSNYLQKDEAKCNAAGGQWQRSMLMGHDLI >PVH65547 pep chromosome:PHallii_v3.1:1:1589549:1591038:1 gene:PAHAL_1G022000 transcript:PVH65547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSFSAFNKFGLPGLSTATTKQVYERHFKTKATGKFEDFHIAYVDFCKYFNTIMPGQDFDTPTREEIEEFYYKTWVPAPEDERKELFFYFMKQKVHEAKVDDRFFIAAGLAAPAAAVIGKRASGQIPYVKSLRLDMVPNVVFVPAVTLFGIIGATMWRMSSRSAAAKEEVKKDDKRKEEVKQDEKRKEEVKPKAP >PAN06589 pep chromosome:PHallii_v3.1:1:47516938:47521185:-1 gene:PAHAL_1G274400 transcript:PAN06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPRGRNLQARQRRSASSDVPSCSWKAKETGKENDMSVTSEKNEWKGATCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNYRHSNCLEHFKEAYAKEKMALGVSAESAPSLPLSSNTQPASKQPCAMELACPLCRGEVKGWTVVEPARQYLNRKRRTCMHDGCSFVGSYKELCKHVKSKHPSAKPREVDPAIADEWKKFECERERQDAISTIRAMNPGAVIMGDYVLELNGGGNSHLPIDGDNFDLEERLNFFTSLDRTLNERIDLYDSSDGGLDEGFDFLASLFARGRRISTGDSFSRAYRRHRERPRRSTSTVDTSDIQHDSVNTQRGRTGTVRAVGRTPRRHHPMVAPTRPTRGS >PAN04451 pep chromosome:PHallii_v3.1:1:4652291:4654841:-1 gene:PAHAL_1G068000 transcript:PAN04451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALLLRTHHHPCKPPPPPAAHAPVLCRAAAGTSAGPASAAALRSLAPPTRPELLSLDLPRYDPSRARPVDLAVVGGGPAGLAVAQRVAEAGLSVCAVDPSPALVWPNNYGVWVDEFEAMGLSHCLDTVWPSASVFIDDGRVKSLDRPYARVARRKLKSTMMDRCVANGVVFHQAKVAKAVHYDASSILICDDGVSIPATIVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDGHPFDIDKMLFMDWRDSHLPEGSEIKERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGNGTAGLAGDALSAEVWKQLWPAKRRRQREFFCFGMDILLKLDLEGTRRFFDAFFDLEPHYWHGFLSSRLFLPELLMFGLALFGSASNTSRLEIMAKGTVPLGKMIGNLIQDRDG >PAN04847 pep chromosome:PHallii_v3.1:1:6863802:6871190:-1 gene:PAHAL_1G096100 transcript:PAN04847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRDPDAAPPDPSRRRPDAVEWLRSLFPDVRLPPQATDDDLRAALANGRLLCALLRRLLPGALLDDATSDNLGRFRAAVERMGVPSFSAYDLERGELSAVVTCVLALKDRFSSRLDEDHRSSTFLTRCDSEGGRRNMESKLQRVLSSPVMSEPYSPSFGAEAYSPSRVFQPKQGYSDLPGCKISDLMKSSSLENAPTQSLLGVVNSILDESIERKNAQIPYRTACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALACGARGQTHVERDKLEGKGQLAEDDMARLMQYEEDLVRLMKEKEDMIWLLKEKEDMIRLLKEKEDMVRLLKIKEDTGNLNNDKVDRLLKEKDDTVVRLTKEKDEMVRLLKDKEDIIRLMKEKEGMVNVKDVSVEDTQRATDESKRLLKEKDAIVVQLTKEKADMIRLLKEKEVIIRIMKEKEDMVNLAGGAVSDRKQEIDDDRDRLIKENNDALARLTMEKENITKLLKEKEDVIRLMKEKEDKAVMKKDNVEDRKQATVEDADRSMEEKGDIIRLMKEKEDYSNTIMKLKQELQSLRSSHEESCKLLESKKGDVVKLLTDKEMNENIILKLKQELEATKKLHEAQSQQLETKAAKVSKELEQRIKEIELMLEDSTKRRIELEESAESRIQFWKQKQIVVNKFVGLQIKNAQDLRLSSVSIRHEILNCQKRWFEELAGLGQNLKVVTNTAEKYHAALADNRKLFNEIQELKGNIRVYCRIRPFRPWEDEKSTSVEHIGENGELVLSNPTKKGKEGGKNFTFNKVFGPTTTQDMVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPENADEKEWGVNYRALNDLFNISHDRRDTIKYELGVQMVEIYNEQIRDLLGTGGSQKKLGIQNTTQPNGLAVPDATMCPVNSTSHVIELMQTGHNNRSMSATALNERSSRSHSVVTIHVQGQDLKTENTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVLSYTETLSTLKFAERVSGVELGVARINKEGKDVKELMDQLALLKDTISKKDDEIDRLQLLNSSNSRPKSTKHGDSLLKHSSSSPGMTSLGKVATFGSGAASDLDNFSDTSDRHSEVGSLLSTDENQQLGQSSANPEVSALGDVELDGRLSDVSDGGISAGAETDSSVNNVVDQEQEKPSSAGKERLVKAVNRVQKLTVPKVGQASSLRPKPRDPSVPKSSVAIATRKSTTTQATAPARTSSTSKRVP >PAN06523 pep chromosome:PHallii_v3.1:1:47200378:47201270:1 gene:PAHAL_1G269500 transcript:PAN06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MATQDPKNSARAEEGGPAAAEPAAPAVKVKVLFFARARELTGVAESSVEVPAGATAGECLARVLAEFPKLEEIRGSMVLALNEEYAPDSAKVADGDELAVIPPISGG >PAN05335 pep chromosome:PHallii_v3.1:1:11328810:11331435:-1 gene:PAHAL_1G132200 transcript:PAN05335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLLAAATGTGKKIGGGEESLAVREVKKQLYLAGPLVAGFLLQNLVQMVSVMFVGHLGELALASASLATSFAGVTGFSLLAGMACSLDTLCGQAFGARQHHMLGVYKQRAMLVLALVSVPVAAVWAYTGEILAWCGQDPEIAAGAGSYIRWMIPALFVYGALQCHVRFLQTQNLVVPVMLSSGATALCHPAVCWLLVRGLGLGRNGAALANAISYLANLSFLALYVRLSPSCKATWTEFSAEAFRGIPDFLKLAVPSAVMVCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTNGFAFMVPLGLGGAISTRVSNELGAGRPEAARLATRVVVLLALAVGASEGLAMVLVSNLWGYAYSNEEEVVRHTARMMPILAVSLVFDGLQCVLSGVVRGCGRQKAGAFINLAAYYLAGIPSAFVLAFVWHLGGMGLWFGIMCGLVVQMLLLLSITLFTNWNKEALKAKDRVFSSALPADTAAA >PVH66249 pep chromosome:PHallii_v3.1:1:27051533:27052393:1 gene:PAHAL_1G185600 transcript:PVH66249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPSLLIDTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNHYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQIHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPGSSAAADEGDAAMQPLTDGNPEDGEREPLTLSAPEEDTPRK >PAN08935 pep chromosome:PHallii_v3.1:1:58896412:58897733:-1 gene:PAHAL_1G445600 transcript:PAN08935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKRVGTATLLPKIFPHPPNPSRPHPTPNFKIIVAGSPLRTSEPPLPGFRRIPLRPLRTRFASAPPPPPFHRIPLRPPVPASLRRRRRPFQASTPSLSVPSPVAIPARAMDVDEVEVGSLYDLQKVVRWEDQSRELHISVKDSISLHDAEKLWSYVSTAGGHGILQNIEMLRGKREALLNIEMLRGKQEARLFVKEKLGELRSWVADIDQQISTLNNQKSRLQADMDAGDRGLRRSYEPVVLDGPTAYLDIDMNVGETMAMYTAESPDTLDRDEVYWGEAAYKAREMSQERALDEFSLAVVNANLRALNTSKARIMQSLAGLEQQFLQEPTYLGAANAEFDTFLDTAVCSRSGDQPGLF >PAN04724 pep chromosome:PHallii_v3.1:1:6036266:6040486:1 gene:PAHAL_1G087200 transcript:PAN04724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAESFLFVLPLLSLVASTDSAVISSAGIAANNASDHLALQSFKSLIRIDPFHALESWGNRSIPLCQWRGVMCGRRGRRHGRVTALDLENLSLVGAISSSVANLTFLRKLHLPGNHLQGLIPQELAALSYLQSIVLFQNKLEGEIPHELGSLQNLKVLNVSYNKLTGTIPWEFSNLLNLEILYIAHNNLTGEIPTWIGNLVKLRKFSLSANQLTGSIPSSLGNLSALTDLTLHTNNLTGSIPPLQNLSSLTALELGYNDLTGYIPSQIGNLTSLVWLDLQSNRLTGGIPESLGNLNLLEALVLAFNNLTGSIPSSIGNLLSVSKLDVDNNALEGPLPPLLFNLSYLEIFSAKENYYLNGSFPLDIGYNLPNLQIFLIDFNQFHGPIPPLFCNSSMLQMFEAASNLLTGTIPNCLGTHLFSLALEKNQIQATRDADWGFMASLANCSDLQFLDLGYNRFAGEIPCSVGNLSTDLEHFSMLSNNLTGRIPEEITNLVNLNWLSLSENYLEGVIPNSVGKLKGLGLLDLGENYLSGSIPPTIGNLTKLSILSLGVNMLNGSIPSSLIGCPLQKLEISYNSLVGPIPRELFSIKTLSDYMRIQNNLLTGTLPIELGNLNNLGELDFSGNQLSGEIPVSLGACQSLQYLNASQNHLQGTIPLSVEQMKGLSVIDLSHNNLSGDIPEFLANMRGLSTLNVSFNNFKGQVPEDGIFKNASSIIILGNYGVCGGIPQLKLAPCSSHNSKKPPLRLIIAMSIGSACLLGMFALFVFFIWKKKTKQNLQEPSTISERHIRVSYAELARATDSFSSGNLIGVGSFGSVFKGKIMINNLLVTVAVKVLNLLQHGAPQSFAAECETLRCARHRNLVKILTVCSSVDFRGLDFKALVFEFLPNGNLEHWLHQPIEIDGEHKLLDLVQRLDISIDVASALEYLHQHKPSPVIHCDLKPSNILLDDDMVACVGDFGLARFYHEDYNVSSEKSSAWARMRGTTGYAAPEYGLGNEVSAHGDVYSYGILLLEMFTRKRPTDSEFGDDFSIHKYVKMSVPDQVANIVDQCLLKGPDDIENITSDYNRTRDAKIACITSILRVGISCSRETPTERAQIGDALKELQKIRERFRRDSLQASSH >PVH67059 pep chromosome:PHallii_v3.1:1:56922514:56926489:-1 gene:PAHAL_1G417600 transcript:PVH67059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAGTRLNHSAKASFDECDTYNPFYQYPLTQEQGPPNYLPGASLLLLHGRVPYQMKPPLPSPAASPSLNPVPRPPPRADRRSVPAPRRIRAAATCSHLSAAEMGDPQRVGPSAPRNQSDCSRR >PVH65849 pep chromosome:PHallii_v3.1:1:6308797:6309383:1 gene:PAHAL_1G089700 transcript:PVH65849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRPKSGQLVPPHSRDPHWGQTAAGTSGLANGADLSKRGRQAGRLRAARPRPLRSALYVRAPCSHGQMNCIDHHSNTCHTYKRIQKLTGLPDQPIGLQCDAMQTIRDQQASDLSGIVPEF >PAN06939 pep chromosome:PHallii_v3.1:1:49035836:49036262:1 gene:PAHAL_1G298100 transcript:PAN06939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERAILSTRNEYVDAVNALITDRFSGKHKVFYSFDSIDDDSRNNYYLGFLNSITPNGLPPHELKVRGCSFRGFQCLFPKTSFFRSNLRGSSFPSG >PAN03590 pep chromosome:PHallii_v3.1:1:684286:686324:1 gene:PAHAL_1G007500 transcript:PAN03590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGAPMGSSLHGVSAREPAFAFSTEAGRPEENEASKFDLPVDSEHKAKSLRILSLANPHMRTFHLSWLSFFTCVVSTFAAAPLIPIIRENLGLTKTDIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFTIMLAAPAVFCMAVIDSAAGYITIRFLIGVSLATFVSCQYWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVYEGILKCGVSPFEAWRIAYFVPGLMHVVMGILVLTTGQDLPDGNLRSLQKQGDANKDDFSKVLLHAVKNYRTWVFVFVYGYSMGVQLTTNNIIAEYYYDRFNLDIRVAGIIAACFGMANLVSRPLGGVLSDIGARYWGMRARLWNIWILQTAGGAFCLWLGSASALPASITAMVLFSLCAQAACGATFGVIPFVSRRSLGIISGMTGAGGNIGAGITQLIFFTLSNYSTGTGIQNMGIMAMACTLPLALIHFPQWGSMLLPPSADADEERYYASEWSEEEKSLGRHSASLKFAENCRSERGRRNAILAGPATPPDSTPEHV >PAN06319 pep chromosome:PHallii_v3.1:1:45935837:45940132:1 gene:PAHAL_1G255400 transcript:PAN06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MAAAAGRKVAVAAVQFACTDVEAENVATAERLIREAHKKGAKIVLVQELFEGHYFCQAQRMDFFRRAKPYKGNTTIIRMQQLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMTLQGAEILFYPTAIGSEPQDGNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGKSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPELYKVLLTLDGEK >PVH66968 pep chromosome:PHallii_v3.1:1:55558190:55559371:-1 gene:PAHAL_1G396300 transcript:PVH66968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAWLCRSRSRSPINSTSPALLRPHLRLRLLLRLLLRLRPSSPLAPSLLVASHITAPAPPPPPPPCSAPRPLLGARCPDPAGVPAPTAGEELRAAGSP >PAN08453 pep chromosome:PHallii_v3.1:1:56734300:56738355:-1 gene:PAHAL_1G414000 transcript:PAN08453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVERHLAPHPWPSNAPPKSFDMFRPGGPGKRRAGPDSDSDDEDNIPPDWRSLYHPRLEVEPPVQDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPVPRLMAHGFITPAPLHYVRNHGAVPKADWSTWTVEVTGLVKRPAGLTMEQLVTEFEAVELPVTLVCAGNRRKEQNMVRQTVGFNWGPGAISTSVWRGARLRDVLRRCGVMGAAAGAANVCFEGAEDLPGGGGCKYGTSLRREVAMDPARDVILAYMQNGEPLAPDHGFPVRVIVPGFIGGRMVKWLKRIIVASSESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTIKGYAYSGGGRKVTRVEVTLDGGETWQVCTLDHPERPTKYGKYWCWCFWSVDVEVLDVLGAKEIAVRAWDEAMNAQPEKLIWNLMGMMNNCWFRVKINACRPHKGEIGLVFEHPTQPGNQPGGWMARQKHLETSESAQGTLKKSTSTPFMNTATAQYTMSEVRRHTSPESAWIIVHGHIYDCTGFLKDHPGGADSILINAGTDCTEEFDAIHSDKARGLLEMYRVGELVVTGSDYSPQSSHADLKAIDEAPAAPPVPLPVSTVALANPREKVKCRLVDKKSLSYNVRLFRFALPSPDQKLGLPVGKHVYVCASIAGKLCMRAYTPTSSVDEIGHIELLIKIYFKDEDPKYPNGGIMSQYLDSLPLGATINIKGPIGHIEYAGRGGFVVNGERRFARRLAMIAGGTGITPVYQVIQAVLRDQPDDDTEMHLVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEDGWGYGVGRVDEQVMREHLPLGDGETLALVCGPPAMVECTVRPGLEKMGYDLDKSCLVF >PAN07300 pep chromosome:PHallii_v3.1:1:50820670:50822543:1 gene:PAHAL_1G324900 transcript:PAN07300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQMVSTFMEITSCESQADAVQHLCSCRWNLDEAINLFFSTGGAGAGAGPSGAPAPIFPNEEVLMEEEEEGDYGVGVGGENGDDNDVRAPIRARVEALYEDDAYYGDGSTAHDDHSYGEAPYPAPVRIEATGWGDAEPGEIEPTGWGEAEPGDGGQAGGENVYGGQFPDDAEQEDSCNNEDDGRMGSSNEEDDGQDSNMSYSDNEMNDDYEIDMEEDDSYYDASLAEDDTEDGEQPRPARRQQQNSLAEMYQLPFDLMCGGSFHDAKVRAAREDQFLLVNLQTRSGVGDFQSQLHNRDLWSDERVKNVVRGSFVFFLVQKRNSYLHLDECAKVSSFYKLEDDQLPAVLVLDPITGQLLDKRSGAMTPDEFMEYVDGYTKSKPSTMSMPKFVKTTSAPAVAGGEQERPAPASAAAAVEQEPAAPAISAPAAEGVEQEPAAPEISAPAGASCSEQNDDDEPMEGEKMYKLRIRLPDGTTVAKEFGCRRRVASLFAFCRSAVQGHCGGEAEQQRAFRIMRFARGGFEALQGGGGETFEDLGLKYAAVSVVFDA >PVH65815 pep chromosome:PHallii_v3.1:1:5826958:5827582:-1 gene:PAHAL_1G083500 transcript:PVH65815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKSSSAAEETVAAAAPARGRRGVDRQAVDRGVAYVLMVAALVATYALH >PAN04582 pep chromosome:PHallii_v3.1:1:5273485:5276983:-1 gene:PAHAL_1G077100 transcript:PAN04582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFCAYGDGGCLVSAPAELSGLFCRGGAVQQRKRALVAASAVAAAAAECVRAAKKQRQLPLPSLDALPDECLFEILRRVPAGRGRGASACVSRRWLALLGSIRASELAQAAAAAADIPALPDLNEEFVMEEEEDDDETPAVPFVERVLEGKEATDVRLAAMAVVAGSRGGLEKLAVRGSHPTRGVTDQGLSAVARGSPNLSSLALWDVPLVTDAGLAEVAAGCPSLERLDISSCPLITDKGLLAVAKGCPNLVSLTIEACSGVGNEGLRAIGRCCTKLQAVNIKNCANVGDQGISSLVCSATASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLTRLATVGERGFWVMANAAGLQNLRCMSVTSCPGITDLALSSIAKFCPSLKQLYLRKCGHVSDAGLTAFTESAKVFENLQLEECNRVTLVGILSFLRNCSQKFRALSLAKCMGIKDICSAPAQLPLCRSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEVTDSGLLPLIQSSEAGLIKVDLSGCKNITDAAVSSLVKAHGKSLKKVSLEGCSKITDVSLFTISESCTELAELDLSNCMVSDYGVAILASARHLKLRVLSLSGCSNVTQKSVPYLSNLGQSLEGLNLQFCNMIGNHNVASLEKKLWWCDILA >PAN04529 pep chromosome:PHallii_v3.1:1:5010766:5017267:1 gene:PAHAL_1G073100 transcript:PAN04529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQVFHRALGLSLVGGLSTAIGALFVVLNPAPNLKMLGLLQGFAAGLMLSISFLDLAHNAINSIGFLKGNLWFFAGVLFFGFIVKFIPEPAFSPQADPSEKKADDGGSGKDMMRKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSVKGLHVGLNLAVAIALHNIPEGVAVALPIYFATKSKWQAFYMAAGSGLAEPVGVIAVAYLFPSSLNPDILEGLLGSVGGVMAFLTLHEMLPLAFDYCGQKRAVKAVFLGMACMSASLYFLEISLPKEISL >PVH66331 pep chromosome:PHallii_v3.1:1:35049980:35050780:-1 gene:PAHAL_1G210700 transcript:PVH66331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHTVDVCAKSKRISFIALNSFLLQLLLPLECHQILCKKDLIFSVHSGQRNHPYFCSSREESKVSPPTKVSIRKTYIAE >PAN06297 pep chromosome:PHallii_v3.1:1:45775983:45777137:1 gene:PAHAL_1G253600 transcript:PAN06297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNTKLYLHNCYIMKENERLRKAALLLNQENQALLSELKHRLAKSAAGGNNNAAAAAANRASPKPGIDAAPPAQAGGKGKPAPKPK >PAN04537 pep chromosome:PHallii_v3.1:1:5046052:5047717:1 gene:PAHAL_1G073600 transcript:PAN04537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNVAMTVVAAAVALLLLAPARATKAPETADTLPAGGPPSVPAGPLDIVQLGAKGDGKTDSTQAILKAWKNACDATGTQKIVIPPGNYLTGAMELKGPCKSSIIIRLDGNLLGTGDLSAYKKNWIEVQNVENFAINGHGTLDGQGPLVWKQNECQKSYNCKILPNSLVLDFVKNAQIRGITLLNSKFFHMNIFASENVLVDKVTITAPGNSPNTDGIHVGDSTNVTISGATIGTGDDCVSIGPGSKTIRIHGVRCGPGHGISVGSLGRYKDEKDVEDVKVTDCTLVGTSNGLRIKSYEDSKSSPKATKFLYEGVTMDNVSFPIIIDQKYCPNNICVRSGASKVAVSDVIFRNIHGTSNTPEAITLNCANNLPCQGVQLVNVDIKYNKSNNKTMAVCKNAAGKSIGLAKELACL >PAN08808 pep chromosome:PHallii_v3.1:1:58364016:58369898:1 gene:PAHAL_1G437000 transcript:PAN08808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPCDCFLHVPALPLTPLQNSTASVPCRDKGLTLQINSVYAPARTYEICPELTVTCMVARQTGKGKKKGNWIHYSGSLPAMLKALDDVQDVGEALWPWKDTLSNQERTILLKEQKDWRRAVEIFDWFRRERGHELNVIHYNVMLCTVGRARRWDLVLNLWHEMHSCGVAPDNSTYGTLIDVCCKGGREHATLLWLGDMCKRGLIPDEVTMSIVLQALKKAGEYEMAELLFRKWSSDSSGRMEGHPRYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLKEGVAPSVITFNTMIHVWGKHHRIERVSSLVRMMEEFQCFPDTRTYNILISLYREINDIDVAEYYFWKMKAENLVPDVVSCRTLLYGYSIRGMVTKAEALLKEMDERGFLIDEYTQSALTRMYVSAGMLQQAWHWFDRFHHQMNSDCFSANIDAFVEKGYIVLAEKAFICCLKKKMLSVSVCNVMIKGYGLVDKLDEACEVADGMERYDILPDYVTYSSLIQLLSTAKLPKKALHYLKKMQAVKLLSDCVPYSVVINSFAKNGDLGMVEYLFREMITSGIRADVFLYSILIDAFAEVGKVQQAAAYFGLMKKDGLCESATIYNSLIKLYTKVGYVAEARETYKLLRSLDTDTNLYASNCMIDLYSDHCMVKEAREIFESLKARGSANEFSYAMMVCLYKKIGRYDVAHRICKEMQALGLLTQALSYNSAIQMYVSGGRMEDAFKIFKKMLVSNTPPNDATFKALNVILVRSGVTRKEIRKLELIRRNNTQDCLHQWYRAISLSLQL >PVH67071 pep chromosome:PHallii_v3.1:1:57404418:57406740:1 gene:PAHAL_1G422300 transcript:PVH67071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGSWEGDALQTARKVLDPVRRRREKPGRRRKITAGGKCSSMPAWCSPSLIPHTDQCPCKWPPSLLSPFRVSVRRGRAGGSLIVNAMVAICGPWARVNGEAEQGAGERGG >PAN03788 pep chromosome:PHallii_v3.1:1:1591039:1593020:-1 gene:PAHAL_1G022100 transcript:PAN03788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKSTRKSLGRILLKGDNITLMMNTGK >PAN08357 pep chromosome:PHallii_v3.1:1:56334294:56338381:-1 gene:PAHAL_1G406900 transcript:PAN08357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAISQEAFDAMVRENMEDLGMDADEALADAVEALTLQGADLSGIIKRVPGEAAAAEVSPVVRVLDELKASHSASGGSGQDLDGLVSLIDELRGLCCSGEGSENAAIAVRNGGVEALVALCGSARVAQERLLVSALKALSSVLRDVGSTEKFRQSEGPKIVMDILRGGSESSDLLYAGFSVVAAGSAGNEVVKESFMDLKVDELILHVMREKSKTNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAEIGMAEVLVSALCEQVAPSSLPSACAALKSIAVNDEICRSISENGGIDVLLQCIDEAAQQKNKVIARSCCSLLSKLAASDANKSAIIQRGGFDRLLKLTSRFSEDPSIIQEVMSMVTVLTLRSPGNAARAMEVGYGTLAIQALQRFPSSGQTQKQACLMIRNLVVRNPENRTILLNDGAEKLIRKAKVMHGGCKDAASSALRDLGLDNYNA >PAN08567 pep chromosome:PHallii_v3.1:1:57335216:57340284:1 gene:PAHAL_1G421200 transcript:PAN08567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTLNRRLQTERLNQEGECSNSRDVGARGVPDSPSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLSVASIYAGNDSVELKGAEVISDLKYHLNLLTLCWHFSKKPFPLFLEATGYSAEDVLMQEPKAGILKPAFTILLDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTILQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHMYPDFKIKVVGHSLGGGTAALLTYILREQKEFASTTCVAFAPAACMTWELAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSMANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRNNVSSTSTVTSEEIRTSTSGGLESTSLLTETTVEASETVASGAIQSTASEDIQSSVAVAVDAIGLVDDKVDSDDDIVDHHVDEDRMTDVELWQQLESELYRKREGEDDDIVEEMTESTIAEEVGGVAEDVLSETKEVHRFYPPGKIMHILTSCREETAHEEESDVHQDDATNGESESSMGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLISELEKDSSDPMDES >PVH66382 pep chromosome:PHallii_v3.1:1:41970896:41972374:1 gene:PAHAL_1G230700 transcript:PVH66382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSATEAIGAQTMLIVFKSFEFDFLLHLMNEKFGYTNNLCNALQKREQDIVNAMDLLEFTKVELNVLREDAGWEEFLKKVTSFCEKHNVKVVHMNGKYVPMQRSKQFFRGAVNYHRFHAGIFLGIIDRQVQDLNNRFDELAGFYPHDFGVEEMNQLSFQLNHYINDVRNDEDFTNLRSLAELSIMLVKTSKVSRYDLVYKLLKLALVLATAGVERIFSIMNLIKKQAKK >PAN07153 pep chromosome:PHallii_v3.1:1:50214697:50215522:-1 gene:PAHAL_1G314900 transcript:PAN07153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLKRLVAAQTGTRADKIRIQKWYTIYKDHITLADYEIHDGMGLELYYN >PVH65922 pep chromosome:PHallii_v3.1:1:7719431:7720546:1 gene:PAHAL_1G104200 transcript:PVH65922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFPGYRVDVRACQAGPVQLRIDVEGVLLLIRLVAIGVVLLCSSKRSRGETGGALIVGSKWKMEVMVLASRVNSRREKRQRRWLVRLSDLRKEEIAGACLVVEEAGRGEEHGAERREGAACCWRRRGAHDIEG >PAN06437 pep chromosome:PHallii_v3.1:1:46772800:46774010:1 gene:PAHAL_1G263700 transcript:PAN06437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPSHPSPAPATPSSAVATPRRRRRLLPSKPNHHPPPSLAPGSPFSFFPPSSPSPFHRFLPSPLRASAVPFSWEHRPGIPKTPARARSSSSSSSSKSKALPLPPSLLARSCAGGSDPYASVVPAEYAAAAAAMDPHPQPDRAGRLGWRVRRGRRRSPRLGDALAEWLSMLSLYRSCKRAAACFAAKAKSPAA >PAN03551 pep chromosome:PHallii_v3.1:1:313429:319206:-1 gene:PAHAL_1G002600 transcript:PAN03551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAMDGSTWEDMMRRILPPGTPIPEAPPNLDYSIALEYDGPPVPYDLPRVDPVEIPAIPTAEPVSGSQVLGGLPVAPVVEPIRLPVSRIARCADPVSTQVGGSSESVLHNQEFDDDDDEDSRSQSRGSAQSSPGPQNRPEMQEGRRAPVVTFGFTPDSKYESKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKESCIVCDARYCSYCVLRMMGSMPEGRKCITCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRPEELTDLLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPDRIISSNLNFTGKLQADASNGNTQVYINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNSNGTRDEVPYSARTVPDYLDQKRIQKLLLLGPPGAGTSTIFKQAKYLYGTRFTQEELEGIKLMIQSNMFKYLGILLEGRERFEEEALSRLNCTISDGEETQHDENKANGSNSCIYSINARLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATFKRKEELHFLPDVAEHFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFTLDDRSPMSEPYIDNPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFCIALSDYDQLGPQVNGSGRPLVNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLSSCEWFNDFCPVRTHHNNQSLAHQAYYYIAMKFKDLYFAHTNRKLFVWQARARDRQTVDEAFKYIREVLKWEEEKDENYYQEESFYSTTEMSSSPFIRAE >PAN06843 pep chromosome:PHallii_v3.1:1:48735546:48739340:-1 gene:PAHAL_1G292200 transcript:PAN06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFVKKPKITDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFESLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAVLGEQLSAEDEEAVMAEFEILEAQLAGEYSPDALVIRPEEKMKAPADTEAASEDIDEVIELPDVPTKAPERPEAPEKTKVLEEPLPA >PVH65627 pep chromosome:PHallii_v3.1:1:2815463:2815969:1 gene:PAHAL_1G041600 transcript:PVH65627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELLRWREQAFDSGSPFCGDRVESHSQRAVTRRSVTVDDSNKTIRDLNAPWYSNKTVWVTPFFFLPRRGKISGGKADRIPPRLADSPLYPYSPAPPYVPCRRRLGFALRTSPGRRYRTTPSASALGCPHRRRGRADAWGLVAPRGRSGAAAPGGLIGGKQQARLHTE >PAN07117 pep chromosome:PHallii_v3.1:1:49977264:49981312:-1 gene:PAHAL_1G311700 transcript:PAN07117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGKRGHPLLRGGGARRERYTHGFSASQMAALTALCGALVPSLPPTGSRNPQEEDGGRGGGGSGSGDKVMEEFLLASAADPPVPDEVAELMARRCLPEALALVRAVLWLLGTRLGSLALCGASRCLSWRFPFVRRFAELPLEHREAAMRRWSRQALLPPLRMFFLITKVFCLYVFYSWTDENSENPHCRAIGYSPPLADEEPAAEAERPEKRPLDDGVVETINETDASLPATLAEKGLTVTEDAARNVCRVECDVAIVGSGCGGGVSAAVLSGAGYKVVVIEKGNYFTARDYTAVEAPSMDQLYEAGGFVSTISGSALLLAGSTVGGGTAVNWSACIKTPDDVRGEWARDQGLPLFATDEYAAAMDKVFDRLGVTHGCTEEGLQNKVLRKGCEKLGYKVESVSRNSSEGHYCGSCGFGCRTGDKRGTDTTWLVDAVSRGAVILTGYKAEKLLLERSGGGDAGCRAKRCVGVAARSTNPAITRTLEVRARATISACGSLLTPVLLRGSGLSNRHIGKNLHLHPTALVWGYFPDTTPSLRGKMYEGGIITSLHKVEGRPGAPARAILETPAMGLAAAGTQFPWVSGRDMKERMLRYGRTVHLFSMVRDRGSGTVHGERRIAYHLDATDRENMRAGLRRALRVLAAAGAAEIGTHRSDGQRFVCRGATEAALEEFLDGVGVVRGPQSKAEAWSLCCTAHQMGSCRMGATAADGAVDARGESWEARRLYVCDGSVLPSAVGVNPMVTIQSVAYCLATGIAESLRRDHDQASEKSY >PVH66840 pep chromosome:PHallii_v3.1:1:53479139:53479443:-1 gene:PAHAL_1G364900 transcript:PVH66840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVESSCLDFPLIVRNTSSTLHQSHQNNTKGASTYADLPCDTLSSREVQIA >PVH67002 pep chromosome:PHallii_v3.1:1:56322182:56328676:1 gene:PAHAL_1G406700 transcript:PVH67002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPAGPVAPPHFARPVLCFVYSRGSWVICVGCVCLGLFMPTCQSEQVPRLETPTRPPPSLPLSSLSLSLSLSLSPFASHRITSQPPPPAGLLLLRPLPPPPRVRSSSGARLSAARALCVGGGEGSAGTMARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYNTHSKNLGKAEQPSLDLNLEHSKYANLNEQLAEASLRLRQMRGEELEGLSVEELQQLEKNLEAGLHRVLQTKDQQFLEQISELQRKSSQLAEENMQLRNQVSQIPPSGKQVVADTENVIAEDGQSSESVMTALHSGSSQDNDDGSDVSLKLGLPGVAWK >PAN03885 pep chromosome:PHallii_v3.1:1:1916320:1919533:1 gene:PAHAL_1G027100 transcript:PAN03885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKPASELSSYQRKVFRVAEHAGVALAGLTADGRVLSRFLRNECINHSFVYEAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTLEPFEMIDAKRIQEIIDSMEAVEEAPAEPSSMQEEERGSDAAPMDI >PAN06303 pep chromosome:PHallii_v3.1:1:45815681:45817526:-1 gene:PAHAL_1G254100 transcript:PAN06303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALEPLIVGKVIGEVLDHFNPTVKMMVTYNSNKQVFNGHELFPSAVANKPRAEVQGGDLGSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGKELVSYENPRPNIGIHRFIFVLFRQSRRQAVSPPSSRDRFSTRQFAEENDLGLPVAAVYFNAQRETAARRR >PAN07164 pep chromosome:PHallii_v3.1:1:50257740:50262821:1 gene:PAHAL_1G315800 transcript:PAN07164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPFFLGCSVIRHRKTRDRPRAVRTSSGPERPGRGTSPSRWCRACARAISPNPTWSLAGVGSLGVGGRDGDADRATQKATGAGTRPPGSSRVSRASQDNHPAPKPLLRPSSPPFHSGRVERQAGRQPARVQTRTTSLPHRIRRGADPRSERSMASSSAAADEVAPAPAPAEAAEAKGKGKRGGVLGRMWRALFGRREDFEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLAEVLAVVYAIMMTRDEDLTWQMRAIRVLPMFVLPAVSSLIYSTVVNFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKLHVGEEPKLDAAVARSNDVEILPSDGLRNRRQPNTRGSRTGNPSAAHTPAQGTESSPTASAGLETAPALMVVEHHQGTGANDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHVTYYCPHCHALNTSKQSMGQYSGSNSGRSTPAVPADGMSASSSVHEGELSNLTTLQELPKEGNAEKQETEAS >PAN07418 pep chromosome:PHallii_v3.1:1:51402679:51404068:-1 gene:PAHAL_1G334300 transcript:PAN07418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAFGSVGDSFSTTSIKAYVAEFIATLLFVFAGVGSAIAYGQLTHGGALDPAGLVAIAIAHALALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVSGISELEGVVFEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLIYGDVFIGGSYQQVADQDYA >PVH66108 pep chromosome:PHallii_v3.1:1:17100512:17101312:-1 gene:PAHAL_1G151800 transcript:PVH66108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSSGQGKRPRTTPLSPAIADGTDHLSSLPVEMLEEILRRLLLDDAVRTSALAKHWRYRWAECPGLKLVFVAEDPPAAVDAVLAGYTCNVSHAQLEVAPESNGKVDCWIRALAAKGIRYLVLCFIPAFSLILPTVPASLFSCRELTSLLLKSCVFPALPSSSDGFPNLLALQLDDVNFGENGEMTAEALIAMSPLLRSLGIMFPSIDADDEGNYSEWTIRAPNLKILRICAWEDYGWQLDDLPLIEEACIHLESSELPRISYQG >PAN07225 pep chromosome:PHallii_v3.1:1:50570553:50573290:1 gene:PAHAL_1G319800 transcript:PAN07225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPASSGGESVIADVEMNAGGDHGAATVRVTVVQASSVFYDTPATLDKAEKLIAEAAGYGSRLVLFPEVFIGGYPHGSTFGLVVGNRTAKGKEDFQKYHAAAIDVPGPEVSRLAALAGKYKVFLVIGVVERAGYTLYNTVLTFDPLGKYLGKHRKLMPTALERVFWGCGDGSTIPVYDTPLGKIGALICWESRMPLLRTAMYAKGVEIYCAPTVDDRTSWQASMTHIALEGGCFVLSAVPFTCRKNYPPPPEYTFGGLEEEPSPESVVCSGGSVIISPSGTVLAGPNYEGEALLTADLDLGEIVRAKFDFDVVGHYSRPEVLSLVVKTDPKPAVSFTSDAEKTFAGRSDDVLKT >PAN07417 pep chromosome:PHallii_v3.1:1:51398846:51400616:-1 gene:PAHAL_1G334200 transcript:PAN07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEDTKSQGAEEELRMEGQLLARMRRRDHLLLADSAGAAGPLAVLSPQTPMEPMEFLSRSWSVSASEISKVLAGGVGGGRRSSNFVVDRLSGMLMPETLALAAASGTNISPRKRTLRSRSAISAHQVHHAVHHTVHTIGKWFHHWDSSSRVDKARAERARVHAAVSVASVAAAVAAVAAGPGADAEGARMETALASATQLLASHCVEIAELAGADHDQVASVVEAAVDVRSPGDLLTLTAAAGTALRGAAAMRHRAQREARSRAAVAPYEARAGSYRADVWCKEGALLKRSRKGALHWKQVAVYINRKSQVIVKVKSKHIGGAFSKKKKGVVYGVYDDLPAWPAHEGGGAPGSAAEACHFGLRTAQGLLEFQCESRAQRQDWVEAVKNLIRQVAGGTAQLEHSFESLRLSSS >PAN08956 pep chromosome:PHallii_v3.1:1:59041189:59047276:-1 gene:PAHAL_1G447800 transcript:PAN08956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAVAIHQFAECITCHAWSPDQSMIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIISGIDWSRSSNKIVTVSHDRNSYVWTQEGSDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQENNWWISKVIRKRHESSVTSLAWHPNNIYLATTSTDGKCRVFSTFIKGVDTRGSQSSTATDSKFGEQIAQLDLSSTWVFGVRWSASGKTLAYAGHNSMIYFVDEVESAPAAQNLALRDLPLRDVLFVSERMVIGVGFDCNPMIFAADETGLWSFVRFLDERKAIPSTSKASQLSEALGKLYGQSKQGTSSDSVEPSKPRGGAHENCITCIVPLTKGRDGTIKRFSTSGLDGKIVVWDLENHITIAK >PAN05672 pep chromosome:PHallii_v3.1:1:16067146:16068156:-1 gene:PAHAL_1G149000 transcript:PAN05672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPAARKRPAPDEAASSADADKKRRRSCYQFENIDDYEMLEEIGEGAFGVVAKARDRRTGGTVAVKWIRGGEFDEDGAPNLPAVVREAGCLAACRGLPGIVQIKNVATDKETGDLFIVMELVGPSLHSRLERQPLSEDETRDSMRQLLGAVEKLHATGTIHRDVNPDNILVGPDGTLKICGFGCATPARGVGKTCQEKFDGTTMQYRSPEQLICSQWYGPEGDIYALGCVMAELLSGEPLFTATTEDDMITQTLDLRDYIVTMGVEAFDDVMDHLSLAGREVLAGLLSYDSWERPTAADALKHRWFTEEDVEEKPPAAVEPEFPGFVPLFSEA >PVH65600 pep chromosome:PHallii_v3.1:1:2398263:2398712:-1 gene:PAHAL_1G034800 transcript:PVH65600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAARRQQPTHPFSSPFLPGRPDFRAAPRVGLGRARRSFAPPPRAAARQPPASDGRPAPGRRIDGARGRCHPDPVRIFSSPASSPSRSPPYPHGTPPLLCFFPTPPPLPPRSRLEVVAAAKPPVGSWRCRSDPSRTPHPARRATPFSLV >PAN04475 pep chromosome:PHallii_v3.1:1:4785635:4791140:-1 gene:PAHAL_1G070200 transcript:PAN04475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKKMALLDAQRAPPAWLRRLLETDFFEPCPEHAGACRSTRSAGCCNFFCTNCAGGALCSGCLGGHAGHEIIQIRRSSSHCLVKVADLQHLLNVSQVQTYVSNGEPAVFLDKRTISGNGKPGATKCEECGRGLHDAGCLFCSLGCKAKGIKDLLDFSITFAVDPRSERSEEETESESESESEPESESDDDDSFHPTKSRKLGTLPGPRQVTARGHQSG >PAN05605 pep chromosome:PHallii_v3.1:1:39453469:39457847:1 gene:PAHAL_1G224400 transcript:PAN05605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPPPASSMAEAEVEARDWAEMPSDALAAVFGKLDVAEILTGAGLVCRAWRRLATTDPTLWRRVDMCHQGDLLETEEAEAMARAAVDRAAGTMEAFWADTFVTDDLLRYISQRAPSLKSLQLSLCHHVSNEGFAEAISSLPQLEEIDVTLCSLHDNVCDTIGRACPHLKRFSLNERWSSLQSEFAPYEGMDDDTKTLGIASTMPGLQELQLIGNNLTNDGLMAILDRCSHLESLDIRQCYNIQMDDVMKSKCARIRDLKLPHDSISDFKYRAYIVSSIANSGSDFEVDMYDDLLEVVTEDDEADFDDVDDFDDAGSDGGMYDDEFDI >PAN07725 pep chromosome:PHallii_v3.1:1:53004711:53010385:1 gene:PAHAL_1G358000 transcript:PAN07725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAHPDLQLQISPPPATKPMELGFWKRALDAASPAATTSAAAAATATTAPSLSFASSAPTTTAVGFHAAAGAHHHHQVPGSHLGLPFLHHTQPILPEGGGGIRDLASMRPIRGIPVYSTTQPLPFLQSHPHHHHHHPHCYDAIGMGHAGGPRSPKGALRLAGAPAKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKPASASSYGQAAAKTIIDIPDDNLFEVTNTTSGSESSAQQSNPDGNEHGSSMCALWSNSSISSRGAWFHDKSIDATPGDIKSFEDVQSERLDNVSDLNSSPFQVAGMFGRSKKPNLDFTLGRI >PAN04025 pep chromosome:PHallii_v3.1:1:2461372:2464700:-1 gene:PAHAL_1G036000 transcript:PAN04025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAASAAAAAAANSDEEENYEEYIPVAKRRAMEAERLRQLRLSKPAPFSSSASSLPLPPPPPLPPAQLPTVPDAAAKPSLLVKATQLKRAAPEVTATEQRIQQEKEVIDNLSDGKSLRSVRELAKGIVYTDPIETGWKPPLRLRRMPLAKANELRRKWHIIVDGNDVPPPARDFRDLRLPEPMLRMLRERGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEILMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLLPLKDAGYPEIRPLLCIGGVDMRTQLDVLKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIKEVFDHFKDQRQTLLFSATMPQKIQNFAKNALVKPVTVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIDSFKSGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEEIIAKESGVKGCAYCGGLGHRVGDCPKLEHQKSMAIAGSRKDYFGGGGYRGEI >PAN07908 pep chromosome:PHallii_v3.1:1:53949866:53951979:1 gene:PAHAL_1G372100 transcript:PAN07908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTVETGDGAKLSVRVFKPPASGEEPEAAGDVAVVMVHPYTILGGVQGLLRGMAEGVARRGYTAVTFDMRGAGRSTGRASLTGSTEVGDVAAVCRWVAENIKPRGILLVGSSAGAPIAGSAVDKVDEVIGYVSIGYPFGLMASVLFGRHHDAILKSQKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLIEGAGHFQMEGPAFDAQMVDLIVKFINSLPK >PVH66010 pep chromosome:PHallii_v3.1:1:10114729:10115571:-1 gene:PAHAL_1G126100 transcript:PVH66010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLESTANCSSADSPEKSALLSFDLRSTLLDSILHIILSFLPAWHSVQMSALLWRWRDLWCSVPFINIDERSFDVRGYDETKVWPAPCGEMDSSLFLPCLRLRYCSTQSMCPSGCCWFHAIMPTQTS >PVH67197 pep chromosome:PHallii_v3.1:1:59069361:59071030:-1 gene:PAHAL_1G448300 transcript:PVH67197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHHVRSSVAAAHCSIMLGSGGSGPLRSPTCITVRSSNQQARQAVTGTCPEQPKAARPCCCVTGDASRVEPDKFTSHGTFRSMWRSVVYPSIHILHK >PAN06251 pep chromosome:PHallii_v3.1:1:45459713:45461856:1 gene:PAHAL_1G250100 transcript:PAN06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQHLAHAFHHDSRAISRPALNDDTTAAAAFLREPAAGHLLLLPSAAQRQQVGGNTVFSDPRSELTCNNNHLPDSVCFAPRKRARTGDVVGDGLTMEGHRALLPVPVPQLQEFAPAEDVRGRVLCCADASTSGRLPGSAPISHSVLSYLYRHSVEIDAFVRLENERLRSGLEEARRRHARAVVSALERAAARRLRAAEADLERALARGAELGERLRQVGAEGQAWRGIASGHEAAAAGLRATLDQLLLQAPRPGAEGEAEAEDARSCCFGPAQEGAQASAGAGAGSRASCRSCGAADACVLLLPCRHLCLCGGCEAAAEACPVCAATKNASLHVLLS >PAN06835 pep chromosome:PHallii_v3.1:1:48709850:48713381:-1 gene:PAHAL_1G291700 transcript:PAN06835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECIAEMPPAPLDRRPRKRQRLGWDVGPAGMHQIQLGLCGQEVVNAISAVALGLSSGGIVSSQLNQGQTRDGSPPLREDDKDGHYVFAVGDNLTPRYKINAKMGEGTFGQVLECWDKERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYDESRSSCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNNYRSFPIALVREVAKQLLECIAFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRLPKSSAIKVIDFGSTTYDQQDQSYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPYHMLRRADRHSERYIRKGRLNWPEGCTSRESMKAVMKLPKLQNLVMQNVDQSAGDFIDLLQGLLKYDPANRLTAQEALRHSFFTEGLERRR >PAN06658 pep chromosome:PHallii_v3.1:1:47835600:47840957:-1 gene:PAHAL_1G279100 transcript:PAN06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGRWWAVVLAVAVLLGPGRVVANTEGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVPQLGQLKNLQYLELYSNNISGTIPPELGNLTNLVSLDLYMNNFSGNIPDSLGNLLKLRFLRLNNNSLVGQIPESLTNISTLQVLDLSNNNLSGQVPSTGSFSLFTPISFANNPLLCGPGTTKPCPGAPPFSPPPPFNPPSPATPSTGASSTGAIAGGVAAGAALVFAVPAIAFAMWRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVATDNFSNKHILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPSEPPLDWETRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQNAYQETEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAESAPLRNDWIVDSTYNLRAVELSGPR >PAN08136 pep chromosome:PHallii_v3.1:1:55181732:55183657:1 gene:PAHAL_1G390500 transcript:PAN08136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREQQGSGEAAGRRGGAVRSLLGVERRFAEGGAEGAAGVPSGKQAAGAGAGGAEEERKAVVRVVAADMPPALQRRAFRCARDELAAMPHYPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPSPH >PVH66572 pep chromosome:PHallii_v3.1:1:48109175:48110358:1 gene:PAHAL_1G283300 transcript:PVH66572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTMMASSFAAMAAAAAPSRRGSLAVARAAKVERCQQQEPARLAAAAEGAPAEGRRAVMLAAAAAAVAAIGGAGAAMADPKNGTPEAKKKYAPICVTMPTAKVCHN >PAN09002 pep chromosome:PHallii_v3.1:1:59267878:59269542:-1 gene:PAHAL_1G451100 transcript:PAN09002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVLDRLTVAASPPAPGGVLPLTFFDVPWLFTGPVERVFFYPYPHTAEQFTARLLPPLVSSLSTALHWFYPLLGRVRPCPDGGGGYEFFSAGGDAGEGVELTVAESSDDFDELSGGAPRDVARLYALVPQLPRTEDGTFALAAAQVTVFAGRGIAVGVSIHHVACDDSSYMHFVKTWAGQCRVAAGEESAEGALPPPPFLDRGVVADPEGLAARTLDEMRQLAANAPPPPPPRTGPPPKLVIASFALTRDRIDKLKQRVVAEGGGRVHCSAFTVACAFAWACLARVDGGCADAERRAHLLFSVECRRRLAPPIPQEYLGNCLRPCFVEVGLGELLGGDGVVAAAAAIGASIRALDDGVLAGAGGWFHKILSLVPERPMSVGGSPRYGVYETDLGLGVPKKVELVSIDKTPGTVSLAEGRDAQAGIEIGVVLPEAEMARFSSCFSDGLEQL >PVH67170 pep chromosome:PHallii_v3.1:1:58847873:58848148:-1 gene:PAHAL_1G444000 transcript:PVH67170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGDIIDDLRKSTTTSTTSSEPDANSKP >PVH66509 pep chromosome:PHallii_v3.1:1:46948720:46951741:1 gene:PAHAL_1G266200 transcript:PVH66509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADSRNIVSYNTVISSLAHHGRQQDALRLVARLARDRFLGPGLAIDRFTVVSVATACAGIGAARPLREIHGAVLVSGMALTIIMANAMVNAYSKVGRVDDARRVFDQVSFRDRITWTSMIAGCCQAKRLDKAVQVFDMMPDKDRIAWTALISGHEQNGEEDAALELFEQMLAEGVWPTPFALVSALGACSKLGLVTRGKELHCFILRQSIGTDPFNIFIYNALIDMYCKCGDMMAAVALFRRIPERDYISWNSMVTGFSHNGLGKQSLAIFEEMLVAGVRPTHVTFLAVLTACSHSGLVSDARLILESMEDHGLEPRAEHYAAYIDALGRNHKLEEATEFIKDLPSRIGPGTAGSWGALLGACRLHGNIELAEEVAEFLFQLEPGNSGRYVMLSNIYAAAGQWDDARRVRGLMKEKGLRKDQAYSWIEVRSAKHVFVAEDMSHCEADEIYEMLGKLLDHMRIAGDPTEHQLDLC >PAN08196 pep chromosome:PHallii_v3.1:1:55450213:55451426:-1 gene:PAHAL_1G395000 transcript:PAN08196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAEIASVPYLSPASAASFKPHYHAATNDFLFQYSNLLVPHPSYYQDVAHLVHEASLPVGNKSNSEESDDYQRSLAEERRKRRMISNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRVLHENSLLRDEQTKLQRQLEKLTVETTKSGVMSPDS >PAN08783 pep chromosome:PHallii_v3.1:1:58284144:58287357:1 gene:PAHAL_1G436100 transcript:PAN08783 gene_biotype:protein_coding transcript_biotype:protein_coding description:RID2 [Source:Projected from Arabidopsis thaliana (AT5G57280) UniProtKB/TrEMBL;Acc:A0A178UNY0] MPRPEFQAPPDVFYNESEARKYTTSSRIIEIQSRISERALELLALPNDGVPKLLLDIGCGSGLSGETLTEHGHQWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTFAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSISTLLPKGKGENGEMCSDDDDDSSDEDGEKTVGIYERNRSKKRQKTKKNGKGKDWLLKKKEQMRRRGHDVPADTKYTGRKRKTYF >PVH65529 pep chromosome:PHallii_v3.1:1:1317866:1318125:-1 gene:PAHAL_1G016800 transcript:PVH65529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMHRVQALFLFFKDQIRPDVFRHAAPAASAHFTYVCSPVVFPSAILQTDL >PAN09045 pep chromosome:PHallii_v3.1:1:59424492:59425130:-1 gene:PAHAL_1G454500 transcript:PAN09045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGFSNMNLPTPLRKRIGQRSKEHQAGLELHFLAYMFSIYRAQVILNTSCVPAWTSTVESKLVQGQEYQLQETHLTTDSPRPYY >PAN04550 pep chromosome:PHallii_v3.1:1:5090042:5093505:1 gene:PAHAL_1G074400 transcript:PAN04550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVIGGESPRNSSPASKLERKMVEAMQQRALKGTSVKSFNSVIMKFPKIDESLRNCRTIFQQFDEDSNGEIDQQELKHCFQKLGIESTDDEIKDLFQACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEARKRMGLGCLEPTFETLVESFVFLDKNKDGYVSKNEMIQAINETTAGERSSGRIGVKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDNEDDDDDDDE >PAN03628 pep chromosome:PHallii_v3.1:1:1058468:1061068:1 gene:PAHAL_1G011600 transcript:PAN03628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVNDLQEGISTERAFEADPIPSLSETITLRSLVVSLILSAALSAVAMKISLNSGFLPPLTIPAGLIGFYLSRALIRLLDYFEVEHLPFTRQENTVIQTCVAACSAITFSGGFGTYILAMGKEAAGGDIRDGKNIVEPSIARLITFLFLVSFSGMFILMPFRKVMIIRHRLTYPSGMATAQLINSFHTPQGANNARQQVHMLFRSLGGTIFWNAFQWFFTATKGCGFRAFPVFGLEAYKRGFYFDFCMTNVAIGMLCPYIITVSLFIGSVISWGIVSPYIAAKEGIWYTTDLSTATLSNVKGYKVFIGVSMILADGLFNFLSIMFCTLCAMYKRRRPPMPGQAGGDDDSDMQLPFHSLNAAEQQKAMQSFDDRRRAQVFVRDHIPNWVSILCYILLSALSTVAIPYLYPQIRPHHVALIYLAAPVFAFCDAYGFGVTDMNLSSTYGKLAMLAVGSVVGCNNGGVIAGLVSCGIVMATMSNSNNLMQELKTGYLTLTSPRAVFISQAIGTGLGCVINPVMFWAFYKAQDGDTNLFDAPYARVYRGIAMLSAGQNGLPLHSLWLCKLFFVLALALSVLRDVASRKQWRMAPYMPSTICVAIAFVVPARMPIDMFVGSLAMCLWRHTDSSKARAFSAAVASGLICGDGLGNLLSSMIALTPATAPICIKFVSSSENVKLDAFLATLTRT >PAN07974 pep chromosome:PHallii_v3.1:1:54243794:54247267:1 gene:PAHAL_1G377300 transcript:PAN07974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAMPQILPEISSIPELKHCSKSPSPLEIPQTNNSCASAPTISSETANLSKLSHKLEPKEQKQDHHLKESVVEHGNMNGSSTSFQTYEGSNQPKVSASARLTEPSEIGDRGNSGRCRPSTSSDVSDESSCSSMTSSTKPHKANDSRWEAIQMVRTRDGILGLSHFRLLKRLGCGDIGSVYLSELNGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNSQGYCVQPACVEPSCIIQPPCAAPTTCFGPRFFSKSKKDRKPKTEVATQINPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPSKLPSSPSGAAGGSSGAHQKGSDGYLEFDFF >PVH65523 pep chromosome:PHallii_v3.1:1:1241363:1244511:1 gene:PAHAL_1G015100 transcript:PVH65523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSGAGGALLLHGDLDLTIHEARGLPNMDVLSTLLRRLCLCPPAARPSRSAPDDESAHHHRHRHHHRLRRRRKPRQPHGHRVLPASDPYAAVVVPGAPAATLARTYVFRNSEAPRWEASFLLPLAHRAARLDLHVRDADPFGSDLIGTASLPAAAVLAAADRPIRSEWLALLRPDGRGPPKPGSAIRISARFVPAGRTPAPWRPGGGGGVPAFFPPRRGCDVRLYQDAHVGAGEVGGVPGAFEPGRCWEDLCLAVLGAQHLVYVAGWSVFTRVRLLRDAMSPEMAAKAAEVKELGGVAVEDMSLGELLKYKSQEGVRVLLLVWDDKTSHNFFLRTRGVMHTHDEETKKFFRHSSVICLLSPRYPSSKLGMVKQKVVGTLYTHHQKCVLVDTPASETTRRVTAFLGGLDLCAGRYDTPGHTLFRGLHTVFHGDVYNPTFGGEDAAKLGPRQPWHDMHCRLDGPAAYDVLDNFEQRWRKTKKLRRVLRFGKKAHWKEDALLKLERIPWIVSPAKADADDEQQALQVLPEHDPERWHAQVFRSVDAGSLKRFPRPWDGEAMARHHLLCDKNLAVEQSIHTAYVRAIRSAERFVYVENQYFIGSSHAWPSYRHPGAGNLVPMEVALRVAAKIRAGEPFAAYVVIPMWPEGDPGSGPAQEILFWQSQTMEMMYGVIAGEIERAGLRGAHPQQYLNFYCLGNREPEEEAAGDGHCRWPPDDRRPDATSHAAMARRHRRFMVYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTELAVGAYQPHHTGTRRPRGKVFGYRMSLWEEHLGREAVRRWSEVVRRPESRECVALVNGISRDNWERYAADDGRAGALRGHLMRYPVLVGADGKVGALPGHETFPDVGGRVLGSPNNLPDYLTM >PVH66363 pep chromosome:PHallii_v3.1:1:39019469:39023930:-1 gene:PAHAL_1G223500 transcript:PVH66363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLTSCDLHLIIHPVTIIPIVNREPMHCKIIPFLRDGSFIFKRTTISISYEGSILFVIQLQPINNHLIQFALISIHFTTKLFLTVINFMLGLFVNHMLRLLLHLLLNNNIFMGPLGFSHFLYFMNSMAHVCSPLTAISHFL >PVH66085 pep chromosome:PHallii_v3.1:1:14879791:14880132:1 gene:PAHAL_1G144700 transcript:PVH66085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARENPLVAAKEKGNKLANKAKEKGKLMKPKAKKQTEDKGKKNDVSFESPAMATRSKKVDSCSPAMSTRSKRQLNL >PAN06504 pep chromosome:PHallii_v3.1:1:47075468:47079898:1 gene:PAHAL_1G267600 transcript:PAN06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTTSRRGPGGARNMDDDNLTFETSPGVEVVSTFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLAIGDYLNIQVHACIGGKSIGEDIRRLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHEILEMTSKFMTEPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQQERDAIMTEFRSGETRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >PVH66657 pep chromosome:PHallii_v3.1:1:49758495:49758908:1 gene:PAHAL_1G307900 transcript:PVH66657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQSIQLDPKGEEAGHAASCNLMQPSRCLELSKHGAVRSPPRIKSLARKFKRVPSSWEDSRTLEFYHTFLHGMLLQKKASMLP >PAN08209 pep chromosome:PHallii_v3.1:1:54785771:54787725:1 gene:PAHAL_1G385100 transcript:PAN08209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASRAMWAGLVLAALLLAQSPAAAALPRFAEAPEYRNGERCPAPVAAAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAEGGGAPAVADLRAAVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTEAFWNDPVLGARVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRQRIEWWMEMQKEKRIYELGSLPPFLLVFAGEIEAVDHRWNQHGLGGDNVFGSCRPLHNGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIGENDSSASGQSRSALSSSAALPAGVFSW >PAN03978 pep chromosome:PHallii_v3.1:1:2267625:2276411:-1 gene:PAHAL_1G032400 transcript:PAN03978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRDGDGGGEVTAPPQHLVFAYYITGHGFGHATRALEVVRHLVATGHDVHVVTAAPEFVFTTEISSPCLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILKAEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGNHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIADDIKVVIFNFGGQPAGWELKREWLPDGWLCLVCGASDTQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLLRAITLQPCYDGPINGGEVAAHILQDAAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKETGVRQAPTSHDINGTAESSFEDFEILHGDMQGLTDTMSFMKSLSGLAGNDPRSPEKQTRERTAASVLFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSDPSKQKQWKHTQARQLANGGAVPVLQIVSFGSELSNRAPTFDMDLSDFMDSDKPISYDKAKEYFSLDPSQKWAAYVAGTIFVLMTELGVRFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIAPRDLALLCQKVENRVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVNIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSCVPTLSGDTNPEEYEEHGVDLLKSEASMEYLCNLPPHRYEAVYAKDIPEVITGDAFLDKYGDHNDAVTQVDPRRSYCVKAPTRHPIYENFRVEAFKSLLTAAKTDGQLSSLGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTSRVGGPSLFGAKITGGGSGGSVCVIGKNCLRSSEEIFEIQRRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRRSA >PAN04911 pep chromosome:PHallii_v3.1:1:7387776:7391090:1 gene:PAHAL_1G101000 transcript:PAN04911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRFGGLSLLLFLLLAASPCSPQEQEHDVAGALHESFLRCVANVSPTTADPSRIVHAPSEPSYPSLLNATIQNLRFASPRTPHPTLLLTPATAGEARACVACCRRHGLTVRARSGGHDYEGLSYRAVLPRAAGARPFAVVDVAALRDVRVDPARREARVGPGATLGELYYAVARESGGTLGFPAGICPTVCVGGHLSGGGFGPMMRKHGLAADNVVGAEVVDAEGRFLDRAAMGEGLFWALRGGGGGSFGVVVSWTVQLVPVPRVVSAFTVRRLLRRGDQRQTQSTLRLLTKWQRVAHALPDDLFVKAAIEPKLDSAGERHPLVVFKSLFLGNCSGMVAEMSTHLPELGVKPSDCRDMSWIQSMLYFYGYTNGQPAEVLLDRTLQPKDYYKIKLDYLTSPIPAAGLAGLLARIVEDRGGSIDIDPQGGAMGATPESATPYAHRRRYLYNVQYFVKWGGDANVSYEDGHLGWVRGVHGFMTPYASSRPRAAYINFRDLDLGRNVEGETSYEAARAWGEMYFRGNFRRLAMVKGVVDPEQVFWSEQSIPPLVVADAGEKQSESGLVLDS >PAN08533 pep chromosome:PHallii_v3.1:1:57111811:57116293:-1 gene:PAHAL_1G418700 transcript:PAN08533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRGPESRPEEGTRGEVARRRSSFARRTHRGRRVGAMLLPSSSSSSASKGNSLRKTVIDNMRSNLSFDNKQPLFASQDIDYGQPIACISYPYNDSGSGGVWAAYGSRASAATVFHPQIAGGGTSARIPLPLELAENEPIYVNPKQYHGILRRRQLRAKLEAQNKLVKARKPYLHESRHLHAMKRARGLGGRFLNTKQLQQQPRNASTRSTTNGTSSSGSTHLRLGGGTARDQTMLAPKAMPSQDNSKNAVSSAPGFTVIPMLRRDDTFFQQPSHHLSFSGHFGQASAQAGMHNGTQHRVPVMR >PAN07806 pep chromosome:PHallii_v3.1:1:53446374:53450153:1 gene:PAHAL_1G364200 transcript:PAN07806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIVGQLKEMRDGIGNMSRRAGGSDGRAGHGHAGSRHSWPGLWAEQPQRLGRGQEGSQQQQHQGRWANLPPELLLDVIQRVEASEATWPARRQVVACAHVCRSWREITKEVVKTLEECGRITFPISLKQPGPREQPVQCFVRRDRATSTYLLYLGLSPSLNVENDKLLLAARKVRRATRTSFVISLASDDFSHSSSTYVGKLKPNFLGTKFTIFDSQPPSDAVVLPNNKPSKRQSKQVSPRLPLGNYNVATVTYELTVLRNRGPRRMQCTMHSIPAQCIQEGGKAPTPTGTIHSLDEPVSTLPSTKGKEPAVEFSSTSLGADLSGPACTGEEPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLNIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >PAN08314 pep chromosome:PHallii_v3.1:1:55871119:55873456:-1 gene:PAHAL_1G402300 transcript:PAN08314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEEAAQRPHAVLIPQPAQGHVTPMLHLAKALHARGFHITYVNSEYNHRRLLRSRGPGSLAGADGFRFEAVPDGLPPSENDDVTQDIAALCLSTTEHSAAPFRDLLARLNSTPGSPPIICVVADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELIRRAYVPLKDESDLTNGYLDTAIDWIPGMPGIRLKDIPSFIRTTDRDDVMLNFDGGEAQNARKARGVILNTYDALEQGVVDALRREFPRVYTVGPLAAFANAARGGELDAIGGNLWKEDTSCLRWLDTQPPGSVVYVNFGSITVMSPAQLAEFAWGLAGCGRPFLWVIRPDLVSGENAKLPEEFVTDTKERGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMLCWPFFAEQPTNCRHVCAKWGIGMEIDSDVRREGVARLLREAMDGESGKAMRVKAIVWKEKAREAVEEGGSSRENMDRLVEFLLARNDDDCRSICSSGGGVYPSNFSAG >PVH66944 pep chromosome:PHallii_v3.1:1:55263784:55264273:1 gene:PAHAL_1G391800 transcript:PVH66944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMYASNVKGGKQEKTNWVMHQYHVGTGEDEKDSEFVVSKLFYQQQPKAGEKISEGMGDHMEPVYAAIDLADCPPLMDLSSLPLEEDNSNQETVQKSEHNFDQANSHCEVRDK >PAN03843 pep chromosome:PHallii_v3.1:1:1740073:1743621:-1 gene:PAHAL_1G024500 transcript:PAN03843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEAFRVGAHLRSLHPSAAMEDPIQTQNQNQFLFNAKSTPLQLQLFGSPAVPAVGPSGYINYTGNSHLSVTNQARNTNIDTGNEKQLKLQMSLNNYHQQDADQLARVGNPSAVSTGLRLSYEDDEHNSSITSGSGSMSSLPTTMSLVDDLMAEIDNENKEISYYLRLQAEQIGKQMKEVNQRRMISFLANLERAVGKKLREKELEAEAMNRKSKELNEQIRQVALEVQSWQSAALYNQSVANSLKSRLMEVVAQNTNLTREGSGDSEEDNAVSSQNPNPGAPGGFFQSSLLGGRSTTATVGLGACRWCGGKEASVLVMPCRHLCLCVDCERVSDVCPVCRFPKSGSVEINMS >PAN04575 pep chromosome:PHallii_v3.1:1:5232638:5234900:1 gene:PAHAL_1G076500 transcript:PAN04575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAALTSGGGRLTALLPRHRARLLSSSSKSSSTIAALFSDPTPPADPAAAIQSAGVDLSHPDTVPALLLDPGLSGNYPAASRFFSWAASDPAAKAGLNSRSFNSMLQLAAAHGDADCFWSLVASMRSRGYGISKPAFQAASESFRTKDMARDADLLQEAFAAHGRNASAAEVCKILRAPGKDDNQKLAMLSESSVEVTDELVALVVEKVGQFPQQAMVFFQWVEQSAGAGISWGKVYNLMARVLGREDCIEEFREVLRKMRSKGLEMDRDVYVTVTDRFLKRKMVEDAVDLFRFMTNRPEKLLRDDFIFLLKKVLVTGELDLKLVTRVLRYYRHVGSEVKDSAFDSVLKSLRSVGRLGESGRVLKAMQEGGFEPDSADHEKAVLAMCDAGNLEEARNYLTDVEESGHKLGPKIWSCLVQKYSLGENVDTAVSCFHEMLKKSGNENVGSALEALVSGLHKKKGAKEAFKVLKDMVTEKAVVPWQTTYKYLIHKLIRQGHLKPAFEVLGLMKSHGYPPFIDPFIPHISKSGTVDDALGLLNATSLRGLPSRIVYARLFQALFKEERHEVAQQLLSQSPASIQNHADIRDIFNKLEEPVAAALAEG >PVH66661 pep chromosome:PHallii_v3.1:1:49818447:49819827:1 gene:PAHAL_1G308800 transcript:PVH66661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSKLEFGAEQSCIYERPLRILQHGRLTTSMAPPPVHLFKGGKGDSEIPLEGGPLHWASTIKSHFC >PVH66117 pep chromosome:PHallii_v3.1:1:17613499:17615943:-1 gene:PAHAL_1G153300 transcript:PVH66117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFFSSNLRTSRNQNEKAIVAMEEQPDNNPEDQGFTPEENIDTNRNDNNVSDHEPIVNSPPTEIPIRMLVNWDNLDNKSRDILVEKGPIRDENIEFPLDTNGRHFSYTHYSRKMSNEEVYDRKWLVYSKHVDRVFCFSCKLFNTENCKSSLGHEGFKDWKHINERLKEHEASIQHITSMNSWNELRQQITKEKERIRQVLQRIIAVVKFLGKRNLAFRGPSEQLYNGQNDSLSLSHKIQNELISLLASDITKSIINTVKEAKYFSVILDCTPDVSHQEQMSLLIRCVNMSTGKVEEYFLGFMKVDDTSGEGLFNSLLDSIKSFGLNIEHVRGQGYDNGSNMKGKHKGDHVESLTVKSWCNTRWESRIKSVKTIRFQAPQIRSALFQLSTIRIPNQRIGVMQKIRSDAKNLFDLLGTFDFILGMVIWYDILFTVNKVSKQLQSPSMCIDATLMRIEDTVSYFQNYRNNGFASSLIIAKEIASELGVEPSFPGNVMLRGRNNMMKLIVRKQILKLKKLLKLIIFLAMVDIAISSLKSRFEELHSFKDIFGFLMDSITLKSLDTIELRECCTKFANTFSFDGSSDVDLNDLISELSVLRLTLSDKPMSAMDIFEYVRERLLFTVPVTVASAERSFSNLKLLKNYLRSKISQERLNGLAILCIEKILLDEIDSDAIINDFASRHVRRQF >PVH65511 pep chromosome:PHallii_v3.1:1:1047915:1053956:-1 gene:PAHAL_1G011300 transcript:PVH65511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPLPSLLPPAAAAPFSLESPLQTASAEAIRRTEFFSASKSEMCSGDEIDGDASVGQKGFKDIRRYKCEFCTVVRSKKCLIQAHMVAHHKDELDKSETYDSNGEKIVCEEEHRCLQCGACFQKPAHLKQHMQSHSNERLFICPLEDCPFSYKRKDHLNRHMLKHQGKLFGCTVDGCDRRFSIKANMQRHVKEFHEDENVTKSNQQFICKEEGCNKAFKYFSKLKKHEESHVKLNYIEVVCCEPGCMKMFTNVDCLRAHNQSCHQHVQCEMCGEKHLKKNIKRHLQAHDEVPSGERIKCTFDGCDHSFSNKSNLTKHMKACHDQLKPFTCRVAGCGKAFTYKHVRDNHEKSSAHVYVEGDFEEMDEQLRSRPRGGRKRKALTVETLTRKRVTILGEASSLDDGEEYLRWLLSSGDDSAQ >PVH66793 pep chromosome:PHallii_v3.1:1:52499417:52503088:-1 gene:PAHAL_1G350000 transcript:PVH66793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYYVSDDDVVLVRQESPDGHGFRARSARGKETTSRYGSWEQGELERHMFSWSLQDVLNKNLLKKKVKKIPKTFTSLKDYLQSFMMPLIEETRADLCSALEGIKHAPAADVVRMEQLPTDQAIFSITVRKADPNAPQRDQVYTPKDADVLVLTDRKPRHSTDLGRTGKSYLIGSVLKAEGGDGTVVRLSRRPEEGLPLVAFGQGYNASSSVLPSCLLDGDLGALEEFELNDSQLKAVQDCVSAMKEPTCSVRLIKGPPGTGKTKTISALLWSMLIKNHRTVTCAPTNTAVVEVASRVLSLIEESSGGGSKMCFFSDVVLFGNEGRMGADGDLNRIFMESRIRRLRQCLMPGSGWTHCLSSMLSLLEHPLVKYERYTAGIEDDISELILEEKEVRHDLVTRMKNENVQTSSRKEKIMELQKKLQEVQKSIQEIEKNKMSFKTHFQSNYKSLEKDLKSCVGIFCDDLPRTATSGENFRCMAELKQARTSCLLKLKHLSAHFDLPELFDSRTIEEFLLQRAKSVLCTASSSYRLHYQQKAQPFEVLVVDEAAQLKECESLIPLQLPGVRHAILIGDERQLPALVKSKVCDDAGFGRSLFERLTSLGQPKHLLDVQYRMHPWISKFPVVSFYGGTIADGPNVLNRDYERRYLTGPMYGSYSFINIDGGNESTGKHDRSLINPVEAAAVARIVQKLFKESVDTRREVRVGVVSPYKGQVRAIQEKLAGAYATHDGFSVKVRSVDGFQGAEEDVIIFSAVRSNTAGKIGFLADINRTNVALTRAKHCLWILGDAKTLASGKTIWRQIVADAKDRGRFFEAKDDKDLSDAVIKAAIDLDEVESLLKFDGLRIGRGGGSRSGVRW >PAN06734 pep chromosome:PHallii_v3.1:1:48185262:48188612:-1 gene:PAHAL_1G284400 transcript:PAN06734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVASRVLVLVRDAAGYGAALADALHPPPGLTREAAPLELPLAKYGLDGEKASVELLSFSDSSGSPQVSIFVVPDYKPPLAACVMNEVLELISAESTSTERVLIVPFITRSSSYHHGTEHATKASPVLHGAELGATTDFTHLLVDGTTKPPTSLQIRSEPIVCLLEMVRVLKMPTVLLVTSGGQQQGKSSTVSDLEMLQCVGQHLARHTNLEFSKESVLERGIEKSPIVQEPWRELYG >PAN07227 pep chromosome:PHallii_v3.1:1:50578490:50579059:-1 gene:PAHAL_1G320000 transcript:PAN07227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASLLALALAGLMLVTFPGLCAGTAAAHRPAAKCQPSGSLEGPSTGHVCGECCKPGHVYPTYRCSPPVTASTRAVMTLNNFEDGGDGGDPSECDGKYHLNTEPVVALSTGWYNHGKRCGRQIRINAKGRSVLAKVVDECDTLHGCDKPHAFQPPCPHNIVDASQAVWNALGITGDEVGDYPITWSDA >PVH65473 pep chromosome:PHallii_v3.1:1:211818:212381:1 gene:PAHAL_1G001300 transcript:PVH65473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRMLCDSIATASSTSNSTLSELLRAIIFFSSLPDVIETLAAAAADESNKMEIDLGAALLSPDLTSRLL >PVH66343 pep chromosome:PHallii_v3.1:1:36487231:36489054:1 gene:PAHAL_1G215500 transcript:PVH66343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLGRNPLSDALHRNPGVVLNGQGPGRHPLRGALYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTVTISEKLFIGGDLNGHVGATNVGYEQVHGGFGYGSRNEGGRMF >PVH65770 pep chromosome:PHallii_v3.1:1:5071559:5071872:-1 gene:PAHAL_1G074100 transcript:PVH65770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGRGVVGERWSQRILWICALGSAASLYFVAVERQAQNRARAVAEGLKTLDGAGGAAGEDV >PAN06225 pep chromosome:PHallii_v3.1:1:44954795:44955697:-1 gene:PAHAL_1G247100 transcript:PAN06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAMAGACSLLVLLVVALAGGASTAVAFSGGDNMVNNDNAAAAAAALLTPKPPSPGDLNGMMGCLMGCFTQVFTCALGCMGKGPDLPLCIVSCDQKSIVCMVRCALSPSPPGPKPSPPGPKPPGPKPPTPAPPTPAPPAPPPHAATGRKTATSA >PAN08674 pep chromosome:PHallii_v3.1:1:59662958:59668207:-1 gene:PAHAL_1G458600 transcript:PAN08674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPSAGILPSPSSLSAASPSTYYSFRPLRRCLPVPRAAALPPERSAPLPTTSLPPETPASSEPAQLTRPFAPVPYRGAEDPLVSKLRTQLGVIHPLPAPPVSRSVIGLFALFFFVGAAFDKLWTLRKRRRAERELKVNGSWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRTGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKFTKVPIIVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQQGRAMGPVSGSVASDIIQNVASDIIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQVIKSKKNSQTTVIGLPGEPIWNQDFHLLVGNPRKQKLTIQVKDSIGLTDITIGTGEVELGSLKDTVPTDKIVTLYGGWGLFGKRTAGEVLLRLTYKAYVEDEEDEAVRSEFGGGYVSDEDVLEYVQGDMSKGSNFLGKERETFMDLLAALLVSEEFQGIVSLETGSSRDPEQAGSGSGSANSVVSPAAANGETVSNSSTDTALVWLAAITIVMVLVSSDLGASGYFNP >PVH66823 pep chromosome:PHallii_v3.1:1:53049479:53050940:-1 gene:PAHAL_1G358900 transcript:PVH66823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPPEERPPSAVVPAMPEFRDWGGLPELPLSEVLRRLLPCLRSIYAFAAVCRPWRRLLRSSADLLRPLLPPLILNPRSSVIAAFYKLVLAQPLAYRTDLRAEGAVLLSASRGHLLLHRRRGPSEGEARIIIIDAFTGAERREITLPYPRFSYHYAALSPTHLLVFHSKHAFFSLPFPDPNPNPSSSSLHWTKHSLPRSASFVTGILGFRGRVLGLTDLAQLLEFRLCASPQGQSQTVQMLPAAGLPDATTFERWHFGPRLVAAGDRLLLVLFMLEPKSASLFQNKRGVTKVAVYGLDIAQMRWEEVENIGAYSLFVDCKSAAACIDVRSCGVEENRVYVVAPGCPWRSFPPGWEAALGDADNELFSRRAMDRQPWPSNIWVYPQLFY >PVH66610 pep chromosome:PHallii_v3.1:1:49022534:49027886:1 gene:PAHAL_1G297800 transcript:PVH66610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDESKFEQRLELWALRIPRELASAVTRLLRSGYLLDKPRIKPVVEDPESDKNRLVVLSERIQKPDLSGMPHQMHDSLKQLCNVDVVPYTLTLGYSYWSADHILKQILPAGVEVPSSFETIGHVAHLNISDDLLAYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKNDMVTEVKQYGATFRLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCVVYANDLNPDSVHYLRTNAKINKVEDYIFTYNMDAKVFMRSLMSVPGSETKSDSQVAADECYPKGGIPANEYSSSNGNHNDVQEDGQNSANDSSMASTTAKKRQQTSKEGELDCQDGDASQIKKRNNKRVRGSGPPPTKPWEHFDHVLMNLPASALQFLDCFDGLIQKKHWTGSLPWIHCYCFIRSTESEESILSEAQNRLNAKIAEPIFHRVRDVAPNKAMFCLSFKLPMECLKEDNENHIQSVV >PAN04856 pep chromosome:PHallii_v3.1:1:6892917:6893937:1 gene:PAHAL_1G096700 transcript:PAN04856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSRRLLALAAVLVLAVLSLQAAVSSAAAKGTRYTVGGADGWRVPPPEDKERFYADWASNITFYVDDSVEFVYKNDSVIKVGKAGYYHCNETAPDAAPRDGTTLFVLDAPGPAYFASADLDHCNMGQRLMVDVLADGAAPGPWASGPSVQHSAAALVPVALAALAAGFV >PAN07773 pep chromosome:PHallii_v3.1:1:53281985:53284865:1 gene:PAHAL_1G361600 transcript:PAN07773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MASASPCACGAPSPSLRCPLALSLPFASFPPAVRLAAPPLLPRRLAISRPRAASALEALVQESDDEGDEAEEEVGAGLFQGEAWAAAAEERDAVRSPELQVFELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDATYIAVHCLRIRNNDAAFRVYSWMVRQHWYRFNFALATRVSDCLAREGKVEKCREVFDAMVKQGRVPAESTFHILVVAYLSVPGGRCLEEACTIYNQMIQMGGYKPRLNLHNSVFRALVSKTGGTAKHNLKQAEFVYHNIVTSNLAVHKDVFVGLIWIHSYQDVIDRDRIEALRDEMKRAGCEETTDVLVSLMRAFSKEGDIKETEATWYRLLQSGCELPAQAYICRMELYARTGEPMKSLEIFKEMKGRNVPPNVASYHKIIEIMTKAREIDTAEKLMDEFVESHMKHLMPAFINMMYMYLDLDMHEKLEQTFTKCLGRCRPNRILYTIYLESLVRIGNIAKAEEIFGEMHKNGTIGTNAKSCNIMLRGYLSAEDYQKAESIYDLMCKKKYDVPVDSFEKLQSGLLTSKKVVKPPKPVSMKLDEEQREILIGLLLGGTQIESHARKGVHIVNFKFQEDYNSHSVLRAHIHERFFEWLPSACRSLNDDSEIPYQFSSIPHAHFGFFADQFFLKGQPVLPKLVHRWLSPRVLAYWFMFGGFRLQSGDIVLKVSGGNVDGVERIVNSLQTQSLTCKVKRKGRFFWIGFQGSNADSFWKIIEPYVLDSFTGSMMQESHSIGSDGSRDSDTDYEDDTQRYNTEKTEQ >PAN07634 pep chromosome:PHallii_v3.1:1:52541259:52544299:-1 gene:PAHAL_1G351100 transcript:PAN07634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSWLTKIAACLGPVRRHARTRKDEDGSDNGRGGVADDLLWSRDIGRHAAGEFSFAVAQANETLEDHSQVETGAAATFVGVYDGHGGAEASRFISDHLFAHLIRLAQENGTITEDVVRSAFSATEEGFLTLVRRTRFIKPLIAAVGSCCLVGVIWRGTLYVANLGDSRAVVGSLGRSNKIVAEPLTIDHNASVEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLPEPLRRPVLTAEPSICTRALHPQDKFIIFATDGLWEHLTNQQAVEIVYNNPRRGIAKRLVRAALKQAAQKREMRYDDLKKVEKGVRRFFHDDITVVVVYIDHGLLQHRDTSVPELSVRGFADSVGPSRFSDVTAIS >PVH66385 pep chromosome:PHallii_v3.1:1:41982456:41982841:-1 gene:PAHAL_1G230900 transcript:PVH66385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPSPGLLCPSGGFFASRGRTGEAPASQRSLSPAPPTMAQQKPQPATGSGILDAVPLFVVVLLAAHVLALVFWMYKLASEKQPPRRKTQ >PAN04378 pep chromosome:PHallii_v3.1:1:4305544:4311303:-1 gene:PAHAL_1G062800 transcript:PAN04378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAASRLARAAAATARRQAPGVSREVLPRALAPLAGDASASAAAAAATRRPAWFAAPLGRFPVGAGLTVPPRRLFHSTAPAQHSAAGTSSSSQITPGEFTEMAWEGIVGAVDAARLSKQQIVESEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDDFISRQPKVVGETSGPIIGSSFVSILDNAKKHKKEYGDEFVSVEHILQAFTSDKRFGQQLFKDLKIVENDLKEAISAVRGSQRVTDQNPEGKYQALEKYGIDLTESARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRRLISLDMGALLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKERLSKLETELESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTESVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTTDSKEAVYEIMKKQVIEMARQTFRPEFLNRIDEYIVFQPLDTSEINHIVEIQLNRVKSRLKQQKIHLQYTPEAVELLGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEDDTVLVDVSSAAIAKGLAPQKKLVLQRVENINEELVAND >PAN09012 pep chromosome:PHallii_v3.1:1:59313496:59314092:-1 gene:PAHAL_1G451900 transcript:PAN09012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQETKDEASPSGEAHEDDKELQKQRAGSSGGGGDDDDEGTRQPYKCTFCRRGFPTAQALGGHMNVHRRHRGRSSAAPTTGAAAAQGISSGRSGCYEQQPCYSTMATTVVAFGGQTHPASVASMAAGGAALLHAERHQQPYELRLFGRDCAAGGRGKEGGAGDVRRDRCYAKDGDGGDHGGAEKLDLELRLGGAGS >PAN04304 pep chromosome:PHallii_v3.1:1:3823046:3824776:1 gene:PAHAL_1G056600 transcript:PAN04304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKIQKKRHGAAGGAGGGGARLQGGIPFEKSKGQHILRNPALVDSIVAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSIFKQKRVLELLEKNYKTMQSLQLTQDAEMGEEKMSADDVALLANMVEDLSMEAGDEKEDDEMEMDDADMEGEGAASFKEKIMGILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >PVH66003 pep chromosome:PHallii_v3.1:1:9906791:9908423:1 gene:PAHAL_1G124100 transcript:PVH66003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPNVARYCLGNTLAQPRRVAIRFYLLRCSHCRTVASHAAPVDARPPARPPPARQAAAAATRQAAAARPPLRHTPGRRRPCGLRHARWETGERRRGRDLLVAVDFGPKPPTPSTPSTGRSRTSRASPTRSTSSTPSPVYLTSEPYLDFAASSATASPRRRGFAAGHRRRRAAPATACPRNGATAGRDLLRAAAPAAAATGSASPAAAVPAPGFAADRPTPGFSPPPDLCARGAGRDAARPSA >PAN08537 pep chromosome:PHallii_v3.1:1:57122277:57123747:-1 gene:PAHAL_1G418800 transcript:PAN08537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPHESARAPPARHNRGHQPSFSAALLDAIYQSLEADADARSSTETRPAPASPAQRTPGSSRHHRPTAAVSSPARSSVRSPRLQRPPRPCRVRPDPQPNSILLPPPPLPPPHELTGDRAEKKRRRSRKSKRTSKTKTAPFACLLNALLCNRRPARSRSVEHTPQATAAAFAAAPEPASARSILSSRASRRESSATGGVLTPARRAVRFSPLAVVVDDERGGGAVGTATRLRDAAEMETMVQAKESAAEVERRVEELLRALGVAEERERAKESSESSSDLFELEGLPAFEDAERPRPPSHMR >PAN08260 pep chromosome:PHallii_v3.1:1:55694484:55696339:1 gene:PAHAL_1G398600 transcript:PAN08260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MAPPVSSLSPMASSPIPSPQLPKPSRRTVAVAPCSSASSSPSTTSSPPCHAAAPQAASAGRRGMLALGAGFLASAALLGPAGDAGATRIEYYATVGDKLCDLNLVKSGLAYCDVEVGTGAQPPRGELINVHYTARFPDGTVFDSTYKRGRPLTMRIGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSGDCNIPGNSTLLYDLFLVGIYK >PAN08325 pep chromosome:PHallii_v3.1:1:55921579:55926047:-1 gene:PAHAL_1G403200 transcript:PAN08325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEHEVYGQEIPEEGDMDGADVDMAAGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVALKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRAPRFRRPMRYRPYF >PAN05779 pep chromosome:PHallii_v3.1:1:16258079:16259095:-1 gene:PAHAL_1G149800 transcript:PAN05779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPAGPSHPWRGRAPPMFPYPPPPPSYAAFMAPFAVPPGLPPPYAAYPYFGAVRHPAPYVQAVVQLQSVTAANFDAELDLVAALLPRHPYVVVDTEYPGTVHRPPAGARDADLSPDERYALVRANVDELPIVQLGLTLCDAHGNLPVVVDYETGHHVERAWEFNFSDFDINRHRHAAPSVEFLRSQGIDFDRARECGVSSAAFKDKLAGILSAPGGNELTWVAFGGAYDLAYLVKMLGDGQPLPETRAEFMERVRALLGGRVFDAKYMAENCGRGDLRGVGIRSVAANLGVLRPIVEPPCLAGPKSLTACRIHTVMRLHILSQDDVAAYEGLIDGLQ >PAN07782 pep chromosome:PHallii_v3.1:1:53319853:53323344:-1 gene:PAHAL_1G362200 transcript:PAN07782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFADLEAGALRAPLGRKARGGPNDARGLVFQITTAVASYRRLLNSLGTPKDTPTLRDHLQKTSQNILQLAKDAKDKLQKAAEADKSIDTSADKRVADMKLAKDFGATMEEFRKLQNLAIQREMAYKPVDPQYAQSNYTTDDRSADSGNMPEQRALLAESKRQEVLQLDNEIVFNEALIEEREQAIQEIQQQIGEVHEVFKDLATLVHAQGVIIEEIDINIENSSEATTEAKREVAKAGKTQKSNSSLLCLLMVIFGAALLVVIIVLAA >PVH66993 pep chromosome:PHallii_v3.1:1:55863146:55863244:-1 gene:PAHAL_1G402100 transcript:PVH66993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKQSRTKRGLVWEGSSSILGGWSWSSSRRS >PVH66825 pep chromosome:PHallii_v3.1:1:53071486:53071761:1 gene:PAHAL_1G359100 transcript:PVH66825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHIDYSARPIGNLLSSQVITIVSALTTAGGRWWLIAPNLDDGYLYYYYLVLAVICLKNSVAYVLLCCKHGKYGCPPPFPPVHKLNRFLA >PAN04488 pep chromosome:PHallii_v3.1:1:4770946:4772118:1 gene:PAHAL_1G069900 transcript:PAN04488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTKNKKKERRKPRPHQRLLLHSLGIFFLDDAQRPLQPRRRRRRGELLVPPRWAQRHPELPQRLLVELLVEEDVVLAAFVHLLAHRHDAHGLLLAAAAAKGTAAPPVTPPLGAGRQRRARAHGQRGHVGRRQRLLEHGLREHEVYLPRPEQVAGGQRRRVPVEVERVGVAHEERLRRVAAAAEHVGDAGRRGGRELLQRAVGGDEPGRRRRGRLVRLPPEAALMDARKLQSHGILKVFGLRFRIWIRWLKDGLGVYIDEDGDEGKKKKQR >PAN06803 pep chromosome:PHallii_v3.1:1:48513212:48515662:1 gene:PAHAL_1G289300 transcript:PAN06803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTPQSHPILAYVLSRLPSLPAVRTPRSPRERDLEQPSPRTPAGAAEIDLVGRMPGLRHPSVLSAMTRAVADVASARDAIGLLDPRPDHEQVDASRALLAAAGDKTDAATEEEEEKLAACREVVRLEEEHEAYGALLRKAEEKLEHVYRMAMHGRGIPEAGGGDGKWEEGAGAVDEEVVRVLKEAEEGRTLERVDLADRQLRLLPEPVGRIRGLLALDVSRNQLKVVPDAIGGLEQLEELRLASNNLVSLPDSIGLLSNLKLLDVSGNRLRVLPDTISKCSSLVELDASYNALAYLPTGIGLELVRLQTLRVHLNKLRSLPSSVCEMRSLRLLDAHFNELCGLPATIGRLSALETLDLSSNFSDMRDLPPSFGDLAGLRELDLSNNQIRALPDCFGRLGRLERLCLDQNPLAVPPPEVVDKGVEAVKEYMATRWAEAVAEEERRRLCAAAVADSPKASTPREWLTRSVSSLGTWVSDVTVKVVGQDTVAEEEEFMQQEY >PVH67114 pep chromosome:PHallii_v3.1:1:58000417:58001730:-1 gene:PAHAL_1G430700 transcript:PVH67114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKQGGDGNTAPRCPPHPGFVRGLCFLCGVKEEDAEGGAPELAIEENEMVEQGGSEAAAARCPPHPGFVRGVCSVCGAKEEDAGGSASRLAVGVGYTQRGRQVLPASATTSIPRATNLATLLRTRKLTLILDLDHTLLNSTGINDFSPMEERNGFTSNTMGDPGMGLFRLDTYGVPVLTKLRPFARGFLEQASAMFEMHVYTLAGRDYARAAVQLLDPDGVYFGARIVSSAESSRWDMKSLDVVPGTEVVAVVILDDSDAVWPGHQDNRILMDRYHYFASTCRKFGYNMNSMAELSRDEREHDGSLAVVLEVLKRVHEGFFDSVLDGHFSDVREVIREVRRQVLLGCTVAFSRLNYLQDFAMDSPVWTLAEKLGAVCRVDVDETVTHVVAEDPVTRKAQWARDHNKFLVSPEWIKAASFRWRRQDEQAFPVTRGS >PVH66996 pep chromosome:PHallii_v3.1:1:55961830:55962246:1 gene:PAHAL_1G403700 transcript:PVH66996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRSALSAILLAVLAVAAFAATAPASSLQGAPAPAPANPLPKAAPAMAPTPAKAPAPAPAKVAPAPAPTKNAAAPKGSAPSPHRHASSPASGSPVGEPAPAPRPSMPPKSAAAPAAGSASLVALAAAFAAVAVRAF >PAN04477 pep chromosome:PHallii_v3.1:1:4805010:4806023:-1 gene:PAHAL_1G070400 transcript:PAN04477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMKEMAQADAERVPPAWLRPLLETKYFEVCPDHPLGASRGTRSGGTCNFFCTDCPGRALCTSCLAGHPGHKVIQIRKLFGHGVVRVADVEALLNVSEMQPYLLNCHHVVFLNKRPMAGQGRTGEIMCAECERAILDAACRFCSIGCKLAALPDDLDFTVSFAVAPKSDSESLGGNDSDSSTDDHTFRPSGSRSAKLGRGNSAQEGEAGTSGASKLSSQHRRSKGVPENF >PVH65957 pep chromosome:PHallii_v3.1:1:8522062:8524964:-1 gene:PAHAL_1G111800 transcript:PVH65957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSATAAAAAPPLLPTPPSIRRFSPLLAPSIRSHAASPAYRAPWTRRHAPSPASPAPSTYKNPGRASASESWVRDKQVRAGLAPTSSTVGSKIPGRASLSNSWVQDKLAGTTPSATPSSTENCTGKDQRYDSPTPTSSSDSEESDCDSECEYYAGPAFLNAPPPSALPIPIPSLFVSLKEFEYP >PAN04992 pep chromosome:PHallii_v3.1:1:8031348:8031833:1 gene:PAHAL_1G107500 transcript:PAN04992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWAPPFSTPSQAPEFQSHGGMALTQLQIPASAASLSFIPSHAYPTGCRLSSLPWPKGIQSNPSQMAVLNLGGSGGKENAPPPLPAAHGIAVKNQTMMKRPGAGGKAAWRRPPLRDITCLFLAARCRSPPALADAELLGALKIPFLAKGFCPAGITNGMDL >PAN06316 pep chromosome:PHallii_v3.1:1:45928849:45930564:1 gene:PAHAL_1G255200 transcript:PAN06316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLDLGGGGEELKAACGCDTALFDDGSDAEERDDDDDDDPYAPPQSLRLRIGEDIDWSDVGGGAVLERDDSTKGAGANPKCAARRSVAAAAAARSSLSSSTPPAPRAVAVVIGGLAPAAGGKAAREHGRRRRSPCRLGERARVFAAGEATADRLAEPGSPKVSCLGGVRSQPRAAAEGVGGGRRWWAWLAADSLPAAAGTAGARGCPGGAKPTSHWFGGGGATRHRLCWMRTWHRGLGSSDRSVE >PVH65872 pep chromosome:PHallii_v3.1:1:6603648:6606939:-1 gene:PAHAL_1G092900 transcript:PVH65872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGAGRGGGKGGGGKGEGSLAYRAWRLYLLQLQQHPLRTKMITAGCLAGVSDSVAQKLSGYQKIEKRRLFLKMLFGFAHGGPFGHFLHKILDYIFKGKKDTKTIAKKVLLEQVTSSPWNNLLFLFYYGYVVERRPLKEVTIRVKKQYPSVQLSAWMFWPIVGWINHQYMPLQFRVIFHSLVACCWGIFLNLRARAMSLKQA >PAN08342 pep chromosome:PHallii_v3.1:1:56482341:56483977:-1 gene:PAHAL_1G410400 transcript:PAN08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRRPPPATAPSRPRKPPAAAPPLQGRASGTRFTLGGRPFYSNSNGFNAYWLMYMASDPADRSNAAAALEEAAAPGVYDEEVFRGLDYEIAEAKKREVHLILSLVNNWDGYGGKKQYVQWARDQGHYLNADDDFLHEHVTKGFYKNHVKAVLTRVNKLTGVAYKDDPTTFAWELMNEPRCQTDLSGKTLQAWIAEMAGYAKSVDPNHMVEIGLEGFYGESTPDRKTLNPGGYAVGTDFVSNNLIPSVDFATNHSYPTNGKLNAHGAPMQWRPPVPGASSDAQAAFMRRWMASHAGDAAEAASCCMVYDAIYASARSGGPLTGGLFWQVMETGMEGWTDGYDVVLGRCPSTAAVVSRECARITSLNQVS >PAN06301 pep chromosome:PHallii_v3.1:1:45786361:45806762:1 gene:PAHAL_1G253800 transcript:PAN06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MTTHHPSLLRPPILTRRVGVLGRRPTAHAFLSATVASARPPTPPLRLRPVRAAAGGASRVGGNGGKGAEPPPALGAALLDFARSNFLPLALVGGVILGLLDPNLGCLAHKYSLSKYSTFGIFVISGLTLRTKELGAALEAWPAGLYGLASILLFTPFLAQFIMQLQLFPREFITGLAMFCCMPTTLSSGVTLTQLVGGNSALALTMTVVSNLLGIIIVPLSLAMYIGAGAGVSLPTEQLFKSLVTRLLIPLIIGKVVREASKGIADFVDGNRQGFSVASAVLLSVVPWIQVSRSRSLILSVQVKAFAAAVAIGVLLHLALLAFNAGMLQILSRLEQKGESVFAKKEYARAVILVASQKTLPVLVAVVDQLGGALGESGFLVIPGVAAHINQIIIDSFIVNWWRKRDQQFTNAN >PAN04042 pep chromosome:PHallii_v3.1:1:2539620:2545755:-1 gene:PAHAL_1G037100 transcript:PAN04042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPRGGTTIDPAKCRLMSVDEKRELVRELSKIPETAPDRLQTWSRREIVEILCSDLGRERKYTGLSKQRMLDYLFRVVSGKSSGPVEHVQEKEKESIPEPNTNHQSPAKRPRKSENPSRLPIIANNSGASDVTGLTNSQRYCQNVACRAILREKFCRRCSCCICFSYDDNKDPSLWLFCSSDQPLQKDSCGFSCHLECALKDERTGIFQSGQCKKLDGGYYCTRCWKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILMSTEKYLVLQEIVDTALKKLEAEVGPISGAPNMGRGIVSRLTVGAEVQKLCVEAINAMESMFSGASPANSRLQRPCMVPPNFIKFEAITQSSVTVFLDLDQCPMLAQEATSFNLWHRVAVTESYLSNPTGIILTPSKKLPVTELAPATTYIFKVIAFKNSIELGSWVVRVKTSCQKEDPRGSVPGGAVAGLEQNNGSLKTNSDGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCEKPEILDSDKASHHPGEHMEDLQNTQLAAARVTEVTELEEEAPGLSASALDEEPNPCVQTVKLRESSNSVEHVPRPQDTSDAPPGNELVIVAPRYSGSVPPTAPRGMENGKENGARSFKPKPCDNIVQNGSSRPEREPGNSSNKRTSGKLDDIGHKDGCSEASYEYCVRVVRWLECEGYIETNFRVKFLTWFSLRATPHEKKIVSVYVDTLIEDPVSLSGQLVDSFSETIYSKKRSSMPSGFCMDLWH >PAN06670 pep chromosome:PHallii_v3.1:1:47909932:47911967:1 gene:PAHAL_1G280200 transcript:PAN06670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTVPAGGDKQQPHVVCVPFPAQGHVTPMVKLAKALHCKGFHVTFVNTEYNHRRLVRSRGPAAVAGLPGFRFATIPDGLPASDADATQDPASLCDSTMRTCAPHLKRLIEQLSSPGSGVPPVTCVVADNVMSFSLDAAREASVPCALFWTASACGYMGYRHFRFLMDEGLAPLKDEEQLRNGYLDMPVGLARGMSRHMRLRDFPTFIYTMQRGDILLDFMMREVARADAADAVILNTFDELEPAALDAMRAILPPPVYTIGPLGLLLERLAAAPGAAALATVRASLWKEDDACVRWLDGRPARSVVYVNYGCITTMSNEELVEFAWGLAGSGYDFLWIVRPDLVKGETAVLPAEFVESTRGRCLLASWCEQEAVLRHEAVGVFLTHSGWNSMTESLCAGVPMLCWPFFAEQQTNCRYACTEWGVGVEVAGGVRREALEARIKEAMAGDKGREMRRRAEEWKEAAVRATQPGGRALTNLEDLIHDVLLPSKSS >PAN06158 pep chromosome:PHallii_v3.1:1:44301462:44302199:-1 gene:PAHAL_1G241800 transcript:PAN06158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLERIHEDEEVYVDLEAGRHSPPPPPAPPPTAAANEPSRKNNRKKKTRTRCGCCCRRLACCLLLAAAVAAALLGALYLALDPKLPRYTVDALTVTAFGMDDDLTARARFDASVRFENPNRAIGIRYEDGSSLSVWFRGYRLSEGALPAFYQGPRGGAATVRVAMGEARLRGTGVVEAMRHVNGAGGELPLVFRGEVPVRVRVGPVTTARVTPRVRCDLVLDRLATEGSVRVKSMDCKFSLKLW >PAN04441 pep chromosome:PHallii_v3.1:1:4597835:4601068:-1 gene:PAHAL_1G067500 transcript:PAN04441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGHAVAPKFGSWDAENIGYTVFFEKVRDNKPAPPRPPSGGANDFDPYEHYENLSRKVPSRPPSSHGHGHAPAPAPKAPGGGGGGGYDYYDPYEHYDNLSSRNVPSRPPSSHGHSPAGYNHYDPYEHYDSRNVPSRPPSSHGHAPAAPQPHHRPPQQHQHPGGHHHRRTGSNGSNAASEASSRGSKFSPPRPYQPRYSSNNSYTAQPQSGGGHGAAHAQYQQHHHHHGAPRAASPTPSPPRHHQPPPPRRPKPSAVPRFGVWDEQNAAMAAQGFTVQFEKVKRHREEARTAPAPPAPRPQQALPPDHAVAAGAGARRYGKRKPERSFMSRMYRCLFPRVRECE >PAN13552 pep chromosome:PHallii_v3.1:2:48306684:48310681:-1 gene:PAHAL_2G346200 transcript:PAN13552 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVVQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQRA >PAN13551 pep chromosome:PHallii_v3.1:2:48306684:48310681:-1 gene:PAHAL_2G346200 transcript:PAN13551 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVVQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQRA >PVH64733 pep chromosome:PHallii_v3.1:2:48305309:48311182:-1 gene:PAHAL_2G346200 transcript:PVH64733 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVVQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQGPASNPEANCGHFQWAPVKSKEKRS >PVH64734 pep chromosome:PHallii_v3.1:2:48306684:48310681:-1 gene:PAHAL_2G346200 transcript:PVH64734 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVVQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQRA >PAN13549 pep chromosome:PHallii_v3.1:2:48306684:48310681:-1 gene:PAHAL_2G346200 transcript:PAN13549 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVVQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQRA >PAN13553 pep chromosome:PHallii_v3.1:2:48305309:48311179:-1 gene:PAHAL_2G346200 transcript:PAN13553 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVVQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQGPASNPEANCGHFQWAPVKSKEKRS >PAN13556 pep chromosome:PHallii_v3.1:2:48306684:48310681:-1 gene:PAHAL_2G346200 transcript:PAN13556 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVVQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQRA >PAN13550 pep chromosome:PHallii_v3.1:2:48305309:48310681:-1 gene:PAHAL_2G346200 transcript:PAN13550 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQGPASNPEANCGHFQWAPVKSKEKRS >PAN13555 pep chromosome:PHallii_v3.1:2:48306684:48310175:-1 gene:PAHAL_2G346200 transcript:PAN13555 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQRA >PAN13557 pep chromosome:PHallii_v3.1:2:48305309:48310903:-1 gene:PAHAL_2G346200 transcript:PAN13557 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVVQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQGPASNPEANCGHFQWAPVKSKEKRS >PAN13554 pep chromosome:PHallii_v3.1:2:48305309:48310321:-1 gene:PAHAL_2G346200 transcript:PAN13554 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFVIATPVEEEGLGEITREDLIKVDNEGRCIITDHGHFVLFNIYGPAVEADDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERAGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCDSAEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDAGRLVLSEDTLNDSYCSDGLENKTIAKVGLADAKGGNLPSLICKGGDLDQWRNEGLSGISYNRQKNSPSGTKGVPNKKIKRNLSSQPTIKSFFQPPRSETVNVSTSTLVTPVETVHCMNQTCVPNDDSLPESMQCTTSGAKDQDNTNVSSCSLSTDKCNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQRA >PAN10940 pep chromosome:PHallii_v3.1:2:11253513:11256637:-1 gene:PAHAL_2G127400 transcript:PAN10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVVAASLRALAASTSASLQKPTATPSPFLVLLAPTPPRRPLGLRSARRLPLAPLAASDSFESSVGVDYAEPAAAESEQEEEEAFASGEEEEDAAAEASAAVEEEEVGEYVEPPEEAKVYVGNLPYDVDSERLAQLFEQAGVVEVSEVIYNRETDQSRGFGFVTMSTVEEAEKAVEMFHRYDINGRLLTVNKAAPRGSRVERPPRQFGGSSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRESGRSRGFGFVTMETQEELDDAIAALDGQSLDGRALRVNVAEERSRRGF >PAN12532 pep chromosome:PHallii_v3.1:2:43395477:43397639:1 gene:PAHAL_2G270800 transcript:PAN12532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLSNNLIGILNFVTFLLSVPILGAGIWLGHRADGTECERYLSAPVIAFGVFLLAVSLAGLVGACCRVTWLLWVYLLAMFVLIVAVFCLTVFAFAVTNRGAGEAVSGRGYKEYRLGDYSNWLQKRVESTKNWNRIRSCLQDSKVCKSMQEKRETFADFMRSDLSPIESGCCKPPTSCQFTYESGTNWTKTPTSSTDPDCSTWSNEALCYNCQSCKAGVVATFKRDWKRVAVVNIVFLVFIIIVYSVGCCAFRNNRRDNAYRGGWKGGYA >PVH64769 pep chromosome:PHallii_v3.1:2:48960123:48961107:1 gene:PAHAL_2G356100 transcript:PVH64769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAAGARVAPRPATAVGFLPARDRRVGGGAIGIGWPRRAAAPKLSLPPAVARRAVSAAGGGGHLLPRCFVARPAGGSDGGFRREAPARASPPDAAEGATTARNSVSDHAEGIRRELMNLAVPAIVGQAIDPVAQLMETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQPASGTDDNLLLRA >PVH64771 pep chromosome:PHallii_v3.1:2:48959540:48962137:1 gene:PAHAL_2G356100 transcript:PVH64771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAAGARVAPRPATAVGFLPARDRRVGGGAIGIGWPRRAAAPKLSLPPAVARRAVSAAGGGGHLLPRCFVARPAGGSDGGFRREAPARASPPDAAEGATTARNSVSDHAEGIRRELMNLAVPAIVGQAIDPVAQLMETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQPASGELEVVFGHFYPADPGAGEE >PVH64768 pep chromosome:PHallii_v3.1:2:48959540:48962137:1 gene:PAHAL_2G356100 transcript:PVH64768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAAGARVAPRPATAVGFLPARDRRVGGGAIGIGWPRRAAAPKLSLPPAVARRAVSAAGGGGHLLPRCFVARPAGGSDGGFRREAPARASPPDAAEGATTARNSVSDHAEGIRRELMNLAVPAIVGQAIDPVAQLMETAYIGRLAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQPASGTDDNLLLRA >PVH64770 pep chromosome:PHallii_v3.1:2:48959540:48962137:1 gene:PAHAL_2G356100 transcript:PVH64770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAAGARVAPRPATAVGFLPARDRRVGGGAIGIGWPRRAAAPKLSLPPAVARRAVSAAGGGGHLLPRCFVARPAGGSDGGFRREAPARASPPDAAEGATTARNSVSDHAEGIRRELMNLAVPAIVGQAIDPVAQLMETAYIGRLAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQPASGELEVVFGHFYPADPGAGEE >PVH64772 pep chromosome:PHallii_v3.1:2:48960123:48961107:1 gene:PAHAL_2G356100 transcript:PVH64772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAAGARVAPRPATAVGFLPARDRRVGGGAIGIGWPRRAAAPKLSLPPAVARRAVSAAGGGGHLLPRCFVARPAGGSDGGFRREAPARASPPDAAEGATTARNSVSDHAEGIRRELMNLAVPAIVGQAIDPVAQLMETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQPASGTDDNLLLRA >PAN15581 pep chromosome:PHallii_v3.1:2:57686056:57694165:1 gene:PAHAL_2G499300 transcript:PAN15581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPYALHLAVAALVGASFAAASAYYMHRKTLDQLLRFARDQRRRTRLPPDGEAHDYDLEDAEEDQDHTPPHRDHDRRTMPIPPGLPPLHTGREGRPVISPGSTKRVGAIVRPTTPKSPVPTVSAFERIEDSDDEEDLVPDAKNDAAYLTTNGAIESELLPDKTSQNGELKAVPSTQMIRSHSATGSLHAPQLNPIAADILRKEPEHETFSKINITAVETPSSDEIEAYKVLQKCLELRERYIFREEVAPWEKEIITDPSTPKPNPNPFNYEHQAKTEHHFEMVDGVVHVYPNKDSKERLYPVVDATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLYEVTIDPASHPQLHVFLEQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLRKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYRRGPAGNDIHQTNVPHIRVEFRHTIWKEEMELIHLGNVKLPEEIDK >PVH63616 pep chromosome:PHallii_v3.1:2:5106605:5107419:-1 gene:PAHAL_2G069600 transcript:PVH63616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAAAWPIWAVQTTSDDSGPSLRPCCCCCSGRGLAAVVEQACCGGAAQQDAKHGRRACSGVQERDGKEKTSV >PAN10863 pep chromosome:PHallii_v3.1:2:9965237:9966176:-1 gene:PAHAL_2G119200 transcript:PAN10863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGYRQLCAKYHCATGLRHDTKQLSGRIRILKQMYGFIKDMHTDSGLGRDDQGWPTASKDWWDTKTKGCPEFKKLKWGPPEYFDLLEHCFHDVAVDGSSAFVPGQEEDEALYEDEAQGYEEEEEELQGTENNPMSSSGHKRASSTSTRSTADSPIKKSKSPMLKVMNQYLHMSARQSAERNLFLKKLGSKQENAEAKLEDAIKKAQQLAKQAGLDESSPAFYAVSHICKDEALMKFFINMETSEGRVASLRRY >PAN13173 pep chromosome:PHallii_v3.1:2:46625437:46627268:1 gene:PAHAL_2G318900 transcript:PAN13173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVTHVNIISLHHRKRYFFFPRPPHPCDMLNQAHQEKLSSQHILWSFKSLKDASNVLPFLPPIHISCQICHSQISSMGEPRSCKLWLLLLVFARWYHVSMAMTFTISNYCPHPIWPGTLAGAGTSQLSMTGFKLDPGQTVQLAAPAGWSGRIWARTGCVFDADGAGVCQTGDCGGRMECRGAGATPPATLFEVTLDGSGGQDFYDVSLVDGYNLPVVAIPQSRQGGACNATGCMADLNRSCPKELQVDCGGGAIACRSACEAFAQDRYCCSGSYATPDACHPTVYSSIFKSACPRAYSYAYDDSTSTFTCKASDYTIAFCLPTSGIKRSDAVFLGAQMDGESTDGGNTPPVYSGGNAPPVYNGGNAPPVYNNGGFEPPVYSYGGGGARQPAMTASSASPIYIRPWLLLVLLLVLLF >PAN12729 pep chromosome:PHallii_v3.1:2:44579443:44584132:-1 gene:PAHAL_2G287100 transcript:PAN12729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFASPAASNPFQTPAASNPFQTPSASNPFQTPAAPNPFQTPAPATTQAPSSSPSPFQFSFQQPQLQQPQQQVAPAAQPQPQPQQQQQKLMLYTTDMKPAVYNTKWEELHAESQKALLQIEDKIREYKDESERLDQCSRLYDSSISNVNFELDASHIAQELGGTTIVMEREKASIQELMTVVNEMMWNTEFAMRSYMMLRPSFVRPGAGVANGGSSNPSAGAPPNQPVVYFYSGVPKRPSVFMQHTVNRFECYLAECCKWISELEQLVQTENNKRSSDSLESLPKVMSNVHDYFIYVTSKVENLHQYVESMKTEYLNEQRRMGKRNDPFLEANRREAAKQEAAARRVHPTLHLPAPAPVQPTTQLAAPAGSQPQQSSFPSSVTSSSGFSAFITPASAPSSSSLFATPTTPAPSGGLFGTSGSAQLTTPFGTASTPTLASTPAPGFGTSTSLGGTSLFSTPFGGGATASGSSFGGASKGRSKPRGRR >PAN12730 pep chromosome:PHallii_v3.1:2:44580598:44583953:-1 gene:PAHAL_2G287100 transcript:PAN12730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFASPAASNPFQTPAASNPFQTPSASNPFQTPAAPNPFQTPAPATTQAPSSSPSPFQFSFQQPQLQQPQQQVAPAAQPQPQPQQQQQKLMLYTTDMKPAVYNTKWEELHAESQKALLQIEDKIREYKDESERLDQCSRLYDSSISNVNFELDASHIAQQELGGTTIVMEREKASIQELMTVVNEMMWNTEFAMRSYMMLRPSFVRPGAGVANGGSSNPSAGAPPNQPVVYFYSGVPKRPSVFMQHTVNRFECYLAECCKWISELEQLVQTENNKRSSDSLESLPKVMSNVHDYFIYVTSKVENLHQYVESMKTEYLNEQRRMGKRNDPFLEANRREAAKQEAAARRVHPTLHLPAPAPVQPTTQLAAPAGSQPQQSSFPSSVTSSSGFSAFITPASAPSSSSLFATPTTPAPSGGLFGTSGSAQLTTPFGTASTPTLASTPAPGFGTSTSLGGTSLFSTPFGGGATASGSSFGGASKGRSKPRGRR >PAN13843 pep chromosome:PHallii_v3.1:2:49594460:49597018:-1 gene:PAHAL_2G367500 transcript:PAN13843 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPDRESTDIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPNSLGMAFPPRPAYGVAPPIYNPALNPLMARPPIWPTPPAQAWYPQQVAYPQQPPVSVAPVVAGMPPQQPLFPIQNVPTPMTSAPANVLQTSFPMAPPGVPSPVAPQISQPLFPVNTSVVNGAASSPFVASVAPGTISASSPPAVGYGANNQGTGGPAVGSSPAVSNNKASGTQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVSCVFYV >PAN13845 pep chromosome:PHallii_v3.1:2:49594460:49597018:-1 gene:PAHAL_2G367500 transcript:PAN13845 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPDRESTDIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPNSLGMAFPPRPAYGVAPPIYNPALNPLMARPPIWPTPPAQAWYPQQVAYPQQPPVSVAPVVAGMPPQQPLFPIQNVPTPMTSAPANVLQTSFPMAPPGVPSPVAPQISQPLFPVNTSVVNGAASSPFVASVAPGTISASSPPAVGYGANNQGTGGPAVGSSPAVSNNKASGTQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVSCVFYV >PAN13844 pep chromosome:PHallii_v3.1:2:49592947:49597206:-1 gene:PAHAL_2G367500 transcript:PAN13844 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPDRESTDIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPNSLGMAFPPRPAYGVAPPIYNPALNPLMARPPIWPTPPAQAWYPQQVAYPQQPPVSVAPVVAGMPPQQPLFPIQNVPTPMTSAPANVLQTSFPMAPPGVPSPVAPQISQPLFPVNTSVVNGAASSPFVASVAPGTISASSPPAVGYGANNQGTGGPAVGSSPAVSNNKASGTQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQMNSVDAAIDRRISESRLAGRMAL >PAN15525 pep chromosome:PHallii_v3.1:2:57413518:57417493:-1 gene:PAHAL_2G494700 transcript:PAN15525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAKRAASLKVKRCVGNYELGRTIGEGTFAKVRIAKNMETGDHVAIKIIGKAKVQKHKLVEQIKREICTMKLIQHPNVVRLYEVMGSKTRIYIVLEFVMGGELHDIVATSGRLKEDEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDIAGNLKISDFGLSAISDQVKNDGLLHTTCGTPNYVAPEVIDDKGYDGALADLWSCGVILFVLLAGHLPFEDDNIASLYKKISGAQFTCPSWFSAGAKRLVTRILDPNPSTRITVPQVQKDPWFKKGYKPPVFDEKFQATLDDVHAAFGDSEELLVTEEMEGQPTSMNAFELISMNKGLNLENFFESDKKYKRETRFASECPPKEIINRIEEAAKPLGFDIQKKNYKMLMENPKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQNFYRTLSTELKDVVWVCDDQGKDGASTP >PAN12681 pep chromosome:PHallii_v3.1:2:44345692:44347106:1 gene:PAHAL_2G283600 transcript:PAN12681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSYSGGGPSAAGAALEVPLHLCFFLLVLLLFLGFSWYTSYESAAESFANQARILLMASPFALLLAVRLLSGASAGEGRGVGGLLAVPMPERDSIHRAGGSPWGVGLLLLLLLVMVSYQSNFREKWFPLPTR >PVH63532 pep chromosome:PHallii_v3.1:2:3568514:3570886:1 gene:PAHAL_2G048800 transcript:PVH63532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRSSVPDEREPKKGRVSAFEKSLKSYADNKSNVVINPAVGVSFDSHADAYDFCNLYSWEVAFGIRWNDSTNNAEESVMVQEIVCSCEGKPELSNTAPVSTDCKARIQLRKSDDNGWYILEFQGDHNHPPFGACKDFICKTIELFDDFGSLRRDDPSFHQFKTVLWTNGRSRMQYAHFGDAITFDTTYRSKLYDMPFALFVGVNNHYQSVILGGVLMQHETVESFKWVFREFVTLMGGKAPSTILTGQCNVMEVAIHEVLPDTTHKWCKVHVLSKENEFLGPICSKKSGFKDDFQKITDSMLTVREFESAWQHLLDKYNLHGNAFLSQIYDSRHKWAKPYFKGKFCAKQTSMQRNECANHMFKGYVPLNRSINMFVRHYNKLQSDLDSKERFEESRSGKRSRVMRKGVPVEEHAAKICTRTMFEKFDEISFQSGSYVVDEKERGKTYLARHIRSDCQECWSQVEFEVTIRAEDGAVVCECGLREHIGMPCCHAVKVMIHLGMLEIPAGNIVKRWTMDARDNLLADMIEHENDKAAESSESYRQSKLFIHAFEFVKFCSRSVLTFEVGLAGLVRLGQERL >PAN14199 pep chromosome:PHallii_v3.1:2:55266220:55267946:-1 gene:PAHAL_2G461700 transcript:PAN14199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAYVARGEVAEARKVFDGMRDRDLVSWNTMIHGYAVKGEVSMAREIFDGMEDRDDFSWSSMMSAYAKGRRSKEALELWQEMRAAYVSPDRITMVSVLSACGDMGALAVGAEVHQFVESNGIEVDVKLGTALIDMYAKCGDIENSLRVFHSMPAKDVLTWSSMIIGLANHGLGHDALSLFSRMISQGLQPNDITFIGVLIACTHLGLVSDGKKYFSSMSVVHGVAPKVEHFGCMVNLLGRSGHIEEARQLIRDMPFEPDAVIWRALLGACRIYKNVEVAEEAMAKLRVLDPHADGHYVLLSNIYAQANSWEGVAEMRTMLRRENIQRIPGRSSIEWQNTIHEFVSGDRSHPRSKEIYEILEEMMDRLRQAGYKPMTGLVLQDIDEQSKERALAEHSEKLAIAFGLLTTPARSTLRITKNLRACEDCHSAIKLISLVYDRKLIIRDRNRFHHFSEGQCSCKDYW >PAN14829 pep chromosome:PHallii_v3.1:2:54471243:54474424:1 gene:PAHAL_2G447300 transcript:PAN14829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPASSSAAMAAAPAITASSSFISSSLCSLRVSKTSPRRPTGRVSHKISCVSSPPAVETSYKTSVPRNANMAKLQAGYLFPEIARRRAAHLLKYPEAKIISLGIGDTTEPIPDVITNAMAERAHALSTMDGYSGYGAEQGEKKLRSAIAATYYANLGIEDSDIFVSDGAKCDISRLQVLFGSNVTIAVQDPSYPAYVDSSVIMGQTGLYQQDVQKYGNIEYMRCNPENGFFPDLSTVPRTDIIFFCSPNNPTGAAASRDQLTRLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMHDVVGFYKENTEIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >PAN10431 pep chromosome:PHallii_v3.1:2:7077204:7082116:1 gene:PAHAL_2G093800 transcript:PAN10431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMRHRARSSPTSPLTPSSSARTKKILGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFGQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGKDYWGFPGWRVAFMMVALVSLIIGILVYLYATDPRRIPGNNLLDEDDYERFHLSSKDVLPPPSIWRDSWVATRSVMKVKTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFIGGVIADRLSKHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYCRPCCGPGHGEDIRLRRKDCEPRKRICGRGVRALEGAADNDDCAFRCLRPVLQPPVPCVQARQRERETGQLQGAGASVMT >PAN10432 pep chromosome:PHallii_v3.1:2:7077204:7082116:1 gene:PAHAL_2G093800 transcript:PAN10432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMRHRARSSPTSPLTPSSSARTKKILGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFGQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGKDYWGFPGWRVAFMMVALVSLIIGILVYLYATDPRRIPGNNLLDEDDYERFHLSSKDVLPPPSIWRDSWVATRSVMKVKTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFIGGVIADRLSKHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWYAFAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDAKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLASFKEQELV >PVH63572 pep chromosome:PHallii_v3.1:2:4287768:4288521:-1 gene:PAHAL_2G058100 transcript:PVH63572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRETTPPPAASKPTKGKKAKEKTKAVGNRKKKIVSVPHDSPTMGTRSKIPQKQSPSLRTGSTSTSRLELLLDVVLFSFRGNSYFACSFMTQIYY >PAN13885 pep chromosome:PHallii_v3.1:2:49796789:49805830:-1 gene:PAHAL_2G370700 transcript:PAN13885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRICIKRSKLTSLLGELGLNIQEAHAYSTSDGYSLDIFVVEGWEYEVDILRNALRKGVDKIKYRAWPLVQSTSARIDHQPLEVSPPSDFVQIPVDAADVWEVDPRLLKFEQKIASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPILCIVTEFMHGGSIFDFLYNRRGSFQLPDVIRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDHRADVFSFGIVLWELLTGKLPYEDMTPLQAAVAVVQKNLRPAIAADTHPMLADLLQRCWQKDPALRPTFAEIVDILNSIKEVVRSSGHHKGHSGRSHSGRRRGC >PAN13886 pep chromosome:PHallii_v3.1:2:49797099:49805741:-1 gene:PAHAL_2G370700 transcript:PAN13886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESCGSRGASPPLQPSSAGGGAAGRRRKAEAYAEVLRRIRAGGYGGGLPELEDELWAHFQGLPARYALDVNVERVEDVLLHKKLLEQAREPMNGLVFDIRPSQVVTLEENTGFESSTSFKQEEQDPQCSGFASRDRRPLHEIIFACDDKPKLLSQLTSLLGELGLNIQEAHAYSTSDGYSLDIFVVEGWEYEVDILRNALRKGVDKIKYRAWPLVQSTSARIDHQPLEVSPPSDFVQIPVDAADVWEVDPRLLKFEQKIASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPILCIVTEFMHGGSIFDFLYNRRGSFQLPDVIRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDHRADVFSFGIVLWELLTGKLPYEDMTPLQAAVAVVQKNLRPAIAADTHPMLADLLQRCWQKDPALRPTFAEIVDILNSIKEVVRSSGHHKGHSGRSHSGRRRGC >PAN14765 pep chromosome:PHallii_v3.1:2:54223411:54227281:-1 gene:PAHAL_2G443000 transcript:PAN14765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLREVEDHPVHPMSKSNHGFFSGNGHEKKHLGHKIHDRDSSSESGQSHQEAPAVSESSLNENTSTQSDNDEGHGKHNQDTVHSVLSMGKQGSAFLPPKLDYNPSFACLPYPADAYYGGVLTGYPPHAVVHPQQNHTTNAPVMLPVEPAEEEPIYVNAKQYHAILRRRQTRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQNQQHQASGGSSSSKVIGNSISSQGDPTPTPSTPASSDTARASRANQDRTCFPSVGFRPAMNFSEPGGGGAKLVR >PAN14766 pep chromosome:PHallii_v3.1:2:54223792:54226949:-1 gene:PAHAL_2G443000 transcript:PAN14766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLREVEDHPVHPMSKSNHGFFSGNGHEKKHLGHKIHDRDSSSESGQSHQEAPAVSESSLNENTSTQSDNDEGHGKHNQDTVHSVLSMGKQGSAFLPPKLDYNPSFACLPYPADAYYGGVLTGYPPHAVVHPQQNHTTNAPVMLPVEPAEEEPIYVNAKQYHAILRRRQTRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQNQQHQASGGSSSSKVIGNSISSQGDPTPTPSTPASSDTARASRANQDRTCFPSVGFRPAMNFSEPGGGGAKLVR >PAN14764 pep chromosome:PHallii_v3.1:2:54223411:54228509:-1 gene:PAHAL_2G443000 transcript:PAN14764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLREVEDHPVHPMSKSNHGFFSGNGHEKKHLGHKIHDRDSSSESGQSHQEAPAVSESSLNENTSTQSDNDEGHGKHNQDTVHSVLSMGKQGSAFLPPKLDYNPSFACLPYPADAYYGGVLTGYPPHAVVHPQQNHTTNAPVMLPVEPAEEEPIYVNAKQYHAILRRRQTRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQNQQHQASGGSSSSKVIGNSISSQGDPTPTPSTPASSDTARASRANQDRTCFPSVGFRPAMNFSEPGGGGAKLVR >PAN14763 pep chromosome:PHallii_v3.1:2:54223411:54228769:-1 gene:PAHAL_2G443000 transcript:PAN14763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLREVEDHPVHPMSKSNHGFFSGNGHEKKHLGHKIHDRDSSSESGQSHQEAPAVSESSLNENTSTQSDNDEGHGKHNQDTVHSVLSMGKQGSAFLPPKLDYNPSFACLPYPADAYYGGVLTGYPPHAVVHPQQNHTTNAPVMLPVEPAEEEPIYVNAKQYHAILRRRQTRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQNQQHQASGGSSSSKVIGNSISSQGDPTPTPSTPASSDTARASRANQDRTCFPSVGFRPAMNFSEPGGGGAKLVR >PVH64539 pep chromosome:PHallii_v3.1:2:45387702:45393618:1 gene:PAHAL_2G298700 transcript:PVH64539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGAYREFKALAEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGATAGAEGGGGGRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEESKAAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPSNPQKAIIYHPTVSHLLAVQVLATICEELSQDSILLIYISASGCTEQNMASQKYASSSSSHATTASAFPIDKPNSHMSSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAIHNAEKGEPAALLLSPRISSAMPGVESTGHGSQFTYFLTAPMQAFCQLAGVTSDIDTDTYANAENILFSAFEEYEEILCTSVGLSNVWGQILPDPFLRRLILRFIFCRAVLFYFHPEEHEQHLPTCLPNLPESVSPAAEAIKTPILQLAENLVVSNRFHFRDSRHNKK >PVH64540 pep chromosome:PHallii_v3.1:2:45387876:45391535:1 gene:PAHAL_2G298700 transcript:PVH64540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGAYREFKALAEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGATAGAEGGGGGRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEESKAAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPSNPQKAIIYHPTVSHLLAVQVLATICEELSQDSILLIYISASGCTEQNMASQKYASSSSSHATTASAFPIDKPNSHMSSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAGHT >PAN12892 pep chromosome:PHallii_v3.1:2:45386936:45393618:1 gene:PAHAL_2G298700 transcript:PAN12892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGAYREFKALAEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGATAGAEGGGGGRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEESKAAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPSNPQKAIIYHPTVSHLLAVLATICEELSQDSILLIYISASGCTEQNMASQKYASSSSSHATTASAFPIDKPNSHMSSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAIHNAEKGEPAALLLSPRISSAMPGVESTGHGSQFTYFLTAPMQAFCQLAGVTSDIDTDTYANAENILFSAFEEYEEILCTSVGLSNVWGQILPDPFLRRLILRFIFCRAVLFYFHPEEHEQHLPTCLPNLPESVSPAAEAIKTPILQLAENLVVSNRFHFRDSRHNKK >PVH64537 pep chromosome:PHallii_v3.1:2:45386936:45393618:1 gene:PAHAL_2G298700 transcript:PVH64537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGAYREFKALAEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGATAGAEGGGGGRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEESKAAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPSNPQKAIIYHPTVSHLLAVLATICEELSQDSILLIYISASGCTEQNMASQKYASSSSSHATTASAFPIDKPNSHMSSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAIHNAEKGEPAALLLSPRISSAMPGVESTGHGSQFTYFLTAPMQAFCQLAGDTYANAENILFSAFEEYEEILCTSVGLSNVWGQILPDPFLRRLILRFIFCRAVLFYFHPEEHEQHLPTCLPNLPESVSPAAEAIKTPILQLAENLVVSNRFHFRDSRHNKK >PVH64536 pep chromosome:PHallii_v3.1:2:45387876:45391535:1 gene:PAHAL_2G298700 transcript:PVH64536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGAYREFKALAEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGATAGAEGGGGGRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEESKAAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPSNPQKAIIYHPTVSHLLAVLATICEELSQDSILLIYISASGCTEQNMASQKYASSSSSHATTASAFPIDKPNSHMSSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAGHT >PVH64538 pep chromosome:PHallii_v3.1:2:45387702:45393618:1 gene:PAHAL_2G298700 transcript:PVH64538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGAYREFKALAEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGATAGAEGGGGGRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEESKAAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPSNPQKAIIYHPTVSHLLAVQVLATICEELSQDSILLIYISASGCTEQNMASQKYASSSSSHATTASAFPIDKPNSHMSSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAIHNAEKGEPAALLLSPRISSAMPGVESTGHGSQFTYFLTAPMQAFCQLAGDTYANAENILFSAFEEYEEILCTSVGLSNVWGQILPDPFLRRLILRFIFCRAVLFYFHPEEHEQHLPTCLPNLPESVSPAAEAIKTPILQLAENLVVSNRFHFRDSRHNKK >PAN11327 pep chromosome:PHallii_v3.1:2:31296363:31309056:-1 gene:PAHAL_2G196100 transcript:PAN11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPDLSFSASISNVKLPRYGASKNEKILVKRHPKFRAEARPSESGKYGTNGRAIELRRTSGGGLAISETVNGSPNGTVNGSTKAPINGPAKAIVNGSTKAVTNGTLNITVNGTSLVKGSKMSSLVKTQKHTGFNNNPFREELKVLPSDEGFSWAKDNYNSMQRSIDIWSFVLSFRVRVLFDNAKWAYPGGFSEEKQKVQRQKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSIDVVYKEFEERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNVKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLGVLDSRGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVDKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRQRGGPELVREIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATIYTALGGTLLNVGVTLNSQGNQIIANGCFIGAGIFLALLIRSMQRVKKLDKFETMI >PAN11427 pep chromosome:PHallii_v3.1:2:20283407:20296473:-1 gene:PAHAL_2G162800 transcript:PAN11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRLPRWAPTPSPSRPLWGPSSPAAASGSRLLSPFRAMLGALRGHAAPTGEAQPPAAPAVDAEAAGGGVRGIHAGFDGIIVDHRDDDSRRKDIRRDGGVFITWEDVWVTAVDGRGKTATILHGISGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDTNLRSKGDILINGRQQKLAFGTSAYVTQENVLMATLTVREAIYYSAQIQLPDTMTVAEKLARADDTIQEMGLTSALDTRIGGRETKGISGGQRKRLTICLEILTRPRLLFLDEPTSGLDSAASYHVMSRITGLTAREGMTIVAVVHQPLSEVFELFHGLCLLASGQTIYFGPAANAAEFFTSNGYPCPPMRNPSDHFLRTINRDFELESGERRTVSKPSAAHEAIETLVNAYKSSNTSENAKKEMHDINEMSGVMLRRNQASFLTKVLVLTRRSFVNMYRDVGYYWLRLGIYISISLCLGTIYYNFGYGYDSIRSRSSMLMFTGGLLTLMAIGGFPSFVEEMKIFRRERLNGHYGVSAFVISNWLSATPYLVLIAVLPGAVAYYLSGLKRGVDHFMYFTLVLCACTMLVEGLMMIVAAIVPDFLMGIITGAGIQGIMMLNCGFFQIPSKLPKIVWKYPMFYISFHKYALQGFYKNEFLGLVLENNPGVGDKTITGEQVISKLFETEMGHSKWVDFAVLCGMIVAYRLLFVMIIKVVDVLKPMFKGETFRCHAQCICGMQNPCTPL >PVH64011 pep chromosome:PHallii_v3.1:2:20284557:20296330:-1 gene:PAHAL_2G162800 transcript:PVH64011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRLPRWAPTPSPSRPLWGPSSPAAASGSRLLSPFRAMLGALRGHAAPTGEAQPPAAPAVDAEAAGGGVRGIHAGFDGIIVDHRDDDSRRKDIRRDGGVFITWEDVWVTAVDGRGKTATILHGISGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDTNLRSKGDILINGRQQKLAFGTSAYVTQENVLMATLTVREAIYYSAQIQLPDTMTVAEKLARADDTIQEMGLTSALDTRIGGRETKGISGGQRKRLTICLEILTRPRLLFLDEPTSGLDSAASYHVMSRITGLTAREGMTIVAVVHQPLSEVFELFHGLCLLASGQTIYFGPAANAAEFFTSNGYPCPPMRNPSDHFLRTINRDFELESGERRTVSKPSAAHEAIETLVNAYKSSNTSENAKKEMHDINEMSGVMLRRNQASFLTKVLVLTRRSFVNMYRDVGYYWLRLGIYISISLCLGTIYYNFGYGYDSIRSRSSMLMFTGGLLTLMAIGGFPSFVEEMKNKVHV >PAN11425 pep chromosome:PHallii_v3.1:2:20281851:20296474:-1 gene:PAHAL_2G162800 transcript:PAN11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRLPRWAPTPSPSRPLWGPSSPAAASGSRLLSPFRAMLGALRGHAAPTGEAQPPAAPAVDAEAAGGGVRGIHAGFDGIIVDHRDDDSRRKDIRRDGGVFITWEDVWVTAVDGRGKTATILHGISGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDTNLRSKGDILINGRQQKLAFGTSAYVTQENVLMATLTVREAIYYSAQIQLPDTMTVAEKLARADDTIQEMGLTSALDTRIGGRETKGISGGQRKRLTICLEILTRPRLLFLDEPTSGLDSAASYHVMSRITGLTAREGMTIVAVVHQPLSEVFELFHGLCLLASGQTIYFGPAANAAEFFTSNGYPCPPMRNPSDHFLRTINRDFELESGERRTVSKPSAAHEAIETLVNAYKSSNTSENAKKEMHDINEMSGVMLRRNQASFLTKVLVLTRRSFVNMYRDVGYYWLRLGIYISISLCLGTIYYNFGYGYDSIRSRSSMLMFTGGLLTLMAIGGFPSFVEEMKIFRRERLNGHYGVSAFVISNWLSATPYLVLIAVLPGAVAYYLSGLKRGVDHFMYFTLVLCACTMLVEGLMMIVAAIVPDFLMGIITGAGIQGSQAEHPVRFCRPSPARLLQNFLHLGCSLCAPSPLPHGLPPSAPPRRVAPGVLRLWSRLERVAST >PAN13009 pep chromosome:PHallii_v3.1:2:45923420:45924363:1 gene:PAHAL_2G307300 transcript:PAN13009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADQFLGTAEGGLGGEALYCAVILWLSVVSWIIFTWVGGEDGGGRRGRRRRGSRGSPVFVGAAGICDGTGPGCSGGYGICGTCLD >PAN14156 pep chromosome:PHallii_v3.1:2:50910537:50915350:1 gene:PAHAL_2G388000 transcript:PAN14156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKESPSPRMGHTVTSVGNSTYVIGGRGGPSEILDDVWVLQSAENTWLRLECSGNIFRPRHRHAAAAVASKIYVFGGLSNEGIYSCMNVFDTKSKQWSMLPAAGEWPCARHSHSLVSYGSKLFMFGGHDGQQALNDFYSFDTTTLRWNKESTNGGTPSPRFSHCMFIYKNYLGILGGCPITGNNQEVTLLNLKHGVWFSVSIPMLSQCLCVRSSSVLIEDDLVIVGGGASCYAFGTRFNQPIIVDLHSVDSMFKLDNKDGTLTQSCVATSTVDLSRDESIIGHDMKSQNDACSGGFTDSGPLILQLEKKYAKLAKDILKKFGWLDLARKVRVSHDNSHVLFPVNEAFHVLNTDKHSKMEHDSCTLGEPLAFTENKLAGDNLSLQNALKILSSCNGSFLKDELAISRKPSKSPQTIMKELVSPLLGSKGMSSQLLEQLPARWETLGDIIILPKTCFKDPLWESVSEELWPLIAKSLGAQRLARQGKIMPNGTRDSTLELLLGNNGWVTHHENGIRYSLDATKCMFSSGNRSEKLRMGQLNCRDEVVVDLFAGIGYFVLPFLVKANAKFVYACEWNPHALEALRRNVRDNHVEDRCIILEGDNRVTAPKGVADRVCLGLLPSSECSWATAVRALRVEGGILHIHGNVNDSDETRWLDSVVESISDIAKAHGLPWNISLDHVERVKWYGPHIRHLVVDVRCRPI >PVH64928 pep chromosome:PHallii_v3.1:2:50908266:50915350:1 gene:PAHAL_2G388000 transcript:PVH64928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKGAKNNDEYLVPKRRNGGNNCDSDDRGDSEIGKGSLEAQCFENQNSSWSKGVDHGFGNKNYLSTAALKISGEPIEKLFLWGQSSCVFTVGGEQWVLTFGGFGGPGRHSRRNCSLLLDHKSGLLTEMIFKESPSPRMGHTVTSVGNSTYVIGGRGGPSEILDDVWVLQSAENTWLRLECSGNIFRPRHRHAAAAVASKIYVFGGLSNEGIYSCMNVFDTKSKQWSMLPAAGEWPCARHSHSLVSYGSKLFMFGGHDGQQALNDFYSFDTTTLRWNKESTNGGTPSPRFSHCMFIYKNYLGILGGCPITGNNQEVTLLNLKHGVWFSVSIPMLSQCLCVRSSSVLIEDDLVIVGGGASCYAFGTRFNQPIIVDLHSVDSMFKLDNKDGTLTQSCVATSTVDLSRDESIIGHDMKSQNDACSGGFTDSGPLILQLEKKYAKLAKDILKKFGWLDLARKVRVSHDNSHVLFPVNEAFHVLNTDKHSKMEHDSCTLGEPLAFTENKLAGDNLSLQNALKILSSCNGSFLKDELAISRKPSKSPQTIMKELVSPLLGSKGMSSQLLEQLPARWETLGDIIILPKTCFKDPLWESVSEELWPLIAKSLGAQRLARQGKIMPNGTRDSTLELLLGNNGWVTHHENGIRYSLDATKCMFSSGNRSEKLRMGQLNCRDEVVVDLFAGIGYFVLPFLVKANAKFVYACEWNPHALEALRRNVRDNHVEDRCIILEGDNRVTAPKGVADRVCLGLLPSSECSWATAVRALRVEGGILHIHGNVNDSDETRWLDSVVESISDIAKAHGLPWNISLDHVERVKWYGPHIRHLVVDVRCRPI >PAN14153 pep chromosome:PHallii_v3.1:2:50908266:50915350:1 gene:PAHAL_2G388000 transcript:PAN14153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARRKAAALAALSSPAPDKSPKGGVDAPIAPLLEVLNSHPDLFTTSSCSGRVSVLAQPQEGQGQGAAKPKKKARGGGWVYVSHDPADPDAVVEQLFGRSGSGAAGDELVLRFEPMIVAVECSDAAAAAALVAAAISAGFRESGITSLQKRAMVAIRCSIRMEVPLGQTGELVVSPEYVRYLVRIANSKMEANKKRMDRFLDLLQTKGLSGSSAGSNNCNGSQPVDHSASLGREVKMPLGKGAKNNDEYLVPKRRNGGNNCDSDDRGDSEIGKGSLEAQCFENQNSSWSKGVDHGFGNKNYLSTAALKISGEPIEKLFLWGQSSCVFTVGGEQWVLTFGGFGGPGRHSRRNCSLLLDHKSGLLTEMIFKESPSPRMGHTVTSVGNSTYVIGGRGGPSEILDDVWVLQSAENTWLRLECSGNIFRPRHRHAAAAVASKIYVFGGLSNEGIYSCMNVFDTKSKQWSMLPAAGEWPCARHSHSLVSYGSKLFMFGGHDGQQALNDFYSFDTTTLRWNKESTNGGTPSPRFSHCMFIYKNYLGILGGCPITGNNQEVTLLNLKHGVWFSVSIPMLSQCLCVRSSSVLIEDDLVIVGGGASCYAFGTRFNQPIIVDLHSVDSMFKLDNKDGTLTQSCVATSTVDLSRDESIIGHDMKSQNDACSGGFTDSGPLILQLEKKYAKLAKDILKKFGWLDLARKVRVSHDNSHVLFPVNEAFHVLNTDKHSKMEHDSCTLGEPLAFTENKLAGDNLSLQNALKILSSCNGSFLKDELAISRKPSKSPQTIMKELVSPLLGSKGMSSQLLEQLPARWETLGDIIILPKTCFKDPLWESVSEELWPLIAKSLGAQRLARQGKIMPNGTRDSTLELLLGNNGWVTHHENGIRYSLDATKCMFSSGNRSEKLRMGQLNCRDEVVVDLFAGIGYFVLPFLVKANAKFVYACEWNPHALEALRRNVRDNHVEDRCIILEGDNRVTAPKGVADRVCLGLLPSSECSWATAVRALRVEGGILHIHGNVNDSDETRWLDSVVESISDIAKAHGLPWNISLDHVERVKWYGPHIRHLVVDVRCRPI >PAN14155 pep chromosome:PHallii_v3.1:2:50908272:50915350:1 gene:PAHAL_2G388000 transcript:PAN14155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVMLNGNKNYLSTAALKISGEPIEKLFLWGQSSCVFTVGGEQWVLTFGGFGGPGRHSRRNCSLLLDHKSGLLTEMIFKESPSPRMGHTVTSVGNSTYVIGGRGGPSEILDDVWVLQSAENTWLRLECSGNIFRPRHRHAAAAVASKIYVFGGLSNEGIYSCMNVFDTKSKQWSMLPAAGEWPCARHSHSLVSYGSKLFMFGGHDGQQALNDFYSFDTTTLRWNKESTNGGTPSPRFSHCMFIYKNYLGILGGCPITGNNQEVTLLNLKHGVWFSVSIPMLSQCLCVRSSSVLIEDDLVIVGGGASCYAFGTRFNQPIIVDLHSVDSMFKLDNKDGTLTQSCVATSTVDLSRDESIIGHDMKSQNDACSGGFTDSGPLILQLEKKYAKLAKDILKKFGWLDLARKVRVSHDNSHVLFPVNEAFHVLNTDKHSKMEHDSCTLGEPLAFTENKLAGDNLSLQNALKILSSCNGSFLKDELAISRKPSKSPQTIMKELVSPLLGSKGMSSQLLEQLPARWETLGDIIILPKTCFKDPLWESVSEELWPLIAKSLGAQRLARQGKIMPNGTRDSTLELLLGNNGWVTHHENGIRYSLDATKCMFSSGNRSEKLRMGQLNCRDEVVVDLFAGIGYFVLPFLVKANAKFVYACEWNPHALEALRRNVRDNHVEDRCIILEGDNRVTAPKGVADRVCLGLLPSSECSWATAVRALRVEGGILHIHGNVNDSDETRWLDSVVESISDIAKAHGLPWNISLDHVERVKWYGPHIRHLVVDVRCRPI >PAN14154 pep chromosome:PHallii_v3.1:2:50908272:50915350:1 gene:PAHAL_2G388000 transcript:PAN14154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARRKAAALAALSSPAPDKSPKGGVDAPIAPLLEVLNSHPDLFTTSSCSGRVSVLAQPQEGQGQGAAKPKKKARGGGWVYVSHDPADPDAVVEQLFGRSGSGAAGDELVLRFEPMIVAVECSDAAAAAALVAAAISAGFRESGITSLQKRAMVAIRCSIRMEVPLGQTGELVVSPEYVRYLVRIANSKMEANKKRMDRFLDLLQTKGLSGSSAGSNNCNGSQPVDHSASLGREVKMPLGKGAKNNDEYLVPKRRNGGNNCDSDDRGDSEIGKGSLEAQCFENQNSSWSKGVDHGFGNAKRLTLEKLPGNKNYLSTAALKISGEPIEKLFLWGQSSCVFTVGGEQWVLTFGGFGGPGRHSRRNCSLLLDHKSGLLTEMIFKESPSPRMGHTVTSVGNSTYVIGGRGGPSEILDDVWVLQSAENTWLRLECSGNIFRPRHRHAAAAVASKIYVFGGLSNEGIYSCMNVFDTKSKQWSMLPAAGEWPCARHSHSLVSYGSKLFMFGGHDGQQALNDFYSFDTTTLRWNKESTNGGTPSPRFSHCMFIYKNYLGILGGCPITGNNQEVTLLNLKHGVWFSVSIPMLSQCLCVRSSSVLIEDDLVIVGGGASCYAFGTRFNQPIIVDLHSVDSMFKLDNKDGTLTQSCVATSTVDLSRDESIIGHDMKSQNDACSGGFTDSGPLILQLEKKYAKLAKDILKKFGWLDLARKVRVSHDNSHVLFPVNEAFHVLNTDKHSKMEHDSCTLGEPLAFTENKLAGDNLSLQNALKILSSCNGSFLKDELAISRKPSKSPQTIMKELVSPLLGSKGMSSQLLEQLPARWETLGDIIILPKTCFKDPLWESVSEELWPLIAKSLGAQRLARQGKIMPNGTRDSTLELLLGNNGWVTHHENGIRYSLDATKCMFSSGNRSEKLRMGQLNCRDEVVVDLFAGIGYFVLPFLVKANAKFVYACEWNPHALEALRRNVRDNHVEDRCIILEGDNRVTAPKGVADRVCLGLLPSSECSWATAVRALRVEGGILHIHGNVNDSDETRWLDSVVESISDIAKAHGLPWNISLDHVERVKWYGPHIRHLVVDVRCRPI >PVH64515 pep chromosome:PHallii_v3.1:2:44928360:44931001:1 gene:PAHAL_2G292100 transcript:PVH64515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSKRQLAKLALLALALLLLLAATLLCVFLIPRHHRKPLPPGPPPGNASNPDDSVVAFDFSPYLIMYKSGRVHRLDGTARCAAGVDEATGVASKDVVIDGGTGLAARMYLPPAPGGAKGKKDPGRLPVLVFYHGGAFVIESAFTPLYHAYLNAVAAKARVVAVSVEYRLAPEHRLPTAYDDSWQALNWVARNAGSGPEPWLRDRGNLSRLFVAGDSAGANIAHNMAMRAGTEGGLDGGAAITGLLLLDPYFWGKKPVAGETTDQATRRQYEATWSFICGGRYGIDDPLVNPLSAELRRLACSRVAVTSSGLDDFRPRGMAYAAALRDSGWDGVVEQYETPGERHVYFLDRPKDPNSVKELAFVTGFLSRE >PVH64516 pep chromosome:PHallii_v3.1:2:44928360:44931001:1 gene:PAHAL_2G292100 transcript:PVH64516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSKRQLAKLALLALALLLLLAATLLCVFLIPRHHRKPLPPGPPPGNASNPDDSVVAFDFSPYLIMYKSGRVHRLDGTARCAAGVDEATGVASKDVVIDGGTGLAARMYLPPAPGGAKGKKDPGRLPVLVFYHGGAFVIESAFTPLYHAYLNAVAAKARVVAVSVEYRLAPEHRLPTAYDDSWQALNWVARNAGSGPEPWLRDRGNLSRLFVAGDSAGANIAHNMAMRAGTEGGLDGGAAITGLLLLDPYFWGKKPVAGETTDQATRRQYEATWSFICGGRYGIDDPLVNPLSAELRRLACSRVAVTSSGLDDFRPRGMAYAAALRDSGWDGVVEQYETPGERHVYFLDRPKDPNSVKELAFVTGFLSRE >PAN13368 pep chromosome:PHallii_v3.1:2:47471186:47477653:-1 gene:PAHAL_2G331500 transcript:PAN13368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MSSEPPPAESPEAPASASPPKDAVASGGAAAAGVPETNTLWVGNLPSHVSEGDLMALFAPHGALDCALARAGSRSYAFVLFRTPAEARAAVEATRGEKVKGAAMRTEFARPARAVRNLWVGGISLSVSKEELEEEFQKFGKVEGVAFSHDQTSAYVDFEKLEDAISAHRSLNGRTLGGKELCVDFQRSKGRAEWSEASSFNGRVSGPSGDKRGTAPLKGSAGTRAREAQPTNILWVGFPGSYKVIDEEALKQAMTAFGVVTKIKIFQSRQYAFVEFASVVEAYNAKTNLDGHLFDDPRIQILFSNSELAPNKLDNPTSVSGFPRSEMYSSDGHHGLGSGTLQGYDQPRGGRSRHFDYGGLPTPGGILPPPEPFDPREAKRMRLDAGVDSYDVRAGSTSLYSAGFRNRDSSVHAEGSSSPAIRVRGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPITKGSDIPLPDVINCSARTGLDMLAKHYADATGFDVVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMSAAAALRPQMTGPELQPYYDERETLPTSQRKYSIISPSDNGHPDADYRGSLREESMHHLGHISGRPRMDEGQSVQPALAGFLTNQTAATHVQPSVKPDIMATLAKLMPSVQSSPLIANLQQPGQQFSRQASAAHLTNYGSMMGAPEHSTQHTAYNPEVALNLPPPPPPPIPAPTHSSSTLPSQGGHSLPTQTNQQLYQPEQYYVPQSNYGPLATGSHSNLQASNANNPAPPLPQVNPGPPANNQMGNLPQLQPSSHGQQHFAPGTAQAPDEADKSKKYQATLQLAQNLLLQIQRQSGNQS >PVH64942 pep chromosome:PHallii_v3.1:2:51000267:51003533:-1 gene:PAHAL_2G389700 transcript:PVH64942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDPPAAAKALGLPSYAKAVDAYRKAVGTAASVTAYVVLARGMARELLPHDLLSAARWAASLVRARLETPPPERRTLVIKSAAALLYGGGGADSGLYDDVREYLATRIDPHSMGRLCLGGRSKRALSLEHGDSMTDVFEGVEFKWASVAGQSQHGNGNEYDYGYGYRNVRTESLELSFDAEHTDMALGRYVPFITETVAEARRRESALHIFINEGSSWHGIKHHHPSTFDTLAMDPDLKQSVVADLDRFLKRTTTGGSARRGSAATSSTARPAPASPAWSRPSPTTSASTSTTSTSPRCPTTRCCRGCSTACPTSPSSSSRISIAASAPRQGKRKMTLILITFPTDQVKVTRSPA >PVH63407 pep chromosome:PHallii_v3.1:2:1494449:1495741:-1 gene:PAHAL_2G021200 transcript:PVH63407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNCYSETKKLANGRSLKSAAVAAGGHSWRIAFYPNGRLPGNTDFVSVYLLLDDAAGGAVTDDAVHVEARFMLIEVGYPAQRLESFKVAGAVGARHETLIGSERFGSRKELERLDFIVKPDRFTIRCDFTVVSTKGGSKPTVDAPASGGLPNPTPTVARSPPPQPSVQQAAAPAGASSRVPPPPAPPPVVQPAVRALRSSMSGLPADLGRLLETKQGADMDFEVRGKVFAAHKLVLAARSSVFMADFFGPAKEKATGSHIRIRDMRQDAFEALLHYMYTDSLPATVTNARAEAAVFAQDLLVAADRYNLKDLKSLTENKLCEHNVGASTVLPMLALAEHHQCWKLKEKCLEFIAAGRNTRAVMATDDVEHLARRCPSVVREVLTKILDAREATPSNRVMVSIDVSFYIYALIFIFPLGLCVLFYAILLK >PVH64510 pep chromosome:PHallii_v3.1:2:44808453:44812529:1 gene:PAHAL_2G289800 transcript:PVH64510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSVERVPLVSVASDSVNVEASLTDSEPPACLDFPEKAPVVHSRHLSEDLSALTINDLRVNNGEQNCNEQIEGKGISSHGHIRHFSADLSSLAINDLYANKGEENGHNLLNGKGESRPNSAERNIYKAAEIAERFIKSIDNRVLVDTGAPIESVKEAVSKFGGILDWKERRKNVQNALDKALEDTPDYQRRAEATEVEKKTVLMELCTTRRTIEGLKLNLEKAQTEAIQAQQDSELANIRFKEIQQGIACRESAAAKAEIELAKCRHANALAELQSVKDELEQLQKEYTSLKTKRDNAETKACESSAASQEIEKTVDDLTLELIAWKQLLTSSQATHIIAEEQKLNVALAYQQQKEKWQNELKQVDEEVQKIRDAASVNKDLKSKLEAASTLLVKLQDEFSNYLKGEEWPEEVTLDGEAGRPIVSTRAKLAKSRKELEDMRADIKRAKDEVRIHWNAAATLRADIERKETDLGALRHKEHLASVSVSSLQEELSNKTYELNIVHERTKAAEMPAELQQATKVMEQANSKAQMARHEVAKAREEADQFKAQVNVVKLRLEAVSREILAVNTSEEIATASANALQEYKLETQIDPQADRVNDNYVTLSLEEYDALSKKAQDAEDLAKKRVIKAVEKIKQAKDAEVRSLNQLEQLAKQINGRKLELRAAQEKANSAQYGKLTMENELRKRRAKHEQQTDAGESAHGIADLPNLKNSSLSFDAASSTSNPQMVESLSRADTIAATRVKEPKPRKSLFPRSIVAMFVSRKKTH >PAN12764 pep chromosome:PHallii_v3.1:2:44808173:44812529:1 gene:PAHAL_2G289800 transcript:PAN12764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSVERVPLVSVASDSVNVEASLTDSEPPACLDFPEKAPVVHSRHLSEDLSALTINDLRVNNGEQNCNEQIEGKGISSHGHIRHFSADLSSLAINDLYANKGEENGHNLLNGKGESRPNSAERNIYKAAEIAERFIKSIDNRVLVDTGAPIESVKEAVSKFGGILDWKERRKNVQNALDKALEDTPDYQRRAEATEVEKKTVLMELCTTRRTIEGLKLNLEKAQTEAIQAQQDSELANIRFKEIQQGIACRESAAAKAEIELAKCRHANALAELQSVKDELEQLQKEYTSLKTKRDNAETKACESSAASQEIEKTVDDLTLELIAWKQLLTSSQATHIIAEEQKLNVALAYQQQKEKWQNELKQVDEEVQKIRDAASVNKDLKSKLEAASTLLVKLQDEFSNYLKGEEWPEEVTLDGEAGRPIVSTRAKLAKSRKELEDMRADIKRAKDEVRIHWNAAATLRADIERKETDLGALRHKEHLASVSVSSLQEELSNKTYELNIVHERTKAAEMPAELQQATKVMEQANSKAQMARHEVAKAREEADQFKAQVNVVKLRLEAVSREILAVNTSEEIATASANALQEYKLETQIDPQADRVNDNYVTLSLEEYDALSKKAQDAEDLAKKRVIKAVEKIKQAKDAEVRSLNQLEQLAKQINGRKLELRAAQEKANSAQYGKLTMENELRKRRAKHEQQTDAGESAHGIADLPNLKNSSLSFDAASSTSNPQMVESLSRADTIAATRVKEPKPRKSLFPRSIVAMFVSRKKTH >PVH64805 pep chromosome:PHallii_v3.1:2:49156629:49163591:1 gene:PAHAL_2G360000 transcript:PVH64805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSAACPSGPARSSAERPHGLATPSTASDVIQLGVRGRRSSKRQEQQAGQRSVRVRALERSRALCRASAWWRSWSSAMPAADAASGTPAMAASATVVSVDEKIEKLRAEVAKLGQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLASPPEDLEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKIVEKYANSNIEIHTFNQSQYPRIVTEDFSPLPSKKSGKDGWYPPGHGDVFPSLNNSGKLDLLLTQGKEYVFVANSDNLGAIVDIKILNHLINNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALRMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVTRNPARANPANPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKPGVKLEIPDGAVLENKDVNGPEDL >PAN13720 pep chromosome:PHallii_v3.1:2:49158024:49163591:1 gene:PAHAL_2G360000 transcript:PAN13720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATVVSVDEKIEKLRAEVAKLGQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLASPPEDLEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKIVEKYANSNIEIHTFNQSQYPRIVTEDFSPLPSKKSGKDGWYPPGHGDVFPSLNNSGKLDLLLTQGKEYVFVANSDNLGAIVDIKILNHLINNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALRMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVTRNPARANPANPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKPGVKLEIPDGAVLENKDVNGPEDL >PAN15455 pep chromosome:PHallii_v3.1:2:57070264:57079946:-1 gene:PAHAL_2G489400 transcript:PAN15455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSRPVRAAGAASAAAEADPDAPGPSGGTKRAAKGEARRDVRVEVDRSTWGLADADHRDVAEVVLRDVSVSDDGEEALEEAFGASRFSLRMLVRDAPEEGFRMGQWPVVPSDCVLLEYVVHGDREEKHEQLVVSGCFDGPDEGVSGLAHLVSLRFVTLRVQSLRAFRDVGKARAESFRVRVEVMEGAFSACESLLEVARHPWRKSLMNMMAWLRPEVTTSAAIYRMDSLGVPMDDGANADFTPKSDSQFDLAAFYEAVKPSINAEQLEVGLPDLVPQLRPYQLRAAHWMVQREKGNILHHEYVYSAPYCVPIDFIHKNSSMFYNPFNGNISLRPEPSPPYVSGGILADEMGLGKTVELLACIFAHSRPSSIGLSISQNKTEMPQTKRQKVERVECVCGAASESSAYKGLWVQCDICDAWQHADCVGYAPKEDIHFDNTTEDVASKYEKSDNKPGIRRKKKPWCSIVDTEDKYVCALCLELTEAAQTNIFSHATLIVCPAPILAQWYSEITRHTRPGSLKVCIYEGARNLDLATIQKIDMTDISTADVVLTTYDVLKEDLSHDFDRHDGDRRFLRFQKRYPVIPTVLTRIHWWRLCLDEAQMVESSKTSVTEMAMRLHAQHRWCITGTPIQRRLDDLFGLLRFLRTSPFDTYRWWVDIIRDPYEKGDQIAMNYAHNFFREIMWRSSKVHVSGELQLPPQEECFSWLIFSSIEEYFYKKQHATCMDHAHQIIRSLRNDVNRKESTSDSNALLNVYLSNDDIVKLLVPLLKLRQACCHPQVGSSGLCSLQHNPLSMDEILQVLIGKAKIEGEEELRKIVVALNGLAGLAAIEQKNQEAISLYKEALALADENVDDFRVDPLLNLHINYNLAELLRTSSEYLQECPLKKQASEVDIGRKRKEISTVETDLCIIKRNKICENGVSSLTANGLETFEEDENVIGQACTNGEMDTENFAGGHSSSKCFADECLRKTCNAITEKYLSVFTSRLIVAQKDFNASFTEVLNITRELQNDYMNWWLHALDCIDQSNVSAGELLKKIDNSSTKSTTGLGSRGISSRVKSIAGLKYAIQADIDSLQSSRQRLMDRLLEVDNTMDNPRDEDIEGQRYCPKCYDGSGSLCIQCELDELFQRYEARLFLVKKSNNDSVIDTVEEAHDLQRRKYELNHFFRNKKSNEGSEPGYDNNNPRSARENIQVYRHPSRTETTLRVIQNHSKTVLGRQYSAIAKKHLLLFEAMRKEFSQARFLSIAQNQLLRAHDEIKMSISRLQLKEKDDEPSAVDIVTREELIPYNVQFTSEKFMSLSSLARVRGQLRYLKGLALCNKKTFNKHGESLPKAGDAVDIATSCSVTGQTISDISNEPCPICQEKVFDQKMVFQCGHFVCCKCCLYMTEQAATQFGKRKKWIMCPTCRQRTDLENVAFVVEKQSDKAEKSAEDLTESTISVQGSYGTKIEAVTRRILRITSTDGTAKVLVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSQSALSQFKGQLSNINVDKVKKTVSKMQPVQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFVHRFIVKKTIEESIYRLNRSRAVCSTINRKSKNFKDEPVLTLKDVESLFPMTAPDEPPELANEDHDDSLRSLPPSVAAGLAAERRRLMEQPDNQQ >PAN15454 pep chromosome:PHallii_v3.1:2:57069808:57080267:-1 gene:PAHAL_2G489400 transcript:PAN15454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSRPVRAAGAASAAAEADPDAPGPSGGTKRAAKGEARRDVRVEVDRSTWGLADADHRDVAEVVLRDVSVSDDGEEALEEAFGASRFSLRMLVRDAPEEGFRMGQWPVVPSDCVLLEYVVHGDREEKHEQLVVSGCFDGPDEGVSGLAHLVSLRFVTLRVQSLRAFRDVGKARAESFRVRVEVMEGAFSACESLLEVARHPWRKSLMNMMAWLRPEVTTSAAIYRMDSLGVPMDDGANADFTPKSDSQFDLAAFYEAVKPSINAEQLEVGLPDLVPQLRPYQLRAAHWMVQREKGNILHHEYVYSAPYCVPIDFIHKNSSMFYNPFNGNISLRPEPSPPYVSGGILADEMGLGKTVELLACIFAHSRPSSIGLSISQNKTEMPQTKRQKVERVECVCGAASESSAYKGLWVQCDICDAWQHADCVGYAPKEDIHFDNTTEDVASKYEKSDNKPGIRRKKKPWCSIVDTEDKYVCALCLELTEAAQTNIFSHATLIVCPAPILAQWYSEITRHTRPGSLKVCIYEGARNLDLATIQKIDMTDISTADVVLTTYDVLKEDLSHDFDRHDGDRRFLRFQKRYPVIPTVLTRIHWWRLCLDEAQMVESSKTSVTEMAMRLHAQHRWCITGTPIQRRLDDLFGLLRFLRTSPFDTYRWWVDIIRDPYEKGDQIAMNYAHNFFREIMWRSSKVHVSGELQLPPQEECFSWLIFSSIEEYFYKKQHATCMDHAHQIIRSLRNDVNRKESTSDSNALLNVYLSNDDIVKLLVPLLKLRQACCHPQVGSSGLCSLQHNPLSMDEILQVLIGKAKIEGEEELRKIVVALNGLAGLAAIEQKNQEAISLYKEALALADENVDDFRVDPLLNLHINYNLAELLRTSSEYLQECPLKKQASEVDIGRKRKEISTVETDLCIIKRNKICENGVSSLTANGLETFEEDENVIGQACTNGEMDTENFAGGHSSSKCFADECLRKTCNAITEKYLSVFTSRLIVAQKDFNASFTEVLNITRELQNDYMNWWLHALDCIDQSNVSAGELLKKIDNSSTKSTTGLGSRGISSRVKSIAGLKYAIQADIDSLQSSRQRLMDRLLEVDNTMDNPRDEDIEGQRYCPKCYDGSGSLCIQCELDELFQRYEARLFLVKKSNNDSVIDTVEEAHDLQRRKYELNHFFRNKKSNEGSEPGYDNNNPRSARENIQVYRHPSRTETTLRVIQNHSKTVLGRQYSAIAKKHLLLFEAMRKEFSQARFLSIAQNQLLRAHDEIKMSISRLQLKEKDDEPSAVDIVTREELIPYNVQFTSEKFMSLSSLARVRGQLRYLKGLALCNKKTFNKHGESLPKAGDAVDIATSCSVTGQTISDISNEPCPICQEKVFDQKMVFQCGHFVCCKCCLYMTEQAATQFGKRKKWIMCPTCRQRTDLENVAFVVEKQSDKAEKSAEDLTESTISVQGSYGTKIEAVTRRILRITSTDGTAKVLVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSQSALSQFKARSKWS >PAN15456 pep chromosome:PHallii_v3.1:2:57069973:57080267:-1 gene:PAHAL_2G489400 transcript:PAN15456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSRPVRAAGAASAAAEADPDAPGPSGGTKRAAKGEARRDVRVEVDRSTWGLADADHRDVAEVVLRDVSVSDDGEEALEEAFGASRFSLRMLVRDAPEEGFRMGQWPVVPSDCVLLEYVVHGDREEKHEQLVVSGCFDGPDEGVSGLAHLVSLRFVTLRVQSLRAFRDVGKARAESFRVRVEVMEGAFSACESLLEVARHPWRKSLMNMMAWLRPEVTTSAAIYRMDSLGVPMDDGANADFTPKSDSQFDLAAFYEAVKPSINAEQLEVGLPDLVPQLRPYQLRAAHWMVQREKGNILHHEYVYSAPYCVPIDFIHKNSSMFYNPFNGNISLRPEPSPPYVSGGILADEMGLGKTVELLACIFAHSRPSSIGLSISQNKTEMPQTKRQKVERVECVCGAASESSAYKGLWVQCDICDAWQHADCVGYAPKEDIHFDNTTEDVASKYEKSDNKPGIRRKKKPWCSIVDTEDKYVCALCLELTEAAQTNIFSHATLIVCPAPILAQWYSEITRHTRPGSLKVCIYEGARNLDLATIQKIDMTDISTADVVLTTYDVLKEDLSHDFDRHDGDRRFLRFQKRYPVIPTVLTRIHWWRLCLDEAQMVESSKTSVTEMAMRLHAQHRWCITGTPIQRRLDDLFGLLRFLRTSPFDTYRWWVDIIRDPYEKGDQIAMNYAHNFFREIMWRSSKVHVSGELQLPPQEECFSWLIFSSIEEYFYKKQHATCMDHAHQIIRSLRNDVNRKESTSDSNALLNVYLSNDDIVKLLVPLLKLRQACCHPQVGSSGLCSLQHNPLSMDEILQVLIGKAKIEGEEELRKIVVALNGLAGLAAIEQKNQEAISLYKEALALADENVDDFRVDPLLNLHINYNLAELLRTSSEYLQECPLKKQASEVDIGRKRKEISTVETDLCIIKRNKICENGVSSLTANGLETFEEDENVIGQACTNGEMDTENFAGGHSSSKCFADECLRKTCNAITEKYLSVFTSRLIVAQKDFNASFTEVLNITRELQNDYMNWWLHALDCIDQSNVSAGELLKKIDNSSTKSTTGLGSRGISSRVKSIAGLKYAIQADIDSLQSSRQRLMDRLLEVDNTMDNPRDEDIEGQRYCPKCYDGSGSLCIQCELDELFQRYEARLFLVKKSNNDSVIDTVEEAHDLQRRKYELNHFFRNKKSNEGSEPGYDNNNPRSARENIQVYRHPSRTETTLRVIQNHSKTVLGRQYSAIAKKHLLLFEAMRKEFSQARFLSIAQNQLLRAHDEIKMSISRLQLKEKDDEPSAVDIVTREELIPYNVQFTSEKFMSLSSLARVRGQLRYLKGLALCNKKTFNKHGESLPKAGDAVDIATSCSVTGQTISDISNEPCPICQEKVFDQKMVFQCGHFVCCKCCLYMTEQAATQFGKRKKWIMCPTCRQRTDLENVAFVVEKQSDKAEKSAEDLTESTISVQD >PAN12769 pep chromosome:PHallii_v3.1:2:44828989:44829996:1 gene:PAHAL_2G290300 transcript:PAN12769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRNMQVATRSLDADMTVDEFKEWLRRFDTDRDGRISREELRRAMRAIRARFTGWRSRQGISYADADGDGYIDDSEVDGLIEFAQKNLGLKIVAY >PAN12620 pep chromosome:PHallii_v3.1:2:43994107:43995315:1 gene:PAHAL_2G278500 transcript:PAN12620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPASSPSAPRSINGQQQRSNPIHPPMARPAHSIPGTGPGERLGKKGRMGRPPYAGAARDGEGSRLGFRLAGSAPLSSQPPAAAFLGGQGERNGGPPPIRSRCAEEDSGFFF >PVH63851 pep chromosome:PHallii_v3.1:2:10122660:10127715:1 gene:PAHAL_2G120800 transcript:PVH63851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPHRSSGGDPSSPSSPLLPSPASPSSGGAGGPLGRLTSLRGAARFIRRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLAERPAVPLRVWLAGYVLQCLLHVLCVTVEYRRRRREADQDGAADGDFKLSIVKHLESANTMFSFIWWIIGFYWVSAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKFKFRTMGDQDKLVAGIAAPVGGVMTECGTNPPVEHILSAEDAECCICLCPYEDGAELRELPCNHHFHCSCIDKWLHINATCPLCKFNIVKSNLDREEV >PAN10890 pep chromosome:PHallii_v3.1:2:10122660:10127715:1 gene:PAHAL_2G120800 transcript:PAN10890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPHRSSGGDPSSPSSPLLPSPASPSSGGAGGPLGRLTSLRGAARFIRRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLAERPAVPLRVWLAGYVLQCLLHVLCVTVEYRRRRREADQDGAADGDFKLSIVKHLESANTMFSFIWWIIGFYWVSAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQQEGASEEDINNLSKFKFRTMGDQDKLVAGIAAPVGGVMTECGTNPPVEHILSAEDAECCICLCPYEDGAELRELPCNHHFHCSCIDKWLHINATCPLCKFNIVKSNLDREEV >PAN14971 pep chromosome:PHallii_v3.1:2:54930490:54933881:1 gene:PAHAL_2G455300 transcript:PAN14971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWEGYVSDETMGTFAPIVLYWVYAGGYQLILHRRPLERYRLHTRAEEEEKNLVSLPAVVRGVLLQQLVQAIVAMILFMVTSDSSVTVVQPPIVVQIFQFLIAMLMMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTLGGAISFLVSGMTPRTAVFFFCFAVLKTVDDHCGLWLPYNIFQNLFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTHMPYNLVSRKEGGFEARPLRD >PVH63499 pep chromosome:PHallii_v3.1:2:3000656:3006412:-1 gene:PAHAL_2G042700 transcript:PVH63499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMDKQHEVLKRKLLHIMDVMIDAEEQAAAKREGAKAWLEKVRKVTYQANDVLDEFKYEALRRKAKEEGRYKDLSMDVIKLFPTHNRIIFRYRMANKLRMILQEIDDLIKEMNGFRFMFKPGSPEPINYLRQNNSVIVDPVNIAKESRAREMKDVVDRLLAQASSSDPTVIPVVGMGGLGKTTLAQLIYNDPEIKKHFQLRLWVCVSDNFEVDSVADRILKENGCKPTGCSALEKLQNAVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGKTEGAYKLESLGAYFIEKIIKTRAFSSKEEEWPGELVKMVGQVAKRCAGSPLAATALGSLLRTKTTEEEWKSVLRRSSIWDEENKILPVLKLSYNGLPSHMRQCFAFCAMFPKDYEIDVEMLIQLWMANGFILEKQGERPEITGKNIFVELAARSFFQDVKGIPFQFNHTEVSRITCKIHDLMHDVTMDSMGNECATIATKLSKSEDFPYSACHLFLSVNRAETILNASLAKGSPTFQTLIFGGKKPGRGFQKLSNCSSVRALKVQQSSFLRLKYLHHLRYLDLSKSDIEALPEDISILYHLQTLDLSNCADLQRLPKELKYLTSLRHLYTHGCRKLKSMPGGLGHLTSLQTLACFIADTDSGCSNVRELQDLDLGGRLELRQLENVTGANGAQAAGLGNKKKLTKLELIWTDGDQEAQNNNHKEVVQGLKPHDGLKVLGIYSCGSSTFPTWMDILNGMVELKLSGCKKLEKLPALWQLPALEILHLKGLESLHCLCSGATTAVIFQKLKVLTLVEMPNVEAWLDTDVVQGEETIFPKVEKLEIHECGSLTALPKAASVITESSGGVDTKRRSAFPALRNRTLFSLNMFDRWEVVEGTLVEGVTFPRLEELYILSCASLATLPIGSLLVEQSFGGAETVCCRSAFPALRKLELSGLSALERWGAVEGTPGEKVTFPLLEELKINVCPKLTDLPEAPKLSELAISARGHGQQISLQAASRCIPSLSRLRLDVSPDDTETILLHVSRNAIKNSPWQL >PAN14674 pep chromosome:PHallii_v3.1:2:53326297:53329840:1 gene:PAHAL_2G428600 transcript:PAN14674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLAGSQIPSPSPGQSPCAAAGPQRRPGRSMRTIRSALLQPDSAPGSPAAPRDGGGGGAGDSDIENLTDSVIDFHLSELAATAGPAHPAAVAKSSSAINAAATELLELSRDFSDYSSFNSDISGELERLAMAAAGAGAAFRSDAPDPARAAVDLNDLESMDLSPDAAPLERVEPFVLACVQALGPDAAPDARRAAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQENAVTALLNLSLEERNRSAITSAGAIKPLVYALRTGTAAAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAIVPLVHLIGERGSGTCEKAMVVLGSLAGIAEGRDAVVEAGGIPALVEAIEDGPAKEKEFAVVALLQLCSDCPHNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGVGCRAGSVAATSLAR >PAN14995 pep chromosome:PHallii_v3.1:2:55001373:55003533:-1 gene:PAHAL_2G456900 transcript:PAN14995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATATLSFASVPCIKHQLRRGASAFNAQQFSTSSSHWQHSANLKWGVPRLRAAKRVIVAQAGAGSYKVAILGAAGGIGEPLSLLIKMSPLVSALHLYDIANVNGVTADLSHCNTPVEVAGFTGKEELAGSLAGADVVVIPAGVPRKPGMTLDDLFSINAGIVKELVEAVADHAPGALIHIISNPVNSTVPIAAEVLKRKGAYDPRKLFGVTTLDVVRANAFVAATKGLPLADVDVPVVGGHAGTTILPLLSKARPKVAFTDEEVEELTARIQNAGTEVVEAKAGAGSATLSMAYAAARFLEASLRGLDGGDDVYECAYVQSQVVPELPFFASRVKLGREGIEEVVGSELRGLSEYEARALEALKPQLKASIDKGIAYVQQQQEAALN >PAN14994 pep chromosome:PHallii_v3.1:2:55001645:55002817:-1 gene:PAHAL_2G456900 transcript:PAN14994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATATLSFASVPCIKHQLRRGASAFNAQQFSTSSSHWQHSANLKWGVPRLRAAKRVIVAQAGAGSYKVAILGAAGGIGEPLSLLIKMSPLVSALHLYDIANVNGVTADLSHCNTPVEVAGFTGKEELAGSLAGADVVVIPAGVPRKPGMTLDDLFSINAGIVKELVEAVADHAPGALIHIISNPVNSTVPIAAEVLKRKGAYDPRKLFGVTTLDVVRANAFVAATKGLPLADVDVPVVGGHAGTTILPLLSKARPKVAFTDEEVEELTARIQNAGTEVVEAKAGAGSATLSMAYAAARFLEASLRGLDGGDDVYECAYVQSQVVPELPFFASRVKLGREGIEEVVGSELRGLSEYEARALEALKPQLKASIDKGIAYVQQQQEAALN >PAN14456 pep chromosome:PHallii_v3.1:2:52345579:52349204:1 gene:PAHAL_2G411600 transcript:PAN14456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSRIGRGAQLSLSQSLSRIVSEGGASPAEGASALRGAAALSRARGQHASSLHSLAFAGLAGRSAAAGGHLLQPAPSSRGIGTTLERLHPASSAAVAAELSDAEAREPEAAATPPPRRMPSLGPTKPAEKPRLVVLGTGWAACRLLKDVDTSTYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGVDTRAHEVFCTAAPGDGLPSDPYHFKIAYDKLVIASGAEPLTFNIKGVKENAIFLREVSHAQEIRKKLLTNLMLSENPGLSEEEKARLLHCVVVGGGPTGVEFSGELSDFIMRDVRERYAHVKDYVKVTLIEANEILSSFDIGLRQYATNHLSKYGVKLVRGIVKEVKPGEITLSDGTRVPYGLLVWSTGVGPSEFVKSLDLPKSPGGRIGVDEWLRVPSAPDVFALGDCAGFLEQTGKPVLPALAQVAEREGRYLARLLGRVAAQGGSKAHCIGKAELGEPFVYKHIGSMASVGRYKALVDLRENKDAKGVSMAGFISWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >PAN15259 pep chromosome:PHallii_v3.1:2:56114458:56120352:-1 gene:PAHAL_2G475500 transcript:PAN15259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEHTRVRAWEATVRKVQHPQPVGRRRVSPMSAAEDSETASSSASSSSGGGDDGEHVHGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKDGFMDGSGTYTGAAGDTYRGSWSMNLKHGNGTKRYANGDQYDGDWRAGLQDGTGRYTWRNGTEYTGQWRAGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDSPTGIVQQKGVYYPSPAASSPTARDPRDVFARDLPAFMGTSSNSASPRKARTSSVNRTTNGRASSVSGLSNSSGGDGKYDKICIWESDGDITCDIVDGPALGDEAVSVRRSFRTDGGGDDRVLLPSSPAPHITQWVPPREAKRQGETIAKGHKHYELMLNLQLGIRHAVGKQGPIVLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSEYPIHRRFDLKGSSLGRTTDKPHTEIDQYTTLKDLDLNFIFRLKKQWFQEFQRQVDRDCEFLEQEKIMDYSLLVGVHFIDNREKLLTEGSIDYEINNILATRLSRGNADQFIADPNRCPKIKLGANMRSRAELTARKSDCELQLIGEPTGEYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDATSISAVDPKQYSRRFRDFIYKAFQEDG >PVH65370 pep chromosome:PHallii_v3.1:2:56116187:56120014:-1 gene:PAHAL_2G475500 transcript:PVH65370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEHTRVRAWEATVRKVQHPQPVGRRRVSPMSAAEDSETASSSASSSSGGGDDGEHVHGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKDGFMDGSGTYTGAAGDTYRGSWSMNLKHGNGTKRYANGDQYDGDWRAGLQDGTGRYTWRNGTEYTGQWRAGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDSPTGIVQQKGVYYPSPAASSPTARDPRDVFARDLPAFMGTSSNSASPRKARTSSVNRTTNGRASSVSGLSNSSGGDGKYDKICIWESDGDITCDIVDGPALGDEAVSVRRSFRTDGGGDDRVLLPSSPAPHITQWVPPREAKRQGETIAKGHKHYELMLNLQLGIRHAVGKQGPIVLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSEYPIHRRFDLKGSSLGRTTDKPHTEIDQYTTLKDLDLNFIFRLKKQWFQEFQSRQVDRDCEFLEQEKIMDYSLLVGVHFIDNREKLLTEGSIDYEINNILATRLSRGNADQFIADPNRCPKIKLGANMRSRAELTARKSDCELQLIGEPTGEYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDATSISAVDPKQYSRRFRDFIYKAFQEDG >PVH64487 pep chromosome:PHallii_v3.1:2:44315815:44317918:1 gene:PAHAL_2G283000 transcript:PVH64487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRPHPPLHGAREAHSSARPPGNPPLHAEKHPPAHRHPSGSRGPCPRRGRPPAACQAPAGGRRGDHRPPLRRPAPVPAPSLRRRILRQAVGHPAGVEARLGPHRLRGVLGARGRGEARRAVRVPEHVQRRGARLLRAPGGAHGPRGARQDEAGAAHLRPRLRGFPDHRRVPRPRAARDVQAGHDPGRLRGVGGLPDRQVRRGEPDRGRPEQQGVRAGVAAAARRALPEAGAPAWAVPSATNTRRRRPRGSVEVAGHTGAALRRVRGLRQRSQADRRTAGGNSARPGGIRHAVPLGTQGAGGLRRRKRRRRVAGRLRGAGQRQGTGTRLRRLGASGQVLGSRIRRGVLDARWLELRHGGPRARRQAGAAAASVRPGPQRPASGGEEDRRRGGAR >PAN12794 pep chromosome:PHallii_v3.1:2:44913429:44915130:-1 gene:PAHAL_2G291700 transcript:PAN12794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARILLPLLLFLLGSHCWTGAAAARRQLLQARRAGDPNSQVKFDFSPFLIEYKSGRVKRLMGTDVVAASSDALTGVTSRDVVIDPSTGVAARLYLPSFRASARVPVLVYFHGGAFVVESAFTPIYHAYLNTLAARAGVVAVSVNYRLAPEHPLPAAYDDSWAALRWVLANAAGSDPWLSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEALDGGARIKGVALLDPYFQGQSPVGADATDPAYLQSAARTWSFICAGRYPINHPYADPLVLPAASWQHLGASRVLVTVSERDRLSPWQRAYYAALRGSGWPGEAELYETPGEGHVYFLTKLGSPQALAEMAKLVAFINRD >PAN12395 pep chromosome:PHallii_v3.1:2:42961129:42965772:-1 gene:PAHAL_2G265600 transcript:PAN12395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVEEASDSADQALLREQAAISRSTGQMDNVISQAQATLGSLMTQRSTFGSITSKISNVSSRLPTINHVLSSIRRKKSMDTIILSLVASVCAFLIFIYWLSK >PAN10155 pep chromosome:PHallii_v3.1:2:5618337:5623094:-1 gene:PAHAL_2G074600 transcript:PAN10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLPLRRCRLAAPARSSSDQSAMSPALRPVPSSPSPSPSPPLRFPGAAGSLRFGRRLFSSATAAGGREAGFPARESAELLGIPGVGPRNLRKLVDGGFRDLARLKQLYTDKPVGGSTEKMVQFLQSSVGIIHKSHAESITSFVKDNVVRELKEESEVPVMQPTKDRRITFCVEGNISVGKSTFLQKIANETVELRDLVEIVPEPVSKWQDVGPDHFNILGAFYAEPQRYAYTFQNYVFVTRLMQEKESCGGIKPLRLVERSIFSDRMVFVRAVHEANWLNGMELSIYDSWFDPVLSSLPGLIPDGFIYLRATPDTCHKRMMLRSRSEEGSVTLQYLQDLHEKHECWLLPSQHGDHRLLSASQLPYSMDHSLHPDIKDRVFYLEGSHVHSSIQKVPALVLDCEPNIDFSRDVEAKRKYALQVAKFFEYVKKLKEASPAQNVDAKAKNPQILLPHSGCVFLRDGSHLSESGLKPLTL >PAN12941 pep chromosome:PHallii_v3.1:2:45662097:45665475:-1 gene:PAHAL_2G302400 transcript:PAN12941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGKAEKARRSSARVRLWVARASTVLLWTCVVHLAAYREQWAPSVLTRWPGCPTQPHGVQLRSEAVATADGGQREAARSMALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKASFWADPSDFQDIFDMDYFIASLRDEVRILRQLPPRLKRRVEMGFLRSMPPVSWSDISYYHHQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYDALRFTPEIEMLGRRLVQALRRNGPFVVLHLRYEMDMLAFSGCTHGCSNKEAEELTKMRYAYPWWKEKVIDSDAKRKDGLCPLTPEETALVLQALGIDRSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDISLFQNHSSQMAALDYMVSLESDIFIPTYDGNMAKVVEGHRRYMGFKKTVLLDRKLIVGLVDQYRNGTLSWTDFSSAVKASHTSRMGEPSRRQAIPDKPKEEDYFYANPHECLHQPDDLSAL >PVH65209 pep chromosome:PHallii_v3.1:2:54039508:54039825:1 gene:PAHAL_2G439700 transcript:PVH65209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGRAAAASRRGTPGGGARRHRHSTAMRGEARSAARRPRPPPAAAAGARARPRAPRPAALSVHGRRFITQQAAKTTATVGGGEMFSGIAIVRSWSLADLAVAR >PAN11092 pep chromosome:PHallii_v3.1:2:14516564:14518297:1 gene:PAHAL_2G146700 transcript:PAN11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARWSAAVLFAAAVLTAASSCVLVAAVRDDDFFVEGSVYCDTCRAGFETNATTPIAGAKVRLECRHFKSASGAVERSAEGSTNATGQYHIELVDNRGAEEVCVVALVSSPMPGCGEKEVGRDRAPVALLTDAGLATTVRRANPLGFLKEQPLPNCGEILASYALRSAPSY >PAN11091 pep chromosome:PHallii_v3.1:2:14516564:14518297:1 gene:PAHAL_2G146700 transcript:PAN11091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARWSAAVLFAAAVLTAASSCVLVAAVRDDDFFVEGSVYCDTCRAGFETNATTPIAGAKVRLECRHFKSASGAVERSAEGSTNATGQYHIELVDNRGAEEVCVVALVSSPMPGCGEKEVGRDRAPVALLTDAGLATTVRRANPLGFLKEQPLPNCGEILASYALRSAPSY >PVH63962 pep chromosome:PHallii_v3.1:2:14516564:14518297:1 gene:PAHAL_2G146700 transcript:PVH63962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARWSAAVLFAAAVLTAASSCVLVAAVRDDDFFVEGSVYCDTCRAGFETNATTPIAGAKVRLECRHFKSASGAVERSAEGSTNATGQYHIELVDNRGAEEVCVVALVSSPMPGCGEKEVGRDRAPVALLTDAGLATTVRRANPLGFLKEQPLPNCGEILASYALRSAPSY >PVH64102 pep chromosome:PHallii_v3.1:2:28631582:28647051:-1 gene:PAHAL_2G186900 transcript:PVH64102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTISRIRLENFMCHSSLHIELGEQVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSCASILVDINNHGEDAFKPEVFGNVIILERRITESSSSTVLKGQHGRKVAHRKDDLVEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLGSIRKNLNAADSIVEELERSITPVLKELDDIQDKIKNMEHIEEIAHEIENLKKKLAWAWVYDVDKKIGEQTEKLEKLRERIPACQERIDRNTAIIEELRKEFIVKKENVRSFLEKTQEVRRMKEKMQHDIHEAVKLKMDLEKEHARGMQVLNKMNTRVRQLEAQVNEFQLQHMQQTQAEVFQVQDSIRELQQEINSANLNATRLNDEEKNLSDELQDIIKSISDIEKEIDEDGRRINQLKYQIEDLRQRQRDKLTAFGGDRVQSLYRSIERHHSRFKCPPIGPIGYHVQLASDCWSLAVDCALGRLLDAFIVSCHKDSLILRECAKEVKCHNLQIIIYDFAKPQLDIPNHLLPSTPHPTILSVIHSENPTILNVLVDQGHAERQVLVRDYEVGKSVAFDKRIRNLKEVYTSDGCKMYRRGSVEAILPPNRKWRTGRLCTSLGEKITEIENEAAEIKKINSERLDRKGKLVIDRNNINSELRTLKRKREDEEQRLERKKVQLDDTKKISIANKHDTAVDTSELMAEMMQVKEDIGNKELVLEKINLKLADALGEENDKRASYKDFMEYAHAEMGSISDVERELQLVEEKIHDARQDKAHYEGVMERKVLDPIKMAELELTDLQQLYQEYFEKASRICAESEVEALGGVRGSTMEQLSDRINKLNQKFQQESRRYTENIDDLRALHDKKGRKILRKQQMYAGFRDKLNACQKALDLRWRKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNEVLSVELTMPQDASRYTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMHGEGWG >PAN11557 pep chromosome:PHallii_v3.1:2:28630784:28648877:-1 gene:PAHAL_2G186900 transcript:PAN11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTISRIRLENFMCHSSLHIELGEQVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSCASILVDINNHGEDAFKPEVFGNVIILERRITESSSSTVLKGQHGRKVAHRKDDLVEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLGSIRKNLNAADSIVEELERSITPVLKELDDIQDKIKNMEHIEEIAHEIENLKKKLAWAWVYDVDKKIGEQTEKLEKLRERIPACQERIDRNTAIIEELRKEFIVKKENVRSFLEKTQEVRRMKEKMQHDIHEAVKLKMDLEKEHARGMQVLNKMNTRVRQLEAQVNEFQLQHMQQTQAEVFQVQDSIRELQQEINSANLNATRLNDEEKNLSDELQDIIKSISDIEKEIDEDGRRINQLKYQIEDLRQRQRDKLTAFGGDRVQSLYRSIERHHSRFKCPPIGPIGYHVQLASDCWSLAVDCALGRLLDAFIVSCHKDSLILRECAKEVKCHNLQIIIYDFAKPQLDIPNHLLPSTPHPTILSVIHSENPTILNVLVDQGHAERQVLVRDYEVGKSVAFDKRIRNLKEVYTSDGCKMYRRGSVEAILPPNRKWRTGRLCTSLGEKITEIENEAAEIKKINSERLDRKGKLVIDRNNINSELRTLKRKREDEEQRLERKKVQLDDTKKISIANKHDTAVDTSELMAEMMQVKEDIGNKELVLEKINLKLADALGEENDKRASYKDFMEYAHAEMGSISDVERELQLVEEKIHDARQDKAHYEGVMERKVLDPIKMAELELTDLQQLYQEYFEKASRICAESEVEALGGVRGSTMEQLSDRINKLNQKFQQESRRYTENIDDLRALHDKKGRKILRKQQMYAGFRDKLNACQKALDLRWRKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNEVLSVELTMPQDASRYTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAQGSQWIFITPHDISMVKAGDSIKKQQMAAPRG >PVH64103 pep chromosome:PHallii_v3.1:2:28631301:28647175:-1 gene:PAHAL_2G186900 transcript:PVH64103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTISRIRLENFMCHSSLHIELGEQVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSCASILVDINNHGEDAFKPEVFGNVIILERRITESSSSTVLKGQHGRKVAHRKDDLVEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLGSIRKNLNAADSIVEELERSITPVLKELDDIQDKIKNMEHIEEIAHEIENLKKKLAWAWVYDVDKKIGEQTEKLEKLRERIPACQERIDRNTAIIEELRKEFIVKKENVRSFLEKTQEVRRMKEKMQHDIHEAVKLKMDLEKEHARGMQVLNKMNTRVRQLEAQVNEFQLQHMQQTQAEVFQVQDSIRELQQEINSANLNATRLNDEEKNLSDELQDIIKSISDIEKEIDEDGRRINQLKYQIEDLRQRQRDKLTAFGGDRVQSLYRSIERHHSRFKCPPIGPIGYHVQLASDCWSLAVDCALGRLLDAFIVSCHKDSLILRECAKEVKCHNLQIIIYDFAKPQLDIPNHLLPSTPHPTILSVIHSENPTILNVLVDQGHAERQVLVRDYEVGKSVAFDKRIRNLKEVYTSDGCKMYRRGSVEAILPPNRKWRTGRLCTSLGEKITEIENEAAEIKKINSERLDRKGKLVIDRNNINSELRTLKRKREDEEQRLERKKVQLDDTKKISIANKHDTAVDTSELMAEMMQVKEDIGNKELVLEKINLKLADALGEENDKRASYKDFMEYAHAEMGSISDVERELQLVEEKIHDARQDKAHYEGVMERKVLDPIKMAELELTDLQQLYQEYFEKASRICAESEVEALGGVRGSTMEQLSDRINKLNQKFQQESRRYTENIDDLRALHDKKGRKILRKQQMYAGFRDKLNACQKALDLRWRKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNEVLSVEHGEGWG >PVH64101 pep chromosome:PHallii_v3.1:2:28631545:28647051:-1 gene:PAHAL_2G186900 transcript:PVH64101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTISRIRLENFMCHSSLHIELGEQVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSCASILVDINNHGEDAFKPEVFGNVIILERRITESSSSTVLKGQHGRKVAHRKDDLVEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLGSIRKNLNAADSIVEELERSITPVLKELDDIQDKIKNMEHIEEIAHEIENLKKKLAWAWVYDVDKKIGEQTEKLEKLRERIPACQERIDRNTAIIEELRKEFIVKKENVRSFLEKTQEVRRMKEKMQHDIHEAVKLKMDLEKEHARGMQVLNKMNTRVRQLEAQVNEFQLQHMQQTQAEVFQVQDSIRELQQEINSANLNATRLNDEEKNLSDELQDIIKSISDIEKEIDEDGRRINQLKYQIEDLRQRQRDKLTAFGGDRVQSLYRSIERHHSRFKCPPIGPIGYHVQLASDCWSLAVDCALGRLLDAFIVSCHKDSLILRECAKEVKCHNLQIIIYDFAKPQLDIPNHLLPSTPHPTILSVIHSENPTILNVLVDQGHAERQVLVRDYEVGKSVAFDKRIRNLKEVYTSDGCKMYRRGSVEAILPPNRKWRTGRLCTSLGEKITEIENEAAEIKKINSERLDRKGKLVIDRNNINSELRTLKRKREDEEQRLERKKVQLDDTKKISIANKHDTAVDTSELMAEMMQVKEDIGNKELVLEKINLKLADALGEENDKRASYKDFMEYAHAEMGSISDVERELQLVEEKIHDARQDKAHYEGVMERKVLDPIKMAELELTDLQQLYQEYFEKASRICAESEVEALGGVRGSTMEQLSDRINKLNQKFQQESRRYTENIDDLRALHDKKGRKILRKQQMYAGFRDKLNACQKALDLRWRKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNEVLSVELTMPQDASRYTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAQGSQWIFITPHDISMVKAGDSIKKQQMAAPRG >PAN11558 pep chromosome:PHallii_v3.1:2:28630784:28647051:-1 gene:PAHAL_2G186900 transcript:PAN11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTISRIRLENFMCHSSLHIELGEQVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSCASILVDINNHGEDAFKPEVFGNVIILERRITESSSSTVLKGQHGRKVAHRKDDLVEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLGSIRKNLNAADSIVEELERSITPVLKELDDIQDKIKNMEHIEEIAHEIENLKKKLAWAWVYDVDKKIGEQTEKLEKLRERIPACQERIDRNTAIIEELRKEFIVKKENVRSFLEKTQEVRRMKEKMQHDIHEAVKLKMDLEKEHARGMQVLNKMNTRVRQLEAQVNEFQLQHMQQTQAEVFQVQDSIRELQQEINSANLNATRLNDEEKNLSDELQDIIKSISDIEKEIDEDGRRINQLKYQIEDLRQRQRDKLTAFGGDRVQSLYRSIERHHSRFKCPPIGPIGYHVQLASDCWSLAVDCALGRLLDAFIVSCHKDSLILRECAKEVKCHNLQIIIYDFAKPQLDIPNHLLPSTPHPTILSVIHSENPTILNVLVDQGHAERQVLVRDYEVGKSVAFDKRIRNLKEVYTSDGCKMYRRGSVEAILPPNRKWRTGRLCTSLGEKITEIENEAAEIKKINSERLDRKGKLVIDRNNINSELRTLKRKREDEEQRLERKKVQLDDTKKISIANKHDTAVDTSELMAEMMQVKEDIGNKELVLEKINLKLADALGEENDKRASYKDFMEYAHAEMGSISDVERELQLVEEKIHDARQDKAHYEGVMERKVLDPIKMAELELTDLQQLYQEYFEKASRICAESEVEALGGVRGSTMEQLSDRINKLNQKFQQESRRYTENIDDLRALHDKKGRKILRKQQMYAGFRDKLNACQKALDLRWRKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNEVLSVEHGEGWG >PAN11559 pep chromosome:PHallii_v3.1:2:28631582:28647051:-1 gene:PAHAL_2G186900 transcript:PAN11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTISRIRLENFMCHSSLHIELGEQVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSCASILVDINNHGEDAFKPEVFGNVIILERRITESSSSTVLKGQHGRKVAHRKDDLVEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLGSIRKNLNAADSIVEELERSITPVLKELDDIQDKIKNMEHIEEIAHEIENLKKKLAWAWVYDVDKKIGEQTEKLEKLRERIPACQERIDRNTAIIEELRKEFIVKKENVRSFLEKTQEVRRMKEKMQHDIHEAVKLKMDLEKEHARGMQVLNKMNTRVRQLEAQVNEFQLQHMQQTQAEVFQVQDSIRELQQEINSANLNATRLNDEEKNLSDELQDIIKSISDIEKEIDEDGRRINQLKYQIEDLRQRQRDKLTAFGGDRVQSLYRSIERHHSRFKCPPIGPIGYHVQLASDCWSLAVDCALGRLLDAFIVSCHKDSLILRECAKEVKCHNLQIIIYDFAKPQLDIPNHLLPSTPHPTILSVIHSENPTILNVLVDQGHAERQVLVRDYEVGKSVAFDKRIRNLKEVYTSDGCKMYRRGSVEAILPPNRKWRTGRLCTSLGEKITEIENEAAEIKKINSERLDRKGKLVIDRNNINSELRTLKRKREDEEQRLERKKVQLDDTKKISIANKHDTAVDTSELMAEMMQVKEDIGNKELVLEKINLKLADALGEENDKRASYKDFMEYAHAEMGSISDVERELQLVEEKIHDARQDKAHYEGVMERKVLDPIKMAELELTDLQQLYQEYFEKASRICAESEVEALGGVRGSTMEQLSDRINKLNQKFQQESRRYTENIDDLRALHDKKGRKILRKQQMYAGFRDKLNACQKALDLRWRKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNEVLSVELTMPQDASRYTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMHGEGWG >PVH64875 pep chromosome:PHallii_v3.1:2:50063710:50074943:1 gene:PAHAL_2G374800 transcript:PVH64875 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEAADAAGADAVGGAGGGGGGGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNILWTACCCRSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKYFYRAWLLWMSLEGQHLPLMGWQSHGAAVNTFFLSKHTLFLPHTWRACQNWQRCIQM >PAN13955 pep chromosome:PHallii_v3.1:2:50063710:50075847:1 gene:PAHAL_2G374800 transcript:PAN13955 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEAADAAGADAVGGAGGGGGGGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAVAWSCCQHLLSVKAYTVFATHMEGLSELATMYPNVKILHFEVNLRNDRLDFKFRLKDGIRRVPHYGLLLARVAGLPASVIDTATSITSQITEQEMTRMDANCEEFRSLRMAYQVAQRLVCLKYSNQGDDYIREALRNLKEGYAAGRLT >PVH64872 pep chromosome:PHallii_v3.1:2:50063710:50074290:1 gene:PAHAL_2G374800 transcript:PVH64872 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEAADAAGADAVGGAGGGGGGGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAVAWSCCQHLLSVKA >PVH64878 pep chromosome:PHallii_v3.1:2:50063711:50074290:1 gene:PAHAL_2G374800 transcript:PVH64878 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEAADAAGADAVGGAGGGGGGGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKYFYRAWLLWMSLEGQHLPLMGWQSHGAAVNTFFLSKRKN >PVH64873 pep chromosome:PHallii_v3.1:2:50063710:50074290:1 gene:PAHAL_2G374800 transcript:PVH64873 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEAADAAGADAVGGAGGGGGGGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTADDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAVAWSCCQHLLSVKA >PVH64879 pep chromosome:PHallii_v3.1:2:50063711:50075847:1 gene:PAHAL_2G374800 transcript:PVH64879 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAVAWSCCQHLLSVKAYTVFATHMEGLSELATMYPNVKILHFEVNLRNDRLDFKFRLKDGIRRVPHYGLLLARVAGLPASVIDTATSITSQITEQEMTRMDANCEEFRSLRMAYQVAQRLVCLKYSNQGDDYIREALRNLKEGYAAGRLT >PAN13956 pep chromosome:PHallii_v3.1:2:50064575:50075847:1 gene:PAHAL_2G374800 transcript:PAN13956 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MLACVLQNEGRGSREGLQEAERKNINNKVGMGNIVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAVAWSCCQHLLSVKAYTVFATHMEGLSELATMYPNVKILHFEVNLRNDRLDFKFRLKDGIRRVPHYGLLLARVAGLPASVIDTATSITSQITEQEMTRMDANCEEFRSLRMAYQVAQRLVCLKYSNQGDDYIREALRNLKEGYAAGRLT >PVH64877 pep chromosome:PHallii_v3.1:2:50065025:50075389:1 gene:PAHAL_2G374800 transcript:PVH64877 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MGNIVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAVAWSCCQHLLSVKAYTVFATHMEGLSELATMYPNVKILHFEVNLRNDRLDFKFRLKDGIRRVPHYGLLLARVAGLPASVIDTATSITSQITEQEMTRMDANCEEFRSLRMAYQVAQRLVCLKYSNQGDDYIREALRNLKEGYAAGRLT >PVH64876 pep chromosome:PHallii_v3.1:2:50063710:50075847:1 gene:PAHAL_2G374800 transcript:PVH64876 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEAADAAGADAVGGAGGGGGGGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNILWTACCCRSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAVAWSCCQHLLSVKAYTVFATHMEGLSELATMYPNVKILHFEVNLRNDRLDFKFRLKDGIRRVPHYGLLLARVAGLPASVIDTATSITSQITEQEMTRMDANCEEFRSLRMAYQVAQRLVCLKYSNQGDDYIREALRNLKEGYAAGRLT >PVH64874 pep chromosome:PHallii_v3.1:2:50063710:50074290:1 gene:PAHAL_2G374800 transcript:PVH64874 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEAADAAGADAVGGAGGGGGGGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIDKQYPANKKVTIARGCFDDTKGAVMVKNLSARDSSALGLDTYCKQYYLCLAAASATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHAELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVSRRSFCDNSEAIHNLASKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFRRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTADDGPMAINAGRHPILETLHTNFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRMFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKYFYRAWLLWMSLEGQHLPLMGWQSHGAAVNTFFLSKRKN >PAN11293 pep chromosome:PHallii_v3.1:2:15022455:15027687:-1 gene:PAHAL_2G149000 transcript:PAN11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKYLGALADDRRPDFHRQVGCVTGILQAFDRRHPLASSHKRLLPPTAGHALSSSPSVGGDCTRFSPQEKNSSKTWAENQRTPAAEMSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERSFKCSPMLKSSFDSENGLNYPDDVLIKPDNMTAAQSSLPTLGIKNLVKDSIYKDSGDSSVRVFNGDEVKDHPFNFGDPPRQLDGPPRNSTQGKSKGLMDINESLRVLAKLREASWAPSESGHHARLSCDAPRFSYDDGKEAASRMREVPRLSLDIKEGHLWNRELNSRSKPILSSSDRSSSNGTGSNAGLETQQEQPACKRLPSVVAKLMGLEELPEHNESTASSQACKTVKESKQETMLNPLSISSHNESAPRQQRNQDSTVRNLPNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVTSVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGNHDDQEMVNLNVTMNSNTNSIWVPEESNAESSFKSPIVIMKPTKSANLFSEVDSSVIPLGGSSDLPHLQTGNSTDKRKASVINRTAKEQHAKWSPRVPTSQSLVSYDRKSNGKNEDSSNKQKFSSLLVTESSSRRQQLPRDSSTNLQKNKNSTSPRLLQRKLDSERRARPPISSAESNKSQRQSGDRNNLDTVSPRSKFRRKPVRAQEVNDGMPNGLNNRTGSLNQQGNDMSTRSDGNMCVASEVDIVVISRDRSTEVNVSNFEQGNGTPSGRNPQKVKTSYDASKDVSTIDPSTAISERPSPVSVLDSSFDQEDLFPTSGISTSLTADDERHTSEKPWKPSDTNPREAAMQSKNNKLANVASLLEKLQQLSINKDEDAPPVDHIAFLCETESPEHRYVSEILLASGLLMKDLGSAQSGLHVHSSGYPINPDLFHVLEQRKAGWVSKPDGIHQSRSGVKSDPKRAHRKLMFDTVNELLLQKFEQETAVYSVSSFTRAKDLPTKTLSGQHLVKFISSGIEDLEMEQSRICQKEGSVIPDAEILQRLQGWTSFHRELPGMVLEIERSIFKELVDEVVRGGSTDSPQMKAAGRRRRRLFA >PAN11294 pep chromosome:PHallii_v3.1:2:15022455:15027687:-1 gene:PAHAL_2G149000 transcript:PAN11294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKYLGALADDRRPDFHRQVGCVTGILQAFDRRHPLASSHKRLLPPTAGHALSSSPSVGGDCTRFSPQEKNSSKTWAENQRTPAAEMSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERSFKCSPMLKSSFDSENGLNYPDDVLIKPDNMTAAQSSLPTLGIKNLVKDSIYKDSGDSSVRVFNGDEVKDHPFNFGDPPRQLDGPPRNSTQGKSKGLMDINESLRVLAKLREASWAPSESGHHARLSCDAPRFSYDDGKEAASRMREVPRLSLDIKEGHLWNRELNSRSKPILSSSDRSSSNGTGSNAGLETQQEQPACKRLPSVVAKLMGLEELPEHNESTASSQACKTVKESKQETMLNPLSISSHNESAPRQQRNQDSTVRNLPNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVTSVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGNHDDQEMVNLNVTMNSNTNSIWVPEESNAESSFKSPIVIMKPTKSANLFSEVDSSVIPLGGSSDLPHLQTGNSTDKRKASVINRTAKEQHAKWSPRVPTSQSLVSYDRKSNGKNEDSSNKQKFSSLLVTESSSRRQQLPRDSSTNLQKNKNSTSPRLLQRKLDSERRARPPISSAESNKSQRQSGDRNNLDTVSPRSKFRRKPVRAQEVNDGMPNGLNNRTGSLNQQGNDMSTRSDGNMCVASEVDIVVISRDRSTEVNVSNFEQGNGTPSGRNPQKVKTSYDASKDVSTIDPSTAISERPSPVSVLDSSFDQEDLFPTSGISTSLTADDERHTSEKPWKPSDTNPREAAMQSKNNKLANVASLLEKLQQLSINKDEDAPPVDHIAFLCETESPEHRYVSEILLASGLLMKDLGSAQSGLHVHSSGYPINPDLFHVLEQRKAGWVSKPDGIHQSRSGVKSDPKRAHRKLMFDTVNELLLQKFEQETAVYSVSSFTRAKDLPTKTLSGQHLVKFISSGIEDLEMEQSRICQKEGSVIPDAEILQRLQGWTSFHRELPGMVLEIERSIFKELVDEVVRGGSTDSPQMKAAGRRRRRLFA >PAN11297 pep chromosome:PHallii_v3.1:2:15022084:15028456:-1 gene:PAHAL_2G149000 transcript:PAN11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKYLGALADDRRPDFHRQVGCVTGILQAFDRRHPLASSHKRLLPPTGHALSSSPSVGGDCTRFSPQEKNSSKTWAENQRTPAAEMSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERSFKCSPMLKSSFDSENGLNYPDDVLIKPDNMTAAQSSLPTLGIKNLVKDSIYKDSGDSSVRVFNGDEVKDHPFNFGDPPRQLDGPPRNSTQGKSKGLMDINESLRVLAKLREASWAPSESGHHARLSCDAPRFSYDDGKEAASRMREVPRLSLDIKEGHLWNRELNSRSKPILSSSDRSSSNGTGSNAGLETQQEQPACKRLPSVVAKLMGLEELPEHNESTASSQACKTVKESKQETMLNPLSISSHNESAPRQQRNQDSTVRNLPNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVTSVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGNHDDQEMVNLNVTMNSNTNSIWVPEESNAESSFKSPIVIMKPTKSANLFSEVDSSVIPLGGSSDLPHLQTGNSTDKRKASVINRTAKEQHAKWSPRVPTSQSLVSYDRKSNGKNEDSSNKQKFSSLLVTESSSRRQQLPRDSSTNLQKNKNSTSPRLLQRKLDSERRARPPISSAESNKSQRQSGDRNNLDTVSPRSKFRRKPVRAQEVNDGMPNGLNNRTGSLNQQGNDMSTRSDGNMCVASEVDIVVISRDRSTEVNVSNFEQGNGTPSGRNPQKVKTSYDASKDVSTIDPSTAISERPSPVSVLDSSFDQEDLFPTSGISTSLTADDERHTSEKPWKPSDTNPREAAMQSKNNKLANVASLLEKLQQLSINKDEDAPPVDHIAFLCETESPEHRYVSEILLASGLLMKDLGSAQSGLHVHSSGYPINPDLFHVLEQRKAGWVSKPDGIHQSRSGVKSDPKRAHRKLMFDTVNELLLQKFEQETAVYSVSSFTRAKDLPTKTLSGQHLVKFISSGIEDLEMEQSRICQKEGSVIPDAEILQRLQGWTSFHRELPGMVLEIERSIFKELVDEVVRGGSTDSPQMKAAGRRRRRLFA >PAN11291 pep chromosome:PHallii_v3.1:2:15022084:15028157:-1 gene:PAHAL_2G149000 transcript:PAN11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKYLGALADDRRPDFHRQVGCVTGILQAFDRRHPLASSHKRLLPPTAGHALSSSPSVGGDCTRFSPQVVLEKNSSKTWAENQRTPAAEMSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERSFKCSPMLKSSFDSENGLNYPDDVLIKPDNMTAAQSSLPTLGIKNLVKDSIYKDSGDSSVRVFNGDEVKDHPFNFGDPPRQLDGPPRNSTQGKSKGLMDINESLRVLAKLREASWAPSESGHHARLSCDAPRFSYDDGKEAASRMREVPRLSLDIKEGHLWNRELNSRSKPILSSSDRSSSNGTGSNAGLETQQEQPACKRLPSVVAKLMGLEELPEHNESTASSQACKTVKESKQETMLNPLSISSHNESAPRQQRNQDSTVRNLPNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVTSVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGNHDDQEMVNLNVTMNSNTNSIWVPEESNAESSFKSPIVIMKPTKSANLFSEVDSSVIPLGGSSDLPHLQTGNSTDKRKASVINRTAKEQHAKWSPRVPTSQSLVSYDRKSNGKNEDSSNKQKFSSLLVTESSSRRQQLPRDSSTNLQKNKNSTSPRLLQRKLDSERRARPPISSAESNKSQRQSGDRNNLDTVSPRSKFRRKPVRAQEVNDGMPNGLNNRTGSLNQQGNDMSTRSDGNMCVASEVDIVVISRDRSTEVNVSNFEQGNGTPSGRNPQKVKTSYDASKDVSTIDPSTAISERPSPVSVLDSSFDQEDLFPTSGISTSLTADDERHTSEKPWKPSDTNPREAAMQSKNNKLANVASLLEKLQQLSINKDEDAPPVDHIAFLCETESPEHRYVSEILLASGLLMKDLGSAQSGLHVHSSGYPINPDLFHVLEQRKAGWVSKPDGIHQSRSGVKSDPKRAHRKLMFDTVNELLLQKFEQETAVYSVSSFTRAKDLPTKTLSGQHLVKFISSGIEDLEMEQSRICQKEGSVIPDAEILQRLQGWTSFHRELPGMVLEIERSIFKELVDEVVRGGSTDSPQMKAAGRRRRRLFA >PAN11296 pep chromosome:PHallii_v3.1:2:15022455:15027687:-1 gene:PAHAL_2G149000 transcript:PAN11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKYLGALADDRRPDFHRQVGCVTGILQAFDRRHPLASSHKRLLPPTAGHALSSSPSVGGDCTRFSPQEKNSSKTWAENQRTPAAEMSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERSFKCSPMLKSSFDSENGLNYPDDVLIKPDNMTAAQSSLPTLGIKNLVKDSIYKDSGDSSVRVFNGDEVKDHPFNFGDPPRQLDGPPRNSTQGKSKGLMDINESLRVLAKLREASWAPSESGHHARLSCDAPRFSYDDGKEAASRMREVPRLSLDIKEGHLWNRELNSRSKPILSSSDRSSSNGTGSNAGLETQQEQPACKRLPSVVAKLMGLEELPEHNESTASSQACKTVKESKQETMLNPLSISSHNESAPRQQRNQDSTVRNLPNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVTSVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGNHDDQEMVNLNVTMNSNTNSIWVPEESNAESSFKSPIVIMKPTKSANLFSEVDSSVIPLGGSSDLPHLQTGNSTDKRKASVINRTAKEQHAKWSPRVPTSQSLVSYDRKSNGKNEDSSNKQKFSSLLVTESSSRRQQLPRDSSTNLQKNKNSTSPRLLQRKLDSERRARPPISSAESNKSQRQSGDRNNLDTVSPRSKFRRKPVRAQEVNDGMPNGLNNRTGSLNQQGNDMSTRSDGNMCVASEVDIVVISRDRSTEVNVSNFEQGNGTPSGRNPQKVKTSYDASKDVSTIDPSTAISERPSPVSVLDSSFDQEDLFPTSGISTSLTADDERHTSEKPWKPSDTNPREAAMQSKNNKLANVASLLEKLQQLSINKDEDAPPVDHIAFLCETESPEHRYVSEILLASGLLMKDLGSAQSGLHVHSSGYPINPDLFHVLEQRKAGWVSKPDGIHQSRSGVKSDPKRAHRKLMFDTVNELLLQKFEQETAVYSVSSFTRAKDLPTKTLSGQHLVKFISSGIEDLEMEQSRICQKEGSVIPDAEILQRLQGWTSFHRELPGMVLEIERSIFKELVDEVVRGGSTDSPQMKAAGRRRRRLFA >PAN11292 pep chromosome:PHallii_v3.1:2:15022082:15028184:-1 gene:PAHAL_2G149000 transcript:PAN11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKYLGALADDRRPDFHRQVGCVTGILQAFDRRHPLASSHKRLLPPTGHALSSSPSVGGDCTRFSPQEKNSSKTWAENQRTPAAEMSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERSFKCSPMLKSSFDSENGLNYPDDVLIKPDNMTAAQSSLPTLGIKNLVKDSIYKDSGDSSVRVFNGDEVKDHPFNFGDPPRQLDGPPRNSTQGKSKGLMDINESLRVLAKLREASWAPSESGHHARLSCDAPRFSYDDGKEAASRMREVPRLSLDIKEGHLWNRELNSRSKPILSSSDRSSSNGTGSNAGLETQQEQPACKRLPSVVAKLMGLEELPEHNESTASSQACKTVKESKQETMLNPLSISSHNESAPRQQRNQDSTVRNLPNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVTSVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGNHDDQEMVNLNVTMNSNTNSIWVPEESNAESSFKSPIVIMKPTKSANLFSEVDSSVIPLGGSSDLPHLQTGNSTDKRKASVINRTAKEQHAKWSPRVPTSQSLVSYDRKSNGKNEDSSNKQKFSSLLVTESSSRRQQLPRDSSTNLQKNKNSTSPRLLQRKLDSERRARPPISSAESNKSQRQSGDRNNLDTVSPRSKFRRKPVRAQEVNDGMPNGLNNRTGSLNQQGNDMSTRSDGNMCVASEVDIVVISRDRSTEVNVSNFEQGNGTPSGRNPQKVKTSYDASKDVSTIDPSTAISERPSPVSVLDSSFDQEDLFPTSGISTSLTADDERHTSEKPWKPSDTNPREAAMQSKNNKLANVASLLEKLQQLSINKDEDAPPVDHIAFLCETESPEHRYVSEILLASGLLMKDLGSAQSGLHVHSSGYPINPDLFHVLEQRKAGWVSKPDGIHQSRSGVKSDPKRAHRKLMFDTVNELLLQKFEQETAVYSVSSFTRAKDLPTKTLSGQHLVKFISSGIEDLEMEQSRICQKEGSVIPDAEILQRLQGWTSFHRELPGMVLEIERSIFKELVDEVVRGGSTDSPQMKAAGRRRRRLFA >PAN15279 pep chromosome:PHallii_v3.1:2:56224851:56228311:1 gene:PAHAL_2G477200 transcript:PAN15279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDMERKVASEEEMREWCVALPKVELHAHLNGSVRNSTLLELAKQLGDKGVIVFEDVKDVIMKDGRSLPECLKLFDLFHILTTDHDTVTRIAKEVVEDFAAENVVYLEIRTTPKNNEAKGMTKRSYMNAVIEGLKAVDAVDVVLFDSKSRRTNDTLTCTPTIELDGDTKKKRIYVRLLLSIDRRETTLAALDTVNLALEMKDQGVIGIDLSGNPVVGEWETYLPALQHAKELGIPITIHCGEVANRKEIQAMLDFCPQRLGHVCCLNDVEWKKLKSLMIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDCGLFSTSLSNEYYLVAATFGLSKPELFHLAQEAVQFVFADENVKKSLKEVFKHAEKRLTMLSEIGMPN >PVH65376 pep chromosome:PHallii_v3.1:2:56224933:56227558:1 gene:PAHAL_2G477200 transcript:PVH65376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDMERKVASEEEMREWCVALPKVELHAHLNGSVRNSTLLELAKQLGDKGVIVFEDVKDVIMKDGRSLPECLKLFDLFHILTTDHDTVTRIAKEVVEDFAAENVVYLEIRTTPKNNEAKGMTKRSYMNAVIEGLKAVDAVDVVLFDSKSRRTNDTLTCTPTIELDGDTKKKRIYVRLLLSIDRRETTLAALDTVNLALEMKDQGVIGIDLSGNPVVGEWETYLPALQHAKELGIPITIHCGEVANRKEIQAMLDFCPQRLGHVCCLNDVEWKKLKSLMIPIKCRLRYV >PVH63815 pep chromosome:PHallii_v3.1:2:9177656:9178547:-1 gene:PAHAL_2G112700 transcript:PVH63815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQEEWARQQHEYMQSFFAQQRQLQEMLAATLGSQFNLPPLPSPPPPPPTFVPYMHPPSPQVGSTSSHPRGVSGSPSTPPSTAHNISGGDGGSGT >PAN11020 pep chromosome:PHallii_v3.1:2:12051616:12056192:1 gene:PAHAL_2G134100 transcript:PAN11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEVHIAVGKNSRKEKANILWAAVNFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETKAMVNMLSQYKNLCGTRKVSAHYLTHDDTVAGVVNLVKKLKIKRIVIGSRNMSRQVALRQCCQVWVVLNGKHISTSNDHLEHSGNIGYGGSSDILASIHELGEESDGYMTPPSDLADESMDEEELNEMDDSDQLVMEDETMTEQGTEESVASDVMEDSAEEDADQSDEIQSFRNITEKAEKIMEEIDRLQKKLKELQGEGPNRDARSLSPRQMAASLKRKSLSEPRYPELQIPENIERFSTSQIGKATDHFHSRNFIGEGGYGPVYKGKLGDTPVAIKMLKPHGRQGFPEYQQEVMVLSKLEHPHIVRLMGVCPESCSLVYEHLPNGTLLERLSKGLLWKDRVRILAEQRSALAYLHSRRPHAIIHADLKLTNILLDAGNVSRLGDFGTARAVHVKPLEEETIGRRTNPMGTTGYMDPVFFMTGELTTESDVYAFGVVILQLLTGLLDLNVAEQAREAVKMDAVHSVLDVSAGAWPEVQAERLLKLALRCCSLERKRRPAITSDAEWRSLDILRAMATPASKSWKWNSHGS >PAN14045 pep chromosome:PHallii_v3.1:2:50419617:50424778:-1 gene:PAHAL_2G380600 transcript:PAN14045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGTRGRDPTADPSSRYFVDAAHPYASAAATALTSHRAKSKWSHLFSIPVPSPLPASAAAAVLLLLRRRPHTALRFHAFALPRLLPSHSPPPLVLSASAAHVAAASRLRGAALAVLASASRHYSPAQIFNALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGGRPLPTTAAALLRSLPSSAAALDMYHQIYTHSTPQSNHLLLPTVHTFNSLLLAFYREGKCNEFKIVLQEMGKYSCKHNVCTYSIRMAEYCDCRDVEKARGLWDEMIQEGIQPDVTAYNTIIGGNCRAGEVGMAEEMFKNMEMGGIDPSATTFEWLVRGHCMAGDVEAAMLVHVDMRRRGFGLASEVVEELLDALCQNGRVQDGLGVLREEMRREEFVPTWRSYEVLIKGFCDEGEVEVAMRLQAEMAGKGFNAGSEVYHAFIRAYEKSQDYEMVEKLRKEMLVMCT >PAN11745 pep chromosome:PHallii_v3.1:2:35903550:35907469:-1 gene:PAHAL_2G212700 transcript:PAN11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAAIGLVRPCAARQLVACPSHRRGGAVAVAGGGIRPVLPLRGLRLSARAGLVPASPLEEEEKRRCRDVAASASAAAAAQGAGEEAGGGLLKTLQLGALFGLWYLFNIYFNIYNKQVLKVLPYPINITNVQFAVGTAIALFMWITGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGEFPTPWVVLSLVPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLAPVTLLTEGVKVSPAVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPVNSLGTGVALAGVFLYSQLKRLKPKAKAA >PAN14322 pep chromosome:PHallii_v3.1:2:55909682:55913387:1 gene:PAHAL_2G471900 transcript:PAN14322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLTAQVPVLAAAAAHILLLTLPAAQCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEVPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPSKYGTGKVNREGVDYYNRLIDYMIQQGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYAEFCFQTFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSECAAGGNSTTEPYLVAHHLILSHAAAVKRYRDKYQLYQKGRIGILLDFVWYEPFSNSNADRAAAQRARDFHLGWFLDPIINGQYPYSMQEIVKDRLPSFSDEESRMVKGSIDYVGINHYTSYYMKDPGTWNLMPVSYQDDWHVGFVYERNGVPIGTHANSYWLYIVPWGINKAVNYVKETYKNPIMILAENGMDQPGNGSITQGVHDTIRIRYYRNYIKELKKAIDEGARVIGYFAWSLLDNFEWRLGYTSRFGLVYVDYKTLKRYPKDSAFWFKHMLSKKRG >PAN15209 pep chromosome:PHallii_v3.1:2:55909662:55913387:1 gene:PAHAL_2G471900 transcript:PAN15209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLTAQVPVLAAAAAHILLLTLPAAQCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEVPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGKVNREGVDYYNRLIDYMIQQGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYAEFCFQTFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSECAAGGNSTTEPYLVAHHLILSHAAAVKRYRDKYQLYQKGRIGILLDFVWYEPFSNSNADRAAAQRARDFHLGWFLDPIINGQYPYSMQEIVKDRLPSFSDEESRMVKGSIDYVGINHYTSYYMKDPGTWNLMPVSYQDDWHVGFVYERNGVPIGTHANSYWLYIVPWGINKAVNYVKETYKNPIMILAENGMDQPGNGSITQGVHDTIRIRYYRNYIKELKKAIDEGARVIGYFAWSLLDNFEWRLGYTSRFGLVYVDYKTLKRYPKDSAFWFKHMLSKKRG >PAN10919 pep chromosome:PHallii_v3.1:2:10789211:10795529:-1 gene:PAHAL_2G124400 transcript:PAN10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSSTNEHTGRGTMWELERNLDQPMDAEAGRLRNMYREKTYPTLVLLQLAFQSLGVVFGDLGTSPLYVFYNIFPHDIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEDLTTYSRHTYDEKSLAAKIKRWLEGHQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEKPKMRNDVVVILSVVILIGLFSMQHFGTDKVSWLFAPIVFVWFILIGILGAVNISKYDRSVLKAFNPMYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAYIAQNRNSVVHAFYYSLPDSVRWPSFIVATAAAVVASQATISMTYSIIKQALALGCFPRVRIIHTSKKYLGQIYSPDINWILLIFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMVPIMLLVWRSHWSLVILFTALSLVVEIPYFSAVVRKIDQGGWVPLVFAGAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLFNSLMLFVRLESMMEEYTDSDEYSTRELNQAGNANSRINGINAGSNMDLSYTSHDSIIQVQSPNHTGSSQEMPSGQTYQTVGDEIAFLNACREAGVVHILGNTIIRARRDSGLLKKIAINYMYAFLRKICRENSVIFNVPHESLLNVGQVFYV >PAN10918 pep chromosome:PHallii_v3.1:2:10789211:10795117:-1 gene:PAHAL_2G124400 transcript:PAN10918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSSTNEHTGRGTMWELERNLDQPMDAEAGRLRNMYREKTYPTLVLLQLAFQSLGVVFGDLGTSPLYVFYNIFPHDIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEDLTTYSRHTYDEKSLAAKIKRWLEGHQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEKPKMRNDVVVILSVVILIGLFSMQHFGTDKVSWLFAPIVFVWFILIGILGAVNISKYDRSVLKAFNPMYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAYIAQNRNSVVHAFYYSLPDSVRWPSFIVATAAAVVASQATISMTYSIIKQALALGCFPRVRIIHTSKKYLGQIYSPDINWILLIFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMVPIMLLVWRSHWSLVILFTALSLVVEIPYFSAVVRKIDQGGWVPLVFAGAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLFNSLMLFVRLESMMEEYTDSDEYSTRELNQAGNANSRINGINAGSNMDLSYTSHDSIIQVQSPNHTGSSQEMPSGQTYQTVGDEIAFLNACREAGVVHILGNTIIRARRDSGLLKKIAINYMYAFLRKICRENSVIFNVPHESLLNVGQVFYV >PVH63870 pep chromosome:PHallii_v3.1:2:10789211:10795496:-1 gene:PAHAL_2G124400 transcript:PVH63870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSSTNEHTGRGTMWELERNLDQPMDAEAGRLRNMYREKTYPTLVLLQLAFQSLGVVFGDLGTSPLYVFYNIFPHDIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEDLTTYSRHTYDEKSLAAKIKRWLEGHQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEKPKMRNDVVVILSVVILIGLFSMQHFGTDKVSWLFAPIVFVWFILIGILGAVNISKYDRSVLKAFNPMYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAYIAQNRNSVVHAFYYSLPDSVRWPSFIVATAAAVVASQATISMTYSIIKQALALGCFPRVRIIHTSKKYLGQIYSPDINWILLIFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMVPIMLLVWRSHWSLVILFTALSLVVEIPYFSAVVRKIDQGGWVPLVFAGAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLFNSLMLFVRLESMMEEYTDSDEYSTRELNQAGNANSRINGINAGSNMDLSYTSHDSIIQVQSPNHTGSSQEMPSGQTYQTVGDEIAFLNACREAGVVHILGNTIIRARRDSGLLKKIAINYMYAFLRKICRENSVIFNVPHESLLNVGQVFYV >PAN14310 pep chromosome:PHallii_v3.1:2:51656062:51659086:-1 gene:PAHAL_2G399500 transcript:PAN14310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEGTTWVFDCPLMDDLAVAADFAAAPAGGFFWSAAAPMQPLGVQAPMQAVAAAPAPSPCVEISGSVDSDQGKEQTTNKRPRSESTAQPSTKACREKIRRDKLNERFLELGAILEPGKTPKMDKSAILNDAIRVVGELRSEAKKLKDSNESLQEKIKELKAEKNELRDEKQRLKAEKESLEQQIKFLNSRPSLVPHPPVMPASAFAAPQGPAAACHKLMMPVIGYPGFPMWQFMPPSDVDTSNDPKSCPPVA >PAN14309 pep chromosome:PHallii_v3.1:2:51656422:51658914:-1 gene:PAHAL_2G399500 transcript:PAN14309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEGTTWVFDCPLMDDLAVAADFAAAPAGGFFWSAAAPMQPLGVQAPMQAVAAAPAPSPCSVEISGSVDSDQGKEQTTNKRPRSESTAQPSTKACREKIRRDKLNERFLELGAILEPGKTPKMDKSAILNDAIRVVGELRSEAKKLKDSNESLQEKIKELKAEKNELRDEKQRLKAEKESLEQQIKFLNSRPSLVPHPPVMPASAFAAPQGPAAACHKLMMPVIGYPGFPMWQFMPPSDVDTSNDPKSCPPVA >PAN14858 pep chromosome:PHallii_v3.1:2:54570884:54576238:-1 gene:PAHAL_2G449400 transcript:PAN14858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCHAKPLTHDADTSPPRAAPATPPPGCATPATPGKKHWAVSPFFPFSTPSPSPAHHLFGGSAASPRKSSPAHPGAAAGSAPTTPARRLLRLPFPPPSPAKHIRAALARRHGPPRPSIPEEVGGEGEGCGGCRGLDKGFGFNKGFAAKYDMGDEVGRGHFGYTCAATVKKGARKGESVAVKVIPKAKMTTSIAIEDVRREVKILKALAGNKNLVQFYDAYEDNENVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVAFCHVQGVVHRDLKPENFLFTSKDENAHLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKAQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYEHFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >PAN15288 pep chromosome:PHallii_v3.1:2:56295285:56297687:-1 gene:PAHAL_2G477800 transcript:PAN15288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGQPPCLLMKEKQASGNFMVLLVLAAVIFPSLMQLHEGINEVEDKKQKAICIERYKKRDEDPEMVISEIDDNIEEECGICMEINTKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATVRRENLRRLFMYIDKLPTVIPESVFDVYDSHVK >PAN15289 pep chromosome:PHallii_v3.1:2:56294834:56298859:-1 gene:PAHAL_2G477800 transcript:PAN15289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSFRDSLKVLEADIQHANSLASEFRREYDGATLQMRMAYCPAAHFFLFLVQWTDCSLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYKKRDEDPEMVISEIDDNIEEECGICMEINTKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATVRRENLRRLFMYIDKLPTVIPESVFDVYDSHVK >PVH63964 pep chromosome:PHallii_v3.1:2:14684669:14684971:1 gene:PAHAL_2G147500 transcript:PVH63964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVCLLSADDSNLICPQVNLNRIRTLHTAFSLDSMGAWWDAPKFLHQRYAWVSHPEKNYTSMVGSYVAVAGPPVLLQCALQASCTTATTLKLWYLMCCC >PVH63915 pep chromosome:PHallii_v3.1:2:12108526:12113120:1 gene:PAHAL_2G134800 transcript:PVH63915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAASTSVSDDSDSSQCSEASIWDSQELHRQAECLELEELAAISVNPTLLPILNHLLLEVYAVLRPKPLDYDQRNTLVDVIRKITKQIFGSNDGFPVVEPFGSFTMDLFTPRSDLDLSVNFSANTDDRYTRKEKISVIRKFSKVLYSHQRNGICCGVLPIVSARVPILKVIDCGTGVECDISVENKDGMTRSMIFKYVSLLDERFQILSYLVKFWAKIHDLNSPRQLTMSSMSIISLVAFHLQTRHPPILPAFSALLKDGLDCASVERNILPFKGFGSRNTESVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGVGNLSVEDFLDRSQNFARSVGKVQMQKICKYLRQCALNLLDFMRGKLDTPKLKTLLFGQLSPDDLVSKPRLKHGKRKRKWELCPQGRYAFQKRAKHGGNATTSSGSLPAPASNKVFPAALHDRGTIQRSSIHRFVPVIPWPRIIPSGFGYGLSLEFPSVARPHPGKGILGRRPSNAVPLNQWNSTSAAAARST >PAN13037 pep chromosome:PHallii_v3.1:2:46017440:46017775:-1 gene:PAHAL_2G309400 transcript:PAN13037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKERRERLAADEGDAVQLPTETSPYVRYEGLEDYKMRGYGAQGHLPVSDVPHGGSGTDAPTVPGTAVPVARPERRIEVQAQRELGAGKDDAGRRGDASTDVINRQGVP >PAN12163 pep chromosome:PHallii_v3.1:2:41321734:41324577:1 gene:PAHAL_2G247400 transcript:PAN12163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVELFLGSTSAPVDWEAEAYPTYGDFAVLPLLVAFFPAVRFLLDRLVFEILARRLIFGKGYDKLAETDDSRKKINKFKESAWKFVYFLSGEVLSLSVTYNEPWFKNTRYFWVGPGDQIWPDQKMKLKLKAVYMYAAGFYTYSIFALLFWETRRSDFGVSMSHHVATVVLIVLSYIFRFARVGSVVLALHDASDIFLEIGKMAKYSSCEWLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKNKHRFYGPIYYYVFNCLLFSLLVLHIYWWILIWRMLVKQIQSKGRVGDDVRSDSEGEDEHED >PAN13099 pep chromosome:PHallii_v3.1:2:49728208:49731406:-1 gene:PAHAL_2G369800 transcript:PAN13099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVPHRPPAAGAAVTGAGAPPTADARSGPFPTLPPAASRIHFTRLPPPPSLSSSSTPASPFPSPAASALHPSDEALSAMSPLEQTSLLSRQRCWRRARDLFDRLRALPGYAPNPVHYAVLLRHLARARRWAELRRAWLGMALPPSNPAYAALADTLAKAGLARGALLLLRHMRAQGVAPDEVSMNTFVRVLKDQGRYADGLALFRNWCDGRFEVDFLDLDGIAIDSDGPMQFLLADIRDDNFASGALAIDEGPRKPKLVATYNTLIDLYGKAGRLKDALDMFLDMPAHGVMPDTYTFNTLINVFGLSGNMAQAEALFASMVVRGINPDTKTYNVMMTLFASTGDLDGVLKYYREIGKAGLHVDAVSSRIVLQVLCERKMVREAEDVIEGILNSGSSVHEQSLPVVMKMYVDLGLLDEANTFFERHCRGKGVSSKNFAAMIDAFAIKGLWEEAEHIFLSRRGDGNNKDIMEYNVMVKAYGRAKQYDRVSSLLESMEGSGVSPDECTYNSLIQMFSVGGFPQRAKKLLGKMKDAGFEPKCETYSAVIRSYSHHCLVPEAICLFNEMKSSGVEPNIIVYGLLIDMFAETGNVKEALHYNNLLEESGISPNQVVLTSLIKAYSKYNCWKEAQNLYSRMKNMDGGPDIIASNAMLNLYANLGMVTEAKEIFGSLRRNNNADGVSYTTMVYLYKGMGLLSESVKVACELHKSGLLSDCASYNAVMACYVAKGNLRDCAELVQEMILANIPPDASTFGMIFSLLQNSHVSAEEVLQLESAYNDGKGSAKQAIVAFLFSIAGMHAAALENCEQLLRPEWTIDAWAYNVCFKVYASCGKVEKAFSLFVRMNNLGLKPDTVTCIHLATCYGKPGVSEGLRTIAHLEYRTDELMSSHNALVAYIESGKNNVAVQLVKK >PVH64181 pep chromosome:PHallii_v3.1:2:35053920:35058869:-1 gene:PAHAL_2G209800 transcript:PVH64181 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os09g0293500)] MAASTTAAAWFLVVVVLLLQVTVPAIAAGEDETAALLAFRRASVADDPRGALAGWARTNSTTAPCSWAGVLCAPQPDGRVVALNLSGMALAGELRLDALLALPALQRLDLRGNAFYGNLSHAAAPPSPCALVDVDMSSNAFNGTLPAAFLAPCGALLSLNLSRNALVGGGFPFAPSLRSLDLSRNGLADAGLLNYSFAGCHGLRYLNLSANQFAGRLPELAPCSELSVLDVSWNHMSGALPAGFMAAAPANLTYLSIAGNNFTGDVSSYDFGGCANLTVLDWSYNGLSSTKLPPGLANCRRLETLDMSGNKLLAGPIPTFLTGFSSLQRLALAGNEFSGQIPGELSQLCGRIVELDLSSNRLVGGLPASFAKCRSLEVLDLGGNQLSGSFVDSVVSTIASLRVLRLSFNNITGPNPLPVLAAGCPLLEVIDLGSNELDGEIMEDLCSSLPSLRKLFLPNNYLNGTVPKSLGNCANLESIDLSFNILEGNIPTEIMALPKLVDLVMWANGLSGEIPDMLCSNGTTLETLVISYNNFTGGIPPSIVSCVNLIWVSLSGNRLTGSVPRGFGKLQKLAILQLNKNQLSGHVPAELGSCNNLIWLDLNSNSFTGTIPPELAGQTGLVPGGSCQGSSLPFSGTRPATSAPVPVCSLSSSASGRRGWLPSQMCTSAHPQGYTLAQRCTHSATTGA >PAN10794 pep chromosome:PHallii_v3.1:2:9774576:9780054:1 gene:PAHAL_2G116900 transcript:PAN10794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPRAPRRFLFDLNVAQEEFEEEPEEAFQEVVVEEVPAEQHEDAAVEEEVVEEVIEREVEDLQEEPADEVIMEEEDDEEEEAAALPLPADEMMGEDEAGEGEPGGRKKRMDYEVFVGGLPHDAAEEDVARALSKAGDVEEVRLVRDPADQRLNKGFAFVRFAAAWQARWAADDLREATIKGKACGICKNSENETLHVRNICFDWSKDDLAEKLKPFELENLDRINLIEHPERRGKNRGYAFLDFRTHVDAVAAFLKLQEKDLYLGTDFRAHISFSNTLSQDDEIMEKVKSVFLDGIPPHWDEDKVREMFGKFGEIDNIQLARNMFTAKRKDFGFIGFTTRKSALDCIKMVNKEGVGEGSGKVLIKASLQRPRHAFKKHSWQGSSSMLGVRRGFVDKSSSSRHHSDRYRHFERRVYSDNHARRHRSVDVDERHVSVRGYRDYYRRDSAAHAPSHKYGRTNSGTRFRESYADSRYSSKYPRDRQEMHEEHMGQDAYRRSKYGHSYHDRAHRTSCPECNLSAQNCDYPNGEEFSATSGEQAYYKTGNQLMPKNSSVMCDCDDCYIEQESTLSPSDHARTRSNLNRRSVKSSHEHRRFAPDEHSAFEVEYTVRESRSRYLSSKDAPSTQSRKHHRPAS >PAN13032 pep chromosome:PHallii_v3.1:2:45993627:45994318:1 gene:PAHAL_2G309000 transcript:PAN13032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTYLQTLLYSFHGRRRARSRMSHQVGASSSPLSRLLLSTIASALTPSAPSHSGNVGSLARAAIYYRVLRPAHRLHCNTTTWSRPWLCAMSEEDVIPLFDFFFQWG >PAN15612 pep chromosome:PHallii_v3.1:2:4966974:4971116:-1 gene:PAHAL_2G067700 transcript:PAN15612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAINDLDKELEYLKQAFEDEKTRYEERAKQGF >PVH65399 pep chromosome:PHallii_v3.1:2:56680434:56681699:1 gene:PAHAL_2G484100 transcript:PVH65399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGVGVRDRFVPEAYHIGVPTASLYPEILLQLSSAPKKKKILLLHHPYGVYVAQLQTMSSACIIISISSSSRLPTIRPDAGSKCHDAKRWPMIIVVVMIHNARQANQGDWNKQVLNYWTSIIRIKKA >PAN12871 pep chromosome:PHallii_v3.1:2:45257473:45259052:-1 gene:PAHAL_2G296700 transcript:PAN12871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRSNGRLLPLFLLLLADFTAVQGQQQYGQANYSTNFTPSMAIVIVVLIAAFFFLGFFSIYVRHCYGDGSSGSSASPAPNGAAARSRRQRGLDAAVLETFPTMAYADVKAHKAGKGALECAVCLSEFDDDETLRLLPKCSHVFHPDCIDTWLASHVTCPVCRANLVPDPNAPADDAPAELPAPPPAQELPSPTSAPAAAAVVIDVEETEEQRIIREEADELMRIGSVKRALRSKSGRAPAPFPRSHSTGHSLAAPATGAAAERFTLRLPDHVLRDLAAAGKLQRTRSLVAFRASRGGSTRRGAGASVRTGEGSSRGGARSIRLGQSGRWPSFLVRTFSARLPAWGSRSTRRGAEADGSSKGGRAAGAAVAGAKSVECDDQACAAGQRV >PVH63891 pep chromosome:PHallii_v3.1:2:11927038:11930859:1 gene:PAHAL_2G132700 transcript:PVH63891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTAARFVQRRLLSSGGKVLSEEEKAAENVYIKKMEQDKLEKLARKGPSSGEQASSTTSSAASDVKAAGGPTESASAGVSTDKNRNYAVLAGTIAALGGLGWYLLSKPKKSEEVVD >PVH63943 pep chromosome:PHallii_v3.1:2:13421801:13422889:-1 gene:PAHAL_2G141300 transcript:PVH63943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAEAIRSLPRRAGSGKNLFPLVPFRSCFLSQPAPPVRWSMELGRLSMPARRSLAVGARQDIDSRHPRARTAAGGPFAAPEARAAAKLDSRPPGGRTAAGGRFVAPGAHAAAGARPGLKSRHPRARTAAGGRFAAPGTHGRRRSIRGPPALAQSPGARMAVDSRRRSSLERYQTDCFGEQERREREYPGARSRAGGADCERCQTRVSL >PAN13605 pep chromosome:PHallii_v3.1:2:48584591:48585806:-1 gene:PAHAL_2G350100 transcript:PAN13605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRPRRCRGIAYGKESTSTPSAAAAAASRRTAHLSGILEHPGRSRRTSPLSGRHPAPRLPPQCWWCAPRGRPPPGRSALGSCPARRPSPPPGMSSLSPAEAVKLDPSSHVQQGRWIWMYNDGVGAASRPRPPVSLI >PVH65147 pep chromosome:PHallii_v3.1:2:53683470:53684260:-1 gene:PAHAL_2G433700 transcript:PVH65147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKEQWIFRWPEQIRRVTPSMLHLEDGVCNAKIEFNGTALMISLVLTRNRTNS >PAN09520 pep chromosome:PHallii_v3.1:2:2217305:2218319:1 gene:PAHAL_2G031100 transcript:PAN09520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPPGDDGGGAARGIFGSSGISGFGYGVGVSVGILLIVSSIALAVYFCTRTSMPVAAGGAPPRPGDVERGIDEATLEAFPVVAYAEARKAPAARRQQQQACCPVCLDNYSDSDVVRVLPDCGHLFHRACVDPWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLASARPS >PAN12784 pep chromosome:PHallii_v3.1:2:44887783:44889766:1 gene:PAHAL_2G291100 transcript:PAN12784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLAQELHPRPPAAASHYCKSLSSLIRETYAHCHVPCVRVPAGAGWSSGEDSDDDDSGLDEALDTKQVVLTEMRNRQMKKRSRCSLDSPTLPLSSAAFAWSYTPLDPRTVIEKVSSPKTCVVVEGTEEKAKEKEEEEAAGDDGDCDADDDDESEAFFSVKSFFTRSTSRAATVASSSAGMMMDPPAPMLRSPEAWERFRDCEGWPFGLCRRPAVLPLPPLPSTPADSWKWRKSASSLAASPAPAYTHKLAGK >PAN12785 pep chromosome:PHallii_v3.1:2:44887643:44889855:1 gene:PAHAL_2G291100 transcript:PAN12785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLAQELHPRPPAAASHYCKSLSSLIRETYAHCHVPCVRVPAGAGWSSGEDSDDDDSGLDEALDTKQVVLTEMRNRQMKKRSRCSLDSPTLPLSSAAFAWSYTPLDPRTVIEKVSSPKTCVVVEGTEEKAKEKEEEEAAGDDGDCDADDDDESEAFFSVKSFFTRSTSRAATVASSSAGMMMDPPAPMLRSPEAWERFRDCEGWPFGLCRRPAVLPLPPLPSTPADSWKWRKSASSLAASPAPAYTHKLAGK >PVH65104 pep chromosome:PHallii_v3.1:2:53117646:53120729:1 gene:PAHAL_2G425100 transcript:PVH65104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGQLADGFTTIFAGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPSSRVSLVSCRNAFTMVANLSLYGIALLIFTLLQSVSVLVQYRWIAYVSISLGSCFVVIFLVGTKEPGSIQHSTDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALIPAIIYVCSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLGIVLLPSRLHNVMYAISIIIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGTIRSNLVTAFGYSVTRFGLGFVPAACSLLSAIVAYTMDLPDTRRRPLVEPLLA >PVH65106 pep chromosome:PHallii_v3.1:2:53113621:53121227:1 gene:PAHAL_2G425100 transcript:PVH65106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMRDNESPYEAQMEEPLGRVSILSYGSGHMLNDITSSCWFTYLLVFLTDVGLSPGDAAVVMLSGQLADGFTTIFAGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPSSRVSLVSCRNAFTMVANLSLYGIALLIFTLLQSVSVLVQYRWIAYVSISLGSCFVVIFLVGTKEPGSIQHSTDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALIPAIIYVCSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLGIVLLPSRLHNVMYAISIIIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGTIRSNLVTAFGYSVTRFGLGFVPAACSLLSAIVAYTMDLPDTRRRPLVEPLLA >PVH65109 pep chromosome:PHallii_v3.1:2:53115227:53121227:1 gene:PAHAL_2G425100 transcript:PVH65109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMRDNESPYEAQMEEPLGRVSILSYGSGHMLNDITSSCWFTYLLVFLTDVGLSPGDAAVVMLSGQLADGFTTIFAGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPSSRVSLVSCRNAFTMVANLSLYGIALLIFTLLQSVSVLVQYRWIAYVSISLGSCFVVIFLVGTKEPGSIQHSTDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALIPAIIYVCSLIVSVILQVVKLASQALLFSWSSALDIVRSWNCSSTKQIAQRHVCHFNHNRSCQCTYDSDKY >PVH65108 pep chromosome:PHallii_v3.1:2:53115367:53121228:1 gene:PAHAL_2G425100 transcript:PVH65108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGQLADGFTTIFAGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPSSRVSLVSCRNAFTMVANLSLYGIALLIFTLLQSVSVLVQYRWIAYVSISLGSCFVVIFLVGTKEPGSIQHSTDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALIPAIIYVCSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLGIVLLPSRLHNVMYAISIIIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGTIRSNLVTAFGYSVTRFGLGFVPAACSLLSAIVAYTMDLPDTRRRPLVEPLLA >PVH65105 pep chromosome:PHallii_v3.1:2:53113621:53121230:1 gene:PAHAL_2G425100 transcript:PVH65105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMRDNESPYEAQMEEPLGRVSILSYGSGHMLNDITSSCWFTYLLVFLTDVGLSPGDAAVVMLSGQLADGFTTIFAGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPSSRVSLVSCRNAFTMVANLSLYGIALLIFTLLQSVSVLVQYRWIAYVSISLGSCFVVIFLVGTKEPGSIQHSTDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALIPAIIYVCSLIVSVILQVVKLASQALLFSWSSALDIVRSWNCSSTKQIAQRHVCHFNHNRSCQCTYDSDKY >PVH65103 pep chromosome:PHallii_v3.1:2:53113621:53121227:1 gene:PAHAL_2G425100 transcript:PVH65103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMRDNESPYEAQMEEPLGRVSILSYGSGHMLNDITSSCWFTYLLVFLTDVGLSPGDAAVVMLSGQLADGFTTIFAGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPSSRVSLVSCRNAFTMVANLSLYGIALLIFTLLQSVSVLVQYRWIAYVSISLGSCFVVIFLVGTKEPGSIQHSTDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALIPAIIYVCSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLGIVLLPSRLHNVMYAISIIIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGTIRSNLVTAFGYSVTRFGLGFVPAACSLLSAIVAYTMDLPDTRRRPLVEPLLA >PVH65110 pep chromosome:PHallii_v3.1:2:53115967:53121227:1 gene:PAHAL_2G425100 transcript:PVH65110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGPEYVRTENPCSDLVKSQGNGKGDTFVALLIIMVNMRDNESPYEAQMEEPLGRVSILSYGSGHMLNDITSSCWFTYLLVFLTDVGLSPGDAAVVMLSGQLADGFTTIFAGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPSSRVSLVSCRNAFTMVANLSLYGIALLIFTLLQSVSVLVQYRWIAYVSISLGSCFVVIFLVGTKEPGSIQHSTDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALIPAIIYVCSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLGIVLLPSRLHNVMYAISIIIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGTIRSNLVTAFGYSVTRFGLGFVPAACSLLSAIVAYTMDLPDTRRRPLVEPLLA >PVH65107 pep chromosome:PHallii_v3.1:2:53115264:53121227:1 gene:PAHAL_2G425100 transcript:PVH65107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGQLADGFTTIFAGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPSSRVSLVSCRNAFTMVANLSLYGIALLIFTLLQSVSVLVQYRWIAYVSISLGSCFVVIFLVGTKEPGSIQHSTDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALIPAIIYVCSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLGIVLLPSRLHNVMYAISIIIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGTIRSNLVTAFGYSVTRFGLGFVPAACSLLSAIVAYTMDLPDTRRRPLVEPLLA >PAN10267 pep chromosome:PHallii_v3.1:2:6084754:6085166:-1 gene:PAHAL_2G083200 transcript:PAN10267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLISTINYSVPLFFDDDGVAKVTYLWSMYYYYPNKKMFTQFNCHISCLLSFNLFIKFMYNVILCWLSVNIRLSAY >PAN14267 pep chromosome:PHallii_v3.1:2:51443482:51447045:1 gene:PAHAL_2G396100 transcript:PAN14267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGPNLLALAILLVSTAPAPSGGDRSFCSDATYKRNSTYMSNLRTLADALIGDAARLHSATGAAGEGPDRVYGAALCRADSAGADCARRIREALGAIDGGSTSGDASCALRRDVAVYSELYQLRFSDRDFLADFSNAPEWADVTNTDAVPHAVAARFDERVTELLTALADAAARRPDRWAVGEAPWPSLSSGETDRAVYGLAQCTRDMPPDRCRACLGGVEERRRMIGGGKMGGAVFGARCNLRYEMDLQFFNVNDNSKMLSLRQKKDRAFFIIAAVYSSAVLSTRLFFWLLSVWRKAKRRKMNSMKEPKNIDEVLRLWRLEDTSSEFSLYDFSQIADATDNFSTKNKLGEGGFGPVYKGVFPDGQDLAIKRLSARSRQGLLEFKNEIQVVAKLQHRNLVRLFGCCIHEEEKMLVYEYLPNKSLDHFIFDPIRRASLKWKRRIKIVEGIAQGLLYLHNHSRLRIIHRDLKASNILLDSQLNPKISDFGMARIFPSDATQLTASRLVGTFGYMAPEYASDGLLSIKSDVFSFGVLLLEIISGKRSSGFQFNGEFYNLLEYAWQMWKGRRWNEFIDQSLGDEYEPEELMKYLAVALMCVQEKTIDRPTMADVVAILSSDGITLPEPKQPAYSYAKLDVSVNINILSSRNDVSITTTNGR >PVH64568 pep chromosome:PHallii_v3.1:2:45766663:45768643:-1 gene:PAHAL_2G304200 transcript:PVH64568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSALRRGGAAARQVAWEGGASPRDLLRMRVAERERFRRRRRDPGRDEFFVPTPESLAWLDTASLPMVLTAAAVALFTKLLMMEHESTDQERRERKIKNSHPDQGKVRMLSREEWEEVQEVRPRTPFESKLARPHARIRTGEPVRLEDVKDWATDVITDAFTRVEESTKGK >PAN14795 pep chromosome:PHallii_v3.1:2:54330605:54331634:1 gene:PAHAL_2G445100 transcript:PAN14795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRCSASKRAELRMEGSGWKDMAERNPRDAATLRRATERCGGVALAVTRAGRYLEYRLPSTPRPLLPVGCEMSGRDWPPIAVSEPVVLARKVRELDDAMAEADDGVPVVRHPLLSSVGGGESGRWRQVWGLGYMMKQGAVQFSDTEVALRSCRGISLTGGGILVPSRAVRVAWRRGERVDLRPPPLPHAHHLFAHRRAEARTVLVVSSSSSGHGQGRHLDATWIAKPASLGYGAVARIGRRAMFEPPRWDANGAEICHES >PAN14672 pep chromosome:PHallii_v3.1:2:53318884:53323514:1 gene:PAHAL_2G428500 transcript:PAN14672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSAVAERVTLDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYAMSRVHNILNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGFGMGMNIEGGMSGNFGAGSGFINSSNGRQIGSYYNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWSNGNLNYTGNPTNMNAFAPPGSGGGIPGDGIGWGGLTSAHGMGNISNLGSGNLGRGTGDNFGLPSGNYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDTTWRFTSSEVDMPPFGHDLGNVDPDIKSEISAGYMGNYTVNNQTSRGITS >PVH65120 pep chromosome:PHallii_v3.1:2:53318884:53323514:1 gene:PAHAL_2G428500 transcript:PVH65120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSAVAERVTLDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYAMSRVHNILNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGFGMGMNIEGGMSGNFGAGSGFINSSNGRQIGSYYNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWSNGNLNYTGNPTNMNAFAPPGSGGGIPGDGIGWGGLTSAHGMGNISNLGSGNLGRGTGDNFGLPSGNYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDTTWRFTSSEVDMPPFGHDLGNVDPDIKSEISAGYMGNYTVNNQTSRGITS >PAN14673 pep chromosome:PHallii_v3.1:2:53319013:53323514:1 gene:PAHAL_2G428500 transcript:PAN14673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLLLWIAGGGGGEGRTDAMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSAVAERVTLDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYAMSRVHNILNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGFGMGMNIEGGMSGNFGAGSGFINSSNGRQIGSYYNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWSNGNLNYTGNPTNMNAFAPPGSGGGIPGDGIGWGGLTSAHGMGNISNLGSGNLGRGTGDNFGLPSGNYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDTTWRFTSSEVDMPPFGHDLGNVDPDIKSEISAGYMGNYTVNNQTSRGITS >PAN14671 pep chromosome:PHallii_v3.1:2:53318884:53323514:1 gene:PAHAL_2G428500 transcript:PAN14671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSAVAERVTLDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYAMSRVHNILNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGFGMGMNIEGGMSGNFGAGSGFINSSNGRQIGSYYNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWSNGNLNYTGNPTNMNAFAPPGSGGGIPGDGIGWGGLTSAHGMGNISNLGSGNLGRGTGDNFGLPSGNYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDTTWRFTSSEVDMPPFGHDLGNVDPDIKSEISAGYMGNYTVNNQTSRGITS >PAN10617 pep chromosome:PHallii_v3.1:2:8325740:8327134:-1 gene:PAHAL_2G105900 transcript:PAN10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSTRAPPPFHPPHMEQHGVHGFYLYSDYAAAAATSSSSSSSSSDSLPFGTGCCGDEVPEPAVSGYWRRRADAAEVSAAVPAAAAEAGEVAPGSSSKGAFIGVRRRPWGRFAAEIRDSTRNGARVWLGTFDSAEAAAMAYDQAALSARGPGAALNFPVERVQESLRALALGGGGASGAGAAASAAGSPVLALKSRHSKRKRRKKSEIAAAAAAAAANGAVAPGRSRSRSRSAAAAAEQQRFVVELEDLGADYLEELLRISES >PAN13598 pep chromosome:PHallii_v3.1:2:48565883:48567292:1 gene:PAHAL_2G349600 transcript:PAN13598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVACAPFAAGGWEAGPGLALGGLFTEAELAAADLLVQLSGSGGGGDEAASESGSPRSVNTCAGAAAWEEEEEAGGLELDRRARKRYRLVSELYGATRPVACAGAGSARKRKRRHGPPAEAEMTMRMKRKPQENTSCHLPRHACSWEKKR >PAN13596 pep chromosome:PHallii_v3.1:2:48565777:48568507:1 gene:PAHAL_2G349600 transcript:PAN13596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVACAPFAAGGWEAGPGLALGGLFTEAELAAADLLVQLSGSGGGGDEAASESGSPRSVNTCAGAAAWEEEEEAGGLELDRRARKRYRLVSELYGATRPVACAGAGSARKRKRRHGPPAEAEMTMRMKRKPQENTSCHLPRHACSWEKKRT >PVH64284 pep chromosome:PHallii_v3.1:2:38824302:38825693:-1 gene:PAHAL_2G229000 transcript:PVH64284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRRRHRSTAKQRRSLPAVPATVDDLPDELLDRLLLYLGSPLDLIRAAATCKRWRRTIADEGFLGRSYAIHGAPRVAGHYYVTDTQPPDVTGVLRWPPQKPAAFVPTSPAVVDGGLFSLDFLYVPPEEADRPRRRYYYNYPRRVRHNRCREIVDSRGSLLLLTNGPRRESIHPRRWSPDFIVCESVSRRYQGIARPADLSHLPLLGAFLLDGGGGGDTMSNFRVLSVLYEPDRWRYQFGTPRACVFAPGSDGGWHLCWHTMDDGNVDVPLMETIHLAGRAAGRVYWGIEDGNVLVLDESTLKFSLLTFPSQMRGPYRRTSFRVIGSGVDGEDKAARVVRVDGEELEVFGQLPDFGEWVMEKSIRLRDATAGLPGGRDYRFFRLPARIVTAGNTFVVLTPAEKTWLFSVELETMEVEREHVRNRHIGASYPCTLPWPPVLQACLHRGDDVVGKRRRQRRKGW >PAN09466 pep chromosome:PHallii_v3.1:2:1824030:1825466:1 gene:PAHAL_2G025300 transcript:PAN09466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLSAAASMSAAVPQFHGLRSYASPRSVAALPPVRAGRKRAQGIRCDYIGSATNQIMVLSTTLMLFAGRFGLAPSANRKATAGLKLESRDSGLQTGDPAGFTLADTLACGAVGHIIGVGIVLGLKNTGALDQIIG >PAN14172 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PAN14172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PAN14166 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PAN14166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PVH64934 pep chromosome:PHallii_v3.1:2:50955418:50957311:1 gene:PAHAL_2G388700 transcript:PVH64934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PVH64940 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PVH64940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PVH64937 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PVH64937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PAN14173 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PAN14173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PVH64938 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PVH64938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PVH64939 pep chromosome:PHallii_v3.1:2:50954339:50957649:1 gene:PAHAL_2G388700 transcript:PVH64939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLNEIGANSELIEDWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PAN14168 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PAN14168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PVH64936 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PVH64936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PAN14170 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PAN14170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PAN14167 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PAN14167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PAN14171 pep chromosome:PHallii_v3.1:2:50954459:50957649:1 gene:PAHAL_2G388700 transcript:PAN14171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPIQNLLRSKSWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PVH64935 pep chromosome:PHallii_v3.1:2:50954337:50957649:1 gene:PAHAL_2G388700 transcript:PVH64935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRSLNEIGANSELIEDWSNLEGNTQNIINMTTNVTSGWSIVKEEFILPASGGLFNSCHASTIVQIEEDNFLVAYFGGSREGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPYLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPICIESEPLGVIQPVPYQTANGTIRVLLRSFETIGRVCIADSVDEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMTLEDTHGMEFSYPAVIQTVDELIHVTYTYNRTQIKRVVLQPNAMVKL >PVH65008 pep chromosome:PHallii_v3.1:2:51681124:51681390:-1 gene:PAHAL_2G400100 transcript:PVH65008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRALEMYKKVVRCVGGEGKLPAELMKSAKDMLPDSKVVMGGPSAASSPAATSSSGTRSPRTAATSEPSRLFTTAIHFPPPCPDPCA >PAN09545 pep chromosome:PHallii_v3.1:2:2310056:2314379:-1 gene:PAHAL_2G032700 transcript:PAN09545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSAPSDRHSFFNSVSLAVLWRPAAAGAEPSPTPDPTPSTPSPTSSRAPDPVTISDSEHSPRNPNGKPKPKPKPKVKRVQSAGLLVGSVLRRDSERLKDLYTLGKKLGQGQFGTTYQCIEKATGKQFACKSIAKRKLVTDDDVEDVRREIQIMHHLAGHPNVVSIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNQKEDAPLKAIDFGLSIFFKPGEIFSDVVGSPYYVAPEVLMKDYGCKVDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGEVDFSSDPWSSISESAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDPAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKIGLKRVGANLKDSEIVTLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITHDELQKACEEFGIRDTHLEDIIRDIDKNNDGRIDYNEFVTMMQKGNNPLGKKGHAKMSFGLREALKLG >PAN09546 pep chromosome:PHallii_v3.1:2:2309323:2314628:-1 gene:PAHAL_2G032700 transcript:PAN09546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSAPSDRHSFFNSVSLAVLWRPAAAGAEPSPTPDPTPSTPSPTSSRAPDPVTISDSEHSPRNPNGKPKPKPKPKVKRVQSAGLLVGSVLRRDSERLKDLYTLGKKLGQGQFGTTYQCIEKATGKQFACKSIAKRKLVTDDDVEDVRREIQIMHHLAGHPNVVSIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNQKEDAPLKAIDFGLSIFFKPGVIIYILLSGVPPFWDETEQGIFEQVLKGEVDFSSDPWSSISESAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDPAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKIGLKRVGANLKDSEIVTLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITHDELQKACEEFGIRDTHLEDIIRDIDKNNDGRIDYNEFVTMMQKGNNPLGKKGHAKMSFGLREALKLG >PAN10779 pep chromosome:PHallii_v3.1:2:9842938:9843444:-1 gene:PAHAL_2G117700 transcript:PAN10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYEVNNQLHWIKQWSFLREKLCNQKAQEVVFIMVARSEAAAHEVFDEMLFEDDTTALAEQVTLSAPGASQTSAQMVFDEMCQKVTWDEEMQDDIDTHEGLLQQLALGVDDKNTKGSAHVLLDGMFSQDVAQEVYDEMLGKVVWDEEMSANLGMNNDLLQLLTSRGG >PAN15037 pep chromosome:PHallii_v3.1:2:55132502:55135877:1 gene:PAHAL_2G459500 transcript:PAN15037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVITILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKAVVLQCETNETSSGGSWCCSS >PAN15036 pep chromosome:PHallii_v3.1:2:55132390:55135893:1 gene:PAHAL_2G459500 transcript:PAN15036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVITILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKAVVLQCETNETSSGGSWCCSS >PAN15035 pep chromosome:PHallii_v3.1:2:55132502:55135878:1 gene:PAHAL_2G459500 transcript:PAN15035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVITILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKAVVLQCETNETSSGGSWCCSS >PAN15039 pep chromosome:PHallii_v3.1:2:55132511:55135837:1 gene:PAHAL_2G459500 transcript:PAN15039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVITILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKAVVLQCETNETSSGGSWCCSS >PAN15038 pep chromosome:PHallii_v3.1:2:55132431:55135877:1 gene:PAHAL_2G459500 transcript:PAN15038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVITILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKAVVLQCETNETSSGGSWCCSS >PVH64290 pep chromosome:PHallii_v3.1:2:38929364:38931339:-1 gene:PAHAL_2G229800 transcript:PVH64290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLVLALVAVAFSVLFLLVTRARKPSQRGKLPPGSLGLPVIGQSLGLLRAMRANTAERWIQDRVDRYGPVSKLSLFGAPTVLLTGPAANKFVFFSTALASQQPRSVQRILGERNILELMGADHKRIRGALAEFLRPDMLRLYVGRIDAEVRRHLDESWAGRATVTVMPLMKRLTFDIISLLLFGLERGAVRDALAGDFKHVMDGTWAVPVDLPFTAFRRSLKASASARRVIAGITRETKAKLERGEASRSSDLIACLLSLTDDSGAPLLSEEEIVDTAMVSLIAGHDTSSILLTFLIRQLADDPDTLAAMVHEHDEIVRSKGDGEALTWEDLAKMKLTWRAAQEMLRMVPPVFGSFRRATKDIEFDGYVIPKGWQVFWTAAATHMDGSIYPEPDKFNPSRFETQSASVAPPCSFVAFGAGPRICVGMEFARIETLVALHYLLRRFRWKLCCKENTFVRDPAPSPLHGLPIELAEHKAASP >PAN13568 pep chromosome:PHallii_v3.1:2:48376908:48378906:-1 gene:PAHAL_2G347200 transcript:PAN13568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPVTHRPINEHASNITISFEAAAAAAARDEKGAVFRLEEPKAAAAAIGRDQNPADWGHGKPLKCPDLNLDLCISPPCQEEPLKPVKREAGLCFSCSLGLPKSAECKCSSFLGLRTAMLDFRSLEMK >PAN11363 pep chromosome:PHallii_v3.1:2:19382203:19389326:-1 gene:PAHAL_2G160400 transcript:PAN11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASEEAVKQFSALMEQLEEPLKTTFQNVHQGNLRGTLVRFLKAREWSVPKAHNMLIDCLNWRIQNEIDSVLAKPIVPSALYRAIRDTLLVGLTGYSKQGQPVYAFGVGLSTFDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGRQINTCLKVMDMTGLKLSALSQIKMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIQVLYGSGRDELLKVMDYESLPHFCKREGSGSSSDSLDVVYCYSYDHPFHQQLYNYVKQQSLKLDSVGPIKQGSLHVDVPSPGLEEVKIAESIESELHKLRGGNGLTHSFNRIRIEGP >PVH63703 pep chromosome:PHallii_v3.1:2:6543266:6543740:1 gene:PAHAL_2G089000 transcript:PVH63703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIGGVLQPALKWEHYKLQSDDQSVTTAARVWNEFWEKYRLVEEEEQYLQARARSVFDKAATKVVRNMMSNARIQCVCLYYKKIKLQDMNEKLDASEIYLREDEYLQVDISGLPWLRKCPDAWRALCAY >PVH63544 pep chromosome:PHallii_v3.1:2:3725415:3733246:1 gene:PAHAL_2G051000 transcript:PVH63544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAILDVITDAEEQAAAKREGAKVWLEEVRKVAYQANDVLDEFKYEALRRKAKMEGHNKDLGIDVIKLFPTHNRIIFRHRMTNKLRVILKEIDVLVAEMNAFRFKFKPGQPEPTNYLRQYNSNIIDPTSIASESRADEKEAVVSTLLAQVGNENLTVHPIVGMGGLGKTTLAQLIYNDPKIQKHFELRLWVCVSDNFEVDSLADRILKENGCNLSGSSALEKLQNVVSGKRYLLVLDDVWNRDEHKWGRLKSYLQHGGKGSSLLTTTRDDKVAQLMGTTEVKNLKSLDETHIKNIIETKAFGSKQVEQRPPELVAMVGDVAKRCSGSPLAATALGSVLRTKTSKQEWDAVLNRSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFEQKYDSFGWMKYIYCSKITCKIHDLMHDVAESSMGKECAAIATNPSQSEYALHCARHLYLSVRQPENLLNASVEKGSPAYQTLICDGYIKEDLKILSKYNSIRALKINRGSFLRPKYLHHLRYLDLSESGIEALPEDISILYHLQTLKLFYCRKLERLPKELKYLTSLRHLYTHGCPKLKSMPGGLRHLTSLQTLTCFVAGTDSGCSNVRELQDLDLGGRLELSQLENVTGANGAQAAGLGNKKKLTELELRWTDGDREAQNNNHKEVVEGLKPHDGLKVLRIYSCGSSTFPTWMDMLNGMVELELSGCKKLENLPALWQLPALEILHLTGMESLHCLCSGATTAVTFLKLKVLFLFKMPKFEAWLDTDVVQGEGLIFPKVEELVIRECGSLTALPKAASVITESSGGVDTKCRSAFPALRKLTLRSLNMFDRWEAVEGTPGDGVTFPRLEQLYILSCASLATLPKGSLLVEQSFGGAETVCRRSAFPALRKLCLLAVSVLERWGAVAGTPGEEVTFPMLEDMVIYGCPKLTGLPETPKLGKLAIEGQGHQISLQAASRCIPSLSSLRLDVSPDDTETTLLHVKQKWDHELPLAAMTLNRSDLLFSSHPGALALWTCFARLVDLTIRYCNALVYWPENVFHALVSLRRLSIESCSKLTGHTQASDGQSAPERAGLLPCLESLRITGCTSLVFVPNLPASLKKLGIAFCSDNIKSIIFGQHDYVMPVGGEGVVQPDTSSLIPGSSGSEATTSTAVLKLSSAANHLSFPCLESLSIWSCDHLSEVANLPPSIKILYISDCGNLQSLSGKLDVVQILHITSCSRLESLESWLGELRSLEELKLLRCRTLVSLPDGLQAYSSLRYLKIQDCDGIKLLPRSLRSRLDCLEEKHLDARYEGPDLPKTTWKHAIRTLACSK >PAN10084 pep chromosome:PHallii_v3.1:2:5267174:5269510:-1 gene:PAHAL_2G071100 transcript:PAN10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQPSSSNSLAPPLRRRSRRLVFDRRYGWIFDEWTDPADQALSGGRGMFCAVTMARSLVNAAASSVTYATSSVGRVLESPKSFSLPAYMPCLAFDKKQQAWLRELENSGVVADLKLINCSAHSVLECMATDCLCMSRQHDLL >PVH64156 pep chromosome:PHallii_v3.1:2:33937114:33937644:-1 gene:PAHAL_2G204500 transcript:PVH64156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPEDLLPFHFWQLLSSLHCSPTAPPRQGSTRAPAPRRPAARHNLAAPISPEKSTSPLSGNLTADRLPHRFRPLTPCRDFGKYLKVRTLLLTIGYTYTQHMGWA >PAN12241 pep chromosome:PHallii_v3.1:2:41760884:41762358:1 gene:PAHAL_2G252300 transcript:PAN12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAAAVVIQHPGGKVERLYWSTTAAEVMRSNPGHYVALVILRVAADKAGPGDAAAAATTTGAGGGGGGGAKITRVKLLKPKDTLLLGQVYRLITAQEVTKALRARKNEKMQRCEAIRQQHEQLRRGDGADQGSSDQDGKQDKDRHRGRGRHWRPALQSISEAASQSSSSSVSEAATS >PAN11909 pep chromosome:PHallii_v3.1:2:38535393:38540615:1 gene:PAHAL_2G226900 transcript:PAN11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKPEDMVHHMPMDQLQGFEYCIDSNPSWGEGIALGFQHYILSLGTVVMIPTMLVPLMGGNDHDKAKVVQTLLFVTGIKTLLQTLFGTRLPTIMGGSYAYVVPILSIIRDPSLAQIADGHTRFLQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVIALVGLGLFERGFPVVGKCVEIGLPMLILFVALSQYLKHVHVRQVPFLERFSMLMCIVLVWVYAHILTASGAYKHTALVTQINCRTDLANLISSSQWISIPYPLQWGAPTFSADHAFGMMAAVLVSLIETTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAIYCVMFGIVAAVGLSFMQFTNMNSMRNLFIIGVSLFLGLSIPEYFSRYSTSSRQGPAHTKAGWFNDYINTIFSSPPTVALFVSVLLDNTLDVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >PAN09308 pep chromosome:PHallii_v3.1:2:894255:896346:-1 gene:PAHAL_2G013100 transcript:PAN09308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEMSSGAAGAVPKLEHPPGAREARRRRVLLVAGLVALPLLAFFVLGRESASTVWEIASAKLTGMDDDAAGNATSGGADELLGGLLAPGMDRRSCRSRYETWRYYKHFPYAPSPHLLRKLRAYEARHRRCAPGTPLYARSVERLRSGRSGAGEAEECRYVVWLPFDGLGNRMLSMVSGFLYALLTSRVLLVALPPDSADLFCEPFPGTTWLLPLEDFPVANLFGLGHNPEQSYTRLLHRKKIVVDDPLSNATAAPVPAYVYLSLGYQLTDRIFFCGEHQLALGRVNWLLLYSDLYFVPSLYPIAGFGDELRRLFPARESASHLLLRYLLHPTNPVWGLVTRYYHAYLAPATRRIGVQVRMFGFASVPVDDMYNQILACSRQERILPEIDADGDAAAGTGSKTSTAILIASLYPDYYERLRARYYEHAAAKGGGGAWVGVFQPTHEERQATESLAHNQRALAEVYLLSFSEALLTSGLSTFGYVSSSLAGVRPAILLTAFRHKVPATPCRRAVSMEPCNLTPPRGVECRRSEAADDGGDVARHVRVCEDFHDGVKLFD >PVH63715 pep chromosome:PHallii_v3.1:2:6819125:6821821:-1 gene:PAHAL_2G091700 transcript:PVH63715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRLASLLLEEARRLQIEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEINEMWRAREKELELESKMKGRSKDCDDSRGEKRKSEMRNHSSSSRVEQEGTTYSTSYSDQEDGLKEDEIERFLHSRVKRGRGAIGSRMDEPGPYLDSSSRCHENGPSPDIRLEEKWERRVQGPEKPLFLRSKSPDDHWCKETLDGRGSSSEPQSKKEKKRKSEKKEKRDKRKEKDTKKSKHRHHHHHKSRRRE >PAN12311 pep chromosome:PHallii_v3.1:2:42354790:42355587:1 gene:PAHAL_2G258300 transcript:PAN12311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPFVPMEAGREQGAGGGGAATKGQEQQAAEAAVLLVHSQVRRIKREDEEVRERLLKLRLLETRPAGAFCDPVAWRASRSLSPLRRAGNGGIPVGD >PAN12527 pep chromosome:PHallii_v3.1:2:43371039:43372042:-1 gene:PAHAL_2G270400 transcript:PAN12527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQTMSVFLGIAVLAALVLTSEGRIPRKDLGIDIGGGGGGGGGIGIGTGINLGIGGGLSGSGSGSASGSGSGSGSASGSGSGSGSAAGSGSGAASGAGSSAGSGAGSGAASGSGSSAGSGAGSGAGSGAGSGSGYSQGQGAGEGQGQGSGYGEGHGSGHGQGSGYGEGHGQGSGSSQGLGYGEGYGSGRGQGSGQGSGSGYGEGYGSGHGAGQGSGYGEGYGEGSGSGYGDGLGSGYGEGHGYGYGSGHGK >PAN10730 pep chromosome:PHallii_v3.1:2:9413080:9415234:1 gene:PAHAL_2G114000 transcript:PAN10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVAAYLLAVLAGNPSPSAEDLSAILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >PVH63561 pep chromosome:PHallii_v3.1:2:4127236:4135431:1 gene:PAHAL_2G056100 transcript:PVH63561 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MGMPHPQYEFANGTVNSCRRFHSIPSLQRAPCGPRTNVETYEGQRSANQPREVQKRTFGSAATHIQRNPAYSELNSDDVSYFRSILGDGVVEDEDRIAVANVDWMGKYKGASQLLLLPKSTIEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASQYKFYVLIETTGSDESYDKTKLESFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEKMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLMDPNSILNPYKVLPQSVL >PAN09888 pep chromosome:PHallii_v3.1:2:4127647:4133823:1 gene:PAHAL_2G056100 transcript:PAN09888 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MARRAAAAARLLRRLGPLAAEPPMRGMPHPQYEFANGTVNSCRRFHSIPSLQRAPCGPRTNVETYEGQRSANQPREVQKRTFGSAATHIQRNPAYSELNSDDVSYFRSILGDGVVEDEDRIAVANVDWMGKYKGASQLLLLPKSTIEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASQYKFYVLIETTGSDESYDKTKLESFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEKMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLMDPNSILNPYKVLPQSVL >PAN09887 pep chromosome:PHallii_v3.1:2:4127238:4134868:1 gene:PAHAL_2G056100 transcript:PAN09887 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MARRAAAAARLLRRLGPLAAEPPMRGMPHPQYEFANGTVNSCRRFHSIPSLQRAPCGPRTNVETYEGQRSANQPREVQKRTFGSAATHIQRNPAYSELNSDDVSYFRSILGDGVVEDEDRIAVANVDWMGKYKGASQLLLLPKSTIEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASQYKFYVLIETTGSDESYDKTKLESFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEKMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLMDPNSILNPYKVLPQSVL >PVH63562 pep chromosome:PHallii_v3.1:2:4127238:4134868:1 gene:PAHAL_2G056100 transcript:PVH63562 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MGMPHPQYEFANGTVNSCRRFHSIPSLQRAPCGPRTNVETYEGQRSANQPREVQKRTFGSAATHIQRNPAYSELNSDDVSYFRSILGDGVVEDEDRIAVANVDWMGKYKGASQLLLLPKSTIEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASQYKFYVLIETTGSDESYDKTKLESFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEKMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLMDPNSILNPYKVLPQSVL >PAN09890 pep chromosome:PHallii_v3.1:2:4127647:4133195:1 gene:PAHAL_2G056100 transcript:PAN09890 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MARRAAAAARLLRRLGPLAAEPPMRGMPHPQYEFANGTVNSCRRFHSIPSLQRAPCGPRTNVETYEGQRSANQPREVQKRTFGSAATHIQRNPAYSELNSDDVSYFRSILGDGVVEDEDRIAVANVDWMGKYKGASQLLLLPKSTIEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASQYKFYVLIETTGSDESYDKTKLESFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEKMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVSVSKLYSKEYDNYTQW >PVH63564 pep chromosome:PHallii_v3.1:2:4127238:4134868:1 gene:PAHAL_2G056100 transcript:PVH63564 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MGMPHPQYEFANGTVNSCRRFHSIPSLQRAPCGPRTNVETYEGQRSANQPREVQKRTFGSAATHIQRNPAYSELNSDDVSYFRSILGDGVVEDEDRIAVANVDWMGKYKGASQLLLLPKSTIEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASQYKFYVLIETTGSDESYDKTKLESFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEKMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVSVSKLYSKEYDNYTQW >PAN09891 pep chromosome:PHallii_v3.1:2:4127647:4133195:1 gene:PAHAL_2G056100 transcript:PAN09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MARRAAAAARLLRRLGPLAAEPPMRGMPHPQYEFANGTVNSCRRFHSIPSLQRAPCGPRTNVETYEGQRSANQPREVQKRTFGSAATHIQRNPAYSELNSDDVSYFRSILGDGVVEDEDRIAVANVDWMGKYKGASQLLLLPKSTIEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASQYKFYVLIETTGSDESYDKTKLESFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEKMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVSVSKLYSKEYDNYTQW >PVH63563 pep chromosome:PHallii_v3.1:2:4127238:4134868:1 gene:PAHAL_2G056100 transcript:PVH63563 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MGMPHPQYEFANGTVNSCRRFHSIPSLQRAPCGPRTNVETYEGQRSANQPREVQKRTFGSAATHIQRNPAYSELNSDDVSYFRSILGDGVVEDEDRIAVANVDWMGKYKGASQLLLLPKSTIEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASQYKFYVLIETTGSDESYDKTKLESFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEKMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVSVSKLYSKEYDNYTQW >PVH64709 pep chromosome:PHallii_v3.1:2:47943402:47948264:1 gene:PAHAL_2G339300 transcript:PVH64709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNYRPPAAAPTAGGPAAQQQAAVAAPQSPRGAPVFAVVPQGGAHAAAPGSPQGPPGFWVAPQQSAPAPLQGAPVMAPLQGGTMMAPQQQHCAPVLVPDSQQGMQMAVQAASMAMMMASMSQQAQAQAMAAHQPAQGVAPSQPLPTYPLSMMQMQQAQAGHMVETPPLPLGPPPLVQQQRPSQADPTMTAQPPPPLGPPPVMLQQQPTQGGPVMTQPPLPFSSPSVILQQNPQSNQMMMGQPQLSAVPCKRQRVDQYDNLYGQHMTGHQQETTIFNAPMGQSFGPDLHNEMQQAPFMLTVSLPTVLDGKLHISFANIWGSAK >PAN13470 pep chromosome:PHallii_v3.1:2:47943402:47948264:1 gene:PAHAL_2G339300 transcript:PAN13470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNYRPPAAAPTAGGPAAQQQAAVAAPQSPRGAPVFAVVPQGGAHAAAPGSPQGPPGFWVAPQQSAPAPLQGAPVMAPLQGGTMMAPQQQHCAPVLVPDSQQGMQMAVQAASMAMMMASMSQQAQAQAMAAHQPAQGVAPSQPLPTYPLSMMQMQQAQAGHMVETPPLPLGPPPLVQQQRPSQADPTMTAQPPPPLGPPPVMLQQQPTQGGPVMTQPPLPFSSPSVILQQNPQSNQMMMGQPQLSAVPCKRQRVDQYDNLYGQHMTGHQQETTIFNAPMGQSFGPDLHNEFPSFGASMPSSLPKDATSTVYVDGLPTNCTRREVAHIFRQYMGFREVRLVNKGSSRHAICFVDFANPTHAFLAMRTLQGEYNTFCIYVVPSLAVPMRNLT >PAN13469 pep chromosome:PHallii_v3.1:2:47943402:47948264:1 gene:PAHAL_2G339300 transcript:PAN13469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNYRPPAAAPTAGGPAAQQQAAVAAPQSPRGAPVFAVVPQGGAHAAAPGSPQGPPGFWVAPQQSAPAPLQGAPVMAPLQGGTMMAPQQQHCAPVLVPDSQQGMQMAVQAASMAMMMASMSQQAQAQAMAAHQPAQGVAPSQPLPTYPLSMMQMQQAQAGHMVETPPLPLGPPPLVQQQRPSQADPTMTAQPPPPLGPPPVMLQQQPTQGGPVMTQPPLPFSSPSVILQQNPQSNQMMMGQPQLSAVPCKRQRVDQYDNLYGQHMTGHQQETTIFNAPMGQSFGPDLHNEFPSFGASMPSSLPKDATSTVYVDGLPTNCTRREVAHIFRQYMGFREVRLVNKGSSRHAICFVDFANPTHAFLAMRTLQGYKFDEQDPHSRILNLQFSHSPRLSSHGGW >PVH64710 pep chromosome:PHallii_v3.1:2:47943700:47946023:1 gene:PAHAL_2G339300 transcript:PVH64710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNYRPPAAAPTAGGPAAQQQAAVAAPQSPRGAPVFAVVPQGGAHAAAPGSPQGPPGFWVAPQQSAPAPLQGAPVMAPLQGGTMMAPQQQHCAPVLVPDSQQGMQMAVQAASMAMMMASMSQQAQAQAMAAHQPAQGVAPSQPLPTYPLSMMQMQQAQAGHMVETPPLPLGPPPLVQQQRPSQADPTMTAQPPPPLGPPPVMLQQQPTQGGPVMTQPPLPFSSPSVILQQNPQSNQMMMGQPQLSAVPCKRQRVDQYDNLYGQHMTGHQQETTIFNAPMGQSFGPDLHNEFPSFGASMPSSLPKDATSTVYVDGLPTNCTRREVARDWSH >PAN10697 pep chromosome:PHallii_v3.1:2:9020879:9022337:-1 gene:PAHAL_2G111600 transcript:PAN10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVAAILVSCVLLLAATSSHGLKYGYYNRRCPPAEFIVRKVVGKAIRQNPGIGAGLIRLAFHDCFVQGCDASVLLDPTPANPRPEKLGPPNFPSLRGFEVIDVAKAALERVCPGKVSCADVVQFAGRDAAFFLSDHKVNYTLPGGRLDGRVSLENETLAFLPPPSFNLSELVDSFRTKGLDVDDLVVLSGSHTIGRSHCSSFSDRIGTPPSDMDAGLAAVLRRRCPANPNFNNDPTVVQDIFTPNRLDNQYYNNVLRRKVLFKSDAALLTSTETARKVVENAAVRGRWERKFARAMVKMAAIEVKTAANGEVRRNCRVVN >PAN10095 pep chromosome:PHallii_v3.1:2:5313797:5319114:-1 gene:PAHAL_2G071500 transcript:PAN10095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSVSNGHGAVTRVLFCGPYWPAATNYTREYLQDYPFIQVDEVGLEQVPDVIQNYNLCVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGILRKQKEMDTAVNRRDLGLPVGETLFGKTVLVLGFGAIGVEVAKRLRPFKVKVLATKRNWTSDTLPCDVDEIVDKKGGPEDMYDFAGEANIVITCMALNNETAGIVDHKFISSMKKGSYLINIARGRLLDYNAVFDHLKSGHLAGLGIDVAWMEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKSVGDTALQLHSGEPFTGIEFVN >PAN10093 pep chromosome:PHallii_v3.1:2:5313797:5318284:-1 gene:PAHAL_2G071500 transcript:PAN10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVDEISVYLAAGHYSKMGDSSVSNGHGAVTRVLFCGPYWPAATNYTREYLQDYPFIQVDEVGLEQVPDVIQNYNLCVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGILRKQKEMDTAVNRRDLGLPVGETLFGKTVLVLGFGAIGVEVAKRLRPFKVKVLATKRNWTSDTLPCDVDEIVDKKGGPEDMYDFAGEANIVITCMALNNETAGIVDHKFISSMKKGSYLINIARGRLLDYNAVFDHLKSGHLAGLGIDVAWMEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKSVGDTALQLHSGEPFTGIEFVN >PVH63620 pep chromosome:PHallii_v3.1:2:5314013:5317979:-1 gene:PAHAL_2G071500 transcript:PVH63620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSVSNGHGAVTRVLFCGPYWPAATNYTREYLQDYPFIQVDEVGLEQVPDVIQNYNLCVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGILRKQACKFCLNTKIPSGTFGRKFAAIMKEMDTAVNRRDLGLPVGETLFGKTVLVLGFGAIGVEVAKRLRPFKVKVLATKRNWTSDTLPCDVDEIVDKKGGPEDMYDFAGEANIVITCMALNNETAGIVDHKFISSMKKGSYLINIARGRLLDYNAVFDHLKSGHLAGLGIDVAWMEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKSVGDTALQLHSGEPFTGIEFVN >PVH63877 pep chromosome:PHallii_v3.1:2:11350038:11350529:1 gene:PAHAL_2G128400 transcript:PVH63877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTLEYDPIAAYEVRAPLHWDAEEWDFRSQSEDDESLTDDLALLLGAELEEDEDDTSWGEDLSSSEERADSFSSEEDPMAGTFLFGKSSDETSDDTEEAEDDDGFASDSSGDDDDGSSNSGDNGASVSPPTKRRKTAGMYWW >PAN09845 pep chromosome:PHallii_v3.1:2:3966569:3967902:1 gene:PAHAL_2G053700 transcript:PAN09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEEAAAAATEVPETEVESEAPAAEEAEAKPAKAKKAPKEKAPKEKKAPKEKKAPKEKKPAVARKPAAHPPYAEMITEAIAALKERTGSSSVAIGKYVEEKHGGQLPPNFRKLLAGQLKKLAEAGKLTRVKNSFKLPSARPAPAADAKPKAAKPAAKPAATAKPKAAKAAKPAAKPKASPKAKAKIAAKPKAAASPKPKAKSAAAPAAAPKPRGRPPKVAKTDAKASPAKAAKKEKPAAAAAKKEKKAAATPKKAAATPKKAAASPARKGAARKAKK >PAN12031 pep chromosome:PHallii_v3.1:2:40160363:40162316:1 gene:PAHAL_2G237000 transcript:PAN12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFVFAGAAVAMLLGFLYVVKNRRGKSKLPPSPAWWLPLLGHLHLIGRLPHRSLHELHLRYGGGGGLVLLQLGRRRRTLVVSTAAAAADLFKNHDLAFSSRPHNAAAHKQTYGSRNVSFSPYGEHWRQAKRIVVVHLLSARRVEAFASVRAAEAAALVSRARRAAEAGEAIELRELVYGYTNAVVTRAATGAAGTTAERLRQLLAHTATLVAGFQADDVLPDAAARVFRRLTGLEKKIDDMNEEWDRFLSEIVAEHKQKTSRPDQGLGGDILDVLLQLRQEEGAKGFELTDDGIKAITKDMIAAGTETTAVTLEWAMVELARNPRVMAKLQDEIACVAGDTEQVAIAEPELNRMVYLRAVIKEVFRLHPPVPLLLPRESMAAAAVQGGRYEIPAKTALLVNAWAIGRDPAAWAAPEEFRPERFLAVAASSQAVDFRGTDYQLLPFGTGRRICPAINFAQAVLELALASLLRHFDWELPGGMRPEDLDMVEAPGLSAPPRVPLVLVPKCKALA >PVH64965 pep chromosome:PHallii_v3.1:2:51357899:51360729:-1 gene:PAHAL_2G394700 transcript:PVH64965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAANHPVLAPGDVGQSSFGANSSAAGAGGGFVALDVGALSSLAGDAGPPGTPTAPPRTPTASAAPPRTPKVVRSLSRKGDRKSADGDANATAGGGGERPQLFVHVAAGDLGDAPGSARLVVHTPLAGTPGSKSRRFGRRPAPWLDPRRVVFLFATLSSVGTLILLYFTLSMSRPDSSGSGGGGASDAR >PVH64967 pep chromosome:PHallii_v3.1:2:51358249:51360511:-1 gene:PAHAL_2G394700 transcript:PVH64967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAANHPVLAPGDVGQSSFGANSSAAGAGGGFVALDVGALSSLAGDAGPPGTPTAPPRTPTASAAPPRTPVVRSLSRKGDRKSADGDANATAGGGGERPQLFVHVAAGDLGDAPGSARLVVHTPLAGTPGSKSRRFGRRPAPWLDPRRVVFLFATLSSVGTLILLYFTLSMSRPDSSGSGGGGASDAR >PVH64969 pep chromosome:PHallii_v3.1:2:51359208:51360733:-1 gene:PAHAL_2G394700 transcript:PVH64969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAANHPVLAPGDVGQSSFGANSSAAGAGGGFVALDVGALSSLAGDAGPPGTPTAPPRTPTASAAPPRTPVVRSLSRKGDRKSADGDANATAGGGGERPQLFVHVAAGDLGDAPGSARLVVHTPLAGTPGSKSRRFGRRPAPWLDPRRVVFLFATL >PVH64968 pep chromosome:PHallii_v3.1:2:51359225:51360511:-1 gene:PAHAL_2G394700 transcript:PVH64968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAANHPVLAPGDVGQSSFGANSSAAGAGGGFVALDVGALSSLAGDAGPPGTPTAPPRTPTASAAPPRTPKVVRSLSRKGDRKSADGDANATAGGGGERPQLFVHVAAGDLGDAPGSARLVVHTPLAGTPGSKSRRFGRRPAPWLDPRRVVFLFATL >PVH64966 pep chromosome:PHallii_v3.1:2:51357899:51360729:-1 gene:PAHAL_2G394700 transcript:PVH64966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAANHPVLAPGDVGQSSFGANSSAAGAGGGFVALDVGALSSLAGDAGPPGTPTAPPRTPTASAAPPRTPVVRSLSRKGDRKSADGDANATAGGGGERPQLFVHVAAGDLGDAPGSARLVVHTPLAGTPGSKSRRFGRRPAPWLDPRRVVFLFATLPDSSGSGGGGASDAR >PAN14253 pep chromosome:PHallii_v3.1:2:51358249:51360511:-1 gene:PAHAL_2G394700 transcript:PAN14253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAANHPVLAPGDVGQSSFGANSSAAGAGGGFVALDVGALSSLAGDAGPPGTPTAPPRTPTASAAPPRTPKVVRSLSRKGDRKSADGDANATAGGGGERPQLFVHVAAGDLGDAPGSARLVVHTPLAGTPGSKSRRFGRRPAPWLDPRRVVFLFATLSSVGTLILLYFTLSMSRPDSSGSGGGGASDAR >PVH64964 pep chromosome:PHallii_v3.1:2:51357899:51360729:-1 gene:PAHAL_2G394700 transcript:PVH64964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAANHPVLAPGDVGQSSFGANSSAAGAGGGFVALDVGALSSLAGDAGPPGTPTAPPRTPTASAAPPRTPKVVRSLSRKGDRKSADGDANATAGGGGERPQLFVHVAAGDLGDAPGSARLVVHTPLAGTPGSKSRRFGRRPAPWLDPRRVVFLFATLPDSSGSGGGGASDAR >PAN14256 pep chromosome:PHallii_v3.1:2:51380627:51381642:1 gene:PAHAL_2G395000 transcript:PAN14256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYRLPLYHRQSPVVRCVNFLCAVLLTLVLIAGIILFVLWLSLRPHRPRFYLADFSIPNANRQSGLANLPVRFAVDEHNPNQKIGMYYEEIVASVYYGDQLVAKGPVMQPFYQAPKGDTPLLGQLTATGPAPTDPAWGRFSGELSAGNVAMRLLLTSTVQFQVKMWDTKHHHMKVECDFRMNGDGTLQQQDKNSQCALYF >PVH63998 pep chromosome:PHallii_v3.1:2:19799153:19799629:-1 gene:PAHAL_2G161700 transcript:PVH63998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAVSCSSAASPSVVISLSRPCICRSSYEIINRLMRSNLYLMNHVLPLKILLTCSP >PVH64001 pep chromosome:PHallii_v3.1:2:19798617:19799629:-1 gene:PAHAL_2G161700 transcript:PVH64001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAPEGAANNNYLVKYTLALVSSVLLFILLCCGILCSSDSIMTSTSCIGGKLEIE >PVH64007 pep chromosome:PHallii_v3.1:2:19795654:19800108:-1 gene:PAHAL_2G161700 transcript:PVH64007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAPEGAANNNYLVKYTLALVSSVLLFILLCCGILCSSDSIMTSTSCIGGKLEIE >PVH64008 pep chromosome:PHallii_v3.1:2:19799036:19799629:-1 gene:PAHAL_2G161700 transcript:PVH64008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAP >PVH64005 pep chromosome:PHallii_v3.1:2:19799153:19799629:-1 gene:PAHAL_2G161700 transcript:PVH64005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAVSCSSAASPSVVISLSRPCICRSSYEIINRLMRSNLYLMNHVLPLKILLTCSP >PVH64006 pep chromosome:PHallii_v3.1:2:19795654:19800108:-1 gene:PAHAL_2G161700 transcript:PVH64006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAPEGAANNNYLVKYTLALVSSVLLFILLCCGILCSSDSIMTSTSCIGGKLEIE >PVH64002 pep chromosome:PHallii_v3.1:2:19795654:19800108:-1 gene:PAHAL_2G161700 transcript:PVH64002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAPEGAANNNYLVKYTLALVSSVLLFILLCCGILCSSDSIMTSTSCIGGKLEIE >PVH64009 pep chromosome:PHallii_v3.1:2:19799153:19799629:-1 gene:PAHAL_2G161700 transcript:PVH64009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAVSCSSAASPSVVISLSRPCICRSSYEIINRLMRSNLYLMNHVLPLKILLTCSP >PVH64004 pep chromosome:PHallii_v3.1:2:19799153:19799629:-1 gene:PAHAL_2G161700 transcript:PVH64004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAVSCSSAASPSVVISLSRPCICRSSYEIINRLMRSNLYLMNHVLPLKILLTCSP >PVH64003 pep chromosome:PHallii_v3.1:2:19798617:19799629:-1 gene:PAHAL_2G161700 transcript:PVH64003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAPEGAANNNYLVKYTLALVSSVLLFILLCCGILCSSDSIMTSTSCIGGKLEIE >PVH64000 pep chromosome:PHallii_v3.1:2:19799093:19799629:-1 gene:PAHAL_2G161700 transcript:PVH64000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAPRQIHLNLRYESWWCA >PVH63999 pep chromosome:PHallii_v3.1:2:19795646:19800108:-1 gene:PAHAL_2G161700 transcript:PVH63999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRVRARSISSANPSLHPSLCLLARAPRFPSVAGSSSPPRRSSLPPPPPKIALALHPSALFVPSISRTRIPTTRPSSRPRVSLVRPPPSRILHSAAPSPSQAFHLQA >PAN10204 pep chromosome:PHallii_v3.1:2:5839774:5843810:-1 gene:PAHAL_2G078000 transcript:PAN10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRPYRLSWPRLSVVYDVSPGKVFSTATRQLRGSGAKVSRNCVLLHTPLESPDLQEGLSKNGFNGNRLSLWVLQGLPLPTITSLENLLLVISNLAMKGSIFMGELPHFPGCTASMDMGLEQENLEKLFFTQGFQVSFVRYDDVVKDVGLDLATPWEQRGRLLFVAEQLRFSDAQMESFRMHFERIEEDADEDGFEEL >PAN10201 pep chromosome:PHallii_v3.1:2:5839774:5843810:-1 gene:PAHAL_2G078000 transcript:PAN10201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADPLFIDPYAAVLLSHDVAHHHMDYLVSHAVVCYDHYRLTTRYIDDKLQNLVSNSEDIRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPGKVFSTATRQLRGSGAKVSRNCVLLHTPLESPDLQEGLSKNGFNGNRLSLWVLQGLEQENLEKLFFTQGFQVSFVRYDDVVKDVGLDLATPWEQRGRLLFVAEQLRFSDAQMESFRMHFERIEEDADEDGFEEL >PAN10200 pep chromosome:PHallii_v3.1:2:5840244:5843495:-1 gene:PAHAL_2G078000 transcript:PAN10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADPLFIDPYAAVLLSHDVAHHHMDYLVSHAVVCYDHYRLTTRYIDDKLQNLVSNSEDIRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPGKVFSTATRQLRGSGAKVSRNCVLLHTPLESPDLQEGLSKNGFNGNRLSLWVLQGLPLPTITSLENLLLVISNLAMKGSIFMGELPHFPGCTASMDMGLEQENLEKLFFTQGFQVSFVRYDDVVKDVGLDLATPWEQRGRLLFVAEQLRFSDAQMESFRMHFERIEEDADEDGFEEL >PAN10203 pep chromosome:PHallii_v3.1:2:5839774:5844261:-1 gene:PAHAL_2G078000 transcript:PAN10203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPRLAAPAPAAAPTVRRTPPALPPPLRPPCRACSLGSGRRPPRPPSATAADAPRGGPIPEPEEVDQHLLAALHAARIRDEESRRSGSGAKVSRNCVLLHTPLESPDLQEGLSKNGFNGNRLSLWVLQGLPLPTITSLENLLLVISNLAMKGSIFMGELPHFPGCTASMDMGLEQENLEKLFFTQGFQVSFVRYDDVVKDVGLDLATPWEQRGRLLFVAEQLRFSDAQMESFRMHFERIEEDADEDGFEEL >PAN10197 pep chromosome:PHallii_v3.1:2:5839774:5844261:-1 gene:PAHAL_2G078000 transcript:PAN10197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPRLAAPAPAAAPTVRRTPPALPPPLRPPCRACSLGSGRRPPRPPSATAADAPRGGPIPEPEEVDQHLLAALHAARIRDEESRRSDPLFIDPYAAVLLSHDVAHHHMDYLVSHAVVCYDHYRLTTRYIDDKLQNLVSNSEDIRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPGKVFSTATRQLRGSGAKVSRNCVLLHTPLESPDLQEGLSKNGFNGNRLSLWVLQGLEQENLEKLFFTQGFQVSFVRYDDVVKDVGLDLATPWEQRGRLLFVAEQLRFSDAQMESFRMHFERIEEDADEDGFEEL >PAN10202 pep chromosome:PHallii_v3.1:2:5840244:5844102:-1 gene:PAHAL_2G078000 transcript:PAN10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPRLAAPAPAAAPTVRRTPPALPPPLRPPCRACSLGSGRRPPRPPSATAADAPRGGPIPEPEEVDQHLLAALHAARIRDEESRRSDPLFIDPYAAVLLSHDVAHHHMDYLVSHAVVCYDHYRLTTRYIDDKLQNLVSNSEDIRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPGKVFSTATRQLRGSGAKVSRNCVLLHTPLESPDLQEGLSKNGFNGNRLSLWVLQGLPLPTITSLENLLLVISNLAMKGSIFMGELPHFPGCTASMDMGLEQENLEKLFFTQGFQVSFVRYDDVVKDVGLDLATPWEQRGRLLFVAEQLRFSDAQMESFRMHFERIEEDADEDGFEEL >PAN10199 pep chromosome:PHallii_v3.1:2:5840244:5843215:-1 gene:PAHAL_2G078000 transcript:PAN10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRPYRLSWPRLSVVYDVSPGKVFSTATRQLRGSGAKVSRNCVLLHTPLESPDLQEGLSKNGFNGNRLSLWVLQGLPLPTITSLENLLLVISNLAMKGSIFMGELPHFPGCTASMDMGLEQENLEKLFFTQGFQVSFVRYDDVVKDVGLDLATPWEQRGRLLFVAEQLRFSDAQMESFRMHFERIEEDADEDGFEEL >PAN12553 pep chromosome:PHallii_v3.1:2:43547313:43551456:-1 gene:PAHAL_2G272500 transcript:PAN12553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/TrEMBL;Acc:A0A178V2H6] MMGSNSGGGGGGPGGGMGQGMGVPVGGGGDGRHDDEAALTEFLSSLMDYTPTIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFLSDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHAEYFADSPSAGMAPSTREE >PAN15414 pep chromosome:PHallii_v3.1:2:56796259:56798231:1 gene:PAHAL_2G486100 transcript:PAN15414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAAAMAAVAAVLCAAAVARGEVVDEFGAGASFIFGDSLVDAGNNNYIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQADYSPPFLAPNTTGGAILNGVNYASGGAGILNATGKIFVNRIGMDLQVDNFNITRKQLDDLLGRDKAKEFLRRKAIFSVTVGSNDFLNNYLMPVLSTGTRISESPDSFLNDLIFHLRDQLTRLYTLDARKFVVANVGPLGCIPYQKTINRVGEDECVKLPNQLAAQYNGRLRELLIELNGNLPGARFCLANVYDLVMELITNYPNYGFQTASVACCGNGGSYEGLVPCGPTTSMCDARDKHVFWDPYHPSEAANVLLARYIVDGDSKYISPMNLRKLFSL >PVH64263 pep chromosome:PHallii_v3.1:2:38303769:38314709:1 gene:PAHAL_2G225400 transcript:PVH64263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASLVMIRYAVAMISLHYLFAALATTLAAAEASGVARRQGSTGDRAPSITPAAGCPNRCGDVTFDFPFGIGPDCSRGPDFELTCDNTTQPPKLFMCDGTTQLISNITIVTTEDMDTDSERSISMNFARTIPMKSSAPVFWSLSLKSPGNSFIAQYTGLSFSGCDFHVHWVNPPVNTTAVASRSSCTSLCPDDADGELTNIAAGDAAEYCGISNNGTGCCNILFGDYQGVPYVSLATLKFVRYGRADPKLHHNLSFLWDTINVTLYEASANWKIADQTTCASAIRNKATYACVSRNSICEDDFIGDYGYRCKCRTGYIGNPYIPHGCKPDHAFISLESGYNPSQPKSNCTRQCGSTDVPFPFGLEEGCFAREEFHLNCTNKTSSAILLYKSVDDYQVTNIHVELGLIEYIDPEASFGFGAFVRPSLFLYSAAPVSVQWVVAQLSCEEARQNKSGYACASANSECKTIRRTAGGHVGYRCNCISGYQGNPYIARGCVDIDECLQPNICPEICNNTIGNYTCNPCPYKTEYDPVNRRCVIRKHQNLLPGIAIGLSVGLGILLLCLGGVFLIHRWRRDIQKQLRKKYFQKNKGLLLEQLISSDEKQSDNKIFSLEELQKATNNFDPTRILGSGGHGMVYKGILSDQHVVAIKKPKVIAEGEINQFINEVAILSQINHRNIVKLLGCCLETEVPLLVYDFIPNGSLFKIIHDDQSNKEFSLSWHDSLRIATEAAGALCYLHSAASVSVFHRDVKSSNILLDGSYTAKVSDFGASRLIPIDQTHVITNIQGTFGYLDPEYYYTGQLNEKSDVYSFGVVLLELLLKKEPIFTSDSGSKINLSNYFLSEIRTRPITEMVASQVLEQASEDELNTVASLAEECLRLRGEERPTMKQVEMKLQLLRNKGLRSCDGFPQAVATYKHQHL >PAN12896 pep chromosome:PHallii_v3.1:2:45411755:45412609:-1 gene:PAHAL_2G299100 transcript:PAN12896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYPRLLLRLLLLSLPAVLVLAAADDLPAHLPGCPSTCGNVAIPYDPFGIGDQCAIHSGFNITCAPVNGTERPYKGAFEVTKISAPDAKAWMKMGISWRCYGQTDTRNMTEYSLWQNFTNTPFRFSHDDNKFSSSVATRLAI >PVH64599 pep chromosome:PHallii_v3.1:2:46273833:46280113:-1 gene:PAHAL_2G313300 transcript:PVH64599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSSVREQQQEMNISFGMMNHHHGHHHQQPPSSSSSSSMHAAAASFMSGKEASGAYDHLGELDQALFMYLDHGSGHGATHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKQPRSPDHHHHQQAAMEELAGSRRLQQEHHHLQHQPFPAAGAEPAAPGMIKDVKPLTKKDHRRGTSTSERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPNSGLLADQGVAGKGVPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMMGIKEGAIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKAAACK >PAN13090 pep chromosome:PHallii_v3.1:2:46271477:46280113:-1 gene:PAHAL_2G313300 transcript:PAN13090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSSVREQQQEMNISFGMMNHHHGHHHQQPPSSSSSSSMHAAAASFMSGKEASGAYDHLGELDQALFMYLDHGSGHGATHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKQPRSPDHHHHQQAAMEELAGSRRLQQEHHHLQHQPFPAAGAEPAAPGMIKDVKPLTKKDHRRGTSTSERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPNSGLLADQGVAGKGVPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMMGIKEGAIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMLLSHVEPLTEQQIVGVYGLQQSALETEEALSQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAAAMNKLSTLEGFVRQAESLRQQTLHRLHQILTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRAPQEQQQGHS >PVH64600 pep chromosome:PHallii_v3.1:2:46274440:46280113:-1 gene:PAHAL_2G313300 transcript:PVH64600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSSVREQQQEMNISFGMMNHHHGHHHQQPPSSSSSSSMHAAAASFMSGKEASGAYDHLGELDQALFMYLDHGSGHGATHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKQPRSPDHHHHQQAAMEELAGSRRLQQEHHHLQHQPFPAAGAEPAAPGMIKDVKPLTKKDHRRGTSTSERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPNSGLLADQGVAGKGVPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMMGIKEGAIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKRRQWIIIGDEDCCF >PVH64288 pep chromosome:PHallii_v3.1:2:38908923:38909438:-1 gene:PAHAL_2G229600 transcript:PVH64288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSISSNSPSSSSIISITSPDSETSREATPEFDLIASYEALTPLHWDAEEWDFSTWSEDDEPLTDDEDLQTLLHGDLDEGNHEDSWHDDFFSFSEEDAKDTSTVDDSAAGGFLRGGSSTSEDTGDASDDTSDDGGDSNSTGGNDGSSDDDTSASPPYKRRKILGTYWG >PAN13138 pep chromosome:PHallii_v3.1:2:46503726:46508093:1 gene:PAHAL_2G316500 transcript:PAN13138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESRSGVLSETGSCAGTPRSVQSSRSLQHRYSSRSILKTHEGASDMSPRFSYCKPTTHKDKMFNRRHSLNLPEQLPSHCSRKATERIQKATSKSVADLVGEIAALEQEVIRKELHLLSLYRRAFDQYVSEPCSFTSEQADQETLKNIDEGALRLRDIKHSAAFNLPTVSNSEVPKSGARHSSLVNFLSASISEYVPKISCKLSEDILSCIGAVYCKLSSTQPQDAECMTSPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRQYEFQKEKNEQNVAMIVIPRIRIDADKFDYASKMLETIRSLIQRLEKVDPLKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYTVGGQSVNAQIIQNSILGCQSHRPSLWVRALFTPSKRSTAGTARHPYALHHPEPIAHFALSTGALSDPPVRLYTAKKIHQQLEAARTEFIQGSVVVRKQALLLPKVLHYYARDAALELRHLVELVCESMSDAQREHCPRRRADKRVEWMPYKSSFRYVVHRDLAD >PVH64620 pep chromosome:PHallii_v3.1:2:46503726:46508093:1 gene:PAHAL_2G316500 transcript:PVH64620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESRSGVLSETGSCAGTPRSVQSSRSLQHRYSSRSILKTHEGASDMSPRFSYCKPTTHKDKMFNRRHSLNLPEQLPSHCSRKATERIQKATSKSVADLVGEIAALEQEVIRKELHLLSLYRRAFDQYVSEPCSFTSEADQETLKNIDEGALRLRDIKHSAAFNLPTVSNSEVPKSGARHSSLVNFLSASISEYVPKISCKLSEDILSCIGAVYCKLSSTQPQDAECMTSPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRQYEFQKEKNEQNVAMIVIPRIRIDADKFDYASKMLETIRSLIQRLEKVDPLKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYTVGGQSVNAQIIQNSILGCQSHRPSLWVRALFTPSKRSTAGTARHPYALHHPEPIAHFALSTGALSDPPVRLYTAKKIHQQLEAARTEFIQGSVVVRKQALLLPKVLHYYARDAALELRHLVELVCESMSDAQREHCPRRRADKRVEWMPYKSSFRYVVHRDLAD >PAN13139 pep chromosome:PHallii_v3.1:2:46503604:46508118:1 gene:PAHAL_2G316500 transcript:PAN13139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESRSGVLSETGSCAGTPRSVQSSRSLQHRYSSRSILKTHEGASDMSPRFSYCKPTTHKDKMFNRRHSLNLPEQLPSHCSRKATERIQKATSKSVADLVGEIAALEQEVIRKELHLLSLYRRAFDQYVSEPCSFTSEQADQETLKNIDEGALRLRDIKHSAAFNLPTVSNSEVPKSGARHSSLVNFLSASISEYVPKISCKLSEDILSCIGAVYCKLSSTQPQDAECMTSPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRQYEFQKEKNEQNVAMIVIPRIRIDADKFDYASKMLETIRSLIQRLEKVDPLKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYTVGGQSVNAQIIQNSILGCQSHRPSLWVRALFTPSKRSTAGTARHPYALHHPEPIAHFALSTGALSDPPVRLYTAKKIHQQLEAARTEFIQGSVVVRKQALLLPKVLHYYARDAALELRHLVELVCESMSDAQREHCPRRRADKRVEWMPYKSSFRYVVHRDLAD >PVH64621 pep chromosome:PHallii_v3.1:2:46503726:46508093:1 gene:PAHAL_2G316500 transcript:PVH64621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESRSGVLSETGSCAGTPRSVQSSRSLQHRYSSRSILKTHEGASDMSPRFSYCKPTTHKDKMFNRRHSLNLPEQLPSHCSRKATERIQKATSKSVADLVGEIAALEQEVIRKELHLLSLYRRAFDQYVSEPCSFTSEADQETLKNIDEGALRLRDIKHSAAFNLPTVSNSEVPKSGARHSSLVNFLSASISEYVPKISCKLSEDILSCIGAVYCKLSSTQPQDAECMTSPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRQYEFQKEKNEQNVAMIVIPRIRIDADKFDYASKMLETIRSLIQRLEKVDPLKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYTVGGQSVNAQIIQNSILGCQSHRPSLWVRALFTPSKRSTAGTARHPYALHHPEPIAHFALSTGALSDPPVRLYTAKKIHQQLEAARTEFIQGSVVVRKQALLLPKVLHYYARDAALELRHLVELVCESMSDAQREHCPRRRADKRVEWMPYKSSFRYVVHRDLAD >PVH63412 pep chromosome:PHallii_v3.1:2:1538089:1542469:1 gene:PAHAL_2G022000 transcript:PVH63412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDAVSILELHRKRNTFFVQKLPALQEHGAAQLVLQAHKEAVNSLGENGPAKLGTVATVVAIANSTAIEATKEVEAAMKISLRAALGSTTNKLTKGQLDDLTIMMETLRVKDDELHQLLQDIRARDSTINEIADKLQETAEAAETAASAARSIDEERRYLSSEIERLKQDHEKQVEVSLLRLRESEEKAKLLVEERDHLLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADFRVKEAMSRLESAIKEKEDLLALVDALQSQIKRQETSTIQVCEESSELCSTTSKHVEDDNVDKACVSDTDPIPVAENIVELDDEGVDIRTIGDTEWGNPHSSEVSDVREVTTEPEENSLDIPVDT >PAN09418 pep chromosome:PHallii_v3.1:2:1538089:1542468:1 gene:PAHAL_2G022000 transcript:PAN09418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGSSTVVGEMQSSLERVRRQLSSTSTRQLLQGPLLKRSDTLRKWNERWVILDPTTGKIEYKVRRSDKDVRGVIVFDTTTTVTLSPMNFHGLAKYDGCCFYIGTPQKKEYFLCAETPSAARAWVSTLHAAQLVLQAHKEAVNSLGENGPAKLGTVATVVAIANSTAIEATKEVEAAMKISLRAALGSTTNKLTKGQLDDLTIMMETLRVKDDELHQLLQDIRARDSTINEIADKLQETAEAAETAASAARSIDEERRYLSSEIERLKQDHEKQVEVSLLRLRESEEKAKLLVEERDHLLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADFRVKEAMSRLESAIKEKEDLLALVDALQSQIKRQETSTIQVCEESSELCSTTSKHVEDDNVDKACVSDTDPIPVAENIVELDDEGVDIRTIGDTEWGNPHSSEVSDVREVTTEPEENSLDIPVDT >PAN10193 pep chromosome:PHallii_v3.1:2:5808465:5812022:-1 gene:PAHAL_2G077600 transcript:PAN10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MASPAPGGKEAGEGGGKAEWLIYAFVARGTAVLAEYTEFTGNFPAIAAQCLQRLPAGSSSASAAAGGAPARFSYACDRHTFNFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGKADTALAKSLNKEYGPVIKQHIQYVLDHSEELDKTLKVQAQVSEVKNIMLDNIEKTLGRGEKLSELQDKTSDLHSQAQEFKKQGVKIRRKTWLQNMKIKLVVLGILLLLVLIVWVSVCQGFDCTKHET >PVH64389 pep chromosome:PHallii_v3.1:2:42222569:42225171:1 gene:PAHAL_2G256300 transcript:PVH64389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLVISLPVLTPINAPPISAHSASPALKTSQRPSTNTAARTNRSLEASLIKQRAHRRFAWRLCIGGEMALNGGKTFVQDEEKQRLLLEEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSEADHYNRELQREQEEIDTVPDVEAAEIADILSQYGLGPAEYGPVVTTLRNNPKAWLEFMMKFELGLEKPEPRRALVSAATIALSYVAGGLVPLLPYVFVPRAERAMAVSVAVTLAALLFFGFVKGRFTGDRPFLSAVQTTVVGALASAAAYAMARAVQSI >PAN11940 pep chromosome:PHallii_v3.1:2:39100540:39102253:-1 gene:PAHAL_2G231300 transcript:PAN11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASSTPETHALPHIAIFPFLAKGHTIPMIHLAHYLHRHGLAAVTFFTTPGNAAFLREGLSGADAAVVELTFPADVPGIPPGVESAEGLTCLASFAVFADATSLLRPQLEASLAEMQPPASLLVTDPFLYWTKASADRLGVPKVSFFGISAFAHVMREVRVRHDPCATLKHDDVDADGNPATFTVPEFPHIKLTFEDFMAPFGDPASIAPMLELDGKLGKAIEESQGLIINTFHGLESPYVDFWNQHVGPKAWPVGPLCLAQPATAPPKARPSWMEWLDNKAADGRAVLYVALGTLAAIPQSQLKAVADGLERAEVDFIWAVRPKNIDLGSGFEERTKGRGLVVREWVDQLEILKHETVRGFLSHCGWNSVLESVAAGVPLAVWPMHADQPFNAKFVVDELKIAVRVQTSDRTIRGLVTSEEVSKVVRVLMLGEEEVEAAKNVAELSACAKEAMEEGGPSWKALKDMITELCVKKVNVSLEESQDERVDV >PAN15406 pep chromosome:PHallii_v3.1:2:56766891:56771022:-1 gene:PAHAL_2G485600 transcript:PAN15406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRFTGVVVLILLLSAASHGRELPVKKSGQSFVYNHTLAKSLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMRSLIVDVENCLQAFVGVAHNLNSIVVAIRGTQENSVQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVRKARKSYGNISVIVTGHSMGGAMASFCALDLAMKLGSDSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPQLTYHHFPREVWVHDSEGNTTEQICDNSGEDPKCCRCISMFSLSIQDHFTYLGVDMEADDWSTCRIIGAQSIKKFYKELTSNIIMAKHNVDVSIVEPSKQRDLSSFR >PAN13311 pep chromosome:PHallii_v3.1:2:47203543:47205229:-1 gene:PAHAL_2G327700 transcript:PAN13311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENADWNEENTRLLCELFAEQVRAHNRSGTHLNRTGYKNVMEKFKEMTELDYSKLQFKNKWDKMRKEYGNWKRLSRETGLGWDPVKKTYTAPDAWWKKENKVYKGIAKFKDGPLQHEDLKTIMFEDIRNTGDDHWSPSSGAAPNTQDTEPDDDKDEDYEANEASDDCHEISPEPSKGKRPAPTSRKDKGKKPKTSGGHWVQDQLTKLVSMSERSTASCESLARREDTSGCSIKDVMILVRECGAVPGSKEHFIASQVFIKRAEREMFMTLETPEERFQWLTMKHNWLTRNDSTM >PAN14966 pep chromosome:PHallii_v3.1:2:54913349:54918161:1 gene:PAHAL_2G455100 transcript:PAN14966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MEDELPSPVDVEHVEFDTVAKLKEPLIAKAAERLLLGQGELRTQYDCFKKNPNISGWLEDAALFAAIDKSIGAFSWYEWPEPLKNRHLGALEDIYQKQKDFIETFMAQQFLFQRQWQRIRKYAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEADGFSWWIKRIKRALDLYDEFRIDHFRGLAGFWAVPSDAKVALVGSWRAGPRNAFFDALFKAVGSINIIAEDLGVITEDVVQLRKSIGAPGMAVLQFAFGGGSDNPHLPHNHEMDQVVYTGTHDNDTVLGWWQNLPEEEKQIVIKYLPEAKNTDISWTLICAALSSVARTSMVTMQDILGLDSSGRMNTPATQKGNWRWRIPSSVGFDSLSPEAAKLKELLALYNRL >PAN14965 pep chromosome:PHallii_v3.1:2:54912804:54918161:1 gene:PAHAL_2G455100 transcript:PAN14965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MVLARVLTLPLPAPSPTPHRRFLLPPRAARVAPVRAAACRAASAMAAVRALDPAAAAAVDVGEELPEGYDQMMPTVEPARRRRAGVLLHPTSLRAPHGIGDLGDEALAFLHWLRDAGCTLWQVLPLVPPGRTSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMEDELPSPVDVEHVEFDTVAKLKEPLIAKAAERLLLGQGELRTQYDCFKKNPNISGWLEDAALFAAIDKSIGAFSWYEWPEPLKNRHLGALEDIYQKQKDFIETFMAQQFLFQRQWQRIRKYAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEADGFSWWIKRIKRALDLYDEFRIDHFRGLAGFWAVPSDAKVALVGSWRAGPRNAFFDALFKAVGSINIIAEDLGVITEDVVQLRKSIGAPGMAVLQFAFGGGSDNPHLPHNHEMDQVVYTGTHDNDTVLGWWQNLPEEEKQIVIKYLPEAKNTDISWTLICAALSSVARTSMVTMQDILGLDSSGRMNTPATQKGNWRWRIPSSVGFDSLSPEAAKLKELLALYNRL >PAN13135 pep chromosome:PHallii_v3.1:2:46492704:46493604:-1 gene:PAHAL_2G316200 transcript:PAN13135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSSRCVSTNSRGLSGRLPPSEDVPETSEREAEEDDGGEIVHFSHPEHRLARFDFPYLFLCMGCREYGAGKRFMCQACGFQLHEFCALAPPSLHDHPFHPKHPHLLFFARPAGGFLRCKCDVCGKSVKGFSFRCASCGFDMHPCCAAMARRMELPAAHEHPLELVAQDGAESSLVCQVCRRATARRSGGSAGHTVYRCLPCGYCLHARCAKDMVNGLYEHGIVPPERRSAIAAAARVTVNALFGVLGGLIEGIGEGIGEAFVENIGRSRRSFR >PVH65171 pep chromosome:PHallii_v3.1:2:53868692:53872391:1 gene:PAHAL_2G436400 transcript:PVH65171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEPEVFDVVIFGASGFTGKYVIREALKFLSPSSPLRSLAVAGRSRDRVAAALRWAAAPAPPPEGVAILVADAADPASLAALASRARVVLSCAGPFRLHGHAIAAACAAAGADCLDISGEPEFMERVEAELHEPAAKSGSLIVSACGFDSVPAELGFLFHSRQWEPPSAPVTVEAYVSLQSTKRIVGNIGTYESAVLGVANAGQLQALRRSRPRRPRPNIPGPPPPKGSLIEGHNPLGMWAMKLPSADTVVVKRTLSTVTEHPEGLPGAEETPEYTEHRKSFWSSVKPAHFGVKIASRSLMIIVRFLITGLFIGLLANFSFGRSLLLNYPEFFSAGMFSRAGPTEEEVKSASFKMWFVGHGYSDTAQASERGSKPDKEVITKVSGPEVGYITTPIVLVQCALVLLSQRGNLPKGGVYTPGAVFGPTDLQQRLQENGMSFDVQVTRSMR >PAN13014 pep chromosome:PHallii_v3.1:2:45940508:45944638:1 gene:PAHAL_2G307700 transcript:PAN13014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSHADEHEISLCDPNSEDDRRRRKIGSFRRKAIHALRKKRGRRRVTDFRFPAAISIEDVRDAEEERAVAAFRDRLAAHGLLPDKHDDYHMMLRFLKARKFDSEKATQMWAEMLRWRKEFGADTIVEDFEFDELDDVLRYYPQGYHGVDREGRPVYIERLGKADPNKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVHGVGFKNFSKTARELVQRMQRIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLIEVIDSSELPEFLGGSCTCCDKGGCLGSNKGPWNDPAILKLIHSMGGRGSTRETKQISDGYERSGSSLRAENLKGVLSDISNAESESDVDDVGLSAVQKSTDHSLLTPVHEEVKGSDSSTPCSSNSKHILDMNPGSPQGSQQLERESIQLTCQKHFSTFGWLHNLGNISLILHGTSAVRTLEDLARGLATVLIRISSLFHLSVCRQERMTGNDQSYAEAEQGKPQTVRDEDMSACLQRLEKLESLCNHMMSKPPDMPKDKELVLLQSFDRIKSLEADLERTKTALQAAMAKQMELEDTVEALQHRSSSVVRRRFCCS >PAN11587 pep chromosome:PHallii_v3.1:2:28916679:28920471:1 gene:PAHAL_2G188100 transcript:PAN11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGKRAASFFEEQQHSPHAGTPPPSKRARFRAGGGSGSPSPPRARGGDPGLVAAIRVRFPSVSLEFIEKALEECGNDFDLATKYLLNLPAQSAKCDAAPGYQPPNGMTTEDQVPAEGILVDNEVAAPVDSVPWADNLPSSSIQWSEILVNEMLSASNTDDAKARASRVLEVFERAMTSRIGAEAHQSFQKENSIYKEQFEAAIRESTILKKAFAIQHERQKEQDERSQELQQLKQLVVQYQEQVRSLEVNNYALSMHLRQAQQGSSIPGHFHRDIF >PAN09597 pep chromosome:PHallii_v3.1:2:2542228:2542843:1 gene:PAHAL_2G036100 transcript:PAN09597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHRQVLLFLAVVFAVASLASATEWKVGDDGGWRAQFNKTGWADGKTFRVGDTLLFKYIKGNHTVIQVGKEDFAACNVKTNNKLGAWSSGNDVVPLDKPGKMWFFCSINDHCNNGMKLVINVVGDGAAPAPAPVQPAPPPSSAPVMGYTAGAAVAVAGAVVAVVLAF >PAN13071 pep chromosome:PHallii_v3.1:2:46205477:46211785:-1 gene:PAHAL_2G312300 transcript:PAN13071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTASSEREVGVDTLWGGGVGRQASPCADAPGKVGPESWRLEINSKQDAVMRFSSTNFPDGIQRRRTAVDELNVRSIFEENDVVYAEVCAFQHDGSPELQPMGQKNGKLERGQLLTVSPYLVKRQNQHCYHLEQYGVYLILGRNGFIWVGEHAVVGETAKLMGTELENFTPLETRNHVCRLANAVHVLSALGFTLTAELIVETAEASLSSKVAVNDMVGGEFFVQTAEREKGAARRVHLVRKEIGSI >PVH65389 pep chromosome:PHallii_v3.1:2:56495719:56499615:-1 gene:PAHAL_2G481400 transcript:PVH65389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSSMAGAGSSELIYRGHDAQEAVAEEAAGAGYSSAKPPPHGRGAPLPWLARPLRYVMGEQRLVFALLGMALASLVFLLAPSASSTTGGGSTSSSVAHLAAAGLAARQYSSGRSGAAVSSSRVAVRPGRVPLGLKRKGLRVVVTGGAGFVGSHLVDRLLARGDSVIVVDNLFTGRKENVLHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDISRAKELLGWEPKISLKKGLPLMVQDFRNRIFGDQKDAGDN >PVH64552 pep chromosome:PHallii_v3.1:2:45560610:45561925:1 gene:PAHAL_2G301100 transcript:PVH64552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGHPAAVALVHSGHHRERRPPGHHRERCPAGHRRYRSLAIIATVCSADQPPSRGPAPGQRRRHAAAVALVLRPLSPHPACDHRLSVSFWFLCQVG >PAN09682 pep chromosome:PHallii_v3.1:2:3106821:3111797:1 gene:PAHAL_2G044000 transcript:PAN09682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKAEKKRYVLKKIRLARQTNRSRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSDAIKKAKSNHFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALRPAFKAFDMQALINKINKSVLAPLPTMYSGAFRGLVKSMLRKSPDHRPSAAELLKHPHLQPYVFDLQLKSTLPRNLFSANKHDAKKAALSNAEDNCKLNYRKSHSFKLERAVKLDQETDTHGPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVIHEKHSKATRPPAPTPRRSSSTPRRRLEPSKTFHARTTHKEPPPSRPSPDQTGQVTRRESLPMLAVKTPEKRQATNIITRLKSPDVSVNSPRIDRIAEFPLSSFENPLHRITKLTPPSTIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQKRFDTRSYQQRAEALEGLLEFSAQLLQQERIEELGILLKPFGPGTASPRETAIWLSRSLKEVGF >PAN09684 pep chromosome:PHallii_v3.1:2:3106821:3111797:1 gene:PAHAL_2G044000 transcript:PAN09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKAEKKRYVLKKIRLARQTNRSRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSDAIKKAKSNHFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALRPAFKAFDMQALINKINKSVLAPLPTMYSGAFRGLVKSMLRKSPDHRPSAAELLKHPHLQPYVFDLQLKSTLPRNLFSANKHDAKKAALSNAEDNCKLNYRKSHSFKLERAVKLDQETDTHGPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVIHEKHSKATRPPAPTPRRSSSTPRRRLEPSKTFHARTTHKEQPPPSRPSPDQTGQVTRRESLPMLAVKTPEKRQATNIITRLKSPDVSVNSPRIDRIAEFPLSSFENPLHRITKLTPPSTIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQKRFDTRSYQQRAEALEGLLEFSAQLLQQERIEELGILLKPFGPGTASPRETAIWLSRSLKEVGF >PAN09683 pep chromosome:PHallii_v3.1:2:3106820:3111797:1 gene:PAHAL_2G044000 transcript:PAN09683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKAEKKRYVLKKIRLARQTNRSRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSDAIKKAKSNHFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALRPAFKAFDMQALINKINKSVLAPLPTMYSGAFRGLVKSMLRKSPDHRPSAAELLKHPHLQPYVFDLQLKSTLPRNLFSANKHDAKKAALSNAEDNCKLNYRKSHSFKLERAVKLDQETDTHGPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVIHEKHSKATRPPAPTPRRSSSTPRRRLEPSKTFHARTTHKEPPPSRPSPDQTGQVTRRESLPMLAVKTPEKRQATNIITRLKSPDVSVNSPRIDRIAEFPLSSFENPLHRITKLTPPSTIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQKRFDTRSYQQRAEALEGLLEFSAQLLQQERIEELGILLKPFGPGTASPRETAIWLSRSLKEVGF >PVH63504 pep chromosome:PHallii_v3.1:2:3106821:3111797:1 gene:PAHAL_2G044000 transcript:PVH63504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKAEKKRYVLKKIRLARQTNRSRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSDAIKKAKSNHFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALRPAFKAFDMQALINKINKSVLAPLPTMYSGAFRGLVKSMLRKSPDHRPSAAELLKHPHLQPYVFDLQLKSTLPRNLFSANKHDAKKAALSNAEDNCKLNYRKSHSFKLERAVKLDQETDTHGPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVIHEKHSKATRPPAPTPRRSSSTPRRRLEPSKTFHARTTHKEQPPPSRPSPDQTGQVTRRESLPMLAVKTPEKRQATNIITRLKSPDVSVNSPRIDRIAEFPLSSFENPLHRITKLTPPSTIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQKRFDTRSYQQRAEALEGLLEFSAQLLQQERIEELGILLKPFGPGTASPRETAIWLSRSLKEVGF >PAN09685 pep chromosome:PHallii_v3.1:2:3106821:3111797:1 gene:PAHAL_2G044000 transcript:PAN09685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKAEKKRYVLKKIRLARQTNRSRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSDAIKKAKSNHFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALRPAFKAFDMQALINKINKSVLAPLPTMYSGAFRGLVKSMLRKSPDHRPSAAELLKHPHLQPYVFDLQLKSTLPRNLFSANKHDAKKAALSNAEDNCKLNYRKSHSFKLERAVKLDQETDTHGPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVIHEKHSKATRPPAPTPRRSSSTPRRRLEPSKTFHARTTHKEQPPPSRPSPDQTGQVTRRESLPMLAVKTPEKRQATNIITRLKSPDVSVNSPRIDRIAEFPLSSFENPLHRITKLTPPSTIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQKRFDTRSYQQRAEALEGLLEFSAQLLQQERIEELGILLKPFGPGTASPRETAIWLSRSLKEVGF >PVH63505 pep chromosome:PHallii_v3.1:2:3106821:3111797:1 gene:PAHAL_2G044000 transcript:PVH63505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKAEKKRYVLKKIRLARQTNRSRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSDAIKKAKSNHFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALRPAFKAFDMQALINKINKSVLAPLPTMYSGAFRGLVKSMLRKSPDHRPSAAELLKHPHLQPYVFDLQLKSTLPRNLFSANKHDAKKAALSNAEDNCKLNYRKSHSFKLERAVKLDQETDTHGPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVIHEKHSKATRPPAPTPRRSSSTPRRRLEPSKTFHARTTHKEPPPSRPSPDQTGQVTRRESLPMLAVKTPEKRQATNIITRLKSPDVSVNSPRIDRIAEFPLSSFENPLHRITKLTPPSTIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQKRFDTRSYQQRAEALEGLLEFSAQLLQQERIEELGILLKPFGPGTASPRETAIWLSRSLKEVGF >PAN09681 pep chromosome:PHallii_v3.1:2:3106821:3111797:1 gene:PAHAL_2G044000 transcript:PAN09681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKAEKKRYVLKKIRLARQTNRSRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSDAIKKAKSNHFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALRPAFKAFDMQALINKINKSVLAPLPTMYSGAFRGLVKSMLRKSPDHRPSAAELLKHPHLQPYVFDLQLKSTLPRNLFSANKHDAKKAALSNAEDNCKLNYRKSHSFKLERAVKLDQETDTHGPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVIHEKHSKATRPPAPTPRRSSSTPRRRLEPSKTFHARTTHKEPPPSRPSPDQTGQVTRRESLPMLAVKTPEKRQATNIITRLKSPDVSVNSPRIDRIAEFPLSSFENPLHRITKLTPPSTIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQKRFDTRSYQQRAEALEGLLEFSAQLLQQERIEELGILLKPFGPGTASPRETAIWLSRSLKEVGF >PAN09686 pep chromosome:PHallii_v3.1:2:3106820:3111797:1 gene:PAHAL_2G044000 transcript:PAN09686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKAEKKRYVLKKIRLARQTNRSRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSDAIKKAKSNHFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALRPAFKAFDMQALINKINKSVLAPLPTMYSGAFRGLVKSMLRKSPDHRPSAAELLKHPHLQPYVFDLQLKSTLPRNLFSANKHDAKKAALSNAEDNCKLNYRKSHSFKLERAVKLDQETDTHGPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVIHEKHSKATRPPAPTPRRSSSTPRRRLEPSKTFHARTTHKEQPPPSRPSPDQTGQVTRRESLPMLAVKTPEKRQATNIITRLKSPDVSVNSPRIDRIAEFPLSSFENPLHRITKLTPPSTIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQKRFDTRSYQQRAEALEGLLEFSAQLLQQERIEELGILLKPFGPGTASPRETAIWLSRSLKEVGF >PAN11736 pep chromosome:PHallii_v3.1:2:35186349:35192472:1 gene:PAHAL_2G210200 transcript:PAN11736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLHPTLASPAAVPWSLLPADLAPPTLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTVLSRLAGGPVARSSATSVPARRSSATSVPALWSRAVLAAVRRDDATFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTCRFSRSLANSATDWPCLLLDVLSGVAEEEFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKVNSPEVFHDRNIEEVIDTYLAHLQVSVVNPAMEAALQMLQKFASDVREGKIPENRLSFGAPWRHPPRDDNPDLSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPVTRGIQRCLARWLAQQRLQLTIQETIAFFWQRLIRGRSYRHLMKEVGYK >PAN11731 pep chromosome:PHallii_v3.1:2:35186349:35192472:1 gene:PAHAL_2G210200 transcript:PAN11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLHPTLASPAAVPWSLLPADLAPPTLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTVLSRLAGGPVARSSATSVPARRSSATSVPALWSRAVLAAVRRDDATFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTCRFSRSLANSATDWPCLLLDVLSGVAEEEFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKVNSPEVFHDRNIEEVIDTYLAHLQVSVVNPAMEAALQMLQKFASDVREGKIPENRLSFGAPWRHPPRDDNPDLSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPVTRGIQRCLARWLAQQRLQLTIQETIAFFWQRLIRGRSYRHLMKEVGYK >PAN11734 pep chromosome:PHallii_v3.1:2:35186349:35192472:1 gene:PAHAL_2G210200 transcript:PAN11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLHPTLASPAAVPWSLLPADLAPPTLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTVLSRLAGGPVARSSATSVPARRSSATSVPALWSRAVLAAVRRDDATFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTCRFSRSLANSATDWPCLLLDVLSGVAEEEFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKVNSPEVFHDRNIEEVIDTYLAHLQVSVVNPAMEAALQMLQKFASDVREGKIPENRLSFGAPWRHPPRDDNPDLSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPVTRGIQRCLARWLAQQRLQLTIQETIAFFWQRLIRGRSYRHLMKEVGYK >PAN11735 pep chromosome:PHallii_v3.1:2:35186348:35192472:1 gene:PAHAL_2G210200 transcript:PAN11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLHPTLASPAAVPWSLLPADLAPPTLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTVLSRLAGGPVARSSATSVPARRSSATSVPALWSRAVLAAVRRDDATFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTCRFSRSLANSATDWPCLLLDVLSGVAEEEFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKVNSPEVFHDRNIEEVIDTYLAHLQVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPVTRGIQRCLARWLAQQRLQLTIQETIAFFWQRLIRGRSYRHLMKEVGYK >PAN11732 pep chromosome:PHallii_v3.1:2:35186349:35192472:1 gene:PAHAL_2G210200 transcript:PAN11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLHPTLASPAAVPWSLLPADLAPPTLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTVLSRLAGGPVARSSATSVPARRSSATSVPALWSRAVLAAVRRDDATFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTCRFSRSLANSATDWPCLLLDVLSGVAEEEFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKVNSPEVFHDRNIEEVIDTYLAHLQVSVVNPAMEAALQMLQKFASDVREGKIPENRLSFGAPWRHPPRDDNPDLSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPVTRGIQRCLAR >PVH64184 pep chromosome:PHallii_v3.1:2:35186349:35192472:1 gene:PAHAL_2G210200 transcript:PVH64184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLHPTLASPAAVPWSLLPADLAPPTLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTVLSRLAGGPVARSSATSVPARRSSATSVPALWSRAVLAAVRRDDATFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTCRFSRSLANSATDWPCLLLDVLSGVAEEEFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKVNSPEVFHDRNIEEVIDTYLAHLQVSVVNPAMEAALQMLQKFASDVREGKIPENRLSFGAPWRHPPRDDNPDLSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPVTRGIQRCLAR >PAN11733 pep chromosome:PHallii_v3.1:2:35186348:35192472:1 gene:PAHAL_2G210200 transcript:PAN11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLHPTLASPAAVPWSLLPADLAPPTLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTVLSRLAGGPVARSSATSVPARRSSATSVPALWSRAVLAAVRRDDATFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTCRFSRSLANSATDWPCLLLDVLSGVAEEEFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKVNSPEVFHDRNIEEVIDTYLAHLQVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPVTRGIQRCLARWLAQQRLQLTIQETIAFFWQRLIRGRSYRHLMKEVGYK >PAN14225 pep chromosome:PHallii_v3.1:2:51217768:51220185:-1 gene:PAHAL_2G392800 transcript:PAN14225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRMAGTINLYCIGLKYASATSSSAIFNIVPVVAFILAVMFRMETLKLRSVHGIAKASGILLCVGGVIVLALYQGPELKSMNHHQLLKHHASAAAAHAHSSKEWALGIFLMTTSVGIWSFWTVKQGPLLLEYPSKLLNTTLQCVFASVQSLAVALVLERDFSRWKLAGAVSLAGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAISSFLLGEDVSLGSIIGSVLLVAGLYNVLWGKSREEKQAVDGRDGNGGDGDVEKNAAAVQPADGETTEEEEGGGGTARDADADADAAAKV >PAN14224 pep chromosome:PHallii_v3.1:2:51217050:51220182:-1 gene:PAHAL_2G392800 transcript:PAN14224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGKVYATVVLIRLIYAGMHILTKASFNEGTSTTVFVFYRHAVAAIFLLPFAFLEVRKRPAPPLNLKLSVKIFAHAFYGMAGTINLYCIGLKYASATSSSAIFNIVPVVAFILAVMFRMETLKLRSVHGIAKASGILLCVGGVIVLALYQGPELKSMNHHQLLKHHASAAAAHAHSSKEWALGIFLMTTSVGIWSFWTVKQGPLLLEYPSKLLNTTLQCVFASVQSLAVALVLERDFSRWKLAGAVSLAGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAISSFLLGEDVSLGSIIGSVLLVAGLYNVLWGKSREEKQAVDGRDGNGGDGDVEKNAAAVQPADGETTEEEEGGGGTARDADADADAAAKV >PAN14226 pep chromosome:PHallii_v3.1:2:51217050:51220323:-1 gene:PAHAL_2G392800 transcript:PAN14226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGKVYATVVLIRLIYAGMHILTKASFNEGTSTTVFVFYRHAVAAIFLLPFAFLEVRKRPAPPLNLKLSVKIFAHAFYGMAGTINLYCIGLKYASATSSSAIFNIVPVVAFILAVMFRMETLKLRSVHGIAKASGILLCVGGVIVLALYQGPELKSMNHHQLLKHHASAAAAHAHSSKEWALGIFLMTTSVGIWSFWTVKQGPLLLEYPSKLLNTTLQCVFASVQSLAVALVLERDFSRWKLAGAVSLAGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAISSFLLGEDVSLGSIIGSVLLVAGLYNVLWGKSREEKQAVDGRDGNGGDGDVEKNAAAVQPADGETTEEEEGGGGTARDADADADAAAKV >PAN11070 pep chromosome:PHallii_v3.1:2:13107418:13108206:1 gene:PAHAL_2G139400 transcript:PAN11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYLKDEPWLTLLCKKMQLDMNEIINDVTTKTSEASFYADNFSCTYSSETHTDLMMELVQAFLVLDDEA >PAN14429 pep chromosome:PHallii_v3.1:2:52210226:52212729:-1 gene:PAHAL_2G409500 transcript:PAN14429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVVVNTGGGKDYPGKLTMFVLLACIVAATGGLIFGYDIGISGGVTSMNPFLMKFFPSVYRKEQEAERNQSNQYCKFDSQLLTMFTSSLYLAALVASFFAATVTRVAGRKWSMFGGGVTFLIGAALNGAAKDVIMLILGRVLLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIERGHTDSAKRMLKRVRGTEDVEEEYNDLVAASEESKLVAHPWRNILQPRYRPQLVMAIVIPMFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGAQMLACQIVVGSLIGAKFGFSGVAEIPKAYAAIVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLCTFIIAQAFLPMLCRFKFILFFFFGAWVVVMTIFVALFLPETKNVPIEEMVLVWKSHWYWGRFIRDEDVHVGADLEMRGANGNGKLGGGAQ >PAN12214 pep chromosome:PHallii_v3.1:2:41513489:41516520:1 gene:PAHAL_2G249600 transcript:PAN12214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALSRFTQWLWPGGAAPRVATHELPGTALTSSSFPDFPSGFREPDTVTFYTAGGGAAGRRTRSRRARNRRRSRGEPRVDREYDMVIVPSDGGGCLSGSDSDDSDWSIGWLEPQAPELQTDGDPENCFAVLVPCYRHGRQEQPERREGRFLGTGALADGGLSDGKNFVEQWLSSLQN >PAN12215 pep chromosome:PHallii_v3.1:2:41513489:41516520:1 gene:PAHAL_2G249600 transcript:PAN12215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALSRFTQWLWPGGAAPRVATHELPGTALTSSSFPDFPSGFREPDTVTFYTAGGGAAGRRTRSRRARNRRRSRGEPRVDREYDMVIVPSDGGGCLSGSDSDDSDWSIGWLEPQAPELQTDGDPENCFAVLVPCYRHGRQEQPERREGRFLGTGALADGGLSDGKNFVEQWLSSLQN >PAN13318 pep chromosome:PHallii_v3.1:2:47252870:47254066:-1 gene:PAHAL_2G328300 transcript:PAN13318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSSLPGDLINRVADCLLATDDLDHYMDFRAVCRSWPSSTADPRSSPRDPRFLPRQWVMLDEVHQTDTRLFVNVATGRFVRRDLPLLRRYFVVAGAAGGSVVLAERASPHATGVLNPFTGSLLRFEAPVPSETIAAAHVVGSSPPTLVLIFDESSSITWADPDSESFIELKDERYIIYPPIKLALVGGIYAAAHEGGSLPSLLVPTANKILDVASKPIMDYFPGPEAPENRGFFVESEGEMLMVFKVPHRIEVFKFDARSDKLEPVKDLGSRALFLGDCRCLSVDADKLPSVEANCIYYVVVEEPWYKICVYSLKDEMEVWASEAIDSFNPITLSSKVSSPFTVVQLLCSYTFEVRVRGLPWEKLYAALFALDPDLVARRTEEFLAYEYDSESEYD >PAN11231 pep chromosome:PHallii_v3.1:2:23795730:23796687:1 gene:PAHAL_2G172300 transcript:PAN11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKRSVPRYPEDEDKGGGCCGCLCWCCCFLFLIVAALSGTAAYFFFVYKPKAPSYSVNNMSVSRFDFSSSDLTLYVKLTASVRAENPNEMIGIKYGEGSHTVVSYRGTPLCSGKLPAFYQGYKNVTVMDISMEGRHGFGSGLQQALEESEKLGDIPLDIFVSVPVELRLGTVDLREVRVNVHCALVLDSVSPKKKPNIKSATYQANVEF >PVH64055 pep chromosome:PHallii_v3.1:2:24328836:24329378:1 gene:PAHAL_2G174400 transcript:PVH64055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRHIPREENGRANTLAQLATVYKTGLTASSAEISDSATKVDKIVKAEVGDWRMPIVTYLKDPGHGADRNIQCLAFQYVLINDELYRRTAEDLLLKCLDSNQAKVSMGEFHEGICGTHQSAPKMKWLLRRVGFYWPSMLADCF >PAN09874 pep chromosome:PHallii_v3.1:2:4087242:4088095:-1 gene:PAHAL_2G055500 transcript:PAN09874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYVELLDMGVRIAARFHSHCPQTARLYYHPPAGAPVPGSDGRKGECAAAKMQQGLEPAEIILYTVV >PAN14616 pep chromosome:PHallii_v3.1:2:53066830:53067402:-1 gene:PAHAL_2G424200 transcript:PAN14616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGAGNGDHVLMVELGQQPGGDNPPQNAGGGGGGPHAPNFDANDAGTLLVVATLITALSYQLGTNIPGGYWQDDGAGHAAGDPIMRDKHRRRYWLFMAASWAGFGSSMLLTVGLLTGVPARSQFVRGAFLVAYSSLVLTFVTSQPRTSLAMDIAIWVGVMAALAVVTSYLRLDRLPRWAQAAFRQLLGR >PAN11529 pep chromosome:PHallii_v3.1:2:23424578:23428598:-1 gene:PAHAL_2G171900 transcript:PAN11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILEEVKKAQANMQSILGNGRNKKDIGSMVCPSMMVNNKQRRSNSSEKDQRGNQVLPQSKQHLDGCKVEQRNHEAMKEDFPPMAVKPKSRHASSSEKLVKPTLASSVTSKMNEGFVHRANDVKMSSSQPKNLKAMPGLVLKSIGQFRPATWMHKRDRMNGWNDITNDDNLRSRKESLPEEMPKSHDDSGSEGENPTHQTIKRRRKYIESNEDEDDNDNQSLEDGADDILKDCHERVTTPFVANCLKKQCYCCSKPIDKPVWSGLLNIGNKVYVPLSGHLSTKSCEKVNNLSKSLSRMVEVAKLPRSKVWPKRWEASRPIDVHIGLYFFPYKMRPDKSHDKLLKEVVENDLALRAIIDDAEMLLFPSNLLPKRYQAFQMKHYLWGVFRPREVAGKQVAALHQPDRTTEATAFAAHDTATRIATDAACMPPVDFGVATVSIPTEDATNAPNVPAANHGQMDSSSMGAPPGRIIAFVVKETPRLEQLIREMQREGALVIQGEMMSTGSWPGNIATVTQRGQPSKT >PAN11528 pep chromosome:PHallii_v3.1:2:23424924:23426944:-1 gene:PAHAL_2G171900 transcript:PAN11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYQKQPRGGCFDPRSCTSRVRKTKQCRFVSKPMKKILEEVKKAQANMQSILGNGRNKKDIGSMVCPSMMVNNKQRRSNSSEKDQRGNQVLPQSKQHLDGCKVEQRNHEAMKEDFPPMAVKPKSRHASSSEKLVKPTLASSVTSKMNEGFVHRANDVKMSSSQPKNLKAMPGLVLKSIGQFRPATWMHKRDRMNGWNDITNDDNLRSRKESLPEEMPKSHDDSGSEGENPTHQTIKRRRKYIESNEDEDDNDNQSLEDGADDILKDCHERVTTPFVANCLKKQCYCCSKPIDKPVWSGLLNIGNKVYVPLSGHLSTKSCEKVNNLSKSLSRMVEVAKLPRSKVWPKRWEASRPIDVHIGLYFFPYKMRPDKSHDKLLKEVVENDLALRAIIDDAEMLLFPSNLLPKRYQAFQMKHYLWGVFRPREVAGKQVAALHQPDRTTEATAFAAHDTATRIATDAACMPPVDFGVATVSIPTEDATNAPNVPAANHGQMDSSSMGAPPGRIIAFVVKETPRLEQLIREMQREGALVIQGEMMSTGSWPGNIATVTQRGQPSKT >PAN15012 pep chromosome:PHallii_v3.1:2:55050403:55053453:1 gene:PAHAL_2G458000 transcript:PAN15012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQAGAGTYSPAAAAGDKRREKREELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATPVRGQGADNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPMSDEEAWQDGSLMVAFMPARKEITQLTLTGEWSDGRITNAVELCMDACSKLGDILRDRLKDTATLTSE >PAN14141 pep chromosome:PHallii_v3.1:2:55051075:55053025:1 gene:PAHAL_2G458000 transcript:PAN14141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAVMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATPVRGQGADNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPMSDEEAWQDGSLMVAFMPARKEITQLTLTGEWSDGRITNAVELCMDACSKLGDILRDRLKDTATLTSE >PAN13696 pep chromosome:PHallii_v3.1:2:49049312:49053133:-1 gene:PAHAL_2G358100 transcript:PAN13696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSRCTCITAAVLLLLPRLCSPAGDKLTHGESLLPGDTIVSDGGAFVLGFFAPSDATPARQYLGIWYSNTTVTVQTVVWVANRDAPVIVDGRSGNSSSAPSLALANGGSNLILSDASGRVVWTTNITGATSSGTPGSTAAVLRNDGNLVILSPDGATLWRSFDHPTDTFIPGMKVGRRYRPHDGVDGWRIVSWKGPGDPSPGSFSYGMDPNTSLQLLLWNGTRIYWRTSVWTGYMTAGKYHGATGTVIYVTVVDSEEEIYSSFSVADGAPPTRYVVTDAGKFQLLSWNRNASAWATLESWPSRACSPYGSCGAYGYCDHSQAVATCKCLDGFEPASQGEWSRGVFSRGCRRSQALAPCRDGSGEGDAFLAMSNMKVPDKFVLLGNMISVDDCAAACRRNCSCVAYAYASLRSSSAKGDIARCLVWTGELVDAQMIGAIWGVTAETLNLRVPAGFTGKRTGTKTVKILLPVLASVLIVTCIVLLWFCKCRAKGKRISSESEKKLVPGSVRTSSELGEGNPTEDLEFPSIQFSDIAVATNNFSRACMIGRGGFGKVYKGTLLGGREVAVKRLSKDSEQGIEEFKNEAILISKLQHRNLVRLLGCCTEGAERLLIYEYLANKGLDAILFDNERKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYRIEGVFSVKSDVYSFGILVLEIVSGVRISSTDDIMGSPGLVAYAWKLWKEGNASDLVDSSIVESCALDEVLLSIHVGLLCVQDDPNGRPLMSSVVSILENGSVSLPAPDQPAYFAERNCKVKGDDVQSSRNSITMTVLQGR >PAN15102 pep chromosome:PHallii_v3.1:2:55384366:55386966:-1 gene:PAHAL_2G464400 transcript:PAN15102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFEAELADSIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCIACNDHVALTHPDLDKETEEFIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYV >PVH64402 pep chromosome:PHallii_v3.1:2:42591547:42598934:1 gene:PAHAL_2G260500 transcript:PVH64402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVTGDAAGSSAAAERGSGAEQGSGAPAPAQVPTWQRVRRAGQGAVAHALLLCFTALLALKLDGVVSLSWWVLFIPLWLFHAVAARCRFSLPAPSSSESCQRVPCHSIVATPLLVAFELLLCVCLEGLNGHGEPFIDLKLVFLPLLTLEIITLVDNFRMCGALMPGHGETITEEAIWERLPYFWVAISMVFLLAATSLMLLKLCGDAVTLGWWDLFINFWISQCFAFLVCTRWSNPMDIGGPVLIIPIIVFQVLLCMRLEGTPSNAHFIPMRAIFLPIVLLQMAAVSFAVWRFFDRLLTKLQDGIISQGHISVSLRVDELFMMIQYGSRLLHWWSIDEDSQEEQAHLCYANNTGYSTFCSYPPEVVKEMPKKVLVKEVQRLQLALEEQTEMANHSQQLCDRLKNERILCRICFERDIRIVLLPCRHHVLCEPCSNKCQSCPICRLTIESRLSVYDAVLSANPFCDAV >PAN12340 pep chromosome:PHallii_v3.1:2:42591684:42598928:1 gene:PAHAL_2G260500 transcript:PAN12340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVTGDAAGSSAAAERGSGAEQGSGAPAPAQVPTWQRVRRAGQGAVAHALLLCFTALLALKLDGVVSLSWWVLFIPLWLFHAVAARCRFSLPAPSSSESCQRVPCHSIVATPLLVAFELLLCVCLEGLNGHGEPFIDLKLVFLPLLTLEIITLVDNFRMCGALMPGHGETITEEAIWERLPYFWVAISMVFLLAATSLMLLKLCGDAVTLGWWDLFINFWISQCFAFLVCTRWSNPMDIGGPVLIIPIIVFQVLLCMRLEGTPSNAHFIPMRAIFLPIVLLQMAAVSFAVWRFFDRLLTKLQDGIISQGHISVSLRVDELFMMIQYGSRLLHWWSIDEDSQEEQAHLCYANNTGYSTFCSYPPEVVKEMPKKVLVKEVQRLQLALEEQTEMANHSQQLCDRLKNERILCRICFERDIRIVLLPCRHHVLCEPCSNKCQSCPICRLTIESRLSVYDAVLSANPFCDAV >PVH64403 pep chromosome:PHallii_v3.1:2:42591684:42598934:1 gene:PAHAL_2G260500 transcript:PVH64403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVTGDAAGSSAAAERGSGAEQGSGAPAPAQVPTWQRVRRAGQGAVAHALLLCFTALLALKLDGVVSLSWWVLFIPLWLFHAVAARCRFSLPAPSSSESCQRVPCHSIVATPLLVAFELLLCVCLEGLNGHGEPFIDLKLVFLPLLTLEIITLVDNFRMCGALMPGHGETITEEAIWERLPVMQLLWDGGIYSLIFGFPSALLFWYAQDGQIQWSVDIQSLDIGGPVLIIPIIVFQVLLCMRLEGTPSNAHFIPMRAIFLPIVLLQMAAVSFAVWRFFDRLLTKLQDGIISQGHISVSLRVDELFMMIQYGSRLLHWWSIDEDSQEEQAHLCYANNTGYSTFCSYPPEVVKEMPKKVLVKEVQRLQLALEEQTEMANHSQQLCDRLKNERILCRICFERDIRIVLLPCRHHVLCEPCSNKCQSCPICRLTIESRLSVYDAVLSANPFCDAV >PVH64079 pep chromosome:PHallii_v3.1:2:26018197:26020241:-1 gene:PAHAL_2G179900 transcript:PVH64079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCRTAGCPPHPPPWPRGSGPASCRRALRSRRGGWPAAPRRPPPMAIAGPVYARVAWEAVYVAELAPAPASRGSAAYDGLPLGNAAGTQTAAELVGRGPTGMTSCHQCRKVGAVLWCSSCDRRGYCAGCISRCSITSGLAS >PAN13957 pep chromosome:PHallii_v3.1:2:50060030:50063623:-1 gene:PAHAL_2G374700 transcript:PAN13957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPNMKELQEALVDIETDAEQVLLARHQLVENDKIRNANRESLTALRKQARTTKTSVPSPFEVIMKEMEGSSGKQLIKEVCPTCGDHDPKEHTWLMFPGSDIFARVPFHVAHTVLEKDQERLDIDTKKLQSFVKEKSLVIAEKGALAGRFGADTVKSLVSLTDTPKSGREGGELVQGPEVKYQLG >PAN14515 pep chromosome:PHallii_v3.1:2:52544555:52550147:1 gene:PAHAL_2G415700 transcript:PAN14515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSGGDGPGGRPWTAISTWAPGPGGGAVEDAISFETSDEDAEATLAGVVLSRPQPDGDGDAPPCEVTVNFRGKYEIHWVYVRSTARIYELYHSTDAKGTGKDYLCTVRCGLAVKEPQPCGDESMSQRTGGAPTSDKREQETKNVSSSSDEESWVDVKIPESPTGNNMPEAQERNAIRICQENTLAHYEATAEMTDVSPCVSLTVRLLSLQSKTSVHIEEICIFADPVESTNDNSVTGPGNMGGSSLMAMLVPGLMQMSKSRNLKIDERYFSDGSSTQLTQDRAMKESNPSEKIMQETGLSSTDNHKPSGIESVINSADSGTVSNEKSNQGEFQLKDTNSLPLPLPVQTTESTQAPSVKDQQASGAGHLANPLVKEFTPYNHNIERKLDTLLSKVEKMELYCSRFEDSMIKPLGSIEARLQRLEEQFSSFSVEMQSLRGSSADRSASDGISNMTNSQEEACAPAAVTDRKLGLAFRAPDFSSDDSHSYNATSENQVNIRGSNVLPRLLVKVPDFIAQPELTGGDVHDGPSSPVYCAPTSEKERKISPGLVVKVPEFLDDDDDYDEDVEEEKEAEVGDHDDGNTQYDDTLSKSTVDSTKSKKPVSINGALASALEALLTSSKGASSSKPVVCTASNLSAENTNDSLSCSLSPENMGEKPTKDDSADQFLGTCDANLVGTFRSFQEIDATPHTSLSKEMLDSKAEINEQNADLNAEKVSFVASTESLDVPSQPDTVEQSIDSGSQVDGQNNCPSLDTMPYAISTGPMGPPKPPTVFEAADNGVQVNENRPAISLAEFLAARNASSGKNVTSEVCSGNDGPKKLSSERTLAGADKSSKNISQLLVKKALEVDADEGKHYSSVPIGANFDGSSSVDPGNGASGHNIITKEAVSDKSCGLKNAESGFRLSVGMDSIFSQFAATDSRKEWIENSSSDLSPDDTFSKPNVMHSWSILSSMESFSGAPAKEPAVSANATSRNYVEDFEDIGDCPTGTRISGEELQKVCDLLYELKDDMLGMTSMAKGTSKSSLSLEVLLAESSGSEAQISDQEDIDNGAGIGSARLFSTFSSSDDDASAADEPLVDVADLTTPSEPYASAFNEPLVDVTDLTNPSGTDASSVNEPSADVDLPNPSETSASDVNDEPLASVDDLPKPLESFSGGSSGEHPGSLI >PVH65450 pep chromosome:PHallii_v3.1:2:57498751:57500884:1 gene:PAHAL_2G496400 transcript:PVH65450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVWRSSPEAVACGRFVLYCRPGDKEWTKYEVDFDNGHETLCNAIFGSDGKMYVATSWKGRYVVIDTSGASVEKIGMVVPPDTCPMHHPYLTFWVEPADGDIFLVRFYLHTHRALGVTNIDVHRMDTTTARGYVWRRVESIGGATFFLGANCVAAVPSSSSAAAAGTTDDQQANCVFLLLFCCDGIRLYSVRLDDRTISFSLLLPTSCPDLEDLLDTWSNLYWTFLFLKGIFRQEQTKSLMSSTASTTSKVNKNIVSVQDRYEMMSPASAWSGLPIALIELLIPKLSFVDYLHIRVVCKQWNLIEKPIQHARTHPMLMSIHGASRGAYYRLFDPLVKKQYVVKKDSIVLHGNWPTLRFSKHGWLLVTKGKRRIYAANPFTGEVCKLPKMDRHLFHGISFSSVPKSPGSVVFAISKYPWQRSVGVMLWRAGDNHWLKEELPCDTPFFMTHSNPVFFDNEFYCLGVHGNLGVFNPNDITWRILDKPEPIRADAADYADRLCNLMYKLDQSLMSWEKVLRLDDGVLFVDNWNATIKSSQEYDGCCNRIYLPFFGYDEVEGCKEGLFYDLEADGQYKPEFYGLTEPINSLWMEPNFSRHH >PVH65349 pep chromosome:PHallii_v3.1:2:55670859:55681354:1 gene:PAHAL_2G469200 transcript:PVH65349 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MAASGFGREAGPSTRGPGTAFPAFGVGAATQTATAPSAATPSFPLVRPATPSIPSVRPTSPSFPSARPVSPSFPSARSTNPPAAATPHFPSPRPQLATAATASRPATTPAMPMPVPSARPAAAPGASASARFPSPRPTLDPGAVAATGRYVARHLQPQPRPATPSVSRQVDPFISSRSRALSAVSNLRADSPADYGSGTGQRRLVNYADPLFENGSLQSSEQLRIEPSEQMRLNTSARSPLSNIGSKFIPPLNFQDHHPAQIADPRDSLFTGPLQNRSLDHNISKRSRSPTLSYQDVDGTEARIDTSGNSRRLVDYTDTLIGDENVETSKRMRPPASEFTRTIKSPPSDIRDNIRSSPNSAQNLRAHADVQKSNTSIPKFRNQIQSHIGGARSPPHQMSSLLDDSNKLNTSAVSPPKPSILSATRRMGTSPLDASDDDHSTPSTELEREKQAKAKRLARFHVELSRPVENTNDFVKTLKGSTDKPKQATSVGKIPMKKIDDTDENTLADMDSPMLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSESDFPSGCSQLVHLKKSQRVIVDVSSGPVCAPISKKNTSVSYPSQLASGKRDLFPSQHAPVVPHDGKRDLFSLFSGPVSTTPGRHISSPFPDPFSPKAANKLFSPKRPSPLVPNADREENVSTFPTVASPRSSKKEIFSKTPKVASPKAEGKTKLADDLTTEDQDSGLAGSPQKVDIQTGILWSQANTENINALAEPIISHSLTDGISLDYYSNMLGEEDKLDMDEGTPPDHEVLVIEPGSPIGSPLSDHNEYEDQNISNSTVNDWLPIVTSPKKQISDEKLKAILRKWRQRAEDKRFHREQKNVLAVAALCSLSLGPPVHNTTMTCLLMKVPKLAVEELDIGHAFKERQVRQQRSWSRLNVSELSGPILLETNPDARCFCWKLLVLVPPGAMESQTNNLASKWLLRKLMGSGNGDSGLVVSSSGLSIWTEWISFPNTCCLSVVRASDQQVIGNDIANGTSCIAFVVSEGISWETQKARLSSLLASIPVQSHLPLLILSGDTYHEGYDYASQYIIDRLGLSGLHGEKIASSLVIFLVEHMEDCANGFFDDDNLREGLKWLIRSLPRQPDVTLVKTHELLLNCLHPQLELLNTHVAPGAGPGDCISVFNNAVDQVAEEILAAACTNANQWPALEIDLLERTSNERRYAEMFLPSTGWSSPSRIQPLLAAINTCKIPEFRYDLSWLNQGSHMGKQTQDQKKFLQECLARYLTESTRLLDETLVATEVNIMVQKYVGLELRDSYYYLVPRWVAIFRRIYNWRLAKLSTGEFSEAYVLSQHLYRAPPAAANSNGATATQELTASSSTCDEASILEDHSMMPAVSTGLSLDEIIEISCDLDAVDAQPASEQPRPPIQIHEEPHAPADTNCETNMVHGISDEMYIPRRIESGELVPLERDDKLARLLEQCTKLQDRIDETLSIYF >PAN15162 pep chromosome:PHallii_v3.1:2:55673059:55681180:1 gene:PAHAL_2G469200 transcript:PAN15162 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MRLNTSARSPLSNIGSKFIPPLNFQDHHPAQIADPRDSLFTGPLQNRSLDHNISKRSRSPTLSYQDVDGTEARIDTSGNSRRLVDYTDTLIGDENVETSKRMRPPASEFTRTIKSPPSDIRDNIRSSPNSAQNLRAHADVQKSNTSIPKFRNQIQSHIGGARSPPHQMSSLLDDSNKLNTSAVSPPKPSILSATRRMGTSPLDASDDDHSTPSTELEREKQAKAKRLARFHVELSRPVENTNDFVKTLKGSTDKPKQATSVGKIPMKKIDDTDENTLADMDSPMLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSESDFPSGCSQLVHLKKSQRVIVDVSSGPVCAPISKKNTSVSYPSQLASGKRDLFPSQHAPVVPHDGKRDLFSLFSGPVSTTPGRHISSPFPDPFSPKAANKLFSPKRPSPLVPNADREENVSTFPTVASPRSSKKEIFSKTPKVASPKAEGKTKLADDLTTEDQDSGLAGSPQKVDIQTGILWSQANTENINALAEPIISHSLTDGISLDYYSNMLGEEDKLDMDEGTPPDHEVLVIEPGSPIGSPLSDHNEYEDQNISNSTVNDWLPIVTSPKKQISDEKLKAILRKWRQRAEDKRFHREQKNVLAVAALCSLSLGPPVHNTTMVPKLAVEELDIGHAFKERQVRQQRSWSRLNVSELSGPILLETNPDARCFCWKLLVLVPPGAMESQTNNLASKWLLRKLMGSGNGDSGLVVSSSGLSIWTEWISFPNTCCLSVVRASDQQVIGNDIANGTSCIAFVVSEGISWETQKARLSSLLASIPVQSHLPLLILSGDTYHEGYDYASQYIIDRLGLSGLHGEKIASSLVIFLVEHMEDCANGFFDDDNLREGLKWLIRSLPRQPDVTLVKTHELLLNCLHPQLELLNTHVAPGAGPGDCISVFNNAVDQVAEEILAAACTNANQWPALEIDLLERTSNERRYAEMFLPSTGWSSPSRIQPLLAAINTCKIPEFRYDLSWLNQGSHMGKQTQDQKKFLQECLARYLTESTRLLDETLVATEVNIMVQKYVGLELRDSYYYLVPRWVAIFRRIYNWRLAKLSTGEFSEAYVLSQHLYRAPPAAANSNGATATQELTASSSTCDEASILEDHSMMPAVSTGLSLDEIIEISCDLDAVDAQPASEQPRPPIQIHEEPHAPADTNCETNMVHGISDEMYIPRRIESGELVPLERDDKLARLLEQCTKLQDRIDETLSIYF >PVH65350 pep chromosome:PHallii_v3.1:2:55673895:55681354:1 gene:PAHAL_2G469200 transcript:PVH65350 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MCVYAFVTWSFLFLHRFTGPLQNRSLDHNISKRSRSPTLSYQDVDGTEARIDTSGNSRRLVDYTDTLIGDENVETSKRMRPPASEFTRTIKSPPSDIRDNIRSSPNSAQNLRAHADVQKSNTSIPKFRNQIQSHIGGARSPPHQMSSLLDDSNKLNTSAVSPPKPSILSATRRMGTSPLDASDDDHSTPSTELEREKQAKAKRLARFHVELSRPVENTNDFVKTLKGSTDKPKQATSVGKIPMKKIDDTDENTLADMDSPMLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSESDFPSGCSQLVHLKKSQRVIVDVSSGPVCAPISKKNTSVSYPSQLASGKRDLFPSQHAPVVPHDGKRDLFSLFSGPVSTTPGRHISSPFPDPFSPKAANKLFSPKRPSPLVPNADREENVSTFPTVASPRSSKKEIFSKTPKVASPKAEGKTKLADDLTTEDQDSGLAGSPQKVDIQTGILWSQANTENINALAEPIISHSLTDGISLDYYSNMLGEEDKLDMDEGTPPDHEVLVIEPGSPIGSPLSDHNEYEDQNISNSTVNDWLPIVTSPKKQISDEKLKAILRKWRQRAEDKRFHREQKNVLAVAALCSLSLGPPVHNTTMTCLLMKVPKLAVEELDIGHAFKERQVRQQRSWSRLNVSELSGPILLETNPDARCFCWKLLVLVPPGAMESQTNNLASKWLLRKLMGSGNGDSGLVVSSSGLSIWTEWISFPNTCCLSVVRASDQQVIGNDIANGTSCIAFVVSEGISWETQKARLSSLLASIPVQSHLPLLILSGDTYHEGYDYASQYIIDRLGLSGLHGEKIASSLVIFLVEHMEDCANGFFDDDNLREGLKWLIRSLPRQPDVTLVKTHELLLNCLHPQLELLNTHVAPGAGPGDCISVFNNAVDQVAEEILAAACTNANQWPALEIDLLERTSNERRYAEMFLPSTGWSSPSRIQPLLAAINTCKIPEFRYDLSWLNQGSHMGKQTQDQKKFLQECLARYLTESTRLLDETLVATEVNIMVQKYVGLELRDSYYYLVPRWVAIFRRIYNWRLAKLSTGEFSEAYVLSQHLYRAPPAAANSNGATATQELTASSSTCDEASILEDHSMMPAVSTGLSLDEIIEISCDLDAVDAQPASEQPRPPIQIHEEPHAPADTNCETNMVHGISDEMYIPRRIESGELVPLERDDKLARLLEQCTKLQDRIDETLSIYF >PAN15164 pep chromosome:PHallii_v3.1:2:55670833:55681472:1 gene:PAHAL_2G469200 transcript:PAN15164 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MAASGFGREAGPSTRGPGTAFPAFGVGAATQTATAPSAATPSFPLVRPATPSIPSVRPTSPSFPSARPVSPSFPSARSTNPPAAATPHFPSPRPQLATAATASRPATTPAMPMPVPSARPAAAPGASASARFPSPRPTLDPGAVAATGRYVARHLQPQPRPATPSVSRQVDPFISSRSRALSAVSNLRADSPADYGSGTGQRRLVNYADPLFENGSLQSSEQLRIEPSEQMRLNTSARSPLSNIGSKFIPPLNFQDHHPAQIADPRDSLFTGPLQNRSLDHNISKRSRSPTLSYQDVDGTEARIDTSGNSRRLVDYTDTLIGDENVETSKRMRPPASEFTRTIKSPPSDIRDNIRSSPNSAQNLRAHADVQKSNTSIPKFRNQIQSHIGGARSPPHQMSSLLDDSNKLNTSAVSPPKPSILSATRRMGTSPLDASDDDHSTPSTELEREKQAKAKRLARFHVELSRPVENTNDFVKTLKGSTDKPKQATSVGKIPMKKIDDTDENTLADMDSPMLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSESDFPSGCSQLVHLKKSQRVIVDVSSGPVCAPISKKNTSVSYPSQLASGKRDLFPSQHAPVVPHDGKRDLFSLFSGPVSTTPGRHISSPFPDPFSPKAANKLFSPKRPSPLVPNADREENVSTFPTVASPRSSKKEIFSKTPKVASPKAEGKTKLADDLTTEDQDSGLAGSPQKVDIQTGILWSQANTENINALAEPIISHSLTDGISLDYYSNMLGEEDKLDMDEGTPPDHEVLVIEPGSPIGSPLSDHNEYEDQNISNSTVNDWLPIVTSPKKQISDEKLKAILRKWRQRAEDKRFHREQKNVLAVAALCSLSLGPPVHNTTMVPKLAVEELDIGHAFKERQVRQQRSWSRLNVSELSGPILLETNPDARCFCWKLLVLVPPGAMESQTNNLASKWLLRKLMGSGNGDSGLVVSSSGLSIWTEWISFPNTCCLSVVRASDQQVIGNDIANGTSCIAFVVSEGISWETQKARLSSLLASIPVQSHLPLLILSGDTYHEGYDYASQYIIDRLGLSGLHGEKIASSLVIFLVEHMEDCANGFFDDDNLREGLKWLIRSLPRQPDVTLVKTHELLLNCLHPQLELLNTHVAPGAGPGDCISVFNNAVDQVAEEILAAACTNANQWPALEIDLLERTSNERRYAEMFLPSTGWSSPSRIQPLLAAINTCKIPEFRYDLSWLNQGSHMGKQTQDQKKFLQECLARYLTESTRLLDETLVATEVNIMVQKYVGLELRDSYYYLVPRWVAIFRRIYNWRLAKLSTGEFSEAYVLSQHLYRAPPAAANSNGATATQELTASSSTCDEASILEDHSMMPAVSTGLSLDEIIEISCDLDAVDAQPASEQPRPPIQIHEEPHAPADTNCETNMVHGISDEMYIPRRIESGELVPLERDDKLARLLEQCTKLQDRIDETLSIYF >PAN15163 pep chromosome:PHallii_v3.1:2:55670833:55681472:1 gene:PAHAL_2G469200 transcript:PAN15163 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MAASGFGREAGPSTRGPGTAFPAFGVGAATQTATAPSAATPSFPLVRPATPSIPSVRPTSPSFPSARPVSPSFPSARSTNPPAAATPHFPSPRPQLATAATASRPATTPAMPMPVPSARPAAAPGASASARFPSPRPTLDPGAVAATGRYVARHLQPQPRPATPSVSRQVDPFISSRSRALSAVSNLRADSPADYGSGTGQRRLVNYADPLFENGSLQSSEQLRIEPSEQMRLNTSARSPLSNIGSKFIPPLNFQDHHPAQIADPRDSLFTGPLQNRSLDHNISKRSRSPTLSYQDVDGTEARIDTSGNSRRLVDYTDTLIGDENVETSKRMRPPASEFTRTIKSPPSDIRDNIRSSPNSAQNLRAHADVQKSNTSIPKFRNQIQSHIGGARSPPHQMSSLLDDSNKLNTSAVSPPKPSILSATRRMGTSPLDASDDDHSTPSTELEREKQAKAKRLARFHVELSRPVENTNDFVKTLKGSTDKPKQATSVGKIPMKKIDDTDENTLADMDSPMLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSESDFPSGCSQLVHLKKSQRVIVDVSSGPVCAPISKKNTSVSYPSQLASGKRDLFPSQHAPVVPHDGKRDLFSLFSGPVSTTPGRHISSPFPDPFSPKAANKLFSPKRPSPLVPNADREENVSTFPTVASPRSSKKEIFSKTPKVASPKAEGKTKLADDLTTEDQDSGLAGSPQKVDIQTGILWSQANTENINALAEPIISHSLTDGISLDYYSNMLGEEDKLDMDEGTPPDHEVLVIEPGSPIGSPLSDHNEYEDQNISNSTVNDWLPIVTSPKKQISDEKLKAILRKWRQRAEDKRFHREQKNVLAVAALCSLSLGPPVHNTTMVPKLAVEELDIGHAFKERQVPWNPRPTILPQNGYLGSSWVLEMEIVDWLFHHQAYQFGQSGSAFRTHAVYLLLGPVISKLLVMILPMVQAV >PVH65348 pep chromosome:PHallii_v3.1:2:55670838:55681470:1 gene:PAHAL_2G469200 transcript:PVH65348 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MRLNTSARSPLSNIGSKFIPPLNFQDHHPAQIADPRDSLFTGPLQNRSLDHNISKRSRSPTLSYQDVDGTEARIDTSGNSRRLVDYTDTLIGDENVETSKRMRPPASEFTRTIKSPPSDIRDNIRSSPNSAQNLRAHADVQKSNTSIPKFRNQIQSHIGGARSPPHQMSSLLDDSNKLNTSAVSPPKPSILSATRRMGTSPLDASDDDHSTPSTELEREKQAKAKRLARFHVELSRPVENTNDFVKTLKGSTDKPKQATSVGKIPMKKIDDTDENTLADMDSPMLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSESDFPSGCSQLVHLKKSQRVIVDVSSGPVCAPISKKNTSVSYPSQLASGKRDLFPSQHAPVVPHDGKRDLFSLFSGPVSTTPGRHISSPFPDPFSPKAANKLFSPKRPSPLVPNADREENVSTFPTVASPRSSKKEIFSKTPKVASPKAEGKTKLADDLTTEDQDSGLAGSPQKVDIQTGILWSQANTENINALAEPIISHSLTDGISLDYYSNMLGEEDKLDMDEGTPPDHEVLVIEPGSPIGSPLSDHNEYEDQNISNSTVNDWLPIVTSPKKQISDEKLKAILRKWRQRAEDKRFHREQKNVLAVAALCSLSLGPPVHNTTMVPKLAVEELDIGHAFKERQVRQQRSWSRLNVSELSGPILLETNPDARCFCWKLLVLVPPGAMESQTNNLASKWLLRKLMGSGNGDSGLVVSSSGLSIWTEWISFPNTCCLSVVRASDQQVIGNDIANGTSCIAFVVSEGISWETQKARLSSLLASIPVQSHLPLLILSGDTYHEGYDYASQYIIDRLGLSGLHGEKIASSLVIFLVEHMEDCANGFFDDDNLREGLKWLIRSLPRQPDVTLVKTHELLLNCLHPQLELLNTHVAPGAGPGDCISVFNNAVDQVAEEILAAACTNANQWPALEIDLLERTSNERRYAEMFLPSTGWSSPSRIQPLLAAINTCKIPEFRYDLSWLNQGSHMGKQTQDQKKFLQECLARYLTESTRLLDETLVATEVNIMVQKYVGLELRDSYYYLVPRWVAIFRRIYNWRLAKLSTGEFSEAYVLSQHLYRAPPAAANSNGATATQELTASSSTCDEASILEDHSMMPAVSTGLSLDEIIEISCDLDAVDAQPASEQPRPPIQIHEEPHAPADTNCETNMVHGISDEMYIPRRIESGELVPLERDDKLARLLEQCTKLQDRIDETLSIYF >PVH64232 pep chromosome:PHallii_v3.1:2:37070873:37072205:1 gene:PAHAL_2G219000 transcript:PVH64232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISHVSPPERGAPIPPTFADRFLMFLLEFRAGGNAAFYEGVVRGIKSINLFTLHVPFSFIEWYDGELAIVQDEYPSVYNELLHSVISFLVKHGIDKNVATEHAKLEIVGLPEPKSTQKFVRIVEDNEMVLPYKLHNNIWVNATTLAGRDMGLGYLNHMFDKFNIGKCWGRGENEWDENNMEVRSKRCHQKRS >PAN13508 pep chromosome:PHallii_v3.1:2:48136030:48138750:-1 gene:PAHAL_2G342800 transcript:PAN13508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRCFCCVSVDDEPEPAAAPAGRRRTNPSRTPKNRSMEYPWEIYSLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPQRGVPSSQQHQPLDWARRVAIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAQQLRTVVEAAMLCAQSDAESRPAMAEVVEMLRFSGERRTAKEIVPVAAASSETEATDLDDVTGSSEPLDRRSWKLTKLSSQINGGILKEAKAWFNGRFRLKASEHQLSET >PAN13506 pep chromosome:PHallii_v3.1:2:48136030:48138298:-1 gene:PAHAL_2G342800 transcript:PAN13506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRCFCCVSVDDEPEPAAAPAGRRRTNPSRTPKNRSMEYPWEIYSLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPQRGVPSSQQHQPLDWARRVAIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAQQLRTVVEAAMLCAQSDAESRPAMAEVVEMLRFSGERRTAKEIVPVAAASSETEATDLDDVTGSSEPLDRRSWKLTKLR >PAN13509 pep chromosome:PHallii_v3.1:2:48136313:48138298:-1 gene:PAHAL_2G342800 transcript:PAN13509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRCFCCVSVDDEPEPAAAPAGRRRYTYRHQEPTNPSRTPKNRSMEYPWEIYSLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPQRGVPSSQQHQPLDWARRVAIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAQQLRTVVEAAMLCAQSDAESRPAMAEVVEMLRFSGERRTAKEIVPVAAASSETEATDLDDVTGSSEPLDRRSWKLTKLSSQINGGILKEAKAWFNGRFRLKASEHQLSET >PAN15433 pep chromosome:PHallii_v3.1:2:56894045:56897408:1 gene:PAHAL_2G487300 transcript:PAN15433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKELPQAWWFGSHNLTRPSPWLNNTLSELDDKTMQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRQTVFGLSSCTQSRSQASSTNGRTTPRSSCSISIYDSESEVDDPEQEEEEQQEQLMRGEIIKMESASQEQQRQKEQVELMRVEIESLKEQNAALQKAAEENAALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSARLFTAHCKPTGPIVAL >PVH65415 pep chromosome:PHallii_v3.1:2:56894045:56897408:1 gene:PAHAL_2G487300 transcript:PVH65415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMCKSKDKMMRKELPQAWWFGSHNLTRPSPWLNNTLSELDDKTMQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRQTVFGLSSCTQSRSQASSTNGRTTPRSSCSISIYDSESEVDDPEQEEEEQQEQLMRGEIIKMESASQEQQRQKEQVELMRVEIESLKEQNAALQKAAEENAALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSARLFTAHCKPTGPIVAL >PAN15431 pep chromosome:PHallii_v3.1:2:56894045:56897410:1 gene:PAHAL_2G487300 transcript:PAN15431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSRCGVGGSIGFVGCVSGAVWCFLLLPGGKSKDKMMRKELPQAWWFGSHNLTRPSPWLNNTLSELDDKTMQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRQTVFGLSSCTQSRSQASSTNGRTTPRSSCSISIYDSESEVDDPEQEEEEQQEQLMRGEIIKMESASQEQQRQKEQVELMRVEIESLKEQNAALQKAAEENAALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSARLFTAHCKPTGPIVAL >PVH65414 pep chromosome:PHallii_v3.1:2:56895658:56897020:1 gene:PAHAL_2G487300 transcript:PVH65414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGKSKDKMMRKELPQAWWFGSHNLTRPSPWLNNTLSELDDKTMQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRQTVFGLSSCTQSRSQASSTNGRTTPRSSCSISIYDSESEVDDPEQEEEEQQEQLMRGEIIKMESASQEQQRQKEQVELMRVEIESLKEQNAALQKAAEENAALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSARLFTAHCKPTGPIVAL >PAN15430 pep chromosome:PHallii_v3.1:2:56894045:56897408:1 gene:PAHAL_2G487300 transcript:PAN15430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKELPQAWWFGSHNLTRPSPWLNNTLSELDDKTMQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRQTVFGLSSCTQSRSQASSTNGRTTPRSSCSISIYDSESEVDDPEQEEEEQQEQLMRGEIIKMESASQEQQRQKEQVELMRVEIESLKEQNAALQKAAEENAALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSARLFTAHCKPTGPIVAL >PAN15432 pep chromosome:PHallii_v3.1:2:56894045:56897408:1 gene:PAHAL_2G487300 transcript:PAN15432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKELPQAWWFGSHNLTRPSPWLNNTLSELDDKTMQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRQTVFGLSSCTQSRSQASSTNGRTTPRSSCSISIYDSESEVDDPEQEEEEQQEQLMRGEIIKMESASQEQQRQKEQVELMRVEIESLKEQNAALQKAAEENAALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSARLFTAHCKPTGPIVAL >PAN15429 pep chromosome:PHallii_v3.1:2:56893876:56897408:1 gene:PAHAL_2G487300 transcript:PAN15429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKELPQAWWFGSHNLTRPSPWLNNTLSELDDKTMQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRQTVFGLSSCTQSRSQASSTNGRTTPRSSCSISIYDSESEVDDPEQEEEEQQEQLMRGEIIKMESASQEQQRQKEQVELMRVEIESLKEQNAALQKAAEENAALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSARLFTAHCKPTGPIVAL >PAN09212 pep chromosome:PHallii_v3.1:2:357480:360053:1 gene:PAHAL_2G005000 transcript:PAN09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDTTTTGHTWLLMITVFPTIIIIATMMIARARTIPPKPPKTRRPNNQPPPVAEGAPIVGVLPAILTTSLQTVIRDQHSKLGSVFTLSSFGIKVTFLVGPKVSAHFFHGTESEISIADVYKITVPIFGKGVGYDIDNDTRNEQHRFFAGILRPAKLRSHVGLMVREVEEYFAKWGERGTVDLKQEIDSVLMRIASRCLLGTEVRDHMHGEVSSLLHDLIGGLHLVSMFFPHLPTPAHRRRDRARARLEEIFSGIARSRKSSDRAGDDMLQALMDSRYRDGRATTEAEVTGLLVALLFAGHHTSSTVTAWTAARLLRHADWLRAATEEQGRIMHVTIDYDALLRMDVLHRSVKEALRLHPVTPMILRRAREAFAVRTEEGDEYEIPEGRLLASPLVVNNMLPGVYRDPDAFDPDRFAAGREEDRAGGELAYTSFGAGKHACMGEGYAYLQIKVILSHLLRNFELELVSPFPETEDMISMRPKGEVMVRYNRRLLQQCT >PVH64425 pep chromosome:PHallii_v3.1:2:42941016:42941705:1 gene:PAHAL_2G265100 transcript:PVH64425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYGSATPQPHAIVEGDSLLVSEPQCFGDHATTLPLRLTVSWSSSVPPPPELGEHDADEASRTAPAMEAVKRSTVSPSLPSTRIRSRTRSSSRPTRRRGAPAPRAPPGTAPRGLDQAGAGGNLIREGLVDGDEAEFRVPRGVGRVEFRVEHGDAEAPHVEEHRELEHRGGGAPRQEGEQHNAAAGGRAPVAGHLWC >PAN12123 pep chromosome:PHallii_v3.1:2:41006174:41006952:1 gene:PAHAL_2G244100 transcript:PAN12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSTVPAARFAGKINIRRSHCKVEAVATSARGGRVCSEGSTKDYYKVLSLQHSAAVGAEEIRRAYRRLARRYHPDVCPPSRRAESTERFLELRRAYETLADPAQRVRYDAEMRAGGEEDGEAARPGGVEFPRDVWEAQLGALLARSEQRQRARNGGVRFAASRSGY >PAN12317 pep chromosome:PHallii_v3.1:2:42410487:42413516:-1 gene:PAHAL_2G259000 transcript:PAN12317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLAAIGKERQHPQQEKPGREESAYFGGVAGAPAMDWSCAGKPGAAPSLMSFRSAAREEPAFPQFSAFDGAKNPAPRILTHQRSFGADSLQSGAVHRAQPPQHGLNAARVIPVSSPFNQNNPMFSVQSSPNLPNGVAGVGPFKHPPFAMNNALTNSTVGVYGTRDAVKPKTSQLTIFYAGSVNVFDNVSAEKVQELMFLASRGSTPSSAPVARKPEASIFTPTKVTVPEVSPAKQMLFQKPPHVSSPPSAITKPIPRVLQAATLLRSASSCNHDPPVPKSSVPLAVPPLSQAPATQPATLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTAAPYPSAKSPLESCDTFGSVSANDKSSCTDIALSSNRDESLCLGQPRNISFSQESPSTKLQI >PAN12318 pep chromosome:PHallii_v3.1:2:42411201:42413516:-1 gene:PAHAL_2G259000 transcript:PAN12318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLAAIGKERQHPQQEKPGREESAYFGGVAGAPAMDWSCAGKPGAAPSLMSFRSAAREEPAFPQFSAFDGAKNPAPRILTHQRSFGADSLQSGAVHRAQPPQHGLNAARVIPVSSPFNQNNPMFSVQSSPNLPNGVAGVGPFKHPPFAMNNALTNSTVGVYGTRDAVKPKTSQLTIFYAGSVNVFDNVSAEKVQELMFLASRGSTPSSAPVARKPEASIFTPTKVTVPEVSPAKQMLFQKPPHVSSPPSAITKPIPRVLQAATLLRSASSCNHDPPVPKSSVPLAVPPLSQAPATQPATLATTTAAAIMPRAVPQARKASLARFLEKRKER >PVH63380 pep chromosome:PHallii_v3.1:2:1203278:1209833:-1 gene:PAHAL_2G017400 transcript:PVH63380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSLSNANSIPTKNWGSSPATEPTKQEEERAHDFVDASLSLPVKFDANSADSLPVVKEEKADDFVDASSSLPIDLEAKNGDASLITEVMKKEEEQLEEARLQAEEEEEARKREEAAGLAFDPETRYSKLDELLTKTQLFSEFLLENMDKIADESVETQAEESQIEEKKKGRGRKRKVKPQYNDKKAKTAVAAMLTRSREDRLAEDCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWKNGLNGILADQMGLGKTIQTIGFLAHLKGNGIHGPYLIIAPLSTLSNWVNEISRFTPSLASVVYHGDKVARAEIRRKFMPKIVGPDFPIVVTSYEMAMSDAKFLAVHKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEKQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIVYANMTEHQKQIQDHLVEKTFDNYLHEESDIVLKRPGIKSKLHNLVIQLRKNCNHPDLLESPFESTGLYPPVEKILKQCGKFQLFDRLLNFLLAQKHKVLVFSQWTKVLDIIEYYLDSKGLDVCRIDGSVKLEERRRQIAEFNDLNSSMNIFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQADEDKLIQTDISDEDLLKLMNRSDLSGPPGAADATPLIPLKGPGWEVVVPTKSGGGMLASLTS >PVH63377 pep chromosome:PHallii_v3.1:2:1203277:1209763:-1 gene:PAHAL_2G017400 transcript:PVH63377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSLSNANSIPTKNWGSSPATEPTKQEEERAHDFVDASLSLPVKFDANSADSLPVVKEEKADDFVDASSSLPIDLEAKNGDASLITEVMKKEEEQLEEARLQAEEEEEARKREEAAGLAFDPETRYSKLDELLTKTQLFSEFLLENMDKIADESVETQAEESQIEEKKKGRGRKRKVKPQYNDKAKTAVAAMLTRSREDRLAEDCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWKNGLNGILADQMGLGKTIQTIGFLAHLKGNGIHGPYLIIAPLSTLSNWVNEISRFTPSLASVVYHGDKVARAEIRRKFMPKIVGPDFPIVVTSYEMAMSDAKFLAVHKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEKQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIVYANMTEHQKQIQDHLVEKTFDNYLHEESDIVLKRPGIKSKLHNLVIQLRKNCNHPDLLESPFESTGLYPPVEKILKQCGKFQLFDRLLNFLLAQKHKVLVFSQWTKVLDIIEYYLDSKGLDVCRIDGSVKLEERRRQIAEFNDLNSSMNIFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQADEDKLIQTDISDEDLLKLMNRSDLSGPPGAADATPLIPLKGPGWEVVVPTKSGGGMLASLTS >PVH63381 pep chromosome:PHallii_v3.1:2:1203652:1207698:-1 gene:PAHAL_2G017400 transcript:PVH63381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRSREDRLAEDCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWKNGLNGILADQMGLGKTIQTIGFLAHLKGNGIHGPYLIIAPLSTLSNWVNEISRFTPSLASVVYHGDKVARAEIRRKFMPKIVGPDFPIVVTSYEMAMSDAKFLAVHKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEKQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIVYANMTEHQKQIQDHLVEKTFDNYLHEESDIVLKRPGIKSKLHNLVIQLRKNCNHPDLLESPFESTGLYPPVEKILKQCGKFQLFDRLLNFLLAQKHKVLVFSQWTKVLDIIEYYLDSKGLDVCRIDGSVKLEERRRQIAEFNDLNSSMNIFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQADEDKLIQTDISDEDLLKLMNRSDLSGPPGAADATPLIPLKGPGWEVVVPTKSGGGMLASLTS >PVH63378 pep chromosome:PHallii_v3.1:2:1203278:1209834:-1 gene:PAHAL_2G017400 transcript:PVH63378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSLSNANSIPTKNWGSSPATEPTKQEEERAHDFVDASLSLPVKFDANSADSLPVVKEEKADDFVDASSSLPIDLEAKNGDASLITEVMKKEEEQLEEARLQAEEEEEARKREEAAGLAFDPETRYSKLDELLTKTQLFSEFLLENMDKIADESVETQAEESQIEEKKKGRGRKRKVKPQYNDKKAKTAVAAMLTRSREDRLAEDCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWKNGLNGILADQMGLGKTIQTIGFLAHLKGNGIHGPYLIIAPLSTLSNWVNEISRFTPSLASVVYHGDKVARAEIRRKFMPKIVGPDFPIVVTSYEMAMSDAKFLAVHKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEKQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIVYANMTEHQKQIQDHLVEKTFDNYLHEESDIVLKRPGIKSKLHNLVIQLRKNCNHPDLLESPFESTGLYPPVEKILKQCGKFQLFDRLLNFLLAQKHKVLVFSQWTKVLDIIEYYLDSKGLDVCRIDGSVKLEERRRQIAEFNDLNSSMNIFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQADEDKLIQTDISDEDLLKLMNRSDLSGPPGAADATPLIPLKGPGWEVVVPTKSGGGMLASLTS >PAN09367 pep chromosome:PHallii_v3.1:2:1203405:1210363:-1 gene:PAHAL_2G017400 transcript:PAN09367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSLSNANSIPTKNWGSSPATEPTKQEEERAHDFVDASLSLPVKFDANSADSLPVVKEEKADDFVDASSSLPIDLEAKNGDASLITEVMKKEEEQLEEARLQAEEEEEARKREEAAGLAFDPETRYSKLDELLTKTQLFSEFLLENMDKIADESVETQAEESQIEEKKKGRGRKRKVKPQYNDKKAKTAVAAMLTRSREDRLAEDCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWKNGLNGILADQMGLGKTIQTIGFLAHLKGNGIHGPYLIIAPLSTLSNWVNEISRFTPSLASVVYHGDKVARAEIRRKFMPKIVGPDFPIVVTSYEMAMSDAKFLAVHKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEKQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIVYANMTEHQKQIQDHLVEKTFDNYLHEESDIVLKRPGIKSKLHNLVIQLRKNCNHPDLLESPFESTGLYPPVEKILKQCGKFQLFDRLLNFLLAQKHKVLVFSQWTKVLDIIEYYLDSKGLDVCRIDGSVKLEERRRQIAEFNDLNSSMNIFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQADEDKLIQTDISDEDLLKLMNRSDLSGPPGAADATPLIPLKGPGWEVVVPTKSGGGMLASLTS >PVH63379 pep chromosome:PHallii_v3.1:2:1203346:1209835:-1 gene:PAHAL_2G017400 transcript:PVH63379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSLSNANSIPTKNWGSSPATEPTKQEEERAHDFVDASLSLPVKFDANSADSLPVVKEEKADDFVDASSSLPIDLEAKNGDASLITEVMKKEEEQLEEARLQAEEEEEARKREEAAGLAFDPETRYSKLDELLTKTQLFSEFLLENMDKIADESVETQAEESQIEEKKKGRGRKRKVKPQYNDKKAKTAVAAMLTRSREDRLAEDCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWKNGLNGILADQMGLGKTIQTIGFLAHLKGNGIHGPYLIIAPLSTLSNWVNEISRFTPSLASVVYHGDKVARAEIRRKFMPKIVGPDFPIVVTSYEMAMSDAKFLAVHKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEKQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIVYANMTEHQKQIQDHLVEKTFDNYLHEESDIVLKRPGIKSKLHNLVIQLRKNCNHPDLLESPFESTGLYPPVEKILKQCGKFQLFDRLLNFLLAQKHKVLVFSQWTKVLDIIEYYLDSKGLDVCRIDGSVKLEERRRQIAEFNDLNSSMNIFLLSTRAGGLGINLTSADTCILYDSDWMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQADEDKLIQTDISDEDLLKLMNRSDLSGPPGAADATPLIPLKGPGWEVVVPTKSGGGMLASLTS >PAN12668 pep chromosome:PHallii_v3.1:2:44251857:44256824:1 gene:PAHAL_2G282200 transcript:PAN12668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MVGAMPAAEALPREVTAGNGYGAKHLHLQLPTPPKQQQQPAEAECRWYEEEIDDDLKLCYALNSVLHRGASKYQEIALLDTKHFGKALIIDGKMQSTEMDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHKTVQRVVMCDIDQEVVDFCRTYLTVNREAFSSDKLCLIINDARVELEKSREKFDVIVGDLSDPVEGGPCYQLYTKSFYEHIVKSKLNDHGIFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVKAYTAHVPSFADTWGWVMASDHPFDLNAQQINERIKHRIVGELLYLSGESLISSTTLNKSVHQSLLNETHVYTEDDARFIYGHGRACCA >PVH65269 pep chromosome:PHallii_v3.1:2:54622150:54624207:-1 gene:PAHAL_2G450500 transcript:PVH65269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSGRRRLGAQPPSGAGRNCRPRPCQGGDGGEVDRISGLNDDLLIQVLVRLRCAGAAVRTGVLSRRWRGLWRYLPEHYFRGIAYDAVKAALAQIALPKLFLLDIDIPSSLSAEAAASLLRTAARYLDPVELSIKLYSANPIGIQMPSFARARSIRLNVNQLHQTPPARGGEFPVLERLSITNCRFDAGALISRCPRLRVLELICCRALDTITVHSATVEELLVTGEGRRLRDLLAGWTLIKQ >PVH65264 pep chromosome:PHallii_v3.1:2:54622150:54624227:-1 gene:PAHAL_2G450500 transcript:PVH65264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSGRRRLGAQPPSGAGRNCRPRPCQGGDGGEVDRISGLNDDLLIQVLVRLRCAGAAVRTGVLSRRWRGLWRYLPEHYFRGIAYDAVKAALAQIALPKLFLLDIDIPSSLSAEAAASLLRTAARYLDPVELSIKLYSANPIGIQMPSFARARSIRLNVNQLHQTPPARGGEFPVLERLSITNCRFDAGALISRCPRLRVLELICCRALDTITVHSATVEELLVTGEGRRLRDLLAGWTLIKQ >PVH65267 pep chromosome:PHallii_v3.1:2:54622150:54624207:-1 gene:PAHAL_2G450500 transcript:PVH65267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSGRRRLGAQPPSGAGRNCRPRPCQGGDGGEVDRISGLNDDLLIQVLVRLRCAGAAVRTGVLSRRWRGLWRYLPEHYFRGIAYDAVKAALAQIALPKLFLLDIDIPSSLSAEAAASLLRTAARYLDPVELSIKLYSANPIGIQMPSFARARSIRLNVNQLHQTPPARGGEFPVLERLSITNCRFDAGALISRCPRLRVLELICCRALDTITVHSATVEELLVTGEGRRLRGVDIVAPLLKKFTLHSDVSVDFSMSLLATTVENLSWNCRFACWLDPHKAVGIDASGTWRWSLIHLKLGREERGFLLGLDIARLHYITHVRSLQEMFQFPDISVLELCLNTRGHAYGAVVLKLLRTCSAIQRLKLVDQLDTISEACLLVINRQTGEVRISP >PVH65268 pep chromosome:PHallii_v3.1:2:54623328:54624177:-1 gene:PAHAL_2G450500 transcript:PVH65268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSGRRRLGAQPPSGAGRNCRPRPCQGGDGGEVDRISGLNDDLLIQVLVRLRCAGAAVRTGVLSRRWRGLWRYLPEHYFRGIAYDAVKAALAQIALPKLFLLDIDIPSSLSAEAAASLLRTAARYLDPVELSIKLYSANPIGIQMPSFARARSIRLNVNQLHQTPPARGGEFPVLERLSITNCRFDAGALISRCPRLRVLELICCRALDTITVHSATVEELLVTGEGRRLRDLLAGWTLIKQ >PVH65265 pep chromosome:PHallii_v3.1:2:54622976:54624177:-1 gene:PAHAL_2G450500 transcript:PVH65265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSGRRRLGAQPPSGAGRNCRPRPCQGGDGGEVDRISGLNDDLLIQVLVRLRCAGAAVRTGVLSRRWRGLWRYLPEHYFRGIAYDAVKAALAQIALPKLFLLDIDIPSSLSAEAAASLLRTAARYLDPVELSIKLYSANPIGIQMPSFARARSIRLNVNQLHQTPPARGGEFPVLERLSITNCRFDAGALISRCPRLRVLELICCRALDTITVHSATVEELLVTGEGRRLRGVDIVAPLLKKFTLHSDVSVDFSMSLLATTVENLSWNCRFACWLDPHKAVGIDASGTWRWSLIHLKLGREERGFLLGLDIARLWC >PVH65266 pep chromosome:PHallii_v3.1:2:54622726:54624177:-1 gene:PAHAL_2G450500 transcript:PVH65266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSGRRRLGAQPPSGAGRNCRPRPCQGGDGGEVDRISGLNDDLLIQVLVRLRCAGAAVRTGVLSRRWRGLWRYLPEHYFRGIAYDAVKAALAQIALPKLFLLDIDIPSSLSAEAAASLLRTAARYLDPVELSIKLYSANPIGIQMPSFARARSIRLNVNQLHQTPPARGGEFPVLERLSITNCRFDAGALISRCPRLRVLELICCRALDTITVHSATVEELLVTGEGRRLRGVDIVAPLLKKFTLHSDVSVDFSMSLLATTVENLSWNCRFACWLDPHKAVGIDASGTWRWSLIHLKLGREERGFLLGLDIARLHYITHVRSLQEMFQFPDISVLELCLNTRGHAYGAVVLKLLRTCSAIQRLKLVDQLDTQISEACLLVINRQTGEVRISP >PAN15355 pep chromosome:PHallii_v3.1:2:56587116:56590973:1 gene:PAHAL_2G482300 transcript:PAN15355 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BAH1 [Source:Projected from Arabidopsis thaliana (AT1G02860) UniProtKB/Swiss-Prot;Acc:Q9SRX9] MKFTKKYATYMRGMEAELPAVGLKRLKKMLKKCRSDSEHRSPLQDVAASPGAGRCPGLCSVCDGSFFPSLLNEMSVVVGCFNEKAKKLLELHLATGFKKYTMWFTNKGDKSHGKLIQQGKDLVTYAIINAVAMRKILKKYDKIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNKAAMELFGDCSLIFDDDRPTLSCNLFDSMRVDISLTCSICLDTVFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSADHKSKCPLCRQQGVFPDAVHLDELNMLLSHSCPEYWEKRMQSERVERVRLAKEHWESQCRAFLGI >PAN12839 pep chromosome:PHallii_v3.1:2:45065644:45066567:1 gene:PAHAL_2G294300 transcript:PAN12839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGSDEAEVAMDGERSPPPAATRSGPSRSHSEAERKRRQRINAHLATLRTLVPAASRMDKAALLGEVVRHVRELRGEADAAAAGAAVAVPGEGDEVGVEEGQHCCCHGAGGERDRAAAATRRVRAWVCCADRPGLMSELGRAVRSVSARAVRAEIATVGGRTRSVLELEVGGQHDGEGTSSRPALQAALRAVLLSREELLAAECYKRQRFSAHIARV >PVH64686 pep chromosome:PHallii_v3.1:2:47621746:47622090:-1 gene:PAHAL_2G334100 transcript:PVH64686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIPFVCGAIKRRRLVKKASDYERLSSAGAPPTWGQQERFTCGAYRSRSQSCRLAADSPAGVLGFSGDEGDGALPEGIRDEPLPPAVDDGWRGLSRSRRFSSMRLFACVSGA >PAN09189 pep chromosome:PHallii_v3.1:2:220479:223938:-1 gene:PAHAL_2G002700 transcript:PAN09189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGGGVLGAVLCMLLVFAIFPLLLWRRRSDAADNHRLPPQPLQEDQVLHGRAAARRMRRRPGAASSSAASTSRDVPEDDEEGDDEEVPDVPRSSKKKEKKRQEREAQRQAEDAARDSRRNKQDRYEEMRRRKDEEREAQERLLEEEARTRKAKEEEAAALEFEKWKGAFSVDAEGTTESETQDDGQALLHNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRIVTLESMDRLSGVMDDRGKFIYISTEEMKAVAEYIRKQGRVSISHLANNSNQFIDLEPKAQYEEESHQDDSAAVDTEP >PVH64161 pep chromosome:PHallii_v3.1:2:34107423:34107677:-1 gene:PAHAL_2G205500 transcript:PVH64161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVRGGGNQDDNLSTEKDVAELFVNPDETCAVNEGEIAGIMFFFHIVIALNCSITMI >PVH64396 pep chromosome:PHallii_v3.1:2:42372885:42375649:1 gene:PAHAL_2G258500 transcript:PVH64396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREEKKEPCTIEEQRFAPSTAIVNNLNARWEGALPADENCGACPWPSASSTSAHERTSCPVGIPHCGQPFAASGGGLRDSDLLPLLYRLSWLPLTVDRLRAVTAAPAQRLFRAVQHIAKLASRECPQQNAHFAP >PVH64394 pep chromosome:PHallii_v3.1:2:42372885:42375649:1 gene:PAHAL_2G258500 transcript:PVH64394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREEKKEPCTIEEQRFAPSTAIVNNLNARWEGALPADENCGACPWPSASSTSAHERTSCPVGIPHCGQPFAASGGGLRDSDLLPLLYRLSWLPLTVDRLRAVTAAPAQRLFRAVQHIAKL >PVH64395 pep chromosome:PHallii_v3.1:2:42372885:42374046:1 gene:PAHAL_2G258500 transcript:PVH64395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREEKKEPCTIEEQRFAPSTAIVNNLNARWEGALPADENCGACPWPSASSTSAHERTSCPVGIPHCGQPFAASGGGLRDSDLLPLLYRLSWLPLTVDRLRAVTAAPAQRLFRAVQHIAKL >PVH64397 pep chromosome:PHallii_v3.1:2:42372885:42375649:1 gene:PAHAL_2G258500 transcript:PVH64397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREEKKEPCTIEEQRFAPSTAIVNNLNARWEGALPADENCGACPWPSASSTSAHERTSCPVGIPHCGQPFAASGGGLRDSDLLPLLYRLSWLPLTVDRLRAVTAAPAQRLFRAVQHIAKE >PAN14690 pep chromosome:PHallii_v3.1:2:53426822:53428878:1 gene:PAHAL_2G430200 transcript:PAN14690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARSSHRFRHSMLSLFVALATLVAAARAQLSPTFYTSSCPAALVTIKTAVRAAVLLDRRTAGSLLRLHFHDCFVQGCDASVLLDDTGNFTGEKGAGPNAGSLRGFGVVDTIKALLEALCPRTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTASLSLANSDLPSPASSLSTLLAAFARKGLSNTDMVALSGAHTMGQAQCPNYRARIYNDTDIDAAFAASLRAGCPATGGGGGGAPLDVSTPNAFDNAYYGNLVAQRGLLHSDQELFNGGSTDGLVRSYAASPARFSSDFAAAMVRMGGIGVLTGSSGQVRHNCRRVN >PAN13020 pep chromosome:PHallii_v3.1:2:45971512:45972096:1 gene:PAHAL_2G308200 transcript:PAN13020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSFVCSGEAEPFEMEVGFFDTVQDIKEKLQSRRGWPAASISLLHNGDVLADDVYGGGGIERHGIVEGSVIHVALVPDGPRLQPQRVKRSRPASKSRGEEGAARAPLLRVTVVSRCGAGRMEVAVAARAAVSALRAELERARGARFPLPGDGAYFFIHRQSVMDEARSFEWHGVATGDEVVVFDGSVTRAPAY >PVH65271 pep chromosome:PHallii_v3.1:2:54655616:54657282:-1 gene:PAHAL_2G451000 transcript:PVH65271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVTHSHARRLKTKKDSTLSLMSQRSTIYRCRITGGGGGMHVFHAFSRASSSASFHYCIIGAQHGSRHQATPNRLPTTSSPTRLPLAMEQNRVVARPIRCKAAVCKAAGEPLEMEEVEVAPPRAHEVRIKVVCTSPCHSDITFWRMKDGPNMFPCILGHEAVGVVESVGEHVQEAAVGDTVVPVFLAQCGECADCRSARSNLCSALPTRPGMPRDGTTRFSLAATGEPVHNFLSVSSFAEYTVVDVAHVVRVGDALPPEKACLLSCGVATGVGAAWKVAAVEPGSTVAVFGLGAVGLAVAQGSKMRGATRIIGIDLNPDKFDTGNTNRSTVLKIS >PVH65273 pep chromosome:PHallii_v3.1:2:54654404:54657291:-1 gene:PAHAL_2G451000 transcript:PVH65273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVTHSHARRLKTKKDSTLSLMSQRSTIYRCRITGGGGGMHVFHAFSRASSSASFHYCIIGAQHGSRHQATPNRLPTTSSPTRLPLAMEQNRVVARPIRCKAAVCKAAGEPLEMEEVEVAPPRAHEVRIKVVCTSPCHSDITFWRMKDGPNMFPCILGHEAVGVVESVGEHVQEAAVGDTVVPVFLAQCGECADCRSARSNLCSALPTRPGMPRDGTTRFSLAATGEPVHNFLSVSSFAEYTVVDVAHVVRVGDALPPEKACLLSCGVATGVGAAWKVAAVEPGSTVAVFGLGAVGLAVAQGSKMRGATRIIGIDLNPDKFDTGKKLGITDFVNPNGIGEQTVSEVIKEMTGGAGADYCFECIGSVSVMAEAFRSSRMGWGKTVVLGVDGSAAPISIPSLDIMRGRSVVGSYLGGIKPKDDIPVLARKCLDKELELDEFITHQMGFDEINRGLDLLAQGKCLRCIIWMETDGGAVEDGA >PVH65272 pep chromosome:PHallii_v3.1:2:54655616:54657282:-1 gene:PAHAL_2G451000 transcript:PVH65272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVTHSHARRLKTKKDSTLSLMSQRSTIYRCRITGGGGGMHVFHAFSRASSSASFHYCIIGAQHGSRHQATPNRLPTTSSPTRLPLAMEQNRVVARPIRCKAAVCKAAGEPLEMEEVEVAPPRAHEVRIKVVCTSPCHSDITFWRMKDGPNMFPCILGHEAVGVVESVGEHVQEAAVGDTVVPVFLAQCGECADCRSARSNLCSALPTRPGMPRDGTTRFSLAATGEPVHNFLSVSSFAEYTVVDVAHVVRVGDALPPEKACLLSCGVATGVGAAWKVAAVEPGSTVAVFGLGAVGLAVAQGSKMRGATRIIGIDLNPDKFDTGNTNRSTVLKIS >PVH64324 pep chromosome:PHallii_v3.1:2:40324139:40337136:1 gene:PAHAL_2G237900 transcript:PVH64324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVLLAAVLLVLSAQAVHHVAGQPGFLSIDCGLDDEYSGYMDPDSGIVYVSDGAYADAGENLKVAPEYESQFGRRYQTVRSFPSGVRNCYALPTVAGAKYLARLEARYGNHDGTNDSSAMEFDVHLGANYWDTVLVRDDVAYEVLFVAWAGWASVCLLNTGHGAPFLSILELRRLGDALYPALMANQTMSIYVRSNMGGKFTRFPDDPYDRYWWRGFDPQWRNLSTAQNMQPDPSFVEPVAVLQTAATATGNNTALSYKWWQAQNRPAYSFMVLLHFADFQSAQLREFDIYFNGNRLGPSDKPYRPQYLASSTVCSSGWYRASDGNYNITLVASAVSELPPMLNAIEIYTLLAFDTPTTFPDDFDAIMAIKFEYGVKKNWTGDPCFPTIYAWDGVECSNTSGKTTRITSLDLSKSNLRGVLSTNFSKLTALENLDLSYNNLSGPIPDSLSSLPSLRVLNVSGNNLSGDSLCTNYNGSLIFRYDSDTSACDKRTSSSRKNAAVLITSVVVPVLVVAALFLACFIWRAKRKSNVSVENRTGKLQLESAPISMKAQGDHLQDSESRRFTYKDLENITHNFERLIGQGGFGLVYYGRLEDGTMVAAKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKNHLALVYEYMSQGSLYDHLRGKHAAVEILNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLRRNLQAKIADMGLSRSYLSDTQTHISVTAAGTAGYMDPEYYLTGRLTESSDVYSFGIVLLEAATGEPPQLPGLGHIVQRVKQRMAAGDIGSIADSRLRGAYDVSSMWKVVDIAMACAADDGTARPTMADVVAQLKDSMALEDARVNDCRVPARKVQRDDAALMPSFGPSLR >PAN12130 pep chromosome:PHallii_v3.1:2:41184251:41185033:1 gene:PAHAL_2G245300 transcript:PAN12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTIVLFALLALSVSTTTAVVFPPYYSPLSAIAAINTPLYFPHPFAVGSANPWARYYAQQEALTASIAASSVGIVQQPWATSHQHYQAHQAVQSIIALQQQQQLLRYVASPATYLQQQFLPFELNQLAVANPATYWQQQQVLRNVFNQFAVANPAAAYAQTQQLPPNVFHQFATVNPVAYLQLQQVVPDVFSQVALANPAAYWQQPFIGGGIY >PVH64784 pep chromosome:PHallii_v3.1:2:48983831:48985370:-1 gene:PAHAL_2G356700 transcript:PVH64784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKTPRRYVYVLRTCPSCSSQGEQSLMTTTFSDVWWHAWRTERDVCVRQTYEFDFLVLCIGRFSGVPNVPAFPPGGGPDAFRGRVLHSMDLSNMDDADAAALVRGKRVAVVGSGKSAFDIAAECADANGAELPCTMVCRSPQWLLHDVSVWGRLHVGYLYMNRFAQLMVRKPGAGLASSLLATLLTPLAWLISKLTEAYYKRAIPLREYGMEPELGFAGAIASCKIGMLPEAFYDKVRGGGVVIRRSGKFSFCEDGLVLDGGRVVPADLVILATGFRGDQKLRDMFVSPQVKDIVAGSPGTTVPLYRECVHPRIPQMAVIGYAESLTNIYAIEMMAKWVARFLDGAFRLPSVRRMERSVAEWGAYMRRSGGERFRRSCLGAVNIWYNDELCRDMGCDPRRKKGLLAEWFQPYGAVDYADIQ >PAN13677 pep chromosome:PHallii_v3.1:2:48983458:48985810:-1 gene:PAHAL_2G356700 transcript:PAN13677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRAAKLVAVVGAGTSGLAACKHLLARGFRPVVFEAGASMGGLWTRTLASTRLQSPAAGYRFSDFPWPEGADAFPRHEQVVEYLAAYARRFGVDGCVRFRSKVVAAEYVVAGADDAELWAGNGEAFGGDRAGRWRLTVRHADDSQDTTQTYEFDFLVLCIGRFSGVPNVPAFPPGGGPDAFRGRVLHSMDLSNMDDADAAALVRGKRVAVVGSGKSAFDIAAECADANGAELPCTMVCRSPQWLLHDVSVWGRLHVGYLYMNRFAQLMVRKPGAGLASSLLATLLTPLAWLISKLTEAYYKRAIPLREYGMEPELGFAGAIASCKIGMLPEAFYDKVRGGGVVIRRSGKFSFCEDGLVLDGGRVVPADLVILATGFRGDQKLRDMFVSPQVKDIVAGSPGTTVPLYRECVHPRIPQMAVIGYAESLTNIYAIEMMAKWVARFLDGAFRLPSVRRMERSVAEWGAYMRRSGGERFRRSCLGAVNIWYNDELCRDMGCDPRRKKGLLAEWFQPYGAVDYADIQ >PVH64091 pep chromosome:PHallii_v3.1:2:26812776:26813039:1 gene:PAHAL_2G181900 transcript:PVH64091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPGLNPMINSGLYHLEVPRAIYRDGNGTEFVSSWLCLWLYQMLLVVLVPC >PVH64927 pep chromosome:PHallii_v3.1:2:50894059:50896153:1 gene:PAHAL_2G387700 transcript:PVH64927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPEPEHGPEGPEPEPIPRLRPSSSSVLDSDDLLPVVLRRLPPRPSSLPRASLVCRRWRDLVTSRDFLRDFREFHRAPPVLGLFHNTYLGAPDRRFVAAVDPPDRVPDSLFRMPCGRDHRSWRFLDCRHGRALLLGPMGPRREVLVWDPMTGARPCPWMPGTSVTGRCSAPVATQFQVVLVWFELGLTYLRRAVAAVYSSESGAWSHIITVQAPFVSTASNASKPGTLAGNAVYWLIPGSRVLEFDAVTRNLAVISVPAYTAGSLYWQCQLMPTDAKELGLAMVTEISIKLWKRDSGNACGWSMYSAVQLEGCLPPRKWMQEQPSLLGYHEESNAIFVWIEAGVFMIQLESMQSRLMCQGASNFEIYPFAGFYNRGDATAGAQGDEDVDARWPTAIVDMWHYEDPQGDSHGPYSMVSLQRWSGNGFFAEDFRVWRTDETKEQAILLTDAMLSL >PAN15595 pep chromosome:PHallii_v3.1:2:57736712:57739105:-1 gene:PAHAL_2G500400 transcript:PAN15595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCFPCLGGAKKKRPPEKPQIPPSSEKPNLGPSPSVTKQDSLEVNKDAANKDIVLSNGSDHDQIAAQTFTFRDLAVATRNFRPDCLLGGGGFGRVYKGYLNYLNQVVAIKQLDRNGLQGNKEFLVEVLMLSLLHHPNLVSLIGYCADGNQRLLVYEYMPLGSLEDHLHDPSPDKARLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLEIITGRRAIDNTRVAGEQNLVAWARPLFKDRRKFPLMADPALEGQYPLRGLYQALAVAAMCVQEQPSMRPLIGDVVTALTYLASQTYDPEAHGISRMVAPGTPPRTRTRSRSHGSVDQRGSG >PVH65467 pep chromosome:PHallii_v3.1:2:57736255:57739271:-1 gene:PAHAL_2G500400 transcript:PVH65467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCFPCLGGAKKKRPPEKPQIPPSSEKPNLGPSPSVTKQDSLEVNKDAANKDIVLSNGSDHDQIAAQTFTFRDLAVATRNFRPDCLLGGGGFGRVYKGYLNYLNQVVAIKQLDRNGLQGNKEFLVEVLMLSLLHHPNLVSLIGYCADGNQRLLVYEYMPLGSLEDHLHDKARLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLEIITGRRAIDNTRVAGEQNLVAWARPLFKDRRKFPLMADPALEGQYPLRGLYQALAVAAMCVQEQPSMRPLIGDVVTALTYLASQTYDPEAHGISRMVAPGTPPRTRTRSRSHGSVDQRGSG >PVH65468 pep chromosome:PHallii_v3.1:2:57737350:57739105:-1 gene:PAHAL_2G500400 transcript:PVH65468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCFPCLGGAKKKRPPEKPQIPPSSEKPNLGPSPSVTKQDSLEVNKDAANKDIVLSNGSDHDQIAAQTFTFRDLAVATRNFRPDCLLGGGGFGRVYKGYLNYLNQVVAIKQLDRNGLQGNKEFLVEVLMLSLLHHPNLVSLIGYCADGNQRLLVYEYMPLGSLEDHLHDPSPDKARLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLEIITGRRAIDNTRVAGEQNLVAWLGSSVS >PAN15594 pep chromosome:PHallii_v3.1:2:57737371:57739105:-1 gene:PAHAL_2G500400 transcript:PAN15594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCFPCLGGAKKKRPPEKPQIPPSSEKPNLGPSPSVTKQDSLEVNKDAANKDIVLSNGSDHDQIAAQTFTFRDLAVATRNFRPDCLLGGGGFGRVYKGYLNYLNQVVAIKQLDRNGLQGNKEFLVEVLMLSLLHHPNLVSLIGYCADGNQRLLVYEYMPLGSLEDHLHDPSPDKARLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLEIITGRRAIDNTRVAGEQNLVAWMSAS >PVH63401 pep chromosome:PHallii_v3.1:2:1417281:1423565:-1 gene:PAHAL_2G020400 transcript:PVH63401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNRWLNHFKSRKQDCISINAHSSSSVNQEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PVH63398 pep chromosome:PHallii_v3.1:2:1417281:1423565:-1 gene:PAHAL_2G020400 transcript:PVH63398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNLLGLAELKLKEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PVH63395 pep chromosome:PHallii_v3.1:2:1417281:1422965:-1 gene:PAHAL_2G020400 transcript:PVH63395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNLLGLAELKLKEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PVH63402 pep chromosome:PHallii_v3.1:2:1417281:1423565:-1 gene:PAHAL_2G020400 transcript:PVH63402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNLLGLAELKLKEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PVH63397 pep chromosome:PHallii_v3.1:2:1417662:1422744:-1 gene:PAHAL_2G020400 transcript:PVH63397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNLLGLAELKLKEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PAN09402 pep chromosome:PHallii_v3.1:2:1417662:1422744:-1 gene:PAHAL_2G020400 transcript:PAN09402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNRWLNHFKSRKQDCISINAHSSSSVNQLLGLAELKLKEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PVH63396 pep chromosome:PHallii_v3.1:2:1417281:1423578:-1 gene:PAHAL_2G020400 transcript:PVH63396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNRWLNHFKSRKQDCISINAHSSSSVNQLLGLAELKLKEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PVH63399 pep chromosome:PHallii_v3.1:2:1417281:1423565:-1 gene:PAHAL_2G020400 transcript:PVH63399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNRWLNHFKSRKQDCISINAHSSSSVNQLLGLAELKLKEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PVH63400 pep chromosome:PHallii_v3.1:2:1417662:1422744:-1 gene:PAHAL_2G020400 transcript:PVH63400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNIMNRWLNHFKSRKQDCISINAHSSSSVNQLLGLAELKLKEAISKEPTLLIMVVGVPNVGKSALINSIHRIATSRFPVHDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSAVGEERIAKYLLSLLNIRKTPLHWERLVHRRDELHEETCSRNENDSRDSLRRRRCLNNADALYVQDLVTDVQRTLCSTCLDFTGNTEEESELESLIDMQLAALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >PAN12948 pep chromosome:PHallii_v3.1:2:45702440:45703164:1 gene:PAHAL_2G303100 transcript:PAN12948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARVAFALVALLFVAMAVAPLAESADAPAASTADGPAAESGPAAAPGPEGIAGLSDDSDDGDDSH >PAN13198 pep chromosome:PHallii_v3.1:2:46718141:46719352:-1 gene:PAHAL_2G320100 transcript:PAN13198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILLAALVALLLVVCPCHARPAPHQTSKLAAKETASVDGVTAIYNFGDSLSDTGNLLREGGATGMLQHTTSLPYGSAIGGATGRCSDGYLMIDFLTKDLGLPLLSPYLDKGADFTHGVNFAVAGATALDAAALASRGVSVPHTNSSLAVQLQRFKDFMSATTRSPREVREKLARSLVMVGEIGGNDYNYAFSENRPAAGGAHNLYNLGRVATGVVEAVALVPDVVRSITGAARELLDMGAARVVIPGNFPLGCVPSYMAAANETDPAAYDANGCLAALNLFSQMHNVLLQQGIRELRRSYPGATVAYADYFYAYVRMLRDAGEAGFDEGARTRACCGAGGGAYNVDMDRMCGARGTSVCARPDERISWDGVHLTQHAYRVMTDLLYHKGFASPAPVEFQRP >PAN13313 pep chromosome:PHallii_v3.1:2:50656731:50664182:1 gene:PAHAL_2G384000 transcript:PAN13313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQESEVTSNSIFEHQSFPKSMAESMNVSKTRLCGKILKKLMDHKGGWLFHKPVDPVLYGIPDYFDVIRNPMDLGTVKKKLSDKKYVMASEFAADMRLTFANAMKYNPPGNHVHAVAEQLNRIFDSEWRSVIRKWNVRNPVQEQKLMKATKPQAAMKLKSLITERLVTCSNSLAKEPSINAISSKVKIKFFVRGSENTSLKAGSQECSIDNSLASTKENDKISRTQSNENRVLSNGNESGSCNSVASSEQGEESYVHLEPLSPSKALRIAMLKSRFAGTIVKAQQNALLDHGKEVDIAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKVEEEIRTKREKERKAARLALHMMKKTVDIDNSDFLKDLENLCQKWQLNPPSKLIVDFVNGIELPEGLGSPLEALGLFIKKDLEEEVDHEMEDSVSTSLNADVEEGEISCSQ >PAN14102 pep chromosome:PHallii_v3.1:2:50657214:50664182:1 gene:PAHAL_2G384000 transcript:PAN14102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQESEVTSNSIFEHQSFPKSMAESMNVSKTRLCGKILKKLMDHKGGWLFHKPVDPVLYGIPDYFDVIRNPMDLGTVKKKLSDKKYVMASEFAADMRLTFANAMKYNPPGNHVHAVAEQLNRIFDSEWRSVIRKWNVRNPVQEQKLMKATKPQAAMKLKSLITERLVTCSNSLAKEPSINAISSKVKIKFFVRGSENTSLKAGSQECSIDNSLASTKENDKISRTQSNENRVLSNGNESGSCNSVASSEQGEESYVHLEPLSPSKALRIAMLKSRFAGTIVKAQQNALLDHGKEVDIAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKVEEEIRTKREKERKAARLALHMMKKTVDIDNSDFLKDLENLCQKWQLNPPSKLIVDFVNGIELPEGLGSPLEALGLFIKKDLEEEVDHEMEDSVSTSLNADVEEGEISCSQ >PAN13453 pep chromosome:PHallii_v3.1:2:47862850:47867158:-1 gene:PAHAL_2G338000 transcript:PAN13453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTTYSVRVSSETHKVEEWLTSDEALAWQLQEEENTRVAAADTREFAGNVSLEPSSPAVEYRPEQNAAQVAREDNVDPDNMSYEQLQALGEAVGTQSRGLSDDLIAYLEPFRHKCTFFSRKKNNEECVICKTTYKSRQKMIRLPCSHCYHANCITRWLKINKACPVCNEEVFG >PAN11949 pep chromosome:PHallii_v3.1:2:39041992:39045357:-1 gene:PAHAL_2G230400 transcript:PAN11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCASTLPSLSFVSFSSSSSSNSPRRFRRSTVVAMASVGQKVYAPGVAVSEGNGGLQKIDLKSPHGSEAEIYLFGACVTSFKVPNGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQHGFARNVNWSIADSEVTEGDPAVTLELKDDSYSRSMWDFSFQALYKVSLHSTSLSTTLKITNMDDKPFSFNSALHTYFRASITGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELILDNGLGDKIAISNSSWSDAVLWNPHLQMEACYKDFVCVENAKIETVQLEPKQSWVAEQKIELV >PAN12814 pep chromosome:PHallii_v3.1:2:44970611:44972848:-1 gene:PAHAL_2G293100 transcript:PAN12814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTASLIYLLLLICAAALHADASQEAQLREFIRSRRTNRSDKGMFKVSNIGQRVGGSLQRTSYSGGPDQSTLKAADKIMLLPGQPDGVDFDQYGGYITVDEKNGRALFYYFVEALQDASTKPLLLWLNGGPGCSSLGYGAMQELGPFRVNSDNKTLSRNMYAWNNVANVIFLESPAGVGFSYSNTSSDYDISGDQRTADDAYVFLINWLERFPEYKSRPIYISGESFAGHYVPELAATILVQNSYNSKTAINLRGILVGNPLLDWNMNFKGQVDYFWSHGSMSDEVFANITRHCHFDNSDGLVCDGAIDAFDPGQIDPYNIYAPICVDAANGAYYPSGYLPGYDPCSDYYTYAYLNDPAVQNAFHARMTEWSGCTNLHWKDAPISMVPTISWLIEMKLPVWIFSGDFDSVCPLPATRYSIHDLNLHVTTPWRPWTVNMEVGGYVQQYKGGFTFASVRGAGHMVPSSQPERGLTLLDSFLKGMLPPYVPEQ >PAN13774 pep chromosome:PHallii_v3.1:2:49345763:49350092:-1 gene:PAHAL_2G363000 transcript:PAN13774 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MANLQCLPPSVEPRVSDHIDHIINMIKQILDNNCAYVVGGDVYFSVDNFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKAAKDGEPWWESPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVIEMYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLRDCEESCQQQHKNSGDSLPASTLNYIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLATLEEKIRVVLSVLGLLPSSYHEALQQLREKALRRASITEERVLQKIEERTAARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEEEAEVVKT >PAN13775 pep chromosome:PHallii_v3.1:2:49345452:49351663:-1 gene:PAHAL_2G363000 transcript:PAN13775 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MAAAARRAAGLLPLLLPSPSGARTQFRRAISLISPPRSYRLLSHPARPFSTSPFSASASASNGAAAERTRELHLYNTKSRKKEHFRPRAPDGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRFLRYLDYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRFSDDFLSDMANLQCLPPSVEPRVSDHIDHIINMIKQILDNNCAYVVGGDVYFSVDNFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKAAKDGEPWWESPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVIEMYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLRDCEESCQQQHKNSGDSLPASTLNYIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLATLEEKIRVVLSVLGLLPSSYHEALQQLREKALRRASITEERVLQKIEERTAARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEEEAEVVKT >PAN13777 pep chromosome:PHallii_v3.1:2:49348136:49351663:-1 gene:PAHAL_2G363000 transcript:PAN13777 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MAAAARRAAGLLPLLLPSPSGARTQFRRAISLISPPRSYRLLSHPARPFSTSPFSASASASNGAAAERTRELHLYNTKSRKKEHFRPRAPDGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRFLRYLDYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRFSDDFLSDMANLQCLPPSVEPRVSDHIDHIINMIKQILDNNCAYVVGGDVYFSVDNFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKAAKDGEPWWESPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVIEMYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQVVNKKIQVNVY >PAN13776 pep chromosome:PHallii_v3.1:2:49347751:49351663:-1 gene:PAHAL_2G363000 transcript:PAN13776 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MAAAARRAAGLLPLLLPSPSGARTQFRRAISLISPPRSYRLLSHPARPFSTSPFSASASASNGAAAERTRELHLYNTKSRKKEHFRPRAPDGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRFLRYLDYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRFSDDFLSDMANLQCLPPSVEPRVSDHIDHIINMIKQILDNNCAYVVGGDVYFSVDNFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKAAKDGEPWWESPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVIEMYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQ >PAN14898 pep chromosome:PHallii_v3.1:2:54709178:54712162:1 gene:PAHAL_2G451900 transcript:PAN14898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSPSHSSSQAAPLHKHTPAHSHQAPLRTSLRPAAEDARRRTGPQPAARSSHVGPAAPSRARRRSGQQPPRAPRPQHRRPSCACTGRSSGDRLLAAATTPAATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVANRQSFLNTSRWIDEVRNERGGDVIVVLVGNKTDLVDKRQVSTEEGESKSKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSAKQEDMVDVNLKPTSGQSNSQQQAGSGCAC >PAN14896 pep chromosome:PHallii_v3.1:2:54708426:54712162:1 gene:PAHAL_2G451900 transcript:PAN14896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVANRQSFLNTSRWIDEVRNERGGDVIVVLVGNKTDLVDKRQVSTEEGESKSKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSAKQEDMVDVNLKPTSGQSNSQQQAGSGCAC >PAN14897 pep chromosome:PHallii_v3.1:2:54709177:54712163:1 gene:PAHAL_2G451900 transcript:PAN14897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSGRQTLGPPRPLPLPPPRLPRVIPRVPPRPPLPATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVANRQSFLNTSRWIDEVRNERGGDVIVVLVGNKTDLVDKRQVSTEEGESKSKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSAKQEDMVDVNLKPTSGQSNSQQQAGSGCAC >PVH65275 pep chromosome:PHallii_v3.1:2:54710070:54712162:1 gene:PAHAL_2G451900 transcript:PVH65275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGIFVSFSFVLIHGHLIQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVANRQSFLNTSRWIDEVRNERGGDVIVVLVGNKTDLVDKRQVSTEEGESKSKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSAKQEDMVDVNLKPTSGQSNSQQQAGSGCAC >PVH65257 pep chromosome:PHallii_v3.1:2:54499308:54499766:1 gene:PAHAL_2G447900 transcript:PVH65257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLPEVIPVYQSLKSEPSTSFAFWPYHLVSYTHRIFTRLLIAKSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTRREKCEDPCSFFTTPGRRCSAPQRLRRPDPCSLIIVPGSRYDAPLRG >PAN09915 pep chromosome:PHallii_v3.1:2:4271747:4276518:1 gene:PAHAL_2G057800 transcript:PAN09915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLSAILGDLTSRSINFIINKVSDSKPPALAVNDSLQRVLLRAQVIIDEAMGKHITNQAMLLRLNMLRDAVHRGHYVLDTFRYQHQDEEDAKDQAVSHSSSLSKVNSAMRHCFSSRGAQDLKELQETLENLSSMILDANELVMFLTRCSRLYRQPYSMHLQLCNCMFGRQMEVELVINFLLRPQSHGAEELEFMPIIGPCQVGKSTLVTHVCKDERVRGHFSKNIVFFRIHGFTDDELAIFREGCTVKLQNHTSDMKKDGRLLVIIELDGDLNEEALDRLHFASKQYAPRGSKLIVTSRFDNIVKFGSTQALTLKYLSNEAYWYFFKTLTFGSMDPEMHPRLMHFAMEIARMQKCSFVGANIVSRLLRDNFDAQFWLKVLAFLKGLTQERVSRFEHPFDLLRQNRPTHLGRMATPSEDVVVYHQHQCYSEEEVPKLRLQDVLYGSIKPHGNFKVLVWKSLIPPYSSYVYSCEIRELKTTTAKRKRPTESGITLC >PAN12105 pep chromosome:PHallii_v3.1:2:40870497:40871860:1 gene:PAHAL_2G242800 transcript:PAN12105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRFHREHNDLLPSANSVRSRGDEKKGDRCRMTGSEGGISPSHPICTVIPFQTAPSLLPQGAGSTLLSYLN >PAN12106 pep chromosome:PHallii_v3.1:2:40870497:40872413:1 gene:PAHAL_2G242800 transcript:PAN12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRFHREHNDLLPSANSVRSRGDEKKGDRCRMTGSEGGISPSHPICTVIPFQTAPSLLPQGAGSTLLSYLN >PAN09181 pep chromosome:PHallii_v3.1:2:175400:179102:-1 gene:PAHAL_2G001900 transcript:PAN09181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATKWASRSSSPLVLLMEAELEKARGHISELEEERRVMTKRLERFLRDLAEDKASWKARVRDKARHAVAALKEELSAERAHRRQLEQANAKLMRDLAEARSSAKQQAQSYEMERKARELMEEACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLQMAEVWREERVQMKLSDAKLALETKYAQLSHLQAEMEAFLRSKDSSCQSSTMREARFISDAAAAAASAELRSRGRASQHDDDEDDEVDADSVFEHFRRKETNRANSPASSNSNVSAMQSVSPATDLFLAKVDDAYANGGSSADMDYDGGRDSCSWLGTSDRSASVANGNSGLESGASRRSVGKNTALIRRLWRSAIAESRKKTGGGWSPSSDRRSSVTPEAPPPPPMAVAVAPPQQSKQSLREKLMEARMDDHKPVQDSRQPNTKPISSKWKGS >PVH63311 pep chromosome:PHallii_v3.1:2:175401:179101:-1 gene:PAHAL_2G001900 transcript:PVH63311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATKWASRSSSPLVLLMEAELEKARGHISELEEERRVMTKRLERFLRDLAEDKASWKARVRDKARHAVAALKEELSAERAHRRQLEQANAKLMRDLAEARSSAKQQAQSYEMERKARELMEEACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLQMAEVWREERVQMKLSDAKLALETKYAQLSHLQAEMEAFLRSKDSSCQSSTMREARFISDAAAAAASAELRSRGRASQHDDDEDDEVDADSVFEHFRRKETNRANSPASSNSNVSAMQSVSPATDLFLAKVDDAYANGGSSADMDYDGGRDSCSWLGTSDRSASVANGNSGLESGASRRSVGKNTALIRRLWRSAIAESRKKTGGGWSPSSDRRSSVTPEAPPPPPMAVAVAPPQQSKQSLREKLMEARMDDHKPVQDSRQPNTKPISSKWKGS >PAN09179 pep chromosome:PHallii_v3.1:2:175401:179101:-1 gene:PAHAL_2G001900 transcript:PAN09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSATPRRRRRRRNRNVPVSVRGSAAASARALAAGLWRLRHAQRMKAAGGPHNQAPSKLGEGRRCPANKHLAAPGIGIGIGSHCQCCSRNHHHHHHGILDKIDACVEPYGSCSSSAMEKATKWASRSSSPLVLLMEAELEKARGHISELEEERRVMTKRLERFLRDLAEDKASWKARVRDKARHAVAALKEELSAERAHRRQLEQANAKLMRDLAEARSSAKQQAQSYEMERKARELMEEACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLQMAEVWREERVQMKLSDAKLALETKYAQLSHLQAEMEAFLRSKDSSCQSSTMREARFISDAAAAAASAELRSRGRASQHDDDEDDEVDADSVFEHFRRKETNRANSPASSNSNVSAMQSVSPATDLFLAKVDDAYANGGSSADMDYDGGRDSCSWLGTSDRSASVANGNSGLESGASRRSVGKNTALIRRLWRSAIAESRKKTGGGWSPSSDRRSSVTPEAPPPPPMAVAVAPPQQSKQSLREKLMEARMDDHKPVQDSRQPNTKPISSKWKGS >PAN09180 pep chromosome:PHallii_v3.1:2:176240:178649:-1 gene:PAHAL_2G001900 transcript:PAN09180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSATPRRRRRRRNRNVPVSVRGSAAASARALAAGLWRLRHAQRMKAAGGPHNQAPSKLGEGRRCPANKHLAAPGIGIGIGSHCQCCSRNHHHHHHGILDKIDACVEPYGSCSSSAMEKATKWASRSSSPLVLLMEAELEKARGHISELEEERRVMTKRLERFLRDLAEDKASWKARVRDKARHAVAALKEELSAERAHRRQLEQANAKLMRDLAEARSSAKQQAQSYEMERKARELMEEACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLQMAEVWREERVQMKLSDAKLALETKYAQLSHLQAEMEAFLRSKDSSCQSSTMREARFISDAAAAAASAELRSRGRASQHDDDEDDEVDADSVFEHFRRKETNRANSPASSNSNVSAMQSVSPATDLFLAKVDDAYANGGSSADMDYDGGRDSCSWLGTSDRSASVANGNSGLESGASRRSVGKNTALIRRLWRSAIAESRKKTGGGWSPSSDRRSSVTPEAPPPPPMAVAVAPPQQSKQSLREKLMEARMDDHKPVQDSRQPNTKPISSKWKGS >PVH64519 pep chromosome:PHallii_v3.1:2:44976020:44978431:-1 gene:PAHAL_2G293200 transcript:PVH64519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISICFLLLVCVATPRANASQEARLREFIRSRRSSSASSANAPAFRITRSLRAEYSVTDQSALREADKITALPGQPKGGAGFNQYSGYVSVDEKNGRALFYYFVEATSDAATKPLLLWLNGGPGCSSLGYGAMIELGPFRINSDNKTLSRNENAWNNEANVLFLESPAGVGFSYSNTSSDYSKSGDQRTADDAFVFLTNWIERFPEYKGRAFYISGESYAGHYVPQLATAILSYNMNSKSNIINLRAILVGNAYLDDNKNTKGQIDYLWSHGVISDEVWANITRTCKFSPSDGITCSDAMAAYDSGNISGYNIYAPVCIDEPNGNYYPSSYVRGIDPCSNYYIHSYLNNPMVQKAFHARTTKWSGCTDLHWKDAPVSMMPTIKWLLEQGLPVWLYSGDFDAICPLTATRYSIIDLELSVMEPWRPWTANKEVGGYVQQYTGGLVLVSVRGAGHQVPYFQPERSLVLVSSFLKGKLPPYVMEQ >PAN13868 pep chromosome:PHallii_v3.1:2:49704173:49710019:1 gene:PAHAL_2G369600 transcript:PAN13868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGNHHGGGRRGGQRRVKANPSDAEAGNRDGHPDDDRGRADQSQHTDLLQAGRTLAASDAEKAPAPLLEEFAALGIRVRRAEPVFPPRPGYGAAGTPCVVRANHFLARFVDEGLHHYDVTISPDPTPKGGYREVMSKLVSENQHTEFGGRFPAYDGRDSLFTAGALPFDTKEFEVTLSACVDKRRMRGRKYKVVIKHAAGISLLQLRMLLAGYPTDIPAQALQVLDNVLRDVVFNKRDDMEYIAVGRSFFSQKLGCAKDGTLGAEAWKGLYESIRPMQNGVSVLVDVSSSVFIQPLLLIDFVQKTLKIDVLNRKLTKPEHAKLLKAVRGVRIEVTHRGSERRKYRITGLSVNPTKVLSFKSPSGATKTVIDYFREKYNLKLKFNFLPCLNVGSEQKPVYLPIEVCKIVPRQRYQKKLDGSQVSTLMKSTCQFQPEQLSICQVVESKQYNSTKRANEFGIDVDDKCTVNARVLPPPNLKYHDSGSEKTWSPMNGYWNMKDKKVVNGAKIRNWACVNFCEDLSKNAVEQFCFKLAEMSRTTGVELADLKLPVLTARPDQVEDDIRICYQEAQKELRDQKIDLLLAILPDNNGSLYGNIKKICETDIGVMSQCCRKSIVFTKYNKILANIAIKINGKAGGRNSVFEDAQKSLPVVSNKPTIIFGAHVTHPSAVDHSAPSIASVVASQDWHEVDKYNGVVRAQGQREEMIGGLEDMVKELLHAFEKESNRKPQQLIFYRDGISGSQLKQVFEKEIPEIEKAWKVLYNNEEPQITFIAVQKRHSLMLFPNDNNKRHNSNMKNVEPGTVVDSEICHPAEFDFFLCSYAEIKGPSHPVQYLVLRDDNNFTADELQALTNNLCYTHASSTKATTIAPPAHYARKLAQRAHLYLAQGPDVAKAVGSRSATAPAGGLKQLPEIKDELKRSMFYC >PVH64266 pep chromosome:PHallii_v3.1:2:38391199:38393716:-1 gene:PAHAL_2G225900 transcript:PVH64266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQYYATSSLVVGYALCSSLLSIINKYAVTKFSYPGLLTALQYFTSAAGVWILGKLGLLSHDPFKLETAKKFAPAALVFYLAIFTNTNLLCHANVDTFIVFRSLTPLLVAIADTIFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSLTAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMLAPIFWFVTGEHKLVFAAIESRGDGWFQLDAFVAVALSCMFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVMIWDKHATGFGLVCLLFTIVGGILYQQSVTTKGISAGQQHGPVSEQPKEGNDSKELDEEKQGLVASAK >PAN11892 pep chromosome:PHallii_v3.1:2:38391199:38393713:-1 gene:PAHAL_2G225900 transcript:PAN11892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQYYATSSLVVGYALCSSLLSIINKYAVTKFSYPGLLTALQYFTSAAGVWILGKLGLLSHDPFKLETAKKFAPAALVFYLAIFTNTNLLCHANVDTFIVFRSLTPLLVAIADTIFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSLTAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMLAPIFWFVTGEHKLVFAAIESRGDGWFQLDAFVAVALSCMFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVMIWDKHATGFGLVCLLFTIVGGILYQQSVTTKGISAGQQHGPVSEQPKEGNDSKELDEEKQGLVASAK >PAN09330 pep chromosome:PHallii_v3.1:2:1009845:1013669:1 gene:PAHAL_2G014700 transcript:PAN09330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSENAGATLEEPQAPPLPNPNPSEEAPGEGEEEEPKTLERAQELFDRGSKAIEEEDFVDAVDCLSRALEIRTSHYGELAPECASTYFKYGCALLYKAQEETDPLGNVPKNAPNEESAKSTTAKDDSVSSKASVSNAEDAASSEKVDAEEGQSSNGKDHGDGNGEIEKHDDDDDEDEKMGDEEDSDVDLAWKMLDIARAIVEKSPENTMEKVKIYSALGEVALEREDIDNSLSDYMKALAMLEQLVEPDHRRTVELNFRICLVYELASKIGDAIPYCAKAISLCKSRIQSLKDSKDASLAGKDGESAAEGVSEKSAPEAEIEQLSGILTELEKKLEDLEQAMSTPRSAIDEFLKTIASRAAAGQKGADGIPRAASFTSSQMATSSNGFDSSVMSTAATTGSTGSTVTDLGVVGRGVKRANIKPITAEPAPKKPAVDSASAKGDSSNSSEALPTTQNGDESVWK >PVH64114 pep chromosome:PHallii_v3.1:2:30145268:30146140:1 gene:PAHAL_2G191800 transcript:PVH64114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPIPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTTAAISSEAVAQESLRQIQDRRMQEWTNGGTPVPAIGETRVLIGTPITGWGGLFRTPQAPPEGTERTTAAIEGGAIEQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PVH65223 pep chromosome:PHallii_v3.1:2:54112060:54115621:1 gene:PAHAL_2G440600 transcript:PVH65223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRPASLRLCASVALLVVAFSSLTPSSDAYDPLDPNGNITIKWDVIQWTPDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEIIWAMTGGQATEQGDCSKFKSNIPHCCKKDPEVVDLLPGTPYNMQIANCCKGGVLTAWAQDPDNAVASFQVSVGQAGTTNKTVKVPKNFTLKAPGPGYTCGPAKLVKSTKFISPDGRRSTQAHMTWNVTCTYSQFVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQNNSTAPGSCVEGNSPYLASVVNDPNKKSLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKEPSTFTFQKGWAFPRRVYFNGENCVMPPPDAYPWLPNASPRQSPSALLTFVAVWAALAVLLANA >PVH65222 pep chromosome:PHallii_v3.1:2:54112060:54115621:1 gene:PAHAL_2G440600 transcript:PVH65222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGQATEQGDCSKFKSNIPHCCKKDPEVVDLLPGTPYNMQIANCCKGGVLTAWAQDPDNAVASFQVSVGQAGTTNKTVKVPKNFTLKAPGPGYTCGPAKLVKSTKFISPDGRRSTQAHMTWNVTCTYSQFVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQNNSTAPGSCVEGNSPYLASVVNDPNKKSLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKEPSTFTFQKGWAFPRRVYFNGENCVMPPPDAYPWLPNASPRQSPSALLTFVAVWAALAVLLANA >PAN09800 pep chromosome:PHallii_v3.1:2:2992807:2998714:-1 gene:PAHAL_2G042600 transcript:PAN09800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAILDVITDAEEQAAAKREGAKVWLEEVRKVAYQANDVLDEFNYEALRRKAKKEGHNKDLGIDVIKLFPTHNRIAFRHRMANKLRVILKEIDVLVAEMNAFRFKFKPEQPEPTNYLRQYNSNIIDPTNIASKSRADEKKAVVSTLLAQVGNENLTVHPIVGMGGLGKTTLAQLIYNDPEIHKHFELRLWVCVSDNFEVDSLADRILKENGCEQTGCSALEKLQNAVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGDNGSSVLTTTRDEAVAKLMMGKTEGAYKLESLGAYFIEKIIKTTAFSSKEEEWPGELVKMVGDVAKRCSGSPLAATALGSVLRTKTTEEEWDAVLNKSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKFFNELKSRSFYQDLKSVPFEQKYDPFEPIKYMYCSKITCKIHDLMHDVAMDSMGKECAAIATHPSQSEFALHSARHLYLSVPQPENLLNASVEKGSPAFQTLICDGYVEEDLKILSKYNSIRALKIERGSFLRPKYLHHLRYLDLSGCDIEALPEDISILYHLQTLDLSYCDDLQRLPKELKYLTSLRHLYTHECPNLKSMPGGLGHLTCLQTLTCFVAGTDSGCSNVRELQDLDLGGRLELSQLENVTGSNGAQAAGLGNKKKLTELELRWTDGDPEAQNNNHEEVVEGLKPHDGLKVLRIYSCGSSTFPTWMDMLNGMVELELSGCKKLEKLPALWQLPALEILDLTGLESLHCLCSGAATAVTFRKLKVLILVEMPKFEAWLDTDMVQGEETIFPKVEELAIRKCGSLTALPKAASVITESSGGVDTMCRSAIQALRKLLPKGSLMVKQLFGQAETVCCRSAFPALRKLKLFNMSALERWGAAEGTPGEEVTFPLLEDLEIDVCPKLKGLPETPKLVKLAIEGEGQQISLQAVSRCIPSLYILDLDVSPNDTETTLLHVKQKWDHELPLLAMTLTRCDLLFSSHPGALALWTCFARLDYLEILNCDALVYWPENVFQVLVSLRRLEISCCSKLTGHTQASDGQSAPERGGLPPCLVSLCISGCKSLVEVPNLPASLKKLGIERCGDNIKSIIFGQHEYVMPVGGEGVVQPDTSSLISGSSGSEATASTAVLKLSSAANLESLSIQSCDHLLEVANLPPSIKILDIYCCGNLQSLSGKLHVVQKLTIQFCGRLKSLESCVGELRSLEKLTLDGCGSLVSLPDGPEAYSSLRYLQFYDCDGIKLLPWSLRSRLDCLEVKRIDSRYEGSRPDLPETTWKHAIRTLACLK >PAN12201 pep chromosome:PHallii_v3.1:2:41479681:41483230:-1 gene:PAHAL_2G249000 transcript:PAN12201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGTAPSAAGAAGGGGPSAPAVSTTPPGTPRASAPPSSGAVGFHYAVELYFDPALENQVLKAWNALARRQLGSRLIDAAARPHLPLLHLPAAALPPPGTGAGGDPLLRLGPSLRALASRLDPLPLALSSLAALPAAASSPNDNVLFLAPTPSAALLGLHAQLCELLRKDAGVEVPDAFRPDHWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMEIALVEVGAAVRELVSYPLGGSGGAGAD >PAN14538 pep chromosome:PHallii_v3.1:2:52718246:52725204:-1 gene:PAHAL_2G418200 transcript:PAN14538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRDSRRGGVSVAGGPPPRRRLRSNGGGSGGGGGGPRDSPRSERRRGERLMLNGGGAGGGRDDADDTSDESLGDDDDDAEEELAPRYQPSVRRSPSTAPPPPSPPQPGGAHHHSSSSSGGGGGGGYHNHHHHGQQQQMQRKGGGSNPKSPIVGKAVDEMIGVPVPRKARSASTKRSSHEWPVPGGGTSGGSAGAGDGSQIQRPSSRPISPASASTTAPPRKKLKPLGGGGSSGGSGPAPKQRPSPSPAPSTTPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPPKQESNHKLELRDAPEAKSGNSSPAPSSSGVRPSDSTSLSTIAPKRKRPRLVKYDEDNRPASPAKPELAESSSRPEAASAARSEGKTSTSAAAESGSSAAPAAAQLETSRDPEKIEDRGRSRDPELRPSESDRRDHRPESRTEPPAAPSGKPDGEATPVGSEARNGEATATTKIELAADGARQEKFCIDLMAPPPGKLSPDRDGSSDPDADKKGLDCEIDMLGRGNSEKKGGERIRRGLDIDLEDQKVQRIPADEFAPKKLTLQLDLEKPSLGDEKSPSERRQPQPPQLQQQKPSKSEVKHEKSAMPAVTPPMPIPVGGWLGSFPPFGYLGPVPGLSAAGLHPMDVKPGSSSGLQHAALLPPPTRSKRCATHCYIAQFIQHQQRVAKMNSFWPPAAAAAAAAAANRSGPFFGARPFNMGVVPPTDAASLLVNPMQGSYPVRAQAPMQEAKAPSMATSPFQGSLSKDKAPGNAAGAESSQRKQPPAHETQQSTPMPNMLQGPAFIFPFNQQHAAAVAAANAANRAGDGKSSGASNMMPPSASAHASAANPGAAAMNLSFANLQPDAQFLAILQNGAYPFQVAAHAGGPPSYRGMGPPGPAVPFFNGHVYSSHMLHPSQQQGAQQQNHQKSPMPSLSSSSQKHQPQQSQGLLGYAPNANAAAAASNSQNYSGGNQRPVLLSGLTHRQDGDKTGQDGPSSDDKSHPQKGGYEHNFAVPVHLPNFAMMPAAQTAGSQSEKKLSEHHHQQQQHPQVSRGQGVRIDLASSQPFVMPFGSIGPPGSAPTGLDFSALAQNHAVFQSHQEAARHGYPQLNFAAAQSVQATQNKPQQHQITGETKSVAGDSSSTPSAGDSERKKSASTKYPGDSQQHSLSFTRTESKSYVPPFLSGSTNENSSRTLSLIGAESPNAFGMGSKSTSSSTPTSTPAAASSTISQQQQQHQHFLQMHQKHQQLIQQQHHLNRPRSAAPSTPNNAGGYPDRLNMANFQNMMYPASATQGGVQSPQLKASSGRGTPSSAATTPPAAPPSNLIVMKNSGLHQQQSKVPMQALSTPGHQSQSSLSMSSSKMGPSLTNLSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPATGSAKGVQQPVQLPSPQQSAKNPASSSSSKSTPTNHFSMAMPSILGQQPNVSPGSNTGSKQQSHMPPSSMKQQPFPQGHFFISNAYTPQAPGAGGPAALGLYQKRPGDKAQQQAPHQQNAMSAAAGNNMKALHPPGGFMHLASAAQSAGGVPHSHMSAAQLTFGAMPIPVKPSSDQKPAAGK >PAN12724 pep chromosome:PHallii_v3.1:2:44567227:44570582:-1 gene:PAHAL_2G286700 transcript:PAN12724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSAVPVSFLHYLPGIFASLAALMFNCVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGLLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSED >PVH63431 pep chromosome:PHallii_v3.1:2:2028640:2029780:1 gene:PAHAL_2G028300 transcript:PVH63431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPFLNWLGMGATIPARIQPGSIDHVPFPTVVPCLGASFFGFTIKPAGKIKPARPDAAPFRIIIYRPFFPPVPSRMKIVVCMFDFGCRCNRIGGSILWQDQSPGQTNPPPRRIVLCPPPDIPIERKSCLVIPRLQHVRSLTPR >PAN10214 pep chromosome:PHallii_v3.1:2:5913540:5916612:1 gene:PAHAL_2G079000 transcript:PAN10214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKVELDHKDMVHDSAIDYYGKRLATASSDTTVKIVSIGAATAPSQVLATLSGHYGPVWRVAWAHPKYGTVLASCGYDGRVIVWKEDARGNWSQVHVFTDHKSSVNSIAWAPYEVGLCLACASSDGRISILTMRADGGWDTSTIERAHPVGATAISWAPATALGSLAGSGELVYKLVSGGFDAVVKVWGFVNGSWKLESALISDMHTDCVRDVAWAPVLGLAKSTIASGSQDGKVIIWTKGKDGDKWEGKLMRDFGSPVWRVSWSLTGNILSIAAGENNITLWKEGSDGQWEEVMKVEP >PVH63442 pep chromosome:PHallii_v3.1:2:2084524:2086179:1 gene:PAHAL_2G029700 transcript:PVH63442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVWALRFSYQSEFSRGRVEPTCAATRLNQLHAYIACTDATLSTIHTLSPPAFHGARPHPAPPPMSAAPAAAAPPRRVVICGGGVVGACTAYFLSTHAVSPTVPTLVERCAPACAASGKAGGFLALDWCDSTPGLSALARASYALHRRLAADLGGVDAYGYRPVHTLSVCVPSVPKPASPHPMLPAWVDPSASAAPPRELGTPDTTAQVHPGLFTKAVLAASGAEVVIGEVERVVVRDGRAAGVAVKGRDGVVDADAVVLALGPWSGRLEVVSEVFDVSGLKAHSILLGPREPENITPHCLFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKEENPPDDPATITGEPNSIAMLHKIAGKVSSQLKKEEGAEVVAEQACYLPCTTDGMPVIGEMPGVKGCYVATGHSCWGILNGPATGAALAELILDGKAKIVDLAPFSPARFLKRSRRGA >PVH64991 pep chromosome:PHallii_v3.1:2:51497757:51500491:-1 gene:PAHAL_2G397100 transcript:PVH64991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLRFLVAVLLSSTTALIPGAADSSHYSCNRTTGNFTSGSAFATSLDILVAALVANASSSSSLFASAAVGASPDTAYGLALCRGDVTDPGICSACLGDAFRRLRDLCVRDRDATFYSDVCTARYSGEDFLSRPGDNSPVINAMDANASAYLVWDARNATSRSLFLSLGANLFGEMAMYGAYNSSAARRFASAVMYINPQLPTVYGLAQCTPDLAPAQCWRCFEGLSDQIGQWLDRREGGRILGVRCSFRYEAYKFFAGTPDVQIGLQGGPSTPRSNGNNKRKVVIVAVVVSITVLFCAMVAGLLLITQRKRAGKAELLARGRAHSRNNSKTEEALKLCRIEVYNFAKLTAATGDFSDENLLGKGGFGPVYKGILADGAEIAVKRLAAHSGQGLEEFTNEIQLIAKLQHTNLVRLIGCCVQDEEKLLVYEYMPNRSLDCFIFGQQQVQLLDWEKRLHIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEDNTSKVVGTYGYMAPEYASEGIFSVKSDVYSFGVLLLEIVSGKRNNGHHHYGEFVNLLGYAWQLWSEGKAFELTDPSLGERGDATGDAIFAEGQIPITLIVWYI >PVH64645 pep chromosome:PHallii_v3.1:2:46870231:46872870:-1 gene:PAHAL_2G322700 transcript:PVH64645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRPRPEAGSTAEGDEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLGAGLAEVLNAVFPVLACRKPANTVLVPTKQTKKKPGANHSHHERLLGVARLLSQMAEPIMKAAIQITFLLTRSFFIDLCTAVLSLLARIRVLVQQMLLDVVSLYNKVTDLTDRKQAVKISIGGVQAFREYYPSMNDACTILDCVWVKDKFVLHEKMKGSCQETQVEDQKSFGPESSIQYETLALISEDTPNFEETNQTAKQAGAAAAEQPDKMNHCSDAGGSQSGRQLENESGACSVPDTLSTRIHSVPHLNLKHETRKRVAFVAVENPKVLGAASETKSSEVNKKQRLDMISQTSVESGL >PAN10405 pep chromosome:PHallii_v3.1:2:6952917:6959464:1 gene:PAHAL_2G092800 transcript:PAN10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRSRGRGRPPAWTSTTEGEADPAMATRSRGREGSSKREKRERVFSFSAPRFIPKHKSIHPSPPPYQRKLPRRIETIFACPSIIPRTAARPHSPVATPQTPRTKQTQTAQTRARQAPTGGEGERGTDRGLGRSEKGEGKSAMGCGPSKEDAEVGAASRCRDRKNLLRAAVQARHALAGAHAGHAAALRNVGAALSDYAAGEADRHDAAVVPRSASAAAALGAQGAAAAAALKALPPPPLDAVLPPPPPPGAGEGEAPAPLQRSMSAPDIPLQPPIRKARSGEAPIMEEEDGEGEGDAAGEDDQPRRRRREEDDAQLPPPPPPPPANVPPPSRSPPPVPAPEAGRGKQAPREGSFLNEYIFGSHDDAMPPPPPPMLDTAAESSWAAERREPAPPREPEKQPLQQQPPEEVAEGKRLAVEPAARRAATQKASRKAEGKKARIAMVTPQPVRLGDILRKLDEHFLKASEAAHEVSKMLEAARMHYHSNFAEKRGFVDHSARVMQVITWNRSFKGIPQPQSVKNELDDDEWETHATVLDKLLAWEKKLCHEVKEFEVIKVTYQRKLAVLNRKKQRGVSSSSIEKTKSIVSHLHTKYIVDSQTMESTIAEINCLRDQQLYPKLLELVKGLWHMWDVMYAQHKLQLRIITELKSSDISVAARQTSEQHHERTVQLWNVVQEWHTQFDKFVTYQKEYVGSLYSWIKLNVIPIDTNLKPNSSQPQETTPPIKRLLHGWHDMLEKLPDDAAKKAIHMFAEVVNTILVQQEDELKLRIKIEETRRDLEKKRRQFDDWAQKNWDRGASIPDDNNPGRADPAAERKAVVDRVETALKDLEDQYKTQCRVVRDKSLNLLRSNLPELFRVVSDFSLQSAGYFKGLWSMAQTNDQLDD >PVH65033 pep chromosome:PHallii_v3.1:2:52091126:52092821:-1 gene:PAHAL_2G407200 transcript:PVH65033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSSHHPDSSSASSTPRAGAGNSNGGNNHLHPPPLPPAPAPTPAAPPAQAHAHGGPQVRLMCSFGGRILPRPGDRQLRYVGGETRIVSFPRAAASFATLVAALAKVAPALFAPGVPRPSLKYQVPQDDLDSLISVSSDDDVDHLMDELDRLHDEAAAAARPLRLRVFLFAPSPDAAFGSILSGTAGDAASTDQWFVDALNAPAPHHIERGRSEASSIISEVPDYLFGLDTASDEPSPGPAASRNKSDAAETPRQHGDEDEASVPARQAPYVAEGASSWPAPPPPYMAQPVYYFPLPPPVHYLDPSAQGGYMPRPVYHMVGGGGSEVPGGDLHAAGGVYGVPHPMQAFPPMMYAPPRAVVYTAEGQPLPPPEDA >PAN12405 pep chromosome:PHallii_v3.1:2:43017974:43019772:-1 gene:PAHAL_2G266200 transcript:PAN12405 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Transcriptional repressor, Pathogen defens [Source: Projected from Oryza sativa (Os09g0417600)] MLLMDSVRRAGCSPVCLDLSVGLSPSPLKMGAGMAAEPGRPDPPAGGCRMASSMADEQAKTLEAKLTQVSEENRRLTEKIVYLYANQIARLSPDSTDSTHASQAASPPPAASRKRSRDSMDEPPNSGDADGSGKAEAEAIESPPSDDFEGSCRRIKVSRVCTRIDPSDTTLTVKDGYQWRKYGQKVTRDNPSPRAYFRCAYAPSCPVKKKVQRSAEDSSVLVATYEGEHNHPCPTRDGELPSCATRGGSVPCSISINSSGPTITLDLTKNGAGGVRVLEAAGAPDLKKLLCQEIASAEFRTALVEQMASSLTRDSKFTEALAAAILKQLPDY >PVH64431 pep chromosome:PHallii_v3.1:2:43017974:43019391:-1 gene:PAHAL_2G266200 transcript:PVH64431 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Transcriptional repressor, Pathogen defens [Source: Projected from Oryza sativa (Os09g0417600)] MLCVTQAKTLEAKLTQVSEENRRLTEKIVYLYANQIARLSPDSTDSTHASQAASPPPAASRKRSRDSMDEPPNSGDADGSGKAEAEAIESPPSDDFEGSCRRIKVSRVCTRIDPSDTTLTVKDGYQWRKYGQKVTRDNPSPRAYFRCAYAPSCPVKKKVQRSAEDSSVLVATYEGEHNHPCPTRDGELPSCATRGGSVPCSISINSSGPTITLDLTKNGAGGVRVLEAAGAPDLKKLLCQEIASAEFRTALVEQMASSLTRDSKFTEALAAAILKQLPDY >PAN14179 pep chromosome:PHallii_v3.1:2:50984459:50986238:-1 gene:PAHAL_2G389200 transcript:PAN14179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHGAAGARPDAPDGEPELLQPDADPRPRPRQRRGPPRRPRRCRLRRLLQHLVDQVLCLDVPRLLGVHLLHLGVGGGGGRRRRARLLHPGSLVVQVYCEFFNLMTEPKTTDHTVSAVNFLPHDHTSCPLYLV >PVH63986 pep chromosome:PHallii_v3.1:2:17897396:17899914:1 gene:PAHAL_2G157200 transcript:PVH63986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQGSVLHRLDDEMFNSSEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGIGADRDVPRNVLEQIIWDKEVEVSQRKAKAPLQKVMESAERAPPPRDFVGALDAARRRNGLPALIAEVKKASPIKGLLREHFNPVEIAHAYEKNGAACLSILTDEKHFLGSFDNLETVRNSGVNCPLLCKEFVIDVWQIYYARSKGADAVLLIAAVLPDLDIKYMLRVCRSLGMTALVEVHDERELDRVLKIDGVQIIGINNRSLGTFEVDTANTDMLLRKRGDVIRKKGIKVVSESGLFTPDDVAYVHNAGVSAVLVGESLVTQDDPGRAIAGLFGKELLH >PAN11627 pep chromosome:PHallii_v3.1:2:17896732:17900072:1 gene:PAHAL_2G157200 transcript:PAN11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSILAAASVARASVPATVLSPLFPSSRPVVLRTDVFGPSPAARAVRCLAAEGSVLHRLDDEMFNSSEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGIGADRDVPRNVLEQIIWDKEVEVSQRKAKAPLQKVMESAERAPPPRDFVGALDAARRRNGLPALIAEVKKASPIKGLLREHFNPVEIAHAYEKNGAACLSILTDEKHFLGSFDNLETVRNSGVNCPLLCKEFVIDVWQIYYARSKGADAVLLIAAVLPDLDIKYMLRVCRSLGMTALVEVHDERELDRVLKIDGVQIIGINNRSLGTFEVDTANTDMLLRKRGDVIRKKGIKVVSESGLFTPDDVAYVHNAGVSAVLVGESLVTQDDPGRAIAGLFGKELLH >PAN11366 pep chromosome:PHallii_v3.1:2:19623353:19625687:-1 gene:PAHAL_2G161100 transcript:PAN11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLRNVCQAGSRLAAARLLEFAAPVATQQMATQSSLAIQYLRPYGFSCPIGSQIIPCGGVPAASGFCARALPVRGFSAVGNAEVASDDEVSSSPAVAHPPRIKFKRLDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGIGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >PAN11367 pep chromosome:PHallii_v3.1:2:19622948:19626223:-1 gene:PAHAL_2G161100 transcript:PAN11367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLRNVCQAGSRLAAARLLEFAAPVATQQMATQSSLAIQYLRPYGFSCPIGSQIIPCGGVPAASGFCARALPVRGFSAVGNAEVASDDEVSSSPAVAHPPRIKFKRLDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGIGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >PVH63625 pep chromosome:PHallii_v3.1:2:5398759:5400698:-1 gene:PAHAL_2G072400 transcript:PVH63625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAARASLLLPSSLAASTSPRARLLALPPRDRRPRGSLASPPAAGRGRLRVRMARTESTGVAVGFRSPQFELPEPLTGKLWTLDDFEGNPGLLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSIRTHPQDGPEHMAEDAKLFKYPFPYLYDESQEVAKAFGAVCTPEFFLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGSFAGI >PVH63627 pep chromosome:PHallii_v3.1:2:5397799:5400837:-1 gene:PAHAL_2G072400 transcript:PVH63627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAARASLLLPSSLAASTSPRARLLALPPRDRRPRGSLASPPAAGRGRLRVRMARTESTGVAVGFRSPQFELPEPLTGKLWTLDDFEGNPGLLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSIRTHPQDGPEHMAEDAKLFKYPFPYLYDESQEVAKAFGAVCTPEFFLFKKQDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVQKPSVGCSIKWHP >PVH63628 pep chromosome:PHallii_v3.1:2:5398569:5400698:-1 gene:PAHAL_2G072400 transcript:PVH63628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAARASLLLPSSLAASTSPRARLLALPPRDRRPRGSLASPPAAGRGRLRVRMARTESTGVAVGFRSPQFELPEPLTGKLWTLDDFEGNPGLLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSIRTHPQDGPEHMAEDAKLFKYPFPYLYDESQEVAKAFGAVCTPEFFLFKKQDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVQKPSVGCSIKWHP >PVH63626 pep chromosome:PHallii_v3.1:2:5398759:5400698:-1 gene:PAHAL_2G072400 transcript:PVH63626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAARASLLLPSSLAASTSPRARLLALPPRDRRPRGSLASPPAAGRGRLRVRMARTESTGVAVGFRSPQFELPEPLTGKLWTLDDFEGNPGLLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSIRTHPQDGPEHMAEDAKLFKYPFPYLYDESQEVAKAFGAVCTPEFFLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGSFAGI >PAN10113 pep chromosome:PHallii_v3.1:2:5397724:5400837:-1 gene:PAHAL_2G072400 transcript:PAN10113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAARASLLLPSSLAASTSPRARLLALPPRDRRPRGSLASPPAAGRGRLRVRMARTESTGVAVGFRSPQFELPEPLTGKLWTLDDFEGNPGLLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSIRTHPQDGPEHMAEDAKLFKYPFPYLYDESQEVAKAFGAVCTPEFFLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVQKPSVGCSIKWHP >PAN10114 pep chromosome:PHallii_v3.1:2:5397724:5400837:-1 gene:PAHAL_2G072400 transcript:PAN10114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAARASLLLPSSLAASTSPRARLLALPPRDRRPRGSLASPPAAGRGRLRVRMARTESTGVAVGFRSPQFELPEPLTGKLWTLDDFEGNPGLLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSIRTHPQDGPEHMAEDAKLFKYPFPYLYDESQEVAKAFGAVCTPEFFLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVQKPSVGCSIKWHP >PVH64584 pep chromosome:PHallii_v3.1:2:45904030:45906654:1 gene:PAHAL_2G306800 transcript:PVH64584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MPGRDVVSWTSLIAGYAQNDMPAEAVALLPGMLEAGSKPNGFTFASLLKAAGACGERGVGEQIHALALKCSWDENVYVGSALLDMYARCGQMDMAVAVFDRLDSRNAVSWNALIAGFARKGEGENTLMTFAGMQRNAFEATHFTYSSVFSALAGIGALEQGKWVHAHMIKSGEKLTAFVGNTMLDMYAKSGSIIDARKVFDRVDQKDLVTWNTMLTAFAQYGLGKEAVAHFEEMRKCGIQLNHITFLSVLTACSHGGLVKEGKHYFNMMKDYNVEPEIDHYVSFVDLLGRAGLLNEALVFVFKMPMKPTAAVWGALLGACRMHKNAKIGQFAADHVFELDPDDTGPPVLLYNIYASTGKWDDAARVRKMMKATGVKKEPACSWVEIENSVHMFVADDDTHPNAAEIYRMWEEINMRIRKAGYIPNTEYVLLHINEQERATKLQYHSEKIALAFALINMPAGATIRIMKNIRICGDCHSAFNYVSKVFKREIIVRDTNRFHHFSNGSCSCGDYW >PAN13277 pep chromosome:PHallii_v3.1:2:47032022:47034247:-1 gene:PAHAL_2G325200 transcript:PAN13277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 55 precursor (EC 1.11.1.7) (Atperox P55) (ATP20a) [Source: Projected from Oryza sativa (Os09g0507500)] MQKAMERRRRCGNSLAVVALALVAAMLPSGEAKLSPDYYRSTCPDVEATVRAVVAKKVKETFVTVPATLRLFFHDCFVEGCDASVIIVSRDNDAEKDAPDNVSLAGDGFDTVVRAKAEVEKECPGVVSCADILAIAARDVVAMSSGPHWDVELGRLDGLVSKAGSVAGRLPGPDMRVGDLAALFSRHNLTTLDMVALSGAHTVGFSHCTRFTGRLYRHGAVGDGDGARTVDPSYDPAYARQLMEACPPDVGATIAVDMDPVTPTAFDNAYYGSLARGMGLFTSDQALYSDGASRPAVRDFAENQTRFFEAFKDAMVKLGRVGVKTGRDGEIRRDCTAFNK >PAN13979 pep chromosome:PHallii_v3.1:2:50148130:50149302:1 gene:PAHAL_2G376300 transcript:PAN13979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPAIEEGWSVIMEDDEGEEYTQTNTRTIDGGLGRKLEITYLVMPNAIETHVEVRLNLKDLGSRSRAVYGSIKASAIDHGEQKRPSLQLLPREELVLSLWLHVHSSTESHM >PVH64887 pep chromosome:PHallii_v3.1:2:50146897:50149302:1 gene:PAHAL_2G376300 transcript:PVH64887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPAIEEGWSVIMEDDEGEEYTQTNTRTIDGGLGRKLEITYLVMPNAIETHVEVRLNLKDLGSRSRAVYGSIKASAIDHGEQKRPSLQLLPREELVLSLWLHVHSSTESHM >PAN14544 pep chromosome:PHallii_v3.1:2:52736545:52741679:-1 gene:PAHAL_2G418400 transcript:PAN14544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEREIEEPGAARRGMGPAIVAGEDRGLSELRRKHSADLKRLTMTSQPFKTSAFFLLAIAQSSGRTWSSVLKKGSWLKIVVLSIVATWGLLLFTDGPHEKHVQELLWYVRFGLWWIILGVASSIGFGSGLHTFVLYLAPHVALFTIKAVQCGRVDLKSAPYDTILLKRMPSWLDKDCLEFGPPIYQETIPFSKILQKVYLEAVLWGIGTALGELPPYFLSRAGCTIDELQDFNAPVTEGFPSLTLHRAKQWILSHFSFSIILILASVPNPLFDFAGMLCGQFGVPFWKFFLATLIGKAIIKVSIQ >PAN14541 pep chromosome:PHallii_v3.1:2:52737034:52741254:-1 gene:PAHAL_2G418400 transcript:PAN14541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEREIEEPGAARRGMGPAIVAGEDRGLSELRRKHSADLKRLTMTSQPFKTSAFFLLAIAQSSGRTWSSVLKKGSWLKIVVLSIVATWGLLLFTDGPHEKHVQELLWYVRFGLWWIILGVASSIGFGSGLHTFVLYLAPHVALFTIKAVQCGRVDLKSAPYDTILLKRMPSWLDKDCLEFGPPIYQETIPFSKILQKVYLEAVLWGIGTALGELPPYFLSRAATMSGCTIDELQDFNAPVTEGFPSLTLHRAKQWILSHFSFSIILILASVPNPLFDFAGMLCGQFGVPFWKFFLATLIGKAIIKVSIQITSVITLCNNQLLDLVEKWVIWAFGFVPGVASVLPSLVAKLKTAKDKFLSAHVAASASIAVKGKWNLSFTLIWNTVMWLMAINFIIQIVTSTAQGYLRTQQALEISKKLLEIELSASEPSSG >PAN14543 pep chromosome:PHallii_v3.1:2:52737034:52741254:-1 gene:PAHAL_2G418400 transcript:PAN14543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEREIEEPGAARRGMGPAIVAGEDRGLSELRRKHSADLKRLTMTSQPFKTSAFFLLAIAQSSGRTWSSVLKKGSWLKIVVLSIVATWGLLLFTDGPHEKHVQELLWYVRFGLWWIILGVASSIGFGSGLHTFVLYLAPHVALFTIKAVQCGRVDLKSAPYDTILLKRMPSWLDKDCLEFGPPIYQETIPFSKILQKVYLEAVLWGIGTALGELPPYFLSRAGCTIDELQDFNAPVTEGFPSLTLHRAKQWILSHFSFSIILILASVPNPLFDFAGMLCGQFGVPFWKFFLATLIGKAIIKVSIQITSVITLCNNQLLDLVEKWVIWAFGFVPGVASVLPSLVAKLKTAKDKFLSAHVAASASIAVKGKWNLSFTLIWNTVMWLMAINFIIQIVTSTAQGYLRTQQALEISKKLLEIELSASEPSSG >PAN14542 pep chromosome:PHallii_v3.1:2:52736545:52741679:-1 gene:PAHAL_2G418400 transcript:PAN14542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEREIEEPGAARRGMGPAIVAGEDRGLSELRRKHSADLKRLTMTSQPFKTSAFFLLAIAQSSGRTWSSVLKKGSWLKIVVLSIVATWGLLLFTDGPHEKHVQELLWYVRFGLWWIILGVASSIGFGSGLHTFVLYLAPHVALFTIKAVQCGRVDLKSAPYDTILLKRMPSWLDKDCLEFGPPIYQETIPFSKILQKVYLEAVLWGIGTALGELPPYFLSRAATMSGCTIDELQDFNAPVTEGFPSLTLHRAKQWILSHFSFSIILILASVPNPLFDFAGMLCGQFGVPFWKFFLATLIGKAIIKVSIQ >PAN09617 pep chromosome:PHallii_v3.1:2:2664807:2667762:-1 gene:PAHAL_2G037500 transcript:PAN09617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDPRFELLDLDFGWSGSLFLLSIFDLGDQQLDSCSGVSIWQGGSSILVFSLQLRRAKVTAAGQV >PAN12946 pep chromosome:PHallii_v3.1:2:45697448:45698905:1 gene:PAHAL_2G302900 transcript:PAN12946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRLADALLLLALAAVTTTAAAAAAAAVAKAPAAPPPPPPNVTAEMAKGGCKAFADLIAASPDAASTYQSAVEGGMTVFCPSNDAVKAFLPRYKNLTADEKAELLLFHAVPVHYSLGSLKSNNGPMNTLATDGAAKNYNFTLQNQGDVVTIKTAASAGAPARVKSTALDKDPLAIYVIDAVVQPVELFKPAPAPTPAPAPAPAADAPKAAGKAARHPAPAVADAPGPDADDSAPVDQKKDAKKSAAAGRAPCVRWWLAAALAAVAAASALA >PVH64121 pep chromosome:PHallii_v3.1:2:30822403:30831849:-1 gene:PAHAL_2G194200 transcript:PVH64121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWLNIRPKLNDFSEDEFDTGSDDNDGSDFGDDSFFEIHGNKYLISKSPGEKAIPPVQRLQRRKSESLRVNYISNKDVRVMTGTWNVAGRAPGDDLDIDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDDRPIRKWEALIRQTLNRSQQPKTIYKSYSAPVSPLLSPVASGDGHEYMKSKLEDEVIGSLTHFRDWQTSTTELRCNWLDGTSPLDWPEYPLDTPSKVLVSGTGMRRVTSWGLFSTNFVEHPQGLELKDVDLQAGIRRQYHSSGNLSMLWSEQQEKLDVLNSLDRISDLMSEEDSPSVSTVEECATPGKRGSSKHGANYVRIVSKQMVGIYVSVWVSRKLRRHVNNLEVSPVGVGLLGYMGNKGSISISMSLFQTRLCFVCSHLASGHKSGDQQKRNADVYEILQRTRFSSLCAAGHPQKIPSHDRIFWFGDLNYRIDLPDAEVRHLVAMRRWDDLLKSDQLTKELTSGSTFVGWKEGSINFPPTYKYERNSSRYVGEIHNEAEKKRSPAWCDRILWLGKGTKQLSYWSSGLCLSDHRPVSAIFLVEVEVFNQQKLERVLNFNPGIDSKGGNIT >PVH64119 pep chromosome:PHallii_v3.1:2:30822087:30832323:-1 gene:PAHAL_2G194200 transcript:PVH64119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTGTWNVAGRAPGDDLDIDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDDRPIRKWEALIRQTLNRSQQPKTIYKSYSAPVSPLLSPVASGDGHEYMKSKLEDEVIGSLTHFRDWQTSTTELRCNWLDGTSPLDWPEYPLDTPSKVLVSGTGMRRVTSWGLFSTNFVEHPQGLELKDVDLQAGIRRQYHSSGNLSMLWSEQQEKLDVLNSLDRISDLMSEEDSPSVSTVEECATPGKRGSSKHGANYVRIVSKQMVGIYVSVWVSRKLRRHVNNLEVSPVGVGLLGYMGNKGSISISMSLFQTRLCFVCSHLASGHKSGDQQKRNADVYEILQRTRFSSLCAAGHPQKIPSHDRIFWFGDLNYRIDLPDAEVRHLVAMRRWDDLLKSDQLTKELTSGSTFVGWKEGSINFPPTYKYERNSSRYVGEIHNEAEKKRSPAWCDRILWLGKGTKQLSYWSSGLCLSDHRPVSAIFLVEVEVFNQQKLERVLNFNPGIDSKGGNIT >PAN11251 pep chromosome:PHallii_v3.1:2:30822403:30832015:-1 gene:PAHAL_2G194200 transcript:PAN11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANRGRYSESFWPSIVMKKWLNIRPKLNDFSEDEFDTGSDDNDGSDFGDDSFFEIHGNKYLISKSPGEKAIPPVQRLQRRKSESLRVNYISNKDVRVMTGTWNVAGRAPGDDLDIDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDDRPIRKWEALIRQTLNRSQQPKTIYKSYSAPVSPLLSPVASGDGHEYMKSKLEDEVIGSLTHFRDWQTSTTELRCNWLDGTSPLDWPEYPLDTPSKVLVSGTGMRRVTSWGLFSTNFVEHPQGLELKDVDLQAGIRRQYHSSGNLSMLWSEQQEKLDVLNSLDRISDLMSEEDSPSVSTVEECATPGKRGSSKHGANYVRIVSKQMVGIYVSVWVSRKLRRHVNNLEVSPVGVGLLGYMGNKGSISISMSLFQTRLCFVCSHLASGHKSGDQQKRNADVYEILQRTRFSSLCAAGHPQKIPSHDRIFWFGDLNYRIDLPDAEVRHLVAMRRWDDLLKSDQLTKELTSGSTFVGWKEGSINFPPTYKYERNSSRYVGEIHNEAEKKRSPAWCDRILWLGKGTKQLSYWSSGLCLSDHRPVSAIFLVEVEVFNQQKLERVLNFNPGIDSKGGNIT >PAN11250 pep chromosome:PHallii_v3.1:2:30822087:30832323:-1 gene:PAHAL_2G194200 transcript:PAN11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTGTWNVAGRAPGDDLDIDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDDRPIRKWEALIRQTLNRSQQPKTIYKSYSAPVSPLLSPVASGDGHEYMKSKLEDEVIGSLTHFRDWQTSTTELRCNWLDGTSPLDWPEYPLDTPSKVLVSGTGMRRVTSWGLFSTNFVEHPQGLELKDVDLQAGIRRQYHSSGNLSMLWSEQQEKLDVLNSLDRISDLMSEEDSPSVSTVEECATPGKRGSSKHGANYVRIVSKQMVGIYVSVWVSRKLRRHVNNLEVSPVGVGLLGYMGNKGSISISMSLFQTRLCFVCSHLASGHKSGDQQKRNADVYEILQRTRFSSLCAAGHPQKIPSHDRIFWFGDLNYRIDLPDAEVRHLVAMRRWDDLLKSDQLTKELTSGSTFVGWKEGSINFPPTYKYERNSSRYVGEIHNEAEKKRSPAWCDRILWLGKGTKQLSYWSSGLCLSDHRPVSAIFLVEVEVFNQQKLERVLNFNPGIDSKGGNIT >PVH64120 pep chromosome:PHallii_v3.1:2:30822087:30832323:-1 gene:PAHAL_2G194200 transcript:PVH64120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANRGRYSESFWPSIVMKKWLNIRPKLNDFSEDEFDTGSDDNDGSDFGDDSFFEIHGNKYLISKSPGEKAIPPVQRLQRRKSESLRVNYISNKDVRVMTGTWNVAGRAPGDDLDIDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDDRPIRKWEALIRQTLNRSQQPKTIYKSYSAPVSPLLSPVASGDGHEYMKSKLEDEVIGSLTHFRDWQTSTTELRCNWLDGTSPLDWPEYPLDTPSKVLVSGTGMRRVTSWGLFSTNFVEHPQGLELKDVDLQAGIRRQYHSSGNLSMLWSEQQEKLDVLNSLDRISDLMSEEDSPSVSTVEECATPGKRGSSKHGANYVRIVSKQMVGIYVSVWVSRKLRRHVNNLEVSPVGVGLLGYMGNKGSISISMSLFQTRLCFVCSHLASGHKSGDQQKRNADVYEILQRTRFSSLCAAGHPQKIPSHDRIFWFGDLNYRIDLPDAEVRHLVAMRRWDDLLKSDQLTKELTSGSTFVGWKEGSINFPPTYKYERNSSRYVGEIHNEAEKKRSPA >PVH64122 pep chromosome:PHallii_v3.1:2:30822087:30832342:-1 gene:PAHAL_2G194200 transcript:PVH64122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTGTWNVAGRAPGDDLDIDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDDRPIRKWEALIRQTLNRSQQPKTIYKSYSAPVSPLLSPVASGDGHEYMKSKLEDEVIGSLTHFRDWQTSTTELRCNWLDGTSPLDWPEYPLDTPSKVLVSGTGMRRVTSWGLFSTNFVEHPQGLELKDVDLQAGIRRQYHSSGNLSMLWSEQQEKLDVLNSLDRISDLMSEEDSPSVSTVEECATPGKRGSSKHGANYVRIVSKQMVGIYVSVWVSRKLRRHVNNLEVSPVGVGLLGYMGNKGSISISMSLFQTRLCFVCSHLASGHKSGDQQKRNADVYEILQRTRFSSLCAAGHPQKIPSHDRIFWFGDLNYRIDLPDAEVRHLVAMRRWDDLLKSDQLTKELTSGSTFVGWKEGSINFPPTYKYERNSSRYVGEIHNEAEKKRSPAWCDRILWLGKGTKQLSYWSSGLCLSDHRPVSAIFLVEVEVFNQQKLERVLNFNPGIDSKGGNIT >PVH64828 pep chromosome:PHallii_v3.1:2:49431072:49431949:-1 gene:PAHAL_2G364400 transcript:PVH64828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCRSEKARIRKGTVVTLGNGEKASFWQSTWLNEQAPMDRFPDLFKWAWRKNKTVKEELQNQNWTRGLWRMQTSEEMASFVELWDLVQNVQLTDEQDRNTWRWTTDGIYTAKSAYNAQFHGSFNTFGEEDIWRAEAEGNHKFFAWLLIQCRQVTG >PVH64659 pep chromosome:PHallii_v3.1:2:47119713:47124655:1 gene:PAHAL_2G326700 transcript:PVH64659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELFEFMLNAQKERGRSKGAKGDTGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYFVEDENDDDYNDSHDGELSFDYGENKEAEESVNASRSHTEQDFLNRTKEEYSSIPRERQRIVSQSAPLFPEKKLETEEKIKDLRRSATRKLNTYVLPTPNDVRATSQMVPGNPTSGPLDSRGAFPSPPHPSAEMGDLRDNKLPSPARLSNAQSVLKESNTNTAETRKILPLGDMALPGYYDLKTSDNKKVKRGSFSGPIASRPRSTENIDVLSAAPRHSSAHQPIHVRVSPSNSPPPISSPKIKELHELPRPPVNTSKHTAFSSLVAHSAPLVPNSASLVPKVQDHFRARQTPPSTASPLPTPPTPPGPIARSFSIPSRGTRTSGISDSKETEEHQDKGAARMSLSSLPSAQTFLEDHQPLSAAAESVSKT >PAN13295 pep chromosome:PHallii_v3.1:2:47119218:47125563:1 gene:PAHAL_2G326700 transcript:PAN13295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLRKLRGFALQRHEQRVDRDRGRGHSTAAVAAADELLLAAQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELFEFMLNAQKERGRSKGAKGDTGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYFVEDENDDDYNDSHDGELSFDYGENKEAEESVNASRSHTEDFLNRTKEEYSSIPRERQRIVSQSAPLFPEKKLETEEKIKDLRRSATRKLNTYVLPTPNDVRATSQMVPGNPTSGPLDSRGAFPSPPHPSAEMGDLRDNKLPSPARLSNAQSVLKESNTNTAETRKILPLGDMALPGYYDLKTSDNKKVKRGSFSGPIASRPRSTENIDVLSAAPRHSSAHQPIHVRVSPSNSPPPISSPKIKELHELPRPPVNTSKHTAFSSLVAHSAPLVPNSASLVPKVQDHFRARQTPPSTASPLPTPPTPPGPIARSFSIPSRGTRTSGISDSKETEEHQDKGAARMSLSSLPSAQTFLEDHQPLSAAAESVSKT >PVH64660 pep chromosome:PHallii_v3.1:2:47119218:47125563:1 gene:PAHAL_2G326700 transcript:PVH64660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLRKLRGFALQRHEQRVDRDRGRGHSTAAVAAADELLLAAQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELFEFMLNAQKERGRSKGAKGDTGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYFVEDENDDDYNDSHDGELSFDYGENKEAEESVNASRSHTEQDFLNRTKEEYSSIPRERQRIVSQSAPLFPEKKLETEEKIKDLRRSATRKLNTYVLPTPNDVRATSQMVPGNPTSGPLDSRGAFPSPPHPSAEMGDLRDNKLPSPARLSNAQSVLKESNTNTAETRKILPLGDMALPGYYDLKTSDNKKVKRGSFSGPIASRPRSTENIDVLSAAPRHSSAHQPIHVRVSPSNSPPPISSPKIKELHELPRPPVNTSKHTAFSSLVAHSAPLVPNSASLVPKVQDHFRARQTPPSTASPLPTPPTPPGPIARSFSIPSRGTRTSGISDSKETEEHQDKGAARMSLSSLPSAQTFLEDHQPLSAAAESVSKT >PVH64658 pep chromosome:PHallii_v3.1:2:47119713:47124655:1 gene:PAHAL_2G326700 transcript:PVH64658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELFEFMLNAQKERGRSKGAKGDTGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYFVEDENDDDYNDSHDGELSFDYGENKEAEESVNASRSHTEDFLNRTKEEYSSIPRERQRIVSQSAPLFPEKKLETEEKIKDLRRSATRKLNTYVLPTPNDVRATSQMVPGNPTSGPLDSRGAFPSPPHPSAEMGDLRDNKLPSPARLSNAQSVLKESNTNTAETRKILPLGDMALPGYYDLKTSDNKKVKRGSFSGPIASRPRSTENIDVLSAAPRHSSAHQPIHVRVSPSNSPPPISSPKIKELHELPRPPVNTSKHTAFSSLVAHSAPLVPNSASLVPKVQDHFRARQTPPSTASPLPTPPTPPGPIARSFSIPSRGTRTSGISDSKETEEHQDKGAARMSLSSLPSAQTFLEDHQPLSAAAESVSKT >PVH65036 pep chromosome:PHallii_v3.1:2:52107738:52108058:-1 gene:PAHAL_2G407600 transcript:PVH65036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDEFNKFVMDESIGSSSSDDERKAVFSGAVQIIVEDTLNHSDRIGSIESYDVVDHERLLHHGLLYKDYFSDKPTFRPRIFRRG >PAN11033 pep chromosome:PHallii_v3.1:2:12289084:12301697:-1 gene:PAHAL_2G135100 transcript:PAN11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR10 [Source:Projected from Arabidopsis thaliana (AT2G36840) UniProtKB/Swiss-Prot;Acc:Q9SJM1] MGVPSDEVVQIRHAAAAGDPAVVTVSCPDKTGLGCDLCRVVLLFGLSVVKGDMSTDGRWCYIVLWVLPRRGRPGPVPWGLLKDRLLQLCPVAAPFGFDTADLAAAGLQDAPPPAPRLFLLKLYCFDRMGLLHDVTRVLCELEFTIRRVKVSTTPDGTVLDLFFITDARELLHTKSRREEAYDKLESVLGDSLASREIDPATEDMLTCLQACPSLTPAVMEQMFNTDLIEEQSITTRGDNAISVTTDNSLSSVHTLIQIQCGDHKGLLYDIMRTVKDCNIQISYGRFYATQNGRCDVDLFVVQSDGKKILDQQRQRSLCCRLRMELLRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKNLQKRIFLAEIGRHVVEDREWEVYRVHFGEEHDLSAALQSKIVGGVTSMLMGWD >PVH63916 pep chromosome:PHallii_v3.1:2:12298639:12301697:-1 gene:PAHAL_2G135100 transcript:PVH63916 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR10 [Source:Projected from Arabidopsis thaliana (AT2G36840) UniProtKB/Swiss-Prot;Acc:Q9SJM1] MGVPSDEVVQIRHAAAAGDPAVVTVSCPDKTGLGCDLCRVVLLFGLSVVKGDMSTDGRWCYIVLWVLPRRGRPGPVPWGLLKDRLLQLCPVAAPFGFDTADLAAAGLQDAPPPAPRLFLLKLYCFDRMGLLHDVTRVLCELEFTIRRVKVSTTPDGTVLDLFFITDARELLHTKSRREEAYDKLESVLGDSLASREIDPATEDMLTCLQACPSLTPAVMEQMFNTDLIEEQSITTRGDNAISVTTDNSLSSVHTLIQIQCGDHKGLLYDIMRTVKDCNIQISYGRFYATQNGRCDVDLFVVQSDGKKILDQQRQRSLCCRLRMELLRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKNLQKRIFLAEIGRHVVEDREWEVYRVHFGEEHDLSAALQSKIVGGVTSMLMGWD >PAN14336 pep chromosome:PHallii_v3.1:2:51771266:51775684:1 gene:PAHAL_2G401600 transcript:PAN14336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVVLEVDVNGEELFVVDKDILARLCGRIRRLVVPGAASAKRPPRVALHGFPGGAEAFELVARFCYGGGGCSGAVTAANACVLRCAAEFLDMAADAPEAASTAAPSLVSMAEKALEEMPHWPWHTVMDAVKQCQRLLPLADTTGAFDTAVAALVSHMAVPPPAGDATPTSSSPESSAFRFSCDTKSSSLSLRGSCISRTWWFEDLVSLRPGTVERVAAALVARGTDHRIVARFLFYYLKCRIAGASAEDKKAMLEASVTVMSGLDRSAVSCKGLFGILRIAAPLKLTDACQQRLIAMIGRKLDHATLDNLLVPAPAGTGSLYDVSLVLRFLEAFLRGGAPDEPARLKKVGRLIDLYLAEVAPDPSLRPAKFLELATALPAPARDCHDALYRAIDVYFQVHGRLTEEEKMKICRGLSYEKLSPECCKHLARNGGFPTRAAVQALASQHTVLKSLVLRDPAELKPVAPSPYPSTGKRRETCYDEDGRGGAENDGQVILYAGRLDLTLENQNLRSLLDGMHWRVMELEKVCSRMKTQMTKMKARRAGSRTARSLPRMCS >PAN14335 pep chromosome:PHallii_v3.1:2:51771266:51775679:1 gene:PAHAL_2G401600 transcript:PAN14335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAPEAASTAAPSLVSMAEKALEEMPHWPWHTVMDAVKQCQRLLPLADTTGAFDTAVAALVSHMAVPPPAGDATPTSSSPESSAFRFSCDTKSSSLSLRGSCISRTWWFEDLVSLRPGTVERVAAALVARGTDHRIVARFLFYYLKCRIAGASAEDKKAMLEASVTVMSGLDRSAVSCKGLFGILRIAAPLKLTDACQQRLIAMIGRKLDHATLDNLLVPAPAGTGSLYDVSLVLRFLEAFLRGGAPDEPARLKKVGRLIDLYLAEVAPDPSLRPAKFLELATALPAPARDCHDALYRAIDVYFQVHGRLTEEEKMKICRGLSYEKLSPECCKHLARNGGFPTRAAVQALASQHTVLKSLVLRDPAELKPVAPSPYPSTGKRRETCYDEDGRGGAENDGQVILYAGRLDLTLENQNLRSLLDGMHWRVMELEKVCSRMKTQMTKMKARRAGSRTARSLPRMCS >PAN14060 pep chromosome:PHallii_v3.1:2:50496506:50498903:1 gene:PAHAL_2G381600 transcript:PAN14060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLLGLLKVRVVRGVHLAICDPLTHSSDPYVVLRLGQQKVKSSIKYHTINPEWNEELTLSITNMMHPVKIELFDHDTFTKDDSMGDAEFCILDFVEIAKRDLSDVPDGTVMKTIHPEKANCFAAESHITWKDGKVSQDIVLRLRNTETGELVLHLHWVNIPGVAR >PAN11987 pep chromosome:PHallii_v3.1:2:39678830:39685572:1 gene:PAHAL_2G233400 transcript:PAN11987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRGIVLSLRSGSDGLVVLCDCFVRFVLHLLVCSSWCSSVPVAGLLLVDWVVPVRPPTTEPGECMDRSGGSTDKWHAYSSALLMGFEDLFVVSGSGREFHPGFFFITGDGEGSACGSEVGGGEDRWCSFKRVEGPWAGAVLLAAAVAEDNMSPSHPLPIRMQWRSSWWRHSYSHQLHQYGAYMVFNEMPKL >PAN11982 pep chromosome:PHallii_v3.1:2:39678829:39685573:1 gene:PAHAL_2G233400 transcript:PAN11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRGIVLSLRSGSDGLVVLCDCFVRFVLHLLVCSSWCSSVPVAGLLLVDWVVPVRPPTTEPGECMDRSGGSTDKWHAYSSALLMGFEDLFVVSGSGREFHPGFFFITGDGEGSACGSEVGGGEDRWCSFKRVEGPWAGAVLLAAAVAEDNMSPSHPLPIRMQWRSSWWRHSYSHQLHQYGAYMVFNEMPKL >PAN11986 pep chromosome:PHallii_v3.1:2:39678830:39685572:1 gene:PAHAL_2G233400 transcript:PAN11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRGIVLSLRSGSDGLVVLCDCFVRFVLHLLVCSSWCSSVPVAGLLLVDWVVPVRPPTTEPGECMDRSGGSTDKWHAYSSALLMGFEDLFVVSGSGREFHPGFFFITGDGEGSACGSEVGGGEDRWCSFKRVEGPWAGAVLLAAAVAEDNMSPSHPLPIRMQWRSSWWRHSYSHQLHQYGAYMVFNEMPKL >PAN12649 pep chromosome:PHallii_v3.1:2:44176132:44179395:1 gene:PAHAL_2G281000 transcript:PAN12649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNAWSVLLILICLWVCPARISGFSWNILSSSSGSAAVANQRAPMMELEDAVADFDMDDANDPRGLKLLENARNKLAGPRNCWQEAYRKLFASCGEIMADKERQSRLAWHLSSCFQEDSGRPPFPSCADGSKMVHCRKQLSESEGKVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAKEKLEVIEERSDQIIKESCKVHDTLSSIEIQTDHLAETSKNVREQINDTLAHSKAVFEQSKEIAAAQVALKEGQTEMREKIDAGMARVEESYESLGNGMDKLKQETGYIHREIKSVGDSISSKMEGLQSKADDIGSVVGKSLENQKKLIDGQSRAMEGLNNLHNFQAQALEESRETIQKLAQFGQRQQEELLARQEQIRQAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFGLCITLVLEIGLIKVGADDIDKQFWVMSKVFLIRMVFLGVATVQILHSIFTYRDYEALNHRLLQTLVEKVRALEETAGGRALPYETEESEGSMRDYSWVFDELADEVDSKMDPSYAMPPERAPRRCNEVVLPEGFGENSITTSVGRRYNLRPRK >PVH63354 pep chromosome:PHallii_v3.1:2:723893:727677:1 gene:PAHAL_2G011200 transcript:PVH63354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGEETAAKRANPSPAGEDRLSALPDDVLVLILLRLRTPEAVWTSVLSRRWRSVWGLLSELRFSYPEPHGLRDALAASAVPLRCLLVGGLRGAPAESIATWLPAAARRLSGDLILLDKGPERYASGGGGGGESEERGALELPCFEKATSISLDLGCLGLSAPPAGVFARLTELSLSGVRFHGPYELGGAVSSPRCPCLQKLTLQDVQGLDNLAISSDSLKLVALKHLRGLWKLAVVAPLVKELSVVRCFFCDRTRQPVANISVPQLELLTWVDAYDPSSMHLGKMENLKWLSTIFLVYGREGLAQNRACLMFVSRVKVIKHLTLILAYLQTQAECPQGCICGQPSNWKTEELKLNCLCEVEIRQFRGSDHELVFLKRLFTSATGLKRIAVAFNDSVAESTTKELCKMLRTFSRPEICMDFYVYQNKVKVLYASED >PVH63355 pep chromosome:PHallii_v3.1:2:723893:727677:1 gene:PAHAL_2G011200 transcript:PVH63355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGEETAAKRANPSPAGEDRLSALPDDVLVLILLRLRTPEAVWTSVLSRRWRSVWGLLSELRFSYPEPHGLRDALAASAVPLRCLLVGGLRGAPAESIATWLPAAARRLSGDLILLDKGPERYASGGGGGGESEERGALELPCFEKATSISLDLGCLGLSAPPAGVFARLTELSLSGVRFHGPYELGGAVSSPRCPCLQKLTLQDVQGLDNLAISSDSLKLVALKHLRGLWKLAVVAPLVKELSVVRCFFCDRTRQPVANISVPQLELLTWVDAYDPSSMHLGKMENLKWLSTIFLVYGREGLAQNRACLMFVSRVKVIKHLTLILAYLQINSYQYLMEDMTILPDTMSLHLILYAGRHSFGACSFHILRMCPGIKRLIMEFCDYFIGKTQAECPQGCICGQPSNWKTEELKLNCLCEVEIRQFRGSDHELVFLKRLFTSATGLKRIAVAFNDSVAESTTKELCKMLRTFSRPEICMDFYVYQNKVKVLYASED >PAN09232 pep chromosome:PHallii_v3.1:2:723893:727677:1 gene:PAHAL_2G011200 transcript:PAN09232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGEETAAKRANPSPAGEDRLSALPDDVLVLILLRLRTPEAVWTSVLSRRWRSVWGLLSELRFSYPEPHGLRDALAASAVPLRCLLVGGLRGAPAESIATWLPAAARRLSGDLILLDKGPERYASGGGGGGESEERGALELPCFEKATSISLDLGCLGLSAPPAGVFARLTELSLSGVRFHGPYELGGAVSSPRCPCLQKLTLQDVQGLDNLAISSDSLKLVALKHLRGLWKLAVVAPLVKELSVVRCFFCDRTRQPVANISVPQLELLTWVDAYDPSSMHLGKMENLKWLSTIFLVYGREGLAQNRACLMFVSRVKVIKHLTLILAYLQKINSYQYLMEDMTILPDTMSLHLILYAGRHSFGACSFHILRMCPGIKRLIMEFCDYFIGKTQAECPQGCICGQPSNWKTEELKLNCLCEVEIRQFRGSDHELVFLKRLFTSATGLKRIAVAFNDSVAESTTKELCKMLRTFSRPEICMDFYVYQNKVKVLYASED >PAN10928 pep chromosome:PHallii_v3.1:2:11060656:11064072:1 gene:PAHAL_2G125700 transcript:PAN10928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVSLLTENGNTKDDLKLPNDENLQAQVSALPQAGQSTTGVLCCQQVRPCGVRWPASRSSARRTHEGRATLQRLK >PAN10930 pep chromosome:PHallii_v3.1:2:11060656:11064072:1 gene:PAHAL_2G125700 transcript:PAN10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVSLLTENGNTKDDLKLPNDENLQAQVSALPQAGQSTTGVLCCQQVRPCGVRWPASRSSARRTHEGRATLQRLK >PAN10927 pep chromosome:PHallii_v3.1:2:11060656:11064072:1 gene:PAHAL_2G125700 transcript:PAN10927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVSLLTENGNTKDDLKLPNDENLQAQVSALPQAGQSTTGVLCCQQVRPCGVRWPASRSSARRTHEGRATLQRLK >PAN10929 pep chromosome:PHallii_v3.1:2:11060656:11064072:1 gene:PAHAL_2G125700 transcript:PAN10929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVSLLTENGNTKDDLKLPNDENLQAQVSALPQAGQSTTGVLCCQQVRPCGVRWPASRSSARRTHEGRATLQRLK >PVH65073 pep chromosome:PHallii_v3.1:2:52616116:52623052:1 gene:PAHAL_2G416900 transcript:PVH65073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVYLYIPNIIGYFRIIINFIAFAVCYSNKALFAILYFISFVLDGVDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIILFLFADDKSTSLLSVCGGILNQSPLIVLMFVSTLIGWAVKQVTNIIQMKTAANACVVYDLKRSK >PVH65072 pep chromosome:PHallii_v3.1:2:52619958:52623052:1 gene:PAHAL_2G416900 transcript:PVH65072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVYLYIPNIIGYFRIIINFIAFAVCYSNKALFAILYFISFVLDGVDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIILFLFADDKSTSLLSVCGGILNQSPLIVLMFVSTLIGWAVKQVTNIIQMKTAANACVVYDLKRSK >PVH65074 pep chromosome:PHallii_v3.1:2:52616024:52623052:1 gene:PAHAL_2G416900 transcript:PVH65074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVYLYIPNIIGYFRIIINFIAFAVCYSNKALFAILYFISFVLDGVDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIILFLFADDKSTSLLSVCGGILNQSPLIVLMFVSTLIGWAVKQVTNIIQMKTAANACVVYDLKRSK >PAN13718 pep chromosome:PHallii_v3.1:2:49818646:49822089:-1 gene:PAHAL_2G370800 transcript:PAN13718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSLIEHDLPMPPHLLSRPLLDAIKAELERLFLDKVIANLGLCVSVYDIRSVEGGSINAGEGCSTYRVSFRLLMFKPFNGEVLVGRISGYDDKGLQVSLDFFDDICIPGHLMQFGTES >PAN13717 pep chromosome:PHallii_v3.1:2:49820025:49821848:-1 gene:PAHAL_2G370800 transcript:PAN13717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSLIEHDLPMPPHLLSRPLLDAIKAELERLFLDKVIANLGLCVSVYDIRSVEGGSINAGEGCSTYRVSFRLLMFKPFNGEVLVGRISGYDDKGLQVSLDFFDDICIPGHLMQFGTERFVTILYFLIYCGST >PAN13719 pep chromosome:PHallii_v3.1:2:49819406:49821848:-1 gene:PAHAL_2G370800 transcript:PAN13719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSLIEHDLPMPPHLLSRPLLDAIKAELERLFLDKVIANLGLCVSVYDIRSVEGGSINAGEGCSTYRVSFRLLMFKPFNGEVLVGRISGYDDKGLQVSLDFFDDICIPGHLMQFGTESKLAVRIQGERPHKILNLAAMK >PAN10217 pep chromosome:PHallii_v3.1:2:5936146:5939200:-1 gene:PAHAL_2G079500 transcript:PAN10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSASTFQVLLVFVTIIAQTQWASVSGMYCNDLTPSVERPHSASITDFGAVGDGTTLNTKAFQNALFYLNSFANKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVILGSPDSSDWPVIDALPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAIWWDWFHNHTLNYTRPPLVELMYSNRVVISNLTFTNSPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIAFGSEMSGGISDVRVEGIRIVNSVHGIRIKTAPGRGGYVKNVYVADVSLDNVSIAIRITGNYGEHPDENYNKNALPTISNITIKNIVGVNIGDAGMLQGISGDNFSNICLSNVSLSVRSTNPWNCSLIEGYSNSVSPEICEQLRTSPGPGQVCYDGNSYPAAAAQPQSPQKSSASRLVNPFFCISWFLCR >PAN10570 pep chromosome:PHallii_v3.1:2:8050823:8051423:1 gene:PAHAL_2G102300 transcript:PAN10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTDWGPIIVAVVLFILLSPGFLFQLPARFRVVEFGNMGTSALSILVHTILYFCILTIVIVAIGVHVYSTKPDPVE >PAN12759 pep chromosome:PHallii_v3.1:2:44791508:44793282:1 gene:PAHAL_2G289400 transcript:PAN12759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLVSLSALVVAFLCLTPDVHAQTTTQILFQGFNWESWNKQGGWYNALKGQVADIAATGTTHVWLPPPSHSVAPQGYMPGRLYDLNASRYGTRAELRSLIAAFHARGVKCVADVVINHRSADYKDRRGVYCIFRGGGPPGRLDWGPGMICRDDTRYSDGTGHPDTGADFAAAPDIDHLNPRVHRELSEWLIWLQKGVGFDGWRLDFAKGYSPAVARAYVRNARPGFVVAEIWNSLSYDGDGKPAASQDDKRRELVSWVKEVGGPAVTAFDFPTKGVLQAAVQGELWRMRDKDGRAPGMIGWLPEKAVTFVDNHDTGSTQRMWPFLADKVMQGYAYILTHPGIPCIFYDHVFDWNLKREITALAAVRRRNGIKAGSKLRILAAESDLYVAMVDERVIAKIGPRFDVGGVIPPGFKIAAHGDGYCVWEKSRR >PAN12985 pep chromosome:PHallii_v3.1:2:45846415:45849624:1 gene:PAHAL_2G305500 transcript:PAN12985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVAAPEVGDPAAATLVGGGGAGGEAPLLAAGKEDPPPADGVPAVEVEGKGAAVRPSEGAPAAIAVEGEEEEEAHKDEDKSEAEAADGVPAVEVEGKGAAAPLERAPAVITVGGEERPTRPRKREAVAAEAEEGDEGEKWLGHYSSGQSILIVGDGDFSFSLALATAFGSGLNLVTTSLDTYEALRGKYSKAESNIMELKRLGATVLHGVDAKKMRFHANLKSSRFDRIVFIFPHAGFKGKENDMHMIKWDLEHLASGSSLAMVEKVPFQKEDYPGYNQKRGDGARCDEPFDLGACCTFKFQIGDLKKLKKRNGKRPGSIPSLGGSNIHPGNWTTDRGPFHHLPPFESRPWQHFPQPDNTGRMLMPPPPYIADQRPQPCFPPNSDGMVRAPYFHQHDSFHPTASMPGPWLNALPDQGGIHQQDSFQPMVSMPGPWLNALPDQGGIDQQDSFHTMASMPGPWLNALPPPGGVPPPIGRTPWPDLAPQEQHWYQQRTSLNHPAFLEHRRRDRESVQEWLRRMIAMYGRP >PAN09754 pep chromosome:PHallii_v3.1:2:3572628:3574419:-1 gene:PAHAL_2G048900 transcript:PAN09754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGAPAAQTSEEAATPPYGSVVLGGTFDRLHDGHRCLLKASADLTRDRIVVGVCTGPMLTKKEYAELIEPVEKRIKAVEDYIKSIKPELTVQVEPIEDPYGPSITDDKLDAIIVSKETLNGGLAVNRKREEKGFPLLKVEVVDLLSGGVEGEKLSSSALRKLEAEQAEQSEASTASHEAS >PAN09753 pep chromosome:PHallii_v3.1:2:3572248:3574503:-1 gene:PAHAL_2G048900 transcript:PAN09753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGAPAAQTSEEAATPPYGSVVLGGTFDRLHDGHRCLLKASADLTRDRIVVGVCTGPMLTKKEYAELIEPVEKRIKAVEDYIKSIKPELTVQVEPIEDPYGPSITDDKLDAIIVRLRLLISFLEVWRGRN >PVH64681 pep chromosome:PHallii_v3.1:2:47552950:47554794:-1 gene:PAHAL_2G333100 transcript:PVH64681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDLHSRTSQQHRHRTSGFQANTLITLAMAPPASSDQTSINILLVPFPVQGHINPLLQFGKRLASHGGVRCTLAATRFVANSTRPTPSSVHVAVFSDGCDGGGPDEVGGMGAPYYERLESAGSETLDALLASESERGRPVHVVVYDAFLPWARRVARRRGAAPAAFLTQTCAVDILYAHAWAGRVPPPPLLRPEDVRGCLDGLSCRLEMGDLPTFMTDASYPPAFRELLVNQFLGLDDADHVLVNSFRDLEPQEADYMASAWRAKMVGPTVPSAFLDNRLPDDVSYGIHLHTPMTAECKAWLDGQLAQSVLYVSFGSMASLGPDQMSEVAEGLYSSGKPFLWVVRATETAKLPEGFADKAKARGLIVPWCPQLDVLAHPSVGCFMTHCGWNSTVEALSAGVPLVAMPNWSDQTTNAKYIQDVWRVGVRVRPDAKGMVRSEEVERRVREVMEGEMREEFRVRALEWSEKAKKSMSEGGTSDVNISDFLSSFGHNTTHATHSSKLIG >PAN15239 pep chromosome:PHallii_v3.1:2:56011827:56012279:-1 gene:PAHAL_2G474000 transcript:PAN15239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGAMKPAAAADNTRQQAQQQALFETKHAEVLSEARDMAREFGVDVHAVAFRPDGTAVRHEFLGIGREARLKGLISRAVAKDVSAMGLEEVTAHEQHLQRLRSLVAHELQVKAAKARAAAAESTKRSPEQQEVAGAAAGSSSSKTRRIE >PAN09274 pep chromosome:PHallii_v3.1:2:532517:533650:-1 gene:PAHAL_2G008200 transcript:PAN09274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPKRKVAELGEHALSAAVGDVVARTMSAAVGRYDAHAAVDDQLERLGTLVIMVRSAVDEAERVHVSGWWLRRWLWKLRDAALDGDEVLRLFRQRRQAELAAEMGRGGLWNAATRVARSARSLVQPRGGGDVVHRLGRTVARLEKLCAGLGDFLKLLELEIMRSLRAPPPSGPAEVRGHGGDLAESITLSHQDHTSLDGSESELDLSYISDDEECISQAEYIACTVAIGLQIVTRKLRRATGRLRTPAPPGSCSNPLVPPGLEPDTGRLQGMVADIRDAVGIGGRAGVDGKRRWLAEWRRELQAVAERADRVLQQLAVPLAPTPAQAGGGGDEAGAATSLGDDGAWRTARSVETAAAHLRAYVTLVRLAVVANAVA >PVH63465 pep chromosome:PHallii_v3.1:2:2424433:2424993:-1 gene:PAHAL_2G034500 transcript:PVH63465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGRRDRVRQPDELWEARRGRPGDERRGRSAANTRTPASERRARPVASLVRPWAAREAAGELDPATGGARDRQRIQPAVGGARARRPTPALDWRARRREAHEARAVGLPGRAAREAGGMGVARRWLGARPPREGGRSPPGGARGGLAPERAQVLCAGEEEKPKIEKRFLEFSSSLPSEELLTSR >PAN13434 pep chromosome:PHallii_v3.1:2:51191796:51193680:1 gene:PAHAL_2G392300 transcript:PAN13434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQAPRHDTGRPAAALATGFPQRGHQQHPASHSSHPELVQIAEPAVKVTNCTQRIASSCPGLMLNDASSTVLAHPDSGCFSSDKLPTRLLYQLRWWRIQVLDAPMFLFLKILHACDLVACLGCSESWRISARGFGQML >PAN10277 pep chromosome:PHallii_v3.1:2:6112815:6117065:-1 gene:PAHAL_2G084100 transcript:PAN10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAMPFAPLTSHRAVPFVLGCPPPPWPPPPPRPDAAAAARLLVEEEAGAGSSSRARSPAGPELGPMVPDLNADSPTPGSASATSSSSGVAGGFFRFDLLGGSPDEEGCSPSPPVVTRQLFPSPHPDADASPPPEPAGPWARRAADLGASAAAVAAAPSSPAAGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFHLKDYEADLKQMKNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGPDAVTNFDSSSYDGDAPLPPEIEKDVVDGDILDLNLRISQPNVHDPKSDGILTGFGVNCNSPEASSSIVSQPISPQWLAHPHSTLVPPQQPHLYASSSPGFFVNLREAPPATEKHLEPGPQASFPPWAWQMQGYPAPLLPATAASSGFSTAGAPPPSGPGPFAGRHHHQLRFPPTA >PAN10276 pep chromosome:PHallii_v3.1:2:6113197:6116943:-1 gene:PAHAL_2G084100 transcript:PAN10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAMPFAPLTSHRAVPFVLGCPPPPWPPPPPRPDAAAAARLLVEEEAGAGSSSRARSPAGPELGPMVPDLNADSPTPGSASATSSSSGVAGGFFRFDLLGGSPDEEGCSPSPPVVTRQLFPSPHPDADASPPPEPAGPWARRAADLGASAAAVAAAPSSPAAGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFHLKDYEADLKQMKNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGPDAVTNFDSSSYDGDAPLPPEIEKDAVVDGDILDLNLRISQPNVHDPKSDGILTGFGVNCNSPEASSSIVSQPISPQWLAHPHSTLVPPQQPHLYASSSPGFFVNLREAPPATEKHLEPGPQASFPPWAWQMQGYPAPLLPATAASSGFSTAGAPPPSGPGPFAGRHHHQLRFPPTA >PVH63800 pep chromosome:PHallii_v3.1:2:8734657:8737161:1 gene:PAHAL_2G109400 transcript:PVH63800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFITKQSQVSSDNPTPDNDPIDPLENNVEVEKALPDNTNTHIGNNSEDLNPSLNAGDSFLPDIFDPRYWDSLDSKQVDILAQKRSKRDLSIQKGPKDRFSRRFSSLFYNRILSNGESFDRNWLVYSKELDRAFCFSCKLFTKGHRKGQLANEGYNDWAHLGKRLKEHETVADHVLSMTAWYELRNRLQTNQTIDKAAQRQLEKEKDHWRKVLFRIVGIVKFLAKHNLAFRGIEMLAEFDPVIQEHVRRIINEETQNELIHLLASAIKSEIIKKIKSAKYFSAILDCTPDASHQEQIFLKYVDSSSGHVRVEESFRGFLDVNDTTGQALFDVLENELKVLDLDIDDVRGQGYDNGSNMKGKHQGVQRKLLDVNPRAFYYACGCHSLNLTLCDMATKTCGKAKDFFGIIQQRSFSKLKLLKTYLCSTMTQERLNDLAIIALEGEMLENIDYEHIIEDFISKNTKRMMLFK >PVH63850 pep chromosome:PHallii_v3.1:2:10115919:10118032:1 gene:PAHAL_2G120700 transcript:PVH63850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHPTPPVINKTDSPRPQPEQQEKRAAKVGEEMEKEEPRRKLSPADSRAVALAFIRALGAGARLPAAADQPDAYSSLVRAILSSAAVSASPAPRVSCTIAVSPAVTNAYNTLHGGAVAAVAEAVGMACARAAAGDKEMFLGELSTGYLAAARLDSEVDVEAQILRKGRSVVVTTIEFRLKDTKKLCYTSRATFYIMPVASL >PAN10035 pep chromosome:PHallii_v3.1:2:4960747:4966606:-1 gene:PAHAL_2G067600 transcript:PAN10035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSWADSVANAEESAPATGAANGSVANHGNPRPTRSSYVPPHLRGRPAGAGLDAQAGSVAPAQGGPLPSAPAQPSGPAAAVGGPRWAGIVNGGGSGSVGAPRQGYGGGGRGGGGGGGGGAWNSRPGGWDRRDREPDPFAKAEAEEVDFEGENTGINFDAYEDIPVETSGHDVPAPVNTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRQRSSRTACPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPITNQLRELERGVEILVATPGRLMDLLERARVSLQNIMYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGQRQTMLFSATFPKEIQRMAADFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALEDWLYRNGFPATSIHGDRTQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNISLARQLSELMQEANQEVPQWLERYAARSVYGGGGGGRNRRSGGGARFGGRDFRRDRGSGGYSGGGGAYGGGGGGGYGGSSGYGGGYGGGGGGGYGGGQSTSAWD >PVH64908 pep chromosome:PHallii_v3.1:2:50473605:50473778:-1 gene:PAHAL_2G381300 transcript:PVH64908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSCRLKRAPPRIKLRGTCAPSGRATTTAPCLTLDVRLATAVNRSHLE >PAN14498 pep chromosome:PHallii_v3.1:2:52484014:52486148:1 gene:PAHAL_2G414300 transcript:PAN14498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 23 [Source:Projected from Arabidopsis thaliana (AT5G41920) UniProtKB/Swiss-Prot;Acc:Q9FHZ1] MLQGVLSRAPATESPAAAAMKAKRAPASPDEEEEGEGRPARGKRQQLLGLGSAAAAEGPETRGLRLLSLLLRCAEAVAMDQLTEARELLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRVAGAFQAYNALSPLVKFSHFTANQAILQALDGEDRLHVIDLDIMQGLQWPGLFHILASRPRKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFHPIEGKIGHVADAAALLGPRHRQQQQDEATVVHWMHHCLYDVTGSDLGTVRLLRRLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAAAESDERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLAGSPATQARLLLGMYPWKGYTLVEEEACLKLGWKDLSLLTASAWEPTDAAAATPRRGSQET >PAN15500 pep chromosome:PHallii_v3.1:2:57315283:57316167:-1 gene:PAHAL_2G492600 transcript:PAN15500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKCRKNFNEICVEQVLANNRPQGCLNNKGYANLISQFNERTGRNYTRVQMKNRWDALKADFTTWKTLLLSASGLGRDPKTGTIAASNEWWEEKIEAMPLCKKFRFAPLENEEDVEIMFSGASCTNANVVAPGAREGSADNGSEDVQEVHPSSAEKQPAKRGAAYKSPKKPKKNFRDMQFKRFVDSFVEKASSSSATSAPTDHVRQEIAEMLQSVIEAGACEGSDEHFYATQLLIKKEFRDVFVTLRTPEGKLGWLKRTWEERKKR >PAN11023 pep chromosome:PHallii_v3.1:2:12098292:12103802:1 gene:PAHAL_2G134700 transcript:PAN11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVPVPAPSLPDSDMTSRRRREPSEPRSDSDWDAGSSREGSPDLLRRAPAAQISRAAASSSSSSSWLREIERDRVRLVREWVHMAARDRDDDTGPPPSPVPDHARRDAPRIRGRQARLELVMRMAADRQAELHRLSEHRAVSDFPHRNRIHALLRGRFLRNGGLPEDRRPPSVAARELGQLRQRHPVSGLREEFRFRLENLVRGQAVSQADDSSTHDVELSTNDRSESRPTTSETTQERPERTSENISLQQIEGTATTSGFESGTPSVAEVFCESHSQAESQEDLEQERSDWQQFSCAVIGEGSERSWLENADISSREGTAVEDDHEDRLPEANEESTSVDHLPEGHESISDDSLPEAHEEQHDSDHLPAVLEQLHGNINLQESHGEWSRDDRPIEVYDEWQSDDHVPEVNEEWQDDVETNSTADNWHDNTSDQPIDHDAALIRRANTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPLPWDLEGTTPAPNSPDQNQEQQRDDDDQELQHTVDRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >PVH63914 pep chromosome:PHallii_v3.1:2:12098750:12103802:1 gene:PAHAL_2G134700 transcript:PVH63914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVPVPAPSLPDSDMTSRRRREPSEPRSDSDWDAGSSREGSPDLLRRAPAAQISRAAASSSSSSSWLREIERDRVRLVREWVHMAARDRDDDTGPPPSPVPDHARRDAPRIRGRQARLELVMRMAADRQAELHRLSEHRAVSDFPHRNRIHALLRGRFLRNGGLPEDRRPPSVAARELGQLRQRHPVSGLREEFRFRLENLVRGQAVSQADDSSTHDVELSTNDRSESRPTTSETTQERPERTSENISLQQIEGTATTSGFESGTPSVAEVFCESHSQAESQEDLEQERSDWQQFSCAVIGEGSERSWLENADISSREGTAVEDDHEDRLPEANEESTSVDHLPEGHESISDDSLPEAHEEQHDSDHLPAVLEQLHGNINLQESHGEWSRDDRPIEVYDEWQSDDHVPEVNEEWQDDVETNSTADNWHDNTSDQPIDHDAALIRRANTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPLPWDLEGTTPAPNSPDQNQEQQRDDDDQELQHTVDRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >PVH63913 pep chromosome:PHallii_v3.1:2:12098292:12103802:1 gene:PAHAL_2G134700 transcript:PVH63913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVPVPAPSLPDSDMTSRRRREPSEPRSDSDWDAGSSREGSPDLLRRAPAAQISRAAASSSSSSSWLREIERDRVRLVREWVHMAARDRDDDTGPPPSPVPDHARRDAPRIRGRQARLELVMRMAADRQAELHRLSEHRAVSDFPHRNRIHALLRGRFLRNGGLPEDRRPPSVAARELGQLRQRHPVSGLRLENLVRGQAVSQADDSSTHDVELSTNDRSESRPTTSETTQERPERTSENISLQQIEGTATTSGFESGTPSVAEVFCESHSQAESQEDLEQERSDWQQFSCAVIGEGSERSWLENADISSREGTAVEDDHEDRLPEANEESTSVDHLPEGHESISDDSLPEAHEEQHDSDHLPAVLEQLHGNINLQESHGEWSRDDRPIEVYDEWQSDDHVPEVNEEWQDDVETNSTADNWHDNTSDQPIDHDAALIRRANTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPLPWDLEGTTPAPNSPDQNQEQQRDDDDQELQHTVDRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >PAN11024 pep chromosome:PHallii_v3.1:2:12098750:12103802:1 gene:PAHAL_2G134700 transcript:PAN11024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVPVPAPSLPDSDMTSRRRREPSEPRSDSDWDAGSSREGSPDLLRRAPAAQISRAAASSSSSSSWLREIERDRVRLVREWVHMAARDRDDDTGPPPSPVPDHARRDAPRIRGRQARLELVMRMAADRQAELHRLSEHRAVSDFPHRNRIHALLRGRFLRNGGLPEDRRPPSVAARELGQLRQRHPVSGLRLENLVRGQAVSQADDSSTHDVELSTNDRSESRPTTSETTQERPERTSENISLQQIEGTATTSGFESGTPSVAEVFCESHSQAESQEDLEQERSDWQQFSCAVIGEGSERSWLENADISSREGTAVEDDHEDRLPEANEESTSVDHLPEGHESISDDSLPEAHEEQHDSDHLPAVLEQLHGNINLQESHGEWSRDDRPIEVYDEWQSDDHVPEVNEEWQDDVETNSTADNWHDNTSDQPIDHDAALIRRANTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPLPWDLEGTTPAPNSPDQNQEQQRDDDDQELQHTVDRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >PAN10308 pep chromosome:PHallii_v3.1:2:6264662:6266638:1 gene:PAHAL_2G086200 transcript:PAN10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGAWRPRRRKASPPAKEGDAADGGAAEGGRPPPKGQGPAASVAGGGGGFFCCYLLRSLCPRSKSRTYIGFTVNPRRRIRQHNGEIASGAWRTRRGRPWEMVLCIYGFPSNVAALQFEWAWQHPIESLAVRKAAAEFKSLGGIGNKVNLAYTMLNLPSWENLNLTVNFFSSKNTKFTAGCPSLPSQMKTVVCAMEDLQCNTEGPSSEDDDFSQEPQDRQEDGFSHEPQERQEEDGFSQEPQDRQELSDSPLQDERSEHYWQQTSTDQDEHTAYCWQQPFPDPDEHSGHCWQQLPSDQDEHSGHCWQEPSFDQAQPMGAQTGIAGHDALEDSIDEFAPMEWSEIPDTRREFDGPRTSPRCSLSLSGDDCRTAMEDEPGDLSPLFMFNAAGSDHSDGHIIDGSDVVDLVTPTTVGRLRRRGCVDSICPKIIDLTSSPVVIQL >PAN14727 pep chromosome:PHallii_v3.1:2:54155466:54155767:1 gene:PAHAL_2G441600 transcript:PAN14727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTTTTHESLGAKLDRLMEDAPRRGSPGRPLVGAVGAYFLWPMAAPAVAMMKAPGAGGVLVSRAEFLAKKKLYFKLLHSAGATAAVAALL >PAN11101 pep chromosome:PHallii_v3.1:2:14682608:14684343:1 gene:PAHAL_2G147400 transcript:PAN11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKQQPGRPSSSVSANAAAAAANDANAAAAAAAASGSGSGDGNAYTSFQGLLALARITGSNSDETRGACKKCGRVGHLTFQCRNFLSVKDLDLDDADAQAAAQAAAQAKFEEIKKKAAAGGNADEVSDEEEEEDEDSDSSDSDIDPELEKIIAERERARNGGRRSRDEEKKSSHRHRSSSKRRSRHTRSRKSDDSEDEEEEGRRGRDKKRASRSKKHERSDEDVSDDSESDRKRHWKSRKDRKRRRSHHRSDSSDEEDISGGEERRRRRHQKRRHHRREASDSDSGGSKSAAEKRSSRRRRHRRSESSGSDEDKRHDHRGAKHSGEKSRDRKRG >PAN12563 pep chromosome:PHallii_v3.1:2:43625479:43626235:1 gene:PAHAL_2G273500 transcript:PAN12563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PAN12365 pep chromosome:PHallii_v3.1:2:42743980:42747972:-1 gene:PAHAL_2G263000 transcript:PAN12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSASTSGEWLKGTLQDLRESKGSELELDADLISGLVSFCELASPPDAASYLENFIGKEAAQDIIQEYLRRRGHIGSLNGTESLQSSNLQPYVKPSADAAATQTKKQMRAQKNAASSSSQSSKNQSETAESQLASKRGSKKKGAKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLSDVGLPLSEAEAEAEAYAKRLVDYDRNAAARTKVYDDQSDYFEMEGNSWLSSKEKSVLQKQQEEAQEAAASQKGKVVVTFDLVGRKVILNKDGATELETEHPIMRPPEEKDQSYRIQPNPTIREQPVYVETGPVKPKTDRAKQSKRLAKNGLCLEVTGRLQHDDKDLQSILSGKVKKGDHLAYSSFGQAREGDDVECSQDFD >PAN14400 pep chromosome:PHallii_v3.1:2:52048007:52051910:1 gene:PAHAL_2G406700 transcript:PAN14400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPPIAEPQALGLLPTLTDELLEEIFLRLPTPGDVARASAACASFRRIITARSFLRRFRAIHPPPLLGFAAYEGFHPAQPPHPSAPLAGAFADAADFSYSFVPAGRWPTPWRPRDVCQGRVLLECTPDRDPGFEYYDSVLLRDLDLAVCDPLSRRYSLLPSIPQTLMGQQKNLIDFGLFFAPTGEDEDETTFRVVCVACNKTMVVVFEFTSITGQWHIPAYLSCSSLGTVMPDSRYSSSCHDHEQGCFYWMVPWRNKLLVLDVFSMEISIVDNNLAIYHLHDSGKPLIVLGRDRTPEVFFLVDFFGNGPTDIIRITKQNGSASSASWQFENMISLPTQYNYFTLGAAEGFLFLRATLQDQNSEYSLGYSSEDSSENSAHFSAEAPDVEYLSLDVKTSERKKVCVMKRYFHTVFSYFGYPPPLAKPTI >PAN15419 pep chromosome:PHallii_v3.1:2:56807635:56812425:-1 gene:PAHAL_2G486400 transcript:PAN15419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGRPSTTSPAAPVTSSRRRDHPVVSQPQQEGVDSSDAAAAPAGAGAAPEQQADEKPDKPAQVKRERRSRSSRSATAAAAAAAHAEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPILPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALQSDFFTTEPHACEPSSLPQYPPSKEMDAKRRDEEARRLRAAGRANGDGTRKTRTRDRPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGAVPTWSGPLADSAAGNQKRKHKSGRSSKQPSTARAR >PAN10233 pep chromosome:PHallii_v3.1:2:5978060:5980967:1 gene:PAHAL_2G080600 transcript:PAN10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPTNFQKEQMFGMAEKEMEYRVDIFNRLTQTCFDKCIANRHKEVELNMGENSCIDRCVSKYWQVTSLVGQLLGTLPKP >PAN10234 pep chromosome:PHallii_v3.1:2:5978060:5980967:1 gene:PAHAL_2G080600 transcript:PAN10234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPTNFQKEQMFGMAEKEMEYRVDIFNRLTQTCFDKCIANRHKEVELNMGENSCIDRCVSKYWQVTSLVGQLLGTLPKP >PAN15547 pep chromosome:PHallii_v3.1:2:57484551:57490175:-1 gene:PAHAL_2G496200 transcript:PAN15547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) UniProtKB/Swiss-Prot;Acc:Q0WSF1] MAFWWPLLVLAAAYALCRLLLFLIPPTVPSIDVDASDVLAKEDSFIYIPRKGKAAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVEQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEVSSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSTGRSMLHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNAAVIKVSEHATWSGCFYFRIIQAALSAVGAPENLVHIITGFAETGQTLVSSVDKIIFVGSPGVGRMIMQKASESLIPVTLELGGKDSFIVCEDVDLPNVVQVAVRAALQSSGQNCAGAERFYVHSDIYSAFVSQVVKIIKSICVGPPLSGRYDMGAICMIEHSEKLQNLVNDALDKGAEIAVRGSFGNLGEDAVDQFFPPTVLVNVDHTMKIMQEEAFGPILPIMKFSSDEEAIKLANDSKFGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKSVVEDRFWPYIKTMIPKPIQYPVSEHGFEFQQLLVETLYGYSVWDRLRSLVNLVKMITEQNCTPAPGRRTKKRR >PAN11036 pep chromosome:PHallii_v3.1:2:12303770:12308611:1 gene:PAHAL_2G135200 transcript:PAN11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEEGAKAPRRRRGNPGQSSVPVTVVYYLCRSGRHLEHPHLMELRLASPNQALYLRDVICRLDALRGKGMATMYSWSCKRRYKTGFVWHDVLEDDVLLPAQGSEFVLKGSLLLPHHSSPPAALVAASPPADHDHTNISTSITRKVHCIKPTPDEETPTHSREGWTTNSSLPSPPTIKVDVEVEAQPPPHQEPQPELSSMSPSSSGTTGDRDEQAASAHSSSSGSPSSHNMPRGLAGGTPYPSGGTSSPTPPPSLMLYNKQQASIITAQGEVTQTQGTSTGRDLHNKDTGCSTGSTPTKATVTTDDKHPGRTGSFSSSTSRNGTLESLIRAEALGRRGAAAKRILEKDDDDDREAMQSLGTKLNPANLLMRLVACGSTMSARQYLPACGLMRTTHKPKYLSQHVELLPSSPVLSPLGALIMRPVTAAGARVVSESGDCGDCSGSMLQTAGMGCESGKVMSTNIKPTSSYDQYCVSEKEASVRNLDNLERRTQIISQTIKMAPCQQPKSGTLVTITTDVRHNNGEQECSNEASSKTLRRSTSKRMTDSSPRFSRVVSFHDEKEKAVKIEERLASGARVIIQCAPLLKETYASSKAM >PAN11034 pep chromosome:PHallii_v3.1:2:12305448:12307605:1 gene:PAHAL_2G135200 transcript:PAN11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCEGRAWPPCIPGPARGNWPSRSSFASLLTCLLLATNIHNALRHWRRYKTGFVWHDVLEDDVLLPAQGSEFVLKGSLLLPHHSSPPAALVAASPPADHDHTNISTSITRKVHCIKPTPDEETPTHSREGWTTNSSLPSPPTIKVDVEVEAQPPPHQEPQPELSSMSPSSSGTTGDRDEQAASAHSSSSGSPSSHNMPRGLAGGTPYPSGGTSSPTPPPSLMLYNKQQASIITAQGEVTQTQGTSTGRDLHNKDTGCSTGSTPTKATVTTDDKHPGRTGSFSSSTSRNGTLESLIRAEALGRRGAAAKRILEKDDDDDREAMQSLGTKLNPANLLMRLVACGSTMSARQYLPACGLMRTTHKPKYLSQHVELLPSSPVLSPLGALIMRPVTAAGARVVSESGDCGDCSGSMLQTAGMGCESGKVMSTNIKPTSSYDQYCVSEKEASVRNLDNLERRTQIISQTIKMAPCQQPKSGTLVTITTDVRHNNGEQECSNEASSKTLRRSTSKRMTDSSPRFSRVVSFHDEKEKAVKIEERLASGARVIIQCAPLLKETYASSKAM >PVH63918 pep chromosome:PHallii_v3.1:2:12303770:12308611:1 gene:PAHAL_2G135200 transcript:PVH63918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEEGAKAPRRRRGNPGQSSVPVTVVYYLCRSGRHLEHPHLMELRLASPNQALYLRDVICRLDALRGKGMATMYSWSCKRYKTGFVWHDVLEDDVLLPAQGSEFVLKGSLLLPHHSSPPAALVAASPPADHDHTNISTSITRKVHCIKPTPDEETPTHSREGWTTNSSLPSPPTIKVDVEVEAQPPPHQEPQPELSSMSPSSSGTTGDRDEQAASAHSSSSGSPSSHNMPRGLAGGTPYPSGGTSSPTPPPSLMLYNKQQASIITAQGEVTQTQGTSTGRDLHNKDTGCSTGSTPTKATVTTDDKHPGRTGSFSSSTSRNGTLESLIRAEALGRRGAAAKRILEKDDDDDREAMQSLGTKLNPANLLMRLVACGSTMSARQYLPACGLMRTTHKPKYLSQHVELLPSSPVLSPLGALIMRPVTAAGARVVSESGDCGDCSGSMLQTAGMGCESGKVMSTNIKPTSSYDQYCVSEKEASVRNLDNLERRTQIISQTIKMAPCQQPKSGTLVTITTDVRHNNGEQECSNEASSKTLRRSTSKRMTDSSPRFSRVVSFHDEKEKAVKIEERLASGARVIIQCAPLLKETYASSKAM >PAN11035 pep chromosome:PHallii_v3.1:2:12303770:12308611:1 gene:PAHAL_2G135200 transcript:PAN11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEEGAKAPRRRRGNPGQSSVPVTVVYYLCRSGRHLEHPHLMELRLASPNQALYLRDVICRLDALRGKGMATMYSWSCKRRYKTGFVWHDVLEDDVLLPAQGSEFVLKGSLLLPHHSSPPAALVAASPPADHDHTNISTSITRKVHCIKPTPDEETPTHSREGWTTNSSLPSPPTIKVDVEVEAQPPPHQEPQPELSSMSPSSSGTTGDRDEQAASAHSSSSGSPSSHNMPRGLAGGTPYPSGGTSSPTPPPSLMLYNKQQASIITAQGEVTQTQGTSTGRDLHNKDTGCSTGSTPTKATVTTDDKHPGRTGSFSSSTSRNGTLESLIRAEALGRRGAAAKRILEKDDDDDREAMQSLGTKLNPANLLMRLVACGSTMSARQYLPACGLMRTTHKPKYLSQHVELLPSSPVLSPLGALIMRPVTAAGARVVSESGDCGDCSGSMLQTAGMGCESGKVMSTNIKPTSSYDQYCVSEKEASVRNLDNLERRTQIISQTIKMAPCQQPKSGTLVTITTDVRHNNGEQECSNEASSKTLRRSTSKRMTDSSPRFSRVVSFHDEKEKAVKIEERLASGARVIIQCAPLLKETYASSKAM >PVH63917 pep chromosome:PHallii_v3.1:2:12303834:12308612:1 gene:PAHAL_2G135200 transcript:PVH63917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEEGAKAPRRRRGNPGQSSVPVTVVYYLCRSGRHLEHPHLMELRLASPNQALYLRDVICRLDALRGKGMATMYSWSCKRYKTGFVWHDVLEDDVLLPAQGSEFVLKGSLLLPHHSSPPAALVAASPPADHDHTNISTSITRKVHCIKPTPDEETPTHSREGWTTNSSLPSPPTIKVDVEVEAQPPPHQEPQPELSSMSPSSSGTTGDRDEQAASAHSSSSGSPSSHNMPRGLAGGTPYPSGGTSSPTPPPSLMLYNKQQASIITAQGEVTQTQGTSTGRDLHNKDTGCSTGSTPTKATVTTDDKHPGRTGSFSSSTSRNGTLESLIRAEALGRRGAAAKRILEKDDDDDREAMQSLGTKLNPANLLMRLVACGSTMSARQYLPACGLMRTTHKPKYLSQHVELLPSSPVLSPLGALIMRPVTAAGARVVSESGDCGDCSGSMLQTAGMGCESGKVMSTNIKPTSSYDQYCVSEKEASVRNLDNLERRTQIISQTIKMAPCQQPKSGTLVTITTDVRHNNGEQECSNEASSKTLRRSTSKRMTDSSPRFSRVVSFHDEKEKAVKIEERLASGARVIIQCAPLLKETYASSKAM >PAN10235 pep chromosome:PHallii_v3.1:2:5981104:5982932:1 gene:PAHAL_2G080700 transcript:PAN10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDWRSPIGRRVVLFPFPSGSHITPMLQLAGLLRARGLGVTVLHADFNAPDPARHPELAFVSIRESLPDEVAASPDQAERMMGLNAACEAPFQAALEELVRRGGGPVACAVVDGQWYGMLGAARRAGVPALALGAGSAAAFLAMLAAPRPRADGYVPIEEADRLDEVVPGLEPLRVRDLIGLDGSDGETVLRFAASVAGAVRDASSGVVLNTFDAIEGPELAGIRRELSRPVFAVGPLHLAAGPPAVQQHAPDGGCLAWLDARPPRSVLYVSLGSAARVDRAAFEEMAWGLAGSGVPFLWVLRPGSVGGAADADGDLPPFPEELHETVRRRGKVVAWSPQGAVLAHPAVGGFWTHCGWSSVVEAVCEGVPMLVHPCLADQTVSAMYVARRWGVGMEVGRVVERTAMARAIRRLMAREHGPQAPRERARLLRTQARQCVAEGGPASLAIDDLVEYIMGL >PVH64748 pep chromosome:PHallii_v3.1:2:48606790:48610619:1 gene:PAHAL_2G350600 transcript:PVH64748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAASRLAGGGGGGDGGDPVAVCRDRKRLIKAAAERRFALAGAHANYAAALRSVADALDVFVARHTAPAPILITLPTPSNSPPGSPKAAQVQVQELPSPATPPPPPQQEEEAPASPAAAEDGGGGAQTPEMACPYYFQPPVTPPPPPPAPSAVGGWDFFNPFYGTEEVAAAISDEEMRAVREREGIPELEEAEEEEEDGAKAAEAKAPKTEASLGVPTPQEEAKDVCEMEGNNSGLEVAVAPQGRELLAALKEVEELFARAAEAGKEVSSMLEAATRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRSAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKLMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFVSYVNAQKAYMEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPTLVVICHDWYSTLSKLPNKRVSFTMRNFLRSVRVLWLKQGEEQQQKRKVDSLSKELDKKLTAYKRAENRIIGTKLLEHKPEVDAKQRMEQLSEKKEMLNVLRKRIEMEKAKHQACMRDTHDVTLNGFKIGLASIFESLTEFSKDLVKLYEGLLAQANAKDSEKATAEKRPCVEGPYSHIAVDAS >PVH64704 pep chromosome:PHallii_v3.1:2:47906120:47911329:1 gene:PAHAL_2G338500 transcript:PVH64704 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] MLRRIAALRPPPPPRAAASVGAGAATYSSKSTSIPQKQQRVRDHAFDGIMEVQKRVRRFHALHSLLLYAAAPTAASRGGGSGTVSVPFTRLGALARRQLRLAPLDAGRFLLRHAHAFHLFLHPVHRMLHARLTPRAAAALRAEAEAVAAALPSASIIRLRKLLLLAPPHRRLRLEHIHLLRRDLGLPDDFAESVIQSNPSLFRLTPDGFVEFLPSPTDPPDLSVAAVERARERHYREHRAPGSGEEDARFAFPIRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEAQRRMEKRAVAAVHELLSLTVEKRTTLERLALFREALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNEIHEARRKLEELLMISPQKANLDRMFTSMGRGWDELGGGRHGGAELRDEFLRDGGGQKKHADTDGADSGEDSSVESLYID >PAN13461 pep chromosome:PHallii_v3.1:2:47906120:47911309:1 gene:PAHAL_2G338500 transcript:PAN13461 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] MLRRIAALRPPPPPRAAASVGAGAATYSSKSTSIPQKQQRVRDHAFDGIMEVQKRVRRFHALHSLLLYAAAPTAASRGGGSGTVSVPFTRLGALARRQLRLAPLDAGRFLLRHAHAFHLFLHPVHRMLHARLTPRAAAALRAEAEAVAAALPSASIIRLRKLLLLAPPHRRLRLEHIHLLRRDLGLPDDFAESVIQSNPSLFRLTPDGFVEFLPSPTDPPDLSVAAVERARERHYREHRAPGSGEEDARFAFPIRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEAQRRMEKRAVAAVHELLSLTVEKRTTLERLALFREALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNEIHEARRKLEELLMISPQKANLDRMFTSMGRGWDELGGGRHGGAELRDEFLRDGGGQKKHADTDGADSGEDSSVESLYID >PVH64705 pep chromosome:PHallii_v3.1:2:47906120:47911329:1 gene:PAHAL_2G338500 transcript:PVH64705 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] MLRRIAALRPPPPPRAAASVGAGAATYSSKSTSIPQKQQRVRDHAFDGIMEVQKRVRRFHALHSLLLYAAAPTAASRGGGSGTVSVPFTRLGALARRQLRLAPLDAGRFLLRHAHAFHLFLHPVHRMLHARLTPRAAAALRAEAEAVAAALPSASIIRLRKLLLLAPPHRRLRLEHIHLLRRDLGLPDDFAESVIQSNPSLFRLTPDGFVEFLPSPTDPPDLSVAAVERARERHYREHRAPGSGEEDARFAFPIRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEAQRRMEKRAVAAVHELLSLTVEKRTTLERLALFREALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNEIHEARRKLEELLMISPQKANLDRMFTSMGRGWDELGGGRHGGAELRDEFLRDGGGQKKHADTDGADSGEDSSVESLYID >PAN14989 pep chromosome:PHallii_v3.1:2:54966914:54969846:-1 gene:PAHAL_2G456200 transcript:PAN14989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDMSAIAARLGLSGSRPVIRKAGELRRLCDVNFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSDKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFIQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKIKLIDLCGTSSTEFTTVSTSMADLCFDVFGISKEKKDPKSIKGNRELLDVLPSKRKHEDDSDPSDDESSEDDQDELDLPNHKRQKKMEKQAYNEWKSSVLSSNKQTKTDPAKPRRQAQLNFKKPADIVVEVPSAANQMNMNSPHKNGYWEGEVSS >PAN09501 pep chromosome:PHallii_v3.1:2:2061304:2063667:1 gene:PAHAL_2G029300 transcript:PAN09501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVTAPPAAPAAVQAPAKSKKPSQLNPGTGKARTAAPARPMRAAPPARRGGEAAGASGPKWNPLQRLAAAALDAVEEGLVAGLLERAHPLPRTADPAVQIAGNYAPVGERPPRRGEPLPVAGSIPACLDGVYVRNGANPLHAPRAGHHLFDGDGMLHAVRLRGGRAESYACRFTETARLRQERAIGRAVFPKAIGELHGHSGVARLLLFGARSLCGVLDASQGIGVANAGLVYHNNRLLAMSEDDLPYHVRVTGDGDLETVGRYDFGGQLDSAMIAHPKLDPATGELFALSYNVVSKPYLKYFYFTADGRKSPDVEIPVDAPTMMHDFAITENHAIIPDQQIVFKLQEMVLGGSPVVYDRSKTARFGVLPKRAADASELRWVEVPDCFCFHLWNAWEDEATGEIVVIGSCMTPADAVFNESAGEESFRSVLSEIRLDPRTGTSRRRAVLSDADQVNLEAGMVNRQLLGRKTRYAYLAIAEPWPKVSGFAKVDLEAGTVEKFVYGEGRYGGEPCFVPRPDAGAGAAEDDGYVLCYVHDEARGASEMLVVNARDMRAEAAVKLPGRVPYGLHGTFIAGEELQRQA >PAN13113 pep chromosome:PHallii_v3.1:2:46386279:46390724:1 gene:PAHAL_2G314800 transcript:PAN13113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTQERYLLGSWNKERVRPSSAYVVHNLSIANCHPTADHCQPTGYLRRHLPVEEQLRKLSSAVFSRHQAETAPNVTMEFSRLVLLCLPAVWALAATAGAAADVPAARSPGCATRCGDIEVPYPFGLDTRCAIHAGFRLNCNKTTTVGGGGSVRLLYKNVEVTRISVQDGKAWFKTWISRQCYNETTNKTVYGNAWINFTSSPFVLSADDNKVIVLGCRSMAYMRSNSYIIGCMSICDAAPKNGSCSGDAGCCQADLPKGVQYYQGFFNSFYNTTRIWRETPCNYVTVMERAAFKFSTTYLTTRAFYDADDARTPVVMEWGITRQTCEQARINKTRPYACVSDHSDCVDGDAGYRCRCSEGFRGNPYIADGCADVNECLDNVTYPCSGICQNKMGSFTCSCPRGRNMVDGVCVKNQRSSWMAAVVGASVGLVALVIGITCAYLVRERRKLHRVKQRYFRQHGGLLLFEEMKSKQGVAFNIFSEEELQQATNGFDEQRVLGHGGHGTVYKGVLKSDVEVAVKRCTTIDEQQKKEFGREMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHRDHGRHISLNTRLRIACESAEALAYLHSCASPPILHGDVKSTNILLDGDYAAKVSDFGASILAPNDKSQFVTVVQGTCGYLDPEYMQTYELTDKSDVYSFGVVLLELLTRRKAFNLEGPEHDRSLSMRFLYAMKENKLEDILDDQIKNNENMEYLEEIAELARQCLEMSGVNRPSMKEVADKLDRLRKVMQHPWAHEDPEELDRLLGEPSMVNSAGTTGNFSITKKAAMGLESGR >PAN09775 pep chromosome:PHallii_v3.1:2:3674325:3676436:1 gene:PAHAL_2G050000 transcript:PAN09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLIVQDFTFMAPLACHWAIEHADQFPTLWLKDVAEAVDGTTLVVQTEVVRQPYFKQVHISFQAPVRNIDFTLMAPGDTIPMVSIAAMGGVAPNWVTYERLPVEAYLEQFQDHGYFVLDFSYFQIKQLEAGESNVTQTVERLC >PVH65463 pep chromosome:PHallii_v3.1:2:57603215:57607769:-1 gene:PAHAL_2G498400 transcript:PVH65463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGMGKQGGAGDRKPGDGADKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPDGLYM >PVH65327 pep chromosome:PHallii_v3.1:2:55374744:55378856:-1 gene:PAHAL_2G464200 transcript:PVH65327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSKAVLSSLDSSKSLLLQLKDAFSTMNSPIWMDSSTTKQCREIENAVGGALELAKLTGSRAYERFTGPQIRKIYQTEPNVYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEAKLGNLAPAYSAAGRIAPYFVERFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNQCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYIVENLDDASSDNLIEREVAEFNPPSEVRAIIEGQMLSMRGHAERFGMPNPPRRIIATGGASSNDSILKSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNAEGSFVPISCLYEGNLEKTSLGSKLAAPAGDKEEDRELLKKYTLLVRKRMEIERRLVEKIGRA >PAN15098 pep chromosome:PHallii_v3.1:2:55374744:55379747:-1 gene:PAHAL_2G464200 transcript:PAN15098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSKAVLSSLDSSKSLLLQLKDAFSTMNSPIWMDSSTTKQCREIENAVGGALELAKLTGSRAYERFTGPQIRKIYQTEPNVYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEAKLGNLAPAYSAAGRIAPYFVERFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNQCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYIVENLDDASSDNLIEREVAEFNPPSEVRAIIEGQMLSMRGHAERFGMPNPPRRIIATGGASSNDSILKSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNAEGSFVPISCLYEGNLEKTSLGSKLAAPAGDKEEDRELLKKYTLLVRKRMEIERRLVEKIGRA >PAN15099 pep chromosome:PHallii_v3.1:2:55374744:55379668:-1 gene:PAHAL_2G464200 transcript:PAN15099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGSLPEGSLFLGFDSSTQSLKATVLNNELTIVASEIVNFDSELQHYKTEGGVYRDSTDDGHIFSPTIMWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSKAVLSSLDSSKSLLLQLKDAFSTMNSPIWMDSSTTKQCREIENAVGGALELAKLTGSRAYERFTGPQIRKIYQTEPNVYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEAKLGNLAPAYSAAGRIAPYFVERFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNQCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYIVENLDDASSDNLIEREVAEFNPPSEVRAIIEGQMLSMRGHAERFGMPNPPRRIIATGGASSNDSILKSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNAEGSFVPISCLYEGNLEKTSLGSKLAAPAGDKEEDRELLKKYTLLVRKRMEIERRLVEKIGRA >PAN15097 pep chromosome:PHallii_v3.1:2:55375829:55379467:-1 gene:PAHAL_2G464200 transcript:PAN15097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGSLPEGSLFLGFDSSTQSLKATVLNNELTIVASEIVNFDSELQHYKTEGGVYRDSTDDGHIFSPTIMWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSKAVLSSLDSSKSLLLQLKDAFSTMNSPIWMDSSTTKQCREIENAVGGALELAKLTGSRAYERFTGPQIRKIYQTEPNVYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEAKLGNLAPAYSAAGRIAPYFVERFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNQCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVS >PAN11129 pep chromosome:PHallii_v3.1:2:11836530:11837792:1 gene:PAHAL_2G131400 transcript:PAN11129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGGFGRGRRRGPERLELAVAAPAGALHVQPLQRRGPARALKPHITVLSVEVHHAIAASNLFWTLVERIIRCLIFSTQLPTPLELV >PAN12679 pep chromosome:PHallii_v3.1:2:44340726:44342096:1 gene:PAHAL_2G283400 transcript:PAN12679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERDAQHVGGSPPDTAIESFSQLPFVRPKPPQPASSSSPSPIRLFGFEVPPDAATSSTATSDAATSSATAGSQAAGSGAGGGEGDGGGGSGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQYQSAMATHHAHYPGHPHAYPAFTSYHHHRFGMARYEPPPGPPPHYPSWSSHLPQAAPPVVPRYYAGAGSLSQPINGSPVPAAALWRVPAVTVAAPLARQERPAPLSLPGREEAMAAGARRGNAAAGQGGSRLSLSSSSSSSTSSQHERRRGDAAENRENVSLDLTL >PAN10054 pep chromosome:PHallii_v3.1:2:5080365:5081233:1 gene:PAHAL_2G069300 transcript:PAN10054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLLASFVNPRRNPLARLHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDVQFRSCRSSRW >PAN10057 pep chromosome:PHallii_v3.1:2:5080351:5082320:1 gene:PAHAL_2G069300 transcript:PAN10057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLLASFVNPRRNPLARLHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDVQAIQTPFRSYLSDMLALVKKEKAEREALGALPLYQRTIP >PAN10056 pep chromosome:PHallii_v3.1:2:5080351:5082668:1 gene:PAHAL_2G069300 transcript:PAN10056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLLASFVNPRRNPLARLHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDVQAIQTPFRSYLSDMLALVKKEKAEREALGALPLYQRTIP >PAN10055 pep chromosome:PHallii_v3.1:2:5080490:5081162:1 gene:PAHAL_2G069300 transcript:PAN10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLLASFVNPRRNPLARLHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDVQFRSCRSSRW >PVH64085 pep chromosome:PHallii_v3.1:2:26422627:26422950:-1 gene:PAHAL_2G181000 transcript:PVH64085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIIAIDTTTTTAPSPLTAEPGPMTRARARELNYQVNSFLAVQINSSSNGVLLKPCDDFIILRCLGVEPARSGEWNNAIKAAKPEGIFVV >PVH64295 pep chromosome:PHallii_v3.1:2:39046476:39047651:-1 gene:PAHAL_2G230500 transcript:PVH64295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKYQQIVSFPSRPLTSTPEAAMSGQRPPSSLPSQSQPFRFWLPYRSNVGSWRQQPRPPAQPMPSSPRPRPPTPPPPTPAGPPPQRSAPPAVVEDIPLQAESSDESGTIPVQSSDSSQLRGGRPSVADLELTLSGEPPTGQEQISGRVDANRGSDTKIAISGFPRSRLFDGARAPYRREIEDGLKSLAARETPAPPRPESGQGYRVVTLAGHNVGASMVLGNAPPPSTAEPEPPGSTPRVAANVNSNVQSVNNSSMEGSTLSAGSPGVHVDIKNAREEPEVATPTPASKEEDKPKEPAVRRPPLVVTPREKSAAAGGGEAARRRRRQCLRALMMESGSDTEAPRKPRPGACRFQCVADHAPPLATASNGGGAGGKSAGDGGKSNTEEAAR >PAN13231 pep chromosome:PHallii_v3.1:2:46810678:46813597:-1 gene:PAHAL_2G321900 transcript:PAN13231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPRALPLLLLLLCAALSFRVAAAHGASAGGHGLGVNYGRVADDIPSPRRSVELLRAAGAGSVKIYDANPGVLRALAGTRWPVSIMVPNEIIPDIAASPAAADRWVADNLVPYYPATRVKFLLVGNEILSDYSIAKSTWPRLVPAMENIHLSFRKRGISSVKIGTTLAMDALADGAFPRPPSAAAFRPDIATSVVRPLLHFLNGTNSYYFVDAYPYFVWAGNNLTVPLDYALFQGGHTRYIDPGTGLTYTNLLDEMLDAVAIAMAKLGYGGVKLAVAETGWPNGCDYDQIGGNVHNAAIYNRNLGARMAKNPGTPLRPGAKMPVFVFSLYNEDLKGGPGTERHWGLYYANGTAVYEIDLTGRRPLWSYPPLPAPENNTPYKGPIWCVMSAAASKKLNETAVGNALSYACGQGNGTCDAIQPGKKCYLPNTTVAHASYAFNSYWQQFRKIGATCYFNNLAEQTIKDPMIWST >PAN13229 pep chromosome:PHallii_v3.1:2:46810512:46813672:-1 gene:PAHAL_2G321900 transcript:PAN13229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPRALPLLLLLLCAALSFRVAAAHGASAGGHGLGVNYGRVADDIPSPRRSVELLRAAGAGSVKIYDANPGVLRALAGTRWPVSIMVPNEIIPDIAASPAAADRWVADNLVPYYPATRVKFLLVGNEILSDYSIAKSTWPRLVPAMENIHLSFRKRGISSVKIGTTLAMDALADGAFPRPPSAAAFRPDIATSVVRPLLHFLNGTNSYYFVDAYPYFVWAGNNLTVPLDYALFQGGHTRYIDPGTGLTYTNLLDEMLDAVAIAMAKLGYGGVKLAVAETGWPNGCDYDQIGGNVHNAAIYNRNLGARMAKNPGTPLRPGAKMPVFVFSLYNEDLKGGPGTERHWGLYYANGTAVYEIDLTGRRPLWSYPPLPAPENNTPYKGPIWCVMSAAASKKLNETAVGNALSYACGQGNGTCDAIQPGKKCYLPNTTVAHASYAFNSYWQQFRKIGATCYFNNLAEQTIKDPMIWST >PAN13230 pep chromosome:PHallii_v3.1:2:46809233:46813671:-1 gene:PAHAL_2G321900 transcript:PAN13230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPRALPLLLLLLCAALSFRVAAAHGASAGGHGLGVNYGRVADDIPSPRRSVELLRAAGAGSVKIYDANPGVLRALAGTRWPVSIMVPNEIIPDIAASPAAADRWVADNLVPYYPATRVKFLLVGNEILSDYSIAKSTWPRLVPAMENIHLSFRKRGISSVKIGTTLAMDALADGAFPRPPSAAAFRPDIATSVVRPLLHFLNGTNSYYFVDAYPYFVWAGNNLTVPLDYALFQGGHTRYIDPGTGLTYTNLLDEMLDAVAIAMAKLGYGGVKLAVAETGWPNGCDYDQIGGNVHNAAIYNRNLGARMAKNPGTPLRPGAKMPVFVFSLYNEDLKGGPGTERHWGLYYANGTAVYEIDLTGRRPLWSYPPLPAPENNTPYKGPIWCVMSAAASKKLNETAVGNALSYACGQGNGTCDAIQPGKKCYLPNTTVAHASYAFNSYWQQFRKIGATCYFNNLAEQTIKDPSHGSCKFRSSLDS >PAN10792 pep chromosome:PHallii_v3.1:2:9756959:9758194:-1 gene:PAHAL_2G116600 transcript:PAN10792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGTTAPAPAKEVVEKKVELMKEIRAHEVAIAELQNLHPSRAVYQKAGNIFFRNSVKSVVTTEQKQLDLAKAGLRKLNLA >PAN12741 pep chromosome:PHallii_v3.1:2:44657209:44659857:1 gene:PAHAL_2G287800 transcript:PAN12741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARAGSRPLQPTGRDPRAICEIAAPASSSAQASNADVTRHHPLMVMVPRQQQPLLPSFLYAPPSGATGGREAPPAAVVAGAPSEPPFGKIEMFSPAYYAAGAVGGAAACGFTHAAVTPLDVIKCNIQIDPAKYKSTSSAFGVVMREQGLRGFYRGWAPTFLGYSAQGAFKYGLYEVFKKKYSDLAGPEYAAKYKTLIYLAGSATAEVFADIALCPMEAVKVRVQTQPGYARGLRDGFPKIVRSEGYAGGLFRGLVPLWGRQIPYTMMKFATYENIVEMTYKHLIPTPKDQCSKPLQLVVSFGSGYVAGVFCAAVSHPADNLVSFLNNAKGATVGDAVKNLGLVGLFTRGLPLRILMIGTLTGAQWMIYDSFKVMIGLPTTGGAPAPTTVPMEGQAELKASA >PAN15470 pep chromosome:PHallii_v3.1:2:57116605:57125227:1 gene:PAHAL_2G490200 transcript:PAN15470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKASEARGDPPLLRAVELARVVAGEGAGIPSADLAGILVSNLCFAHNSPSLWKLVGQAMASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELMKCNVTSSSLFMVAGPNRDKITKSTADALQLSKIYGYCGTEFGHVVIMFVLAVVTKLIDSILEDCGFPSGMAEGQESVYAIEGPQPMDLDVKMVSTENQNEHREQLRRKNTVMTLDVLHMMVADRKIQSFLRLIFLNMPEKFSSLSQRLSSIEAHKVALETLLPSGHKINDLLTDIRRVCNANYQPNNKHIVDVLGNMRSGGSLLGQVTGAGRAACWIIFDIYVENAIDGKHLSGISAIEVLKEMTKTLQVLNEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALMPLSVAAILKEESDMFGAEGSKILPRRQGLISSLQDLIQYSGLLVPPSSVVNAANAAASKAAIFKANYNAGVVNSSMVAQTDSSTKAVGNMLHLIIEACISRNLIDTSAYLWPGYVVSSGPLKDTTLPQESPWLNFIKGAPLSGPFIDALVATPASSVGELDKLYNIAANGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSASLPSDSSTSTPGSMNHYLAQMSTLNEILLGVSYGDAIHILSLYGMVPDVAAALMPLCEAFGSIAPPPNHKSTILGETSVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNRIDFSNSSAPSRDSYNDMGSVNEVPAQPVYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKGGVSSGNLSSTSSSSVSGSSVNASDDSFQRPAVPAWEFLEAVPFVLEAVLTACAHERLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKTVPMNGIEWPSPGASLHSIEAEIKEILASAGVQIHSCYPRGVPPMLPLPMAVLVSLTITFKLDRSLEYIQGVIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCLRSPFGRDKDAVAQLVQSCFSSFLQSSSSGSDITANRGVGALLGDSITNQGLRLPMAPGFIYLRTCQTFHDTYFVSEVILKQVIEWSHKLANGWSFNGPPQLKSGRTSLSCAASMAHQVAMLGGGLLCIAGGPLVVQVLYEETLPTLLLSAREQSLKDPGPVSSTLQGYAMANMLFFCGSLLWGADRTSPVMKLSFLSRRPRVVGTHMDFIAGVLDGHILLGCDPGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIAAGLRSWREHDLALSLLERGGPQAISVVVETML >PVH65426 pep chromosome:PHallii_v3.1:2:57118700:57125227:1 gene:PAHAL_2G490200 transcript:PVH65426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGSLLGQVTGAGRAACWIIFDIYVENAIDGKHLSGISAIEVLKEMTKTLQVLNEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALMPLSVAAILKEESDMFGAEGSKILPRRQGLISSLQDLIQYSGLLVPPSSVVNAANAAASKAAIFKANYNAGVVNSSMVAQTDSSTKAVGNMLHLIIEACISRNLIDTSAYLWPGYVVSSGPLKDTTLPQESPWLNFIKGAPLSGPFIDALVATPASSVGELDKLYNIAANGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSASLPSDSSTSTPGSMNHYLAQMSTLNEILLGVSYGDAIHILSLYGMVPDVAAALMPLCEAFGSIAPPPNHKSTILGETSVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNRIDFSNSSAPSRDSYNDMGSVNEVPAQPVYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKGGVSSGNLSSTSSSSVSGSSVNASDDSFQRPAVPAWEFLEAVPFVLEAVLTACAHERLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKTVPMNGIEWPSPGASLHSIEAEIKEILASAGVQIHSCYPRGVPPMLPLPMAVLVSLTITFKLDRSLEYIQGVIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCLRSPFGRDKDAVAQLVQSCFSSFLQSSSSGSDITANRGVGALLGDSITNQGLRLPMAPGFIYLRTCQTFHDTYFVSEVILKQVIEWSHKLANGWSFNGPPQLKSGRTSLSCAASMAHQVAMLGGGLLCIAGGPLVVQVLYEETLPTLLLSAREQSLKDPGPVSSTLQGYAMANMLFFCGSLLWGADRTSPVMKLSFLSRRPRVVGTHMDFIAGVLDGHILLGCDPGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIAAGLRSWREHDLALSLLERGGPQAISVVVETML >PVH65167 pep chromosome:PHallii_v3.1:2:53820506:53823041:1 gene:PAHAL_2G435800 transcript:PVH65167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRDLVLSEEEQGLEEKKRMERNPEASSSKGKKKKKKTEEASLLKGKKKTEEQSEKGNFYRFKERDMMVPEFLNATKLNVEAGYRKSQLGCVQNGNTMEIETVLYCRRGMVNLTYEWTPESITCKDGTVTDEELETACYCFMGTVSEPSRLFSFFLEISRRIAAGEVPSPLNNLLLSNIDNWGQLGNVVLDARLLDRLGSYRLLPLWCWRHNLVIMRELIGQRGKILVIRIDRKKIGISEERLKEIEKEAASRNTGPAYRLDPGFELVAID >PAN14040 pep chromosome:PHallii_v3.1:2:50394293:50404735:1 gene:PAHAL_2G380200 transcript:PAN14040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPPSSAAAADLYETASQPDPSASAAGDAYTFLEFNTQGDDFDYPDFPELSQPPPRSAPLPPVAATASSSSSWPAPPPPPPDAASPEPDLAPQDVPTPPASSSSPSPRSASKARTSAAADGLASGVAALSFEEPVGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKSENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQAVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYPGDSSHPTWQSVGHVIKLTAQEEVALELRASQGVPTELSVGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKSLKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMHGTNFGAGANPAADKRSGRGKGQSFVPYGPPNGVHKPGVHPAGYAVPRMPFPPFPGAPHSQPYAIPTRGMHGPIGAVPPVPQPGSRNFGAPRSNTGGPIGGHLAHQQNSQQAMGGIGSNFNYTGLENPSSQPSGGAQMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVPYNIADFSTQASQGGYGVEFTQAPQSGYSGNYMNQNAHPGYSHIGTTNDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHYGMASAGPLQSQNMMNPLYSQSYAHYNTQPQSLQPPPQ >PAN09853 pep chromosome:PHallii_v3.1:2:3999365:4002800:-1 gene:PAHAL_2G054000 transcript:PAN09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWCEEAVALLRRPAVAEMAVDVLLCAVPIWAAVMIGLVVGWSWRPRWTGLLFLGLRSRLRLLWVPPGLGARRLWLACTALSACSVAPRLLSSAFRRCRGKHQDKLAPEDHAGDDGGCADGRAIFEDEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWRREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKTLDEFPQNGTTIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKVVCSVQQQQQQLSLVSQTSWGRLEMKPTENKNKKHKKGTSQRKS >PAN09851 pep chromosome:PHallii_v3.1:2:3995158:4002800:-1 gene:PAHAL_2G054000 transcript:PAN09851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRQAEQNHNLCFWHKIRMHHNFPSSEIDSKFVIQLSAAKYLLISLNFVNGRAIFEDEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWRREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKTLDEFPQNGTTIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYLSLPKKEKPRRVELYFSSWRIRAVQSPKQPGQQSACEVTLVHYEDMGIPKDVARVAVRHGMWGAVKKLQSGFRAYQQMRAENALSHSAIMARVTTKVSIAGSNGPLDQELSAADKISNSDENSRAVQYGFDWKWVVVGGAVAAVCVLNTGLVGKVLLLGAARRQARK >PVH63553 pep chromosome:PHallii_v3.1:2:4000277:4001813:-1 gene:PAHAL_2G054000 transcript:PVH63553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRQAEQNHNLCFWHKIRMHHNFPSSEIDSKFVIQLSAAKYLLISLNFVNGRAIFEDEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWRREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKTLDEFPQNGTTIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKVVCSVQQQQQQLSLVSQTSWGRLEMKPTENKNKKHKKGTSQRKS >PAN09850 pep chromosome:PHallii_v3.1:2:3995862:4001813:-1 gene:PAHAL_2G054000 transcript:PAN09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRQAEQNHNLCFWHKIRMHHNFPSSEIDSKFVIQLSAAKYLLISLNFVNGRAIFEDEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWRREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKTLDEFPQNGTTIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYLSLPKKEKPRRVELYFSSWRIRAVQSPKQPGQQSACEVTLVHYEDMGIPKDVARVAVRHGMWGAVKKLQSGFRAYQQMRAENALSHSAIMARVTTKVSIAGSNGPLDQELSAADKISNSDENSRAVQYGFDWKWVVVGGAVAAVCVLNTGLVGKVLLLGAARRQARK >PAN09852 pep chromosome:PHallii_v3.1:2:3995158:4003166:-1 gene:PAHAL_2G054000 transcript:PAN09852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWCEEAVALLRRPAVAEMAVDVLLCAVPIWAAVMIGLVVGWSWRPRWTGLLFLGLRSRLRLLWVPPGLGARRLWLACTALSACSVAPRLLSSAFRRCRGKHQDKLAPEDHAGDDGGCADGRAIFEDEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWRREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKTLDEFPQNGTTIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYLSLPKKEKPRRVELYFSSWRIRAVQSPKQPGQQSACEVTLVHYEDMGIPKDVARVAVRHGMWGAVKKLQSGFRAYQQMRAENALSHSAIMARVTTKVSIAGSNGPLDQELSAADKISNSDENSRAVQYGFDWKWVVVGGAVAAVCVLNTGLVGKVLLLGAARRQARK >PAN11083 pep chromosome:PHallii_v3.1:2:13269471:13271024:-1 gene:PAHAL_2G140400 transcript:PAN11083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPSLITFPVRRGERQLVGPARPTPYVFKMLSDIDDQDVLRFYRSGIFFYRSNASKAALDPVKVIKSAIAEALVHFYPLAGRLRELQPTRKLVVECTGEGVVFVEADADVRMDDLGDSLAPPVPCYDKLLCEPESPTAFVVDRPLIYVQVTRLRCGGFIFGFQICHCMADGMGIVQFLTALTECARGVPGAPIVRPVWERELLTASWPPEITHDHQEYAPLPNPGKDMVTPSDVFAHHAFFFGPSEIDAIRSQAPPTLRSTASRFDLVGAFMWRCRTAALGFDPDDAVRLHIFVNARVRNRSRRTVPTGYYGNAFAFAAASAPAGELCRRPLGYALQLLVEAKARASQEGYVQSVASFNAAHRRPPFPKARTYLISDVTQAGLLAVDFGWGRPLYGGPATTMLATFHQEGRNEAGEAGILVPIRLPTLAMERLKQQLRKELLAYVAIKTADKIDSNLVTGPNLAKL >PAN09213 pep chromosome:PHallii_v3.1:2:360972:365283:1 gene:PAHAL_2G005100 transcript:PAN09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTGQVINICQQKPQKQLPTYAPFSDTSSSPGSHKRFPPNSYQVQPRKIKFICNFGGTFLPRPSDGELRYVGGERHLVQINRDMSWNELTCKTTKLIRRDHIIKYHLPGEQLNMLISITSDDDLRNMIDECIVLQANRERLTMYLFSAKDDEHNVHFLVARSSDAEKEAQFIALINGLTRPTVASRMQSLGSTSTNDLDQVMIGIKEDRLPAAMEEEDSLYIKAKSSQGVIVEPPKTSSALLEKTLPTPNFLTRMAKKDKAQNSEGNLITSGRKISGVHFSPSVPSESIHAAQRGGGSDQAVSRHQPELQRTTTTIIKKGHQVPGAQEKGPPRKEILIPLENSNANQLSSNSNNNSPTPHTSRAAYEMPASLSRGPEKTANQQTGSNNNKKPGRHNSQEVISHLAQEPPMKNNNYQLQNKMEMPAHGSESATPMQCHDDMGISSNQHTLEKSVATNSRTKQQPAVPIAGGNTLKKGHPSKLSSNSEETILSSSFTSSDKITELKPHTLMRPSSERQQERSSSPRPDESSKMIKSRSVGADRNSPQIIIPSQEVKDNTVPLISELEEHETKNSEQGLPETVALGRDLTSNVQIISNEDLEDLREMGSGAFGTVFHGKWKGTDVAIKRIKYSCFMLPSPQADKLLTEFWREAAIISKLHHPNILALYGVVNNGPGATLATVTEFMVNGSLKKVLLRKDKYLDWRKRIMLAMDAAIGMEYLHSKDIVHFDLKCDNLLVNVKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILMSEDPYDGMHYGGVIGGILSNTLRPPVPASCPPEWRKLMEECWSTEPERRPSFTEVASRLRAILEASQREPLNNLHG >PVH63326 pep chromosome:PHallii_v3.1:2:360197:366531:1 gene:PAHAL_2G005100 transcript:PVH63326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTGQVINICQQKPQKQLPTYAPFSDTSSSPGSHKRFPPNSYQVQPRKIKFICNFGGTFLPRPSDGELRYVGGERHLVQINRDMSWNELTCKTTKLIRRDHIIKYHLPGEQLNMLISITSDDDLRNMIDECIVLQANRERLTMYLFSAKDDEHNVHFLVARSSDAEKEAQFIALINGLTRPTVASRMQSLGSTSTNDLDQVMIGIKEDRLPAAMEEEDSLYIKAKSSQGVIVEPPKTSSALLEKTLPTPNFLTRMAKKDKAQNSEGNLITSGRKISGVHFSPSVPSESIHAAQRGGGSDQAVSRHQPELQRTTTTIIKKGHQVPGAQEKGPPRKEILIPLENSNANQLSSNSNNNSPTPHTSRAAYEMPASLSRGPEKTANQQTGSNNNKKPGRHNSQEVISHLAQEPPMKNNNYQLQNKMEMPAHGSESATPMQCHDDMGISSNQHTLEKSVATNSRTKQQPAVPIAGGNTLKKGHPSKLSSNSEETILSSSFTSSDKITELKPHTLMRPSSERQQERSSSPRPDESSKMIKSRSVGADRNSPQIIIPSQEVKDNTVPLISELEEHETKNSEQGLPETVALGRDLTSNVQIISNEDLEDLREMGSGAFGTVFHGKWKGTDVAIKRIKYSCFMLPSPQADKLLTEFWREAAIISKLHHPNILALYGVVNNGPGATLATVTEFMVNGSLKKVLLRKDKYLDWRKRIMLAMDAAIGMEYLHSKDIVHFDLKCDNLLVNVKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILMSEDPYDGMHYGGVIGGILSNTLRPPVPASCPPEWRKLMEECWSTEPERRPSFTESMMPSLSMKRIEVQSPRSLLWEAYMKCMVLWSPRVVEAAAADDDDNNNNNDDDDDDDDDDDDDDSYAHKC >PVH63325 pep chromosome:PHallii_v3.1:2:360249:365636:1 gene:PAHAL_2G005100 transcript:PVH63325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTGQVINICQQKPQKQLPTYAPFSDTSSSPGSHKRFPPNSYQVQPRKIKFICNFGGTFLPRPSDGELRYVGGERHLVQINRDMSWNELTCKTTKLIRRDHIIKYHLPGEQLNMLISITSDDDLRNMIDECIVLQANRERLTMYLFSAKDDEHNVHFLVARSSDAEKEAQFIALINGLTRPTVASRMQSLGSTSTNDLDQVMIGIKEDRLPAAMEEEDSLYIKAKSSQGVIVEPPKTSSALLEKTLPTPNFLTRMAKKDKAQNSEGNLITSGRKISGVHFSPSVPSESIHAAQRGGGSDQAVSRHQPELQRTTTTIIKKGHQVPGAQEKGPPRKEILIPLENSNANQLSSNSNNNSPTPHTSRAAYEMPASLSRGPEKTANQQTGSNNNKKPGRHNSQEVISHLAQEPPMKNNNYQLQNKMEMPAHGSESATPMQCHDDMGISSNQHTLEKSVATNSRTKQQPAVPIAGGNTLKKGHPSKLSSNSEETILSSSFTSSDKITELKPHTLMRPSSERQQERSSSPRPDESSKMIKSRSVGADRNSPQIIIPSQEVKDNTVPLISELEEHETKNSEQGLPETVALGRDLTSNVQQIISNEDLEDLREMGSGAFGTVFHGKWKGTDVAIKRIKYSCFMLPSPQADKLLTEFWREAAIISKLHHPNILALYGVVNNGPGATLATVTEFMVNGSLKKVLLRKDKYLDWRKRIMLAMDAAIGMEYLHSKDIVHFDLKCDNLLVNVKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILMSEDPYDGMHYGGVIGGILSNTLRPPVPASCPPEWRKLMEECWSTEPERRPSFTEVASRLRAILEASQREPLNNLHG >PVH64328 pep chromosome:PHallii_v3.1:2:40388975:40393720:1 gene:PAHAL_2G238400 transcript:PVH64328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDCKLQCRDVSKSNLRGVLSTNFSKLTALENLDLSYNNLSGPIPDSLSSLPSLRVLNVSGNNLSGDSLCTNYNGSLIFRYDSDTSACDKRTSSSRKNAAVLITSVVVPVLVVAALFLACFIWRAKRKSNVSVENCTGKLQLESAPISMKAQGDHLQDSESRRFTYNDLENITHNFERLIGQGGFGLVYYGRLEDGTMVAAKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKNHLALVYEYIWTAWTQILCLSEPECPLRIQLYRVVYIGMGNDTCNPCKHAGVEILNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLGRNLQAKIADMGLSRSYLSDTQTHISVTAAGTSGYMDPEYYLTGRLTESSDVYSFGIVLLEAATGEPPLLPGLGHIVQRVKQRMAAGDIGSIADSRLRGAYDVSSMWKVVDIAMACAADDGTARPTMADVVAQLKDSMALEDARVNDCRVPARKVQRDDAALMPSFGPSLR >PVH64197 pep chromosome:PHallii_v3.1:2:36059564:36060424:1 gene:PAHAL_2G212900 transcript:PVH64197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDAYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPIIGWGTLFRNTQAPPENPESSAAAAEGDAAAQPPTKGNPEDGEQGSLALSAPEEGLPRE >PAN12502 pep chromosome:PHallii_v3.1:2:43284095:43288448:1 gene:PAHAL_2G269100 transcript:PAN12502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLDEDAAMDADHLVGEEPGLPSQQRAWAAAVMEPVRWVRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQQVQPATVQFLSVFFYVPWVLKPLWGVMTDVFPVHGYRRRPYFLFSGILGTVSATIVAMATGLPVSSAVLCLVGISTAVAIADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMAIPPATVVFLGFFIYEMKTYQHSVKEKVLNKVSGAVKGMVRTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKTPPNPGFSQEFVGLVHAIGAVASMVGVFIYHKWLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLALGVPDASFVTLEECVSRVVGRVRLMPMMVLSTKLCPPGVEGTFFALLMCIDSLGMLAAKAGGATVLRALHVTRTDFGHLWLAVLLRNVLRLATLGAIFLVPTADQTDVLVPRELVASSPAAVADDEEERLQLAMLTSHTDEV >PAN09998 pep chromosome:PHallii_v3.1:2:4725205:4726209:-1 gene:PAHAL_2G064200 transcript:PAN09998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKWWVTASLLLCLAVAGAAARGTPRGDCDDTATFASPAAVAGDDDDDSAVEEAKTADVFGGRTGGGGLFGGVHGPLGGGVAGFGPFGGAVAGAGPFGGFGGGGGLGGGGGGGGGGVP >PVH64707 pep chromosome:PHallii_v3.1:2:47933692:47934368:1 gene:PAHAL_2G339000 transcript:PVH64707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLCCLLTSTHLTWQGEDSENLQVYCRYSTDSPKQSAIRDQWCEGSKASAGETSQAQLVGREKEQPNPTFQPSEVAR >PAN15310 pep chromosome:PHallii_v3.1:2:56376636:56378584:-1 gene:PAHAL_2G479600 transcript:PAN15310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASNNFLISLVVVVAAAALASGASAQLSSTFYDTSCPNALSTIRTAVNAAVAQEARMGASLLRLHFHDCFVQGCDASVLLNDTNGGEQNAIPNAGSLRGFGVIDNIKAQLEALCPQTVSCADILTVAARDSVVALGGPSWTVPLGRRDSTNSNATGANSDLPPPSFNLDQLISSFGNKNLSVTDMVALSGAHTIGQARCTTFRDHLGEANINSTFAASLRTSCPAAQSTSTDNNLAPLDVTTPNTFDNAYYSNLLSQRGLLHSDQELFNNGSTDSIVRNFASNAAAFTSAFATAMVTMGNLQPLTGTQGQIRRNCWRVNS >PAN11753 pep chromosome:PHallii_v3.1:2:36416024:36417984:1 gene:PAHAL_2G214200 transcript:PAN11753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELSAATLIFVFLVSLPILVTLLRRKSTPTSKKRRPPGPWNLPLIGSLLHFSKSHAPVVLRDLASKYGPVMFLRMGQIDTVVVSSPAAAQEVLREKDVIFASRPSIVASEVFCYGNLDIGFSPYGAYWRTVRKLCTVELLSAKMVRQFAPIRDNETLSLIRNIQAAGRGGEPVNLGRLLLSCSNSITAKAAFGQVCSSELRDQFLSSIAVAMNFSGGFTVGDVFPSLRFIDTVTGLRRRMWRLRRQLDDVFDKIIARSEAQRGDSLVSVLLRIRDEGMLEFPIGTTNIKAIILDMFTGGTETTSSAAEWIMSELMRNPDVMAKAQAEVRRVFDNVSPQDHEAKMEELHYIKMVIKESMRLNPVVPLLIPHLCQETCDVGGFQVTEGTRVMINMWAMARNPEYWHDAEKFRPERFEDGMIDFKGSRFEYLPFGAGRRRCPGDTFGLAALELIIARLLYYIDWSLPVGMQPDDIDMEMIVGATSRRKNQLHLVASPHKVVPVQC >PAN12268 pep chromosome:PHallii_v3.1:2:41957281:41964302:-1 gene:PAHAL_2G254300 transcript:PAN12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMIPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGAMGAGAGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGVSGAAGAVEDMQLQSDRENLYSSTIKSNRLPEDLVETAGERSIRKACETLAADMIETIRSSFPAFEGSGINSSCQLDVAKLGIDLDGDIPTDVKAVVLDSLKNPTLLLQSIITYTSRMKTLIHRETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSQLSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTIDMAGSKKLPTGNTSQNVTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALQRANMDASAFWEQQPLSARGYASSTIIPACNAVVDMSTNSRDLIERELAAFGQSLDNSLCRLPATPQALLEAVGSSGVTGAEALAAAEKHAALLTARAGARDPSAVPSICRISAALQYNSVSPGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKVVSERWLPELRNAVQEARRCFEDCQRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSVGDD >PAN15278 pep chromosome:PHallii_v3.1:2:56219472:56221412:-1 gene:PAHAL_2G477100 transcript:PAN15278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSGVAVVTVPFPAQGHLNQLLHLSLLLASRGLPVHFAAPEPHLREVRARLHGWGGGGAADSLLLSTVRFRALEVPAHESPAPDPASPFPAHMLPLFEAFCSSARAPLGKLLDELSATHRRVVVLHDRMAAFAAGEAARLPNGEALGVHCLAASYNVGWADPGHALLRDHGLVFHPPDACATKEFVALAKRMGQERRRAPGAGMVVNTCRALEGEFLDVLAGIPSSDGPKLFAVGPLNPVLLPGAECLDWLDKQPPSSVLYVAFGTTSSLRQEQVRELAAALRDSGQRFIWVLRDADRADMRAEAAAESGARLSVAASELRDSMARGAGVVITGWAPQLEILAHGATAAFMSHCGWNSTVESLSHGKPILAWPMHSDQPWDAELVCKYLRAGVLVRPWEQRHDVAPAAAIREAIEKVMASDEGTEMRQRAAALGEAVRGAVAEGGSSRQDLEELVAYMTR >PAN13750 pep chromosome:PHallii_v3.1:2:52781240:52783996:-1 gene:PAHAL_2G419200 transcript:PAN13750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKRELEKKRKAASADFGGKSFVRRSELEQKQLQKRRDEQRHLLAKAGASAPRSGLRPKQPRRRGPGGGGNPNPSSSSSAAAASVPPALAPKKTTQEEALLSEERRIDELDLPRHEVVRRLRVLREPVTLFGEDDDARLARFKLILKSGVIDDIDDIDMTEGQTNDFLRDMIEMRKRQKSGRDTYAKGKGKRVGGGDGGDGGAAGDSADDGDGKGSGDDADADKDSKRMRTKFEELCNEDKILVFFKKLLNEWNQELDDMSELEKRTAKGKSMVATFKQCARYLSPLFEFCRKKVLPDDIRQALLVIVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEENGSGKASEERLRLMPASKE >PVH63948 pep chromosome:PHallii_v3.1:2:13747056:13748734:1 gene:PAHAL_2G143000 transcript:PVH63948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDIWTLDLECHGAAAGQEYVQREMDRDEICYFNLIEFIEEYGFNAIDYLYHKKRDSLVAIQSDADVMEMLKENERTKKGKGVQSKSRA >PAN10041 pep chromosome:PHallii_v3.1:2:5021718:5022659:1 gene:PAHAL_2G068400 transcript:PAN10041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQFQLPQSHPQQQCTYQLPVTKETKPSPRVRSKCGGGGGGGGGGGGKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGANTRTNFAAGAHASSPDSPLAVRIRGILNHKKLKKNAAPPTVNFFPAAYHRAGAITTAAATSSTSTSTITTTTSSISPSSSPSSSINFSMSSNGVRTPILPAQSIAEEVCRPYLISGSEELQLASQQYGQSWALNTSLPFTDGCTMANNNACSVAASSEVDKIKKEKELFDTDRVPNGGMDRVQDKELFDTGNDPSDSLWDLPPIYPLPCRSLMY >PVH65061 pep chromosome:PHallii_v3.1:2:52522922:52525575:-1 gene:PAHAL_2G415300 transcript:PVH65061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCQGLPAGNAQLLAFPGRSSSLRSTQFHVKKNHFSAGALRVGADSVRHGMITSLPKENSVKGIPSLSIRHNQQPRSVSCQASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLLYTFIDTMTLCPGWLFLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSIAFIQIFTVLECMRCALCGMYPNVPFISHTAFIHSDLNLFR >PAN09740 pep chromosome:PHallii_v3.1:2:3545773:3548628:1 gene:PAHAL_2G048400 transcript:PAN09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVKNPHVFLDISIGGGRPDRITFELFANVVPKTAENFRALCTGEKGIGASTQKPLHFKGMIFHRIVKGFMAQDMVEKAYMAEIFQMKTSGYPMISLVSYLWQVVDQTAMDPNFL >PAN11282 pep chromosome:PHallii_v3.1:2:15267922:15270282:-1 gene:PAHAL_2G149900 transcript:PAN11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKPGAAAMSSLPSPPTPTACSSLALIGIHRCQEGAMPSRRHRARADLSSTDAEGQDNKSSRGKQCPSVPARAECRGRGLVPFVASSGLVPRSCGHKVDKEMEIRQKKEQVPRAYLTLAFNRQFVPKK >PAN13370 pep chromosome:PHallii_v3.1:2:47484065:47488576:-1 gene:PAHAL_2G331700 transcript:PAN13370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLSKACSIVASSLPRCSSSSAAPTMRGQPSLLPSVRKQWLGKPLLYGIGSLLVMPLRTLHGVGRVFGAGRFLCNMTSVSSSLQIELVPCLRDNYAYILHDVDTGTVGVVDPSEAMPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSEKDRDRIPGIDITLKEGDTWMFAGHQVLVLETPGHTSGHVCYYFAGSGAIFTGDTLFSLSCGKLFEGTPQQMYSSLQKIIALPDETKVYCGHEYTLSNSKFALSVEPGNKELQEYAANVAELRNKNIPTVPTTIGIEKQCNPFLRTANPEIKRTLSIPDHFEEDRVLEVVRRAKDNF >PAN09706 pep chromosome:PHallii_v3.1:2:3160251:3160613:1 gene:PAHAL_2G045000 transcript:PAN09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPAGIRTRACPQPTPAGPTLPAPCSCQPLPCSRSDPWNSVRRAHPGLHFFSPVKIRSGGAVPARFRRFVWPVLAGFVPAPVVGTGWWSGGATEGIKFQSFSSHLLPQLAGISVDSDS >PAN13011 pep chromosome:PHallii_v3.1:2:45930079:45931445:1 gene:PAHAL_2G307500 transcript:PAN13011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLLALVAAAAVLTAPPGKLAQAARTTSPEAFWRAALPNAPMPESVRELLRHGAGMHAEVKPPSPDSLKPRDDDPPPMNFNYDDYRASSSISPSPRDQAATTAKALEHVAPTAKAVDQATGARNAATDDDGGDISRASQAAVFFLEDAVRVGGSLPFPRAAPADQQAPLELRTVRAVRAVQGSSFVVCRGAAPGSAAGGRAVYGCRGVAGPARAYVVDVAGERGDAVTAAVVCRTDGTSKSKLGHAALRLLDAKPGGGGGAAAACYAVPDAQVLLVKSNKVPSSA >PVH64586 pep chromosome:PHallii_v3.1:2:45930079:45931445:1 gene:PAHAL_2G307500 transcript:PVH64586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLLALVAAAAVLTAPPGKLAQAARTTSPEAFWRAALPNAPMPESVRELLRHGMHAEVKPPSPDSLKPRDDDPPPMNFNYDDYRASSSISPSPRDQAATTAKALEHVAPTAKAVDQATGARNAATDDDGGDISRASQAAVFFLEDAVRVGGSLPFPRAAPADQQAPLELRTVRAVRAVQGSSFVVCRGAAPGSAAGGRAVYGCRGVAGPARAYVVDVAGERGDAVTAAVVCRTDGTSKSKLGHAALRLLDAKPGGGGGAAAACYAVPDAQVLLVKSNKVPSSA >PAN12575 pep chromosome:PHallii_v3.1:2:43686985:43690139:1 gene:PAHAL_2G274800 transcript:PAN12575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILSGFIRPSGPAAKQQQQLLQRQPRLVAADLLWPGSGRKGAPAGDDFEADFREFVRGLGEDDDADTACGGDEVLEVPPPEPATFAFAAAAKAAPPAVDGVMTPKTVQHDEPTATSAKRNRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEAPDAQKSILKPTTANLTKLAPLRKACADEAFNNLSNGDNDLFAMFAFSDSKVLVKPAEGASFLPSVKALVPTKRSAENMLSDQSSNSYGSSDFGWDDETMASDYTSAFAPNNAVPAYANPGYLQGEAPKRMRNNYGVAVPQGNGASNLAQGMSGFDPEMNYLPLPYVESSSDTSMDSLLQNDAPQDGASSGDLWSLDELLMAAGAF >PAN13004 pep chromosome:PHallii_v3.1:2:45907608:45908905:1 gene:PAHAL_2G306900 transcript:PAN13004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRPERKAKRAREEEEQGGAAPADFPFEEAAGADDAGEASRRPPGVFQFPWQKCRGGLGVSPAAGAGAGAAGWELRDVFFRSLVDGGAAAIGVPGDRLVSPPPSKRALLEGIDAWLAAAADGEVDPVWRSALMSRRGPASSAA >PAN09687 pep chromosome:PHallii_v3.1:2:3112283:3114740:-1 gene:PAHAL_2G044100 transcript:PAN09687 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3119 [Source:Projected from Arabidopsis thaliana (AT3G04790) UniProtKB/TrEMBL;Acc:A0A178V804] MAATAISVRLHPAAARHLTAARRARLGVVRALSAPAAAALTQDDLKRLAAVRAVESVQSGMVLGLGTGSTAAFAVAEIGALLASGKLEKIVGVPTSKRTFEQAQSLAIPLSTLDDHPHIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVIVDETKLVDGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVEAKLRLEGDKPYVTDNSNYIVDLYFKTPIKDALAAGMEIAALEGVVDHGLFLNMASSVIIAGSDGVSVKTK >PAN11243 pep chromosome:PHallii_v3.1:2:30621893:30622186:1 gene:PAHAL_2G193500 transcript:PAN11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKTHHMTSLGTLSYTFCRSIKTMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PAN15081 pep chromosome:PHallii_v3.1:2:55315166:55316720:1 gene:PAHAL_2G462800 transcript:PAN15081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLAVLTVLALLGSVSCQAGYGGYGYGGGNPTPPTPTYPPAPSPPTTYPSPTPSPPAAGLRVGYYDDKCPGAEGIVREAVRGADAGIKAGLVRLFFHDCFVRGCDASVLLQPTDANPQPEMLGIPNLSLRGFEVIDAAKATLEAACPGVVSCADIVAFAGRDASYFLSGYAINFTMPAGRYDGNVSLASETLPNLPPPFADVPRLKAMFAAKGLDTVDMVALSGAHSIGRSHCSSFPDRLPPSNTSDMDPTLAAKLQADCASPTGADNTVVQDYKTPDQLDSQYYMNVINHKVLFASDAALLKSNETIPLVYAAALSPRRWQYKFGEAMVKMGGVEVKTAANGEIRKMCGFINKPYSG >PAN09293 pep chromosome:PHallii_v3.1:2:435239:436675:-1 gene:PAHAL_2G006800 transcript:PAN09293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQDVAPVRALVWLLALAALGKAAALFDQEWPSDPAMYPPGRVIAVDLGNTNSCVAGYGSGQTQTMFQLCIPSWVAFADDGAVLVGEDARNHAAVNPQATVSGFKRLLGKRLTRVFEREFAQRVKENLSYKVDVEKDVWPHIQVTTSDGAVRLLGLEKLTAMVVAKLKETAEAYLGHRQRSPGTPRSSPAGRFAGFEAMSVLSEPTAAANAYGVDEHLRDEGNVVVLHVGVGTAEASVLTLVDGVYYEALGLENDPFFGGQDFDRRIMDHFVRLVRNKHGKDIGNDRAAALDKLRTACERAKKTLSHQDHARVTVESLVDGVDLAEPLTRAEFEELNHDLFLKVVELVDKVVSQAQVYYMDSKLVIDEVVLIGGSTMIPKVRELVREYFGGTNNKELNTRITVGAAQYSNWTYSKQRSALLARRELS >PVH63668 pep chromosome:PHallii_v3.1:2:6019072:6023853:1 gene:PAHAL_2G081600 transcript:PVH63668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPAAAGEAEDFLEVRCAGCGETLEVERGLAEFACPDCATPQALPPELMPPPPPRRRRALPLPPSAPEAPAPAGARLPCGSCGAMLGVPPGLARCGCPVCGAELAVDPARLRRYLLSAAAAPLVPVSLPPVFRALEGRQDYPDSTVGVGHIQGHPNNQLRHLERSQAIRQNTQAFVEFPDAGTDSDDIDTEMSNEINEMPCHRNGFPAADRSVGAKGRQLETLNHVTCQAHAQQSHHSVHAEHPSDHIIHVREAQNESASHAMHRGLGHVELVKEKTVVRHTNQVTGTATGPKSVSVQKRQAQTPKQITRDRQQKTRPPKLLSPTEHDPEHSNDNIQVEQDEADMGQVTARLAHKSTKRDLTSSNKGFGHRRSKRLAKQSAATAYYESPTNESEENEAVSPSHTISDSQDIDGVSNDISSSSLPQHNMPHRRSNEADDLHATTQSASIPDMSDPESFACYYSKTCPPEVRRALERNPNFGHAGGKEKRKRGGRGPTLCLKVWTMPEGVRIRVPFNDLGQPIGDEAGTLSSFLGQIARDGTVAPLTYTDWRYFPEKNKKAIMHLVNLKFVLPPIGQIWSMNALGKKWKDWKVVLKHERYDFHETDEERLANRDFRVPEEQWKLLVAYWGTEKAKAASARCKASQEEHPRDRHHRTGSKSYARIREEERQKRPNMVEPSLTDVSILTHTPKDGGSAEVIGPDQ >PVH63669 pep chromosome:PHallii_v3.1:2:6019682:6023853:1 gene:PAHAL_2G081600 transcript:PVH63669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEINEMPCHRNGFPAADRSVGAKGRQLETLNHVTCQAHAQQSHHSVHAEHPSDHIIHVREAQNESASHAMHRGLGHVELVKEKTVVRHTNQVTGTATGPKSVSVQKRQAQTPKQITRDRQQKTRPPKLLSPTEHDPEHSNDNIQVEQDEADMGQVTARLAHKSTKRDLTSSNKGFGHRRSKRLAKQSAATAYYESPTNESEENEAVSPSHTISDSQDIDGVSNDISSSSLPQHNMPHRRSNEADDLHATTQSASIPDMSDPESFACYYSKTCPPEVRRALERNPNFGHAGGKEKRKRGGRGPTLCLKVWTMPEGVRIRVPFNDLGQPIGDEAGTLSSFLGQIARDGTVAPLTYTDWRYFPEKNKKAIMHLVNLKFVLPPIGQIWSMNALGKKWKDWKVVLKHERYDFHETDEERLANRDFRVPEEQWKLLVAYWGTEKAKAASARCKASQEEHPRDRHHRTGSKSYARIREEERQKRPNMVEPSLTDVSILTHTPKDGGSAEVIGPDQ >PVH64933 pep chromosome:PHallii_v3.1:2:50945774:50947432:1 gene:PAHAL_2G388600 transcript:PVH64933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLYRQIDEGNFLVAYFGGYMEGAPDVKIWLQRYRGGHWHPPVVADEQFGVPMWNPVMFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPFLLEDGRLLCGSSVESWNSWGAWLEVTQDAGRTWRKYGPIYLDGQPLGVIQPVPYRTAKGVIRVLLRSFQTIGRVCMADSFDGGVTWSFVRGTQLPNPNSGIDGVKMKDGRVVLAYNTFSRGTLKLAVSLNDGDSWNEVMTLEDTSGMEFSYPAVIQTMDGLIHVTYTYNRTQIKHVVIKPGATVK >PAN14165 pep chromosome:PHallii_v3.1:2:50944198:50947860:1 gene:PAHAL_2G388600 transcript:PAN14165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIHGTNKLQLLWNVISCMLLFYAFFKFHSPHKIHLGKKPFDFTISASRLLHEIPANSRRVQDLNYRKVDNGWRLAEITQNITNITGWSILKEEFTFPARGAPFNSCHASTIVQIDEGNFLVAYFGGYMEGAPDVKIWLQRYRGGHWHPPVVADEQFGVPMWNPVMFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPFLLEDGRLLCGSSVESWNSWGAWLEVTQDAGRTWRKYGPIYLDGQPLGVIQPVPYRTAKGVIRVLLRSFQTIGRVCMADSFDGGVTWSFVRGTQLPNPNSGIDGVKMKDGRVVLAYNTFSRGTLKLAVSLNDGDSWNEVMTLEDTSGMEFSYPAVIQTMDGLIHVTYTYNRTQIKHVVIKPGATVK >PAN12233 pep chromosome:PHallii_v3.1:2:41680969:41684326:1 gene:PAHAL_2G251800 transcript:PAN12233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATAAAFLARPHPRLLRIGRWGPDGIALVRGGIVALPPRLRGPRCSVSLAIGGGAGASEDRGFSYEHVPVFPRYRIRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPSMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIVGSLLVPLIPTFIIPPSWSLELLTSLVAYIFLFLGCTFLK >PAN12234 pep chromosome:PHallii_v3.1:2:41680969:41684326:1 gene:PAHAL_2G251800 transcript:PAN12234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATAAAFLARPHPRLLRIGRWGPDGIALVRGGIVALPPRLRGPRCSVSLAIGGGAGASEDRGFSYEHVPVFPRYRIRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPSMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIVGSLLVPLIPTFIIPPSWSLELLTSLVAYIFLFLGCTFLK >PAN12271 pep chromosome:PHallii_v3.1:2:41972646:41979430:1 gene:PAHAL_2G254500 transcript:PAN12271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAEPRPRGRLPALLLLVLLAASAALPRGARAVELGLKVPFSPRDVLPILPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPAAWGGACFAENEAAIELTPGDRNGTDVGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENDKGEEVIAIVPWDEWWTLALKDESNPQIALLPLHPDIRARFNESAAWEYVRSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRVQPLYAANMWNEALNKRLGTEGLDLQGIIIETERRGMSFDQLLTTPEQDEWIYSDGKSTTCVAFILAMYKEAGIFAPFTESIQVTEFTIRDAYMLKIFDDNPARLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >PAN13778 pep chromosome:PHallii_v3.1:2:49357001:49368328:1 gene:PAHAL_2G363100 transcript:PAN13778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASWPARWPPPPLPSQMDGVTLRHLLRPFAGQEAAAGGRAAAAPEQLVTNPSAAQSGQPARAGPSNELLAQVPGSYSTFGLAPDLKALFGKPSASNAADIIDLTRASPPGSAATATLPMHSRHGLTASSSNEQFSAGAPFRNKTANGMGTFTGEGSTKEGMTDGGIQFHDSSAHALQKLPFKSTTHHHPTLLGDRIRVTCLNVGGEFFVGEAGLFGVICLCHRLRMSVAKFCEHAGGTPEKAGEIVRVENGMTIAQWFKFCIGVGGSIASTRWDWPEWACMKSSPEEYMLKSLASRNSDPYPKQATKINSNLAEHNEASISTGNKKQPLEVANGTKHSEGGERTDDTSKNSFISLFLSHLERNSTPEHIDDILNSNEHYHPKNPDVACGSDHPKIASRQIETRVNDYHPKLPPTIIHMNRRSEGISLSGAYSGDNPQDTFHANSQEKLIHGDCPSRLLPNQPNAGLSKNCARVSCPANCMSCTHVANKSHQVAHGEIGVPFYDKMPRGQGTFERVDDLCTHRSLRAYAKINCENGKSCCSSREFLPSSCQNDQSTLGKSICACCCKIREDVSKLGFIPGHLCRTSFSSDLGPVLASKPTLEGQDELCACSTFAERSSLRSREHILQSSCYACPIDGLYYRSSMGHAADSLTNHPLFDALNTKEQGPCCNGRCCYSVVPKCLSGCGFTKHCDVRIDQIAHNAPKGKHQLQMPTRCCTLEENEKLTCQCLSNRIAGRNLSQASYCKHVPDKVMNQPSIAITERLKNVSEASVADDSSSKAITEKKGACRDSVVSKGQPKFGFSSGSSSAVVTKFQMSPEVNNVSSIAKHRKHKNLCDEGSRIEKCSTSSYVRTNSTGCEEAINSFTISQFDPSRVKRKSNQISEGSRLEEKDNEEPCFGPPKKFRTLRFSGKNSESDDCTRTSSQSSQKGGCQPQNEVNSFSCKVLRTKRKHPIMQLNKPAKQLHNQNKVFKGDDEQPDTKGSCFGGSDSFDRRKQVEDMTILERTKHHREGSRVFVRKLPKYVSLNCIVNEPNSEDAWSGSAGIDPSLIVTGIANDNRKSPKIVSLSLVLKKAKRCHSVKLCKTESTHLYEEKGSDCSVTSSDYSVDKYSVDNEDCGPQAEYEMQGSKRSRYSSNGLRSHFVNHCKRPSGGTKNRRASVSFARIKSHTEFANRSACYSGSDKDNAVLTHEVNVRRHSGRLSSDAPCCVCGISDLEPCNQLIQCSKCYIKVHQACYGVLKVPRGQWFCRPCKTNTLNAVCVLCGYGGGAMTRALKTKNILKSLLKGLTTIARSEKYVDSLGNASNECTSLQNPVDSAHGDSIVNMENITSNSWTSINHNSSLLGPRTMQWVHMVCGLWTPGTKCPNAATMSAFDVSGASPAKRNTACSICGRTGGSFIKCRDVNCLVLFHPWCAHQRGLLQSEPEGERNENIGFYGQCMDHANDYSSHINSKKECLRSSNWTCARTEGYKGREGEGYPGTSHRKSEVYSGEFSVSQEQINAWVRINGSKPCGRGQKEYIHYKQLKGWKHLVVYKSGIHGLGLYTSVFIPRGSMVVEYVGEIVGQRVADKREIEYQSGKRQQYKSACYFFKIDREHIIDATRKGGIARFVNHSCQPNCVAKIISVRNEKKVMFFAERHINPGEEITYDYHFNREDDGQKIPCFCRSRYCRRYLN >PAN13779 pep chromosome:PHallii_v3.1:2:49357001:49368328:1 gene:PAHAL_2G363100 transcript:PAN13779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASWPARWPPPPLPSQMDGVTLRHLLRPFAGQEAAAGGRAAAAPEQLVTNPSAAQSGQPARAGPSNELLAQVPGSYSTFGLAPDLKALFGKPSASNAADIIDLTRASPPGSAATATLPMHSRHGLTASSSNEQFSAGAPFRNKTANGMGTFTGEGSTKEGMTDGGIQFHDSSAHALQKLPFKSTTHHHPTLLGDRIRVTCLNVGGEFFVGEAGLFGVICLCHRLRMSVAKFCEHAGGTPEKAGEIVRVENGMTIAQWFKFCIGVGGSIASTRWDWPEWACMKSSPEEYMLKSLASRNSGTGKVGLLGGYGKNTGPINNPDYSSNLYIEGGGCTNVDKQMNGSDETNYRKSVDVHEALIKNSALLQNSTIMNLGLAKNHMVHALNLNPLSTPNGSLHFEASRGRHYNGNHLAHNYGILPEKNLDASFHNPGPHSTRVVSHDSRVCRPDFPHKILQDNLCNPSNTELKLGQSSYHQPMTTLFPLGQSTVIDFQKSQSHRPLVNQNPYPKQATKINSNLAEHNEASISTGNKKQPLEVANGTKHSEGGERTDDTSKNSFISLFLSHLERNSTPEHIDDILNSNEHYHPKNPDVACGSDHPKIASRQIETRVNDYHPKLPPTIIHMNRRSEGISLSGAYSGDNPQDTFHANSQEKLIHGDCPSRLLPNQPNAGLSKNCARVSCPANCMSCTHVANKSHQVAHGEIGVPFYDKMPRGQGTFERVDDLCTHRSLRAYAKINCENGKSCCSSREFLPSSCQNDQSTLGKSICACCCKIREDVSKLGFIPGHLCRTSFSSDLGPVLASKPTLEGQDELCACSTFAERSSLRSREHILQSSCYACPIDGLYYRSSMGHAADSLTNHPLFDALNTKEQGPCCNGRCCYSVVPKCLSGCGFTKHCDVRIDQIAHNAPKGKHQLQMPTRCCTLEENEKLTCQCLSNRIAGRNLSQASYCKHVPDKVMNQPSIAITERLKNVSEASVADDSSSKAITEKKGACRDSVVSKGQPKFGFSSGSSSAVVTKFQMSPEVNNVSSIAKHRKHKNLCDEGSRIEKCSTSSYVRTNSTGCEEAINSFTISQFDPSRVKRKSNQISEGSRLEEKDNEEPCFGPPKKFRTLRFSGKNSESDDCTRTSSQSSQKGGCQPQNEVNSFSCKVLRTKRKHPIMQLNKPAKQLHNQNKVFKGDDEQPDTKGSCFGGSDSFDRRKQVEDMTILERTKHHREGSRVFVRKLPKYVSLNCIVNEPNSEDAWSGSAGIDPSLIVTGIANDNRKSPKIVSLSLVLKKAKRCHSVKLCKTESTHLYEEKGSDCSVTSSDYSVDKYSVDNEDCGPQAEYEMQGSKRSRYSSNGLRSHFVNHCKRPSGGTKNRRASVSFARIKSHTEFANRSACYSGSDKDNAVLTHEVNVRRHSGRLSSDAPCCVCGISDLEPCNQLIQCSKCYIKVHQACYGVLKVPRGQWFCRPCKTNTLNAVCVLCGYGGGAMTRALKTKNILKSLLKGLTTIARSEKYVDSLGNASNECTSLQNPVDSAHGDSIVNMENITSNSWTSINHNSSLLGPRTMQWVHMVCGLWTPGTKCPNAATMSAFDVSGASPAKRNTACSICGRTGGSFIKCRDVNCLVLFHPWCAHQRGLLQSEPEGERNENIGFYGQCMDHANDYSSHINSKKECLRSSNWTCARTEGYKGREGEGYPGTSHRKSEVYSGEFSVSQEQINAWVRINGSKPCGRGQKEYIHYKQLKGWKHLVVYKSGIHGLGLYTSVFIPRGSMVVEYVGEIVGQRVADKREIEYQSGKRQQYKSACYFFKIDREHIIDATRKGGIARFVNHSCQPNCVAKIISVRNEKKVMFFAERHINPGEEITYDYHFNREDDGQKIPCFCRSRYCRRYLN >PVH64826 pep chromosome:PHallii_v3.1:2:49357001:49368328:1 gene:PAHAL_2G363100 transcript:PVH64826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASWPARWPPPPLPSQMDGVTLRHLLRPFAGQEAAAGGRAAAAPEQLVTNPSAAQSGQPARAGPSNELLAQVPGSYSTFGLAPDLKALFGKPSASNAADIIDLTRASPPGSAATATLPMHSRHGLTASSSNEQFSAGAPFRNKTANGMGTFTGEGSTKEGMTDGGIQFHDSSAHALQKLPFKSTTHHHPTLLGDRIRVTCLNVGGEFFVGEAGLFGVICLCHRLRMSVAKFCEHAGGTPEKAGEIVRVENGMTIAQWFKFCIGVGGSIASTRWDWPEWACMKSSPEEYMLKSLASRNSGTGKVGLLGGYGKNTGPINNPDYSSNLYIEGGGCTNVDKQMNGSDETNYRKSVDVHEALIKNSALLQNSTIMNLGLAKNHMVHALNLNPLSTPNGSLHFEASRGRHYNGNHLAHNYGILPEKNLDASFHNPGPHSTRVVSHDSRVCRPDFPHKILQDNLCNPSNTELKLGQSSYHQPMTTLFPLGQSTVIDFQKSQSHRPLVNQNPYPKQATKINSNLAEHNEASISTGNKKQPLEVANGTKHSEGGERTDDTSKNSFISLFLSHLERNSTPEHIDDILNSNEHYHPKNPDVACGSDHPKIASRQIETRVNDYHPKLPPTIIHMNRRSEGISLSGAYSGDNPQDTFHANSQEKLIHGDCPSRLLPNQPNAGLSKNCARVSCPANCMSCTHVANKSHQVAHGEIGVPFYDKMPRGQGTFERVDDLCTHRSLRAYAKINCENGKSCCSSREFLPSSCQNDQSTLGKSICACCCKIREDVSKLGFIPGHLCRTSFSSDLGPVLASKPTLEGQDELCACSTFAERSSLRSREHILQSSCYACPIDGLYYRSSMGHAADSLTNHPLFDALNTKEQGPCCNGRCCYSVVPKCLSGCGFTKHCDVRIDQIAHNAPKGKHQLQMPTRCCTLEENEKLTCQCLSNRIAGRNLSQASYCKHVPDKVMNQPSIAITERLKNVSEASVADDSSSKAITEKKGACRDSVVSKGQPKFGFSSGSSSAVVTKFQMSPEVNNVSSIAKHRKHKNLCDEGSRIEKCSTSSYVRTNSTGCEEAINSFTISQFDPSRVKRKSNQISEGSRLEEKDNEEPCFGPPKKFRTLRFSGKNSESDDCTRTSSQSSQKGGCQPQNEVNSFSCKVLRTKRKHPIMQLNKPAKQLHNQNKVFKGDDEQPDTKGSCFGGSDSFDRRKQVEDMTILERTKHHREGSRVFVRKLPKYVSLNCIVNEPNSEDAWSGSAGIDPSLIVTGIANDNRKSPKIVSLSLVLKKAKRCHSVKLCKTESTHLYEEKGSDCSVTSSDYSVDKYSVDNEDCGPQAEYEMQGSKRRTKNRRASVSFARIKSHTEFANRSACYSGSDKDNAVLTHEVNVRRHSGRLSSDAPCCVCGISDLEPCNQLIQCSKCYIKVHQACYGVLKVPRGQWFCRPCKTNTLNAVCVLCGYGGGAMTRALKTKNILKSLLKGLTTIARSEKYVDSLGNASNECTSLQNPVDSAHGDSIVNMENITSNSWTSINHNSSLLGPRTMQWVHMVCGLWTPGTKCPNAATMSAFDVSGASPAKRNTACSICGRTGGSFIKCRDVNCLVLFHPWCAHQRGLLQSEPEGERNENIGFYGQCMDHANDYSSHINSKKECLRSSNWTCARTEGYKGREGEGYPGTSHRKSEVYSGEFSVSQEQINAWVRINGSKPCGRGQKEYIHYKQLKGWKHLVVYKSGIHGLGLYTSVFIPRGSMVVEYVGEIVGQRVADKREIEYQSGKRQQYKSACYFFKIDREHIIDATRKGGIARFVNHSCQPNCVAKIISVRNEKKVMFFAERHINPGEEITYDYHFNREDDGQKIPCFCRSRYCRRYLN >PVH64825 pep chromosome:PHallii_v3.1:2:49357001:49368328:1 gene:PAHAL_2G363100 transcript:PVH64825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASWPARWPPPPLPSQMDGVTLRHLLRPFAGQEAAAGGRAAAAPEQLVTNPSAAQSGQPARAGPSNELLAQVPGSYSTFGLAPDLKALFGKPSASNAADIIDLTRASPPGSAATATLPMHSRHGLTASSSNEQFSAGAPFRNKTANGMGTFTGEGSTKEGMTDGGIQFHDSSAHALQKLPFKSTTHHHPTLLGDRIRVTCLNVGGEFFVGEAGLFGVICLCHRLRMSVAKFCEHAGGTPEKAGEIVRVENGMTIAQWFKFCIGVGGSIASTRWDWPEWAYPYPKQATKINSNLAEHNEASISTGNKKQPLEVANGTKHSEGGERTDDTSKNSFISLFLSHLERNSTPEHIDDILNSNEHYHPKNPDVACGSDHPKIASRQIETRVNDYHPKLPPTIIHMNRRSEGISLSGAYSGDNPQDTFHANSQEKLIHGDCPSRLLPNQPNAGLSKNCARVSCPANCMSCTHVANKSHQVAHGEIGVPFYDKMPRGQGTFERVDDLCTHRSLRAYAKINCENGKSCCSSREFLPSSCQNDQSTLGKSICACCCKIREDVSKLGFIPGHLCRTSFSSDLGPVLASKPTLEGQDELCACSTFAERSSLRSREHILQSSCYACPIDGLYYRSSMGHAADSLTNHPLFDALNTKEQGPCCNGRCCYSVVPKCLSGCGFTKHCDVRIDQIAHNAPKGKHQLQMPTRCCTLEENEKLTCQCLSNRIAGRNLSQASYCKHVPDKVMNQPSIAITERLKNVSEASVADDSSSKAITEKKGACRDSVVSKGQPKFGFSSGSSSAVVTKFQMSPEVNNVSSIAKHRKHKNLCDEGSRIEKCSTSSYVRTNSTGCEEAINSFTISQFDPSRVKRKSNQISEGSRLEEKDNEEPCFGPPKKFRTLRFSGKNSESDDCTRTSSQSSQKGGCQPQNEVNSFSCKVLRTKRKHPIMQLNKPAKQLHNQNKVFKGDDEQPDTKGSCFGGSDSFDRRKQVEDMTILERTKHHREGSRVFVRKLPKYVSLNCIVNEPNSEDAWSGSAGIDPSLIVTGIANDNRKSPKIVSLSLVLKKAKRCHSVKLCKTESTHLYEEKGSDCSVTSSDYSVDKYSVDNEDCGPQAEYEMQGSKRSRYSSNGLRSHFVNHCKRPSGGTKNRRASVSFARIKSHTEFANRSACYSGSDKDNAVLTHEVNVRRHSGRLSSDAPCCVCGISDLEPCNQLIQCSKCYIKVHQACYGVLKVPRGQWFCRPCKTNTLNAVCVLCGYGGGAMTRALKTKNILKSLLKGLTTIARSEKYVDSLGNASNECTSLQNPVDSAHGDSIVNMENITSNSWTSINHNSSLLGPRTMQWVHMVCGLWTPGTKCPNAATMSAFDVSGASPAKRNTACSICGRTGGSFIKCRDVNCLVLFHPWCAHQRGLLQSEPEGERNENIGFYGQCMDHANDYSSHINSKKECLRSSNWTCARTEGYKGREGEGYPGTSHRKSEVYSGEFSVSQEQINAWVRINGSKPCGRGQKEYIHYKQLKGWKHLVVYKSGIHGLGLYTSVFIPRGSMVVEYVGEIVGQRVADKREIEYQSGKRQQYKSACYFFKIDREHIIDATRKGGIARFVNHSCQPNCVAKIISVRNEKKVMFFAERHINPGEEITYDYHFNREDDGQKIPCFCRSRYCRRYLN >PAN09538 pep chromosome:PHallii_v3.1:2:2275659:2279255:-1 gene:PAHAL_2G032100 transcript:PAN09538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDEVEVVSWTAAAQPAAQVPCGACRTLRRRCVPGCVFAPYFPAEGDEPSRFAAVHRVFGASNAVRMLEDVERPGERRRAAETLVEEALARVRDPALGCLSYVAVLQMLNEKAREQVDAVRAEIAAEFGPEAASEPVDIQAAGPEVELEAKAQAERALAHAREQDAKMLAARRAVDRKWRQLRQAARRAAGERLRLPGGRWQDTNNQMAETENTAAAAAAGESSSEQTMLTPQAAAVTELDSPEGNGHPQESAPAAGANQHRHLASQHAGAEQGIPEGYGHGQLHQLMAETQQSTAGAEASREEALTSEQAPAAALQHHDSATTQLDGTGTSFLDRHQVAAAGELSKKLDTMIRRFAAAQQYDDPAAARYAWMGPDVSPRLQQPPQPEDTVPQVAEARQEAATAEVASDQDLMMMLVPQIAEAEAAAEQDVMMQLLAAGAPQYDDLASQYDDDTEVDITLGYGHRDMYQQTVQQLAAAAKVAREQGIMAHIATAELSREQEMIVRQASQPEMTILLQAAAAQYSDTELDIWLGQGHPYRHQPTVQELETNTTTVQQLEPSTTTVQQVGGYMDGEHGSDSGVTVAFQLPGSAEAAPFLVEQEPPPQGQTASALGLEVDSSLPPLPPSLGGPHGQQSTDGGDEDQCSDLTEYLFY >PAN11121 pep chromosome:PHallii_v3.1:2:13645422:13661177:1 gene:PAHAL_2G142800 transcript:PAN11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSVDNCNVSTHRNANERGPAGTALLENVYDSRCTLPSLEEVPGNSKSTSLDDNLGEPSPVSSEQVGLSSGSYLSSEKTDLELAEKEVAKSMMSILLPQAIPLLKKFNKKKKSKRKKKENSTVSVRTTSAHNPSDDRCQGLTVPTIIGEGVSKNSSGTCDHGGSHCERVKNGSTDDDCKNVFKLGKMNDFVADSFEDDAQILGDNTSKSMYVHHHESDDACSRGPNENSKLLYGKTEGHAKLYECQVGVHDGTNAPDVVYDHEKGQYILSDSLLACLEEEFGGEDSYHPANYNQYNGDVEKIQFEQQFNDLTNGTKNGSSVSIDVSYHNKTSSGSVDVSAQAFARNGSAVLRNGECLANVLPPVHSNTYNDAAKWGKHDVSSTSIAPPACEANSSLLDMQDEQDHTKVPAINQKENRLHGVSYKCKKSNGLFQKSNTSCHSDNVEFFDKYVAFEPPEKGRHSNDGSQGVSTTKVWPVGDRPEADKGNVLGKVEECQAGCRNGNMKAMSVSLESNICERIPLKGENDGFHHQPGHTLSVTNRTHGLLSEYTKAQSSRSGHHLELVGCYLHQMPVLSIMLNTKNHSSLYIYVFCGLLESCQRFVHVYTVSKDQQDAPPCFVGYTPLLLPSLDQSCTGNFSFGRSGLQFTPDGQFLVLLSCIRIPFCRMQNIDCLCSVCKLGQCEDNSLKIVSVNLGYVSLITKLMPYGTASCILICEPNYIVVIEDNRNLHIWKMVNDWSEISEEYVIPSLGNMGPSVLELGRMPKSSSLIIGHDGAGGFYLWDISKRTLLATFTSAGNIVFQILPVGFCSLQDIIHAPVDDIDKKLREIGISGMSRKIDQDHFMMPPRDDIGVWVLISSASVAEYQYDLRTKEHNPRWRLALLAKKRVVMGNILDTRITALDASGNYGFAGTNGGLLYLWELSSGRKLIGVQCFNRGPVSCVAVDAKSGAVAVTDGGCQVLLYTQDKVLTDAGADEHMFRMDKVTAAAS >PAN11118 pep chromosome:PHallii_v3.1:2:13644813:13661177:1 gene:PAHAL_2G142800 transcript:PAN11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEAEGDRVIEIVSAGSLYRRGGNWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYAMEIQQGPRGPIFLVTSTEGDSATGETPDFAWKNLQKKTGTKVRNLQIRRSFPQKIDGAELFGLKNASVQRLLRELIVDSTGAVELNLPRAVSSEDVVLLAHKDAADVSEAEDLPVCLGTECETAKRSIEPSQLESPAKKVHYQDMFTSVDNCNVSTHRNANERGPAGTALLENVYDSRCTLPSLEEVPGNSKSTSLDDNLGEPSPVSSEQVGLSSGSYLSSEKTDLELAEKEVAKSMMSILLPQAIPLLKKFNKKKKSKRKKKENSTVSVRTTSAHNPSDDRCQGLTVPTIIGEGVSKNSSGTCDHGGSHCERVKNGSTDDDCKNVFKLGKMNDFVADSFEDDAQILGDNTSKSMYVHHHESDDACSRGPNENSKLLYGKTEGHAKLYECQVGVHDGTNAPDVVYDHEKGQYILSDSLLACLEEEFGGEDSYHPANYNQYNGDVEKIQFEQQFNDLTNGTKNGSSVSIDVSYHNKTSSGSVDVSAQAFARNGSAVLRNGECLANVLPPVHSNTYNDAAKWGKHDVSSTSIAPPACEANSSLLDMQDEQDHTKVPAINQKENRLHGVSYKCKKSNGLFQKSNTSCHSDNVEFFDKYVAFEPPEKGRHSNDGSQGVSTTKVWPVGDRPEADKGNVLGKVEECQAGCRNGNMKAMSVSLESNICERIPLKGENDGFHHQPGHTLSVTNRTHGLLSEYTKAQSSRSGHHLELVGCYLHQMPVLSIMLNTKNHSSLYIYVFCGLLESCQRFVHVYTVSKDQQDAPPCFVGYTPLLLPSLDQSCTGNFSFGRSGLQFTPDGQFLVLLSCIRIPFCRMQNIDCLCSVCKLGQCEDNSLKIVSVNLGYVSLITKLMPYGTASCILICEPNYIVVIEDNRNLHIWKMVNDWRDISKRTLLATFTSAGNIVFQILPVGFCSLQDIIHAPVDDIDKKLREIGISGMSRKIDQDHFMMPPRDDIGVWVLISSASVAEYQYDLRTKEHNPRWRLALLAKKRVVMGNILDTRITALDASGNYGFAGTNGGLLYLWELSSGRKLIGVQCFNRGPVSCVAVDAKSGAVAVTDGGCQVLLYTQDKVLTDAGADEHMFRMDKVTAAAS >PAN11120 pep chromosome:PHallii_v3.1:2:13644813:13661177:1 gene:PAHAL_2G142800 transcript:PAN11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEAEGDRVIEIVSAGSLYRRGGNWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYAMEIQQGPRGPIFLVTSTEGDSATGETPDFAWKNLQKKTGTKVRNLQIRRSFPQKIDGAELFGLKNASVQRLLRELIVDSTGAVELNLPRAVSSEDVVLLAHKDAADVSEAEDLPVCLGTECETAKRSIEPSQLESPAKKVHYQDMFTSVDNCNVSTHRNANERGPAGTALLENVYDSRCTLPSLEEVPGNSKSTSLDDNLGEPSPVSSEQVGLSSGSYLSSEKTDLELAEKEVAKSMMSILLPQAIPLLKKFNKKKKSKRKKKENSTVSVRTTSAHNPSDDRCQGLTVPTIIGEGVSKNSSGTCDHGGSHCERVKNGSTDDDCKNVFKLGKMNDFVADSFEDDAQILGDNTSKSMYVHHHESDDACSRGPNENSKLLYGKTEGHAKLYECQVGVHDGTNAPDVVYDHEKGQYILSDSLLACLEEEFGGEDSYHPANYNQYNGDVEKIQFEQQFNDLTNGTKNGSSVSIDVSYHNKTSSGSVDVSAQAFARNGSAVLRNGECLANVLPPVHSNTYNDAAKWGKHDVSSTSIAPPACEANSSLLDMQDEQDHTKVPAINQKENRLHGVSYKCKKSNGLFQKSNTSCHSDNVEFFDKYVAFEPPEKGRHSNDGSQGVSTTKVWPVGDRPEADKGNVLGKVEECQAGCRNGNMKAMSVSLESNICERIPLKGENDGFHHQPGHTLSVTNRTHGLLSEYTKAQSSRSGHHLELVGCYLHQMPVLSIMLNTKNHSSLYIYVFCGLLESCQRFVHVYTVSKDQQDAPPCFVGYTPLLLPSLDQSCTGNFSFGRSGLQFTPDGQFLVLLSCIRIPFCRMQNIDCLCSVCKLGQCEDNSLKIVSVNLGYVSLITKLMPYGTASCILICEPNYIVVIEDNRNLHIWKMVNDWSEISEEYVIPSLGNMGPSVLELGRMPKSSSLIIGHDGAGGFYLWDISKRTLLATFTSAGNIVFQILPVGFCSLQDIIHAPVDDIDKKLREIGISGMSRKIDQDHFMMPPRDDIGVWVLISSASVAEYQYDLRTKEHNPRWRLALLAKKRVVMGNILDTRITALDASGNYGFAGTNGGLLYLWELSSGRKLIGVQCFNRGPVSCVAVDAKSGAVAVTDGGCQVLLYTQDKVLTDAGADEHMFRMDKVTAAAS >PAN11119 pep chromosome:PHallii_v3.1:2:13644813:13661177:1 gene:PAHAL_2G142800 transcript:PAN11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEAEGDRVIEIVSAGSLYRRGGNWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYAMEIQQGPRGPIFLVTSTEGDSATGETPDFAWKNLQKKTGTKVRNLQIRRSFPQKIDGAELFGLKNASVQRLLRELIVDSTGAVELNLPRAVSSEDVVLLAHKDAADVSEAEDLPVCLGTECETAKRSIEPSQLESPAKKVHYQDMFTSVDNCNVSTHRNANERGPAGTALLENVYDSRCTLPSLEEVPGNSKSTSLDDNLGEPSPVSSEQAIPLLKKFNKKKKSKRKKKENSTVSVRTTSAHNPSDDRCQGLTVPTIIGEGVSKNSSGTCDHGGSHCERVKNGSTDDDCKNVFKLGKMNDFVADSFEDDAQILGDNTSKSMYVHHHESDDACSRGPNENSKLLYGKTEGHAKLYECQVGVHDGTNAPDVVYDHEKGQYILSDSLLACLEEEFGGEDSYHPANYNQYNGDVEKIQFEQQFNDLTNGTKNGSSVSIDVSYHNKTSSGSVDVSAQAFARNGSAVLRNGECLANVLPPVHSNTYNDAAKWGKHDVSSTSIAPPACEANSSLLDMQDEQDHTKVPAINQKENRLHGVSYKCKKSNGLFQKSNTSCHSDNVEFFDKYVAFEPPEKGRHSNDGSQGVSTTKVWPVGDRPEADKGNVLGKVEECQAGCRNGNMKAMSVSLESNICERIPLKGENDGFHHQPGHTLSVTNRTHGLLSEYTKAQSSRSGHHLELVGCYLHQMPVLSIMLNTKNHSSLYIYVFCGLLESCQRFVHVYTVSKDQQDAPPCFVGYTPLLLPSLDQSCTGNFSFGRSGLQFTPDGQFLVLLSCIRIPFCRMQNIDCLCSVCKLGQCEDNSLKIVSVNLGYVSLITKLMPYGTASCILICEPNYIVVIEDNRNLHIWKMVNDWSEISEEYVIPSLGNMGPSVLELGRMPKSSSLIIGHDGAGGFYLWDISKRTLLATFTSAGNIVFQILPVGFCSLQDIIHAPVDDIDKKLREIGISGMSRKIDQDHFMMPPRDDIGVWVLISSASVAEYQYDLRTKEHNPRWRLALLAKKRVVMGNILDTRITALDASGNYGFAGTNGGLLYLWELSSGRKLIGVQCFNRGPVSCVAVDAKSGAVAVTDGGCQVLLYTQDKVLTDAGADEHMFRMDKVTAAAS >PVH63867 pep chromosome:PHallii_v3.1:2:10634795:10635527:-1 gene:PAHAL_2G123300 transcript:PVH63867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSDRRVLISLLVAFAVVVPGLLRPSAATCRVEGSAEAAAPSSPDDREVLRPAGLSVPDLPLPQILPCPPLFPKIPLIPCYNLRSLMPCAGFLTINASVPAPPTACCDGFDRFVANRSSAPLLCLCHIATGDIARLLPAPMNHTRAASVMEECSLGLPIDALSRFCKNNRDEVPPIDPPNPPSAAAETKA >PAN10102 pep chromosome:PHallii_v3.1:2:5340959:5343858:1 gene:PAHAL_2G072100 transcript:PAN10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSKISSASASSTTPAVCRLQGWADLPEGLLQSIIPLLGSFLELLAFAGTCHSWRSAFSSYPSKSTFCTLLPPLLVRPHIRVHARHLPSRSDDGLKLRTCQVLDLANLRTALRCQIPEDTFEMLRFAGSSYGQLICGGGRNCVVVDVFTGTRVLPPQLPFSEDTYFYSGMLTAPLTSHNAHLLVCASPKQGSTQCTLLDWPVGSDSWSELRLNDSRIEQIVEFKGQFIALDYEYKLHTLSLAPQLGLQEIATLWWDDMDECPYLRPWLVVCGDMLLIVDHYISHSLDGAPVNYKAYRLDMSTVPAVWVEVEKLENHVLFIGSDVRSPAFSCISPGRWGRRNNCLYYAYYDVPWILHGLGDEADAVWDPDNDPDIVFKRNWYTQLQPFWVYPSMFYADADGE >PAN10100 pep chromosome:PHallii_v3.1:2:5340956:5343864:1 gene:PAHAL_2G072100 transcript:PAN10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSKISSASASSTTPAVCRLQGWADLPEGLLQSIIPLLGSFLELLAFAGTCHSWRSAFSSYPSKSTFCTLLPPLLVRPHIRVHARHLPSRSDDGLKLRTCQVLDLANLRTALRCQIPEDTFEMLRFAGSSYGQLICGGGRNCVVVDVFTGTRVLPPQLPFSEDTYFYSGMLTAPLTSHNAHLLVCASPKQGSTQCTLLDWPVGSDSWSELRLNDSRIEQIVEFKGQFIALDYEYKLHTLSLAPQLGLQEIATLWWDDMDECPYLRPWLVVCGDMLLIVDHYISHSLDGAPVNYKAYRLDMSTVPAVWVEVEKLENHVLFIGSDVRSPAFSCISPGRWGRRNNCLYYAYYDVPWILHGLGDEADAVWDPDNDPDIVFKRNWYTQLQPFWVYPSMFYADADGE >PAN10103 pep chromosome:PHallii_v3.1:2:5340959:5343858:1 gene:PAHAL_2G072100 transcript:PAN10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSKISSASASSTTPAVCRLQGWADLPEGLLQSIIPLLGSFLELLAFAGTCHSWRSAFSSYPSKSTFCTLLPPLLVRPHIRVHARHLPSRSDDGLKLRTCQVLDLANLRTALRCQIPEDTFEMLRFAGSSYGQLICGGGRNCVVVDVFTGTRVLPPQLPFSEDTYFYSGMLTAPLTSHNAHLLVCASPKQGSTQCTLLDWPVGSDSWSELRLNDSRIEQIVEFKGQFIALDYEYKLHTLSLAPQLGLQEIATLWWDDMDECPYLRPWLVVCGDMLLIVDHYISHSLDGAPVNYKAYRLDMSTVPAVWVEVEKLENHVLFIGSDVRSPAFSCISPGRWGRRNNCLYYAYYDVPWILHGLGDEADAVWDPDNDPDIVFKRNWYTQLQPFWVYPSMFYADADGE >PAN10101 pep chromosome:PHallii_v3.1:2:5340959:5343858:1 gene:PAHAL_2G072100 transcript:PAN10101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSKISSASASSTTPAVCRLQGWADLPEGLLQSIIPLLGSFLELLAFAGTCHSWRSAFSSYPSKSTFCTLLPPLLVRPHIRVHARHLPSRSDDGLKLRTCQVLDLANLRTALRCQIPEDTFEMLRFAGSSYGQLICGGGRNCVVVDVFTGTRVLPPQLPFSEDTYFYSGMLTAPLTSHNAHLLVCASPKQGSTQCTLLDWPVGSDSWSELRLNDSRIEQIVEFKGQFIALDYEYKLHTLSLAPQLGLQEIATLWWDDMDECPYLRPWLVVCGDMLLIVDHYISHSLDGAPVNYKAYRLDMSTVPAVWVEVEKLENHVLFIGSDVRSPAFSCISPGRWGRRNNCLYYAYYDVPWILHGLGDEADAVWDPDNDPDIVFKRNWYTQLQPFWVYPSMFYADADGE >PAN10250 pep chromosome:PHallii_v3.1:2:6028939:6031090:-1 gene:PAHAL_2G081700 transcript:PAN10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREQHGDGVRRRRVVLFSLPFQGHLNPMLKLAALLRARGLGVTVLHTGFNAPDPARHPEVDFVPIHETLPDEATSPDSDILRKLLALNAACEAPFRAALASLLRGGGGGHRQDVACAVVDGQCYAAMGAAAQLGVPALALRTDSAAAFRNMLACPRLRDACYIPIKEEQLDEPVPDLEPLRVRDLIRVDGCDTDELCGFVASVADGVRASVSGIVINTFEAIEASELAKIQRELSLPAFAVGPLHLLFQTPPAEQSLHEPDHGCLAWLDAHPPRSVLYVSLGSLACVDHGVFEEMAWGLAGSGVPFLWVVRPGSVSGAGDEAPPLPDGFEEEIRGRGKIVKWARQREVLAHEAICAFWTHCGWNSTLESICEGVPMLVQPCFADQMVTARYVTHEWGVGLEVGEVLERGTVAKAVTEVMAGEDGAQMRERAHRLQMQASAATSSAMDSLIRFILSL >PAN09339 pep chromosome:PHallii_v3.1:2:1041868:1042800:1 gene:PAHAL_2G015100 transcript:PAN09339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVEEILLCVPPDEPAHLVRAALVCKAWRRTLTDSGFLRRYRGFHRVPPLLGYLRVRNLWHKTGGPIPAFVPTTAASPLPPPPLHRGSYWALDCRHGRVLLHAFPPQYLLVWDPITGDRKELSVPAFPHTYLEGAVLCAMDDCDHRGCRGGPFLVSASSILKYDLGGHRLSVIEPPHRVFGYIAMEVEDEVLGFVAELDNCIYKWLWQASANGTGRWEKHMVMELETTLLPGPAPGTYHEVITHVEGTDTIFISGA >PAN12456 pep chromosome:PHallii_v3.1:2:43063404:43065950:-1 gene:PAHAL_2G266500 transcript:PAN12456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT2G25090) UniProtKB/TrEMBL;Acc:A0A178W078] MARSGPEGGEGGGGEERRLVLGKYELGRMLGQGTFAKVYYARDLSAAAAGSGAGSSVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRSRVFVVMEYARGGELFAKVARGRLTEDHARRYFQQLVAAVGFCHRRGVAHRDLKPENLLLDEDGRLKVTDFGLAALPEQLRHDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHDNYAKMYQKIFKADYQVPPWVSGEARRLIARLLVVDPAKRASIAEIMLTPWFRKGFVPPVLSPQVSPKKRLVDEDDVGALLEGGGDNSSSASVDSPRSCNAFQLISSMSSGFDLSGLFESEQKAATVFTSRAPAAAVAEKLEVVGRALGFEVTRGTKGWNLRLEAKVEGTNGWLAVTAEVLEVAADVAVVEFAHDAGDALDFNKFCAEDVRPGLAEIVWAWQGDSSPAPAAVAGCAR >PAN12455 pep chromosome:PHallii_v3.1:2:43064339:43065754:-1 gene:PAHAL_2G266500 transcript:PAN12455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT2G25090) UniProtKB/TrEMBL;Acc:A0A178W078] MARSGPEGGEGGGGEERRLVLGKYELGRMLGQGTFAKVYYARDLSAAAAGSGAGSSVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRSRVFVVMEYARGGELFAKVARGRLTEDHARRYFQQLVAAVGFCHRRGVAHRDLKPENLLLDEDGRLKVTDFGLAALPEQLRHDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHDNYAKMYQKIFKADYQVPPWVSGEARRLIARLLVVDPAKRASIAEIMLTPWFRKGFVPPVLSPQVSPKKRLVDEDDVGALLEGGGDNSSSASVDSPRSCNAFQLISSMSSGFDLSGLFESEQKAATVFTSRAPAAAVAEKLEVVGRALGFEVTRGTKGWNLRLEAKVEGTNGWLAVTAEVLEVAADVAVVEFAHDAGDALDFNKFCAEDVRPGLAEIVWAWQGDSSPAPAAVAGCAR >PVH63930 pep chromosome:PHallii_v3.1:2:12870317:12871249:-1 gene:PAHAL_2G137900 transcript:PVH63930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYEEEGVPRCRVKMTVPPHPTLSLWQPIEVNVIGHRLADTFEVAAIEAIHIFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRLTYCDHLLGTLAGETLRTSVRFMNAQNRYQTLQQHGIYRLTNIAQRYRNQVGRQNTQIEELQATVTAREEVITQREETIQHREEQIVESDTLITQRDTVIDFLQEQVHELNLNLGRAIDHINMLHEQPVQPVVDEFESEEEEEDPEEVEGVSEIDSEHGDPVLSPHHSSSGSQSSVGNLDDF >PVH64697 pep chromosome:PHallii_v3.1:2:47739558:47741505:1 gene:PAHAL_2G336100 transcript:PVH64697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPSSFAKRPVTMLCSLAAHGDRLLLHPYKHTTLHLHPGRHSASERERGEHMDVAHRDHLLAAARRALGAAVVLVCLLAELLVFALGRHAALHLVPACAMLLLLLWRSGGRGGGSAAGVVLVDFACLRPPRRLRIPTAGLLEHLRLIGCFDGASVAFMSRVIGACGMGDETYFPPSLHRIPPSATHADALAEARAMFVPTLDALFARTGVPPSAVGALVVSCSGFCPAPSLAAVVTGHYRMRDDVRALNLSGMGCAAGVIGMDVARAALSAHAIDYAVVVSAEIVTVGWYSGRDRAKLLLNCFFRTGCAAALLTSAGSAVSVPAKYRLVALARTNRTADDRSYMSAVREEDGEGITGFSIGRGLGGVARDLLRAHLLELGPNILPLHEKLRYAAALLLFRRQQKRSKQVPSDDDGDGPRPNFLTAASHFCLPSSGMPMIRRLAEGLGLGELEAEAALMTFHRFGNQSAASLWYQLAYHEAKGRVRRGDRVWQLGMGSGPKANSAVWERVGGDTDPAAADEGPWADCIHRFPIRES >PAN09943 pep chromosome:PHallii_v3.1:2:4434855:4435650:-1 gene:PAHAL_2G060100 transcript:PAN09943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMLLLINLFLHSDAMRTKETLKILQEHVQGLSQAVVHFIPSFYSISAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLETCNAALLEAAGKSWVEAFSLAGLGGWKLHGIVKP >PAN10424 pep chromosome:PHallii_v3.1:2:6992851:6999431:-1 gene:PAHAL_2G093300 transcript:PAN10424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) UniProtKB/TrEMBL;Acc:F4K124] MAAPPLALVAAAIAALAVLALVVFAFRRWWLRRRRQRQRQRRSVQAAAAVPTPVAVQDEDIDRPLLSESREGHSSQSNSFLGSSVGEPSKIQTNRSNTSPISHSIADTGRIYPAECCATQGETHVINVENDASEEFQLGSTLKRTTATNWPTPDQKHRKKVPGEDNHNGSIPMKDNAYHSSLDLEVIAGPSHGISCSRQSSKPSMLPITLGRVPPSDIVLKDSEVSGKHARINWNAKTLKWELVDMGSLNGTFLNSQAVHHPNVGSRHWGEPAELAHGDIITLGTSSKLSVQISLQNQRVPAGVGMASDPMVARRSGKKLPMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAAKAVSKILPENVANILSHPDTKERVLSSSDASDVLRYAFALTEAAIDHQYEGCTATALLIWFDQNKNCFAQCANLGDSACVMSVNGNTIDMTEDHRVASTTERARIARTGQPLKDGEVRLSGLNLARMFGDKFLKEQDMRFSSEPYVSQAVRITRACTAFAVIASDGLWDVISTKRAVQLVAEGKERNTGDSSSVDKVANRVLSEARNLRTKDNTSVVFVDFDILRTDPCIAK >PVH63729 pep chromosome:PHallii_v3.1:2:6992552:6998381:-1 gene:PAHAL_2G093300 transcript:PVH63729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) UniProtKB/TrEMBL;Acc:F4K124] MLPITLGRVPPSDIVLKDSEVSGKHARINWNAKTLKWELVDMGSLNGTFLNSQAVHHPNVGSRHWGEPAELAHGDIITLGTSSKLSISLQNQRVPAGVGMASDPMVARRSGKKLPMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAAKAVSKILPENVANILSHPDTKERVLSSSDASDVLRYAFALTEAAIDHQYEGCTATALLIWFDQNKNCFAQCANLGDSACVMSVNGNTIDMTEDHRVASTTERARIARTGQPLKDGEVRLSGLNLARMFGDKFLKEQDMRFSSEPYVSQAVRITRACTAFAVIASDGLWDVISTKRAVQLVAEGKERNTGDSSSVDKVANRVLSEARNLRTKDNTSVVFVDFDILRTDPCIAK >PVH63728 pep chromosome:PHallii_v3.1:2:6992552:6998381:-1 gene:PAHAL_2G093300 transcript:PVH63728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) UniProtKB/TrEMBL;Acc:F4K124] MLTNYTALQDEDIDRPLLSESREGHSSQSNSFLGSSVGEPSKIQTNRSNTSPISHSIADTGRIYPAECCATQGETHVINVENDASEEFQLGSTLKRTTATNWPTPDQKHRKKVPGEDNHNGSIPMKDNAYHSSLDLEVIAGPSHGISCSRQSSKPSMLPITLGRVPPSDIVLKDSEVSGKHARINWNAKTLKWELVDMGSLNGTFLNSQAVHHPNVGSRHWGEPAELAHGDIITLGTSSKLSVQISLQNQRVPAGVGMASDPMVARRSGKKLPMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAAKAVSKILPENVANILSHPDTKERVLSSSDASDVLRYAFALTEAAIDHQYEGCTATALLIWFDQNKNCFAQCANLGDSACVMSVNGNTIDMTEDHRVASTTERARIARTGQPLKDGEVRLSGLNLARMFGDKFLKEQDMRFSSEPYVSQAVRITRACTAFAVIASDGLWDVISTKRAVQLVAEGKERNTGDSSSVDKVANRVLSEARNLRTKDNTSVVFVDFDILRTDPCIAK >PAN10425 pep chromosome:PHallii_v3.1:2:6992552:6999625:-1 gene:PAHAL_2G093300 transcript:PAN10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) UniProtKB/TrEMBL;Acc:F4K124] MAAPPLALVAAAIAALAVLALVVFAFRRWWLRRRRQRQRQRRSVQAAAAVPTPVAVQDEDIDRPLLSESREGHSSQSNSFLGSSVGEPSKIQTNRSNTSPISHSIADTGRIYPAECCATQGETHVINVENDASEEFQLGSTLKRTTATNWPTPDQKHRKKVPGEDNHNGSIPMKDNAYHSSLDLEVIAGPSHGISCSRQSSKPSMLPITLGRVPPSDIVLKDSEVSGKHARINWNAKTLKWELVDMGSLNGTFLNSQAVHHPNVGSRHWGEPAELAHGDIITLGTSSKLSISLQNQRVPAGVGMASDPMVARRSGKKLPMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAAKAVSKILPENVANILSHPDTKERVLSSSDASDVLRYAFALTEAAIDHQYEGCTATALLIWFDQNKNCFAQCANLGDSACVMSVNGNTIDMTEDHRVASTTERARIARTGQPLKDGEVRLSGLNLARMFGDKFLKEQDMRFSSEPYVSQAVRITRACTAFAVIASDGLWDVISTKRAVQLVAEGKERNTGDSSSVDKVANRVLSEARNLRTKDNTSVVFVDFDILRTDPCIAK >PAN10528 pep chromosome:PHallii_v3.1:2:7682493:7697509:1 gene:PAHAL_2G099000 transcript:PAN10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGVAVDRLVFALNGRRYEVGAGEMDPSMRLVEFIRTRTPFKGTKLGCGEGGCGACVVLIAKYNPETDEVTEFTASSCLTLLYSIHFCSIITTEGLGNTKDGFHAVQERMSGFHASQCGFCTPGMCMSIFTSLINADKSKRSEPPKGFSKLKVSEAEKAFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKRSDKNPDVSELPSYTLGGGVCTFPDFLKCEIKSSLEHRNDVYIAASGEGWYHPRSIKEYYELINSCLFRDSVKVVVANTSTGIPGYKDQDLYNKYIDISGIPELSNIVKKESGFEIGAATTISRTIEILKQECESISSPNGSVVFRKLADHMGKVANPFVRNTASIGGNIILAQKYPFPSDIATILLGAGATVCLQVVTERRQITLEEFLEQPPLDPTTLLLSIFIPHWISDYQGETSLLFETYRAAPRPLGNAVSYVNCAFLGLSSVDEQSDTLVLSNLRLAFGAYGTEHAIRAKKVEKFLTGKSLSALVVLGAVRLLRETIVPMEGTSHPEYRVSAAVGFLFSFLSPLAKGIPEPGKALTSGWSNSADTDDVRNLPLSSRRETISNDDYKPVGEPIKKYAVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLACVKSIKFKSSLASAKIIDVITAKDIPCGGENIGSAFMFGDEPLFGDPIAEYAGQALGIVIAETQRYADMAAKQVIIEYDTKGLRPPILTVDQAVANSSYFKVPPELYPTEVGDVSKGMAEADYKIPSAEVKLASEYHFYMETQTALAIPDEDNTLVVYSSSQYPELAQSVIARCVGIPFSNVRVITRRAGGGFGGKAYRSFPVATAAALCAYKLKCPVRMYLNRNTDMVMVGGRHPVEAHYSVGFKSDGRITALHLNLLINAGISPDASPIIPGTIFSSLKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSLIADAIIEHVASVLSLDANSVREKNFHTYESLQLFYPDSAGEASAYTLPSIFCRLVSTSSYLDRAESIKQFNSSNKWRKRGISCVPLIFRVEPRPAPGRVSVLNDGSIVVEVGGTEIGQGLWTKVQQIAAFALGQLWPYGGKGLLDRVRILQADTLNLIQGGLTAGSTTSESSCAATLQACNMLIDRLKPIFYRLQQQSKNVSWDTLISQASRDNVDLSASAYWVPSQYSNKYLNYGAGISEVEIDLLTGAITLLRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYITNNDGFMISNSTWDYKIPSVDIIPKQFNAEVLNTGYHKNRVLSSKASGEPALVLASSVHCALKEAIRAARKEFANATGSGSSPLEFQMDVPAPMTLVKELCGFNIVEKYLESLSTQECTSRA >PAN15516 pep chromosome:PHallii_v3.1:2:57385858:57390291:1 gene:PAHAL_2G493700 transcript:PAN15516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAKRPPWSPQISRFCVRRAVSWIISWRRVWYSGGGENATPGTSGRLSELFWPVRVHVSYAIGRALEQGRWSHSMELELERLHVDLDPFVVNRVLRGLSDSETAVRFYWWAESRPGFDHTQFAVAYIVSLLFIDGNFSLLSEFLERVRSQGVALHRSLYRILLSGYVRAGKFNSVIQTFDEMVTSGCREFGVDYNRFIGVLVKNCCFDLVEKYYGMALDKGFCLTPFTYSRWISALCQSDGIGLVEELLADMDKFGCFPDIWACNIYVDYLCRQNRLHDALKMLEKMGIKGTDPDVVTYTTVVGCLCDNKQFAEAVELWEEMVRRGLKPDTIACGVLIFGLCKNDKVDEAFELALRMLSLNLELNVCIYNALISGFWRSGSIGRAFKIISFMRKNGCEPDVVTYNIVLNHYCDNGMVKDAEDLMKKMEMSGVNPDRYSYNQMLKGLCKANQLDKAFAFVADHMEVGGFCDIVSCNILIDAFCKARKVNSALKLFKEMSYKGIQADAVTYGTLINGLYSVGYNNLAEETFEQMSKAQIVPNVNLYNIMLHNLCRAGHFKQAQEIFSQMIQKEVSPDIITFNTLIYWLGKSSRAIEALDLFRDMRTRGVEPDSLTFRYLVSGLLEEGKVTLAYEVWEYMMENGIILDRDVSDRLINVLKSKNK >PAN15517 pep chromosome:PHallii_v3.1:2:57385858:57390277:1 gene:PAHAL_2G493700 transcript:PAN15517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAKRPPWSPQISRFCVRRAVSWIISWRRVWYSGGGENATPGTSGRLSELFWPVRVHVSYAIGRALEQGRWSHSMELELERLHVDLDPFVVNRVLRGLSDSETAVRFYWWAESRPGFDHTQFAVAYIVSLLFIDGNFSLLSEFLERVRSQGVALHRSLYRILLSGYVRAGKFNSVIQTFDEMVTSGCREFGVDYNRFIGVLVKNCCFDLVEKYYGMALDKGFCLTPFTYSRWISALCQSDGIGLVEELLADMDKFGCFPDIWACNIYVDYLCRQNRLHDALKMLEKMGIKGTDPDVVTYTTVVGCLCDNKQFAEAVELWEEMVRRGLKPDTIACGVLIFGLCKNDKVDEAFELALRMLSLNLELNVCIYNALISGFWRSGSIGRAFKIISFMRKNGCEPDVVTYNIVLNHYCDNGMVKDAEDLMKKMEMSGVNPDRYSYNQMLKGLCKANQLDKAFAFVADHMEVGGFCDIVSCNILIDAFCKARKVNSALKLFKEMSYKGIQADAVTYGTLINGLYSVGYNNLAEETFEQMSKAQIVPNVNLYNIMLHNLCRAGHFKQAQEIFSQMIQKEVSPDIITFNTLIYWLGKSSRAIEALDLFRDMRTRGVEPDSLTFRYLVSGLLEEGKVTLAYEVWEYMMENGIILDRDVSDRLINVLKSKNK >PVH63956 pep chromosome:PHallii_v3.1:2:14153282:14155064:1 gene:PAHAL_2G145300 transcript:PVH63956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKTSVPPHSFDGCCPAQLAPTAHSSSPFFLLPIPVHLLPHLHRSLSIVPGRAQARALRRRPQVVGRHRLPPAAGPDAAPHLFAFRSTATHPEVIAVPLGGQSGSSRHSRARDAGSPDAAEGDPQDGVRGPVGPHPIRAAMLADTRAAAGAGNLSLAALVESGALVLVPRRRLRPVPAWRPPDFMEPEEVWILSTSHLSPESVVDVESVLRAVQPDNVDVELCRSWQELELG >PVH63953 pep chromosome:PHallii_v3.1:2:14153797:14154569:1 gene:PAHAL_2G145300 transcript:PVH63953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKTSVPPHSFDGCCPAQLAPTAHSSSPFFLLPIPVHLLPHLHRSLSIVPGRAQARALRRRPQVVGRHRLPPAAGPDAAPHLFAFRSTATHPEVIAVPLGGQSGSSRHSRARDAGSPDAAEGDPQDGVRGPVGPHPIRAAMLADTRAAAGAGNLSLAALVESGALVLVPRRRLRPVPAWRPPDFMEPEEVWILSTSHLSPESVVDVESVLRAVQPDNVDVELCRSWQELGS >PVH63954 pep chromosome:PHallii_v3.1:2:14153282:14155064:1 gene:PAHAL_2G145300 transcript:PVH63954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKTSVPPHSFDGCCPAQLAPTAHSSSPFFLLPIPVHLLPHLHRSLSIVPGRAQARALRRRPQVVGRHRLPPAAGPDAAPHLFAFRSTATHPEVIAVPLGGQSGSSRHSRARDAGSPDAAEGDPQDGVRGPVGPHPIRAAMLADTRAAAGAGNLSLAALVESGALVLVPRRRLRPVPAWRPPDFMEPEEVWILSTSHLSPESVVDVESVLRAVQPDNVDVELCRSWQELELG >PVH63955 pep chromosome:PHallii_v3.1:2:14153797:14154569:1 gene:PAHAL_2G145300 transcript:PVH63955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKTSVPPHSFDGCCPAQLAPTAHSSSPFFLLPIPVHLLPHLHRSLSIVPGRAQARALRRRPQVVGRHRLPPAAGPDAAPHLFAFRSTATHPEVIAVPLGGQSGSSRHSRARDAGSPDAAEGDPQDGVRGPVGPHPIRAAMLADTRAAAGAGNLSLAALVESGALVLVPRRRLRPVPAWRPPDFMEPEEVWILSTSHLSPESVVDVESVLRAVQPDNVDVELCRSWQELGS >PAN13498 pep chromosome:PHallii_v3.1:2:48097494:48100923:-1 gene:PAHAL_2G342500 transcript:PAN13498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAATAASTSLRPPCASQRRLASLPRRWCWGIPVRSAGGSAFRAERRRRSTLLCAADKAPEESLKKTIEVDRLIDTLRDANPRELDQIVIENVLSFDAGFWVRLAARIDLCKSDDDKKDYEELAENVMNIVDRLVHKTDQKIEQSTDVLKAIISPAMHEGDVKWPPKEPDTLKLMEKEIARREQEGQLDEGFLSEVSAQLRQVKQDGDKPGLQAMLQKVLQLYASKSLQKRSYAYKGGQVVVPEKFLESVIQAPENEWNKVLLDGLTVGKGDVSPDDLYAVISKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >PAN13499 pep chromosome:PHallii_v3.1:2:48097826:48100816:-1 gene:PAHAL_2G342500 transcript:PAN13499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAATAASTSLRPPCASQRRLAQSLPRRWCWGIPVRSAGGSAFRAERRRRSTLLCAADKAPEESLKKTIEVDRLIDTLRDANPRELDQIVIENVLSFDAGFWVRLAARIDLCKSDDDKKDYEELAENVMNIVDRLVHKTDQKIEQSTDVLKAIISPAMHEGDVKWPPKEPDTLKLMEKEIARREQEGQLDEGFLSEVSAQLRQVKQDGDKPGLQAMLQKVLQLYASKSLQKRSYAYKGGQVVVPEKFLESVIQAPENEWNKVLLDGLTVGKGDVSPDDLYAVISKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >PAN09947 pep chromosome:PHallii_v3.1:2:4474732:4476685:1 gene:PAHAL_2G060600 transcript:PAN09947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLFCMTPETSEAAGGRARASSGFSFVDLKGGSAAPLDVVDPSFSFLTELPGTRVLLFRDACNGLVLFQHRREPGGGRIVYVVCNPTTKEWEAVPAARLRRPRASNLRLPGLRPGRVRSLPLGPVPGEGRRGVRLGPRLLLRNQDVGWQQDRRTRRARGTGRVASGTQEILDDGEGKYNLSVWALQDYDAQEWVLKGAVNTYEVFGEDSCIDATSEFEVVDIHQDCDVVFFTHPLERSNLVAYDMDSKEVSVIATLGDGKELLGTARYVPCRFS >PAN09946 pep chromosome:PHallii_v3.1:2:4475265:4475969:1 gene:PAHAL_2G060600 transcript:PAN09946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLFCMTPETSEAAGGRARASSGFSFVDLKGGSAAPLDVVDPSFSFLTELPGTRVLLFRDACNGLVLFQHRREPGGGRIVYVVCNPTTKEWEAVPAARLRRPRASNLRLPGLRPGRVRSLPLGPVPGEGRRGVRLGPRLLLRNQDVGWQQDRRTRRARGTGRVASGTQVRFNLADPNLRCSFVNVLLHLVVWDQQDQMKIAAVDVQGKVRRMVPVPPVADWMHWMCYFSGNIR >PVH64080 pep chromosome:PHallii_v3.1:2:26020325:26020966:-1 gene:PAHAL_2G180000 transcript:PVH64080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAQRKDQTRPIETLQSVRPSSTSDPPTRPPPHATAPPPDAASPPGDTRLPPRDAPHPRDTTSPRPRRDRSTAGALAEAVAPDPLERSSPPAIAGALTLAITVATEPRMRPRPPPRLHLSIAQRHPHAAAAAAQLREARSRR >PAN14844 pep chromosome:PHallii_v3.1:2:54532840:54536496:1 gene:PAHAL_2G448500 transcript:PAN14844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEGPADLRELVRLPDVLVVCSSRGWTDEKHMLYLQLLEETFVSQLHDSERSLKGLFNLSPRYCGRVKSAKQIVKYAKPDQGFCGIVDADKVNTSMKVELVDSPSCGNQQDGKVHSMDDNASTTEPVEEAISQARATNSEQCSTCYVGKHRHSPSRSAEGSDQNFDEETKGIGESRRGCSQKRLKSYKVMRDDQVVLSAKAECQKVGGLIASNKPEDKYNGTSKVDARSLDAEARSPMCKNDGSKG >PAN14843 pep chromosome:PHallii_v3.1:2:54533630:54535843:1 gene:PAHAL_2G448500 transcript:PAN14843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEGPADLRELVRLPDVLVVCSSRGWTDEKHMLYLQLLEETFVSQLHDSERSLKGLFNLSPRYCGRVKSAKQIVKYAKPDQGFCGIVDADKVNTSMKVELVDSPSCGNQQDGKVHSMDDNASTTEPVEEAISQARATNSEQCSTCYVGKHRHSPSRSADFYKRTTRAFTQRGRIRTLMRRPKGLENQEEDAAKSD >PVH64187 pep chromosome:PHallii_v3.1:2:35621791:35622369:-1 gene:PAHAL_2G211400 transcript:PVH64187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSAANARRRRVGLSFSYAILGLRSVDWAWASLAVSIQERRGCLHVHAI >PAN14851 pep chromosome:PHallii_v3.1:2:54545908:54550463:1 gene:PAHAL_2G448800 transcript:PAN14851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTYATEESNSKDYSANASSSPIGSLETSVDLPTSAAKEVPGDSAHEGPRGHEVPEMRLPDLTEDHVESNAPSDTGPEILTNSGRTEAFISSTNDKAHPPPPADAIEVNSMPVNVPNGTGAMLRAEMQPKEDKTHYQTDMARKLKRKEDSETAPESPYRGLVDTAAPFESVREAVTKFGGIVDWKAHKAQMIERRKLIQLELEKIRTEIPLCKEELEAAEMAKSQVVDELEHTKRLIEELKHHLEKVQVEEAQAKQDSELAQLRAQEIEHGIADETSAIAKTQMEVAKERHEKAVAELKSVKEELRSVHEQYATLIDERDTAIKRAEEVISAGKEIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALVKEQDCLAWERGLRQAQEELQQLESKLASNNDMRLNIDANLGKLLSLNKELSAYMENKLIEEAEGASKEHEAEGAKQISNSIKEALASKQKELQEVKANIEKAKAEANVLRFAAITLRSELDSEKASLVSLQQGEAMASVAVSSLEDELNRTKREIESVRSKEAEAQEKMVELPMVLQQATQEAEDAKVAAQSAQEELTKAKEDFKQTKAAAATAETRLSAVVKEAEASVASERLALAAVQALQESKEARDVKDSPRQVTLPLSEYYELSKRAHEAEEQANESVAEALAQVVSAKESESRSLERLKEASEEMDEKKEALEIALERAGRANKGKLAAEQELRKWRADHEQRRRALEAAKRAVNPLNGPSRVFVEQKDPYHSEQESKLQMSGSSYESLAPNQKLQRKKSVFPMMGSVLSRKTRAQT >PVH65260 pep chromosome:PHallii_v3.1:2:54545908:54550390:1 gene:PAHAL_2G448800 transcript:PVH65260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPDLTEDHVESNAPSDTGPEILTNSGRTEAFISSTNDKAHPPPPADAIEVNSMPVNVPNGTGAMLRAEMQPKEDKTHYQTDMARKLKRKEDSETAPESPYRGLVDTAAPFESVREAVTKFGGIVDWKAHKAQMIERRKLIQLELEKIRTEIPLCKEELEAAEMAKSQVVDELEHTKRLIEELKHHLEKVQVEEAQAKQDSELAQLRAQEIEHGIADETSAIAKTQMEVAKERHEKAVAELKSVKEELRSVHEQYATLIDERDTAIKRAEEVISAGKEIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALVKEQDCLAWERGLRQAQEELQQLESKLASNNDMRLNIDANLGKLLSLNKELSAYMENKLIEEAEGASKEHEAEGAKQISNSIKEALASKQKELQEVKANIEKAKAEANVLRFAAITLRSELDSEKASLVSLQQGEAMASVAVSSLEDELNRTKREIESVRSKEAEAQEKMVELPMVLQQATQEAEDAKVAAQSAQEELTKAKEDFKQTKAAAATAETRLSAVVKEAEASVASERLALAAVQALQESKEARDVKDSPRQVTLPLSEYYELSKRAHEAEEQANESVAEALAQVVSAKESESRSLERLKEASEEMDEKKEALEIALERAGRANKGKLAAEQELRKWRADHEQRRRALEAAKRAVNPLNGPSRVFVEQKDPYHSEQESKLQMSGSSYESLAPNQKLQRKKSVFPMMGSVLSRKTRAQT >PVH64595 pep chromosome:PHallii_v3.1:2:46135654:46136966:1 gene:PAHAL_2G311200 transcript:PVH64595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRHAGSRIDPHRSMRLARMRGGQPQPAPLASIPGSRKKDNELAPFQRRLFRLVLPLDAPLLLAILCSARPLPARSSTPMAKIHRPLPPMEARMDDAGGGGARPPWSTARRRSSSRGEARGGGIGRVGAEHGAELWPGRRRTSGRGGARRGGGARSRRISARRRSSGVAGAELGPGRARRRCWRELARLGDGAPAGALAARGRSAGGAWRARPGGAREGGQRRMGRGAMTVETGKRWRGRRGLGD >PVH65397 pep chromosome:PHallii_v3.1:2:56612282:56614153:-1 gene:PAHAL_2G482800 transcript:PVH65397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVSAQGVKVPDVEASQENRCELTRTPYGRRFANEEISSYFAFLFELIVARGPSVGLNVSLSRYDLFHGQLFLASKTGRLGIL >PAN10518 pep chromosome:PHallii_v3.1:2:7621056:7622060:1 gene:PAHAL_2G098400 transcript:PAN10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSSSSRSVLLLVVLAAAAVAALSAAPAPDESGSDPSVMAPVEHTPIGSFEGADGPVADDATEDKDAAPVGSPIGTTMTEPKPELAPPGPPDSGATAASALVAAIAAGVAAAGAVFVF >PAN15566 pep chromosome:PHallii_v3.1:2:57583382:57595257:1 gene:PAHAL_2G498100 transcript:PAN15566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPRFSTPARVRRALAPPRRALPASSPPLGFASATPALAPRIAVAVAAPLRAVVSAEQRDLGMEAGEQGRPLRVGLVCGGPSAERGVSLNSARSVLDHIQGEDLVVTCYYIDSGMNAFAISPAQLYSNTPSDFDFKLESLAQGFHSLSDFAEHLATNVDIVFPVIHGKFGEDGGIQELLEKAKVPFVGTPSKECQHAFDKHSASLELDTQGFLTVPNFLVEKDKLAKPELEAWFQTVNLSKENGKVIVKPTRAGSSIGVVVAYGVNDAAQKAEEIISEGIDDKVIIEVFLEGGTEFTAIVVDVGIANNSEPVVLLPTEVELQRSSSSDTKEDTIFNYRRKYLPSQQVAYHTPPRFPAEVIDCIRQGISLLFRRLGLHDFARIDGWFLPSPVSSLPSAENSEKFGNTKYGSVLFTDINLISGMEQTSFLFQQASAVGFSHSRILRTVIQHACSRFPSLVPCNNAWTALSRKLKSSKQAEAIHKGTSKQKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLAPANGYFSSHDQDFSVISREVWTLPYSLVLRHTTEEVYAACVEASEPERVEVTSRLREQVMNGLWPALSKHDWFAGFDIAYEEPVKYSLQQWINHVKESGAVVFIAVHGGIGEDGTIQTLLESAGVPYTGPGPIASRTCMNKVATSLAVEHLTSYGVCTIPKDVRATEEVLKSSLVDIWNELIAKLQTETVCVKPARDGCSTGVARLCCPKDLEVYTNALRSKFQRLPANCLSRAHGVIEMPVPPPESLIFEPFIETDEIIISNKLENGSARHLVWKGENDWLEITVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPATIMSDDALQRCKRSIEIMANSLGLEGFSRIDAFVNVRSGEVLLIEVNTVPGMTPSTVLIHQALAEEPPVYPHKFFRTLLDLAFERTK >PVH65460 pep chromosome:PHallii_v3.1:2:57583381:57595257:1 gene:PAHAL_2G498100 transcript:PVH65460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPRFSTPARVRRALAPPRRALPASSPPLGFASATPALAPRIAVAVAAPLRAVVSAEQRDLGMEAGEQGRPLRVGLVCGGPSAERGVSLNSARSVLDHIQGEDLVVTCYYIDSGMNAFAISPAQLYSNTPSDFDFKLESLAQGFHSLSDFAEHLATNVDIVFPVIHGKFGEDGGIQELLEKAKVPFVGTPSKECQHAFDKHSASLELDTQGFLTVPNFLVEKDKLAKPELEAWFQTVNLSKENGKVIVKPTRAGSSIGVVVAYGVNDAAQKAEEIISEGIDDKVIIEVFLEGGTEFTAIVVDVGIANNSEPVVLLPTEVELQRSSSSDTKEDTIFNYRRKYLPSQQVAYHTPPRFPAEVIDCIRQGISLLFRRLGLHDFARIDGWFLPSPVSSLPSAENSEKFGNTKYGSVLFTDINLISGMEQTSFLFQQASAVGFSHSRILRTVIQHACSRFPSLVPCNNAWTALSRKLKSSKQAEAIHKGTSKQKVFVIFGGDTSERYSLVLRHTTEEVYAACVEASEPERVEVTSRLREQVMNGLWPALSKHDWFAGFDIAYEEPVKYSLQQWINHVKESGAVVFIAVHGGIGEDGTIQTLLESAGVPYTGPGPIASRTCMNKVATSLAVEHLTSYGVCTIPKDVRATEEVLKSSLVDIWNELIAKLQTETVCVKPARDGCSTGVARLCCPKDLEVYTNALRSKFQRLPANCLSRAHGVIEMPVPPPESLIFEPFIETDEIIISNKLENGSARHLVWKGENDWLEITVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPATIMSDDALQRCKRSIEIMANSLGLEGFSRIDAFVNVRSGEVLLIEVNTVPGMTPSTVLIHQALAEEPPVYPHKFFRTLLDLAFERTK >PAN12332 pep chromosome:PHallii_v3.1:2:42536034:42539476:-1 gene:PAHAL_2G260000 transcript:PAN12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLASPSLSLHTVPGRARLAAAAGAPPRRRRVAVVRAKVREIFMPALSSTMTEGKIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFHDGIVAAVLVPAGGTAPVGAPIALLAESEEEVALAQARAQALSQGPSREPHPPHAVAAAARAPPPPAPVAAPATKGIATPYAKKLAKQHRVDIAAVVGTGPHGRVTAADIQAAAGINPKPKVAPPPPPPPPAPPAAVRPAPPMASGAVLPPLPGGRVVPFTTMQAAVSRNMVESLSVPTFRVGYSMTTDKLDALYEKVKSKGVTKTVLLVKAAAMALTQHPAVNASCRDGKSFSYNTNVNIGVAVALEGGLLTPVLEDADKLDIYLLAQKWRVLLKKARTKQLQPNEYNSGTFTLSNLGMFGVDRFDAILPAGQGAIMAVGTSKPTVVADKDGFFSIKSEMLVNVTADHRIIYGADLAAFLQTFAKIVEDPEGLTL >PAN11544 pep chromosome:PHallii_v3.1:2:19812016:19814108:1 gene:PAHAL_2G161900 transcript:PAN11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSAATASSSSASAPSTAAVSPTAGSAPASSGVAADVLSFARTLASLLALNPFARLSSADLAAPTPSWSPAFVGPPGVASYSWPPTPTQAKLRVQENVRRYARNYAALAILIFACCLYRMPVALLGMLASLAVWEGVRYCRYYWGLTTSAPGIAQAMLRCAQIGEEPKQMCV >PAN11540 pep chromosome:PHallii_v3.1:2:19811975:19817130:1 gene:PAHAL_2G161900 transcript:PAN11540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSAATASSSSASAPSTAAVSPTAGSAPASSGVAADVLSFARTLASLLALNPFARLSSADLAAPTPSWSPAFVGPPGVASYSWPPTPTQAKLRVQENVRRYARNYAALAILIFACCLYRMPVALLGMLASLAVWEGVRYCRYYWGLTTSAPGIAQAMLRCAQIATAILLYVCKLQFVLVYAIGLSYAGMMLHASLRKLTPSNLPDPGNNNRRAQPKQN >PAN11542 pep chromosome:PHallii_v3.1:2:19811976:19814012:1 gene:PAHAL_2G161900 transcript:PAN11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSAATASSSSASAPSTAAVSPTAGSAPASSGVAADVLSFARTLASLLALNPFARLSSADLAAPTPSWSPAFVGPPGVASYSWPPTPTQAKLRVQENVRRYARNYAALAILIFACCLYRMPVALLGMLASLAVWEGVRYCRYYWGLTTSAPGIAQAMLRCAQIGEEPKQMCV >PAN11543 pep chromosome:PHallii_v3.1:2:19811977:19814108:1 gene:PAHAL_2G161900 transcript:PAN11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSAATASSSSASAPSTAAVSPTAGSAPASSGVAADVLSFARTLASLLALNPFARLSSADLAAPTPSWSPAFVGPPGVASYSWPPTPTQAKLRVQENVRRYARNYAALAILIFACCLYRMPVALLGMLASLAVWEGVRYCRYYWGLTTSAPGIAQAMLRCAQIGS >PAN11541 pep chromosome:PHallii_v3.1:2:19812015:19817115:1 gene:PAHAL_2G161900 transcript:PAN11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSAATASSSSASAPSTAAVSPTAGSAPASSGVAADVLSFARTLASLLALNPFARLSSADLAAPTPSWSPAFVGPPGVASYSWPPTPTQAKLRVQENVRRYARNYAALAILIFACCLYRMPVALLGMLASLAVWEGVRYCRYYWGLTTSAPGIAQAMLRCAQIATAILLYVCKLQFVLVYAIGLSYAGMMLHASLRKLTPSNLPDPGNNNRRAQPKQN >PVH64010 pep chromosome:PHallii_v3.1:2:19812113:19813540:1 gene:PAHAL_2G161900 transcript:PVH64010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSAATASSSSASAPSTAAVSPTAGSAPASSGVAADVLSFARTLASLLALNPFARLSSADLAAPTPSWSPAFVGPPGVASYSWPPTPTQAKLRVQENVRRYARNYAALAILIFACCLYRMPVALLGMLASLAVWEGVRYCRYYWGLTTSAPGIAQAMLRCAQIGS >PAN14514 pep chromosome:PHallii_v3.1:2:52542956:52544477:-1 gene:PAHAL_2G415600 transcript:PAN14514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPVRPLAVSAAGGGGGFSAVRPRSLREWRGRTCRKVVSFSSSGKGGEEQAVPGETPEEARRRLAELDKLLEGLVEPKMRPPTPPPPPDPYLDRDMILGRGSTDELPDISPTYVAFSTLALVILTIFTNVMFNLYIKPSVDGADQPVRIQRVPLVNPADQQFE >PVH65299 pep chromosome:PHallii_v3.1:2:54976151:54978497:1 gene:PAHAL_2G456400 transcript:PVH65299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRTWFPSPWPNAIRPCRVPLPLMLSSTFDPGILQCPRAPGPGPALGFNASSTGSSNLMCVCPPVSTPPVTGKIAETERFGLG >PAN13166 pep chromosome:PHallii_v3.1:2:46598915:46600554:-1 gene:PAHAL_2G318100 transcript:PAN13166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSLLLALLVTVWVCAAPARADPLLERFERWMGRHGRLYADAGEKQRRLEVYRRNVELVERFNSMGNGGYRLADTRFADLTNEEFRTKMLGLGPRSRAGRTTAPSTMTRVASGLAGDFSDLPKSVDWREKGAVAPVKNQGECGSCWAFSAVAAMEGVNQIKNGKLVSLSEQELVDCDTVAVGCAGGYMSWAFEFVMKNRGLTTERNYPYQGMNGACQKPKLKESAVAISGYVNVTASSEADLLRAAAAQPVSVAVDAGSFVWQLYGGGVFTGPCTTELNHGVTVVGYGETQEDADGDGGGTPGQKYWIVKNSWGPEWGEAGYIRMQRQAGAADGLCGIALLPSYPLM >PAN15131 pep chromosome:PHallii_v3.1:2:55537381:55539053:-1 gene:PAHAL_2G466900 transcript:PAN15131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAGQDAARAPPALPWTVRIQLFGLMATVGATTRRDGTVNRFVFNLLDRRARARARPDGSGVRSADVGVGAPHGLWARLFSPSGAAGAPLPVLVYFHGGAFALLSAASAPYDAMCRRFCRELGAVVVSVNYRLAPEHRCPAAYDDGVDVLRYLASTGLPGIDVPVDFSRCFLAGDSAGGNIAHHVARRWTASTTSSESPPPSNPIRLAGIILVQPYFGGEERTDAELRLDGKAPVVTMRGSDWAWRGFLPEGADRNHSAAHVTDENAGLADGFPPAMVVIGGLDPLQDWQRRYADVLRRKGKAVRVVEYPEAIHTFFFFPVLPDSGRLVTEMKAFMDENSASEPAAA >PVH64528 pep chromosome:PHallii_v3.1:2:45080877:45082425:-1 gene:PAHAL_2G294500 transcript:PVH64528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGKRDMSQERLYQDRKDVVPIHFTTPPPPPRQQQSHQHHHVGHGEQQQQQLECFSDEVDSRGSAELKEPASSGALVVSGGGDGASIEVSKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPCGRDVADALARFATRRNLGICVLAGTGAVANVSLRHPAPGGGVPAGAIVIHGQYEILSISATFLPPAMSAVAPQAAAAAACLSISLAGPHGQIVGGAVAGPLYAATTVVVVAAAFTNPTFHRLPADNDASVSVSVSLSPCSGDPADEHRGSHHHQQQHQHPAEQAPPPPQEHRPHVVRRQPAPHLGAAASQAQPVDPCGPPAVPIFACHPQPHDVMWPPPARAQHPPPPPF >PVH65441 pep chromosome:PHallii_v3.1:2:57423538:57424002:-1 gene:PAHAL_2G494900 transcript:PVH65441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIDDLSSCSRSSTRSPASRRGSRRPRIPWTRCSPRRRTRLPVCIDSRRRLAHHHHHCRHRRVNHLSASPPSWVDPFDLNLAPPVGSRSPATATERPSGHHVATSNRDVGGGILGSPPPRPVTGMSHNPSSRPPDSFPDMRQTPVRTSPLPN >PVH63342 pep chromosome:PHallii_v3.1:2:601393:604460:1 gene:PAHAL_2G009000 transcript:PVH63342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTILSAVLGELASRSINFFIRKNCKPKALDVEDNLQRSLLRAQVIIDEATGRHITNQAMLQQLEMLRDAMHQACYMHDTFRYQSHGEEDAKDQVVSHSFSLSKVNSLKGIGSSNRKTRILEQLQDTLDNLNCMILDMKELVVFMTSYPRFYRQPYSMHLLLGNCMFGRQMEAELVLNFLLHAQPNGAEELEVLPLIGPGRVGKSTLVAHVCNDERVRGHFSEIMFLSDHDLKDEKLTFPVERCVKKYQNSLLNKDGRMLVVVEAAGDINTDEWKRFYAASKRCMRSGSKLIITSRSDKITKLGTARAVTLKYLSDEAYWYFFKTLTFGSTDPMMHPKMAYLAMETARMLNRCFLGAPGTIFMLRDNFDIRYWCKVVTLFRQNIKFHVSKFGEHPTDALNQNKPAHLRRMISNSEQIMVYHQYECSSQKEVPKVELRSVIYGSVKPSGRFEALAWRSHIPPYYNYIYTCEVRDLKSTSSKRKRT >PVH63559 pep chromosome:PHallii_v3.1:2:4119265:4123096:1 gene:PAHAL_2G056000 transcript:PVH63559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVIVVLQKITSALGEEGLKIIGSKLQKQLPDVQEVTNTMRLLHSDFLMMQAFISQVDVHRSTDKVLEAWLEQVRQAAHEAEDVVDEFIYLVGQMEGTDSFLKRALNQAADVKKWRKLAAQAKFVEDCLQKITEMKKRFDVSATDSRKDNASSYSCRLQHLSEHSYLNDDDDFVGNAEEVKRLTEWLSDVRKDRTVISVYGMGGLGKTTIASSIYKKEEIKRMFICRAWISVSQSYRVKDLLKRILLQLMSKKENIPDGIDTMDCVNLVELLQRYLKDRRYLIVLDDVWSRDSWPLLDSAFVKNNIGSRIVITTRIQAVASLADGNREMKLSLLPKEEAWTLFCQKAFARLDDRSCPPNLKACSERIVDKCQGLPLALVALGSLLSYKEMDEHGWELFYCQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGMFPEDYHIERKRLIRLWIAEGFIEDRGPETTLSDVAACYLKELADRSLLQVVDKNEYGRPKRFQMHDLVRELSLTISKKEKFATTWDHPNSDCNSDRSRRLSLQKDGNLTQTVTNSAQLRSVIVFVEEVSPSWFKDCYPNFRLLRVLSLRHCHIQKIPDNLSNLFNLHYLDLGYTKLKEIPRSIGKLGNLQTLYLKGSVMELPNDVTLLTKLQHLIIDVGRFGSSASNKICRLEHLQALKNIEANSCMVRNLGCLTRMRSLGIRKVLESYNTDLWTSVSRMTALTSLSVIAADRDRDVLDMSDLKPLPYLEKLMLSGKLDKGAIPPVFGHFPKVKSLRLCFSGLREDPLSLLSAMFQNLGHLNLYRCYDGTRLTFRAGWFPMLKHLYLSSMGELKEVDIEDGAIRSLHRLELWGLKSLTSVPEGFVHLKALQQLCIGSMMPDEFKRRLVGRDRWIVEHIPYIGDP >PVH63560 pep chromosome:PHallii_v3.1:2:4119263:4123096:1 gene:PAHAL_2G056000 transcript:PVH63560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVIVVLQKITSALGEEGLKIIGSKLQKQLPDVQEVTNTMRLLHSDFLMMQAFISQVDVHRSTDKVLEAWLEQVRQAAHEAEDVVDEFIYLVGQMEGTDSFLKRALNQAADVKKWRKLAAQAKFVEDCLQKITEMKKRFDVSATDSRKDNASSYSCRLQHLSEHSYLNDDDDFVGNAEEVKRLTEWLSDVRKDRTVISVYGMGGLGKTTIASSIYKKEEIKRMFICRAWISVSQSYRVKDLLKRILLQLMSKKENIPDGIDTMDCVNLVELLQRYLKDRRYLIVLDDVWSRDSWPLLDSAFVKNNIGSRIVITTRIQAVASLADGNREMKLSLLPKEEAWTLFCQKAFARLDDRSCPPNLKACSERIVDKCQGLPLALVALGSLLSYKEMDEHGWELFYCQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGMFPEDYHIERKRLIRLWIAEGFIEDRGPETTLSDVAACYLKELADRSLLQVVDKNEYGRPKRFQMHDLVRELSLTISKKEKFATTWDHPNSDCNSDRSRRLSLQKDGNLTQTVTNSAQLRSVIVFVEEVSPSWFKDCYPNFRLLRVLSLRHCHIQKIPDNLSNLFNLHYLDLGYTKLKEIPRSIGKLGNLQTLYLKGSVMELPNDVTLLTKLQHLIIDVGRFGSSASNKICRLEHLQALKNIEANSCMVRNLGCLTRMRSLGIRKVLESYNTDLWTSVSRMTALTSLSVIAADRDRDVLDMSDLKPLPYLEKLMLSGKLDKGAIPPVFGHFPKVKSLRLCFSGLREDPLSLLSAMFQNLGHLNLYRCYDGTRLTFRAGWFPMLKHLYLSSMGELKEVDIEDGAIRSLHRLELWGLKSLTSVPEGFVHLKALQQLCIGSMMPDEFKRRLVGRDRWIVEHIPYIGDP >PVH64893 pep chromosome:PHallii_v3.1:2:50194831:50198267:-1 gene:PAHAL_2G377100 transcript:PVH64893 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MSYTAHPVYAVTQATVAPRHQSGYAATRAPQNQNPRTPNRRKPHESEGGKRNPRRRRRTLQNLTLAAPPPPPPRFVGGAMAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDVLRLFAYGTLKDYKSNSGSLPALLPDQIRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLNNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKKLNNLSRQTLTYGGMTTFSLNLEE >PVH64892 pep chromosome:PHallii_v3.1:2:50193881:50198325:-1 gene:PAHAL_2G377100 transcript:PVH64892 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MSYTAHPVYAVTQATVAPRHQSGYAATRAPQNQNPRTPNRRKPHESEGGKRNPRRRRRTLQNLTLAAPPPPPPRFVGGAMAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDVLRLFAYGTLKDYKSNSGSLPALLPDQIRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLNNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPPA >PVH64894 pep chromosome:PHallii_v3.1:2:50194831:50198267:-1 gene:PAHAL_2G377100 transcript:PVH64894 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MSYTAHPVYAVTQATVAPRHQSGYAATRAPQNQNPRTPNRRKPHESEGGKRNPRRRRRTLQNLTLAAPPPPPPRFVGGAMAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDVLRLFAYGTLKDYKSNSGSLPALLPDQIRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLNNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKKLNNLSRQTLTYGGMTTFSLNLEE >PVH64895 pep chromosome:PHallii_v3.1:2:50193503:50198284:-1 gene:PAHAL_2G377100 transcript:PVH64895 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MSYTAHPVYAVTQATVAPRHQSGYAATRAPQNQNPRTPNRRKPHESEGGKRNPRRRRRTLQNLTLAAPPPPPPRFVGGAMAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDVLRLFAYGTLKDYKSNSGSLPALLPDQIRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLNNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPPA >PVH64891 pep chromosome:PHallii_v3.1:2:50194476:50198267:-1 gene:PAHAL_2G377100 transcript:PVH64891 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MSYTAHPVYAVTQATVAPRHQSGYAATRAPQNQNPRTPNRRKPHESEGGKRNPRRRRRTLQNLTLAAPPPPPPRFVGGAMAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDVLRLFAYGTLKDYKSNSGSLPALLPDQIRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLNNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPPA >PAN14142 pep chromosome:PHallii_v3.1:2:50858585:50859644:1 gene:PAHAL_2G387000 transcript:PAN14142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSTFMSLLNETPGNNGQEWNGLGSPPEEQQDTPFYTTPSTQGSKKARNKNLFFFTDAIPGTNQKKSAFWTRVHNFYHLEKEIIVHRSSNSRSSNSLSHRWSTIQESVNKFCGCFTAIEGRNQSGKTFENKEEDKEKKSFQLLHCWNILRHEPKWHQKMSQMAKIKCSQKKNKAPDDSILDLTGNENDDLPNASNNDIATPEGDAPKRPMGRKKAKQLLRRGGGDACIEALDQMWEKKKKADAKKEAKKEERFNKALEIEIEKLRLEQVRAASEQDRASLKRMIEEERIMTMDTSGMTVQ >PAN13283 pep chromosome:PHallii_v3.1:2:47048053:47050901:-1 gene:PAHAL_2G325600 transcript:PAN13283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRRGLGSGVFLALCLVPLLRGAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTQDGVDLVIKDPRGDQIHDCRDKISDKFEFIVHKRGVHRFCFTNKSPYHETVDFDVHIGHFSYFDQHAKDEHFGPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALIESAGLVAASVVQVYLMRRLFERKLGSSRV >PAN13281 pep chromosome:PHallii_v3.1:2:47048712:47050511:-1 gene:PAHAL_2G325600 transcript:PAN13281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRRGLGSGVFLALCLVPLLRGAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTQDGVDLVIKDPRGDQIHDCRDKISDKFEFIVHKRGVHRFCFTNKSPYHETVDFDVHIGHFSYFDQHAKDEHFGPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALIESAGLVAASVVQVYLMRRLFERKLGSSRV >PAN13282 pep chromosome:PHallii_v3.1:2:47048546:47050901:-1 gene:PAHAL_2G325600 transcript:PAN13282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRRGLGSGVFLALCLVPLLRGAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTQDGVDLVIKDPRGDQIHDCRDKISDKFEFIVHKRGVHRFCFTNKSPYHETVDFDVHIGHFSYFDQHAKDEHFGPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALIESAGLVAASVVQVYLMRRLFERKLGSSRV >PAN13284 pep chromosome:PHallii_v3.1:2:47048208:47050901:-1 gene:PAHAL_2G325600 transcript:PAN13284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRRGLGSGVFLALCLVPLLRGAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTQDGVDLVIKDPRGDQIHDCRDKISDKFEFIVHKRGVHRFCFTNKSPYHETVDFDVHIGHFSYFDQHAKDEHFGPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALIESAGLVAASVVQVYLMRRLFERKLGSSRV >PVH64384 pep chromosome:PHallii_v3.1:2:41989998:41990258:1 gene:PAHAL_2G254700 transcript:PVH64384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGQGQIHLSTPQLTAHGWKSPRRRPRRRRRSPRRRLRRRPQCSGEGGGEGAAAAARAQATPRVRRDARQRPLGGREHGRGRVRLA >PAN15496 pep chromosome:PHallii_v3.1:2:57290791:57299828:-1 gene:PAHAL_2G492300 transcript:PAN15496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDVLPSGVGPNLLLGCLHRWDLVVASNRKSIDEHISLLKWPSTHDEEKTVKLLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLCLTGEGKLVLYYLARISDPSDLPHTTLSTNEDCGEKQISPATVSEKELTPSVTGSVSKSIPTEHGAEPSSAQTGSNQQESMDVKNSSLVSKEQETTGNSLLTSSDKKPLDTKQLNVTAALTTAPSLALTGNTKPAMSFSFSTVNNEGKNPTGSKAPSGLAPFFRPGSSSFGNSQSGKGGLDSTQSVGTFGGSQNSNKDGGGYSFKSSFIASSGSVPAKIGERNEACFGNPSPQTSYTADGKVFGPPVALSPGPLPSISPAKPSLIGSSSSGYRAGNSEAPHLLHGSPLSQQTMGKSHNSRTQAPVDYARNSKMSAIFDSQEDVSKKFYSINEMTKELDALLAYIEKDGGFRDACITFQQRPLSMFEDGLQNFLELLQVFKNKVEGQCSKLEDLRNKMFQVSARQAYMKGIVSQSSDTQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNGSSETGRLASGRRAIYSNKSRSSYTQLSSVYNALNSQLAAAEQLSECLSKQISALNIGSPTTKRGAVTKELFESIGLAHTTDATKFLGSTPSKSIKRFPSVNVHSKGVLGPSKSVEPETARRRRESLDMSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQMAAISQEKSSGSSNSSIVESYASRLRSPSEDVKAKPSGSQQNPLFKWVKESTGPSQSLEQKQFELPGQMKSTAQSSKLAPSSPAFGYTHKGAQDGISSSNVSSFGATHTVPKSNTLTFKTTITPKSNANTEAPSMATAKAPQSPLSVKTLTGESGDLSTLTMKNRQDNQAMPSLGNIKGLGPSPQSKGDMFRDLSKSSFTSENSKPAVLHEKSGQLNGVSDAVHNTVKETPKVASQPPAFSPVSVTQTNLYSIKPTVSSSATSSSSVMQASAAKTSDILSSSVQKSTPKVSSLVTGDNLSSSVPSIPTPVKDLSSGLCKNAAKPETVTSEVTSTIVSASSSVISTTEGKPSPPPTTGSSLPSTPVSAPKTAPTTAESAVTSTGKDVGPNNISTDEDDMEEEVPSASAELNLGALCGFGLGSQPSSSPQKSNPFGTSFGTSDNKSSGTPFTLTTSPGQLFRPASLSIPSAQPAQPSQSTSSSAFSSTFSSGLTGFGQPAQLGSVQQSGFGKPAQIGAGFGQPAQIQSGFGQPAQIGSGQQSGFGQPAQIGAGQQSGFGQPAQFGVQQALGSVLGSFGQSRQLGGAGSGGFGGFASASTSGGFGSLSSSNAGFAGAAAGGGFPVPAPSAGGGLPAAATGGGFAALASKSGGFAAAASSGGGFAAAASSGGGFAAAASSGGGFGGATQGGGFGSGGGFGSFGGNQGAGFLAFGASGPGRPPADLLTQMRK >PAN15495 pep chromosome:PHallii_v3.1:2:57290791:57302463:-1 gene:PAHAL_2G492300 transcript:PAN15495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPRELDLSDEVEGDQDGTTDFVFRLAGEPIPLLPTDSSPLPLFDLQSPPARPLAVSDRHAAVFLAHPNGFMASRTKELIEASKEARDKGKASTRCAEDCCIADVPLPGVSLLALSHDDSVLAACTDTEIHFFSLASLLTHKDVVPSSSCSLGRAGTVKDFKWLNHASAAYVVLSNGGLLCHGSLGEGLKDVMENVDAVDCCKEGNHIAVARENKLTILSSDFKETCCMPLLFQLWSDESDSEGTTIKVDSIGWIRDDSIVIGCVRLNEDDNEEGYLVQVIRSEENTFCESPGKPVVYTYVDLFNGMLDDVLPSGVGPNLLLGCLHRWDLVVASNRKSIDEHISLLKWPSTHDEEKTVKLLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLCLTGEGKLVLYYLARISDPSDLPHTTLSTNEDCGEKQISPATVSEKELTPSVTGSVSKSIPTEHGAEPSSAQTGSNQQESMDVKNSSLVSKEQETTGNSLLTSSDKKPLDTKQLNVTAALTTAPSLALTGNTKPAMSFSFSTVNNEGKNPTGSKAPSGLAPFFRPGSSSFGNSQSGKGGLDSTQSVGTFGGSQNSNKDGGGYSFKSSFIASSGSVPAKIGERNEACFGNPSPQTSYTADGKVFGPPVALSPGPLPSISPAKPSLIGSSSSGYRAGNSEAPHLLHGSPLSQQTMGKSHNSRTQAPVDYARNSKMSAIFDSQEDVSKKFYSINEMTKELDALLAYIEKDGGFRDACITFQQRPLSMFEDGLQNFLELLQVFKNKVEGQCSKLEDLRNKMFQVSARQAYMKGIVSQSSDTQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNGSSETGRLASGRRAIYSNKSRSSYTQLSSVYNALNSQLAAAEQLSECLSKQISALNIGSPTTKRGAVTKELFESIGLAHTTDATKFLGSTPSKSIKRFPSVNVHSKGVLGPSKSVEPETARRRRESLDMSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQMAAISQEKSSGSSNSSIVESYASRLRSPSEDVKAKPSGSQQNPLFKWVKESTGPSQSLEQKQFELPGQMKSTAQSSKLAPSSPAFGYTHKGAQDGISSSNVSSFGATHTVPKSNTLTFKTTITPKSNANTEAPSMATAKAPQSPLSVKTLTGESGDLSTLTMKNRQDNQAMPSLGNIKGLGPSPQSKGDMFRDLSKSSFTSENSKPAVLHEKSGQLNGVSDAVHNTVKETPKVASQPPAFSPVSVTQTNLYSIKPTVSSSATSSSSVMQASAAKTSDILSSSVQKSTPKVSSLVTGDNLSSSVPSIPTPVKDLSSGLCKNAAKPETVTSEVTSTIVSASSSVISTTEGKPSPPPTTGSSLPSTPVSAPKTAPTTAESAVTSTGKDVGPNNISTDEDDMEEEVPSASAELNLGALCGFGLGSQPSSSPQKSNPFGTSFGTSDNKSSGTPFTLTTSPGQLFRPASLSIPSAQPAQPSQSTSSSAFSSTFSSGLTGFGQPAQLGSVQQSGFGKPAQIGAGFGQPAQIQSGFGQPAQIGSGQQSGFGQPAQIGAGQQSGFGQPAQFGVQQALGSVLGSFGQSRQLGGAGSGGFGGFASASTSGGFGSLSSSNAGFAGAAAGGGFPVPAPSAGGGLPAAATGGGFAALASKSGGFAAAASSGGGFAAAASSGGGFAAAASSGGGFGGATQGGGFGSGGGFGSFGGNQGAGFLAFGASGPGRPPADLLTQMRK >PAN09676 pep chromosome:PHallii_v3.1:2:3084231:3090274:1 gene:PAHAL_2G043600 transcript:PAN09676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPDRAAAQQPPASRAEDSPVFSFINNLSPIEPLKSAYNANSLQGYQSINITSISSIFTSPHDNVHKETRLAKSSLGEISESEASAGGSKTNKPARSSNAVRLFACTSTITQETHTVTCSDVADPPTGPCDLAQPAQFDNGSPDHNTTPCHGVRSDLKQDKCRKLDAVQAVKNTVEKRKCLFSTEIQLLDGGQPVNDSDDVLGCEWSDLISTTSGDSEISGRAHPSASGQVYYQELVMGEDQTENPQIFQDGQQTISTEEIQDNIYEPNGCIPLDYKVESQQRGIRRRCLVFEAAGFSNTVVQKETVESLSVSTCKGKSHVQTQPRGLRGIGLHLNALALTPKGKMASQDHMASGLRPSLAEKDVHGKFLSAGENFPNSGGELLEFPMDDCSAGGFPVNDHVSSQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGPETGEDPNSTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCESCKNTFGRRDADSELIEEIKQEGEQTENCGKEKENDQQKANVQNEDHPLLDLVPITPPFDLSSSLLKLPNFSSAKPPRPSKARSGNYRSSASKATATLQSCKSSKVAGSAIDEGMPDILKEADSPNNCVKTTSPNGKRVSPPHNALSISPNRKGGRKLILKSIPSFPSLMGESNSGSAMNDTDNTFNASPLVLGPS >PAN09677 pep chromosome:PHallii_v3.1:2:3084231:3090274:1 gene:PAHAL_2G043600 transcript:PAN09677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPDRAAAQQPPASRAEDSPVFSFINNLSPIEPLKSAYNANSLQGYQSINITSISSIFTSPHDNVHKETRLAKSSLGEISESEASAGGSKTNKPARSSNAVRLFACTSTITQETHTVTCSDVADPPTGPCDLAQPAQFDNGSPDHNTTPCHGVRSDLKQDKCRKLDAVQAVKNTVEKRKCLFSTEIQLLDGGQPVNDSDDVLGCEWSDLISTTSGELLAFDSTMDDHHRGMHLAAKNAESCGYLLSKLTGDSEISGRAHPSASGQVYYQELVMGEDQTENPQIFQDGQQTISTEEIQDNIYEPNGCIPLDYKVESQQRGIRRRCLVFEAAGFSNTVVQKETVESLSVSTCKGKSHVQTQPRGLRGIGLHLNALALTPKGKMASQDHMASGLRPSLAEKDVHGKFLSAGENFPNSGGELLEFPMDDCSAGGFPVNDHVSSQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGPETGEDPNSTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCESCKNTFGRRDADSELIEEIKQEGEQTENCGKEKENDQQKANVQNEDHPLLDLVPITPPFDLSSSLLKLPNFSSAKPPRPSKARSGNYRSSASKATATLQSCKSSKVAGSAIDEGMPDILKEADSPNNCVKTTSPNGKRVSPPHNALSISPNRKGGRKLILKSIPSFPSLMGESNSGSAMNDTDNTFNASPLVLGPS >PVH63503 pep chromosome:PHallii_v3.1:2:3084230:3090274:1 gene:PAHAL_2G043600 transcript:PVH63503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPDRAAAQQPPASRAEDSPVFSFINNLSPIEPLKSAYNANSLQGYQSINITSISSIFTSPHDNVHKETRLAKSSLGEISESEASAGGSKTNKPARSSNAVRLFACTSTITQETHTVTCSDVADPPTGPCDLAQPAQFDNGSPDHNTTPCHGVRSDLKQDKCRKLDAVQAVKNTVEKRKCLFSTEIQLLDGGQPVNDSDDVLGCEWSDLISTTSGELLAFDSTMDDHHRGMHLAAKNAESCGYLLSKLTGDSEISGRAHPSASGQVYYQELVMGEDQTENPQIFQDGQQTISTEEIQDNIYEPNGCIPLDYKVESQQRGIRRRCLVFEAAGFSNTVVQKETVESLSVSTCKGKSHVQTQPRGLRGIGLHLNALALTPKGKMASQDHMASGLRPSLAEKDVHGKFLSAGENFPNSGGELLEFPMDDCSAGGFPVNDHVSSQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGPETGEDPNSTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCESCKNTFGRRDEIKQEGEQTENCGKEKENDQQKANVQNEDHPLLDLVPITPPFDLSSSLLKLPNFSSAKPPRPSKARSGNYRSSASKATATLQSCKSSKVAGSAIDEGMPDILKEADSPNNCVKTTSPNGKRVSPPHNALSISPNRKGGRKLILKSIPSFPSLMGESNSGSAMNDTDNTFNASPLVLGPS >PVH63502 pep chromosome:PHallii_v3.1:2:3084230:3090274:1 gene:PAHAL_2G043600 transcript:PVH63502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPDRAAAQQPPASRAEDSPVFSFINNLSPIEPLKSAYNANSLQGYQSINITSISSIFTSPHDNVHKETRLAKSSLGEISESEASAGGSKTNKPARSSNAVRLFACTSTITQETHTVTCSDVADPPTGPCDLAQPAQFDNGSPDHNTTPCHGVRSDLKQDKCRKLDAVQAVKNTVEKRKCLFSTEIQLLDGGQPVNDSDDVLGCEWSDLISTTSGDSEISGRAHPSASGQVYYQELVMGEDQTENPQIFQDGQQTISTEEIQDNIYEPNGCIPLDYKVESQQRGIRRRCLVFEAAGFSNTVVQKETVESLSVSTCKGKSHVQTQPRGLRGIGLHLNALALTPKGKMASQDHMASGLRPSLAEKDVHGKFLSAGENFPNSGGELLEFPMDDCSAGGFPVNDHVSSQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGPETGEDPNSTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCESCKNTFGRRDEIKQEGEQTENCGKEKENDQQKANVQNEDHPLLDLVPITPPFDLSSSLLKLPNFSSAKPPRPSKARSGNYRSSASKATATLQSCKSSKVAGSAIDEGMPDILKEADSPNNCVKTTSPNGKRVSPPHNALSISPNRKGGRKLILKSIPSFPSLMGESNSGSAMNDTDNTFNASPLVLGPS >PVH64810 pep chromosome:PHallii_v3.1:2:49223810:49233367:-1 gene:PAHAL_2G361600 transcript:PVH64810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLTTVIGSQAVDDEMPLKHGSSASPSSVSTASLAARATHTSSSPMAAPARGLALPPPLSYPSTSRRRLDPSTRGRSSRSRRRVPGVRAAALEAAEGASRATEPVEVVGVGSRKDAVINFCLGSRTLSSTPIRFWTVHMMDNSRVQLIQKGHETDAVFRDLEPPLFLHPCPPAVILVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64813 pep chromosome:PHallii_v3.1:2:49223810:49233394:-1 gene:PAHAL_2G361600 transcript:PVH64813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLTTVIGSQAVDDEMPLKHGSSASPSSVSTASLAARATHTSSSPMAAPARGLALPPPLSYPSTSRRRLDPSTRGRSSRSRRRVPGVRAAALEAAEGASRATEPVEVVGVGSRKDAVINFCLGSRTLSSTPIRFWTVHMMDNSRVQLIQKGHETDAVFRDLEPPLFLHPCPPAVILVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64817 pep chromosome:PHallii_v3.1:2:49225663:49231835:-1 gene:PAHAL_2G361600 transcript:PVH64817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMQCSETWNLPYFFIPAHLRLFLFVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64811 pep chromosome:PHallii_v3.1:2:49223810:49233394:-1 gene:PAHAL_2G361600 transcript:PVH64811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLTTVIGSQAVDDEMPLKHGSSASPSSVSTASLAARATHTSSSPMAAPARGLALPPPLSYPSTSRRRLDPSTRGRSSRSRRRVPGVRAAALEAAEGASRATEPVEVVGVGSRKDAVINFCLGSRTLSSTPIRFWTVHMMDNSRVQLIQKGHETDAVFRDLEPPLFLHPCPPAVILVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64818 pep chromosome:PHallii_v3.1:2:49225663:49231835:-1 gene:PAHAL_2G361600 transcript:PVH64818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMQCSETWNLPYFFIPAHLRLFLFVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64822 pep chromosome:PHallii_v3.1:2:49224967:49233367:-1 gene:PAHAL_2G361600 transcript:PVH64822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLTTVIGSQAVDDEMPLKHGSSASPSSVSTASLAARATHTSSSPMAAPARGLALPPPLSYPSTSRRRLDPSTRGRSSRSRRRVPGVRAAALEAAEGASRATEPVEVVGVGSRKDAVINFCLGSRTLSSTPIRFWTVHMMDNSRVQLIQKGHETDAVFRDLEPPLFLHPCPPAVILVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNRGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64816 pep chromosome:PHallii_v3.1:2:49223810:49233367:-1 gene:PAHAL_2G361600 transcript:PVH64816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLTTVIGSQAVDDEMPLKHGSSASPSSVSTASLAARATHTSSSPMAAPARGLALPPPLSYPSTSRRRLDPSTRGRSSRSRRRVPGVRAAALEAAEGASRATEPVEVVGVGSRKDAVINFCLGSRTLSSTPIRFWTVHMMDNSRVQLIQKGHETDAVFRDLEPPLFLHPCPPAVILVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64819 pep chromosome:PHallii_v3.1:2:49225663:49231835:-1 gene:PAHAL_2G361600 transcript:PVH64819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMQCSETWNLPYFFIPAHLRLFLFVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64815 pep chromosome:PHallii_v3.1:2:49225663:49231835:-1 gene:PAHAL_2G361600 transcript:PVH64815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMQCSETWNLPYFFIPAHLRLFLFVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64820 pep chromosome:PHallii_v3.1:2:49225663:49231835:-1 gene:PAHAL_2G361600 transcript:PVH64820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMQCSETWNLPYFFIPAHLRLFLFVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64821 pep chromosome:PHallii_v3.1:2:49225146:49233367:-1 gene:PAHAL_2G361600 transcript:PVH64821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLTTVIGSQAVDDEMPLKHGSSASPSSVSTASLAARATHTSSSPMAAPARGLALPPPLSYPSTSRRRLDPSTRGRSSRSRRRVPGVRAAALEAAEGASRATEPVEVVGVGSRKDAVINFCLGSRTLSSTPIRFWTVHMMDNSRVQLIQKGHETDAVFRDLEPPLFLHPCPPAVILVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNRGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64814 pep chromosome:PHallii_v3.1:2:49225663:49233367:-1 gene:PAHAL_2G361600 transcript:PVH64814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLTTVIGSQAVDDEMPLKHGSSASPSSVSTASLAARATHTSSSPMAAPARGLALPPPLSYPSTSRRRLDPSTRGRSSRSRRRVPGVRAAALEAAEGASRATEPVEVVGVGSRKDAVINFCLGSRTLSSTPIRFWTVHMMDNSRVQLIQKGHETDAVFRDLEPPLFLHPCPPAVILVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64812 pep chromosome:PHallii_v3.1:2:49225663:49233367:-1 gene:PAHAL_2G361600 transcript:PVH64812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLTTVIGSQAVDDEMPLKHGSSASPSSVSTASLAARATHTSSSPMAAPARGLALPPPLSYPSTSRRRLDPSTRGRSSRSRRRVPGVRAAALEAAEGASRATEPVEVVGVGSRKDAVINFCLGSRTLSSTPIRFWTVHMMDNSRVQLIQKGHETDAVFRDLEPPLFLHPCPPAVILVSSAGQDADHITAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PVH64809 pep chromosome:PHallii_v3.1:2:49223810:49230627:-1 gene:PAHAL_2G361600 transcript:PVH64809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWSSLNVQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALCFPFISSLMGGDILEQEQTRQKHSFDQLPDNGSNSVEREFSQLSNGSSDATVSKLSPEEIENLESERESNDWNRRIKPESLEGNLLVAEEMGMHDNREHLGSQQDHGFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVKSDQSPNTHPETPDAGTTAATGHAAFAVPFSDVRLEKVMDMCSSAVTFLRGRMDRSRKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFAHFQNGWRHGESLLVDANGSRWIEVWDEGVLVSRTKMEK >PAN11632 pep chromosome:PHallii_v3.1:2:17445281:17454283:1 gene:PAHAL_2G155900 transcript:PAN11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MGEVTENNSDMSVLQRIATSGVPLLKDYGLNGVICAVLLAIVIPLLLTSMFGKKTKKRAVQADVGGEAGLAMRNSRFSSLVQVPWEGATTMAALFEMASKKYYRRRCLGTRKLINREFVESADGRKFEKLHLGEYQWDTYAEAFNRACNFASGLIKMGHKLDSHAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLQSLRHIIYIEDEPVEAETLNQMNLWTTLSFTEVEELGKTSHIDARLPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPNLGMDDVYLAYLPLAHVFELAAETVMLASGTAIGYGSALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDFAYNRNLAAIEGSWFGSWAPERMIWDNLIFKPIRAMLGGRVRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYTISDSPMPRGEVVVGGYSITKGYYNNDAKTNEVYKVDEKGMCWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEKWAQDSGINYRDFEELCQNDQAIKEVQQSLSKAAKAARLEKFEIPAKILLLPEPWTPESGLVTAALKLKREQIKSKFKDDLNKLYH >PAN11631 pep chromosome:PHallii_v3.1:2:17445281:17454283:1 gene:PAHAL_2G155900 transcript:PAN11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MGEVTENNSDMSVLQRIATSGVPLLKDYGLNGVICAVLLAIVIPLLLTSMFGKKTKKRAVQADVGGEAGLAMRNSRFSSLVQVPWEGATTMAALFEMASKKYYRRRCLGTRKLINREFVESADGRKFEKLHLGEYQWDTYAEAFNRACNFASGLIKMGHKLDSHAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLQSLRHIIYIEDEPVEAETLNQMNLWTTLSFTEVEELGKTSHIDARLPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPNLGMDDVYLAYLPLAHVFELAAETVMLASGTAIGYGSALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDFAYNRNLAAIEGSWFGSWAPERMIWDNLIFKPIRAMLGGRVRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYTISDSPMPRGEVVVGGYSITKGYYNNDAKTNEVYKVDEKGMCWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEKWAQDSGINYRDFEELCQNDQAIKEVQQSLSKAAKAARLEKFEIPAKILLLPEPWTPESGLVTAALKLKREQIKSKFKDDLNKLYH >PAN14277 pep chromosome:PHallii_v3.1:2:51475773:51479847:1 gene:PAHAL_2G396700 transcript:PAN14277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPYLLLLLSSTLLFPGAAAYSEYSCNGTTGSFTSGGAFAANLGRLVAALPANASSSPSLFASAAVGAAPDTAYGLALCRGDVADPGACSACLAGAFARLRRLCGADRDATFYAELCTARYSGGDFLARPGDNSPVINALDVNGSTYYGWDARNATSRTLFLSLVGTLFGEMAMYAAYNSSAARMFASAAMYVNPQLPTVYGFVQCTPDLSRGQCWDCFQGLQDLNRRWYDGREGGRILGVRCSFRYEAYHFFAGMPEVRIGLQGDASSSATPGSRGSNHKKVVLVALIVSITAFCAILVAGLLIIRTHRQRAEKRKKLQLQAQSRNSSATEEALKLWRIEESSTDFTLYDFAELAAATADFSDDNLLGRGGFGPVYKGKLPDGAEIAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKLLVYEYMPNRSLNCFIFDRQGGPLLDWEKRRRIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVYSFGVLLLEIVSGKRNSGHHHHYGDFVNLLGYAWQLWRDGRAHELVDPALGECGEVASIVRCVKVALLCVQDSAADRPTMADVTAMLADGGGCASGPLPDPRRPPHFSLRATAAAAAACSSGDEDGGGGSGARTNCGTTSSCFSTNDLTISSSIQEGR >PVH64164 pep chromosome:PHallii_v3.1:2:34154462:34158997:-1 gene:PAHAL_2G205900 transcript:PVH64164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTVIVAKILQSFPRTALLKLPSEFLPDTSSALPLSIAPRSSTRNFDPAGAGMVSSRLLARLSRQCVAAAAASTSVRRPAAAAEPFAASFSSLRVPYMLNHHSRYSSSIFQKFGFSSVSPQQSDKEVKEPKDQESNSTGSNEDSSSSGSEKASEQGIEDVDLSKDDLVKLVHEKEELLKSKDDEIKDMKDKVLRSYAEMENIMARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKIDTSKDSAGAVPLLKTLLEGVDMTDKQLAEVLKKFGVEKFDPINEKFDPSRHWAIFQIPDPSKPPGTVASVVKVGYMLHDRVLRPAEVGVTEGGATATETKEAEQPEQKTAED >PVH64163 pep chromosome:PHallii_v3.1:2:34154462:34156892:-1 gene:PAHAL_2G205900 transcript:PVH64163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHHSRYSSSIFQKFGFSSVSPQQSDKEVKEPKDQESNSTGSNEDSSSSGSEKASEQGIEDVDLSKDDLVKLVHEKEELLKSKDDEIKDMKDKVLRSYAEMENIMARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKIDTSKDSAGAVPLLKTLLEGVDMTDKQLAEVLKKFGVEKFDPINEKFDPSRHWAIFQIPDPSKPPGTVASVVKVGYMLHDRVLRPAEVGVTEGGATATETKEAEQPEQKTAED >PAN14290 pep chromosome:PHallii_v3.1:2:51552528:51556573:1 gene:PAHAL_2G397900 transcript:PAN14290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVSERLVLLLLAVASLSLLAPPAAAQTPWQVCGNSGNYTANSTYQRNLARLAAALPRNASASPALFAKGSVGSVPDIAYALALCRGDGANATACSACVATAFQDAQQLCAFGKDATVYYDACYLRFSNRNFLDDTASNNNELILMNTQNVSSPVEAFDAAVRVLLNATGDYAAANSTRLFATGEEAFDAANPTIYGLAQCTPDMSPADCRSCLGDVFGFIPQYLSGRQGGRVLGVRCNFRYEVYPFFTGGPSLRLSAPSSPPPALPPAPVNVTPAATPPGRSKNKTGIAVAIALPIVAAVLAISTVCFCFLWRRGPAEEQAPSYSTNAGDIESIDSLLLDISTLRSATDNFAEGNRLGEGGFGAVYKGVVPDGQEIAVKRLSQSSGQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPEKSKELDWGKRVKIINGVARGLQYLHEDSQLKIIHRDLKASNVLLDFDYTPKISDFGLARLFGGDQSREVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEILTGRRSSGSFNIEQSVDLLSLVWEHWTMGTIVEIMDPSLRGKAPAEQMLKCVHIGLLCLQDNPVDRPMMSTVNVMLSSGTVSLQAPLKPVFFIPKSGYYSTVYSESYPTGSQSTGNVVSGAMSPNEVSITELEPR >PAN14790 pep chromosome:PHallii_v3.1:2:54306605:54314144:1 gene:PAHAL_2G444700 transcript:PAN14790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLEQAILLASDSPAAAVASPSVRAEALAFCARARDESPPSSLLRLCLSGLASSPHAQVHFWCLQSLHDALLHRCLVLPDDLALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLIRLDYPHVYPSYFLDLLPPAPPQPGLTDMFARVLISLDDDLLSQEYPRSADEATDAMRVKDSMRAQCVPQIARHWHAAASTLRTADPAAAAVALDAARRCISWIDVGLVANDVFVPLLFDIVMSPGSAAPLAAAAVGCLSAVAAKRMDVRAKVGLLRSLLVAQQALGSPDSGLKMAPLVTTYAAEALECYRRLGASDADGAAALEMLEEVLPAVFAAAESSDDEEVDAGSVLEFLSGYVSMMKAPSEKQLGHLGRILEVVRVQMSYDPVYRGHLDVLDKIGKEEEDLKAEQRKDLIALFRSICRVAPAAAQLFIRGLLVTALSSAEASVEDVEVALTLFYRLGEAVGEEEIRTGTGLLGELVPMLLAARFSCHTHRLVALVYLETVTRYMKFMQEHVQYVPHLLGVFLDNRGIHHQNAHVSRRAGYLFMRAVKLLKAKLVPYLDTILQSLQDVLSQFTSMDWANKDAKFPSSEDGSQIFEAVGLLISIEEVSPEKQVQYLTALLNPLCHQIESLVMDAKAQGLEESSPRAISLQQIIVALNMVSKGFNERLVMGNRPAIGVMFKKTLDVVLQVLISFPNVKPLRSKVISFLHRMIEILGTPVLPYIPIALRQLLLDNEDKDMVEFLVLVNQIICKFKSSASTVLEEIFPTIASHLSVILSQDAFSAGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLTPSCVQYLDTIMQLLLFTSCKHKDILLRKACVQIFVNLVKDWCTNSEDKITGFREFMIEKFATNCCLYSVLDKSFDLRDANSLVLFGEIVVAQKIMYERFGDVFIKKFVETDLTKVCCPPDLAKQYCQKLQGNDIKAFRSFYQSLIEKLRPLGNGSLVFR >PAN14789 pep chromosome:PHallii_v3.1:2:54306495:54314144:1 gene:PAHAL_2G444700 transcript:PAN14789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLEQAILLASDSPAAAVASPSVRAEALAFCARARDESPPSSLLRLCLSGLASSPHAQVHFWCLQSLHDALLHRCLVLPDDLALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLIRLDYPHVYPSYFLDLLPPAPPQPGLTDMFARVLISLDDDLLSQEYPRSADEATDAMRVKDSMRAQCVPQIARHWHAAASTLRTADPAAAAVALDAARRCISWIDVGLVANDVFVPLLFDIVMSPGSAAPLAAAAVGCLSAVAAKRMDVRAKVGLLRSLLVAQQALGSPDSGLKMAPLVTTYAAEALECYRRLGASDADGAAALEMLEEVLPAVFAAAESSDDEEVDAGSVLEFLSGYVSMMKAPSEKQLGHLGRILEVVRVQMSYDPVYRGHLDVLDKIGKEEEDLKAEQRKDLIALFRSICRVAPAAAQLFIRGLLVTALSSAEASVEDVEVALTLFYRLGEAVGEEEIRTGTGLLGELVPMLLAARFSCHTHRLVALVYLETVTRYMKFMQEHVQYVPHLLGVFLDNRGIHHQNAHVSRRAGYLFMRASLQDVLSQFTSMDWANKDAKFPSSEDGSQIFEAVGLLISIEEVSPEKQVQYLTALLNPLCHQIESLVMDAKAQGLEESSPRAISLQQIIVALNMVSKGFNERLVMGNRPAIGVMFKKTLDVVLQVLISFPNVKPLRSKVISFLHRMIEILGTPVLPYIPIALRQLLLDNEDKDMVEFLVLVNQIICKFKSSASTVLEEIFPTIASHLSVILSQDAFSAGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLTPSCVQYLDTIMQLLLFTSCKHKDILLRKACVQIFVNLVKDWCTNSEDKITGFREFMIEKFATNCCLYSVLDKSFDLRDANSLVLFGEIVVAQKIMYERFGDVFIKKFVETDLTKVCCPPDLAKQYCQKLQGNDIKAFRSFYQSLIEKLRPLGNGSLVFR >PAN14791 pep chromosome:PHallii_v3.1:2:54306494:54314144:1 gene:PAHAL_2G444700 transcript:PAN14791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLEQAILLASDSPAAAVASPSVRAEALAFCARARDESPPSSLLRLCLSGLASSPHAQVHFWCLQSLHDALLHRCLVLPDDLALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLIRLDYPHVYPSYFLDLLPPAPPQPGLTDMFARVLISLDDDLLSQEYPRSADEATDAMRVKDSMRAQCVPQIARHWHAAASTLRTADPAAAAVALDAARRCISWIDVGLVANDVFVPLLFDIVMSPGSAAPLAAAAVGCLSAVAAKRMDVRAKVGLLRSLLVAQQALGSPDSGLKMAPLVTTYAAEALECYRRLGASDADGAAALEMLEEVLPAVFAAAESSDDEEVDAGSVLEFLSGYVSMMKAPSEKQLGHLGRILEVVRVQMSYDPVYRGHLDVLDKIGKEEEDLKAEQRKDLIALFRSICRVAPAAAQLFIRGLLVTALSSAEASVEDVEVALTLFYRLGEAVGEEEIRTGTGLLGELVPMLLAARFSCHTHRLVALVYLETVTRYMKFMQEHVQYVPHLLGVFLDNRGIHHQNAHVSRRAGYLFMRAVKLLKAKLVPYLDTILQSLQDVLSQFTSMDWANKDAKFPSSEDGSQIFEAVGLLISIEEVSPEKQVQYLTALLNPLCHQIESLVMDAKAQGLEESSPRAISLQQIIVALNMVSKGFNERLVMGNRPAIGVMFKKTLDVVLQVLISFPNVKPLRSKVISFLHRMIEILGTPVLPYIPIALRQLLLDNEDKDMVEFLVLVNQIICKFKSSASTVLEEIFPTIASHLSVILSQDAFSAGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLTPSCVQYLDTIMQLLLFTSCKHKDILLRKACVQIFVNLVKDWCTNSEDKITGFREFMIEKFATNCCLYSVLDKSFDLRDANSLVLFGEIVVAQKIMYERFGDVFIKKFVETDLTKVCCPPDLAKQYCQKLQGNDIKAFRSFYQSLIEKLRPLGNGSLVFR >PAN09942 pep chromosome:PHallii_v3.1:2:4461980:4463964:-1 gene:PAHAL_2G060300 transcript:PAN09942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAFAVRRRDPELVGPARKTPRETKRLSDIEDQAGLRWHVPFVLFYRGRGGDAGADAGDPAAAVRRALGEALVPYYPLAGRLREVEGRKLVVDCTGEGVLFVEADADVRLAEVEAAGLTPPFPCMDQLLFDVEGSGGVLNCPLLLVQVTRLRCGGFVFALRLNHTMCDAVGIVQFMSAVGELARGLPAPTVAPVWSRETLDARSPPRPSFPHHEYDPVPPTPFPPPGDMVMRTFTFSPADVAAIKKGLPVQRGGKKATTFEALAALIWRARTAALEIPAGEDARLVVAAGVRGVRDLGLPAGYYGNACVYPSAVSAAGALRGVPLGDAVAVVRAAKEAAASAEFVRSTADLMALRGRPSLALANMFIVSDNRHAGFHRVDLGWGEPVYGGPAGALFVLSFIVAVRNGDGEDAIAVPVVLPRQAMARFASEVDILLKS >PAN10226 pep chromosome:PHallii_v3.1:2:5952163:5953006:1 gene:PAHAL_2G080000 transcript:PAN10226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKPNPSMRARPAPRDGMAAPRRRSGPSFAACALLAVTFLAVAAVGAAADDQATTCVPSLQRLLSCLDFIEHRADEIPVPCCVQVRTTVARQPCCLMHVMRGDAARLIGPEFDGARAMVNVTAECLGDASVLVAITRNCSGKPLPPLTPEFTFTTAAVPPPSSSGATRLQVSSSSTSLLLALLLASIVFYGAFAVQRN >PAN13884 pep chromosome:PHallii_v3.1:2:49793824:49796289:-1 gene:PAHAL_2G370600 transcript:PAN13884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRSSEEALAHDEAIMDDVDSDVEESDSEDDSGEEAQAKPSDKAIYNKEAILEKLEDIAWPKNVDWMHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFDKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKRRIEEAEERKKARDAKKIAKEVQAEKNKQRAKEKKEQIESVKKWRKQRQQGGFAKGNDDVPDLNFEGEEGFKQSKKKRPGVSPSDRSGGLAKRGKEGNNRRSRDAKFGHGGRKGLKKQNTAETTNDFRSFNKGGESQNKKRKRS >PAN12139 pep chromosome:PHallii_v3.1:2:41225984:41230539:-1 gene:PAHAL_2G245900 transcript:PAN12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MLTPRVLRLSLLRRLRAAAELAPPFRPRVLPARGYQSRGYSSSGSSKYDRPMRQFSEQNESSPQPLIYYIAPSALLCFAGLAAFVHYNDERRAVPLAKGGAQTSVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSCPDVGPAEVQKIADVIKLLESKYGIKITPLFITIDPQRDSPAQLKAYLSEFDPRIVGLTGPISAVRQIAQEYRVFFKRVEEVGQDYLVESSHNMYLLDPCLETVRCFGVEYEASDLAEAITMEVKKASASSTN >PAN12140 pep chromosome:PHallii_v3.1:2:41225869:41230551:-1 gene:PAHAL_2G245900 transcript:PAN12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MLTPRVLRLSLLRRLRAAAELAPPFRPRVLPARGYQSRGYSSSGSSKYDRPMRQFSEQNESSPQPLIYYIAPSALLCFAGLAAFVHYNDERRAVPLAKGGAQTSVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSCPDVGPAEVQKIADVIKLLESKYGIKITPLFITIDPQRDSPAQLKAYLSEFDPRIVGLTGPISAVRQIAQEYRVFFKRVEEVGQDYLVESSHNMYLLDPCLETVRCFGVEYEASDLAEAITMEVKKASASSTN >PAN12138 pep chromosome:PHallii_v3.1:2:41225984:41230538:-1 gene:PAHAL_2G245900 transcript:PAN12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MRQFSEQNESSPQPLIYYIAPSALLCFAGLAAFVHYNDERRAVPLAKGGAQTSVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSCPDVGPAEVQKIADVIKLLESKYGIKITPLFITIDPQRDSPAQLKAYLSEFDPRIVGLTGPISAVRQIAQEYRVFFKRVEEVGQDYLVESSHNMYLLDPCLETVRCFGVEYEASDLAEAITMEVKKASASSTN >PVH65126 pep chromosome:PHallii_v3.1:2:53395602:53396558:1 gene:PAHAL_2G429500 transcript:PVH65126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVQPADPAVKANEILARFRPIAPKPALAAAASPVAQAAAEGVVAANRVLCQLQSRPCRARKRGRPTVVPVSPKSPAQPAAKRKRAAAPYPPLRCAAATDAVATATREHVSVVVPGSACLPLASLPPAATVAEDLVKVPVERDLLRKLLEPKVISPRAMRPVCSTIHVECIRRTDATCTDAVSKTAAEVEAEMEADALPALVSDSSNRVRLVNDAYKEMVGQPECPWLDAVAAASRRISGEVVLVVAEPALLPEPHEVLACTARIDWEYGGKCTSILAQCDVSRLLCESRDYLFTWRFRTADADASVGRRSGEASDS >PVH63482 pep chromosome:PHallii_v3.1:2:2825439:2825715:1 gene:PAHAL_2G040200 transcript:PVH63482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKSCHQQRSQGTSWLCSSPSSRCKNTWKKYRNCKPQRILAYRNLSSPGSSLILAPLVVTV >PAN12657 pep chromosome:PHallii_v3.1:2:44204041:44205182:1 gene:PAHAL_2G281600 transcript:PAN12657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSETGGGGGAKRPRSDAQGEQREAAAAEASPISALPDELRQRVLTLHPLKDAIRTGALAPGWRGLWRTRWAHRSAVEEPRPRRRLHRFSLTVDTCKFTSSHLRRFLGYAAECRAEDLRVEMWTASKLKFHLPRSSPLLARLSLRRIGFASMYYRGAQPLRALEVVVLHSVSITQAAVKKMLALCPGLRTLNLRACDADSFFYWDRSVVWPPNLRSVTVAECDGMATLNLVRVPSLRSFRYSDSFLDAPFSLPRDAALADLYICFEESVAGDYNTRRLNNTLPNDLSDLNVLTICSNALPVAFSLSDDGATCQLPKQRSLHSLR >PVH64483 pep chromosome:PHallii_v3.1:2:44204041:44205858:1 gene:PAHAL_2G281600 transcript:PVH64483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSETGGGGGAKRPRSDAQGEQREAAAAEASPISALPDELRQRVLTLHPLKDAIRTGALAPGWRGLWRTRWAHRSAVEEPRPRRRLHRFSLTVDTCKFTSSHLRRFLGYAAECRAEDLRVEMWTASKLKFHLPRSSPLLARLSLRRIGFASMYYRGAQPLRALEVVVLHSVSITQAAVKKMLALCPGLRTLNLRACDADSFFYWDRSVVWPPNLRSVTVAECDGMATLNLVRVPSLRSFRYSDSFLDAPFSLPRDAALADLYICFEESVAGDYNTRRLNNTLPNDLSDLNVLTICSNALPLLMLEMGPSNRADIYVFLKTFHCPNLEKLFVELPDDPKEGSHDEVGEEPPEDCLDNVKIVKVVNFNWHHTEVELVSFLLRKASSLQKLLLVFSKAAPLDMPGVQRAELLLIHEALTNDKIMLSESDDVATQQYHYEVFLEV >PVH65451 pep chromosome:PHallii_v3.1:2:57507535:57507747:-1 gene:PAHAL_2G496600 transcript:PVH65451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNYIILLLATIIHYIHQSISSVSCRCAFAGTITDCCLKQKRFAVSFFFGMAHPVSSERRIGATKGKGVN >PAN14937 pep chromosome:PHallii_v3.1:2:54823314:54825190:-1 gene:PAHAL_2G453800 transcript:PAN14937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLEYLSGLLGGSGGHGHEKTKKRKQLQTVELKVRMDCEGCELKVKSALSSMKGVESVEINRKQQKVTVVGYVEAGKVLKKAQSTGKKAEIWPYVPYSLVSQPYVAGTYDKRAPPGYVRSAEPGYVPSVQQQQQLGRPHDHLTDMFNDENPNSCSVM >PAN14875 pep chromosome:PHallii_v3.1:2:54629475:54635398:-1 gene:PAHAL_2G450600 transcript:PAN14875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSQDRRPFRPPDWAPPPPHRHLDYRDHHYHNQHQYQPQHQRYRPAQPSPPPPQLAVFILRTGPDYSAPTATEVEALVGGLPSPSPSPASLSVNSSGRVAARLVFRSLPDAAAAARELWAHRLEGHHLLTVELRDPALAAHASPLIASLFAAHASRLLDSDLSALSAARSAELAASIKAVKGRLGSPNRFRDFDQLNLEMKTLQAEKELVDAKIAEYQAAMRSIRRAMLREADDDEEGVDVFGAVQGVEVDFARVHKIMLRECRRLKEGLPIYAYRRRILNHIFTNQVMILIGETGSGKSTQLVQFLADSGLAAGSSIVCTQPRKIAAISLAHRVDEESNGCYGDNSVMSYSTLLNSQGFGSKIIFTTDSCLLHHCMSDRGLDDISYIVVDEAHERSLNTDLLLAMIKNKLLDRLDLRLIIMSATADADRLTEYFYGCQTFHVIGRSFPVEIKYVPGISAEASLNTLPSISSVACATASYVTDVVRMVSFIHRNEEEGAILAFLTSQLEVEWSCESFSDPNAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKDVKYVVDCGLAKECRFIPSSGLNILKVNWISKSSANQRAGRAGRTGAGKCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGVGDVQNFEFVDAPDPEAINMAVNNLEQLGAIECKYNGFELTDIGHHLVKLGIEPRLGKIMLDCFSSGLKKEGVVLAAVMANSSSIFCRVGTNEEKYKADRLKVPFCHPDGDLFTSLAVYKKWEDGRENKNVWCWQNSINAKTLRRCQETISELENCLKHELNIIIPSYWRWNPEEPTLHDASLKRIILSSLRGNLAMFSGHEKFGYQVISADQPVQLHPSCSLFIYDSKPEWVVFAEILSVPNQYLVCVTAVDHDALCTVHPMSLIKELEMNKLQRKVITGIGNKSLRRFCGKSGQNLHKVVSLLREGCRDDRITVDIDFSGNEVLLYAKQHDMEKVFCIVNDALELEAKLLRNECDERRPSCSVLALFGSGAEIKHLELGKRYLSVEILHQNPWDIDEKELIRLVDDHVPSIATFYIFGSFQATSDEMKWGKFTFLKPENAEDAISKLNGIEFHGSLLKVVPVCSYKNPGLPFPAVRAKVSWPRKPSRGHALVTCASGEAEFVVKDCFALGVGGRYVNCEVSKKYENCVFVTGVPLHVTEPELYHAFRGTTTRTILDIRLLRGSSTAGPSVSECEEALMREISQFMPNKNFPGQNFRVQVFNPEERDSMMRASITFDGSLHREAATALDHLQGSVLPCCLPWQIIQCQHVFHSTVSCPTRIYNVISQAVGSLLESFRSQKERVMLVNLGSTEQRRSF >PAN14874 pep chromosome:PHallii_v3.1:2:54629475:54635398:-1 gene:PAHAL_2G450600 transcript:PAN14874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSQDRRPFRPPDWAPPPPHRHLDYRDHHYHNQHQYQPQHQRYRPAQPSPPPPQLAVFILRTGPDYSAPTATEVEALVGGLPSPSPSPASLSVNSSGRVAARLVFRSLPDAAAAARELWAHRLEGHHLLTVELRDPALAAHASPLIASLFAAHASRLLDSDLSALSAARSAELAASIKAVKGRLGSPNRFRDFDQLNLEMKTLQAEKELVDAKIAEYQAAMRSIRRAMLREADDDEEGVDVFGAVQGVEVDFARVHKIMLRECRRLKEGLPIYAYRRRILNHIFTNQVMILIGETGSGKSTQLVQFLADSGLAAGSSIVCTQPRKIAAISLAHRVDEESNGCYGDNSVMSYSTLLNSQGFGSKIIFTTDSCLLHHCMSDRGLDDISYIVVDEAHERSLNTDLLLAMIKNKLLDRLDLRLIIMSATADADRLTEYFYGCQTFHVIGRSFPVEIKYVPGISAEASLNTLPSISSVACATASYVTDVVRMVSFIHRNEEEGAILAFLTSQLEVEWSCESFSDPNAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKDVKYVVDCGLAKECRFIPSSGLNILKVNWISKSSANQRAGRAGRTGAGKCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGVGDVQNFEFVDAPDPEAINMAVNNLEQLGAIECKYNGFELTDIGHHLVKLGIEPRLGKIMLDCFSSGLKKEGVVLAAVMANSSSIFCRVGTNEEKYKADRLKVPFCHPDGDLFTSLAVYKKWEDGRENKNVWCWQNSINAKTLRRCQETISELENCLKHELNIIIPSYWRWNPEEPTLHDASLKRIILSSLRGNLAMFSGHEKFGYQVISADQPVQLHPSCSLFIYDSKPEWVVFAEILSVPNQYLVCVTAVDHDALCTVHPMSLIKELEMNKLQRKVITGIGNKSLRRFCGKSGQNLHKVVSLLREGCRDDRITVDIDFSGNEVLLYAKQHDMEKVFCIVNDALELEAKLLRNECDERRPSCSVLALFGSGAEIKHLELGKRYLSVEILHQNPWDIDEKELIRLVDDHVPSIATFYIFGSFQATSDEMKWGKFTFLKPENAEDAISKLNGIEFHGSLLKVVPVCSYKNPGLPFPAVRAKVSWPRKPSRGHALVTCASGEAEFVVKDCFALGVGGRYVNCEVSKKYENCVFVTGVPLHVTEPELYHAFRGTTTRTILDIRLLRGSSTAGPSVSECEEALMREISQFMPNKNFPGQNFRVQVFNPEERDSMMRASITFDGSLHREAATALDHLQGSVLPCCLPWQIIQCQHVFHSTVSCPTRIYNVISQAVGSLLESFRSQKEERVMLVNLGSTEQRRSF >PAN14876 pep chromosome:PHallii_v3.1:2:54627250:54635553:-1 gene:PAHAL_2G450600 transcript:PAN14876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSQDRRPFRPPDWAPPPPHRHLDYRDHHYHNQHQYQPQHQRYRPAQPSPPPPQLAVFILRTGPDYSAPTATEVEALVGGLPSPSPSPASLSVNSSGRVAARLVFRSLPDAAAAARELWAHRLEGHHLLTVELRDPALAAHASPLIASLFAAHASRLLDSDLSALSAARSAELAASIKAVKGRLGSPNRFRDFDQLNLEMKTLQAEKELVDAKIAEYQAAMRSIRRAMLREADDDEEGVDVFGAVQGVEVDFARVHKIMLRECRRLKEGLPIYAYRRRILNHIFTNQVMILIGETGSGKSTQLVQFLADSGLAAGSSIVCTQPRKIAAISLAHRVDEESNGCYGDNSVMSYSTLLNSQGFGSKIIFTTDSCLLHHCMSDRGLDDISYIVVDEAHERSLNTDLLLAMIKNKLLDRLDLRLIIMSATADADRLTEYFYGCQTFHVIGRSFPVEIKYVPGISAEASLNTLPSISSVACATASYVTDVVRMVSFIHRNEEEGAILAFLTSQLEVEWSCESFSDPNAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKDVKYVVDCGLAKECRFIPSSGLNILKVNWISKSSANQRAGRAGRTGAGKCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGVGDVQNFEFVDAPDPEAINMAVNNLEQLGAIECKYNGFELTDIGHHLVKLGIEPRLGKIMLDCFSSGLKKEGVVLAAVMANSSSIFCRVGTNEEKYKADRLKVPFCHPDGDLFTSLAVYKKWEDGRENKNVWCWQNSINAKTLRRCQETISELENCLKHELNIIIPSYWRWNPEEPTLHDASLKRIILSSLRGNLAMFSGHEKFGYQVISADQPVQLHPSCSLFIYDSKPEWVVFAEILSVPNQYLVCVTAVDHDALCTVHPMSLIKELEMNKLQRKVITGIGNKSLRRFCGKSGQNLHKVVSLLREGCRDDRITVDIDFSGNEVLLYAKQHDMEKVFCIVNDALELEAKLLRNECDERRPSCSVLALFGSGAEIKHLELGKRYLSVEILHQNPWDIDEKELIRLVDDHVPSIATFYIFGSFQATSDEMKWGKFTFLKPENAEDAISKLNGIEFHGSLLKVVPVCSYKNPGLPFPAVRAKVSWPRKPSRGHALVTCASGEAEFVVKDCFALGVGGRYVNCEVSKKYENCVFVTGVPLHVTEPELYHAFRGTTTRTILDIRLLRGSSTAGPSVSECEEALMREISQFMPNKNFPGQNFRVQVFNPEERDSMMRASITFDGSLHREAATALDHLQGSVLPCCLPWQIIQCQHVFHSTVSCPTRIYNVISQAVGSLLESFRSQKGVSYNLEKTENGNFRVKLTANATKTIADLRRPLELLMEGKTINHPDLTLSAVQSLLSRDGLAHLRSVEKETGTYIHYDRQSLNIKVFGHTDKVATAEEKLVHALLQLHEKKPHEVHLRGRNLPPNLMKEVIKKFGADLEGFKKEVPSAELQLNTRQHVLYVRGSKEDKQRVEEMISELITSSDHNSLGQLLSENACPICFCELEDPFKLESCGHLFCKACLVDQCESAMKSQDGFPLCCLKNGCKKLLLLVDLRSLLPDKLDELFRASLNAFVASSPGLYRFCSTPDCMSIYQVAPADAEGKPFVCGSCYVEICTKCHLQYHPFISCEAYKEYKADPDATLREWRKGKENVKSCPSCGYTIEKTEGCNHVECRCGSHICWACLENFKSSEECYSHLRSVHQSY >PVH65432 pep chromosome:PHallii_v3.1:2:57323867:57327280:-1 gene:PAHAL_2G492800 transcript:PVH65432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Response to ethylene stimulus, Wound signalin [Source: Projected from Oryza sativa (Os07g0685700)] MQIQERQLLHTVLAKLSGKLELTAHVLGLRVLLCFPLQAAGQVYSSHLSLSQPAILLVTLASVLRGVGGLLLPPALFACHLPSACLYAAGMMGGGALVLDQRMPADDKALFGFGPGECFLGEGDLVNPAPARDDTMSFPDGDESDDDDVGGIEELERRMWRDRLRLRRLKEQQQQQGGRGGKEAPPRPRQSQEHARRKKMSRAQDVILKYMLKMMEVCNAQGFVYGIIPENGKPVTGASDNLRAWWKEKVRFDRNGPAAVARYQADNAAPGGGGAGGAAVAAAPAGPHSLHELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPQGAEPWWPEAGVPGELGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKCLQDKMTAREIVTWLAVLKQEEDLYLMQHPGALPPPSSNAAAAAAALPFSASSGEYDVEGADDGEETGRNPKPASNDAPAFVDLSSSMDATGNSRFLMPAALMKEETADAEYLHKRSAPAGVEPELMLSNSFRAYTCGNAQCPHSSSVHGFLDRGARNAHQYTCKFNTPADNSKLAPSVFPPPGQAVGFDLPVDGQRSLAELMDMYEANVGAPRSLSGIDTAAPGGVRVSGPFLTPWLFGGATTGVMQQQQSAGFYVRDDALPFGGDIAAASPELRFSSGLNVPGSTAHYGGASQLQQPHKPAGSNWFY >PVH63376 pep chromosome:PHallii_v3.1:2:1197877:1202461:1 gene:PAHAL_2G017300 transcript:PVH63376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRRVLAFARRRRRWLLWAGASAGCYLIYRHPAVASRRRRLVRIASALASLADAAAAVASDLAAFLSSDSDAVPQTLKQISKLAASPEASASASALSGALAAGVLCGYYAGTLGSGASPGGEVALSDRVLDRVLSPDGERLASAVAGSFGRHLVLAFYSAPSQPSTTDASPESWVDALTSRRCQRAIRSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPAYGARLQELFIALCSTSIETLVKTSHGVLSSANSTGGDANANANSGSDGVGEGWVETVSSALAVPSNRKLVLDLTGRATFEAVRSFLEFVLWKLHASARAGGDATIAAGLRALRLMSDRSMGVVSFH >PVH63612 pep chromosome:PHallii_v3.1:2:5035480:5037632:1 gene:PAHAL_2G068600 transcript:PVH63612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFKRTTPTRTRKSHRRTNQRLRRQPLLRRRRRRELAMCAVVRITLLQSVRTAKATTPPTWLLASLEEHRDRRDFLLADGERIACACSWCWYGKSEVYFGEDRAAEERAACPHHQEESSQRLSSV >PAN10579 pep chromosome:PHallii_v3.1:2:8066636:8069651:1 gene:PAHAL_2G102600 transcript:PAN10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPSKEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAFCFTAMLALAFSSKNFVIVGHVFLLVTIGTVLFVLLNRFLAEVGLVPVEQQMQEMGIHKTEGTDKGKRK >PAN10578 pep chromosome:PHallii_v3.1:2:8066616:8069689:1 gene:PAHAL_2G102600 transcript:PAN10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPSKEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAFCFTAMLALAFSSKNFVIVGHVFLLVTIGTVLFVLLNRFLAEVGLVPVEQQMQEMGIHKTEGTDKGKRK >PAN11078 pep chromosome:PHallii_v3.1:2:13214676:13214873:-1 gene:PAHAL_2G140100 transcript:PAN11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein H [Source:Projected from Arabidopsis thaliana (ATCG00710) UniProtKB/Swiss-Prot;Acc:P56780] MATQTVEDSSRPKPKRTGAGSLLKLLNSKYGKVAPGWGTTPFLGVATALSAIFLSIYLLFYWMEF >PVH65437 pep chromosome:PHallii_v3.1:2:57409867:57410745:-1 gene:PAHAL_2G494500 transcript:PVH65437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHLLAHIPAAGRPYPSTTIRRTAANHSVVSSSSSSHPSQITVDTAMVVVQIQVVMDSNEELPGRDWEWLPDGRKQADIFFF >PVH65462 pep chromosome:PHallii_v3.1:2:57607204:57608386:-1 gene:PAHAL_2G498500 transcript:PVH65462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAVVTSSSSPAYVAKQPPPPPPGIHHNKTAGYQSYNGHNHMYPPTATTTTTTTTYYWEERRALDGIVLALRAAAALLSFVAVALVASCRHGDWMEFARYQEYRYLLGASLVACLYSAAQAFRSLRRMRAAASTSGSGILHFAGDQVVAYLLITAASAALPITIRMRSAVINIFTDAMVAAISLAFAAFAALAFSAIISGFRISAAAAAAQPY >PVH64663 pep chromosome:PHallii_v3.1:2:47150157:47150976:-1 gene:PAHAL_2G327100 transcript:PVH64663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKVTFRYLGSILQKDGDVDEDVRHRISAGWLKWRQTSGVLCDKKVPQRLKGKFYRMAIRLAMLYGAECWPTKSVAEMRMLRWFCGHTRRNRVRNEEIRDRIGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNKLAMDRSAWRLAINVPEP >PAN13466 pep chromosome:PHallii_v3.1:2:47929787:47931848:-1 gene:PAHAL_2G338800 transcript:PAN13466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-2c [Source: Projected from Oryza sativa (Os09g0526600)] MAEQGGATGEAGGSEPPAPAPAPAPPPPMAAEAVGQRSLPTPFLTKTYQLVDDPAEDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVAPVPAAPGAALATAAAAAASGAVTVAAAPIPMALPVTLPGSPALSSDEQVLSSNSGSAEDHLQAVPSGSGGASASGDTGGENERLRRENARLTRELGQMKKLCNNILHLMTKYASSQQLDASAALSSVVNCSGESSEAAPPPPPLPPAILDLMPSCPGLATAAAGLVADADPDQAARLFGVSIGLKRSREEDGTGEEPADHGGSGAEVKTEASDPHQHPSGSSKEASPDQHPWPIYRPTPVYHSARPCNGLDQGAGSDQDGSNSR >PVH64853 pep chromosome:PHallii_v3.1:2:49693339:49694746:1 gene:PAHAL_2G369400 transcript:PVH64853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MILKLKLSKYSSVDDAIWVAQKAISAIKSDWEDATPLMKELDFKPKLLMFKSWIWAFATVSSRTLHIAWDEAGCLCPVGDLFNYAAPDDTSFEEDDIAGAERLTDGGYEDSNAYCLYARKNYKKGEQVLLGYGTYTNLELLEYYGFLLSENPNEKTFMQLDVDICSIGTWPKDSLYIHPNGQPSFALLCALRLWATPANRRKAVSHQIYSGSMLSTENEMEIMKWLISKCEETLQQLPTTAEIDESLLVFLHKIQNSTNCRTDLKQSGFEQEFAVFLRFHRLDLDCSDNSQLPIQILRSLERWELAVQWRCKYKKTLRKCISNCESLVHELSLQLNKQ >PAN13865 pep chromosome:PHallii_v3.1:2:49692292:49694928:1 gene:PAHAL_2G369400 transcript:PAN13865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MEALLRWAAELGVSDSPPPPFHSSASAAASSSSPSSCIGRSLVVADFPDAGGRGLAAARDLRRGELVLRVPRAALFTSDRVMADDPRVAACVSAHRHHLSSVHILIGCLLAEVGKGRNSVWHTYLSQLPSYYTILSTFNDFEIEALQVDDAIWVAQKAISAIKSDWEDATPLMKELDFKPKLLMFKSWIWAFATVSSRTLHIAWDEAGCLCPVGDLFNYAAPDDTSFEEDDIAGAERLTDGGYEDSNAYCLYARKNYKKGEQVLLGYGTYTNLELLEYYGFLLSENPNEKTFMQLDVDICSIGTWPKDSLYIHPNGQPSFALLCALRLWATPANRRKAVSHQIYSGSMLSTENEMEIMKWLISKCEETLQQLPTTAEIDESLLVFLHKIQNSTNCRTDLKQSGFEQEFAVFLRFHRLDLDCSDNSQLPIQILRSLERWELAVQWRCKYKKTLRKCISNCESLVHELSLQLNKQ >PVH65039 pep chromosome:PHallii_v3.1:2:52123954:52125956:-1 gene:PAHAL_2G408000 transcript:PVH65039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEKEDPSFAANQGKYCGIFICWLLGNGCLFGFNSMLTIEDYYIYLFPKYHPTRVVTLTYQPFVLATTTIFTYHEAKVNTRLRNLAGYTLFLLSSFAAIILDVATSGRGGMAPFIGICIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGAITSALRLVTKAAFENSRDGLRKGAMLFTSISCFFELLCVLLYAFIFPKLPIVKYYRSKAASEGSLTVTADLAAKSPKSNGTSEEDPACVERLSTKQLLLQNIDYALDMFLIYILTLSIFPGFLAEDTGSHSLGSWYALVLIASYNVWDLIGRYVPLIEQIKLRSRKGLLVAVVSRLLLIPAFYYTAKYGDQGWMIMLTSFLGLSNGYLTVCVLTEAPKGYKGPEQNALGNLLVLCLLGGIFCGAVLDWVWLIGKGW >PVH64626 pep chromosome:PHallii_v3.1:2:46604561:46610183:1 gene:PAHAL_2G318300 transcript:PVH64626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRITRRLLLAAAAAALLLLLVAGPAAAQDAAVEGVAPPAEELAANARAKEAAVLSAELVQLRAKISALESRIADQTLELKTKDDAIETLDMIVKEKSQHITTMQNQAASLQVKGSLAAEEQASKVNARVIELEKQIEKLKKDITAQKSKKAALEARAGDADKKVLELNMKLEKLQRTSDDQKRRIQKIEHALKVAEEELMKVQLETTTKAKKLREVHGAWLPSWLVTHAARSMEVVSNHWNEHGKPAFDSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWATLKKNAEPYVQMVSEKSVEVYQTSSDFMRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQAQATVSDYLHQHEFTKQFVTDELVWYLAAALLVMPIFVLYTLLVDAFCTKKQKKTPPSSNANHGHRRHKRRHADK >PVH64627 pep chromosome:PHallii_v3.1:2:46604619:46610183:1 gene:PAHAL_2G318300 transcript:PVH64627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRITRRLLLAAAAAALLLLLVAGPAAAQDAAVEGVAPPAEELAANARAKEAAVLSAELVQLRAKISALESRIADQTLELKTKDDAIETLDMIVKEKSQHITTMQNQAASLQVKGSLAAEEQASKVNARVIELEKQIEKLKKDITAQKSKKAALEARAGDADKKVLELNMKLEKLQRTSDDQKRRIQKIEHALKVAEEELMKVQLETTTKAKKLREVHGAWLPSWLVTHAARSMEVVSNHWNEHGKPAFDSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWATLKKNAEPYVQMVSEKSVEVYQTSSDFMRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQAQATVSDYLHQHEFTKQFVTDELVWYLAAALLVMPIFVLYTLLVDAFCTKKQKKTPPSSNANHGHRRHKRRHADK >PAN13170 pep chromosome:PHallii_v3.1:2:46604561:46610195:1 gene:PAHAL_2G318300 transcript:PAN13170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRITRRLLLAAAAAALLLLLVAGPAAAQDAAVEGVAPPAEELAANARAKEAAVLSAELVQLRAKISALESRIADQTLELKTKDDAIETLDMIVKEKSQHITTMQNQAASLQVKGSLAAEEQASKVNARVIELEKQIEKLKKDITAQKSKKAALEARAGDADKKVLELNMKLEKLQRTSDDQKRRIQKIEHALKVAEEELMKVQLETTTKAKKLREVHGAWLPSWLVTHAARSMEVVSNHWNEHGKPAFDSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWATLKKNAEPYVQMVSEKSVEVYQTSSDFMRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQAQATVSDYLHQHEFTKQFVTDELVWYLAAALLVMPIFVLYTLLVDAFCTKKQKKTPPSSNANHGHRRHKRRHADK >PAN13168 pep chromosome:PHallii_v3.1:2:46604619:46610183:1 gene:PAHAL_2G318300 transcript:PAN13168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRITRRLLLAAAAAALLLLLVAGPAAAQDAAVEGVAPPAEELAANARAKEAAVLSAELVQLRAKISALESRIADQTLELKTKDDAIETLDMIVKEKSQHITTMQNQAASLQVKGSLAAEEQASKVNARVIELEKQIEKLKKDITAQKSKKAALEARAGDADKKVLELNMKLEKLQRTSDDQKRRIQKIEHALKVAEEELMKVQLETTTKAKKLREVHGAWLPSWLVTHAARSMEVVSNHWNEHGKPAFDSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWATLKKNAEPYVQMVSEKSVEVYQTSSDFMRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQYQEAEENPTEQ >PVH64625 pep chromosome:PHallii_v3.1:2:46604560:46610183:1 gene:PAHAL_2G318300 transcript:PVH64625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRITRRLLLAAAAAALLLLLVAGPAAAQDAAVEGVAPPAEELAANARAKEAAVLSAELVQLRAKISALESRIADQTLELKTKDDAIETLDMIVKEKSQHITTMQNQAASLQVKGSLAAEEQASKVNARVIELEKQIEKLKKDITAQKSKKAALEARAGDADKKVLELNMKLEKLQRTSDDQKRRIQKIEHALKVAEEELMKVQLETTTKAKKLREVHGAWLPSWLVTHAARSMEVVSNHWNEHGKPAFDSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWATLKKNAEPYVQMVSEKSVEVYQTSSDFMRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQAQATVSDYLHQHEFTKQFVTDELVWYLYQEAEENPTEQ >PVH64628 pep chromosome:PHallii_v3.1:2:46604619:46610183:1 gene:PAHAL_2G318300 transcript:PVH64628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRITRRLLLAAAAAALLLLLVAGPAAAQDAAVEGVAPPAEELAANARAKEAAVLSAELVQLRAKISALESRIADQTLELKTKDDAIETLDMIVKEKSQHITTMQNQAASLQVKGSLAAEEQASKVNARVIELEKQIEKLKKDITAQKSKKAALEARAGDADKKVLELNMKLEKLQRTSDDQKRRIQKIEHALKVAEEELMKVQLETTTKAKKLREVHGAWLPSWLVTHAARSMEVVSNHWNEHGKPAFDSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWATLKKNAEPYVQMVSEKSVEVYQTSSDFMRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQYQEAEENPTEQ >PAN13169 pep chromosome:PHallii_v3.1:2:46604560:46610183:1 gene:PAHAL_2G318300 transcript:PAN13169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRITRRLLLAAAAAALLLLLVAGPAAAQDAAVEGVAPPAEELAANARAKEAAVLSAELVQLRAKISALESRIADQTLELKTKDDAIETLDMIVKEKSQHITTMQNQAASLQVKGSLAAEEQASKVNARVIELEKQIEKLKKDITAQKSKKAALEARAGDADKKVLELNMKLEKLQRTSDDQKRRIQKIEHALKVAEEELMKVQLETTTKAKKLREVHGAWLPSWLVTHAARSMEVVSNHWNEHGKPAFDSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWATLKKNAEPYVQMVSEKSVEVYQTSSDFMRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQAQATVSDYLHQHEFTKQFVTDELVWYLYQEAEENPTEQ >PAN09837 pep chromosome:PHallii_v3.1:2:3934319:3945871:1 gene:PAHAL_2G053200 transcript:PAN09837 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase, Maintenance of methylation, Gene silencin [Source: Projected from Oryza sativa (Os07g0182900)] MVKTPRSPVTTGTRRCRAKPQMKEEKSIENSKLENGSQDATQEVHHGVENGDGHVTRKRPRRAAACSDFKEKSIRLSEKTSVVKVKKNRMEEEEIDAVNLTKLGLEDPPPCRKLIDFILHDAEGNPQPFEMSEIDDFFITALIMPMDDDLEKERERGVRCEGFGRIEDWNISGYDEGTPVVWVSTDVADYECVKPASNYKSYFDHFYEKAQVCVEVFKKLTRSAGGNPDQGLDELLASVVRSINAMKGYSGTMSKDLVISIGEFVYNQLVGLDETSGNDDEKFATLPVLLALRDQCKSRVELTKMPSNISNSSLKIKDTECEEIAEDDDAKLARLLQQEEEWKMMKKQRGRRGTPSQKNVYIKISEAEIANDYPLPAYYKPANQEMDEYIFDSDDSIFSDDVPVRILNNWALYNADSRLISLELIPMKSGAENDVVVFGSGFMRDDDGSCCSTAESAKSSSSSSKADQSDAGVPIYLSPIKEWLIEFGGSMICVTIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFGDVIKKVAEFDKGNPAFISSNIALVERYIVVHGQIILQQFADFPDETIRRSAFVSGLLLKMEQRRHTKLVMKKKTQIMRGENLNPSAAMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKVGDGNETKEVDDEQEENEDEDAEDEVQIEEEKISKTPPSTRSRKLLSQTCKEIRWESEISGKTSSGEALYKCAYVRELRISVGGTVALEDDSGEAVICFIEYMFQKNGGEKMVHGRMLQKGSQTILGNAANEREVFLTNDCLEFKLDDIKELVTVDVQSRPWGHKYRKENSEADKVEQAKAEERKKKGLPMEYFCKSLYWPEKGAFFTLPRDKMGLGSGVCSSCDHIEPDSDELKVLSKTSFIYGKVTYNVNDFLYIRPDFFSQDEDRATFKAGRNVGLKPYAVCHLLAIPEGAGSKKLDPASTKISARRFYRPDDISSAKAYASDIREVYYSEDIIDVPVDMIEGKCEVRKKNDLPSSDLPVMFEHVFFCELIYDRATGALKQLPPNVRFMSMAQKTTGALKKNKGKQICETDQVDSGKWVDVPKENRLATLDIFAGCGGLSEGLQQAGISFTKWAIEYEEPAGEAFSKNHPEAVVFVDNCNVILKAIMDKCGDTDDCISTSEAAEQAAKLAEENISNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLAVASLLEMGYQVRFGILEAGAFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKITLPDGQYYAAARSTAGGAPFRAITVRDTIGDLPKVENGASKLTLEYGGEPVSWFQKKIRGNMMALNDHISKEMNELNLIRCQHIPKRPGCDWHDLPDEKVKLSNGQMADLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDRYEFAGNIQSKHRQIGNAVPPPLAYALGRKLKEAVDAKCQEVGVAVAAP >PAN09765 pep chromosome:PHallii_v3.1:2:3637180:3640345:-1 gene:PAHAL_2G049500 transcript:PAN09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAWQRKVMTEVFVGGHDGEVKEEDVRAVFARAGEITEVRMIMDARTRKNRGYCFVRYRESAQAKKAISEFCKVKICGILCQVEALDVSDKIFLGDIDKKWKKEDVMKLLQKTGVENIDTVTLMADCNNPSYNSGYAFIDLGRIEMH >PAN13153 pep chromosome:PHallii_v3.1:2:46556286:46559037:1 gene:PAHAL_2G317500 transcript:PAN13153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRDSFLDLALIPLSLLLPMAYHAWLWREIRLRPLRTAAGINAATRRLWAIGMMKDNAKNAVTVVQSVRNVIMGSTLMATTAILFCTGIAAVLSSTYTVKKPLSDTVFGAHGEYMMALKYVALLLAFLFAFLCHTLAICFLNQASFLINTSSCLFPPADVADPEGGAGGGLPSACRDYVAEVLERGFTLNLVGNRLFYAGVPLLLWIFGPLLAFLSSMVMIPILYNLDIVDLKGHSGCVSAKSTETTTKGSECTHAV >PAN13152 pep chromosome:PHallii_v3.1:2:46556286:46559037:1 gene:PAHAL_2G317500 transcript:PAN13152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRDSFLDLALIPLSLLLPMAYHAWLWREIRLRPLRTAAGINAATRRLWAIGMMKDNAKNAVTVVQSVRNVIMGSTLMATTAILFCTGIAAVLSSTYTVKKPLSDTVFGAHGEYMMALKYVALLLAFLFAFLCHTLAICFLNQASFLINTSSCLFPPADVADPEGGAGGGLPSACRDYVAEVLERGFTLNLVGNRLFYAGVPLLLWIFGPLLAFLSSMVMIPILYNLDIVDLKGHSGCVSAKSTETTTKGSECTHAV >PAN09569 pep chromosome:PHallii_v3.1:2:2400401:2400763:-1 gene:PAHAL_2G034100 transcript:PAN09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFQVDFGCVSGILLDSLLEHGSVLEEIITAGIARKGLIGEAEHLQQKLSKKSSTQTSVHFDQLCSIYDGSTQVT >PVH63615 pep chromosome:PHallii_v3.1:2:5086086:5088130:1 gene:PAHAL_2G069400 transcript:PVH63615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISRRCSSSGQHSISSAFCLHGTSSNDQRVCRHLWVEFQFSMLCADRRLWEPQVQRHRCNSRFCSSFHMGRTLEFPSTFLMLHYLCVNSRLTLL >PVH63614 pep chromosome:PHallii_v3.1:2:5086086:5088130:1 gene:PAHAL_2G069400 transcript:PVH63614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISRRCSSSGQHSISSAFCLHGTSSNDQRVCRHLWVEFQFSMLCADRRLWEPQVQRHRCNSRFCSSFHMGRTLEFPSTFLMLHYLCVNSRLTLL >PAN10059 pep chromosome:PHallii_v3.1:2:5086086:5088130:1 gene:PAHAL_2G069400 transcript:PAN10059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISRRCSSSGQHSISSAFCLHGTSSNDQRVCRHLWVEFQFSMLCADRRLWEPQVQRHRCNSRFCSSFHIGAALKWLSRSKQMVI >PAN10060 pep chromosome:PHallii_v3.1:2:5086086:5088130:1 gene:PAHAL_2G069400 transcript:PAN10060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISRRCSSSGQHSISSAFCLHGTSSNDQRVCRHLWVEFQFSMLCADRRLWEPQVQRHRCNSRFCSSFHIGAALKWLSRSKQMVI >PVH63613 pep chromosome:PHallii_v3.1:2:5086483:5086786:1 gene:PAHAL_2G069400 transcript:PVH63613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISRRCSSSGQHSISSAFCLHGTSSNDQRVCRHLWVEFQFSMLCADRRLWEPQVQRHRCNSRFCSSFHMCVPQILI >PAN15077 pep chromosome:PHallii_v3.1:2:55297173:55308495:-1 gene:PAHAL_2G462500 transcript:PAN15077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGASAGGRRASASRPRRAAAVESNENDDLAAAPSSSSSALAHPAASVPHFSLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRACAAGTGARDRISSVGTARRVFDLRDVAAPEVPLEVPHFELDEDPAFWKDRNVQVLIRIRPISDAENATHGHKRCLLQDSSKTLSWTGPPETMFTFDHVACETISQEKLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELAKLGSELSKDAGLTPRIFEYLFARINEEEERRREEKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWESDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGRNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDIMTLQRQIEELKDQLTCLKKQQSCPGSPSLQLLDSDIANEFKTLCGIDDQPYCDLNILKQKVSHLEDVLVGSLRREKSAETKIGKLEAEIQHLNRLVNLMESDAQRLRRRLELRGEKQRLHSMDENAALSQEVQLLQEQINENPQLTHFALENKRLIEELTTLQNFYKQGEREMLLTEISLLRNHFLHILEQKYTTAPKNVETQGDEIIKELDNCRKELDACLENNVLLAREVNKLRCELIQYQKPCTNQVAPEAKDNVVATSINLMQNDQAGQNFSYLSSNDVNKQFMNAGTMTNISESFQLESPYEIDSEDLESPSHLHDPETRDFRDPTTVSEYDGVLSPCFNFAMGSSHNLFDKNTVLNEANFLEKDGKHHVYEKAPEMGIHLHDETLLCQEIEMVNSGNHQSQDELEHLKRTNQELKEKLVIMAEESNRLSEIIVVKDVEIASLSEEWEAAIFDLTSFLTDGCRSLDDAYQNIDNMISSLPHSNSSVSEHVEKAMKVSIEKEKMIFKLQIELQAAQKIGREVKEKLHILRGATLAITEAQQLDNEESSQEELKLVGLLHQKDCIIQELKNNLKVEKCLSAEMAAGHSCDDPMLPDSSVDMIEDHPDDENRPTVSQADPDYQSKLDSVIHLVEDKSNKVLTLFSNFEAAQETMEEAELMLSALLKANEELKFERDNCRQAVELLLSEKTSLIGELKELEASSSCASQRYDKFHQQINDCVVEMAKLAAIIRGSFQQMQRVSTVELFALCSEIITFGQELKRCINESRSYIVDMVSLIEEKGIYTKQFWHLNANACGSACQHIESHCQCGSSKPDFSRSNYSTDYASLRREFDRKSNIAEGLSFDLKLLQESTSNAKDMKDKADEISTVLSNIQRELYIKTNAMENMLEKQKALEEELAENGAELTILRSELEQSQSLSSALLKENKDLRSMLDEETVKSSEIKVLLEDKDGVIKGLESQILLLNRSEVGQLMSDIEELNNSIKIMSSDKENLQAEILTLRDKLEMAMALSEENEAAAIEARQIAETSKIYAEEKEEEVKILERSVEELEGTITVLEEEVCNLKEEVRNYQLHKQSEDQLQAVDDMLAVEKASKCDAAEELCQGRCRLEKLQAEILAHQDIRKKIEVITMEAKRKDVEIRQYKEHIAELVLHSEAQSLLYQEKYHELEQMVSRQKFSPHESSSETVHAKIEKPSGRARGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLVSGKQKEICLLTSRLAAVDTMTHDIIRELLGVKLDMTNYANLLDQEELQKLLIASQQQVEQSKAKGAELEVLKEELGHLILERDSLLDDMDQRKTDLLETQLLVEQLEQREQMLEAQIDMLQMEKDNLQQKIMEMDETIELILGSNQPDTKPRMGGNQHHGSSEFSRRLAQSDMLLSHARHEHSRSHATRSSRTHHGRHR >PAN15078 pep chromosome:PHallii_v3.1:2:55297174:55308495:-1 gene:PAHAL_2G462500 transcript:PAN15078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGASAGGRRASASRPRRAAAVESNENDDLAAAPSSSSSALAHPAASVPHFSLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRACAAGTGARDRISSVGTARRVFDLRDVAAPEVPLEVPHFELDEDPAFWKDRNVQVLIRIRPISDAENATHGHKRCLLQDSSKTLSWTGPPETMFTFDHVACETISQEKLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELAKLGSELSKDAGLTPRIFEYLFARINEEEERRREEKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWESDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGRNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDIMTLQRQIEELKDQLTCLKKQQSCPGSPSLQLLDSDIANEFKTLCGIDDQPYCDLNILKQKVSHLEDVLVGSLRREKSAETKIGKLEAEIQHLNRLVNLMESDAQRLRRRLELRGEKQRLHSMDENAALSQEVQLLQEQINENPQLTHFALENKRLIEELTTLQNFYKQGEREMLLTEISLLRNHFLHILEQKYTTAPKNVETQGDEIIKELDNCRKELDACLENNVLLAREVNKLRCELIQYQKPCTNQVAPEAKDNVVATSINLMQNDQAGQNFSYLSSNDVNKQFMNAGTMTNISESFQLESPYEIDSEDLESPSHLHDPETRDFRDPTTVSEYDGVLSPCFNFAMGSSHNLFDKNTVLNEANFLEKDGKHHVYEKAPEMGIHLHDETLLCQEIEMVNSGNHQSQDELEHLKRTNQELKEKLVIMAEESNRLSEIIVVKDVEIASLSEEWEAAIFDLTSFLTDGCRSLDDAYQNIDNMISSLPHSNSSVSEHVEKAMKVSIEKEKMIFKLQIELQAAQKIGREVKEKLHILRGATLAITEAQQLDNEESSQEELKLVGLLHQKDCIIQELKNNLKVEKCLSAEMAAGHSCDDPMLPDSSVDMIEDHPDDENRPTVSQADPDYQSKLDSVIHLVEDKSNKVLTLFSNFEAAQETMEEAELMLSALLKANEELKFERDNCRQAVELLLSEKTSLIGELKELEASSSCASQRYDKFHQQINDCVVEMAKLAAIIRGSFQQMQRVSTVELFALCSEIITFGQELKRCINESRSYIVDMVSLIEEKGIYTKQFWHLNANACGSACQHIESHCQCGSSKPDFSRSNYSTDYASLRREFDRKSNIAEGLSFDLKLLQESTSNAKDMKDKADEISTVLSNIQRELYIKTNAMENMLEKQKALEEELAENGAELTILRSELEQSQSLSSALLKENKDLRSMLDEETVKSSEIKVLLEDKDGVIKGLESQILLLNRSEVGQLMSDIEELNNSIKIMSSDKENLQAEILTLRDKLEMAMALSEENEAAAIEARQIAETSKIYAEEKEEEVKILERSVEELEGTITVLEEEVCNLKEEVRNYQLHKQSEDQLQAVDDMLAVEKASKCDAAEELCQGRCRLEKRLQAEILAHQDIRKKIEVITMEAKRKDVEIRQYKEHIAELVLHSEAQSLLYQEKYHELEQMVSRQKFSPHESSSETVHAKIEKPSGRARGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLVSGKQKEICLLTSRLAAVDTMTHDIIRELLGVKLDMTNYANLLDQEELQKLLIASQQQVEQSKAKGAELEVLKEELGHLILERDSLLDDMDQRKTDLLETQLLVEQLEQREQMLEAQIDMLQMEKDNLQQKIMEMDETIELILGSNQPDTKPRMGGNQHHGSSEFSRRLAQSDMLLSHARHEHSRSHATRSSRTHHGRHR >PVH65322 pep chromosome:PHallii_v3.1:2:55297174:55308495:-1 gene:PAHAL_2G462500 transcript:PVH65322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGASAGGRRASASRPRRAAAVESNENDDLAAAPSSSSSALAHPAASVPHFSLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRACAAGTGARDRISSVGTARRVFDLRDVAAPEVPLEVPHFELDEDPAFWKDRNVQVLIRIRPISDAENATHGHKRCLLQDSSKTLSWTGPPETMFTFDHVACETISQEKLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELAKLGSELSKDAGLTPRIFEYLFARINEEEERRREEKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWESDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGRNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDIMTLQRQIEELKDQLTCLKKQQSCPGSPSLQLLDSDIANEFKTLCGIDDQPYCDLNILKQKVSHLEDVLVGSLRREKSAETKIGKLEAEIQHLNRLVNLMESDAQRLRRRLELRGEKQRLHSMDENAALSQEVQLLQEQINENPQLTHFALENKRLIEELTTLQNFYKQGEREMLLTEISLLRNHFLHILEQKYTTAPKNVETQGDEIIKELDNCRKELDACLENNVLLAREVNKLRCELIQYQKPCTNQVAPEAKDNVVATSINLMQNDQAGQNFSYLSSNDVNKQFMNAGTMTNISESFQLESPYEIDSEDLESPSHLHDPETRDFRDPTTVSEYDGVLSPCFNFAMGSSHNLFDKNTVLNEANFLEKDGKHHVYEKAPEMGIHLHDETLLCQEIEMVNSGNHQSQDELEHLKRTNQELKEKLVIMAEESNRLSEIIVVKDVEIASLSEEWEAAIFDLTSFLTDGCRSLDDAYQNIDNMISSLPHSNSSVSEHVEKAMKVSIEKEKMIFKLQIELQAAQKIGREVKEKLHILRGATLAITEAQQLDNEESSQEELKLVGLLHQKDCIIQELKNNLKVEKCLSAEMAAGHSCDDPMLPDSSVDMIEDHPDDENRPTVSQADPDYQSKLDSVIHLVEDKSNKVLTLFSNFEAAQETMEEAELMLSALLKANEELKFERDNCRQAVELLLSEKTSLIGELKELEASSSCASQRYDKFHQQINDCVVEMAKLAAIIRGSFQQMQRVSTVELFALCSEIITFGQELKRCINESRSYIVDMVSLIEEKGIYTKQFWHLNANACGSACQHIESHCQCGSSKPDFSRSNYSTDYASLRREFDRKSNIAEGLSFDLKLLQESTSNAKDMKDKADEISTVLSNIQRELYIKTNAMENMLEKQKALEEELAENGAELTILRSELEQSQSLSSALLKENKDLRSMLDEETVKSSEIKVLLEDKDGVIKGLESQILLLNRSEVGQLMSDIEELNNSIKIMSSDKENLQAEILTLRDKLEMAMALSEENEAAAIEARQIAETSKIYAEEKEEEVKILERSVEELEGTITVLEEEVCNLKEEVRNYQLHKQSEDQLQAVDDMLAVEKASKCDAAEELCQGRCRLEKRLQAEILAHQDIRKKIEVITMEAKRKDVEIRQYKEHIAELVLHSEAQSLLYQEKYHELEQMVSRQKFSPHESSSETVHAKIEKPSGRARGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLVSGKQKEICLLTSRLAAVDTMTHDIIRELLGVKLDMTNYANLLDQEELQKLLIASQQQVEQSKAKGAELEVLKEELGHLILERDSLLDDMDQRKTDLLETQLLVEQLEQREQMLEAQIDMLQMEKDNLQQKIMEMDETIELILGSNQPDTKPRRVVTSIMAAASSAGG >PVH65277 pep chromosome:PHallii_v3.1:2:54718500:54725062:1 gene:PAHAL_2G452200 transcript:PVH65277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNAVMGTECIMATVKEDPELSFPPGFGPFVGLGLQGTQNNVKPGGTHSSSVQAVQSIEKDVKVLEPNSAHCRSGTPASTSGSHSCRKSLRNRPPIDYSLYDLTSDEESEIESAEKGVRSVRRRQQLPKGVLRGCAECADCQKVVARWNPSGARRPVIEDAPVYYPSEEEFKDTLKYIASIRPMAEQYGICRIVPPPSWKPPCLLKEKNIWECSKFSTRVQKVDKLQNRKSSKKSIGGGMMKKRRKVSEPEDIGNINHNQAGMQQSPERFGFEPGPEFTLQTFKKYADDFSDQYFNNDACGDSPPSVEDIEGEYWRIVESPTEEIEVIYGADLETGTFGSGFPKFSPEVKSDGEHKYAESGWNLNNLPRLQGSVLSFEGGDISGVLIPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEVAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQDAVELYREQARKITISHDKLLLGAAKEAIRAQWDILFLKRNTADNLRWKSMCGPDSTICKSLKARIEMELTQRKDICSPSQCRKIDAEYDSADRECAFCYYDLHLSACGCPCSPEKYTCLIHAKQLCSCDWSERFFLFRYDVNELNILADALGGKLSAIHRWGVSHLGLSLSSCVKREKDQDLKTLRRATDGPRRSYMSHASTVSLSPSLVCNEQKSYGNKMLNSACSKMNTADPSAEQLKSGNVSPQKEPWVKNDLACTLNTGASQLQCNGGPGGDKNSAPSLSVPSGQSSSSNVGTRPLSTSGEYIKNAYGSLPVMVDHRSNMKPSSESSNNSHRLMTSNTNASLCYSYKDKIHITPETNALVTTEKNSNQIRAASSQHSVRTVSRAQTVSQEASASVFASKPLPGPSLVKNTYGGFSSSSAHLGHPNFCNQQPNDGCLQRKSESLSGLEARGHSPLFVQPALENGSAQKGPRIANVVHRFKSSVELLELGAVLSGRLWSSSQAIFPKGFRSRVKYFSIVDPTQMTYYISEILDAGLQGPLFMVTIENCPGEVFINVSPTKCWSMIRERLNMEIRKQLSMGRANLPTLQPPGSVDGLEMFGLLSPVIVKAIEAQDRDRICTEYWRSRPCVAGDGGSRHMPPPQGPPRIALLRGLFQRASRDELRALRSLLTSNSSLDVDESSRQQVAQVLDDEIAKQWR >PAN14905 pep chromosome:PHallii_v3.1:2:54719264:54725065:1 gene:PAHAL_2G452200 transcript:PAN14905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTECIMATVKEDPELSFPPGFGPFVGLGLQGTQNNVKPGGTHSSSVQAVQSIEKDVKVLEPNSAHCRSGTPASTSGSHSCRKSLRNRPPIDYSLYDLTSDEESEIESAEKGVRSVRRRQQLPKGVLRGCAECADCQKVVARWNPSGARRPVIEDAPVYYPSEEEFKDTLKYIASIRPMAEQYGICRIVPPPSWKPPCLLKEKNIWECSKFSTRVQKVDKLQNRKSSKKSIGGGMMKKRRKVSEPEDIGNINHNQAGMQQSPERFGFEPGPEFTLQTFKKYADDFSDQYFNNDACGDSPPSVEDIEGEYWRIVESPTEEIEVIYGADLETGTFGSGFPKFSPEVKSDGEHKYAESGWNLNNLPRLQGSVLSFEGGDISGVLIPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEVAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQDAVELYREQARKITISHDKLLLGAAKEAIRAQWDILFLKRNTADNLRWKSMCGPDSTICKSLKARIEMELTQRKDICSPSQCRKIDAEYDSADRECAFCYYDLHLSACGCPCSPEKYTCLIHAKQLCSCDWSERFFLFRYDVNELNILADALGGKLSAIHRWGVSHLGLSLSSCVKREKDQDLKTLRRATDGPRRSYMSHASTVSLSPSLVCNEQKSYGNKMLNSACSKMNTADPSAEQLKSGNVSPQKEPWVKNDLACTLNTGASQLQCNGGPGGDKNSAPSLSVPSGQSSSSNVGTRPLSTSGEYIKNAYGSLPVMVDHRSNMKPSSESSNNSHRLMTSNTNASLCYSYKDKIHITPETNALVTTEKNSNQIRAASSQHSVRTVSRAQTVSQEASASVFASKPLPGPSLVKNTYGGFSSSSAHLGHPNFCNQQPNDGCLQRKSESLSGLEARGHSPLFVQPALENGSAQKGPRIANVVHRFKSSVELLELGAVLSGRLWSSSQAIFPKGFRSRVKYFSIVDPTQMTYYISEILDAGLQGPLFMVTIENCPGEVFINVSPTKCWSMIRERLNMEIRKQLSMGRANLPTLQPPGSVDGLEMFGLLSPVIVKAIEAQDRDRICTEYWRSRPCVAGDGGSRHMPPPQGPPRIALLRGLFQRASRDELRALRSLLTSNSSLDVDESSRQQVAQVLDDEIAKQWR >PAN09798 pep chromosome:PHallii_v3.1:2:3734705:3736990:1 gene:PAHAL_2G051100 transcript:PAN09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHSLMHPPAARKGPAPSPLAPLPSLPFPLPPLPSRHAPPPTPPPPPLLRLPGRRLRPHRLPQPKRHPRTHRRGRRHGGRAAGERVSEHALHQARLNRWPGHLIPEAARGARRRRDERGARQHMPRGSGVAPGRHPRRQEAQRGEGLHSRRHAMDTEGSEIHMGDLGGAASAKAELEV >PVH63576 pep chromosome:PHallii_v3.1:2:4313982:4318340:-1 gene:PAHAL_2G058700 transcript:PVH63576 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MLRALNPTPLRARPACRSSPRRRPWARPRAAAVPQQPPVRRPSGDRLCAPLRGAAAAPAPAQAPGASEAAARPRGELEAFLEVVPARMRRGLAQHPEVRELVEVVMDLGRRPIARFPTGDWVISDQPVTADDLRQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAQIIGLTCRVGRAISGSAEMIRDLVVSGGSILVIGPPGVGKTTLIREIARILADEGKKRVVIVDTSNEIGGDGDVPHSGIGRARRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKRRKVQKTILERKGPPTFSCAVEIISKTECRVHHKLETTVDAILAGKPPKFEARKMHNKSTESEMPLVIPDRECEIEQLPLYQEQMVARTMSSEGNFRDDFAPSRQTKSKSMPSDANFGDDFVFSRKPKGKKSVPGKSLVRVYTYQISEADILQVATVMGFDDELDVTDDIGAADVILASSSEMKQHPWIHNVAKYHKLPIFVVKSNTMAQIVKAVRMIVGRDSSPSHKQPKVMEGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKDSRGSKPTKQTGSDLIVNENGGGSSFSRLPFLPK >PAN15504 pep chromosome:PHallii_v3.1:2:57329057:57330575:1 gene:PAHAL_2G492900 transcript:PAN15504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAALAATGETQDSPQHRLDAARHGRAEVIPERLAVITGGNKGVGLEVCRQLACQGVTVILTARDEKREKDAAEFLRSESKLPNIIFHQLDVRDDNSVTSLAQYIESTYGKLDILVNNAAVSGIVAYEEGLKALNMDAETWVLKDCSLTFGSLSICAVYYRFRLQSVYFVVQHNCHHFLCVFLIFTKQLT >PAN15505 pep chromosome:PHallii_v3.1:2:57329057:57331792:1 gene:PAHAL_2G492900 transcript:PAN15505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAALAATGETQDSPQHRLDAARHGRAEVIPERLAVITGGNKGVGLEVCRQLACQGVTVILTARDEKREKDAAEFLRSESKLPNIIFHQLDVRDDNSVTSLAQYIESTYGKLDILVNNAAVSGIVAYEEGLKALNMDAETWTSGRAAKLLKEVFQNTYDESFNCLNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLVSELKRMPNEKLRNDLSNISIWDEDRIEAVLNTFLEDLKNGRLEEAGWLMMLPTYTVLKMFINLYTRIMARRYPKMRINCVRPGFVKTDISWGFHT >PVH65433 pep chromosome:PHallii_v3.1:2:57329019:57331799:1 gene:PAHAL_2G492900 transcript:PVH65433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAALAATGETQDSPQHRLDAARHGRAEVIPERLAVITGGNKGVGLEVCRQLACQGVTVILTARDEKREKDAAEFLRSESKLPNIIFHQLDVRDDNSVTSLAQYIESTYGKLDILVNNAAVSGIVAYEEGLKALNMDAETWTSGRAAKLLKEVFQNTYDESFNCLNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLVSELKRMPNEKLRNDLSNISIWDEDRIEAVLNTFLEDLKNGRLEEAGWLMMLPTYTVLKMFINLYTRIMARRYPKMRINCVRPGFVKTDISWGFHT >PAN15506 pep chromosome:PHallii_v3.1:2:57329057:57331799:1 gene:PAHAL_2G492900 transcript:PAN15506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAALAATGETQDSPQHRLDAARHGRAEVIPERLAVITGGNKGVGLEVCRQLACQGVTVILTARDEKREKDAAEFLRSESKLPNIIFHQLDVRDDNSVTSLAQYIESTYGKLDILVNNAAVSGIVAYEEGLKALNMDAETWTSGRAAKLLKELSTSGARIVNASSLVSELKRMPNEKLRNDLSNISIWDEDRIEAVLNTFLEDLKNGRLEEAGWLMMLPTYTVLKMFINLYTRIMARRYPKMRINCVRPGFVKTDISWGFHT >PVH64245 pep chromosome:PHallii_v3.1:2:37572302:37582887:-1 gene:PAHAL_2G221300 transcript:PVH64245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGSSSSGRSVDMSTQVENVFEQLISKIEAADFDPRPLLKQWNALGRYEPIKRNVYHIKRRTEDYGIFCSCKPSSGSSVACGKDCHCGMLFSCCSSSCECDNTCANKPFQHRPLKNTKLITTEKCGFGLVAEDEIKKGEFVVEYVGEVIDDRTCENRLWQMKRLSDTNFYLCEVSSNMVIDATDKGNMSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIKKGEELTYDYKFVQFGADQDCHCGSSNCRKMLGTTKSINSIFLRTGYSVNSHNQYVKKKKRKTKCENCIGQFLRLWHPRQRMYVGCWIIDFDQETKVHTLQFTDLHVEKFNLKEEEWHFLLVDSSDEE >PVH64244 pep chromosome:PHallii_v3.1:2:37573308:37582256:-1 gene:PAHAL_2G221300 transcript:PVH64244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGSSSSGRSVDMSTQVENVFEQLISKIEAADFDPRPLLKQWNALGRYEPIKRNVYHIKRRTEDYGIFCSCKPSSGSSVACGKDCHCGMLFSCCSSSCECDNTCANKPFQHRPLKNTKLITTEKCGFGLVAEDEIKKGEFVVEYVGEVIDDRTCENRLWQMKRLSDTNFYLCEVSSNMVIDATDKGNMSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIKKGEELTYDYKFVQFGADQDCHCGSSNCRKMLGTTKSINSIFLRTGYSVNSHNQYVKKKKRKTKCENCIGQFLRLWHPRQRMYVGCWIIDFDQETKVHTLQFTDLHVEKFNLKEEEWHFLLVDSSDEE >PVH64243 pep chromosome:PHallii_v3.1:2:37572301:37582887:-1 gene:PAHAL_2G221300 transcript:PVH64243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGSSSSGRSVDMSTQVENVFEQLISKIEAADFDPRPLLKQWNALGRYEPIKRNVYHIKRRTEDYGIFCSCKPSSGSSVACGKDCHCGMLFSCCSSSCECDNTCANKPFQHRPLKNTKLITTEKCGFGLVAEDEIKKGEFVVEYVGEVIDDRTCENRLWQMKRLSDTNFYLCEVSSNMVIDATDKGNMSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIKKGEELTYDYKFVQFGADQDCHCGSSNCRKMLGTTKSINSIFLRTGYSVNSHNQYVKKKKRKTKCENCIGQFLRLWHPRQRMYVGCWIIDFDQETKVHTLQFTDLHVEKFNLKEEEWHFLLIQQTVGLTFLLGRGNDMCPLFNFFLNGYAIHICIQCPWKICADRLIHQMKSDH >PVH64242 pep chromosome:PHallii_v3.1:2:37573301:37582256:-1 gene:PAHAL_2G221300 transcript:PVH64242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGSSSSGRSVDMSTQVENVFEQLISKIEAADFDPRPLLKQWNALGRYEPIKRNVYHIKRRTEDYGIFCSCKPSSGSSVACGKDCHCGMLFSCCSSSCECDNTCANKPFQHRPLKNTKLITTEKCGFGLVAEDEIKKGEFVVEYVGEVIDDRTCENRLWQMKRLSDTNFYLCEVSSNMVIDATDKGNMSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIKKGEELTYDYKFVQFGADQDCHCGSSNCRKMLGTTKSINSIFLRTGYSVNSHNQYVKKKKRKTKCENCIGQFLRLWHPRQRMYVGCWIIDFDQETKVHTLQFTDLHVEKFNLKEEEWHFLLIQQTVGLTFLLGRGNDMCPLFNFFLNGYAIHICIQCPWKICADRLIHQMKSDH >PVH64606 pep chromosome:PHallii_v3.1:2:46281710:46288593:-1 gene:PAHAL_2G313400 transcript:PVH64606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAILATELVDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRVRLRRIKERQQKLALQQAALEKSRPKPISDQALRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVSANAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHRIDNGVSEISNHSLLEDRNGETISSSNEYDVDGFEDAPLSTSSKDDEQDLSPVAQSAEEHVPKRGRERAYNKRPSQTVPSKAGRKEPPKRKRARHSSTGIEPDIQSVDEAPENPRNLIPDMNQLDQVEIQGMATQIVSSNHGGTTSEVLHHKGDTQVQVHLPGAEGNNFDGAPAADATPISIYVGAKHLPYQNSDSARSRSENNFTVDADPGLNDLPSSYHTLPLKQSLPLSMMDHHVVPMGIRAPSDNIPYGGHILGGNSTSVPGDMQQLIDFPFYGEQDKFVGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >PAN13091 pep chromosome:PHallii_v3.1:2:46285552:46288213:-1 gene:PAHAL_2G313400 transcript:PAN13091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAILATELVDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRVRLRRIKERQQKLALQQAALEKSRPKPISDQALRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVSANAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHRIDNGVSEISNHSLLEDRNGETISSSNEYDVDGFEDAPLSTSSKDDEQDLSPVAQSAEEHVPKRGRERAYNKRPSQTVPSKAGRKEPPKRKRARHSSTGIEPDIQSVDEAPENPRNLIPDMNQLDQVEIQGMATQIVSSNHGGTTSEVLHHKGDTQVQVHLPGAEGNNFDGAPAADATPISIYVGAKHLPYQNSDSARSRSENNFTVDADPGLNDLPSSYHTLPLKQSLPLSMMDHHVVPMGIRAPSDNIPYGGHILGGNSTSVPGDMQQLIDFPFYGEQDKFVGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >PVH64601 pep chromosome:PHallii_v3.1:2:46286072:46287904:-1 gene:PAHAL_2G313400 transcript:PVH64601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAILATELVDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRVRLRRIKERQQKLALQQAALEKSRPKPISDQALRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVSANAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHRIDNGVSEISNHSLLEDRNGETISSSNEYDVDGFEDAPLSTSSKDDEQDLSPVAQSAEEHVPKRGRERAYNKRPSQTVPSKAGRKEPPKRKRARHSSTGIEPDIQSVDEAPENPRNLIPDMNQLDQVEIQGMATQIVSSNHGGTTSEVLHHKGDTQVQVHLPGAEGNNFDGAPAADATPISIYVGAKHLPYQNSDSARSRSENNFTVDADPGLNDLPSSYHTLPLKQSLPLSMMDHHVVPMGIRAPSDNIPYGGHILGGNSTSVPGDMQQLIDFPFYGEQDKFVGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >PVH64604 pep chromosome:PHallii_v3.1:2:46281710:46288593:-1 gene:PAHAL_2G313400 transcript:PVH64604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAILATELVDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRVRLRRIKERQQKLALQQAALEKSRPKPISDQALRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVSANAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHRIDNGVSEISNHSLLEDRNGETISSSNEYDVDGFEDAPLSTSSKDDEQDLSPVAQSAEEHVPKRGRERAYNKRPSQTVPSKAGRKEPPKRKRARHSSTGIEPDIQSVDEAPENPRNLIPDMNQLDQVEIQGMATQIVSSNHGGTTSEVLHHKGDTQVQVHLPGAEGNNFDGAPAADATPISIYVGAKHLPYQNSDSARSRSENNFTVDADPGLNDLPSSYHTLPLKQSLPLSMMDHHVVPMGIRAPSDNIPYGGHILGGNSTSVPGDMQQLIDFPFYGEQDKFVGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >PVH64602 pep chromosome:PHallii_v3.1:2:46286072:46287904:-1 gene:PAHAL_2G313400 transcript:PVH64602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAILATELVDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRVRLRRIKERQQKLALQQAALEKSRPKPISDQALRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVSANAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHRIDNGVSEISNHSLLEDRNGETISSSNEYDVDGFEDAPLSTSSKDDEQDLSPVAQSAEEHVPKRGRERAYNKRPSQTVPSKAGRKEPPKRKRARHSSTGIEPDIQSVDEAPENPRNLIPDMNQLDQVEIQGMATQIVSSNHGGTTSEVLHHKGDTQVQVHLPGAEGNNFDGAPAADATPISIYVGAKHLPYQNSDSARSRSENNFTVDADPGLNDLPSSYHTLPLKQSLPLSMMDHHVVPMGIRAPSDNIPYGGHILGGNSTSVPGDMQQLIDFPFYGEQDKFVGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >PVH64603 pep chromosome:PHallii_v3.1:2:46286072:46287904:-1 gene:PAHAL_2G313400 transcript:PVH64603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAILATELVDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRVRLRRIKERQQKLALQQAALEKSRPKPISDQALRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVSANAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHRIDNGVSEISNHSLLEDRNGETISSSNEYDVDGFEDAPLSTSSKDDEQDLSPVAQSAEEHVPKRGRERAYNKRPSQTVPSKAGRKEPPKRKRARHSSTGIEPDIQSVDEAPENPRNLIPDMNQLDQVEIQGMATQIVSSNHGGTTSEVLHHKGDTQVQVHLPGAEGNNFDGAPAADATPISIYVGAKHLPYQNSDSARSRSENNFTVDADPGLNDLPSSYHTLPLKQSLPLSMMDHHVVPMGIRAPSDNIPYGGHILGGNSTSVPGDMQQLIDFPFYGEQDKFVGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >PVH64605 pep chromosome:PHallii_v3.1:2:46283984:46288593:-1 gene:PAHAL_2G313400 transcript:PVH64605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAILATELVDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRVRLRRIKERQQKLALQQAALEKSRPKPISDQALRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVSANAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHRIDNGVSEISNHSLLEDRNGETISSSNEYDVDGFEDAPLSTSSKDDEQDLSPVAQSAEEHVPKRGRERAYNKRPSQTVPSKAGRKEPPKRKRARHSSTGIEPDIQSVDEAPENPRNLIPDMNQLDQVEIQGMATQIVSSNHGGTTSEVLHHKGDTQVQVHLPGAEGNNFDGAPAADATPISIYVGAKHLPYQNSDSARSRSENNFTVDADPGLNDLPSSYHTLPLKQSLPLSMMDHHVVPMGIRAPSDNIPYGGHILGGNSTSVPGDMQQLIDFPFYGEQDKFVGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >PVH63958 pep chromosome:PHallii_v3.1:2:14262992:14263968:1 gene:PAHAL_2G146000 transcript:PVH63958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNNQASTGGGVRHCCCSRHACLLPHPLGATCECIGPHVHTLSERSTASDGAASEELASVEPRRGEQARKRKARVWSRVGVA >PAN14116 pep chromosome:PHallii_v3.1:2:50730897:50732675:-1 gene:PAHAL_2G385000 transcript:PAN14116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPQILHSPLPHPRLAAAASPSRAFPGAPGLHASGPRGGRGRVRLAAAAGASGSGPGPSSPEPYPPESDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGVVFAGSGGSAAEVGCVGEVVKHERLADDRFFLICKGQQRFRVARVVRTKPYLVAAVQWLEDRPPAETPAPGEDAEALAADVEALMRDVIRIANRLNGKPEKEVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSG >PAN15112 pep chromosome:PHallii_v3.1:2:55438930:55443579:-1 gene:PAHAL_2G465500 transcript:PAN15112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKEFRRMLELFPVVRSRDYCAEPGTSSRGTRQQSRVQEATKGNKKDSSGAEDLFMQKLKMAAEKKIGATKAEFFCKAFEEAHEKLVYKELNLDAAKKFLSAYES >PAN15111 pep chromosome:PHallii_v3.1:2:55438929:55442668:-1 gene:PAHAL_2G465500 transcript:PAN15111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGTSSRGTRQQSRVQEATKGNKKDSSGAEDLFMQKLKMAAEKKIGATKAEFFCKAFEEAHEKLVYKELNLDAAKKFLSAYES >PVH64678 pep chromosome:PHallii_v3.1:2:47511302:47511856:1 gene:PAHAL_2G332200 transcript:PVH64678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDVPRFPGDMCGCRTENRMSFGYVVFKKREDGERAIGELNGYEALGHKLRVDWVYPSCV >PAN13686 pep chromosome:PHallii_v3.1:2:49002416:49003726:1 gene:PAHAL_2G357100 transcript:PAN13686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEARRFTLQVEMQCRCIGCVKKVEKAMASIGSLRGIETSVGDVDTGIVTVVGKVDPTEVCQWLKKKTKKSVKVVNPDPAIENHNQKMVVVLGSSSRAWYTTPSAPPLQDEMSWALAPPVGQHDHKSLQLIEEKIRGLEKVRDVLKIKNLENELIAAKSELTQSRKVINSSKKALLDSALNQLKAYKNLEALSQSPYD >PAN14431 pep chromosome:PHallii_v3.1:2:52229243:52230757:1 gene:PAHAL_2G409700 transcript:PAN14431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESQAVIGDTDMLQAMQQEALRLAGKALDDFEAVDSTEIARFIKKEFDRSYGPGWQCIVGTDFGSFVTHHSGCFIYFGIGNLAILLFNGGAVGAAPQGATGEQARRGGKGSKYLG >PAN10332 pep chromosome:PHallii_v3.1:2:6336339:6336936:-1 gene:PAHAL_2G087300 transcript:PAN10332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEVSPVLLAALHSFKETLIDDDKVNFMEMDAFLLDDENNSLVKRITTLESGLATARDSVLRLGGDSDNRKEMERRSWWQTWTASLGRACSQFFTS >PVH65361 pep chromosome:PHallii_v3.1:2:55985854:55986234:-1 gene:PAHAL_2G473300 transcript:PVH65361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNCNRTNLANWNRDPNSWIAQAQRSPPPVLSPRPEEARKGEGNGKPSAALPHLPQLAAPPSLASPNTPRPSPDSEEPSWSGSSPSVVCVFPSCSDPEKDFATSTAVALLLTPARFDSILRRILP >PVH64293 pep chromosome:PHallii_v3.1:2:39038921:39041843:1 gene:PAHAL_2G230300 transcript:PVH64293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MGPSHMRQKYLLAEPTWPKRPKRLPKSHFRRERRRVASKEMGGAAVAVLAAATATRQNRAPLASLLTRVVRGLHDASVAAAAAEEDKAGAGSRRRRRRSSSSLLLGPDFLDTWDLPPRAASRTPPPQDGGADYGYTATIIDGKSIAEDIRLHIAEEVRQMKSAVGHVPGLAVVLVGDRRDSESYVRYKTKGCEEVGIKSLLAKLPGNCTEDEVMDSVSRFNEDPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGNLALRSRKPLFLPCAAKACIELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSVIHAFTTNPEAITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKVASAITPVPGGVGPVTIAMLLGNTLDSAKRFYGLSD >PVH64294 pep chromosome:PHallii_v3.1:2:39038970:39041058:1 gene:PAHAL_2G230300 transcript:PVH64294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MGPSHMRQKYLLAEPTWPKRPKRLPKSHFRRERRRVASKEMGGAAVAVLAAATATRQNRAPLASLLTRVVRGLHDASVAAAAAEEDKAGAGSRRRRRRSSSSLLLGPDFLDTWDLPPRAASRTPPPQDGGADYGYTATIIDGKSIAEDIRLHIAEEVRQMKSAVGHVPGLAVVLVGDRRDSESYVRYKTKGCEEVGIKSLLAKLPGNCTEDEVMDSVSRFNEDPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGNLALRSRKPLFLPCAAKACIELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSVIHAFTTNPEAITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPIEVKTVVFYCTFAQ >PAN09386 pep chromosome:PHallii_v3.1:2:1318895:1324786:1 gene:PAHAL_2G019000 transcript:PAN09386 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-type homeodomain protein, KNOX protein, Shoot formation during embryogenesis, Control of seed shattering, Control of internode development, Repression of lignin biosynthesi [Source: Projected from Oryza sativa (Os07g0129700)] MDHGFGNLGAGGSSSGGSNSAKAAASSSSFLQLPLSTAAAAGAAPAGVAYYGAPLALLHQAAGPSSSQSPYGRHAAEISSAEAEAIKAKIVAHPQYSALLAAYLDCQKVGAPPDVLERLTAMAAKLDARPPGRHEPRDPELDQFMEAYCNMLVKYREELTRPIDEAMEFLKRVEAQLESIAGAAVGGSSAARLSLADGKSEGVGSSEDDMDPSGLENEPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >PAN14420 pep chromosome:PHallii_v3.1:2:52166630:52168837:-1 gene:PAHAL_2G408700 transcript:PAN14420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKSGLKKGPWTPEEDDKLVAYIKKHGQGNWRTLPKNAGLARCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSALLKPAAYYPTQADLDTLRAFEPLANYPDLLRLAASTLLSGSAAIGDQQQFLPWLLQAQMAQAAAMVAQPPQQAEHNQFMQQQQQAAGAACQMPDLVHASPTTVAQQLAAAAQQQQHQPQDMSAACHSMQPPTGYVDSQLDVPALMQMVQQPDASNLQQWSSTVTSSNNNVGSGVSTPSSSPVTGLNHSTSTAATTYGGASASSSDAAALFNMQLSDLLDVSDYM >PAN13659 pep chromosome:PHallii_v3.1:2:52290601:52290978:1 gene:PAHAL_2G410600 transcript:PAN13659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSRRRAPPAMAVPSRCSKCSKSRSPLRSSAVPRSLAGAPPRSPPAARAQGAAPFPVGSSEEKLPGRPASKSSGEERAPISGARPHLRRPRPTVSLPRGHPASALPPSPASHSVSPPPSVGRR >PAN13847 pep chromosome:PHallii_v3.1:2:49601215:49607368:-1 gene:PAHAL_2G367700 transcript:PAN13847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLLFPSQAWVDPGLLLLRPAAPSSVSKPPAHSHHGGRVFIARAAERASGPPPAGARRLRLLSAVRRRPLPAICWKEGAFLGRSPTRGVILASENVDEAFCHPSDKDNRRSRNLLLQFGALPCCTTVWLSTAQSAQSSVGTKLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIEKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNKVARPRVDDLRQRASMYKGVPVKSEKR >PVH64844 pep chromosome:PHallii_v3.1:2:49600657:49607729:-1 gene:PAHAL_2G367700 transcript:PVH64844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLLFPSQAWVDPGLLLLRPAAPSSVSKPPAHSHHGGRVFIARAAERASGPPPAGARRLRLLSAVRRRPLPAICWKEGAFLEAFCHPSDKDNRRSRNLLLQFGALPCCTTVWLSTAQSAQSSVGTKLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIEKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNKVARPRVDDLRQRASMYKGVPVKSEKR >PVH64448 pep chromosome:PHallii_v3.1:2:43358577:43365359:1 gene:PAHAL_2G270200 transcript:PVH64448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAKRLRVPTRRGYPSPALSTAAPRSPRDPRALCTLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLHVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRSFPVRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDQALQLVGLMDVFCFSEACLLADIVQHFIDAKLEFDASYVYEDVNQSIQHVHRSGLIHRQILSEPQKFLIKKSQVFRFLKMLREKGKKLFLLTNSPFYFVDGGMRYLLEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPDQVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSGMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSTS >PAN12519 pep chromosome:PHallii_v3.1:2:43358577:43365359:1 gene:PAHAL_2G270200 transcript:PAN12519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAKRLRVPTRRGYPSPALSTAAPRSPRDPRALCTLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLHVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRSFPVRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDQALQLVGLMDVFCFSEACLLADIVQHFIDAKLEFDASYVYEDVNQSIQHVHRSGLIHRQILSEPQKFLIKKSQVFRFLKMLREKGKKLFLLTNSPFYFVDGGMRYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPDQVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSGMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSTS >PVH64450 pep chromosome:PHallii_v3.1:2:43358577:43365359:1 gene:PAHAL_2G270200 transcript:PVH64450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAKRLRVPTRRGYPSPALSTAAPRSPRDPRALCTLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLHVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRSFPVRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDQALQLVGLMDVFCFSESQVFRFLKMLREKGKKLFLLTNSPFYFVDGGMRYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPDQVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSGMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSTS >PVH64449 pep chromosome:PHallii_v3.1:2:43358577:43365359:1 gene:PAHAL_2G270200 transcript:PVH64449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAKRLRVPTRRGYPSPALSTAAPRSPRDPRALCTLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLHVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRSFPVRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDQALQLVGLMDVFCFSEACLLADIVQHFIDAKLEFDASYVYEDVNQSIQHVHRSGLIHRQILSEPQKFLIKKSQVFRFLKMLREKGKKLFLLTNSPFYFVDGGMRYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPDQVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSTS >PVH64447 pep chromosome:PHallii_v3.1:2:43358577:43365359:1 gene:PAHAL_2G270200 transcript:PVH64447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAKRLRVPTRRGYPSPALSTAAPRSPRDPRALCTLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLHVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRSFPVRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDQALQLVGLMDVFCFSESQVFRFLKMLREKGKKLFLLTNSPFYFVDGGMRYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPDQVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSTS >PAN15135 pep chromosome:PHallii_v3.1:2:55550220:55550498:-1 gene:PAHAL_2G467400 transcript:PAN15135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDLSKLVKEKRFWVASFLVAWAAALQGHMMWMQRQETFKHKFGADASDASSSSDSSSN >PVH65158 pep chromosome:PHallii_v3.1:2:53742534:53745423:-1 gene:PAHAL_2G434900 transcript:PVH65158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKRLGWLALPAPPPPESPPVAAASAPASPYSVLHELLLPSPNPHLLLKQKTLTHSLSSSSLTSMASSSPPAPTADVWELVTPTAPQVAHVDGGLDDCAIFPPRLHEGLGLGLEGESEEAVPAAPKEVEVEEEEEEEEEEEDGEWLWDAWGWRWERCRLAARRAWAAGVGAVQNRVLVHGACGCPAVKPAVLSAAAAAAVVGALLYARRRDRRERDLLVLLTKEKDKRIAQLMHQIALMSDIRSSSEAVKIIRNS >PAN13737 pep chromosome:PHallii_v3.1:2:49218673:49222732:-1 gene:PAHAL_2G361500 transcript:PAN13737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTSHAVASPAYAARLRRRQQHGNAPGRAGTARFLARCRHGGRGRGAAPAPCSASARAAGSGAAIGCLEAEPWGAVAPALALPGLQVAAPAPGDALAVPSEQRVHEVVLKQAALTAAAPRTARIEPGPVSGALKAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHISALALDRWESRLEDIFAGRPYDMLDAALSDTVANFPVDIQPFRDMIEGMRMDLRKSRYRTFDELYLYCYCVASTVGLMSVPVMGISPDSRAATETVYKGALALGLANQLTNILRDVGEDARRGRIYLPQDELEMAGLSEVDIFNGRVTDEWRGFMRGQITRARSFFRQAEEGATELNQESRWPVWASLLLYRQILDEIEANDYDNFTKRAYVPKAKKLMALPKAYLRSLMAPSSLTQSQRHYSSLT >PVH63655 pep chromosome:PHallii_v3.1:2:5812370:5817436:1 gene:PAHAL_2G077700 transcript:PVH63655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRTPAVRRPLLAASDLLHTRRNISSTNPPPPPHHPNPLASELLRLLSAAPSWTPDLAGAVSSSLSSAPASAADVVIPVLRALRNPSLAAPFFLVASSASSPHPLPADAYNAVLPFLSHDLAALEKVLEEMSVLGYGIPNPACADLVATLVRARRLDDAERAIGAMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVEGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLQPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLDQLKERGCIPSAVSFNSILTCLGKKRKVDEALTLFEAMKEDAKPNSSTYNIIIDMLCIAGKVEEAYKIRDEMEHAGLFPNLLTVNIMIDRFCKAKKLEEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGQVGDAYRLFENMLDAGYNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCRPDLTLLNTYMDCIFKAGDVERGRAIFDGIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQGFVLDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVLPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSHANRATEAYQVFEETRSRGCRINVKACISLLDALNKAECLEQAAVVGAVLREIAKSQHASRSL >PAN10195 pep chromosome:PHallii_v3.1:2:5812370:5817485:1 gene:PAHAL_2G077700 transcript:PAN10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRTPAVRRPLLAASDLLHTRRNISSTNPPPPPHHPNPLASELLRLLSAAPSWTPDLAGAVSSSLSSAPASAADVVIPVLRALRNPSLAAPFFLVASSASSPHPLPADAYNAVLPFLSHDLAALEKVLEEMSVLGYGIPNPACADLVATLVRARRLDDAERAIGAMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVEGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLQPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLDQLKERGCIPSAVSFNSILTCLGKKRKVDEALTLFEAMKEDAKPNSSTYNIIIDMLCIAGKVEEAYKIRDEMEHAGLFPNLLTVNIMIDRFCKAKKLEEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGQVGDAYRLFENMLDAGYNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCRPDLTLLNTYMDCIFKAGDVERGRAIFDGIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQGFVLDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVLPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSHANRATEAYQVFEETRSRGCRINVKACISLLDALNKAECLEQAAVVGAVLREIAKSQHASRSL >PVH63653 pep chromosome:PHallii_v3.1:2:5812370:5817436:1 gene:PAHAL_2G077700 transcript:PVH63653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRTPAVRRPLLAASDLLHTRRNISSTNPPPPPHHPNPLASELLRLLSAAPSWTPDLAGAVSSSLSSAPASAADVVIPVLRALRNPSLAAPFFLVASSASSPHPLPADAYNAVLPFLSHDLAALEKVLEEMSVLGYGIPNPACADLVATLVRARRLDDAERAIGAMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVEGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLQPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLDQLKERGCIPSAVSFNSILTCLGKKRKVDEALTLFEAMKEDAKPNSSTYNIIIDMLCIAGKVEEAYKIRDEMEHAGLFPNLLTVNIMIDRFCKAKKLEEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGQVGDAYRLFENMLDAGYNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCRPDLTLLNTYMDCIFKAGDVERGRAIFDGIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQGFVLDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVLPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSHANRATEAYQVFEETRSRGCRINVKACISLLDALNKAECLEQAAVVGAVLREIAKSQHASRSL >PAN10194 pep chromosome:PHallii_v3.1:2:5812370:5817437:1 gene:PAHAL_2G077700 transcript:PAN10194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRTPAVRRPLLAASDLLHTRRNISSTNPPPPPHHPNPLASELLRLLSAAPSWTPDLAGAVSSSLSSAPASAADVVIPVLRALRNPSLAAPFFLVASSASSPHPLPADAYNAVLPFLSHDLAALEKVLEEMSVLGYGIPNPACADLVATLVRARRLDDAERAIGAMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVEGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLQPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLDQLKERGCIPSAVSFNSILTCLGKKRKVDEALTLFEAMKEDAKPNSSTYNIIIDMLCIAGKVEEAYKIRDEMEHAGLFPNLLTVNIMIDRFCKAKKLEEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGQVGDAYRLFENMLDAGYNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCRPDLTLLNTYMDCIFKAGDVERGRAIFDGIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQGFVLDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVLPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSHANRATEAYQVFEETRSRGCRINVKACISLLDALNKAECLEQAAVVGAVLREIAKSQHASRSL >PVH63654 pep chromosome:PHallii_v3.1:2:5812370:5817437:1 gene:PAHAL_2G077700 transcript:PVH63654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRTPAVRRPLLAASDLLHTRRNISSTNPPPPPHHPNPLASELLRLLSAAPSWTPDLAGAVSSSLSSAPASAADVVIPVLRALRNPSLAAPFFLVASSASSPHPLPADAYNAVLPFLSHDLAALEKVLEEMSVLGYGIPNPACADLVATLVRARRLDDAERAIGAMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVEGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLQPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLDQLKERGCIPSAVSFNSILTCLGKKRKVDEALTLFEAMKEDAKPNSSTYNIIIDMLCIAGKVEEAYKIRDEMEHAGLFPNLLTVNIMIDRFCKAKKLEEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGQVGDAYRLFENMLDAGYNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCRPDLTLLNTYMDCIFKAGDVERGRAIFDGIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQGFVLDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVLPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSHANRATEAYQVFEETRSRGCRINVKACISLLDALNKAECLEQAAVVGAVLREIAKSQHASRSL >PVH63652 pep chromosome:PHallii_v3.1:2:5812370:5817436:1 gene:PAHAL_2G077700 transcript:PVH63652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRTPAVRRPLLAASDLLHTRRNISSTNPPPPPHHPNPLASELLRLLSAAPSWTPDLAGAVSSSLSSAPASAADVVIPVLRALRNPSLAAPFFLVASSASSPHPLPADAYNAVLPFLSHDLAALEKVLEEMSVLGYGIPNPACADLVATLVRARRLDDAERAIGAMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVEGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLQPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLDQLKERGCIPSAVSFNSILTCLGKKRKVDEALTLFEAMKEDAKPNSSTYNIIIDMLCIAGKVEEAYKIRDEMEHAGLFPNLLTVNIMIDRFCKAKKLEEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGQVGDAYRLFENMLDAGYNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCRPDLTLLNTYMDCIFKAGDVERGRAIFDGIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQGFVLDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVLPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSHANRATEAYQVFEETRSRGCRINVKACISLLDALNKAECLEQAAVVGAVLREIAKSQHASRSL >PAN09164 pep chromosome:PHallii_v3.1:2:105592:107229:-1 gene:PAHAL_2G001000 transcript:PAN09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSPPPLLPFLLFLLLVAPAPAPAAASSSFSLDFFRAAAAGQLALSGGANATAAQVSMPSPGARVQYRAPIVFSSAAGGLAFSTYFAFALPPSAASSLAFFLTPSAASRSPPALAVVFSARHVRVDLAGRAAIQRQAHYFPARDRNRTRSLHAWIDYNATSAMLHVRLSATRIRTHNHPTPPLLSCPLDLSPVLRRAPVLAGFRTPSGNCTLFSWAFHAAPYRLHSQPLNPTDLLTTPPPPPERRYSAAVFLLFAAACGAMVTFFVLFLWYSVAARRPVAPVEYPMHTSDVVYQKIVLVGVKDDAATAADDGHPPSGAADK >PAN09165 pep chromosome:PHallii_v3.1:2:106071:107042:-1 gene:PAHAL_2G001000 transcript:PAN09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSPPPLLPFLLFLLLVAPAPAPAAASSSFSLDFFRAAAAGQLALSGGANATAAQVSMPSPGARVQYRAPIVFSSAAGGLAFSTYFAFALPPSAASSLAFFLTPSAASRSPPALAVVFSARHVRVDLAGRAAIQRQAHYFPARDRNRTRSLHAWIDYNATSAMLHVRLSATRIRTHNHPTPPLLSCPLDLSPVLRRAPVLAGFRTPSGNCTLFSWAFHAAPYRLHSQPLNPTDLLTTPPPPPERRYSAAVFLLFAAACGAMVTFFVLFLWYSVAARRPVAPVEYPMHTSDVVYQKIVLVGVKDDAATAADDGHPPSGAADK >PAN09166 pep chromosome:PHallii_v3.1:2:104602:107558:-1 gene:PAHAL_2G001000 transcript:PAN09166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSPPPLLPFLLFLLLVAPAPAPAAASSSFSLDFFRAAAAGQLALSGGANATAAQVSMPSPGARVQYRAPIVFSSAAGGLAFSTYFAFALPPSAASSLAFFLTPSAASRSPPALAVVFSARHVRVDLAGRAAIQRQAHYFPARDRNRTRSLHAWIDYNATSAMLHVRLSATRIRTHNHPTPPLLSCPLDLSPVLRRAPVLAGFRTPSGNCTLFSWAFHAAPYRLHSQPLNPTDLLTTPPPPPERRYSAAVFLLFAAACGAMVTFFVLFLWYSVAARRPVAPVEYPMHTSDVVYQKIVLVGVKDDAATAADDGHPPSGAADK >PAN12181 pep chromosome:PHallii_v3.1:2:41367839:41368386:-1 gene:PAHAL_2G248200 transcript:PAN12181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGDDRGPIDANQAERANQRKMNKATKPLVRREDRGRWRLLETHVVEAVPCCGALGGGVVHGEHQFAMVGQRTASSVAGEIFHCG >PAN10444 pep chromosome:PHallii_v3.1:2:7155658:7157763:1 gene:PAHAL_2G094600 transcript:PAN10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRASPLFLPQPLSPSSRSRRCAASRGVAAAARPGPAPYRTGVHGGGGGSKKRLLASRRRPGWVGVPSCLPATEEGVAVAAAASEEEEEEEDGFLAREAGWGVRRMGRVGEEMRRVAQVQAEAFHVPVALFNDFFFNFFKAEVLSALIYRVRNSPPDRYACLVAEEAEPTTQLSQAPYEKIVGVVDCTVQDEDDILKHLQGADEYLYVSGIAVLPSFRRRKLGTALLKACEALGLQWRHRFMVLRAYEDDDGARGLYAKAGYRVVSRDPDWVTWVGRRRRVLMIKELPLHDDRIQHQ >PVH65178 pep chromosome:PHallii_v3.1:2:53891249:53902836:1 gene:PAHAL_2G436900 transcript:PVH65178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITEEQRRRAEANRLAALEKRKRFAEAAAAAAAATASTSYTTAFPASGTPTFPAYAAAAEWRLSKCPRIAPPAPQHGSALLPPRPSPPPPPPTPPQPPVGFKVALEVCSPHEFLVAVGPAEGKAYPGEADCLGAVQDCLSAASVEQYSATQSQSQSGHLRPVFKLVDYDVVLKWLKKLPGAVVEDIPYNTKRLIQNIPMLASQKWASDKEVDELLKKLPQQVKDALLPFQLEGVRFGLRRRGRCLIADEMGLGKTLQAIAIACCFKDEGSILIVCPAVLRYTWAEELERWDPSFMPKDIHLVFGRQDSLEHLSATPRAVIISYQMLSRLRESMANRTWALMIVDESHNIRCTKKEEKYETKAVLHLASKIDRIVLLSGTPSLSRPFDIYHQINMLWPNMLGNNKFDYAKKYCSLQAARSYQGKLSKDYSKGTRLTELNVLLSQTVMIRRLKEHLLNELPPKRRQIIWLKLKAPDIRTATSSSIKDINSISCNGTLAVDLPSKSNDESKISDDENTKDEEDDGCKKSPRHLTPQEIGIAKLSGFSEWFSNHFIMNGLGANHNLDPQSSCQKTIIFAHHLKVLDGIQVFVSENGIKFVRIDGSTLQRERKEAVDSFRLDPEVKVVIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAKNTSDESHWLQLNQSLFRVSSLMNGKKDAIREIEVDQVCHLEEIRNAEEKVECKLHPLENHNTDLELDSDFTIRTIPLEFEDESLGTSLKNNPTPTVLEDRSCIDVSLSPAAAFCTAISSCKSMKARRRLSGNSGTLSQTAPVSDFPIQVESLRFEVSRHTGRIHLYSCVPGHDSRPKPLFENFLPEELNSPSCSSSDVKSRTLLLKKIPAFCNVFKAFIKEWLALRPIDQSRLLGKPLQLPLSLELCFLKDSINHSTQGLLKGGSKRRATPLNDVSNPLPENAEWRQVVLRNGTTKERQYSQGWTIDGEPLCKLCQGLCNGKLAKSPEYFEDLFCGLACFQEYRLRTSGRALRQALFQLERGKCSQCKLDCCKLVKHIKPLPMEKREEYIQKAAPNIASRKKLLDKLVREPTDGNAWHADHIIPVYKGGGECKLENMRTLCVACHYEVTRAQHKELKEIRKKAKEHLKNTLNQQKDKASEATEEIDDSFLLVTVPGSAYSIGGGVTGNAHEIVAE >PVH65176 pep chromosome:PHallii_v3.1:2:53891249:53902835:1 gene:PAHAL_2G436900 transcript:PVH65176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITEEQRRRAEANRLAALEKRKRFAEAAAAAAAATASTSYTTAFPASGTPTFPAYAAAAEWRLSKCPRIAPPAPQHGSALLPPRPSPPPPPPTPPQPPVGFKVALEVCSPHEFLVAVGPAEGKAYPGEADCLGAVQDCLSAASVEQYSATQSQSQSGHLRPVFKLVDYDVVLKWLKKLPGAVVEDIPYNTKRLIQNIPMLASQKWASDKEVDELLKKLPQQVKDALLPFQLEGVRFGLRRRGRCLIADEMGLGKTLQAIAIACCFKDEGSILIVCPAVLRYTWAEELERWDPSFMPKDIHLVFGRQDSLEHLSATPRAVIISYQMLSRLRESMANRTWALMIVDESHNIRCTKKEEKYETKAVLHLASKIDRIVLLSGTPSLSRPFDIYHQINMLWPNMLGNNKFDYAKKYCSLQAARSYQGKLSKDYSKGTRLTELNVLLSQTVMIRRLKEHLLNELPPKRRQIIWLKLKAPDIRTATSSSIKDINSISCNGTLAVDLPSKSNDESKISDDENTKDEEDDGCKKSPRHLTPQEIGIAKLSGFSEWFSNHFIMNGLGANHNLDPQSSCQKTIIFAHHLKVLDGIQVFVSENGIKFVRIDGSTLQRERKEAVDSFRLDPEVKVVIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAKNTSDESHWLQLNQSLFRVSSLMNGKKDAIREIEVDQVCHLEEIRNAEEKVECKLHPLENHNTESDDMSFECFPGIKDLELDSDFTIRTIPLEFEDESLGTSLKNNPTPTVLEDRSCIDVSLSPAAAFCTAISSCKSMKARRRLSGNSGTLSQTAPVSDFPIQVESLRFEVSRHTGRIHLYSCVPGHDSRPKPLFENFLPEELNSPSCSSSDVKSRTLLLKKIPAFCNVFKAFIKEWLALRPIDQSRLLGKPLQLPLSLELCFLKDSINHSTQGLLKGGSKRRATPLNDVSNPLPENAEWRQVVLRNGTTKERQYSQGWTIDGEPLCKLCQGLCNGKLAKSPEYFEDLFCGLACFQEYRLRTSGRALRQALFQLERGKCSQCKLDCCKLVKHIKPLPMEKREEYIQKAAPNIASRKKLLDKLVREPTDGNAWHADHIIPVYKGGGECKLENMRTLCVACHYEVTRAQHKELKEIRKKAKEHLKNTLNQQKDKASEATEEIDDSFLLVTVPGSAYSIGGGVTGNAHEIVAE >PVH65175 pep chromosome:PHallii_v3.1:2:53891249:53902835:1 gene:PAHAL_2G436900 transcript:PVH65175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLRESMANRTWALMIVDESHNIRCTKKEEKYETKAVLHLASKIDRIVLLSGTPSLSRPFDIYHQINMLWPNMLGNNKFDYAKKYCSLQAARSYQGKLSKDYSKGTRLTELNVLLSQTVMIRRLKEHLLNELPPKRRQIIWLKLKAPDIRTATSSSIKDINSISCNGTLAVDLPSKSNDESKISDDENTKDEEDDGCKKSPRHLTPQEIGIAKLSGFSEWFSNHFIMNGLGANHNLDPQSSCQKTIIFAHHLKVLDGIQVFVSENGIKFVRIDGSTLQRERKEAVDSFRLDPEVKVVIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAKNTSDESHWLQLNQSLFRVSSLMNGKKDAIREIEVDQVCHLEEIRNAEEKVECKLHPLENHNTESDDMSFECFPGIKDLELDSDFTIRTIPLEFEDESLGTSLKNNPTPTVLEDRSCIDVSLSPAAAFCTAISSCKSMKARRRLSGNSGTLSQTAPVSDFPIQVESLRFEVSRHTGRIHLYSCVPGHDSRPKPLFENFLPEELNSPSCSSSDVKSRTLLLKKIPAFCNVFKAFIKEWLALRPIDQSRLLGKPLQLPLSLELCFLKDSINHSTQGLLKGGSKRRATPLNDVSNPLPENAEWRQVVLRNGTTKERQYSQGWTIDGEPLCKLCQGLCNGKLAKSPEYFEDLFCGLACFQEYRLRTSGRALRQALFQLERGKCSQCKLDCCKLVKHIKPLPMEKREEYIQKAAPNIASRKKLLDKLVREPTDGNAWHADHIIPVYKGGGECKLENMRTLCVACHYEVTRAQHKELKEIRKKAKEHLKNTLNQQKDKASEATEEIDDSFLLVTVPGSAYSIGGGVTGNAHEIVAE >PVH65177 pep chromosome:PHallii_v3.1:2:53891249:53902836:1 gene:PAHAL_2G436900 transcript:PVH65177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLRESMANRTWALMIVDESHNIRCTKKEEKYETKAVLHLASKIDRIVLLSGTPSLSRPFDIYHQINMLWPNMLGNNKFDYAKKYCSLQAARSYQGKLSKDYSKGTRLTELNVLLSQTVMIRRLKEHLLNELPPKRRQIIWLKLKAPDIRTATSSSIKDINSISCNGTLAVDLPSKSNDESKISDDENTKDEEDDGCKKSPRHLTPQEIGIAKLSGFSEWFSNHFIMNGLGANHNLDPQSSCQKTIIFAHHLKVLDGIQVFVSENGIKFVRIDGSTLQRERKEAVDSFRLDPEVKVVIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAKNTSDESHWLQLNQSLFRVSSLMNGKKDAIREIEVDQVCHLEEIRNAEEKVECKLHPLENHNTDLELDSDFTIRTIPLEFEDESLGTSLKNNPTPTVLEDRSCIDVSLSPAAAFCTAISSCKSMKARRRLSGNSGTLSQTAPVSDFPIQVESLRFEVSRHTGRIHLYSCVPGHDSRPKPLFENFLPEELNSPSCSSSDVKSRTLLLKKIPAFCNVFKAFIKEWLALRPIDQSRLLGKPLQLPLSLELCFLKDSINHSTQGLLKGGSKRRATPLNDVSNPLPENAEWRQVVLRNGTTKERQYSQGWTIDGEPLCKLCQGLCNGKLAKSPEYFEDLFCGLACFQEYRLRTSGRALRQALFQLERGKCSQCKLDCCKLVKHIKPLPMEKREEYIQKAAPNIASRKKLLDKLVREPTDGNAWHADHIIPVYKGGGECKLENMRTLCVACHYEVTRAQHKELKEIRKKAKEHLKNTLNQQKDKASEATEEIDDSFLLVTVPGSAYSIGGGVTGNAHEIVAE >PAN09761 pep chromosome:PHallii_v3.1:2:3584432:3585962:1 gene:PAHAL_2G049200 transcript:PAN09761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMIYVTFIYFFQFLSLSFSFLLDPFPHLTLLGSAPRVSRPGDESRSPSWRRRVPRPTLGPWVEGGQRLAPTADARRRECIHGCGSPRPLLAAGEVAHPAPDARPLGGGQAAARPHGRRSSPGRHPCGGGSAPNAHPLGGRMAGGSPPHILFLRIVDYSWFCVQLRRTTADLSALSYMGADVFILKISSPSCCLPVSIV >PAN12597 pep chromosome:PHallii_v3.1:2:43852668:43858195:-1 gene:PAHAL_2G276600 transcript:PAN12597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANRKLSAKHSPSPATVPAPLPKSTSLPFLGDCDDDDDFQPPRARPLKACNAAAARRPRKKLKPSSSSGKENSSVAGGAVSAVTVAATAPKGARTLAAVSRVSGGAPEAKELMAGGSCGLSRYGSDVAELGRNGKIELDKYGYCKGSFSFTSSLPNSMKSSFLALGAVCDLGGGCCGEAQVVDSITSVPEKRRATDEVASSKFDPPTMEKKPRSSEALEGCYESSLIEPGILESDANCEFVTSGSYYSEGLDSGILGTVTDEQNMDKEAGVTSECGAGLHKGNNSLDSLESKLPISNANHDCRGGDCSKAQEPGLRACNLDSQERKVASGHCSTPENENMENKSSGPEACKAHFFSNSSESKLLESHMIHEFEADDYGDFEIGTQLNELINLCMEDSIEGQSNCATPIELNTFGSKSFKSDFQVKCPLCELDISDLSEELRQQHTNNCLDEPAKESSPNHEKEPCAGGNVENRRVMEWLRNLGLSKYEEIFIREEVDWETLQWLTEEDLLGMGITALGPRKKITHALGELRKKHDDANDMEAGVLGSENTKKTKLPMNGNKLITEYFRCSSFDQRKSRACQVNKPSNLNEQKKSSAKVPTRRSSAGKGKSKDTPLWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHVDHYQGLTRSFCHGKIYCSSITASLVHHKIGIPWDKLHVLPLNKKITIAGVNLICFDANHCPGSIIILFEPPNGKAVLHTGDFRFSSEMVNNPVLQSSDIHTLILDTTYCNPRYDFPSQEIVIQFVIEAIQAESFNPKTLFLIGSYTIGKERLFMEVARLLQKKIYVGAAKLQILKHLELPQEIMNWFTANEAESHIHVVPMWTLASFKRMKYLSNQYAGRFDLIVAFCPTGWAFGKGKKKTPGKRWQQGSIIRYEVPYSEHSSFTELQEFVKFIAPENIIPSVNNDGPENADAMLAQLLNE >PAN15486 pep chromosome:PHallii_v3.1:2:57220047:57222200:1 gene:PAHAL_2G491600 transcript:PAN15486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVVMNPGEEEPRLVLPPGFRFHPTDAEVVLDYLTPKALDGTFSCVVIADVDLNKTEPWDLPAKAKMGEKEWYFFCHKDRKYPTGMRTNRATASGYWKATGKDKEIYRGRGVLVGMKKTLVFYTGRAPRGEKTPWVMHEYRLEGKLPPNLPRSAKDEWAVCRVFNKDLAAKPGQMAPAAGPEPTIPLDFDDIFGVPPLEDSEYAFEDLLDFKAGAGNSSSSDAAGMGGGGYQVKAEQQNQQALQQGPIYFSLPAASNPGGYPHGAAEQAIRAHCRPEAPALPSPAFAGDLSSRPYPEVDDLLLDDGFIDYSNMLKF >PAN13402 pep chromosome:PHallii_v3.1:2:47608096:47610926:-1 gene:PAHAL_2G333800 transcript:PAN13402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKRFTGMAKGVSAVPVRRDEDESLVLFGELYRHEKERDVNLLEPMFSVEFEAVQGDGRMFKLPSGKRDYLLPDGEKHDYDWLKTPPATPLFPSLEMEANSSQMIFQKELPILQPVRTSRFSSKPDATSASTSSGSPTSSSTKSVTPTARPSSSSSKKNLNRGAAAPSKEQDSAYRIDKRSSYTPLTNRQHNSIPAAPSTTTATTATKASKKTSGGKSQPSKAAKNDARPDKASKNVTAITTKPRSNDSSVGAKDKKVNAGTARRLSGPSAANPDNVQATAALKGRSRAATGSVPATRKDGGATDAVLKGRGRAGEKEQRPKLGSLAKK >PAN13399 pep chromosome:PHallii_v3.1:2:47608102:47610907:-1 gene:PAHAL_2G333800 transcript:PAN13399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLPSGKRDYLLPDGEKHDYDWLKTPPATPLFPSLEMEANSSQMIFQKELPILQPVRTSRFSSKPDATSASTSSGSPTSSSTKSVTPTARPSSSSSKKNLNRGAAAPSKEQDSAYRIDKRSSYTPLTNRQHNSIPAAPSTTTATTATKASKKTSGGKSQPSKAAKNDARPDKASKNVTAITTKPRSNDSSVGAKDKKVNAGTARRLSGPSAANPDNVQATAALKGRSRAATGSVPATRKDGGATDAVLKGRGRAGEKEQRPKLGSLAKK >PAN13403 pep chromosome:PHallii_v3.1:2:47608014:47610907:-1 gene:PAHAL_2G333800 transcript:PAN13403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKRFTGMAKGVSAVPVRRDEDESLVLFGELYRHEKERDVNLLEPMFSVEFEAVQGDGRMFKLPSGKRDYLLPDGEKHDYDWLKTPPATPLFPSLEMEANSSQMIFQKELPILQPVRTSRFSSKPDATSASTSSGSPTSSSTKSVTPTARPSSSSSKKNLNRGAAAPSKEQDSAYRIDKRSSYTPLTNRQHNSIPAAPSTTTATTATKASKKTSGGKSQPSKAAKNDARPDKASKNVTAITTKPRSNDSSVGAKDKKVNAGTARRLSGPSAANPDNVQATAALKGRSRAATGSVPATRKDGGATDAVLKGRGRAGEKEQRPKLGSLAKK >PAN13401 pep chromosome:PHallii_v3.1:2:47608015:47610926:-1 gene:PAHAL_2G333800 transcript:PAN13401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKRFTGMAKGVSAVPVRRDEDESLVLFGELYRHEKERDVNLLEPMFSVEFEAVQGDGRMFKLPSGKRDYLLPDGEKHDYDWLKTPPATPLFPSLEMEANSSQMIFQKELPILQPVRTSRFSSKPDATSASTSSGSPTSSSTKSVTPTARPSSSSSKKNLNRGAAAPSKEQDSAYRIDKRSSYTPLTNRQHNSIPAAPSTTTATTATKASKKTSGGKSQPSKAAKNDARPDKASKNVTAITTKPRSNDSSVGAKDKKVNAGTARRLSGPSAANPDNVQATAALKGRSRAATGSVPATRKDGGATDAVLKGRGRAGEKEQRPKLGSLAKK >PVH64684 pep chromosome:PHallii_v3.1:2:47608344:47609552:-1 gene:PAHAL_2G333800 transcript:PVH64684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACLSFLQERGITSCQMVKSMTTIAVHFRLKTPPATPLFPSLEMEANSSQMIFQKELPILQPVRTSRFSSKPDATSASTSSGSPTSSSTKSVTPTARPSSSSSKKNLNRGAAAPSKEQDSAYRIDKRSSYTPLTNRQHNSIPAAPSTTTATTATKASKKTSGGKSQPSKAAKNDARPDKASKNVTAITTKPRSNDSSVGAKDKKVNAGTARRLSGPSAANPDNVQATAALKGRSRAATGSVPATRKDGGATDAVLKGRGRAGEKEQRPKLGSLAKK >PAN13398 pep chromosome:PHallii_v3.1:2:47608102:47609609:-1 gene:PAHAL_2G333800 transcript:PAN13398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACLSFLQERGITSCQMVKSMTTIVHFRLKTPPATPLFPSLEMEANSSQMIFQKELPILQPVRTSRFSSKPDATSASTSSGSPTSSSTKSVTPTARPSSSSSKKNLNRGAAAPSKEQDSAYRIDKRSSYTPLTNRQHNSIPAAPSTTTATTATKASKKTSGGKSQPSKAAKNDARPDKASKNVTAITTKPRSNDSSVGAKDKKVNAGTARRLSGPSAANPDNVQATAALKGRSRAATGSVPATRKDGGATDAVLKGRGRAGEKEQRPKLGSLAKK >PVH64226 pep chromosome:PHallii_v3.1:2:36952581:36954017:-1 gene:PAHAL_2G217900 transcript:PVH64226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASRKGRFLLKKFGRTSPWRTDGERGKFYQSLPLKTDGQRRKFWQNAPWKSEWSVQKILAEPPLGNRCSEEENLTEPPLENRSLGPEIPAEPPLGTRKFQRQRLEFQGKAGLSLQQVKRLKVCQQQAVLLLNRLGELKGRT >PVH64273 pep chromosome:PHallii_v3.1:2:38544466:38547654:-1 gene:PAHAL_2G227000 transcript:PVH64273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHALYFTKNSSGSWSVSYVNRYVQSETLKLERTRQKPCFLPAIEGDSAAIIAAYIFNFLRFGKVNKDISNTNVFEHAGRVFAVAENHLPQEICIQNLDTRNTWDIGGEWDRAFTAHPKVAPGSGELVTFGTDAKRPFLVIGVVSADGTKLKHRVDPKLDRCTLCHDIGVTLKYNIIMDVPLTINFSRLIKGGQLIKFEKESYARIGVMPRYGDADSVIWFNVEPFCMFHLINCFEEGDEVVVQGLRSPDSLIPGPRLALNKHDSKISEPAEDDRSMKQGTRNEFLFRLYQWRLNLRTKTVSGGYLTGTEDSLEFPMINNLYTGLRHSYAYAQVVDSLSSYGNSEKVNPKYGGFAKLILDKRKNTEIPGSSFIRMQYHWLGKDQFCSGAAFVPRVGGSHEDDGWIISFIHNEKNNTSQVHIIDAQRFEDAPVAKITIPRRVPYGFHGSFINR >PAN15290 pep chromosome:PHallii_v3.1:2:56299253:56302767:-1 gene:PAHAL_2G477900 transcript:PAN15290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADNSRATAALDEALKPFQERASEAEIRIAKLEALLSNIDVLNNGSETSSSAMKDLQSKLDAVSAECLTEKEKNKKLIMENEKLQYRITHLIRAIKEAESR >PVH64069 pep chromosome:PHallii_v3.1:2:25229240:25229842:-1 gene:PAHAL_2G177200 transcript:PVH64069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPGICTRAATSRSEPLTRARSHAHFTCATPAAGSPCRAPHQNRATAWALRQPQPVLPVQPPPAPPHRLDPLLVTRCTRLAAGRAARAVQPRPACILRSPPWASPAPGRALLPPASSAAPSRPAWAAPPGPRALPHVRRPGSAATARAWAVRSRASVRRRPGSRTASPRAWAHAGPLLQPRASAWAGLHPDLALHERQL >PVH64580 pep chromosome:PHallii_v3.1:2:45871986:45873049:1 gene:PAHAL_2G306000 transcript:PVH64580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYHGHEFLEHISSRDFSTNPPEDNERSRIWSAAMTDEQRNERNKKRREASYRRRKDDTHNKENVPGCQMLNEKDKAYHARKEARC >PAN12608 pep chromosome:PHallii_v3.1:2:47268688:47271473:1 gene:PAHAL_2G328400 transcript:PAN12608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALREMIRLFSDLTRPSSIDGSTPLDSVMQSLFLDNELEPISYHSNSEPMPFYHHTTGMMEGYPTADLSNIQVFDGTEPRISVIEQPYLGILPLLDFVSRPTIPFGFEVPRYHGSNQRHSTEFTPQSRSSSVAPLGPSPGVRSGGHGNGLGGHVVQQTVRPATPSSPYPPTGRRIQMRAFSIASSIAASYTAEDREPRDISLARAANMQNNNIPSRDNASRRAHQQYPYPLGWDTQWRPSAERGTLRSQRNQGYSSSPSRSTSELPPGFPSENGYPPSDRTHPFL >PAN14341 pep chromosome:PHallii_v3.1:2:51794242:51796810:-1 gene:PAHAL_2G401900 transcript:PAN14341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MQDFYALRKEVELAIERVSEVRQSAGLEQLMEEIASLEKKSADSSLWDDPSKAQGILVSLTEVKDRVKLLNDFKSQVEEAETIVKLTEELDSIDTGLLEEASKIIKSLNKAIDNFEMTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYVYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMAVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTGCETSDITGVMDGELDPFIKAYLRYKLTAATSS >PAN14342 pep chromosome:PHallii_v3.1:2:51793406:51797419:-1 gene:PAHAL_2G401900 transcript:PAN14342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MVDCPRLLLPAARVAAAVHYPCCTARSSFNPGRSPFSVCFSRRRRSSVPVRSASSGTGSQSSVADEPEAVEWAMQDFYALRKEVELAIERVSEVRQSAGLEQLMEEIASLEKKSADSSLWDDPSKAQGILVSLTEVKDRVKLLNDFKSQVEEAETIVKLTEELDSIDTGLLEEASKIIKSLNKAIDNFEMTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYVYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMAVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTGCETSDITGVMDGELDPFIKAYLRYKLTAATSS >PAN15264 pep chromosome:PHallii_v3.1:2:56147245:56151729:-1 gene:PAHAL_2G476000 transcript:PAN15264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARLRSAAARLRPALAGAIGERRLLGTAAAAAEVTADAAAAVAAGVARWEPMGAREYYDYRRAIYGDITHKAIFVDAAGTLLAPTEPMAQVYRTIGQKYGVEYSEDEILMRYRRAYAQPWGRSRLRYVNDGRPFWQHIVSSSTGCSDLQYFEEVYQYYTTEKAWHLCDPDAGRVFQALRRAGVKTAVVSNFDTRLRPLLQALKCDQWFDAVAVSAEVAAEKPNPTIFLKACDLLGVKPEETVHIGDDRRNDVWGARDAGCDAWLWGSDVYSFKEVAERIGVEV >PAN15016 pep chromosome:PHallii_v3.1:2:55067041:55073127:-1 gene:PAHAL_2G458400 transcript:PAN15016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEEAAAGGGGSGGGSSGAGSSGGGSGGGAARRRFDDKGLVARTSLILWHTHQNDVGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRQAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAVVIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNVVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALSPLAAINFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHASDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVAEGHRPVFRKGHTNELKDLVELCWSGDVSLRPSFLEILKRLEKLKEHFSHENHWHLFQ >PAN12537 pep chromosome:PHallii_v3.1:2:46794040:46794844:-1 gene:PAHAL_2G321500 transcript:PAN12537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSISRDIEAWRNQIGSAMTMRMVVTAGGRWLPGRLPACTRLWPVAGAGNDVRTFVLHGVDVSVLPLAADVAYGDVVDEEPLVAAFDVGCQDVTPCSTPPGPSRRGSRTPPFSTLQGEDESRHSSDFLFITYASRRRRIAGRAHVFLISMPYIYIRTKIALSHKLITQIKF >PAN13625 pep chromosome:PHallii_v3.1:2:48689721:48692086:1 gene:PAHAL_2G352100 transcript:PAN13625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVLSSKLVRPSYPAGAPRPDTTEHVPSSVFDKATYHIQMAIIYAFAPPGPSAADIERGLAAVLGVYRLFAGQVRAGPDGGPGVLLNDHGARLVEASVDAHLADIAPTKPSPAVLQLHPDLEGEIEEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLPVGPPPPVHHPDLFPPRDPPRVEFEHRGVEYYRPAQPAAAGHGHGEAQHNNIVIHKAHFTKDFVAGLRAKASEGRGRPFSRFETILAHVWRTMTRARGLGNPLQTSTIRISVDGRPRLAAPAGYFGNLVLWAFPRATVGDLLNRPLKHAAQAIHDAVARVDGAYFRSFVDFASSGAVEKEGLEPTAVLKDVLCPDLEVDSWLTFPFYELDFGGGSPGYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFEHNLEAFKQCCYSME >PVH64127 pep chromosome:PHallii_v3.1:2:30853186:30854458:-1 gene:PAHAL_2G194700 transcript:PVH64127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEESGSGSNQLELLPAHETTPPPATTKPREKKAKGKAAGKKNKKEISVPHDSLAVRTRSKIPQQDNPASHTRSKRKLPLTDLN >PAN12064 pep chromosome:PHallii_v3.1:2:40653888:40657214:1 gene:PAHAL_2G240300 transcript:PAN12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQILGLVQVDQSTVAIKETFGKFNEVLEPGCHFLPWCIGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLDLDDAFEQKNDIAKAVEDELEKAMSTYGYEIVQTLIVDIEPDDRVKRAMNEINAAARMRVAASEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVAAQIRDGLLQANLQ >PVH64337 pep chromosome:PHallii_v3.1:2:40654617:40657228:1 gene:PAHAL_2G240300 transcript:PVH64337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQILGLVQVDQSTVAIKETFGKFNEVLEPGCHFLPWCIGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLDLDDAFEQKNDIAKAVEDELEKAMSTYGYEIVQTLIVDIEPDDRVKRAMNEINAAARMRVAASEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVAAQIRDGLLQANLQ >PAN12063 pep chromosome:PHallii_v3.1:2:40653888:40657204:1 gene:PAHAL_2G240300 transcript:PAN12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQILGLVQVDQSTVAIKETFGKFNEVLEPGCHFLPWCIGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLDLDDAFEQKNDIAKAVEDELEKAMSTYGYEIVQTLIVDIEPDDRVKRAMNEINAAARMRVAASEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVAAQIRDGLLQANLQ >PVH63522 pep chromosome:PHallii_v3.1:2:3373327:3378669:1 gene:PAHAL_2G046800 transcript:PVH63522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDTKNPILSWISNITQLTHLMFDGYDFSKSIPTWIGKLTTLESLIIVNCSFSMPIPYQIGNLTKLVKLKFWNCDFSEQRMPSSIGNLTKLVFLSICDCNFCGPIPSTIGNLIQLEKLVVWSSHIGGKIPKSLFALPALQRLFLVHNQLIGSLEDIPAPLSSPLRDILLRYNQLTGPIPKSFFQLTNLRSLDLGSNKLTGTVELGSIWRLRNLTHLNLGNNMISVIEKEGDTIFSHSLKIQHIYLTSCNLTRIPASLKFLDTIRALDLSNNQIEGAIPSWVWENCLVSLNLSHNMFTTLEKSPIVQMTHLSALDLSFNGLQGSILIPSTPSQLIFLDYSNNEFSSIEPNFVGRYLRNAISINLSKNKLSGHIPLSVCSLNNLEFLDLSYNNFCGPIPSCLMEKADLTSILKLRENKLHGMLPENIREGCKLQTIDLNGNRIEGVVPRSLANCQDLEVLDVGNNQIVDSFPSWMGTLPNLRILVFRSNQLYGTIRDLHSVYQHFRSLQILDLASNHFSGDLHSKWFDNFISMMNISSDVGQILEHSSNATGTYQDSITITFKDATLSVTKIQTSFKLIDLSNNSFESSIPGSIGRLVSLHGLNMSHNNFTGQIPSQLHNLTRLESMDLSFNTLSGEIPQEFTSLTSLSWLNLSYNNLTGRLPQGNQFLIFPSSSFEGNAGLCGIQLYKQCDNLGPDSITQSTSVPEPNTLWQDRLDAITFFLFAGLGFGVGFALAIIFRSFYHIEVWLCNHMY >PAN12599 pep chromosome:PHallii_v3.1:2:43873023:43875194:-1 gene:PAHAL_2G276700 transcript:PAN12599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate ZIM-domain protein, Jasmonate-induced resistance to bacterial blight, Repressor of jasmonic acid signalin [Source: Projected from Oryza sativa (Os09g0439200)] MGAERQGAGGVAGRAPAREKSTFAVTCSLLSQYLKEKKGGLEGLGGLGMSPPPPPPAPAGAFRPPTTMNLLSALDAPAAEEPNDAAKATPEEADGHDQQTAENPREAAAGEDEAQPLTIFYGRKVVVFDKFPPAKIKDLLQIANAGGYGVDRAGASAAPPSSQNSLSDMPIARRNSLHRFLEKRKGRITAKAPYQSSSPAGADASKQATGDKNSWLGLGQEVTVVKQEI >PAN12602 pep chromosome:PHallii_v3.1:2:43873023:43875191:-1 gene:PAHAL_2G276700 transcript:PAN12602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate ZIM-domain protein, Jasmonate-induced resistance to bacterial blight, Repressor of jasmonic acid signalin [Source: Projected from Oryza sativa (Os09g0439200)] MGAERQGAGGVAGRAPAREKSTFAVTCSLLSQYLKEKKGGLEGLGGLGMSPPPPPPAPAGAFRPPTTMNLLSALDAPAAEEPNDAAKATPEEADGHDQQTAENPREAAAGEDEAQPLTIFYGRKVVVFDKFPPAKIKDLLQIANAGGYGVDRAGASAAPPSSQNSLSADMPIARRNSLHRFLEKRKGRITAKAPYQSSSPAGADASKQATGDKNSWLGLGQEVTVVKQEI >PAN12600 pep chromosome:PHallii_v3.1:2:43873732:43875041:-1 gene:PAHAL_2G276700 transcript:PAN12600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate ZIM-domain protein, Jasmonate-induced resistance to bacterial blight, Repressor of jasmonic acid signalin [Source: Projected from Oryza sativa (Os09g0439200)] MGAERQGAGGVAGRAPAREKSTFAVTCSLLSQYLKEKKGGLEGLGGLGMSPPPPPPAPAGAFRPPTTMNLLSALDAPAAEEPNDAAKATPEEADGHDQQTAENPSSCREAAAGEDEAQPLTIFYGRKVVVFDKFPPAKIKDLLQIANAGGYGVDRAGASAAPPSSQNSLSDMPIARRNSLHRFLEKRKGRITAKAPYQSSSPAGADASKQATGDKNSWLGLGQEVTVVKQEI >PAN12601 pep chromosome:PHallii_v3.1:2:43873217:43875191:-1 gene:PAHAL_2G276700 transcript:PAN12601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate ZIM-domain protein, Jasmonate-induced resistance to bacterial blight, Repressor of jasmonic acid signalin [Source: Projected from Oryza sativa (Os09g0439200)] MGAERQGAGGVAGRAPAREKSTFAVTCSLLSQYLKEKKGGLEGLGGLGMSPPPPPPAPAGAFRPPTTMNLLSALDAPAAEEPNDAAKATPEEADGHDQQTAENPSSCREAAAGEDEAQPLTIFYGRKVVVFDKFPPAKIKDLLQIANAGGYGVDRAGASAAPPSSQNSLSADMPIARRNSLHRFLEKRKGRITAKAPYQSSSPAGADASKQATGDKNSWLGLGQEVTVVKQEI >PVH64494 pep chromosome:PHallii_v3.1:2:44416626:44418944:1 gene:PAHAL_2G284800 transcript:PVH64494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAQEREVQLLQGRQAWPFHMMGMGMAAPGGGCFDGYGGGGGDCFVLGWEQQQQQPAPAPAFGCFGLLAADVHDLFPLFAGIESPLPVVSSSALLAAPPAPAHDAVAAVPGELDDFLLNFWDASCHDGDVGEQRHDDDAFNSSSVTHEQETTTCPAAAAAATATNSFFHYDDGDDPLSSIFCAGPTPLPAEKAVPFQAPAAEPLLSSSSSNSNSRGDAVGGRAQGAAATPTPGASRARTPPLPRSSASTPSLKRATREAESSADQAAECGQSESSKRRRTAAAPAGVLCPFALLKPDGLDGGATLADINARILMRPARPVRHPVGEFACAPRVSADQPGISGKAVSSFTRLHTPGRGTITIIRTRG >PAN12697 pep chromosome:PHallii_v3.1:2:44416626:44418944:1 gene:PAHAL_2G284800 transcript:PAN12697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAQEREVQLLQGRQAWPFHMMGMGMAAPGGGCFDGYGGGGGDCFVLGWEQQQQQPAPAPAFGCFGLLAADVHDLFPLFAGIESPLPVVSSSALLAAPPAPAHDAVAAVPGELDDFLLNFWDASCHDGDVGEQRHDDDAFNSSSVTHEQETTTCPAAAAAATATNSFFHYDDGDDPLSSIFCAGPTPLPAEKAVPFQAPAAEPLLSSSSSNSNSRGDAVGGRAQGAAATPTPGASRARTPPLPRSSASTPSLKRATREAAESSADQAAECGQSESSKRRRTAAAPAGVLCPFALLKPDGLDGGATLADINARILMRPARPVRHPVGEFACAPRVSADQPGISGKAVSSFTRLHTPGRGTITIIRTRG >PAN10076 pep chromosome:PHallii_v3.1:2:5221749:5225879:-1 gene:PAHAL_2G070500 transcript:PAN10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MPPSLPPSRRGFLFLALLLSACLAAPGAGGAGGDGAAMQALRRELAPPGWGPGADHCAWRGVTCAVGGGGAVTAIDLPRRGLRGDFSAAGALPALARLDLSANSLRGGVPAALGALARLEFLDLSMNALTGAVPAALAGASGLRFLNLSNNALSGAIPDELRGLRELQELQVSGNNLTGVLPGWLAGLPGLRVLSAYENSLSGPIPPGLGLSSELQVLNLHSNALEGGIPSSLFQLGNLQVLILTLNRLNGTIPDTIGRCRGLSNVRIGDNRLSGAIPASIGDATSLTYFEANTNDLSGGIPTQFARCANLTLLNLAYNRLAGEVPDVLGELRNLQELIVSGNGLGGEFPKSILRCRNLSKLDLSYNSFHGDLPEDICNGSRMQFLFLDHNEFSGGIPRGIGGCTRLLALQLGSNNLGGQIPAEIGKVKSLQIALNLSFNHFVGTLPRELGRLDKLVTLDLSSNEISGQIPGDMRGMLSLIEVNLSNNRLSGSIPAFGPFQKSAASSFSGNVKLCGDPLDVDCGSIYGSNYGMDHRKISYRVALAVVGSCVLIFSLVSLVVALFMWRERQEKEVEAKKAEAGEVVVAAPQVVASTVFIESLQQAIDFQSCVKATYKDANELGNGTFSTTYKAVMPSGMVVCVKKLKSIDRAVIHHQTKMIRELERLAHINHKNLVRPIGYVIYDDCALLLHHHMPNGTLLQLLHNDCNTDGEKQKPDWPRLLSIAVDVAEGLAFLHQVATIHLDISSGNVYLDSHYNALLGEVEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSYGVVLLEILTSKLPVEEEFGEGVDLVKWVHTAPARGETPEQIMDPQLSTVSFAWRRQMLAVLKVAMLCTERAPAKRPRMKKVVEMLQEAKNS >PAN13770 pep chromosome:PHallii_v3.1:2:49337914:49344103:-1 gene:PAHAL_2G362900 transcript:PAN13770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQYGWNSSTAGLVQSSFFWGYALSQLPGGSLAKLFGGRKVLEVGVVIWSLATALIPVVAGFMPGLVLSRILVGIGEGVSPSAATDLIARSIPLQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCIGFESLKGKQLGNNKGLLNLGQSSTGSDGLVSSAVSSESSDSSLEDLQNSLKDVPWGAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELNLNLTEAAWVSVLPPLGSIVITSIAAPFADNLIANGVDTTKVRKICQTIAFVSPAIFMMLSSVDLGLPPWEIVAFLTSGLALSSFAFSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSISLFAPSVFFYLTGTAVWLAFASSEPQDFSKSGSES >PAN13773 pep chromosome:PHallii_v3.1:2:49337914:49345307:-1 gene:PAHAL_2G362900 transcript:PAN13773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPTCHANHTLSASCTPLGRATPGRVQSATSCANTEHHACRSQLARRDMAASALQPERHLLLLAGSGGGRPRPLLRMLPPRAPLPHRRRRWPLAVRVSSGDGGGTSAVEKPSVPGAVGVDEGARGVEELEGEVAGAWELRWPPWERLPERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGSLAKLFGGRKVLEVGVVIWSLATALIPVVAGFMPGLVLSRILVGIGEGVSPSAATDLIARSIPLQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCIGFESLKGKQLGNNKGLLNLGQSSTGSDGLVSSAVSSESSDSSLEDLQNSLKDVPWGAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELNLNLTEAAWVSVLPPLGSIVITSIAAPFADNLIANGVDTTKVRKICQTIAFVSPAIFMMLSSVDLGLPPWEIVAFLTSGLALSSFAFSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSISLFAPSVFFYLTGTAVWLAFASSEPQDFSKSGSES >PAN13771 pep chromosome:PHallii_v3.1:2:49337458:49345339:-1 gene:PAHAL_2G362900 transcript:PAN13771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPTCHANHTLSASCTPLGRATPGRVQSATSCANTEHHACRSQLARRDMAASALQPERHLLLLAGSGGGRPRPLLRMLPPRAPLPHRRRRWPLAVRVSSGDGGGTSAVEKPSVPGAVGVDEGARGVEELEGEVAGAWELRWPPWERLPERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGSLAKLFGGRKVLEVGVVIWSLATALIPVVAGFMPGLVLSRILVGIGEGVSPSAATDLIARSIPLQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCIGFESLKGKQLGNNKGLLNLGQSSTGSDGLVSSAVSSESSDSSLEDLQNSLKDVPWGAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELNLNLTEAAWVSVLPPLGSIVITSIAAPFADNLIANGVDTTKGFIVRIKISLVNMQAFSWESQTL >PAN10639 pep chromosome:PHallii_v3.1:2:8500664:8504282:-1 gene:PAHAL_2G107200 transcript:PAN10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVRFLGTAPGRLERVVGVLPAAGSLGCRSTLPLGRVRPYFVRSVPPPGGAGRRGVRVARPPCAAMGSDLFALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEKVDSAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIQIPSVRKSSVADGLSIQEILENWLKLKPTLMDEWQEDRESLVDLFGRVRDDWIENDLSGWIAANRFYPGTADALKLSSSETYIVTTKQSRFAEALLKELAGIDFPSERIYGLGTGPKVQVLQQLQQMPQHQGLTLHFIEDRLATLKNVIKEPALDKWNLYLVTWGYNTQKEREEAQGISRIQLVDLPDFDKKLK >PAN11485 pep chromosome:PHallii_v3.1:2:25832106:25844182:-1 gene:PAHAL_2G179000 transcript:PAN11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAVEGNGFVHGEEEEAEDNDRPIRYLPLGHVYSSSAPAPRSPALKKPRVDDGKPPLKVYYRRHRKKPRVEEAPPPPSMAPPAPRVQDEDAGSSRRKGSLKHELLSLGSAHPALDGDGDGEGGEPSRRRGRMRRGGGAEAMVCFSEHERQGPGRPKGSVGRRWVELDIQSADPNAFVGLVCKVFWPLDDDWYKGSITVYNEATKKHSVKYVDGEEEDLSLADERIKFSISSEEMKSMNLSIGISNQDKKGHDELLALAVSFHDYQGLDPGDLVWAKITGHAMWPAVVVDESDVPANRALKPVRLDQSILVQFFGTHDFARVKLKQAVPFLNGLLSSLHLKCKQASFSRSLEEAKEFLRTHQLPEIMLQLRKSVQHDGSDVNSCEDRVDSCGNLSEDRSVQNGEDYAEMTQIELGNLRVSNLGRIVSDSDHFHSKKHIWPEGYTAFRKFMSIIDPNSVTSYKMEVLRNSDAKARPLFRVISEDGVQIDGSTPNACWKEIYCRIKEKQCSAATELERNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENSGDTILGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELKQLDGGLWLCNLCRPGAPRMSPKCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLVCSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHHMLLDEDEDPCIRLLSYCKKHRQPSAERPSLESDPAEPFQLVQTDMASSSGCARTEPYNFHRRRGQKQPQVMATASMKRLYVENRPYIVSGYCQNRVGNHTCSESLQPVGLSDVVQQEAFGNVSSMVEKYTSMKATFRKRLTFGKSRIHGFGVFAKVAHKAGDMMIEYIGEIVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSVAHLINHSCEVFFE >PAN11492 pep chromosome:PHallii_v3.1:2:25830914:25844393:-1 gene:PAHAL_2G179000 transcript:PAN11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAVEGNGFVHGEEEEAEDNDRPIRYLPLGHVYSSSAPAPRSPALKKPRVDDGKPPLKVYYRRHRKKPRVEEAPPPPSMAPPAPRVQDEDAGSSRRKGSLKHELLSLGSAHPALDGDGDGEGGEPSRRRGRMRRGGGAEAMVCFSEHERQGPGRPKGSVGRRWVELDIQSADPNAFVGLVCKVFWPLDDDWYKGSITVYNEATKKHSVKYVDGEEEDLSLADERIKFSISSEEMKSMNLSIGISNQDKKGHDELLALAVSFHDYQGLDPGDLVWAKITGHAMWPAVVVDESDVPANRALKPVRLDQSILVQFFGTHDFARVKLKQAVPFLNGLLSSLHLKCKQASFSRSLEEAKEFLRTHQLPEIMLQLRKSVQHDGSDVNSCEDRVDSCGNLSEDRSVQNGEDYAEMTQIELGNLRVSNLGRIVSDSDHFHSKKHIWPEGYTAFRKFMSIIDPNSVTSYKMEVLRNSDAKARPLFRVISEDGVQIDGSTPNACWKEIYCRIKEKQCSAATELERNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENSGDTILGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELKQLDGGLWLCNLCRPGAPRMSPKCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLVCSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHHMLLDEDEDPCIRLLSYCKKHRQPSAERPSLESDPAEPFQLVQTDMASSSGCARTEPYNFHRRRGQKQPQVMATASMKRLYVENRPYIVSGYCQNRVGNHTCSESLQPVGLSDVVQQEAFGNVSSMVEKYTSMKATFRKRLTFGKSRIHGFGVFAKVAHKAGDMMIEYIGEIVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSVAHLINHSCEVFFE >PAN11487 pep chromosome:PHallii_v3.1:2:25830914:25844393:-1 gene:PAHAL_2G179000 transcript:PAN11487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAVEGNGFVHGEEEEAEDNDRPIRYLPLGHVYSSSAPAPRSPALKKPRVDDGKPPLKVYYRRHRKKPRVEEAPPPPSMAPPAPRVQDEDAGSSRRKGSLKHELLSLGSAHPALDGDGDGEGGEPSRRRGRMRRGGGAEAMVCFSEHERQGPGRPKGSVGRRWVELDIQSADPNAFVGLVCKVFWPLDDDWYKGSITVYNEATKKHSVKYVDGEEEDLSLADERIKFSISSEEMKSMNLSIGISNQDKKGHDELLALAVSFHDYQGLDPGDLVWAKITGHAMWPAVVVDESDVPANRALKPVRLDQSILVQFFGTHDFARVKLKQAVPFLNGLLSSLHLKCKQASFSRSLEEAKEFLRTHQLPEIMLQLRKSVQHDGSDVNSCEDRVDSCGNLSEDRSVQNGEDYAEMTQIELGNLRVSNLGRIVSDSDHFHSKKHIWPEGYTAFRKFMSIIDPNSVTSYKMEVLRNSDAKARPLFRVISEDGVQIDGSTPNACWKEIYCRIKEKQCSAATELERNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENSGDTILGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELKQLDGGLWLCNLCRPGAPRMSPKCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLVCSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHHMLLDEDEDPCIRLLSYCKKHRQPSAERPSLESDPAEPFQLVQTDMASSSGCARTEPYNFHRRRGQKQPQVMATASMKRLYVENRPYIVSGYCQNRVGNHTCSESLQPVGLSDVVQQEAFGNVSSMVEKYTSMKATFRKRLTFGKSRIHGFGVFAKVAHKAGDMMIEYIGEIVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSVAHLINHSCEPNCYSRAITVLGDEHIIIFAKRDIDPWEELTYDYRFFSSDQRLPCYCGFPKCRGVVNDVEAEEQAAKIRLKRSELFQKGDN >PAN11486 pep chromosome:PHallii_v3.1:2:25831698:25844393:-1 gene:PAHAL_2G179000 transcript:PAN11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAVEGNGFVHGEEEEAEDNDRPIRYLPLGHVYSSSAPAPRSPALKKPRVDDGKPPLKVYYRRHRKKPRVEEAPPPPSMAPPAPRVQDEDAGSSRRKGSLKHELLSLGSAHPALDGDGDGEGGEPSRRRGRMRRGGGAEAMVCFSEHERQGPGRPKGSVGRRWVELDIQSADPNAFVGLVCKVFWPLDDDWYKGSITVYNEATKKHSVKYVDGEEEDLSLADERIKFSISSEEMKSMNLSIGISNQDKKGHDELLALAVSFHDYQGLDPGDLVWAKITGHAMWPAVVVDESDVPANRALKPVRLDQSILVQFFGTHDFARVKLKQAVPFLNGLLSSLHLKCKQASFSRSLEEAKEFLRTHQLPEIMLQLRKSVQHDGSDVNSCEDRVDSCGNLSEDRSVQNGEDYAEMTQIELGNLRVSNLGRIVSDSDHFHSKKHIWPEGYTAFRKFMSIIDPNSVTSYKMEVLRNSDAKARPLFRVISEDGVQIDGSTPNACWKEIYCRIKEKQCSAATELERNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENSGDTILGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELKQLDGGLWLCNLCRPGAPRMSPKCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLVCSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHHMLLDEDEDPCIRLLSYCKKHRQPSAERPSLESDPAEPFQLVQTDMASSSGCARTEPYNFHRRRGQKQPQVMATASMKRLYVENRPYIVSGYCQNRVGNHTCSESLQPVGLSDVVQQEAFGNVSSMVEKYTSMKATFRKRLTFGKSRIHGFGVFAKVAHKAGDMMIEYIGEIVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSVAHLINHSCEPNCYSRAITVLGDEHIIIFAKRDIDPWEELTYDYRFFSSDQRLPCYCGFPKCRGVVNDVEAEEQAAKIRLKRSELFQKGDN >PAN11491 pep chromosome:PHallii_v3.1:2:25831979:25844182:-1 gene:PAHAL_2G179000 transcript:PAN11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAVEGNGFVHGEEEEAEDNDRPIRYLPLGHVYSSSAPAPRSPALKKPRVDDGKPPLKVYYRRHRKKPRVEEAPPPPSMAPPAPRVQDEDAGSSRRKGSLKHELLSLGSAHPALDGDGDGEGGEPSRRRGRMRRGGGAEAMVCFSEHERQGPGRPKGSVGRRWVELDIQSADPNAFVGLVCKVFWPLDDDWYKGSITVYNEATKKHSVKYVDGEEEDLSLADERIKFSISSEEMKSMNLSIGISNQDKKGHDELLALAVSFHDYQGLDPGDLVWAKITGHAMWPAVVVDESDVPANRALKPVRLDQSILVQFFGTHDFARVKLKQAVPFLNGLLSSLHLKCKQASFSRSLEEAKEFLRTHQLPEIMLQLRKSVQHDGSDVNSCEDRVDSCGNLSEDRSVQNGEDYAEMTQIELGNLRVSNLGRIVSDSDHFHSKKHIWPEGYTAFRKFMSIIDPNSVTSYKMEVLRNSDAKARPLFRVISEDGVQIDGSTPNACWKEIYCRIKEKQCSAATELERNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENSGDTILGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELKQLDGGLWLCNLCRPGAPRMSPKCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLVCSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHHMLLDEDEDPCIRLLSYCKKHRQPSAERPSLESDPAEPFQLVQTDMASSSGCARTEPYNFHRRRGQKQPQVMATASMKRLYVENRPYIVSGYCQNRVGNHTCSESLQPVGLSDVVQQEAFGNVSSMVEKYTSMKATFRKRLTFGKSRIHGFGVFAKVAHKAGDMMIEYIGEIVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSVAHLINHSCEPNCYSRAITVLGDEHIIIFAKRDIDPWEELTYDYRFFSSDQRLPCYCGFPKCRGVVNDVEAEEQAAKIRLKRSELFQKGDN >PVH63687 pep chromosome:PHallii_v3.1:2:6229940:6230328:1 gene:PAHAL_2G085800 transcript:PVH63687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFLAGNTAQPQACDFKMKGSYFDRNCAFYLGDSDTMIAQISRKYTASTVLLGKDTFNVTVLPGVDHVFVAALVVVLDEVHSRDRNY >PAN13748 pep chromosome:PHallii_v3.1:2:49320615:49320847:-1 gene:PAHAL_2G362700 transcript:PAN13748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLLCLSPVPATALCCLPLHFQKLVLQAIKCEMKDVRARFHIFRGTD >PAN15473 pep chromosome:PHallii_v3.1:2:57135038:57140284:1 gene:PAHAL_2G490400 transcript:PAN15473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQPLLPAAFKRRRGPRVAVLALVLCSLLVPFAFLFDRAPSGYVTTEERHRQEVVLPSLDHVEKRGSPGAISGRRQDAPEKKIPRGSAGAIHQHVPEKRISKGSEGVIHQHKQIDSHSTSGGAKPKVLPTPKVEPSKAVAESTRETREINKDIQGRQKGAKADEVEKAKACQLEFGSYCLWSIEHKEVMKDSIVKRLKDQLFVARSYYPSIAKLQGHEALTQEMKQNIQEHERVLSVSTVDADLPSFINKRMEQMERTIARSKSCTVDCKNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLQKSHHCLNMRLTLEYFKSSSLDSDDSLSGKFNSPKYMHYVILSKNVLAASVVINSTVSSCKEPDNLAFHILTDAQNFYAMKHWFARNSYKNAVIHVINYEAIILEKLPKYNIQQLYLPEEFRVLIRSIKQPTENTRMEYLSLFSHSHFLIPEIFKYLNKVVLLDDDVVVQRDLSFLWNIDMGDKVIGAVKFCGLKLGQMRNVLGKAAYDPKSCAWMSGVNLINLDKWREHNVTENYLLLMKKFKFKDERSLRAAAFPLSLLSFQHLIYPLDEKLTLAGLGYDYGIDEEVARRASSLHYNGNMKPWLELGIPDYKKYWKRFLVRGDRFMDECNVNP >PAN10345 pep chromosome:PHallii_v3.1:2:6394794:6397754:1 gene:PAHAL_2G088100 transcript:PAN10345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLAAFLLLALAPPPAAAAAEPGPANDAPSCPYDLATAAQMIPRECHANATAAPGATGCCWYVFAAYIFAAADHANRTGEAFLPRGPAAACSDAFASALLAGGLVSRSLLAGNGSCDLTGDPGKLAAGSRPCQLATIDGVRGLAPSALPNATRLCAAPGLERAPSVGPDTPGCSVCRDAVIATTYEMLASARTKEFVPCGMAATVAVWSTAPPPLERYSAYALCMLQVLENVNSLGTGDLVPSPPPPVASATLSPPAPAASSSSSSKNIVGIAAGSAAAGVAVVACIGFAGVAIARIRRGRRLTAGDSESGDDGSDDDSVASLPPLPREGLYIFTKAELMQATNGYDKKLLLGTGGAGKVYLGHLPSGQRVAIKKIYRAKKVSEFYAEVAVLAKLRHRNLTTLVGYCLGGRGGDHALVYEYLAGGNLWRALFQGELPWRRRLEVAVDVAEGLAYLHGFREGAVVHRDVKPTNVLLSESGTAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFSAGHVSEAADVYSFGVVLLELATGMRAVVPTPSGGAESIVQAAHWAVTEAGGEPGPAAEAMVDRRLGPVWDRTTVRAVFALACRCVRPYKHERPEIAEVLALLKGMLADYTARLINGDGDELSPDAESSEVTASSSAATPEPVSMPSTSSSTLNTEVMSTPSLQGVE >PAN09440 pep chromosome:PHallii_v3.1:2:1671998:1674278:-1 gene:PAHAL_2G023700 transcript:PAN09440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSPQLQHPPPPPPAPPPPPSARTTPAESAAANAQPNLIPVKPRMIIKGVLGRYERWNPVHPTAGTFWGVGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGFTLAGVGIGLPQHGLIRNHEDSGFASNVPLDSARYYAVTIIKGLVWDAINYAAAMRKESRQKLLRFQDNPPVSGVVNLPKLGKGVSSSIKSFKDEHWPS >PAN15395 pep chromosome:PHallii_v3.1:2:56731407:56732674:1 gene:PAHAL_2G484900 transcript:PAN15395 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF transcription factor, Mediation of the transition from spikelet to floret meristem, Determination of panicle branching and spikelet formatio [Source: Projected from Oryza sativa (Os07g0669500)] MNTRGSGSSSGGGGGNPTLMAFSEHPKPASGQPQPSPPSSPSERPPAGRGRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHAAYNYPPFLAPFHAQPSSYAHAPSSVQYGGHGHHGGAGAPHIGSYHHHYYYQASAAGSGASSSSGECSAPAAVDRADGTLLMDRNGHDFLFASADDNSGYLSSVVPESCLRPRSSAAAVEDLRRYSDADAYGMGMGLREDVDDLAQMVAGFWGGSGGDADQLCGFPSGSGDMVASSQGSDGYSPLSFLSH >PAN09662 pep chromosome:PHallii_v3.1:2:2874270:2875054:1 gene:PAHAL_2G040900 transcript:PAN09662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSSALGGLRGFAVAAVAVAAALLVVVAAPRCAAQATSGCTASILSLAPCLSFTAGNTSAPGASCCSALAGVVRGAPRCLCAVLGGGAASSFGVTVNARALELPGKCRVQTPPVSQCNAVGAPASSPQAAATPGAGSTPVATVEAPTAPPPFQSTAGAGSKATPATGAVS >PAN12013 pep chromosome:PHallii_v3.1:2:39985871:39988700:-1 gene:PAHAL_2G235700 transcript:PAN12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPATKETGGSSDGTKKVRKPKLWKHSQPITVAQLRQMRDEFWDTAPHYGGQKEIWDALRVASESEVSHAQAIVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIRDS >PVH64834 pep chromosome:PHallii_v3.1:2:49513085:49517591:1 gene:PAHAL_2G365700 transcript:PVH64834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEIGDSSETAQLVTTKKHNTGDLATKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAELTTAAHINDENLYRNVFITSFGEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >PAN13817 pep chromosome:PHallii_v3.1:2:49513085:49517591:1 gene:PAHAL_2G365700 transcript:PAN13817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEIGDSSETAQLVTTKKHNTGDLATKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAELTTAAHINDENLYRNVFITSFGEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >PAN13819 pep chromosome:PHallii_v3.1:2:49514539:49517591:1 gene:PAHAL_2G365700 transcript:PAN13819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEIGDSSETAQLVTTKKHNTGDLATKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAELTTAAHINDENLYRNVFITSFGEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >PVH64833 pep chromosome:PHallii_v3.1:2:49513069:49517591:1 gene:PAHAL_2G365700 transcript:PVH64833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEIGDSSETAQLVTTKKHNTGDLATKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAELTTAAHINDENLYRNVFITSFGEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >PVH64835 pep chromosome:PHallii_v3.1:2:49513069:49517591:1 gene:PAHAL_2G365700 transcript:PVH64835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEIGDSSETAQLVTTKKHNTGDLATKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAELTTAAHINDENLYRNVFITSFGEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >PVH64836 pep chromosome:PHallii_v3.1:2:49513061:49517704:1 gene:PAHAL_2G365700 transcript:PVH64836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEIGDSSETAQLVTTKKHNTGDLATKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAELTTAAHINDENLYRNVFITSFGEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >PAN13818 pep chromosome:PHallii_v3.1:2:49513085:49517591:1 gene:PAHAL_2G365700 transcript:PAN13818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEIGDSSETAQLVTTKKHNTGDLATKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAELTTAAHINDENLYRNVFITSFGEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >PAN13816 pep chromosome:PHallii_v3.1:2:49513664:49517591:1 gene:PAHAL_2G365700 transcript:PAN13816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEIGDSSETAQLVTTKKHNTGDLATKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAELTTAAHINDENLYRNVFITSFGEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >PAN14107 pep chromosome:PHallii_v3.1:2:50675886:50679529:1 gene:PAHAL_2G384300 transcript:PAN14107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRNPLPHSYPRGGGAGNHPHPPPLRHPHHPHLPPHHHIDDYREPQRLPPRHHPDDFRDPPRLPPGHPDSFLEQQQPPHLRHFAGYGHGGGPLPLPPQPHMLAALEERLGAEIDEAHALLAQNQRLAATHVALVQEVAAARHELGHTARALASAQEEGDLRLREVYERSMKMEAELRAVHEMRAELAQDRLYIQNLGAARQELMGQVQGLTQDLARSAEDLQKVSALKAEIQEIKHETQHLRSGIELEKKGYAESYEQGQEMQKNLISVASEVEKLRAEVANAENRSRAVMSAGNQGYVGSYGNPKGNFAPNPYNTGYSMNQANAADSASQYGPGATHASWGAYDMQRASGRR >PAN14601 pep chromosome:PHallii_v3.1:2:52986245:52994822:-1 gene:PAHAL_2G422900 transcript:PAN14601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEDQAAAAALLGGDPAAFDALLSTLMSSSNTDRSAAEAAFHRLRAWHPEPLALRLASSLASPATPADLRAMAGVLLRKVLSPTPSSDASANNATPPAPLWPQLSPAGQSALKAHLLSALQSDPPKPIAKKVCDAISELAASLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLAAALAHPTSPDVRIAALGAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQVAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPSWHSAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVHYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVNKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSKRMLRAKSMECISLVGMAVGKDKFRDDARQVMEVLMALQGTPMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDEIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDETQVRAISDEIKNVIIASATRKRERTERTKAEDFDADEGELLKEENEQEEEVFDQVSECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTPEERRIAICIFDDVAEQCRESALRYYDTYLPFLLEAANDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVIRHPEARLPDNIMAYDNAVSALGKICQFHRDGIDAAQVVPAWLSCLPIKDDKIEAKVVHEQLCSMVERSDTEILGPQNQYLPKIVSIFVEVLGNGSELATDETKNKMVSILRRFQQTLPPDFLASAFSNLQPQQQLLLQSILST >PVH63534 pep chromosome:PHallii_v3.1:2:3670353:3671200:-1 gene:PAHAL_2G049800 transcript:PVH63534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGYKIMSDKYYSRTGLMHDKKQLRNHVGILKQFYLFWKMCNNFSGINRGPSGENKAELRKLIHGEPDYCPHLEIMFKNVVVDGTTSCIPEEEEEEEEEENEENDKFDTPMSSGSRRRSTITNTIASSSGKKAKGGFMSMMSTMMNKWESVEERNHVVLKANQEEKARKRDKLGSDVQFCMDLAVEYGATSGSVELFGCTLFFKDEYNRVVFKSILTNEGKLDWIKRMCAHDKLYR >PVH65117 pep chromosome:PHallii_v3.1:2:53275423:53279322:-1 gene:PAHAL_2G427600 transcript:PVH65117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRGAVRPQASRLREQEMSIDECVSSLRNRIRRLHPFNADGIVSYMISSKTPVEIKQYLLASEDQLQRLIVEAKYLFPMLPPPQPPCGGTYIPYIHWQPQFHPIGSYHGIQPPLHPIDQVGPLQQHQYHPSGSSHGIQAQNPSIGPTGACQSPFPGFIGLGEHFQSFCIPGDGLPSNYGNACQVTGYPPSSSKEQIKPCHFLFSTGSYKKGEKCLFSHGSDSPKMNNMRQVQTPESLPMLEKEISELLLSLRPPKVPVESLVNIYIKRYGKPLKIGGSCTKGQQNDHSLTCLLTKLCTTRVIERRGHCYIVPVEEAPKYLDDGFKLVMYPAGAGNGSDQIYITFEPKSTFTKEDVWNYFSQYGPVSDVRIPFRKKRMFGYVSFLYPGTAKRVLSERSPMNPHFIGGDQVFVKSWKEKHELKKLVEKDAHSNSGAHNASGLSVIHERHTGVDNTGESSHVQSHLDEAPAAQDSDDLGLPGTLDDVY >PAN09567 pep chromosome:PHallii_v3.1:2:2384686:2397577:-1 gene:PAHAL_2G034000 transcript:PAN09567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSPPPPPPAEQQQEEEEVLVPHQELPNGTQPMEVVPAEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEVFVVGGYKWRILIFPRGNNVEFLSMYLDVADSGVLPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKKALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLKAQIGKDIYFDLVDHDKVPSFRIQKQMTFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLSPQDEAHTVGQLKELVNKAHNAELKLFLEVELGLDLKPLPLPEKTREDIFLFFKLYDPEKEELRYVGRLFVKASGRPQDILPKLRMLAGFSQDDDIELYEEIKFEPNVMCEYIDNRLLFRSCQLEDGDIICFQKSPKPDSADRYRFPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKIFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDIVATKFQRNMYGAWEQYLGLEHPDTAPRKAHTVNQNRHSFERPVKIYN >PAN09568 pep chromosome:PHallii_v3.1:2:2385515:2397386:-1 gene:PAHAL_2G034000 transcript:PAN09568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSPPPPPPAEQQQQEEEEVLVPHQELPNGTQPMEVVPAEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEVFVVGGYKWRILIFPRGNNVEFLSMYLDVADSGVLPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKKALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLKAQIGKDIYFDLVDHDKVPSFRIQKQMTFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLSPQDEAHTVGQLKELVNKAHNAELKLFLEVELGLDLKPLPLPEKTREDIFLFFKLYDPEKEELRYVGRLFVKASGRPQDILPKLRMLAGFSQDDDIELYEEIKFEPNVMCEYIDNRLLFRSCQLEDGDIICFQKSPKPDSADRYRFPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKIFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDIVATKFQRNMYGAWEQYLGLEHPDTAPRKAHTVNQNRHSFERPVKIYN >PVH63463 pep chromosome:PHallii_v3.1:2:2384686:2397188:-1 gene:PAHAL_2G034000 transcript:PVH63463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVPAEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEVFVVGGYKWRILIFPRGNNVEFLSMYLDVADSGVLPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKKALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLKAQIGKDIYFDLVDHDKVPSFRIQKQMTFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLSPQDEAHTVGQLKELVNKAHNAELKLFLEVELGLDLKPLPLPEKTREDIFLFFKLYDPEKEELRYVGRLFVKASGRPQDILPKLRMLAGFSQDDDIELYEEIKFEPNVMCEYIDNRLLFRSCQLEDGDIICFQKSPKPDSADRYRFPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKIFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDIVATKFQRNMYGAWEQYLGLEHPDTAPRKAHTVNQNRHSFERPVKIYN >PAN09507 pep chromosome:PHallii_v3.1:2:2108864:2116221:1 gene:PAHAL_2G029900 transcript:PAN09507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTYVQQGVGSMDARGGVPNLFHALGPALLISMGYIDLGKWVAAVEAGSRFGFDLVLLALIFNFTAIVCQYLAACIGMVTGKNLAEICHQEYNKPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGTVNACIAGFALLCYVFGLLVSQPQIPITANVIFPKLSGESAYSLMALLGANIMAHNFYIHSSVVQGQKRSSAVGLGALFHDNLFSILFIFTGIFLVNYVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPLAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGLDIPLSGHHLLLKGFAIVPTVYWAKVAGAEGIYQLLIICQIIQAMLLPSSVIPLFRVASSRAIMGTHRVSLHLEILAFLAFLLILFSNIIFVAEMLFGDSGWMNNLKGYTGSPVVLPHTVFILVACISVAFSLYLAVTPLKSGSNEAESQEWSVHSQRELLSTSQGREEDKVDNVMYEEDQRSDVDPSPRDLVDNHSQTGMEYIDTSDTAVESDHDSQQSTAYASTVPETCPSPSYTPEESKSVVAVNWPEPLEKVSASTVIEESTIESVDSRSTTERDVLVETDVLTDKDKEYLNVMECEKSVVGSTPSFVSDDGPPSLTFSRGKGSDAGNGNGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASTKKFDILLGLSLRTPSSAARTDKQAIEIPKSPVVRDTMRGSAFLSNSVDLMPPKNEMSNLELTYGLQRGTSMGSSTWSQGMQLPNTQLQSSSNSLLEQSARLNSNFGAPSYSDNNQFYQPATIHGYQLTSYLKQMNAGRNPYSSTPLDPQRLPKSSTPAAPTYVDSMMHSRNQNLLASLGATPSQIAATSRIGSMMAERSYYDPSVVDGSESAGSSAYSKKYHSSPDISAIIAASRTALLNEAKLGGAIGPQPYLSRLASERSQYANSIARPAAPLAFDELSPPKLQSEIFSAQSSMSPSARSLWAKQPFEQLFGMSSAELSRGDFNLSGRSGGMAKDDFSYKESEMKLLQSLRFCIMKLLKLEGSGWLFKQSGGCDEDLIDHVAAAERLLLQGTTENQLLHGDLQQPSSDQADIQYMRTLPNCGEDCVWRASLVVSFGVWCIRRVLVMSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTICACLQKDIRVLNGPPHSGLSAMGPIPMPIRGTFTTAAVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >PVH65422 pep chromosome:PHallii_v3.1:2:57027599:57033714:-1 gene:PAHAL_2G488800 transcript:PVH65422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYGGGRGNGGWFVPGADGGRGFPWGRGGGGATGVVGSSGNGGGGGERETLAAVMARRAPPPSMIRGDAMRAAEAAAGEVVLRVHPTQEAERRRQDVIGYLKRLVGSSVGCEVFAFGSVPLRTYLPDGDVDITVLGNTWLNSTFINDVRAVLESEQENCDAEFKLTGLHFINAEVKLMKCVIENIVVDVSFNQIGGVSTFCFLELVDRQVGKNHLFKRSIMLIKAWCYHESRILGAHHGLISTYALETLVLYIFNMFHKSLHGPLEAFYRFLEYFSKFDWDKYGISLNGPVDLSLLPNLTVEPTAGQDELLLDKEFVQGFLDRLVVVPNESGGCDTQFRQKFLNIIDPLKGNNNLGRSVSKANFYRIRSAFSFGAQKLGQILMLSPEFICNEIYEFFANTLKRHGKGERPDIGNSSFQSLLGPENTLSDDGSRLKTSCMNDAENRSDKDLSLTDAHKNSDNTTLPPFLLSNMLDLSGDLDLHLGCLRKVQYHLESSFDELLPAVEEACLAGLLDEDSFKIPTMTFKSRSNASNGLSLASSIDSERRELSPVYCFHSTRDDSQQPHAEAQVDVVWLKSLPLFSNGSTLSSSPSTNSDNYPASWFCVSPKSRGTGTYIPKVNYYSYQDRMSFERDIMRERKQRQRVPGRQYYSAEQGYSSSQTEHATAQNQSPKMQTSSQQNGYSSKIPVPSGDLVDLKEHVATDGGTKQAVGNVIENGRQTRPPSSLGMVLPHNGQGNPSVLNSCQTSSPATAEENLEFGSFGPFSLGLVSARFEEAFPALPSRKRIEEVPVPATEGPADEAPAPTPTVLNTDTVETESRSQKVYQLKDEADFPPLQAGCR >PVH65423 pep chromosome:PHallii_v3.1:2:57027941:57033714:-1 gene:PAHAL_2G488800 transcript:PVH65423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYGGGRGNGGWFVPGADGGRGFPWGRGGGGATGVVGSSGNGGGGGERETLAAVMARRAPPPSMIRGDAMRAAEAAAGEVVLRVHPTQEAERRRQDVIGYLKRLVGSSVGCEVFAFGSVPLRTYLPDGDVDITVLGNTWLNSTFINDVRAVLESEQENCDAEFKLTGLHFINAEVKLMKCVIENIVVDVSFNQIGGVSTFCFLELVDRQVGKNHLFKRSIMLIKAWCYHESRILGAHHGLISTYALETLVLYIFNMFHKSLHGPLEAFYRFLEYFSKFDWDKYGISLNGPVDLSLLPNLTVEPTAGQDELLLDKEFVQGFLDRLVVVPNESGGCDTQFRQKFLNIIDPLKGNNNLGRSVSKANFYRIRSAFSFGAQKLGQILMLSPEFICNEIYEFFANTLKRHGKGERPDIGNSSFQSLLGPENTLSDDGSRLKTSCMNDAENRSDKDLSLTDAHKNSGRCLPCLVQDLPWNKIWFIEYASDFTANSSYFASLSSQLSLPCENGNGNIKECFENYATGPDLHLISRLHMPQQIYANHPLHILTNSTCTNILDFSSTCPVNESDWTALHADNTTLPPFLLSNMLDLSGDLDLHLGCLRKVQYHLESSFDELLPAVEEACLAGLLDEDSFKIPTMTFKSRSNASNGLSLASSIDSERRELSPVYCFHSTRDDSQQPHAEAQVDVVWLKSLPLFSNGSTLSSSPSTNSDNYPASWFCVSPKSRGTGTYIPKVNYYSYQDRMSFERDIMRERKQRQRVPGRQYYSAEQGYSSSQTEHATAQNQSPKMQTSSQQNGYSSKIPVPSGDLVDLKEHVATDGGTKQAVGNVIENGRQTRPPSSLGMVLPHNGQGNPSVLNSCQTSSPATAEENLEFGSFGPFSLGLVSARFEEAFPALPSRKRIEEVPVPATEGPADEAPAPTPTVLNTDTVETESRSQKVYQLKDEADFPPLQAGCR >PVH65421 pep chromosome:PHallii_v3.1:2:57027941:57033714:-1 gene:PAHAL_2G488800 transcript:PVH65421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYGGGRGNGGWFVPGADGGRGFPWGRGGGGATGVVGSSGNGGGGGERETLAAVMARRAPPPSMIRGDAMRAAEAAAGEVVLRVHPTQEAERRRQDVIGYLKRLVGSSVGCEVFAFGSVPLRTYLPDGDVDITVLGNTWLNSTFINDVRAVLESEQENCDAEFKLTGLHFINAEVKLMKCVIENIVVDVSFNQIGGVSTFCFLELVDRQVGKNHLFKRSIMLIKAWCYHESRILGAHHGLISTYALETLVLYIFNMFHKSLHGPLEAFYRFLEYFSKFDWDKYGISLNGPVDLSLLPNLTVEPTAGQDELLLDKEFVQGFLDRLVVVPNESGGCDTQFRQKFLNIIDPLKGNNNLGRSVSKANFYRIRSAFSFGAQKLGQILMLSPEFICNEIYEFFANTLKRHGKGERPDIGNSSFQSLLGPENTLSDDGSRLKTSCMNDAENRSDKDLSLTDAHKNSGRCLPCLVQDLPWNKIWFIEYASDFTANSSYFASLSSQLSLPCENDNTTLPPFLLSNMLDLSGDLDLHLGCLRKVQYHLESSFDELLPAVEEACLAGLLDEDSFKIPTMTFKSRSNASNGLSLASSIDSERRELSPVYCFHSTRDDSQQPHAEAQVDVVWLKSLPLFSNGSTLSSSPSTNSDNYPASWFCVSPKSRGTGTYIPKVNYYSYQDRMSFERDIMRERKQRQRVPGRQYYSAEQGYSSSQTEHATAQNQSPKMQTSSQQNGYSSKIPVPSGDLVDLKEHVATDGGTKQAVGNVIENGRQTRPPSSLGMVLPHNGQGNPSVLNSCQTSSPATAEENLEFGSFGPFSLGLVSARFEEAFPALPSRKRIEEVPVPATEGPADEAPAPTPTVLNTDTVETESRSQKVYQLKDEADFPPLQAGCR >PAN10161 pep chromosome:PHallii_v3.1:2:5625303:5627676:1 gene:PAHAL_2G074700 transcript:PAN10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATTTRGGGGRVPPDGDARSPAKRPRGSDESGSDGDSDSDCDGGLVSDLREIVCLLRLIKGGANSDGQKMCQQIIASVAADIQTMLEETQLKFEMERHNLLKVLSNTSKECENSLNEEYSKFQETYDMFCREKDAHMQTFRDLFSEVEVEKEKLLEQYEHHKKRETTMLSELDKTFSEKIAYAEQSVRRTKKDDKSFIIFRKSIGSFLECGSDDDFDLDDE >PVH63699 pep chromosome:PHallii_v3.1:2:6412140:6413954:-1 gene:PAHAL_2G088300 transcript:PVH63699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAPSLCSHAARSRRPPSARMLPGAGASLLALCSHQARWLPSACTRHTAPPAPYMPAPSLQESKTCRRPLLEDLPAPPSSKRPRPAPSATSLHAVMDTPTGSTQQGSATSPFALVDDRRTMDVESSSEQEEQGDVPTSSGSKRKLRSNVWSDFEPVEVDGVSKAKCKHCKKKLSGITKNCFNV >PVH63698 pep chromosome:PHallii_v3.1:2:6413134:6413804:-1 gene:PAHAL_2G088300 transcript:PVH63698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAPSLCSHAARSRRPPSARMLPGAGASLLALCSHQARWLPSACTRHTAPPAPYMPAPSLQESKTCRRPLLEDLPAPPSSKRPRPAPSATSLHAVMDTPTGSTQQGSATSPFALVDDRRTMDVESSSEQEEQGDVPTSSGSKRKLRSNVWSDFEPVEVDGVSKAKCKHCKKKLSGITKNWYITFTCTFEVLCL >PVH63700 pep chromosome:PHallii_v3.1:2:6412130:6413958:-1 gene:PAHAL_2G088300 transcript:PVH63700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAPSLCSHAARSRRPPSARMLPGAGASLLALCSHQARWLPSACTRHTAPPAPYMPAPSLQESKTCRRPLLEDLPAPPSSKRPRPAPSATSLHAVMDTPTGSTQQGSATSPFALVDDRRTMDVESSSEQEEQGDVPTSSGSKRKLRSNVWSDFEPVEVDGVSKAKCKHCKKKLSGITKNCTSGRLLSDHRSRLTPKIFEALMCS >PVH63963 pep chromosome:PHallii_v3.1:2:14656079:14663158:1 gene:PAHAL_2G147000 transcript:PVH63963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MRCATPSRALPPAASASSCSCRRVTHAPSTLYVQTDDGFLLSVQHIPHGKNRVADNAGPPVFLQHGLFQGGDTWFINSNEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLSVHDKLFWDWSWQDLAEYDLLAMLSYVYTVAQSKILYVGHSQGTIMGLAAFTNPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLITMGIHQLNFRSEMGVQILDSLCDAERLDCDNMLSSITGQNCCFNSSRIDYYLEYEPHPSSTKNLRHLFQMIRKGTFAKYDYGWVGNLARYGQLHPPPIHLSSIPESLPLWMGYGGLDALADVTDVEHTIKQLRSTPELLYIGDYGHIDFIMSVSAKDDVYVDLMRFLRDQQGVHSSY >PAN11097 pep chromosome:PHallii_v3.1:2:14655819:14663461:1 gene:PAHAL_2G147000 transcript:PAN11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MVGPGGALAAAPRHHHHRLLLLMLCFLAGGARASPATDALRHAFPRAAAGGLCQQLLLPQGYPCTEHTVQTDDGFLLSVQHIPHGKNRVADNAGPPVFLQHGLFQGGDTWFINSNEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLSVHDKLFWDWSWQDLAEYDLLAMLSYVYTVAQSKILYVGHSQGTIMGLAAFTNPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLITMGIHQLNFRSEMGVQILDSLCDAERLDCDNMLSSITGQNCCFNSSRIDYYLEYEPHPSSTKNLRHLFQMIRKGTFAKYDYGWVGNLARYGQLHPPPIHLSSIPESLPLWMGYGGLDALADVTDVEHTIKQLRSTPELLYIGDYGHIDFIMSVSAKDDVYVDLMRFLRDQQGVHSSY >PAN15532 pep chromosome:PHallii_v3.1:2:57446831:57451394:1 gene:PAHAL_2G495500 transcript:PAN15532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFFFFGSSATNAGEENRTLGNDSTTKHKKTLEAGDEGKESSGSCSTRLSRSKSRCQKRNKEEPGNPKQLRRSMSFSSPARNSCVDERCFSFSGDVPCALYDESDAPQHPKDVVPNMWSPERNPVLREYAIKIPKEHSAMENDSPRSRCCSCSAGHSPVSSPIAPRCRPTRVSNLLNKNEVLDRYIDRGHEDATVNEKQKQYSSAASMVSNLGRPPRPQSTVPSVPKSTKDTAESYPDVDLKDACLRRIAQEGTGDTCKITVMCNVGRNHLSMSDAFERESATSVEDIYEDLQDVRPPSVICPSTSPTSGEEETDDRLLQRAKEVESRFIVPCGEEYEFSMLRDKRMSSNDVFQLIQQLTEDRKQLAHELSSQIKARVAERFSAKEQYKQSKKELDTRTRRLEKEKSEIQTALEREMDRRSHDWSVRLSRFQSEEERLHERVRELAEQNVSFQREVTFLEANKAEASTKAASLEMQNSKLNDDIEKLRMEHEKLHNSSVDLHARFAEVVEERDHIREYLKDKEGENKASHKVIARLQTACNEQERTITGLRQGCRAELDENFVECASDKTRRLQIELIRLTGVEQKLRGENRSCHLEVESLRQENIALLNRMQGAGNGATFCSIRLDQELQARVDSLQMQGLSLLDKISQLCTKLLDLIKRKKLENESFSGNDVLTVSDYSFEYQSIKGGIESLKRSLKTINSVLNEKLNVQEQSGEIAAGSSPSREETDDFELKLKEEAMLSRVLKEAVLSKELDIEQLEAVLASSLRIQDVMRNEIQRVQDELSCITHKAKQLELQVSKKDEAMNEIQQDFQESAKELAALRGALKTVTEERDLSWQEAKQLRRNMSIMQNEVVSLKKKIEALDEDILVKEGQITILQDSIDKPFDIICSPRSLREFDMELRV >PVH65443 pep chromosome:PHallii_v3.1:2:57446831:57451390:1 gene:PAHAL_2G495500 transcript:PVH65443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPERNPVLREYAIKIPKEHSAMENDSPRSRCCSCSAGHSPVSSPIAPRCRPTRVSNLLNKNEVLDRYIDRGHEDATVNEKQKQYSSAASMVSNLGRPPRPQSTVPSVPKSTKDTAESYPDVDLKDACLRRIAQEGTGDTCKITVMCNVGRNHLSMSDAFERESATSVEDIYEDLQDVRPPSVICPSTSPTSDFASGEEETDDRLLQRAKEVESRFIVPCGEEYEFSMLRDKRMSSNDVFQLIQQLTEDRKQLAHELSSQIKARVAERFSAKEQYKQSKKELDTRTRRLEKEKSEIQTALEREMDRRSHDWSVRLSRFQSEEERLHERVRELAEQNVSFQREVTFLEANKAEASTKAASLEMQNSKLNDDIEKLRMEHEKLHNSSVDLHARFAEVVEERDHIREYLKDKEGENKASHKVIARLQTACNEQERTITGLRQGCRAELDENFVECASDKTRRLQIELIRLTGVEQKLRGENRSCHLEVESLRQENIALLNRMQGAGNGATFCSIRLDQELQARVDSLQMQGLSLLDKISQLCTKLLDLIKRKKLENESFSGNDVLTVSDYSFEYQSIKGGIESLKRSLKTINSVLNEKLNVQEQSGEIAAGSSPSREETDDFELKLKEEAMLSRVLKEAVLSKELDIEQLEAVLASSLRIQDVMRNEIQRVQDELSCITHKAKQLELQVSKKDEAMNEIQQDFQESAKELAALRGALKTVTEERDLSWQEAKQLRRNMSIMQNEVVSLKKKIEALDEDILVKEGQITILQDSIDKPFDIICSPRSLREFDMELRV >PAN15533 pep chromosome:PHallii_v3.1:2:57446831:57451394:1 gene:PAHAL_2G495500 transcript:PAN15533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPERNPVLREYAIKIPKEHSAMENDSPRSRCCSCSAGHSPVSSPIAPRCRPTRVSNLLNKNEVLDRYIDRGHEDATVNEKQKQYSSAASMVSNLGRPPRPQSTVPSVPKSTKDTAESYPDVDLKDACLRRIAQEGTGDTCKITVMCNVGRNHLSMSDAFERESATSVEDIYEDLQDVRPPSVICPSTSPTSGEEETDDRLLQRAKEVESRFIVPCGEEYEFSMLRDKRMSSNDVFQLIQQLTEDRKQLAHELSSQIKARVAERFSAKEQYKQSKKELDTRTRRLEKEKSEIQTALEREMDRRSHDWSVRLSRFQSEEERLHERVRELAEQNVSFQREVTFLEANKAEASTKAASLEMQNSKLNDDIEKLRMEHEKLHNSSVDLHARFAEVVEERDHIREYLKDKEGENKASHKVIARLQTACNEQERTITGLRQGCRAELDENFVECASDKTRRLQIELIRLTGVEQKLRGENRSCHLEVESLRQENIALLNRMQGAGNGATFCSIRLDQELQARVDSLQMQGLSLLDKISQLCTKLLDLIKRKKLENESFSGNDVLTVSDYSFEYQSIKGGIESLKRSLKTINSVLNEKLNVQEQSGEIAAGSSPSREETDDFELKLKEEAMLSRVLKEAVLSKELDIEQLEAVLASSLRIQDVMRNEIQRVQDELSCITHKAKQLELQVSKKDEAMNEIQQDFQESAKELAALRGALKTVTEERDLSWQEAKQLRRNMSIMQNEVVSLKKKIEALDEDILVKEGQITILQDSIDKPFDIICSPRSLREFDMELRV >PAN10773 pep chromosome:PHallii_v3.1:2:9555403:9556952:-1 gene:PAHAL_2G115200 transcript:PAN10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFTLLLAAVVVLSLLVSPIACTRKLSKPKHKAKPKPVSHRTPAPAAKPKPNPVSYKPAAPAVAKPPRSNHTTKHSPSIVYGGAWLSGAGATYYGAPNGDGSDGGACGYQTAVGKQPFDSMIAAGSTPLYMDGEGCGACYEVKCTTNAACSGQPATIVITDRSPGDLFPGEVVHFDMSGTAMGAMAKPGMADKLRAGGVLRILYRRVPCKYPGVNVAFKVDQGANPFYFDVLIEFEDDDGDLKAVDLMEAGSNVWTSMAHNWGATWRLNNGRKLNAPFGLRLTSDSGRVLVANNAIPAAWKPGKAYRSLVNYP >PVH64549 pep chromosome:PHallii_v3.1:2:45483703:45488480:-1 gene:PAHAL_2G300400 transcript:PVH64549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKFLRALTTFGDLQSAYHILQHMVATAAQSSDHLRLSCKRRYQSSRLDIPVLALSESEDLKLLPDCNLQPSQGKLATGKNSADVQPELFEEKTQSFDIVQLKADVLSEGNDLADKVGLENGSVPDTLRFVGSAVRRILRWSFNDLMHACVQFNNCQLAEQLFLEMQKLRLRPSKFTYDGFVKTLIAGKGVAYAMKVVEVMERRGIKPYNDTLAALSEGYSKNLQLDLAEDSLERISEIRPKHIRAVNALLSGCEIMNEPERAVRILAKMKHVNMKATLRTYELLFSLFGNINVPYEDGNVLSHVEVSKRISIIEMDMLNNEIQHSFVSMKNLIRAFGAEGMIEEMLRYLNVAENILWNINPYQKSDLYSVVLHALVQAKESHKAIRIFKIMRSCSLPTDISIYTTMIECCKWLPCFKSASALLSLMLQDGFHPTVVTYTSLLKVALAKDDFEGALDLLDICITEGIEPDIQMFNTVLSHAYYKGQIHVIEYIVECIHRAKIQPDPSTLWYTFCVYEEHELYNTAIEALQVLSMRMISEDVSIRSEKITAFEDLILSEEPDAELRIIRAFEAGEEFLATALLNLRWCAIMGATISWSPEESLWARRLASSYDANRRPHISP >PAN12913 pep chromosome:PHallii_v3.1:2:45482792:45491460:-1 gene:PAHAL_2G300400 transcript:PAN12913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRILSRAARRCTNSSAAFKSPLAQANVASGVHSEDSPTCSNEYDNFTWKTSLVRSVQADIVNALRRGDRQRASMILSNFQNTDWALTKDDFSYILEYCAEAPDPLFVMETLELMEEKAIGMSKGIYRYVIRALSRGGCSKEALHWLTLLGEKESTHATLPFFNIFLNSCGSSANLKDAECCLETMQNYLLGKSEITYCELLKIAVLQGNLPAVYDIWKDCTRYYSPSIIMQRKFLRALTTFGDLQSAYHILQHMVATAAQSSDHLRLSCKRRYQSSRLDIPVLALSESEDLKLLPDCNLQPSQGKLATGKNSADVQPELFEEKTQSFDIVQLKADVLSEGNDLADKVGLENGSVPDTLRFVGSAVRRILRWSFNDLMHACVQFNNCQLAEQLFLEMQKLRLRPSKFTYDGFVKTLIAGKGVAYAMKVVEVMERRGIKPYNDTLAALSEGYSKNLQLDLAEDSLERISEIRPKHIRAVNALLSGCEIMNEPERAVRILAKMKHVNMKATLRTYELLFSLFGNINVPYEDGNVLSHVEVSKRISIIEMDMLNNEIQHSFVSMKNLIRAFGAEGMIEEMLRYLNVAENILWNINPYQKSDLYSVVLHALVQAKESHKAIRIFKIMRSCSLPTDISIYTTMIECCKWLPCFKSASALLSLMLQDGFHPTVVTYTSLLKVALAKDDFEGALDLLDICITEGIEPDIQMFNTVLSHAYYKGQIHVIEYIVECIHRAKIQPDPSTLWYTFCVYEEHELYNTAIEALQVLSMRMISEDVSIRSEKITAFEDLILSEEPDAELRIIRAFEAGEEFLATALLNLRWCAIMGATISWSPEESLWARRLASSYDANRRPHISP >PVH64548 pep chromosome:PHallii_v3.1:2:45482792:45488126:-1 gene:PAHAL_2G300400 transcript:PVH64548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYINLFRFSFVPGNDLADKVGLENGSVPDTLRFVGSAVRRILRWSFNDLMHACVQFNNCQLAEQLFLEMQKLRLRPSKFTYDGFVKTLIAGKGVAYAMKVVEVMERRGIKPYNDTLAALSEGYSKNLQLDLAEDSLERISEIRPKHIRAVNALLSGCEIMNEPERAVRILAKMKHVNMKATLRTYELLFSLFGNINVPYEDGNVLSHVEVSKRISIIEMDMLNNEIQHSFVSMKNLIRAFGAEGMIEEMLRYLNVAENILWNINPYQKSDLYSVVLHALVQAKESHKAIRIFKIMRSCSLPTDISIYTTMIECCKWLPCFKSASALLSLMLQDGFHPTVVTYTSLLKVALAKDDFEGALDLLDICITEGIEPDIQMFNTVLSHAYYKGQIHVIEYIVECIHRAKIQPDPSTLWYTFCVYEEHELYNTAIEALQVLSMRMISEDVSIRSEKITAFEDLILSEEPDAELRIIRAFEAGEEFLATALLNLRWCAIMGATISWSPEESLWARRLASSYDANRRPHISP >PAN10554 pep chromosome:PHallii_v3.1:2:7969175:7973291:1 gene:PAHAL_2G101100 transcript:PAN10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFQFQFPWLKNPTTTTTAAGSSNPNPSPSHSPSIPNPFLPIQAHLTSFLSSLPRALPPPPPWVRIPSPSPSWASATPLPPAEIEERLAGVPVYALANAAHEIVLVSSARAGGQQGREGARPPAALGLLCFRKEDADALLEQMEGDMRAGSSVVPVALNKVIQLKSDGVAFRFLPDSSQVANAIKLMQDEGLYAREGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLYRTSRDQQKPNPAVRLGDTQVSSLEDIIKSMKDSSSSKWDDVVFIPPGFDLATGSKPSHLNK >PVH63770 pep chromosome:PHallii_v3.1:2:7969175:7975317:1 gene:PAHAL_2G101100 transcript:PVH63770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFQFQFPWLKNPTTTTTAAGSSNPNPSPSHSPSIPNPFLPIQAHLTSFLSSLPRALPPPPPWVRIPSPSPSWASATPLPPAEIEERLAGVPVYALANAAHEIVLVSSARAGGQQGREGARPPAALGLLCFRKEDADALLEQMEGDMRAGSSVVPVALNKVIQLKSDGVAFRFLPDSSQVANAIKLMQDEGLYAREGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLYRTSRDQQKPNPAVRLGDTQVSSLEDIIKSMKLRINVDGVLICIGDLEVSKS >PAN14598 pep chromosome:PHallii_v3.1:2:52976307:52979665:1 gene:PAHAL_2G422600 transcript:PAN14598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTSVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGEDY >PVH63720 pep chromosome:PHallii_v3.1:2:6896437:6900219:1 gene:PAHAL_2G092100 transcript:PVH63720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHDLTALLAAQMDRHLVFPLLEFLQERQLYSEPEILEAKIRLLSGTNMVDYAMDIHKSLHGTDDVPEDMVRRRAEVVSRLRSLEEAAAPLVTFLQNPQLVQELRPDKQYNIHMLQDRYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSVSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWALFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLMECEQIILNDPFLGKRIEEGNFVTVPLRDEFLESARLFIFETYCRIHRCIDIGMLAQKLNMSYDEAELWIMNLVRSSKLDARIDSVSGTLVMMTNHVNVHEQIIESLKGLNMRTYMLAKNIVEPTQAAQQAAR >PVH63382 pep chromosome:PHallii_v3.1:2:1212539:1213560:1 gene:PAHAL_2G017500 transcript:PVH63382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLDEAMQVDFDGALPDMDVGFGFDFDLDLDLDFDTTTSVAGGGFDFDTVEYGAAAAAAGGTGTSPAAVDASTHAGSGVDGEEEEEEEERLRRLKRKISNRESARRSRARRRQRAEELERAAEALRAQGRALAARRDAAAARALAVRLDNARLGAEAGALRRRLGEAQGQAVLLLALARARLAAARGAVGVAPPQLAGGSAAGMMTS >PVH64248 pep chromosome:PHallii_v3.1:2:37615512:37616678:-1 gene:PAHAL_2G221600 transcript:PVH64248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSACPSFTFSCSNISCSIWFCCGGGGGGSSKEPEQDSVKQYQAAHPQPMPPQPQVITVPDHLRPISEQQPPELPPTPPPAAAPAPQATTVHARPPPQTNPPHHVPSTETYYLPPPSTQQQSWPQTLLPPALPLRVPAPPKVHDPWPPSTQIIPSEVQPTMMHKPAPQPAPVRSQAPPLQAPWPSRQPSKTYQALPPEQQHPAPPRLPFKTYQAALPPQALPPGPLVDSMPLVGYYPQEHPEYFDQET >PAN11923 pep chromosome:PHallii_v3.1:2:38670855:38674315:1 gene:PAHAL_2G227900 transcript:PAN11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFAGGGAAPGRAELYEGRITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLKKFFPDVYHRKQAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGATSFFLGGAINAAAVNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGHFEEARRVLEKVRGTHKVDAEFEDLKEASEAARAVKGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGVQMISSMVVVAVILALKFGHGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEVWMLFDRHWYWKRVVRKDPKYQGNLHQQQEMARA >PAN11925 pep chromosome:PHallii_v3.1:2:38670841:38674322:1 gene:PAHAL_2G227900 transcript:PAN11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFAGGGAAPGRAELYEGRITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLKKFFPDVYHRKQAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGATSFFLGGAINAAAVNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGHFEEARRVLEKVRGTHKVDAEFEDLKEASEAARAVKGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGVQMISSMVVVAVILALKFGHGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEVWMLFDRHWYWKRVVRKDPKYQGNLHQQQEMARA >PAN11926 pep chromosome:PHallii_v3.1:2:38670841:38674322:1 gene:PAHAL_2G227900 transcript:PAN11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFAGGGAAPGRAELYEGRITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLKKFFPDVYHRKQAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGATSFFLGGAINAAAVNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGHFEEARRVLEKVRGTHKVDAEFEDLKEASEAARAVKGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGVQMISSMVVVAVILALKFGHGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEVWMLFDRHWYWKRVVRKDPKYQGNLHQQQEMARA >PAN11924 pep chromosome:PHallii_v3.1:2:38670840:38674323:1 gene:PAHAL_2G227900 transcript:PAN11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFAGGGAAPGRAELYEGRITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLKKFFPDVYHRKQAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGATSFFLGGAINAAAVNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGHFEEARRVLEKVRGTHKVDAEFEDLKEASEAARAVKGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGVQMISSMVVVAVILALKFGHGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEVWMLFDRHWYWKRVVRKDPKYQGNLHQQQEMARA >PVH65013 pep chromosome:PHallii_v3.1:2:51820235:51820909:-1 gene:PAHAL_2G402400 transcript:PVH65013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAIVTSPHIIFQAGTDEHSDSDRSSSCRSVQNSMADRATITLTVVLLALLSVTLHLVQVQAQVVAAAREEEAAAGGEAGAAAAEYVLLEPKPERLLEVAADALNGTESTTACRRCRCCLRNTNPQECLTTCCFRRSCGVSFCTVATVSCGCNGCAYPPPPPGKK >PAN13003 pep chromosome:PHallii_v3.1:2:49292114:49293506:-1 gene:PAHAL_2G362300 transcript:PAN13003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSPQADGPHDGGAQGGERRRGGGVVKRRGGGARGTSGQRSSRRRGGGATELMAEGRRGGRSVGGAGRLNATGRKGGAEEARASSAAPEGREEQRRRGRKRRREIERDDACSPIPTGKDRYRGKGIHLFES >PVH65241 pep chromosome:PHallii_v3.1:2:54253993:54256095:1 gene:PAHAL_2G443700 transcript:PVH65241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLKAFSSPLHLPTLRRLAPSSSLRVAASAAAMSSSSSAVAAPVEHIVLLKARPEAVASGAAAAMVASLQALATQVPGLAYIHAGPVLRLRSPAAEALGTTHLLHSRYATKQDLATYAAHPAHVAAVQGHVLPNALDITAIDWVNAAELPSPVSPGSAVRLTLAKAKEGVEVSQLVEKVAAATKAAGEAKVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVEEAKAAVRPLLDEVLVLDFVAGPAAGEAPTPASL >PVH65240 pep chromosome:PHallii_v3.1:2:54253991:54256302:1 gene:PAHAL_2G443700 transcript:PVH65240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLKAFSSPLHLPTLRRLAPSSSLRVAASAAAMSSSSSAVAAPVEHIVLLKARPEAVASGAAAAMVASLQALATQVPGLAYIHAGPVLRLRSPAAEALGTTHLLHSRYATKQDLATYAAHPAHVAAVQGHVLPNALDITAIDWVNAAELPSPVSPGSAVRLTLAKAKEGVEVSQLVEKVAAATKAAGEAKVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVEEAKAAVRPLLDEVLVLDFVAGPAAGEAPTPASL >PAN12558 pep chromosome:PHallii_v3.1:2:43603213:43605581:-1 gene:PAHAL_2G273100 transcript:PAN12558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYWTALASLMGAFAFLQGVLHAVFPAELRAAVARLLGRLTRAFSPYCYFDVTEMDGMSTNEIYDAVQLYLSSTAAPASGARLSLSRPLNASSFTFGLAASDRVADTFAGSAVTWEHVVAPRQGQGFSWRPLPEEKRRFTLRIRRGDRDKLLPAYLDHILAAAADIRRRSQDRLLYTNARGGAMDARGLPWDPVPFKHPSTFDTLAMDPARKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDVYDLELTEVSSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRAKPPPAPKPRPSIDGAIDQDGGAGAGRSITLSGLLNFTDGLWSCCGAERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALRILLKNYLGFQSDEELDRLGDAAAVLRGLEEWVDAAEITPADVSEVLIKNRRSGKAEAMRELLDVLKFRAENRRRGGGAAAAAPGKEAGGDNEEEEEEKRALESPKEGKEQAGIDSCGDGQDEEAEAKKQV >PAN11623 pep chromosome:PHallii_v3.1:2:38738403:38741530:-1 gene:PAHAL_2G228500 transcript:PAN11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSAAVLLVLVLLPPPPCAPDDRLVVGKTLSPGATIVSDGGGFALGFFSPANSTSSPANKLYLGIWYADVPTLTVVWVANRGTPATNTTSSTAPTLSLTNTSNLVLSDASGVVLWTTDVTGYSPAAAVLLDTGNLVIRSPNGTALWQSFEHPADSFLPGMRIGIAYGARAGERLVSWKAPGDPSPGRFAYGVDPDTALQLFLWNGTRPLMRDGPWTGYSVASRYQANASVFVYQAIVSTDQEIYLTYSLSDAAARARLVVTASGHYQLQGWNANSSAWAVLGDWPTWECNRYGHCGPNGYCDNTVDAPTCRCLDGFEPADAEAWAGGAFSRGCRRKEALRCADGFLPLPGMKSPDKFVRVANRTLEECAAECSSNCSCVAYAYANLSTTRTAGDATRCLVWAGDLIDTEKIIGGGVGSDTLHLRLAGSDAAVQGVRGKSNALRIALPTVLTSAILIITGIFLAWFKFNGKRRDNKKGNTKISLVSTSTSDELPEGSPAQDFELPFIKFEDIEAATHNFSEAYKIGQGGFGKVYKAILGGQEVAIKRLSKDSEQGTEEFRNEVILIAKLQHRNLVRLLGCSVEGAEKILIYEYLPNRSLDAILFDSSRKMSLDWPTRFNIIKGVARGLLYLHQDSRLTIVHRDLKAANVLLDAEMKPKIADFGMARIFNDNQKNANTRRVVGTYGYMAPEYAMEGVFSMKSDVYSFGVLILELVTGIRRSSLNKIKGFPNLTIYAWNMWKDGKGKDLADPSIMDTCSLDEVLLCSHMALLCAQENPDDRPLMSSVVLALENGSATLPTPNNPGHYGQGSSDKEQIRDRTDASMNSLTLTNIEGR >PAN11622 pep chromosome:PHallii_v3.1:2:38737933:38741837:-1 gene:PAHAL_2G228500 transcript:PAN11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSAAVLLVLVLLPPPPCAPDDRLVVGKTLSPGATIVSDGGGFALGFFSPANSTSSPANKLYLGIWYADVPTLTVVWVANRGTPATNTTSSTAPTLSLTNTSNLVLSDASGVVLWTTDVTGYSPAAAVLLDTGNLVIRSPNGTALWQSFEHPADSFLPGMRIGIAYGARAGERLVSWKAPGDPSPGRFAYGVDPDTALQLFLWNGTRPLMRDGPWTGYSVASRYQANASVFVYQAIVSTDQEIYLTYSLSDAAARARLVVTASGHYQLQGWNANSSAWAVLGDWPTWECNRYGHCGPNGYCDNTVDAPTCRCLDGFEPADAEAWAGGAFSRGCRRKEALRCADGFLPLPGMKSPDKFVRVANRTLEECAAECSSNCSCVAYAYANLSTTRTAGDATRCLVWAGDLIDTEKIIGGGVGSDTLHLRLAGSDAAVQGVRGKSNALRIALPTVLTSAILIITGIFLAWFKFNGKRRDNKKGNTKISLVSTSTSDELPEGSPAQDFELPFIKFEDIEAATHNFSEAYKIGQGGFGKVYKAILGGQEVAIKRLSKDSEQGTEEFRNEVILIAKLQHRNLVRLLGCSVEGAEKILIYEYLPNRSLDAILFDSSRKMSLDWPTRFNIIKGVARGLLYLHQDSRLTIVHRDLKAANVLLDAEMKPKIADFGMARIFNDNQKNANTRRVVGTYGYMAPEYAMEGVFSMKSDVYSFGVLILELVTGIRRSSLNKIKGFPNLTIYAWNMWKDGKGKDLADPSIMDTCSLDEVLLCSHMALLCAQENPDDRPLMSSVVLALENGSATLPTPNNPGHYGQGSSDKEQIRDRTDASMNSLTLTNIEGR >PAN15276 pep chromosome:PHallii_v3.1:2:56215068:56218202:-1 gene:PAHAL_2G477000 transcript:PAN15276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPAATVAWLLPQLWHRRFLPPSLVPRALSFSSLLTTHRAPRCRFPLSPSMHLAAGAAVSTAAAVEAPTTTPYPAYDRLLPCPLQDDPPRIEHLVAREDEVAADFISRSLSLPPLYVADLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTDPSVLRRRASIKGKTVREAQKTFRVTDPNQPLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVADDYVVLDKPAATSVGGATDNIEESCAVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKVYLALTTAPVSTGIITHYMRPVNRAPRLVSEDHIGKWYLCQMEVLDCKKVPWPSSLIRKDYNVNDCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIIGDSAYMTAAMAAMANPSINPFGREKLSYNSEEEKEAAIEAWIAAHGKEPKSVIGLQASEISWDYEGEHHSYKAGVPWWRQDSVESDLWYASWWTRFWKIASLPKRN >PAN15277 pep chromosome:PHallii_v3.1:2:56214673:56218317:-1 gene:PAHAL_2G477000 transcript:PAN15277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSCRAPCKTTLQGSSISSLGRTRWQPISSPGLSAFLLYLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTDPSVLRRRASIKGKTVREAQKTFRVTDPNQPLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVADDYVVLDKPAATSVGGATDNIEESCAVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKVYLALTTAPVSTGIITHYMRPVNRAPRLVSEDHIGKWYLCQMEVLDCKKVPWPSSLIRKDYNVNDCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIIGDSAYMTAAMAAMANPSINPFGREKLSYNSEEEKEAAIEAWIAAHGKEPKSVIGLQASEISWDYEGEHHSYKAGVPWWRQDSVESDLWYASWWTRFWKIASLPKRN >PAN13543 pep chromosome:PHallii_v3.1:2:48281273:48285649:1 gene:PAHAL_2G345600 transcript:PAN13543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKGSGGGGGGGGDKPSAEPNPGSNPPPPAAAAAGVGDDGATAAAAAAAADASRRPFTALSQEEADLALARVLQEQERAYMLLRMNGGGGEGSDYGSSEAGSYEYDEEGEDDYEEELEHHLRVHHHEHPAGDADGEGDVEGAEGSEGAEGSDYEEEFEEDEEGEPEVDPADFEDDEAYARALQDAEEREVAARLMALAGLSDWRAVDVEHEEDHVNDPQEAWQEVDPDEYSYEELIALGEVVGTESRGLSADTLASLPSVKYKTQNVQDGNAEQCVICRVEFEEGESLIALPCKHSYHPECINQWLQINKVCPMCSAEVSTSGNKEAEVSASSNKEA >PAN09531 pep chromosome:PHallii_v3.1:2:2255307:2261600:1 gene:PAHAL_2G031700 transcript:PAN09531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J023038F18, full insert sequence [Source: Projected from Oryza sativa (Os07g0160100)] MSTQFASEHVCYVNCNYCNTILVVVQNRGFHQYNNFGSYEPASRNLRTQSMYQMSNNQPQVPPMRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGSS >PAN09530 pep chromosome:PHallii_v3.1:2:2255307:2261600:1 gene:PAHAL_2G031700 transcript:PAN09530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J023038F18, full insert sequence [Source: Projected from Oryza sativa (Os07g0160100)] MSTQFASEHVCYVNCNYCNTILVVNVPNNCSYNIVTVKCGHCTMVLSMDLSPFQQARIVPDNQVVQNRGFHQYNNFGSYEPASRNLRTQSMYQMSNNQPQVPPMRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGSS >PAN11880 pep chromosome:PHallii_v3.1:2:38268149:38273622:-1 gene:PAHAL_2G225300 transcript:PAN11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPSTGAPAFRFLPSEVAEMEARLQQLNNAIPSRAVLQTLADKFSASPERAGRVAIQPKQVWNWFQNRRYSHRAKTTRAAPPPAAKMTPSGADHIQHAANSSAFRAAQPSAAAAHHGSSPSTGKNPVEGVQVEFEAKSARDGAWYDVAAFLSHRLFESGEPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEATECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDSSEEIVPLRKVCRRPETDYRLQILHAARAAATMDAHTPPKEVKVEATSNEKSPAEQKPPKQHKMMDVNTDEVSMVSSVEQEVTPGKTVAPLPSATPETRNSSSDIVMKEAEASSVVEDDDEVQVVEKMKDGE >PAN10926 pep chromosome:PHallii_v3.1:2:11055596:11060164:1 gene:PAHAL_2G125600 transcript:PAN10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREWPALSFRQDAIGDMAGAIFMSNTLTREQCFKASIFGLPLEYEPFVSNVRKGMPLFLFDHTLCKLYGVFEAASDGGLNINNAAFRSTQRSYPAQVRINIIWKCRPLSEDEFSPAIEDNYYLPKKFYFDLSYEQVVRLYELFDNRRVQLPIHEYSKNESLETNRSSKRRQDKESMTPDVPHSIDQSRLLVPNISEVVRRYSTATSMHTDLPLSVETHPNMSKPLGTEIGAQIASAHRRHDQIEFQSQSELFPAAVMTDAVSTQVSAPCSQTSRHYQLVAKQPYPSPQDYQQNILRSGCTTQDPTEGAKFIATQTYPLSSGYLHSGSLTSGYATPNSTYNGRSHLNPSFAPYDSLYPGLSLSNLQSNSDYQVHCDICLNRGRSSVHNSSIYECERQRFSEGEAPTPAKLSLQCIPTYTKVLERDGKTVPAIDQKRNCADYIQIPYYDVDIENDQMRYGGPRSNASSSDLENDIVDPRHTQHNTRAESKTKNRCSPPQRSVFSRLTLNKQLSCQESTGPTLNQLVSSLSQKTEQWSHKNKPIADGLVIPLIGEEAMDHSHEELNLPSQLELGEESMEPQVPFYNFKRRSEAGKVDGNLGKEISGKVKRRKLVCPSFEENNASTNVGEELKGNCTEDRKQNHLDVSENHFGIDLNIPIPSVDSNLLEEDNRMAVRPSVIKVLHEIEANKQLNSDVMEATKEQDPSGASTQKISIDLNVAELNSMDESKLQTILDQASLLLQTLGNLKSGKPNNTEGARSSVSSEDRKVNMA >PAN10925 pep chromosome:PHallii_v3.1:2:11055596:11060102:1 gene:PAHAL_2G125600 transcript:PAN10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREWPALSFRQDAIGDMAGAIFMSNTLTREQCFKASIFGLPLEYEPFVSNVRKGMPLFLFDHTLCKLYGVFEAASDGGLNINNAAFRSTQRSYPAQVRINIIWKCRPLSEDEFSPAIEDNYYLPKKFYFDLSYEQVVRLYELFDNRRVQLPIHEYSKNESLETNRSSKRRQDKESMTPDVPHSIDQSRLLVPNISEVVRRYSTATSMHTDLPLSVETHPNMSKPLGTEIGAQIASAHRRHDQIEFQSQSELFPAAVMTDAVSTQVSAPCSQTSRHYQLVAKQPYPSPQDYQQNILRSGCTTQDPTEGAKFIATQTYPLSSGYLHSGSLTSGYATPNSTYNGRSHLNPSFAPYDSLYPGLSLSNLQSNSDYQVHCDICLNRGRSSVHNSSIYECERQRFSEGEAPTPAKLSLQCIPTYTKVLERDGKTVPAIDQKRNCADYIQIPYYDVDIENDQMRYGGPRSNASSSDLENDIVDPRHTQHNTRAESKTKNRCSPPQRSVFSRLTLNKQLSCQESTGPTLNQLVSSLSQKTEQWSHKNKPIADGLVIPLIGEEAMDHSHEELNLPSQLELGEESMEPQVPFYNFKRRSEAGKVDGNLGKEISGKVKRRKLVCPSFEENNASTNVGEELKGNCTEDRKQNHLDVSENHFGIDLNIPIPSVDSNLLEEDNRMAVRPSVIKVLHEIEANKQLNSDVMEATKEQDPSGASTQKISIDLNVAELNSMDESKLQTILDQASLLLQTLGNLKSGKPNNTEGARSSVSSEDRKVNMA >PVH64423 pep chromosome:PHallii_v3.1:2:42939499:42940571:-1 gene:PAHAL_2G265000 transcript:PVH64423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVELGGARARPEGERCRQCWGSGSAGRQGRERAGRARWHRERERRSSAAAGRVQRIPFQSLRFSLSGFAPGPRPTRPRRTTRWTLVRLEVRFRFQRTVPPSHRYIPPRRLHHDFVRLSSSAPVTHEAKPIVRPLVHRRQTSNPSESQLRTPGTPRVAMATLFARPADCFACSAFFKPPLRLMQQRKSQATASRPVPGQSQPARGRANNRRQRRRQSPPVAGAGSPPHERAMVNVVILKRGEQILPVPMEASTAATPA >PAN15292 pep chromosome:PHallii_v3.1:2:56307106:56308985:-1 gene:PAHAL_2G478200 transcript:PAN15292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAITALHSVGSKVTGATITKPWKPASQCACVSGGHGAELQILTAEAEEMAVSEAPRFRWDVFGSDLSDSQERAIRGLSPKLPNRCKALMTRVVCLSPGDENLGALLAYWVKAMKPKRADWLLVLKELKAMESPLLAEVLEYALLEDSFEANVRDYTKLIHIYGKQKLLQKAEDAFHDMKGRGFPCDQVMLTALMDMYSKAGDLTRAKEIFKEIILLGLPLDKRAYGSMIMAYIRAAMLDKAEDIIKAMEDQQILAGKEVYKALLRAYSYKGDSDGAQRIFNAIQFAGVVPDTKLCALLVNAYCLSNRINEAVCVIRNMRTAGLTPCDRCIALVLGAYEKANMLETAMGFLTELEENGVVIGQEPSQLLAGWFRRLGVVHEVEQVLKDLS >PVH64560 pep chromosome:PHallii_v3.1:2:45709260:45716778:1 gene:PAHAL_2G303500 transcript:PVH64560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLFMQAFERGDWLAAQVQQQVDSYSQTLACRLLAAGHRPPEWLLPSATLPQELNGKPIVLTGRHITTPAVNRTVFLPLAVPSTLSRNSEVPNGCAYPDTNCTVLDTSQHEEEQQDQTSPNQDISETCTAAKMFSRIQRSRSRQRHIEDRLHGKDQDAKSGSLDGMHKSDLGTLGSTRANASSSSIPCDDVANNAETTSSAPGQGSGFCAIHGRSIYFLKCDDNLENERVQSDGFQPQIVESKIISSDSDVGVNNKPSVRDPLSVPDLSKPSVADSVCHRVPEIHMLIEPKKLHFDGVESVCMNDTSERTGQQQESGVEGDHLGRIPSSENPSSTSSQGPYSVGRLLLDHVRWGHLNPDGAPVEHHHKYALECGHPEPTDMHSPNKKPSLTCPAEAPDSIAEPLLQKDTGHIPETNSLGRACPRVSRPLEMDRSNSYETNCSQRPCSVVNPLLENDTLQAIEDTEKLQSSNSHFSPPYSGPLQLPTKLADSRFGAHASSGTSPNSLLREEGHGQLSNLRINDRNNQCSQGRSALNLELLPPQNFSSNDVCQSSLLSYRMQSNDKHSTGCAAVNKFRSADNELSQEPYLSVRSSLELNGTIPDAAIPLGHRPLDMENEMLKANPVSDLVNCYSGRLDDDAQISKSYGGSADNRNNESVVLKVMPNNSSQRTSEMHGTERNSVVLSEKCIESLRQGKEQETPHAEDDVQINANSCTAENVEKRKSACTSESCEKSNKQQEDRGSAQKRSVADGVQINEGTSSKRKRIKCQDIALPSSYNTKPLSLNHHDVVDTHVVTAENFSGKSRPSGRYFLRSSGSGEFMPLKSGTKNDTMSCEISVASDVQQNRNSFPTLRNRSSLSELALCKSSSVKALSPHFGCGISSKIAVEEMDLQNCQAQLQNILDVATTPLPSSCNIAHDNMELCIQEENPYLRGEGLSVSTSSVEHQQLSLQMDEILSESVIVNPENYASTDTFPSYGSEEHGKQASAPIVLAHEKLSYGSGIEDRKLRSEDLTGRLLSDSSIPRQMDDESVDCNDTMPQFESFDFSVPFDSPTTEERTFEGLHDSRQFGPFSSDISKKYKMNSLSGMRQLLATMSGKAANYSSDDDEKQSNESIDGRITDIYGPCGLGHNGSFFTSDVVASCSSNDSDKQESSENPLTPAVEKYSLGKLSGKNGSVSEHMGSIPELSCFRIDEDSDIAEENEYQDILPGSAGTQRQSGRKVLQDITGLCQNTGNSAACSIGIMDTSDTDFTTESCSSELNHHPGLRNDGDNKKPKESYASLVKKGGKMSHSLRKRLSKTEARHMSDTNTGKPSKPSNIVANVASFIPLVKQKAQNAATCVKKDVRVKALEAAEAAKRLEEKKRNEREMRKAAAKLEREKLKQEKELKQKQEEEEKKKRDADVATRKRQRDEEERREKERKRKCVEEARKQQKQPMERRHANSEKDAHPKAFSLQKNLAESVKGQVKPDEMTSLGGKATKSNNEKVVAADERPASFGSQSQESIPQSLEESYIMTPYKDSDDEDDDFELKEESRRRRKLIPSWARGENLEKILLSNYALDHRKIFSQKCSSNLSEICPVHIPQRGFR >PAN12951 pep chromosome:PHallii_v3.1:2:45709260:45716778:1 gene:PAHAL_2G303500 transcript:PAN12951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLFMQAFERGDWLAAQVQQQVDSYSQTLACRLLAAGHRPPEWLLPSATLPQELNGKPIVLTGRHITTPAVNRTVFLPLAVPSTLSRNSEVPNGCAYPDTNCTVLDTSQHEEEQQDQTSPNQDISETCTAAKMFSRIQRSRSRQRHIEDRLHGKDQDAKSGSLDGMHKSDLGTLGSTRANASSSSIPCDDVANNAETTSSAPGQGSGFCAIHGRSIYFLKCDDNLENERVQSDGFQPQIVESKIISSDSDVGVNNKPSVRDPLSVPDLSKPSVADSVCHRVPEIHMLIEPKKLHFDGVESVCMNDTSERTGQQQESGVEGDHLGRIPSSENPSSTSSQGPYSVGRLLLDHVRWGHLNPDGAPVEHHHKYALECGHPEPTDMHSPNKKPSLTCPAEAPDSIAEPLLQKDTGHIPETNSLGRACPRVSRPLEMDRSNSYETNCSQRPCSVVNPLLENDTLQAIEDTEKLQSSNSHFSPPYSGPLQLPTKLADSRFGAHASSGTSPNSLLREEGHGQLSNLRINDRNNQCSQGRSALNLELLPPQNFSSNDVCQSSLLSYRMQSNDKHSTGCAAVNKFRSADNELSQEPYLSVRSSLELNGTIPDAAIPLGHRPLDMENEMLKANPVSDLVNCYSGRLDDDAQISKSYGGSADNRNNESVVLKVMPNNSSQRTSEMHGTERNSVVLSEKCIESLRQGKEQETPHAEDDVQINANSCTAENVEKRKSACTSESCEKSNKQQEDRGSAQKRSVADGVQINEGTSSKRKRIKCQDIALPSSYNTKPLSLNHHDVVDTHVVTAENFSGKSRPSGRYFLRSSGSGEFMPLKSGTKNDTMSCEISVASDVQQNRNSFPTLRNRSSLSELALCKSSSVKALSPHFGCGISSKIAVEEMDLQNCQAQLQNILDVATTPLPSSCNIAHDNMELCIQEENPYLRGEGLSVSTSSVEHQQLSLQMDEILSESVIVNPENYASTDTFPSYGSEEHGKQASAPIVLAHEKLSYGSGIEDRKLRSEDLTGRLLSDSSIPRQMDDESVDCNDTMPQFESFDFSVPFDSPTTEERTFEGLHDSRQFGPFSSDISKKYKMNSLSGMRQLLATMSGKAANYSSDDDEKQSNESIDGRITDIYGPCGLGHNGSFFTSDVVASCSSNDSDKQESSENPLTPAVEKYSLGKLSGKNGSVSEHMGSIPELSCFRIDEDSDIAEENEYQDILPGSAGTQRQSGRKVLQDITGLCQNTGNSAACSIGIMDTSDTDFTTESCSSELNHHPGLRNDGDNKKPKESYASLVKKGGKMSHSLRKRLSKTEARHMSDTNTGKPSKPSNIVANVASFIPLVKQKAQNAATCVKKDVRVKALEAAEAAKRLEEKKRNEREMRKAAAKLEREKLKQEKELKQKQEEEEKKKRDADVATRKRQRDEEERREKERKRKCVEEARKQQKQPMERRHANSEKDAHPKAFKNLAESVKGQVKPDEMTSLGGKATKSNNEKVVAADERPASFGSQSQESIPQSLEESYIMTPYKDSDDEDDDFELKEESRRRRKLIPSWARGENLEKILLSNYALDHRKIFSQKCSSNLSEICPVHIPQRGFR >PAN12622 pep chromosome:PHallii_v3.1:2:47337167:47343177:-1 gene:PAHAL_2G329400 transcript:PAN12622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRDPLALSQVIGDVLDPFVKSATMRINYGDKEITNGTGLRASAVLNAPHVEIEGHDQAKLYTLVMVDPDAPSPSKPEYREYLQWIGCSPLKKGGGCFFSIHQHLKKSCCVCYWVGDRYTRGERHPFWLSSWTVVICPTVPQVEYLRSCYLLWAPLDHLSRYGKTSTGNQVDGHRYHYLLHCLTIYNARPMASSVWAHRNMGYSCILNL >PAN14175 pep chromosome:PHallii_v3.1:2:50970636:50971492:1 gene:PAHAL_2G388900 transcript:PAN14175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLERSRRGRCGGGGGAATHYAKTSAVWVEEEVGRKEEEEARKGESAPAAGATEVKIRITRKQLEELLRRVEGGKGSGDGVPVRDVVSELLVVASTSSNFRHREEVQWRPSLQSIPE >PAN10622 pep chromosome:PHallii_v3.1:2:8344866:8346687:-1 gene:PAHAL_2G106100 transcript:PAN10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MVSNDADTAATKQASSMAPNPGKATILALGHAFPQQLVMQDYVVDGFMKNTNCDDPELKEKLTRLCKTTTVKTRYVVMSEEILRSYPELAQEGLPTMKQRLDISNKAVTQMATEASLACVRSWGGALSSVTHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGARVLLATSETTIVGFRPPSSDRPYDLVGVALFGDGAGAAVIGADPAPGERPLFELHAALQRFLPGTDKTIEGRLTEEGIKFQLGRELPHLIEAHVEDFCRKLMAEREDPAAGGEGMGYDDMFWAVHPGGPAILTKMEGRLGLGGDKLRASRCALRDFGNASSNTIVYVLENMVEETRRRKAAAAAEAGDGEDCEWGLILAFGPGITFEGILARNLQATARAPELN >PAN14479 pep chromosome:PHallii_v3.1:2:52392029:52395902:-1 gene:PAHAL_2G412300 transcript:PAN14479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGPAMAPVAGPGAVAAAAAAAAAAAEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRPPAHAAAPPPRGILRRLLGRGGARGAAPGADLEAARKLEGHAPLEIPVYPKGVSVLMPGQDVPTFIAHPAPAPCPPERIQWPSHQPPPFAGSSSNPS >PVH64506 pep chromosome:PHallii_v3.1:2:44752966:44754021:1 gene:PAHAL_2G289100 transcript:PVH64506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN10691 pep chromosome:PHallii_v3.1:2:8969870:8970555:1 gene:PAHAL_2G111100 transcript:PAN10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADAELLEALVIMFTVITSGLLVIMAVFRIPRHFSALPSVLAAFVAWGLIRVKMLNFSLQRAGRLDQMFSLMQIHLLEIASFLMLLLILLFSIRPDRPNYVQGMFRHLASSSVPLFAAGLAITGYGMGVFFAGAAPTAFFHGGGLGVHFITLGLLVVIAGVWSHRGRAGHLAGVPLIVYILMLLGATYAAGDW >PAN15479 pep chromosome:PHallii_v3.1:2:57180364:57182121:1 gene:PAHAL_2G491000 transcript:PAN15479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPLATAEVCDANPHLIMNGELRALHPIFQIYGRRQVFAGPIVTLKVYEDNVLIREFLEEKGHGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELTV >PAN12682 pep chromosome:PHallii_v3.1:2:44352213:44354035:1 gene:PAHAL_2G283700 transcript:PAN12682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNTLYRHVQLYLHRSVLLASPPPPRLTLSLPRSASAAAPASAAPTPPSVSLSPNHSVADTFSGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADHLERTSRARRLHTNAASPRGAAAWASVPFCHPATFDTLALDPVLKARLLADLTAFAEGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVATNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRGLASERLHKRRKLHATAYDDDSSDSDDDAGGIGADNHRGKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLDACGAHAMRELVQRYVGVGDHEMLDAAENSIRGGAEMTPAEVGEVLLRNRDEPETAVTELAAELKARRNAADDLQWEDSTAELSDGSPTNKGKKGSGWEAKVRILGRLRSLTKSESGRR >PVH64205 pep chromosome:PHallii_v3.1:2:36621269:36623055:1 gene:PAHAL_2G214600 transcript:PVH64205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHDGCSRSDRARDARPRCSTSSRRRIRHHRHGPGLAQHDVAGLQLAVLIARHPASSSDGADASVNRQRRPCSGHTCAWPGAPRTSPTTLRPPTAAGWQAGGANAVQLLDVLCFLRQLSVSASAPSNRSGC >PAN13608 pep chromosome:PHallii_v3.1:2:48593607:48597680:-1 gene:PAHAL_2G350400 transcript:PAN13608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAWVRAVFLAAVAASAADALGLDVHHRYSATVREWAGHRAPLRGTPGYYAALAGHDDLRRRLLASSAAGGGEVAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCIKCAPLVSPNYGNLKFDVYSPQKSSTSRKVPCSSSLCDKQSACQSASNSCPYNIEYLSDNTSSSGVLVEDLLYLITEYGQPKVVTAPITFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASEGVAANSFSMCFGEDGHGRINFGDTGSSDQQETPLNIYKQNPYYNISITGAMVGSKSINAKFSAIVDSGTSFTALSDPMYTEITSSFNSQVQDKPTQLDSSLPFEFCYTLSPKGSINPPNISLIAKGGSVFPVNDPIITITDPASNQLGYCLAMMKSEGVNLIGENFMSGLKIVFDRERKVLGWKNFNCYSVDNSSNLPVNSNTSAVPPKPEMGPNSYTPEATKGASPNGTQVNVLQPSASSSLKLHFNTNIFIAAALLFLAIL >PAN11198 pep chromosome:PHallii_v3.1:2:33429055:33430608:1 gene:PAHAL_2G202500 transcript:PAN11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRAAWGRRRQWTLSLVTAAALLECSDENLLPAVFREVGAALGASPAALGSMTLCRALVQALCYPLAMWAAARFDRARVVAAGTFLCAAATALVGASSTVLQMAVARGFNGVGMALVLPAVYSLVADYSDDDTRGSTFGWVFMVLGVGSAMGNSMGVLLAPNAFFGVPGWRLAFHALALVSVAIAVATWLLAADSRPGTRNTKAAPAVAELAREAKAVVSVPTFWIIVAQGAAAQVPWLALTFMAMWLELEGFTHWETTVITSLNCFSNGLGALLAGFAGDLAARRFPDAGRVALAQASNASIVPLAALLLLPARPGWPLAGAVYAGGFFLLGVAMAWSTVSTSSPILAEIVPEKARTAAYALDLCLENVVASFGAPVVGILAERVFGYRPRAASGGASAQAADRRNAAALGKAIFAEIAVPATICCVAYSALYWTYPADRKRARMAADALALQEAPGDKKNYGCEASEAAADGFKQPLLSVTVTE >PVH64237 pep chromosome:PHallii_v3.1:2:37314950:37319049:-1 gene:PAHAL_2G220200 transcript:PVH64237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLGLAGATARAPRLAVHASASTSAAGEPARRIIRRRAPPGQQASAPAPPAQPSVAEVRRAIGVADDAASAASSREAKNSAFMDLIASTPIGQPESEPERRLREAAEWVVDTTETRACEGQKSFLVICVMTFPGWFLLLFIALGVIKLPFDIPGLDNLLMVLSCQMPSICAIRA >PAN11827 pep chromosome:PHallii_v3.1:2:37318311:37318965:-1 gene:PAHAL_2G220200 transcript:PAN11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLGLAGATARAPRLAVHASASTSAAGEPARRIIRRRAPPGQQASAPAPPAQPSVAEVRRAIGVADDAASAASSREAKNSAFMDLIASTPIGQPESEPERRLREAAEWVVDTTETRACEGQKSFLVICVMTFPGWFLLLFIALGVIKLPFDIPGLDNLLM >PAN10167 pep chromosome:PHallii_v3.1:2:5652251:5663120:-1 gene:PAHAL_2G075300 transcript:PAN10167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELQVQDVVLYGIFTLVLGWLLLLIYRWTNPACNGRLPPGSMGLPIVGETLQLLKSSPSLDIPDFYKLRLKRYGHLFKTSLVGKPVVVSMDMEFNRFVFRNNDKLFQLWYPDAMNSIFGKKIISECYGSIHKYIRSLGAPLYAPKNLKEAFLSEMESIITESLRTWAANPNIEVKEAMTNMLFRITIKKVIGFESDSPSTKELRKKFELFFQGAVSFPIYVPGTKFYQSMQARKYVQKVLKDLLKQRISTPQKRYGDFLDIVVEELQSEEALVDENFMVDLVCGLIFAGIALTPTTLTIGMKFLTDSPNVVEALTEEHDAILKKREVVNSRITWEEFKYMKFTNQVINEMVRVSSHGPGIFRKTLKDVHVNGYTIPEGWLVLVSPMAVHLNPLIFEDPLTFNPWRWQGAHGSSLMKNFMPFGDGARHCIGADFTKLQIAMFLHALVTKYRWKEIKGGQMFRISDLVFPQPYHIQLFPRSSSESE >PAN15134 pep chromosome:PHallii_v3.1:2:55545019:55547740:1 gene:PAHAL_2G467200 transcript:PAN15134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTLLAPDPFADLPFPEFQAPVDGGNFAFEDFDLEDLDLDVDFDLDLFASDGQLSQPPPLATSSSTAGSPEGGSSSSGAGGDGGLRNEESSESCSRSASVTDGSGKGKGEDDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCVTAENTVLKQQLGGAAGTAPPLPMYPAVYPLPMPWMHPAYAMRGSQVPLVPIPRLKPQQPAPATAEPPAKKARKTKKVASVSLLGLLCLVMLCGCLIPAVNRMYGSVDGGEGAAFGPSHHGRVLAVEGPRDSVSDSIDPKPPQSTSETLPALLYLPKNGKHVKINGNLVIKSIVASEKASLRMSGYDGKSPRNQGKEETSLAIPGYVASLEAGEVMDSTTGMKNKPMALAPADGNMYREDDGLLPQWFSEAMSGPLLSSGMCTEVFQFDVSPSSAHANGIVPVYSNAMPNSMQNFTENLPSAHPHTVKNRRISYSEAIPLRGSTSNNTERLKTPPKNESFGSMKPVSSVVVSVLADPREVGEGNGEGRISSKSLSRIFVVVLIDSVKYVTYSCVLPFKNHSPHL >PVH64472 pep chromosome:PHallii_v3.1:2:43958416:43961024:-1 gene:PAHAL_2G277900 transcript:PVH64472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGQNGRDDFFGGRDPFSGFGGFGPQRSLISGFFGGRDPFDDPFFTQPFEGRMMGGHGMFGPSLFGPMGGPFGDMRNDGFIQQAPPKSNGRRPVITELDEEGGENAEHGNEQSNQDSYVQEPDDASDVMEGGQVQLRRDLNRANSGGQSQARTFTYQSSSVTYGGINGAYYTASKTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGKVDSTQILHNLNEDELAGFEESWKGNAGHHLPGWNQNAGAPNSDNSGKQSFYICKKLCKCHFIYLLGVPRMMFFVETDNCFSWHYSSWKKIHFCLR >PAN10078 pep chromosome:PHallii_v3.1:2:5242396:5244951:1 gene:PAHAL_2G070700 transcript:PAN10078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSSLEISPAAQRLEYKELNFGSLYLYHTQFGPKANQEDIIAPKNGLGRTIVNNWEVYDGVGPGSNLVARAQGLHIEAGNWHNSFSLVFEDGRFKDSSLELMGIHSMWEWAIVGGTGDLAMATGVVKKVTYESNADGNILELTVRAFCPVVNELTFMESPALKIGPCGGPGGYAQDIAEGPKRLESITVRSGVVVDSIEFSYIDHAGRRRSAGPWGGHGGNPHTIQLDADEFVTEMSGTIDKFDVNDDIVITSLRIVTNLQTFGPWGDGNGAPFTVPVQSGSGIVGFFGRSGAYLDAIGAYVRPI >PAN09482 pep chromosome:PHallii_v3.1:2:1942514:1943632:-1 gene:PAHAL_2G026800 transcript:PAN09482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLRPEVVLLDFWVSPFGQRCRIALAEKGVPYEYREEDLLNKGDLLLRSNPVHKKIPVLLHAGRPVCESLAIVQYVDEAWPDAAPPLLPRDDDPYARAQARFWADYIDKKIYDCQTRLWKLKGDAQEQAKKDLIEVLETLEAELAGKPYFGGDNFGFVDVALVPFTSWFPTYEKLGGFSVEKCCPKIVAWAKLCRERESVAMALTDPDKVYEFVQFLQSKFGVKQ >PAN11168 pep chromosome:PHallii_v3.1:2:33609831:33614714:1 gene:PAHAL_2G203500 transcript:PAN11168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYAGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11163 pep chromosome:PHallii_v3.1:2:33609831:33614714:1 gene:PAHAL_2G203500 transcript:PAN11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11170 pep chromosome:PHallii_v3.1:2:33610028:33614714:1 gene:PAHAL_2G203500 transcript:PAN11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYAGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11169 pep chromosome:PHallii_v3.1:2:33610028:33614714:1 gene:PAHAL_2G203500 transcript:PAN11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYAGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11164 pep chromosome:PHallii_v3.1:2:33610028:33614714:1 gene:PAHAL_2G203500 transcript:PAN11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11172 pep chromosome:PHallii_v3.1:2:33609831:33614714:1 gene:PAHAL_2G203500 transcript:PAN11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11174 pep chromosome:PHallii_v3.1:2:33609831:33614714:1 gene:PAHAL_2G203500 transcript:PAN11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11162 pep chromosome:PHallii_v3.1:2:33610035:33614714:1 gene:PAHAL_2G203500 transcript:PAN11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYAGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11165 pep chromosome:PHallii_v3.1:2:33610035:33614714:1 gene:PAHAL_2G203500 transcript:PAN11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11167 pep chromosome:PHallii_v3.1:2:33609831:33614714:1 gene:PAHAL_2G203500 transcript:PAN11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYAGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11166 pep chromosome:PHallii_v3.1:2:33610028:33614714:1 gene:PAHAL_2G203500 transcript:PAN11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN11161 pep chromosome:PHallii_v3.1:2:33609831:33614714:1 gene:PAHAL_2G203500 transcript:PAN11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQVQEKAAAAGAAASSAAPKPANPKQGFAVAANKSPFELKKAGPVTTGGKLAFSLKKAKVAIAPVFTPEDEDEDAADVDREEPAKRQKSVKADTPAVVAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEASRNANIASSKAPVGLHRSSFEQKPSYQTPASALYGAYEGSSSQGSSSSYAGDTSVPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PVH63433 pep chromosome:PHallii_v3.1:2:2031171:2031871:1 gene:PAHAL_2G028500 transcript:PVH63433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDWLRRDRFVFIGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASS >PVH63713 pep chromosome:PHallii_v3.1:2:6798991:6801807:-1 gene:PAHAL_2G091400 transcript:PVH63713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEGFQIGPDQIEALYDDAKFQFECGSYSDAAAYLYQYRVLSTNSERSVRALWGMLASEILNRNWDAALEELNRLKEIIDSKNFSSPLNQLQSRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYLAVAVVVNKRRRNMLKELTKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLMECDQVISNDPFLGKRIAVGNSITVPLRDEFFENARLFIFETVCRIHRCIDISVLAEKLNMRYSEAELWIMNLVKSLKLDAKIDSVSGTLIMRVNRVDVHEEIIESLKNLSTRTHMLAQSIVEPAQAAQQAAQGD >PVH63886 pep chromosome:PHallii_v3.1:2:11754395:11758726:1 gene:PAHAL_2G131000 transcript:PVH63886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTMEEARIPGYFKNKGILITGSTGFLGKILVEKILRVQPDVKRIYLPVRAPDAESAKKRVETEVIGKELFGLLRETHGKGFQSFVDEKVVPLAGDIIHENFGVEGAQLAQMTQEINAIVNGAATTNFYERYDVALDVNVMGVKHMCQLARQCPNLEVILHVSTAYVVGERQGVIQELPFKHGETLREDDAELRLDVDAELRLARDYQRQLAGDDAEQKNERKAMKELGLARAREFGWPNTYVFTKALGEMTLAQELAGGGVPVAIVRPSIITSIQKDPLPGWIEGTRTIDAILIGYAKQNLSCFLADLDLTMDVVSLLQAPNCSSPTLLH >PAN10980 pep chromosome:PHallii_v3.1:2:11754398:11759708:1 gene:PAHAL_2G131000 transcript:PAN10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTMEEARIPGYFKNKGILITGSTGFLGKILVEKILRVQPDVKRIYLPVRAPDAESAKKRVETEVIGKELFGLLRETHGKGFQSFVDEKVVPLAGDIIHENFGVEGAQLAQMTQEINAIVNGAATTNFYERYDVALDVNVMGVKHMCQLARQCPNLEVILHVSTAYVVGERQGVIQELPFKHGETLREDDAELRLDVDAELRLARDYQRQLAGDDAEQKNERKAMKELGLARAREFGWPNTYVFTKALGEMTLAQELAGGGVPVAIVRPSIITSIQKDPLPGWIEGTRTIDAILIGYAKQNLSCFLADLDLTMDVIPGDMVVNAMMAAVAAHASPPASQRRPAPAHPAVYHATSSLRNPAPYAVLYRTGIRYFSAHPRVGADGRPVRARSVHFFATVAGFTAYMVVRYRLPLELLGLLNLLLCGLLSRLCDELRRKYAFVMRLVDLYGPFALFRGVFDDANVEKLRLAMAPADRAAFNFDPKTVDWDDYFYRIHIPGVMKYVLK >PAN10981 pep chromosome:PHallii_v3.1:2:11756913:11759708:1 gene:PAHAL_2G131000 transcript:PAN10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLITRGVMPWTSGNMPSADVMRPTHVIGKELFGLLRETHGKGFQSFVDEKVVPLAGDIIHENFGVEGAQLAQMTQEINAIVNGAATTNFYERYDVALDVNVMGVKHMCQLARQCPNLEVILHVSTAYVVGERQGVIQELPFKHGETLREDDAELRLDVDAELRLARDYQRQLAGDDAEQKNERKAMKELGLARAREFGWPNTYVFTKALGEMTLAQELAGGGVPVAIVRPSIITSIQKDPLPGWIEGTRTIDAILIGYAKQNLSCFLADLDLTMDVIPGDMVVNAMMAAVAAHASPPASQRRPAPAHPAVYHATSSLRNPAPYAVLYRTGIRYFSAHPRVGADGRPVRARSVHFFATVAGFTAYMVVRYRLPLELLGLLNLLLCGLLSRLCDELRRKYAFVMRLVDLYGPFALFRGVFDDANVEKLRLAMAPADRAAFNFDPKTVDWDDYFYRIHIPGVMKYVLK >PVH64111 pep chromosome:PHallii_v3.1:2:29596856:29598308:-1 gene:PAHAL_2G189800 transcript:PVH64111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEQKDIINKRGQEAYHARKKAQPMSAEQKSEKVKQTKRAYRRRIKDIRSNTLHHDSITMENPKFEPKLIFLSDKQLNAREIPIINGTSI >PAN12051 pep chromosome:PHallii_v3.1:2:40526180:40528115:1 gene:PAHAL_2G239100 transcript:PAN12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIDVLTRVDAICKKYERYDADKHRGDGDPFSRLYAAVDAEIDAAVEKSARAAKEKNRAASVTMNADVRRTKARLLEEVVKLQKIATKKVKGLSPEEKALRADLVAALPHRIQAIPDTHDGGATDQDGGWNARPGIKFDDSSVEKLEEGYFQTSEQSEQFSREYEMRRTKQDEGLDFISESLDTLKSLAEDMNEELDRQVPLMDEIDTKVDKANLEIKRTNVRLKQTVNQFRSTRNFTIDIILICIILGIATYLYDILSQ >PVH65215 pep chromosome:PHallii_v3.1:2:54083926:54087622:1 gene:PAHAL_2G440100 transcript:PVH65215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEHASLLVKDDASCHGDESQNLLEAKQGSQLKSKHSNWRAPALILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTVSLWFGTSFFVPILGAAIADTYLGNYKTILISLIMYLLGMVLITVATFMPSTSVLCDTSSSCLSSDGTQNLIFFVALYLTAVGCGGVRSALLPFGADQFNNEHSLDIKRRRIFFSSFYICVIFGVITSGTIIVWVQENVSWAIGYGIATTCIGLALIGFLVGTPIFRQHEPCGSPVKSIFKVIVATFRNMSLEVPDDGSLLYEVRSNHTQRMKLAHSDDFRFLDKAAVISDLSLAYGNHRSSWSLCTGTVMNTKIGSLTIPPASLYSFEVICVTLWVLVVNKVIVPATRMYFANGAELTQLQRIGIGRFLMIFAMAMAALLETKRLQSVREAEPLSIAWQLPQYFIIAGAECFAVITQLEFFHGQAPDSMKSMLTAFALLTTALGNYLSSAIITFIAGVTAVWQSPGWIPDDLNRGHLDYYYWCFAALSLANSVVYLYFASKYKLKKVVIS >PVH65216 pep chromosome:PHallii_v3.1:2:54083926:54087622:1 gene:PAHAL_2G440100 transcript:PVH65216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEHASLLVKDDASCHGDESQNLLEAKQGSQLKSKHSNWRAPALILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTVSLWFGTSFFVPILGAAIADTYLGNYKTILISLIMYLLGMVLITVATFMPSTSVLCDTSSSCLSSDGTQNLIFFVALYLTAVGCGGVRSALLPFGADQFNNEHSLDIKRRRIFFSSFYICVIFGVITSGTIIVWVQENVSWAIGYGIATTCIGLALIGFLVGTPIFRQHEPCGSPVKSIFKVIVATFRNMSLEVPDDGSLLYEVRSNHTQRMKLAHSDDFRFLDKAAVISDLSLAYGNHRSSWSLCTVTEVEELKILIRLLPIWVTGIFFGAAISQMHTTFIQQGTVMNTKIGSLTIPPASLYSFEVICVTLWVLVVNKVIVPATRMYFANGAELTQLQRIGIGRFLMIFAMAMAALLETKRLQSVREAEPLSIAWQLPQYFIIAGAECFAVITQLEFFHGQAPDSMKSMLTAFALLTTALGNYLSSAIITFIAGVTAVWQSPGWIPDDLNRGHLDYYYWCFAALSLANSVVYLYFASKYKLKKVVIS >PVH63816 pep chromosome:PHallii_v3.1:2:9178613:9191270:-1 gene:PAHAL_2G112800 transcript:PVH63816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAHPTTVPPPAANPLPPPPPPPPLPSSPTQPQRGSTRSFPAPKMGHGEGEREHEGDDLSADDSSWSDGVWSEDDDEESLSFEDSGAGSGSEAESDEAAAEESDSSEDEVATRNIVGDVPLEWYKDEEHIGYDIEGRKIKKRDREGRIEAYIRNADEDKNCMRSFVHSLKGKKDASSSSRSQGKKTDASTSSDRSSLFRGSSFHLSRRSARQRCLDEAQQQEEEGRGAEEEEHAANMDGDGDGGGEGDGCEERDGDAEKEEEEDTAAQPVFRFRGNDVITPAATNPANRRQIRPHGDWQWDDICWEGRNRLRPVNATLETLCRFHYPGMVKLQSDDQGVTTAVRVWNEFWERYRLSEGEEQCLQARARSVFDKAATKVVRDMMSNARIQCVCLYYKKKKQQDMNKKLGASEIYLREDEYLQVDISGLL >PVH63817 pep chromosome:PHallii_v3.1:2:9178653:9179869:-1 gene:PAHAL_2G112800 transcript:PVH63817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGDGGGEGDGCEERDGDAEKEEEEDTAAQPVFRFRGNDVITPAATNPANRRQIRPHGDWQWDDICWEGRNRLRPVNATLETLCRFHYPGMVKLQSDDQGVTTAERYRLSEGEEQCLQARARSVFDKAATKVVRDMMSNARIQCVCLYYKKKKQQDMNKKLGASEIYLREDEYLQVDISGLL >PVH63373 pep chromosome:PHallii_v3.1:2:1065463:1072750:-1 gene:PAHAL_2G015700 transcript:PVH63373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGENHLNHARHSNVSSTVVVGDGTDTHGGGNHSNQSADTSNSNSTGAQSSRISKVRAQLRAGDHNSYTPHFVTIGPYNRTPPSPQAEHIKLICVEFMERKLREEEGAEGGGLAHVVEPLVPRVRACYDHDTAGEMTTEALSTLLLRDGCYLLGTTCNLPLPAANNPQAPPAPSNNVNAAAAMHVTRAQETASVRDTVFLLENQIPFVVLEAIHERVTGGNRSLLQSCLGPYVRKLLVDLLYISPWVEVPSLPEPPSHLLHLVHTYFKSPVTEPQQRSSSTGDDNTASTLDTPLLPIRSDRTGRWRRATEYCKYGDVRFKRRNDFKEGERWTFLDVRHDAGTLWIPLLRVDGMTWTILRNLMALEEQQEERRPVTAYCVFMSQVACTVEDVELLQRRGILEQFLGSDEEVAKGFANLCKGVIFDVDIPERNYLRSTWHELHKLCCDQGRNFMGSFRQKHWSEPLVRAGFGIAFCFFVFQLLQVILTFIPLVHKRNK >PVH63372 pep chromosome:PHallii_v3.1:2:1065568:1072622:-1 gene:PAHAL_2G015700 transcript:PVH63372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDAQHSNGSSIVELVGRTGTHDSENDLNHMDSDNMPNARHSNVSSIVDEGDDGTGMHGGENHLNHARHSNVSSTVVVGDGTDTHGGGNHSNQSADTSNSNSTGAQSSRISKVRAQLRAGDHNSYTPHFVTIGPYNRTPPSPQAEHIKLICVEFMERKLREEEGAEGGGLAHVVEPLVPRVRACYDHDTAGEMTTEALSTLLLRDGCYLLGTTCNLPLPAANNPQAPPAPSNNVNAAAAMHVTRAQETASVRDTVFLLENQIPFVVLEAIHERVTGGNRSLLQSCLGPYVRKLLVDLLYISPWVEVPSLPEPPSHLLHLVHTYFKSPVTEPQQRSSSTGDDNTASTLDTPLLPIRSDRTGRWRRATEYCKYGDVRFKRRNDFKEGERWTFLDVRHDAGTLWIPLLRVDGMTWTILRNLMALEEQQEERRPVTAYCVFMSQVACTVEDVELLQRRGILEQFLGSDEEVAKGFANLCKGVIFDVDIPERNYLRSTWHELHKLCCDQGRNFMGSFRQKHWSEPLVRAGFGIAFCFFVFQLLQVILTFIPLVHKRNK >PAN14899 pep chromosome:PHallii_v3.1:2:54712282:54714499:1 gene:PAHAL_2G452000 transcript:PAN14899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTALISPLPLLTSRSRSKPLSPASTRARRPASIPFSYGSGRVVLFSRRGREYAGVGSLASAAAATGEAADGGSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEANAVVWTTAEARASDNWQKQCGDKLAKHLGTCGFESRLQE >PAN14902 pep chromosome:PHallii_v3.1:2:54712282:54714528:1 gene:PAHAL_2G452000 transcript:PAN14902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTALISPLPLLTSRSRSKPLSPASTRARRPASIPFSYGSGRVVLFSRRGREYAGVGSLASAAAATGEAADGGSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEANAVVWTTAEARASDNWQKQCGDKLAKHLGTCGFESRLQE >PAN14904 pep chromosome:PHallii_v3.1:2:54712303:54714482:1 gene:PAHAL_2G452000 transcript:PAN14904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTALISPLPLLTSRSRSKPLSPASTRARRPASIPFSYGSGRVVLFSRRGREYAGVGSLASAAAATGEAADGGSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEANAVVWTTAEARASDNWQKQCGDKLAKHLGTCGFESRLQAMEITISKMIAMQIFPWKFEVLGSTDHVSESLVR >PAN14900 pep chromosome:PHallii_v3.1:2:54712282:54714528:1 gene:PAHAL_2G452000 transcript:PAN14900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTALISPLPLLTSRSRSKPLSPASTRARRPASIPFSYGSGRVVLFSRRGREYAGVGSLASAAAATGEAADGGSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEANAVVWTTAEARASDNWQKQCGDKLAKHLGTCGFESRLQGN >PAN14901 pep chromosome:PHallii_v3.1:2:54712282:54714499:1 gene:PAHAL_2G452000 transcript:PAN14901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTALISPLPLLTSRSRSKPLSPASTRARRPASIPFSYGSGRVVLFSRRGREYAGVGSLASAAAATGEAADGGSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEANAVVWTTAEARASDNWQKQCGDKLAKHLGTCGFESRLQGN >PVH65404 pep chromosome:PHallii_v3.1:2:56733580:56734215:-1 gene:PAHAL_2G485000 transcript:PVH65404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLVVSPPSPALCAASTAATPPGTLAISPPVAGGRACLPRLRPGETLRHRCLQAARAQNQNHGRSRNAQPRVETNYFLEEKRHILSRFVKINGSPERYLVRK >PAN10313 pep chromosome:PHallii_v3.1:2:6282349:6289053:1 gene:PAHAL_2G086600 transcript:PAN10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARAASAARSPLLVHHHRHRLPQVPSGGGGSLRVGGPGRGREEGRRRARVGVRVFARYSQAQDFSTRLQDRVGELPKLVEDLLQTSISTGPQGAFRIAQGIQAVLGVGGEWLNDLSKTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPAVPYDVIQSILCEELQRPLDSIYEYIDPVPIASASIAQVHAARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVIARVLEFLNPELQRTSLGSGGSRCS >PVH63689 pep chromosome:PHallii_v3.1:2:6282349:6289830:1 gene:PAHAL_2G086600 transcript:PVH63689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARAASAARSPLLVHHHRHRLPQVPSGGGGSLRVGGPGRGREEGRRRARVGVRVFARYSQAQDFSTRLQDRVGELPKLVEDLLQTSISTGPQGAFRIAQGIQAVLGVGGEWLNDLSKTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPAVPYDVIQSILCEELQRPLDSIYEYIDPVPIASASIAQVHAARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVIARVLEFLNPELQRTSLISLRSRQFALHSQRRRWRKFPIKIFVAARFLNLGFASGQRSNSS >PAN12481 pep chromosome:PHallii_v3.1:2:43193816:43197380:-1 gene:PAHAL_2G268000 transcript:PAN12481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQDEGGAAAEVVYLHGVLEVTVFEAEHLHNAIYGRIMEATEKLQETMGVRCLQHSRLYVDVDVGAARVARTREVEFHPTSPAWNQSFRLHCAYPAAAVTFTVKNQHLIGAGVLGAGSVPAARVASGQPVECWLALHGGEHSHETHTPSLRVRLQFLDVERDPSWGAGVRLPGFAGVKPAFFPERTGCSVTLYQNAHLTDEFDPGVRLDGGRAYRPARLWEDLYAAIRDARRFVYVAGWSVSTEITLVRDPGRMVPGAEGVTLGELLKRKADEGVAVLVMPWQDNTSVSFLGNAGLMKTHDEETRRFFEGTNVRCFLCPRNADASLTMVQHVQTSAEFTHHQKTVTLDAATPGTGDDGRHVVSFIGGIDLCDGRYDDENHTLFRDLDTTYLHDFMQNNYKHACLSRGGPREPWHDVHCRLEGPAAWDVLTNFEQRWRKQAPEGMRGCLLDLSPTALPDPAGLGNDTGSWNVQVFRSIDDASVVGFPSDPAEAAALGLTSGKDVTVDRSIQTGYVEAIRRARRFIYIENQYFLGGCASWAEDRGAGCLNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGLPAGEAVQAILLWNRRTVEMMYGIVMEAIDDAGLRGQAHPCDYLNFFCLGNREAPLPGEYSPPETPEKDTDYWRSQVNRRGPIYVHAKLMIVDDEYVIVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPCGRARGEVHGFRMSLWHEHFMARHAGEDGGGDDRAVFLEPESVECVRAVRRAADRLWGVYTQDRVENLPGHLLPFPITVSEFGEVADLPADGCFPDTSAPVKGRKAVKLPDILTT >PAN12131 pep chromosome:PHallii_v3.1:2:41188406:41190710:-1 gene:PAHAL_2G245400 transcript:PAN12131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPGPILINGGGGAPTLQALPTPPPHLPHHRGATIELPGAAALPCLAAFFTPFPFLYSIPFLSGKELSSSTIPFQIRRGRRLRRAPRAPAHGEQRWGMDSAVSGTTAA >PVH64171 pep chromosome:PHallii_v3.1:2:34603933:34604793:1 gene:PAHAL_2G207700 transcript:PVH64171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQVAPGFPSLFIDALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTTTGFRHQDTYPLAVRKALRYLCRIFEGHLTATPVRFFPPAIRTPVWEARMRSLERRRHEESPLYQVATYLATLDQLFDEQANLLREQTQRAERAELTVRLQQIRAAQAEARAAAAVSSEAVGQESLRQARDRRMQEWTQSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPRENPESSAAAVERDAAAQPLTDGNPEDGEQGLLTLPVPEEGTPRE >PVH63945 pep chromosome:PHallii_v3.1:2:13444017:13444390:1 gene:PAHAL_2G141800 transcript:PVH63945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPPKAKIHPAEAARANRSSVISHLIQQLNRFSEAPILQES >PVH63695 pep chromosome:PHallii_v3.1:2:6323255:6324392:1 gene:PAHAL_2G087100 transcript:PVH63695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHSAQLCVLAEDCDQPDYVKLVKALCGEHNVHLVTVPSAKTLGEWAALCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >PVH64198 pep chromosome:PHallii_v3.1:2:36115102:36121916:1 gene:PAHAL_2G213000 transcript:PVH64198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFTKELLNVPLLGQLTPGGVQQPWRRPFLHLHPRRRPCAGPALISKSPYSESGKARERTVDGHFGHKDEPSEMASMVHAIRTALRSIGEGEISISAYDTAMVALLKNPDVGDAPQFPSTIDWIIQNQLPDGSWGDQAFFMIRDRIISTLACVVALKTWNMHADKWERGQLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYNEPALKEIYAERERKLAKIPRDVLHAMPTTLLHSIEGMVDLDWEKLLKLRCLDGSFHCSPAATATALKQTGDKKCFEYLDGIIQKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEYCLDYIYRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLHGYHVDPCVLKHFEKDGKFFCLHGESNPSSVTPMYNTYRAAQVTFPGDDDVLRRAEVFCREFLHERRGSNRMKDKWAIAKDIPGEVEYAIDVPWKASLPRIETRIYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKTAKADFSNFQRDSRMEWNDHRKWYFRSNLEKYGVTPKSALTAYFLASANIFEPNRAAERLGWARTAVLAEAVSSHLRRIGGPKNSTENLEDLIDLVPFEGASGSLRDAWKQWLMSWAAKGSQGSIEGDTALLLVRTIEIFSGRHVSTEQKLSLWEYSQLEQLTSSICSKLSRRVLAQNGESIENTQNHLDRQVDLEMEELARRVLQGCSALNRATRETFLHVVKSFCYVAYCPAEKIDNHIDKVIFQDVV >PAN11748 pep chromosome:PHallii_v3.1:2:36115102:36121916:1 gene:PAHAL_2G213000 transcript:PAN11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFTKELLNVPLLGQLTPGGVQQPWRRPFLHLHPRRRPCGPALISKSPYSESGKARERTVDGHFGHKQDEPSEMASMVHAIRTALRSIGEGEISISAYDTAMVALLKNPDVGDAPQFPSTIDWIIQNQLPDGSWGDQAFFMIRDRIISTLACVVALKTWNMHADKWERGQLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYNEPALKEIYAERERKLAKIPRDVLHAMPTTLLHSIEGMVDLDWEKLLKLRCLDGSFHCSPAATATALKQTGDKKCFEYLDGIIQKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEYCLDYIYRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLHGYHVDPCVLKHFEKDGKFFCLHGESNPSSVTPMYNTYRAAQVTFPGDDDVLRRAEVFCREFLHERRGSNRMKDKWAIAKDIPGEVEYAIDVPWKASLPRIETRIYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKTAKADFSNFQRDSRMEWNDHRKWYFRSNLEKYGVTPKSALTAYFLASANIFEPNRAAERLGWARTAVLAEAVSSHLRRIGGPKNSTENLEDLIDLVPFEGASGSLRDAWKQWLMSWAAKGSQGSIEGDTALLLVRTIEIFSGRHVSTEQKLSLWEYSQLEQLTSSICSKLSRRVLAQNGESIENTQNHLDRQVDLEMEELARRVLQGCSALNRATRETFLHVVKSFCYVAYCPAEKIDNHIDKVIFQDVV >PAN11747 pep chromosome:PHallii_v3.1:2:36115102:36121916:1 gene:PAHAL_2G213000 transcript:PAN11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFTKELLNVPLLGQLTPGGVQQPWRRPFLHLHPRRRPCGPALISKSPYSESGKARERTVDGHFGHKDEPSEMASMVHAIRTALRSIGEGEISISAYDTAMVALLKNPDVGDAPQFPSTIDWIIQNQLPDGSWGDQAFFMIRDRIISTLACVVALKTWNMHADKWERGQLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYNEPALKEIYAERERKLAKIPRDVLHAMPTTLLHSIEGMVDLDWEKLLKLRCLDGSFHCSPAATATALKQTGDKKCFEYLDGIIQKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEYCLDYIYRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLHGYHVDPCVLKHFEKDGKFFCLHGESNPSSVTPMYNTYRAAQVTFPGDDDVLRRAEVFCREFLHERRGSNRMKDKWAIAKDIPGEVEYAIDVPWKASLPRIETRIYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKTAKADFSNFQRDSRMEWNDHRKWYFRSNLEKYGVTPKSALTAYFLASANIFEPNRAAERLGWARTAVLAEAVSSHLRRIGGPKNSTENLEDLIDLVPFEGASGSLRDAWKQWLMSWAAKGSQGSIEGDTALLLVRTIEIFSGRHVSTEQKLSLWEYSQLEQLTSSICSKLSRRVLAQNGESIENTQNHLDRQVDLEMEELARRVLQGCSALNRATRETFLHVVKSFCYVAYCPAEKIDNHIDKVIFQDVV >PVH64199 pep chromosome:PHallii_v3.1:2:36115102:36121916:1 gene:PAHAL_2G213000 transcript:PVH64199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFTKELLNVPLLGQLTPGGVQQPWRRPFLHLHPRRRPCAGPALISKSPYSESGKARERTVDGHFGHKQDEPSEMASMVHAIRTALRSIGEGEISISAYDTAMVALLKNPDVGDAPQFPSTIDWIIQNQLPDGSWGDQAFFMIRDRIISTLACVVALKTWNMHADKWERGQLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYNEPALKEIYAERERKLAKIPRDVLHAMPTTLLHSIEGMVDLDWEKLLKLRCLDGSFHCSPAATATALKQTGDKKCFEYLDGIIQKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEYCLDYIYRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLHGYHVDPCVLKHFEKDGKFFCLHGESNPSSVTPMYNTYRAAQVTFPGDDDVLRRAEVFCREFLHERRGSNRMKDKWAIAKDIPGEVEYAIDVPWKASLPRIETRIYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKTAKADFSNFQRDSRMEWNDHRKWYFRSNLEKYGVTPKSALTAYFLASANIFEPNRAAERLGWARTAVLAEAVSSHLRRIGGPKNSTENLEDLIDLVPFEGASGSLRDAWKQWLMSWAAKGSQGSIEGDTALLLVRTIEIFSGRHVSTEQKLSLWEYSQLEQLTSSICSKLSRRVLAQNGESIENTQNHLDRQVDLEMEELARRVLQGCSALNRATRETFLHVVKSFCYVAYCPAEKIDNHIDKVIFQDVV >PVH64312 pep chromosome:PHallii_v3.1:2:39959074:39962286:1 gene:PAHAL_2G235200 transcript:PVH64312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGWRIVLGSLIGFFGAACGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGGSVSTVYYNLKLKHPTLDMPLIDYDLALLMQPMLMLGVSIGVIFNVIFPNWLITALLIILFLGTSTKAYLKGIETWKKETIKKREVAKKQEQICQEPEHTTTIVPTEQAAEAKAPSDKATSVLKNVYWKEFGLLAFVWVAFLGLQITKNYVASCSVWYWVLNSLQIPVAIGVTLYEAHGLMAGKRVLSSKGSQQQSALRVRQLLVYCLFGILAGLIGGLLGMGGGFIMGPLFLELGIPPQVSSATATFTMMFSSSMSVVEYYLLHRFPVPYAAYFTAVAFVAAIVGQHCVRKLIAWLGRASLIIFILASMIFVSALTLGGVGISNIAHRMQRHQYMGFESLCRV >PAN13810 pep chromosome:PHallii_v3.1:2:49477001:49483048:1 gene:PAHAL_2G365400 transcript:PAN13810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) UniProtKB/Swiss-Prot;Acc:Q4V3C1] MDAAAAAAALGAAPGPGVPPPGAPVGEQQAAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPAASPKWQDVLGQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENAPILPVMLSSKLLPEMEVEETTKREQLLSGITNLPVPTQIEKIKVRIDMIGSACETAEKVIADCRKSYGLGSRQGTNLVPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPQSLPSHLVEVLPFGDGAQNVGDNSGGYPKSSSTFAPSGVNTQGNPIQASGGQLLGRPAPSPGGTGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLIQASQQQQLHAQQQLRPSAAGMLAQSTLPQLQDLQGQAQQKLQVSGQQQMQYNQALSQQFHNRQMQPGRMQPGMAQSQLNQGTQLRSHLGQFAGPANSAMFTAAQASSNSQMMANMPGTMQSQSLMPQMQYGMAGGHPQRSHPSQMLTDQMFGMGATNSSMMGMQQQQQGVYGNMQAGAQNMQQGMVGLQNQAQNPNFPQQRQQNQQ >PAN12885 pep chromosome:PHallii_v3.1:2:45337131:45337913:1 gene:PAHAL_2G298000 transcript:PAN12885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDQQLLAKQMEVTGNAVAQLSINQHSVHDEDPPSSTSSVSTKDQQFRQPRPRVHLIDVLLVVGVGVLLKGFSGNRKHSTQAVVSEVCRSEC >PAN12707 pep chromosome:PHallii_v3.1:2:44478069:44481086:1 gene:PAHAL_2G285300 transcript:PAN12707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAGALAPLAGWSMLPLRSPAPLYCRRSPPLLKVAKRQSGGHRFAPASLQKCAAVSDHGRQRATEYQIDDDEPLWLAVFRDLAVGLKGLVAFLAEQPRQLKHLEWPGLRNTLKTSTLTLVLVLLFIVALSTIDAALCYVLALLLRKSS >PVH64495 pep chromosome:PHallii_v3.1:2:44478069:44481086:1 gene:PAHAL_2G285300 transcript:PVH64495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAGALAPLAGWSMLPLRSPAPLYCRRSPPLLKVAKRQSGGHRFAPASLQKCAAVSDHGRQRATEYQIDDDEPLWLAVFRDLAVGLKGLVAFLAEQPRQLKHLEWPGLRNTLKTSTLTLVLVLLFIVALSTIDAALCYVLALLLRKSS >PAN14151 pep chromosome:PHallii_v3.1:2:50896784:50903067:1 gene:PAHAL_2G387800 transcript:PAN14151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASTLRHSLCRLPCTTSSAAATRPLAGAARFLATSSERRLPAPASSRPLGAAAARGSCSGAAVTERPASAWRGLATMADSNSRFGHVLTSLPKPGGGEYGKYYSLPALNDPRIERLPYSIRYLLESAIRNCDGFQITEKDVENIIDWENTAPKLVEIPFKPARVLLQDFTGVPAIVDLASMRDAMDRLGDDPGKIDPLIPVDLVIDHSVQADVVKSENALQSNMQREFDRNKERFAFLRWGSMAFNNMLIVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVLGTDSHTTMIDGMGVAGWGVGGIEAEATMLGQPMSMVLPSVVGFKLSGKLRDGVTATDLVLTVTQILRKHGVVGKFVEFHGEGMSELAVANRATIANMSPEYGATMGFFPVDHVTLEYLKLTGRSDEKVEMVEAYLRANNMFVDYNETQTERAYSSYLELDLADVEPCVSGPKRPHDRVALKDMKADWHACLSNKVGFKGFGVPKEQQDKVVKFSFHGKPAEIGHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVNPWIKTSLAPGSGAVTKYLQKSGLQKYLDNLGFNLIGYGCTTCIGNSGELDEDVAKAITDNDIIAAAVLSGNRNFEGRIHALVRANYLASPPLVVAYALAGTVDIDFEKEPIGKGRDGNDVYFKDIWPSNEEIAEVEQSSVLPDMFRSTYEAITQGNPMWNQLSVPKAKRFPWDPNSTYIHDPPFFKDITPTPPGPRSVENAYCLLKFGDSITTDHISPAGSIPRDSPAGKYLLERGVQPKDFNSYGSRRGNDEVMARGTFANIRIMNRLLNGEVGPKTVHVPTGEKLFVFDAAMRYKADGYHTIVLAGEEYGSGSSRDWAAKGPMLLGVKAVIAKSFETIHRSNLVGMGVMPLCFKPGEDADSLGLTGHERFTIRLPSNVSEIQPGQDVEVVTDSGKSFTCKLRIDTLVELAYFDHGGILHYVLRNLVKQQQR >PVH63853 pep chromosome:PHallii_v3.1:2:10189327:10191387:1 gene:PAHAL_2G121100 transcript:PVH63853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNLKSLNPLPPPPLVSCVRRLLGTLMAAQNPRSRRVSQGGGLPLPADALYEILLRFPARDLCRLCAVCRPWRCLLSDPHFVAAAHAARHPEPPLILAGYNTALQDDGIICDVMDLSGRVVKRVRTTGDDTGGKECVISIEAGLICTSKGTEWSSRLLNLATGAVRALPDPEGLAEEPAAHELDTTYLFADAMLGQVPSTGKYKVLRVLEHFNHHEGKLYEVCAMDRGSNAWWTGKKPVPRTVCLGLWLTVVVNGIVYFFYDEQDQAQDPALDRIASFDIGIEEWRASLRGPLSSIVDADVWPNRRSCTDELTMAALNGCLVVWSIAI >PVH63854 pep chromosome:PHallii_v3.1:2:10189327:10191429:1 gene:PAHAL_2G121100 transcript:PVH63854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNLKSCVRRLLGTLMAAQNPRSRRVSQGGGLPLPADALYEILLRFPARDLCRLCAVCRPWRCLLSDPHFVAAAHAARHPEPPLILAGYNTALQDDGIICDVMDLSGRVVKRVRTTGDDTGGKECVISIEAGLICTSKGTEWSSRLLNLATGAVRALPDPEGLAEEPAAHELDTTYLFADAMLGQVPSTGKYKVLRVLEHFNHHEGKLYEVCAMDRGSNAWWTGKKPVPRTVCLGLWLTVVVNGIVYFFYDEQDQAQDPALDRIASFDIGIEEWRASLRGPLSSIVDADVWPNRRSCTDELTMAALNGCLVVWSIAI >PVH64949 pep chromosome:PHallii_v3.1:2:51087905:51090239:-1 gene:PAHAL_2G391000 transcript:PVH64949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANTAERGVQDRVDRYGPVSKLSLFGAPTVLLTGPAANKFVFFSTALASQQPRSVQRILGERNILELMGADHKRIRGALAEFLRPDMLRLYVGRIDGEVRRHLDESWAGRATVTVMPLMKRLTFDIISLLLFGLERGAVRDALAGDFKHVMDGTWAVPVDLPFTAFRRSLKASASARRVIAGITRETKAKLERGEASRSSDLIACLLSLTDDSGAPLLSEEEIVDTAMVSLIAGHDTSSILLTFLIRQLADDPDTLAAMVHEHDEIGKSKGDGEALTWEDLAKMKLTWRAAQEMLRMVPPIFGSFRRATKDIEFDGYVIPKGWQVFWTAAATHMDGSIYPEPDKFNPSRFETQSASVAPPCSFVAFGAGPRICVGMEFARIETLVALHYLLRRFRWKLCCKENTFVRDPAPSPLHGLPIELAEHKAASP >PAN09208 pep chromosome:PHallii_v3.1:2:327556:331770:1 gene:PAHAL_2G004600 transcript:PAN09208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVQYRLERMTDELDDLERRGLFNRAELADVVRRRRDFEFRLRRRSPLRQDFLDYIAYELRLDSLRNLRKRAIIRAAPSESDEDHGSADEAEADTKKKRKRRNKKWKKSISDVAGVLRILDIYRMATVRFKGDLDLWFRYLEFCRDKRHGRMKQVLAQAIRFHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKSDNDAGQWKDENKELFMPLNEQDEEPEDSKPAGDALEEKEDTFWRQGLLIIQTIYHGAVEALPSSLTLRKKFLEILNSVDLAHSDELKIEVLDDLKKDFSHCEDYWDWFARFQLTDLNNPNSLKGKDALSNKLNKSIQVYDEAVRRLPTSKMYSLYAKFWMDVLYPDREDSIALFQNSEFDASEFTSSILKVYESAESCGCLTEDLACQYVSLCLKLERLEEAKNLAEKLCNGPLSDAANLWSLRASMEINSVATAGSSPFSKENLSCLFDLFNTVLSKLPVTQTEGLWHMAMKLFCNEKIYFEKLVKCAMLSLSLAGGNDSGALVASAIIGWYLQRDGMKQARKMYKRLLALPGPSLKLFQYCIELEANLASLGDNSALANARKLYDSAIDYYPQEREVWRNYYSLELKVGTSETANAVYWRALKVLSDSTALTAPSS >PVH64141 pep chromosome:PHallii_v3.1:2:32750945:32751307:-1 gene:PAHAL_2G200100 transcript:PVH64141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQLHMQMMATLNNTVQALQHIHAQPPPPPPPQPRDRRADFLRGHPPTFSYATDPLQVDDWLRSVERQLVVAQRDNHERVLYTAG >PAN09644 pep chromosome:PHallii_v3.1:2:2784386:2786517:-1 gene:PAHAL_2G039400 transcript:PAN09644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVHRRLGDAGGGKGGSIVARGAAAHRHHAPAAASASASSSYSSSLEGADPALPSPSWQPLPRSLPKRRRDGTNNSAYDASGPAPRTRRTTARMTWPPERTPRRGGAALSSPQPRGLTREEEYLGWLGSLRSRPQPRGASASAPPSPTASSAARVPPPRHSGGINASSSSTDISPAALPSTRKGRPQASATSPVGSAPSPLSALATASLGAHRPPPMTKQLTGTRSASLRPKAKLPTRADSALPPLQTTKPTPDPPSMQTDLAPPPPFLAAFAHRIVEVLFNLNYGHRCAPIPQLNKPTTRACCDDSLLQANTVASTSGDPTLPPHLSAFSQRLIEILFNLNYGHLGYTGVVLMGVYAGLMELLSAWNPILKVSYVLLLILGAFGLGTGLMAATSIAPNGYTHRVSSVCSRLCTCLATFVFIVALACHMGSHGYIAGIVLGVVAVCYMLSVWIEGDPAAYGAYTWVWTAIKYLWQSFKWSGQREPLLP >PAN15453 pep chromosome:PHallii_v3.1:2:57066174:57068997:-1 gene:PAHAL_2G489300 transcript:PAN15453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASGGAADGGGRSSPPAAEAPRAVVDNLIDLRERAEMLRNMLQGSPTPPGTPADAAPGTSELIDGIMSSLSSALSAALDTTAGGSHGQGRRRRRAGAVTGSGPQRRSSTTRRRSHSPFLRTVTTSTLDDGNSWRKYGQKHIQDSPNNPRSYYRCTHKPDQGCKATRQVQASDDNPSEFVINYFGQHTCRDPSTIPLVIEAAAPPDDCANLISFGSTMGASTTTHAVPPQRPQAFDPAMFVSRLVGHSSSLPAQDYYRCGSEEVHSSSSAPAGELAAVVGSAGMASSATVVGSAPAECWPGGTGGGDMACGHGAGSFPSSPSSLGFMTGSFGSFGNAGEDDMFGFDP >PAN10170 pep chromosome:PHallii_v3.1:2:5683946:5685779:1 gene:PAHAL_2G075600 transcript:PAN10170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIPLHLLFLPLLAIVVISSTHFVSRARRRRAGLRLPPSPWALPVIGHLHHLLDALPHHKLRDLSRRHGPLMLLRLGELPVVVASSADAARAVMKANDLAFATRPIGPATRLVLADGAEGLIFAPYGHGWRQLRRICTVELLSARRVQSFRAVREQEAGRLLREVAAAAAADQAVNLSACISSYVADSAVRAIVGSRFQDRAEFFRLMENGVELFSRPSLPDLYPSSRMAMLVSGAPGKMRRVRVETMAFMDSVIQEHQLCKPDNNGDEDLLDVLLRIHRDGDLEFPISMDNIKVVIADLFLAGSETSATTLQWAMSELVRNPRVMRKAQAEIRQVLKGHERVSEASLGKLDYFHLVIKETLRLHPPAPLLLPRECRSPCRVLGFDVPAGATVFVNAWAIGRDPAHWDAPEEFAPERFGHEGSVDFKGTDFEFVPFGAGRRMCPGMMFGLASVEVALASLLYHFDWKLPRGMAPAEVDMREVMGVTARRQSDLLLVPVVHVPAPYDDQLTIVG >PAN14463 pep chromosome:PHallii_v3.1:2:52368420:52373719:-1 gene:PAHAL_2G411900 transcript:PAN14463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLHLLVLCVIIIFLVYNMANFQHKHTSLEAKSRPFDTVTVSDRPAVKVSKKPVVRIGYLPHGIVESNSDVELKPLWLTTSVQSQKKSKQNDQSLIAIAAGINQKKSVDAIMKKFLPENFTAILFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPAVVSMYKYIFLWDEDLEVDNFNPRRYLNIVKSEGLEISQPGLDSKLSEIHHRITVRKKTGSFHRRVSRANKECSREGPPCSGWVEGMAPVFSKSAWQCVWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGGSTITKGGTRGKNAQQLRQKAAQAQKTRGRPAGLDMRTKIRRKSRSELRDFQKRWDRAAREDRTWVDPFARSRRKRRNRTPQ >PAN14462 pep chromosome:PHallii_v3.1:2:52368070:52374150:-1 gene:PAHAL_2G411900 transcript:PAN14462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLHLLVLCVIIIFLVYNMANFQHKHTSLEAKSRPFDTVTVSDRPAVKVSKKPVVRIGYLPHGIVESNSDVELKPLWLTTSVQSQKSKQNDQSLIAIAAGINQKKSVDAIMKKFLPENFTAILFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPAVVSMYKYIFLWDEDLEVDNFNPRRRVSRANKECSREGPPCSGWVEGMAPVFSKSAWQCVWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGGSTITKGGTRGKNAQQLRQKAAQAQKTRGRPAGLDMRTKIRRKSRSELRDFQKRWDRAAREDRTWVDPFARSRRKRRNRTPQ >PAN14464 pep chromosome:PHallii_v3.1:2:52368070:52374150:-1 gene:PAHAL_2G411900 transcript:PAN14464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLHLLVLCVIIIFLVYNMANFQHKHTSLEAKSRPFDTVTVSDRPAVKVSKKPVVRIGYLPHGIVESNSDVELKPLWLTTSVQSQKKSKQNDQSLIAIAAGINQKKSVDAIMKKFLPENFTAILFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPAVVSMYKYIFLWDEDLEVDNFNPRRRVSRANKECSREGPPCSGWVEGMAPVFSKSAWQCVWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGGSTITKGGTRGKNAQQLRQKAAQAQKTRGRPAGLDMRTKIRRKSRSELRDFQKRWDRAAREDRTWVDPFARSRRKRRNRTPQ >PAN14460 pep chromosome:PHallii_v3.1:2:52368420:52373719:-1 gene:PAHAL_2G411900 transcript:PAN14460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLHLLVLCVIIIFLVYNMANFQHKHTSLEAKSRPFDTVTVSDRPAVKVSKKPVVRIGYLPHGIVESNSDVELKPLWLTTSVQSQKSKQNDQSLIAIAAGINQKKSVDAIMKKFLPENFTAILFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPAVVSMYKYIFLWDEDLEVDNFNPRRYLNIVKSEGLEISQPGLDSKLSEIHHRITVRKKTGSFHRRVSRANKECSREGPPCSGWVEGMAPVFSKSAWQCVWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGGSTITKGGTRGKNAQQLRQKAAQAQKTRGRPAGLDMRTKIRRKSRSELRDFQKRWDRAAREDRTWVDPFARSRRKRRNRTPQ >PAN09869 pep chromosome:PHallii_v3.1:2:4034132:4042510:1 gene:PAHAL_2G055000 transcript:PAN09869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATQLCDALAAAGFDGDGPLDPDSLEWAFLQGDDSRRMLAWVSARLRPGNVISAADLELYEQLEMEGKLLEGDDLDFAFDSISAFSDNGENQDYTFLSEQSLEDIRDSKLALRAEVSDLEKQLASLEWKLDLLTAQATTITQGKKSRSSAKTRANGQLTGLDEKFAKRSLEMNAVLGKLAATTQELSYYHSEADIGVYLSYCDFLSYVRSNLGCTKELNRWFSKKFEKGPLQLVVKDDKSRGDFVNSHHCVVQLNQINSIFAKSKMRYIEAHAEYAKEEAIVSTLRTQLASQQSYIHQDSHSLRRKSSELAEELKDLSLNVQKCLSETVTGLCADLAQLAGANILEGGHNVKLLRQECYISHQKKFINYLVNQLAAHRFLKISCQLEKRAKISSAYLLLKAIELELHSYLSAVDVRLDRYHSIDQAASEMFEEGSVDDRDSFLHAVRDILSSPLSSQAMAPTYVSSYGLVEQISELQDELQYLQHEAENVLPRERGRCTDELCRMVQTLEQIFGVPLSDEQPKLTPWPLAQWLEELETVSQQVSASVTDVTLARYQKAEILRQPSRNAQQKRQVFVDFFCRPGRLENEVKELVSRVRGLPE >PVH63555 pep chromosome:PHallii_v3.1:2:4034132:4042484:1 gene:PAHAL_2G055000 transcript:PVH63555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLLEGDDLDFAFDSISAFSDNGENQDYTFLSEQSLEDIRDSKLALRAEVSDLEKQLASLEWKLDLLTAQATTITQGKKSRSSAKTRANGQLTGLDEKFAKRSLEMNAVLGKLAATTQELSYYHSEADIGVYLSYCDFLSYVRSNLGCTKELNRWFSKKFEKGPLQLVVKDDKSRGDFVNSHHCVVQLNQINSIFAKSKMRYIEAHAEYAKEEAIVSTLRTQLASQQSYIHQDSHSLRRKSSELAEELKDLSLNVQKCLSETVTGLCADLAQLAGANILEGGHNVKLLRQECYISHQKKFINYLVNQLAAHRFLKISCQLEKRAKISSAYLLLKAIELELHSYLSAVDVRLDRYHSIDQAASEMFEEGSVDDRDSFLHAVRDILSSPLSSQAMAPTYVSSYGLVEQISELQDELQYLQHEAENVLPRERGRCTDELCRMVQTLEQIFGVPLSDEQPKLTPWPLAQWLEELETVSQQVSASVTDVTLARYQKAEILRQPSRNAQQKRQVFVDFFCRPGRLENEVKELVSRVRGLPE >PAN11499 pep chromosome:PHallii_v3.1:2:24842644:24848221:-1 gene:PAHAL_2G176200 transcript:PAN11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRAVKLLEAHKSGSPALCSAAWGPGGQHVVTASAADTAVLIHDAAALHAGSRVSGLAPLATMRLHKDGVTALAVAPGPGGSLASGSIDHSVKFYTFPEGEFQSNVARFTLPIRSLSFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLAFDPRNDYLASVDSFGTVIYWDLCIGSEARILTRVAPTFHCDNSVRNVLCWSPDGETLAVPGLKNNVVLYDRDTGEEVSTLKGDHEQPVCSLCWSPNGRYLASAGLDRQVLIWDVKSRQDIERQKFDERICSLAWKPDGNSLLMIDVMGRFGIWESIIPSTMKSPTEGIPDLKSTKVPLFDDGDDGDDYEKPCTSGGLEDDIDESLCDSTLFSHKRLKRKSTFNGDSEDEDLIHQLESSKRMKHKHKGIKEDSGKARGDSATSERLVTARLQASFQPGSTPPQHGMRNFLTYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFGSWAGNSEWSMRFEGEEVKAVALGAGWVAAVTSLNFLRIFTEGGLQVHILSVSGPVVTAAGHGDQLAIVSHASDCLPSGDQVLDVKVFNISERAQSFSGRLLLTPSSQLSWFGFSDNGQLSSYDSKGILRVFSSQFGGSWLPVFSSVKARKSEDESHWVVGLDANNIFCILCKHPQSYPQVMPKPVLTILELSFPIASSDLGANSLENEFMMRKLHLSQIRNKMDEMAALGLDTTAYDDEEFNLEAALDRCILRLISSCCSGDKLVRATELAKLLTLEKSMKGALTLVTRLKLPMLQEKFSAILEERMLNDRKIAGTVGFCSNATITRNPPVLTTHATLTTKFVQNENSSLESSLPIPNPGNQECSLIEPKKPEGEQARGINESYLKVSSAFTPLAKVPKSNETKKDSNGASNASVVDQNKKEVMDEAKKMSTEDCNRTEPQRPVNPFAKSSSSKEQSPSLLDSIKRMKVETEKVEKPSSKVKV >PAN13799 pep chromosome:PHallii_v3.1:2:49437428:49440834:1 gene:PAHAL_2G364600 transcript:PAN13799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLPDADAAPLAAAAPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAREVSAHCADDAAAAGKPPGGGGNSAKTPNQCKNKIESMKKRYRAESAAVARAGPAAAGPSWRFFARMDGLLKGPAVCSGQVQQADLSNSIDLRAPAKAEAEVEADFTAQLTDAGPGVFSDLMNIDTNGAVPEKAEKVDNSVQKESRAADSDANVSSPRSKVANEDAEEVDKVWDRPKKRKSTEFDIAKSIELLASSYLKIEHARMEMCRETEKMRVEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKEGCGKTGGSSSVTAEVDTHTKKGENGSG >PAN13800 pep chromosome:PHallii_v3.1:2:49437428:49440834:1 gene:PAHAL_2G364600 transcript:PAN13800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLPDADAAPLAAAAPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAREVSAHCADDAAAAGKPPGGGGNSAKTPNQCKNKIESMKKRYRAESAAVARAGPAAAGPSWRFFARMDGLLKGPAVCSGQVQQADLSNSIDLRAPAKAEAEVEADFTAQLTDAGPGVFSDLMNIDTNGAVPEKAEKVDNSVQKESRAADSDANVSSPRSKVANEDAEEVDKVWDRPKKRKSTEFDIAKSIELLASSYLKIEHARMEMCRETEKMRVEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKEGCGKTGGSSSVTAEVDTHTKKGENGIQISIWMKRNKEFYRFRVMCHSLMLSS >PAN09198 pep chromosome:PHallii_v3.1:2:278310:279741:1 gene:PAHAL_2G003400 transcript:PAN09198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKYAASHDITEVTKAGYDACSGANPVKSYTGGATIVKLAAPGKRYFICSVPGHCAAGMKLEVTVAAAAVTAPAPGKGGKPRHKRSVAPTPAPAMAAPEPSSVPSTDGLPTVSTPTAAPAPKSSDAASIGVLGAKAGMALAVGMTLALAI >PVH63363 pep chromosome:PHallii_v3.1:2:879984:880826:-1 gene:PAHAL_2G012900 transcript:PVH63363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAETHLKFSTRPHLLLSSAPRISLSPIQQPNELHPLHSAAPPSSRTAPALLDDLTEEIFLRIHLADAATLVRATLVCRRWCRLIAAPGFRRRFREFHRAPHMLGFSCNIDLGRYVVDDLGSFYGRPSTVARFVRTSFCLKRVDMESSRMVDTRHGRVLLHRASDWGNNYLFVWDPITKEGRNCPRSCPSGTRTAGTRPCSAPPPGATTWTATANPSPCSSWPQATQGFSTSCTHRRLSPVPLWGMNSTSCSRQRPKS >PVH63974 pep chromosome:PHallii_v3.1:2:15142741:15143598:1 gene:PAHAL_2G149400 transcript:PVH63974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSCNPRQEQPLPRHPSLLIDALESLSVTEHPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFSPPAIRIPVWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTQSGTPVPAIGEDHVLLGTPVIGWGPLFGNAQAPHENPESSAAAVERDAAAQPLTDGNPEDGGQGLLTLPAPEEGTPRE >PVH64776 pep chromosome:PHallii_v3.1:2:48963105:48965222:1 gene:PAHAL_2G356200 transcript:PVH64776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDCYLVDFGGRMLLTVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVRPFTLADHLLSYCHHVQWSKGFMFHEYFSIPASWKKMVRKLKAQDQEIQVPFMIREKTEGRLRSSDMKNSPPWYLARC >PVH64773 pep chromosome:PHallii_v3.1:2:48963105:48965779:1 gene:PAHAL_2G356200 transcript:PVH64773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDCYLVDFGGRMLLTVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVKRIYVSRVL >PVH64780 pep chromosome:PHallii_v3.1:2:48963507:48964951:1 gene:PAHAL_2G356200 transcript:PVH64780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDCYLVDFGGRMLLTVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVRPFTLADHLLSYCHHVQWSKGFMFHEYFSIPASWKKMVRKLKAQDQEIQVPFMIREKTEGRLRSSDMKNSPPWYLARC >PVH64777 pep chromosome:PHallii_v3.1:2:48963105:48965779:1 gene:PAHAL_2G356200 transcript:PVH64777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDCYLVDFGGRMLLTVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVRPFTLADHLLSYCHHVQWSKGFMFHEYFSIPASWKKMVRKLKAQDQEIQVPFMIREKTEGRLRSSDMKNSPPCSFFLSSTAASPSSNTPNRAPASGMPTLATNATPRKTNTWLHATCYKMFSYASSSPRTSARLLELGRTRTAGRSWTEAAESAQRKGRC >PVH64775 pep chromosome:PHallii_v3.1:2:48963105:48965780:1 gene:PAHAL_2G356200 transcript:PVH64775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDCYLVDFGGRMLLTVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVKRIYVSRVL >PVH64778 pep chromosome:PHallii_v3.1:2:48963105:48965780:1 gene:PAHAL_2G356200 transcript:PVH64778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDCYLVDFGGRMLLTVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVRPFTLADHLLSYCHHVQWSKGFMFHEYFSIPASWKKMVRKLKAQDQEIQVPFMIREKTEGRLRSSDMKNSPPCTAASPSSNTPNRAPASGMPTLATNATPRKTNTWLHATCYKMFSYASSSPRTSARLLELGRTRTAGRSWTEAAESAQRKGRC >PVH64774 pep chromosome:PHallii_v3.1:2:48963105:48965143:1 gene:PAHAL_2G356200 transcript:PVH64774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDCYLVDFGGRMLLTVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVRPFTLADHLLSYCHHVQWSKGFMFHEYFSIPASWKKMVRKLKAQDQEIQVPFMIREKTEGRLRSSDMKNSPPWYLARC >PVH64779 pep chromosome:PHallii_v3.1:2:48963105:48965150:1 gene:PAHAL_2G356200 transcript:PVH64779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDCYLVDFGGRMLLTVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVKRIYVSRVL >PAN15107 pep chromosome:PHallii_v3.1:2:55409059:55410384:1 gene:PAHAL_2G464900 transcript:PAN15107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAALTTLLALLCSVTRCQAEGYGYGYPGSGGGYPSPRPTPPAPTPSGAGLAVGFYRDACPNAEAIVRDVVEKAVEQNPGVGAGLIRMLFHDCFVQGCDASVLLDPTAANSQPEKLSPPNSPSLRGFEVIDAAKAALEASCPGTVSCADIVAFAGRDASAVLSDGRADFDMPAGRRDGRVSSANDALQFLPPPSFNLSELAASFAAKGLDANDLVVLSGAHTVGRSHCSSFVGDGRLNASTSDMNPALAASLRSQCPANPTAANDPTVVQDVVTPGKLDSQYYKNVLNRNVLFTSDAALLKSGQTAAAVVLNAFVPGLWEQKFAKAMVKMASIEVKTGANGEIRRNCRVVN >PVH63390 pep chromosome:PHallii_v3.1:2:1341094:1343120:-1 gene:PAHAL_2G019400 transcript:PVH63390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFNGVLLKLSFFFLCLNFPTTLAAGDDRRFIYSGFAGSDIIVNGLAEVTPNGLLHLTNGTAQLKGQAFHPTPLHFCNQSLDGTVQSFSAAFVFAIRSIAPGMSAHGLTFFISPTKNMSSAFSNQFLGLLSKKNNGNSSNHIFAVELDTVLSSDLLDADDNHVGIDINDLRSVKSHYAGYYDDTSGNFHNLTLASFEAMQVWVDYDGGRRRIDVTLAPLQMAKPKKPLVSTTYDLSTVLKDRSYVGFSSSTGILGTRITTPAPAIDISKLPKLPRIGPKPRSKLLDIILPVASATFILALVTVIFLVIRRKIRYAELREDWEVEFGPHRFSYKDLFHATEGFKNKHLLGVGGFGRVYKGVLRKSKSEVAVKRVSHELRQGMKEFIAEVVSMGRLRHKNIVQLLGYCRRKGELLLVYDYMPNRFRIIQGVASGLFYLHEDWEQVVIHRDIKASNVLLDSEMNGRLAPELTRMGRASTLTDVFAFGVFLLEVTCGRRPIAQQDGQDTDTPFMLVDWVLEHWQTGSLPNVVDTRLANNYSVDEACLTLKLGLLCSHPSPSARPNMRQVVQYLDGNATFPEQILTETTRGGGVAWGANSAVSSSPPSSTSFGTISVDLSVGR >PAN12266 pep chromosome:PHallii_v3.1:2:41955172:41957233:-1 gene:PAHAL_2G254200 transcript:PAN12266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRRCRHGNELLGAQGHAASLPRAGGGEEEGGSEARQQQARRRSPPRLRGRRHPHVGAPGRCPRQALRRYVPDLLFFGGSALPAGGGGGRSPAFQADCVAAALRRLGVGRCAVVGFSYGGFVAFRMAEAHPGLVASVVVTGSLADMTCSTSERMLRRLGAASFAELLLPGDVAGLRSLFAAGTHRKWWFPDFVLKDYLELMMFNRKERAELLEGMVISDEDAAAPSFRQDILLLWGENDSIFTVELASKLKEQLGERAALRSVREAGHLVMLERPRAFNRCLREFLLLQQQPRTTTTAMAASKAGL >PAN09824 pep chromosome:PHallii_v3.1:2:3865102:3869073:-1 gene:PAHAL_2G052300 transcript:PAN09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALKAAASTAGSASLAAAGPRRGAAPAAGRVSFRGAVAGPGVAVRAAAAAVAQDKRSISGTFAELREQGKTALVPFITAGDPDLATTAKALKILDACGSDVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPELSCPVSLFTYYNPILKRGIPNFMTIVKEAGLHGLVVPDVPLEETDILRSEAAKNNLELVLLTTPTTPNERMEKIAQASEGFIYLVSTVGVTGTRANVSNKVQSLLQDIKKVTEKPVAVGFGVSTAEHVKQIAGWGADGVIVGSAMVRLLGESASPEEGLKKLEELAKNLKAALE >PVH65207 pep chromosome:PHallii_v3.1:2:54025375:54027551:-1 gene:PAHAL_2G439500 transcript:PVH65207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRMVAAVLAAVAVASGSARGVAARPLVGEGGGGAWRDDRAPLQASRAFNIAHRGSNGELPEETAAAYARAIDEGADFIEADIEATKDGHLVCFHDTTLDATTDVANRPEFAGRRRTLEVQWANVTGYFVTDFTLAELKTLRAKQRWNFRDKSHDGISPIITFEEFINIALNAKRVVGIYPEMKNPAFMNKHVQWADGKKYEDKFIATLKKYGYGGRYMSPEWRAKPVFVQSFAPTALVRAAGLTDSPLVFLIDDVTVRTEDTNQSYDEITSGEYLDYMKKYVVGIGPWKDTVVPPTKDNRLATPTDLVAMAHARGLQVHPYTYRNENKFLHFNFRQDPYAEYDYWINDVGVDGLFTDFPASLRRFQEWTAKKKD >PVH64638 pep chromosome:PHallii_v3.1:2:46728662:46731104:-1 gene:PAHAL_2G320200 transcript:PVH64638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLSCLLNPRKNCLQAALSARATPLPSSSPLLERSRRPAPPPPPATPPCAALPSPATAGLPPPAALPLRATKDARGPQGGCPSRARLQGRPPPGAARKLWPPPALRCPPASSRAPSPLAAPPFRCSLMHPLEIHEKDARWRATDALMCLAWDGDGNGQRKYLHGVDAKVAGDDTMLLGLPAQGLPAAVDRASVFPVLLSRGIPARSASRPLSLPPPRLPPRP >PVH65131 pep chromosome:PHallii_v3.1:2:53519067:53520940:1 gene:PAHAL_2G431500 transcript:PVH65131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASEDAISSLMAELESPAPSLDSLRRAAMELRLLAKHNPDNRVRIAKAGGVRPLVKLLSHADPLLQEHGVTALLNLSICDENKATIVEAGAIRPLVQALKSAASPAARENAACALLRLSQLDGAAAAAIGRAGAIPLLVSLLETGGPRGKKDAATALYALCSGARENRQRAVEAGAVRSLLDLMADPESGMVDKAAYVLHSLVGSGEGRAAAVEEGGIPVLVEMVEVGSSRQKEIATLSLLQICEDNVVYRTMVAREGAIPPLVALSQSPSARPKLKTKTNPFPIRQAESLIEMLRQPRSPSLRARPAAVVAAE >PAN14708 pep chromosome:PHallii_v3.1:2:53519066:53520981:1 gene:PAHAL_2G431500 transcript:PAN14708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASEDAISSLMAELESPAPSLDSLRRAAMELRLLAKHNPDNRVRIAKAGGVRPLVKLLSHADPLLQEHGVTALLNLSICDENKATIVEAGAIRPLVQALKSAASPAARENAACALLRLSQLDGAAAAAIGRAGAIPLLVSLLETGGPRGKKDAATALYALCSGARENRQRAVEAGAVRSLLDLMADPESGMVDKAAYVLHSLVGSGEGRAAAVEEGGIPVLVEMVEVGSSRQKEIATLSLLQICEDNVVYRTMVAREGAIPPLVALSQSPSARPKLKTKAESLIEMLRQPRSPSLRARPAAVVAAE >PAN10182 pep chromosome:PHallii_v3.1:2:5773944:5775760:-1 gene:PAHAL_2G076800 transcript:PAN10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGDCWDECCYKWDEWKYCLACLGIAAGAALLAALLAAFGFVRHVSVSVDEASLARFELNASSPVTSLAYNLSLTLVVRNPNWAMALRNTKPLEAALKFDDQAFDRFELAGKGDRHPPGKTRVYHLAAGAAARFAALGNAGEAEFRRENATGTFEVEVAVAGEVRYTARYTKCKVEASCPLRLQLAPRAAAAVVFQRVKCKLAKPEKNC >PAN09881 pep chromosome:PHallii_v3.1:2:4110442:4114806:1 gene:PAHAL_2G055900 transcript:PAN09881 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHL1 [Source:Projected from Arabidopsis thaliana (AT4G39100) UniProtKB/TrEMBL;Acc:A0A178UWF3] MAKSRPPKRILESYTIKGSDKVIKPGDCVLMRAYDTSKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRTYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPACIGMTIKEAKKLEHFFCQTCTAENGKMAENSHEATAQSEEKPVESKRRRR >PVH63709 pep chromosome:PHallii_v3.1:2:6632086:6633090:1 gene:PAHAL_2G090000 transcript:PVH63709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKDPLILDDNGCATHSRSWRSTVKQWYKAEENSSTKQPTNTPIVEGVHLNTKAPEVVQVQASNAVQPNGDSEVVGHGDDNENIGDGEDQDIGYSWEDEDFGDGWEDEMDEEDRAGCVEGRIEPEMVSGFLHGEENRQFRSKVWNEFSKIHVAGIVTKGQYSRCTAEISAKRGAGTSAMITHLKRCKVRKSVTNIARQLRSTVMSPKGVSLDNRRFSQEVSRKELTRMILLHGLPLSIVDYEGF >PVH64412 pep chromosome:PHallii_v3.1:2:42681230:42683928:-1 gene:PAHAL_2G262100 transcript:PVH64412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPWLRESKGPVETAFTWGPRSPDHSREKSHSSVHACGLLFSFSSTFPTEKRSREEMRRASPSPSPARGPAVFAAAFVVLPPALFPRMFSPLGHAFPSLFSAQFPQQRFRISRENALDAAAGPDPLLAQLILFPDPILPPRRPPAPPPAPAGAPRAAPRRPPRGCHRATAPRDAPARRPRGAAPRRPPAAPATPPAPPRAGPRAAPLATPPAPAPAHRPRSAAPRRPRAAPARRPARPPSADLRCPPPRCFFNQLLLRPANHQLASE >PVH64411 pep chromosome:PHallii_v3.1:2:42674118:42683017:-1 gene:PAHAL_2G262100 transcript:PVH64411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSLLNEALRWAIPAEQKRELWSPLPYQGWKPCLKSSISHALPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFEEIFDVDHFINSLKDDVSIIKMLPKEFSWSTREYYGTGIRATRIKTAPLHASANWYLENVSPILQSYGIAAIAPFSHRLAFDDLPADLQRLRCNVNFQALVFRPYIISLGETLVKRLRSPVQGHSDESVHQAVDQSTNQDGKYAVLHLRFDKDMAAHSSCDFGGGRAEKLALAKYRQVIWQGRVLNSQLTDEELRNIGRCPLTPEEIGLLLVTLGFDSRTRLYLASHKV >PVH64413 pep chromosome:PHallii_v3.1:2:42682408:42683647:-1 gene:PAHAL_2G262100 transcript:PVH64413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPLGHAFPSLFSEWNAPKPMHPSLLNEALRWAIPAEQKRELWSPLPYQGWKPCLKSSISHALPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSRCF >PAN12103 pep chromosome:PHallii_v3.1:2:40833060:40838658:-1 gene:PAHAL_2G242300 transcript:PAN12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRGPVLFFKLLACLLCLFDLSHGKKQFTLKNLPPLRKASSYPTRCPATYDYIVIGGGTAGCPLAATLSLRYKVLLLERGGSPYGNRNVSYMENFHIGLMNMAPDSPAQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNKSFPWVEEKIVQWPKIAPWQAALRDGLLQAGVAPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVHRIVFDSRQGHLKPRAIGVQFTDENGRHHHAFLNNNKDSEIIVSAGAIGTPQLLLLSGIGPKNHLKSHNIPVVLHNKYVGKGMADNPMNSIFIPTRSPPRQSLIETVGITEEGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTIPPKQRTLEAAQKYAQNKLNLPKEVFHGGFILEKIDGPLSTGHLVLTDTDVRNNPAVTFNYFSHPQDLNRCVYGIKTIERILKTNRFSELSADGTGFSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDQHYRVLGVSGLRVVDGSIFSRSPGTNPQATVMMMGRYMGVKILRERLGRAAGV >PAN09815 pep chromosome:PHallii_v3.1:2:3802784:3815376:1 gene:PAHAL_2G051500 transcript:PAN09815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAILDVITDAEEQAAAKREGAKVWLEEVRKVAYQANDVLDEFKYEALRRKAKKEGHNKDLGIDVIKLFPTHNRIIFRHRMANKLCMILKEIDVLIAEMNAFRFKFKPGQPEPTNYLRQYNSNIIDPTNIASRSRADEKKAVVSTLLAQVGNENLKVHPIVGMGGLGKTTLAQLIYNDPEIQKHFELQLWVCVSDNFDADSLADRIVKENGCNPSGSSALEKLQNVVSGKRYLLVLDDVWNRDEHMWERLKSYLQHGGKGSSLLITTRDDKVAQLMGATEVKNLKSLDEIYIKEIIETKAFSSKRVEQRPRELVDMVGDVAKRCSGSPLAATALGSVLRTKTSKQEWDAVLNRSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFKQKYYPFGRIKYKYCSRITCKIHDLMHDVAESSMEKECAAIATHPSQSEYALHSARHLYLSVRQPENLLNASVEKGSPAFQTLICDGHVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSKSGIKELPEDISILYHLQTLKLSYCRKLERLPKELNYLTSLRHLYTHGCTKLKSMPGGLRHLTSLQTLTCFVAGTDSGCSNVGELQDLDLGSRLELRQLENITGANGAQAAGLGNKKKLTELELRWTDGDQEAQNNNHEEVVEGLKPHDGLKVLSIYSCGSSTFPTWMDMLNGMVELKLSRCKKLEKLPALWQLPALEILHLTGLESLHCLCSGATTAVTFPKLKALFLFRMPKFEAWLDTDVVQGEETIFPKVEELEIWACGSLTALPKAASVIAESSGGVDTKCRSAFPALRKLDLFNLSALERWGAAEGTPGEEVTFPLLEELKIDACPKLTGLPETPKLGKLAIEGYEQQISLQAASRCIPSLSSLSLEVSPDDTETTLLHVKQKWDHELPLAAMTLTRCDLLFSSHPGALALWTCFARLIDLRIGGCDALVYWPENVFQVLVSLRKLSISSCSKLTGHTQASDRQSAPERGGLLPSLEYLWINDCTSLVEVPNLSASLKELHISGCSDNIKSIIFVQPDTSSLIPGSSGSEATASTAVLKLSSAANHRSLPCLESLSIWRCDCLSEVANLPPSIKVLDIYRCDNLQSLSGKLDVVQKLNIMICSRLESLESCVGELRSLENLKLYACRSLVSIPDGPQAYSSLRVLEILDCDGIKLLPRSLRSRLDCLEEKHLDARYEEPKTLIRAIRRLVDKAATRLFPCSQDEESDSD >PVH64609 pep chromosome:PHallii_v3.1:2:46382143:46384007:1 gene:PAHAL_2G314700 transcript:PVH64609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSISPTLLLCNMYQRPDMITPGVDAQGNPIDPERIQEDFEDFYEDIFEELSKHGEIESLHVCDNLADHMIGNVYVQFREEEQAASALQALQGRYYSGRPIIAEFSPVTDFREATCRQFEEHSCNRGGYCNFMHVKQIGRDLRRKLFGHLHRFRRSHSRSSRSPSPYRHRRSSSRSRDRDDDYDYYYHYRSGSGSRRSSERHRSHDSDGSRRRRGRSRSRSRSPVREGSEERRARIEQWNREREAAHV >PAN13112 pep chromosome:PHallii_v3.1:2:46382158:46384007:1 gene:PAHAL_2G314700 transcript:PAN13112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSISPTLLLCNMYQRPDMITPGVDAQGNPIDPERIQEDFEDFYEDIFEELSKHGEIESLHVCDNLADHMIGNVYVQFREEEQAASALQALQGRYYSGRPIIAEFSPVTDFREATCRQFEEHSCNRGGYCNFMHVKQIGRDLRRKLFGHLHRFRRSHSRSSRSPSPYRHRRSSSRSRDRDDDYDYYYHYRSGSGSRRSSERHRSHDSDGSRRRRGRSRSRSRSPVREGSEERRARIEQWNREREAAHV >PAN13111 pep chromosome:PHallii_v3.1:2:46382143:46384007:1 gene:PAHAL_2G314700 transcript:PAN13111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSISPTLLLCNMYQRPDMITPGVDAQGNPIDPERIQEDFEDFYEDIFEELSKHGEIESLHVCDNLADHMIGNVYVQFREEEQAASALQALQGRYYSGRPIIAEFSPVTDFREATCRQFEEHSCNRGGYCNFMHVKQIGRDLRRKLFGHLHRFRRSHSRSSRSPSPYRHRRSSSRSRDRDDDYDYYYHYRSGSGSRRSSERHRSHDSDGSRRRRGRSRSRSRSPVREGSEERRARIEQWNREREAAHV >PAN13458 pep chromosome:PHallii_v3.1:2:47876829:47882371:-1 gene:PAHAL_2G338300 transcript:PAN13458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPQEAIDTFVSITGADEALAVRKLEEHGGDLNQAINSHFNEGDSTLNAINQNTVTASRDDMMDVDGPLDNTFQRSLFPENFRDPFALMDPNFQQQFFDSIGATDSVTLGPLVSHPREVREIPIEVKEGDPQTGPSGQAPVIEDVTGNESSHGPEVQETIIIDDEDDMLPSAPSAPHANIPSNTSSVPTAPPLVHVNDYDDDIEEEMIRAAIEASKKDAEGLANIVEQGGDQHPEGVNLGEHSSDEADMGTADGTVERQGLASGKAGTSRQPIDEESLQEDTEDVEEQPLVRRRSRRVPSESTELAPMVQPGPSPVLNNRQSNGDDFPSEWGGISSEEHDEAVMLEAAMFGGVPEGPAYPFSMPSHRSSTYYPPLAHSPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEEEERRKKQLEEEELESNLASKQASLPSEPPPDIEGAVTVVVRMPDGSRQGRRFLKTDKLQFLFDFLDIGRTCKPGTYRLVRTYPRRTFTTSEGDVSFSDLGLTSKQEALFLEHITE >PAN12721 pep chromosome:PHallii_v3.1:2:47876829:47880793:-1 gene:PAHAL_2G338300 transcript:PAN12721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVDGPLDNTFQRSLFPENFRDPFALMDPNFQQQFFDSIGATDSVTLGPLVSHPREVREIPIEVKEGDPQTGPSGQAPVIEDVTGNESSHGPEVQETIIIDDEDDMLPSAPSAPHANIPSNTSSVPTAPPLVHVNDYDDDIEEEMIRAAIEASKKDAEGLANIVEQGGDQHPEGVNLGEHSSDEADMGTADGTVERQGLASGKAGTSRQPIDEESLQEDTEDVEEQPLVRRRSRRVPSESTELAPMVQPGPSPVLNNRQSNGDDFPSEWGGISSEEHDEAVMLEAAMFGGVPEGPAYPFSMPSHRSSTYYPPLAHSPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEEEERRKKQLEEEELESNLASKQASLPSEPPPDIEGAVTVVVRMPDGSRQGRRFLKTDKLQFLFDFLDIGRTCKPGTYRLVRTYPRRTFTTSEGDVSFSDLGLTSKQEALFLEHITE >PAN10862 pep chromosome:PHallii_v3.1:2:9938881:9946597:1 gene:PAHAL_2G118900 transcript:PAN10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEVQNCVVKLRSNPRRHRDEVYVGCGAGFGGDRPMAALKLLQRVKELNYLVLECLAERTLADRFRIMVSGGKGYDPRVKEWMSVLLPLAFERKVCIITNMGAMDPLGAQKEVLNLASILGLEITVAVAYESSFKTQGSPLSSNESTGPGGGRSTYLGAASIVHCLENYKPHVVITSRVADAALFLAPMIYELGWNWNDMEELAQGTLASHLLECGCQLTGGYFMHPGDEYRDFSFEQLVDLSLPYAEVSYGGEVIVGKADGSGGLLSHSTCAEQLLYEVGDPANYITPDLVVDFCNVQFHQISTDKVQCEGAKPSDACYPEKLLQLYPTEGGWKGWGEISYGGHQCLKRAQAAEYLVRSWMGERYPDIDEKIVSYIMGYDSLKAIGSDKDSYSSKQVIDARLRMDGLFELEEHAVQFVEEFIALYTNGPAGGGGISNGQRKELILQKMLVDRENIFWRAHAKKASIPCLQNQAMDSETVQMHILQSQKNPTSRAMGSQHINTSMGTQLSPVRASPGKKIALYHIAHSRAGDKGNDINFSIIPHFPGDIGRLRVVITPDWVKNVVSPLLDLSSFPDERVIQRRINLLELVSVEIYDVPGISSLNVVVRNILDGGVNCSRRIDRHGKTLSDLILCQEVVLPP >PAN13741 pep chromosome:PHallii_v3.1:2:52706277:52707385:-1 gene:PAHAL_2G417700 transcript:PAN13741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVAVAITSAQSTQPILAREPSRKLTSLHAAATARAGRTDMPRNYQSHPRRRRHCSTEEDRTGPAGAHVLLPFQPENPGPSGRMGPVRGRPGTSVVRNCRRVSLSARDVSTPPPTTRRAPVGWWCLSPRPGTAGEPGRPFQLG >PVH64622 pep chromosome:PHallii_v3.1:2:46524560:46525000:1 gene:PAHAL_2G316900 transcript:PVH64622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGILEFRMDEEIPGGGTCGRFATRRWGSCATFADASLGREGDLMAMLGRLETAAGRGRGEQAEWDLQDANLYTGSVASSATSCVDDLASISDAGRKALAPPVGGGLGGKRSSSRGHCTRPCFFHEALNVLQSEYMCPLNNLVSP >PVH63858 pep chromosome:PHallii_v3.1:2:10511723:10524625:1 gene:PAHAL_2G122500 transcript:PVH63858 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MVKQWSCSPTDNRCSAARECATRGRAQTQKWPQIPPQRHRRVLQLSPCRAAPLPLLSPPHKPSQNPGSIGAEGEAASAMAISTLSARLLLAHAPSSRAAAPAPPRPVAHPTHLPSSPSSRRRPLLRLARPPRAYISAPAPGPEAAYAPPSLDAAAAAADVAAAISSSDAVTWAGVWALLSRHRARIAVCLAALLACTTCTLSMPLFSGRFFETLIGRGNEPLSRLLSKVAVLYTLEPIFTIIFVVNMTVIWEQVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGSLKNLVSDNISRDRGLRALSEITGTLCILFTLSTELAPVLGLLMVSISMLVALFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISLFDNLARAYKNGGTKLGVLKSANESLTRVVVYVSLLALYILGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTLASIERINSLLSAKDIDDSLAYGLAKELDSKELEDSNGGVYENGFINKHFMSELKSSSSCSNLAWSGDIHLKDVHFSYPLRSDVEILNGLDLTIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGCITVAGEDIRIFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDNVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHRIAVCSEGKIIELGTHTELVAKGGSYASLVGTQRLAFE >PVH63859 pep chromosome:PHallii_v3.1:2:10511723:10524625:1 gene:PAHAL_2G122500 transcript:PVH63859 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MVKQWSCSPTDNRCSAARECATRGRAQTQKWPQIPPQRHRRVLQLSPCRAAPLPLLSPPHKPSQNPGSIGAEGEAASAMAISTLSARLLLAHAPSSRAAAPAPPRPVAHPTHLPSSPSSRRRPLLRLARPPRAYISAPAPGPEAAYAPPSLDAAAAAADVAAAISSSDAVTWAGVWALLSRHRARIAVCLAALLACTTCTLSMPLFSGRFFETLIGRGNEPLSRLLSKVAVLYTLEPIFTIIFVVNMTVIWEQVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGSLKNLVSDNISRDRGLRALSEITGTLCILFTLSTELAPVLGLLMVSISMLVALFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISLFDNLARAYKNGGTKLGVLKSANESLTRVVVYVSLLALYILGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTLASIERINSLLSAKDIDDSLAYGLAKELDSKELEDSNGGVYENGFINKHFMSELKSSSSCSNLAWSGDIHLKDVHFSYPLRSDVEILNGLDLTIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGCITVAGEDIRIFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDNVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHRIAVCSEGKIIELGTHTELVAKGGSYASLVGTQRLAFE >PVH63375 pep chromosome:PHallii_v3.1:2:1093701:1096073:-1 gene:PAHAL_2G016100 transcript:PVH63375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDEPVSPVERLMKDLYVVAAIGLAAPLNLPVFRAGLEAQLARHPYFRSIQVAGKDGAPRWVTTAVNLDDHIVVPRLDGADPDRAVEDYLASLTTLPMDHTRPPWEFHFLDVRTAEAAATVALRMHHALADGVALITLLISSARSSADPAKPAPAPPPPARRTGAIYAPPRAALLVRVWSYLLLAWHTLVDVAAFAATILFLRDPNTLFKRAAADHGEPRRRMRFVHRSFSLGDVKFIKNAMNYTVNDVLVGVTSAALSRYFFRKTGDTKTRGIVLRSILPVNTRPTTSLQTDVDMIESGKSNAVRWGNRLGYIILPFHLAMHDDPLEYVRKAKRVIVRKKNSLEVIIIHMAIEIVFKILGPKQAGAYIFNSVLRNTTMTFSNLIGPPEKIELCGHPVAYIAPSVYGLQQALTVHIQSYCDTIKVILAVDEEQFPDPRQLLDDFAESLNLTKDAAAKASTKLIKNE >PAN09347 pep chromosome:PHallii_v3.1:2:1093511:1096121:-1 gene:PAHAL_2G016100 transcript:PAN09347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDEPVSPVERLMKDLYVVAAIGLAAPLNLPVFRAGLEAQLARHPYFRSIQVAGKDGAPRWVTTAVNLDDHIVVPRLDGADPDRAVEDYLASLTTLPMDHTRPPWEFHFLDVRTAEAAATVALRMHHALADGVALITLLISSARSSADPAKPAPAPPPPARRTGAIYAPPRAALLVRVWSYLLLAWHTLVDVAAFAATILFLRDPNTLFKRAAADHGEPRRRMRFVHRSFSLGDVKFIKNAMNYTVNDVLVGVTSAALSRYFFRKTGDTKTRGIVLRSILPVNTRPTTSLQTDVDMIESGKSNAVRWGNRLGYIILPFHLAMHDDPLEYVRKAKRVIVRKKNSLEVIIIHMAIEIVFKILGPKAGAYIFNSVLRNTTMTFSNLIGPPEKIELCGHPVAYIAPSVYGLQQALTVHIQSYCDTIKVILAVDEEQFPDPRQLLDDFAESLNLTKDAAAKASTKLIKNE >PVH65284 pep chromosome:PHallii_v3.1:2:54728541:54732452:1 gene:PAHAL_2G452300 transcript:PVH65284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERISKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSHSRSRDRYSRDSYRERDYRRRSRSRSYSPDGYKKHGRDSLSPARRSPSRSRSRSRSYSPDDTKRRARDSSASPASRSPSRSPPRKTPPSPERSPVRRKRNDDRSPRSRSPST >PVH65283 pep chromosome:PHallii_v3.1:2:54728541:54732452:1 gene:PAHAL_2G452300 transcript:PVH65283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERISKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSHSRSRDRYSRDSYRERDYRRRSRSRSYSPDGYKKHGRDSLSPARRSPSRSRSRSRSYSPDDTKRRARDSSASPASRSPSRSPPRKTPPSPERSPVRRKRNDDRSPRSRSPST >PVH65280 pep chromosome:PHallii_v3.1:2:54728541:54732452:1 gene:PAHAL_2G452300 transcript:PVH65280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSHSRSRDRYSRDSYRERDYRRRSRSRSYSPDGYKKHGRDSLSPARRSPSRSRSRSRSYSPDDTKRRARDSASPASRSPSRSPPRKTPPSPERSPVRRKRNDDRSPRSRSPST >PVH65282 pep chromosome:PHallii_v3.1:2:54728541:54732452:1 gene:PAHAL_2G452300 transcript:PVH65282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSHSRSRDRYSRDSYRERDYRRRSRSRSYSPDGYKKHGRDSLSPARRSPSRSRSRSRSYSPDDTKRRARDSASPASRSPSRSPPRKTPPSPERSPVRRKRNDDRSPRSRSPST >PVH65278 pep chromosome:PHallii_v3.1:2:54728541:54732452:1 gene:PAHAL_2G452300 transcript:PVH65278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERISKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSHSRSRDRYSRDSYRERDYRRRSRSRSYSPDGYKKHGRDSLSPARRSPSRSRSRSRSYSPDDTKRRARDSASPASRSPSRSPPRKTPPSPERSPVRRKRNDDRSPRSRSPST >PVH65281 pep chromosome:PHallii_v3.1:2:54728541:54732452:1 gene:PAHAL_2G452300 transcript:PVH65281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERISKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSHSRSRDRYSRDSYRERDYRRRSRSRSYSPDGYKKHGRDSLSPARRSPSRSRSRSRSYSPDDTKRRARDSASPASRSPSRSPPRKTPPSPERSPVRRKRNDDRSPRSRSPST >PVH65285 pep chromosome:PHallii_v3.1:2:54728541:54732452:1 gene:PAHAL_2G452300 transcript:PVH65285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSHSRSRDRYSRDSYRERDYRRRSRSRSYSPDGYKKHGRDSLSPARRSPSRSRSRSRSYSPDDTKRRARDSSASPASRSPSRSPPRKTPPSPERSPVRRKRNDDRSPRSRSPST >PVH65279 pep chromosome:PHallii_v3.1:2:54728541:54732452:1 gene:PAHAL_2G452300 transcript:PVH65279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSHSRSRDRYSRDSYRERDYRRRSRSRSYSPDGYKKHGRDSLSPARRSPSRSRSRSRSYSPDDTKRRARDSSASPASRSPSRSPPRKTPPSPERSPVRRKRNDDRSPRSRSPST >PAN14138 pep chromosome:PHallii_v3.1:2:50843051:50846517:-1 gene:PAHAL_2G386600 transcript:PAN14138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQDPSSSPSTAAGGGGARPKRTSSAPIRPADYAHSPAHHCVALRDAAGLQAILAGLPPLAHPSRVLTAADAAREARLASSVAAALDRRDVPGGDTALHLAVRLRLPSMASALAAAGADPTLQNHAGWTPLQEALCLGCRDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGADLRADTTLAGFDGLRIRRADHSFLFFGEEANAGGRRLPPGSLLVLHRGRREVHDAFAAAAAAGDEDAATSDAAAYRPGLNISSARLVPRTTWLRKEKTENVGEWKARVFDVHNVVFSFRTLKAASAGRKDFTFELAGDEDGGGDDDEFLPLEIRDDDEDGDFLVADIPPPPARRSCYVPGRRSVAGPPSHLGTPQRRRNSVDVPRRLPACASVGRGEDGLFGRHSGTTTTGGAKWKEEETVKTLRPSVWLTEDFPLSVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLIEPEEFFTPMSSPSLLASPGPGSIMAKPDTHKSSYLKWSSKSSRSKPANLSQVTDNADPFTVPSDYTWVNSLGSKNHDKKSSKSKKGKSKET >PAN09635 pep chromosome:PHallii_v3.1:2:2742975:2743372:1 gene:PAHAL_2G038800 transcript:PAN09635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNFCLLSIVNEVTFPTFVNEVGCMMVGEVTECLRESGWSTHKLLLIMYFPCLLAVVWQSVHVTSVKIVLVKSRLIWSVTSANFVSCRIMRGGTSMGSHRSRRHTT >PVH64563 pep chromosome:PHallii_v3.1:2:45729319:45742276:1 gene:PAHAL_2G303800 transcript:PVH64563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAPAASLFRPRASVRSPVLLAADSGAARRRPGADGRSGVSRRSLEASPPGGGRSAVRKHGSTGVAPRRSGITRVPKLGPAVSTKRAGRRKDPLINLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKANDSGDNEMGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVRLAKVALRAGAGNMVNAILRKLLLLKEKNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEAQRLMNWNNSDPRFSLRVNTSKGYTRADLVKRLESLQVHYEESVMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGDTIIDCCAAPGGKTLFMASRLSRQGKIRALDVNKGRLRILMDAAKQHSLDDMITDIHADLQLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITTFVQRHPEFAIQSVRGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSML >PAN12958 pep chromosome:PHallii_v3.1:2:45729319:45742276:1 gene:PAHAL_2G303800 transcript:PAN12958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAPAASLFRPRASVRSPVLLAADSGAARRRPGADGRSGVSRRSLEASPPGGGRSAVRKHGSTGVAPRRSGITRVPKLGPAVSTKRAGRRKDPLINLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKANDSGDNEMGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVRLAKVALRAGAGNMVNAILRKLLLLKEKNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEAQRLMNWNNSDPRFSLRVNTSKGYTRADLVKRLESLQVHYEESVMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGDTIIDCCAAPGGKTLFMASRLSRQGKIRALDVNKGRLRILMDAAKQHSLDDMITDIHADLQLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITTFVQRHPEFAIQSVRGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSML >PAN12959 pep chromosome:PHallii_v3.1:2:45729319:45742523:1 gene:PAHAL_2G303800 transcript:PAN12959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAPAASLFRPRASVRSPVLLAADSGAARRRPGADGRSAVRKHGSTGVAPRRSGITRVPKLGPAVSTKRAGRRKDPLINLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKANDSGDNEMGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVRLAKVALRAGAGNMVNAILRKLLLLKEKNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEAQRLMNWNNSDPRFSLRVNTSKGYTRADLVKRLESLQVHYEESVMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGDTIIDCCAAPGGKTLFMASRLSRQGKIRALDVNKGRLRILMDAAKQHSLDDMITDIHADLQLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITTFVQRHPEFAIQSVRGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSML >PAN12960 pep chromosome:PHallii_v3.1:2:45729319:45742276:1 gene:PAHAL_2G303800 transcript:PAN12960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAPAASLFRPRASVRSPVLLAADSGAARRRPGADGRSAVRKHGSTGVAPRRSGITRVPKLGPAVSTKRAGRRKDPLINLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKANDSGDNEMGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVRLAKVALRAGAGNMVNAILRKLLLLKEKNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEAQRLMNWNNSDPRFSLRVNTSKGYTRADLVKRLESLQVHYEESVMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGDTIIDCCAAPGGKTLFMASRLSRQGKIRALDVNKGRLRILMDAAKQHSLDDMITDIHADLQLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITTFVQRHPEFAIQSVRGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSML >PAN12961 pep chromosome:PHallii_v3.1:2:45729319:45742639:1 gene:PAHAL_2G303800 transcript:PAN12961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAPAASLFRPRASVRSPVLLAADSGAARRRPGADGRSGVSRRSLEASPPGGGRSAVRKHGSTGVAPRRSGITRVPKLGPAVSTKRAGRRKDPLINLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKANDSGDNEMGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVRLAKVALRAGAGNMVNAILRKLLLLKEKNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEAQRLMNWNNSDPRFSLRVNTSKGYTRADLVKRLESLQVHYEESVMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGDTIIDCCAAPGGKTLFMASRLSRQGKIRALDVNKGRLRILMDAAKQHSLDDMITDIHADLQLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITTFVQRHPEFAIQSVRGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSML >PVH64564 pep chromosome:PHallii_v3.1:2:45729319:45742523:1 gene:PAHAL_2G303800 transcript:PVH64564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAPAASLFRPRASVRSPVLLAADSGAARRRPGADGRSGVSRRSLEASPPGGGRSAVRKHGSTGVAPRRSGITRVPKLGPAVSTKRAGRRKDPLINLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKANDSGDNEMGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVRLAKVALRAGAGNMVNAILRKLLLLKEKNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEAQRLMNWNNSDPRFSLRVNTSKGYTRADLVKRLESLQVHYEESVMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGDTIIDCCAAPGGKTLFMASRLSRQGKIRALDVNKGRLRILMDAAKQHSLDDMITDIHADLQLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITTFVQRHPEFAIQSVRGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSML >PVH64565 pep chromosome:PHallii_v3.1:2:45729318:45742413:1 gene:PAHAL_2G303800 transcript:PVH64565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAPAASLFRPRASVRSPVLLAADSGAARRRPGADGRSGVSRRSLEASPPGGGRSAVRKHGSTGVAPRRSGITRVPKLGPAVSTKRAGRRKDPLINLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKANDSGDNEMGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVRLAKVALRAGAGNMVNAILRKLLLLKEKNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEAQRLMNWNNSDPRFSLRVNTSKGYTRADLVKRLESLQVHYEESVMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGDTIIDCCAAPGGKTLFMASRLSRQGKIRALDVNKGRLRILMDAAKQHSLDDMITDIHADLQLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITTFVQRHPEFAIQSVRGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSML >PAN12962 pep chromosome:PHallii_v3.1:2:45730935:45742523:1 gene:PAHAL_2G303800 transcript:PAN12962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVRLAKVALRAGAGNMVNAILRKLLLLKEKNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEAQRLMNWNNSDPRFSLRVNTSKGYTRADLVKRLESLQVHYEESVMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGDTIIDCCAAPGGKTLFMASRLSRQGKIRALDVNKGRLRILMDAAKQHSLDDMITDIHADLQLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITTFVQRHPEFAIQSVRGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSML >PVH64094 pep chromosome:PHallii_v3.1:2:27358040:27358978:1 gene:PAHAL_2G183300 transcript:PVH64094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPNIRWDREGHAHTNALHWEGFPRLLWESLQIFGYDAPPRYDGYKFVEAGVPRCRVKMTIPQHPSRFLWQPVTICTIGNRLVDTFESTALEAIHIFYDKHPEEVAAYPIGLFPATDSRDPEWTFRISCCSHLLGDLSLETLQTLIRFMNVQHHYQLLQRRGMNQLSTLAQAHHGTITQQLDELNELHTIQNAQVDLLAQRDVIINNLENQIHERETVITQRNTIIEFLQDQVQDLTIELDDAVNHINEFHEQPVPPVVPEENESEEEEDPEEIEGESSLDSEHGDPAPNPQSIHSSSGSLSSVGNLDDY >PAN13558 pep chromosome:PHallii_v3.1:2:48313780:48316446:1 gene:PAHAL_2G346300 transcript:PAN13558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGLLRQAARADLYERPARRIFDDTEKALDKALALVDKCRAHGLVRRVFTIIPAGSFKKMTNQLDNSIGDLSWLLRVSSSANDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLKLVKEGRLEGQENAALAVGLLGRDPECVEQMVQVGACLAFAKVLKEGPMKVQAMVAWAVSELAANHPKCQDAFAQHNVIRLLVGHLAFETVQEHSKYAITSKMSIHSVVMDKKNSTGSAEFDAADHSVMRYPTGQPSQNKNEMHSLVQSTMAAKSNGGSAKHVVTSGGVVATKQHNASLSGTSTRGREFEDPETKAYMKANAAKALWQLAKGNAAICKNITESRALLCFAVLLEKGEGDVQYNSAMALMEICCVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLIPCIMSLGCLSRTFRATETRIIGPLVKLLDEREADVSREAAIALTKFACTENYLHVDHSKAIINAGGAKHLVQLVYFSEQVVQIAALTLVCYIAHNVPDSEELAQAEILTVLEWASKQAYMMQDPVIENLLPEAKIRLELYQSRGAKGYH >PAN14617 pep chromosome:PHallii_v3.1:2:53073447:53077779:1 gene:PAHAL_2G424300 transcript:PAN14617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKRPQGVVMLLMLMVFDVSGAFVGITIGNDMSNLPSATDIVAILKEKKIQHVRLIDSNHQMLTALANTGIEVMVGVPNDQLLRVGQSRSTAADWINKNVAAYVPATNITYIAVGDEVLTNIPNAALVLVPALQFLQSALLAANLNNQVKISSPQSMDMISKAFPPSTATFNSTWNTIMSQYLQFLKDTGSSFMLNAQPYYGYVGGQGVFPLEYALFRSLSPNSQISDPNTNLFYTNMFDAIIDATYNSIQAMNFTGIPVLVTASGWPWRGGPNEKAATVDNALAYNTNLVRHVLNNSGTPSQPMNQSSTYIFELFNEDRRSGPVSEKSWGIMFPNATTVYSLSFEDVATTIPESPALRGMFCVANSSAPHSALKHSLDWACGPGSANCSAIQPGQPCYESDNIVAIASYAFNDYYHRTQASGGTCSFNGTAMITSTDPSHGSCIFAGSTGANGSDGGTASGPVSPDSFASKSQSCWLTHLVAAVLLIVVM >PAN14077 pep chromosome:PHallii_v3.1:2:50574623:50576415:1 gene:PAHAL_2G382900 transcript:PAN14077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAPTIVLVPLCVPGHLPSLFEAGKRLLRTSGGAMSLTVLFMQMTMEANLMSDVADLIRREAGSGLDIRFHHLPAVELPTDSHGTENFIMHFIQLHAPHVKATLSGLASPVAAVVVDYFCTTLFDAIRELALPVYVYVPCSAAMLAIILRLPALDEEVAGDLGDMEGAVEVPGMPPVPAALLPTPLLKKGPNYAWMVYHGRRIMEAAGIIVYTVAELEPPVLAAIADGRFPTVYPIGPALSSVKAPREQPHRCVVWLDAQPPASVVLLCFGSMGGSFPAPQVSEIADALERSGHRFLWVLRGPVPAGARSPYPSDANVDELLPEGFLERTKDRGLVWPTWAPQKAIIAHAAVGGFVTHCGWNSVLESMWHGVPLAPWPQHADQHLNAFQLVSMAGVAVAMEVDRKRGNFVEAAELERAVRSLMGGESEEGRKAREKAAEAKALFRRAVEEGGSSDVAMKKLAREMLEHRGCEAEASATASLVR >PAN14069 pep chromosome:PHallii_v3.1:2:50543227:50545946:1 gene:PAHAL_2G382300 transcript:PAN14069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTVVLLPLWSAGHLMSLLEAGKRLLDRAGGRLSLTVLVIPPPTEQLAAEVEGHIRREEASGLDVRFVRLPAVEPPTDFRGIEEFLSRLVQMHAPDVRAAVAALPCPVAALVLDFFCTTLIDVARDLAVPAYVYFTTNAAMLALMLRLPALHEEVTVEFEEVEGDVDVPGLPPVPPSSFPMPVMDKKNPNYTWFVYHGRRFAEADGIIVNTAAELERSALAAIADGRCTPGVRPPTVYPIGPVISFAPPSEQPQECVRWLDAQPKASVVLLCFGSGGFFTAPRAHEIAHGLERSGHRFLWVLRGPPEPGARHPTDANLAELLPDGFLESTKGRGLVWPTWAPQKEILGHAAVGGFVTHGGWNSVLESLWHGVPLAPWPLYAEQHTNAFTLVAAMGVAVAMKVDRKRDNFVEAAELERAVKELMGGGEEGRKAREKAMEMKAACRNAVQEGGSSDAALRRLAEQLVSTNGEL >PAN12000 pep chromosome:PHallii_v3.1:2:39800594:39804343:-1 gene:PAHAL_2G234300 transcript:PAN12000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSPLCFHLTFLCLYWFFVLCMDVPSASSLSFSLNFTKTTRDPCGDELVCEGDSIFSNSTIELTRKSHVANSYDGQGRVWYGTPVPLWDPVTGEVASFTTAFSFKITLSPNSTRWADGMAFFLARYYPNSSVLATGAGGGHLGLFTLNNHFNATGDYRVVAVEFDTFLNVGWDTSDEHVGIDVNSLRSEAFVDTASPGFKNRTHDSAMTATVHYDSRTKLLAVDLQIDDTLYHVNTTVDLKRELPHTVAVGFSASTGFGNELHQLLAWSFNSTLENTSEQPSSTSTSKSMLLKVLVPVLVVSACAIVGLLLWLCLTKLRRMGEPEAEEVLRCEAEFEKGLAGPRRYLYRELTAATGNFAKENLLGRGGFGSVYKGQILSSRFDNQGQQLVAVKKFSLESSQGRKEFEAEVMIISQLRHRNLVQLLGWCDCLKGLFLVYELVPEGSLDKHIHNNERLLTWPVRYKIILGLASALRYLHLDWEQCVVHGDIKPSNIMLDSSHSTKLGDFGLARLVDHGTCPRTTEFIQGTVGYIDPEFVNTHRRTTESDVYSFGIVLLEIVSGRQPVYQQEPAFTLLKWVWSLYAQDSILDAADPRLRTGGDAEDEQQMERALVVGLWCAHPDPAERPSMAQAMHALQSPDARMPVLSPQMHKQGPPISFDLGDIPSSVRSSSVM >PAN14442 pep chromosome:PHallii_v3.1:2:52257156:52259183:1 gene:PAHAL_2G410100 transcript:PAN14442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIMAATSRVLAAKTPFLGQGRAAANASPLRDVAAAASGRITMGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDDPVANNAWVYATKFAPGS >PAN09949 pep chromosome:PHallii_v3.1:2:4478950:4483410:-1 gene:PAHAL_2G060700 transcript:PAN09949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRWDEILTLPVQNPPTLEFSAADIMWSMVEGWKDSMDRLALIPYSRVNDFVRGESNNKDCPTRFHVEARRRRPPTMKCKPKVDGILEYILYWCSFGPDDYRKGGDVRPSRPFSEKRKTPAGRPNTKRGCACHFIVKRLIAEPSVALVIYNHNKHVDKKGMPCHGPMDKMAVGTKAMFAPYISDELRLQVMSLLYVGIPVETIMQRHNVMVKKQGGPSNRDDLLTHRYVRRLERKIRRSDYELDDDDTISIAIWVENHQECVFFYEDFSDTGTFVLGIQTDWQLQQMIQFGSRSLLASDSKFGTNKLKYPVHSILVFDQQKNAIPVAWIITPNFAHGEIYKWMGALYDRAHTKDPTWQLGGFIIDDPLADVRTIREVFQCPVLISLWRIRHAWHKNLVNKCSDIEKRSAMAKFLGDAISSVCRGSGNVELFEAFLQDFVDCAGFLDYFRALWFPRLGAWTTLLKATPLASAEVASAIESYHHLLKLRLLNEADKSVYWRADWLVHKLGTKVHSYYWLDEYSGKDSFSRYWRSEWSSGLNSWRRGLQIPDSDVVIEGNCARVVSQKNKEKSHVVWNPGSEFALCDCNWSRKGYLCKHAIKSTKVCRDRGLAPPSLALFRYYQALANIVHCPPSDTVISDHAMAVAVSVKTQLDAVICTTNCSSSNRPVFQDPQLASKPRESKIEETNSENGVCTSQSKAASGDEEEVPINQGSPARKKRKSGNANGNSEDVSTYQDGPARKKRTSGEASDNDEEASTEEDSQVYERSKSGKDLADNEEVSLDQGSPDHDKKKAGRSLDDEGTLATQIAQPSETESSQAIVGLNESSVDARLAEGASGT >PAN15436 pep chromosome:PHallii_v3.1:2:56907393:56909211:-1 gene:PAHAL_2G487700 transcript:PAN15436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAVVLVYLTVIAAALASAFGAELTADYYSETCPPALSTIKLLVGAAILREPRMGASLVRLHFHDCFVNGCDGSILLDDTDDTIGEKTAKPNNNSVRGYDVIDTIKSALNTVCLGNVVSCADIVAVAARDSIVALGGTSYDVLLGRRDATTASIDDANNDIPTPFMDLPALLANFESHGLSLHDLVVLSGGHTLGYSRCLFYRSRLYNETDTLDPAYAASLDERCPASGDDDALSALDDTPTTVDSDYYQGLMQGRALLHSDQQLYQGGGGDADDLVKYYGENPSKFWEDFGAAMVKMGNLSPLTGDEGEVRENCRVVNQQ >PVH63414 pep chromosome:PHallii_v3.1:2:1627981:1629009:1 gene:PAHAL_2G022900 transcript:PVH63414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASGLFATALVVALMLAGSSTSRAARHLADVTPAAAPAAVPGIPAVPKPPVPTVPAVPAVALPPMPAVPTAPTVTVPPVPQVPAATLPPMPAIPAVPAATLPPMPAVPAVPAATLPPMPAAPTTVPNAALPPMPAVPKVTLPPMPAVPKVTLPPMPSIPSGVPMPFLAPPPSA >PVH64193 pep chromosome:PHallii_v3.1:2:35817616:35819677:1 gene:PAHAL_2G212000 transcript:PVH64193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRLPLLSRSKCTQVCVVCRSSDSPEMIPYATVEAAEAALGRGLTWAEAAWFWHSGSTPDYCLYFESLVILLAAYSLTPLPLALIELYAPAKLTTPYKLQPQVRLSPAAFLRCYKDTARVLVLLTIGPLLYLPYPIVKIAGIRTGLPLPSLGEVAAQLVVYMLVEDYLGYWLHRLLHCGWAYDKIHYVHHEYPAPMGFAAAHSHWVELLILGFPAFIGTVVVPCHMSTFWLWFAIRGAVAIDTHCGFDFPFSPSKLIPFYGGAECHDLHHFGGRWSQCNFAPFFKICDYIYGTNKGYRHNKASLMKKDTANITVEK >PVH64192 pep chromosome:PHallii_v3.1:2:35817616:35819677:1 gene:PAHAL_2G212000 transcript:PVH64192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRLPLLSRSKCTQVCVVCRSSDSPEMIPYATVEAAEAALGRGLTWAEAAWFWHSGSTPDYCLYFESLVILLAAYSLTPLPLALIELYAPAKLTTPYKLQPQVRLSPAAFLRCYKDTARVLVLLTIGPLLYLPYPIVKIAGIRTGLPLPSLGEVAAQLVVYMLVEDYLGYWLHRLLHCGWAYDKIHYVHHEYPAPMGFAAAHSHWVELLILGFPAFIGTVVVPCHMSTFWLWFAIRGAVAIDTHCGFDFPFSPSKLIPFYGGAECHDLHHFGGRWSQCNFAPFFKICDYIYGTNKGYRHNKASLMKQKDTANITVEK >PAN14723 pep chromosome:PHallii_v3.1:2:53596659:53598145:1 gene:PAHAL_2G432400 transcript:PAN14723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGPPGCGRVAAAVVVPAMSCNGCRVLRKGCSEACVLRPCLQWIEGAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRPAVFQSLLYEAAGRTINPVSGAVGLLGAGSWHLCQAAVETVLRGGGIRPLPELDGGLPAADGRDPLALTARRAVAGCSTFSAAKRAAARANAGAPVAAYAFPEPSCDLGLCLSPGSPPAPGERRPGTPSLTSEESVTTTSGGGGREPELLNLFV >PAN15267 pep chromosome:PHallii_v3.1:2:56165251:56168628:1 gene:PAHAL_2G476400 transcript:PAN15267 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MGKRRRAAVPAVEELISPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPNLEAAVSAARPGDTILIAAGGTHVACNIQIKKPICIIGGGELPDDTVLTCSRGSDNALEFLSTCKIANLTIRAELGCCLLHRSGRLTIQECLLQCEQNPLDYLSFPIISTAIEYNSFPSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQRVRAIYSRSSVFFWFEVGEK >PVH65374 pep chromosome:PHallii_v3.1:2:56165251:56168628:1 gene:PAHAL_2G476400 transcript:PVH65374 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MEPGVYPNLEAAVSAARPGDTILIAAGGTHVACNIQIKKPICIIGGGELPDDTVLTCSRGSDNALEFLSTCKIANLTIRAELGCCLLHRSGRLTIQECLLQCEQNPLDYLSFPIISTAIEYNSFPSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQRVRAIYSRSSVFFWFEVGEK >PAN15389 pep chromosome:PHallii_v3.1:2:56684573:56686121:-1 gene:PAHAL_2G484300 transcript:PAN15389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRVLEVTLLSARGLKRVNLISRMEVYAVVTISGDPLTRQCTQPDPYGGRHPSWNTSFRFNVPPTAATATGCLHVLLSTERALGDRDVGEVIVPLADILTGGGAACDPGPRPPQLASYHVRKVHRCEPRGMLNVSYRLGPIVAPQARADEAAVPYVGFPVARSLYAPPYAYLPAPAPLSLPPAPHPQAAGGHDAMAVHPPTPSAGAISTYNNLPPAAYPQAAAGHATAALPPTKGNGSDRMDFGVGLGAGLVSGAISGMLAGDMMMSEAAAYNYGYRAGLADGGAGAATVYKKNSHVPGKVEANRTVV >PAN13144 pep chromosome:PHallii_v3.1:2:46516831:46519768:1 gene:PAHAL_2G316800 transcript:PAN13144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MGGAAISSHGLACAPPAAVPLKPRARRASAAGHRSSPQLLLRSDLPAPAALCRARSQSSSSSNVNFGRGDDADKLLEDLLKQHGEVVYSSGGSPSPAVEADDDAECLSFAVSLAKVASEIKAADIRVLCVKRLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSKVASGDTKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATPIELPFDTQWQ >PAN13961 pep chromosome:PHallii_v3.1:2:50088346:50091163:1 gene:PAHAL_2G375100 transcript:PAN13961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGHTHQKNRPSRRATWKRNQTLSLRRYR >PAN09230 pep chromosome:PHallii_v3.1:2:756708:764092:-1 gene:PAHAL_2G011400 transcript:PAN09230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTLRARRLGFRLLLPRPRPRVTMPSWWKRSKSAFHRSSVTPSSSTPASPARASTSRAQPGGRRGAGAAERERDLLVAPRKLTRQRKLRHVDAIDVALGDLVVTEAGRRASSSPPLQRGRASEAVGIPGSTPVSRSSSSREAVAQPSRSASSPVLHPLPLPSPRPADLEPQDPPGVADGWGDRTTTYAPRFTSQTVQKFPEQNELLPNGTKRATFSHHRNAFREKFQDKSSAEMNFRLNIPAKSAPSSGFSSPVCSPRRLSYADFSSTVAPPQEHLAWSAPSIRYTDFIGASSPRTSPEKYAGFPDPYSYSSALRSPILMPRNTSAPPSPMHSKLYPDNNISRIEGNGGVSFHPLPLPPGALSPMQTGFSNQPAPKVEMPSVAGQWQKGRLLGSGTFGCVYEATNRNTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDIIEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVQGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLMKDVGYDLAVDIWSLGCTIIEMFDGKPPWSDLEGPAAMFKVLHKDPPIPENLSHEGKEFLQCCFKRNPAERPTASELLDHPFIRNSSHYNKHGSIHSFAGIKVNDIAYSSKDNKPTSKSDSSMKGKSTNMEPSRAAWSSESTFRLVPLTIQEATQNLSPRPLGFSNNLGSTTNFVNTMHFPSANPQPSPLPRPNGKEVLF >PAN09272 pep chromosome:PHallii_v3.1:2:547204:548575:1 gene:PAHAL_2G008300 transcript:PAN09272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGIARPAAAAALLLVVAAASATVRAAQLRRNYYAGVCPEVESIVRGAVARKYRETFITVGATVHLFFHDCFVEGCDASVIVASTPNSTAEKDHPVNLSLAGDGFDTVLRARAAVDAVPRCRGRVSCADILAMAARDAIALAGGPSYAVELGRLDGLRSAASSVDGRLAPPSSDLDQLTALFAANGLSQTDMIALSAGHTVGFAHCGAFAGRIRGPSAPDPALNRSLAARLRAWCPDGVDPRVAVTMDVVTPRAFDNQYFRNLRDGMGLLASDQVLYTDPRSRPTVDAWAQSSEAFGRAFVTAITKMGRIGVKMGAQGNIRRNCAVLD >PAN14211 pep chromosome:PHallii_v3.1:2:51140710:51150780:1 gene:PAHAL_2G391700 transcript:PAN14211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPSSGKSTLMRALTGKPATNLKVSGKITYCGHEFSEFYPERTSAYVSQYDLHNGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNIMTDITLKVLGLDICADIIVGDEMKRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNNTVMISLLQPPPETYNLFDDIILLSEGYVVYHGPRENILEFFESAGFQCPERKGVADFLQEVTSRKDQQQYWCHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTRKYGLSSWESLKAVLSREWLLMKRNSFLYIFKFVQLFMLALITMTVFFRTKMPSGKFSDNGKFNGALASSLITIMFIGITEMNLTIKKLPVFYKQRDYLFFPAWTYGLATIILKIPFSFLDSFMWTSVTYYVMGFAPAAGRFFSQFLAYFLTHQMAVAMFRLLGAILKTMVVANTFGMFSLLIVFLFGGFLIPRQDIKPWWIWGYWISPMMYSNNAISTNEFLATRWASPNTDANIDAPTIGKAILKFKGYFGGQWGYWLSIGAMIGFIILFNILFLCALTFLSPGGSSNAVVSDDEDKKKSTDQEQMHQIPHGTNEAANRRTQTGMVLPFQPLSLSFNHMNYYVDMPAAMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIRLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENARKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSRILVEYFEAIPGVPKITEGYNPATWMLEVSSPLAEARMNVDFAEIYANSALYRSNQELIKELSIPPPGYQDLSFPSKYAQNFLNQCMANTWKQFQSYWKNPPYNAMRYLMTLLYSIVFGTVFWRKGKNVGTEQDLLNLLGATYAAVFFLGAANLLSALPVFSIERTVFYREKAAGMFSPLSYAFALTVVELVYNIAQGILYTIPIYAMVGYDWKADKFFYFLFFITACFLYFTVFGAMLIACTPSQMLASILVSFTLTSWNIFAGFLIPRPALPIWWRWYYWCNPVAWTIYGVITSQFGDIGRAVKVPGGADKIVKEVLKETLGMKHDFLGYVLLAHFGYILLFLFLFAYGTKALNFQKR >PVH64952 pep chromosome:PHallii_v3.1:2:51141435:51150523:1 gene:PAHAL_2G391700 transcript:PVH64952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPSSGKSTLMRALTGKPATNLKVSGKITYCGHEFSEFYPERTSAYVSQYDLHNGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNIMTDITLKVLGLDICADIIVGDEMKRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNNTVMISLLQPPPETYNLFDDIILLSEGYVVYHGPRENILEFFESAGFQCPERKGVADFLQEVTSRKDQQQYWCHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTRKYGLSSWESLKAVLSREWLLMKRNSFLYIFKFVQLFMLALITMTVFFRTKMPSGKFSDNGKFNGALASSLITIMFIGITEMNLTIKKLPVFYKQRDYLFFPAWTYGLATIILKIPFSFLDSFMWTSVTYYVMGFAPAAGRFFSQFLAYFLTHQMAVAMFRLLGAILKTMVVANTFGMFSLLIVFLFGGFLIPRQDIKPWWIWGYWISPMMYSNNAISTNEFLATRWASPNTDANIDAPTIGKAILKFKGYFGGQWGYWLSIGAMIGFIILFNILFLCALTFLSPGGSSNAVVSDDEDKKKSTDQEQMHQIPHGTNEAANRRTQTGMVLPFQPLSLSFNHMNYYVDMPAAMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIRLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENARKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSRILVEYFEAIPGVPKITEGYNPATWMLEVSSPLAEARMNVDFAEIYANSALYRSNQELIKELSIPPPGYQDLSFPSKYAQNFLNQCMANTWKQFQSYWKNPPYNAMRYLMTLLYSIVFGTVFWRKGKNVGTEQDLLNLLGATYAAVFFLGAANLLSALPVFSIERTVFYREKAAGMFSPLSYAFALTVVELVYNIAQGILYTIPIYAMVGYDWKADKFFYFLFFITACFLYFTVFGAMLIACTPSQMLASILVSFTLTSWNIFAGFLIPRPALPIWWRWYYWCNPVAWTIYGVITSQFGDIGRAVKVPGGADKIVKEVLKETLGMKHDFLGYVLLAHFGYILLFLFLFAYGTKALNFQKR >PVH64951 pep chromosome:PHallii_v3.1:2:51141435:51150780:1 gene:PAHAL_2G391700 transcript:PVH64951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPSSGKSTLMRALTGKPATNLKVSGKITYCGHEFSEFYPERTSAYVSQYDLHNGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNIMTDITLKVLGLDICADIIVGDEMKRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNNTVMISLLQPPPETYNLFDDIILLSEGYVVYHGPRENILEFFESAGFQCPERKGVADFLQEVTSRKDQQQYWCHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTRKYGLSSWESLKAVLSREWLLMKRNSFLYIFKFVQLFMLALITMTVFFRTKMPSGKFSDNGKFNGALASSLITIMFIGITEMNLTIKKLPVFYKQRDYLFFPAWTYGLATIILKIPFSFLDSFMWTSVTYYVMGFAPAAGRFFSQFLAYFLTHQMAVAMFRLLGAILKTMVVANTFGMFSLLIVFLFGGFLIPRQDIKPWWIWGYWISPMMYSNNAISTNEFLATRWASPNTDANIDAPTIGKAILKFKGYFGGQWGYWLSIGAMIGFIILFNILFLCALTFLSPGGSSNAVVSDDEDKKKSTDQEQMHQIPHGTNEAANRRTQTGMVLPFQPLSLSFNHMNYYVDMPAAMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIRLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENARKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSRILVEYFEAIPGVPKITEGYNPATWMLEVSSPLAEARMNVDFAEIYANSALYRSNQELIKELSIPPPGYQDLSFPSKYAQNFLNQCMANTWKQFQSYWKNPPYNAMRYLMTLLYSIVFGTVFWRKGKNVGTEQDLLNLLGATYAAVFFLGAANLLSALPVFSIERTVFYREKAAGMFSPLSYAFALTVVELVYNIAQGILYTIPIYAMVGYDWKADKFFYFLFFITACFLYFTVFGAMLIACTPSQMLASILVSFTLTSWNIFAGFLIPRPALPIWWRWYYWCNPVAWTIYGVITSQFGDIGRAVKVPGGADKIVKEVLKETLGMKHDFLGYVLLAHFGYILLFLFLFAYGTKALNFQKR >PAN09220 pep chromosome:PHallii_v3.1:2:385360:390201:-1 gene:PAHAL_2G005700 transcript:PAN09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKPLDYDQLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLMFPADAIARAKHYLSLAPGGLGAYSDSRGIPGIRKEVADFIQRRDGYPSDPELIYLTDGASKGVMQMLNAIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNIRQTVAEARSKGITVRAMVIINPGNPTGQCLSEANIKELLQFCYHENLALLADEVYQQNIYQDERPFISARKVLFDMGPPLSREVQLVSFHTVSKGFWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGVMVNPPKPGDISYLKFAAESKSILESMRRRARMMTDGFNSCRNIVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKAPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDFPAIISSFKKFNDSFMDQYEGYSRM >PVH63462 pep chromosome:PHallii_v3.1:2:2357690:2364367:-1 gene:PAHAL_2G033800 transcript:PVH63462 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MAASLLRVSRPRRALLPLSSLRLPLSTQPQPTSPAPSPSSTSHGLPHFLSFLAAAAAAAAGGATVALCDPGVDHRVGGKDSTELVVEGEHRRVPQEFIEELASFLGENLTVDYDERSFHGTPQNSFHKAANVPDVVVFPSTQNEVQKIVMACNKYKIPIVPYGGATSIEGHTLAPHGGVCIDMTLMKKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVINLQAVLPNGDVIKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTLRLQKLPSHSVVAMCNFKTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIASEHRGSDFVFVEEPDAKAELWKIRKEALWAGFAMEPDHEAMITDVCVPLSRLAECISTSKRLLDASPLTCLVIAHAGDGNFHTIILFDPSKEEQRKEAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >PAN12559 pep chromosome:PHallii_v3.1:2:46981260:46982828:1 gene:PAHAL_2G324100 transcript:PAN12559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWGDVYKVAAAMAPLYFALALGYGSVRWWKVFTRDQCGAINRLVIYFAFPFFGFDLTARAGSFAASYRVLAADVACKALVVLALAGWATACRWASKKGGGEGGGGSSRSYYSWCITGFSLAALNNALLMGIPLLDAMYGAWAHDIAVQMSMMQIVVWFPLMLVVFEVRQAWLETPLPAVVAPTDRDDGVALDEEADGHAAAADPLGSDGDESGDGRKTAMEAGWWPFWAPLVRNVALKLAYNPNVYASLLGVAWSSIANRWHLELPSIVEGSVTIMSKTGIGLGMFSMGLFIALQDKFIICGPGLTALSLGLRFVAGPAAAAAAAAALGLRGDLLRFAIVQAALPHSVATFNFAREYDLHADVLSTAIIVGTLASLPVLIAYYLVLGLIR >PAN09425 pep chromosome:PHallii_v3.1:2:1585904:1589448:1 gene:PAHAL_2G022600 transcript:PAN09425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTNRSRPQQRPPPRSWSFSEMVDFSDPKRRPRYLSKLVMAALLTAMCVLMLTQPPCHRRAAPSVFSIHQPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIKVLQNLFPEPGRLQFIQADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVKTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVNAHVKALNKAERGRVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINRELNWTAQHIDLHESLRVAWTWQKAHRSGYEPPQAMIL >PAN11763 pep chromosome:PHallii_v3.1:2:36715517:36718935:1 gene:PAHAL_2G215800 transcript:PAN11763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQAEELFQKVLEGGSKTKVARLLGLDVGSKYVGLAVSDEKNRIALPLSVLSRTKTNINLMADDFKTLALKYSLAGFVVGYPFNLHGQHSPNAVQVRLLAGELCKTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTITDKFAAVCILQLS >PAN11761 pep chromosome:PHallii_v3.1:2:36715392:36718935:1 gene:PAHAL_2G215800 transcript:PAN11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQAEELFQKVLEGGSKTKVARLLGLDVGSKYVGLAVSDEKNRIALPLSVLSRTKTNINLMADDFKTLALKYSLAGFVVGYPFNLHGQHSPNAVQVRLLAGELCKTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTITDKFAAVCILQGYLDNMNRKFRPADKSEA >PVH64307 pep chromosome:PHallii_v3.1:2:39719331:39723731:1 gene:PAHAL_2G233700 transcript:PVH64307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAVAWPTSLPLSNSISTAAPAPRREVPILLAPPAANHTSASVSPAIPKSPLRRNGSPAAAPPNSNPPLNAPHAMALSRRLLPLFLRRGAGASAHLSTAAAAAPAASASEEEDEQSVIVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEAARARVAALVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRGDGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRRVSALQQRLLDGIRAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGMGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIEWSQH >PVH64306 pep chromosome:PHallii_v3.1:2:39719176:39723731:1 gene:PAHAL_2G233700 transcript:PVH64306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAVAWPTSLPLSNSISTAAPAPRREVPILLAPPAANHTSASVSPAIPKSPLRRNGSPAAAPPNSNPPLNAPHAMALSRRLLPLFLRRGAGASAHLSTAAAAAPAASASEEEDEQSVIVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEAARARVAALVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRGDGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRRVSALQQRLLDGIRAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGMGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIEWSQH >PAN10258 pep chromosome:PHallii_v3.1:2:6046629:6049321:-1 gene:PAHAL_2G082200 transcript:PAN10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEAASPAGSGRRRVLMFPLPFQGHLTPMLQLAGALHARGGSGGLLGVTVFHAAFNTPDPARHAAAGFRFVPVGEGVPSGDLLPSGSDADFAGALLRINDRLQEPFRDRLRQVLAEEEEGGGAAACLVVDSNLRGMQLVAEELGVPTLVLRTGAAACLVAYMAFPALCDKGLLPPASQDKAQLDMPLDELTPLRLGDMVFSPTTTHANMARCLRDLLDAARSSSGVILNTFQDLESSDLQKITNGLGVPMYTVGPLHKISSGTESSLLAQDQTCLEWLDKQEADSVLYVSLGSLASMDEKELLETAWGLANSQRPFLWVIRHNMVKSSHEVSLPDGFEEATRGRGMVVPWAPQQEVLAHHAVGGFWTHNGWNSTLESICEGVPMICRPQFADQMINMRYVQEVWKIGFELEGELERGKIEMAITKLLCTEEGRQMRQRAKDVRDKAVKCIEEEGSSKSAIELLLKRIMSF >PAN10812 pep chromosome:PHallii_v3.1:2:9895244:9900271:-1 gene:PAHAL_2G118200 transcript:PAN10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTDEEKKGHKELKWDDPDVCAPYMARFCPHDLFVNTKSNLGPCLRIHDPKLKESFEKSPRHDSYMRKFEAELAQQCEKLVMDLDRKIRRGRERLAQDSAVPMPIPGKIAEQLSAREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVSDDALERTQSHVTGKQHIGYGMVRDFLMEYKAAKEKAKEEERLAREQKAEERRKQRGKEYDSGGRDSGTRRERSGDRDYDRDRQYERSRGRDRPYDHRDRGSEYRSNSYRNGRDSERGGHRYRSGDMTNDRGRIRSRSRSPSRHGYGRSGSPDH >PAN10813 pep chromosome:PHallii_v3.1:2:9896243:9900271:-1 gene:PAHAL_2G118200 transcript:PAN10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTDEEKKGHKELKWDDPDVCAPYMARFCPHDLFVNTKSNLGPCLRIHDPKLKESFEKSPRHDSYMRKFEAELAQQCEKLVMDLDRKIRRGRERLAQDSAVPMPIPGKIAEQLSAREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVSDDALERTQSHVTGKQHIGYGMVRDFLMEYKAAKEKAKEEERLAREQKAEERRKQRGKEYDSGGRDSGTRRERSGDRDYDRDRQYERSRGRDRPYDHRDRGSEYRSNSYRNGRDSERGGHRYRSGDMTNDRGRIRSRSRSPSRHGYGRSGSPDH >PAN10814 pep chromosome:PHallii_v3.1:2:9895795:9900271:-1 gene:PAHAL_2G118200 transcript:PAN10814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTDEEKKGHKELKWDDPDVCAPYMARFCPHDLFVNTKSNLGPCLRIHDPKLKESFEKSPRHDSYMRKFEAELAQQCEKLVMDLDRKIRRGRERLAQDSAVPMPIPGKIAEQLSAREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVSDDALERTQSHVTGKQHIGYGMVRDFLMEYKAAKEKAKEEERLAREQKAEERRKQRGKEYDSGGRDSGTRRERSGDRDYDRDRQYERSRGRDRPYDHRDRGSEYRSNSYRNGRDSERGGHRYRSGDMTNDRGRIRSRSRSPSRHGYGRSGSPDH >PAN11257 pep chromosome:PHallii_v3.1:2:30835849:30837770:-1 gene:PAHAL_2G194400 transcript:PAN11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPAQSPSARTTSSFRQLKTIIKNCSYNCTTSKDNVKVYTQKSVRVSRRGRGISKADSGTPPRQRRAMPARRGRARKGDRRIDAAIDHFTVMGYAARDVRAVVAHLLEVYGGPSAWPLLEDGSYQVVQEKLFEKEDEEKQKQDQPLLLEGQQVEELPPQLLEEAVDEAPPKNNESILQVGEEVPAETESPHEEVEAPMFIVIEPHPLEAMAPLTEALRTGGATRPCYGWISESEDEEELTGQHHEVHVPSSGGDLVCKRKRVH >PAN11258 pep chromosome:PHallii_v3.1:2:30836808:30837770:-1 gene:PAHAL_2G194400 transcript:PAN11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPAQSPSARTTSSFRQLKTIIKNCSYNCTTSKDNVKVYTQKSVRVSRRGRGISKADSGTPPRQRRAMPARRGRARKGDRRIDAAIDHFTVMGYAARDVRAVVAHLLEVYGGPSAWPLLEDGSYQVVQEKLFEKEDEEKQKQDQPLLLEGQQVEELPPQMVSLLKDPLVVCPTCILKDPSPMDHVPVPPSLVSTVN >PAN11256 pep chromosome:PHallii_v3.1:2:30834673:30838493:-1 gene:PAHAL_2G194400 transcript:PAN11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARRGRARKGDRRIDAAIDHFTVMGYAARDVRAVVAHLLEVYGGPSAWPLLEDGSYQVVQEKLFEKEDEEKQKQDQPLLLEGQQVEELPPQLLEEAVDEAPPKNNESILQVGEEVPAETESPHEEVEAPMFIVIEPHPLEAMAPLTEALRTGGATRPCYGWISESEDEEELTGQHHEVHVPSSGGDLVCKRKRVH >PVH64124 pep chromosome:PHallii_v3.1:2:30835635:30838493:-1 gene:PAHAL_2G194400 transcript:PVH64124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARRGRARKGDRRIDAAIDHFTVMGYAARDVRAVVAHLLEVYGGPSAWPLLEDGSYQVVQEKLFEKEDEEKQKQDQPLLLEGQQVEELPPQLLEEAVDEAPPKNNESILQVGEEVPAETESPHEEVEAPMFIVIEPHPLEAMAPLTEALRTGGATRPCYGWISESEDEEELTGQHHEVHVPSSGGDLVCKRKRVH >PVH64125 pep chromosome:PHallii_v3.1:2:30836808:30837770:-1 gene:PAHAL_2G194400 transcript:PVH64125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPAQSPSARTTSSFRQLKTIIKNCSYNCTTSKDNVKVYTQKSVRVSRRGRGISKADSGTPPRQRRAMPARRGRARKGDRRIDAAIDHFTVMGYAARDVRAVVAHLLEVYGGPSAWPLLEDGSYQVVQEKLFEKEDEEKQKQDQPLLLEGQQVEELPPQMVSLLKDPLVVCPTCILKDPSPMDHVPVPPSLVSTVN >PAN11259 pep chromosome:PHallii_v3.1:2:30835688:30838493:-1 gene:PAHAL_2G194400 transcript:PAN11259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPAQSPSARTTSSFRQLKTIIKNCSYNCTTSKDNVKVYTQKSVRVSRRGRGISKADSGTPPRQRRAMPARRGRARKGDRRIDAAIDHFTVMGYAARDVRAVVAHLLEVYGGPSAWPLLEDGSYQVVQEKLFEKEDEEKQKQDQPLLLEGQQVEELPPQLLEEAVDEAPPKNNESILQVGEEVPAETESPHEEVEAPMFIVIEPHPLEAMAPLTEALRTGGATRPCYGWISESEDEEELTGQHHEVHVPSSGGDLVCKRKRVH >PAN10468 pep chromosome:PHallii_v3.1:2:7375320:7382148:-1 gene:PAHAL_2G096300 transcript:PAN10468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQVFRIFAQNFL >PAN10472 pep chromosome:PHallii_v3.1:2:7374723:7382148:-1 gene:PAHAL_2G096300 transcript:PAN10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQVKKDILDQYIICISPDKVGSHKCAGPHSSQQCVSPDEPGRTGESAPHSALKSEVSRRQIGAKGSSGSALHTDP >PAN10478 pep chromosome:PHallii_v3.1:2:7373648:7383143:-1 gene:PAHAL_2G096300 transcript:PAN10478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQIKLEATNVQGRTPPNSACHPMNQEGQANLLPIPP >PAN10469 pep chromosome:PHallii_v3.1:2:7374723:7382148:-1 gene:PAHAL_2G096300 transcript:PAN10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQVKKDILDQYIICISPDKVGSHKCAGPHSSQQCVSPDEPGRTGESAPHSALKSEVSRRQIGAKGSSGSALHTDP >PVH63744 pep chromosome:PHallii_v3.1:2:7374290:7382148:-1 gene:PAHAL_2G096300 transcript:PVH63744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQVKKDILDQYIICISPDKVGSHKCAGPHSSQQCVSPDEPGRTGESAPHSALKSEE >PAN10473 pep chromosome:PHallii_v3.1:2:7373648:7383181:-1 gene:PAHAL_2G096300 transcript:PAN10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQIKLEATNVQGRTPPNSACHPMNQEGQANLLPIPP >PAN10479 pep chromosome:PHallii_v3.1:2:7374794:7382148:-1 gene:PAHAL_2G096300 transcript:PAN10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQIKLEATNVQGRTPPNSACHPMNQEGQANLLPIPP >PAN10470 pep chromosome:PHallii_v3.1:2:7374723:7382148:-1 gene:PAHAL_2G096300 transcript:PAN10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQVKKDILDQYIICISPDKVGSHKCAGPHSSQQCVSPDEPGRTGESAPHSALKSEVSRRQIGAKGSSGSALHTDP >PAN10476 pep chromosome:PHallii_v3.1:2:7373648:7383143:-1 gene:PAHAL_2G096300 transcript:PAN10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQIKLEATNVQGRTPPNSACHPMNQEGQANLLPIPP >PVH63743 pep chromosome:PHallii_v3.1:2:7374290:7382148:-1 gene:PAHAL_2G096300 transcript:PVH63743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQVKKDILDQYIICISPDKVGSHKCAGPHSSQQCVSPDEPGRTGESAPHSALKSEE >PAN10474 pep chromosome:PHallii_v3.1:2:7373648:7383143:-1 gene:PAHAL_2G096300 transcript:PAN10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQIKLEATNVQGRTPPNSACHPMNQEGQANLLPIPP >PAN10467 pep chromosome:PHallii_v3.1:2:7375320:7382148:-1 gene:PAHAL_2G096300 transcript:PAN10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESALDCASSAVTTMCLDASCEHESTQSDKKQHKRKRAFSELDIVDKEFVSAEWQRELDALYEYYREVSGHHVNPEELECLTGDSIIACLLEESSLPCAKLTDKIYKRLKLQDGVTVSSVRNSVLNVGRRSSYGICAIDVDELEDESDSSLWCWETQDLALLPSHLCNGLSIRRTARKLIHERILALSGKLAAKDAPNTHSNQDSHSVNAVEVPNLNEICSFVEKSKQKNDADITKMHSKTKAQELQATMKAVKEQQMMARQIENEEKKKDRELKHMKEKAKREAKRIERDNKQLKKHQEEAERAKKRKEKEEAELKRKASTKKQANFMECLFIKKPNSIMKNSSSHHLEKTACSKSSGSIEELSVAATSAMDCTLSQANHLRVEEFWEAHVSRWRKLSQHNRLHHWGVRRSPNVQLFPELKLQKSSATAHSDNISTPTKEHSSRESTGSLDFNKLLDELKTPSHDKNIPFRTAQNSISSSVLFVKKLLQFDRSFRPAYYGTWRKKSSTVSARQPFQRDPELNYDVDSDEEWEEEDPGERLSDSEEEDKTKNEHDSMIDVEEETENSFVVPNDYLSEDEGVQYEPICVKFDETCSMLSNPGVTVEQKFLHSATEDALRIDRPLVISNLDHGKLDLLKAEDITAERLCLQALCMKKYRNGPIIDVPMVVKVTMEDPAFCRSNKKSPRTPVSSKSISDSDMPEFAKLVTSCSQGMGKLVEVLHERFPCVSRTQLKNKVREIAEFIHNRWQVFRIFAQNFL >PVH63973 pep chromosome:PHallii_v3.1:2:15009208:15009519:-1 gene:PAHAL_2G148900 transcript:PVH63973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAADKVCCSWHRAACDEPVLWRRIDMRGHAALSRRSVAEFIFGECAWARNLADLNQMAVDAVVRSKGKCEAFWGERAGGTGDFLSFLADQLRFLIHFVYAKV >PAN14118 pep chromosome:PHallii_v3.1:2:50747274:50753476:1 gene:PAHAL_2G385300 transcript:PAN14118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAAGVGARPSAAFEASCFRPLASPLRPVRSGRLIVPKRCFTVSSRLAWVEDELMEIRKSQEQSSVKSKKRPPLRRGKVSPQLPVPEHIPRPLYVGSKGLPELCKGQLHDAQGIAGMRAACKLAARVLDFAGTLVKPSVTTNEIDTAVHNMIIEAGAYPSPLGYCGFPKSICTSVNECVCHGIPDSTQLQTGDIINIDVNVFLNGYHGGTSRTFACGQVDDSIKHFLKAAEECLEKGISVCRDGVNYRKIGKKISKLAYFYGYYVVERFVGHGIGTMYHSEPLILHHANENSGRMVEGQIFTIEPILTMEKTECVTWEDGWTTVTADGSWAAQFEHTILVTRNGAEILTKL >PVH63843 pep chromosome:PHallii_v3.1:2:9999893:10000150:1 gene:PAHAL_2G119600 transcript:PVH63843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRFWILTRYIFMPPLTRRKMNNQSAKGRHSHLTKKNHRKCCDGSTRFSASPGIIVDAIGSVACYALIQGVSFWL >PVH64287 pep chromosome:PHallii_v3.1:2:38887100:38887396:1 gene:PAHAL_2G229400 transcript:PVH64287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTPQMAQEDKASIPFQFQYKNSIIHSNFLTLERREKRRGGENQKNKKKN >PAN14929 pep chromosome:PHallii_v3.1:2:54807892:54810556:1 gene:PAHAL_2G453300 transcript:PAN14929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKRETGAAEVEKGESVAMAAGKGEKGSTVVEKGEKGSVVAEKGEKGSAVEKGEKGPTVAEKGKGVAEEEAGKEVADGDMITLKSSDGKAFEVSKEAAARLSTVLSKMIAGGCSDGCIKLEKIGSETLEKVVDYCNKHADPVPSAASSSSSSFLTAPSKELEDWDRKLVDCLSQDALFNLTEASDSLVMDGLLDLTCRKIADLMRGKTIAEMRKMFNIKNDFTEEQEEEIRREYAWAFGDA >PAN11835 pep chromosome:PHallii_v3.1:2:37373466:37382005:1 gene:PAHAL_2G220700 transcript:PAN11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MASAAAAERQLVVAVEGTAALGPYWSTIVGDYVEKIVRSFCVNEVPGQKLAGAPPELALVVFHTHGPYSAFGVQRSGWTKDIDAFLSWLSGISFSGGGFSEASTCEGLAEALTILQGSPNTTQSHQNHEAQKHCILVAASNPYPLPTPVYCIPTQSTDHKENTESSKEPSIADAEAVAKSFAQCSVSLSVISPKQLPTLKAIYNAGKRNPRAADPSVDHAKNPHFLVLLSENFMEARTALSRPLHGNLAPNQTVTKMDTAPAVTMAGPTSNANPSVNGPMMGRQPVGVGGVSTATVKLEPATIPPMVSAPAFSHVTPISNVASQGISALQTSSPSLISQEANIANDNVQEHKPIIHPVQQSVRPGGHGSLLNNLSQVRLMNSTSLGGGATSMGLPNIGATPIQVHMSNMISSGMTSTPSVISSMSGPGQPISTQQMVQSTALGSFGSNTSTVSGNSNIAVSSSLPSIQSSMSMGQSVQPVAQGGLMAGSQLGQGGSAANQNVSGLGPTAISSALAMMPTPGMAQSTGVNSLGVTNNSAMNMPIGQHPNAQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSMSDKAGRLIGMLFPGDMVVFKPQVSTQQPQMQQQQQLQQQQHLQQQQLQQQQHMHMQPQGLPLQQQQPAQMQPMQQQQAQMQPMQQQTQMQPMQQQTQMQPMQQHPPQMQPMQHQQQPQMQAMQHQQQPQMQAMQHQQPQQQQQMQQMQHQQQQMQPMQHQQQQQIPLQQQQQQMQQHQQQQMQQIQQQQHQQQQMQQMQPQQQQQQPPQMVGTGMGQQYMQGHNRAVQMMQGKIAQQGPGSMPGGGFLP >PVH64258 pep chromosome:PHallii_v3.1:2:37898964:37899371:-1 gene:PAHAL_2G223600 transcript:PVH64258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITEVTGAAAGGRPPAPSPRPAPPRPRSPPLLSSCSAAALLLDVSCCCCLCTGVTKGAGGRRLRCPPRHRRPPKQQPFFLRRARLVDSPPQDGGC >PAN13751 pep chromosome:PHallii_v3.1:2:49132599:49135023:1 gene:PAHAL_2G359400 transcript:PAN13751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRPIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDAEDTLPIQDTYALCRVFKKNAICTEVDDLQAQCSMALLEGACQQLLTSGSQQEYQTPSPDVPVGSTSGGADNDADKDESWMQFISDDAWCSSTADGAEESTSCVALAS >PAN14509 pep chromosome:PHallii_v3.1:2:52517548:52522388:-1 gene:PAHAL_2G415200 transcript:PAN14509 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein k [Source:Projected from Arabidopsis thaliana (AT4G36720) UniProtKB/Swiss-Prot;Acc:Q6NLY8] MALLAPAISGEVGLRLLLAPLSSNVVIRTASCAVGIGLPVYSTFRAIEKKDEKEKERLLLYWAAYGSFSIAEVFADKLLSSVPLYYHVKFAILVWLQFPSNGGSKHVYKKYLRPFFLKHQAKIDRFLNILSKELTKFVSSHEDEIRFIENMAIRGATTANYIVNGLDQPDEPQAINAIEGPNPTATEEAGGLGSET >PAN15153 pep chromosome:PHallii_v3.1:2:55602193:55623538:-1 gene:PAHAL_2G468700 transcript:PAN15153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPINSGNMEQHSQRLLEPDLPVQARLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILTNYTMPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTLDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFIDFVVVIYKNFPDTVTYFFDNPNTSASMAASMPIQHLDPTSDAPGMMQVPGGGQLNPSTRSFKIVTESPLVVMFLFQLYAKLVQTNIPQLLPLMVKAIAIKGPDKVPPHLKTPFNDLKGAQVKTLSFLTYLLKSNADYIKSFEESICKSIVNLLVTCPPDSVSIRKELLVGLKQVLNTEYKRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVREDISLPQLSRIIYLFSRNMHDSSLTLIIHTTSARLMLNLVEPIYQKGVDQQSMDEARVLLGRILDAFVGKFRTLKRTIPQLLEEGEEGKVQPNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIKTLAVGMKTIIWSITHAHWPRPQQQNQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDDDREILQSFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLEALKQPDSPAAKLVLQLFRFLFIAAAKAPESCERTLQPHVPVIMEVCMKSATEVDKPLGYMHLLRNMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPISEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSEVILALWSHLRPPPYTWGTKALELLGKLGGRNRRFLREPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHQAVGAVMQGSGMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLIISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKTLLVAIIAANADTSLHDEKDEYVVDICRHFAMLFHVDSPSSGQSGFMQPIGSSLPSSINMGTRSRSNTSSNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYSSTWQAQMGGVMGLGALVGKVSVDTLCIFQVKVVRGLLFVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANSETRRQSFQGVVEFLAQELFNPNASMVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRPLRSKNVEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETMWVTRMMNAKIVLTWNKLRTACIELLCTAMAWGDLKAQNHSELRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLANTKSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQTQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLEKALPEDQFYSEINSPYRAPLAKFLNRYAADAVDYFLARLSHPKYFRRFMYIICSDTGELRDELAKSPQKILASAFSQFYPQTEAAATQLSSVKDEALAGAMSDSFTGQSSSNMVTGSDSYFNGLELVSALVKLMPEWLRNNRVVFDTLLLAWKSPARLSRLQNEQDLSLPQVMESKRLIKCFLNYLRHDRNEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPSLKKTILNHFLNIFQSKQYGQDHLVVTMQILILPMLAHSFQNGQSWEVVDPSIIKTIVEKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLFHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYVAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKVLVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVTWERQRQSEMKVVQESESQNQIGDMLNPSVIGGDPKRSSDVPSFADDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQADEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKHALDLLTQALEVWPNANVKFNYLEKLLGNLSPSPQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLHQRVQDLIQKNLAAVTTSQISLELSNANSIINFSLFVLNALAEVQKNFIDPFIGLLFRVLQRLARDMGSSAGSHIRQGQRPELDSSVNSRPTVDSTVISNMKTVLKLISERVMSSSDHRKSMGQILQALLSEKGTDSSILLCILDMIKSWIEDDCRLASSTGSVNSLNPKEILAYLQKLSLVDRKSFPPAAQEEWDAKYLQLLYSLCADSTKYPLAFRQEFFHKVERQYMLGLRAKDPEMRKRFFKLYHDSVGKTLFSRLQFIIQSQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMIAGPVPDRITMPQQVPDGQESMDGTSLSFDSLAARHAQFLNEASKLVVADIMAPLKELAFADANVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWYTAIALLESHMLHMNEAKCSESLAELYRLLNEEDMRCGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCAAQLGQWEVLADYGKGVENHEILLDCLWKVPDWAYMKDNVISKAQVEETPKLRLIQAFFTLHDKSTNGVSEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASASSGANSNPNNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPEVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVNGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCETANQSYSNAITLFKHLPKGWISWGNYCDMIFKETKEEVWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTPNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQTMLANNVANNLPDGSARGSNHAGGNMTSDNQVHQASQSVGAPGSHDGGNVQGQEPDRSSEGGTNNSHDQGQQSSAGAEGTQVALRRNSGLGWVTSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKTLRDFHVVDIELPGQYFTDQEIAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDTIFSQYMHKILPTGNYLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKVFQTDFHPAYDPNGMIEFNELVPFRLTRNLQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAANQLDFQQKVINNVEHVIGRIKSISPHYLADEEENATEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF >PVH65345 pep chromosome:PHallii_v3.1:2:55605371:55623538:-1 gene:PAHAL_2G468700 transcript:PVH65345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPINSGNMEQHSQRLLEPDLPVQARLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILTNYTMPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTLDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFIDFVVVIYKNFPDTVTYFFDNPNTSASMAASMPIQHLDPTSDAPGMMQVPGGGQLNPSTRSFKIVTESPLVVMFLFQLYAKLVQTNIPQLLPLMVKAIAIKGPDKVPPHLKTPFNDLKGAQVKTLSFLTYLLKSNADYIKSFEESICKSIVNLLVTCPPDSVSIRKELLVGLKQVLNTEYKRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVREDISLPQLSRIIYLFSRNMHDSSLTLIIHTTSARLMLNLVEPIYQKGVDQQSMDEARVLLGRILDAFVGKFRTLKRTIPQLLEEGEEGKVQPNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIKTLAVGMKTIIWSITHAHWPRPQQQNQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDDDREILQSFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLEALKQPDSPAAKLVLQLFRFLFIAAAKAPESCERTLQPHVPVIMEVCMKSATEVDKPLGYMHLLRNMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPISEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSEVILALWSHLRPPPYTWGTKALELLGKLGGRNRRFLREPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHQAVGAVMQGSGMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLIISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKTLLVAIIAANADTSLHDEKDEYVVDICRHFAMLFHVDSPSSGQSGFMQPIGSSLPSSINMGTRSRSNTSSNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYSSTWQAQMGGVMGLGALVGKVSVDTLCIFQVKVVRGLLFVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANSETRRQSFQGVVEFLAQELFNPNASMVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRPLRSKNVEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETMWVTRMMNAKIVLTWNKLRTACIELLCTAMAWGDLKAQNHSELRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLANTKSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQTQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLEKALPEDQFYSEINSPYRAPLAKFLNRYAADAVDYFLARLSHPKYFRRFMYIICSDTGELRDELAKSPQKILASAFSQFYPQTEAAATQLSSVKDEALAGAMSDSFTGQSSSNMVTGSDSYFNGLELVSALVKLMPEWLRNNRVVFDTLLLAWKSPARLSRLQNEQDLSLPQVMESKRLIKCFLNYLRHDRNEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPSLKKTILNHFLNIFQSKQYGQDHLVVTMQILILPMLAHSFQNGQSWEVVDPSIIKTIVEKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLFHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYVAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKVLVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVTWERQRQSEMKVVQESESQNQIGDMLNPSVIGGDPKRSSDVPSFADDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQADEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKHALDLLTQALEVWPNANVKFNYLEKLLGNLSPSPQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLHQRVQDLIQKNLAAVTTSQISLELSNANSIINFSLFVLNALAEVQKNFIDPFIGLLFRVLQRLARDMGSSAGSHIRQGQRPELDSSVNSRPTVDSTVISNMKTVLKLISERVMSSSDHRKSMGQILQALLSEKGTDSSILLCILDMIKSWIEDDCRLASSTGSVNSLNPKEILAYLQKLSLVDRKSFPPAAQEEWDAKYLQLLYSLCADSTKYPLAFRQEFFHKVERQYMLGLRAKDPEMRKRFFKLYHDSVGKTLFSRLQFIIQSQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMIAGPVPDRITMPQQVPDGQESMDGTSLSFDSLAARHAQFLNEASKLVVADIMAPLKELAFADANVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWYTAIALLESHMLHMNEAKCSESLAELYRLLNEEDMRCGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCAAQLGQWEVLADYGKGVENHEILLDCLWKVPDWAYMKDNVISKAQVEETPKLRLIQAFFTLHDKSTNGVSEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASASSGANSNPNNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPEVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVNGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCETANQSYSNAITLFKHLPKGWISWGNYCDMIFKETKEEVWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTPNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQTMLANNVANNLPDGSARGSNHAGGNMTSDNQVHQASQSVGAPGSHDGGNVQGQEPDRSSEGGTNNSHDQGQQSSAGAEGTQVALRRNSGLGWVTSAASAFDAAKDIMEALRSKHTNLANELESG >PVH64840 pep chromosome:PHallii_v3.1:2:49541731:49542495:1 gene:PAHAL_2G366300 transcript:PVH64840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMAIEGKHKQVYESFHGAGKFPDGCKAAKGWFDQLRDACKRVGSWKEGEEEGAVMDDVLKKTENLGGVLTKDGDVLKKTKDGDELKKTKDLGGVLTKDLGGVLTTSTLGQGLLGLRKWEKHSVDDGFTQERVAELLDQGPCIGRLWVCPWYFHFDAAKNNWVYRGCGRDEGDRAESKRLYGNHQNGSHVVVCFQYRLCGEQMHVLVLDNHGKDGPERWIDFEELDALFTLKVDCLCGTPDHYHDAGTSLVT >PAN14981 pep chromosome:PHallii_v3.1:2:54943471:54948316:-1 gene:PAHAL_2G455700 transcript:PAN14981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGASAKKDRTIVSWSAEEDSVLRAQIAHHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTKTGCVTPGAAGSSLPMKQISSDLKENIVPNMILFGQEKGTQQDARQPLANISSNNQQNLNTVKSQNLVATTTKTLLGPEQHCVKQEGNFMKKDDPKVAILLQQADLLCSLATKINHEDTSQSMDEAWQQLQHHLVKKEDNGMSENSGSGIASLLEELDDLIVDPYESKEEDEEKLREQNGQRQTDVENMQCSDPSQASMEVTSNMAPDEMMEDHTVGNCKEVSSLCRYMLSGSMEPCSGAKITASENLSEVAEDRRLQQVESTSPVLTDFDDFIIDPYENKEEDEQNLRELNGQIDVHDHQSYCPSQATMEDNNLCRNVLSGSMEPSPGAEKAASENLSEVAEKRRFQCMESTSPVPTNFQTKEYGETPVPENLNEVAEDSRLQRVEFTSPAHTLLRAKAAGAETYASPRIAVVAKDSKLPSMEFTSPAHTVATFQPYADDMPTPKFTASERNFLLSVLELTSPGLRPETSQQPSCKRALLNSL >PVH65295 pep chromosome:PHallii_v3.1:2:54943470:54948319:-1 gene:PAHAL_2G455700 transcript:PVH65295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGASAKKDRTIVSWSAEEDSVLRAQIAHHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTKTGCVTPGAAGSSLPMKQISSDLKENIVPNMILFGQEKGTQQDARQPLANISSNNQQNLNTVKSQNLVATTTKTLLGPEQHCVKQEGNFMKKDDPKVAILLQQADLLCSLATKINHEDTSQSMDEAWQQLQHHLVKKEDNGMSENSGSGIASLLEELDDLIVDPYESKEEDEEKLREQNGQRQTDVENMQCSDPSQASMEVTSNMAPDEMMEDHTVGNCKEVSSLCRYMLSGSMEPCSGAKITASENLSEVAEDRRLQQVESTSPVLTDFDDFIIDPYENKEEDEQNLRCLCIIPMIRELNGQIDVHDHQSYCPSQATMEDNNLCRNVLSGSMEPSPGAEKAASENLSEVAEKRRFQCMESTSPVPTNFQTKEYGETPVPENLNEVAEDSRLQRVEFTSPAHTLLRAKAGAETYASPRIAVVAKDSKLPSMEFTSPAHTVATFQPYADDMPTPKFTASERNFLLSVLELTSPGLRPETSQQPSCKRALLNSL >PVH65297 pep chromosome:PHallii_v3.1:2:54943938:54948104:-1 gene:PAHAL_2G455700 transcript:PVH65297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGASAKKDRTIVSWSAEEDSVLRAQIAHHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTKTGCVTPGAAGSSLPMKQISSDLKENIVPNMILFGQEKGTQQDARQPLANISSNNQQNLNTVKSQNLVATTTKTLLGPEQHCVKQEGNFMKKDDPKVAILLQQADLLCSLATKINHEDTSQSMDEAWQQLQHHLVKKEDNGMSENSGSGIASLLEELDDLIVDPYESKEEDEEKLREQNGQRQTDVENMQCSDPSQASMEVTSNMAPDEMMEDHTVGNCKEVSSLCRYMLSGSMEPCSGAKITASENLSEVAEDRRLQQVESTSPVLTDFDDFIIDPYENKEEDEQNLRCLCIIPMIRELNGQIDVHDHQSYCPSQATMEDNNLCRNVLSGSMEPSPGAEKAASENLSEVAEKRRFQCMESTSPVPTNFQTKEYGETPVPENLNEVAEDSRLQRVEFTSPAHTLLRAKAGAETFASPRIAVVAKDSKLPSMEFSSPADTVIRAKAGAETYASPRIAVVAKDSKLPSMEFTSPAHTVATFQPYADDMPTPKFTASERNFLLSVLELTSPGLRPETSQQPSCKRALLNSL >PAN14982 pep chromosome:PHallii_v3.1:2:54943470:54948317:-1 gene:PAHAL_2G455700 transcript:PAN14982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGASAKKDRTIVSWSAEEDSVLRAQIAHHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTKTGCVTPGAAGSSLPMKQISSDLKENIVPNMILFGQEKGTQQDARQPLANISSNNQQNLNTVKSQNLVATTTKTLLGPEQHCVKQEGNFMKKDDPKVAILLQQADLLCSLATKINHEDTSQSMDEAWQQLQHHLVKKEDNGMSENSGSGIASLLEELDDLIVDPYESKEEDEEKLREQNGQRQTDVENMQCSDPSQASMEVTSNMAPDEMMEDHTVGNCKEVSSLCRYMLSGSMEPCSGAKITASENLSEVAEDRRLQQVESTSPVLTDFDDFIIDPYENKEEDEQNLRELNGQIDVHDHQSYCPSQATMEDNNLCRNVLSGSMEPSPGAEKAASENLSEVAEKRRFQCMESTSPVPTNFQTKEYGETPVPENLNEVAEDSRLQRVEFTSPAHTLLRAKAGAETFASPRIAVVAKDSKLPSMEFSSPADTVIRAKAGAETYASPRIAVVAKDSKLPSMEFTSPAHTVATFQPYADDMPTPKFTASERNFLLSVLELTSPGLRPETSQQPSCKRALLNSL >PAN14983 pep chromosome:PHallii_v3.1:2:54943471:54948319:-1 gene:PAHAL_2G455700 transcript:PAN14983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGASAKKDRTIVSWSAEEDSVLRAQIAHHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTKTGCVTPGAAGSSLPMKQISSDLKENIVPNMILFGQEKGTQQDARQPLANISSNNQQNLNTVKSQNLVATTTKTLLGPEQHCVKQEGNFMKKDDPKVAILLQQADLLCSLATKINHEDTSQSMDEAWQQLQHHLVKKEDNGMSENSGSGIASLLEELDDLIVDPYESKEEDEEKLREQNGQRQTDVENMQCSDPSQASMEVTSNMAPDEMMEDHTVGNCKEVSSLCRYMLSGSMEPCSGAKITASENLSEVAEDRRLQQVESTSPVLTDFDDFIIDPYENKEEDEQNLRELNGQIDVHDHQSYCPSQATMEDNNLCRNVLSGSMEPSPGAEKAASENLSEVAEKRRFQCMESTSPVPTNFQTKEYGETPVPENLNEVAEDSRLQRVEFTSPAHTLLRAKAGAETYASPRIAVVAKDSKLPSMEFTSPAHTVATFQPYADDMPTPKFTASERNFLLSVLELTSPGLRPETSQQPSCKRALLNSL >PVH65296 pep chromosome:PHallii_v3.1:2:54943491:54948310:-1 gene:PAHAL_2G455700 transcript:PVH65296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGASAKKDRTIVSWSAEEDSVLRAQIAHHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTKTGCVTPGAAGSSLPMKQISSDLKENIVPNMILFGQEKGTQQDARQPLANISSNNQQNLNTVKSQNLVATTTKTLLGPEQHCVKQEGNFMKKDDPKVAILLQQADLLCSLATKINHEDTSQSMDEAWQQLQHHLVKKEDNGMSENSGSGIASLLEELDDLIVDPYESKEEDEEKLREQNGQRQTDVENMQCSDPSQASMEVTSNMAPDEMMEDHTVGNCKEVSSLCRYMLSGSMEPCSGAKITASENLSEVAEDRRLQQVESTSPVLTDFDDFIIDPYENKEEDEQNLRCLCIIPMIRELNGQIDVHDHQSYCPSQATMEDNNLCRNVLSGSMEPSPGAEKAASENLSEVAEKRRFQCMESTSPVPTNFQTKEYGETPVPENLNEVAEDSRLQRVEFTSPAHTLLRAKAAGAETYASPRIAVVAKDSKLPSMEFTSPAHTVATFQPYADDMPTPKFTASERNFLLSVLELTSPGLRPETSQQPSCKRALLNSL >PVH65298 pep chromosome:PHallii_v3.1:2:54943491:54948316:-1 gene:PAHAL_2G455700 transcript:PVH65298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGASAKKDRTIVSWSAEEDSVLRAQIAHHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTKTGCVTPGAAGSSLPMKQISSDLKENIVPNMILFGQEKGTQQDARQPLANISSNNQQNLNTVKSQNLVATTTKTLLGPEQHCVKQEGNFMKKDDPKVAILLQQADLLCSLATKINHEDTSQSMDEAWQQLQHHLVKKEDNGMSENSGSGIASLLEELDDLIVDPYESKEEDEEKLREQNGQRQTDVENMQCSDPSQASMEVTSNMAPDEMMEDHTVGNCKEVSSLCRYMLSGSMEPCSGAKITASENLSEVAEDRRLQQVESTSPVLTDFDDFIIDPYENKEEDEQNLRELNGQIDVHDHQSYCPSQATMEDNNLCRNVLSGSMEPSPGAEKAASENLSEVAEKRRFQCMESTSPVPTNFQTKEYGETPVPENLNEVAEDSRLQRVEFTSPAHTLLRAKAAGAETYASPRIAVVAKDSKLPSMEFTSPAHTVATFQPYADDMPTPKFTASERNFLLSVLELTSPGLRPETSQQPSCKRALLNSL >PAN12178 pep chromosome:PHallii_v3.1:2:41347980:41351333:-1 gene:PAHAL_2G247900 transcript:PAN12178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALKAFLNSPVGPKTTHFWGPVANWGFVLAGLLDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARTQGYLEKKEPEAQQ >PAN12177 pep chromosome:PHallii_v3.1:2:41347912:41351344:-1 gene:PAHAL_2G247900 transcript:PAN12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALKAFLNSPVGPKTTHFWGPVANWGFVLAGLLDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARTQGYLEKKEPEAQQ >PAN12175 pep chromosome:PHallii_v3.1:2:41347929:41351341:-1 gene:PAHAL_2G247900 transcript:PAN12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALKAFLNSPVGPKTTHFWGPVANWGFVLAGLLDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARTQGYLEKKEPEAQQ >PAN12176 pep chromosome:PHallii_v3.1:2:41347902:41351344:-1 gene:PAHAL_2G247900 transcript:PAN12176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALKAFLNSPVGPKTTHFWGPVANWGFVLAGLLDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARTQGYLEKKEPEAQQ >PAN15596 pep chromosome:PHallii_v3.1:2:57740799:57745258:1 gene:PAHAL_2G500500 transcript:PAN15596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSRLQSLLRPLLLLPSRTTARALQTLALRSPPPPPRLPSSFLLLRPRRLPPPQPHGIPSRLPLLRPFASVSPAPAPAPGRDHHLDSKDQGPPPAPLPPPPPEELASDDEAYYHEHLLEVAQENQTRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >PVH64567 pep chromosome:PHallii_v3.1:2:45758544:45759866:-1 gene:PAHAL_2G304100 transcript:PVH64567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFATEKLVGRSLYRFHAVTVFAGICLVLCYRATHVPAAGAGRAAWLGMLAAELWFGFYWVITQSVRWCPIRRRTFKDRLAARYGERLPCVDIFVCKADPQSQAS >PAN09380 pep chromosome:PHallii_v3.1:2:1282171:1285096:-1 gene:PAHAL_2G018700 transcript:PAN09380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRDGEHKAGPWRPGAPGEEGDSGSFPSAIILFALVGATATTAAVGQLRRTVRWFYTQLSRSEQYVYWEDIPRTRRPNRSGEAWEQYYQRMRERSEDQRGRVEHIRRMQDVFKKERSKCRDYRTWESHNPNYYHHGQRDEWYWDAEAFYANQRTNFRSMPRETMSYAMSHHYSILGLDRSRSEPFSDAEIKNAFRRKAMEYHPDQNQNNKEVAEAKFKEVMDSYEAIKLERGNGSC >PAN12166 pep chromosome:PHallii_v3.1:2:41332380:41336873:1 gene:PAHAL_2G247600 transcript:PAN12166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTCIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEIAVETFGSMAKTEKIAFILEQVRLCLDRQDFVRAQILSRKISTRVFDADPSKEKKKPKEGDSIVQDAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKAIYDIPAIKEDPAKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFYKNEYENEKLLGGALGAKTEEDLKLRIIEHNILVVSKYYSRITLKRIADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFRTAQDSNGVLNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >PAN12165 pep chromosome:PHallii_v3.1:2:41332380:41336873:1 gene:PAHAL_2G247600 transcript:PAN12165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTCIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEIAVETFGSMAKTEKIAFILEQVRLCLDRQDFVRAQILSRKISTRVFDADPSKEKKKPKEGDSIVQDAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKAIYDIPAIKEDPAKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFYKNEYENEKLLGGALGAKTEEDLKLRIIEHNILVVSKYYSRITLKRIADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFRTAQDSNGVLNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >PAN12167 pep chromosome:PHallii_v3.1:2:41332380:41336873:1 gene:PAHAL_2G247600 transcript:PAN12167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTCIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEIAVETFGSMAKTEKIAFILEQVRLCLDRQDFVRAQILSRKISTRVFDADPSKEKKKPKEGDSIVQDAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKAIYDIPAIKEDPAKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFYKNEYENEKLLGGALGAKTEEDLKLRIIEHNILVVSKYYSRITLKRIADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFRTAQDSNGVLNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >PAN13125 pep chromosome:PHallii_v3.1:2:46456166:46465415:-1 gene:PAHAL_2G315900 transcript:PAN13125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPGNPKNAHLKELDKAPENLRLFKADVLDYDTLTPAVEGCEGVFHLATPVPEDEIVDPESQVLDTAVKGTLNVLKVCSAAKVQKLVVMSSNAAVDFNPNWPQDIIKDESCWSDKEFCKENGDWYSVAKIMAEQAALEYADKNGLNVVTLCPPLVFGPLLQPTVNTSSKFLIYVIKGGPDVMNNKLWHIVDVRDVADALLLLYEKEESSGRYICSPHHICTKDLVALLKKMHPEYNCVDNILDVDQKASLTCRKLMDLGWEPRTLEETLSDSVECYEKAGALQDVPGRPCRLPHLFRLAGDQ >PAN13124 pep chromosome:PHallii_v3.1:2:46457223:46464935:-1 gene:PAHAL_2G315900 transcript:PAN13124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPGNPKNAHLKELDKAPENLRLFKADVLDYDTLTPAVEGCEGVFHLATPVPEDEIVDPESQVLDTAVKGTLNVLKVCSAAKVQKLVVMSSNAAVDFNPNWPQDIIKDESCWSDKEFCKENGDWYSVAKIMAEQAALEYADKNGLNVVTLCPPLVFGPLLQPTVNTSSKFLIYVIKEIAAMLFLNALQLFNQNLHPRADSSQSIEALM >PAN14867 pep chromosome:PHallii_v3.1:2:54606419:54609216:1 gene:PAHAL_2G450100 transcript:PAN14867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILCFGASSALLCGEDRNSILGLGACDGGGDELVEVGSGLDFFDAAGAVFPVDTDEAVRALMEKETDHMPPEGYAERLEHAGLESSWRRDAMDWICKVHSYYNFGPLSLYLAVNYLDRFLSSYNLPHDQPWMKQLLSVACLSIAVKMEETVVPLPVDLQVCDVKFEFEARTIGRMEILVLSTLKWRMQAVTPFTFISYFLDKFSEGKPPSFALASQCAEIIVGTLKGSTFLSFRPSEIAAAAALAVVSSNQVVGFGSVLSASGIPVNKEMIARCYELMQERALVKKRVHIDGSPSVPQSPIGVLDAACFSFRSEDSTLGSSQSNISSNNNNQASTQASKRRRLSISPI >PAN09614 pep chromosome:PHallii_v3.1:2:2638856:2640898:-1 gene:PAHAL_2G037200 transcript:PAN09614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWHPASPINIEEGYRDLEATPPRSTTNSTEDVAPLPASTPPTQVQQASRRRGATGAGGGDVAEGNEFTGDEDGDAAQQARLHMHVAIDMAALQQAAALTGENAPTESVNNRGLVILWSPYCPSVDGGPARYIVTVGTANHAGPPTPSFLQVKHSRNYAKLLLALFGVCLSACSATFPVVKQAAPDGLLVLAYGLLVALALVLLAGLLATSFDRSPSSAIWGRYALQLALGLIIGFLTWSVCSLKHGPGFDVAVGIAGALAYIAVVLLFHTRKW >PAN09613 pep chromosome:PHallii_v3.1:2:2637137:2641062:-1 gene:PAHAL_2G037200 transcript:PAN09613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWHPASPINIEEGYRDLEATPPRSTTNSTEDVAPLPASTPPTQVQQASRRRGATGAGGGDVAEGNEFTGDEDGDAAQQARLHMHVAIDMAALQQAAALTGENAPTESVNNRGLVILWSPYCPSVDGGPARYIVTVGTANHAGPPTPSVKHSRNYAKLLLALFGVCLSACSATFPVVKQAAPDGLLVLAYGLLVALALVLLAGLLATSFDRSPSSAIWGRYALQLALGLIIGFLTWSVCSLKHGPGFDVAVGIAGALAYIAVVLLFHTRKW >PVH65119 pep chromosome:PHallii_v3.1:2:53302014:53305753:-1 gene:PAHAL_2G428100 transcript:PVH65119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAALQNDEYEPAPGEVTKYIRWHYGTRWTHGNFVARRIRSGCFSFLPAPRTLFFFELMHSPDFTGVFTCTPLDEPVTEGYSILGCPYLMGYLS >PVH64504 pep chromosome:PHallii_v3.1:2:44708305:44712372:1 gene:PAHAL_2G288600 transcript:PVH64504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGLVASHIRSDVFHSPGTPNYPWHRASVLGFQKGWCSERVPHPSKGSRRYPGSSMAFPYSNGRTLPSKWEDAERWIFSPNSSEALGRSTVAHARRPKSKSGPLGPPGRLGGQYSSVSSVSVLDSGRAGPITSNSPFVAGVLMPEHVCGGKNANGTCSGRPAGDETTIGSNVRFYPPNGGSHAIRSSRVRRRLDAAIESSASLPSTRESIQGEQVEITEDSATTFASMISRKDAATQTSPELSRSSSPNRPTFTCSLSMQQVKESESCFSDLEIRDVQMDDRVTLTRWSKKNVTRSSNKNSTNVIEWKEKTVESKSSSWGFAEAKCISKIEREDAKITAWENIQKAKAEAAIQKLVIKLEKKRSSSLDKILNTLKSAQRKAQVMRERDAATAKQDEKGSRKAKKTAQLSKNGQISSLSGCFTCHAF >PVH64503 pep chromosome:PHallii_v3.1:2:44706885:44712372:1 gene:PAHAL_2G288600 transcript:PVH64503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGSGLGFRGVVVEEEGEEVVSAPERPMRRRRRRWGMEVEDGYSPSSTGGGGSSCCDSFGCDSPLAGFVRPDGDPDTDLETDGLATSSSSAFTERQDDEEVLCGVKEEEWAKVQEPAKNPAGRSTPECHNQRHRTEATVLLHGRKGSKQRPASLDFGSPGFHGATFSPNFVVGGVGLMNKGLVASHIRSDVFHSPGTPNYPWHRASVLGFQKGWCSERVPHPSKGSRRYPGSSMAFPYSNGRTLPSKWEDAERWIFSPNSSEALGRSTVAHARRPKSKSGPLGPPGRLGGQYSSVSSVSVLDSGRAGPITSNSPFVAGVLMPEHVCGGKNANGTCSGRPAGDETTIGSNVRFYPPNGGSHAIRSSRVRRRLDAAIESSASLPSTRESIQGEQVEITEDSATTFASMISRKDAATQTSPELSRSSSPNRPTFTCSLSMQQVKESESCFSDLEIRDVQMDDRVTLTRWSKKNVTRSSNKNSTNVIEWKEKTVESKSSSWGFAEAKCISKIEREDAKITAWENIQKAKAEAAIQKLVIKLEKKRSSSLDKILNTLKSAQRKAQVMRERDAATAKQDEKGSRKAKKTAQLSKNGQISSLSGCFTCHAF >PAN12749 pep chromosome:PHallii_v3.1:2:44706885:44712372:1 gene:PAHAL_2G288600 transcript:PAN12749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGSGLGFRGVVVEEEGEEVVSAPERPMRRRRRRWGMEVEDGYSPSSTGGGGSSCCDSFGCDSPLAGFVRPDGDPDTDLETDGLATSSSSASAAFTERQDDEEVLCGVKEEEWAKVQEPAKNPAGRSTPECHNQRHRTEATVLLHGRKGSKQRPASLDFGSPGFHGATFSPNFVVGGVGLMNKGLVASHIRSDVFHSPGTPNYPWHRASVLGFQKGWCSERVPHPSKGSRRYPGSSMAFPYSNGRTLPSKWEDAERWIFSPNSSEALGRSTVAHARRPKSKSGPLGPPGRLGGQYSSVSSVSVLDSGRAGPITSNSPFVAGVLMPEHVCGGKNANGTCSGRPAGDETTIGSNVRFYPPNGGSHAIRSSRVRRRLDAAIESSASLPSTRESIQGEQVEITEDSATTFASMISRKDAATQTSPELSRSSSPNRPTFTCSLSMQQVKESESCFSDLEIRDVQMDDRVTLTRWSKKNVTRSSNKNSTNVIEWKEKTVESKSSSWGFAEAKCISKIEREDAKITAWENIQKAKAEAAIQKLVIKLEKKRSSSLDKILNTLKSAQRKAQVMRERDAATAKQDEKGSRKAKKTAQLSKNGQISSLSGCFTCHAF >PVH64750 pep chromosome:PHallii_v3.1:2:48629362:48631622:1 gene:PAHAL_2G351200 transcript:PVH64750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMKWRILLKIPNFSLDKQKMIVTATTCLCNFIGDRMCLLVPTWRHRVFSVGVLCPRARLVLMMQIAIRLDITFMDLNLVITISVQVAGVEDADPTYCTFYSTCDCEAESLPVAIHGGRSAKGAPPSETLETQGGRKFHENKGPERLQRSSETEEREGNFSPDPLRYQSLTLPFCRREKLASSASCMRGKERQK >PAN10783 pep chromosome:PHallii_v3.1:2:9600168:9610038:-1 gene:PAHAL_2G115800 transcript:PAN10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSAKTIKNAFLAQHHPRTRHGTRYHSGFCSLNTRSMHSFQECRLSVADSSAKWLNTTTTPWTSFDKQAVSCNAAQGGSAVSSSEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPATEAIAAAFAAWLLNKKEADGLRRLRISVGHDSRISAHKLQNAVTHGITAAGHDILQFGLASTPAMFNSTLTEDERNHLPVDGAIMITASHLPYNRNGLKFFTSDGGLNKADIKDILERASKIYEESAHGKQQEREDASRGVVNSVDYMSIYASDLVQAVRKSAGDKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMAAIVIEEHPGTTVVTDSVTSDGLTVFIENKLGGKHHRFKRGYKNVIDEAIRLNSISEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARTLDSSIGSKVLTDLVEGLEEAAVTVEIRLKIDQNHADLKGGSFRDYGEAVLRHLENAIGKDPNLHKAPKNYEGVRVSGHGGWFLVRLSLHDPVLPLNIEAPNKDDAIKLGLAVLAAVSEFSALDVTALNKFVQQ >PAN10784 pep chromosome:PHallii_v3.1:2:9599755:9610181:-1 gene:PAHAL_2G115800 transcript:PAN10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAQGGSAVSSSEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPATEAIAAAFAAWLLNKKEADGLRRLRISVGHDSRISAHKLQNAVTHGITAAGHDILQFGLASTPAMFNSTLTEDERNHLPVDGAIMITASHLPYNRNGLKFFTSDGGLNKADIKDILERASKIYEESAHGKQQEREDASRGVVNSVDYMSIYASDLVQAVRKSAGDKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMAAIVIEEHPGTTVVTDSVTSDGLTVFIENKLGGKHHRFKRGYKNVIDEAIRLNSISEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARTLDSSIGSKVLTDLVEGLEEAAVTVEIRLKIDQNHADLKGGSFRDYGEAVLRHLENAIGKDPNLHKAPKNYEGVRVSGHGGWFLVRLSLHDPVLPLNIEAPNKDDAIKLGLAVLAAVSEFSALDVTALNKFVQQ >PVH65292 pep chromosome:PHallii_v3.1:2:54848473:54849693:1 gene:PAHAL_2G454400 transcript:PVH65292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCMGCLARWPAGAACSRWPDRPAAPRLALGWQHAGLQCLGPQAQQLVPRCASACLLAGAGRPRAPRAAGRRRGSCTGRGWLPPPVSHAPLRKRVAARRAAGPRTAVPKISCAQAEEERRGRQERNPKLQIKNTPSILNESK >PAN13187 pep chromosome:PHallii_v3.1:2:46665673:46669393:-1 gene:PAHAL_2G319500 transcript:PAN13187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFSPGTELGLEATGAEFNTMKLEDNAEMSNIDTSGVENLMDTAEEVKPCWTKPSPKTQPSNGFVTFSLTMGPEYHISQITDAVVVARYLGATLVLPDIRGNELGNKRKFQDMYNVDKFVRNLDGIVEVIEELPDEVSAKKPAVIRVPNRVTESFITDTIQPIFQTNSYLRLAVVFSSVSLRPRETNNKDLDATACLAMFSGLELKHEYSEIARKISDKLKEISRKSGGKVLAIDLRTDLLEKKGCKTTRGARRKGCYNADEVLGFLRNVGFSANTTIYLTETWWHKGLNDLKEEFPNTYTKDDIIPAENKGEFLKASNDDLARALDLEICSQSDVFIPAIAGLFYGHVTGKRIASGHTQILVPSQSSASTQASDFISTYISNKNHLAYKCYC >PAN10556 pep chromosome:PHallii_v3.1:2:8009448:8012920:1 gene:PAHAL_2G101800 transcript:PAN10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKTDRAHVLDKAKHLSRLNVKESGKVMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLEHAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVLGLRLTQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >PAN10563 pep chromosome:PHallii_v3.1:2:8009448:8012920:1 gene:PAHAL_2G101800 transcript:PAN10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKAKHLSRLNVKESGKVMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLEHAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVLGLRLTQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >PAN12823 pep chromosome:PHallii_v3.1:2:45017010:45020045:1 gene:PAHAL_2G293700 transcript:PAN12823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PAN12827 pep chromosome:PHallii_v3.1:2:45017035:45020438:1 gene:PAHAL_2G293700 transcript:PAN12827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PVH64524 pep chromosome:PHallii_v3.1:2:45017035:45020045:1 gene:PAHAL_2G293700 transcript:PVH64524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVCLLPTPSLSFIQLSFWQCLPVYNKWGGHFTC >PAN12826 pep chromosome:PHallii_v3.1:2:45017010:45020068:1 gene:PAHAL_2G293700 transcript:PAN12826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PVH64523 pep chromosome:PHallii_v3.1:2:45017010:45020209:1 gene:PAHAL_2G293700 transcript:PVH64523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PAN12824 pep chromosome:PHallii_v3.1:2:45017010:45020462:1 gene:PAHAL_2G293700 transcript:PAN12824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PVH64522 pep chromosome:PHallii_v3.1:2:45017010:45020462:1 gene:PAHAL_2G293700 transcript:PVH64522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PAN12828 pep chromosome:PHallii_v3.1:2:45017035:45020438:1 gene:PAHAL_2G293700 transcript:PAN12828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PVH64526 pep chromosome:PHallii_v3.1:2:45017035:45020045:1 gene:PAHAL_2G293700 transcript:PVH64526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PAN12822 pep chromosome:PHallii_v3.1:2:45017035:45020438:1 gene:PAHAL_2G293700 transcript:PAN12822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PAN12825 pep chromosome:PHallii_v3.1:2:45017364:45018985:1 gene:PAHAL_2G293700 transcript:PAN12825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYPFDGEWQH >PVH64525 pep chromosome:PHallii_v3.1:2:45017010:45020462:1 gene:PAHAL_2G293700 transcript:PVH64525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEISTSKTGKHGHAKCHFVGIVIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVSSRKERRKYRENKTCRFEADHVDKAGQSKYLCGVMLCSRFCVDIRTFVYLHRSVLFFAVQFRIRFEWGADLLLVLCRMGDARRAGF >PAN15365 pep chromosome:PHallii_v3.1:2:56618475:56629417:-1 gene:PAHAL_2G483100 transcript:PAN15365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSAAKRRAPSEEASPTPASPTAAASAAPVEPAPSSPTPPPPRSRSGKRAKVAVARAEEPGTAGVKAAEPAAVDVLDSSLDNLQGVARSTVDVAASSAVSNSGGRRKKNRPTRSFPTDEGTLWKTRPISANGRSEAWGRLISQSSEYPSIPIYSTHFTIGHGGKNDLKLTESSPGSPVCRLKHVKRGAALEIYVSKVVHVNGKALDKTAKVTLIGGDEVVFSSLGRHAYIFEQLPEEKSSTSSLCSTSVTQQDQYPLVKGTRDHLSSKAAKVSTPLNFGNGRPPLVPHDKEIVSSLCKTMEEQKYCNSEENVPFGRHQLLKDDLKKATISASDISESFDNFPYYLSGTTKNVLLSSAYVNLCCKESTKFTKGISSLCKRVLLSGPAGSEIYQELLVKALTKSFGAKLLIVDYSLLSGGQPSKLKDESYKKGDRVRYIGPLRSSGITLEGQRAPDYGSQGEVRLPFEENGSSKVGVRFDKQIPGGIDLGGNCELDHGLFCSGWEDRAKHPFDVVFEFASEESQHEPLILFLKDVEKMCGNNYTYHGLKNKLESFPAGIFIIGSQTQTDARKDKSNSGSPWLKFPYSQAAILDLAFQDSFGRVSEKNKEALKMSKHLTKLFPNKVTIETPQDESELSRWKQLLDRDVEILKAKANVLKIQSFLTRHGMECTDVESVICVKDRILTSECVDKIVGYALSHQLKDRTIQTPGKDVKVVLSGESLKHGVDLLECIQSDPKKKSTKKSLKDVATENEFEKRLLTDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDAPNRRKILGVILAKEDLAADVDLDALANLTDGYSGSDLKNLCITAAHGPIREILGREKKEIALAEAENRPAPPQCSSSDVRSLKYSDFKHAHEQVCASISSDSNNMNELIQWNDLYGEGGSRQKTSLSYFM >PAN15363 pep chromosome:PHallii_v3.1:2:56618475:56629417:-1 gene:PAHAL_2G483100 transcript:PAN15363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSAAKRRAPSEEASPTPASPTAAASAAPVEPAPSSPTPPPPRSRSGKRAKVAVARAEEPGTAGVKAAEPAAVDVLDSSLDNLQGVARSTVDVAASSAVSNSGGRRKKNRPTRSFPTDEGTLWKTRPISANGRSEAWGRLISQSSEYPSIPIYSTHFTIGHGGKNDLKLTESSPGSPVCRLKHVKRGAALEIYVSKVVHVNGKALDKTAKVTLIGGDEVVFSSLGRHAYIFEQLPEEKSSTSSLCSTSVTQQDQYPLVKGTRDHLSSKAAKVSTPLNFGNGRPPLVPHDKEIVSSLCKTMEEQKYCNSEENVPFGRHQLLKDDLKKATISASDISESFDNFPYYLSGTTKNVLLSSAYVNLCCKESTKFTKGISSLCKRVLLSGPAGSEIYQELLVKALTKSFGAKLLIVDYSLLSGPSKLKDESYKKGDRVRYIGPLRSSGITLEGQRAPDYGSQGEVRLPFEENGSSKVGVRFDKQIPGGIDLGGNCELDHGLFCSVDSLCLDGPGWEDRAKHPFDVVFEFASEESQHEPLILFLKDVEKMCGNNYTYHGLKNKLESFPAGIFIIGSQTQTDARKDKSNSGSPWLKFPYSQAAILDLAFQDSFGRVSEKNKEALKMSKHLTKLFPNKVTIETPQDESELSRWKQLLDRDVEILKAKANVLKIQSFLTRHGMECTDVESVICVKDRILTSECVDKIVGYALSHQLKDRTIQTPGKDVKVVLSGESLKHGVDLLECIQSDPKKKSTKKSLKDVATENEFEKRLLTDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDAPNRRKILGVILAKEDLAADVDLDALANLTDGYSGSDLKNLCITAAHGPIREILGREKKEIALAEAENRPAPPQCSSSDVRSLKYSDFKHAHEQVCASISSDSNNMNELIQWNDLYGEGGSRQKTSLSYFM >PAN15362 pep chromosome:PHallii_v3.1:2:56618475:56627282:-1 gene:PAHAL_2G483100 transcript:PAN15362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKYCNSEENVPFGRHQLLKDDLKKATISASDISESFDNFPYYLSGTTKNVLLSSAYVNLCCKESTKFTKGISSLCKRVLLSGPAGSEIYQELLVKALTKSFGAKLLIVDYSLLSGGQPSKLKDESYKKGDRVRYIGPLRSSGITLEGQRAPDYGSQGEVRLPFEENGSSKVGVRFDKQIPGGIDLGGNCELDHGLFCSVDSLCLDGPGWEDRAKHPFDVVFEFASEESQHEPLILFLKDVEKMCGNNYTYHGLKNKLESFPAGIFIIGSQTQTDARKDKSNSGSPWLKFPYSQAAILDLAFQDSFGRVSEKNKEALKMSKHLTKLFPNKVTIETPQDESELSRWKQLLDRDVEILKAKANVLKIQSFLTRHGMECTDVESVICVKDRILTSECVDKIVGYALSHQLKDRTIQTPGKDVKVVLSGESLKHGVDLLECIQSDPKKKSTKKSLKDVATENEFEKRLLTDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDAPNRRKILGVILAKEDLAADVDLDALANLTDGYSGSDLKNLCITAAHGPIREILGREKKEIALAEAENRPAPPQCSSSDVRSLKYSDFKHAHEQVCASISSDSNNMNELIQWNDLYGEGGSRQKTSLSYFM >PAN15364 pep chromosome:PHallii_v3.1:2:56618756:56629181:-1 gene:PAHAL_2G483100 transcript:PAN15364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSAAKRRAPSEEASPTPASPTAAASAAPVEPAPSSPTPPPPRSRSGKRAKVAVARAEEPGTAGVKAAEPAAVDVLDSSLDNLQGVARSTVDVAASSAVSNSGGRRKKNRPTRSFPTDEGTLWKTRPISANGRSEAWGRLISQSSEYPSIPIYSTHFTIGHGGKNDLKLTESSPGSPVCRLKHVKRGAALEIYVSKVVHVNGKALDKTAKVTLIGGDEVVFSSLGRHAYIFEQLPEEKSSTSSLCSTSVTQQDQYPLVKGTRDHLSSKAAKVSTPLNFGNGRPPLVPHDKEIVSSLCKTMEEQKYCNSEENVPFGRHQLLKDDLKKATISASDISESFDNFPYYLSGTTKNVLLSSAYVNLCCKESTKFTKGISSLCKRVLLSGPAGSEIYQELLVKALTKSFGAKLLIVDYSLLSGGQPSKLKDESYKKGDRVRYIGPLRSSGITLEGQRAPDYGSQGEVRLPFEENGSSKVGVRFDKQIPGGIDLGGNCELDHGLFCSVDSLCLDGPGWEDRAKHPFDVVFEFASEESQHEPLILFLKDVEKMCGNNYTYHGLKNKLESFPAGIFIIGSQTQTDARKDKSNSGSPWLKFPYSQAAILDLAFQDSFGRVSEKNKEALKMSKHLTKLFPNKVTIETPQDESELSRWKQLLDRDVEILKAKANVLKIQSFLTRHGMECTDVESVICVKDRILTSECVDKIVGYALSHQLKDRTIQTPGKDVKVVLSGESLKHGVDLLECIQSDPKKKSTKKSLKDVATENEFEKRLLTDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDAPNRRKILGVILAKEDLAADVDLDALANLTDGYSGSDLKNLCITAAHGPIREILGREKKEIALAEAENRPAPPQCSSSDVRSLKYSDFKHAHEQVCASISSDSNNMNELIQWNDLYGEGGSRQKTSLSYFM >PAN14482 pep chromosome:PHallii_v3.1:2:52406090:52406829:-1 gene:PAHAL_2G412600 transcript:PAN14482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSALLLALLVSAACLAQLGSATRPVPVQQAPAEDVKRPDTLQEGTVLIPGIGRYELGSHYIPDIGGLDHSIPAAANGQYLPGADDTWVPNPGFEIPNPFRPGAATP >PAN10067 pep chromosome:PHallii_v3.1:2:5150215:5158521:-1 gene:PAHAL_2G069800 transcript:PAN10067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEAARLSPSLAAAAFLARRPPPALFPFSLRRRLPHLRVLAGSSGGDGRVVALSSSELRKRRGLSSSSGAADPASGGDEKLRSLRRLFSRPDVGIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAAIWTDGRYFLQAEKELTHEWTLMRSGNHGVPTTSEWLNDVLPSGCRVGIDPFLFSFDAAEELKDSISNKNHELVLVRGMNLVDEIWGDARPKPPKEPTRVHDIKYAGIDVPSKLSFIRSHLAENECDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEVSTATLFVDSNKVSEDVLEHLEKAGVKLKPYEAIVSEVERLAEKGAKLWLDSSSVNAAIVTAFKSSCDKQMKKKGKAGKKVEKEASSDEPTGVENGTVNAVYNVSPVTLAKSVKNEAEIEGMKNSHLRDAAALAEFWCWLEEEVCKSVPLTEVQVAEKLLEFRKKQDGFIETSFDTISGYGANGAIIHYRPTPEDCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARTSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLIKELNLANSFGGISYLGFERLTFAPIQSKLIDVSLLSPSEINWVNDYHEEVWDKVYPLLSGATRDWLWKNTRPLLDVTH >PAN10066 pep chromosome:PHallii_v3.1:2:5150794:5155903:-1 gene:PAHAL_2G069800 transcript:PAN10066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFYHLVAELVLTRMQFLFSFDAAEELKDSISNKNHELVLVRGMNLVDEIWGDARPKPPKEPTRVHDIKYAGIDVPSKLSFIRSHLAENECDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEVSTATLFVDSNKVSEDVLEHLEKAGVKLKPYEAIVSEVERLAEKGAKLWLDSSSVNAAIVTAFKSSCDKQMKKKGKAGKKVEKEASSDEPTGVENGTVNAVYNVSPVTLAKSVKNEAEIEGMKNSHLRDAAALAEFWCWLEEEVCKSVPLTEVQVAEKLLEFRKKQDGFIETSFDTISGYGANGAIIHYRPTPEDCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARTSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLIKELNLANSFGGISYLGFERLTFAPIQSKLIDVSLLSPSEINWVNDYHEEVWDKVYPLLSGATRDWLWKNTRPLLDVTH >PVH65134 pep chromosome:PHallii_v3.1:2:53607522:53608864:1 gene:PAHAL_2G432500 transcript:PVH65134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPTLIDMLASRAEGPPILRLTVADVAPNAPPPALDMSYDELGAKLLNFARSRNMSMDFRVVTTSPADAFTSLVDQLRVQQLVLDGTEALVVNCQMLLHTVPDETAGSVSLAQPVSLRTMLLKSLRTLDPNLVVVVEEDADFTAGDVVGRLRAAFNFLWIPYDAVDTFLPKGSEQRRWYEAEIGWKVENVLAQEGVDRLERQEDRARWDQRMRSAGFRAVAFGEEAAGEVKAMLNEHAAGWGMKREDDDLVLTWKGHNVVFASAWASS >PVH63849 pep chromosome:PHallii_v3.1:2:10111740:10112341:1 gene:PAHAL_2G120600 transcript:PVH63849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLSATEPEEAGAEAKQACEKMPPPPPVDPQRLSPAESRERTLHFFHGLGVDVPLPASAERADACSALVRAIVSSATVSSSRVSCTLTISPGVANQYNTLHGGAVAAVAEAVGMACARAAAGDKEMFLGELSTAYLAAARVNVSILEKLSYTL >PAN15091 pep chromosome:PHallii_v3.1:2:55354805:55358313:1 gene:PAHAL_2G463800 transcript:PAN15091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHPRITGGRRQGPLPLPLKRALLAAIAFAAAVSVLCLLSFTDTVSFTDTLSFLGFTPRDVDKREGNRKYLYWGTRIDCPGKHCGSCAGLGHQESSLRCALEEALFLDRILVMPSKMCLSSVHNTKGILHSSNATSKQRWETGSCAMESLYDLDLMSRTVPVILDNPRSWYEIISRSTKLGEAGVVDVQGVSRVELKENPNYSSALLINRTASPLAWFMECKDRTKRSSVMLPYTFLPTMATKKLRDAANKMKEILGDYDAIHVRRGDLLKNRKDSFGVERSLHPHLDRDTRPEFIKKRIAKWIQPGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILDLIIENNYQLFMVERLIMQGARTFVKTMKEFDKDLALCDDPKKNTKNWQKPVYTDD >PAN13733 pep chromosome:PHallii_v3.1:2:49211402:49215094:-1 gene:PAHAL_2G361200 transcript:PAN13733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPAAAVASRHIPSLLNLLSQCRSIQNLNQVHAHLLVDGSLSAAAVASQLLASYCALSAAAGHGALRLFDGIPDPDRFMYNTGIRACCNGSCPQEALRLHRGMLERGILPNEFTLPFVLKACTRARAWGHARAAHGVVVKLGFAGQVFVGNALVHSYASAGSLADSRRFFDEMVERNVVSWNSMIGGYAQEGDTREARALFGEMRRQGFVEDEFTLVNLLLACSQEGNLEFGRLVHCQMLVSGSRVDLILGNALVDMYGKCGDLSMALRCFEMMPLKNVVSWTSMLCAWAKHGSVDAARDWFDQMPERNIVSWNAMISCYVQSGQCREALDLYSSMQSEGLAPDEVTLVAVLSACGQIGDLTFGKMVHLYIRDKINNPDVSLVNSLVDMYAKCGQVDTAISLFSEMCHKNVVSWNVVIGGLAMHGRALDSVMFFRSMVRDSFSPDEITFVALLSACSHGGLLEAGQHYFEAMRHVYNLEHEVEHYACMVDLLGRRGYLEKAVCLIKEMPMKPDVVVWGALLGACRMHGSVKIGRQVIKQLLELEGISGGLLVLISNLLYETHRWEDMKRLRKLMKEWGTRKDMGISSIEVNNSIHEFGVEDMRHESSSEIYAAVDQLSCHLISLHVLTVHPEELCVVE >PAN13734 pep chromosome:PHallii_v3.1:2:49211401:49215170:-1 gene:PAHAL_2G361200 transcript:PAN13734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPAAAVASRHIPSLLNLLSQCRSIQNLNQVHAHLLVDGSLSAAAVASQLLASYCALSAAAGHGALRLFDGIPDPDRFMYNTGIRACCNGSCPQEALRLHRGMLERGILPNEFTLPFVLKACTRARAWGHARAAHGVVVKLGFAGQVFVGNALVHSYASAGSLADSRRFFDEMVERNVVSWNSMIGGYAQEGDTREARALFGEMRRQGFVEDEFTLVNLLLACSQEGNLEFGRLVHCQMLVSGSRVDLILGNALVDMYGKCGDLSMALRCFEMMPLKNVVSWTSMLCAWAKHGSVDAARDWFDQMPERNIVSWNAMISCYVQSGQCREALDLYSSMQSEGLAPDEVTLVAVLSACGQIGDLTFGKMVHLYIRDKINNPDVSLVNSLVDMYAKCGQVDTAISLFSEMCHKNVVSWNVVIGGLAMHGRALDSVMFFRSMVRDSFSPDEITFVALLSACSHGGLLEAGQHYFEAMRHVYNLEHEVEHYACMVDLLGRRGYLEKAVCLIKEMPMKPDVVVWGALLGACRMHGSVKIGRQVIKQLLELEGISGGLLVLISNLLYETHRWEDMKRLRKLMKEWGTRKDMGISSIEVNNSIHEFGVEDMRHESSSEIYAAVDQLSCHLISLHVLTVHPEELCVVE >PVH65259 pep chromosome:PHallii_v3.1:2:54541954:54544213:1 gene:PAHAL_2G448700 transcript:PVH65259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQAETKSATRTAQRRTRACSFPLVFVSVSSPVPFSLLSWSSFPGGERLGERRRNSVFDGPFAGDEHPPATMSYAGSAPGERPRTRPHGLTQQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTGEQIDQMIADVDKDGSGAIDYEEFEHMMTAKIGERDSKEELTKAFRIIDQDRNGKISNIDIQRIAKELGVNLTLEEIQDMVQEADRNGDGEIDFDEFTRMMRRTSYGVRRR >PAN15194 pep chromosome:PHallii_v3.1:2:55825339:55831095:-1 gene:PAHAL_2G470900 transcript:PAN15194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSWPSAIRLAVAATLLVAVGVALFTLPVEKILKDFLVWIKENLGPWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGVVEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFVFSVVLIICVTKVAKSSLEKALAENGEVDVGTSQLPVVASPSDLQQPLVIKIDSSNEDHEK >PAN15145 pep chromosome:PHallii_v3.1:2:55575920:55582347:1 gene:PAHAL_2G468100 transcript:PAN15145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTERARRAIDAMKALGFSKKEVTPVLKSLLKLFDNNWEPIEDEGYRALADATLDARDRPQGPEHGNHHPRMVAPEEDHYQPSTSLVVRGGPCDLYSETEAPRVKRPRTNSNNFSADHSIDPQLSPSSPLTAHKMARKIVDEDFQQAVFLREPKPEPDMDAAQSLLDAQVGIVSHMFNTSSSGAAGPPALHPPDQNQTETSGNKGRPVQRCGTRRSSTSFVEPVDSMGKQAQNQERSSDSDHTAVMHNTRTGSADECTHQARCLHTEIASSTMGEVKMSIKCNIDPSKFRMPSLEAVFRMVEDKCFRSYKSLPPNFSISSLINEICECVEQVGNDHTAEYNIQSDSFDNGRNLQKEPMMNGAALVKPIACISRGGGKYKSVEDSWILETSDNDQANSMVAQHLAPSHLSRTHDASDISRGEEKVRISVVNEFGSDQCPSPFYYKQRNLVSQKPYANISAARIGDEDGCADCFGNCLSAPVPCACARETGGEFAYTPDGLVRAAFIDECFSVNLFPEKHRNFFCKPLKRSRNEAPPEPCSGQLVRKVIKECWSKCGCNMQCGNRVVQRGITCNLQVFFTQEGKGWGLRALDELPKGAFVCEYVGELLTSTELHERTSQKAHKAGYTYLVDLGADWGSEGVLKDEEALFLDATIYGNVGRFINHRCYDANLVGIPVEVETPGHHYYHLALFTSKKVEAFEELTWDYGIDFDDDKHPGGAFQCLCGSRYCRGRKRHRNRGKAAVRVRRRG >PVH64054 pep chromosome:PHallii_v3.1:2:24309793:24311246:1 gene:PAHAL_2G174300 transcript:PVH64054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSPGRFKKFVGEFVDDQKGFVEESGFGHLLHISDFKVPVPLLEWVMSIIIVGVSEFRFEGRSIRFIPSMVSKVLGIPSGNDTIEFASEVDEEVSSVYDDYLNGKDKPAISCAIGICLAEHN >PVH63575 pep chromosome:PHallii_v3.1:2:4304970:4306884:-1 gene:PAHAL_2G058500 transcript:PVH63575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLFCVTYGTPSFSSAPMTARLVNLDIDPSFSFLTKLPGIQILILLDSCKGLVLFGHRQEQYSGTFSLGYIVCNPTTRQWEAVPACTSAAPLTYTYLAFDPAVSSHFHLASSRWRTLPGSWFLCMLTPLSATRG >PAN09435 pep chromosome:PHallii_v3.1:2:1640983:1644920:1 gene:PAHAL_2G023300 transcript:PAN09435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGERPAATQKRPFPPEGELVELMWQDGAVVAHSQAQRAFAGAGNTGASGVTGEAPAAWLPGAGGALGGDVYSQLWQSIAQADGRVGADARARPPARSGNSAAGSSRTAGEVGSSFCGSNLVAAALHLDDDIDDVAAPPPPPPDEPCACGGASMSSGWNSNGLLKRSRDEFDGRSEDADFDTVDETPPSRRPASKRRTRAAEVHNMSERRRRDRINEKMRALQELVPHCNKTDKASILDEAIEYLKSLQMQVQIMWMSSGMAPMMLPGAHQLMPPMSMGLNSACMPPAAQFLSQIQRVPPFLSNPLPNQIPQISPAATSAPNVTNQVQSNRMAQPRNPFLHPNDALTSTPQLPSLFGYGPQMAQENEIQELLACTAAPALGADPQSSSDGTGT >PVH65276 pep chromosome:PHallii_v3.1:2:54717432:54717748:-1 gene:PAHAL_2G452100 transcript:PVH65276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGSIVQVRIIASPGVIMLIVSAVLGSVCSCPELLDLTEIPSTHPPPPKPGQGSSTYTRVIIIPLNR >PAN14754 pep chromosome:PHallii_v3.1:2:54193881:54198468:1 gene:PAHAL_2G442400 transcript:PAN14754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDGPEFVRWREEFVSQERGSRVVHYYLDDAAGGSHLAVVGTERSLRHMLYVVSEDFRAAWGCGSGADDGAGPAVFARKWRSRREVVDWLASFLPVKTLDSNFSKCRSYADTDIGLDGYSETDSFLHQILGKDCSSDITWSGSFWTCGKQLRHYQAFCRNGTTISIHTFVLVLSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFSCAIPPPPPHPCEVFITPFTQVISVECVDDIATVLTPDHYEKCSNALPASSLVGIRFCFRQYSKNKFKHFDLSTLRGYFSQAVVLSLKIPPESEKDGEITPGRTKFPKQYERLYSKCLGTKICRGPQADSIPPYQILDNKKSPGKHLSIKFIGPQNQLKPTYIAGDRVEILSQDSGIIGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEETVPASTLALPDKLGLRSPDRLRIRPRPQQSSSVNRAALVPGTAIDVWQFSGWWEGIVVSLENAAADSLQVYFPGENFFQVCQLQNVRISKDWIKNQWVDIEVKINVLSRIPSDGVGTRQPDNLSSVAVLDSSSSAVPEQELSGIQANSNGDEPVPEQVLAAIKASSHEDKSVPEQGLSAIQASPNGDEPVPEQGLAAIQATSNGDKQTEASKQTEVSLTGKTSSILAEDEKQTILGKRPRDDDAEQDCNGEVGVDVGKT >PVH65236 pep chromosome:PHallii_v3.1:2:54193883:54198442:1 gene:PAHAL_2G442400 transcript:PVH65236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDGPEFVRWREEFVSQERGSRVVHYYLDDAAGGSHLAVVGTERSLRHMLYVVSEDFRAAWGCGSGADDGAGPAVFARKWRSRREVVDWLASFLPVKTLDSNFSKCRSYADTDIGLDGYSETDSFLHQILGKDCSSDITWSGSFWTCGKQLRHYQAFCRNGTTISIHTFVLVLSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFSCAIPPPPPHPCEVFITPFTQVISVECVDDIATVLTPDHYEKCSNALPASSLVGIRFCFRQYSKNKFKHFDLSTLRGYFSQAVVLSLKIPPESEKDGEITPGRTKFPKQYERLYSKCLGTKICRGPQADSIPPYQILDNKKSPGKHLSIKFIGPQNQLKPTYIAGDRVEILSQDSGIIGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEETVPASTLALPDKLGLRSPDRLRIRPRPQQSSSVNRAALVPGTAIDVWQFSGWWEGIVVSLENAAADSLQVYFPGENFFQVCQLQNVRISKDWIKNQWVDIEVKINVLSRIPSDGVGTRQPDNLSSVAVLDSSSSAVPEQELSGIQANSNGDEPVPEQVLAAIKASSHEDKSVPEQGLSAIQASPNGDEPVPEQGLAAIQATSNGDKQTEASKQTEVSLTGKTSSILAEDEKQTILGKRPRDDDAEQDCNGEVGVDVGKT >PVH64791 pep chromosome:PHallii_v3.1:2:49053692:49055525:-1 gene:PAHAL_2G358200 transcript:PVH64791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKRSHALKSVLPAVLISGLLILAAISLAWFKFKGRVNYGAKHKKLTLDDMSASDELGEGNHAQDFEFLSVRFEDIVDATCNFSEACKIGQGGFGKVYKAMLGGREVAIKRLSKDSEQGTKEFKNEVILIAKLQHRNLVRLLGCSVEADEKILIYEYLANGSLDATLFDNSRKMLLDWPMRLNIIKGVARGLLYLHQDSRLTIIHRDLKAANVLLDAEMRPKIADFGMARIFNDSQENANTHHVVGTYGYMAPEYAMEGVFSIKSDVYSFGVLLLEVVTGIRRSSSGGIMGFPNLIVYAWNMWKEGKARDLADPYIMGTCSLDEVLLCSHMALLCVQENPDDRPLMSSVVFTLENGSTRLPTPNNPGHYGQTSTSDVEQIRDRTENSMNSLTLTTIEGR >PVH64790 pep chromosome:PHallii_v3.1:2:49053817:49055283:-1 gene:PAHAL_2G358200 transcript:PVH64790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDELGEGNHAQDFEFLSVRFEDIVDATCNFSEACKIGQGGFGKVYKAMLGGREVAIKRLSKDSEQGTKEFKNEVILIAKLQHRNLVRLLGCSVEADEKILIYEYLANGSLDATLFDNSRKMLLDWPMRLNIIKGVARGLLYLHQDSRLTIIHRDLKAANVLLDAEMRPKIADFGMARIFNDSQENANTHHVVGTYGYMAPEYAMEGVFSIKSDVYSFGVLLLEVVTGIRRSSSGGIMGFPNLIVYAWNMWKEGKARDLADPYIMGTCSLDEVLLCSHMALLCVQENPDDRPLMSSVVFTLENGSTRLPTPNNPGHYGQTSTSDVEQIRDRTENSMNSLTLTTIEGR >PAN15552 pep chromosome:PHallii_v3.1:2:57516772:57528950:1 gene:PAHAL_2G497100 transcript:PAN15552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAAAAAAIFPARFAAAPAVAAAEDLRSPLLRVLGTLRGGRSSVLLGRRARFCSNSSDTEAAAAAEAEAKAEDATVAEGEADGKASSAIVPTNPQIEDCLSVVALPLPHRPLFPGFYMPIYVKDQKLLQALIENRKRSASYAGAFLVKDEEGTDPNIVTGSDSEKSIDDLKGKDLLKRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLREVLRTSSLWKDHVQTYTQHIGDFNYPRLADFGAAISGANKLLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIESKKDKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPKDQEALSVTANEEISSSDGAAAKDEILKDSAVEDASVTNNIVNPASEEANEVNLANEAVVHEVHTTEAPKEDNVSEGKDTDGAKECAADKTIEKVVVDSSNLGDFVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGEGKGALVLTGQLGDVMKESAQIAQTVGRAILLEKDPDNQFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAIKTLIFPSANKRDFDELASNVKEGLEVHFVDTYSEIYDLAFQSDSGTETS >PVH65457 pep chromosome:PHallii_v3.1:2:57516771:57528950:1 gene:PAHAL_2G497100 transcript:PVH65457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAAAAAAIFPARFAAAPAVAAAEDLRSPLLRVLGTLRGGRSSVLLGRRARFCSNSSDTEAAAAAEAEAKAEDATVAEGEADGKASSAIVPTNPQIEDCLSVVALPLPHRPLFPGFYMPIYVKDQKLLQALIENRKRSASYAGAFLVKDEEGTDPNIVTGSDSEKSIDDLKGKDLLKRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLREVLRTSSLWKDHVQTYTQHIGDFNYPRLADFGAAISGANKLLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIESKKDKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKLCSLFVKGYQMSQKTRRLSVSQQMRKLVVVMVLQQKMRF >PAN15119 pep chromosome:PHallii_v3.1:2:55477355:55482481:1 gene:PAHAL_2G466300 transcript:PAN15119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRASTIDFGRKKQGELFGSGPLRPANIIRNKFPTFKNGSNGIIIKLPDNPEIPSLKEAVAKETADLLDKHQRLSVRELTMKFEKGFNTATLLSNEVKWRHAALLERDILLKNLKSVLESLRSRVAGKNRDEIEESLSMVDILAVQLSKREDELLQQKAEVTKIAASLKLASEDAKKIVDEERANAQLEIENAKGAVQRVQLALKEQENVSQRTGKQDVDELKEEVQEARRVKMLHCPSKAMDIENEIQVLRDQLAEKSSDSVHILKELELHRRFEGNDLPFYVLEGLETLGSMLHIVVRDNASVDFSNFSIQWFRIQPEGSVKEIISGATKPVYAPEPLDVGRYIEAEIKFGGHTSLAKTAGPVGPAAGLADYVEALMRNPETNYNVVVLQVNGVAQPADSLHVLCIGRLRMRLARGKAVVAKEFYSSLMQLCGVRGGGDAAPQAIFWQPRKELSFVLGFETTRERNSALMLARRFAMDCNIILAGPGDKTP >PAN15122 pep chromosome:PHallii_v3.1:2:55477355:55482481:1 gene:PAHAL_2G466300 transcript:PAN15122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRASTIDFGRKKQGELFGSGPLRPANIIRNKFPTFKNGSNGIIIKLPDNPEIPSLKEAVAKETADLLDKHQRLSVRELTMKFEKGFNTATLLSNEVKWRHAALLERDILLKNLKSVLESLRSRVAGKNRDEIEESLSMVDILAVQLSKREDELLQQKAEVTKIAASLKLASEDAKKIVDEERANAQLEIENAKGAVQRVQLALKEQENVSQRTGKQDVDELKEEVQEARRVKMLHCPSKAMDIENEIQVLRDQLAEKSSDSVHILKELELHRRFEGNDLPFYVLEGLETLGSMLHIVVRDNASVDFSNFSIQWFRIQPEGSVKEIISGATKPVYAPEPLDVGRYIEAEIKFGGHTSLAKTAGPVGPAAGLADYVEALMRNPETNYNVVVLQVNGVAQPADSLHVLCIGRLRMRLARGKAVVAKEFYSSLMQLCGVRGGGDAAPQAIFWQPRKELSFVLGFETTRERNSALMLARRFAMDCNIILAGPGDKTP >PAN15120 pep chromosome:PHallii_v3.1:2:55476586:55482658:1 gene:PAHAL_2G466300 transcript:PAN15120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRASTIDFGRKKQGELFGSGPLRPANIIRNKFPTFKNGSNGIIIKLPDNPEIPSLKEAVAKETADLLDKHQRLSVRELTMKFEKGFNTATLLSNEVKWRHAALLERDILLKNLKSVLESLRSRVAGKNRDEIEESLSMVDILAVQLSKREDELLQQKAEVTKIAASLKLASEDAKKIVDEERANAQLEIENAKGAVQRVQLALKEQENVSQRTGKQDVDELKEEVQEARRVKMLHCPSKAMDIENEIQVLRDQLAEKSSDSVHILKELELHRRFEGNDLPFYVLEGLETLGSMLHIVVRDNASVDFSNFSIQWFRIQPEGSVKEIISGATKPVYAPEPLDVGRYIEAEIKFGGHTSLAKTAGPVGPAAGLADYVEALMRNPETNYNVVVLQVNGVAQPADSLHVLCIGRLRMRLARGKAVVAKEFYSSLMQLCGVRGGGDAAPQAIFWQPRKELSFVLGFETTRERNSALMLARRFAMDCNIILAGPGDKTP >PAN15121 pep chromosome:PHallii_v3.1:2:55477355:55482481:1 gene:PAHAL_2G466300 transcript:PAN15121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRASTIDFGRKKQGELFGSGPLRPANIIRNKFPTFKNGSNGIIIKLPDNPEIPSLKEAVAKETADLLDKHQRLSVRELTMKFEKGFNTATLLSNEVKWRHAALLERDILLKNLKSVLESLRSRVAGKNRDEIEESLSMVDILAVQLSKREDELLQQKAEVTKIAASLKLASEDAKKIVDEERANAQLEIENAKGAVQRVQLALKEQENVSQRTGKQDVDELKEEVQEARRVKMLHCPSKAMDIENEIQVLRDQLAEKSSDSVHILKELELHRRFEGNDLPFYVLEGLETLGSMLHIVVRDNASVDFSNFSIQWFRIQPEGSVKEIISGATKPVYAPEPLDVGRYIEAEIKFGGHTSLAKTAGPVGPAAGLADYVEALMRNPETNYNVVVLQVNGVAQPADSLHVLCIGRLRMRLARGKAVVAKEFYSSLMQLCGVRGGGDAAPQAIFWQPRKELSFVLGFETTRERNSALMLARRFAMDCNIILAGPGDKTP >PVH65368 pep chromosome:PHallii_v3.1:2:56068657:56071576:1 gene:PAHAL_2G475100 transcript:PVH65368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQQSLNEKEPSNPVSSHETPLSMGEILLSLDAGIPLPSPGAEYPKDRHSNKPNGTQQHVKRSNLWGRNNARKGQQSELIDPSGEEELAIQRLEVTKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQLSSSRSMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSSVDANDRYQHLPSHISLRILSNQDLTGALLSVIKRRSKGMRRACQVHPTGEASSSMCCRMVLQGLSPASIPWMPP >PAN10184 pep chromosome:PHallii_v3.1:2:5781351:5781959:1 gene:PAHAL_2G077000 transcript:PAN10184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWDGWKPCIICLAIAAAVSGGAVALAAYGFITHVRIAVEDASLTRFDLVEASPPAAALAYNLSLTLTVRNRNWAMAITNRKKLEAAYTFDGQPFDRALLADKGEKHGPRKTRVYHLAAVSNGTAVPTLGGAGAGAFRRQNATGFFEVEVKITGRFKYTARHTKCDLEATCPLKLQLAPPGTTATVFQKVKCKLAKPKKYC >PAN14951 pep chromosome:PHallii_v3.1:2:54853528:54855692:1 gene:PAHAL_2G454500 transcript:PAN14951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECHHVVVRIVDLHDNGDAESHRDGGTGSQESTTNDDHVMDIGAPDQDDADCENCCVVCTEPLEWVAVGRCGHRVVCRKCMVRIRFFYRNKHCCICKTHCSKVIVTKRDTVSANIMSKLPLFALREGRVGEIWYHRHTAAYYEDEQEYNAARAECKGIISPFYQPWYYVMILLIYFIVMGVAIGTGYALEAKHRPGQVRAYAVSVSVAVAIAIIFWSAVKCCTQVPLEIEAARRADMGL >PVH65351 pep chromosome:PHallii_v3.1:2:55682389:55685104:-1 gene:PAHAL_2G469300 transcript:PVH65351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTQGKCCLPRPPGRGSGGGRGRGGATLGRAEVPGAGLLLEYATLDVAGLYPDSPGRESQDAHLVATRFAGDPDLHLFAVFDGHGAAGTACAGFARDALPRLLAAAAGAGSLAADPAAAFRESMLGANAEMHAAAGVDDFMSGTTAVAALVAGGALHVANVGDSRAVAGVWRAGRVVAEDLSWDQTPFRADERARVKACGARVMSVEQVEGMRDPESEGWVPDEGDPPRVWARDGLYPGTAFTRSLGDLAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMYQDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDSEISGPAGSDKVDYGSIGASIAAHTVQSEIPVFVPPEASQLNRCAATEMQSSSSGSPTERSLSCVAPSPMHPLLIHGRISDASKPMRSEQAASQVQPWHQVDGGTELEQPMQ >PVH65352 pep chromosome:PHallii_v3.1:2:55681607:55685345:-1 gene:PAHAL_2G469300 transcript:PVH65352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTQGKCCLPRPPGRGSGGGRGRGGATLGRAEVPGAGLLLEYATLDVAGLYPDSPGRESQDAHLVATRFAGDPDLHLFAVFDGHGAAGTACAGFARDALPRLLAAAAGAGSLAADPAAAFRESMLGANAEMHAAAGVDDFMSGTTAVAALVAGGALHVANVGDSRAVAGVWRAGRVVAEDLSWDQTPFRADERARVKACGARVMSVEQVEGMRDPESEGWVPDEGDPPRVWARDGLYPGTAFTRSLGDLAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMYQDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDSEIGATKWTMVASEHQ >PAN09968 pep chromosome:PHallii_v3.1:2:4614463:4616964:1 gene:PAHAL_2G062400 transcript:PAN09968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPRYAGYPYPAQGYYQGPYQGPPVMAPPQYQYAPPPPRSPGFLEGCLAALCCCCLLDECCCDPSVVFIS >PAN13490 pep chromosome:PHallii_v3.1:2:48043681:48044422:1 gene:PAHAL_2G341600 transcript:PAN13490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISPPQPSRLTQLHHLESSSDLSSPTRMAGYGNDGVDLTELTLGPPGVNARKARRARKNGQPPSSSASMQAFVKVSMDGTPYLRKVDVAAYDDYGELVEALNEMFCCCSIGLMDGYGEWEHAVVYEDGDGDWMLVGDVPWEMFVTSCKRMRVMRSCEARGLSSNA >PAN13082 pep chromosome:PHallii_v3.1:2:46252442:46255413:1 gene:PAHAL_2G312900 transcript:PAN13082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVGEATPPPDLPPSRVSVSSPSPYSRRRCALTSRIREPAAPRRHAWVSLQGRLVGAEEASSAASAAPGLPHDEAVAWELFSPLHRVLLVATVAAASSRSHAARRIEQLQRSINLRDEVLEDMQQKLDDLLVEMNSLQQHYVKCDSYISTERGKYEIVGSKNIGDEEGSRCVRARPDAAPTPQKAKDLCGTDDARSDVIDRSSLSFVDHEERRMSDLSDLCWSVVSSVDNQINGDNQLSFLAADQQLYNLQKECEEKDATIKELAAAAHASSAADAKRIVELQDALKRKNKVISKLKKDMAALKQMVVELSRAKRPSSAIGPICTDLPVMSNNVLYDMSNSSPSSSDSESPVAPREYLDECFVDSASVGSNSKGSCEVSVAATSLPSKVSFSHKLRSTSPLKEIRINPKVETNAFGRQKQPTSSNGDFKRIRRQSQQDSRNKATRRWM >PAN13081 pep chromosome:PHallii_v3.1:2:46252442:46255413:1 gene:PAHAL_2G312900 transcript:PAN13081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVGEATPPPDLPPSRVSVSSPSPYSRRRCALTSRIREPAAPRRHAWVSLQGRLVGAEEASSAASAAPGLPHDEAVAWELFSPLHRVLLVATVAAASSRSHAARRIEQLQRSINLRDEVLEDMQQKLDDLLVEMNSLQQHYVKCDSYISTERGKYEIVGSKNIGDEEGSRCVRARPDAAPTPQKAKDLCGTDDARSDVIDRSSLSFVDHEERRMSDLSDLCWSVVSSVDNQINGDNQLSFLAADQQLYNLQKECEEKDATIKELAAAAHASSAADAKRIVELQDALKRKNKVVELSRAKRPSSAIGPICTDLPVMSNNVLYDMSNSSPSSSDSESPVAPREYLDECFVDSASVGSNSKGSCEVSVAATSLPSKVSFSHKLRSTSPLKEIRINPKVETNAFGRQKQPTSSNGDFKRIRRQSQQDSRNKATRRWM >PVH64081 pep chromosome:PHallii_v3.1:2:26063611:26081622:1 gene:PAHAL_2G180500 transcript:PVH64081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRRRAVEVPPNIKSFIESVTAVPLENVELPLKDFAWEFDKGDFHHWVDLFNHFDSFFESYIKSRKDLQLEDNFLDVDPPFPREAVLQILRVSRIILENCTNRHFYSFFEQHLSALLASTDADIVEASLQTLMAFVNKSVGKSSIRSTSLTSKLFAFSQGWGGKEGGLGLIACSLPTVSDPVSTEVGSTLHFEFYRGADKSDKSQSLDNENRLEVIHLSNVNTSKGADLEIFDKLVKDYSVPQSLRFPLLTRLRFARAFDSLTCRRQYICIRLYAFIVLVQAGHDTEGLSSFLNNEPEFIDELLSLLSYEDDIPEKIRILGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIISGSMKWSIIFAEALLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTNTQHLHLVSTAVHVIESFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVEIGLKKVEESQPINKGKEVEGCPPVPDMQSSCSETLVLYNRKNLMKVLLRTVSLATYVPGSSARVDGSEENVLPPCLCTIFRRGKDFGGGVFSLAANVMSDLIHRDPTCYTVLDAAGLPQAFLDAIMDGVLYNSDAVSCIPQCLDALCLNNSGLQLVKDRNALRCFVKIFTSRSYLKALSGDTTGALSSGLDELMRHASSLRSSGVDMFIEILNTVSKIGCGGDSNSCTECDNSCAAVPMDTDVEGGTTQNESVPSEVGCSGKMVEAPLDAMASSSIELFLPECICNVARLLETVLQNTDTCRLFIEKKGIEAVLQLLKLPVIPVSVSIGQNISVAFKNFSPQHSVSLARAVCLFLRDHLKLTNELLCSISGTKLTDSEPVKQSALLKTLCTLEGLLSLANFLLKGTTIMVSELAFADAEVLKELGKVYIEVTWHISLLSDAKVDKQESDQDDVPGDASISNASERDSDDDSSAVPVARYMNSISTRASLSPWSMEQDFVSAVRSAANMHRHGRHSLSRIRGRLSGVLDATHTDLDGPYSPAEISRSHDASKKSSEVIISELLTKLGYTMRSFLSTLVKGLPARRRADSNLSPASRSLVTALAQLFLSALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAVLMFDSRRRSCNSALVNSFYVNGTFKEVLTTFEATSQLLWTLPFSVPAAGTDQGSSIGEKASHNSWLLDTLQSYCKLLEYYVNSTFLLSPSSSHNQLLVQPIVTELSINLFPVPSEPESFVRMLQSQVLDAVLPVWNHTMFPECSPALVTSLVSIMNNICSAVGDLKQGRNSVGVANQRVTSPPLDESAIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGTSIETPKEDGSNKNDTATAEEKSVFVLPLDDILTVSTKLFCSSDSAMTFPLTDLLVTLCNRNKGEYRQRVVLYLFEQLRRFSSDAMVGTGALYSVGHLLALLLSEDSVIREIGAENGVVTHVLNMLENLKSRTDQTDQTWNSISALLLVLDNMLQFNPKLNTETADGASKSISDASSADSKANPAPPDGKKTETMDSADNTSATNVFENILGKPTGYLTDEESQKALVFCCEFIKKRVPATVMQAVLQLSARLTKTHSLAAQFFENGGLSSLLNLPSACIFPGFETLASAIVRHLIEDPQTLQSAMELEIRQSLINRGSRTPRSFLTNMAPLISRDPVIFMRAVTSVCQLDSSGGRTNVVLLKDKEKDREKQKVPSTESNEPVQMAADIKSVDTPNRCSRSQKKVPASLSQVIDQLLEIIMSYPSASKEQGFDGYFLLTPMDVDEPNTKGKSKVDDGQELEGDALSERSALLSKLAFVLKLMSEILLMYVHAVGIILKRDTELSQSRSCDQGAGHSGLLHHIFYLLLPLSSVKTADVSDDWTGELSERASCFLVALCCRSAEGRRRVISEIVKAFNYFIDSASSTSRGSLIPDRKVLAFSELVNSILSRNSQSNLPVLGCSPDIAKPMVDGRMVQSLSGLLKVIDLDHPDAAKVVNLILKALDSLTRTAYASDQVLKSDRYTKNRLPGSHEQTHEADDNIIHEQSTDIRHHHTDSIIQSTGQQVQELSHVDGDNNENHGQPAEQEMGVDAVDNNSSGNPSMNGVEFMREETIQGNVMAPSTDVGLAFPVQHQGDDEMADEDEDIGEEGEDEDEDEDDEEIADEGAGLMSIADTDIEDQENNAIGDEYNDDLMDEEDDDDFLENRVIEVRWRESLTGMDHHLRFSRGRADSSGFIDISSESFHGVGMDDSFNLHRSFSLERRRQSGSRSLLDRPRSDGNAFLHPLLVRPAQSREGTGSAWPSGGTSSRDFHTLSFGNSDIPLYMLDAGFPPETAPPVFGERVVSTAPPPLIDFSLGMDSLRIRRGPGDNPWTDDGQPQAGNHAAAIAQAVEDQFVSQLSVASNSNNAQLQPEQTGNDVNAHLPSPDTGNAEPVATNSPAQPVGSPQQVHTVNQEPAPANDRFCPTNVQVNQQGFVHDNCIEEAVQQTAADDPIPQNDEIMSVADTQLGGCPERDSLSGNQSHDHIMHNEIEAPQQLQLSSDPREAPSDLESSCHALVTSASTAPELSDAHVDSAAVNAEADMNSVDIAENEVGNSAPGSDGNDMSSRRHEEAHQEPQTEQLDANNEVSSANEIDPTFLEALPEDLRAEVLASQQNRSAPAASYTPPAAEEIDPEFLAALPPDIQAEVLAQQRAQRIAHSQPVGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGGRRLPEDNQTVIDRAVGVTMGRRVISASQGSSKGKDVEGTPLLDSDALRALIRLLQLAQPLSKGLLQRLMFNLCAHSVTRVTLVGHLLDMIKPESEGVSVSDCMATYRLHGCQWNIVYAQPYSANGLPPLVTRRLLEILTYLASNHPSVADLLVHFNPSVSSNHLILQDSKEVSQESPLLKMKQSSSEGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVNNAASQIDYPPRSAQIANSSGVELADGAPPQTQVEPSTLEQGHIQDNDQSKDVEVPVSCARQDVNVHAILTQLPDADLHNLCNILALEGLPDKVYSLAAEVVKKLASVAASHQKFFSIELAGVAQSLSSSAVEELVTLKNTQMLGLSTCSMAGAAILRVLQVLSMLTSDVIDSGHEQDMAQEEQSILWDLNVGLEPLWQELSDCISATEAKLVHNSTFASPAPLVDALEVGASSSISPPLPPGTQRLLPFIESFFVLCEKLQANQPVTQSDYNVTAHEVKELAGSSSSPSLKAGGICNVTFIRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRTQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYYKNLKWMLENDVSDLPDLTFSMDPDEEKHILYEKTEVTDYELKPGGRNIRVTEETKQEYVDLVAEHILTTAIRPQINAFLEGFTELVPRDLIALFNDKELELLISGLPEIDLDDLKANAEYIGYSAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLEERLLLAIHEASEGFGFG >PAN15420 pep chromosome:PHallii_v3.1:2:56816733:56817925:1 gene:PAHAL_2G486500 transcript:PAN15420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTAPVRRLPEDTIADILLRLPSKYILRSGAVCRAWRRVTTAPHFLAARARRQQPVSILAHAYLPAAPWACSDGGLSSEDTALEALPVASLEDDRRRLVRYPATIPAHCGRLLASCAGALLFKKATGLYLLCDPVARKWAELPRLPDDYCRDADPEYGFYFHQPSGEFRLLCCSLTSRIWYIVSTGAVGPRHLKANPDPDLITRFVRPLLTTAAIPVALHGNLHWPALWLCAGGETRITAFNTVSETFQQMAGPTSRTPRMVKLFEMEGLLAAADFGEEKHVDLWFLEDYTGEMWAHRHRVASPWKHGSQGRPRNHWGMQSVAVAGDDEGNVIVGNNDGYSTRMRKTVRTVDSAVQGKNRVFVSMHVFKGSLLQHPCFVAPTSADFPLIHSWS >PAN11445 pep chromosome:PHallii_v3.1:2:31842790:31847683:-1 gene:PAHAL_2G197800 transcript:PAN11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLPHLGKLRREVKEEVADAADGPAAASPFHKRSRLAHQQQQQWSTRGASVSNQQSSQHGFLDEPSPLGLRLKKSPSLLDLIQMKLAQANKGTDTRQASNAGASEKLKASNFPGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICGLKLFCPENEPGTLEIALSRPPLFFRETNPQPRKHTLWQATSDFTGGQASMYRVHFIQCPQGMMNKHMDKLVHCDPRLQSLSQQNDFALDNLYFEKCSIFEDTEDIKCQKFEHKNDDNQLAPPSSNALLSPQSSASRMDAEVRQQSGTSDVLPGPYPSSDGTSVEGEPHASIFNWNGFRVPGIRRSMSKSDIANHIGYHMYKQMYSGNLPANDAGIGSLGNGNCSNSKVSFDELTRQLLNDTQISDSADERMLMSRVNSLCCLIQRDTGSGQAAPGDGSNGLLPPRQESFSDLVTNLPRISSFPHFL >PAN13526 pep chromosome:PHallii_v3.1:2:48212560:48216245:1 gene:PAHAL_2G344400 transcript:PAN13526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 29 [Source:Projected from Arabidopsis thaliana (AT5G63140) UniProtKB/Swiss-Prot;Acc:Q9FMK9] MGGGLGLRRRGAQLPLALLLVLVAAAAGAAAGKGKGKGAHGSTSTRLRFRRDSGTFKVVQVADMHYADGRATACEDVLPSQVAGCSDLNTTAFLYRVFRDEDPDLVVFTGDNIYGADSTDAAKSMDAAIAPAIDMKLPWAAVIGNHDQEGTLSREGVMRHLVSMKNSLSGLNPEGVEIDGYGNYNLEVSGVNGTSMGEKSVLNLYFLDSGDYSTVPSIKGYGWIKASQQVWFQQTSSSLQRKYMNETPKQKEPAPGLVFFHIPLPEFSSFTAANITGVKQEGISSASINSGFFASMVEAGDVKAAFIGHDHLNDFCGKLGGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDNGEWQGVKSIKTWKRLDDPQLSTIDSEVLWNRGFNGRRKKNTDGS >PVH64225 pep chromosome:PHallii_v3.1:2:36924269:36929217:-1 gene:PAHAL_2G217600 transcript:PVH64225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHLISHFNNHAVQPALAAIHLVVTKFGTSSALERSRKQGRGNIHNALRVLDLVPTKQHYGEEEVPSHHRLINDCMSDILGVQSKRNVVRKRKEDFVNSSSNQSRPKGSNVVSSSVSVCLSMTRLTKDKLMQIVELHRRGGISSDASILASALSACADRKTLTGGAQLQALLVKAGYDSSVLIGSSLISFYSRCGQLENAHRVFQGTTAKNTVSWTALISGYAQDNQVEPCLHLFALMRQSVCKPNDITFATIFRVCTNHAFLALGKSVQGLQMKMGFDSYVHVSNALISMYAKCGSIVEARAIFESIACKDVVSWNSMIFGYSQHGLAEHCLDLLKEMEGHIMPDAISFLGVLSSCRHACLVMEGRRCFRAMIEHGIKPELDHYSCMVDLLGRAGLLAEAWDLIQTMSMPPNGVIWGSLLASCRLHGSVLIGIQAAEHRLKLEPGCAATHVQLANLYASIGCWSDVARVRKVMKERGLKTNIGCSWIEVGNKVYTFTAENRSKSQVNDVLAILDCLQSHMDHKYGLDWDDPEHIMLSLNTL >PVH64224 pep chromosome:PHallii_v3.1:2:36924269:36929218:-1 gene:PAHAL_2G217600 transcript:PVH64224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHLISHFNNHAVQPALAAIHLVVTKFGTSSALERSRKQGRGNIHNALRVLDLVPTKQHYGEEEVPSHHRLINDCMSDILGVQSKRNVVRKRKEDFVNSSSNQSRPKGSNVVSSSVSVCLSMTRLTKDKLMQIVELHRRGGISSDASILASALSACADRKTLTGGAQLQALLVKAGYDSSVLIGSSLISFYSRCGQLENAHRVFQGTTAKNTVSWTALISGYAQDNQVEPCLHLFALMRQSVCKPNDITFATIFRVCTNHAFLALGKSVQGLQMKMGFDSYVHVSNALISMYAKCGSIVEARAIFESIACKDVVSWNSMIFGYSQHGLAEHCLDLLKEMEGHIMPDAISFLGVLSSCRHACLVMEGRRCFRAMIEHGIKPELDHYSCMVDLLGRAGLLAEAWDLIQTMSMPPNGVIWGSLLASCRLHGSVLIGIQAAEHRLKLEPGCAATHVQLANLYASIGCWSDVARVRKVMKERGLKTNIGCSWIEVGNKVYTFTAENRSKSQVNDVLAILDCLQSHMDHKYGLDWDDPEHIMLSLNTL >PVH64223 pep chromosome:PHallii_v3.1:2:36924333:36929148:-1 gene:PAHAL_2G217600 transcript:PVH64223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHLISHFNNHAVQPALAAIHLVVTKFGTSSALERSRKQGRGNIHNALRVLDLVPTKQHYGEEEVPSHHRLINDCMSDILGVQSKRNVVRKRKEDFVNSSSNQSRPKGSNVVSSSVSVCLSMTRLTKDKLMQIVELHRRGGISSDASILASALSACADRKTLTGGAQLQALLVKAGYDSSVLIGSSLISFYSRCGQLENAHRVFQGTTAKNTVSWTALISGYAQDNQVEPCLHLFALMRQSVCKPNDITFATIFRVCTNHAFLALGKSVQGLQMKMGFDSYVHVSNALISMYAKCGSIVEARAIFESIACKDVVSWNSMIFGYSQHGLAEHCLDLLKEMEGHIMPDAISFLGVLSSCRHACLVMEGRRCFRAMIEHGIKPELDHYSCMVDLLGRAGLLAEAWDLIQTMSMPPNGVIWGSLLASCRLHGSVLIGIQAAEHRLKLEPGCAATHVQLANLYASIGCWSDVARVRKVMKERGLKTNIGCSWIEVGNKVYTFTAENRSKSQVNDVLAILDCLQSHMDHKYGLDWDDPEHIMLSLNTL >PAN11798 pep chromosome:PHallii_v3.1:2:36926646:36928277:-1 gene:PAHAL_2G217600 transcript:PAN11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHLISHFNNHAVQPALAAIHLVVTKFGTSSALERSRKQGRGNIHNALRVLDLVPTKQHYGEEEVPSHHRLINDCMSDILGVQSKRNVVRKRKEDFVNSSSNQSRPKGSNVVSSSVSVCLSMTRLTKDKLMQIVELHRRGGISSDASILASALSACADRKTLTGGAQLQALLVKAGYDSSVLIGSSLISFYSRCGQLENAHRVFQGTTAKNTVSWTALISGYAQDNQVEPCLHLFALMRQSVCKPNDITFATIFRVCTNHAFLALGKSVQGLQMKMGFDSYVHVSNALISMYAKCGSIVEARAIFESIACKDVVSWNSMIFGYSQHGLAEHCLDLLKEMEGHIMPDAISFLGVLSSCRHACLVMEGRRCFRAMIEHGIKPELDHYSCMVDLLGRAGLLAEAWDLIQTMSMPPNGVIWGSLLASCRLHGSVLIGIQAAEHRLKLEPGCAATHVQLANLYASIGCWSDVARVRKVMKERGLKTNIGCSWIEVGNKVYTFTAENRSKSQVNDVLAILDCLQSHMDHKYGLDWDDPEHIMLSLNTL >PAN12496 pep chromosome:PHallii_v3.1:2:46601088:46604376:-1 gene:PAHAL_2G318200 transcript:PAN12496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLHFLFDSLMTDRSDGPIGRLPEHLLVEIFIRAPVCEWVQIACVNKQWASIFQGDCLWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSENLVPSGGEIDELVGHTYLYLKEQLERPAMPPSSILHGTIIDQFIAYGKTGEKAHDLASKIWLAVIDGLEENQQTFLLLKHLAREGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSRADYHDALSTAKSRFQPVPSTWLGH >PAN14246 pep chromosome:PHallii_v3.1:2:51340514:51347871:-1 gene:PAHAL_2G394200 transcript:PAN14246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MASASRVRVGTLVPLAKDSAGSSNGSVSTIPIFEGSNVVGRNHLVIADKRISRKHLSLHASADGSIEVIVEGPNPIVVRSEGQRKKVCAQEKAKITHDDVLELIPGDYFMKYMDMADEHKSSVPIGSIGVKKGKRHSEEDSAAVKRNRQIMEDEALARTLQESFAEESTTVSDMASGQTSSLLDSAGSSKRSNERMHSVGPPNDVPSLTFRLMRVQGLPSWANSSSVTVQDVIQGEVLLAVLSDYMVDVDWLLTACPSLRKVPHVLVIHGEDGASLELLKKMKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANMIHVDWNNKSQGLWMQDFPWKDAKDINKKVPFENDMVDYLSALKWPEFRVNLPGVGDVNINASFFRRFDYSSAMVRLIGSVPGYHAGPNIKKWGHMKLRTVLEECMFEKQFCKSPLVYQFSSLGSLDEKWMSEFTYSLSAGKSDDGSPLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWTRWKADHVGRCRAMPHIKTFTRYNGQNIAWLLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPQTLESIPQFSCTEKSRSSLDSLALGRTIKTKLVTLCWKGDEEAEPSTKTVRLPVPYQLPPQPYGTEDVPWSWDRRYTKKDVYGSVWPRHG >PAN14245 pep chromosome:PHallii_v3.1:2:51340121:51347909:-1 gene:PAHAL_2G394200 transcript:PAN14245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MRLWQEHYRRVLQKRAQLFLTWLLVKHQVCLTVLGLLREGLPSWANSSSVTVQDVIQGEVLLAVLSDYMVDVDWLLTACPSLRKVPHVLVIHGEDGASLELLKKMKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANMIHVDWNNKSQGLWMQDFPWKDAKDINKKVPFENDMVDYLSALKWPEFRVNLPGVGDVNINASFFRRFDYSSAMVRLIGSVPGYHAGPNIKKWGHMKLRTVLEECMFEKQFCKSPLVYQFSSLGSLDEKWMSEFTYSLSAGKSDDGSPLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWTRWKADHVGRCRAMPHIKTFTRYNGQNIAWLLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPQTLESIPQFSCTEKSRSSLDSLALGRTIKTKLVTLCWKGDEEAEPSTKTVRLPVPYQLPPQPYGTEDVPWSWDRRYTKKDVYGSVWPRHG >PVH65040 pep chromosome:PHallii_v3.1:2:52126083:52126604:1 gene:PAHAL_2G408100 transcript:PVH65040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICASNIENLGKHIYRQKARKFELTEGTKRNEQARKGRRGCTLERTGLRLDEPTENTLSNLGHHMKAFYRCLLHIFIPADGTTITST >PVH64830 pep chromosome:PHallii_v3.1:2:49434085:49434488:-1 gene:PAHAL_2G364500 transcript:PVH64830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQPLPCARSALGFVAKRVGASQGATRKNLMRVDGGMASVTMSGPGGPNQIRCAPSTPQSTTPGSGDEMPPALLKIQRDFPTNFRIYLDPHRG >PAN14374 pep chromosome:PHallii_v3.1:2:56134583:56135296:1 gene:PAHAL_2G475600 transcript:PAN14374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSQGVHGEVRIPFHFNWTQGNSKEKQRGSPAEQARLSQHYRTKSISLTSTHWFITTNSPSTVSCH >PAN11505 pep chromosome:PHallii_v3.1:2:22838278:22838883:1 gene:PAHAL_2G169700 transcript:PAN11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLCHELETDLPAADLWEVYGGLLVGQLVTQLLPQLFSKVEVLDGDGDVSTALLVTFAPAPGTDASSGSCKDKFIKIDNENYVKESEVIEGGFLDLGFRKYLVRFEIIRKEDKTSIIRSTVEYEVDEEHISNASLVSTRVFASIAEATVNDIKDQKSSRQAPETEF >PAN11208 pep chromosome:PHallii_v3.1:2:33130064:33132512:1 gene:PAHAL_2G201600 transcript:PAN11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSGLAKLGYDYINIDDCWAAYDRDSQGNLVANPSTFPSGIKVLADYVHGKGLKLGVYGDAGNKMSGSLGYQEQDAKSFASWGVDYLKYDNCNDQGLSPQPRYVNMSKALLNSGRDIFFSLCEWGVNDPATWAIGVGNSWRTTGDIQDNWGSITVIADTNGKWASYAGPGGWNDPDTLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDIRSMSNDTKEILSNQNVIAVNQDGLGVQGHKVQQDGDQEVWAGPLSPGRVAVVLWNRGSAEASVTESWSSIGLNASTVVDAHNLWTNEITSSVQGELKETVDTHACKMYVLTPK >PAN13185 pep chromosome:PHallii_v3.1:2:46650083:46663644:1 gene:PAHAL_2G319400 transcript:PAN13185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTGSSPPPEIGAPAAAVAEEELEQAVEGAEEGEDAFDIPSKNASHDRLRRWRQAALVLNASRRFRYTLDLEREEEKENLKRMIRAHAQVIRAVFLFKEAGQKNLGESYTSIKLETLSQSFPVDLKKLTMLNRDHDPIIFQEVGGVRGLSDLLKSNLDKGVSPDEDELLQRRDIFGANTYPRKKRRSIWRFVFEACQDLTLVILMVAAAISLSLGMATEGVKDGWYDGGSIFFAVFLVVFVTATSDYRQSLQFQHLNEEKQNIQVEVIRGGKRIGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQRAPFLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSVDVGEETPLQVRLNGVATLIGIVGLSVAAAVLVVLWIRYFTGHTKNSDGTTQFVAGTTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTTNKMTVVEAYFGGTKFDPCDNTGMMCTSVTALLIEGIAQNTTGTVFLPEDGGAAEVTGSPTEKAILSWGLMIGMDFKDVRSKSSIIHVHPFNSEKKRGGVAVQVSDTEVHIHWKGAAEILLASCRSWLSTDGSVQPMSSSKHREFKKWIDDMAMSSLRCVAFAYCPWEPKMVPTESLDKWKLPEDDLTLIGVVGIKDPCRPGVRNAVQLCSIAGVKVRMVTGDNVETAKAIALECGILDTKDAASEPSVIEGKVFRDMSEAAREEIVEKITVMGRSSPHDKLLLVQSLKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPIDNLMKRHPVGRREPLVTNIMWRNLFIQALYQIAVLLIFNFDGKRILHLQNESREHADKMKNTFVFNAFVFCQIFNEFNARKPEEKNVFKGVTNNHLFMGIVGATTGLQILIIEFLGKFFDTARLNWRLWMLSVAIGAVSWPLAYLGKFIPVPVRPFQSYFKRCSCRRRPRQDEEQGGKS >PAN13181 pep chromosome:PHallii_v3.1:2:46650752:46663995:1 gene:PAHAL_2G319400 transcript:PAN13181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRDHDPIIFQEVGGVRGLSDLLKSNLDKGVSPDEDELLQRRDIFGANTYPRKKRRSIWRFVFEACQDLTLVILMVAAAISLSLGMATEGVKDGWYDGGSIFFAVFLVVFVTATSDYRQSLQFQHLNEEKQNIQVEVIRGGKRIGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQRAPFLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSVDVGEETPLQVRLNGVATLIGIVGLSVAAAVLVVLWIRYFTGHTKNSDGTTQFVAGTTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTTNKMTVVEAYFGGTKFDPCDNTGMMCTSVTALLIEGIAQNTTGTVFLPEDGGAAEVTGSPTEKAILSWGLMIGMDFKDVRSKSSIIHVHPFNSEKKRGGVAVQVSDTEVHIHWKGAAEILLASCRSWLSTDGSVQPMSSSKHREFKKWIDDMAMSSLRCVAFAYCPWEPKMVPTESLDKWKLPEDDLTLIGVVGIKDPCRPGVRNAVQLCSIAGVKVRMVTGDNVETAKAIALECGILDTKDAASEPSVIEGKVFRDMSEAAREEIVEKITVMGRSSPHDKLLLVQSLKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPIDNLMKRHPVGRREPLVTNIMWRNLFIQALYQIAVLLIFNFDGKRILHLQNESREHADKMKNTFVFNAFVFCQIFNEFNARKPEEKNVFKGVTNNHLFMGIVGATTGLQILIIEFLGKFFDTARLNWRLWMLSVAIGAVSWPLAYLGKFIPVPVRPFQSYFKRCSCRRRPRQDEEQGGKS >PVH64634 pep chromosome:PHallii_v3.1:2:46650045:46663994:1 gene:PAHAL_2G319400 transcript:PVH64634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTGSSPPPEIGAPAAAVAEEELEQAVEGAEEGEDAFDIPSKNASHDRLRRWRQAALVLNASRRFRYTLDLEREEEKENLKRMIRAHAQVIRAVFLFKEAGQKNLGESYTSIKLETLSQSFPVDLKKLTMLNRDHDPIIFQEVGGVRGLSDLLKSNLDKGVSPDEDELLQRRDIFGANTYPRKKRRSIWRFVFEACQDLTLVILMVAAAISLSLGMATEGVKDGWYDGGSIFFAVFLVVFVTATSDYRQSLQFQHLNEEKQNIQVEVIRGGKRIGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQRAPFLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSVDVGEETPLQVRLNGVATLIGIVGLSVAAAVLVVLWIRYFTGHTKNSDGTTQFVAGTTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTTNKMTVVEAYFGGTKFDPCDNTGMMCTSVTALLIEGIAQNTTGTVFLPEDGGAAEVTGSPTEKAILSWGLMIGMDFKDVRSKSSIIHVHPFNSEKKRGGVAVQSDTEVHIHWKGAAEILLASCRSWLSTDGSVQPMSSSKHREFKKWIDDMAMSSLRCVAFAYCPWEPKMVPTESLDKWKLPEDDLTLIGVVGIKDPCRPGVRNAVQLCSIAGVKVRMVTGDNVETAKAIALECGILDTKDAASEPSVIEGKVFRDMSEAAREEIVEKITVMGRSSPHDKLLLVQSLKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPIDNLMKRHPVGRREPLVTNIMWRNLFIQALYQIAVLLIFNFDGKRILHLQNESREHADKMKNTFVFNAFVFCQIFNEFNARKPEEKNVFKGVTNNHLFMGIVGATTGLQILIIEFLGKFFDTARLNWRLWMLSVAIGAVSWPLAYLGKFIPVPVRPFQSYFKRCSCRRRPRQDEEQGGKS >PAN13179 pep chromosome:PHallii_v3.1:2:46650045:46663994:1 gene:PAHAL_2G319400 transcript:PAN13179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTGSSPPPEIGAPAAAVAEEELEQAVEGAEEGEDAFDIPSKNASHDRLRRWRQAALVLNASRRFRYTLDLEREEEKENLKRMIRAHAQVIRAVFLFKEAGQKNLGESYTSIKLETLSQSFPVDLKKLTMLNRDHDPIIFQEVGGVRGLSDLLKSNLDKGVSPDEDELLQRRDIFGANTYPRKKRRSIWRFVFEACQDLTLVILMVAAAISLSLGMATEGVKDGWYDGGSIFFAVFLVVFVTATSDYRQSLQFQHLNEEKQNIQVEVIRGGKRIGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQRAPFLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSVDVGEETPLQVRLNGVATLIGIVGLSVAAAVLVVLWIRYFTGHTKNSDGTTQFVAGTTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTTNKMTVVEAYFGGTKFDPCDNTGMMCTSVTALLIEGIAQNTTGTVFLPEDGGAAEVTGSPTEKAILSWGLMIGMDFKDVRSKSSIIHVHPFNSEKKRGGVAVQVSDTEVHIHWKGAAEILLASCRSWLSTDGSVQPMSSSKHREFKKWIDDMAMSSLRCVAFAYCPWEPKMVPTESLDKWKLPEDDLTLIGVVGIKDPCRPGVRNAVQLCSIAGVKVRMVTGDNVETAKAIALECGILDTKDAASEPSVIEGKVFRDMSEAAREEIVEKITVMGRSSPHDKLLLVQSLKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPIDNLMKRHPVGRREPLVTNIMWRNLFIQALYQIAVLLIFNFDGKRILHLQNESREHADKMKNTFVFNAFVFCQIFNEFNARKPEEKNVFKGVTNNHLFMGIVGATTGLQILIIEFLGKFFDTARLNWRLWMLSVAIGAVSWPLAYLGKFIPVPVRPFQSYFKRCSCRRRPRQDEEQGGKS >PVH64635 pep chromosome:PHallii_v3.1:2:46650752:46663995:1 gene:PAHAL_2G319400 transcript:PVH64635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRDHDPIIFQEVGGVRGLSDLLKSNLDKGVSPDEDELLQRRDIFGANTYPRKKRRSIWRFVFEACQDLTLVILMVAAAISLSLGMATEGVKDGWYDGGSIFFAVFLVVFVTATSDYRQSLQFQHLNEEKQNIQVEVIRGGKRIGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQRAPFLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSVDVGEETPLQVRLNGVATLIGIVGLSVAAAVLVVLWIRYFTGHTKNSDGTTQFVAGTTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTTNKMTVVEAYFGGTKFDPCDNTGMMCTSVTALLIEGIAQNTTGTVFLPEDGGAAEVTGSPTEKAILSWGLMIGMDFKDVRSKSSIIHVHPFNSEKKRGGVAVQSDTEVHIHWKGAAEILLASCRSWLSTDGSVQPMSSSKHREFKKWIDDMAMSSLRCVAFAYCPWEPKMVPTESLDKWKLPEDDLTLIGVVGIKDPCRPGVRNAVQLCSIAGVKVRMVTGDNVETAKAIALECGILDTKDAASEPSVIEGKVFRDMSEAAREEIVEKITVMGRSSPHDKLLLVQSLKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPIDNLMKRHPVGRREPLVTNIMWRNLFIQALYQIAVLLIFNFDGKRILHLQNESREHADKMKNTFVFNAFVFCQIFNEFNARKPEEKNVFKGVTNNHLFMGIVGATTGLQILIIEFLGKFFDTARLNWRLWMLSVAIGAVSWPLAYLGKFIPVPVRPFQSYFKRCSCRRRPRQDEEQGGKS >PAN13442 pep chromosome:PHallii_v3.1:2:47772535:47773879:-1 gene:PAHAL_2G336800 transcript:PAN13442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPSPPPPSSAEHEKYMTVWSAPPKKPAGRTKFRETRHPVFRGVRRRGQAGRWVCELRVPGRRGSRLWLGTFATPELAARAHDAAAIALSGRAACLNFADSAWLLPPLMPAALGSEREVKDAVAEAVEAFRRRSVSASAPSAPAVQTADEDEGDSGSPGAAPSPDVLFELDDVFGLGGMVDAGSYYASLAQGLLVDAPDAVGAGAWWEDVEHGTADMALWSY >PAN09473 pep chromosome:PHallii_v3.1:2:1869004:1869724:1 gene:PAHAL_2G026000 transcript:PAN09473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKHTGVSHKPAVFWPPVRTLLSHPCAPNSKRCTTNLRNGGATPSGGGATPGWPSPAATRPGRETRRRASSSQQRRGRAPTTARADHTMAGRRRTGGSQWRPPSPSCTPRWPCTPTVSGLPLPTDRPVSSDK >PAN14500 pep chromosome:PHallii_v3.1:2:52488485:52493705:-1 gene:PAHAL_2G414500 transcript:PAN14500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MESSSGEELEEEFPGHEWITPQSSINAAYQSQTEKGIRKICSELLELKDAIENLSGNMQSKYLAFLRISEEVIEAEQELIELQKHVSAQGILVQDLMSGVCRELDVWFKSNKEEDVKEKDFQTELDEILSDDTQDPKAIFLDKLDALLAEHKMEEALLALEAEEKKYLVTDESSKESNPELSAFKTALFKRKAILEDQLVRWSEQPSLPITELRKSLAGLVKIGKGSLAHQLLLKAYGSHLQKNVEAFLPSCSIYTETYAASLSQIVFSAIAKAAKETNTLFGDSPMNMNRIIQWAEYEIETFARLVKENSPLPESVSALRAACICIQTSLSHCSFLESYGLKFSKLLMVLLRPYIEEVLELNFRRVRRKIVDAARNDDILLLTPQEGSPLSGAVAPNIILTSSGKKFMSVVNDILDQVTPMTIVHFGGAILNKFLQLFDRYVETLIKVLPGPSEDDTLLESKEPVEFKAESDAQQLTLIGAAYTIADELLPAALSKFFDMQNEKKGAGGSSEGLGSGSIYSIEYKEWKRHLQHSLDKLRDHFCRQYVLSFIYLEGKSRLDARMYMERKTDDLLFDADPLPSLPFQALFGRLQQLASVAGDVLLGKDKIQKVLLSRLTETVVMWLSNEQEFWDVFEDRSVQLQPSGLQQLILDMHFIVEIAVCGRFPHRPVQQLVSTIITRAIAAFSARNVDPQSALPEDEWFLETAKGAIHKLMLGTSGSESEPEPEPEEHVALHDEISDSDESIATPSTSGSEDSFASANNDDLESPAYFTDPEA >PVH63741 pep chromosome:PHallii_v3.1:2:7283894:7288682:-1 gene:PAHAL_2G095800 transcript:PVH63741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNAVDTAMFTPSPKRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIIGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVQSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVRAVKKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPTTNLLDRLPRYLTCGSWAGKLFCIVMIINYLLWRLLEFLQPAEAIEEVPDIGPLHGQLDSRDDSCEAQEKQI >PVH63742 pep chromosome:PHallii_v3.1:2:7284711:7286764:-1 gene:PAHAL_2G095800 transcript:PVH63742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNAVDTAMFTPSPKRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIIGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVQSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVRAVKKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPTTNLLDRLPRYLTCGSWAGKLFCIVMIINYLLWRLLEFLQPAEAIEEVPDIGPLHGQLDSRDDSCEAQEKQI >PVH63740 pep chromosome:PHallii_v3.1:2:7283783:7288694:-1 gene:PAHAL_2G095800 transcript:PVH63740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQWDIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPKRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIIGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVQSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVRAVKKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPTTNLLDRLPRYLTCGSWAGKLFCIVMIINYLLWRLLEFLQPAEAIEEVPDIGPLHGQLDSRDDSCEAQEKQI >PAN12573 pep chromosome:PHallii_v3.1:2:43671090:43678194:-1 gene:PAHAL_2G274600 transcript:PAN12573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIQSFSRSNCVLLAVLCGKHAEKRVTAARSGPEAKRLRPSYPFPELSSAGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDADVSDPQAFSTLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVIQSSVSHTWDAFQLAHASFRLHCVRNNHVQSVKLGPRLLGDAPKINISPPETEMVDEEGSSEVTPAIKIYDEEINIKLLLCGVPCTLDPCLLGSLEDGLNALLNIEIRGSKLQNRISASPPPLEAASLPRGMVTMRCDISTCSSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKSQLVRALSNNEDKLSSSEPLTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVALGIGSINGTPVASFERRDADRLLFFCTSQRKDLINENGPYFHLPRWSASLTKDRTKAASESKPNLLGRNGVLEDKKRLMEGPSSFPSFKAKLKPATMRPIPHSRKQQMHPFMGLPETALHDTSLVKPSLPVAPPVKHSLVSSAPTTHRKSTSGPSHTPSVIQLNPLPMKKHGCDRLPIQVCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPKVANGFANTASVSRNV >PAN13240 pep chromosome:PHallii_v3.1:2:46860795:46862531:-1 gene:PAHAL_2G322500 transcript:PAN13240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSGTARAPRRQSQDGSAGKVVVNLEASSPVVGSSHGVPATVAGAQNSPIDVEAIEDEVQRRNMRTRRRPVTVVDLEVEGVQEGNKRQRVVHVHCLSQHKGEGSSFQSNKEVPKEPIFTCPICWNKMEEPSTTSCGHIFCSTCIKQAIKVQKKCPTCRKGLRANSIHRIYLPSTAS >PAN13237 pep chromosome:PHallii_v3.1:2:46860202:46863115:-1 gene:PAHAL_2G322500 transcript:PAN13237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSGTARAPRRQSQDGSAGKVVVNLEASSPVVGSSHGVPATVAGAQNSPIDVEAIEDEVQAISPSRVPPPRRNMRTRRRPVTVVDLEVEGVQEGNKRQRVVHVHCLSQHKGEGSSFQSNKEVPKEPIFTCPICWNKMEEPSTTSCGHIFCSTCIKQAIKVQKKCPTCRKGLRANSIHRIYLPSTAS >PAN13238 pep chromosome:PHallii_v3.1:2:46860795:46862531:-1 gene:PAHAL_2G322500 transcript:PAN13238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSGTARAPRRQSQDGSAGKVVVNLEASSPVVGSSHGVPATVAGAQNSPIDVEAIEDEVQAISPSRVPPPRRNMRTRRRPVTVVDLEVEGVQEGNKRQRVVHVHCLSQHKGEGSSFQSNKEVPKEPIFTCPICWNKMEEPSTTSCGHIFCSTCIKQAIKVQKKCPTCRKGLRANSIHRIYLPSTAS >PAN13239 pep chromosome:PHallii_v3.1:2:46860205:46863115:-1 gene:PAHAL_2G322500 transcript:PAN13239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSGTARAPRRQSQDGSAGKVVVNLEASSPVVGSSHGVPATVAGAQNSPIDVEAIEDEVQRRNMRTRRRPVTVVDLEVEGVQEGNKRQRVVHVHCLSQHKGEGSSFQSNKEVPKEPIFTCPICWNKMEEPSTTSCGHIFCSTCIKQAIKVQKKCPTCRKGLRANSIHRIYLPSTAS >PAN14284 pep chromosome:PHallii_v3.1:2:51520079:51523473:-1 gene:PAHAL_2G397300 transcript:PAN14284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCGGGCDGREDGAVEALLQWQKVSDFLIGASYLSIPLELLHFATCADLAPLRWVLLQFGAFTVLCGIVHLAAVLTYARPDSRRLLLAFTAAKALAALAASVAAVSLPTFIPQLLRLKTREALLRDKARQLDRDVALVRRRQETAARVVRAITQHVRRGGAGGSLPHDAHAVLRTAVLHLSEALGLCSCAVWMPAAASDGSSVLHLVHQLPEDDHRGTTRQAIRVSDPDVAAVMANKDAKVLRPGSALGTTTGRGAAAAIRMPLLRVSNFVDASSSGSDEQGAAVSYAIMVLVLAAPPPTPKNHISRGHRGGRGAREWIRQELDIVEVVADQLAVALSHAAVLEEWQLTRYKLAERQRVLAQARHDAEVATRARDAPQGAMRDGVLRPMHSVAGLLSLMQAQQQDEALPCAERRLAVGAMARISALSSTLIDDVMAAVLTPTTPCGEPTSAAAAGVSLARRPFDLRRLVRDAAAVSGCLARCRGIGFSHRAEMSSLPGECWVVGDDRRVFHLLLHMLGALLDRCECHCHDLCFSVETVAGEQDPAMSDHRDWITPNFSGCNMVCVKFRFGITRILRDSLLRSSSPRPHDRIRKSISTSSETRLSITTCSKIVQMMNGKMRRESPSDFGGQQGESMSLILHFQLGYGLASPSASSPSGAGFYRTGGAFGATSTSPSSTTAPPQYHFNGLRILLVDSDDTSREVTRKLLERLGCQVLPVPSAAHCLSLLLGSDGAAAAADQPPFQFPYLQLQVVFLDLHTPASDAGGAADDGFEVALRIRELTSDSFSWLLILVAVPLPPRASCIDVRDACQRTGVNGVIPKPITLPALGAQLYRALHNDN >PAN12278 pep chromosome:PHallii_v3.1:2:42004560:42012911:-1 gene:PAHAL_2G255000 transcript:PAN12278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRARPAPDSRSAAALLLLLLLLAVSVGGRGSTAAAAAEVEEEEEAAVDYGAALSKSLLYFEAQRSGRLPHNQRVPWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEFGDDVAAAGEWGHALEAIKWGTDYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDKDNPGSDLAGETAAALAAASIVFRRSNPHYSHLLLHHAQQLFEFGDRYQGTYDSSIAEVRSYYASVSGYRDELLWAALWLHRATGRAEYLRYAADRADDFGGVGWAMTEFSWDVKYAGVQVLAAKLLLEGDQQALPHRAVLEQYKAKAEHYLCACLGLNGGNGSDNVERSPGGMLYVRQWNNLQYVSSAAFLLTAYSRYLSAGSASPGLLRCPRGAAAPDELLALARSQADYILGRNPLRLSYMVGYGRRYPVRVHHRGASIVAHKANSRFIGCMQGFDDWFGRGRANPNVLAGAIVGGPNCRDEFRDDRGNYMQTEACTYNTAPMVGVFARLHRLATAAEGCRRGAADEAECR >PAN10731 pep chromosome:PHallii_v3.1:2:9416788:9426634:-1 gene:PAHAL_2G114100 transcript:PAN10731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRIGNGRSVRIWRDPWIPREWSRRPETPKGNNLISKVDVLIDPTTGEWDRQLVHQTFWPQDASIILAIPVHADLEDVPAWHYDARGLFSVRSAYKKDPRIGRNTKVQVVTLLWNWWLERNRVREGERRMEPVHLACIARKTSDEFLAIGSQRPVSAARRMRRWVRPTEDVLKINSDGAYRSETSTGGWGFVIRDCDGQVIKAGAGQCPHLLEALHAELLACLAGVRAAGELGMSKVIIETDSMLARLALESNSFALALTGGVVYEIKSLMNLFFTSVVVSFCPRECNKVAHAVAALGCKCPQDIVLSWDVVAYLI >PAN13012 pep chromosome:PHallii_v3.1:2:45934343:45935570:1 gene:PAHAL_2G307600 transcript:PAN13012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLVLAVAALAVLTAPPGKQLMTARAARPSPAEAFWCAALPDAPMPEAIRELLHPKAAGTKDPNVNDKPPLPPLNFKYDDYKSSPRNEAATAPSPEVLRHLAGGARGDTAASPPTVLCFLEDAVRVGGSLPLRCVPRAAAVEGVVRERGEAVAAAIIACHVNRARPGGDPARTAFRLVGVMPGGAPVICHAVPGAQGLPVKNDGSPSSA >PVH65007 pep chromosome:PHallii_v3.1:2:51659637:51663031:1 gene:PAHAL_2G399600 transcript:PVH65007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTRTTPFVDTTSRSIAETEQIVARHADSMERQRHPYNPARRHAKASKRRSNTAEELRIMIRSSPASPVKPQQWTVCRSCAAAASPPPVVAVARRTVASAPLRALTAESAETPPVPEPPALFDDDDEKTMLASYVPVYVMLPLEVVSTENELADAEGLRARLRRLRGAGVDGVMVDVWWGIVEGAGPALYEWRAYRELFRVVQAEGLKLQAIMSFHACGGNVGDAITIPIPRWVREVGEADPDVFYTSPSGARNQEYLTIGVDDKPLFHGRTAIQLYADFMRSFRENMADFLDSGLIVDIEVGLGPAGELRYPSYAETQGWVFPGIGQFQCYDKYLAAEFKAAAAEAGHPEWDLPRDAGEVNDTPEDTGFFAAEGGTYLTEQGRFFLTWYSSRLIEHGDRVLDEANRAFLGCPVKLAAKVSGIHWWYRHPSHAAELAAGYYNLGGRDGYRPIARVLARHDGAVLNFTCAEMRDSEQPAEALSSPERLVRQALSAGWREGAEVACENALSRYDRSGYNQMLLSARPNGAGAPPRRRVAAVTYLRLSDELLAGNNFRVFRTFVRKMHACLDYCPDPARYGRPMRPLERSAPEIPMERLLEATAPAPAFPFDAETDMSVGGGLAEAIDWVIDKIEWILG >PAN14970 pep chromosome:PHallii_v3.1:2:54925068:54930228:1 gene:PAHAL_2G455200 transcript:PAN14970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRKKDKGGINFTSTVTNTHLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKIWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >PAN13882 pep chromosome:PHallii_v3.1:2:49774631:49777396:-1 gene:PAHAL_2G370400 transcript:PAN13882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFLCFFLVLVVASCSAAGDERCVRQGKAAYAPSLSPLPQGSGVCGYGAMAAEINGGFLAAGGPKQHRGGLGCGRCFQVRCRDAKLCSSRGVRVVLTDFHRSNRTDFLLGGPAFAGLAKPGMAHELKRLDTLSVEYKRIPCDYKDKNLSILVEEESKRPSNLVVKFLYQGGQTDILAVDVAAVGSSEWRFMTRVRGPVWRTDRVPAGPLQFRAVVTGGYDGKWVWAEREVLPADWRPGQVYDTGVRIADVARDGCQAAPPPRWTGSKDGQLVGRQMHHSTD >PAN12963 pep chromosome:PHallii_v3.1:2:45743445:45747625:-1 gene:PAHAL_2G303900 transcript:PAN12963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFATEKAGGRALYKLHAVTVFAGICLVLYYRATHVPAAGAGRAAWLGMLAAELWFGFYWVITQAVRWCPLRRRAFKDRLAARYGDRLPCVDILVCTADPESEPPSLVMATVLSLMAYNYPPEKLNVYLSDDGGSILTFYALWETSAFARRWLPFCRRYNIEPRSPAAYFAESDEPNDPRALEEWSFVKDLYDEMTERIDSAVKSGKVPEEIKVNHKGFSEWNTGGTSNDHQPIVQILIDGKDRGAVDNDGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISKSPIIMNVDCDMYSNNSDSIREALCFFLDEEMGHKIAFVQYPQNYNNITKNNIYGNSLNAINQVELAGMDTWGGPLYIGTGCFHRRETLCGRSFNKDYKEDWDQGIKTQQRIDQTEEKAKSLATCTYEHNTQWGNEIGLKYGCPVEDVITGLAIHCRGWESVYNNPPRAAFVGVAPTTMAQTILQHKRWSEGNFSIFLSKYCPFFFGHGKIRLPHQMGYCIYGLWAPNSLPTLYYVLIPSLGLLKGTPLFPEIMSPWITPFIYITVVKNIYSLYEALTSGDTLGGWWNGQRMWLVKRITSYLYGVIDNIRKLLGLSKMGFVVSPKVSDEDESKRYEQEIMEFGTSSPEYVIIATIALLNLVCLVGGLGQILTSGQNMLLNGLFLQVVLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLASVGFVMMVLFVPIF >PVH64562 pep chromosome:PHallii_v3.1:2:45743445:45747625:-1 gene:PAHAL_2G303900 transcript:PVH64562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFATEKAGGRALYKLHAVTVFAGICLVLYYRATHVPAAGAGRAAWLGMLAAELWFGFYWVITQAVRWCPLRRRAFKDRLAARYGDRLPCVDILVCTADPESEPPSLVMATVLSLMAYNYPPEKLNVYLSDDGGSILTFYALWETSAFARRWLPFCRRYNIEPRSPAAYFAESDEPNDPRALEEWSFVKDLYDEMTERIDSAVKSGKVPEEIKVNHKGFSEWNTGGTSNDHQPIVQILIDGKDRGAVDNDGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISKSPIIMNVDCDMYSNNSDSIREALCFFLDEEMGHKIAFVQYPQNYNNITKNNIYGNSLNAINQVELAGMDTWGGPLYIGTGCFHRRETLCGRSFNKDYKEDWDQGIKTQQRIDQTEEKAKSLATCTYEHNTQWGNEIGLKYGCPVEDVITGLAIHCRGWESVYNNPPRAAFVGVAPTTMAQTILQHKRWSEGNFSIFLSKYCPFFFGHGKIRLPHQMGYCIYGLWAPNSLPTLYYVLIPSLGLLKGTPLFPEIMSPWITPFIYITVVKNIYSLYEALTSGDTLGGWWNGQRMWLVKRITSYLYGVIDNIRKLLGLSKMGFVVSPKVSDEDESKRYEQEIMEFGTSSPEYVIIATIALLNLVCLVGGLGQILTSGQNMLLNGLFLQVVLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLASVGFVMMVLFVPIF >PAN12965 pep chromosome:PHallii_v3.1:2:45743445:45747625:-1 gene:PAHAL_2G303900 transcript:PAN12965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFATEKAGGRALYKLHAVTVFAGICLVLYYRATHVPAAGAGRAAWLGMLAAELWFGFYWVITQAVRWCPLRRRAFKDRLAARYGDRLPCVDILVCTADPESEPPSLVMATVLSLMAYNYPPEKLNVYLSDDGGSILTFYALWETSAFARRWLPFCRRYNIEPRSPAAYFAESDEPNDPRALEEWSFVKDLYDEMTERIDSAVKSGKVPEEIKVNHKGFSEWNTGGTSNDHQPIVQILIDGKDRGAVDNDGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISKSPIIMNVDCDMYSNNSDSIREALCFFLDEEMGHKIAFVQYPQNYNNITKNNIYGNSLNAINQVELAGMDTWGGPLYIGTGCFHRRETLCGRSFNKDYKEDWDQGIKTQQRIDQTEEKAKSLATCTYEHNTQWGNEIGLKYGCPVEDVITGLAIHCRGWESVYNNPPRAAFVGVAPTTMAQTILQHKRWSEGNFSIFLSKYCPFFFGHGKIRLPHQMGYCIYGLWAPNSLPTLYYVLIPSLGLLKGTPLFPEIMSPWITPFIYITVVKNIYSLYEALTSGDTLGGWWNGQRMWLVKRITSYLYGVIDNIRKLLGLSKMGFVVSPKVSDEDESKRYEQEIMEFGTSSPEYVIIATIALLNLVCLVGGLGQILTSGQNMLLNGLFLQVVLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLASVGFVMMVLFVPIF >PAN12964 pep chromosome:PHallii_v3.1:2:45740386:45747705:-1 gene:PAHAL_2G303900 transcript:PAN12964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFATEKAGGRALYKLHAVTVFAGICLVLYYRATHVPAAGAGRAAWLGMLAAELWFGFYWVITQAVRWCPLRRRAFKDRLAARYGDRLPCVDILVCTADPESEPPSLVMATVLSLMAYNYPPEKLNVYLSDDGGSILTFYALWETSAFARRWLPFCRRYNIEPRSPAAYFAESDEPNDPRALEEWSFVKDLYDEMTERIDSAVKSGKVPEEIKVNHKGFSEWNTGGTSNDHQPIVQILIDGKDRGAVDNDGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISKSPIIMNVDCDMYSNNSDSIREALCFFLDEEMGHKIAFVQYPQNYNNITKNNIYGNSLNAINQVELAGMDTWGGPLYIGTGCFHRRETLCGRSFNKDYKEDWDQGIKTQQRIDQTEEKAKSLATCTYEHNTQWGNEIGLKYGCPVEDVITGLAIHCRGWESVYNNPPRAAFVGVAPTTMAQTILQHKRWSEGNFSIFLSKYCPFFFGHGKIRLPHQMGYCIYGLWAPNSLPTLYYVLIPSLGLLKGTPLFPEIMSPWITPFIYITVVKNIYSLYEALTSGDTLGGWWNGQRMWLVKRITSYLYGVIDNIRKLLGLSKMGFVVSPKVSDEDESKRYEQEIMEFGTSSPEYVIIATIALLNLVCLVGGLGQILTSGQNMLLNGLFLQVVLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLASVGFVMMVLFVPIF >PAN15400 pep chromosome:PHallii_v3.1:2:56744861:56757892:-1 gene:PAHAL_2G485300 transcript:PAN15400 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MKIYLYYPYEVSRAATLLLSGAVFNRAFQPYESHIPYLLHFLVDYNLYGMGHIHVKDFKFRPPLPDNFHPKSSLRRKAQSNNSEISPTVWISSTVSHSSILGSSATSHCLGGTNSSFASRHSSSMLEADSSVEGIINEKYKMYTSLSQTTEDTKMVQSLVAVWEELERLRLLEETKYTDLGRPSREEVLKDFLHGIKYESALSMLFSQEEPHCKVPTTEESERLERCFKSLTDIVGAVTFSQDDYCDDTDVGNSAGMQNDRPNASLCSGSLKKIVQTMSPERNSEYVSSPVTQRTLSQFSDEGEKKVDAETLGLLSWLASSQAAEEPTTDDELINEVILSPLFAKKSIEVALESAHLDFDSASQQECQDILDSVDPVNAAEEPNTHTSYVDSVRPNSTASVGNTIPQVDGSSDENPKGSQEYDKTKIARKTVGSPSYTPTKNSSKSASKRAGTEHLWGSLPLARKMLAHRNDDDSCSAMPSQKDLNASNESTADKNYRDTTGNTDRESSSFVGVHDSVCHSVRDLMRRRRSFRREQLEFGSSGAATRTMDKESEIVNSGESEFLDCSSDIPNSAMAYSGDECLQMMFAQKPPLKDHVCSGLESPSGCEQRELAKMGLADLLPFFNQNIEENRQNELFQHMESSEFAGGALGVPTHFQNDGSALYLLTHALSPPSAVVVDQWLSQQSCSSISTGYANYDEGVPTDKEEAHSSTLSQNSPTRSTSENYATKVAVVGDVIESTLLSNKESKQLDEWHDFSQISAGNEKDKLTPLSQVGFRDPASIGGGQQLTILSMEVLTESRGELRPDPRFDAINAVSLAIEDDADNTVEVHVFIRDNSDRSRRRRNPDGIAGCNIDVFPEERDLLNNLISAICSIDPDILVGWEIQFGSLGFLAERAAYLGIGLLKRISRTPPHELNHPPKVPVDDSSQVLAEASSAEDVIDDVSENDWSHTHASGIHVGGRIVLNLWRLMRAEVKLNNYSLEAVADEVLRRKIPLIPCRILNRWFATGPGRGRHRCVEYISNRARINLEIMNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYPDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTLVDLRNQLLLTPNGVLYVQPEIRKGVLPRLLEEILSTRIVVKQAMKKLGPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAINPDPVTLKFEKVYQPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSIRIMFEEQDLTKVRSYLERQWTRILSGKVSIRDFIFAKEVRLGTYSARASTLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPRARLVDMVIDPYGLLEVGSPYRLNELYYITKQIIPALQRVFGLLGADLNKWFNEMPRPIRPTLAKRQSASGHGLFSRDGSFIRLGSNNKASSKGGRIDTYYMSSHCSICGDIIQGSETFCGNCLKNEAVVATVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVFYERRKIQRELRVVSESAGEAGYYPFCCAELF >PVH65406 pep chromosome:PHallii_v3.1:2:56746295:56759257:-1 gene:PAHAL_2G485300 transcript:PVH65406 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MSSSQSPEPSTPGTPTPVLSVRIVSIDYYMAPPLPGFDFSRSPFHGEEVEEVPVIRIYGSTPAGQKTCLHIHRVLPYLYIPCPEELLYNVDKGNSYITGLLSDLEKALQIRGPPKRKHVHGCSLVRAKKLYGYHASEELFMKIYLYYPYEVSRAATLLLSGAVFNRAFQPYESHIPYLLHFLVDYNLYGMGHIHVKDFKFRPPLPDNFHPKSSLRRKAQSNNSEISPTVWISSTVSHSSILGSSATSHCLGGTNSSFASRHSSSMLEADSSVEVSPSGIINEKYKMYTSLSQTTEDTKMVQSLVAVWEELERLRLLEETKYTDLGRPSREEVLKDFLHGIKYESALSMLFSQEEPHCKVPTTEESERLERCFKSLTDIVGAVTFSQDDYCDDTDVGNSAGMQNDRPNASLCSGSLKKIVQTMSPERNSEYVSSPVTQRTLSQFSDEGEKKVDAETLGLLSWLASSQAAEEPTTDDELINEVILSPLFAKKSIEVALESAHLDFDSASQQECQDILDSVDPVNAAEEPNTHTSYVDSVRPNSTASVGNTIPQVDGSSDENPKGSQEYDKTKIARKTVGSPSYTPTKNSSKSASKRAGTEHLWGSLPLARKMLAHRNDDDSCSAMPSQKDLNASNESTADKNYRDTTGNTDRESSSFVGVHDSVCHSVRDLMRRRRSFRREQLEFGSSGAATRTMDKESEIVNSGESEFLDCSSDIPNSAMAYSGDECLQMMFAQKPPLKDHVCSGLESPSGCEQRELAKMGLADLLPFFNQNIEENRQNELFQHMESSEFAGGALGVPTHFQNDGSALYLLTHALSPPSAVVVDQWLSQQSCSSISTGYANYDEGVPTDKEEAHSSTLSQNSPTRSTSENYATKVAVVGDVIESTLLSNKESKQLDEWHDFSQISAGNEKDKLTPLSQVGFRDPASIGGGQQLTILSMEVLTESRGELRPDPRFDAINAVSLAIEDDADNTVEVHVFIRDNSDRSRRRRNPDGIAGCNIDVFPEERDLLNNLISAICSIDPDILVGWEIQFGSLGFLAERAAYLGIGLLKRISRTPPHELNHPPKVPVDDSSQVLAEASSAEDVIDDVSENDWSHTHASGIHVGGRIVLNLWRLMRAEVKLNNYSLEAVADEVLRRKIPLIPCRILNRWFATGPGRGRHRCVEYISNRARINLEIMNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYPDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTLVDLRNQLLLTPNGVLYVQPEIRKGVLPRLLEEILSTRIVVKQAMKKLGPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAINPDPVTLKFEKVYQPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSIRIMFEEQDLTKLVFYRCRSGHIWSVSGHAYYQGKFLSETSFLQRRSD >PAN15402 pep chromosome:PHallii_v3.1:2:56744859:56759299:-1 gene:PAHAL_2G485300 transcript:PAN15402 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MSSSQSPEPSTPGTPTPVLSVRIVSIDYYMAPPLPGFDFSRSPFHGEEVEEVPVIRIYGSTPAGQKTCLHIHRVLPYLYIPCPEELLYNVDKGNSYITGLLSDLEKALQIRGPPKRKHVHGCSLVRAKKLYGYHASEELFMKIYLYYPYEVSRAATLLLSGAVFNRAFQPYESHIPYLLHFLVDYNLYGMGHIHVKDFKFRPPLPDNFHPKSSLRRKAQSNNSEISPTVWISSTVSHSSILGSSATSHCLGGTNSSFASRHSSSMLEADSSVEVSPSGIINEKYKMYTSLSQTTEDTKMVQSLVAVWEELERLRLLEETKYTDLGRPSREEVLKDFLHGIKYESALSMLFSQEEPHCKVPTTEESERLERCFKSLTDIVGAVTFSQDDYCDDTDVGNSAGMQNDRPNASLCSGSLKKIVQTMSPERNSEYVSSPVTQRTLSQFSDEGEKKVDAETLGLLSWLASSQAAEEPTTDDELINEVILSPLFAKKSIEVALESAHLDFDSASQQECQDILDSVDPVNAAEEPNTHTSYVDSVRPNSTASVGNTIPQVDGSSDENPKGSQEYDKTKIARKTVGSPSYTPTKNSSKSASKRAGTEHLWGSLPLARKMLAHRNDDDSCSAMPSQKDLNASNESTADKNYRDTTGNTDRESSSFVGVHDSVCHSVRDLMRRRRSFRREQLEFGSSGAATRTMDKESEIVNSGESEFLDCSSDIPNSAMAYSGDECLQMMFAQKPPLKDHVCSGLESPSGCEQRELAKMGLADLLPFFNQNIEENRQNELFQHMESSEFAGGALGVPTHFQNDGSALYLLTHALSPPSAVVVDQWLSQQSCSSISTGYANYDEGVPTDKEEAHSSTLSQNSPTRSTSENYATKVAVVGDVIESTLLSNKESKQLDEWHDFSQISAGNEKDKLTPLSQVGFRDPASIGGGQQLTILSMEVLTESRGELRPDPRFDAINAVSLAIEDDADNTVEVHVFIRDNSDRSRRRRNPDGIAGCNIDVFPEERDLLNNLISAICSIDPDILVGWEIQFGSLGFLAERAAYLGIGLLKRISRTPPHELNHPPKVPVDDSSQVLAEASSAEDVIDDVSENDWSHTHASGIHVGGRIVLNLWRLMRAEVKLNNYSLEAVADEVLRRKIPLIPCRILNRWFATGPGRGRHRCVEYISNRARINLEIMNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYPDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTLVDLRNQLLLTPNGVLYVQPEIRKGVLPRLLEEILSTRIVVKQAMKKLGPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAINPDPVTLKFEKVYQPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSIRIMFEEQDLTKVRSYLERQWTRILSGKVSIRDFIFAKEVRLGTYSARASTLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPRARLVDMVIDPYGLLEVGSPYRLNELYYITKQIIPALQRVFGLLGADLNKWFNEMPRPIRPTLAKRQSASGHGLFSRDGSFIRLGSNNKASSKGGRIDTYYMSSHCSICGDIIQGSETFCGNCLKNEAVVATVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVFYERRKIQRELRVVSESAGEAGYYPFCCAELF >PVH65407 pep chromosome:PHallii_v3.1:2:56744862:56759299:-1 gene:PAHAL_2G485300 transcript:PVH65407 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MKIYLYYPYEVSRAATLLLSGAVFNRAFQPYESHIPYLLHFLVDYNLYGMGHIHVKDFKFRPPLPDNFHPKSSLRRKAQSNNSEISPTVWISSTVSHSSILGSSATSHCLGGTNSSFASRHSSSMLEADSSVEVSPSGIINEKYKMYTSLSQTTEDTKMVQSLVAVWEELERLRLLEETKYTDLGRPSREEVLKDFLHGIKYESALSMLFSQEEPHCKVPTTEESERLERCFKSLTDIVGAVTFSQDDYCDDTDVGNSAGMQNDRPNASLCSGSLKKIVQTMSPERNSEYVSSPVTQRTLSQFSDEGEKKVDAETLGLLSWLASSQAAEEPTTDDELINEVILSPLFAKKSIEVALESAHLDFDSASQQECQDILDSVDPVNAAEEPNTHTSYVDSVRPNSTASVGNTIPQVDGSSDENPKGSQEYDKTKIARKTVGSPSYTPTKNSSKSASKRAGTEHLWGSLPLARKMLAHRNDDDSCSAMPSQKDLNASNESTADKNYRDTTGNTDRESSSFVGVHDSVCHSVRDLMRRRRSFRREQLEFGSSGAATRTMDKESEIVNSGESEFLDCSSDIPNSAMAYSGDECLQMMFAQKPPLKDHVCSGLESPSGCEQRELAKMGLADLLPFFNQNIEENRQNELFQHMESSEFAGGALGVPTHFQNDGSALYLLTHALSPPSAVVVDQWLSQQSCSSISTGYANYDEGVPTDKEEAHSSTLSQNSPTRSTSENYATKVAVVGDVIESTLLSNKESKQLDEWHDFSQISAGNEKDKLTPLSQVGFRDPASIGGGQQLTILSMEVLTESRGELRPDPRFDAINAVSLAIEDDADNTVEVHVFIRDNSDRSRRRRNPDGIAGCNIDVFPEERDLLNNLISAICSIDPDILVGWEIQFGSLGFLAERAAYLGIGLLKRISRTPPHELNHPPKVPVDDSSQVLAEASSAEDVIDDVSENDWSHTHASGIHVGGRIVLNLWRLMRAEVKLNNYSLEAVADEVLRRKIPLIPCRILNRWFATGPGRGRHRCVEYISNRARINLEIMNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYPDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTLVDLRNQLLLTPNGVLYVQPEIRKGVLPRLLEEILSTRIVVKQAMKKLGPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAINPDPVTLKFEKVYQPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSIRIMFEEQDLTKVRSYLERQWTRILSGKVSIRDFIFAKEVRLGTYSARASTLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPRARLVDMVIDPYGLLEVGSPYRLNELYYITKQIIPALQRVFGLLGADLNKWFNEMPRPIRPTLAKRQSASGHGLFSRDGSFIRLGSNNKASSKGGRIDTYYMSSHCSICGDIIQGSETFCGNCLKNEAVVATVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVFYERRKIQRELRVVSESAGEAGYYPFCCAELF >PAN15401 pep chromosome:PHallii_v3.1:2:56744849:56759339:-1 gene:PAHAL_2G485300 transcript:PAN15401 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MSSSQSPEPSTPGTPTPVLSVRIVSIDYYMAPPLPGFDFSRSPFHGEEVEEVPVIRIYGSTPAGQKTCLHIHRVLPYLYIPCPEELLYNVDKGNSYITGLLSDLEKALQIRGPPKRKHVHGCSLVRAKKLYGYHASEELFMKIYLYYPYEVSRAATLLLSGAVFNRAFQPYESHIPYLLHFLVDYNLYGMGHIHVKDFKFRPPLPDNFHPKSSLRRKAQSNNSEISPTVWISSTVSHSSILGSSATSHCLGGTNSSFASRHSSSMLEADSSVEGIINEKYKMYTSLSQTTEDTKMVQSLVAVWEELERLRLLEETKYTDLGRPSREEVLKDFLHGIKYESALSMLFSQEEPHCKVPTTEESERLERCFKSLTDIVGAVTFSQDDYCDDTDVGNSAGMQNDRPNASLCSGSLKKIVQTMSPERNSEYVSSPVTQRTLSQFSDEGEKKVDAETLGLLSWLASSQAAEEPTTDDELINEVILSPLFAKKSIEVALESAHLDFDSASQQECQDILDSVDPVNAAEEPNTHTSYVDSVRPNSTASVGNTIPQVDGSSDENPKGSQEYDKTKIARKTVGSPSYTPTKNSSKSASKRAGTEHLWGSLPLARKMLAHRNDDDSCSAMPSQKDLNASNESTADKNYRDTTGNTDRESSSFVGVHDSVCHSVRDLMRRRRSFRREQLEFGSSGAATRTMDKESEIVNSGESEFLDCSSDIPNSAMAYSGDECLQMMFAQKPPLKDHVCSGLESPSGCEQRELAKMGLADLLPFFNQNIEENRQNELFQHMESSEFAGGALGVPTHFQNDGSALYLLTHALSPPSAVVVDQWLSQQSCSSISTGYANYDEGVPTDKEEAHSSTLSQNSPTRSTSENYATKVAVVGDVIESTLLSNKESKQLDEWHDFSQISAGNEKDKLTPLSQVGFRDPASIGGGQQLTILSMEVLTESRGELRPDPRFDAINAVSLAIEDDADNTVEVHVFIRDNSDRSRRRRNPDGIAGCNIDVFPEERDLLNNLISAICSIDPDILVGWEIQFGSLGFLAERAAYLGIGLLKRISRTPPHELNHPPKVPVDDSSQVLAEASSAEDVIDDVSENDWSHTHASGIHVGGRIVLNLWRLMRAEVKLNNYSLEAVADEVLRRKIPLIPCRILNRWFATGPGRGRHRCVEYISNRARINLEIMNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYPDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTLVDLRNQLLLTPNGVLYVQPEIRKGVLPRLLEEILSTRIVVKQAMKKLGPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAINPDPVTLKFEKVYQPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSIRIMFEEQDLTKVRSYLERQWTRILSGKVSIRDFIFAKEVRLGTYSARASTLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPRARLVDMVIDPYGLLEVGSPYRLNELYYITKQIIPALQRVFGLLGADLNKWFNEMPRPIRPTLAKRQSASGHGLFSRDGSFIRLGSNNKASSKGGRIDTYYMSSHCSICGDIIQGSETFCGNCLKNEAVVATVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVFYERRKIQRELRVVSESAGEAGYYPFCCAELF >PAN10963 pep chromosome:PHallii_v3.1:2:11443020:11444047:-1 gene:PAHAL_2G129300 transcript:PAN10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVASGRRTLGLHVDALAGGDWRFEALYSRTRNMRTSLTRASRTQDHAMQFLSVKPSTGAGAEAGRRRCDEQLGSLGDVCEQPVQLDRRNSLKPPMCFFASPRLLGSRALIRRTQMDTWAASGR >PVH63879 pep chromosome:PHallii_v3.1:2:11443018:11444048:-1 gene:PAHAL_2G129300 transcript:PVH63879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVASGRRTLGLHVDALAGGDWRFEALYSRTRNMRTSLTRASRTQDHAMQFLSVKPSTGAGAEAGRRRCDEQLGSLGDVCEQPLRIWAPGATRSAQLSEAADVLLCLSSTPRLKSADQKNADGHVGSIGAVTFERRTPYDLLI >PAN12379 pep chromosome:PHallii_v3.1:2:42818025:42819002:1 gene:PAHAL_2G264100 transcript:PAN12379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLIVRRCEPIVRFSCCSVRYGECRRNHAASTGGYAIDGCREFIAEGVEGTGAALKCAACGCHRSFHRRVQVYEVAWDYGSDTSSTE >PAN10883 pep chromosome:PHallii_v3.1:2:10306448:10307614:-1 gene:PAHAL_2G121700 transcript:PAN10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEADAELPHTRGEMLQASIDLTHHTLSYVKSMALRCAVQLGVADAIRRAGGDVSLDGLAAALSLAPSKLPYLRRVMRLLTASGVFAQAGGGGYRLTPVSTLLLSDGGGGCRSLQQLVRIQLSPFCVSPVTNLAEWFSRDEETPFAMTFGTGHWDFCVRDPGFSAFFNGAMACDSRFVMDAVIHEVGGAFDGAVAAAFPQIKCTVLDLPHVIHGVPPADGRVEFVAGDMMDFIPQADALLLKSVLHDWSDEDCVRILKRCKEAICRGEQAGKLIIIDLVVGSSSSRATTCHETQLLFDLFISTLTQGRERDEKEWCKLFKEVGFSDYKVTSVLDIRSVIEVFP >PAN09488 pep chromosome:PHallii_v3.1:2:1962255:1966467:-1 gene:PAHAL_2G027300 transcript:PAN09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYLLASLAATLVTSAFQSLLLLLRLLFQRRHDSGSGSTAGATRLYEGRVRHSRRRPAAHAFEYPVRYALVDLDRMPLPDHLSADDARRIASTSGPVHLLTIPKSVGYEQNPLSIYYCYNSAGQGQDGELRMCIAEVTNTPWGERVMFTFQPDSDLVAKPLHVSPFMDMLGNWSIRADAPGDSLYVVILVQHPTLGNYFTAALHAKLVGHTSNSLRLATFFWLMPHKVAAWIYWEALRLWLKNVKFLDHPRCLNQSYRDEALKRDLELRSSCSFLRKQKANNQRSSCTDEMREISNHLDSKGDENITKRWCVWRDAQWPWS >PAN12812 pep chromosome:PHallii_v3.1:2:44963260:44965523:-1 gene:PAHAL_2G292900 transcript:PAN12812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTASIFYLLLLICAATLHADASQEAQLREFIRSRRNSRSDKGTFKVSNIDQRIASSLQRTSYSGGAEQSALKAADKITSLPGQPDGVDFDQYGGYITVDEKNGRALFYYFVEAPQDASTKPLLLWLNGGPGCSSLGYGAMQELGPFRVNSDNKTLSRNNYAWNKVANVIFLESPAGVGFSYSNTSSDYDLSGDQRTADDAYLFLINWLERFPEYKSRPFYISGESFAGHYVPELAATILVQNSYNSKTAINLRGILVGNPLLDWNMNSKGRVDYFWSHGSMSDEVFANITRHCHFDNLDSVVCDGATDAFDAGQIDPYNIYAPICVDAANGAYSPSGYLPGYDPCSDYYTYAYLNDPAVQNAFHARMTKWSGCANLEWKDAPISMVPTISWLIEKKLPVWIFSGDFDSVCPLSATRYSIHDLNLHVTTPWRPWTVNMEVGGYVQQYKGGFTFASVRGAGHMVPSSQPERGLTLLDSFLKGMLPPYVPEQ >PAN14318 pep chromosome:PHallii_v3.1:2:51685459:51686903:1 gene:PAHAL_2G400300 transcript:PAN14318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVEAVVVCLLVLAMDAAAGVLGIHAEKAQSQGRHLRILFIECRQPVRRAYELGIAAAAVLAASHAIANAAGGCACSCSGDKLRRASPNRQMASFALVLTWMVLVVGVALLVLGALPNRKNKLADCGVARHRFLSIGGVLCFAHALFCVVYYASASAAAREERRAATHG >PAN14920 pep chromosome:PHallii_v3.1:2:54736304:54739418:-1 gene:PAHAL_2G452500 transcript:PAN14920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPAPVRKSHTSTADLLIWPEGAPQEPLAGATPPSNRRPHQPSEAVRKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAGGEVEEDESANASATPIRTNPKNYQAISTISHISFAEEESISPKKPTSIAEVAKQRELSGTLLSEDESKMKKQISDLKSKELSGHDIFAPPEDPRPRNSENGSTSQTPGKNAYVSNFKFGEADEDSVVKTAKKIPTKKFSDLTGNGIFKGDDVPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >PVH64363 pep chromosome:PHallii_v3.1:2:41546674:41548085:-1 gene:PAHAL_2G249900 transcript:PVH64363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIETTHQIFFTFCFLPSISRVSLSSPSSRHLYLSVPPPPTTHLSFSLYLASLSLQPVEASRWLHGPAQRRTGGLQGSMAELGETSGAPWLDSSDPKSPGRRATRRSRQPVHSVGGELSGGRWNPAAEHELEWIPCTCG >PAN11326 pep chromosome:PHallii_v3.1:2:22119728:22126050:1 gene:PAHAL_2G168300 transcript:PAN11326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVLGSPGTWSGMALRLSQCVSAGASMAAMATAYGFSNYTAFCYLIASMGLQLLWSFGLACLDIYSLKTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLPSL >PAN11325 pep chromosome:PHallii_v3.1:2:22121843:22126062:1 gene:PAHAL_2G168300 transcript:PAN11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFDFIDYPPFRYLIASMGLQLLWSFGLACLDIYSLKTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLPSL >PAN13900 pep chromosome:PHallii_v3.1:2:49878537:49881984:1 gene:PAHAL_2G371300 transcript:PAN13900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGSTGGMSRDLIDRIPKMRFSAASNCDQETDSSCCSVCLQDFGAQQFVRVLPQCQHVFHVRCIDNWLLRHASCPLCRAGVHIDNIHM >PAN13899 pep chromosome:PHallii_v3.1:2:49877934:49881984:1 gene:PAHAL_2G371300 transcript:PAN13899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVPSSSSPWAAAGAPCCRFDALRRACARHAAAAARAAGWALGALVTCVFAVVGSLVGIFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGSTGGMSRDLIDRIPKMRFSAASNCDQETDSSCCSVCLQDFGAQQFVRVLPQCQHVFHVRCIDNWLLRHASCPLCRAGVHIDNIHM >PVH64326 pep chromosome:PHallii_v3.1:2:40345375:40356579:1 gene:PAHAL_2G238100 transcript:PVH64326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVLLAAVLLVLSAQAVHHVAGQPGFLSIDCGLDDEYSGYKDTDNGIVYVSDGAYADAGENLKVAPEYESQLVRRYQTVRSFPSGVRNCYALPTVAGAKYLARLAASYANHDGTNDSSAMEFDVHLGANYWDTVLVRDDLAYEVLFVAWAGWAPVCLLNTGHGAPFLSILELRRLGDALYPALMANQTMSRFRRRNMGGKFTRFPDDPYDRYWWMTVNPQWRNLSTAQNMQPDPSFVEPVAVLQTAATATGNNTALSYKWQENRPADSFMVLLHFADFQSAQLREFDIYFNGNRLGPCDKPYRPQYLASSTVCSSGWYRASDGNYNITLVASAVSELPPMLNAIEIYSLLAFDTPTTFPDDFDAIMAIKFEYGVKKNWTGDPCFPTIYAWDGVECSNTSGKTTRITSLDLSKSNLRGVLSTNFSKLTALENLDLSYNNLSGPIPDSLSSLPSLRVLNVSGNNLSGDSLCTNYNGSLIFRYDSDTSACDKRTSSSRKTAAVLITSVVVPVLVVAALFLACFIWRAKRKSNENRTGKLQLESAPISMKAQGDHLQDSESRRFTYKDLENITHNFERLIGQGGFGLVYYGRLEDGTMVAAKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKNHLALVYEYMSQGNLYDHLRGKHAAVEILNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLGRNLQAKIADMGLSRSYLSDTQTHISVTAAGTPGYMDPEYYLTGRLTESSDIYSFGIILLEAATGEPPLLPGLDHIVQRVKQRMAAGDIGSIADSRLRGAYDGSSMWKVVDIAMACAADDGTARPTMADVVAQLKDSMALEDARVNDCRVPARKVQRDDAALMPSLGPSLR >PAN13869 pep chromosome:PHallii_v3.1:2:49720672:49725621:1 gene:PAHAL_2G369700 transcript:PAN13869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCMAQEGSEASVASSPPPPPPSASSSTTSSAAAASWWRDNMHPAAYGAWPPPPAAARWPLMAPAAAPAQHHGRTTSSGGADDDLSASNATMTSFTNTSTTNHSGLSMDSSVPGVEAAAAAAAAESHLWNQVLMGAGGEVGRSMQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGSSHGGGGWPEHQFTAAALEKHLSSGYGAAVAHHHHHAAAGAPERLTANLSDLVSNWSIAPPNPCLGDARHRAGAGAACDNAAVAALGHGAKAGLFLDSGGLCKHEMGGHGAMLQEAGGGSGAGGQEFLRPAGYSSMLGLSSSRMYGSGPAVDVPWGNDAGAARSLSDLISFGGAPLGKPEQPAPTAPKAQAEYKKQGQEISSPAKTSSGGGSKGSSEGKKKRSEEQQGSDGNTKKSKNEASSPTSSVKASPVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKSEAEMDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >PVH64854 pep chromosome:PHallii_v3.1:2:49720672:49725621:1 gene:PAHAL_2G369700 transcript:PVH64854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCMAQEGSEASVASSPPPPPPSASSSTTSSAAAASWWRDNMHPAAYGAWPPPPAAARWPLMAPAAAPAQHHGRTTSSGGADDDLSASNATMTSFTNTSTTNHSGLSMDSSVPGVEAAAAAAAAESHLWNQVLMGAGGEVGRSMQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGSSHGGGGWPEHQFTAAALEKHLSSGYGAAVAHHHHHAAAGAPERLTANLSDLVSNWSIAPPNPCLGDARHRAGAGAACDNAAVAALGHGAKAGLFLDSGGLCKHEMGGHGAMLQEAGGGSGAGGQEFLRPAGYSSMLGLSSSRMYGSGPAVDVPWGNDAGAARSLSDLISFGGAPLGKPEQPAPTAPKAQAEYKKQGQEISSPAKTSSGGGSKGSSEGKKKRSEEQQGSDGNTKKSKNEASSPTSSVKASPVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKVPIIELWSNDQHAIFIRTTMHGEGWIGRRSQKQRWTCEVEAYAWYPSHARLKCTGITMARTTGRPRIEAAYTDELISNYCYSIGYLNNLW >PVH63633 pep chromosome:PHallii_v3.1:2:5453182:5455651:1 gene:PAHAL_2G073600 transcript:PVH63633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMKHMPLLSSIVFLVFTISALAGSEVQFVYHGFSGVNLTMDGNAKVTPDGLLELTNDTVNLGHTFYPSPLNFRKWLNGTVQSFSVSFVFAILSVHDDISADGMAFFVSPTKNLSNTWAQYIGLLNSENDGNTSNHMFAVELDTTQNNEFIDIDNNHVGININSLHSLQAHHAGYYDDQSKSLKNLTLFSGKAMQVWVNYNGDASQINVNLAPVGTPKPERPLLSAPYNLSGILKDPSYIGFSATTGAISTQHCVLGWSFAMNGPAPAIDISKLPKLPRIGPKPHSKVTEITLPIVTAAFVLVIGLVISLLVYRSLRYSELKEDWEIDFGPHRFSFKDLLHATEGFKNKNLLGVGGFGKVYKGILPKSKVEVAVKKVAHESRQGMKEFVAEVVSIGRLRHRNLVPLLGYCRRQGELLLVYDYMSNGSLNKYLYCEDGKPLLSWSQRFHIIKGVAFGLLYLHEKWEKVVIHRDIKPSNVLLDSEMNGRLGDFGLSRLYDHGTDPQTTHMVGTMHYRMQQICRVPEALGKSTKPLGKEFAERGSRQRPLGKFSVGKGGFAESPFSGARQTICREQTGPSAKKRILPVGCVAVTAPLPRTWGTRQRVQNLFLFSRQYTTI >PVH64265 pep chromosome:PHallii_v3.1:2:38379554:38379721:1 gene:PAHAL_2G225700 transcript:PVH64265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHPPGLKTNAKSLNSSFSISEVASQCITTSLALSEMSICILYYSSLEAQYRII >PAN14272 pep chromosome:PHallii_v3.1:2:51457194:51459378:1 gene:PAHAL_2G396400 transcript:PAN14272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKLPEREPHDPHGSRRHVTAARGIHSAERTSQRPCVPSHSAERTSQCPGRRSGHWKMPDSCSTKCLQGKLLTEPTLDPEVLCLGTRLFICADARVTRDGEASYIQNMVIGYSAASPSAARPHQGAVAHPHRLSLWKVQQLVKDCSLLFCKLFPPIKDILLAQLRQS >PVH64986 pep chromosome:PHallii_v3.1:2:51457194:51459378:1 gene:PAHAL_2G396400 transcript:PVH64986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKLPEREPHDPHGSRRHVTAARGIHSAERTSQRPCVPSHSAERTSQCPGRRSGHWKMPDSCSTKCLQGKLLTEPTLDPEVLCLGTRCTCHA >PVH64988 pep chromosome:PHallii_v3.1:2:51457254:51457685:1 gene:PAHAL_2G396400 transcript:PVH64988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKLPEREPHDPHGSRRHVTAARGIHSAERTSQRPCVPSHSAERTSQCPGRRSGHWKMPDSCSTKCLQGKLLTEPTLDPEVLCLGTRSDMQMHADCSYVQMHVSRVMEKLAIYRTW >PAN14274 pep chromosome:PHallii_v3.1:2:51457194:51459378:1 gene:PAHAL_2G396400 transcript:PAN14274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKLPEREPHDPHGSRRHVTAARGIHSAERTSQRPCVPSHSAERTSQCPGRRSGHWKMPDSCSTKCLQGKLLTEPTLDPEVLCLGTRLFICADARVTRDGEASYIQNMVIGYSAASPSAARPHQGAVAHPHRLSLWKVQQLVKDCSLLFCKLFPPIKDILLAQLRQS >PVH64987 pep chromosome:PHallii_v3.1:2:51457254:51457685:1 gene:PAHAL_2G396400 transcript:PVH64987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKLPEREPHDPHGSRRHVTAARGIHSAERTSQRPCVPSHSAERTSQCPGRRSGHWKMPDSCSTKCLQGKLLTEPTLDPEVLCLGTRSDMQMHADCSYVQMHVSRVMEKLAIYRTW >PAN14273 pep chromosome:PHallii_v3.1:2:51457194:51459379:1 gene:PAHAL_2G396400 transcript:PAN14273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKLPEREPHDPHGSRRHVTAARGIHSAERTSQRPCVPSHSAERTSQCPGRRSGHWKMPDSCSTKCLQGKLLTEPTLDPEVLCLGTRLFICADARVTRDGEASYIQNMVIGYSAASPSAARPHQGAVAHPHRLSLWKVQQLVKDCSLLFCKLFPPIKDILLAQLRQS >PVH64238 pep chromosome:PHallii_v3.1:2:37352713:37353704:1 gene:PAHAL_2G220500 transcript:PVH64238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSYELVQKGFHLTCTSMFHVDLLLLFQMFADNNLEVHRESLDAIPQQLSTPSRIF >PAN14546 pep chromosome:PHallii_v3.1:2:52747695:52750114:1 gene:PAHAL_2G418600 transcript:PAN14546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFAAACFAFLLLNAAGVESRRCRCDGDGDDYDSDKPSYDKLFVFGDSFADAGNLPKGDLKWETRGWYAKIILGQKEAPPPERLRRHDGVDLSSGVNFANSGSGVFPGWNLDKQIKKFKRLLRKRIIGKDLSQSVALVSIASCADYADVPSDLPDMDPLYISNVTDGIVDGVRKLQDLGVGIVLVNLLPPLGCAPLNTRADNYARCEKDKITGVHNKHLTQKLRDLMTTRSSCSTSTPSSKASSLPRPACPCCESIDENGFCGQVDGEGNLQYTLCDRPYTYFYWDTTNPTQAGWKAVMEQLEGPIREYLGI >PAN11726 pep chromosome:PHallii_v3.1:2:35473904:35476395:-1 gene:PAHAL_2G211000 transcript:PAN11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRYCMNQIPHKTMDAASKKAYIIAIVVQVILTGMSVMSKAAINSGMSTFIFVFYRQAAGSILMLPLALLLQRKNAWSMPVAWLLKLFLCALVGNTLSLSLYHVSLKFTSATVAAAAGNSMPVVTFCLALLLRMEVLKLRSASGIAKLTGVALCLAGVFAIAFYSGPALSPVNHHRAFAAHTSGSGRTNASSKTTWIEGTFLMLLANVAWSLSIVWQAALLKELPNRMLVATALCVFSTVQSFVVAVAAERNFSRWQLRPDISLLAIVYAGFVVAGVSYYLQAWCMEMKGPVFFAVWTPLCFVLTIFCSSFFLGEIVHLGSVVGGTLLVGGLYGVLWGKNKESQAGSCSQMNMTTTGCAQDEEEHNKTNTFELEEATSAPAGEHV >PVH64920 pep chromosome:PHallii_v3.1:2:50708522:50709810:-1 gene:PAHAL_2G384600 transcript:PVH64920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLPERDDGLGWIIEFARRLQYLLAGDACTESRSGGQKQRERNRFVSLHHQQLPP >PAN12616 pep chromosome:PHallii_v3.1:2:43982206:43986566:1 gene:PAHAL_2G278300 transcript:PAN12616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLRRAARQRELASPLGSLKATLQSSCAANLCSKWGSFARPFSAKAAGNDIIGIDLGTTNSCVSVMEGKNPKVIENAEGTRTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQVGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTEGIDLSKDRLALQRLREAAEKAKVELSSTTQTEMNLPFITADASGAKHLNITLTRSKFEALVHQLIERTRDPCKNCLKDAGISTKNVDEVLLVGGMSRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGLETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKETNITIRSSGGLSEAEIQKMVHEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEVASEIEAAIADLRKEMASDNIEQIKAKLDAANKAVSKIGQHMSGGGSGGSQSGGSQDGGDQSPEAEYEEVKK >PAN10968 pep chromosome:PHallii_v3.1:2:11572700:11574934:1 gene:PAHAL_2G130100 transcript:PAN10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRKHAVAGLQHKARRSGAESEATVPRPHHDDQVTDWNRRESRRRLAGRSTPVALQVQEEPGGGLALAGHLLVHPHPAAVPGPARLDHELRPAAAGGHAGEPPVVDVPHDLHHRAVAQHRRPVAAEVPLDPARLHHLLAVDADRHLAVPGGAAPLLPAARHRDLVLRAVVAEPAPRRVDQLRVRGSGGREGPRPGAADLLAPAEQRAARRRGEAPPELPRALAAAEEERELAVRDDGEVVVAGGLRRARVVVLGLRRVPVDGHRRAGIGGEGPRPALLLQEMLRGGRRSRRRRGRPARRAERRRRRQRQRPGSVVDGHGEPGRAGSSSRRRGGAPGPGDPDPELLARRQRDPVDAGGGRLERAVERGAGSSAAGGPDGQADGAVAEAGEVPHCAAGRFLEQARREGREGVGRRRRERDETTESHARTRVDLGSCQEEAGRVETKRKGAACLWWLSGRSWRRRPGSR >PAN14064 pep chromosome:PHallii_v3.1:2:50518072:50519614:-1 gene:PAHAL_2G381900 transcript:PAN14064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTQFQQPDGNRHGGNPPYQGGLNPYVFMYQTGPSAWNPNQVMHPGMPPMTAAGMFPMTTAGMPPPNAGGMHPHMSPQLQPSANVDEMHNPTDDEVEEVAIVGGPSNGGRKRGGSNTKLANFAVEKDVNIVRSWLEISCDPIVNTGQKRDNFWDHVMKQYNGRRGSFPKRTLRSVQSRWDKIKQEATKFTGYVAKAIRDDASGTSDADKTTLAASDFAAIEGYNFQFMHCWDLMKDEPKWQDVKQRSSKTVGSNTIDLDGDEASPAGTGKRPIGRDAAKACKKKCPSGSTSSSEYASNLQDLSLQKMTMWQEENSKKVNRFDHLASIEEKRFDEMREHNKSILQLEEEKIKIMRDKLNMQMQEKERERLEREKQEDERILKVDLDSCAPELRLYYEALREEILHKVSARRQRSRQV >PAN10587 pep chromosome:PHallii_v3.1:2:8151693:8156668:-1 gene:PAHAL_2G103600 transcript:PAN10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDARLSLPCRLPAPLPPPPALRRSPRAAAAAASTSPRCRVLTTAVPARPRARPGRWRALASEGEAQGQVQQQDDEVVDSNVLPYCSIDGKQKKTIGEMEQEFLQALQAFYYEQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRFLEASMAYVAGTPIMTDAEFDDLKLRLKKEGSDIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPYSFIFTWFAALPLIFWVAQSITNAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGAKNSVKCANCGTELVYDSASRLITLPELAEA >PVH64850 pep chromosome:PHallii_v3.1:2:49666320:49668873:-1 gene:PAHAL_2G369000 transcript:PVH64850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTHLAGAGVASPSATGGHHTDRFFRALAVASLYILVRRWRAGRVGLAERPAPAEIAAAAVLCASVAWLYVLPALGLRRSSHRRRHQD >PAN13860 pep chromosome:PHallii_v3.1:2:49666430:49668873:-1 gene:PAHAL_2G369000 transcript:PAN13860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTHLAGAGVASPSATGGHHTDRFFRALAVASLYILVRRWRAGRVGLAERPAPAEIAAAAVLCASVAWLYVLPALGLRRSSHRRRHQD >PVH64104 pep chromosome:PHallii_v3.1:2:28922171:28922647:-1 gene:PAHAL_2G188200 transcript:PVH64104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTCLQDSSTIAPQNNPPCPTLFVANVGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKAYGVHIGFMIL >PVH63928 pep chromosome:PHallii_v3.1:2:12746112:12746972:-1 gene:PAHAL_2G137300 transcript:PVH63928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGWTQGNCQAAPGFPSLFIDALESLGVAERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCWIFEGHLAATPVRFFPPAIRTPVWEARMRSLERRRQEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVISEAVAQESLRQAQDWRMQEWTRSGTPVPAIGEDHVLLGTPVIGWGTLFGNTQAPSGNPESSAAAVEGDAAAQPLTDGNPENGERGLLTLPTPEEGTPRE >PAN09257 pep chromosome:PHallii_v3.1:2:604545:607501:1 gene:PAHAL_2G009100 transcript:PAN09257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTILSAVLGELASRSINFFIRKNCKPKALDVEDNLQRSLLRAQVIIDEATGRHITNQAMLQQLEMLRDAMHQACYMHDTFRYQSHGEEDAKDQVVSHSFSLSKVNSLKGIGSSNRKTRILEQLQDTLDNLNCMTLDMKELVVFMTSYPRFYRQPYSMHLLLGNCMFGRQMEAELVLNFLLHAQPNGAEELEVLPLIGPGRVGKSTLVAHVCNDERVRGHFSEIMFLSDHDLKDEKLTFPVERCVKKYQNSLLNKDGRMLVVVEAAGDINTDEWKRFYAASKRCMRSGSKLIITSRSDKITKLGTARAVTLKYLSDEAYWYFFKTLAFGSTDPMMHPRMAYLAMEMARMLNRCLLGAPSTICVLRDNFDIRYWCKVVTLIRQNIKLHVSKFGEHPTDALNQNKPAHLRRMISNSEQIMVYHQYECSSQKEVPKVELRSVIFGSVKPTGRFEALAWRSHIPPYYNYIYTCEVRDLKSTSSKRKRT >PAN15557 pep chromosome:PHallii_v3.1:2:57529089:57539410:-1 gene:PAHAL_2G497300 transcript:PAN15557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MGIEDYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDLHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSAHFKSFLKGLLNKMPQSRLTWPALLEHPFVKDDSMASAADTLTAPFQVKGSEDTRRTEEIQTSRNQASPADPQSTITATNRENASDKPKGNRKLDGAMQATEDHHGSSTGAVPESRSPSECTALDKLEKASQKVKGANNIVEDREAMSTIVSPIKTWLTNPPSSPRELNIDGANQSLRIIKNLIDAGSCQSYAVKIDICMLLEFTNLIIRTKLSDAYGLVTKCLPIARKLLDTNDEVILSSYDRYWSSLYELYSQILTSTVDPSGRISRESTACLALMLSRVISGLKASMSSEGTKAVDESLLKIIDHARRSHLLELLCECLITSGSDIISGSTNMVPAACEACKAIWYLAHAVDMSISAHHFSFPLANSWRQIHSMQEQGSMADSNSTNLINIFVKSFLASRPMQVAVYHCLHNGLESAIHACLQLISRACLQNVSFCAIICRPWNSPSDVDAVEYGGDGTIVSDTFSLLSLCGSYFNNESKQNSNQKCKLSNPYALVVHCCLALAAIAACLKSEGGFSASVILTSSQKKQRSRLSVLAQLSSVDDTVKSCLQPHCASAMLALSSLVSLGNGGQTRSSLCETALALFPRMATLHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGSIGMLETRLKWGGPLAIEQACSVGIPQILIRLLTDGFSKETSDGKGGSMNRSGLSPLGVVWTLSALSQCLPGGVFREILYRREQLKLLTELLSDIHLKALAAWTGLGGGKRGVRELINAVVDILAFPFVAVQSSPNMPSASASINSGFLLNVASPGGRIGTENKEMLKTIEQYMPQYIQVLLEVGVPGCMLRCLDYVDMEDLARPLAIVAKMAGYRPLALRLLKEGLLDPSRVAGLLEDPIAKETLLDFLMIVSDLARMSKDFYAPIDKAGLVGFLKNYLSNEDPDIRAKACSAIGNMCRHSSYFYSPLATNKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIASRACQA >PVH65456 pep chromosome:PHallii_v3.1:2:57528315:57539410:-1 gene:PAHAL_2G497300 transcript:PVH65456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MGIEDYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDLHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSAHFKSFLKGLLNKMPQSRLTWPALLEHPFVKDDSMASAADTLTAPFQVKGSEDTRRTEEIQTSRNQASPADPQSTITATNRENASDKPKGNRKLDGAMQATEDHHGSSTGAVPESRSPSECTALDKLEKASQKVKGANNIVEDREAMSTIVSPIKTWLTNPPSSPRELNIDGANQSLRIIKNLIDAGSCQSYAVKIDICMLLEFTNLIIRTKLSDAYGLVTKCLPIARKLLDTNDEVILSSYDRYWSSLYELYSQILTSTVDPSGRISRESTACLALMLSRVISGLKASMSSEGTKAVDESLLKIIDHARRSHLLELLCECLITSGSDIISGSTNMVPAACEACKAIWYLAHAVDMSISAHHFSFPLANSWRQIHSMQEQGSMADSNSTNLINIFVKSFLASRPMQVAVYHCLHNGLESAIHACLQLISRACLQNVSFCAIICRPWNSPSDVDAVEYGGDGTIVSDTFSLLSLCGSYFNNESKQNSNQKCKLSNPYALVVHCCLALAAIAACLKSEGGFSASVILTSSQKKQRSRLSVLAQLSSVDDTVKSCLQPHCASAMLALSSLVSLGNGGQTRSSLCETALALFPRMATLHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGSIGMLETRLKWGGPLAIEQACSVGIPQILIRLLTDGFSKETSDGKGGSMNRSGLSPLGVVWTLSALSQCLPGGVFREILYRREQLKLLTELLSDIHLKALAAWTGLGGGKRGVRELINAVVDILAFPFVAVQSSPNMPSASASINSGFLLNVASPGGRIGTENKEMLKTIEQYMPQYIQVLLEVGVPGCMLRCLDYVDMEDLARPLAIVAKMAGYRPLALRLLKEGLLDPSRVAGLLEDPIAKETLLDFLMIVSDLARMSKDFYAPIDKAGLVGFLKNYLSNEDPDIRAKACSAIGNMCRHSSYFYSPLATNKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIASRACQA >PVH65455 pep chromosome:PHallii_v3.1:2:57529813:57539224:-1 gene:PAHAL_2G497300 transcript:PVH65455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MGIEDYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDLHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSAHFKSFLKGLLNKMPQSRLTWPALLEHPFVKDDSMASAADTLTAPFQVKGSEDTRRTEEIQTSRNQASPADPQSTITATNRENASDKPKGNRKLDGAMQATEDHHGSSTGAVPESRSPSECTALDKLEKASQKVKGANNIVEDREAMSTIVSPIKTWLTNPPSSPRELNIDGANQSLRIIKNLIDAGSCQSYAVKIDICMLLEFTNLIIRTKLSDAYGLVTKCLPIARKLLDTNDEVILSSYDRYWSSLYELYSQILTSTVDPSGRISRESTACLALMLSRVISGLKASMSSEGTKAVDESLLKIIDHARRSHLLELLCECLITSGSDIISGSTNMVPAACEACKAIWYLAHAVDMSISAHHFSFPLANSWRQIHSMQEQGSMADSNSTNLINIFVKSFLASRPMQVAVYHCLHNGLESAIHACLQLISRACLQNVSFCAIICRPWNSPSDVDAVEYGGDGTIVSDTFSLLSLCGSYFNNESKQNSNQKCKLSNPYALVVHCCLALAAIAACLKSEGGFSASVILTSSQKKQRSRLSVLAQLSSVDDTVKSCLQPHCASAMLALSSLVSLGNGGQTRSSLCETALALFPRMATLHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGSIGMLETRLKWGGPLAIEQACSVGIPQILIRLLTDGFSKETSDGKGGSMNRSGLSPLGVVWTLSALSQCLPGGVFREILYRREQLKLLTELLSDIHLKALAAWTGLGGGKRGVRELINAVVDILAFPFVAVQSSPNMPSASASINSGFLLNVASPGGRIGTENKEMLKTIEQYMPQYIQVLLEVGVPGCMLRCLDYVDMEDLARPLAIVAKMAGYRPLALRLLKEGLLDPSRVAGLLEDPIAKETLLDFLMIVSDLARMSKDFYAPIDKAGLVGFLKNYLSNEDPDIRAKACSAIGNMCRHSSYFYSPLATNKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIASRACQA >PAN09408 pep chromosome:PHallii_v3.1:2:1483876:1488464:1 gene:PAHAL_2G021000 transcript:PAN09408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRWLLLALLMAAAEAQPLASRADLAGLYSLRGSLGLRARDWPLRSDPCAAWEGVACRAGRVVGVTVAGLRRTRLGALAPRLALDGLRNLTALETFNASGFALPGQIPAWFGSGLPPPLAVLDLTSAAVNGTIPAGLGASGNLTTVLLSGNGLSGPVPASLLSVGGPRVLDLSRNNFTGGLPNVSGVAGGAAAAASRLNVSGNSLYGVAGDAIAALTRRFQVVDVSSNYLDGALNGSDGTVLATTNCFYGVPGQRSRADCEEFYRKQGARLVDAPAPPPPPSPLPLPSPQPSPPPEEEKKKQGISKNVLIGVLVAAGTLMVVFLVVLLFCLVKRRSRGGSGGRGVEPNEEGTGTRSVRRRDSSVNPVVSSPSAVSPRANGGPKDASAIAGEFSYEELVHATGGFGDDKLIKNGHSGDIYHGVLENGSHVIVKKVRSNGVNKHASELDFYTRYSNDRIVPLLGYLSKDDEEFLAYKYMPKGDLTNALHKKPVDTADGLPSLDWITRLKIATGVAEAMCFLHDECSPPLIHRDIQASSVLLDDKYEVRLGSMSDICIQQSGGSQNVFSRILRSSKSLDKHTSGPPATCSYDVLCFGKVLLELVTGNFGISGSNDAASEEWLANTLSHINGGDKASITSIIDPLLVVDEDHQEEVWAVAIIAKTCLSAKPSRRPSARHVLRALESPLRVVRQGSSRCNSARLRSSSSRSSWQSVFQGNHHHRALSLDRRHSVRSHGSGGAGEGSFSFSFKRAVAAPEVAPEPAAALDEDAVVV >PVH63405 pep chromosome:PHallii_v3.1:2:1484219:1487532:1 gene:PAHAL_2G021000 transcript:PVH63405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRWLLLALLMAAAEAQPLASRADLAGLYSLRGSLGLRARDWPLRSDPCAAWEGVACRAGRVVGVTVAGLRRTRLGALAPRLALDGLRNLTALETFNASGFALPGQIPAWFGSGLPPPLAVLDLTSAAVNGTIPAGLGASGNLTTVLLSGNGLSGPVPASLLSVGGPRVLDLSRNNFTGGLPNVSGVAGGAAAAASRLNVSGNSLYGVAGDAIAALTRRFQVVDVSSNYLDGALNGSDGTVLATTNCFYGVPGQRSRADCEEFYRKQGARLVDAPAPPPPPSPLPLPSPQPSPPPEEEKKKQGISKNVLIGVLVAAGTLMVVFLVVLLFCLVKRRSRGGSGGRGVEPNEEGTGTRSVRRRDSSVNPVVSSPSAVSPRANGGPKDASAIAGEFSYEELVHATGGFGDDKLIKNGHSGDIYHGVLENGSHVIVKKVRSNGVNKHASELDFYTRYSNDRIVPLLGYLSKDDEEFLAYKYMPKGDLTNALHKKPVDTADGLPSLDWITRLKIATGVAEAMCFLHDECSPPLIHRDIQASSVLLDDKYEVRLGSMSDICIQQSGGSQNVFSRILRSSNW >PVH64696 pep chromosome:PHallii_v3.1:2:47730792:47733676:-1 gene:PAHAL_2G336000 transcript:PVH64696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLLCLAAAAALAAALLASTPEAWRPVATPPPLPVLPIPSAAQLKWQRREVIMFFHFGMNTFTDSEWGTGAEDPSLFRPAALDAAQWVGAARAAGASLAILVAKHHDGFCLWPSAYTDHSVRASPWRAGRGDVVREFVRAARAGGVDAGLYLSPWDRHDARYGKEVAYNEYYEAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQRSINIFSDDGPDVRWVGDEKGFAGTTCWSTVNRSMITIGEAGIEKYLNEGDPRGRDWVPPECDVSIRPGWFWHKNETAKPLSQLLEIYYNSVGRNCVLLLNAPPNSTGLVEDADVARLREFGSAVATIFGTNLAAGSAARGSSERGGGFAARNVLDGRDDTYWAPAAEDGRRNGYWIELRRPPGSAGRPFNVVRIQEHVALGQRVERHAVYVDGAPVANGTTVGHKRLHRLPCAVAGGTVRVWIAARRGPPLLSAVGLHHDPFVEADSL >PVH63392 pep chromosome:PHallii_v3.1:2:1362999:1365241:-1 gene:PAHAL_2G019800 transcript:PVH63392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFRKAMGGALWRVNTLVLVDAILAGVIVGIGAYAQRYRHHPFTRFIYLGATTLLLPITSYVVSTISTNSNDYISSYESATLAASCRGGFHYFTAVSWAFLVQITMINTSVIVAVDDREGRNRGPPVQLLVQGLWTLYLGVSAMGPITANIWPFYLELMLFAIICAKIVLKYYAFEKARRSLAFGRSPRLVSGYMEQPQVRRQPAEPWVGENVPPPPPPLLVMGEDGIHVENQPRGYAGFVNNGNGLVTLDMVWQQGNERADLCLSFALFKLLRCRFARYENANVTSMWTLNFFWRRFLLLSREGNHDTRRVFRVIADELSFIQDYYFSSLPVSYSKSWLPILSVSISLLSITYCILATVFIVRGLVSNWKSKYNHQLRCHFWCNDRHLISNRQDKNFGFFVFDDIPLFLLLALVVIAEFKYIASYICSNWTKHYDRVQEPSAAVQMGANEALGQSSVLVLHPRTTLLVPLRRLLGLPDLDRSVKMPEAVKVSIIDALRRSSRDGHRFSNGVRSPRLGLAGGVFPRAFSSNGTSETILTWHIATSILEMRHQCRHGQGGQGSSTFDPNCRITATHLSRYCAYLMAWSPDLLPDDDEWSRSLYETVKKDAKRALAGHAAQGSLAPMAEFEQVAQLLLIADSKYEVLKNGVRLGKQLVVVEGEERAWVVLAEFWSEMILYIAPSDNIRGHLKAIARGGELITLLWAMLTHAGILSRRGDAGGGAAAGEGTGSVR >PAN12876 pep chromosome:PHallii_v3.1:2:45284256:45285239:1 gene:PAHAL_2G297200 transcript:PAN12876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLQYNATDVKPHKASRPSAGQLLCTKMAASASALVAVLLAAGYAALASPATTHTVGGVHSWMTGVDYAAWASDKTFAVGDKLLFSYVRTDHTVTEVSRSGYDACSGGDARSEDNNSGLTTVTLATPGAHYFICVVPDHCASGMRLAVNVSAAPPGAAATTSGTAGGGLQVPVTVPVVVAAAAATGALTKLALL >PAN14183 pep chromosome:PHallii_v3.1:2:50997391:50999458:1 gene:PAHAL_2G389600 transcript:PAN14183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLPLAAPAAAASLSPSQGAGRALDAYKTALATAASAAAYAVMARSMARELLPDELRAAVRWCAAAARARFGRGDRERRTVVIRRQFDTRYGENHLFDAARAYLATRIDPRAMRRLCLARSRAKEPDGGGGWSTLLCMEPGGSTVDVFNGVEFTWTSVETGGDDKKGGKGGGSGSPRESLELSFDAEHTDMALDRYVPFVMSTAEELQMRDRALRIFMNEGQSWHGINHHHPATFDTLAMDPALKDSVIADLDRFLKRRDYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRLNSSLQKLLIHMPNKSILVIEDIDCCFDAAASRKDAKAPELVEELDPGYTSDSSDDSWPMNTHRQGAAPPKGLTLSGLLNFIDGLWSTCGEERIIVFTTNYRDRLDPALLRPGRMDMHIYMGYCGWEAFRTLARNYFLVDDHELFPEIQALLSAVDVTPAEVSEMLLRSEDVDVALRGLKEFLQEKRRKTRKEEGYKKDAAEDKEAVAEEAV >PAN10593 pep chromosome:PHallii_v3.1:2:8185878:8188085:-1 gene:PAHAL_2G104100 transcript:PAN10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDATTAESGKAAAPAPPAPAGGFPGAELALRALLFAVTLAALVVLATAKQTVLFPVPVLGAVVPMEAKFNHSPALIYLLVALCATCLYSLLTALGSLRPPTARTLFILLLLDVFYAAVMASATGSGGGVAWIGLKGNSHTRWNKICDTYGKFCRHIGSSVFLALVASIILVLLAVLNAYSLYRRSR >PAN12465 pep chromosome:PHallii_v3.1:2:43121767:43124498:1 gene:PAHAL_2G267100 transcript:PAN12465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLVAMSADDSALAEDLQVEEVLQFSTHFKSENVCALCKQVIQSLEASWKPDNCDHVICIACFCRYTEASGLPKCAVASCESLCKAEAHHEIRVPHGTLISIEDMDSRNGKKPLDGMPQELGQCSHGADAMISSEFYCSICMDTMHIGEFFPIDGCAHTFCISCVSQYIAAKVEENVLSIGCPDPGCKDGVLHPEACRDVIPLQLFQRWGAALCDSSLGELKFYCPFKECSALLVDDPGHGEAVITNVECPHCCRMFCAQCKVPWHDGVTCIEFQSLGKDERGREDLMLRKVAQDSKWQRCPKCKMYVERITGCVFIICRCGHCFCYLCASPMSRDNHCCKICKRTW >PVH63515 pep chromosome:PHallii_v3.1:2:3180775:3181706:1 gene:PAHAL_2G045500 transcript:PVH63515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPSVPRCRLHQVQRAPPPPATTAGANSSAPTPPLPPPPTGGLPPPGSGGVPAASAPRRLSHRGSLWTRRSQR >PAN13848 pep chromosome:PHallii_v3.1:2:49608009:49614240:-1 gene:PAHAL_2G367900 transcript:PAN13848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYDPKDDVDRLFACFKCGVSPPQSAFRERALPRGKKSRVSPTAGVAGSGGGSSSLAPMPDAAVEKHGAPSSAAIKFTGRKQMSPIVFYGSPQGVPVKKPLSLLRLLREIRIDLKKETDLIPRDVVWATFPRQEEAIRFSKAHAHANVFSYQDHMTGTRRFLVSTYDEFWRRYNDMDSKIRHHYEVIQEGSPCHIYFDLEFETRLNKNRDADEMVDILVAFIFSALRDKYSIEGQEEWITELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFVSEVCSQIAAHRAANPDLDKLYITKESSTGPVDQLFMDTAVYTRNRCFRLAFSSKSGKKSFLVPSRRFKCKEMNDKDVFMESLICRLDDNCDKLLICKLDLECKKTLHFDSEFSMPRIQGRNKEPIATYRSDFPHEYTYGKSPFPALDGFIEFIASFGNVSGKIRSWYWFSQDGLMIYSMSRSRYCEHIGREHKSNHVMYIVDFQTAAYYQKCYDPDCQGYRSPLRPVPWDVMPELSSVVESAKTEYQGEVVEINFDDSSRNGCYLSDGKSVMESHEEDPGWWEEAVKFADSIENIEHISDLCYSDDDDFWMNAERIMEQIEGQKGSQSNT >PVH64845 pep chromosome:PHallii_v3.1:2:49607777:49614312:-1 gene:PAHAL_2G367900 transcript:PVH64845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIVFYGSPQGVPVKKPLSLLRLLREIRIDLKKETDLIPRDVVWATFPRQEEAIRFSKAHAHANVFSYQDHMTGTRRFLVSTYDEFWRRYNDMDSKIRHHYEVIQEGSPCHIYFDLEFETRLNKNRDADEMVDILVAFIFSALRDKYSIEGQEEWITELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFVSEVCSQIAAHRAANPDLDKLYITKESSTGPVDQLFMDTAVYTRNRCFRLAFSSKSGKKSFLVPSRRFKCKEMNDKDVFMESLICRLDDNCDKLLICKLDLECKKTLHFDSEFSMPRIQGRNKEPIATYRSDFPHEYTYGKSPFPALDGFIEFIASFGNVSGKIRSWYWFSQDGLMIYSMSRSRYCEHIGREHKSNHVMYIVDFQTAAYYQKCYDPDCQGYRSPLRPVPWDVMPELSSVVESAKTEYQGEVVEINFDDSSRNGCYLSDGKSVMESHEEDPGWWEEAVKFADSIENIEHISDLCYSDDDDFWMNAERIMEQIEGQKGSQSNT >PAN09954 pep chromosome:PHallii_v3.1:2:4511539:4513576:-1 gene:PAHAL_2G061100 transcript:PAN09954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSVPGAGVHPDAPDGDTVLAVHDDGAGHYDSLDSAAPAAAAPRDAAGREVRYRGWKTMPFVIGNETFEKLGSIGTAANLMVYLTSVFHMTNVRAAIVLNAFSGTTNLATVFGAFASDLYLGRYATVCIGCVATLIGMIILTLTAGVPSLHPPPCASEGGQCAGATRGQFAVLVLSFLFIVVGAGGIRPCSLPFGADQFNPHTESGRRGINSFFNWYYFTLTIAVCGSSTGIIYVQSNVSWWIGFAIPAALMFVSCALFFAGAGLYVRVRPEGVPLAGVLRVTVAAFRKRRAPAPADPAASLFRTRHASALVSRLPYTDQFRFLDKAAVVEFKGEVDDSSGGAKDPWRLCSLQQVEEAKCILRILPVWGTCIVYYVAFAQTNTYVILQAAQSDRRVGGARGFEVPPASFTIFPMLALTVWIPFYDRLMLPWLRRLTGREEGITLLQRMGVGMVLSVVAMLISGMAEQRRRELAELHAAESGGSMSESRVSPMSAFWLVPQLAALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFSGLALSSYLSGLLVTIVHRTTAGSADGDDGWLAEDLNRGKLDCYYFVIGFVGAVNFFVFLACAKWYRYKGMEEEDEQDAHGIDQWQPRSVVGGDQPAN >PVH64921 pep chromosome:PHallii_v3.1:2:50803869:50805840:1 gene:PAHAL_2G386100 transcript:PVH64921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVHLRKPVCALLLVAFLCLTCSVSAHHFDDDYDPRYGPGGYGHGPKGYGHGPRFGRGPFGRDCRFGRCRGGGGGFGGGGGFGGGGGAGGGLGGGGGMGGGGGGGLGGGGGGGLGGGGGGGAGGGFGGGVGGGSGAGGGLGGGGGGGFGGGGGGGLGGGGGKGGGFGAGGGMGGGAGGGGGLAGGGGGGMGGGGGGGLGGGSGGGFGAGGGVGGGAGGGGGGMGGGGGGGLGGGKGGGFGAGGGMGGGAGGGGGLGGGGGGGMGGGGGGGMGGGAGGGFGGGAGGGVGGGGGLGGGGGGGMGGGGGGGLGGGSGGGFGGGAGGGIGHGGGLGGGGGMGGGGGGGLGGGGGAGGGLGGGAGGGLGHGGGLGRGVGMGGGGGLGIGIGVGVGVGMGAGAGGGAGAGAGGGGR >PAN15527 pep chromosome:PHallii_v3.1:2:57423882:57429653:-1 gene:PAHAL_2G495000 transcript:PAN15527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQRQIARAASLLYALAVVCALCWALSARYVDGQSQTGQLRVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGDESSIIVGTDRTSCFTRNPIALRMEVLCDSKRTNACPSGGVGVYNPGYWGMNIEKGKVYKVSMHIRSSDAVSLTVSLTSSDGRQKLAAHTITGIKKSFAKWTKIEFNLKSRQNNTNSRLQLTTSKSGVIWLDQVSVMPLDTYMGHGFRKDLSLMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASLNEEVSTATIASLVKDVVDGIEFARGGPKTPWGSVRAAMGHPEPFKLDYVSIGNQECWMLYYRGNYQKFFSAIKAAYPEVNIISSCDRSTISPSNPADLYDVHVYTSSSNMFSRTSMFDNTARSAPKAIVSEYAVTGSDAGKGTLVAALAEAAFLIGLERNSDVVEMSSCAPLFVNDNDRRWSPGAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPTTIQISDYDQLVASAITLQNAKDKSSYLRIKVVNFGNRAVDLNMSVVGLANGIKNSGSKQTVLTSSSPLDENSFQQPEKVVPVSSPSANVKPQMGVSVRPYSLTSFDLLLEASKHASI >PAN10380 pep chromosome:PHallii_v3.1:2:6740260:6745402:-1 gene:PAHAL_2G090500 transcript:PAN10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCPPPLLGVGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAVVLDVAWFILFSHAIWTITPDEKYGQLFVFSLRLALWMQIIGFSVRFLSSFIWIQMYRLGASSSSPTYFDANHEVRNSFLSPRSDSVRRSSMADDILGGSIYDPSYYSSLFEDFRNNPCNHQGDKQSGSNDSGSTSAGQSPRLKSFACRSLLANDVESGLRRPLNS >PAN10379 pep chromosome:PHallii_v3.1:2:6739662:6745592:-1 gene:PAHAL_2G090500 transcript:PAN10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCPPPLLGVGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAVVLDVAWFILFSHAIWTITPDEKYGQLFVFSLRLALWMQIIGFSVRFLSSFIWIQMYRLGASSSSPTYFDANHEVRNSFLSPRSDSVRRSSMADDILGGSIYDPSYYSSLFEDFRNNPCNHQVESGLRRPLNS >PAN14894 pep chromosome:PHallii_v3.1:2:54696515:54698879:-1 gene:PAHAL_2G451700 transcript:PAN14894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTHNMLASNVRGATTGYPLKLEAAKWVTKEVELNADFLRGLLPKIDWRALVAATRALGLPELLPEEQPPEEEIFAEGAADVEGSAIRRIHHALLEIHVQEGSLVCPDTSRCFPIDKGIPNMMLHEDEV >PAN12482 pep chromosome:PHallii_v3.1:2:43203432:43204857:1 gene:PAHAL_2G268100 transcript:PAN12482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIGGERGRKGDALLTPPRGPGLPSSSSPSPPGSRLLPSPPSAAAAAVLALPASRDPAASPGAGLPAAPRLAGAMDGGGEPLLVRRSKDKKKRAAAAAHAERDAGAGDRFRSLWRDYNDLVQETEAKKKRLLSANRTKLALLAEVKFLRKKYGSFVKGNSQKTHYKLKKKARYIPSPLGRASAFEDHDAARTEGPSSSKNPNFDLNQGSLVVCNGSS >PAN12483 pep chromosome:PHallii_v3.1:2:43202900:43205588:1 gene:PAHAL_2G268100 transcript:PAN12483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIGGERGRKGDALLTPPRGPGLPSSSSPSPPGSRLLPSPPSAAAAAVLALPASRDPAASPGAGLPAAPRLAGAMDGGGEPLLVRRSKDKKKRAAAAAHAERDAGAGDRFRSLWRDYNDLVQETEAKKKRLLSANRTKLALLAEVKFLRKKYGSFVKGNSQKTHYKLKKKARYIPSPLGRASAFEDHDAARTEGPSSSKNPNFDLNQGSLVNDEGNDCQGHRGHLEPDKFDQVGVEEEMIAADVKLSVCRDTGNSPASDDKRTIPWQDRMALKA >PAN13988 pep chromosome:PHallii_v3.1:2:50186218:50189205:-1 gene:PAHAL_2G376900 transcript:PAN13988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKVENRGALSKRSRNDVSVREGDWNCPQCGNVNFSFRNVCNRGACGAPRPSPSPSPRMMPAPPSAGYDRSPLFYGGGGGAPSPIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMGGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVENNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRNTCNMKKCGAPRPTPGANMSSSRKDKDAPEGSWTCPECNNLNYPFRTVCNRKGCSYSKLAPTNN >PAN13989 pep chromosome:PHallii_v3.1:2:50186218:50189205:-1 gene:PAHAL_2G376900 transcript:PAN13989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKFGAVAQVENRGALSKRSRNDVSVREGDWNCPQCGNVNFSFRNVCNRGACGAPRPSPSPSPRMMPAPPSAGYDRSPLFYGGGGGAPSPIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMGGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVENNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRNTCNMKKCGAPRPTPGANMSSSRKDKDAPEGSWTCPECNNLNYPFRTVCNRKGCSYSKLAPTNN >PAN13986 pep chromosome:PHallii_v3.1:2:50185483:50189345:-1 gene:PAHAL_2G376900 transcript:PAN13986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAPPSAGYDRSPLFYGGGGGAPSPIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMGGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVENNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRNTCNMKKCGAPRPTPGANMSSSRKDKDAPEGSWTCPECNNLNYPFRTVCNRKGCSYSKLAPTNN >PAN13987 pep chromosome:PHallii_v3.1:2:50185483:50189332:-1 gene:PAHAL_2G376900 transcript:PAN13987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAPPSAGYDRSPLFYGGGGGAPSPIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMGGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVENNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRNTCNMKKCGAPRPTPGANMSSSRKDKDAPEGSWTCPECNNLNYPFRTVCNRKGCSYSKLAPTNN >PVH65035 pep chromosome:PHallii_v3.1:2:52105088:52106501:1 gene:PAHAL_2G407500 transcript:PVH65035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHWSAIQHDVNIFCSCVTRIQDRNQSGCSVDDKIASACALFKEEDKKHRNFALMHCWRILKDKPKWMERRIQNGGTTTDSNKKQKTKANSSLSSLVPVSSLATGGVHVAAAAAQDASKRPDGKKTEKKKLHQCSIIEALDYLVAKKKEADAQKDLMLRKI >PVH63790 pep chromosome:PHallii_v3.1:2:8496622:8499409:-1 gene:PAHAL_2G107100 transcript:PVH63790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSRPRRAVDHRHRLRLRRLLVLLPLALLLSQLPALLLRRANSLGRRCLPGAPDRLVRGPRLSLAIVTLADEGASGSRARRSFRGVLAATARNKRAYAAAHGYRLVALPASAVDPRRPPSWSKVLALRAHLRRHHWLFWNDADTLVTNPEIPLERILFSVIGHSDFDDSPDLVLTEDFGGVNAGVFFIRRSKWSERFMDTWWNQTSFVQFGSTKSGDNAALKHLIDHLSAEEMQAHVRIAKMQCLFNSYPWVLTWKSAHRLVFHLPTTWKGAYSDGDFMIHFAGLDDKKGWTNKIVGDIETLR >PAN12315 pep chromosome:PHallii_v3.1:2:42393292:42394935:1 gene:PAHAL_2G258800 transcript:PAN12315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYLVLELPFLCESLLQPTTNLSMGRQPCCDKVGLKKGPWTAEEDQKLVSFLLNNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKTVIDLHAELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPATHKPLQPAPLPQDPAGSPEEEKIVTAVTPGHEAFCTDDVPMAHLLDDIVFPGDVAGAQPAPSDGVTTAYSPDQSSSASSSSSYSASAEASSGGSGSGSIDGEWPDLPPMDWPESMWQLEDVVTGRTPWEFEDPFLTYQRMALFDHQETWTNSKIELF >PAN10238 pep chromosome:PHallii_v3.1:2:5986804:5989960:1 gene:PAHAL_2G080900 transcript:PAN10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAPRSSEARWSRVASSLPVRNVQDLAACSEGLTEEKLKRYIRLDIQDDDDVVAEQSGEVPMIDLGKLFNPDFAEDESARLRSACEDWGFFQLVNHGVPDDIIASIRGDIEKFFQLPLEVKSTYAQLPGDLQGYGQSFVVSERQTLDWSDMFVIIAHPSQAQDMRYWPVQPHTFRKSIEDYSSELMKTAHSIVTAIAKTLNIDLELMVDKYVCQYLRMNYYPPCMTMAKKVLGFSPHSDGSFLTFLLEVNSVQGLQIKRHNAWIPVKPNPNALLVNVGDFLEIMSNGKYKSIEHRVTINTNQERLTLSAFHVPSLDGVVSPVPGIAEERPLYKTVGVEEYSKLYMSNKLDGKRALDHAKLL >PAN10239 pep chromosome:PHallii_v3.1:2:5986804:5989960:1 gene:PAHAL_2G080900 transcript:PAN10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAPRSSEARWSRVASSLPVRNVQDLAACSEGLTEEKLKRYIRLDIQDDDDVVAEQSGEVPMIDLGKLFNPDFAEDESARLRSACEDWGFFQLVNHGVPDDIIASIRGDIEKFFQLPLEVKSTYAQLPGDLQGYGQSFVVSERQTLDWSDMFVIIAHPSQAQDMRKSIEDYSSELMKTAHSIVTAIAKTLNIDLELMVDKYVCQYLRMNYYPPCMTMAKKVLGFSPHSDGSFLTFLLEVNSVQGLQIKRHNAWIPVKPNPNALLVNVGDFLEIMSNGKYKSIEHRVTINTNQERLTLSAFHVPSLDGVVSPVPGIAEERPLYKTVGVEEYSKLYMSNKLDGKRALDHAKLL >PAN11199 pep chromosome:PHallii_v3.1:2:33359764:33361224:-1 gene:PAHAL_2G202300 transcript:PAN11199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVVRKSSPVVVRPSPEPGTTRDTIKLSCFDKGLYNMPATTLLVFEHPLHNAAETIRGALSRALAHYYPIAGRIVVVGGGGGDGDDVYIECNDEGLAFIAAHTDHALKELMCFDRSPGARKLLDELAVYYPGMSCGPGDPLLLMQVTEFSCGGFVLGVTWNHGVADGAGMGQLLQAVAELAGGLPSPSVAPVRCDGSLPRLPPSALEAQQHLLSLDPLGDDLAILDITIPKESIDRVRADFSSSFHGQPCTTFEAALAVLWRCRTRAIRPEPETPTLLVFLADMRKHACAKEGYYGNCIVEQLVMAKSSAVAEGDVKDVVMAIRRAKDQLPDRLKKDEGVVGQQELRELRAYDTLTVTSWRNLGFDRVDFGSGRPARVTASGKDLPPSPGAVGFLCDGRGGVSVLSDLVRQEHADAFLAELAKFM >PVH64160 pep chromosome:PHallii_v3.1:2:34106469:34106831:1 gene:PAHAL_2G205400 transcript:PVH64160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNPGPHMESVAEAEPAVAPPGAAADQPAIVPPGAAPDDPSAAPDKGVHAAPAPKQVQWNDFGATTRAAGADPFGDLQPGGAEDAFFEQYCYRGSGRPGITSRCQQCQRTRSQLLRRSR >PVH64708 pep chromosome:PHallii_v3.1:2:47938719:47942687:-1 gene:PAHAL_2G339200 transcript:PVH64708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRVERSDIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEIESSDSSDSASSLISEIPSECQTFPDCGFQLPNSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAESDPPEVVVHRAMYLLQNGFGNYDMFEKNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPFKLLAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKVEVEKLTSHPGFRLVEDEESVNKRSEKPKTLLPMKRKRER >PAN13510 pep chromosome:PHallii_v3.1:2:48147055:48149754:-1 gene:PAHAL_2G343000 transcript:PAN13510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MAAATSASTMSLLPISQLKQQKQHGAVVVFRRRPRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGRQQGQGKQPPVLPRTYTLTHSDVTASLTLAVSHTINRAQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAAAPAGDDGSPAAEAGQATTMMPVGEWPHRCPQQCDCCFPPHSLIPWPNEHDMAADAPAAGQAQQQ >PVH64725 pep chromosome:PHallii_v3.1:2:48147128:48149754:-1 gene:PAHAL_2G343000 transcript:PVH64725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MAAATSASTMSLLPISQLKQQKQHGAVVVFRRRPRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGRQQGQGKQPPVLPRTYTLTHSDVTASLTLAVSHTINRAQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAAAPAGDDGSPAAEAGQATTMMPVGEWPHRCPQQCDCCFPPHSLIPWPNEHDMAADAPAAGQAQQQ >PVH63732 pep chromosome:PHallii_v3.1:2:7116573:7120182:-1 gene:PAHAL_2G094300 transcript:PVH63732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNPSQAMRLLLLIFATATTSAAATTLYSSGQGDERALLAFKAKISGTHSGVLASWNQSTSHCSWEGVTCSRRHQWRVVALDLSARGLAGTISPAIGNLTFLRSLNLSFNGLQGEITQAPPAARPRREHAHRRHPEQHQLQPPRAVHLQQQGVQGSIPAEIGSMPSLAVLELANDSITGTIPPSLGNLSRLAILSLAVNYLQESIPAGIGNNPHVTFVQLSLNNLSGLVPPSLYNLSSMYLFSVACNKLHGRLPSDLGKSLPSIQDIGIGRNLFSGALPLSLTNLSTLQAIDATYNSFSGDVPSELGRLQNLKGFQMDNNMLKANNEEEWEFIASLTNCSRLQKLGLGWNRFKGKLPSSLANLSTNLQLLHNPSNKISSAIPLDVGNLASLQLLDLSDNLLSGAIPESIGKLTQLNELYLSLNNISGVIPTSIGLGSIPPSIGNLSKLSALDLFSNKLKGFIPNEVGHLSSISIDFDLSYNLLEGPLPSGVGDLVNLKELILSGNKLSGDIPDTISNRRVLEILALDGNSFQGSIPATFNKMAGLTFLNLTNNKLNGSIPGNLASISNSQELYLAHNNLSGTIPESLGNLTSLLRLDLSFNNLHGEVPKEGVFTNLTGLSIVGNSALCGGLPQLHLQECPSSSKVKDNIEKRNTTTIHRARASNSSYNDISKGTDGFSEANVLGKGRYGKVYKGTLENQASAVAVKVFNLQVSGSYKSFQAECEALRRVRHRCLVKIITCCSSFDHQGQDFRALVLEFMTNGSLDRWIHSNFDSQNGQGALSLSQRLDIAVDIVDALDYLHNGCQPPVIHCDPKPSNILLNQDMRARVEDFGIARVLDEATSKQHLNSNSSIGIRGSIGYIAPEYGEGLAVSTYGDVFRLFTGKSPTNDMFKDGISLHHYAEVAFQDKVTEIADANIWMREGANTRNDTRHITRINECLSSVIQLGILCSKQLPTERLSMSDAAAEMHAIRDKYIMTGVSDFGVPKVINK >PAN11249 pep chromosome:PHallii_v3.1:2:30818874:30821740:1 gene:PAHAL_2G194100 transcript:PAN11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRDEPETVEVTLRAVGPSRPTTLRLPPLLSVAELRRRIARDRRLAATEEGRLRLVLRGRTLPHQDDAQINLRDGDTLIVAVAPKPPAKHLREDDDEEEEEEELKFKIPQTTTWWKRKIFMFLRDKMRLPDIILMALFLLSMKAWIIIAMWFLFAPIAQKYGLGPLYILGTGFLIILLNLGRRQQGDVSLVRHSNSDLMVEKASLMQLYCHLSKCGRAFARIEFASVHTPYSMKTSGSCQEHLMQIA >PAN11247 pep chromosome:PHallii_v3.1:2:30818874:30821740:1 gene:PAHAL_2G194100 transcript:PAN11247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRDEPETVEVTLRAVGPSRPTTLRLPPLLSVAELRRRIARDRRLAATEEGRLRLVLRGRTLPHQDDAQINLRDGDTLIVAVAPKPPAKHLREDDDEEEEEEELKFKIPQTTTWWKRKIFMFLRDKMRLPDIILMALFLLSMKAWIIIAMWFLFAPIAQKYGLGPLYILGTGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >PAN14093 pep chromosome:PHallii_v3.1:2:50631984:50635719:-1 gene:PAHAL_2G383700 transcript:PAN14093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEIYHKVKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILSEPEFLSNPKNAIRKAYQLTDEKILENASELGRGGSTAVTAILIGSDKSVKLVVANVGDSRAVISKNGVAEQLSVDHEPTMERPMIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPYVVDETITENSDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISVIVVKFLC >PAN12659 pep chromosome:PHallii_v3.1:2:47532741:47533384:-1 gene:PAHAL_2G332700 transcript:PAN12659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLLLWLAALLASSSASAPGFDYFVLALQWPGTVCRSSAADGLWPSYAHGNGPTCCNNPDFDMAKISNLTMEFQKYWPSLYCSTPSLCSGGHGSLWAHEVTD >PAN13491 pep chromosome:PHallii_v3.1:2:48048350:48051527:1 gene:PAHAL_2G341700 transcript:PAN13491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 24 [Source:Projected from Arabidopsis thaliana (AT4G39010) UniProtKB/Swiss-Prot;Acc:Q93YQ7] MAPRVAPRPSPIHRLCVRILLVSFLAAHRLVPSCSASFFGFPAAAKRHDYRDALAKSILFFEGQRSGRLPPGQRASWRGDSGVSDGAAAGVDLEGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGDDMPRDERRHAAGAVRWATDYLLKTLAHPGVIFLQVGDPWKDHDCWERPEDMDTERTVYNVSAGRPGSDIAAETAAALAAASMVFRDADPEYAETLLASARKAFEFADTYKGAYSDDPDLRAGGCPFYCDFNGYQDELLWGAAWLRRASKDDTFLQYIQNNGKTLGAEDSSNEFGWDNKHAGLNVLVSKEFIEGEVLSLQSYKEFADSFVCTLIPESSSPHITYTPGGMLYKPGGSNMQHVTAISFLLLTYAKYLSRSSHTVNCGDISVGPVTLQRQAKKQVDYLLGDNPMKMSYMIGYGDRYPQRIHHRGSSLPSIKDHPQRIACKEGTPYYNSSGPNPNPLIGAVVGGPGEDDAYEDDRADFRKSEPTTYINAPLVGVLAFLVGNPNPGHIRH >PVH64930 pep chromosome:PHallii_v3.1:2:50931586:50935180:1 gene:PAHAL_2G388300 transcript:PVH64930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHQLMRQQIEHGTYSINTTSTNATSGWSIVKEEFTFPAVGRPFNNCHASTIVEIEKDNFLISYFGGSIEGAPDVKIWTQRYSDGYWHPPVVADEENATAMWNPVLFQLPSRELLLFYKIGEHPQNWSGAMKRSLNGGMSWSEREQLPPGILGPIKNKPFLLDDGRLLCGSSVESWNSWGAWLEVTEDAGQTWRKYGPIYVEGEKLGVIQPVPYQTTNGTIRMLLRSYQTIGRVCIADSSDGGLTWGYARKTELPNPNSGIDGIKMKDGRIALAYNTVSRGTLKVAVSSDDGISWAEVLTLENTEGVEFSYPAAIQTMDELVHVTYTFNRTRIKHVVLRPS >PAN14161 pep chromosome:PHallii_v3.1:2:50932348:50935180:1 gene:PAHAL_2G388300 transcript:PAN14161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVNGLWYSLQMAIPTICSLSKQHKWKITACVMLFSVVLVASDSPFRTFFSKYLSSIVASSGSSDEITSTHENRNLSSKHATNLSNSKPGQQIEHGTYSINTTSTNATSGWSIVKEEFTFPAVGRPFNNCHASTIVEIEKDNFLISYFGGSIEGAPDVKIWTQRYSDGYWHPPVVADEENATAMWNPVLFQLPSRELLLFYKIGEHPQNWSGAMKRSLNGGMSWSEREQLPPGILGPIKNKPFLLDDGRLLCGSSVESWNSWGAWLEVTEDAGQTWRKYGPIYVEGEKLGVIQPVPYQTTNGTIRMLLRSYQTIGRVCIADSSDGGLTWGYARKTELPNPNSGIDGIKMKDGRIALAYNTVSRGTLKVAVSSDDGISWAEVLTLENTEGVEFSYPAAIQTMDELVHVTYTFNRTRIKHVVLRPS >PVH64931 pep chromosome:PHallii_v3.1:2:50931586:50935180:1 gene:PAHAL_2G388300 transcript:PVH64931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNREQTLLEHTAGDSLRVWGIGIEKDESTRRDELASGRRRRRGSGQNQMRSGSTAPLSSPPTAFRSALALAQAPVQVSPLACPPASLVTASDSPFRTFFSKYLSSIVASSGSSDEITSTHENRNLSSKHATNLSNSKPGQQIEHGTYSINTTSTNATSGWSIVKEEFTFPAVGRPFNNCHASTIVEIEKDNFLISYFGGSIEGAPDVKIWTQRYSDGYWHPPVVADEENATAMWNPVLFQLPSRELLLFYKIGEHPQNWSGAMKRSLNGGMSWSEREQLPPGILGPIKNKPFLLDDGRLLCGSSVESWNSWGAWLEVTEDAGQTWRKYGPIYVEGEKLGVIQPVPYQTTNGTIRMLLRSYQTIGRVCIADSSDGGLTWGYARKTELPNPNSGIDGIKMKDGRIALAYNTVSRGTLKVAVSSDDGISWAEVLTLENTEGVEFSYPAAIQTMDELVHVTYTFNRTRIKHVVLRPS >PAN14159 pep chromosome:PHallii_v3.1:2:50932673:50934872:1 gene:PAHAL_2G388300 transcript:PAN14159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPTICSLSKQHKWKITACVMLFSVVLVASDSPFRTFFSKYLSSIVASSGSSDEITSTHENRNLSSKHATNLSNSKPGQQIEHGTYSINTTSTNATSGWSIVKEEFTFPAVGRPFNNCHASTIVEIEKDNFLISYFGGSIEGAPDVKIWTQRYSDGYWHPPVVADEENATAMWNPVLFQLPSRELLLFYKIGEHPQNWSGAMKRSLNGGMSWSEREQLPPGILGPIKNKPFLLDDGRLLCGSSVESWNSWGAWLEVTEDAGQTWRKYGPIYVEGEKLGVIQPVPYQTTNGTIRMLLRSYQTIGRVCIADSSDGGLTWGYARKTELPNPNSGIDGIKMKDGRIALAYNTVSRGTLKVAVSSDDGISWAEVLTLENTEGVEFSYPAAIQTMDELVHVTYTFNRTRIKHVVLRPS >PVH64342 pep chromosome:PHallii_v3.1:2:40967840:40969001:-1 gene:PAHAL_2G243900 transcript:PVH64342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSEMMFSFCGCLLPRALVAAAQVGLAAAESCSDVQEPWRRRGLIVQPLIIDGSKRMVRAEGRLRSDKLTRAYLNPDYSLQQRSIDGEL >PVH64617 pep chromosome:PHallii_v3.1:2:46438794:46440807:-1 gene:PAHAL_2G315500 transcript:PVH64617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSTVCVTGAGGFIASWLVKLLLARGYTVHGTVRDLSDKKSAHLKRLESAAQRLRIFKADLLDYDVMAAAVVGCQEVFHVATPVPSEKLTDPELPWRSTRKVGLKARSEMRAAGQTKSSAGTITSGTRSPRSSRKRRRWNTGDRPARRGVRQPGVGVRSHAAADG >PAN13119 pep chromosome:PHallii_v3.1:2:46438801:46440772:-1 gene:PAHAL_2G315500 transcript:PAN13119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSTVCVTGAGGFIASWLVKLLLARGYTVHGTVRDLSDKKSAHLKRLESAAQRLRIFKADLLDYDVMAAAVVGCQEVFHVATPVPSEKLTDPELPWRSTRKVGLKARSEMRAAGQTKSSAGTITYYLFCKSGTRSPRSSRKRRRWNTGDRPARRGVRQPGVGVRSHAAADG >PAN11290 pep chromosome:PHallii_v3.1:2:15059904:15066883:-1 gene:PAHAL_2G149300 transcript:PAN11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLDSTAAAAGRGMAEREPTAASVPALNGTPKRMRRRRRRNKMREQQDSPPGLDSTVAAEVEEQGVAAEAKGRRMEEREAPDAAASALNGTMKCKNKKKKNKNKMQEQQESPPDLDSVVAAETEEQGEAKGRGMEEREATDAAASALNGTPKCMKRRRKRRINMREQQYSLPGLDSTVAAEAEEQGEAKRRGMEEREATEAAASGLNGTMKCKNKKKKKKNKMQEQQESPPDLDSTAAAEAEEQGEAKGRGMEEREATAAAASALEGTPKCDQEEAKVKDSVTLKEEATDTVVSASEGTPKRKRKKRNKMHEQLESPHPQATSGIVGVDKIMSNKSENGCADGVEASGHADVNMDPINGEDPSCAQSNANDADILVENCSNNLQESSAERKRQKRKRKWGSSSRGPGFSSENDGMVAKDSLDSSAHHDLSCVCASCLVEARKDNIKNIYSPRGSLVRFRRKKLLILDLNGLLADINQDYHNVHKADAKVKNKLVFRRPYYDDFLRFCFQNFELGIWSSRKRENVSIVVNILMRNFKQHLLFRWDMSYCTVTGCNTIDNKNKPLVLKELKKLWNKEDPKLPWKKGEFSPSNTLLVDDSPYKALCNPPNTAIFPHPYSYRNQSDDSLGPGGDLRMYLERVAAADNVQNFVRDNPFGQNAITESDPNWNFYVQIVDKVEKANRIVDKVEKANSG >PAN11289 pep chromosome:PHallii_v3.1:2:15061445:15066883:-1 gene:PAHAL_2G149300 transcript:PAN11289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLDSTAAAAGRGMAEREPTAASVPALNGTPKRMRRRRRRNKMREQQDSPPGLDSTVAAEVEEQGVAAEAKGRRMEEREAPDAAASALNGTMKCKNKKKKNKNKMQEQQESPPDLDSVVAAETEEQGEAKGRGMEEREATDAAASALNGTPKCMKRRRKRRINMREQQYSLPGLDSTVAAEAEEQGEAKRRGMEEREATEAAASGLNGTMKCKNKKKKKKNKMQEQQESPPDLDSTAAAEAEEQGEAKGRGMEEREATAAAASALEGTPKCDQEEAKVKDSVTLKEEATDTVVSASEGTPKRKRKKRNKMHEQLESPHPQATSGIVGVDKIMSNKSENGCADGVEASGHADVNMDPINGEDPSCAQSNANDADILVENCSNNLQESSAERKRQKRKRKWGSSSRGPGFSSENDGMVAKDSLDSSAHHDLSCVCASCLVEARKDNIKNIYSPRGSLVRFRRKKLLILDLNGLLADINQDYHNVHKADAKVKNKLVFRRPYYDDFLRFCFQNFELGIWSSRKRENVSIVVNILMRNFKQHLLFRWTYSNSSYALPA >PAN11288 pep chromosome:PHallii_v3.1:2:15062324:15066883:-1 gene:PAHAL_2G149300 transcript:PAN11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLDSTAAAAGRGMAEREPTAASVPALNGTPKRMRRRRRRNKMREQQDSPPGLDSTVAAEVEEQGVAAEAKGRRMEEREAPDAAASALNGTMKCKNKKKKNKNKMQEQQESPPDLDSVVAAETEEQGEAKGRGMEEREATDAAASALNGTPKCMKRRRKRRINMREQQYSLPGLDSTVAAEAEEQGEAKRRGMEEREATEAAASGLNGTMKCKNKKKKKKNKMQEQQESPPDLDSTAAAEAEEQGEAKGRGMEEREATAAAASALEGTPKCDQEEAKVKDSVTLKEEATDTVVSASEGTPKRKRKKRNKMHEQLESPHPQATSGIVGVDKIMSNKSENGCADGVEASGHADVNMDPINGEDPSCAQSNANDADILVENCSNNLQESSAERKRQKRKRKWGSSSRGPGFSSENDGMVAKDSLDSSAHHDLSCVCASCLVEARKDNIKNIYSPRGSLVRFRRKKLLILDLNGLLADINQDYHNVHKADAKVKNKLVFRRPYYDDFLRFCFQNFELGIWSSRKRENVSIVVNILMRNFKQHLLFRWEFPGLSPLALHDGLCVGMCYLLGQSQKISCSPIFLKVAAIQAN >PAN12930 pep chromosome:PHallii_v3.1:2:45646730:45647407:-1 gene:PAHAL_2G302100 transcript:PAN12930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLLAGGTTKTTATRSLFALVLRAWSLCVLLVATAALLLVSPAAVVILLPAACMAASACLLCATAARILLPFFVPLADQQARDEPRSGVPELWKEDCVEVDRAEAPGGGFDDDDASSAEEGPRGERHEQERRLGFSSSDQESSSDDPCDEERRRRFYFVDTGSYYRTFYDLLAFWRSMEREATRGGVRNAQYIR >PAN13527 pep chromosome:PHallii_v3.1:2:48216187:48217047:-1 gene:PAHAL_2G344500 transcript:PAN13527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGATGKVKKGAAGRKAGGPRKKSVSRSARAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAASGAAKEAKSPKKGTKSPKKA >PVH63485 pep chromosome:PHallii_v3.1:2:2877893:2878700:-1 gene:PAHAL_2G041100 transcript:PVH63485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQGMIKSCIVQEISSVISAADCLVLSDEMERGLSTTERERHSTRGDFYGTKGNHLYPYQLAIRQSNSEPLRINH >PAN09793 pep chromosome:PHallii_v3.1:2:3672764:3673816:1 gene:PAHAL_2G049900 transcript:PAN09793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPPGARLISDGGGDGSHSPARPRPDLRTAAWASRGPLREMAELPAARTRTEGAGAAAAPRAAAPPLTASSAPPPRRRDEPGRVPRHFLLAVNDLQAPPPLTWFDI >PAN12467 pep chromosome:PHallii_v3.1:2:43134100:43135117:1 gene:PAHAL_2G267300 transcript:PAN12467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSALKLAAACTLLLCVGSDLARPALASTPPPSRDDHQERLPRELVEHDLAEELGLLMGRQRGGGDVGDICPAACQTCLIMCAVTCVLSKVPIACLANCTVSSSCFGKSVAPLPTVHA >PVH65095 pep chromosome:PHallii_v3.1:2:52971927:52973201:-1 gene:PAHAL_2G422500 transcript:PVH65095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKGSWRPGAGELHGGPAGAADRERPPTAALDDSSSLTPPLTGRASLTSAQARIVSWRPVGGRQPSAAQLRDRDSRDFSNILFLLFLPVDRSSAPISPVEFHQRRRGAARSTAAPYDRTNSESLKSELPRLTVTRIARNNGVSCHQRGQRQALLSSVERAITLLVLVLGPGSGWLVSYQQ >PAN13325 pep chromosome:PHallii_v3.1:2:47310140:47313902:1 gene:PAHAL_2G328800 transcript:PAN13325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAATALPFAAAAVVSARGGCTAMVAAGCAARPRPRQGRWRGASVVAKMESGQGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPETNAVHWYHANRMLSFYAPGWCGEVRDVIYSPNGTVTVVYRVILKGTDGEAYRDATGTAQVHEGHREDAVAAAEEEAFCKACARFGFGLYLYHQDDMHHEDHFH >PVH65418 pep chromosome:PHallii_v3.1:2:56941174:56941473:-1 gene:PAHAL_2G488100 transcript:PVH65418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVKGTWPPLFDLLWLQLLLLFRSNSPIRIVTASLPSSIPADKLRPGKLQLHGRARAPGSAGVDRRGRLAEVGMCPCMVGARHELGYRGDSGEVYGI >PAN15499 pep chromosome:PHallii_v3.1:2:57306575:57311204:-1 gene:PAHAL_2G492500 transcript:PAN15499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEERILVSVRLRPVNAREAERGDGSDWECAGPTTLMFRGNIPERAMFPATYTYDRVFNPECNTRQVYEEGAKQVALSGLSGINSSIFAYGQTSSGKTYTMVGITEHSMSDIYDHIDEHPEREFVLKFSAMEIYNEAVRDLLSPDATQLRLLDDPEKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETALNETSSRSHQILRLTIESSAKQFMGRGNSSTLMACVNFVDLAGSERASQTQSAGMRLKEGSHINRSLLTLGKVIRQLSKGGNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAQCHIEQSRNTLLFANCAKNVVTNAKVNVVMSDKVLVKHLQRELARLENELKLPGSVSCSTHAEILREKDELIKQLEEQLKELMEQRDTVQSQLDNFRRVASEGNISDRTTRRWDEHNRSSDSLPRNVSEDLLSSSDTYDAIYEDQDDIGSKALDASHVCNDHHHDPEIPKGTTEPHQQTMDEHSVPNLHQPRGHISNSIETFQANIMPSSELTEEHCKEVQHIETNELMRSQAFFPADHDGTNNDEGKHGESMVDTTDSAIKLYTCDSDPSSDTDTEKPNNDESLALKRCVISSRDSVLTRSKSCRASFMVIPNSWFDDSMDMKITTPGDIFKYAPIRPEKVRRSLYPENGDCRSDLSSDCSMASGRVASDTVVDKNTCNDEEEGSINDISCIPEVKEKIEECRTSQLEGNQYITTEDFSDMKNAEDVGIASTVDSPSRWPINFEKKQKEIIELWHECNVSIVHRTYFFLLFKGDNADSIYLEVEHRRLSFIKGSFRAGFEDSATVASSLRNVRHERDMLYRQMLKKLHLLERESLYTKWGIDLNSKQRRLQLSRRVWTQTDMEHVRESAGLVAKLVDHLEKGQVIKEMFGLSFTLNPRSDRRSFSWVGARS >PAN12966 pep chromosome:PHallii_v3.1:2:49140031:49142743:1 gene:PAHAL_2G359600 transcript:PAN12966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSFLPSRDSEWYFFGPRDRKYPNGCRTNRATQAGYWKSTGKDRRVNYQNRPIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECENTMGIQDSYALCRVFKKNVAFGEFHKQKQGECSSSQAKEKQEQFTNVRDAGQSSGSSEHGKDNSWMQFISDDLWCNKTK >PVH65332 pep chromosome:PHallii_v3.1:2:55415713:55422680:-1 gene:PAHAL_2G465100 transcript:PVH65332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPTQIPELDAEEDPHVASGAGDGASSPSAAAPAAKPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVATTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCSAKAKKGEHKGQDVAVKVIPKTKMTTAIAIEDVRREVRILSSLTGHNNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFSSKDENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRSAHQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >PAN15108 pep chromosome:PHallii_v3.1:2:55415713:55423366:-1 gene:PAHAL_2G465100 transcript:PAN15108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPTQIPELDAEEDPHVASGAGDGASSPSAAAPAAKPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVATTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCSAKAKKGEHKGQDVAVKVIPKTKMTTAIAIEDVRREVRILSSLTGHNNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFSSKDENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRSAHQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >PAN10984 pep chromosome:PHallii_v3.1:2:11761283:11767331:1 gene:PAHAL_2G131100 transcript:PAN10984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPSWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKDNANNDSSQRLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGSGTAEFGSGEPADLENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFLASQSDDKTVVIWRTSDWSLAHKTEGHWTKSLGSTFFRRLSWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIVVVKFNNSMFRKNCSNGQDTNAVSAGWANGASKTSTKEQQPYNIIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSASKKGTSVVQQFQAPPKVPVDVPNSAPVVQSQKAPEALPEDGKKISSPAAGDTNKVTRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDDIPNHSQNQVVDFSSLDQRMNGIRPSYGSSGNCNNCGVKDRSGVTARANITESLVIQKASTGAGNDGRLSVEHTGSVFPGSLTCSVLSVHVSNKKDEESLPVCLEAKPVERAAADMIGVGGAFSTKETEIRCTRGTETLWLDRISGKVTVLAGNANFWAVGCEDGCLQVYTNCGRRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDEPSGNHAGAVKVISAKFSRCGSPLVVLASRHAFLYDMSMRCWLRIADDCFPASNFASSFSYPQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMLVSASSMDPKNPAWDPDVLGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEAKADLMDVTPTPPPAITEAKADRMDVAPTPQQAITEASDKVIIS >PVH63887 pep chromosome:PHallii_v3.1:2:11761283:11767332:1 gene:PAHAL_2G131100 transcript:PVH63887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPSWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKDNANNDSSQRLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGSGTAEFGSGEPADLENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFLASQSDDKTVVIWRTSDWSLAHKTEGHWTKSLGSTFFRRLSWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIVVVKFNNSMFRKNCSNGQDTNAVSAGWANGASKTSTKEQQPYNIIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSASKKGTSVVQQFQAPPKVPVDVPNSAPVVQSQKAPEALPEDGKKISSPAAGDTNKVTRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDDIPNHSQNQVVDFSSLDQRMNGIRPSYGSSGNCNNCGVKDRSGVTARANITESLVIQKASTGAGNDGRLSVEHTGSVFPGSLTCSVLSVHVSNKKDEESLPVCLEAKPVERAAADMIGVGGAFSTKETEIRCTRGTETLWLDRISGKVTVLAGNANFWAVGCEDGCLQVYTNCGRRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDEPSGNHAGAVKVISAKFSRCGSPLVVLASRHAFLYDMSMRCWLRIADDCFPASNFASSFSYPQGGELGKLQIDIGKFMARKPIWSR >PAN14841 pep chromosome:PHallii_v3.1:2:54529366:54532445:1 gene:PAHAL_2G448400 transcript:PAN14841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPPFQESAHCDICRCTFSTFRRRHHCRSCGRTLCHEHSSYHMALPQYGIYTDVRVCYNCFNKKSSSQGGAGNAGSAGSISGAADSFSGLSLGKEDASLPMKNSTVQSAAPIIECKCGMPLCICEAPKPEPAPVKQNISTIPSSTTQSNPKPKKPASSQPKASATSSSNSSSFLNIGLMSNDSNDKGLSDYEVSGEGLREAIKSGDVKGVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDHGANVQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRPPE >PAN14842 pep chromosome:PHallii_v3.1:2:54529326:54532445:1 gene:PAHAL_2G448400 transcript:PAN14842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPPFQESAHCDICRCTFSTFRRRHHCRSCGRTLCHEHSSYHMALPQYGIYTDVRVCYNCFNKKSSSQGGAGNAGSAGSISGAADSFSGLSLGKEDASLPMKNSTVQSAAPIIECKCGMPLCICEAPKPEPAPVKNISTIPSSTTQSNPKPKKPASSQPKASATSSSNSSSFLNIGLMSNDSNDKGLSDYEVSGEGLREAIKSGDVKGVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDHGANVQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRPPE >PAN12124 pep chromosome:PHallii_v3.1:2:41020605:41021392:-1 gene:PAHAL_2G244200 transcript:PAN12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGTIVPMARCPRINTTATFGRRSRCKVTAAAAGTTAARSSLCSETRTSDYYKLLSLEHQADVGAADIKRAYRRLALRCHPDVCPPSRRAEFTELFLELRRAYETLSDPARKVRYDAGMRAGGGGAARLGPGAGFARDVWESQLCVLRARSEQRHRARRDRSSRSDGPHT >PVH64693 pep chromosome:PHallii_v3.1:2:47709369:47711182:-1 gene:PAHAL_2G335500 transcript:PVH64693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFIYSLKALGTLHLQVTEFHGIYLSRSKHTASGNMCSGSWSTKRCPSLVVFNLKRRQQQTKPHVLVAIRRRLRLRRSRAGVEAMEMVNLKLYLENRCIIAENERLKEKASALRRENLALRQNLSKTAAEAKLPAAGAGAGAA >PVH63910 pep chromosome:PHallii_v3.1:2:12057180:12061944:1 gene:PAHAL_2G134200 transcript:PVH63910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSSKEATSFLSQRWKEAIAADHVKLVLCHDK >PVH63900 pep chromosome:PHallii_v3.1:2:12057180:12061922:1 gene:PAHAL_2G134200 transcript:PVH63900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSRWKEAIAADHVKLVLCHDK >PVH63901 pep chromosome:PHallii_v3.1:2:12057182:12061944:1 gene:PAHAL_2G134200 transcript:PVH63901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANDLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSSKEATSFLSQRWKEAIAADHVKLVLCHDK >PVH63908 pep chromosome:PHallii_v3.1:2:12057518:12061917:1 gene:PAHAL_2G134200 transcript:PVH63908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGWKEAIAADHVKLVLCHDK >PVH63904 pep chromosome:PHallii_v3.1:2:12057180:12061944:1 gene:PAHAL_2G134200 transcript:PVH63904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLQQVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSSKEATSFLSQRWKEAIAADHVKLVLCHDK >PVH63903 pep chromosome:PHallii_v3.1:2:12057638:12061889:1 gene:PAHAL_2G134200 transcript:PVH63903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSSKEATSFLSQRWKEAIAADHVKLVLCHDK >PVH63905 pep chromosome:PHallii_v3.1:2:12057180:12061922:1 gene:PAHAL_2G134200 transcript:PVH63905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANDLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSSKEATSFLSQRWKEAIAADHVKLVLCHDK >PVH63899 pep chromosome:PHallii_v3.1:2:12057212:12061906:1 gene:PAHAL_2G134200 transcript:PVH63899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANDLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSRWKEAIAADHVKLVLCHDK >PVH63909 pep chromosome:PHallii_v3.1:2:12057638:12061889:1 gene:PAHAL_2G134200 transcript:PVH63909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLQQVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSSKEATSFLSQRWKEAIAADHVKLVLCHDK >PVH63906 pep chromosome:PHallii_v3.1:2:12057182:12061944:1 gene:PAHAL_2G134200 transcript:PVH63906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANDLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLQQVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSSKEATSFLSQRWKEAIAADHVKLVLCHDK >PVH63907 pep chromosome:PHallii_v3.1:2:12057180:12061922:1 gene:PAHAL_2G134200 transcript:PVH63907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANDLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSRWKEAIAADHVKLVLCHDK >PVH63902 pep chromosome:PHallii_v3.1:2:12057180:12061922:1 gene:PAHAL_2G134200 transcript:PVH63902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGASRKSSHVTTKDSAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANDLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDEASTVLSLSNVVVEGVTGSSILTTLSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEANKIATELKNVVACASYMLEQKLQQVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDENVTIQLPEIMDDTACSPGIQSFKTISDPDLYEQEKSQYIEFPAPVTSETGNGFNVDLSVEDVSCDSAPEMDKGVVLRKQVISRHHLGKTEKLAENSFVSGSAVASKQAALARPSTYRDANVCCSPNRNKTWARKVPAEIGNQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLNDSRSKNDPAPPSSKIVKHASVNVVRLVNHKENRNEGISNSDGNSAPADGNRSCFSSMTDESSHSTCRSADFTEGEHLRRTMFSSKEATSFLSQRWKEAIAADHVKLVLCHDK >PVH63989 pep chromosome:PHallii_v3.1:2:18654305:18654808:1 gene:PAHAL_2G159000 transcript:PVH63989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSASSVISFESESTREPTPEYDPIAAYEILAPLHWDAEEWDFQSWSEDDESLTDGEDLLLLLGDELEGDDEDDEEDLSSSSAEEAESSSTEEDSIAGNFLLGGSSKDDDDDDEETEDNSGFTSSNNGDDGSDVDGSGGDSEVSTAPPTKRHKTSGVYWW >PVH65113 pep chromosome:PHallii_v3.1:2:53197770:53207287:1 gene:PAHAL_2G426400 transcript:PVH65113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKVFKLLCAQRFHGPLQVLQGLCRSSAPISAPPQETGVPDALVPIDPLSPQISLYPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSSRTESLPKSERYGLYRELRSCLDITEPRDYSSPEDMVQRLTSASTTLRRMLDNPALQDCQESNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVEQYDMICNISNELRSYSPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCRSLGTGLPDVHHVRSA >PVH65114 pep chromosome:PHallii_v3.1:2:53197770:53207287:1 gene:PAHAL_2G426400 transcript:PVH65114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGDSNSAAGGKTQNASAPPVEGVAGGGTSYGWVDGGLWGTSLGAGVIDPTKIHSEDLLHVWSMPSTANVSQQEAPRPLEKVNLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQISLYPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSSRTESLPKSERYGLYRELRSCLDITEPRDYSSPEDMVQRLTSASTTLRRMLDNPALQDCQESNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVEQYDMICNISNELRSYSPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCRSLGTGLPDVHHVRSA >PAN14644 pep chromosome:PHallii_v3.1:2:53197770:53207287:1 gene:PAHAL_2G426400 transcript:PAN14644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGDSNSAAGGKTQNASAPPVEGVAGGGTSYGWVDGGLWGTSLGAGVIDPTKIHSEDLLHVWSMPSTANVSQQEAPRPLEKVNLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQISLYPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSRTESLPKSERYGLYRELRSCLDITEPRDYSSPEDMVQRLTSASTTLRRMLDNPALQDCQESNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVEQYDMICNISNELRSYSPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCRSLGTGLPDVHHVRSA >PVH65115 pep chromosome:PHallii_v3.1:2:53197770:53207287:1 gene:PAHAL_2G426400 transcript:PVH65115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKVFKLLCAQRFHGPLQVLQGLCRSSAPISAPPQETGVPDALVPIDPLSPQISLYPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSRTESLPKSERYGLYRELRSCLDITEPRDYSSPEDMVQRLTSASTTLRRMLDNPALQDCQESNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVEQYDMICNISNELRSYSPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCRSLGTGLPDVHHVRSA >PVH63785 pep chromosome:PHallii_v3.1:2:8309511:8309846:1 gene:PAHAL_2G105600 transcript:PVH63785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRVKAPAPPMAVAATALLLLLTVRAQAGGDEAAACSSIPVFMACMGPEGLRAPTTSADPDEHKALSAECCNCLCRLRDELRRRGVDPERFLCTADTGCHDA >PVH63991 pep chromosome:PHallii_v3.1:2:19001038:19003233:-1 gene:PAHAL_2G159300 transcript:PVH63991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPCSHARPLRLSIECHRKSLPGPAKEVLRPPASSVPDAANDRRRAASCSRMPSSAGSKSAPSTTRILRTSSSTRFVDCY >PVH63990 pep chromosome:PHallii_v3.1:2:18999597:19003560:-1 gene:PAHAL_2G159300 transcript:PVH63990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPCSHARPLRLSIECHRKSLPGPAKEVLRPPASSVPDAANDRRRAASCSRMPSSAGSKSAPSTTRILRTSSSTRFVDCY >PAN15118 pep chromosome:PHallii_v3.1:2:55468016:55471208:-1 gene:PAHAL_2G466100 transcript:PAN15118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRDYWLGFFRGAGDSIFDAIDAAITVAASDHPGALRERRDGIAERLFTALLVTGATAAPGAAAVAGAAAGTPVAGAPTPAQLHHEGAASVPSLCSSDRAEAITDDGAPRCDDPVLAETERIKAILLNDQEKSEAELLELLRRLQELDLAFDTLDVTAIGKAVANFRKHSSKQIRNLVRSLIESWKHTVDVWIARRREAVVDQTPQSMGPSSLEQERGVASTPMDEGDLFATPSTTIRLSEENQGSKFSDGMDDDGSVMNNTDRDRGQQYPINQEPARRPPQMGQRYDPDPYCSQEHTAMRQSRPQELSNGQTKEQFVAEMLARPSNAESGPGRPQARPRQHQHASPAQGRPQSVPSEKPAAHHDANSVRAKLELAKNAKLEATKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQGNNRNFQPSGKPRNNSNINSNRNWSR >PAN15009 pep chromosome:PHallii_v3.1:2:55041990:55043669:-1 gene:PAHAL_2G457800 transcript:PAN15009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMARLAAGRLLVILLALHLLVASVHAARFTRGFRARMVEAPALEGAEDAAEDNWRSNTLVDEVFGRMALQITDYPGSSPNDRHTPKAPGP >PAN11331 pep chromosome:PHallii_v3.1:2:26987931:26993875:-1 gene:PAHAL_2G182400 transcript:PAN11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKENDIVDWFIPVVKRLAAGEWFAARVSSCGLFHIAYPSASDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGIGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >PAN11333 pep chromosome:PHallii_v3.1:2:26988250:26992482:-1 gene:PAHAL_2G182400 transcript:PAN11333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKENDIVDWFIPVVKRLAAGEWFAARVSSCGLFHIAYPSASDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGIGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >PAN11334 pep chromosome:PHallii_v3.1:2:26987990:26993857:-1 gene:PAHAL_2G182400 transcript:PAN11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKENDIVDWFIPVVKRLAAGEWFAARVSSCGLFHIAYPSASDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGIGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >PAN11332 pep chromosome:PHallii_v3.1:2:26988250:26992482:-1 gene:PAHAL_2G182400 transcript:PAN11332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKENDIVDWFIPVVKRLAAGEWFAARVSSCGLFHIAYPSASDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGIGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >PAN13602 pep chromosome:PHallii_v3.1:2:48577850:48578302:-1 gene:PAHAL_2G349900 transcript:PAN13602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGRIKQLVKKYGKVAVGVHISVSCASVAGLYVAINNNVDVEAVFRRFGISPGVAVGGEASPAPAPGPAASDEALRDAALPPRPSDVLQEGPERPPRNRTMELVASSGGALTLALLCNKALLPVRVPITIALTPPIARALSRWRLVKS >PAN13601 pep chromosome:PHallii_v3.1:2:48576407:48578533:-1 gene:PAHAL_2G349900 transcript:PAN13601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGRIKQLVKKYGKVAVGVHISVSCASVAGLYVAINNNVDVEAVFRRFGISPGVAVGGEASPAPAPGPAASDEALRDAALPPRPSDVLQEGPERPPRNRTMELVASSGGALTLALLCNKALLPVRVPITIALTPPIARALSRWRLVKS >PAN13767 pep chromosome:PHallii_v3.1:2:49335110:49337296:1 gene:PAHAL_2G362800 transcript:PAN13767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAAGGPDRMAATAAAAELTGAVAAQGLLGLLALAIQAVNNGVEILNEDQRAIYKLLGRTKSEKVPENKDAGSDDDDDDDDEDEDDDGGDDDDDAEEYSGDDGGDDDDDDDDDPEANGEGGSDDDDDGDDDDGDDDGEDDDDDDDDGEDDDDDDEDQPPAKKKK >PAN14567 pep chromosome:PHallii_v3.1:2:52840105:52844191:1 gene:PAHAL_2G420000 transcript:PAN14567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDPPVSDEDDDLETLVPQNHTKPPSPTPRSRSPPSSFGVAALHPALPSAAASLARALWSRRYLVLFVSLPLLALVLFLSLGGASSLRLPASIRLPSAGPAADPVASRMREAELRALYLLRSQRSGLLSLFNRTAAPTNGSASAPISLSDLQAALESQIKINREIQAALLSAHRSGAGNATEDGLDLDLPAAGCRRRELPADRRTIEWNPKKDRFLLAICLSGQMSNHLICLEKHMFMAALLGRTLVVPSQKVDYQYERVLDINHINDCIGRKVVITYEEFVEKRKKVSIDQFICYIASPPCFLDEDHIKKLKGLGISLGKIEAAWPEDAKLKEPKKRYVGDITPKFSTDAEVLAIGDMFYADIEDEWVNQPGGPLAHKCKTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAADSETNLLQSLVVFNDRQVPLVKRPEHHSSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFIGSSGSTFTEDIFRLRRGWGSASHCDEYLCQGELPNYIAEQD >PAN14282 pep chromosome:PHallii_v3.1:2:51515310:51519672:1 gene:PAHAL_2G397200 transcript:PAN14282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIGHHRLPPYLAAAAALFLASALAPLAAGDPLGQLCGSSNNYTLNDTYQGNLKRLAATLPKNASSSQALFAKASLGAVPDIVYALALCRGDTNASACGDCVATAFTDAQQVCPYNKDATIFYDPCFLRYSNQNFLVSPAGAGGGNALILMNTQNVTAPFGVFDAAVAMLLNATANYAAGNSSKRFGTGVEGFQTFDSKNPRIYGLAQCRPDMTPDDCRACLSDIIQSGPKYFSGKQGGRILGVRCNYRYEQYSFFTSTPLLQLPEPAVGAPAPAPAPVKGTPTTIGGGGRGNKTGRVLAIALPIVAAILATVVICSCVWKKRKTPGKPLLPDQERCGELDWGKRFKIVNGIARGLQYLHEDSQVKIIHRDLKASNILLDHDFIPKISDFGLARLFGNDQTQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIITGRRNGGSYSTDESADLLSLVWEHWTTGTLMDIMDSSFSSLAPRDQMVKCIHIGLLCVQDDPADRPVMSTVNVMLSSSTVTLQAPSRPAFCIQKSGFNSEMYSEVHAGASHSVSRSPMSLNDVSITELEPR >PAN14283 pep chromosome:PHallii_v3.1:2:51515310:51519672:1 gene:PAHAL_2G397200 transcript:PAN14283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIGHHRLPPYLAAAAALFLASALAPLAAGDPLGQLCGSSNNYTLNDTYQGNLKRLAATLPKNASSSQALFAKASLGAVPDIVYALALCRGDTNASACGDCVATAFTDAQQVCPYNKDATIFYDPCFLRYSNQNFLVSPAGAGGGNALILMNTQNVTAPFGVFDAAVAMLLNATANYAAGNSSKRFGTGVEGFQTFDSKNPRIYGLAQCRPDMTPDDCRACLSDIIQSGPKYFSGKQGGRILGVRCNYRYEQYSFFTSTPLLQLPEPAVGAPAPAPAPVKGTPTTIGGGGRGNKTGRVLAIALPIVAAILATVVICSCVWKKRKTPGKPLLPDTTNPENIESIDSLIINLSTLRAATENFDEANKLGEGGFGAVYKGILPDNQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLVGVCLEDHEKLLVYEYMPNKSLDTILFDQERCGELDWGKRFKIVNGIARGLQYLHEDSQVKIIHRDLKASNILLDHDFIPKISDFGLARLFGNDQTQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIITGRRNGGSYSTDESADLLSLVWEHWTTGTLMDIMDSSFSSLAPRDQMVKCIHIGLLCVQDDPADRPVMSTVNVMLSSSTVTLQAPSRPAFCIQKSGFNSEMYSEVHAGASHSVSRSPMSLNDVSITELEPR >PVH65084 pep chromosome:PHallii_v3.1:2:52814961:52815563:-1 gene:PAHAL_2G419700 transcript:PVH65084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPKTKCFWHRPRWLTRPRVPAFVFPESRKNHGSSIPTLSFCTPPFSSPSIRTAAPCLAQRGGAPRARPQVRRRDHRVAAAAGGARHPRGHRHRNHPGQLLLAQHLHPLGRASAAANPTRAAPFPALALHPHHHQAAPAPHDMSAMMGYHHHLLPQQDPSAGDAYMRKRYREDLFKEDDDRQDLSAPKAREQQAAARLI >PAN14407 pep chromosome:PHallii_v3.1:2:52096970:52100351:-1 gene:PAHAL_2G407300 transcript:PAN14407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVSKDLNLPVQPPMASSGLLRYRSAPSTVLGELCEDFLPAGARAASPDAGADNVFARFLADHHIRDDKPAPAPAPAAGHFASEADMASQQQQMMFHSQHQQEMVSAKSGLYRTVSSGMETASAAGAGVGSSNLTRQSSSPAGFLDHLNMENGYGAMLRAGMSMGFRDGSSAATADSLAGGSSRLKGQFSFSSRQGSLMSQISEMDSEEVGGSSPEAAAGGRGYIPGYTMSSGWEDSSTLMSENLSGMKRPRDSLEPGQNGLTHQFSLPKTSSEMANIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLKESNANCACSVIKNQQHSD >PAN14408 pep chromosome:PHallii_v3.1:2:52097128:52100329:-1 gene:PAHAL_2G407300 transcript:PAN14408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVSKDLNLPVQPPMASSGLLRYRSAPSTVLGELCEDFLPAGARAASPDAGADNVFARFLADHHIRDDKPAPAPAPAAGHFASEADMASQQQQMMFHSQHQQEMVSAKSGLYRTVSSGMETASAAGAGVGSSNLTRQSSSPAGFLDHLNMENGYGAMLRAGMSMGFRDGSSAATADSLAGGSSRLKGQFSFSSRQGSLMSQISEMDSEEVGGSSPEAAAGGRGYIPGYTMSSGWEDSSTLMSENLSGMKRPRDSLEPGQNGLTHQFSLPKTSSEMANIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLKESNANCACSVIKNQQHSD >PVH64310 pep chromosome:PHallii_v3.1:2:39839468:39841325:-1 gene:PAHAL_2G234400 transcript:PVH64310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPSSSTISNIVTMITLVLSTLLPGSETMSMANATTGICCGDRGDGSPKWYIPPFSSMDSRSRHLGMANSTGSSKLLLLLVSLPSRGDQPANHRGSSSDMVSKENPPSWKLCSVGELASSYPFGLNKSLDLDFVGYSRFPATAKEPQYKRRLPRVSFAERRKLLASHDSTTKIDVHRRHRERVVPAAAIAAISTFFLSLLCSLAGLLLWCTRNKSKEEAPVQHTELCPLGPRRFSHRELAAATCGFAEEAKIGRGGFGPVYRGYLRDQDCQVAIKVLSQESSVQGMKEFAAEVKVMTRLRHRNIIQLLGWCDGPRGLLLVYEFMPNGSLDKALYDPRRLLTWSESSSINRNSSEMRGHRYRIALGLGSSVLYLHMNCEQCVVHGDIKPANIMLDSSYNAKLGDFGLARLVDHGTDSRTTQVVAGTVGYIDPEFVNTRRPSAESDVYSFGVVLLEIACGKRPASREPKGTPLLLNWVRDMYRKNLIVGVADRRLDGEFDEQQMRRVLFTGLWCTHHDQSQRPSIVQAMDVLQREDAELPVLPAMRSPGSVRSLAEIIACGNLSAGNSSFENSSVDTAYHTSKDSTSLVQ >PAN12002 pep chromosome:PHallii_v3.1:2:39839230:39841583:-1 gene:PAHAL_2G234400 transcript:PAN12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPSSSTISNIVTMITLVLSTLLPGSETMSMANATTGICCGDRGDGSPKWYIPPFSSMDSRSRHLGMANSTGSSKLLLLLVSLPSRGDQPANHRGSSSDMVSKENPPSWKLCSVGELASSYPFGLNKSLDLDFVGYSRFPATAKEPQYKRRLPRVSFAERRKLLASHDSTTKIDVHRRHRERVVPAAAIAAISTFFLSLLCSLAGLLLWCTRNKSKEEAPVQHTELCPLGPRRFSHRELAAATCGFAEEAKIGRGGFGPVYRGYLRDQDCQVAIKVLSQESSVQGMKEFAAEVKVMTRLRHRNIIQLLGWCDGPRGLLLVYEFMPNGSLDKALYDPRRLLTWSERYRIALGLGSSVLYLHMNCEQCVVHGDIKPANIMLDSSYNAKLGDFGLARLVDHGTDSRTTQVVAGTVGYIDPEFVNTRRPSAESDVYSFGVVLLEIACGKRPASREPKGTPLLLNWVRDMYRKNLIVGVADRRLDGEFDEQQMRRVLFTGLWCTHHDQSQRPSIVQAMDVLQREDAELPVLPAMRSPGSVRSLAEIIACGNLSAGNSSFENSSVDTAYHTSKDSTSLVQ >PVH64177 pep chromosome:PHallii_v3.1:2:34780970:34782505:-1 gene:PAHAL_2G208300 transcript:PVH64177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAYAGALYPITVIVTGGTSLLQVGHHKFDNTNVLTPQRHTPARHFCINPSHSLELLPAAAPPPLRRRRRPLPRDSDPAWVRLGGRGATRASASSRRTASRAWARTPPCTSNTRAPRAATARRGARRPSPRASACLPCPGSRCPSSAAINHRLAALHLLEGDVHALAVARGVFFTASNSIRVRSWAAPGCFNCGYLDVGRGCVPALAACGGTLVTSHSRDHHVRVWTICAAAVCDHIRRSSRI >PVH64175 pep chromosome:PHallii_v3.1:2:34780970:34782505:-1 gene:PAHAL_2G208300 transcript:PVH64175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAYAGALYPITVIVTGGTSLLQVGHHKFDNTNVLTPQRHTPARHFCINPSHSLELLPAAAPPPLRRRRRPLPRDSDPAWVRLGGRGATRASASSRRTASRAWARTPPCTSNTRAPRAATARRGARRPSPRASACLPCPGSRCPSSAAINHRLAALHLLEGDVHALAVARGVFFTASNSIRVRSWAAPGCFNCGYLDVGRGCVPALAACGGTLVTSHSRDHHVRVWTICAAAVCDHIRRSSRI >PVH64176 pep chromosome:PHallii_v3.1:2:34780970:34782505:-1 gene:PAHAL_2G208300 transcript:PVH64176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAYAGALYPITVIVTGGTSLLQVGHHKFDNTNVLTPQRHTPARHFCINPSHSLELLPAAAPPPLRRRRRPLPRDSDPAWVRLGGRGATRASASSRRTASRAWARTPPCTSNTRAPRAATARRGARRPSPRASACLPCPGSRCPSSAAINHRLAALHLLEGDVHALAVARGVFFTASNSIRVRSWAAPGCFNCGYLDVGRGCVPALAACGGTLVTSHSRDHHVRVWTICAAAVCDHIRRSSRI >PVH64174 pep chromosome:PHallii_v3.1:2:34779363:34782505:-1 gene:PAHAL_2G208300 transcript:PVH64174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAYAGALYPITVIVTGGTSLLQVGHHKFDNTNVLTPQRHTPARHFCINPSHSLELLPAAAPPPLRRRRRPLPRDSDPAWVRLGGRGATRASASSRRTASRAWARTPPCTSNTRAPRAATARRGARRPSPRASACLPCPGSRCPSSAAINHRLAALHLLEGDVHALAVARGVFFTASNSIRVRSWAAPGCFNCGYLDVGRGCVPALAACGGTLVTSHSRDHHVRVWTICAAAVCDHIRRSSRI >PVH64178 pep chromosome:PHallii_v3.1:2:34779363:34782505:-1 gene:PAHAL_2G208300 transcript:PVH64178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAYAGALYPITVIVTGGTSLLQVGHHKFDNTNVLTPQRHTPARHFCINPSHSLELLPAAAPPPLRRRRRPLPRDSDPAWVRLGGRGATRASASSRRTASRAWARTPPCTSNTRAPRAATARRGARRPSPRASACLPCPGSRCPSSAAINHRLAALHLLEGDVHALAVARGVFFTASNSIRVRSWAAPGCFNCGYLDVGRGCVPALAACGGTLVTSHSRDHHVRVWTICAAAVCDHIRRSSRI >PAN11190 pep chromosome:PHallii_v3.1:2:33486709:33491728:1 gene:PAHAL_2G203000 transcript:PAN11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDGDGRAGLPRIAVVGAGIFARTQYIPRLREIAHLVVLKAIWSRTQESAKAAAELARDFAPEIECKWGDAGLEEIIGDSSIMGVAVVLAGQVQVELSLKMLKAGKHVIQEKPASASTTEAETALSIYNSFPNQFPYKPIWALGENYRFEPAFVESSKLIKDIGDMMNIQVIIEGSMNSSNPYFNSSWRRNFVGGFVLDMGVHFIAGLRMLVGSEITSVSSISRHVDMALPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGTKGTIQVERGVDSGKHGYQVLFSGENGQCQKTFYPFCGVNEELKMFVQDMLAASKDGDHKAEPRSSYVEGARDVAVLEAMLESSVKQGAPVQVKRFP >PAN11189 pep chromosome:PHallii_v3.1:2:33486709:33491728:1 gene:PAHAL_2G203000 transcript:PAN11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDGDGRAGLPRIAVVGAGIFARTQYIPRLREIAHLVVLKAIWSRTQESAKAAAELARDFAPEIECKWGDAGLEEIIGDSSIMGVAVVLAGQVQVELSLKMLKAGKHVIQEKPASASTTEAETALSIYNSFPNQFPYKPIWALGENYRFEPAFVESSKLIKDIGDMMNIQVIIEGSMNSSNPYFNSSWRRNFVGGFVLDMGVHFIAGLRMLVGSEITSVSSISRHVDMALPPPDNICSLFQLENGCAGVFVFAVNSRYYGESMEQKEQFK >PVH63637 pep chromosome:PHallii_v3.1:2:5540827:5541674:-1 gene:PAHAL_2G074100 transcript:PVH63637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMIGETRLLILRPFTVVVVENLMAVIDSSQVPSRQRAGSSRSARRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAHQNAILQQIATQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVDPTSNWADQFIGSGGSIQPGDGGGQT >PVH63787 pep chromosome:PHallii_v3.1:2:8315919:8321565:-1 gene:PAHAL_2G105800 transcript:PVH63787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYETAKEVVLNLLSLVERYGFVLNGARSYYTNRSQPPLLSSMVLEIYFGTGDLDLVKKAFPSLLKEHNFWMSDFHRVMVRDNQGQIHSLTRYQATWNKPRPESATTDEQMASKLSSEVDKEKFYRQVASAAESGWDFSSRWMRNPPDMTTLATTYIIPVDLNAFIYKMERDIEFFAELTGEHTTSKEFSETAKARQIAIDSILWNSEMEQWLDYWLPADVQCQGVYQWNSKSQNRNIFASNFIPIWLNAYHHSGSVKYVNESKSKGVMRSLKASGLLHSSGIAASLLNTGQQWDFPNGWAPLQHLIVEGLVNCGSAEAREFAEDIATRWVRTNYAAYKESGVMYEKYDVEVCGRSGGSGEYKHQTGFGWSNGVVLSFLEEFGWPRGKEIVCS >PVH63786 pep chromosome:PHallii_v3.1:2:8315918:8321566:-1 gene:PAHAL_2G105800 transcript:PVH63786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPTTQIEDFHRVMVRDNQGQIHSLTRYQATWNKPRPESATTDEQMASKLSSEVDKEKFYRQVASAAESGWDFSSRWMRNPPDMTTLATTYIIPVDLNAFIYKMERDIEFFAELTGEHTTSKEFSETAKARQIAIDSILWNSEMEQWLDYWLPADVQCQGVYQWNSKSQNRNIFASNFIPIWLNAYHHSGSVKYVNESKSKGVMRSLKASGLLHSSGIAASLLNTGQQWDFPNGWAPLQHLIVEGLVNCGSAEAREFAEDIATRWVRTNYAAYKESGVMYEKYDVEVCGRSGGSGEYKHQTGFGWSNGVVLSFLEEFGWPRGKEIVCS >PVH63539 pep chromosome:PHallii_v3.1:2:3706647:3711351:1 gene:PAHAL_2G050500 transcript:PVH63539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATIMVVEPLVSMVKGKASSYLLDQYKVMEGMEEQHRLLKRKLPAILDVITDAQEQAAATDAEEREGAKVWLKDVRKVAYQANDVLDEFNYEALRCKAEKEGHNLGIDVIKLFPTHNRIVFRHRMANKLRVILKEIEVLVAEMPAISFKFKPRQPEPTNYLRYYNSDIVDPGNIAKESRAREKKDVVDRLLAQASSSDLTVLPIVGMGGLGKTTLAQLIYNDPEIKKHFQLRLWVCVSDNFEVDFLADRILKENGCKPTGCSALEKLQNAVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGKTEGAYKLESLGAYFIEKIFKTRAFSSKEEEWPGELVKMVGQVAKRCAGSPLAATALGSVLRTKTTEEEWKSVLRRSSIWDEENKILPVLKSSYNCLPSYMRQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFEQKYDTFGRIKYTYCSKITCKIHDLMHDVAESSMVKECAAIATHPSQSEYALHSARHLYLSVRQPENLLNASVEKESPAFQTLICDGYVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSESDIEALPEDISILYHLQTLDLSYCGDLQRLPKKLKYLTSLRHLYTHGCRKLKSMPGGLGHLTSLQTLTCFVAGTDSSCSNVRELQDLDLGGRLELRQLENVTGANGAQAAGLGNKKKLTELELRWTDGDQEAQNNNHEEVVEGLKPHDGLKVLRIYSCGSSTFPTWMDMLNGMVELKLSGCKKLEKLPALWQLPALEILHLKGLESLHCLCSGAATAVTFQKLKVLTLVEMPKFEAWLDTDVVQGEGPIFPEVEELEIRACGSLTALPKAASVITESSGGVDTKFRSAFPALRNMTLRYLNMFDRWEAAEGTPGEEVTFPLLEDLEIIACPKLTGLPETPRLGKLAIEGGGQQISLQAASRCIPSLSSLRLDVSPDDTETTLLHVKQKWDHELPLAAMRLTRCDLLFSSHPDALALWTCFARLVDLTILNCDALVYWPENVFQVLVSLRRLSIWSCSKLTGHTQASDRQSAPERGGLPPRLESLQISGCTSLVEVPNLPASLKTLEIAVCGDNIKFIIFGQHEYTELYNGSEATASTAVLKLSSADNHRSLPCLESLSIQSCDRLSEVANLPPSIKTLDIFGCGNLQSLLGKLDVVQKLNITSCRRLESLESCVGELRSLEELRLLHCRSLVSLPDGPQAYSSLRVLQIQDCDGIKLLPRSLRSRLDCLEEKHLDARYEETTWKRAIRTLACSK >PAN11609 pep chromosome:PHallii_v3.1:2:30229499:30231194:-1 gene:PAHAL_2G192200 transcript:PAN11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKKIPHRITFKASTKPVVHMQGNKNTNLVLSELLPSSCFLLLGQIYRRVAIGKEKISLGMHLIRTSKVLVDVATIEQSKQTFLKYFFC >PVH64729 pep chromosome:PHallii_v3.1:2:48194617:48198357:-1 gene:PAHAL_2G344100 transcript:PVH64729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSASHKRSCPRGGDQVTAERECTPPSPSSPFPPQPMDRRGFPERKRRTSGRFVGACLTEEDANKLREGNEDWFISPNQIYALIVNPHRAMQFLAGRNE >PVH63370 pep chromosome:PHallii_v3.1:2:1049073:1050504:1 gene:PAHAL_2G015300 transcript:PVH63370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLLGEWGGCYSGDEVGQVAGHVAGADGEVNVDEAEQGRCLVEGTVPAAEGVVREEAAPGLADEGGAREEDLLNDLDHQLRRLARPRRHHGVLVGSGAMRDEARI >PAN12155 pep chromosome:PHallii_v3.1:2:41267662:41272260:-1 gene:PAHAL_2G246600 transcript:PAN12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAAAGRQGRRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPVAAYAASRHNRAHVIALGAFLWAAATFLVAVSDTFLQVAVSRGLNGIGLALVIPAVQSLVADSTDDDNRGAAFGWLQMTSSIGSIFGGFFALMLAQTTFMGIAGWRIAFHLVAIVSVIVGLLVWFFAVDPHFPANNSGTHAAPISKKSALEEARELIIEAKSIVQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEDTAIFTTIFAVATSIGGLLGGKMGDFLAQRYPNAGRIILSQISAGSAVPLAAVLLLGLPDDPSRSSGVAHGLVLFIMGLIISWNGAATNCPIFAEIVPEKQRTSIYALDRTFESILASFAPPVVGLLSQHLYGFKPDDKGSSPEQDRENAASLAKALYTAISIPMVICSSIYTFMYRTYPRDRERARMQSVIQTELDQIELGGSHFGCGDDRFELFESAHDGEKPDQVDAIYGVEESAEADAGTEKLLGNHEL >PAN12153 pep chromosome:PHallii_v3.1:2:41267661:41272260:-1 gene:PAHAL_2G246600 transcript:PAN12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAAAGRQGRRTLVLVNLASIMERADEALLPAVYREAACYPVAAYAASRHNRAHVIALGAFLWAAATFLVAVSDTFLQVAVSRGLNGIGLALVIPAVQSLVADSTDDDNRGAAFGWLQMTSSIGSIFGGFFALMLAQTTFMGIAGWRIAFHLVAIVSVIVGLLVWFFAVDPHFPANNSGTHAAPISKKSALEEARELIIEAKSIVQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEDTAIFTTIFAVATSIGGLLGGKMGDFLAQRYPNAGRIILSQISAGSAVPLAAVLLLGLPDDPSRSSGVAHGLVLFIMGLIISWNGAATNCPIFAEIVPEKQRTSIYALDRTFESILASFAPPVVGLLSQHLYGFKPDDKGSSPEQDRENAASLAKALYTAISIPMVICSSIYTFMYRTYPRDRERARMQSVIQTELDQIELGGSHFGCGDDRFELFESAHDGEKPDQVDAIYGVEESAEADAGTEKLLGNHEL >PVH64547 pep chromosome:PHallii_v3.1:2:45480668:45482667:-1 gene:PAHAL_2G300300 transcript:PVH64547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQDDGRQEGEEDAAGRGVPWRAAGAGSGGGRDVAGGRAWLLRPRHHRALLRRVPPPLQARRGVLAAGRCPAATSGEGGASIDARAALEHLQMQRIVDFVHIR >PAN13253 pep chromosome:PHallii_v3.1:2:46919230:46921925:-1 gene:PAHAL_2G323500 transcript:PAN13253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWKLFTPDQCDAVNRLVAYFSVPFFAFDFAARIDPFALSYRVLAADALAKLAVVLSLAAWAAAAASASAHRGAAAGGGKGKDRAVSWCITGFSLAALNNTLVVGVPLLDAMYGKWARDLIVQISVVQIIVYFPALLLAFEARRAWGAGKPAAAEGAAEGDVEESGETAARSFWPLVRAVWMKVARNPNVYAGVLGVAWACVTNRWHIETPSIIEGSVLVMSKTGVGLAMFSMGLFMALQEKIVVCGAGPTLLGMALRFVAGPAATAAGAVALGLRGDVLRLAIIQAALPQSITTFVFAKEYALHADVLSTAVIFGTLASLPILIVYYIVLGLVRC >PVH64648 pep chromosome:PHallii_v3.1:2:46920974:46921759:-1 gene:PAHAL_2G323500 transcript:PVH64648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWKLFTPDQCDAVNRLVAYFSVPFFAFDFAARIDPFALSYRVLAADALAKLAVVLSLAAWAAAAASASAHRGAAAGGGKGKDRAVSWCITGFSLAALNNTLVVGVPLLDAMYGKWARDLIVQISVVQIIVYFPALLLAFEARRAWGAGKPAAAEGAAEGDVEESGETAARSFWPLVRAVWMKVARNPNVYAGVLGVAWACVTNRFANRTPWSMILPTRSCTICIFLSNVRVS >PVH63727 pep chromosome:PHallii_v3.1:2:6987013:6989254:-1 gene:PAHAL_2G093100 transcript:PVH63727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHGIPIMPTLSGHSTSTQSTHINHQPFQIKMEKYAGGINVQPNTLNTEDEHIETEEEDDRIDSETNTENGSRRHLPPDNMGNEQARPNARSSDLMQIEASESFRDGCTNHVDDEISMLMICQNAQDQAALAENAHYIETVLTILRRNACRRAQAAPSIRTYLALSKNSSFSRWNPKGTSDLQLMLISDEGSPQRMLKSILFIGAPARHSHHQMLRGEVQSPEPSRDDGEGTSRSRRGGQGQAELSASHVLKERQRREKLNERFVVLRSLVPFVTKMDRASILGDTIEYVKQLRRRIQDLESRARLQIDGGYLTAPAPKRAHQSASSAAAMAETGSNKMRAVEASSSCSTSGGAVRPAASAAASTEVQVSIIGSDALVELRCPRRDGLLLRVMLTLHRELGLEVASVQASSAGDVLLVELRAKVREVHGRKSIDEVKRAIHLIILSD >PAN14975 pep chromosome:PHallii_v3.1:2:54939564:54941950:-1 gene:PAHAL_2G455600 transcript:PAN14975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDYVPATPGSRWMGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGGVSGYSSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQARTAYAAADDGLWAAGGGRHGSKREMDLSHVAQTGRLPGAPGFQEFDDGVPFIPLRQATADNPTAAARGPSPNSIKLPADPRSPEDGVLPATNAEFSTSKPEAQASAEPVEPTEEQVIEALYTHHPGHRRLPIFREICPE >PVH65294 pep chromosome:PHallii_v3.1:2:54939218:54942775:-1 gene:PAHAL_2G455600 transcript:PVH65294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDYVPATPGSRWMGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGGVSGYSSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQARTAYAAADDGLWAAGGGRHGSKRETGRLPGAPGFQEFDDGVPFIPLRQATADNPTAAARGPSPNSIKLPADPRSPEDGVLPATNAEFSTSKPEAQASAEPVEPTEEQVIEALYTHHPGHRRLPIFREICPE >PAN14977 pep chromosome:PHallii_v3.1:2:54939564:54942663:-1 gene:PAHAL_2G455600 transcript:PAN14977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPPGESYGLAAMDFDYVPATPGSRWMGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGGVSGYSSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQARTAYAAADDGLWAAGGGRHGSKREMDLSHVAQTGRLPGAPGFQEFDDGVPFIPLRQATADNPTAAARGPSPNSIKLPADPRSPEDGVLPATNAEFSTSKPEAQASAEPVEPTEEQVIEALYTHHPGHRRLPIFREICPE >PAN14974 pep chromosome:PHallii_v3.1:2:54939216:54942775:-1 gene:PAHAL_2G455600 transcript:PAN14974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPPGESYGLAAMDFDYVPATPGSRWMGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGGVSGYSSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQARTAYAAADDGLWAAGGGRHGSKRETGRLPGAPGFQEFDDGVPFIPLRQATADNPTAAARGPSPNSIKLPADPRSPEDGVLPATNAEFSTSKPEAQASAEPVEPTEEQVIEALYTHHPGHRRLPIFREICPE >PAN14976 pep chromosome:PHallii_v3.1:2:54939564:54941950:-1 gene:PAHAL_2G455600 transcript:PAN14976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDYVPATPGSRWMGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGGVSGYSSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQARTAYAAADDGLWAAGGGRHGSKREMDLSHVAQTGRLPGAPGFQEFDDGVPFIPLRQATADNPTAAARGPSPNSIKLPADPRSPEDGVLPATNAEFSTSKPEAQASAEPVEPTEEQVIEALYTHHPGHRRLPIFREICPE >PAN14979 pep chromosome:PHallii_v3.1:2:54939218:54942605:-1 gene:PAHAL_2G455600 transcript:PAN14979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDYVPATPGSRWMGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGGVSGYSSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQARTAYAAADDGLWAAGGGRHGSKRETGRLPGAPGFQEFDDGVPFIPLRQATADNPTAAARGPSPNSIKLPADPRSPEDGVLPATNAEFSTSKPEAQASAEPVEPTEEQVIEALYTHHPGHRRLPIFREICPE >PVH64947 pep chromosome:PHallii_v3.1:2:51082185:51084146:-1 gene:PAHAL_2G390800 transcript:PVH64947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWVKYLLSITGFLSCMIIERASPELKNETFPFRSLYFFCLMKYLLFLDILKHTNMISPLFIISLFKVLSINHANKFVNVIQLFLRILHFSLHFTSLFASCFSHFPPGDKYYEINSQYTLHYNNNNNNNNNNNLGFCPK >PAN14106 pep chromosome:PHallii_v3.1:2:50673856:50675104:1 gene:PAHAL_2G384200 transcript:PAN14106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAAVSPTGVSVSTVAATVSATETHPVPDFQVAGHTWKQICCYPNGDFRENAGFVSLHLELDEPAAAPAKDVLAEVTFSLVRHPGAPASLLPPHSGSFTTTYNRVGIRRRGFGRFLANQKLDWFSGYLRDDCVAVRCDITVVEKSPAREEEEVAQARVVEMLGLLCDCKDELCKRRHARAARRAFVGLGLRQALVKFFLRCFHV >PAN13641 pep chromosome:PHallii_v3.1:2:48780849:48782226:1 gene:PAHAL_2G353300 transcript:PAN13641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRLVQMAKKWQRMAALARKRITSPAKETQGSSCSTSSVAGKGHCVVYSADGRRFEVPLVYLSTAIFGELLSLSQEEFGFAGDDGRIRLPCDAAVMEYVMCLLGRDASEEVLRAFLSSMVRPCHCGNGLAQSMSVSQQVAVSSF >PAN14828 pep chromosome:PHallii_v3.1:2:54464460:54468555:-1 gene:PAHAL_2G447200 transcript:PAN14828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNITYSPCNLETIGAGGPEAAHLRFSEALPTSVPNTSPRPPVFFVTTGQTQLLNYSFRTGEEFALGFMQDRTNIRKPLVPVISDDRTINTSFNPGGEEANISGDKPSKKLLAFPPFSETKSQGSNKRNPQEIYNSGSTRMKFLCNFGGRFLPRPIDGELRYVGGEKHLIQISREMSWQGLISKTTKLIRQAHIVKYHLPGEQVNVLISVASDDDVYHMIDECIVLEESKERPTMYLFTDEDDEHHVHFVVGSSSDEDTEAQYIALINGYGYTGPGEKLSAQGPGSTSASDLDHLMFDIDDEGSLAGRTEEASSCIRSKCSQNTVTVPPKASRIPLHKIPPIVMEQMTNQDSVIQSDEGKASSYPARNSRNIDPASSMPLELAYPSKWEQNGSNGTSRKIPELQRTATNISKIGQRAERDKGGALLRTELIIPSDENSLRIPSLSSNYSSPTQHTSPVNMLLREQTETTIQFIQSNNSTDFEKLVTEEPVGRAVYEMLASPSGDYQKPVHKCLRSDESIDTRRYSSQGDTIPYSDTDQLHNRTEWPAPTQSSEPNEAGAHVLWDNTHISVNPYTHERVFSVSTTGSIEHKLPDVICSGAVKKDNPSMPTIYVSEIVSSLSPFTSSDSKVAELQKNGPVQSSRDKQQASPSSVQEDAQIFRSTSLGGDGNNMQVGASSEEVIESFASPISQLEVREISDNKPSLPADATLGIDIISNVQIIRNEDLEDLQEMGSGAFGTVFHGRWRGTDVAIKRIKNSCFMYPSSDTDKLIVEFWREAAILSKLHHPNVLAFYGIVNNGPGGTLATVTEFMASGSLKKVLLRKEKFLDRRRRITLAMDVAIGMEYLHSKDIIHFDLKCDNLLVNLNDPSRPICKVADFGLSKVKQTTMVSGGMRGTLPWMAPEMLEWSSNMVSTKVDVYSFGIIMWEILTGQEPYAGMHHGGVIGGILSNTLRPTVPASCDPQWRELMEQCWSNEPDKRPTFTEVVSRLRLMLEANQNRPLI >PVH65254 pep chromosome:PHallii_v3.1:2:54464138:54469691:-1 gene:PAHAL_2G447200 transcript:PVH65254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNITYSPCNLETIGAGGPEAAHLRFSEALPTSVPNTSPRPPVFFVTTGQTQLLNYSFRTGEEFALGFMQDRTNIRKPLVPVISDDRTINTSFNPGGEEANISGDKPSKKLLAFPPFSETKSQGSNKRNPQEIYNSGSTRMKFLCNFGGRFLPRPIDGELRYVGGEKHLIQISREMSWQGLISKTTKLIRQAHIVKYHLPGEQVNVLISVASDDDVYHMIDECIVLEESKERPTMYLFTDEDDEHHVHFVVGSSSDEDTEAQYIALINGYGYTGPGEKLSAQGPGSTSASDLDHLMFDIDDEGSLAGRTEEASSCIRSKCSQNTVTVPPKASRIPLHKIPPIVMEQMTNQDSVIQSDEGKASSYPARNSRNIDPASSMPLELAYPSKWEQNGSNGTSRKIPELQRTATNISKIGQRAERDKGGALLRTELIIPSDENSLRIPSLSSNYSSPTQHTSPVNMLLREQTETTIQFIQSNNSTDFEKLVTEEPVGRAVYEMLASPSGDYQKPVHKCLRSDESIDTRRYSSQGDTIPYSDTDQLHNRTEWPAPTQSSEPNEAGAHVLWDNTHISVNPYTHERVFSVSTTGSIEHKLPDVICSGAVKKDNPSMPTIYVSEIVSSLSPFTSSDSKVAELQKNGPVQSSRDKQQASPSSVQEDAQIFRSTSLGGDGNNMQVGASSEEVIESFASPISQLEVREISDNKPSLPADATLGIDIISNVQIIRNEDLEDLQEMGSGAFGTVFHGRWRGTDVAIKRIKNSCFMYPSSDTDKLIVEFWREAAILSKLHHPNVLAFYGIVNNGPGGTLATVTEFMASGSLKKVLLRKEKFLDRRRRITLAMDVAIGMEYLHSKDIIHFDLKCDNLLVNLNDPSRPICKVADFGLSKVKQTTMVSGGMRGTLPWMAPEMLEWSSNMVSTKVDVYSFGIIMWEILTGQEPYAGMHHGGVIGGILSNTLRPTVPASCDPQWRELMEQCWSNEPDKRPTFTEVVSRLRLMLEANQNRPLI >PAN09492 pep chromosome:PHallii_v3.1:2:1980884:1982020:1 gene:PAHAL_2G027600 transcript:PAN09492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSSSPRAPPRPPPPAAADLSLSLATATGGSNNAGDVGGTNVRLYPCLFCDKTFLKSQALGGHQNAHKEERSTSWNPDVYGNDAAAAAERFWFVGSAGGVATMSIPTLSHRGGHDHDEDPTFRAQMQRRRAMLFAPVSIRREMSAGAEGTPAGCDGTIDMLNWLRASVAPASPGSAAAATAACAGEDLDLELIL >PAN09578 pep chromosome:PHallii_v3.1:2:2443894:2448313:-1 gene:PAHAL_2G034800 transcript:PAN09578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLSDSFELPRRDSSRDADIEMGMHQADASDNLKDFLKKVDAIESLIAKLTNLLNKLQTANEESKAVTKASSMKAIKQRMEKDIDEVGKIARQAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQALREAIRQEYREVVERRVFTVTGNRPDEETIDDLIETGKSEQIFKDAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIIILLVIVVIIVVAVIQPWKKGA >PVH63477 pep chromosome:PHallii_v3.1:2:2678792:2679684:1 gene:PAHAL_2G037900 transcript:PVH63477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLSSAASHKTLPDSFVFAPDQRPPASAADVALPVIDLARPRDEVRRAVLEAGKELGFFQVVNHGVPEQAVRDMEACCEEFFRLPAADKAAFYSEDADRPNRLFSSTTYGTGGERYWRDCLRLACPFPADAAARDAWPDKPGRLRSAVEAFVAPARAVGMELLRLLCEGVGLRPDYFDGELSGGDVVVNVNHYPPCPDPERALGLPPHCDRNLITLLLQGGVPGLQVSYRGDWIRVQPVPGAFVVNFGHQLEVRTKLP >PAN09623 pep chromosome:PHallii_v3.1:2:2678510:2680191:1 gene:PAHAL_2G037900 transcript:PAN09623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLSSAASHKTLPDSFVFAPDQRPPASAADVALPVIDLARPRDEVRRAVLEAGKELGFFQVVNHGVPEQAVRDMEACCEEFFRLPAADKAAFYSEDADRPNRLFSSTTYGTGGERYWRDCLRLACPFPADAAARDAWPDKPGRLRSAVEAFVAPARAVGMELLRLLCEGVGLRPDYFDGELSGGDVVVNVNHYPPCPDPERALGLPPHCDRNLITLLLQGGVPGLQVSYRGDWIRVQPVPGAFVVNFGHQLEIATNGLLRSVEHRAAPNAAAPRTSVATFIMPTEDCLVAPAAELVGACNPPRYRAVTFREFMRVYKAVGARRESVEKAFRL >PVH63985 pep chromosome:PHallii_v3.1:2:17884141:17885766:-1 gene:PAHAL_2G157100 transcript:PVH63985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPVNPQRLSPTESRTLHFYHGMGVNVPYRPRPSATGNALEENIPCLKSPEKSANPRSKRYRIGETGILQV >PAN13739 pep chromosome:PHallii_v3.1:2:49233710:49239145:-1 gene:PAHAL_2G361700 transcript:PAN13739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIATPPPPSAAQEKAASRNKRKYRAEPPSSELGPFGLEYPLTADCVGFEFMSPEKAAMAAAADLDLIPSTCETCKDIHPTAEEILECQRYVNWSDPNEMQLEEILLKSLDTTFDNAVSLITTMGYSEAAARAAVVRAAAQYNWRESLAGFGESAVEVLKTEGDMLPREGASVEDMRKIEQAVLGSMVAVVNEAQPFYTTGDVMFCLLMSDMNVANACAMDYSPASLPAVGSQVIAQPVMGNYEPGSGSDLSVSITNPQTGVTFRGKLTPVPPSSYGAVKADSSTTPASLNLSSSKPSVPGKTPCVIPNIEPKEYPLPTRDHSEDQPFVAAATQSMKNDKPSPSKRGSSKRDSLHRQKLTSFDKSSRALGSKGSLRSGKYSSSGSVVLDRKCRSFSDSTTSNLKGSSKVGKGFAASITAPEVSVDLSFTGTLSSTPFDAKVVSNSNPAPAASTDLSLSLPSSSDGFAPSLNHDSNAEGMDSSSKINFSYDEEQKVWIPQDKKDEIVLILVQRQKELQAHMRDWTDWAQQKVMQVAHRLAKEKEELQSLRKEKEEADRLQEERHHSEESTRKKLLEMESAISRANAQLEKADASARRREAENAQLMLQMEAAKRHAAESATNISELLKKDENSRKRSQRWESERALLQEDLAAQKSRLSRVQEQLQHAKEQKDQVQTRWKQEEAAKVEAIALVTSERKERDQIETSVRSEENLLHLKAANDTQRYKSQIRALEQQIMQLKVSMDSSKVAAPKWGADNKTYALHLSEGRKNSNAQILSNIAVPQDLDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCSDLHEKQGMKECPSCRTPIQRRVCARSAGC >PVH64272 pep chromosome:PHallii_v3.1:2:38506913:38507377:-1 gene:PAHAL_2G226800 transcript:PVH64272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRMRLLGTVGLVSSWEILSWRLESTNMLSRGDAELCDCLEEPAELIFLSVFFMGFHMMPAK >PAN11774 pep chromosome:PHallii_v3.1:2:36719816:36727580:-1 gene:PAHAL_2G215900 transcript:PAN11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPANSEAARHKDKKQKRKKHKDAIEDDCGAATAEESAPHEKKKQKQRKDGGEGEEKTKRLKPTVSIAVAGSIIDNAQSLELATMLAGQIARAATVFRIDEVVVFDSTPASENGDTGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGVTLEGDRSKGTLVDVGLSKNVLVEQTLEPGKRVTVAMGTNRDLTTACVRKVVPPSTPREEMGSYWGYKVRYASSLSGVFKNSPYKEEYDHIIGTSEHGQIINSSELTLPSFRHLLIAFGGLAGLEESIEEDTNLKGKHANDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRVEQQM >PVH63757 pep chromosome:PHallii_v3.1:2:7634003:7646669:1 gene:PAHAL_2G098500 transcript:PVH63757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDALRVERLVLALNGRRYEAAADELDPSTTLLEFIRTRTPFRGPKLGCGEGGCGACVVLIAKYNPKTDEVTEFSASSCLTLIYSLNFCSVITTEGLGNTRDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PVH63758 pep chromosome:PHallii_v3.1:2:7636717:7646670:1 gene:PAHAL_2G098500 transcript:PVH63758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PVH63754 pep chromosome:PHallii_v3.1:2:7638062:7646667:1 gene:PAHAL_2G098500 transcript:PVH63754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVIAETQRYADMAAKQVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PVH63762 pep chromosome:PHallii_v3.1:2:7636718:7646667:1 gene:PAHAL_2G098500 transcript:PVH63762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVIAETQRYADMAAKQVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PAN10519 pep chromosome:PHallii_v3.1:2:7634003:7646669:1 gene:PAHAL_2G098500 transcript:PAN10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDALRVERLVLALNGRRYEAAADELDPSTTLLEFIRTRTPFRGPKLGCGEGGCGACVVLIAKYNPKTDEVTEFSASSCLTLIYSLNFCSVITTEGLGNTRDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVIAETQRYADMAAKQVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PVH63755 pep chromosome:PHallii_v3.1:2:7634003:7646667:1 gene:PAHAL_2G098500 transcript:PVH63755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDALRVERLVLALNGRRYEAAADELDPSTTLLEFIRTRTPFRGPKLGCGEGGCGACVVLIAKYNPKTDEVTEFSASSCLTLIYSLNFCSVITTEGLGNTRDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PVH63761 pep chromosome:PHallii_v3.1:2:7634003:7646667:1 gene:PAHAL_2G098500 transcript:PVH63761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVIAETQRYADMAAKQVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PVH63759 pep chromosome:PHallii_v3.1:2:7636717:7646668:1 gene:PAHAL_2G098500 transcript:PVH63759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PVH63756 pep chromosome:PHallii_v3.1:2:7634003:7646667:1 gene:PAHAL_2G098500 transcript:PVH63756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDALRVERLVLALNGRRYEAAADELDPSTTLLEFIRTRTPFRGPKLGCGEGGCGACVVLIAKYNPKTDEVTEFSASSCLTLIYSLNFCSVITTEGLGNTRDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVIAETQRYADMAAKQVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PVH63760 pep chromosome:PHallii_v3.1:2:7636718:7646669:1 gene:PAHAL_2G098500 transcript:PVH63760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPPNGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDVGKLPSYTFGGGICTFPGFLRSEIKTLQQHLDDVNITTSKGSLYHPTLQNYTLCGGIFTFLDFLKSSLKYQRYHLNDANNTASKDGWYHPTSIKQYYELINSTLFSECSVKVIVGNTSAGVYKDYDLYNKYIDIGGIPELSSIVRKAEGIEIGAAITISRCIEILEKESKHMSSPNGSVVFRKLADHMSKVASPFVRNTASLGGNIILAQKKPFPSDIATILLGAGSTVCIQVVEEQRHITLEEFLEQPPLDCTSLLLSIFIPHWILDSQTETSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVLSNLHLAFGAYGTEHAIRARKVEKFLTGKLLTASNIHGAIQLLKETIVPMKGTSHPEYRISVAVGFLFSFLCVHVKGIADPGKAFSTSPDDSVDIIEHDSPLTSRQETISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLAFVKNITFKSSLSSQKIIAVVSAKDIPKEGQNIGSMSMFGDEPLFGDPITEFAGQALGVVIAETQRYADMAAKQVVVEYDIVDLKPPILTVEQAVQNNSYFNVPAVFYPKQVGDFSMGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQTVIAKCLGIPLGNVRVITRRVGGGFGGKAYRSFPVATAAALCAYKLRCPVRMYLNRNTDMIMVGSRHPMKAHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPSGVISALKKYNWGALSFDIKLCKTNNTSKSSMRAPGDTQGSLIAEAIIEHVASVLSLDANCVREMNFHTYDSLLLFYPASAGEESTYTLHSIFNRLALTSSYRHRADTVKQFNICNKWRKRGISCVPLIFNVSPRSAPGRVSVLKDGSIVVQVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRILQADTLNLIQSGVTGGSSTSESSCAATLQACKLLISRLNPIMNKLRLQSATVSWDNLISQASQENVNLSASVYWVPEQGSNSYLNYGAGISEVEIDLITGAITILRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFSYSTESAGTSPLIFQLNVPAPMTVVKELCGFDIVEKYLENLSAHELAS >PAN14922 pep chromosome:PHallii_v3.1:2:54774114:54777248:1 gene:PAHAL_2G452900 transcript:PAN14922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHTAFAAEDAVVAAPAPAQPGRRFTSYPPSSCRTAAAQRMDAGAAGAAARATGSWLDAVPRRAEHDDWMEKHPSALAGFESVLAAAKGKQVVMFLDYDGTLSPIVKDPDTAVMTEEMRDAVRGVAEHFPTAIVSGRCRDKVFNFVKLAELYYAGSHGMDIKGPTPESKHAKAKAEAVLCQPASEFLPVIDEVYRALTATTAAIPGATVENNKFCLSVHFRCVQEEKWRALEEQVRSVLKEYPDLRLTRGRKVLEIRPSIKWDKGNALQFLLEALGFADSNNVFPIYIGDDRTDEDAFKVLRNMGQGIGILVSKIPKETSASYSLREPSEVKEFLHKLVKSKQSKQRD >PAN12005 pep chromosome:PHallii_v3.1:2:39899861:39902582:1 gene:PAHAL_2G234800 transcript:PAN12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEDDELLAGGGVPIRPPRLEDAGLEDCALPPESIAEAFSLAAAAVSSRLARSPLSDDEEDEEYRIPATRGGGCVDDAGPARGATVPDTDALVVGSGGTGNGGADEVVVVGGGRGGGCEDAVVVGGRGEEQDGVVVVGEGRRGDEKLGKEDGCVEGDGEGVREPRRAQDGVKDGEEAAEKAILVPDFD >PVH63648 pep chromosome:PHallii_v3.1:2:5712131:5717332:1 gene:PAHAL_2G076000 transcript:PVH63648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSLLATTPLLLLLLLVLSPRLPPRAAASSSSSSSPALGGELLGAARAPGFAAWLRGVRRRIHERPELAFQEHRTSELVRAELDAIGVPYAWPVAQTGVVATIAGGGDGPVVALRADMDALPLQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEKDSQRYIAAPRLWTSWRRK >PVH63645 pep chromosome:PHallii_v3.1:2:5713954:5716857:1 gene:PAHAL_2G076000 transcript:PVH63645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARASSSCLLLPLLLCSHLTIALASPAEARLPGGQLLAEARAPGFAAWLRGVRRSIHRRPELAFQEHRTSELVRAELDAIGVPYRWPVATTGVVATIVGGGAGGGAGPVVALRADMDALPVQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEIVEGQSALHRCTATVDFMEEKMRTYPAVINDEGIYAHAKAVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGASFHAAVAIEYLKKHASA >PVH63646 pep chromosome:PHallii_v3.1:2:5713954:5716549:1 gene:PAHAL_2G076000 transcript:PVH63646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARASSSCLLLPLLLCSHLTIALASPAEARLPGGQLLAEARAPGFAAWLRGVRRSIHRRPELAFQEHRTSELVRAELDAIGVPYRWPVATTGVVATIVGGGAGGGAGPVVALRADMDALPVQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEKDSQRYIAAPRLWTSWRRK >PVH63649 pep chromosome:PHallii_v3.1:2:5712131:5717332:1 gene:PAHAL_2G076000 transcript:PVH63649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSLLATTPLLLLLLLVLSPRLPPRAAASSSSSSSPALGGELLGAARAPGFAAWLRGVRRRIHERPELAFQEHRTSELVRAELDAIGVPYAWPVAQTGVVATIAGGGDGPVVALRADMDALPLQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEIVEGQSALHRCTATVDFMEEKMRTYPAVINDEGIYAHAKAVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGASFHAAVAIEYLKKHASA >PVH63650 pep chromosome:PHallii_v3.1:2:5712134:5717332:1 gene:PAHAL_2G076000 transcript:PVH63650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSLLATTPLLLLLLLVLSPRLPPRAAASSSSSSSPALGGELLGAARAPGFAAWLRGVRRRIHERPELAFQEHRTSELVRAELDAIGVPYAWPVAQTGVVATIAGGGDGPVVALRADMDALPLQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEIVEGQSALHRCTATVDFMEEKMRTYPAVINDEGIYAHAKAVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGASFHAAVAIEYLKKHASA >PVH63647 pep chromosome:PHallii_v3.1:2:5712134:5717332:1 gene:PAHAL_2G076000 transcript:PVH63647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSLLATTPLLLLLLLVLSPRLPPRAAASSSSSSSPALGGELLGAARAPGFAAWLRGVRRRIHERPELAFQEHRTSELVRAELDAIGVPYAWPVAQTGVVATIAGGGDGPVVALRADMDALPLQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEKDSQRYIAAPRLWTSWRRK >PAN10415 pep chromosome:PHallii_v3.1:2:6942974:6947222:1 gene:PAHAL_2G092600 transcript:PAN10415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVKLLGFYDFKSKQPEGMCRAAVFALHVKEELASWPEQSTRQRSWLTVPEAAERSRHPWMQEALVTGFSAWLENWSNGGGCVDRSER >PAN10416 pep chromosome:PHallii_v3.1:2:6941816:6947222:1 gene:PAHAL_2G092600 transcript:PAN10416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLVARTGRHLQRYEDGRRLVAGCIPFRYRDIKDEQKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVKLLGFYDFKSKQPEGMCRAAVFALHVKEELASWPEQSTRQRSWLTVPEAAERSRHPWMQEALVTGFSAWLENWSNGGGCVDRSER >PAN13256 pep chromosome:PHallii_v3.1:2:46942391:46947531:-1 gene:PAHAL_2G323700 transcript:PAN13256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRQMELHYINTGFPYTVTESFMDFFEGLTYAHADFALTDGFQDQGHPYWAMMHTNSYKYGYSAPGNYYSYAHVYDIDDYMHRADGGRRIWDNTTPVNNVDSANVVLQGGEASHTTANSTTEECIQQAHQSPGSPQVVWQDNIDPDNMTYEVSDFPAPIFCPCSHAGYLR >PVH65359 pep chromosome:PHallii_v3.1:2:55976863:55978908:-1 gene:PAHAL_2G473100 transcript:PVH65359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPNPTGDPAASPQPHAGPRVELELPLPPSGAAPFDLAAAVCSHGLFMMAPNRWDPAARALVRPLRLASDRSVSLLARVSAHPERPGTALLVAVEGAGALSSLDQDYILEQVRRMLRLSEEDGAAVAEFQAMHAAAREAGFGRIFRSPTLFEDMVKCILLCNCQWVRTLSMAAALCELQLELRRSSSIENFQSRTPPIRERERKRSKRQCVRVKLETRFAEDKLEGPTLASGTSNDSPQSETNEDLSSLHSAASETGSKCDSLLSFNTSELSLNNVPGLKDCIGDFPTPEELANLDEDLLAKRCSLGYRAKRILMLARGIVEGKVSLQRLEEMCKISVPAAEEVSTIESTYERLNIELSVISGFGHFTRANVLMCMGFNHTIPADTETIRHLKQIHKRASTISSVHQELDKIYGKYAPFQFLAYWFELWGFYDKQFGKISDMEPSNYRLFTASHLKKAKKIEASRTG >PVH65360 pep chromosome:PHallii_v3.1:2:55976199:55978971:-1 gene:PAHAL_2G473100 transcript:PVH65360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPNPTGDPAASPQPHAGPRVELELPLPPSGAAPFDLAAAVCSHGLFMMAPNRWDPAARALVRPLRLASDRSVSLLARVSAHPERPGTALLVAVEGAGALSSLDQDYILEQVRRMLRLSEEDGAAVAEFQAMHAAAREAGFGRIFRSPTLFEDMVKCILLCNCQWVRTLSMAAALCELQLELRRSSSIENFQSRTPPIRERERKRSKRQCVRVKLETRFAEDKLEGPTLASGTSNDSPQSETNEDLSSLHSAASETGSKCDSLLSFNTSELSLNNVPGLKDCIGDFPTPEELANLDEDLLAKRCSLGYRAKRILMLARGIVEGKVSTIESTYERLNIELSVISGFGHFTRANVLMCMGFNHTIPADTETIRHLKQIHKRASTISSVHQELDKIYGKYAPFQFLAYWFELWGFYDKQFGKISDMEPSNYRLFTASHLKKAKKIEASRTG >PAN15224 pep chromosome:PHallii_v3.1:2:55975946:55978978:-1 gene:PAHAL_2G473100 transcript:PAN15224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPNPTGDPAASPQPHAGPRVELELPLPPSGAAPFDLAAAVCSHGLFMMAPNRWDPAARALVRPLRLASDRSVSLLARVSAHPERPGTALLVAVEGAGALSSLDQDYILEQVRRMLRLSEEDGAAVAEFQAMHAAAREAGFGRIFRSPTLFEDMVKCILLCNCQWVRTLSMAAALCELQLELRRSSSIENFQSRTPPIRERERKRSKRQCVRVKLETRFAEDKLEGPTLASGTSNDSPQSETNEDLSSLHSAASETGSKCDSLLSFNTSELSLNNVPGLKDCIGDFPTPEELANLDEDLLAKRCSLGYRAKRILMLARGIVEGKVSLQRLEEMCKISVPAAEEVSTIESTYERLNIELSVISGFGHFTRANVLMCMGFNHTIPADTETIRHLKQIHKRASTISSVHQELDKIYGKYAPFQFLAYWFELWGFYDKQFGKISDMEPSNYRLFTASHLKKAKKIEASRTG >PVH63710 pep chromosome:PHallii_v3.1:2:6756667:6758241:-1 gene:PAHAL_2G090800 transcript:PVH63710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQFRHLGASSSTRARQQEEIKRKQEDAAREEEAKRQRHSQLNVAARPTGGAAVRVVPLHHAAGDAMAMAFPVAGVNGAALRWSTAATLVAASRAAALPLPAMTSVSAGPAPPPFVLAPPVPLQVIGYGAMAGAIAPPAPAFGMPLTAALDKLKNDALGSMLSALMPACDPPVEQRLGWARPPPPWWPTASEDWWVPEMVAHLFTMPAHTPVPFAPAYKLKKAQKVAVLVAIVKHHSPDLDRMSSKAMCDKANLTVPEANLWKSALWNEAARCKVNLSVPRPPAVFNFVLLQPPHGDGHCQAASCATATTENAVRGGGGIAAATDGGCEHGANLVGASVAAPAAGVGQVVAAAEPEQRQEQHSDGVVHGGVVATDGLPDGGPRQPVNFPGDDGAPLGDDVHQVAGELDLHPGPEQRSGMSVDDLAATADMDQLLEDMLAPFQMQQGEEVHQAIDTEVPGAEAAVEAPEGRPWYVEDDVSSFFEDFEVPVGTHPTRTISTEAAARSAAGRYLGRPIKSGRQWSS >PAN12954 pep chromosome:PHallii_v3.1:2:45718566:45719813:-1 gene:PAHAL_2G303600 transcript:PAN12954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSQGGGGELILAAPHGRPITAYDALTGDVVAEFPAANTPRHGLAAATGPGTAFVAASHVCPATGAGSIRLLQWWSPAPARELPVPEPVAAPYGSHLLAGGGSGCVHALALPSGAVASSFRAHGAGAVSCLALNDDGSLLVSGGDDGGVAVFPLIRVLDVDDASGASPAADPAIYRVDAYVAPVTSVVCGRGGCNAVVASASADGTCKVWRLADGAHLRTLALPCAALSLALDPTSSSLYAGCSDGRFHVVSLNSPGTNALTATTSHASDDSASAAALVAVSLANGCRNLVSCSEDGEVRVWDLTRGLLLANAFWASGAVGGVLVVRRVPGELARGGGERFRLRDGVAWTRTRELAEMGRLLRAEEETATSVELIEMNAGVYRRCLRLLLREVTTVANGGRRGGVKDGNASD >PAN11336 pep chromosome:PHallii_v3.1:2:26467188:26468427:1 gene:PAHAL_2G181100 transcript:PAN11336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDESSCPRRAYKKLLFNARGGFQFLATHCLRRNPPGDAGISVPAPPRRPVDVRAGPLFSPSCRPGETLRRRRDPPRPVPTRRAADLLTPPAAQIRVSHRRAASSQRRSSEVRYPPPAGSGETLCRRRPSCRRSSRRSAGLRNSLSRLGRRRRKVLRLLFAPAASV >PAN13437 pep chromosome:PHallii_v3.1:2:47747070:47748614:-1 gene:PAHAL_2G336300 transcript:PAN13437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRAPGRGGEDAEPLYLAAEAVGNGTAAVDAMGSVEAGGSGLPKPEPVPVEFLGGGLQLANPRPAPRYRDRHTKVEGRGRRIRMAAPCATRISRLTRELGHKSDGETVRWLLQQSEPAIIAATGTGTVPAIAVAGSDGVLRLPTEPAPAAADVEQQEPAPKRRRKLQPTRAAAGASAPPPAAYYPVVADPLLQANGGGAISMSSGLAPASAPGVPAGAIPFLALPATGPGATGDGKQMIPPAAVWMVPQQAGAAGAANQPTHFWAFPTNPELFNAANFQQQAVYDAEQLAGNGEPQDQQPGGHQLGEGEDEEEIAVTDSSSEE >PVH65400 pep chromosome:PHallii_v3.1:2:56686194:56691253:-1 gene:PAHAL_2G484400 transcript:PVH65400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMIKGPFVRLWELDSRQGIWNRNQRAQHREAILAVEHAAGFLPIRVVYYPHCTTTGYNLPLHVFLSRALFFPHHDPSPHPDSSTTCRRRRWTSSPPRPPPPQTRRPPRPPPPSRRPPPYAPPARPLRPRALPKVRSPAPSPPRRSPLPPTPTGLLASREPRGRHPYNCPPFPYPRSLPLLPKSPTLGWIPSVRIAPRCAPSPPQPLEAARCRGRTATQRSAIAWRRLSSGSRLGVEEQEGISARCRDLTPRGVHRRERRAGRRGEV >PAN14725 pep chromosome:PHallii_v3.1:2:53612778:53616755:1 gene:PAHAL_2G432600 transcript:PAN14725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAATQQVGTYFLRNYYNLLQQNPDVVHQFYNEASTMVRVDDLAGTNTTVNSMMDIHSLIMSLNFTQIEIKTANFINSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDQEQVQPAQLISHDDYENNLASNTAVETVPEYIHEEETQTAQITSEGHDVVDNYAYSEPPLQVVSSDNWGEEPLPEEPPSSFSNEIAVAPEEPVQPSPVPPPHVEEPVGEPVKKTYASILKTAKAPPAFPVAQQVPVSKPSHPTTESNQAQHSVMASSTAAEKPRSDVYGEVAAHDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGFARGGGDEYNGGNRGRSNGYGRVPHQERGILGSHAQRN >PVH65135 pep chromosome:PHallii_v3.1:2:53612778:53616755:1 gene:PAHAL_2G432600 transcript:PVH65135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAATQVGTYFLRNYYNLLQQNPDVVHQFYNEASTMVRVDDLAGTNTTVNSMMDIHSLIMSLNFTQIEIKTANFINSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDQEQVQPAQLISHDDYENNLASNTAVETVPEYIHEEETQTAQITSEGHDVVDNYAYSEPPLQVVSSDNWGEEPLPEEPPSSFSNEIAVAPEEPVQPSPVPPPHVEEPVGEPVKKTYASILKTAKAPPAFPVAQQVPVSKPSHPTTESNQAQHSVMASSTAAEKPRSDVYGEVAAHDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGFARGGGDEYNGGNRGRSNGYGRVPHQERGILGSHAQRN >PAN13060 pep chromosome:PHallii_v3.1:2:46138027:46141076:1 gene:PAHAL_2G311400 transcript:PAN13060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRACWESSSEDVTRPLLPVHEDRLAGRSCCSVLANRYLAVASGPAACALICALGDLGGHPAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITSLFCGDPVKPHLLLLGICGTTVFISMWIHNTPCTVMMIQVATGILQRFPRDQLEGGADARELQRFSKALVLGITYASTIGGMATLTGTGANIILVGMWSTYFPEQRPITFSSWMSFGLPMALILFVALWATLCLMYCTKNTGRVLSAYLDRSHLRRELSLMGPMAFAEKMVLAVFGALIVLWMTRSLTDDIPGWAVLFDGKVGDGTVTILMTTLLFIIPSGKSDGEKLMDWGKCRKLQWHIILLLGAGFAIADGFKSSGLTDILSSGLGFLKGAPALAIAPAVCLFSGLITEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGTVGSQLSYLLPTATPGNVVGFGTGYITIKDMVLTGIPMKLAGIAALTILLPTLGSLVFGMDSGAVAL >PAN15100 pep chromosome:PHallii_v3.1:2:55380933:55384396:1 gene:PAHAL_2G464300 transcript:PAN15100 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) UniProtKB/TrEMBL;Acc:F4KJ62] MTTLVRLAGRAASWSRSDKSAPPCGLGLFAQRGRAFQGVRMANAGSNSGRGALIVLEGLDRSGKSSQCARLLSYLEGQGCRAEGWRFPDRGTSVGQMISAYLANESQLDDRTVHLLFSANRWEKRALMESKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPDVGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKRVAEHYHSLHDSTWKIVDGSLSMETVEEQLRELATNCIQESQGKPLTNLTW >PAN15101 pep chromosome:PHallii_v3.1:2:55380933:55384396:1 gene:PAHAL_2G464300 transcript:PAN15101 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) UniProtKB/TrEMBL;Acc:F4KJ62] MTTLVRLAGRAASWSSACNLCCRSDKSAPPCGLGLFAQRGRAFQGVRMANAGSNSGRGALIVLEGLDRSGKSSQCARLLSYLEGQGCRAEGWRFPDRGTSVGQMISAYLANESQLDDRTVHLLFSANRWEKRALMESKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPDVGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKRVAEHYHSLHDSTWKIVDGSLSMETVEEQLRELATNCIQESQGKPLTNLTW >PAN14105 pep chromosome:PHallii_v3.1:2:50664860:50672946:-1 gene:PAHAL_2G384100 transcript:PAN14105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGNGEVDMDATMATLGPEDDTAQGLILPSKVMYRPQAGKSILGLDDLARRKRGSEGGNVFKPPLPKVAVAASSVDEDEKPGPVENDATSLSAAGRSNSSRRYRGSASDDKSSSNATVADEDERVPTPSRRDEAHRQETHISRSSQGSHAYDTPRSYDHYDDRGSRDKRGDRERSASIGYSSSGRRRYHDDRESHTRRDERERSTSIDYVNKRSRHEHGSRSSRTPARSDWDDGRWEWEDTPRRDYRDDRPGSRRQHPTRSPMLAAASPDARLLSPWLGGNTPRSAASPWDNVSPSPAPIRASGSSKGSSYSGSGRRSHQLTFSSPNGSNVIDADRSPSNPDRNHEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNAMYLGDESSYKKKEAPMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIIARKGSTLVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTAVVGDQGEINFKEEAKFSQHLKEKAEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTSTGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLRVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAEMGPMFFSVKETDTSLLDHKKRQKEEKTAMEEEMEKLRQEQAEAARIEKEKEREKRAKQQQQVAMPGLKKGATYLRPRKMGL >PAN14104 pep chromosome:PHallii_v3.1:2:50664545:50673276:-1 gene:PAHAL_2G384100 transcript:PAN14104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGNGEVDMDATMATLGPEDDTAQGLILPSKVMYRPQAGKSILGLDDLARRKRGSEGGNVFKPPLPKVAVAASSVDEDEKPGPVENDATSLSAAGRSNSSRRYRGSASDDKSSSNVADEDERVPTPSRRDEAHRQETHISRSSQGSHAYDTPRSYDHYDDRGSRDKRGDRERSASIGYSSSGRRRYHDDRESHTRRDERERSTSIDYVNKRSRHEHGSRSSRTPARSDWDDGRWEWEDTPRRDYRDDRPGSRRQHPTRSPMLAAASPDARLLSPWLGGNTPRSAASPWDNVSPSPAPIRASGSSKGSSYSGSGRRSHQLTFSSPNGSNVIDADRSPSNPDRNHEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNAMYLGDESSYKKKEAPMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIIARKGSTLVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTAVVGDQGEINFKEEAKFSQHLKEKAEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTSTGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLRVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAEMGPMFFSVKETDTSLLDHKKRQKEEKTAMEEEMEKLRQEQAEAARIEKEKEREKRAKQQQQVAMPGLKKGATYLRPRKMGL >PVH63638 pep chromosome:PHallii_v3.1:2:5635320:5636561:-1 gene:PAHAL_2G075100 transcript:PVH63638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRVHLILLIAALVLLAAAAAALVSGGHGARRHLAVADGDSEHRRLGVVERRASDAGAAAGRWSATVRKGGGGGRGGHGHGRGHGHGRGHGDGNGSGRGHGTPETPAVFYPRTVAGNANYHHGRSAAAMTGPGRLLAARGVLVAAAAVLLLRL >PAN15460 pep chromosome:PHallii_v3.1:2:57093977:57098568:-1 gene:PAHAL_2G489700 transcript:PAN15460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEHAEKKEEVPELAPFDPTKKKKKKKVVIQDTSDEVDKLAEKTESLAVTEPAELNFTGMKKKKKKQVDLDSSLADLGDGEDAQDDQADEEQGEGIVLGGGPRYPWEGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >PAN15461 pep chromosome:PHallii_v3.1:2:57094587:57098303:-1 gene:PAHAL_2G489700 transcript:PAN15461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEHAEKKEEVPELAPFDPTKKKKKKKVVIQDTSDEVDKLAEKTESLAVTEPAELNFTGMKKKKKKQVDLDSSLADLGDGEDAQDDQADEEQGEGIVLGGGPRYPWEGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >PAN09821 pep chromosome:PHallii_v3.1:2:3852175:3858328:1 gene:PAHAL_2G052000 transcript:PAN09821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRARAPAVLAAAAALWLLAAAAAGDADAGDLERAFPIVEPDYGHTKLRLAKEGLEAIQRIKTPIAAVSVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWVWGTPIEVDIDGSVVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQQVPNNNGDKYIDEINQIRDSLAVMGDNSTAFSLPQPHLQRTQLCDLDDQELDPLYLERRDQLKKIVSSMIKPKVVQGRTLNGTEFVSFLGQILEALNKGEIPSTGSLVEVFNKAIVERCLKLYNERMGRAGLPLSMDKLQQFHDLAKDEARSLFDKQHFGKHHAAQSTSKLDEEIKKVFRNFGQANEYQSSKLCEARFSECEDKMEHLQVLKLPSMAKFDAGFLLCNQSFEMDCVGPAKEIYQRRMSKMLARSRALFIKEYNNKLFNWLVIFSLVMVVIGRFVVKFFLLEIAAWVMFAFLETYTRLFWSSESLYYNPVWHVVVSTWETIVYSPILDLDRWAIPILVVLSFLAIYWRCLGGRKGIARSLLPLYNGSYRSSNRPRTD >PAN10107 pep chromosome:PHallii_v3.1:2:5378785:5380633:1 gene:PAHAL_2G072200 transcript:PAN10107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRDRTPSPPLHRHCGRARTSPPATPPTPATPATSSGSSSPERNADKLRDIIKRTSEMNYQLFLSRLPPVQGNNAHGHGLTNELESTGSGNQAMNIRGTAPENTRRSIICRLCYSRGACMLMLPCRHICACKSCEVILTHCPICVSPKASAAAVKFV >PAN10108 pep chromosome:PHallii_v3.1:2:5378786:5380632:1 gene:PAHAL_2G072200 transcript:PAN10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRDRTPSPPLHRHCGRARTSPPATPPTPATPATSSGSSSPERNEHVQEAVQQHISSLNDILLNLVNPMGRNALMQVGEEIAHYLRVEIQNTKELLLTTSQNADKLRDIIKRTSEMNYQLFLSRLPPVQGNNAHGHGLTNELESTGSGNQAMNIRGTAPENTRRSIICRLCYSRGACMLMLPCRHICACKSCEVILTHCPICVSPKASAAAVKFV >PAN15331 pep chromosome:PHallii_v3.1:2:56490095:56492717:1 gene:PAHAL_2G481100 transcript:PAN15331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAANPPLLSLQPQHQQPGLLRLVDELCASGRAAEAHHRASLLLLSTASRLDGRAANALLRRLLRARTPLLTLRLVQAAAIVPSLPNHNRLLGLLCRAADLPPIPVLLAHRLHLRMRVAPNAASYAALLDGYARVPDPGAAQKLLDEMPQCGLVPSSLARSFLVKALLRARDVDAAMDVVHNHLWPTMAATDGHQLREDQVVTNAAFANLVQCLCAEGFFHVIFQIAEEMPQRRCYVPDEFAYAQMIDSLCRSGQHHGASRIVYIMRKRGLCPSAVSYNCIVHGLCTSPKPGACLRAHQLVMEGTSFGYHPREVTYKVLVDELCRENELAKAKDVLELMLQPTSQCRQDEGGDAGDETRTRIYNVFLGALRAVDNPSEQLGVLVSMLQSGCKPDVITMNTVIHGFCKSGRAQEARRILDDMLNGKFCTPDVVTFTILISGYLDAGDHAEALNVLHTLMPRRRCSPTVITYNCVLKGLFGLGQVDAAMQVLEEMNANNVAADSVTHTVVIKGLCDAGQLEKTKEFWDNVIWPSGIEEASISIS >PAN15335 pep chromosome:PHallii_v3.1:2:56490069:56493404:1 gene:PAHAL_2G481100 transcript:PAN15335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAANPPLLSLQPQHQQPGLLRLVDELCASGRAAEAHHRASLLLLSTASRLDGRAANALLRRLLRARTPLLTLRLVQAAAIVPSLPNHNRLLGLLCRAADLPPIPVLLAHRLHLRMRVAPNAASYAALLDGYARVPDPGAAQKLLDEMPQCGLVPSSLARSFLVKALLRARDVDAAMDVVHNHLWPTMAATDGHQLREDQVVTNAAFANLVQCLCAEGFFHVIFQIAEEMPQRRCYVPDEFAYAQMIDSLCRSGQHHGASRIVYIMRKRGLCPSAVSYNCIVHGLCTSPKPGACLRAHQLVMEGTSFGYHPREVTYKVLVDELCRENELAKAKDVLELMLQPTSQCRQDEGGDAGDETRTRIYNVFLGALRAVDNPSEQLGVLVSMLQSGCKPDVITMNTVIHGFCKSGRAQEARRILDDMLNGKFCTPDVVTFTILISGYLDAGDHAEALNVLHTLMPRRRCSPTVITYNCVLKGLFGLGQVDAAMQGSSRRQRNSGTTSSGHQGLKKLAYQLVKEMKRNGLAPDAVTWRILGKLHHYEEDEQEEHQLPTANAGGSSADDRLEPLVLTKEMPLLPPLSSSINIYEVNRNNDSTDESDEDVGYSTGMANNNKAEAEEIGYSTKMTVEEPPDNTDPTRGTAIDKGGITWGDGLKKQDKQPLIREPLSRVAKRVFGIL >PVH65386 pep chromosome:PHallii_v3.1:2:56490068:56493405:1 gene:PAHAL_2G481100 transcript:PVH65386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAANPPLLSLQPQHQQPGLLRLVDELCASGRAAEAHHRASLLLLSTASRLDGRAANALLRRLLRARTPLLTLRLVQAAAIVPSLPNHNRLLGLLCRAADLPPIPVLLAHRLHLRMRVAPNAASYAALLDGYARVPDPGAAQKLLDEMPQCGLVPSSLARSFLVKALLRARDVDAAMDVVHNHLWPTMAATDGHQLREDQVVTNAAFANLVQCLCAEGFFHVIFQIAEEMPQRRCYVPDEFAYAQMIDSLCRSGQHHGASRIVYIMRKRGLCPSAVSYNCIVHGLCTSPKPGACLRAHQLVMEGTSFGYHPREVTYKVLVDELCRENELAKAKDVLELMLQPTSQCRQDEGGDAGDETRTRIYNVFLGALRAVDNPSEQLGVLVSMLQSGCKPDVITMNTVIHGFCKSGRAQEARRILDDMLNGKFCTPDVVTFTILISGYLDAGDHAEALNVLHTLMPRRRCSPTVITYNCVLKGLFGLGQVDAAMQVLEEMNANNVAADSVTHTVVIKGLCDAGQLEKTKEFWDNVIWPSGIHDNYVYSSIFRGLCKQRKLEQACDFLYELVDCGVAPSIMCYNILIDAACKQGLKKLAYQLVKEMKRNGLAPDAVTWRILGKLHHYEEDEQEEHQLPTANAGGSSADDRLEPLVLTKEMPLLPPLSSSINIYEVNRNNDSTDESDEDVGYSTGMANNNKAEAEEIGYSTKMTVEEPPDNTDPTRGTAIDKGGITWGDGLKKQDKQPLIREPLSRVAKRVFGIL >PAN15329 pep chromosome:PHallii_v3.1:2:56490069:56492719:1 gene:PAHAL_2G481100 transcript:PAN15329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAANPPLLSLQPQHQQPGLLRLVDELCASGRAAEAHHRASLLLLSTASRLDGRAANALLRRLLRARTPLLTLRLVQAAAIVPSLPNHNRLLGLLCRAADLPPIPVLLAHRLHLRMRVAPNAASYAALLDGYARVPDPGAAQKLLDEMPQCGLVPSSLARSFLVKALLRARDVDAAMDVVHNHLWPTMAATDGHQLREDQVVTNAAFANLVQCLCAEGFFHVIFQIAEEMPQRRCYVPDEFAYAQMIDSLCRSGQHHGASRIVYIMRKRGLCPSAVSYNCIVHGLCTSPKPGACLRAHQLVMEGTSFGYHPREVTYKVLVDELCRENELAKAKDVLELMLQPTSQCRQDEGGDAGDETRTRIYNVFLGALRAVDNPSEQLGVLVSMLQSGCKPDVITMNTVIHGFCKSGRAQEARRILDDMLNGKFCTPDVVTFTILISGYLDAGDHAEALNVLHTLMPRRRCSPTVITYNCVLKGLFGLGQVDAAMQGSSRRQRNSGTTSSGHQVYMIIMCTAQSSEVSANRGNWNRD >PAN15332 pep chromosome:PHallii_v3.1:2:56490190:56491954:1 gene:PAHAL_2G481100 transcript:PAN15332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAANPPLLSLQPQHQQPGLLRLVDELCASGRAAEAHHRASLLLLSTASRLDGRAANALLRRLLRARTPLLTLRLVQAAAIVPSLPNHNRLLGLLCRAADLPPIPVLLAHRLHLRMRVAPNAASYAALLDGYARVPDPGAAQKLLDEMPQCGLVPSSLARSFLVKALLRARDVDAAMDVVHNHLWPTMAATDGHQLREDQVVTNAAFANLVQCLCAEGFFHVIFQIAEEMPQRRCYVPDEFAYAQMIDSLCRSGQHHGASRIVYIMRKRGLCPSAVSYNCIVHGLCTSPKPGACLRAHQLVMEGTSFGYHPREVTYKVLVDELCRENELAKAKDVLELMLQPTSQCRQDEGGDAGDETRTRIYNVFLGALRAVDNPSEQLGVLVSMLQSGCKPDVITMNTVIHGFCKSGRAQEARRILDDMLNGKFCTPDVVTFTILISGYLDAGDHAEALNVLHTLMPRRRCSPTVITYNCVLKGLFGLGQVDAAMQGSSRRQRNSGTTSSGHQVYMIIMCTAQSSEVSANRGNWNRD >PVH65387 pep chromosome:PHallii_v3.1:2:56490069:56493404:1 gene:PAHAL_2G481100 transcript:PVH65387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAANPPLLSLQPQHQQPGLLRLVDELCASGRAAEAHHRASLLLLSTASRLDGRAANALLRRLLRARTPLLTLRLVQAAAIVPSLPNHNRLLGLLCRAADLPPIPVLLAHRLHLRMRVAPNAASYAALLDGYARVPDPGAAQKLLDEMPQCGLVPSSLARSFLVKALLRARDVDAAMDVVHNHLWPTMAATDGHQLREDQVVTNAAFANLVQCLCAEGFFHVIFQIAEEMPQRRCYVPDEFAYAQMIDSLCRSGQHHGASRIVYIMRKRGLCPSAVSYNCIVHGLCTSPKPGACLRAHQLVMEGTSFGYHPREVTYKVLVDELCRENELAKAKDVLELMLQPTSQCRQDEGGDAGDETRTRIYNVFLGALRAVDNPSEQLGVLVSMLQSGCKPDVITMNTVIHGFCKSGRAQEARRILDDMLNGKFCTPDVVTFTILISGYLDAGDHAEALNVLHTLMPRRRCSPTVITYNCVLKGLFGLGQVDAAMQGSSRRQRNSGTTSSGHQGLKKLAYQLVKEMKRNGLAPDAVTWRILGKLHHYEEDEQEEHQLPTANAGGSSADDRLEPLVLTKEMPLLPPLSSSINIYEVNRNNDSTDESDEDVGYSTGMANNNKAEAEEIGYSTKMTVEEPPDNTDPTRGTAIDKGGITWGDGLKKQDKQPLIREPLSRVAKRVFGIL >PAN09539 pep chromosome:PHallii_v3.1:2:2281285:2288416:1 gene:PAHAL_2G032200 transcript:PAN09539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPLLDHSSSPLHEATSSRPLFTDAGLFSKITFSWMGPLLDLGKRKTLDLDDVPLLDDSDSVHGMVPNFKSNIVSISATEQVSVKALVLTTWKLIIVTAVYALLRTVTSYVGPYLIEGFVSYLNESPRSTKRGYLLVFAFVVAQLMEGLSSRHLLFRSQQLGVRVRSALIAIIYQKGLALSSQSRQGSSSGELINVVNLDAECVGNFNWSMHELWLLPVQIALAMVILYSTLGLAAFAALAATILTIKTMNAKDARMGAMSEILQNMRILKLQGWELIFLSKIKELRKVERNWVEKYVYTSSMLISVFFGAPAFDAMVTFGTCILFGIPLETGKVLSALATFRQLQGPIHSLPDTISSIIQTKVSLDRICSFLSLEELASNAVTKLPSGSTDISIEVRNGCFSWDTSSHVPTLQDLNFRVQQGKRVAICGTVGSGKTSLVSCILGEIPKFFGEVQTCGKIACVSQSPWIQSGTIEQNILFGTQMNWERYKTVLEVCSLKNDLDILPLGDQTIIGERGINLSGGQKQRIQLHCLLGFLASKTVVYVTHHVEFLPSADLILVMRDGKIAQSGDYTEILKSGEELMELVGSHKDALSTLDILERPSCDFDSTSHPGGNDSTLSIAKDKNYDNNEEERIVQNGHLVQEEEREKGRVGFIIYWKYITIAYNGALVPFILLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVSSLLMTANILFEKMHECIIRAPMSFFDFTPSGRILNRILGTIVLMSQVAWPVFIIFIPIIIASLWYQQYYIDAARELQRLVGVCRAPVMQHFAESIAGSNIIRCFQKERQFISSIGHLMDNLSRPSLYNAATMEWLCFHLDILSSFIFSFTLILLVSSPSSLALGVRDYPKFRVETADLAVTYGLGLNMLQGWAIAVLCSLENRMISVERMLQYTNIPSEPPLTISESRPNCKWPTEGEIELRNLHVRYAPQLPFVLKGLTCTFPGGKKTGIVGRTGGGKSTLTQALFRIVDPCIGQVLIDGIDICTIGLHDLRARLSIIPQDPVMFEGTLRSNIDPLGEYSDEQIWEALDSCHLGDEVRKTELKLDSKVTESGKNWSAGQRQLVCLGRVILKRRKILVLDEATSSVDPIMDSLIQKTLKHEFAECTMITIAHRITSVLDSDKVLLLDNGEIAEHDAPTKLLEDSSSLFSRLVSEYTMGSDYK >PVH64911 pep chromosome:PHallii_v3.1:2:50552468:50553390:-1 gene:PAHAL_2G382600 transcript:PVH64911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTFDFVFILHVMKEIMGITDMLCKKLQYKSQDIVNAMDDVATTKRLIQELRDQAIVCPNMKDLYADFIRSQAPNEITVEHHYRYDIFTVAIEQQAQELNCRFSEQATEFLILCTSLDPSDSFSSFNIDKVCSLASKFYPADFLERERANLRCQLQRAFSAMKINKTRRRNKMEDEFLRNCLVLYIEREIEMKVTTYSIIDAFHTVKNRAVKFK >PAN14042 pep chromosome:PHallii_v3.1:2:50408726:50412826:-1 gene:PAHAL_2G380400 transcript:PAN14042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQGGAGLDRKRISDALDKHLEKAVASPSTSRGSAGGGGGRDHHRLVVPSSASSIPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQDIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >PAN14043 pep chromosome:PHallii_v3.1:2:50409062:50412587:-1 gene:PAHAL_2G380400 transcript:PAN14043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQGGAGLDRKRISDALDKHLEKAVASPSTSRGSAGGGGGRDHHRLVVPSSASSIPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >PVH64859 pep chromosome:PHallii_v3.1:2:49914816:49918257:1 gene:PAHAL_2G372200 transcript:PVH64859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPRLQVLAAAVALTLALVAGGGGAFEFQEATVDAIQLGFRNGSLTSAALVRFYLDRIARLNPLLRAVIEVNPDTLAQAARADAERSASGGRCAAGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVAARLRRAGAVILGKANPTEWSNFRQVDPGWSARGGQTQNPYVLSVTPCGSSAGPGVAAAANMAAVTLGSETAGSIICPSVLNSVVGIKPTVGLTSRSGVIPITPRQDTIGPMCRTVSDAVHVLDAIVGYDELDAEATGAASKYIPHGGYRQFLRIDGLRGKRIGVLRGFFEQYAPEPRRVYEKHLSTMRHHGAILIKDLDLAGNWTDLGDQMGLLMNAEFKLSLNAYLKDLLYSPVRSLAQVIAFNNAHPKEERLKDFGQADLIAAEKTTGIGAAERAALRRLNELSTGGLEKTVKEHRLDAVVVANNDISAVLAIGGCPGIAVPAGYSEEGVPFGIVFGGLRGYEPRLIEMAYAFEQATKVRRPPAFRH >PVH63389 pep chromosome:PHallii_v3.1:2:1327698:1329917:-1 gene:PAHAL_2G019100 transcript:PVH63389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHMLCHLLPILFLGHGLLGSLVAGGSDGDQFVYTGFTGADLTLDGSATVTATGLLELTNGTTHQKGHAFHPAPLRLRGSPNGTVQSFSVAFVFGIVSSYLDFSTHGLALVVAPGSRSLSSALTDQYMGLTNAQDGGKATNHMFAVELDTVQNLEFHDINANHVGIDINSLSSVISNDAGYYQDKNGSFQNLSLISREAMQVWVDYDGVTMQIDVTIAPLATVKPEKPLLSCIYNLSTVLVEPSYIGFSSATGPGNSRHYVLGWSFGMNRPAPVIDVTKLPKLPQLGSKPRSKVLEITLPIASAALVLTLGTVLILLVRRRLRYTELREDWESEFGPHRFAYKDLFHATEGFKDKHLLGAGGFGMVYRGELQKSRVEVAVKKVSHGSKQGMREFIAEIVSIGRIRHRNLVQLLGYCRRKDELILVYDYMSNGSLDKYLYTEEEDDPTLDWAQRFRIIKGVASGLHYLHERWEKVVVHRDIKTSNVLLDKEMNGRLGDFGLAKLYDHGANPQTTRVVGTTGYLAPELVRTGKATPLVDVFAFGTFLLEVTCGQRPIKQDEQGNQFLLVDWVLQHWHGESLLEAVDPRLRGEYNSGEVRLVLQMGLLCAHPSAAARPSMQQVLQYLDGETPFPEMTRADLSFNMLALLQRKGLNVMSCPCSSTMMSSVGTISDLSGGR >PVH65405 pep chromosome:PHallii_v3.1:2:56755253:56756070:1 gene:PAHAL_2G485400 transcript:PVH65405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEITRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLKSRGFRLSRTKTEYMMCDFSPTRHEDRDVSLEGSMLQKNGDIDEDVRHRISAGWLKWRQASGISVIRRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRDRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVSEP >PAN10274 pep chromosome:PHallii_v3.1:2:6099731:6102929:-1 gene:PAHAL_2G083900 transcript:PAN10274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTSPLPLLLAALSVLFCHAAAVHNPAAGGARIAAAAGAHGDDSTKVYVVFTERQPATAELPEAEAGAAIASYHHDMIAGVLDDDSSSAADRVVYHYSRILHGFAARLTDDEKNRLAGMDGVLSIHEKVVYRPQTTRSWDFLGVPQHNDATRLKFENDVIIGMVDTGIWPDSESFSDEGLPPPPTKWKGVCSKNFTSCNNKIIGARSYYGGNTTLSVLDREGHGTHTASTAAGRAVAGASLGGLAGGTARGAVPGARLAVYKVCWEEGCSSEDILAAFDDAIADGVDVISASLGSGIAFDYAADPMAIGAFHAMRRGVVVSVSAGNSGPTLGSVSNVAPWSISVAATLTDRRIISELVLGNGRRVVGNAITVFPNLGKPSLLMDPGGCDHEQLDGKRYKGAVLLCGEGAYISSEAISRTGADGAIVYMFADEDKDTAFSFAIPIVVVMQKEFNHIIDYYNSTSHPMATVKKSVTVKDAAAPSVAEFSSRGPNMVTYGVLKPDISAPGVDILAAWTPKATLSGSDVDERRTRYNIISGTSMACPHVTGAAAYVKSVHPEWSHAAVQSALMTTATPMGSGEPEAELAYGAGQVDPVRARYPGLVYDAAEGDYVGFLCAQGYNSSQLAAMTGRRASAAACSAGARAGAVGDLNYPSITVPVLNYGVGFAAEFPRTVTNVGPADSVYRATVTTVPGVDVAVTPDELAFSAGTKKLSFKVSVSGTLLPVNGTMGASASVVWSDGRHHVRSPIYVFPHKHVM >PAN11127 pep chromosome:PHallii_v3.1:2:34324112:34328730:-1 gene:PAHAL_2G206600 transcript:PAN11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTVIVAKILHSFPRTALLKLPSEFLPDTSSALPLSIAPRSSTRNFDPAGAGMVASRLLARLSRQRVAAAAASTAVRRPAAARFGVAAAEPFAASFSSLRVPYMLNHHSRYSSSIFQKFGFSSVSPQQSDKEVKEPKDQESNSAGSNEDSSSSGSEKASEQGIEDVDLSKDDLVKLVHEKEELLKSKDDEIKDMKDKVLRSYAEMENIMARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKIDTSKDSAGAVPLLKTLLEGVDMTDKQLAEILKKFGVEKFDPINEKFDPSRHWAIFQIPDPSKPPGTVASVVKVGYMLHDRVLRPAEVGVTEGGATATETKEAEQPEQKTAED >PAN09939 pep chromosome:PHallii_v3.1:2:4417210:4419443:1 gene:PAHAL_2G059800 transcript:PAN09939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRAECITNLLLKIVFPLAFPLAGAFICDLITNRANRHSSYTDSSESSFQLDQSSGSICKEEEQEEMESTRQASRRLARTKSACSTAGRLQISELARQASNAEDVMVVEATESSSEDTAHKQLQDDQRMVTDEIASLKIMVSALEDRACSIESQFHEYCDMKEQESAYQKMQIMCLGMKLELLESQNQRLEAAAAEIRAAAEEFAAMKGKLDMLQSKLKKITKRSKQDSDAFGEKILALDAKQSQMARRCEEFEQCMEEMKQLTLQLQEQKEAVNNENVEVVVERSLRNLSSGRDLVDGLEALRDRWAAGMEEMIYLGWITAWLQHDLMLIDDDGGSTYDGDEDGGAVLGVSAYDDDDGRKGGRPAPEDEERKKGETMVAAAAPSNEVELCKAGSVSSSSSGSAGRPRRIVEVEPPPPAASCLGFAAAGGRSSSGGDGGGWSIGRPRLLRKLRGWAGAGGTKAGDRGKARCRIAGPCCQK >PVH63823 pep chromosome:PHallii_v3.1:2:9438958:9443896:1 gene:PAHAL_2G114400 transcript:PVH63823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTVTVGSQGRAGGGRLAVGGEEGDRVAPCRMAGPCGGHMERAGWRARRRRRPGGGGDRGDSEFIAATGRIGVRGRRCHGQRDGSPRWPDTGWDSGHRPCSAPGGTAVGWRRPGSAPPPAIITAVRTRLAAATPVSGIRMGGYSMSVPYARQGCPIDPSDPIVRGTATGRRRSRGGW >PAN12060 pep chromosome:PHallii_v3.1:2:40635061:40641622:1 gene:PAHAL_2G240100 transcript:PAN12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRGPASFATQANALLRKNLCTQKRNLKTNIGITLFPILICILLVVLQNVINSELDKPKYKCGCICLETSVDGRCARKECGIKYSTLDQVGSCPIPSPPRWPALIQVPRADFRAVRTSSQPFDDLPDPLCRDSWSCPASILVTGKDKVVAEAISRGLFPALSPSLNATDFLDILSKFVAGSDTQPWYIQLLEPAFFSGHTLYLIQPECLPFMSQTISYNTGGIPFQLNIQCVEGVPLWRESASIINHEFLKGYRQRGGELNEFIAGYDFLGTTQYGLGVNVWYNSTYNDNTAYSFIATLRVPRLVNAVSNAYLKFIKGTSVEMLLEYVKDMPKVGTSFQLDLSSLLSALFFTWIIELLFPVILTYLVYEKQQKLKIMMKMHGLKDGPYWLISYSYFLALSVVYMLFFVIFGSLIGLNFFRINEYSVQAVFFFTCINLQIALAFFVASFFSSVKMATVVGYMYVFGSGLLGAFLFRFFFEDKTFPYGWVLVMEIVPGFSLYRGLYELGQYAFSGSSMGGTGMIWRSMKDPLNGMRDVLIIMSVEWALLLILAFYLDQASLLGDGVRKNPFSCFRCLQKKHEPPLHEPSFSQQDSRVVLNMEKSDVALERKVVEQLLIDPNANEAIICEKLRKVYPGRDGNPDKLAVRGLSLVLQKGKCFGMLGPNGAGKTSFINMMIGLIRPTSGTAYVHGMDINTDMGNIYTSMGVCPQHNLLWETLTGREHLFFYGRLKNLKGAVLVKAVDHSLKSVNLSHGNVGDKQVKKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIILTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGTYIFTMTTSPDQEQEVELLVHQLSPSANKIYHLSGTQKFELPKQEVKIAHVFAAVENAKSRLTIHAWGLVDTTLEDVFIKVARGAQAFDEFA >PAN12059 pep chromosome:PHallii_v3.1:2:40635061:40641622:1 gene:PAHAL_2G240100 transcript:PAN12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRGPASFATQANALLRKNLCTQKRNLKTNIGITLFPILICILLVVLQNVINSELDKPKYKCGCICLETSVDGRCARKECGIKYSTLDQVGSCPIPSPPRWPALIQVPRADFRAVRTSSQPFDDLPDPLCRDSWSCPASILVTGKDKVVAEAISRGLFPALSPSLNATDFLDILSKFVAGSDTQPWYIQLLEPAFFSGHTLYLIQPECLPFMSQTISYNTGGIPFQLNIQCVEGVPLWRESASIINHEFLKGYRQRGGELNEFIAGYDFLGTTQYGLGVNVWYNSTYNDNTAYSFIATLRVPRLVNAVSNAYLKFIKGTSVEMLLEYVKDMPKVGTSFQLDLSSLLSALFFTWIIELLFPVILTYLVYEKQQKLKIMMKMHGLKDGPYWLISYSYFLALSVVYMLFFVIFGSLIGLNFFRINEYSVQAVFFFTCINLQIALAFFVASFFSSVKMATVVGYMYVFGSGLLGAFLFRFFFEDKTFPYGWVLVMEIVPGFSLYRGLYELGQYAFSGSSMGGTGMIWRSMKDPLNGMRDVLIIMSVEWALLLILAFYLDQASLLGDGVRKNPFSCFRCLQKKHEPPLHEPSFSQQDSRVVLNMEKSDVALERKVVEQLLIDPNANEAIICEKLRKVYPGRDGNPDKLAVRGLSLVLQKGKCFGMLGPNGAGKTSFINMMIGLIRPTSGTAYVHGMDINTDMGNIYTSMGVCPQHKLKNLKGAVLVKAVDHSLKSVNLSHGNVGDKQVKKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIILTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGTYIFTMTTSPDQEQEVELLVHQLSPSANKIYHLSGTQKFELPKQEVKIAHVFAAVENAKSRLTIHAWGLVDTTLEDVFIKVARGAQAFDEFA >PAN13095 pep chromosome:PHallii_v3.1:2:46329273:46333087:-1 gene:PAHAL_2G313700 transcript:PAN13095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGSGSGSNGGGSDDVRGLKFGKKIYFEQDAAAAAGGSGAAAGGRKGKGVASGPAPAAAPPRCQVEGCGVDLSGAKPYHCRHKVCSMHTKTPRVVVAGMEQRFCQQCSRFHQLPEFDQGKRSCRRRLIGHNERRRKPPPGPLTSRYGRLAASFQEPGRFRSFLLDFSYPRVPSSVRDAWPAIQHGGDPMPGTIQWQGNQEVHPHRSTVVGYGNHAYIGHGGSVAGPSVLPAAFELPPGGCVAGVATDSSCALSLLSTTQPWDTAQSASHNRSPAMSEASAFEGTPVAPSVMASSYTAAGAWAGSRGPAAEGARSVQHPEDALHLVHPGSVHHGNFSGELELALQGSGPSNPPHAHHGSSGGTFSHSSNAMNWSL >PAN11187 pep chromosome:PHallii_v3.1:2:33529949:33538736:1 gene:PAHAL_2G203100 transcript:PAN11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPASSTMSKESATSYDMAEFDQSAIFLYLDGNDQEQRQTLNIFPSQPMHVAEPIPAKGVSMGMVAAMQPNGNSSPPKRHDQGGQRSPAVPPPAPTVALPNSAKETKSSLNKKEATSGGKGATSGDQERVRDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVHVARVQGVFLGTGEQPSFPSAPSPAAVVFDMEYGRWVEEHSKLIFQLRATLNEHLADEQLQGFVNGAMAQHEELLNLKGAMARADVFHLLSGVWASPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYNLQQSVQEREEALNHSMEATQQSISDTIAAPDVAPATFMGHMSLAMNKVASMEGFVMQADGLRQQTLHKLHHILTTRQAARCMVAIADYFHRLRALSTLWVARPRHEDGPVL >PAN11185 pep chromosome:PHallii_v3.1:2:33530002:33538736:1 gene:PAHAL_2G203100 transcript:PAN11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPASSTMSKESATSYDMAEFDQSAIFLYLDGNDQEQRQTLNIFPSQPMHVAEPIPAKGVSMGMVAAMQPNGNSSPPKRHDQGGQRSPAVPPPAPTVALPNSAKETKSSLNKKEATSGGKGATSGDQERVRDPKVRTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVHVARVQGVFLGTGEQPSFPSAPSPAAVVFDMEYGRWVEEHSKLIFQLRATLNEHLADEQLQGFVNGAMAQHEELLNLKGAMARADVFHLLSGVWASPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYNLQQSVQEREEALNHSMEATQQSISDTIAAPDVAPATFMGHMSLAMNKVASMEGFVMQADGLRQQTLHKLHHILTTRQAARCMVAIADYFHRLRALSTLWVARPRHEDGPVL >PAN11188 pep chromosome:PHallii_v3.1:2:33529949:33538736:1 gene:PAHAL_2G203100 transcript:PAN11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPASSTMSKESATSYDMAEFDQSAIFLYLDGNDQEQRQTLNIFPSQPMHVAEPIPAKGVSMGMVAAMQPNGNSSPPKRHDQGGQRSPAVPPPAPTVALPNSAKETKSSLNKKEATSGGKGATSGDQERVRDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVHVARVQGVFLGTGEQPSFPSAPSPAVVFDMEYGRWVEEHSKLIFQLRATLNEHLADEQLQGFVNGAMAQHEELLNLKGAMARADVFHLLSGVWASPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYNLQQSVQEREEALNHSMEATQQSISDTIAAPDVAPATFMGHMSLAMNKVASMEGFVMQADGLRQQTLHKLHHILTTRQAARCMVAIADYFHRLRALSTLWVARPRHEDGPVL >PAN11186 pep chromosome:PHallii_v3.1:2:33530002:33538736:1 gene:PAHAL_2G203100 transcript:PAN11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPASSTMSKESATSYDMAEFDQSAIFLYLDGNDQEQRQTLNIFPSQPMHVAEPIPAKGVSMGMVAAMQPNGNSSPPKRHDQGGQRSPAVPPPAPTVALPNSAKETKSSLNKKEATSGGKGATSGDQERVRDPKVRTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVHVARVQGVFLGTGEQPSFPSAPSPAVVFDMEYGRWVEEHSKLIFQLRATLNEHLADEQLQGFVNGAMAQHEELLNLKGAMARADVFHLLSGVWASPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYNLQQSVQEREEALNHSMEATQQSISDTIAAPDVAPATFMGHMSLAMNKVASMEGFVMQADGLRQQTLHKLHHILTTRQAARCMVAIADYFHRLRALSTLWVARPRHEDGPVL >PVH65429 pep chromosome:PHallii_v3.1:2:57144914:57146232:1 gene:PAHAL_2G490500 transcript:PVH65429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKQDSILIIEVDLQCEKCYKKIQKVLCKLQSKENIRKIDFENMKNRVTISGPFDAGKLSMKLRCKACEVIKDIKIGKPPEEKPPAEEKKKPEEKKPAEEKKKPEEKKPAEEKKKPEEKKPEEKKKPEEKKPAEEKKKGDDEKAKAPSSSTTTVNLQFTNICGICYPWPCSDPAHWGIHHPQQLMPQWPPCGGMAPAPAPPLPAPVHHPHLPPQKLGPCGGPSLCGGCGWCHGGSGGGGMHGWAPAAAQPMCCPGPSLCRGCNGCKIVQETKFSYEEYPSSACAIM >PAN15474 pep chromosome:PHallii_v3.1:2:57144914:57146232:1 gene:PAHAL_2G490500 transcript:PAN15474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKDSILIIEVDLQCEKCYKKIQKVLCKLQSKENIRKIDFENMKNRVTISGPFDAGKLSMKLRCKACEVIKDIKIGKPPEEKPPAEEKKKPEEKKPAEEKKKPEEKKPAEEKKKPEEKKPEEKKKPEEKKPAEEKKKGDDEKAKAPSSSTTTVNLQFTNICGICYPWPCSDPAHWGIHHPQQLMPQWPPCGGMAPAPAPPLPAPVHHPHLPPQKLGPCGGPSLCGGCGWCHGGSGGGGMHGWAPAAAQPMCCPGPSLCRGCNGCKIVQETKFSYEEYPSSACAIM >PAN12200 pep chromosome:PHallii_v3.1:2:41477986:41479674:1 gene:PAHAL_2G248900 transcript:PAN12200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIPMGASTPSHHFASSSFLTKRTVAVALYALIPLALLHYLLTLPPPLPPPHTTAAAPSSPSPSRQANASAAALPSPEASAARCDYSDGEWVRTVAGPRYSGASCGETIKAGQNCAAHGRPDTGYLRWRWRPRGCALPPFDPARFLGAVRGRHVAFVGDSLARNQCESLVCLLASAFPARLVRGAGGGDGDGDGDELRKFRRWAFPSHNATVSVFWSPFLVNGTERPKTPPPAGGLYHNQIYFDQPDERWAAEAPGFDVVVLLAGQWYLNPAMFYDRGAFIGCHRCPEGNRTETGFFGVFRLAVRNALRELIARVASASSSPARPRLAVVTTFSPAHFEGEWDSPTSCARTEPYARGEREPLYMDEEMLRAGVEEAAAAGADVTARGAGLAVEALQVTRLAAMRPDGHPGLYTRAFPFAGGARERMPNDCVHWCLPGPIDTWNEILLQVVKRWADSVDAGAASAAPSN >PAN09691 pep chromosome:PHallii_v3.1:2:3132194:3145188:1 gene:PAHAL_2G044600 transcript:PAN09691 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MARVPLGEWVPHVEAYVDVSRPAAQHSASVDALAALVNKDRLALFDLVSKMEMYLTTTDHIVRSRGILLLGEILSRISVKWLDVNTITTLSDFFISRLSDWQAIRGALVGCLALLHRKQGVGCIVFADVKRLVESFLQNVQVQSLAAADRKLCFQILSCILDRYPEAIKTMDGEQLYWICEAIDEEKDPECLKLSFHVVEVVMKLFPDPSGLAAQFASEFFEILSKYFPVYFTHGAGDDLNATRDDLSRALMNAFCSTPYFEPFAIPLLLDKLSSSLPLAKLESLKYLDNCIRFYGADRMVRHASAVWLKLKEVIFSLSPEQLLLTSGSAKDAEKNKNQMVSETLNCLKTAITYIDSSDKDLFINLILLDEDIVNKIHSISSAEKSILSSLEDLAQVNALGSVISILAESSTYFCTRVFQEHLTHLVDILGTSTDYESRQLNTCNGSSSAAVNYGALYLCVQMLASCREVALVSYAECSSLKLAKESWWLILEKKLDQLIHLLGLFLTLDSRSMQSMFRQEYDACAVKGLLTLATFPEQCSPLQANAFEDILAMLTSVITSKFENVDLWRLSLKALTSIGSSIIEFNASQKEVIYCRTVVDKIVSLLESYDGSMPLSLRLEASYEVGTAGLNHMLRVARSLEGAVVTNISKANGRMECAEYVAHLFECYSSRVLPWLFTSGGINELALSFAMHLLDEIKDLSMLDRISSQGLLDSLMTGMKLLVGVCTEEQQTLIVQKAYSMVSSVLPLPLKSMTRCLLAVDELVPSHSVQETALIGMLSSVIVGLRLQTPVPDMIVMINLFTVFLLNGQLPAAYALASIFNKYLHNPEFSHENQLDKIFDDILERCFSTVLANSYSKISHSSVDTSNDANFLYVSSGNIASKIDILSGLAWIGKGLLMRGDEKMKDISMFLLKCLCSGETLASTPAHEEESCGSDSSDTSIATSAAGAFNVMMSDSEVCLNKKFHARIKPLYKQRFFSIMMPIFLSKIKEATSMETKLALYQAFGHIISNAPVPAVITEAHQILLVMVDSLAKLSVEIQDKDLVYNLLLVLSGMLMDEKGKECILDNIHITVSVLTQLVSYPHMMVVRETALQCLVALSTFPHSKIFPMRLKVLQAAIKALDDKKRAVRQEAVRCRQTWQSFA >PVH64665 pep chromosome:PHallii_v3.1:2:47235390:47236737:1 gene:PAHAL_2G328000 transcript:PVH64665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKPTTSHLISIRSSLAYKLMPSLRHSSSLVNLLDKQLHQLPTSKMMKISKSAPNLLKKAVTSFKCKTDALRTKLIILASLRRRMAMVRAVSRQIHALAASGGRDNKQAAVGHGGKALAPRKAAAAAAAGKEAAGDHGGEARRLGLFEVAVFEEDYHGGYPDWTTSLFDDDNIYNDEEEDVVQDDEQDDLDLDAFDETSVIEILRSNREAQGLEFSMEDDIDEACDMFIRRCRSRMNLSF >PAN10185 pep chromosome:PHallii_v3.1:2:5783413:5784692:1 gene:PAHAL_2G077100 transcript:PAN10185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGCCDGCGQAVCCCIPYGVREDIKGCLILLAVATGLVALAGVVIVIVIFGGPLRHVRITVEDASLTRFALVSAPPATTALAYNLTVALTVRNPNWAIGIKHNKPLVAAYTFDGQPFERVQVADKGEKLGARKTVVYRLASGSEGRAVALGNAGEAKFRKENATGVFEVEVAVTGKFKYTLRKTKCKIEATCPLKLQLAPPGTTAVVFQKVDCKLAKSDDKYC >PAN10375 pep chromosome:PHallii_v3.1:2:6725474:6730326:1 gene:PAHAL_2G090300 transcript:PAN10375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTGAGELLQAEAELWCHAFGYLKSMALQCAIKLGIPNAIHRCGGAASLPELHAALPVAASKRPCLSRIMTFLAASGIFGVETPEDGEVTGVRYHLTAASRLLVEDESGSGGHACLSQLVLLIMTPIHFRASQSLADWLKDEDDAAAAETPFMAAHGASFYGFASRDAEFGACFNEAMGSDSRFVAETMVRECGPVFAGLTSLVDAGGGDGTTARAITRAFPHVRCSVLELPHVVDAAPADGTVEFIVGDMLEFIPPADAVLLKFVLHNWSDEDCVRILKQTKEAISTREPKGKVIIIDVVLGSSSCKQIREAQLSLDLCMMMLFPGKQRGEQVWHKMFLEAGFTRYKISPVLGSRSLIEVFP >PVH65143 pep chromosome:PHallii_v3.1:2:53667921:53670387:-1 gene:PAHAL_2G433300 transcript:PVH65143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERKQGFFAALKEEVVRGLSPARSRGKSPAPARSASPARMLIPRRRKSSAPAQPPPEKVLQQYLGEQLIARSGSLRPGGEALAPLIEGPDAERLAAGDPDAEDSGRREGFGNWVRGHLTRTPSMASAAAGPGGSGASFRRSDLRLLLGVMGAPLAPIPSKPAEPLPLLSIKGTPIESSSAQYILHQYTAASGGYKLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPTGRGSAAVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLTADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVQIEDSHLTRIQPHAGGDAVYWETTISSALEDYRPVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPADIRSGTVGEACELPSQSHGERARVGAVHPSRVAAVDRAHPHPHSANAGVAGAGGRGEKIMWRVEV >PVH65155 pep chromosome:PHallii_v3.1:2:53733686:53735777:1 gene:PAHAL_2G434600 transcript:PVH65155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAPNAAAEGTQPAPPEGSADAAPPASGAKAAEALLPSLSIWPPSQRTRDAVVRRLVQTLAAPSVLSQRYGAVPEPEAERAAAAVEAEAFAAASESAAGASPASVEEGIEVLQAYSKEVSRRLLELAKSRSAAAAAPAPEASAQESEESSAPAEAAASKE >PVH64350 pep chromosome:PHallii_v3.1:2:41243205:41243468:1 gene:PAHAL_2G246200 transcript:PVH64350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSQVALPDPGTATPSQGQRWLASSGPPPPAVSQSPSLLWERAPKQPPLSSPLSPPPPSLPPPLLYHVAGPSQSFSSFRVCHSSLLDQ >PVH63636 pep chromosome:PHallii_v3.1:2:5508939:5509182:1 gene:PAHAL_2G073900 transcript:PVH63636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLIDESGNPSQIGKDWKDLELIQFVDIKTCIERQDDGVQL >PAN13411 pep chromosome:PHallii_v3.1:2:47635487:47638259:-1 gene:PAHAL_2G334400 transcript:PAN13411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRPPAGASSASAVTARLRPHLARITSFLIVFAVGYSVGLLSSSTRPSLRPSQTTIVRPHAAHLTDASTTVPAPNATAAGVAAAATTASYPRSPPHDLFRFREECGEPVPSDAVVPTLLEKLFDGESPYAGFPTPQTAALLHPAKARPRGWGSTGAVFAELIEAVRPEVIVELGAFLGASALHMAAVSRNLSLSPAILCIDDFRGWPAFRERFRRDVPPPRHGDALLLPQFMANVAAAGADAAARVLPLPFSTASALSALCGWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTSADDRGVRRAVTLFAKVKGLTIRPHGQHWVLSPKPRGHGNAR >PVH63484 pep chromosome:PHallii_v3.1:2:2846008:2847383:-1 gene:PAHAL_2G040700 transcript:PVH63484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCAQELFNRQGPHLPLPFPFARPSFHFFTSLVSGGAIDARPQPRSGAPASSAPPTPAPPQAARSPVTTRASRAHAGLPARSDEALPAVVARAEREEQLAKARADLLPKEEELARTRAHLLETREQLTGARARPPGAAAPGAGGGGGGC >PVH65416 pep chromosome:PHallii_v3.1:2:56902578:56903103:1 gene:PAHAL_2G487500 transcript:PVH65416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDRPLKKLCEHCTFDLLVQGDTDDKGKLRRTEWRQSASCAATLMSPSASSVLFSFESCDGMQEPSRAVPLCLRIQALDIRLWLFDRPGPIRARCNFALSCGTGVTTDFCGARIGILAWTHNVTPFISRE >PAN10605 pep chromosome:PHallii_v3.1:2:8233934:8243661:1 gene:PAHAL_2G104800 transcript:PAN10605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGMVMGVVVGVAIMAGWSRVMLRRSRKRIAKTADIKVLGSLGRDDLRKLCGDNFPEWISFPQFEQVKWLNKHLSKLWPFVSEAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDAVVASLPIQLKDLQIYTVIRVVFQLSEEIPCISAVVVALLADPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLQWPHRLVVPLGVNVDTSDLELKPQGKLSVTVVKATSLKNKELIGKSDPYVRLYVRPMFKVKTKIIDDNLNPEWNETFELIVEDKETQSVIFEVYDEDKLQQDKRLGVAKLAVNSLEPEITREVTLKLLHSVDPLKNRDTKDRGTLHLKVMYHPFTKEEQFEALEAEKQAIEERKRLKEAGIIGSTMDAVGGAASLVGSGVGLVGTGIGAGAGLVGSGIGAGVGLVGSGFGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQPDQPSR >PAN10606 pep chromosome:PHallii_v3.1:2:8238000:8243661:1 gene:PAHAL_2G104800 transcript:PAN10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDFRWGGDPSIILAVDAVVASLPIQLKDLQIYTVIRVVFQLSEEIPCISAVVVALLADPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLQWPHRLVVPLGVNVDTSDLELKPQGKLSVTVVKATSLKNKELIGKSDPYVRLYVRPMFKVKTKIIDDNLNPEWNETFELIVEDKETQSVIFEVYDEDKLQQDKRLGVAKLAVNSLEPEITREVTLKLLHSVDPLKNRDTKDRGTLHLKVMYHPFTKEEQFEALEAEKQAIEERKRLKEAGIIGSTMDAVGGAASLVGSGVGLVGTGIGAGAGLVGSGIGAGVGLVGSGFGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQPDQPSR >PAN13268 pep chromosome:PHallii_v3.1:2:46987669:46995313:1 gene:PAHAL_2G324300 transcript:PAN13268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVMAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFHEKYFSDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEEEEGPMIEEYAFSFSYPNTSTEEVAMNMSRTGSKKGSTTFTSNASEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYYDDVTPEDYEPPFFKGCAENEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSGDDGMSVDIESDQDGDFSDTEVRPSEADRYVVAPNDGKCKGQSTGTISEDDTQDAAHEEELTAQVKVWICSKEIGTINVSDVLSNFPDISMEMVEDIMERLLKDGVLSRASKDGYTINQTVDPKTPHIKKEVIMQNVSPTEGTKQNNDDLIYMKALYHALPMDYVTIAKLQGKLDGKASQNTVRKLIDKMVQDGYVKHSANRRLGKAVIHSESSNRKLLEIKKILEGNDGEQMAIDTNAEHAESEHKELLKVHEMRDGSTMGCLHSIGSDLTRTRELPELQQNVSMQSGQEASAMDKDPSRTPTSARELAVPVCSLESGVLGQKIKRSLNVGSEMQSTQDKRSRKASMVKEPILQHVKRQKPQVQ >PVH63474 pep chromosome:PHallii_v3.1:2:2631314:2633896:-1 gene:PAHAL_2G037100 transcript:PVH63474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEAERAVIAEAVAARARDLVRALLAPGGLMSVEDAGVTVAVSVKSCLAKISRENLNVCSPQDVEKLAVADTLTVVVNLGAGSLTAPHSMVEESITKAVVASSAQVGDTSTSSDCIFAL >PAN14877 pep chromosome:PHallii_v3.1:2:54638898:54641868:-1 gene:PAHAL_2G450700 transcript:PAN14877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGKAPSLAEEYSLPPQEVQLQNPSEEKSAASTVAEVVPERSAEPPAANEATVAVEETSETPEVKEPSEKPEADQERPAAEDSGEAAEESGDAAEETADEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECEKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >PVH65123 pep chromosome:PHallii_v3.1:2:53348156:53348994:-1 gene:PAHAL_2G428900 transcript:PVH65123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAALDTSLATAPPFKGVLRGYVLPTAPYTDAALLKAAAESGSYAAAPFVLLNHVVVGAKITLLDEAREQLENIVAGLNALQSETLGGARTQMMGRNLTAAQLARAAESSAQWEDIVEHTRREAQLEVGSTKGNLKSIISIVLESASA >PAN15265 pep chromosome:PHallii_v3.1:2:56154990:56162450:-1 gene:PAHAL_2G476200 transcript:PAN15265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFVFPSSLRDLERDTDGDDEPSLRPQNPVAVATLRAADLEEFVKGASFDLSDKELFCIEEQEVFDVIYSIVRDFNCLPPGLKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDATPITDRIASHRNALKIYSFFLLSIVLTEESAAESCTGAKVTAHGRKKNPVYTWNWEAQRGRIMNLIANSLEADLSLLFGPGGTDERYLSFVSKCTFVLCENQNVLKDEDTRIGMCRIIGAIATKHQRISEISASVLHLIHKFDFTVAHLAETVASAEKKFGDGSLAISLIREIGRSDPKDYARDSVGADNVGRFLVELADRLPKLMSTNIGVLVPHFGGDSYKIRNALVGVLGKLAAKAFKDVEGNNNARLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENSISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLKTSTFEATLEKYKEKLEGMDPRNPEQDELVNDSSFGEVGMGQPDSVSDSCIANSQDQNDPDATIVEITNLEQIRAIVASLEAGLRFSKCITSLMPTLIQLLASSSATDVENTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTRKSPTETASSLLNLAIDCSIGDLAALESLVSSLVSKAEISSSTVAALWDYFCFNINGVRPVQSRGALSVLCMAAKSSPSILGTHLQDIIDIGFGRWAKQEPLLARTACLALQRLSEEDRSKLISTNSGVFAALQGLITSFSLSEKIWYGAADKAISTIYTLHPAPEIFATEIAKKSLSSVFSVLRTEDVSNEDETQNDAFLSSLPPSKLGRFLFVISHIALNHLVYIETSVKKIQKQKRKNESSQHITEGPQSDASKSSEAQGINAELGLGATVDIAIESLAERAEKEIVCCSSEKNLIGHCGPFLSKLCRNMTFLQKFPELQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRLPNLLEPWTEYIYARLRDPSVSVRKNAVLVISHLILNDMMKVKGYINEMAVRIEDENERISSLAKLFFHELSKKGNNPIYNLLPDILGRLCTQNLKDETFCNIMQFLITSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLIDNFKMFEHALSEDSVMNHFRSVISKCKKFAKPELKVCIEEFEEKLGKVHEEKKEQEETTKNAEAHKQRIGSLDKFLASKEVEQSIGNPVEEETSEVVDPSVDSSTEDKENTPECSDNISTGNCQTSTTFTESEDGSEEIQSTRPVRKGLSRSRVKKTRDPVVEDSSDSAAARRSTRRQGR >PVH65372 pep chromosome:PHallii_v3.1:2:56154368:56162548:-1 gene:PAHAL_2G476200 transcript:PVH65372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFVFPSSLRDLERDTDGDDEPSLRPQNPVAVATLRAADLEEFVKGASFDLSDKELFCIEEQEVFDVIYSIVRDFNCLPPGLKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDATPITDRIASHRNALKIYSFFLLSIVLTEESAAESCTGAKVTAHGRKKNPVYTWNWEAQRGRIMNLIANSLEADLSLLFGPGGTDERYLSFVSKCTFVLCENQNVLKDEDTRIGMCRIIGAIATKHQRISEISASVLHLIHKFDFTVAHLAETVASAEKKFGDGSLAISLIREIGRSDPKDYARDSVGADNVGRFLVELADRLPKLMSTNIGVLVPHFGGDSYKIRNALVGVLGKLAAKAFKDVEGNNNARLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENSISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLKTSTFEATLEKYKEKLEGMDPRNPEQDELVNDSSFGEVGMGQPDSVSDSCIANSQDQNDPDATIVEITNLEQIRAIVASLEAGLRFSKCITSLMPTLIQLLASSSATDVENTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTRKSPTETVAALWDYFCFNINGVRPVQSRGALSVLCMAAKSSPSILGTHLQDIIDIGFGRWAKQEPLLARTACLALQRLSEEDRSKLISTNSGVFAALQGLITSFSLSEKIWYGAADKAISTIYTLHPAPEIFATEIAKKSLSSVFSVLRTEDVSNEDETQNDAFLSSLPPSKLGRFLFVISHIALNHLVYIETSVKKIQKQKRKNESSQHITEGPQSDASKSSEAQGINAELGLGATVDIAIESLAERAEKEIVCCSSEKNLIGHCGPFLSKLCRNMTFLQKFPELQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRLPNLLEPWTEYIYARLRDPSVSVRKNAVLVISHLILNDMMKVKGYINEMAVRIEDENERISSLAKLFFHELSKKGNNPIYNLLPDILGRLCTQNLKDETFCNIMQFLITSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLIDNFKMFEHALSEDSVMNHFRSVISKCKKFAKPELKVCIEEFEEKLGKVHEEKKEQEETTKNAEAHKQRIGSLDKFLASKEVEQSIGNPVEEETSEVVDPSVDSSTEDKENTPECSDNISTGNCQTSTTFTESEDGSEEIQSTRPVRKGLSRSRVKKTRDPVVEDSSDSAAARRSTRRQGR >PVH63538 pep chromosome:PHallii_v3.1:2:3697409:3700874:1 gene:PAHAL_2G050400 transcript:PVH63538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATSAAATSSHSRCLAKFKLALSWTIHLALGPFMIRFPGLAAKTRPNREEPRVKRFRCCGFRRF >PVH64513 pep chromosome:PHallii_v3.1:2:44890843:44899380:1 gene:PAHAL_2G291200 transcript:PVH64513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMPWNVIPGTKQEALNCVIPVSAIYTPLKSIPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYISSAETGPVVPPVFIFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGMYVQVHELGFGLLPKSYVFKGTKEVTKEQILEQMCFFAGKKMPTTGVIAGTRDGLSSESISRFLLPASECEFVLNSVIEEMQKDPWPVPADQRASRCTGVALSVAANLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGMVVLAESFGHSVFKDSLRRIFQSADDNLGLSFNGILEINCSKDVKVQGIIGPCTSLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCFVYDIAKKDGPDSIGQSTSNQFYFQFLTYYQHNEGQMRLRSTTISRRWVSGTDNVEELVAGFDQEAAAAVMARLVSFKMETEVDFDPVRWLDRALIRICSKFGDYQKETPSSFSLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLERENVANAVVMIQPSLISYSFQSGPEPVLLDATAIASDKILLLDSYFTVVIFHGVTIAQWRKAGYQDQEGHEAFAQLLKVPHEEANSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >PAN12788 pep chromosome:PHallii_v3.1:2:44891813:44899379:1 gene:PAHAL_2G291200 transcript:PAN12788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMPWNVIPGTKQEALNCVIPVSAIYTPLKSIPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYISSAETGPVVPPVFIFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGMYVQVHELGFGLLPKSYVFKGTKEVTKEQILEQMCFFAGKKMPTTGVIAGTRDGLSSESISRFLLPASECEFVLNSVIEEMQKDPWPVPADQRASRCTGVALSVAANLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGMVVLAESFGHSVFKDSLRRIFQSADDNLGLSFNGILEINCSKDVKVQGIIGPCTSLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCFVYDIAKKDGPDSIGQSTSNQFYFQFLTYYQHNEGQMRLRSTTISRRWVSGTDNVEELVAGFDQEAAAAVMARLVSFKMETEVDFDPVRWLDRALIRICSKFGDYQKETPSSFSLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLERENVANAVVMIQPSLISYSFQSGPEPVLLDATAIASDKILLLDSYFTVVIFHGVTIAQWRKAGYQDQEGHEAFAQLLKVPHEEANSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >PAN12787 pep chromosome:PHallii_v3.1:2:44890877:44899379:1 gene:PAHAL_2G291200 transcript:PAN12787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMPWNVIPGTKQEALNCVIPVSAIYTPLKSIPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYISSAETGPVVPPVFIFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGMYVQVHELGFGLLPKSYVFKGTKEVTKEQILEQMCFFAGKKMPTTGVIAGTRDGLSSESISRFLLPASECEFVLNSVIEEMQKDPWPVPADQRASRCTGVALSVAANLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGMVVLAESFGHSVFKDSLRRIFQSADDNLGLSFNGILEINCSKDVKVQGIIGPCTSLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCFVYDIAKKDGPDSIGQSTSNQFYFQFLTYYQHNEGQMRLRSTTISRRWVSGTDNVEELVAGFDQEAAAAVMARLVSFKMETEVDFDPVRWLDRALIRICSKFGDYQKETPSSFSLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLERENVANAVVMIQPSLISYSFQSGPEPVLLDATAIASDKILLLDSYFTVVIFHGVTIAQWRKAGYQDQEGHEAFAQLLKVPHEEANSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >PAN12789 pep chromosome:PHallii_v3.1:2:44891813:44899379:1 gene:PAHAL_2G291200 transcript:PAN12789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMPWNVIPGTKQEALNCVIPVSAIYTPLKSIPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYISSAETGPVVPPVFIFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGMYVQVHELGFGLLPKSYVFKGTKEVTKEQILEQMCFFAGKKMPTTGVIAGTRDGLSSESISRFLLPASECEFVLNSVIEEMQKDPWPVPADQRASRCTGVALSVAANLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGMVVLAESFGHSVFKDSLRRIFQSADDNLGLSFNGILEINCSKDVKVQGIIGPCTSLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCFVYDIAKKDGPDSIGQSTSNQFYFQFLTYYQHNEGQMRLRSTTISRRWVSGTDNVEELVAGFDQEAAAAVMARLVSFKMETEVDFDPVRWLDRALIRICSKFGDYQKETPSSFSLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLERENVANAVVMIQPSLISYSFQSGPEPVLLDATAIASDKILLLDSYFTVVIFHGVTIAQWRKAGYQDQEGHEAFAQLLKVPHEEANSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >PVH65324 pep chromosome:PHallii_v3.1:2:55371324:55371656:1 gene:PAHAL_2G464000 transcript:PVH65324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAKGFQRSSWLALLPCFLCRSSSRAFWFLDAQKCPLLRSNQWKLLSAVALKPIQCLRSAREDEQNTVR >PAN13260 pep chromosome:PHallii_v3.1:2:46960079:46961707:-1 gene:PAHAL_2G323900 transcript:PAN13260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIISSGCYENKLSCTYFVLTLMPVCKCRHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPFGKAGASGFTFHIEVARDNWQELIQSIKSKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRNKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAADPGEVISVLRKSVEGSQNFSAVN >PAN13259 pep chromosome:PHallii_v3.1:2:46959773:46963295:-1 gene:PAHAL_2G323900 transcript:PAN13259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKIAPSMLSSDFANLASEAERMLRLGADWLHMDIMDGHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPFGKAGASGFTFHIEVARDNWQELIQSIKSKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRNKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAADPGEVISVLRKSVEGSQNFSAVN >PAN15169 pep chromosome:PHallii_v3.1:2:55688552:55691936:1 gene:PAHAL_2G469400 transcript:PAN15169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTAQAWFTGGTGASSAAASESQPSLLADWNSYAATRSDASSSSPLPFDIEAAVRSANDTVSGTFSSVTKGVRELPGSFQSATSSFPSGRALMYFGLFLATGIFFVFIAFALFLPVMVLMPQKFALSFTLGCALIIASLFALKGPANQLAHMTSKERLPFSVGFIGCMVGTIYVSMVLHSYFLSVIFSVLQVLALMYYTISYFPGGSSGLKFISSGLLSSVTSCFGR >PAN13087 pep chromosome:PHallii_v3.1:2:46266547:46269849:-1 gene:PAHAL_2G313200 transcript:PAN13087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEAEAERVAALLREITGEGGFAFVASAEKAAAGAGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRAGGDRAAALKALDMGLIMGGNLLRADLEAALARISAEPCGGEDGAVAVDEEDQRWRDGLDRNRDIADALKILPVKSLSCKKVERLSHISLEEFICNYFLRESPVIISGAIDHWPARTKWKDIKYLKKIAGDRTVPVEVGKNYVCSFWKQELITFSQFLERMWSTDCPSNLTYLAQHPLFEQIKELSEDIIVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEELYPHTETMLSNTSQQWYCKLDQLASAF >PAN13085 pep chromosome:PHallii_v3.1:2:46267569:46269849:-1 gene:PAHAL_2G313200 transcript:PAN13085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEAEAERVAALLREITGEGGFAFVASAEKAAAGAGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRAGGDRAAALKALDMGLIMGGNLLRADLEAALARISAEPCGGEDGAVAVDEEDQRWRDGLDRNRDIADALKILPVKSLSCKKVERLSHISLEEFICNYFLRESPVIISGAIDHWPARTKWKDIKYLKKIAGDRTVPVEVGKNYVCSFWKQELITFSQFLERMWSTDCPSNLTYLAQHPLFEQVANLSLLIL >PAN13088 pep chromosome:PHallii_v3.1:2:46265761:46270037:-1 gene:PAHAL_2G313200 transcript:PAN13088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEAEAERVAALLREITGEGGFAFVASAEKAAAGAGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRAGGDRAAALKALDMGLIMGGNLLRADLEAALARISAEPCGGEDGAVAVDEEDQRWRDGLDRNRDIADALKILPVKSLSCKKVERLSHISLEEFICNYFLRESPVIISGAIDHWPARTKWKDIKYLKKIAGDRTVPVEVGKNYVCSFWKQELITFSQFLERMWSTDCPSNLTYLAQHPLFEQIKELSEDIIVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEELYPHTETMLSNTSQVDLDNIDLKEFPRAENLEFMDCILEDGELLYIPPKWWHYVRSLSTSFSVSFWWRATVQPSGGS >PAN13086 pep chromosome:PHallii_v3.1:2:46266709:46269849:-1 gene:PAHAL_2G313200 transcript:PAN13086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEAEAERVAALLREITGEGGFAFVASAEKAAAGAGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRAGGDRAAALKALDMGLIMGGNLLRADLEAALARISAEPCGGEDGAVAVDEEDQRWRDGLDRNRDIADALKILPVKSLSCKKVERLSHISLEEFICNYFLRESPVIISGAIDHWPARTKWKDIKYLKKIAGDRTVPVEVGKNYVCSFWKQELITFSQFLERMWSTDCPSNLTYLAQHPLFEQIKELSEDIIVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEELYPHTETMLSNTSQVRISIQLDMYL >PVH63493 pep chromosome:PHallii_v3.1:2:2962202:2964979:-1 gene:PAHAL_2G042200 transcript:PVH63493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAILDVITDAEEQAAAKREGAKVWLEEVRKVAYQANDVLDEFKYEALRRKAKKEGHNKDLGIDVIKLFPTHNRIVFRHRMANKLLVILKEIDVLVAEMNAFRFKFKPGQPEPTNYLRQYNSNIIDPTNIASRSRADEKKAVVSTLLAQVGNENLTVHPIVGMGGLGKTTLAQLIYNDPEIQKHFELRLWVCVSDNFDADSLADRIVKENGCNPSGSSAREKLQNVVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGTGSSLLTTTRDDKVAQLMGTTEVKNLKSLDEIYIKEIIETKAFGSKQVEQRSRELVDMVGDVAKRCSGSPLAATALGSVLRTKTSKQEWDAVLNRSTICDEENGILPVLKLSYNCLPSYMQQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFEQKYDTFGRIKYMYCSKITCKIHDLMHDVAMDSMGNECANIGKKLSKFEDFPYSARHLLMSVDEADTILNASVEKGSPAFQTLICDGHVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSESEIEALPEDISILYHLQTLHLSDCYYLQRLPKNLNYLTSLRQLYTHGCRKLKSMPGGLGHLTSLQTLTCFVAGTDSGCSNMRELQDLDLGGRLELRQLENITGANGAQAAGLGNKKKLTELELRWTHGDQEAQNNNHEEVVEGLKPHDGLKVLRIYSCGNSTFPTWMDMLNGMVELKLSGSKKLGKLPALWQLPALEILHLSGLESLHCLCSGAATAVTFQKLKVLFLSNMPKFEAWLDTDVVPGEETIFPEVEELVIGECGSLTALPKAASVITESSGGVDTKCRSAFPALWNMTLRSLNMFDRWEETG >PVH63495 pep chromosome:PHallii_v3.1:2:2959814:2965109:-1 gene:PAHAL_2G042200 transcript:PVH63495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAILDVITDAEEQAAAKREGAKVWLEEVRKVAYQANDVLDEFKYEALRRKAKKEGHNKDLGIDVIKLFPTHNRIVFRHRMANKLLVILKEIDVLVAEMNAFRFKFKPGQPEPTNYLRQYNSNIIDPTNIASRSRADEKKAVVSTLLAQVGNENLTVHPIVGMGGLGKTTLAQLIYNDPEIQKHFELRLWVCVSDNFDADSLADRIVKENGCNPSGSSAREKLQNVVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGTGSSLLTTTRDDKVAQLMGTTEVKNLKSLDEIYIKEIIETKAFGSKQVEQRSRELVDMVGDVAKRCSGSPLAATALGSVLRTKTSKQEWDAVLNRSTICDEENGILPVLKLSYNCLPSYMQQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFEQKYDTFGRIKYMYCSKITCKIHDLMHDVAMDSMGNECANIGKKLSKFEDFPYSARHLLMSVDEADTILNASVEKGSPAFQTLICDGHVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSESEIEALPEDISILYHLQTLHLSDCYYLQRLPKNLNYLTSLRQLYTHGCRKLKSMPGGLGHLTSLQTLTCFVAGTDSGCSNMRELQDLDLGGRLELRQLENITGANGAQAAGLGNKKKLTELELRWTHGDQEAQNNNHEEVVEGLKPHDGLKVLRIYSCGNSTFPTWMDMLNGMVELKLSGSKKLGKLPALWQLPALEILHLSGLESLHCLCSGAATAVTFQKLKVLFLSNMPKFEAWLDTDVVPGEETIFPEVEELVIGECGSLTALPKAASVITESSGGMGGDGVTFPLLEELKIKFCPKLTGLPETPKLGKLAIEGGHQISLQAASRCIPSLSSLRLDVSPDDAETTLLHVKQKWDHELPLAAMTLRRCDILFSSHPGALALWTCFARLVDLRIWNCDALVYWPENVFQVLVSLRRLSIFWCSKLTGHTQASDGQSAPERGGLLPRLEYLYISDCTSLVEVPNLPASLKELHIGFCGNNLKSIIFGQHEYVMPVGGEGVVQPDTSSLIPGSSGSEATASTAVLKLSSAANHRSLPCLESLIIQSCDHLSEVANLPPSIKFLEIYSCGNLQSLSGKLDVVQKLTIRFCGRLKSLESCVGELWSLEDLRLDCCESLVSLPDGPQAYSSLRVLDIQDCDGIKLLPRSLRSHLDCLEEKHLDARYEETTWKRAIRTLACSK >PVH63494 pep chromosome:PHallii_v3.1:2:2959814:2964979:-1 gene:PAHAL_2G042200 transcript:PVH63494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAILDVITDAEEQAAAKREGAKVWLEEVRKVAYQANDVLDEFKYEALRRKAKKEGHNKDLGIDVIKLFPTHNRIVFRHRMANKLLVILKEIDVLVAEMNAFRFKFKPGQPEPTNYLRQYNSNIIDPTNIASRSRADEKKAVVSTLLAQVGNENLTVHPIVGMGGLGKTTLAQLIYNDPEIQKHFELRLWVCVSDNFDADSLADRIVKENGCNPSGSSAREKLQNVVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGTGSSLLTTTRDDKVAQLMGTTEVKNLKSLDEIYIKEIIETKAFGSKQVEQRSRELVDMVGDVAKRCSGSPLAATALGSVLRTKTSKQEWDAVLNRSTICDEENGILPVLKLSYNCLPSYMQQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFEQKYDTFGRIKYMYCSKITCKIHDLMHDVAMDSMGNECANIGKKLSKFEDFPYSARHLLMSVDEADTILNASVEKGSPAFQTLICDGHVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSESEIEALPEDISILYHLQTLHLSDCYYLQRLPKNLNYLTSLRQLYTHGCRKLKSMPGGLGHLTSLQTLTCFVAGTDSGCSNMRELQDLDLGGRLELRQLENITGANGAQAAGLGNKKKLTELELRWTHGDQEAQNNNHEEVVEGLKPHDGLKVLRIYSCGNSTFPTWMDMLNGMVELKLSGSKKLGKLPALWQLPALEILHLSGLESLHCLCSGAATAVTFQKLKVLFLSNMPKFEAWLDTDVVPGEETIFPEVEELVIGECGSLTALPKAASVITESSGGVDTKCRSAFPALWNMTLRSLNMFDRWEETG >PAN13929 pep chromosome:PHallii_v3.1:2:49959915:49962568:-1 gene:PAHAL_2G373000 transcript:PAN13929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDLDSAALWAAVDSAAAQASRVRCASGDDDHRGEVLQPARPFKSPRLASPSYATPPPPPLPLHPPPTHASPYTTLDAAAEARSRLVVVESPPPAPWGVPKGSPIAADGCLLPSLSVANFRKYQEVALSILEKSDYTTISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDDDRNVKRAEFLVRASMLGGRFSDGWGSCDRREKRFNKPNHDIPSTAETRAKNKACQDLLGIGNNRPG >PAN13928 pep chromosome:PHallii_v3.1:2:49959473:49962568:-1 gene:PAHAL_2G373000 transcript:PAN13928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDLDSAALWAAVDSAAAQASRVRCASGDDDHRGEVLQPARPFKSPRLASPSYATPPPPPLPLHPPPTHASPYTTLDAAAEARSRLVVVESPPPAPWGVPKGSPIAADGCLLPSLSVANFRKYQEVALSILEKSDYTTISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDDDRNVKRAEFLVRASMLGGRFSDGWGSCDRREKRFNKPNHDIPSTAETRAKNKACQDLLGIGNNRPG >PAN10804 pep chromosome:PHallii_v3.1:2:9864025:9881000:-1 gene:PAHAL_2G117800 transcript:PAN10804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQNILDELSSLLFDQVQVYKNKMLGYFGDLEKVSTYWDSLLFDGEGSYFVSAAFLEAGIVEYKYGRVDASRLHLDSAQEACDLQLSLTGILGFRTIHQVDAKSQMVLVAKTNKSGSDEAQATEPTVALNDNAALKNARSSVPVESDEFCDILRTPRLVNDGSDSASENKTGPSANIPLSAIQQAAVLAQCLHVSRRSRSDEMSGWEMAPYIESIDSQGKAYFVVRSLCHILRIRWESTRSRTKQRALLMMENLVEDVGKEFPMAAQRVKMVFGVHMPTLPALRKEYGELLISCGIVGEALEIFKDLELWDNLIYCYRLLGKVSDAVSLINARLSVNPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARAMRSLARSAYNRNDFYTSKILWESALSLNSLVPDGWFAYGTAAWKDKDLDKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSQAAVQAFREAVKFKRNSWEIWENYSKVALDTGNVRLTLEALRTVLNLSSNKRFNVDILDKVMTMLEEQPPHFVDTPEASDGANKETRQSNQLLDIIGDILQQIVRSGGSNADVWGLYARWHKTKGNLMACSEALLKQVRSLQGSGLWHDQQKFTKYAQASLQLCKVYMEISSSSGSRRELLTAEMHLKSTLKQAADFSDTKEYKALDNCLEEIKNLIAATA >PAN10805 pep chromosome:PHallii_v3.1:2:9864806:9880892:-1 gene:PAHAL_2G117800 transcript:PAN10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTRRPGFLREVELRLLRCTLPSPPSTSPPTAPPSPAHPLGATAASALAAIEAGDYEAALAAAASHLLPASASSGPPDSAAQFYADLAATARAFLRGDGEGGAVEEGFECRCAVVLSAAVAALLAFTQQNVTGPPKKFSTFPFCTSSLDEGWYSNIGGIWDAWASASLASFGSHVHGKFSLLQFIVFAELLLTSIQNLDLSDCWSVSWWLFRISMLQQNILDELSSLLFDQVQVYKNKMLGYFGDLEKVSTYWDSLLFDGEGSYFVSAAFLEAGIVEYKYGRVDASRLHLDSAQEACDLQLSLTGILGFRTIHQVDAKSQMVLVAKTNKSGSDEAQATEPTVALNDNAALKNARSSVPVESDEFCDILRTPRLVNDGSDSASENKTGPSANIPLSAIQQAAVLAQCLHVSRRSRSDEMSGWEMAPYIESIDSQGKAYFVVRSLCHILRIRWESTRSRTKQRALLMMENLVEDVGKEFPMAAQRVKMVFGVHMPTLPALRKEYGELLISCGIVGEALEIFKDLELWDNLIYCYRLLGKVSDAVSLINARLSVNPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARAMRSLARSAYNRNDFYTSKILWESALSLNSLVPDGWFAYGTAAWKDKDLDKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSQAAVQAFREAVKFKRNSWEIWENYSKVALDTGNVRLTLEALRTVLNLSSNKRFNVDILDKVMTMLEEQPPHFVDTPEASDGANKETRQSNQLLDIIGDILQQIVRSGGSNADVWGLYARWHKTKGNLMACSEALLKQVRSLQGSGLWHDQQKFTKYAQASLQLCKVYMEISSSSGSRRELLTAEMHLKSTLKQAADFSDTKEYKALDNCLEEIKNLIAATA >PVH63665 pep chromosome:PHallii_v3.1:2:5964445:5969072:1 gene:PAHAL_2G080400 transcript:PVH63665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MGGRAPSWVTAPPSSRLVTRATARGAGSEKPPSPARASPLFSALPHPTRSVPSRTKPPAAPPLAETPPPPRVPAPPPGRAPPRVLAVAVSSPTPPPGRLPHAHRGATAEMASPAAAPLAGAAFLPAPPRPARRASTAAPRRARASSVSAAAAAAASCVLADAPRGIKMEQAEDAQAAAAAAAARRDVSPDTVASIILGGGAGTRLFPLTQTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFSGGSVEVLAATQTAGESGKKWFQGTADAVRQFLWLFEDARLKCIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDLGLVKADRNGRITDFLEKPKGESLKSMQVDMSLFGLSPELADKYKYMASMGIYVFKADVLRKLLKGHYPTANDFGSEVIPMAAKDYDVQAYLFNGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTRVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLEPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTTIRNCIIDKNARIGKNVVIMNSDNVQEADRPAEGFYIRSGITVVLKNAVIPDGTTI >PVH64685 pep chromosome:PHallii_v3.1:2:47613481:47614453:1 gene:PAHAL_2G333900 transcript:PVH64685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSKSRKPNAQWDPTAAKIFNEICVEQVLANNRPQGCLNNKGYANLIAQFNERTGRNYNRSQMKNRWDALKNDYTTWKTLLLAASGLGRDPRTGSIAADAEWWKEKIEAMPACKKFRLAPLENEEDLEIMFSGASCTNVYAAIPGAKEGTAGAKEGTSGANERSDGTDDEVEEVLPFSPPGANAKKRGAAHKSPMKKTKKNFRDLQFKRFVDSFVEKASSSKTSATSSPNDYVRQEIAEMLESVIEAGACEGSDEHFYATQLLVKKEYRDVFSTLKTPAGKLAWLKRTWEERKTR >PAN11941 pep chromosome:PHallii_v3.1:2:39094522:39096831:1 gene:PAHAL_2G231200 transcript:PAN11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRRAHLLLTITVLLLLLCHLSSAMPLPSPSPPSNATSPSYPPPAPPVPKLPRGLPRIIPAWSLPANPFTAKAAFIRYWNRKVRSNRPHPAFFFAKLSPLSAPDAAAFSTLASAGKLASRIRDFCAAASLLCPSTPAASWSASSPSVEGAAGGAASSGAGAGASEGSPAPFKNYENGNFSSYGNSGGGGADQFAVYSSGKSGPLDSFKRYGKGSLGRNDSFTNYEVGGNVGTSSFSSYTTGATGGAGEFAGYAGQTNTVAATFAAYDSSGNGREHEFAMYAQDANAGVESFTSYGKTANGAAESFKTYANNSNTIASGFINYGEKANGFNDTFASYGLDGNAPENTFRSYASGSNAAVDDFKGYRDQANMGDDSFTSYASNANGAEADFDSYGKSTNPGSVAFKGYGQGSNPNHRIGFTHYSGDNTTFKAYSNEGVEFKEYQNMSKMEVSKTAAAASAATALGHRQPKWSPEPGKFFRERDLMMGNRMPMPDIADKMPHRAFLPRDIATKIPFEEGAVSALFGAAPGTAMRQVVASTVAECARAPSRGETKRCATSAEDVVDFAVEMLGSSSVAVGSTESAAGGGRDVRLGRIAGIAGGGVTRSVSCHQSLFPYMVYYCHSVPRVRLYEADILDVDSNRKINHGVAICHLDTSDWSPNHGAFVALGGKPGEIEVCHWIFQGDMTWTLID >PAN15293 pep chromosome:PHallii_v3.1:2:56309194:56311350:1 gene:PAHAL_2G478300 transcript:PAN15293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFSDLHTGEGLKALESHLAGKTYVSGDVISKDDIKVFAAVPSKPGAEFPNAARWYETVAAAVASRFPGKGVGVNLPGAGSAPAAAAPAADTAKDDDDDDLDLFGDETEEDKKAADERAAAKASAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKMTIMLTIVDDLVSVDSLIEDHLQEAPINEYVQSCDIVAFNKI >PAN11031 pep chromosome:PHallii_v3.1:2:12270795:12271712:-1 gene:PAHAL_2G135000 transcript:PAN11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRRSGGKVVGSVVKTKVVQETVEVTTAFVADGEPGQRATEDLALAPPAVDASGGSRSRVVHIEVTTPDGDTTTGGSNAKQATSKRGRGGRREEEKPAPPAEEAAQEPPVAQSQETQDPNEEQEEEEDAGKKKKKKKPPQQELQDEEPPETPRVASERKTAAAKRTPQQQQKRGGGGAGGGDKTKTTKAKKGGRRRLGQASPGGDAGMGGVGGYKRYVWRVLKQVHPELGVSGNAMRVLDMMMADMFERLADEAARLSKVSGRATLSSREVQSAVRLVLPGELSRHAMSEGTKAISKYMSYDA >PAN14758 pep chromosome:PHallii_v3.1:2:54206134:54208866:-1 gene:PAHAL_2G442600 transcript:PAN14758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVDHHHGPHSPTPAAATKISIPISSGEAALFGKGRYKAWALAAIALLALWSMFAASVTLRWSSGSGELAATFADASDPLIDELDPLEMEQREKLVRRMWDIYTRTGDHVRLPKFWQEAFEAAYEELAGDDTQATDAAISEIARMSVHRPEVEQSSNKN >PAN11724 pep chromosome:PHallii_v3.1:2:35614602:35620415:1 gene:PAHAL_2G211300 transcript:PAN11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESWVQDLKHTSAPESVSTHEASTARRSRQRNIFDLLAQREISPRTKHQAKNQWTKAPRSDAGYNELEFWVTDAQHDLHYWAESQSLHCWCAKYCPLLPASRATIAAAFSPDGRVLASTHGDHTVKIIDCQIGKCLKVLQGHQRTPWVVRFHPLHSDILASGSLDCEVRLWDAKTSHCTRVLGFYRPIASIAFDATGELLAVASGHKLFIWDYNKRGEALDPPMILRTRRSLRAVQFHPHGAPYLLTAEVHNHDSEESTMTPALLNNYAFRDIPLLGSSGVDNLIGELPYTHNFGHVGASSSVPVNAGSFDGSRQHGAPHHQLMTSVPGVGGSLLGTHAVSFGVGSERATSLLDSGTELPCTVKLRIWRHDIKDPFIALEPGACLLTIPHVVLCSEMGTHFSPCGRFLVACVACVLPQRDGDHGSQLHEHYDSAGAGTSPTRHTLPSRQIVYELRVYSLEEAMFGTVLASRAVKAAHCLTSVQFSPTSEHILLAYGRHHNSLLKTILIDGETRVPSYRVLEVYRVSDMELVRVLPSVGDEVNVACFHPSPGAGLVYGTKEGKLRFLQNKMVQAWA >PAN09672 pep chromosome:PHallii_v3.1:2:3067773:3069856:-1 gene:PAHAL_2G043400 transcript:PAN09672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVAAYLLAVLAGNPSPSAEDLSAILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >PVH64022 pep chromosome:PHallii_v3.1:2:21449033:21449671:1 gene:PAHAL_2G166300 transcript:PVH64022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDGYPGPREFCKARKSQVNTHQSCRMNRKQRIKFCCKDNTTEHENCIEDDK >PAN14807 pep chromosome:PHallii_v3.1:2:54382308:54385614:1 gene:PAHAL_2G445900 transcript:PAN14807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASARPGERATSFAVACSLLSRFVRQNGAAAAELGLGIKGEAEPQTRSLLPGAEAEEAERRKETMELFPQSAGFGVKDAAREPEKKDKSQQLTIFYGGKVLVFDDFPADKAKDLMQLASKSNPMVQNVGLPQPSAPATVTDNRKVHKAMPTPVSSLPVAQAADAQKPARTNASDMPIARKASLHRFLEKRKDRLNAKTPYQTSPVDATPVKKEPENQPWLGLGPNTVKPNLS >PAN14808 pep chromosome:PHallii_v3.1:2:54382308:54385614:1 gene:PAHAL_2G445900 transcript:PAN14808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASARPGERATSFAVACSLLSRFVRQNGAAAAELGLGIKGEAEPQTRSLLPGAEAEEAERRKETMELFPQSAGFGVKDAAREPEKKDKSQQLTIFYGGKVLVFDDFPADKAKDLMQLASKSNPMVQNVGLPQPSAPATVTDNRKVHKAMPTPVSSLPVAQAADAQKPARTNASDMPIARKASLHRFLEKRKDRLNAKTPYQTSPVDATPVKKEPENQPWLGLGPNTVKPNLS >PAN11685 pep chromosome:PHallii_v3.1:2:34880466:34880744:1 gene:PAHAL_2G209100 transcript:PAN11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRAVLVICMFLLASSTHLQAARVFARNDHRVHDKKGSVVSTSSATDSATPCLHDAQVSALAPPANEPADIASDGHGRVLWSTPSDGVGH >PAN11610 pep chromosome:PHallii_v3.1:2:38876486:38879909:-1 gene:PAHAL_2G229300 transcript:PAN11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIRKRLLLAFLLLLLQAIAAASSDARYLLAARSALRDPSGALAGWSGGSGRGSPCCWARVSCANNSTAAVAGLDLSKLSLGGGFPAALCSLRSLEHLDLSANEFVGPLPTCLAAIPALAHLNLAGNNFSGEVPPEWGAGFRSLLVLNLVQNFLSGEFPAFLANLTALQEFSLAYNLFSPSPLPEKLGDLADLRVLFVANCSLNGIIPSSIGKLKNLVNLDISRNSIHGEIPGSIGNLSSLEQIELFANQLSGRIPVGFGGLKRLRSLDISMNGLTGEVPEDMFAAPMLASVHMYQNNLSGRLPATLGEAHSLSDLRIFGNQLSGPLPPEFGKNCPLQFLDASDNRLSGPIPTMLCASGKLKQLMLLDNEFEGAIPVELGQCRTLTRVRLSNNRLSGPVPPEFWGLPGVYLLELRGNELSGVVDPAIAGAQNLSKLLLQDNRFTGALHAKLGTLANLQEFKASNNFFSGPLPPSLANLSILDNLDLSHNSFSGEIPRDFGRLKKLSQLYLSDNHLSGNVPPELGEIIGMNTLDLSNNELSGQVPAQLQDLRLTHFNISYNKLSGALPVLFNGIQYQESFLGNPGLCHGFCQGNGNSDAKRHTIIKLVVYIFVAAGIILLLGLFWFHYKCRLYKISAAELDDGKSSWVLTSYHRVDFSEREIVNSLDESNVIGQGGAGKVYKAVVGPQGEAMAVKKLWPIGVASKRIDTFEAEVATLSKVRHKNIVKLACSITNTVCRLLVYEYMPNGSLGDMLHSAKRSIFDWPMRYKIAVNAAEGLSYLHHDCKPPIVHRDVKSNNILLDAEYGAKVADFGVAKTIGDGPATMSIIAGSCGYIAPEYAYTLHVTEKSDIYSFGVVMLELVTGMKPMAPEIGEMDLVTWVSATVEQNGLESVLEQTLAEQFKDEMCKVLKIALMCVSNLPVSRPPMRAVVKMLLEVKEANKPKLKVAPLAV >PAN13612 pep chromosome:PHallii_v3.1:2:48610616:48612248:-1 gene:PAHAL_2G350700 transcript:PAN13612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMETTTPTDSRLHHADTTDSSARHRSAAGLGGGRLGSLATRAAESLARGLVTCVFATVGTVLGAITGGLIGLATETGVLRGTGVGGITGALVSMEVVESYLDIWRSGEPAIWSVVYVLDVIWSLLTGRLVREKVDPAVLSAVESQMSAVDAPVGQGDGADIFGAGGTSGMPRAAIHALPVVSFAERGNVDAAGELIACSVCLQEFEAGESARSLPVCRHTFHLPCIDGWLLRHASCPLCRRAV >PVH63621 pep chromosome:PHallii_v3.1:2:5320406:5320675:1 gene:PAHAL_2G071600 transcript:PVH63621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASRPVVWYVVVIVRAPLRNKEKGACRTHEGLPVRYWRKVGMTSSPHGPYGLGHTRATMAMTMGSKAVRRSESGKIASVRIVLCNSGT >PVH65356 pep chromosome:PHallii_v3.1:2:55898552:55900169:-1 gene:PAHAL_2G471700 transcript:PVH65356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQNVIYGPNGVDLSEFNCAVREITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPFASNEDWLTYLQNASHWQWPLVLLVSVHQNPLINIEAAPRDENIDEEVEEANIKVGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPEDWVSSDFSHLVVNDGSSQPSDCRENEIIQGARYHSTEEVKEAVKCWSLSYARV >PAN09631 pep chromosome:PHallii_v3.1:2:2698812:2701251:1 gene:PAHAL_2G038500 transcript:PAN09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVAAPLAFRRDVRGPLGRPCQLGSRRGLQGALWCSSAGAGGSSRPAAPVWLARARGRNRSAGGRSSTKDDAEEDDEATEVVIVDGGDQEEFAADELSGFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQSLSRKNILFRDEFTCQYCSSGDNLTIDHVIPISRGGKWEWENLVAACSRCNSRKGQKTLEQANMKLRKIPRAPQEYDIMAVPLTKSAFRTLKRSQGLPEVWLQYLARPSP >PAN13875 pep chromosome:PHallii_v3.1:2:49732344:49736580:-1 gene:PAHAL_2G369900 transcript:PAN13875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRKILKEMTPLRTAGRFFKHHPWVLCLLVLLLILYKYFFGWFTLLVTTSPIFLIAGIFLGFILAYGEPNNPEKDHVYKKIDKARCPNIPLPKIPSEEKVAKHKSRDKKIRKRSHVVASSSEPGSSESGGSDTDNIPMLHAFHPLRSGSNSSQSSEDDDSYDSSIEDEMENHQGNGGKVREGKGHVKVVAWTADDQKNILKIGCLEIERNQRLETLIARRRARKYSDKNLIDFGSSDSLPTVEELSKFNVQIPAVFAPRRNPFDVPYNEDNFPDSAPSALLEIGNPFDLPNEQENESSSSGGAKSSHAEPIPVASHLQRRALLRRHESFTEGAPFLTDFWQDARPSRFKPYFVTEKMANEEIADPVLEGETSEKSNSKSSSVQDSDSTSSVADQESQKDVLEDFSNQGQQSSFSQTEEHAHISRHVREVSLALDMDPPVLISDSSDDDISLSGEHTNDWVEASQSYFSFSLNTLSDDPSVMQHHQEIDIASNGLHQMSPHSNDLELTSSSSETTNDAFEVNDIELSAREAVIIDDTHIPDPVYDSSPSGSEKPTPIGLAIDEVVLQDGHTRTLDAEASIKEEGSPTRMEASSSEVAAPSLSSLERSELREKEAYEMREQSMVGHNEAHESFVSRDDPPISDINSRPTTGGSTNG >PVH64855 pep chromosome:PHallii_v3.1:2:49733562:49736406:-1 gene:PAHAL_2G369900 transcript:PVH64855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVGNCTMKWRKILKEMTPLRTAGRFFKHHPWVLCLLVLLLILYKYFFGWFTLLVTTSPIFLIAGIFLGFILAYGEPNNPEKDHVYKKIDKARCPNIPLPKIPSEEKVAKHKSRDKKIRKRSHVVASSSEPGSSESGGSDTDNIPMLHAFHPLRSGSNSSQSSEDDDSYDSSIEDEMENHQGNGGKVREGKGHVKVVAWTADDQKNILKIGCLEIERNQRLETLIARRRARKYSDKNLIDFGSSDSLPTVEELSKFNVQIPAVFAPRRNPFDVPYNEDNFPDSAPSALLEIGNPFDLPNEQENESSSSGGAKSSHAEPIPVASHLQRRALLRRHESFTEGAPFLTDFWQDARPSRFKPYFVTEKMANEEIADPVLEGETSEKSNSKSSSVQDSDSTSSVADQESQKDVLEDFSNQGQQSSFSQTEEHAHISRHVREVSLALDMDPPVLISDSSDDDISLSGEHTNDWVEASQSYFSFSLNTLSDDPSVMQHHQEIDIASNGLHQMSPHSNDLELTSSSSETTNDAFEVNDIELSAREAVIIDDTHIPDPVYDSSPSGSEKPTPIGLAIDEVVLQDELNLQVILVLLMLKQASKKRVHQQEWRPLLVR >PAN13874 pep chromosome:PHallii_v3.1:2:49732344:49736580:-1 gene:PAHAL_2G369900 transcript:PAN13874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVGNCTMKWRKILKEMTPLRTAGRFFKHHPWVLCLLVLLLILYKYFFGWFTLLVTTSPIFLIAGIFLGFILAYGEPNNPEKDHVYKKIDKARCPNIPLPKIPSEEKVAKHKSRDKKIRKRSHVVASSSEPGSSESGGSDTDNIPMLHAFHPLRSGSNSSQSSEDDDSYDSSIEDEMENHQGNGGKVREGKGHVKVVAWTADDQKNILKIGCLEIERNQRLETLIARRRARKYSDKNLIDFGSSDSLPTVEELSKFNVQIPAVFAPRRNPFDVPYNEDNFPDSAPSALLEIGNPFDLPNEQENESSSSGGAKSSHAEPIPVASHLQRRALLRRHESFTEGAPFLTDFWQDARPSRFKPYFVTEKMANEEIADPVLEGETSEKSNSKSSSVQDSDSTSSVADQESQKDVLEDFSNQGQQSSFSQTEEHAHISRHVREVSLALDMDPPVLISDSSDDDISLSGEHTNDWVEASQSYFSFSLNTLSDDPSVMQHHQEIDIASNGLHQMSPHSNDLELTSSSSETTNDAFEVNDIELSGKQ >PAN13873 pep chromosome:PHallii_v3.1:2:49732867:49736406:-1 gene:PAHAL_2G369900 transcript:PAN13873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVGNCTMKWRKILKEMTPLRTAGRFFKHHPWVLCLLVLLLILYKYFFGWFTLLVTTSPIFLIAGIFLGFILAYGEPNNPEKDHVYKKIDKARCPNIPLPKIPSEEKVAKHKSRDKKIRKRSHVVASSSEPGSSESGGSDTDNIPMLHAFHPLRSGSNSSQSSEDDDSYDSSIEDEMENHQGNGGKVREGKGHVKVVAWTADDQKNILKIGCLEIERNQRLETLIARRRARKYSDKNLIDFGSSDSLPTVEELSKFNVQIPAVFAPRRNPFDVPYNEDNFPDSAPSALLEIGNPFDLPNEQENESSSSGGAKSSHAEPIPVASHLQRRALLRRHESFTEGAPFLTDFWQDARPSRFKPYFVTEKMANEEIADPVLEGETSEKSNSKSSSVQDSDSTSSVADQESQKDVLEDFSNQGQQSSFSQTEEHAHISRHVREVSLALDMDPPVLISDSSDDDISLSGEHTNDWVEASQSYFSFSLNTLSDDPSVMQHHQEIDIASNGLHQMSPHSNDLELTSSSSETTNDAFEVNDIELSAREAVIIDDTHIPDPVYDSSPSGSEKPTPIGLAIDEVVLQDGHTRTLDAEASIKEEGSPTRMEASSSEVAAPSLSSLERSELREKEAYEMREQSMVGHNEAHESFVSRDDPPISDINSRPTTGGSTNG >PAN15133 pep chromosome:PHallii_v3.1:2:55541837:55544855:-1 gene:PAHAL_2G467100 transcript:PAN15133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAARRLLLPRWVAGGRARLLGTAAEASPGGEEARGGGEGGGGPDGAIYVKKPAAAAAVTTRDETSVAMPTSFMTGSVVGKRFYRDATVRLADDGNGWTVMLDYRTLKSPAKRPLKLPSRALAMAIAAEWEYQESDGIRPFTMPLMKLACTALERVPLTRAKVIDNLMKKFHQDLVFCRSPADSELTLGVHQKQKEKIDPILEWVNTEFGFKPIVYTTFFGGKQDEGLTKAVETVLKKTTDCELASIDAMAAAAHSLVIPLAMFRERLGIDEAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVVFLGLSRGM >PAN09820 pep chromosome:PHallii_v3.1:2:3850635:3852043:1 gene:PAHAL_2G051900 transcript:PAN09820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCFLVLCIVALLLSRIFFSVCLFFVSFAGIDLRVVTGWTVMKNATKTHHLSGLIGSCHAANSAILMSLLIRPSSLFEWFCTMRLFIKRFSHVRFIGPFVAFFIETLFGSEK >PVH65205 pep chromosome:PHallii_v3.1:2:54005755:54007973:-1 gene:PAHAL_2G439300 transcript:PVH65205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSRIGNVLRRTSLLSSLPLLQAVRCMSSSKLFVAGLPYAIDETTLKNEFSKYGDVLEARIITDRESGRSRGFGFVTYTSSEEASAAITAMDGKELQGRPVKVSHANDRAGGIRGGGGFGFGGGYGGGGGYATGGYGAGGGYGAGGGFSSGGYGGNGSAYAGTSGYGDGAGGGSGYGSNYNNASGGGYGGGGSYGTTGNPGGSTGRYSSPSTYGAGNYNSGAPGGGSFGECGGGFGNGGFGAAAGPNNGNSNFAGNPTSGSNFARNVTSGSYSGHNGAGGFSGSPTGYGDNMPQYNGQDDLLGDDYFDSKEAAENR >PVH65204 pep chromosome:PHallii_v3.1:2:54005755:54007973:-1 gene:PAHAL_2G439300 transcript:PVH65204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSRIGNVLRRTSLLSSLPLLQAVRCMSSSKLFVADETTLKNEFSKYGDVLEARIITDRESGRSRGFGFVTYTSSEEASAAITAMDGKELQGRPVKVSHANDRAGGIRGGGGFGFGGGYGGGGGYATGGYGAGGGYGAGGGFSSGGYGGNGSAYAGTSGYGDGAGGGSGYGSNYNNASGGGYGGGGSYGTTGNPGGSTGRYSSPSTYGAGNYNSGAPGGGSFGECGGGFGNGGFGAAAGPNNGNSNFAGNPTSGSNFARNVTSGSYSGHNGAGGFSGSPTGYGDNMPQYNGQDDLLGDDYFDSKEAAENR >PVH65203 pep chromosome:PHallii_v3.1:2:54005474:54008566:-1 gene:PAHAL_2G439300 transcript:PVH65203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSRIGNVLRRTSLLSSLPLLQAVRCMSSSKLFVAARIITDRESGRSRGFGFVTYTSSEEASAAITAMDGKELQGRPVKVSHANDRAGGIRGGGGFGFGGGYGGGGGYATGGYGAGGGYGAGGGFSSGGYGGNGSAYAGTSGYGDGAGGGSGYGSNYNNASGGGYGGGGSYGTTGNPGGSTGRYSSPSTYGAGNYNSGAPGGGSFGECGGGFGNGGFGAAAGPNNGNSNFAGNPTSGSNFARNVTSGSYSGHNGAGGFSGSPTGYGDNMPQYNGQDDLLGDDYFDSKEAAENR >PAN14547 pep chromosome:PHallii_v3.1:2:52750571:52754299:-1 gene:PAHAL_2G418700 transcript:PAN14547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGSGAGTYTTDEALSRLGFGRFQALLLGFLGTGWVAEAMEVMLLSFVGPSVKEEWGVSGGAEGLITSVAFAGMLLGACVGGLVSDRYGRRAGFLFTAIVSGVPGFLCAFSPNYATLLALRFVVGLGLGGSHVLPTWFLEFVPAENRGSWIAAFTCFWTLGTILEALLAWAIMPILGWRWLLAFSSMPCFILLFFSSVIPESPRYLCSRGKISEAMLVLERMARMNNSALPPGTITSGPKRIEDNYDSSVTTPLLMTEDNLGHDEDTSTKSSYKNAFRAFWSRDLIGSTLLLWLVHFASYFAYYGLVYLISELSSGRSQPNDSTVYINVLVTSFAEFPGLLLAALLIDRIGRRVTLGGMILLCCAFIAPLSIQLREGLSIILLFCARTCVMGDFAVLHVYSPEIYPTSCRNTGVGFANFIGRIGSIVAPLTITALLENHRQKEAVLVMDLALFLAGVACTLFPLETKGREIH >PAN12872 pep chromosome:PHallii_v3.1:2:45270177:45275563:-1 gene:PAHAL_2G296900 transcript:PAN12872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSTPNCCLCVCQTLYQTQQRPIHSTSPPPPPPPPRARGHLPDARRRARRAAPAAVRSEVAMAPLRPHAATTVTANCTDSPLDCFRVCPGGVEDCSEYALPPPPPIPVIPRAPDADRHAPVRLLLVVSLLSAFLFLSLALSTLLLYRRRRLILRRRRRLAAAAAEGADDGGFGDEEEGGGGGGGGVVHHVWYIRTVGLDEATIASITAVDYRRGVGRGGDCAVCLGEFSDGELVRLLPRCAHPFHAPCIDTWLRAHVNCPICRSPVVVVPSDLPATAAEAASDGVQAEEHQVHDEMSLSQSEPETEGSEVSEASSDTQSEDTTASGEENGRANTKPIRRSASMDSPLFLVAVPEAQDDVMPSNCKLPIAREMKIFRVKEKEAAGTSSSSCQSGRFKIGRSMSSSGQGFFFARNGRSSGTVLPL >PAN13441 pep chromosome:PHallii_v3.1:2:47764274:47768280:1 gene:PAHAL_2G336700 transcript:PAN13441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGSFWDLLKPYARHEGAGYLHGRRVAVDLSFWVVSHSTAIRARSPGARKPHLRNTFFRTLSLFSKMGAFPVFVVDGQPSPLKSQARAARFFRGSGMDLAALPSTETESSGAATPVKGRNAAFTRCIEECVELLEYLGMPVLWAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKIVIKVLKSNCKEPFECYNIADIEAGLGLMRKQMVAMALLIGSDHDLHGVPGLGLETALRFVQLFDEDEVLDKLREIGRGLYPFLEGLDNPHIDDLPSSSTKGSIVKSLHCSHCGHPGSKKNHSKVGCNYCLVDALENCVEKPAGFKCECPSCEKARDLKEQRKHENWQIKVCRRIAAETNFPNEEIIKLYLSDDNLDKEKGVPLLSWNKPDVEALVDFLTYSQNWEPSYIRQRMLPMLSTIYLREVASSPSTPLLLYDQYEFDSIQRIKIRHGHPYYLVKWKRGTQGMKSSISIKKPVTEGEMSSEVVVLDEDEEEDAVVCESSELLDEPDVPQVLTDDGCCFLLTDEDIQLVSSAFPKETARFQEQQRLKEAKSRLRKSKSSTSSAFETPKGPRPSGVQLSITEFYRRSKKGLSIESGKKPVGEGEASKEGSRKASDRDPNKDLPKSTRRRLHFD >PAN14355 pep chromosome:PHallii_v3.1:2:51845247:51847968:-1 gene:PAHAL_2G402900 transcript:PAN14355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRVHLARRLLHLRRRPRALSLPFSPSPSAHGPLPGPSLWPPPSPLAPPGGAWGRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLADFVRRHVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDIYMYKDLIIALAKCKKMEEAMVIWGNMRDENLFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMR >PVH63994 pep chromosome:PHallii_v3.1:2:19632838:19633246:1 gene:PAHAL_2G161300 transcript:PVH63994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKICKAIWRIAATDRGSSAGQHGADSGVSSLPSSLAQRNFRRPADRPSSHVPLDASRTRVGGCRCSPAAAAAGAREGNMCVRVWELLFIR >PVH65067 pep chromosome:PHallii_v3.1:2:52572158:52576142:1 gene:PAHAL_2G416300 transcript:PVH65067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEFPNLGKHCSVGDCNQIDFLPFTCDRCDHVYSLNKFKGGQSAKRFVDLLA >PVH65066 pep chromosome:PHallii_v3.1:2:52572135:52576142:1 gene:PAHAL_2G416300 transcript:PVH65066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEFPNLGKHCSVGDCNQIDFLPFTCDRCDHALEDINQATIGQM >PAN09434 pep chromosome:PHallii_v3.1:2:1635339:1638970:1 gene:PAHAL_2G023200 transcript:PAN09434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALQVAGCALPPLLARRRCRRRAFRSPRAVASDAAPAAAREEDGKVALGGSGVAVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGISGAINSESLLGRFIKERQRKEQVEVAIATKFAALPWRFGRGSVICALKASLDRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYARLKKRGIPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGALTGKYTPDNPPKGPRGRIYTPEFLTKLQPLINRIKEIGGNYGRTPTQVVLNWLVCQGNVVPIPGAKNAEQAREFAGALGWSLSGDEVEELRSMARQVKPVIGFPVEKL >PAN14637 pep chromosome:PHallii_v3.1:2:53152125:53156138:1 gene:PAHAL_2G425700 transcript:PAN14637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNAVASLMVRLHHLPLPRAPPASTYRHHHALRLPRPASASVRPAAAMSTTAEQAVADQKRALRTEVRRALKALSPDQRASEDLAIQTTILNSSWFKASKRLCAYISCYQLREVDTSKILAECLPSNPGQEELTKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPVDASGNDREDVLTASSPVDLFLLPGQAFDRTGRRLGRGGGYYDTFLLKYQELAKEKGWNQPLLVALSYSAQIMEEGVIPVNSTDVPIDALVSSSGVIPISPAALERMK >PAN10626 pep chromosome:PHallii_v3.1:2:8379949:8383644:1 gene:PAHAL_2G106400 transcript:PAN10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAAANGGASRRSRPNMLVTGTPGTGKTTTCSLLSEAAGLRHVNIGDLVREKSLHDGWDDDLECHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYTGSKLSNNIECEIFQVLLEEARESYKEDIVMPLRSDNVEDISRNVGTLTDWVNNWRSSC >PAN10492 pep chromosome:PHallii_v3.1:2:7417177:7420647:-1 gene:PAHAL_2G096600 transcript:PAN10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQRRRQAAFPLPPPLLLLLLCDCARGGGDLRRVVEVPGEPGSVVWAVQLSDLHLSAFHPERAADFRRQVGDALAMVNPALVLITGDLTDAKSKDLLSSRQEKSEWIEYARVIDDVANRSGLNKEIFYDLRGNHDSYGVPEVGGMFDFYKKHSINARLGRTGAVQSITLQNSGRKHLFVGFDSAMGAGLRSPTNIFGQPTDQLLSDLDAALSQWDNQSTSSPITKIAFGHFPISFSASTTSGRNIRDVFLKHSLSAYLCGHLHTNFGRNLKRHHTSEQHQFSSKQYFQFDVHEGMSTAVSNGNCSAGTESVAEFWEWEMGDWRSARSMRIIAIDSGHVSYADVDFRFGSRDVIIVPTFPMDSRFMQRSSDPLDFICQEKSTTHLGTVRALVFSRCKIEFVTVKVYESHYGSFHLVLEQEMERTSEKGARGAMYTFPWNWRDFLDDSPDRYWLQIEAVDMSGEVYLSELRPFSVNGLSAKVSWTWKEFRVMGCQWNQLYYPIMWTTLTFLFSLVLIPCTSLTFFENQLMFKFLRPKMTRRSSGGFSPVNFEFFAAELSKMYIIWSGMLLYLLYLVFFPWFSGYAVMENDNKMYLHYKGWNTKYLANTSKGHILVCLT >PAN10490 pep chromosome:PHallii_v3.1:2:7415419:7420850:-1 gene:PAHAL_2G096600 transcript:PAN10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQRRRQAAFPLPPPLLLLLLCDCARGGGDLRRVVEVPGEPGSVVWAVQLSDLHLSAFHPERAADFRRQVGDALAMVNPALVLITGDLTDAKSKDLLSSRQEKSEWIEYARVIDDVANRSGLNKEIFYDLRGNHDSYGVPEVGGMFDFYKKHSINARLGRTGAVQSITLQNSGRKHLFVGFDSAMGAGLRSPTNIFGQPTDQLLSDLDAALSQWDNQSTSSPITKIAFGHFPISFSASTTSGRNIRDVFLKHSLSAYLCGHLHTNFGRNLKRHHTSEQHQFSSKQYFQFDVHEGMSTAVSNGNCSAGTESVAEFWEWEMGDWRSARSMRIIAIDSGHVSYADVDFRFGSRDVIIVPTFPMDSRFMQRSSDPLDFICQEKSTTHLGTVRALVFSRCKIEFVTVKVYESHYGSFHLVLEQEMERTSEKGARGAMYTFPWNWRDFLDDSPDRYWLQIEAVDMSGEVYLSELRPFSVNGLSAKVSWTWKEFRVMGCQWNQLYYPIMWTTLTFLFSLVLIPCTSLTFFENQLMFKFLRPKMTRRSSGGFSPVNFEFFAAELSKMYIIWSGMLLYLLYLVFFPWFSGYAVMENDNKMYLHYKGWNTKYLANTSKGHILVCLT >PVH63745 pep chromosome:PHallii_v3.1:2:7417177:7418475:-1 gene:PAHAL_2G096600 transcript:PVH63745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGLRSPTNIFGQPTDQLLSDLDAALSQWDNQSTSSPITKIAFGHFPISFSASTTSGRNIRDVFLKHSLSAYLCGHLHTNFGRNLKRHHTSEQHQFSSKQYFQFDVHEGMSTAVSNGNCSAGTESVAEFWEWEMGDWRSARSMRIIAIDSGHVSYADVDFRFGSRDVIIVPTFPMDSRFMQRSSDPLDFICQEKSTTHLGTVRALVFSRCKIEFVTVKVYESHYGSFHLVLEQEMERTSEKGARGAMYTFPWNWRDFLDDSPDRYWLQIEAVDMSGEVYLSELRPFSVNGLSAKVSWTWKEFRVMGCQWNQLYYPIMWTTLTFLFSLVLIPCTSLTFFENQLMFKFLRPKMTRRSSGGFSPVNFEFFAAELSKMYIIWSGMLLYLLYLVFFPWFSGYAVMENDNKMYLHYKGWNTKYLANTSKGHILVCLT >PVH63746 pep chromosome:PHallii_v3.1:2:7415533:7420850:-1 gene:PAHAL_2G096600 transcript:PVH63746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYENSGRKHLFVGFDSAMGAGLRSPTNIFGQPTDQLLSDLDAALSQWDNQSTSSPITKIAFGHFPISFSASTTSGRNIRDVFLKHSLSAYLCGHLHTNFGRNLKRHHTSEQHQFSSKQYFQFDVHEGMSTAVSNGNCSAGTESVAEFWEWEMGDWRSARSMRIIAIDSGHVSYADVDFRFGSRDVIIVPTFPMDSRFMQRSSDPLDFICQEKSTTHLGTVRALVFSRCKIEFVTVKVYESHYGSFHLVLEQEMERTSEKGARGAMYTFPWNWRDFLDDSPDRYWLQIEAVDMSGEVYLSELRPFSVNGLSAKVSWTWKEFRVMGCQWNQLYYPIMWTTLTFLFSLVLIPCTSLTFFENQLMFKFLRPKMTRRSSGGFSPVNFEFFAAELSKMYIIWSGMLLYLLYLVFFPWFSGYAVMENDNKMYLHYKGWNTKYLANTSKGHILVCLT >PAN10491 pep chromosome:PHallii_v3.1:2:7415534:7420850:-1 gene:PAHAL_2G096600 transcript:PAN10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYENSGRKHLFVGFDSAMGAGLRSPTNIFGQPTDQLLSDLDAALSQWDNQSTSSPITKIAFGHFPISFSASTTSGRNIRDVFLKHSLSAYLCGHLHTNFGRNLKRHHTSEQHQFSSKQYFQFDVHEGMSTAVSNGNCSAGTESVAEFWEWEMGDWRSARSMRIIAIDSGHVSYADVDFRFGSRDVIIVPTFPMDSRFMQRSSDPLDFICQEKSTTHLGTVRALVFSRCKIEFVTVKVYESHYGSFHLVLEQEMERTSEKGARGAMYTFPWNWRDFLDDSPDRYWLQIEAVDMSGEVYLSELRPFSVNGLSAKVSWTWKEFRVMGCQWNQLYYPIMWTTLTFLFSLVLIPCTSLTFFENQLMFKFLRPKMTRRSSGGFSPVNFEFFAAELSKMYIIWSGMLLYLLYLVFFPWFSGYAVMENDNKMYLHYKGWNTKYLANTSKGHILVCLT >PAN10614 pep chromosome:PHallii_v3.1:2:8314869:8315306:1 gene:PAHAL_2G105700 transcript:PAN10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTQPPPLLLPAISLLSLLLTLASPRGAAAAPNTAALSVLCNGASFGAGDPFTASLAYVLAELVSATPARPGRDFYNISPYPAAFAYGYAACRQAAALPSADDCAACLRSAVSQMEASCGRSVGARAVLVDCSVRYEQYAFAD >PVH64428 pep chromosome:PHallii_v3.1:2:42976141:42978737:1 gene:PAHAL_2G265800 transcript:PVH64428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEEVRRPTTKRKGKEKEVPRRGTNFSKEEDVVLCSAWLNISMDPIIGTGQSQGGFYKRMHEYFNANKPQGSTRSQNAIQNRWLLIQKCVNKFCGIKSIIDRRHESGKNEADRIEDEINMYEKEEPFQFLHCWKILRDQAKWNNKLLELNNSGGKTNEDNVDGPGCEGQLPSENNVHDRPEGRNSAKRRNRRESESSSSTAFEVLQRIHDSREKNQLKEEEQLQQILNRKDEKLNLQREVEHELLAKQNEAQLLTAEAGIMAVDLEKVAPHLKDYYIGMQREIMERRGFKSSSSNNA >PAN12584 pep chromosome:PHallii_v3.1:2:43768409:43769770:-1 gene:PAHAL_2G275600 transcript:PAN12584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDGATKAAAAGTGLKQMVRLRELLHKWQQAMALGGGKPRDGEEGRGGVAPDDQELVASAIPPFVLQRLRRTETVDSLLSDDESCHSPEPPPDVPRGYCPVYVGPEQRRFVIPTSYLAHPVFRLLLEKAEEEFGFRHEGALAIPCETEAFKYILQCVERHDKGLAADAQQVAEANHPGMALEQEPAMHHA >PAN14334 pep chromosome:PHallii_v3.1:2:55949069:55960101:1 gene:PAHAL_2G472700 transcript:PAN14334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKSAQRAALTALAPDAPYLAAGTMSGAVDMSFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAVEGDSFALGLLAGGLSDGSVAVWNPLSMISSEGKAEDAMVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPVEPVVYPPLKSVGSSAQAEISCLSWNPKFQHILATTSSNGMTVVWDLRNQKPLTSFSDSIRRKSSVLQWNPDMSTQLIVASDDDTSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSDNWNFDLHWYRKIPGVIAASSFDGKIGIYNLEFSGLYAASDAGAPARPRAPAPKWLKCPTGASFGFGGKLVAFHPAAPTQGAQVSSSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFEPPQAPTVDSTDELSQTLADTLNLDHGTTTDNADAQFLADNGDDFFNNPQPSEASLAEESISTNAEQIEQEMPGDVVPSDPSIDKSIQHALVVGDYKGAVKQCLAANRMADALVIAHAGGSALWESTRNQYLRKSISPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFARKEEWNVLCDTLASRLLSVGNTLAATLCYICAGNIDKAVEIWSRNLKSEDGGKTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENDAARSSVSDSTGASSPYATNQSYITPDHSQNLYQVQSYNVPSNTYSDGYQQQPNAAFAYNNAYQPTQPAQMFVPPSAPISSQQPQGSAPVPVPPQTVKTFTPANPMSLKNAEQYHQPNTLGSQLYTGAANQPYSTASSAPYQSGPPPTFKPVQYQTTPVPSVGPTASVPGTVPNQMLPHSAAATNSTSRFMPSNNQGFVQRPGLSPAQPSSPTQAQAPAQPAPPAPPPTVQTADTSKVSAELRPVIATLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVILTTSDWDECNFWLAALKRMIKTRQNFRM >PAN15221 pep chromosome:PHallii_v3.1:2:55949069:55960101:1 gene:PAHAL_2G472700 transcript:PAN15221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKSAQRAALTALAPDAPYLAAGTMSGAVDMSFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAVEGDSFALGLLAGGLSDGSVAVWNPLSMISSEGKAEDAMVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPVEPVVYPPLKSVGSSAQAEISCLSWNPKFQHILATTSSNGMTVVWDLRNQKPLTSFSDSIRRKSSVLQWNPDMSTQLIVASDDDTSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSDNWNFDLHWYRKIPGVIAASSFDGKIGIYNLEFSGLYAASDAGAPARPRAPAPKWLKCPTGASFGFGGKLVAFHPAAPTQGAQVSSSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFEPPQAPTVDSTDELSQTLADTLNLDHGTTTDNADAQFLADNGDDFFNNPQPSEASLAEESISTNAEQIEQEMPGDVVPSDPSIDKSIQHALVVGDYKGAVKQCLAANRMADALVIAHAGGSALWESTRNQYLRKSISPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFARKEEWNVLCDTLASRLLSVGNTLAATLCYICAGNIDKAVEIWSRNLKSEDGGKTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENDAARSSVSDSTGASSPYATNQSYITPDHSQNLYQQVQSYNVPSNTYSDGYQQQPNAAFAYNNAYQPTQPAQMFVPPSAPISSQQPQGSAPVPVPPQTVKTFTPANPMSLKNAEQYHQPNTLGSQLYTGAANQPYSTASSAPYQSGPPPTFKPVQYQTTPVPSVGPTASVPGTVPNQMLPHSAAATNSTSRFMPSNNQGFVQRPGLSPAQPSSPTQAQAPAQPAPPAPPPTVQTADTSKVSAELRPVIATLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVILTTSDWDECNFWLAALKRMIKTRQNFRM >PAN11513 pep chromosome:PHallii_v3.1:2:23290903:23298064:-1 gene:PAHAL_2G171500 transcript:PAN11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11520 pep chromosome:PHallii_v3.1:2:23290903:23298064:-1 gene:PAHAL_2G171500 transcript:PAN11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11523 pep chromosome:PHallii_v3.1:2:23291239:23294939:-1 gene:PAHAL_2G171500 transcript:PAN11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKLKFGQHYENAASDDIDCNQAYKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11512 pep chromosome:PHallii_v3.1:2:23290903:23298064:-1 gene:PAHAL_2G171500 transcript:PAN11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11516 pep chromosome:PHallii_v3.1:2:23291239:23294939:-1 gene:PAHAL_2G171500 transcript:PAN11516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11524 pep chromosome:PHallii_v3.1:2:23290903:23295701:-1 gene:PAHAL_2G171500 transcript:PAN11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11519 pep chromosome:PHallii_v3.1:2:23291239:23294939:-1 gene:PAHAL_2G171500 transcript:PAN11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKLKFGQHYENAASDDIDCNQAYKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11515 pep chromosome:PHallii_v3.1:2:23290903:23296289:-1 gene:PAHAL_2G171500 transcript:PAN11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKLKFGQHYENAASDDIDCNQAYKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11517 pep chromosome:PHallii_v3.1:2:23290903:23296289:-1 gene:PAHAL_2G171500 transcript:PAN11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11525 pep chromosome:PHallii_v3.1:2:23291239:23294939:-1 gene:PAHAL_2G171500 transcript:PAN11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKLKFGQHYENAASDDIDCNQAYKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11522 pep chromosome:PHallii_v3.1:2:23291239:23294939:-1 gene:PAHAL_2G171500 transcript:PAN11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKLKFGQHYENAASDDIDCNQAYKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11518 pep chromosome:PHallii_v3.1:2:23290903:23293476:-1 gene:PAHAL_2G171500 transcript:PAN11518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11511 pep chromosome:PHallii_v3.1:2:23290903:23298064:-1 gene:PAHAL_2G171500 transcript:PAN11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11521 pep chromosome:PHallii_v3.1:2:23291239:23294939:-1 gene:PAHAL_2G171500 transcript:PAN11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKLKFGQHYENAASDDIDCNQAYKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN11514 pep chromosome:PHallii_v3.1:2:23291239:23294939:-1 gene:PAHAL_2G171500 transcript:PAN11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKLKFGQHYENAASDDIDCNQAYKFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPAMLAARFLRMTEAVAKGNLVAKLETFFDSCVLQGWKDSVAALQAAWRISGWSESRIVQPCIDSIVEKILMPPSKVTWSYTYTRPGYTRKPHQSVPKDWWTEDISELDIEVFRSVISTVRASRLLPPPLIGEALHVYACKHLVDPLRAGAVANGGVLHQAQSSAAEETLAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANYVSASSSTRAQLIRQAGSQLDEARAADLLIPLPSDPQAYDVGAVEAVVEHFLAQFQRPAAPDERQRMSAAMDKVARIFDECLRTIALDRDFPVAKFVDLVECLPDIARSDHDSLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSTDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAGASHGAAVAPDRASVDAISRLAPRTNEDEPASSADHKSDVHRPRRDHTRVADGATAAMTRSLSASTKTPVAGRKERTTEERGSRMRNKE >PAN09973 pep chromosome:PHallii_v3.1:2:4621856:4624980:1 gene:PAHAL_2G062500 transcript:PAN09973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRTRAARPPPELMDDIVEEILLRIPPADPASLVRAAASCKQWWRLVSGPGFRRRWFRGIHRAPLMLGAVRSILYNGRTNVSFVPAFPFLQPRAGRRGWRALDSRDGRVLLHREQRCLREKNVLAVWNPVTDEQRELPALPGFSGICAAVLCDASAAGDNLACSSGPFLVAFIGSGHEGTCIRVYSSESDAWGEPASAWYPGYLNKEVRGVCVGNAVYFALRAITRILEYDLGTGGVTVIDPPPMSSDLVALMTAEGGGLGCATEKGCRLQMWSREVGSDGLMGWSQWKAFRLRTMKPVGCDILTLHVVGFEDGGGIIYVGTDQGSFTTDLKSGRYLRKVEGVGGSEDILPYMTCYDPVPRVASTGEGPSSAVSSA >PAN09972 pep chromosome:PHallii_v3.1:2:4621966:4624161:1 gene:PAHAL_2G062500 transcript:PAN09972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRTRAARPPPELMDDIVEEILLRIPPADPASLVRAAASCKQWWRLVSGPGFRRRWFRGIHRAPLMLGAVRSILYNGRTNVSFVPAFPFLQPRAGRRGWRALDSRDGRVLLHREQRCLREKNVLAVWNPVTDEQRELPALPGFSGICAAVLCDASAAGDNLACSSGPFLVAFIGSGHEGTCIRVYSSESDAWGEPASAWYPGYLNKEVRGVCVGNAVYFALRAITRILEYDLGTGGVTVIDPPPMSSDLVALMTAEGGGLGCATEKGCRLQMWSREVGSDGLMGWSQWKAFRLRTMKPVGCDILTLHVVGFEDGGGIIYVGTDQGSFTTDLKSGRYLRKVEGVGGSEDILPYMTCYDPDGNPSAHTSGLDLEAK >PVH64652 pep chromosome:PHallii_v3.1:2:47006356:47011717:1 gene:PAHAL_2G324600 transcript:PVH64652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDLKMPVSWDLADLEHDAVPAIAAATAAPPAAASSGIAAAAAAAAAAASARGAPSRAECSVDLKLGGLGEFGAADRMKEPAAPVPAGAATAPAVVPSASPMKRPRSGASGAGGAQCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSRFHLLAEFDDAKRSCRKRLDGHNRRRRKPQPDTMNSGSFMTSQQGTRFSSFPAPRPEPSWSGVIKSEDSSYYTHHQVLSTRPHFAGSTSSYSKEGRRFPFLQDGDQVSFSAGAAALEVSTVCQPLLKTVAPPPESSSSNKIFSDGLTPVLDSDCALSLLSSPANSSSVDVSRMVQPTEHIPMAQPLVPSLHPQQQQQQQHHQFGGSPGWFACSQAGSSGVASAAGAGGFACPPSVESEQLNTVLVVPSSDGHEMNYHGIFHVGGEGSSDGTSPSLPFSWQ >PAN13270 pep chromosome:PHallii_v3.1:2:47006356:47011717:1 gene:PAHAL_2G324600 transcript:PAN13270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDLKMPVSWDLADLEHDAVPAIAAATAAPPAAASSGIAAAAAAAAAAASARGAPSRAECSVDLKLGGLGEFGAADRMKEPAAPVPAGAATAPAVVPSASPMKRPRSGASGAGGAQCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSRFHLLAEFDDAKRSCRKRLDGHNRRRRKPQPDTMNSGSFMTSQQGLFSSAGTRFSSFPAPRPEPSWSGVIKSEDSSYYTHHQVLSTRPHFAGSTSSYSKEGRRFPFLQDGDQVSFSAGAAALEVSTVCQPLLKTVAPPPESSSSNKIFSDGLTPVLDSDCALSLLSSPANSSSVDVSRMVQPTEHIPMAQPLVPSLHPQQQQQQQHHQFGGSPGWFACSQAGSSGVASAAGAGGFACPPSVESEQLNTVLVVPSSDGHEMNYHGIFHVGGEGSSDGTSPSLPFSWQ >PAN13975 pep chromosome:PHallii_v3.1:2:50137729:50138816:1 gene:PAHAL_2G376000 transcript:PAN13975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha expansin 26 [Source: Projected from Oryza sativa (Os12g0546800)] MSAGSPWTMAPPPLLASLVLAGLALLLAPGVAEGKPHVNHGKFKDGPWTVGHATFYGGRDGSGTTNGGACGFKDELAKDYGALTAAVSPALYSEGAGCGACYEVKGPEEGGGGGGPNKSVVVTATNQAPPPVSGQKGEHFDLTMPAFLQIAEEKAGIVPISYRRVACVRQGGIRYTITGNKNYNMVMVTNVGGEGDVVALSVKGNKRVKWTPMKRSWGQLWTTEVDLTGESLTYRVMTGDHRKATSWHVMPRDWQFGKTYQATKNF >PVH64902 pep chromosome:PHallii_v3.1:2:50360853:50365580:-1 gene:PAHAL_2G379500 transcript:PVH64902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEYSTESSNEEDVQEDGEKEGNAAESEVFKPVDIDPACLPKVGMIFDSEEDAFQFYVTYGCHAGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGVTRPARKRGMKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKFKRHLKNSPFSLNPPRMSESEGPQSSSAVALSSRGGDTGVTSSALIEFKTKIDRNRKLKLPEGDLEALLGFLDKMQDQNPCFFYSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVAINVTNFSDQYDMQFVSFVGTNHHAQPLLLGCGLLAGRSLGAYVWLFGTWLRCMNAKPPHSIITNYCHDVAIAIKKVFPNARHRFCLSHILNELPEKLEEMESKNEVISAFTTLAYDYVTMPDFDKEWQDTIQHFRLEGNEWLSKLYEVRMQWAPVYVKDSFWAGMSVTDRSDSVTDYFDGWLTSGTSLKMFVEQYEEAVKDKLEKESYEDLRSSQMRPPMMTGLPVEDQAAKVYTAEIFQKFLNEIGHSFHCNYSILDRNDSVVTYIVSEHINQTTKVDYKVVYDNVEDDIWCLCRLFQSKGILCRHSLTVLRQELVLMIPPKYIIHRWCKDCKQTCASISQPVSAGNQELGSYDDLYKLGHQYFAEVVEFGSMNSESKEYALSIMREIRDKVISYEKSLRDQRIDSHVSTTNFAYNPVNEDFTDDALPISLSTKGWDLTQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANTVNRAVTATDSVTDATNVQANPVNEGWPLTSAGAPETFPYGVETISFDLSQYNNAPSFHWPESSSRSQLQ >PVH64900 pep chromosome:PHallii_v3.1:2:50360869:50365481:-1 gene:PAHAL_2G379500 transcript:PVH64900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEYSTESSNEEDVQEDGEKEGNAAESEVFKPVDIDPACLPKVGMIFDSEEDAFQFYVTYGCHAGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGVTRPARKRGMKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKFKRHLKNSPFSLNPPRMSESEGPQSSSAVALSSRGGDTGVTSSALIEFKTKIDRNRKLKLPEGDLEALLGFLDKMQDQNPCFFYSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVAINVTNFSDQYDMQFVSFVGTNHHAQPLLLGCGLLAGRSLGAYVWLFGTWLRCMNAKPPHSIITNYCHDVAIAIKKVFPNARHRFCLSHILNELPEKLEEMESKNEVISAFTTLAYDYVTMPDFDKEWQDTIQHFRLEGNEWLSKLYEVRMQWAPVYVKDSFWAGMSVTDRSDSVTDYFDGWLTSGTSLKMFVEQYEEAVKDKLEKESYEDLRSSQMRPPMMTGLPVEDQAAKVYTAEIFQKFLNEIGHSFHCNYSILDRNDSVVTYIVSEHINQTTKVDYKVVYDNVEDDIWCLCRLFQSKGILCRHSLTVLRQELVLMIPPKYIIHRWCKDCKQTCASISQPVSAGNQELGSYDDLYKLGHQYFAEVVEFGSMNSESKEYALSIMREIRDKVISYEKSLRDQRIDSHVSTTNFAYNPVNEDFTDDALPISLSTKGWDLTQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANTVNRAVTATDSVTDATNVQANPVNEGWPLTSAGAPETFPYGVETISFDLSQYNNAPSFHWPESSSRSQLQ >PVH64901 pep chromosome:PHallii_v3.1:2:50360853:50365778:-1 gene:PAHAL_2G379500 transcript:PVH64901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEYSTESSNEEDVQEDGEKEGNAAESEVFKPVDIDPACLPKVGMIFDSEEDAFQFYVTYGCHAGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGVTRPARKRGMKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKFKRHLKNSPFSLNPPRMSESEGPQSSSAVALSSRGGDTGVTSSALIEFKTKIDRNRKLKLPEGDLEALLGFLDKMQDQNPCFFYSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVAINVTNFSDQYDMQFVSFVGTNHHAQPLLLGCGLLAGRSLGAYVWLFGTWLRCMNAKPPHSIITNYCHDVAIAIKKVFPNARHRFCLSHILNELPEKLEEMESKNEVISAFTTLAYDYVTMPDFDKEWQDTIQHFRLEGNEWLSKLYEVRMQWAPVYVKDSFWAGMSVTDRSDSVTDYFDGWLTSGTSLKMFVEQYEEAVKDKLEKESYEDLRSSQMRPPMMTGLPVEDQAAKVYTAEIFQKFLNEIGHSFHCNYSILDRNDSVVTYIVSEHINQTTKVDYKVVYDNVEDDIWCLCRLFQSKGILCRHSLTVLRQELVLMIPPKYIIHRWCKDCKQTCASISQPVSAGNQELGSYDDLYKLGHQYFAEVVEFGSMNSESKEYALSIMREIRDKVISYEKSLRDQRIDSHVSTTNFAYNPVNEDFTDDALPISLSTKGWDLTQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANTVNRAVTATDSVTDATNVQANPVNEGWPLTSAGAPETFPYGVETISFDLSQYNNAPSFHWPESSSRSQLQ >PVH64903 pep chromosome:PHallii_v3.1:2:50360869:50365503:-1 gene:PAHAL_2G379500 transcript:PVH64903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEYSTESSNEEDVQEDGEKEGNAAESEVFKPVDIDPACLPKVGMIFDSEEDAFQFYVTYGCHAGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGVTRPARKRGMKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKFKRHLKNSPFSLNPPRMSESEGPQSSSAVALSSRGGDTGVTSSALIEFKTKIDRNRKLKLPEGDLEALLGFLDKMQDQNPCFFYSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVAINVTNFSDQYDMQFVSFVGTNHHAQPLLLGCGLLAGRSLGAYVWLFGTWLRCMNAKPPHSIITNYCHDVAIAIKKVFPNARHRFCLSHILNELPEKLEEMESKNEVISAFTTLAYDYVTMPDFDKEWQDTIQHFRLEGNEWLSKLYEVRMQWAPVYVKDSFWAGMSVTDRSDSVTDYFDGWLTSGTSLKMFVEQYEEAVKDKLEKESYEDLRSSQMRPPMMTGLPVEDQAAKVYTAEIFQKFLNEIGHSFHCNYSILDRNDSVVTYIVSEHINQTTKVDYKVVYDNVEDDIWCLCRLFQSKGILCRHSLTVLRQELVLMIPPKYIIHRWCKDCKQTCASISQPVSAGNQELGSYDDLYKLGHQYFAEVVEFGSMNSESKEYALSIMREIRDKVISYEKSLRDQRIDSHVSTTNFAYNPVNEDFTDDALPISLSTKGWDLTQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANTVNRAVTATDSVTDATNANPVNEGWPLTSAGAPETFPYGVETISFDLSQYNNAPSFHWPESSSRSQLQ >PVH63771 pep chromosome:PHallii_v3.1:2:7987165:7988595:-1 gene:PAHAL_2G101300 transcript:PVH63771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRQDGEARGGGGSRSSRHRRRCCEREAADGEHSRGERKRRRVREDDGPSRGGREAGGSRRRRRSADSSDSEEKAEANNRSRRRRLDPKDSTSGSDKEEVTRRRRLLEDISSDGDKKVQANDSGRRLDCGTVVKSSGGGKKEDGSSNNPGPRFRENISSSDDMMVYEAAPPPPPNSRTAEGLFKVPHELTYKGGFHDAKARGAAGAVAAGERAAEPGVSLVRAEPRHVGERHGGPVRPGPLRALAGGRRRACGGREEAEKVLGYYKIPRDRLPVVVVVDPVTGQAVDRLHGTDPNDFLVSMGPYTDKNPNMPVVRAKKPSASPGAKKKQTPATTTAPTSRHEQAPTVRKRAEPAVAVAPTGQNRQQQAAAVNKPAEPVAAAVAAAPTGQQPAASVAKVCKLRVRLPDGRVVAKEFGSQCAVAELFAYCRSELGEAAEKPFRLLRFFGAARQEIGDEKALFESLRLHMSAVCVELG >PVH63449 pep chromosome:PHallii_v3.1:2:2218530:2220085:-1 gene:PAHAL_2G031200 transcript:PVH63449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLRRRLCIGGTRTLPFVRRFTPREIEAATKGFTAVLEAGGPRGGGGTAYRARFAGGLVATVRRVGSRGDHRQQGSGGNGKAAFYLELQLLARLNHRHVVRLRGFAEGHHARFLVFDHMENRSLKECLHDPLRTPLDWRTRLQVAIDIAAALEYLYYFCDPPVFHVSVDSGNVLMDANFVAKLSDVGVVSDDLKRATTESFQDQVERRRAGLVFHYGVLVLELVTGQSPGGDGELVRWVQEPGFAGSMHRMVDADLGGVYDARELRDLVIVARLCTRHEHGSCGGGGAAVSIPQIVRYLQGKVERLGGEARCG >PVH63450 pep chromosome:PHallii_v3.1:2:2219371:2220085:-1 gene:PAHAL_2G031200 transcript:PVH63450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLRRRLCIGGTRTLPFVRRFTPREIEAATKGFTAVLEAGGPRGGGGTAYRARFAGGLVATVRRVGSRGDHRQQGSGGNGKAAFYLELQLLARLNHRHVVRLRGFAEGHHARFLVFDHMENRSLKECLHGNAAVTFQADSSSSEIHRLHGEHRCFFFGRSSQDAIGLADQAAGRH >PVH63448 pep chromosome:PHallii_v3.1:2:2218945:2220085:-1 gene:PAHAL_2G031200 transcript:PVH63448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLRRRLCIGGTRTLPFVRRFTPREIEAATKGFTAVLEAGGPRGGGGTAYRARFAGGLVATVRRVGSRGDHRQQGSGGNGKAAFYLELQLLARLNHRHVVRLRGFAEGHHARFLVFDHMENRSLKECLHDPLRTPLDWRTRLQVAIDIAAALEYLYYFCDPPVFHVSVDSGNVLMDANFVAKLSDVGVVSDDLKRATTESFQGFRFANFSHNPRKPHQF >PVH63447 pep chromosome:PHallii_v3.1:2:2218320:2221019:-1 gene:PAHAL_2G031200 transcript:PVH63447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLRRRLCIGGTRTLPFVRRFTPREIEAATKGFTAVLEAGGPRGGGGTAYRARFAGGLVATVRRVGSRGDHRQQGSGGNGKAAFYLELQLLARLNHRHVVRLRGFAEGHHARFLVFDHMENRSLKECLHDPLRTPLDWRTRLQVAIDIAAALEYLYYFCDPPVFHVSVDSGNVLMDANFVAKLSDVGVVSDDLKRATTESFQG >PAN13794 pep chromosome:PHallii_v3.1:2:49411580:49415176:1 gene:PAHAL_2G363900 transcript:PAN13794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPAMLQCAAALAVLLAVAAPAAGFYLPGVAPSDFAKGDPLQVKVNKLTSIKTQLPYTYYSLPFCKPATIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKATIDEKAAKELKEKIEDEYRVNMILDNLPLVVPIARQDKNSIAYQGGYHVGAKGQYTGSKDEKYFIHNHLSFTVKYHRDDDSELSRIVGFEVHPYSVKHQFDDKWNGADTRLSTCDPHASKFVTNSDSPQEVEAGKEIIFTYDVRFEDSEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYTSSRLYKMFKGSEWKKITLQTAFLFPGVAFIIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKVVSGILYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >PAN11338 pep chromosome:PHallii_v3.1:2:25990923:25992167:1 gene:PAHAL_2G179700 transcript:PAN11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMTCSFFLQHVMIIKCSNCCTIVISRWSLVTNPKFDQLQYSILQTWPVLKS >PAN09763 pep chromosome:PHallii_v3.1:2:3612090:3613577:1 gene:PAHAL_2G049400 transcript:PAN09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALSLLPALLLAAAAAAAEPECPTKCGDVDIPYPFGIGTRCSRSKGFEISCVNNGTAAVPVLRSAGGARTIPVASLSVAPRPEAKVMLPVAYKCYGPAGRRVRASDGRVDLRSSSVFRISDSRNMFVVLGCNTGAWMNSGANGGGRYNYQYYMGCFTYCAGPESPTDGRCASVGCCHVDIPPGLTDNAVYFEQWPHNGMEHSPCDIAFLVDRDGYEFRAADLRMDVRRSSMPVWLDWAIRDDDGALTCAAAKGRAGYACVSANSECVDSINGPGYFCRCKEGFKGNPYKYEGGCTSEEPISLVTSTPLKEEQLVMLRCSYYTSPI >PAN10887 pep chromosome:PHallii_v3.1:2:10105654:10108453:-1 gene:PAHAL_2G120500 transcript:PAN10887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIVQAVVILLDVIAFGLGVAAEQRRSRATVTPDAAKEYDYCVYDSDIATGYGVGALLLLAAAQVVLMTASRCFCCGRGLKPGGSRACALILFLFTWVTFLIAEVCLLAGSVRNAYHTRYRGNFYGEPLSCETVRKGVFAAGAAFTFFTAILGEVYYLSYSKSREAAGGAPYGASSIGMGPYT >PVH64255 pep chromosome:PHallii_v3.1:2:37870879:37872170:1 gene:PAHAL_2G223300 transcript:PVH64255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSGGAGAGRAGARRPGDVRMRGRVPGRLGLVCLWELGIRRFAPAGKRQRHQAGEAASGKSARQGSKFAAARETSITGTMPPHGHVVAGLALASRVSALAPSTCNSGSAAGALDPATCTGT >PAN13390 pep chromosome:PHallii_v3.1:2:47586838:47600562:-1 gene:PAHAL_2G333600 transcript:PAN13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MDEENVIELLQRYRRDRQVLLNYVLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNTGAAEEFYLLTKPEYSGPAPTREPPPVPATAPSPVVIPPPVVESEPVTVSSPVAATNLTKSQSFDSPSEKELTIDDIEDFEDDEDEFDSRRASRRHQTDASDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHRLMRKLGRSKSESVDTHTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNILIPLELLCCISRTEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRRIEESESLPPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVVLYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETVHDTCYAWVLFRQFVLTGEQGLLKVVIDHLRKIPLKEQRGPQERLHLKSLRSSVDAEGSYQDFTFFQSFLSPVQKWVDKKLNDYHQHFSEGPSLMADVVTVAMLTRRILGEENDKALESSDRDQIDRYISSSVKSAFLKMAHSVEFKADTTHEPVLASLAEETKKLLKKDTTIFTPVLSKWHPQAAVVSASLIHKLYGNKLRPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVAGDDGLDSICRQKIAPYQIENKSGTLVLRWVNGQLERIETWVKRAADQEVWDPISPQQRHGSSIVEVYRIIEETTDQFFAFKVPMRDGELNSLWRGLDKAFQVYTQLVTAPLVDKEDLVPPVPVLTRYKKELGIKAFVKKEVQEVKTVDERKAAEITQLTIPKLCVRLNSLYYGISQLSKLEDSINERWALKKTENINIRRSTSEKSKSAVPNQKNQFDGSRREINSAIDRLCEFTGMKVIFWDLQQPFIDNLYRNSVQQARLETIMEVLDLVLNQLCDVIVEQLRDRVVTGLLQASLDGLLRVILDGGSTRVFSPNDAPLLEDDLETLKEFFIAGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGGKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAQSN >PVH64354 pep chromosome:PHallii_v3.1:2:41295993:41296806:1 gene:PAHAL_2G247200 transcript:PVH64354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFFQVKISGSEYTCGSINNCGGTMSTNKWVADRAVDLLRDNHEMGPRELLDMYSYFCWTCLWEYDLDMMVPLFGHDGM >PAN13303 pep chromosome:PHallii_v3.1:2:47153679:47156135:1 gene:PAHAL_2G327200 transcript:PAN13303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQKVPESYPPPGYSQPYPPPGSQYPPPQASPQGPYYPPPQHPPPGYQGYFNDGQQPYGYPPPHGGHHHHGHHHHYDDHHHHHGHHHHHEEDDCCLGFLKGWLAALCCCCILDECCGCCF >PAN09457 pep chromosome:PHallii_v3.1:2:1779975:1787728:-1 gene:PAHAL_2G024900 transcript:PAN09457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDLAPLMWHSFGTMIVLLQEIVSVYPALSPPTLSASVSNRACNVLALLQSVASHPETRIPFLKAEITEYLYPFLNTTSNARSFEYLRLTTLGVFGALVKIDDTDVVSTLLNGDIIPLCLRIMETGSELSKTVATFIVQKIIIDEAGLQYICATPECFFGIAVVLARMVAEEPSTRLLKHIVRCYLRLTDDPRARSALQIHLPEALRDGTFDNGLRDDAVARRYLQQLLDNLAVPAGGAPPPVPDPAAAGVTHPVLAPAAGGAPHPGPGPVAGASPGGSSPSSAHPSPAPTEVGAPHAGPGPVAGGRPGGSSQAGPRRRRR >PAN09461 pep chromosome:PHallii_v3.1:2:1780599:1785157:-1 gene:PAHAL_2G024900 transcript:PAN09461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRCDAVVPDIKMKLEEQLVLNLCNPELRGNALVELSKKREMLPDLAPLMWHSFGTMIVLLQEIVSVYPALSPPTLSASVSNRACNVLALLQSVASHPETRIPFLKAEITEYLYPFLNTTSNARSFEYLRLTTLGVFGALVKIDDTDVVSTLLNGDIIPLCLRIMETGSELSKTVATFIVQKIIIDEAGLQYICATPECFFGIAVVLARMVAEEPSTRLLKHIVRCYLRLTDDPRARSALQIHLPEALRDGTFDNGLRDDAVARRYLQQLLDNLAVPAGGAPPPVPDPAAAGVTHPVLAPAAGGAPHPGPGPVAGASPGGSSPSSAHPSPAPTEVGAPHAGPGPVAGGRPGGSSQAGPRRRRR >PAN13472 pep chromosome:PHallii_v3.1:2:47954871:47957205:1 gene:PAHAL_2G339600 transcript:PAN13472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARGTEAEAAEEARWEGEAEIDYVFKVVVVGDSAVGKTQLLARFTRDEFALDSKSTIGVEFQARTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFEHVPRWVEELRAHADGSTVVALIGNKADMPAARREVAADEAARLAEEQGLFFSEASALTGDNVERAFLTLLEEVFAVVSRRALELDEARRMRGEQGDGGEVLSLKGTTVDLGSITETSAMKRSSQCACS >PVH64672 pep chromosome:PHallii_v3.1:2:47379112:47382366:1 gene:PAHAL_2G330000 transcript:PVH64672 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] MEHAKSGPCSWPEMADVVPVPQDDGPSPVVPIAYRDDFREVMDYFRALYLAGERSPRALRLTAEAIEVNPGNYTVWHFRRLILEALDYDLLQEMNFVEKIAECNPKNYQIWHHKRWLAEKLGPDVANKEHEFTMKILALDAKNYHAWSHRQWVLQTLGGWESELQYCNQLLEEDIFNNSAWNQRYLVITSSPLLGGLMAMRDSEVHYTIEAILANPQNESPWRYLKGLYKGENNLLVADERISDVCLKVLKNDWSCVFALSLLLDLLRSGLQPSDELKATLEPIRNSNPEMADADLATAVCCTLQKCDPLRT >PAN13345 pep chromosome:PHallii_v3.1:2:47379112:47382365:1 gene:PAHAL_2G330000 transcript:PAN13345 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] MEHAKSGPCSWPEMADVVPVPQDDGPSPVVPIAYRDDFREVMDYFRALYLAGERSPRALRLTAEAIEVNPGNYTVWHFRRLILEALDYDLLQEMNFVEKIAECNPKNYQIWHHKRWLAEKLGPDVANKEHEFTMKILALDAKNYHAWSHRQWVLQTLGGWESELQYCNQLLEEDIFNNSAWNQRYLVITSSPLLGGLMAMRDSEVHYTIEAILANPQNESPWRYLKGLYKGENNLLVADERISDVCLKVLKNDWSCVFALSLLLDLLRSGLQPSDELKATLEPIRNSNPEMADADLATAVCCTLQKCDPLRVNYWSWYKTTLSSQIS >PAN13346 pep chromosome:PHallii_v3.1:2:47379112:47382365:1 gene:PAHAL_2G330000 transcript:PAN13346 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] MEHAKSGPCSWPEMADVVPVPQDDGPSPVVPIAYRDDFREVMDYFRALYLAGERSPRALRLTAEAIEVWHFRRLILEALDYDLLQEMNFVEKIAECNPKNYQIWHHKRWLAEKLGPDVANKEHEFTMKILALDAKNYHAWSHRQWVLQTLGGWESELQYCNQLLEEDIFNNSAWNQRYLVITSSPLLGGLMAMRDSEVHYTIEAILANPQNESPWRYLKGLYKGENNLLVADERISDVCLKVLKNDWSCVFALSLLLDLLRSGLQPSDELKATLEPIRNSNPEMADADLATAVCCTLQKCDPLRVNYWSWYKTTLSSQIS >PVH65021 pep chromosome:PHallii_v3.1:2:51893435:51894228:1 gene:PAHAL_2G404200 transcript:PVH65021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWDTCESTAHWWKVVDALTGLSPKTMRSLLLLVSWKIWNERNERIIRHKESSIQMLLAKIKEEVRAWCLAGAKCLSDLWPGD >PVH65320 pep chromosome:PHallii_v3.1:2:55290847:55295278:-1 gene:PAHAL_2G462400 transcript:PVH65320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MEESPSPKASWTRGMEQNHIDHDLPAILERWKISKIARRVSSEPLFHIMETPIMPSVLSNNSTSSSLFRTPEKDTGSGTHTTGRAIPLGYTDISLKSTAKETNIVSSFGKLNIKEETVEASIRWSSEALTAFEQLLMVCRQSKPATLAEVFSAYCELGSIKKLGEGTYGEAYRAGRTVCKVVPFDGDLIVNGETQKRSEEILEEVLLSLTLNNLRSNRHDDDKKDSCNGFIETKDFRVCRGPYDPCLISAWEDYDAKRGSENDHPKDFTSEQCYIVFVLADGGTDLESFALVDYNEARSLLVQVTASLAVAEGACEFEHRDLHWGNILLAQDETPDTNHTMSFALQGKKMHARTFGLNVSIIDFTLSRINTGTAILFLDLSADPALFQGKKGDKQAETYRRMKEITQEHWEGSFPKTNVVWLIYLVDMVLHKMKSLALGAKVDRELRSFKKRLASCESARDCLADPFFSDLLSEEDAQLCPMPQLESDL >PVH65321 pep chromosome:PHallii_v3.1:2:55290847:55296563:-1 gene:PAHAL_2G462400 transcript:PVH65321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MDVTGSFGGQQRPSFAPRKRTSWNRSLSIRGRESIFVAPGANLQHQQKPCRAPKRPPKPCNRVKKTPSGPPDLRKEKAYFEEVDAFELMEESPSPKASWTRGMEQNHIDHDLPAILERWKISKIARRVSSEPLFHIMETPIMPSVLSNNSTSSSLFRTPEKDTGSGTHTTGRAIPLGYTDISLKSTAKETNIVSSFGKLNIKEETVEASIRWSSEALTAFEQLLMVCRQSKPATLAEVFSAYCELGSIKKLGEGTYGEAYRAGRTVCKVVPFDGDLIVNGETQKRSEEILEEVLLSLTLNNLRSNRHDDDKKDSCNGFIETKDFRVCRGPYDPCLISAWEDYDAKRGSENDHPKDFTSEQCYIVFVLADGGTDLESFALVDYNEARSLLVQVTASLAVAEGACEFEHRDLHWGNILLAQDETPDTNHTMSFALQGKKMHARTFGLNVSIIDFTLSRINTGTAILFLDLSADPALFQGKKGDKQAETYRRMKEITQEHWEGSFPKTNVVWLIYLVDMVLHKMKSLALGAKVDRELRSFKKRLASCESARDCLADPFFSDLLSEEDAQLCPMPQLESDL >PVH64680 pep chromosome:PHallii_v3.1:2:47514491:47515474:-1 gene:PAHAL_2G332300 transcript:PVH64680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGVVVFEKRADGERAIDELNGHEAGGCKLRVDWAYPSCV >PAN10875 pep chromosome:PHallii_v3.1:2:10048035:10049752:-1 gene:PAHAL_2G120000 transcript:PAN10875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDSVAAVVGDDDGLPPAPFDPAVIQDGGEQQPGLGMRRGHRFAASYSSFGTAVSEDDLGGIAGDGCGYGGFGFGMHPDSSGGGHGAYGYAEDAGNGVEHVMGAQDVMDGAAHGRVGGGIGGGGGLLDDDLFGAAADDGPVLPPPEAMREEGILRREWRRQNTLMLEEKERKERERRNEIIAEADEFKKSFLDKRRMNCDTKRTQNRDREKLSLANQDRFRKEADRQNCWKAIAELVPHEIPGLEKRGKKKEQERKPGIVVVQGPKPGKATDLSRMRQVLIKLKQNPPAHMVPPPAKTEEGKKTDGEKDAKKDGKDASKDGKKDGKQAAGDAEKKTDVGEKAAVSANAPPAATGAPASKEPEQPVKK >PAN09355 pep chromosome:PHallii_v3.1:2:1122985:1128895:-1 gene:PAHAL_2G016600 transcript:PAN09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKPPTGAGAGFGPEEGSRTPLERAADAAEELYRLRDTFFPQEPAEKAAALRARADAALALLDALPPEQKKSPQQRGVFEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLPAAKNCFSLALSKGSDKKILCQLSMLERSMAQGSEDQVLLVEESIKHAKEAVMLDIKDGNSWYNMGNAYLTSFFVGGAWDHTKLHHSVKAYQNAEKDKTMSLNPDLYYNCATADKYLENYERALRGFEAAALKDPGLSADREVQKIISLLDKLENAMKGQLRSKRLASLVSSLSSVHLNSSHKKVTVSILSEGLNKAVAVLGKVVLLIRHDNVAPLYYLTCDLDQTYFILSVYGLRNDAVRPQNSGECSYSKKMCTVFLDYPYCCLLFNLCFIMVV >PAN09357 pep chromosome:PHallii_v3.1:2:1125390:1128582:-1 gene:PAHAL_2G016600 transcript:PAN09357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKPPTGAGAGFGPEEGSRTPLERAADAAEELYRLRDTFFPQEPAEKAAALRARADAALALLDALPPEQKKSPQQRGVFEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLPAAKNCFSLALSKGSDKKILCQLSMLERSMAQGSEDQVLLVEESIKHAKEAVMLDIKDGNSWYNMGNAYLTSFFVGGAWDHTKLHHSVKAYQNAEKDKTMSLNPDLYYNCATADKYLENYERALRGFEAAALKDPGLSADREVQKIISLLDKLENAMKVCKI >PAN09352 pep chromosome:PHallii_v3.1:2:1123564:1128582:-1 gene:PAHAL_2G016600 transcript:PAN09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKPPTGAGAGFGPEEGSRTPLERAADAAEELYRLRDTFFPQEPAEKAAALRARADAALALLDALPPEQKKSPQQRGVFEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLPAAKNCFSLALSKGSDKKILCQLSMLERSMAQGSEDQVLLVEESIKHAKEAVMLDIKDGNSWYNMGNAYLTSFFVGGAWDHTKLHHSVKAYQNAEKDKTMSLNPDLYYNCATADKYLENYERALRGFEAAALKDPGLSADREVQKIISLLDKLENAMKGQLRSKRLASLVSSLSSVHLNSSHKKVTVSILSEGLNKAVAVLGKVVLLIRHDNVAPLVYQWIYAK >PAN09354 pep chromosome:PHallii_v3.1:2:1120804:1128895:-1 gene:PAHAL_2G016600 transcript:PAN09354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKPPTGAGAGFGPEEGSRTPLERAADAAEELYRLRDTFFPQEPAEKAAALRARADAALALLDALPPEQKKSPQQRGVFEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLPAAKNCFSLALSKGSDKKILCQLSMLERSMAQGSEDQVLLVEESIKHAKEAVMLDIKDGNSWYNMGNAYLTSFFVGGAWDHTKLHHSVKAYQNAEKDKTMSLNPDLYYNCATADKYLENYERALRGFEAAALKDPGLSADREVQKIISLLDKLENAMKGQLRSKRLASLVSSLSSVHLNSSHKKVTVSILSEGLNKAVAVLGKVVLLIRHDNVAPLYYLTCDLDQTYFILSVYGLRNDAMKEGDRVVLFEPYYRILDASWKDKRYQFKSIRVDFPEQILINEKAPAPHHVARASIHAHNKT >PAN09515 pep chromosome:PHallii_v3.1:2:2192829:2198555:1 gene:PAHAL_2G030800 transcript:PAN09515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSSSLHLAKHKAGSSGSASLLSDAEETGRPLQNFSHAQDSRELVHLLLCGYGNPSSVSWAGEMRRVRLLPGRPWLSWSPHRASTLPFSARVRSPVCTSATSAPGKYIYIGWMDRLHTGSTEALVLLVQGRRRGTEHFFPLLNIRGEQH >PAN09516 pep chromosome:PHallii_v3.1:2:2192829:2200089:1 gene:PAHAL_2G030800 transcript:PAN09516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERESAKLLLFCTFFLLLHPNTPKCKRANATHKAGSSGSASLLSDAEETGRPLQNFSHAQDSRELVHLLLCGYGNPSSVSWAGEMRRVRLLPGRPWLSWSPHRASTLPFSARVRSPVCTSATSAPGKYIYIGWMDRLHTGSTEALVLLVQGRRRGTEHFFPLLNIRGEQH >PAN09517 pep chromosome:PHallii_v3.1:2:2197237:2198065:1 gene:PAHAL_2G030800 transcript:PAN09517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSSSLHLAKHKAGSSGSASLLSDAEETGRPLQNFSHAQDSRELVHLLLCGYGNPSSVSWAGEMRRVRLLPGRPWLSWSPHRASTLPFSARVRSPVCTSATSAPGKYIYIGWMDRLHTGSTEALVLLVQGRRRGTEHFFPLLNIRGEQH >PAN09688 pep chromosome:PHallii_v3.1:2:3115073:3117896:-1 gene:PAHAL_2G044200 transcript:PAN09688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >PVH63506 pep chromosome:PHallii_v3.1:2:3115667:3115999:-1 gene:PAHAL_2G044200 transcript:PVH63506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >PAN09920 pep chromosome:PHallii_v3.1:2:4285482:4287279:-1 gene:PAHAL_2G058000 transcript:PAN09920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPKRSTPAGLPYDAIVEILARLPARSVYRFKCVAKAWRDLIDDPLNRKKLPQTLEGFFIIDIFFLLRMPMYVESFVEHFGFINLLPRSVPLDIDPRFSFLRKRPEIENLLFSDSCNGLLLFDHARKSNLLDTLGYIVCNPATKQCETVSTCSCCPPSTECSKWYTRLAFDPAVSSHFHLIHFWKKGLDEEVEPLEDQVSVLSVCAYSSETGTWSQSQIDWNEQGQLEGWRHQGILSYDTSQYVFFNCVLHVILFGFDHVQIVALDVQGRTQRMITMPAAAEGRCWKYKGYIGHSQGRLHYINNEVDAHDQSCELSIWVLQDYDRQEWLLKDTELSEALWKKELHRQQVGLLSCGHSSRLQCGFLSSTPEPTNSI >PAN13493 pep chromosome:PHallii_v3.1:2:48060345:48063343:1 gene:PAHAL_2G342000 transcript:PAN13493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYVLAAAAAVLIVFLYVVKNRRRGKLPPSPPSLPLIGHLHLIGHLAHRSLHDLQLRYGGSGGLLFLQLGRRRTLVVSTAAAAADLFRNHDLAFASRPRSVAAEKLTYGCSNVSFAPYGENWRRGKKIAVVHLLSPRRVESFAPVRAAEVAALVSRTRRAAEAGEAVELRELLYGYTNAVITRAATGAAGATAEKMKQLMGNSEALMAGFQPEDVLPDTPARFVRWATGLDRKIDDMAEAWDRFLSEIVAAHKEKGTDGAGEEDEDFLDVLLRLREEGADGLELTDDRIKSIIEDIIAAATETSSQTLEWAMAELVANPRVMAKLQDEIARVATADQPAVAQPDLNKMEYLKAVLKEVLRLHAPAPLLVPHESTTPAVVQGYEIPARTALFMNAWAIGRDPAAWDAPEEFRPERFVGGGAPVDFRGTDYQLIPFGAGRRICPGINFALPVLELAIASLLRHFDWELPAGMRPEDLDMGEAPGLTTPRRVPLVLVPKSKAPA >PVH63479 pep chromosome:PHallii_v3.1:2:2747866:2749360:1 gene:PAHAL_2G038900 transcript:PVH63479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKNKAKKNKNADPFVATEGTFAQGWMVQGADEEGSDVEPVIGLTWKLIAKTCGVDEVTKLHRSARLASAREVEEEPKSDNEEEAINEEDIDFEYDHDDVVVTTALEQERKEDNDS >PAN11760 pep chromosome:PHallii_v3.1:2:36673533:36674473:1 gene:PAHAL_2G215200 transcript:PAN11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDPGTPEPVHARHGSSLSAGIVWRMWVPPSGASPFCRRVFFHEAEAVKAAIRNTLDHLHAANEEGKSLHQADALCTQRNHVNADIVAVLRRGRDIRAGARCSWVLPRFLWSQQHQGLPISVVVEAPPCSRVPAQSHLSSWLADRFSS >PVH64904 pep chromosome:PHallii_v3.1:2:50368548:50368883:-1 gene:PAHAL_2G379700 transcript:PVH64904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTKLLKAPIVISSFDDQPMNSNSVQKLHEVESYKNSAQYDNPRDTTKIDKSSVKPPCV >PVH65354 pep chromosome:PHallii_v3.1:2:55724717:55736923:-1 gene:PAHAL_2G469800 transcript:PVH65354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLRQSLARLLHRPLYLPPTPPPLEYYHASVVRSFAPTHTGACLKGFASLTYNASSMIGHKLGGPSPVHIVKVLDLVVHLDHTRLMSTAAASKPKMPSARARRVSRKLVMTSPGFVYEPYSPRERIPFWKRWFTLSGWRRTKEDIIFEMKNAYAVSRLRKKTGYTKKQFYAEALNIYKEVNTLMAHGDTSALRKILTERMHSTIKNELKRRQSKWSSVHWELVEPAVSIRTLRARMIGLDKKDLDKGFVQLTLEFVTKQKFEAYNSKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITL >PAN14624 pep chromosome:PHallii_v3.1:2:53084143:53085818:1 gene:PAHAL_2G424600 transcript:PAN14624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSASSSSTTAVAALPRNGQRAPSFLGGKTLLRQAEAARPSFAVRAAADPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >PAN11879 pep chromosome:PHallii_v3.1:2:38203331:38206760:1 gene:PAHAL_2G225200 transcript:PAN11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISPTDCVLLHANGFDGTNFPWNSTTALALTNQGCSIGATLSCPERPLLPTILFVECHGVDFINEPPRIIRAVEDTILFSVLIGPRPVVSPCKYEYFIYRVGDVPTLQLLPPPLDNFIDEDAGLLLHGESEFMVASLVSTRKFDFYELHRFDSRTGVWSQVVVRLVEPQMSFPFRITRNSSRLGYHLTSAVITIGGEGGRMGWVDLWRGILICDVLDSEPELRGVPLPLPMDLLTCNNGRGAEIGGYANPIRGIAVVGQCLRFVHLEAIISTASRTTLPTYSSDSDEETETFPDRVMSDWVIHTWSNSKMTASWEDWIVDCKARASHATIPRKVMSKMLNSGLLSPEGANPVRALGNLWVSHPAPGTDDGVVYLLARLKFEDPKAFIIALDTRENTLLGSANFATEKKRGAGIMYFPSNISKYIDPEDRSFPISKGVEDNYEESSLNEGTESVEFPFEKIIYSGLKCCW >PVH63308 pep chromosome:PHallii_v3.1:2:29273:35149:-1 gene:PAHAL_2G000200 transcript:PVH63308 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MDTVASLSPLRHPSASSACKLSTAASTTSRPAAASSRRLLKLKQRIIISTATASNSPPARPRSWPRANSASSAAKTTTAAEPPQKEKDLVFVAGATGRVGSRAVRELIKLGFRVRAAVRNAQRASSLVQSVQQLKLDVDAAAAISREMLEIVECDLEKQPQEGIVKAIGNASLVVCSIGASEKEILDVTGPYRIDYLAASNLVQAATAAKVEHFILVTSLGTNKIGFPAFLLNLFWGVLCWKRRAEEALISSGIPYTIVRPGGMERPTDAFKETHNLVVAAEDTYVGGLVSNLQVAELIGCMAKNRRAAYCKVVEVVAETTAPLLPMEQLLSAVPSKREPPAEEETEEVKKPAAATAGAGRPLSPYTAYEGLKPPSSPPPTPSSSRSNDSLPNKKPQQAEEVEAAASSRTSSRPLSPYTAFVDLKPPPPPPPPPPSSSSSVTYGGTNSSSGIGSSNPSDQPQGENEVKQQRPLSPYTRYAELKPPSSPTPSAPKT >PVH65146 pep chromosome:PHallii_v3.1:2:53678395:53678631:1 gene:PAHAL_2G433600 transcript:PVH65146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVAKDSAPAGAGSSLPYGPAPQRGAIRHLRLSLPPLRGYSSSSVLEDPKPNEGARSIGDGRGRPLGHRVVIVAQL >PVH64787 pep chromosome:PHallii_v3.1:2:49020958:49022032:1 gene:PAHAL_2G357600 transcript:PVH64787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMAISSPAMAGTPIKVGSFGEGRITMRKTAAKPKVAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGN >PAN13693 pep chromosome:PHallii_v3.1:2:49020963:49022032:1 gene:PAHAL_2G357600 transcript:PAN13693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMAISSPAMAGTPIKVGSFGEGRITMRKTAAKPKVAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGN >PVH64788 pep chromosome:PHallii_v3.1:2:49020958:49022032:1 gene:PAHAL_2G357600 transcript:PVH64788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMAISSPAMAGTPIKVGSFGEGRITMRKTAAKPKVAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGN >PAN14999 pep chromosome:PHallii_v3.1:2:55006568:55011549:1 gene:PAHAL_2G457000 transcript:PAN14999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEIGGALLFLLAAAAAVAAAVSVGAVDFSRPLAAGAPLGFQQAVSWLIGVLDGTSSAAADVYGAWVAVRAGVIAPVLQVAVWACMVMSVMLVVEAVYNSVVSLGVKAIGWRPEWRFKWEPLDGADEEKGRAHYPLVLVQIPMYNELEVYKLSIAAACELQWPKDRIIVQVLDDSTDPFIKNLVELECENWVNKGVNIKYATRTSRKGFKAGALKKGMECDYARQSEYIAIFDADFQPEPDFLLRTVPFLVHNPEVALVQARWSFVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTVAIKEAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVRVKSELPSTYKAYCRQQFRWSSGGANLFRKMAKDVLVAKLLLGAESYCTNRCMYSLQYHSPSLGHNPGAVFTSLGCRLYSHGAYHSNSHKTSKKSSHNAILDFV >PAN14997 pep chromosome:PHallii_v3.1:2:55006568:55011549:1 gene:PAHAL_2G457000 transcript:PAN14997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEIGGALLFLLAAAAAVAAAVSVGAVDFSRPLAAGAPLGFQQAVSWLIGVLDGTSSAAADVYGAWVAVRAGVIAPVLQVAVWACMVMSVMLVVEAVYNSVVSLGVKAIGWRPEWRFKWEPLDGADEEKGRAHYPLVLVQIPMYNELEVYKLSIAAACELQWPKDRIIVQVLDDSTDPFIKNLVELECENWVNKGVNIKYATRTSRKGFKAGALKKGMECDYARQSEYIAIFDADFQPEPDFLLRTVPFLVHNPEVALVQARWSFVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTVAIKEAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVRVKSELPSTYKAYCRQQFRWSSGGANLFRKMAKDVLVAKDVSLLKKSYMLYSFFLVRRVIAPTAACILYNIILPVSVTIPELYLPVWGVAYIPMVLTIVTAIRHPKNLHIMPFWILFESVMTVRRMRAALTGLLELDGLNQWVVTKKVGNDLEDSEVPLLQKTRKRLRDRVNFPEIGFSMFLFLCASYNLAFHGTTSYYIYLYLQGLVFLLLGLNFTGTCSCCQ >PAN09638 pep chromosome:PHallii_v3.1:2:2765090:2769793:-1 gene:PAHAL_2G039100 transcript:PAN09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHNPTGNPSPGPGAGAPKPSCPFCAGRESECAPQIFRVPPDDALPWRIRVIENLYPALRRDIEPPPEPEVVDGEAEAEEQPGELAVRGFGFHDVVIETPRHDVRLWDLGAEGVRDVLLAYAARVRQLREHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTSRLNCMKEVFDRSGNCSLCEIRSKDTLICETPNFSAIVPFAASYPFEIWIIPQQHLSYFHEIDKDKALDLGSLLKTMLQKLSKQLNDPPFNFMIHSAPFRLSSSCLPYTHWFLQIVPQLSVIGGFELGSGCYINPVFPEDAAKILRELDCST >PAN14345 pep chromosome:PHallii_v3.1:2:51811512:51813568:1 gene:PAHAL_2G402200 transcript:PAN14345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSNGKKKYRGYLTWTDDMDQALLDVLVEHHNNGDHTANGWKAHVYTKAVRNVRDKCNVDITKDHVMSRCKTFDKHCNVLGRILTHDGFEWDQDRNKLVIHNEDAWSRYIEKNKAAACYQHKVIKNWNAISLIFSRDHAATSEDVSAGAENGQEVAMKVAEDVRDRTPSSPSTSGPSSQYRPEAPMLNQSNKQGRVKRFKTKDALFCMSGDIKNSFQISMNSNETQEEPKSACPKEIFAALQVIPNLARDDLLRAYCMLTNSDRKFECLMALPMDMRKDWLLMEIGKK >PAN14346 pep chromosome:PHallii_v3.1:2:51811512:51813568:1 gene:PAHAL_2G402200 transcript:PAN14346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSNGKKKYRGYLTWTDDMDQALLDVLVEHHNNGDHTANGWKAHVYTKAVRNVRDKCNVDITKDHVMSRCKTFDKHCNVLGRILTHDGFEWDQDRNKLVIHNEDAWSRYIEKNKAAACYQHKVIKNWNAISLIFSRDHAATSEDVSAGAENGQEVAMKVAEDVRDRTPSSPSTSGPSSQYRPEAPMLNQSNKQGRVKRFKTKDALFCMSGDIKNSFQISMNSNETQEEPKSACPKEIFAALQVIPNLARDDLLRAYCMLTNSDRKFECLMALPMDMRKDWLLMEIGKK >PAN09509 pep chromosome:PHallii_v3.1:2:2160327:2163753:1 gene:PAHAL_2G030100 transcript:PAN09509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTAVKLIDIAVNFTDGMFKGIYHGKKCHAADIPAVLARAWAAGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIEKGKVVAVGECGLDYDRLHFCPADVQKKYFEKQFELAEAVKLPMFLHMRAAGEDFCEIMSRNLYRFPGGVTHSFTDSAEDRDRLLSFEKIFIGVNGCSLKTNENLEVLRGIPVERLMIETDSPYCDIRNTHAGSQYVKSVWPSKKKEKYEQDSIVKGRNEPCLVRQVLEVVAGCKGITDIEGLSKTLYHNTCRLFFPQDLDASAEAQLESGTTVQDS >PAN10287 pep chromosome:PHallii_v3.1:2:6135896:6140175:1 gene:PAHAL_2G084400 transcript:PAN10287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDSSDFTFCKVSSEENGGQLGSPKAIPVASMSLEDVHVDNAKTAKKDGLKADDSDKDRSGNSTSVSTQDSNIKEPITQTSGGAESNVSSQAKSSSKKPAVRKKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDCIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDCTSLFNKYHAWVNAEFLLEKCLVGFLDPNE >PAN10286 pep chromosome:PHallii_v3.1:2:6135896:6140175:1 gene:PAHAL_2G084400 transcript:PAN10286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDSSDFTFCKVSSEENGGQLGSPKAIPVASMSLEDVHVDNAKTAKKDGLKADDSDKDRSGNSTSVSTQDSNIKEPITQTSGGAESNVSSQAKSSSKKPAVRKKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDCIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDCTSLFNKYHAWVNAEFLLEKCLVGFLDPNE >PAN10188 pep chromosome:PHallii_v3.1:2:5795231:5799789:1 gene:PAHAL_2G077300 transcript:PAN10188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTTYGYNIACFFYIQRWIWYGITSFLKARTCRVLHGNSFEGPIPASLSNLTRLTNLIVGDIVNGSSSLAFISSLTSLSTLILRNCKLSGNLGAVNISKLANLILLDLSFNNITGQVPRSILTLDKLEFLFLGNNSLTGSLPDVKSASLKNLDFSYNRLTGRFPSWATESDLHLNLVANNFVLDSTNDSILPSGLNCLQQDTPCFLGSPQYYSFAVDCGSNRSMTGPDNTMYDVDPTNLGASSYYVTGQTRWGVSNVGKFNQAPNESNIIYSSNEQFQNTADSELFQTARMSASSLRYYGLGLENGNYTVVLQFVETAYPDTQTWQSLGRRVFDIYVQGSLREKNFNIRKIAGGKSFTAVSKSYTATVSKNFLEIHLFWAGKGTCCIPKQGFYGPMISALSVTPDFTPTVPNGVLKKKSKAGAITGIVIGASVLGLAALFGIFVFTKRRRLARQQQELYDLIGQPDAFSYAELKLATDNFSPQNILGEGGYGPVYKGTLTDGRAIAVKQLSQSSHQGKRQFVAEVATISAVKHRNLVKLHGCCIDSNTHLLVYEYLENGSLDRALFGDSGLRLDWSTRFEIILGIARGLAYLHEESSIRIVHRDIKASNVLLDADLTPKVSDFGLAKLYDENKSHVSTTRIAGTFGYLAPEYAMRGQLSEKADVFAFGVVALEAVSGRSNTSNSLEESNIYLLERAWGLYEGQQPLRILDPRLEELFDAGEALRVIRVALMCTQGSPHRRPPMSRVVAMLTGKAEVAGEVAKPSYVVITESQLRGGDSSCSTSSYWGWSTSTPELSRQKEVDPLTQSPTITGASHKIEGR >PAN10187 pep chromosome:PHallii_v3.1:2:5793073:5799789:1 gene:PAHAL_2G077300 transcript:PAN10187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGYRSSSSSGVLVWLVLVCSCFEAPRVQAQQQAARTDPIEAAALNSILGRWGWKAARSPAWNISGEPCSGAAVDDGIGLDGNSEFNPGIKCDCSFNSNTVCHITMLRVYALNVVGQMPSELQNLTYLTYLNLDQNYLTGPIPSFIGQFSGMQHLSLGFNPFSGPLPNELGNLTNLNLLGISLDNFSGGLPEELGNLSKLEQLYIDSSGFSGPFPSTLSKLKKLKILRASDNDFKGKIPDYFGSLTNLEDLVLHGNSFEGPIPASLSNLTRLTNLIVGDIVNGSSSLAFISSLTSLSTLILRNCKLSGNLGAVNISKLANLILLDLSFNNITGQVPRSILTLDKLEFLFLGNNSLTGSLPDVKSASLKNLDFSYNRLTGRFPSWATESDLHLNLVANNFVLDSTNDSILPSGLNCLQQDTPCFLGSPQYYSFAVDCGSNRSMTGPDNTMYDVDPTNLGASSYYVTGQTRWGVSNVGKFNQAPNESNIIYSSNEQFQNTADSELFQTARMSASSLRYYGLGLENGNYTVVLQFVETAYPDTQTWQSLGRRVFDIYVQGSLREKNFNIRKIAGGKSFTAVSKSYTATVSKNFLEIHLFWAGKGTCCIPKQGFYGPMISALSVTPDFTPTVPNGVLKKKSKAGAITGIVIGASVLGLAALFGIFVFTKRRRLARQQQELYDLIGQPDAFSYAELKLATDNFSPQNILGEGGYGPVYKGTLTDGRAIAVKQLSQSSHQGKRQFVAEVATISAVKHRNLVKLHGCCIDSNTHLLVYEYLENGSLDRALFGDSGLRLDWSTRFEIILGIARGLAYLHEESSIRIVHRDIKASNVLLDADLTPKVSDFGLAKLYDENKSHVSTTRIAGTFGYLAPEYAMRGQLSEKADVFAFGVVALEAVSGRSNTSNSLEESNIYLLERAWGLYEGQQPLRILDPRLEELFDAGEALRVIRVALMCTQGSPHRRPPMSRVVAMLTGKAEVAGEVAKPSYVVITESQLRGGDSSCSTSSYWGWSTSTPELSRQKEVDPLTQSPTITGASHKIEGR >PAN12604 pep chromosome:PHallii_v3.1:2:43885144:43887220:1 gene:PAHAL_2G276900 transcript:PAN12604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MALSTSSFAVCSLQPSRASSIRAGRAPPPGAAAVVSFTRTGRRANATKGVSAACEPLGPDRPVWFPGTSPPSWLDGSLPGDFGFDPLGLGSEPEMLRWFAQAELMHGRWAMLAAVGILVPDLLARWGFIDGGFSWFDAGSREYFADPWTLFVSQMALMGWAEGRRWADMLNPGCVDIEPDLPNRKKPVPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPIDNLLAHLADPGHCNIFSAFTSH >PAN12605 pep chromosome:PHallii_v3.1:2:43885144:43887220:1 gene:PAHAL_2G276900 transcript:PAN12605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MALSTSSFAVCSLQPRASSIRAGRAPPPGAAAVVSFTRTGRRANATKGVSAACEPLGPDRPVWFPGTSPPSWLDGSLPGDFGFDPLGLGSEPEMLRWFAQAELMHGRWAMLAAVGILVPDLLARWGFIDGGFSWFDAGSREYFADPWTLFVSQMALMGWAEGRRWADMLNPGCVDIEPDLPNRKKPVPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPIDNLLAHLADPGHCNIFSAFTSH >PAN09620 pep chromosome:PHallii_v3.1:2:2667888:2671578:1 gene:PAHAL_2G037600 transcript:PAN09620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAAALLVALCSLCWAAAPVAEALGMNWGTQASHPLPPKAVVQVLRDNGIKKVKLFDTDSAAMSALAGSGIEVMVAIPNNMLADLAADAGKAKDWVKRHVKRYDFDGGVTIKYVAVGNEPFLESYNGSFIKITFPALQNIQNALNNAGVGDRIKATVPLNADVYNSPASHPVPSAGRFRSDISGLMTDIVKFLAKNNAPFTVNIYPFLSLYLNDNFPLDYAFFDGGATPVNDNGVLYTNVFDANFDTLVAALKAVGNGDMPVIVGEVGWPTDGDKHAKASYAERFYAGLLKRLAANTGTPARPNQYIEVYLFGLVDEDMKSVAPGNFERHWGILRYDGQPKYAMDLAGQGRNAMLVPAKGVKYLPKTWCALNPNARDLGKLGANIDYACTFADCTPLGYGSTCNGMDTAGNASYAFNAYYQVQNQKDDACDFQGLALPTEKDPSTATCNFTIQIQAGAAALHGRSAGAAAAAAVLLALLQLLALR >PAN11069 pep chromosome:PHallii_v3.1:2:13066375:13068444:1 gene:PAHAL_2G139200 transcript:PAN11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYASYMYSSSYPDHASSCGGGGGVTGAALDAGGDDMQRLLNALMLDMAAESESFSDDMEAASSDSSSSACSSAANDSQQQQRPQPDGHRTCGEKKSSALERPATFIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAALAYDQAAFSVRGAAAVLNFPVERVQDSLRALALSSSSASSAAAAAAGSPVLALKSRHSIRKRSPNKNKNLPHQQQRPQQQASAAAAPPQPAAFPGSVVELEDLGADYLDELLRVSSELDHW >PVH65461 pep chromosome:PHallii_v3.1:2:57598701:57599692:1 gene:PAHAL_2G498300 transcript:PVH65461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVLTGGGSNNSFSFVPRSVDAFGSTVIAEGPPADQRRVAAGVYWVHAWTVGPDGVITQLREYFNTDLTVTRLAASKCIWQSRRPDRATNSLPGLVLAL >PAN12830 pep chromosome:PHallii_v3.1:2:45027160:45028781:1 gene:PAHAL_2G293900 transcript:PAN12830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNFILPTTLPTASASLPSPLKPNRFRIPPKPPRFSRVPYYISPSLKTPTVGVLSSVDSTSRTLLFLLAAGLLSLSGVRPLPALASAPPPTLQPQQIEGQDDEDEQQESEERNQEVEKAEVKEKEEQHEDEDDDEMRMYSAILSRNPGDVDALKCALYAKMRRADWGGALRYARRLRDAEPGVVEWRLMVAQLHELSGDLAEAERQFREVLAEEPLLVRALHGLALCMHKKLEGPAVFEMLENALQVAISDKRVLE >PVH64527 pep chromosome:PHallii_v3.1:2:45026698:45029600:1 gene:PAHAL_2G293900 transcript:PVH64527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNFILPTTLPTASASLPSPLKPNRFRIPPKPPRFSRVPYYISPSLKTPTVGVLSSVDSTSRTLLFLLAAGLLSLSGVRPLPALASAPPPTLQPQQIEGQDDEDEQQESEERNQEVEKAEVKEKEEQHEDEDDDEMRMYSAILSRNPGDVDALKCALYAKMRRADWGGALRYARRLRDAEPGVVEWRLMVAQLHELSGDLAEAERQFREVLAEEPLLVRALHGLALCMHKKLEGPAVFEMLENALQVAISDKRVLE >PAN12832 pep chromosome:PHallii_v3.1:2:45026698:45029595:1 gene:PAHAL_2G293900 transcript:PAN12832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNFILPTTLPTASASLPSPLKPNRFRIPPKPPRFSRVPYYISPSLKTPTVGVLSSVDSTSRTLLFLLAAGLLSLSGVRPLPALASAPPPTLQPQQIEGQDDEDEQQESEERNQEVEKAEVKEKEEQHEDEDDDEMRMYSAILSRNPGDVDALKCALYAKMRRADWGGALRYARRLRDAEPGVVEWRLMVAQLHELSGDLAEAERQFREVLAEEPLLVRALHGLALCMHKKLEGPAVFEMLENALQVAISDKRVLE >PAN12831 pep chromosome:PHallii_v3.1:2:45026664:45029595:1 gene:PAHAL_2G293900 transcript:PAN12831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNFILPTTLPTASASLPSPLKPNRFRIPPKPPRFSRVPYYISPSLKTPTVGVLSSVDSTSRTLLFLLAAGLLSLSGVRPLPALASAPPPTLQPQQIEGQDDEDEQQESEERNQEVEKAEVKEKEEQHEDEDDDEMRMYSAILSRNPGDVDALKCALYAKMRRADWGGALRYARRLRDAEPGVVEWRLMVAQLHELSGDLAEAERQFREVLAEEPLLVRALHGQLDVASEKLQNLIDEDPRDFRPHLCQGIVYALLDRKEDADKQFDIYRSLVPDEFPDKSFISDVILAAKMESDDRIQKELDQNFYQRNDPLEFS >PAN14109 pep chromosome:PHallii_v3.1:2:50679621:50684994:-1 gene:PAHAL_2G384400 transcript:PAN14109 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLDKFYSSTRSGRDFQKDIVRAAEGLVSIGNKHIEVGTKFSEDCYRYGGENNASDEALAKAASLYGGALRNIEKEYEDFNRTLSSQIIDPLRAMSMGTPLEDARGLAQRYSRMRHEAEILSAEIARRKARVREAPIPEHTTKLQQSEAKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFYLRLAAILDDVEAEMSSEKQKRESAPPIISSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >PVH64919 pep chromosome:PHallii_v3.1:2:50679629:50684994:-1 gene:PAHAL_2G384400 transcript:PVH64919 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLDKFYSSTRSGRDFQKDIVRAAEGLVSIGNKHIEVGTKFSEDCYRYGGENNASDEALAKAASLYGGALRNIEKEYEDFNRTLSSQIIDPLRAMSMGTPLEDARGLAQRYSRMRHEAEILSAEIARRKARVREAPIPEHTTKLQQSEAKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFYLRLAAILDDVEAEMSSEKQKRESAPPIISSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >PAN14110 pep chromosome:PHallii_v3.1:2:50679535:50685030:-1 gene:PAHAL_2G384400 transcript:PAN14110 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLDKFYSSTRSGRDFQKDIVRAAEGLVSIGNKHIEVGTKFSEDCYRYGGENNASDEALAKAASLYGGALRNIEKEYEDFNRTLSSQIIDPLRAMSMGTPLEDARGLAQRYSRMRHEAEILSAEIARRKARVREAPIPEHTTKLQQSEAKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFYLRLAAILDDVEAEMSSEKQKRESAPPIISSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >PAN14108 pep chromosome:PHallii_v3.1:2:50679535:50685002:-1 gene:PAHAL_2G384400 transcript:PAN14108 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLDKFYSSTRSGRDFQKDIVRAAEGLVSIGNKHIEVGTKFSEDCYRYGGENNASDEALAKAASLYGGALRNIEKEYEDFNRTLSSQIIDPLRAMSMGTPLEDARGLAQRYSRMRHEAEILSAEIARRKARVREAPIPEHTTKLQQSEAKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFYLRLAAILDDVEAEMSSEKQKRESAPPIISSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >PAN13360 pep chromosome:PHallii_v3.1:2:47427769:47430575:-1 gene:PAHAL_2G331000 transcript:PAN13360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEAGAFEEMLRVVEACAVRIRWRLRPGSKRRLLNDILFLCTGLRPVVLVDYGGTMPQLQENLCSLLHHARQETSILNPLRVMVINDMLYLIHVKGLAEHASPKERSQHQLAFVDLEKSCCRLLTNIEANDTVLELVSIQDRFSVKYPVDAAVDVPVIQPGITKQMSGLPERTIDAECTDNHVDDRTLLVDDLSSFLKTAQIALPSLNGWLLDYPVTYLFRNGSAEAAIQNLSKHSLHIYRVYVCGSYQSGAKQSEEELMSFSVPCGLSTKRREEPWAKSFIARMSEKLRPCSQVWTSVRLEVEVFHSQSQVIVL >PAN13358 pep chromosome:PHallii_v3.1:2:47427295:47431013:-1 gene:PAHAL_2G331000 transcript:PAN13358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEAGAFEEMLRVVEACAVRIRWRLRPGSKRRLLNDILFLCTGLRPVVLVDYGGTMPQLQENLCSLLHHARQEQSTICTGGLAEHASPKERSQHQLAFVDLEKSCCRLLTNIEANDTVLELVSIQDRFSVKYPVDAAVDVPVIQPGITKQMSGLPERTIDAECTDNHVDDRTLLVDDLSSFLKTAQIALPSLNGWLLDYPVTYLFRNGSAEAAIQNLSKHSLHIYRVYVCGSYQSGAKQSEEELMSFSVPCGLSTKRREEPWAKSFIARMSEKLRPCSQVWTSVRLEVEVFHSQSQVIVL >PAN13357 pep chromosome:PHallii_v3.1:2:47427290:47431013:-1 gene:PAHAL_2G331000 transcript:PAN13357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVARELLRGVDNSIAPLWKSQVRGGSSRKRQPPTSELPLPSSGAVRTIGNRTVDIEPREEMSRSLFHPSRWFHTVRRKWLRDRLQFFHGGDGGGGGGGGGVRGDAAGGGGVRGAHQVAPPPGLQAPPPQRYPLSLHWVAACGTGGLWWHNASATRESLQPAASCPAGLAEHASPKERSQHQLAFVDLEKSCCRLLTNIEANDTVLELVSIQDRFSVKYPVDAAVDVPVIQPGITKQMSGLPERTIDAECTDNHVDDRTLLVDDLSSFLKTAQIALPSLNGWLLDYPVTYLFRNGSAEAAIQNLSKHSLHIYRVYVCGSYQSGAKQSEEELMSFSVPCGLSTKRREEPWAKSFIARMSEKLRPCSQVWTSVRLEVEVFHSQSQVIVL >PVH63490 pep chromosome:PHallii_v3.1:2:2933444:2936725:-1 gene:PAHAL_2G041900 transcript:PVH63490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATSAAATSSHSRCLAKFKLALSWTIHLALGPFMIRFPGLAAKTRPNREEPRVKRFRCCGFRRF >PVH65403 pep chromosome:PHallii_v3.1:2:56721276:56724502:1 gene:PAHAL_2G484800 transcript:PVH65403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGDLSDEEKRAMRGSKFAPLPPPPPTSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLDSLNPDLVKLAVKNAKETIKASEASTSGRVVRHVSSFEDGSEDSEDEAEAKGIKRKRKNKVKAHQGDEQQSKKKKKKKNKNKKGKGC >PAN15393 pep chromosome:PHallii_v3.1:2:56721276:56724504:1 gene:PAHAL_2G484800 transcript:PAN15393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGDLSDEEKRAMRGSKFAPLPPPPPTSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLDSLNPDLVKLAVKNAKETIKASEASTSGRVVRHVSSFEDGSEDSEDEAEAKGIKRKRKNKKVKAHQGDEQQSKKKKKKKNKNKKGKGC >PAN10440 pep chromosome:PHallii_v3.1:2:7121699:7126333:1 gene:PAHAL_2G094400 transcript:PAN10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLHLALQASRQQNLQLAQANSQMLAELNTGKDRIKALQHELSCTAALLKVKDSEIERKNKTANQRCKEVNSQDVLKAIPSKVTAAAAHRIDGSNSAVVESQSAVPSNTGCQEPPQHATKRRNKRKSESSESVKDTNIVQDDYKPILQPIMSLHHEDPRKPQRRRSSRLNQGSCEINDESDKTLLDNTAVSSPSTLSVHKRHGPTIGKDMGKSLQNECTAVAYEVVMASELEEIETDEQPPNEANLKEIQEACSSVAAVEPHQIGDKARNAKQNHLSGSQASVQSNIIEPHKPPEDTIIKRGSNEQKSALCERDSDIEDVNSKCGTSTSGALHHEKKTKSQRRKSSRLNPAPSEDMKSTFETLQEDVIVPLAPSSSNVSMEQRADLKQNEACSSMKSTEGHVQGRRSMRRAAEKVISYKEIPLNVKMRRP >PVH63734 pep chromosome:PHallii_v3.1:2:7121699:7126333:1 gene:PAHAL_2G094400 transcript:PVH63734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLHLALQASRQQNLQLAQANSQMLAELNTGKDRIKALQHELSCTAALLKVKDSEIERKNKTANQRCKEVNSQDVLKAIPSKVTAAAAHRIDGSNSAVVESQLPSNTGCQEPPQHATKRRNKRKSESSESVKDTNIVQDDYKPILQPIMSLHHEDPRKPQRRRSSRLNQGSCEINDESDKTLLDNTAVSSPSTLSVHKRHGPTIGKDMGKSLQNECTAVAYEVVMASELEEIETDEQPPNEANLKEIQEACSSVAAVEPHQIGDKARNAKQNHLSGSQASVQSNIIEPHKPPEDTIIKRGSNEQKSALCERDSDIEDVNSKCGTSTSGALHHEKKTKSQRRKSSRLNPAPSEDMKSTFETLQEDVIVPLAPSSSNVSMEQRADLKQNEACSSMKSTEGHVQGRRSMRRAAEKVISYKEIPLNVKMRRP >PAN10438 pep chromosome:PHallii_v3.1:2:7121699:7126347:1 gene:PAHAL_2G094400 transcript:PAN10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASRGAAVGGSNPSGDGGGPRLRSPPGKGNKPAALADITNTGKPNASRSISGADVVKENVRLSHLLREKIKIIELSKVEMHKLHLALQASRQQNLQLAQANSQMLAELNTGKDRIKALQHELSCTAALLKVKDSEIERKNKTANQRCKEVNSQDVLKAIPSKVTAAAAHRIDGSNSAVVESQSAVPSNTGCQEPPQHATKRRNKRKSESSESVKDTNIVQDDYKPILQPIMSLHHEDPRKPQRRRSSRLNQGSCEINDESDKTLLDNTAVSSPSTLSVHKRHGPTIGKDMGKSLQNECTAVAYEVVMASELEEIETDEQPPNEANLKEIQEACSSVAAVEPHQIGDKARNAKQNHLSGSQASVQSNIIEPHKPPEDTIIKRGSNEQKSALCERDSDIEDVNSKCGTSTSGALHHEKKTKSQRRKSSRLNPAPSEDMKSTFETLQEDVIVPLAPSSSNVSMEQRADLKQNEACSSMKSTEGHVQGRRSMRRAAEKVISYKEIPLNVKMRRP >PVH63733 pep chromosome:PHallii_v3.1:2:7121699:7126347:1 gene:PAHAL_2G094400 transcript:PVH63733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASRGAAVGGSNPSGDGGGPRLRSPPGKGNKPAALADITNTGKPNASRSISGADVVKENVRLSHLLREKIKIIELSKVEMHKLHLALQASRQQNLQLAQANSQMLAELNTGKDRIKALQHELSCTAALLKVKDSEIERKNKTANQRCKEVNSQDVLKAIPSKVTAAAAHRIDGSNSAVVESQLPSNTGCQEPPQHATKRRNKRKSESSESVKDTNIVQDDYKPILQPIMSLHHEDPRKPQRRRSSRLNQGSCEINDESDKTLLDNTAVSSPSTLSVHKRHGPTIGKDMGKSLQNECTAVAYEVVMASELEEIETDEQPPNEANLKEIQEACSSVAAVEPHQIGDKARNAKQNHLSGSQASVQSNIIEPHKPPEDTIIKRGSNEQKSALCERDSDIEDVNSKCGTSTSGALHHEKKTKSQRRKSSRLNPAPSEDMKSTFETLQEDVIVPLAPSSSNVSMEQRADLKQNEACSSMKSTEGHVQGRRSMRRAAEKVISYKEIPLNVKMRRP >PAN09187 pep chromosome:PHallii_v3.1:2:207416:209726:-1 gene:PAHAL_2G002500 transcript:PAN09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESSDVVVNKCTTNSKAGTNSEEDGGGGADRDRPLVVSSSAPATPFKFNVHAPEFVPMSPNAASPMSAPAGGYYSPFMQMQAGLTPDWSFFHDHEPVFFMPDFAHAKFGAAAAAAGSNSAQAKGTGATADVTQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKICHPQEPSTARAASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRPDFDHFVGSDDDSPHSQMSSDSPTADNSSPEAHQTQQDADHQNGGIKQQKGWARGRGKLHVTAPHSPQSAPAGMVGHFDPSSPRQQAKCPSSPGSRQQQASSQKCPFSPRQPPPQGPRMPDGTRGFTMGRGKPPATSSSSPPAPRPVAAAPTTPAPVLV >PAN09188 pep chromosome:PHallii_v3.1:2:207709:209668:-1 gene:PAHAL_2G002500 transcript:PAN09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESSDVVVNKCTTNSKAGTNSEEDGGGGADRDRPLVVSSSAPATPFKFNVHAPEFVPMSPNAASPMSAPAGGYYSPFMQMQAGLTPDWSFFHDHEPVFFMPDFAHAKFGAAAAAAGSNSAQAKGTGATADVTQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKICHPQEPSTARAASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRPDFDHFVGSDDDSPHSQMSSDSPTADNSSPEAHQQTQQDADHQNGGIKQQKGWARGRGKLHVTAPHSPQSAPAGMVGHFDPSSPRQQAKCPSSPGSRQQQASSQKCPFSPRQPPPQGPRMPDGTRGFTMGRGKPPATSSSSPPAPRPVAAAPTTPAPVLV >PAN10275 pep chromosome:PHallii_v3.1:2:6104187:6107730:-1 gene:PAHAL_2G084000 transcript:PAN10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQKIMQEFERQNERMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVGAPSAAVAQPASAGKVAQAESAGNADSGIDADLQARLDNLRRM >PVH63836 pep chromosome:PHallii_v3.1:2:9819323:9819980:1 gene:PAHAL_2G117500 transcript:PVH63836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIDVFIWLADVVNSLGGMPPQVQTMERQQFKTLTISFDIPAREDLGTMIPIIASGKESPIEMTYERNAMEACLVELERHDYLIPDLSWFKIRALQQNEHDIISLCERLAQENQNDMRRPVSRLLLRFDLPRLLLRNQCSPSMAKHFLVDVKLRTAHLSVL >PAN15368 pep chromosome:PHallii_v3.1:2:56637685:56649222:-1 gene:PAHAL_2G483300 transcript:PAN15368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDLFQNVAFSDFSAAAAPAAEGATAAFGVAAATGGPRLSLVKAGKPEVEPTIEIDLSDAQIFKLGPSEWLCLCGESEAKAGVEESFSRAIKVVLRTEAESKAFSLAFQRWKHQVISGKAGEPLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLICGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLQQDQQQQQLPSLQPQS >PAN15369 pep chromosome:PHallii_v3.1:2:56637685:56649222:-1 gene:PAHAL_2G483300 transcript:PAN15369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDLFQNVAFSDFSAAAAPAAEGATAAFGVAAATGGPRLSLVKAGKPEVEPTIEIDLSDAQIFKLGPSEWLCLCGESEAKAGVEEKSFSRAIKVVLRTEAESKAFSLAFQRWKHQVISGKAGEPLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLICGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLQQDQQQQQLPSLQPQS >PAN15370 pep chromosome:PHallii_v3.1:2:56638272:56649222:-1 gene:PAHAL_2G483300 transcript:PAN15370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDLFQNVAFSDFSAAAAPAAEGATAAFGVAAATGGPRLSLVKAGKPEVEPTIEIDLSDAQIFKLGPSEWLCLCGESEAKAGVEEKSFSRAIKVVLRTEAESKAFSLAFQRWKHQVISGKAGEPLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLICGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLQQDQQQQQLPSLQPQGSEQQMQEGLSPGITIDQVDQECGLH >PAN15373 pep chromosome:PHallii_v3.1:2:56638272:56649222:-1 gene:PAHAL_2G483300 transcript:PAN15373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDLFQNVAFSDFSAAAAPAAEGATAAFGVAAATGGPRLSLVKAGKPEVEPTIEIDLSDAQIFKLGPSEWLCLCGESEAKAGVEESFSRAIKVVLRTEAESKAFSLAFQRWKHQVISGKAGEPLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLICGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLQQDQQQQQLPSLQPQGSEQQMQEGLSPGITIDQVDQECGLH >PAN15374 pep chromosome:PHallii_v3.1:2:56637774:56649375:-1 gene:PAHAL_2G483300 transcript:PAN15374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLICGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLQQDQQQQQLPSLQPQGSEQQMQEGLSPGITIDQVDQECGLH >PAN15367 pep chromosome:PHallii_v3.1:2:56637126:56649375:-1 gene:PAHAL_2G483300 transcript:PAN15367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLICGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLQQDQQQQQLPSLQPQS >PAN11076 pep chromosome:PHallii_v3.1:2:13169159:13179746:1 gene:PAHAL_2G139900 transcript:PAN11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMCHACASKETTMDEVNIDLTCSTSCCKMCDHGEGSSIVTGETNWLHMDSSPCSTPYGTPMFSRENSFSSFASCFSSLGDSLTYSDFEEEIEIQDTGQSYPDTLLNDDLMEQGEGSLIRVEECQLRDIAVVDDGATVPIPADQNISSGHPESETLEDSKGKFDDTNITSCLNPSSEQHQDVLSNNQSIETKCGVSVEDFDLKQCGVIDVEEVTSLPMPGGDIIPLNEQVMAQLDGSMENTIVYYNISNTEPDMKHGDDFDSENECIYPLVLPSFDADPLIWSPPAPENMEDDFDTVSNNSDENENKSSGWARSSFNVNIAERSKESREDQLQKVMSEVMNGQFKTLVSRFLAAEGFSIYDGGTKKNWLDIVASLSWDAALLVKPDANSGNAMDPGLYVKVKCIPSGSYQQSEVVNGLVFKKSAAHKQMRANIKHPKLLLLQGALGHFSTGLASINSMKQENEQLEKILSAVIGKCQPDVILVEKVVSRNVNEHVQKQGVTVVSDMNMRRLERIARCTGSPIISLQNVLTKPSIIKQCESLHFEKFVEEHNITGDDGRKSWKTCLFLEGFPRPLGCTILLKGAAREELKKIKRVLHFTVFAAYHLILETSFFADQKLFMTDKPTTGKEKCFKTNACLLGPCYDSSENSDTMKHPNCDDQYANQEKLIHTEKPILLHLHDNKTMTSEDPAGEKHIDSKGIRSYSSLPVSVPSTNFMQDTPSSDYAESNTCDGFDGSTFMDTSKKVHKKQLSGEKFQRTANGICAESGASLNTQDILISMSSQHIRNQAVCEQSHLSRITYYGYFDTSLGRYLQDTLLNEKHSCLSCGESPEAHMYSYTHHNGTLTVLVKRLPMESSLSGEAQGRIWMWTRCLRCNAKPTSRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGFGSRVAMFIYSSVEIYSACKPPLTLEFNNRNKKDWLDVEVNNVRLKWKQLFSEIENGIQDLRSRYTTQAMGEGTNISVYEGLLLEVTRMLVQEKNEVEVSLKAFNQVAIPESFSHEILGLNWLYQQLLLGFYIWDLRLLHILQYTKVNTVSSDNSIHERTVKNELKNSGSIAIQDTPFMKNIGIERNETTISSSSSFDDSCSNKILDKAQLIDKLIIKEHELPVYQDHDVRSSLSSPGEATENGSHQFEATMEIGNEFCSEKSPLTNHEQPAASKVNEICRVVIPSYDAGKWVWNRFSHLELEYKKGLQGGSLYKFHLINKYTPCSSSLTQLKHQMDLGHFILGHGGNILSIAEEEVSSIIAVALTISEQQGFSSEAASSNLDRNASMLSSILASTISPKESTSGFYDSFLSALKDLHPEIDLNNEKIALRSKYTVVCIYAKQFHDLRKICCPSELAYISSISRCKNWDAQGGKSKVFFAKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSEVSNNPTCLAKILGIYQVKEIRNGKETRANFMVMENLLFGHNILRRYDLKGALFSRYISDSKNPEMVLLDQNFIEDMRTMPIYIEGKTKNLMERAIWNDTAFLSNMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPREYKIRFRAFMSQYFLSVPDA >PAN14882 pep chromosome:PHallii_v3.1:2:54661830:54666825:1 gene:PAHAL_2G451100 transcript:PAN14882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress response, Abscisic acid (ABA)-dependent gene regulatio [Source: Projected from Oryza sativa (Os07g0622000)] MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGMKIDENVQREIMNHRSLRHPNIVKFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTLTRILSVQYAIPDFVRVSMECRHLLSRIFVGSPEQRITIPDIKNHPWFLKNLPIEMTDEYQMSVLMTDMNVPSQSLEEIMAIIQEARKPGDGMKLTGQIPGLGSMELDDIDVDDIDVEDSGDFVCAL >PAN14126 pep chromosome:PHallii_v3.1:2:54963161:54966064:-1 gene:PAHAL_2G456100 transcript:PAN14126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRDRAHGAGAASTENLVQGPILNNKCEKKAPKKVHKSEREKRKRDKQNDLFGELGNMLEPDRQNNGKACILSDTTRMLKDLLSQVESLRQENGALKNESRYVALERNELLDENNMIRNEISELQNELRMRVEGNPIWSHDVTRSNLTAPHPATAVFALQHSPQQPVIATMAPPLQQLAVLEQSYTAPRRELQLFPEAASAEDTEPPQDQGISNHVTRPQARYPTTMATLPAHAYPILPRMEDEQCSSDTTGSGDEGGVGNH >PAN14988 pep chromosome:PHallii_v3.1:2:54963375:54965518:-1 gene:PAHAL_2G456100 transcript:PAN14988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRDRAHGAGAASTENLVQGPILNNKCEKKAPKKVHKSEREKRKRDKQNDLFGELGNMLEPDRQNNGKACILSDTTRMLKDLLSQVESLRQENGALKNESRYVALERNELLDENNMIRNEISELQNELRMRVEGNPIWSHDVTRSNLTAPHPATAVFALQHSPQQPVIATMAPPLQQLAVLEQSYTAPRRELQLFPEAASAEDTEPPQDQGISNHVTRPQARYPTTMATLPAHAYPILPRMEDEQCSSDTTGSGDEGGVGNH >PAN10147 pep chromosome:PHallii_v3.1:2:5587515:5592537:1 gene:PAHAL_2G074500 transcript:PAN10147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese and Cadmium transporter, Mn and Cd uptak [Source: Projected from Oryza sativa (Os07g0257200)] MEIERETPGSERARSWRANAQEASKKLEDGDRLIKEPAWKRFLVHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFLRICLWILAEVAVIAADIPEVIGTAFAFNLLFHIPVWVGVLITGSSTLLLLGLQRYGVRKLEFLISMLVLVMAACFFGEMSFVKPPAVEVLKGLFIPRLKGPGATGDAIALLGALIMPHNLFLHSALVLSRKTPSSVRGIKDACRFFLYESGFALFVALLINIAVISVSGTVCFADNLSPEDAEKCSDLTLDSSSFLLKNVLGRSSAIVYGIALLASGQSSTITGTYSGQYIMQGFLDIRMKKWLRNLMTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSVYIIVFSWLLGLMIIGINMYFLSTSFVGWLIHNSLPKYANVLVSLLVFPLMLIYIFAVIYLTFRKDTVVTFVADSAQIDTEKAKATGEEEEENQPVPFRQDLADIPLPE >PAN10146 pep chromosome:PHallii_v3.1:2:5587515:5592603:1 gene:PAHAL_2G074500 transcript:PAN10146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese and Cadmium transporter, Mn and Cd uptak [Source: Projected from Oryza sativa (Os07g0257200)] MEIERETPGSERARSWRANAQEASKKLEDGDRLIKEPAWKRFLVHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFLRICLWILAEVAVIAADIPEVIGTAFAFNLLFHIPVWVGVLITGSSTLLLLGLQRYGVRKLEFLISMLVLVMAACFFGEMSFVKPPAVEVLKGLFIPRLKGPGATGDAIALLGALIMPHNLFLHSALVLSRKTPSSVRGIKDACRFFLYESGFALFVALLINIAVISVSGTVCFADNLSPEDAEKCSDLTLDSSSFLLKNVLGRSSAIVYGIALLASGQSSTITGTYSGQYIMQGFLDIRMKKWLRNLMTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSVYIIVFSWLLGLMIIGINMYFLSTSFVGWLIHNSLPKYANVLVSLLVFPLMLIYIFAVIYLTFRKDTVVTFVADSAQIDTEKAKATGEEEEENQPVPFRQDLADIPLPE >PAN12109 pep chromosome:PHallii_v3.1:2:40907566:40908204:1 gene:PAHAL_2G243000 transcript:PAN12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVWWWPSLPAWLGSEAVWFVALNAVVAAVAILSSRPRPSQATPRRGAGVTRRASSAVLQSLRSFSLFSFPSACMSFLQPDAAAAAYQETEELVRVRSPTNKPSPRALVVVPPPPVAPAAEEDVDEDDEDPNAMSMDEAYALVLASRQRPEREVQEQARRSEVDAKAEEFIRGFEDQRQRRLNSIFNYTQMLKQRGLAAGRRQPDARPDQL >PVH63747 pep chromosome:PHallii_v3.1:2:7447939:7453160:1 gene:PAHAL_2G097000 transcript:PVH63747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGRRKASKTADKELVGGRRHAVLNQQSGSGDADLSGQQQVLSGTGHTYGSGNRMGFQESRINDALFSSYFRTLPSIKDVPNTEKQNLLIIKLNMCCTLFDFSDPTKNIKEKKIKMETLMEILDYVKTANTKFPEIVVEGITKMISANLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSTETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKMVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKARCISMYHRQLSYCITQFVEKDGKLADTIIRGIIKYWPVTNSPKEVLFLGELEEILEATQPSEFQNCMVPVFCQVARCFNSSHFQVAERALFLWNNDRVESLIRQNSKAILPIILPALEKNINEHWNPAVQSLSLNVQKLFSDREPELFAECVLKYEEDKVREEELKLKQAAAWKRLDKIASAKVTCGEAVLVSPTLPRQPSGV >PAN10500 pep chromosome:PHallii_v3.1:2:7448482:7453160:1 gene:PAHAL_2G097000 transcript:PAN10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGRRKASKTADKELVGGRRHAVLNQQSGSGDADLSGQQQVLSGTGHTYGSGNRMGFQESRINDALFSSYFRTLPSIKDVPNTEKQNLLIIKLNMCCTLFDFSDPTKNIKEKKIKMETLMEILDYVKTANTKFPEIVVEGITKMISANLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSTETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKMVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKARCISMYHRQLSYCITQFVEKDGKLADTIIRGIIKYWPVTNSPKEVLFLGELEEILEATQPSEFQNCMVPVFCQVARCFNSSHFQATIPWSRILLGC >PVH63748 pep chromosome:PHallii_v3.1:2:7447939:7453160:1 gene:PAHAL_2G097000 transcript:PVH63748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGRRKASKTADKELVGGRRHAVLNQQSGSGDADLSGQQQVLSGTGHTYGSGNRMGFQESRINDALFSSYFRTLPSIKDVPNTEKQNLLIIKLNMCCTLFDFSDPTKNIKEKKIKMETLMEILDYVKTANTKFPEIVVEGITKMISANLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSTETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKMVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKARCISMYHRQLSYCITQFVEKDGKLADTIIRGIIKYWPVTNSPKEVLFLGELEEILEATQPSEFQNCMVPVFCQVARCFNSSHFQVAERALFLWNNDRVESLIRQNSKAILPIILPALEKNINEHWNPAVQSLSLNVQKLFSDREPELFAECVLKYEEDKVREEELKLKQAAAWKRLDKIASAKVTCGEAVLVSPTLPRQPSGV >PAN10498 pep chromosome:PHallii_v3.1:2:7447939:7453160:1 gene:PAHAL_2G097000 transcript:PAN10498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGRRKASKTADKELVGGRRHAVLNQQSGSGDADLSGQQQVLSGTGHTYGSGNRMGFQESRINDALFSSYFRTLPSIKDVPNTEKQNLLIIKLNMCCTLFDFSDPTKNIKEKKIKMETLMEILDYVKTANTKFPEIVVEGITKMISANLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSTETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKMVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKARCISMYHRQLSYCITQFVEKDGKLADTIIRGIIKYWPVTNSPKEVLFLGELEEILEATQPSEFQNCMVPVFCQVARCFNSSHFQVAERALFLWNNDRVESLIRQNSKAILPIILPALEKNINEHWNPAVQSLSLNVQKLFSDREPELFAECVLKYEEDKVREEELKLKQAAAWKRLDKIASAKVTCGEAVLVSPTLPRQPSGV >PVH63750 pep chromosome:PHallii_v3.1:2:7448482:7453160:1 gene:PAHAL_2G097000 transcript:PVH63750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGRRKASKTADKELVGGRRHAVLNQQSGSGDADLSGQQQVLSGTGHTYGSGNRMGFQESRINDALFSSYFRTLPSIKDVPNTEKQNLLIIKLNMCCTLFDFSDPTKNIKEKKIKMETLMEILDYVKTANTKFPEIVVEGITKMISANLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSTETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKMVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKARCISMYHRQLSYCITQFVEKDGKLADTIIRGIIKYWPVTNSPKEVLFLGELEEILEATQPSEFQNCMVPVFCQVARCFNSSHFQATIPWSRILLGC >PAN10497 pep chromosome:PHallii_v3.1:2:7448935:7451896:1 gene:PAHAL_2G097000 transcript:PAN10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGRRKASKTADKELVGGRRHAVLNQQSGSGDADLSGQQQVLSGTGHTYGSGNRMGFQESRINDALFSSYFRTLPSIKDVPNTEKQNLLIIKLNMCCTLFDFSDPTKNIKEKKIKMETLMEILDYVKTANTKFPEIVVEGITKMISANLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSTETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKMVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKARCISMYHRQLSYCITQFVEKDGKLADTIIRGIIKYWPVTNSPKEVLFLGELEEILEATQPSEFQNCMVPVFCQVARCFNSSHFQATIPWSRILLGC >PAN10499 pep chromosome:PHallii_v3.1:2:7447939:7453160:1 gene:PAHAL_2G097000 transcript:PAN10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGRRKASKTADKELVGGRRHAVLNQQSGSGDADLSGQQQVLSGTGHTYGSGNRMGFQESRINDALFSSYFRTLPSIKDVPNTEKQNLLIIKLNMCCTLFDFSDPTKNIKEKKIKMETLMEILDYVKTANTKFPEIVVEGITKMISANLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSTETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKMVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKARCISMYHRQLSYCITQFVEKDGKLADTIIRGIIKYWPVTNSPKEVLFLGELEEILEATQPSEFQNCMVPVFCQVARCFNSSHFQVAERALFLWNNDRVESLIRQNSKAILPIILPALEKNINEHWNPAVQSLSLNVQKLFSDREPELFAECVLKYEEDKVREEELKLKQAAAWKRLDKIASAKVTCGEAVLVSPTLPRQPSGV >PVH63749 pep chromosome:PHallii_v3.1:2:7448935:7451896:1 gene:PAHAL_2G097000 transcript:PVH63749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGRRKASKTADKELVGGRRHAVLNQQSGSGDADLSGQQQVLSGTGHTYGSGNRMGFQESRINDALFSSYFRTLPSIKDVPNTEKQNLLIIKLNMCCTLFDFSDPTKNIKEKKIKMETLMEILDYVKTANTKFPEIVVEGITKMISANLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSTETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKMVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKARCISMYHRQLSYCITQFVEKDGKLADTIIRGIIKYWPVTNSPKEVLFLGELEEILEATQPSEFQNCMVPVFCQVARCFNSSHFQATIPWSRILLGC >PAN09168 pep chromosome:PHallii_v3.1:2:108534:110776:1 gene:PAHAL_2G001100 transcript:PAN09168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKPTTPDAATKPKKKVTAAQVAFLVDRYLVDNGFHAALAAFRSDAAHLFSPNRAKPPPKGLLPLADILHDYIALKEGRVAIDSAMHAMHSLVSNYYASSSSPPPPPITPAMMMMMPPLPPAANTSQPSSPPLVPPLFVASSSSPPQPQGTAGYASPVVHHYAHASTALLVHNSSDMPTKKRKHSKSAGKTTTASKKSCTTTHPTSAEHSAMAKLPVQTSSVAKSLFRPLQPQLHTSPCTPPQSHVIQDQDQPAACTTQRPFPVAASAHTQQDIASSQCSIVSSKTLIVSPLKGGTYYAVERSYHVSSPLKSTTHKSTKREHVKGKLNFDATDSRPGPSEQLICDKASTSSDEDKQDDFDIDFTNLDIFDGDFSFSELLLDLDLDTEGVHCQNPSTGAEVQRLEPVPKSGYVIADPGLPDSVKAVAADSTEDFDLQAGTTSVTSVRAITKRIKIVSPVKGRTAS >PAN09169 pep chromosome:PHallii_v3.1:2:108534:110830:1 gene:PAHAL_2G001100 transcript:PAN09169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKPTTPDAATKPKKKVTAAQVAFLVDRYLVDNGFHAALAAFRSDAAHLFSPNRAKPPPKGLLPLADILHDYIALKEGRVAIDSAMHAMHSLVSNYYASSSSPPPPPITPAMMMMMPPLPPAANTSQPSSPPLVPPLFVASSSSPPQPQGTAGYASPVVHHYAHASTALLVHNSSDMPTKKRKHSKSAGKTTTASKKSCTTTHPTSAEHSAMAKLPVQTSSVAKSLFRPLQPQLHTSPCTPPQSHVIQDQDQPAACTTQRPFPVAASAHTQQDIASSQCSIVSSKTLIVSPLKGGTYYAVERSYHVSSPLKSTTHKSTKREHVKGKLNFDATDSRPGPSEQLICDKASTSSDEDKQDDFDIDFTNLDIFDGDFSFSELLLDLDLDTEGVHCQNPSTGAEVQRLEPVPKSGYVIADPGLPDSVKAVAADSTEDFDLQGTTSVTSVRAITKRIKIVSPVKGRTAS >PVH63737 pep chromosome:PHallii_v3.1:2:7234256:7244592:-1 gene:PAHAL_2G095200 transcript:PVH63737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGLQNASSIAQLAGVDAFVLITAIVRAAQTARRNKKTCRELAEQLHETLRRACAVVESCRRGGYVRGLCAGGSRATSLRDLQIRIAFFLQLFPIISRLDSTRLLVQVIDSAAARAPTAGGEAGEGLQRSVANHSDPQDDDRVQNFSFSQLMNATNSFSFENQTEQGPLATLYKLFKNEVKILPKLQHNNIVKLKGFCAERSERVSRTVRMRGGFIVDWPSRFRIIEGVAQGAVYLHHHSRLRIIHRDLKPSNILLDSDMNPKIPNFDLAKVLSPGMIQDTAACVVGSETNYRDLLTWAFNRTPYGAKLVQRLKGFLPPSLHGISFCSRALPKCLSFPARRRTLSQQREMRRCVRKPERRPEMLEVTRMLSPRKARVPFPRRPGYAREGPIYAGDRSTTP >PAN13083 pep chromosome:PHallii_v3.1:2:46256472:46260244:1 gene:PAHAL_2G313000 transcript:PAN13083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQEDGSRRRREAEAPVVALECVAGSSKAEEWGGGGGVVQEGDVVEAVRVGRGSGGGGAAAALELEAPFKGGRAGLHKALHAAFKRGDTSVEVRVRGGRELQACIVPHHGSPGGGGGGGRKQYVLRSLHDPNYLLGFVDRLESECLVLQGTRSTRVASALSKAQLQDGYVAYPWETKMRDTLRLPNSSCYLSMLVLPKALDSNACRYESFDNTLARASAWLYSAQASGIPVDFMNVQSEALLTKISGETASSTVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSCIGGELLLEIPLEECDTRLGFAISRTEEGFIYISSVVVDDKECEAPSSRSGLRDLFNRAKEASKLLVISRVSNEKVLPWMISTSGAVRCFDTISLSQKLSLHRLAVRPIQLHFLAWEKPAGPVERIIRSPKLPPPSTLLPQPHQTLMVESVEPRLDAEQDYVGDLSFRLDDLSFESSWV >PAN13866 pep chromosome:PHallii_v3.1:2:49696242:49703011:1 gene:PAHAL_2G369500 transcript:PAN13866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHRGGGRAGGGGGRGQANPNVSQGGRGYGGGGGGGRGGQYYGDDSGGRGGGRGGGRGFDGRGGGYQQGGRDGGGGRGVGGYQGGGRDGGGFRGAGGGYQGGRDGGGGRGAGGYHYQEGRGGGRGGGGYQEARGGGRGGGGYQEGRGGYHGHGGRGCGGDYGRERGHGGQPSQPDLRQAGPPLVERYAAEAAALREKFKTMDIHRDEPLSPVRPGFGAAGTPCVVKANHFFVGLVDKGLHHYDVTISPETTLKGVYREVMSKLVSEKRQTELGGRLPAYDGKKSLFTAGELPFKTKEFEVTLPGRTERRYKVQIKHATAVSLHQLMMLMAGYHTDIPAHALQVLDIVLRDIVLNERNDMEYITVGRSFFSRIIDTVSLGQGVEAWKGFYQSIRPTQMGLSVIVDMSSTAFVRPMSLIQFVMEILNKDNPRTFGNITNMDYAKLKKALKGVKVEVTHRGDARRKYRIATLTHSRPSIMDFESSSGVRKTVTDYFREAYQMELQYGFLPCLQVGSDQRPIYLPMEVCKIVPGQQYRKKLESQQVSKLMDSTCQRPSAREANIRQVVKRNDYNSTERSNEFGIEVDYHPTSVQARVLPAPTLKYYGTGSESLCYPKDGQWNMMKKRVVDGARVCNWACINFCHDLQMNHVKKFCSDLVKWSRNTGVDMDELKLPIFIARPSQVEADLSKRCQDARNLLGGQKIGLLLAILPDKNGSLYGNFKRICETEIGIMSQCCLAKYVISASPSYFANVAIKINAKVGGRNTEFANPQESLPVVSSEPTIIFGADVTHPAALDDTAPSIASVVASQDWPKVAKYNGVVRAQGHRQELINGLENIVKELLHAFEQGSKQRPKKLIFYRDGVSEGQFKQVLEQEIPEIEKAWKSLYNEKPHITFVVVQKRHHTRIFPDNHNDRRWADKSGNILPGTVIDKNICHPTEFDFFLCSHAGIKGTSRPTHYHVLRDDNKFTADGLQSLTYNLCYLYSSCTRSVSIAPPAYYAHKLAFRARFYVNQGSDVAMSGSSSSSSAPTAGPFPLPDIKDELKRSMFYC >PVH64715 pep chromosome:PHallii_v3.1:2:47979311:47984455:1 gene:PAHAL_2G340300 transcript:PVH64715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWLNRRMHPNTEYCTIHENKAMEDKEDSVRQSVAEKDTEALLFRDVLLNGILAIGTLGHHVDSLCPKACIEEDDFLIMDEEEVVEEEKNEEEPRNGKVKEDAALEVALSEPVVPVVEPAKMHSSSMKEDNFSCFITEEILMHEVEDGGAANIQERPLLMVEKVEKVRTTLADLFAAEAFSSSAPGEKNCQDIVIVSGESTSKLALCTEKAHQKKPTKPTPKPLKATRKLSRVWFAFFAHVYVCCNYLYFL >PVH64717 pep chromosome:PHallii_v3.1:2:47983324:47984812:1 gene:PAHAL_2G340300 transcript:PVH64717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHWLNRRMHPNTEYCTIHENKAMEDKEDSVRQSVAEKDTEALLFRDVLLNGILAIGTLGHHVDSLCPKACIEEDDFLIMDEEEVVEEEKNEEEPRNGKVKEDAALEVALSEPVVPVVEPAKMHSSSMKEDNFSCFITEEILMHEVEDGGAANIQERPLLMVEKVEKVRTTLADLFAAEAFSSSAPGEKNCQDIVIVSGESTSKLALCTEKAHQKKPTKPTPKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPLTA >PAN13478 pep chromosome:PHallii_v3.1:2:47979311:47984850:1 gene:PAHAL_2G340300 transcript:PAN13478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKMFHWLNRRMHPNTEYCTIHENKAMEDKEDSVRQSVAEKDTEALLFRDVLLNGILAIGTLGHHVDSLCPKACIEEDDFLIMDEEEVVEEEKNEEEPRNGKVKEDAALEVALSEPVVPVVEPAKMHSSSMKEDNFSCFITEEILMHEVEDGGAANIQERPLLMVEKVEKVRTTLADLFAAEAFSSSAPGEKNCQDIVIVSGESTSKLALCTEKAHQKKPTKPTPKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPLTA >PVH64714 pep chromosome:PHallii_v3.1:2:47979311:47984455:1 gene:PAHAL_2G340300 transcript:PVH64714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHWLNRRMHPNTEYCTIHENKAMEDKEDSVRQSVAEKDTEALLFRDVLLNGILAIGTLGHHVDSLCPKACIEEDDFLIMDEEEVVEEEKNEEEPRNGKVKEDAALEVALSEPVVPVVEPAKMHSSSMKEDNFSCFITEEILMHEVEDGGAANIQERPLLMVEKVEKVRTTLADLFAAEAFSSSAPGEKNCQDIVIVSGESTSKLALCTEKAHQKKPTKPTPKPLKATRKLSRVWFAFFAHVYVCCNYLYFL >PVH64716 pep chromosome:PHallii_v3.1:2:47979311:47984850:1 gene:PAHAL_2G340300 transcript:PVH64716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWLNRRMHPNTEYCTIHENKAMEDKEDSVRQSVAEKDTEALLFRDVLLNGILAIGTLGHHVDSLCPKACIEEDDFLIMDEEEVVEEEKNEEEPRNGKVKEDAALEVALSEPVVPVVEPAKMHSSSMKEDNFSCFITEEILMHEVEDGGAANIQERPLLMVEKVEKVRTTLADLFAAEAFSSSAPGEKNCQDIVIVSGESTSKLALCTEKAHQKKPTKPTPKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPLTA >PVH65353 pep chromosome:PHallii_v3.1:2:55730021:55730913:1 gene:PAHAL_2G469900 transcript:PVH65353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSDFEPIRVQLLGRPTLPTLSETLSALMAEETRLKSLAAQSMVSEQHSVLAIPPLPVEVEIAAATSKKVSQKTPCSHCGKTTHPDSRCFKKYPHLLAEMRDKRAASRKGSHAAPSSTPEPSVQFSATAAMPQCLQQSAPQYQLFPQHLVPQQSAVSAPQFHQQPSRSAHPSSSWYWPSP >PAN09918 pep chromosome:PHallii_v3.1:2:4281696:4283527:-1 gene:PAHAL_2G057900 transcript:PAN09918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPRRGGAVAGLPDDPLVEILSRVPVKSLCRFKCVSKAWRDLIADPLHRRKLPQTLEGFFCGLRYEDGEDGYGGDGCCGGGGHWLDSVFIDLVGRSAPLVDPSFSFLTKKLPGIKHVRILHSCNGLLLLRHGPVPHTDTHTLGYVVCNPATQEWVAVPSSGWLYSDPPEGEDEDDDDREIGLRTYSSETGVWTDRSAERRRLEEGGESTQLGSFGTILSSLGSAFVNGMLHFIVDHIQKDEDLIVAVDWEGKTRRFISWPQSHNCRGPAFLGQSQGHLYCISEQIEGNSTQITIWVLEDYDKEEWVMKHSVSSLQLFGSIKWLVHFDYTVVAIHPDRNLIFISHRGQKLISYNMDSKEVDAVSTVREDYGITPYVPYFSESPVLSNKH >PAN09919 pep chromosome:PHallii_v3.1:2:4282094:4283356:-1 gene:PAHAL_2G057900 transcript:PAN09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPRRGGAVAGLPDDPLVEILSRVPVKSLCRFKCVSKAWRDLIADPLHRRKLPQTLEGFFCGLRYEDGEDGYGGDGCCGGGGHWLDSVFIDLVGRSAPLVDPSFSFLTKKLPGIKHVRILHSCNGLLLLRHGPVPHTDTHTLGYVVCNPATQEWVAVPSSGWLYSDPPEGEDEDDDDREIGEDTYLIFDPAVSPHFKLLQFLMKANILNEVGLRTYSSETGVWTDRSAERRRLEEGGESTQLGSFGTILSSLGSAFVNGMLHFIVDHIQKDEDLIVAVDWEGKTRRFISWPQSHNCRGPAFLGQSQGHLYCISEQIEGNSTQITIWVLEDYDKEEWVMKHSVSSLQLFGSIKWLVHFDYTVVAIHPDRNLIFISHRGQKLISYNMDSKEVDAVSTVREDYGITPYVPYFSESPVLSNKH >PAN12811 pep chromosome:PHallii_v3.1:2:44957417:44959908:-1 gene:PAHAL_2G292800 transcript:PAN12811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDACQTHLIFLLLVSVAVLHAHASQQAQLEKFILSRRARTSSSEASLHGSSGVGVRSSLLAGYSGSDQSALKAADKIPALPGQPEGVDFDQYGGYVTVDEKNGRALFYYFVEAPQDASSKPLLLWLNGGPGCSSLGYGAMLELGPFRVNNDNKTLSRNKHAWNEVANVIFLESPAGVGFSYSNTSSDYDESGDSKTAEDAYIFLVNWLERFPEYKTRAFYISGESYAGHYVPQLAATILSHNIYHNRTIVNLHGILVGNPYLDRYKNQKGRFEYLRSHGLFSDEVWANVTSHCNFNSSDAEACLRTTDWFYYGPVDPYNIYAPICIDEPDGSYHSSSYLPGYNACDYYPTVTYLNDPVVQEAFHARKTEWGGCVDLGWKDAPDSMVPTLKWLIKHGLPIWLFSGDFDAICPLTATRYTIQDLNLSVTKPWRPWTANMEVGGYVQQYTGGFTFVSVRAAGHMVPSFQPERGLLLLHYFLKGVLPPFTQE >PAN14451 pep chromosome:PHallii_v3.1:2:52327017:52332252:-1 gene:PAHAL_2G411200 transcript:PAN14451 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD5 [Source:Projected from Arabidopsis thaliana (AT5G54310) UniProtKB/Swiss-Prot;Acc:Q9FL69] MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMTCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVPRNGTSRPSSGARDEKSQESPASANRSGYGHRSSFEQNRASLAPSKIAPAASRIPSQASPPKVEPPVPKVVSPPQPQKSPAKVDATPSKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKESESSSNDDNAWDGFQSAQPVPSSEKKDSAKPAESKPQSTSGIEDLFKDSPAVSVSSAPAVSQANAKNDIMSLFEKSNMVSPFALHQQQLALMSQQQALLAALKAGNAPQMVPGNANQLNANGSNPPLGTLPFQNWTNLGYQNPGLTPAAAPAAQDGAAKVANNNQDFSSGSFGFGTPGLYNISSAVPANGAAAAGASNNGTGSTASSTLPSQSGKDYDFSSLTQGFFSKR >PVH64170 pep chromosome:PHallii_v3.1:2:34562361:34562707:-1 gene:PAHAL_2G207400 transcript:PVH64170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPSSTPTAKKSVDAGLLKTSISGQPIMYTLVSPLIGQEAPTLDELMNNKRPCGIKVTTSRKKQKATNNPLPIVATSLSTPSAIPTTLAQTP >PVH63404 pep chromosome:PHallii_v3.1:2:1476933:1477166:1 gene:PAHAL_2G020800 transcript:PVH63404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDADIQLYSHNIYHTLNAISEPIVKSGTLGRIAMTSDNGPRGSLRG >PVH63347 pep chromosome:PHallii_v3.1:2:644368:646877:1 gene:PAHAL_2G010000 transcript:PVH63347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPPPPASALMEELVEEVLLRFPPADPSRLVGAALVCRRWCALVTGAGFRRRFRELHRAPPLLGLLCNAGPGARFVPASAFRPPGLPAGGLLRGWRALDARHGRVLLRWDPARDAAPGACPALVVWDPAADRRRDLPPLPWAPYPYSWNAAVLCAAAGCDHLDCCGGHFLVVVVGTNSKEMFAYVYSSEAGAWGEPASARHPNDNVDFAPSALVGNALYFAFQMGTSVLEYNLGTREMAVIRLPSSPYGWRRIVLATMYDGHLGFATADKSAICLWSREAHGGGDALWALTRAVELHKLLPAGALTTFSDVVGFVDVIGVIFVRTGDGLFSIDLKSNRVTEVSKDIGFSGIFPYMSFHTPALGVPSAGEGPSAGA >PAN12376 pep chromosome:PHallii_v3.1:2:42791625:42793609:1 gene:PAHAL_2G263900 transcript:PAN12376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIACVQMFFICLCTHLEYTSVDCSHYICCVCTCEHVSFFSMHADCIALFYLRSCLRSHVPTLSNRSEDTAEGFCVCPTPLHLSTCLHAN >PVH63586 pep chromosome:PHallii_v3.1:2:4591846:4595614:-1 gene:PAHAL_2G062100 transcript:PVH63586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGTLLLLLLAVFAASPSASETAVAVADGDGRQVYIVYLGHLASPGLSESEDGVSAVEFAHHDLLNQVLDDSSSASDRILRSYKRSLNGFAARLTEQEANELSSMDGVVSVFPSGIHEPLTTRSWDFLGFPQTPTEELPLEGEIIVGMLDTGIWPDSPSFSDDGFGPPPSRWKGVCQNFTCNNKIIGARAYRGGSSDGLSPLDDEGHGSHTASTVAGRAVGNVSFGGLAAGVARGAVPGARLAVYKVCWSRGGCGEADILAAFDDAIADGVDVISFSIGSPMPWQYFESAQAIGSFHAMRRGVVTSTSAGNSGLIGGRACNVAPWMLSVAASSIDRRFVDKIVLGNGETIVGASINTFPTLTNATLAFPANGSCDPDNLAGGSYKGKIVLCPPPAKIGHPNDGSGPLSAGAAAVVIVTRASDVAFVLPLPGLTVTRDQFDQIMAYVNSTSNPVATIDRTETTGNPQAPAAASFSSPGPNLITPGILKPDLSAPGIDIIAAWSMLSSPTGNPNDNRKVQYNIISGTSMACPHASGAAAYVKSFHRDWSPAMIMSALITTATPMNTPGNSGWTALKYGAGQLSPVKARDPGLVYDASDGDYVAMLCAQGYNATQLALVTGSNATACAAAGGSAGDLNYPTMAARVEPGRNFTVRFPRTATNVGAAGAAYDVKVEVALEAAKDVTVDVSPRRLEFTAPSQKVSFAVTVSGAAPAEGQVHSAAVLWYNDEHQVRSPVVVYAAEGQD >PAN14990 pep chromosome:PHallii_v3.1:2:54974604:54976780:-1 gene:PAHAL_2G456300 transcript:PAN14990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPPCCDKEGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQSGGDGAAKPPAHRPASSSKGQWERRLQTDIDMARRALREALTPLGDLKPQQHDGVDAAGASTGGGGGSDSPASSSSVASLCSPSVAAPGPYVLTTENISRMLDGWAGGRKQGRRGGSAGPGTPGGAESASTGSSDASEVSYGGAAGASAATPTAGALSEYERKPAVAAQQMPLSALESWLFDDDSHFHQVQSASLLDVPPMDYPF >PAN13226 pep chromosome:PHallii_v3.1:2:46800608:46805467:-1 gene:PAHAL_2G321800 transcript:PAN13226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLTSDSKVGYDLEDGALRLTEPEVNLKLGGPYEHTMNVGNTYADMVNSKSDKTSGQGDVIGNSNASSLQEVHPLILEPESESTSSEKVQGFMEDRSHVLHTMSEASSRPVVGSDSETITNPSTDTMPIGSDLRVVCTDNTSKGSSMELPTQNCTVADISDDRQPVENSCKKSLECPAAGFQYDLPVTNVGNIPITNVGDLEFTFEEKPRPDIVDENLSVQKTNGFTKEEVCNKQIDTEICTEDQFSPSQKHDCLLTDQALSVKNPFNLDDDRNDDLFELPTDSCYLEVPNPVELRQQVDSTSLMVDQPTVSNPTRMAEAQQCHNSNERILSSSSAMENGEVICPEGMPDSSSSELVNKTCLNDHGLQEDGQSSGVILVPSHATSVGFSTVSMQDSSAVRSEVEENMQAKDASAKEMTAVRNIDGIVMEQATSTTANDAYAANVEEKKVTEDSAAENEAQHSDHVEKEKQAGGTEVNSVQSMGNLEENKQTEDTNAKEMNAQFSADDVEDKTQTDDTSAEKTNAAGGTEDSEENMLAEDITAKEMITAQSTDNVEEKQQPNGIVSQEGNNAKQHEEIAAPGMRLNSGRAHVPLKVLLAEASAENQVKKPSTKERVLSFRRRVSKDGNSSAKSGSPKSSSDDQHWSSPAKLPRKDVGKSSKGRKQPWMPFICCHSVH >PAN13228 pep chromosome:PHallii_v3.1:2:46800608:46806888:-1 gene:PAHAL_2G321800 transcript:PAN13228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADESRRGKDPAALSGGHLCHVCGYQYPNAHPSAKLRRSHRKHCGKASPAPAVPEAEEAFVGVAVGVGERGEGGAGERNADERTAIGGGGGGQREGIGASEANGGGAALRGSAGGVHSSAEDKVAPAHASPTGAGAQVITTELSENNPLISCGDNESASPEDAGTQTITSELSENGFVNCSRYSIVNEGDGTESQIACPNGSQTRVGHPAEREDSFDEYQDASPFLHQSDSEDGVAPTAALSTEINNLNIVSSESSVSANETYFETNGLCKDHFPGETNMRDLTSDSKVGYDLEDGALRLTEPEVNLKLGGPYEHTMNVGNTYADMVNSKSDKTSGQGDVIGNSNASSLQEVHPLILEPESESTSSEKVQGFMEDRSHVLHTMSEASSRPVVGSDSETITNPSTDTMPIGSDLRVVCTDNTSKGSSMELPTQNCTVADISDDRQPVENSCKKSLECPAAGFQYDLPVTNVGNIPITNVGDLEFTFEEKPRPDIVDENLSVQKTNGFTKEEVCNKQIDTEICTEDQFSPSQKHDCLLTDQALSVKNPFNLDDDRNDDLFELPTDSCYLEVPNPVELRQQVDSTSLMVDQPTVSNPTRMAEAQQCHNSNERILSSSSAMENGEVICPEGMPDSSSSELVNKTCLNDHGLQEDGQSSGVILVPSHATSVGFSTVSMQDSSAVRSEVEENMQAKDASAKEMTAVRNIDGIVMEQATSTTANDAYAANVEEKKVTEDSAAENEAQHSDHVEKEKQAGGTEVNSVQSMGNLEENKQTEDTNAKEMNAQFSADDVEDKTQTDDTSAEKTNAAGGTEDSEENMLAEDITAKEMITAQSTDNVEEKQQPNGIVSQEGNNAKQHEEIAAPGMRLNSGRAHVPLKVLLAEASAENQVKKPSTKERVLSFRRRVSKDGNSSAKSGSPKSSSDDQHWSSPAKLPRKDVGKSSKGRKQPWMPFICCHSVH >PAN12468 pep chromosome:PHallii_v3.1:2:43139574:43144202:-1 gene:PAHAL_2G267400 transcript:PAN12468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAPRVAGAARRLSPSPKPAGAREKDKEEPPSREGAAAAADKARRRLLPAAPARGAAASMLLRRAGAGAGARGSSYVHPSSSLSVSCASEASTESFCSRASTGRIGRRPAGPPVGAPRRRAAGSVGPPSARPATSRKVVSSAVPGGAATAAPALPMLGSVNGEHTATSAGPPRCPWVTPNTDPCYAAFHDKEWGVPVHDDKKLFEMLTLSGALAEMAWPAILSKRDTFREVFMDFDPLLVAKLNEKKFLAPSSPASSLLSEHRLRIIIENARELLKVIEEFGSFDSYCWSFLSNKPMVGRYRHTREVPLRTAKADAISQDLMRRGFLGVGPTVVYAFMQAVGMANDHLVTCYRFEECCDIKDAAATDGYGDSCKPAAVSEQEVSMLCGLVQCVGLEPSRAATVISIS >PAN09773 pep chromosome:PHallii_v3.1:2:3665281:3668020:1 gene:PAHAL_2G049700 transcript:PAN09773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASNRPLVSVKALEGDMATDAAGIAFPAVLGAPIRPDIVRFTHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHRRVNVNLRRVAVASALAATAVPALVQARGHRIEAVPELPLVISDSAESIEKTSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSQKKGFVLPRPKMSNADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNMAAVLKLNPYLGTARKMATLAEAARVKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMVSDSDYTEFENFSKWLGVTQ >PVH63818 pep chromosome:PHallii_v3.1:2:9203972:9204799:1 gene:PAHAL_2G112900 transcript:PVH63818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLGAGQGHEDGDVSLEGQVVAKKDIFRYLGSMLQKEGDIDEDVRHRISDGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPIKRRHVQQLSVAEMRMLRWFCRHTRRDRVRNEEIRDRVRVATIEEKLIQHRLRWFGHRGRGKPRLTWDETVKRDLREWNIAKELAMDRSAWRLAINVPEP >PAN13943 pep chromosome:PHallii_v3.1:2:50005918:50011527:-1 gene:PAHAL_2G373800 transcript:PAN13943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNVKLGVEVLSAHDLLPKEQGTANAFVEVEFDDQKFRTAIKDGDLNPVWNEQFYFNISDPSRLPELHLEAYVYHANRANNSKACLGKVRISGTSFVSQPDASPLHYPLEKRTILSRARGELGLRVFLTNDPSVRVSAPGPEFDMLSTPTTAQEQAAANSIPNPFQETRPNPVRQLQHLPRDQQRPAPMAQPYYNEGSYGDQQQRSFSAIANKAGAPPPQVSRMYAPGSQQPIDFQLKETSPTLGGGRVIGGRVYPGEKAGAYDLVEKMQYLFVRVVKARDLPNMDITGSLDPYVEVHLGNYKMKTKYFEKNQKPEWDEVFAFPKEVMQSTTLEVVVKDKDVIRDDYVGRVSLDLNEVPVRVPPDSPLAPEWYRLVGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPVDSHLHNYIRGKVYPAPRMWYVRVNVIEAQDIFPMENHIPDVFVKVRLGHQLLKTRQVRSPTKNFMWNEEMMFVAAEPFEEDLIITIEDRVAQNKDEVIGELMIPITRIPKRADHKPVRPAWFDLRRPGLIDANQLKEDKFYAKVNLRVCLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGMLEVGILSANGLNPTKTRNDRGSCDAYCVAKYGSKWVRTRTIVDNLSPRFNEQYTWEVYDHGTVLTIGLFDNCHISGDSNHGSPGHMDKPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLINVLFTYSRPLLPKMHYTQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGVIAAGKWFGDVCQWKNPVTTVLVHVLFVMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISYADVAHPDELDEEFDTFPTSKSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLIFCLITAIILYVTPFQVIALCLGFFWMRHPRFRHKVPAAPVNFFRRLPAKTDSLL >PAN13569 pep chromosome:PHallii_v3.1:2:48382857:48385220:1 gene:PAHAL_2G347300 transcript:PAN13569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQMQQEPWNAAAVGLLRPTKSAPCSPIKPPPAAMVRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWSAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAAVDGLRGADSHLKAYERAQEMLRDLETKLVGRPRADQLDTSWLFDALLLGSSSSIWQPQPCIDHLLPPQAPAPAPVPAPARRDHFADENAVMSKKLAALQANMLNVDAQPFYSLRMPPLATKPQNTLPQQPQHKPAPVHDPLGNLKRTRSGNCMDKAGAVVVDKEQSTDENRGRRKSLSAEDRWPELPDHSAFDEALVAAVLGPVLDDEPAATEGRNGGHRKLPASCDTSPVVKEKIGKRLRIFQDITQTVNNF >PAN14123 pep chromosome:PHallii_v3.1:2:50742856:50747050:-1 gene:PAHAL_2G385200 transcript:PAN14123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEIRAEFESSGFSIGGAGPEDAAQILSTLLTYCINYKMSPGDLVSNWEVYYLNRQLDGLKLERSYVDGFLSHLQNEVKERLIEEEANLHVYSSNDVDMLFNNSHADEAAFLDTPGSKQEKPPGESSNTELTPLTSDRPSSSRMAKTNGDRITPFATRVNKFTQQYVLNSDNAAIMPSKHEAETTEDELIRRIQPSQRCSLQVQRSQPETGCRFMYDRMEDRFNYLEDRIRKSATLFSASGLCGEPADATLASEEKMFAIGMVTCDGEGRLNEKSILLQGSVEHSRGEHVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVASKLIDLIPVSVDAQLPSAKKQAVDDGSHHQNSDAGTLSRALSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFHDIFYSEVLRKIQDFTQYLGNTVRVILIPSVCDAHHDFVFPQPAFDLNVPEDITHQISSLANPSLFSSNQIHFGCCTVDILKQISGLEISRKPPAGKPGDRIGRLATHILKQQSYYPLYPPASGVPLDFSLANEALEISSTPDVLLLPSDLAPFVKVLSPGEGSDDQKQFICLNPGRLAKGIGGGTFVDLYYNEDTSRTNASIIRI >PAN14122 pep chromosome:PHallii_v3.1:2:50742162:50748100:-1 gene:PAHAL_2G385200 transcript:PAN14122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTYEAAFLDTPGSKQEKPPGESSNTELTPLTSDRPSSSRMAKTNGDRITPFATRVNKFTQQYVLNSDNAAIMPSKHEAETTEDELIRRIQPSQRCSLQVQRSQPETGCRFMYDRMEDRFNYLEDRIRKSATLFSASGLCGEPADATLASEEKMFAIGMVTCDGEGRLNEKSILLQGSVEHSRGEHVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVASKLIDLIPVSVDAQLPSAKKQAVDDGSHHQNSDAGTLSRALSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFHDIFYSEVLRKIQDFTQYLGNTVRVILIPSVCDAHHDFVFPQPAFDLNVPEDITHQISSLANPSLFSSNQIHFGCCTVDILKQISGLEISRKPPAGKPGDRIGRLATHILKQQSYYPLYPPASGVPLDFSLANEALEISSTPDVLLLPSDLAPFVKVLSPGEGSDDQKQFICLNPGRLAKGIGGGTFVDLYYNEDTSRTNASIIRI >PAN09343 pep chromosome:PHallii_v3.1:2:1057572:1059192:1 gene:PAHAL_2G015500 transcript:PAN09343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLATVREIRRTQRADGPAAVLGIGTANPTNYVFQEEFPDYYFRVTKKEHLTDLKDTFKKLCRIMGLEKRYFHHTEQLLNSHPGLLHGTPSALDARLDIVAKAAPELAASAAAKAIARWGRPATDITHLVVSTNAEARSPGADLGLATLLGLRHDVCRTVLQLNGCSAGCAALRLAKDLAENNRGARVLVACVELTITSFRAPHEGDSFDTLIPQALFGDGAGAVVVGADAVHPAERPLFEMVSASQILIPGSEHLLSIQLGGSGIDGDISFNLQRFAAQNLKRCLLDAFGALAVNGVEWQRPLLGSPSRQPWDLGPHRLGSRAGAQEAGGEPNCGERLREHALRDGDIRARGAAPANGRGRR >PAN10631 pep chromosome:PHallii_v3.1:2:8469620:8472895:1 gene:PAHAL_2G106900 transcript:PAN10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTISLLFFLIPVLLPGAMATIFVFTNRCPETIYPGVQTNPNRPAFPTTGFALPPGPDAAFPGVPAGWSGRIWGRYRCATDASGSFGCASGDCATGHVECNGAGNQAPSTLAEFTLNGQGGRDFYDISNVDGFNVPIQILPYGGQSCATVTCAANINAACPPELAARAAGGATVGCRSACGAFNTDEFCCRGEFGSPDRCRPSRYSQFFKAQCPLAYSYAFDDGSSTFTCASGGNYQIIFCP >PVH63789 pep chromosome:PHallii_v3.1:2:8469620:8472895:1 gene:PAHAL_2G106900 transcript:PVH63789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFVFTNRCPETIYPGVQTNPNRPAFPTTGFALPPGPDAAFPGVPAGWSGRIWGRYRCATDASGSFGCASGDCATGHVECNGAGNQAPSTLAEFTLNGQGGRDFYDISNVDGFNVPIQILPYGGQSCATVTCAANINAACPPELAARAAGGATVGCRSACGAFNTDEFCCRGEFGSPDRCRPSRYSQFFKAQCPLAYSYAFDDGSSTFTCASGGNYQIIFCP >PVH63788 pep chromosome:PHallii_v3.1:2:8469620:8472895:1 gene:PAHAL_2G106900 transcript:PVH63788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFVFTNRCPETIYPGVQTNPNRPAFPTTGFALPPGPDAAFPGVPAGWSGRIWGRYRCATDASGSFGCASGDCATGHVECNGAGNQAPSTLAEFTLNGQGGRDFYDISNVDGFNVPIQILPYGGQSCATVTCAANINAACPPELAARAAGGATVGCRSACGAFNTDEFCCRGEFGSPDRCRPSRYSQFFKAQCPLAYSYAFDDGSSTFTCASGGNYQIIFCP >PAN12940 pep chromosome:PHallii_v3.1:2:45653302:45660781:1 gene:PAHAL_2G302200 transcript:PAN12940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGNKAATHEHDFLSLYTATTAAANKDSPLQLHDSKPLPPSQGSSFFLKTHDFLQPLEKPGAPPPEPSPSLPAATSGAESRHRHQPVTAAAAEQHALPPPGGVGTFSICPAPVSVAPPASAAVVKAEPPLVLWGQPAAALLPAARGHQLQWALPFAGAGQARQPPPQQHQAPPDRKGRGGGGGVMESGSRSSGGAGFDDDDGLAARREVSSSLKELTVRVDRKGGSCSDGGTDQRPNTTRSKHSATEQRRRSKINDRFQILRELLPHNDQKRDKATFLLEVIEYIRFLQEKVQKYEATFPEWNQENAKLLPWSKGQIPGDSLPDPSHFMRNGSSPGSNFTGKLDDNHNMVTSAAASGAQDQTETDRMASLCYRSTETPANITNNVLSQSQPQWTGPSPVDDCAVNSQMLNNQQLAIDEGTISVSSQYSQELLNSLTHALQSSGVDLSQASISVQINLGKRAVKRPAAGVPSKAPTDPASSNEIGQQLAMLGGGAEDPSHAAKRHKPGNS >PAN12939 pep chromosome:PHallii_v3.1:2:45653302:45660781:1 gene:PAHAL_2G302200 transcript:PAN12939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGNKAATHEHDFLSLYTATTAAANKDSPLQLHDSKPLPPSQGSSFFLKTHDFLQPLEKPGAPPPEPSPSLPAATSGAESRHRHQPVTAAAAEQHALPPPGGVGTFSICPAPVSVAPPASAAVVKAEPPLVLWGQPAAALLPAARGHQLQWALPFAGAGQARQPPPQQHQAPPDRKGRGGGGGVMESGSRSSGGAGFDDDDGLAARREVSSSLKELTVRVDRKGGSCSDGGTDQRPNTTRSKHSATEQRRRSKINDRFQILRELLPHNDQKRDKATFLLEVIEYIRFLQEKVQKYEATFPEWNQENAKLLPWSNMYFRSFWKNAQSKGQIPGDSLPDPSHFMRNGSSPGSNFTGKLDDNHNMVTSAAASGAQDQTETDRMASLCYRSTETPANITNNVLSQSQPQWTGPSPVDDCAVNSQMLNNQQLAIDEGTISVSSQYSQELLNSLTHALQSSGVDLSQASISVQINLGKRAVKRPAAGVPSKAPTDPASSNEIGQQLAMLGGGAEDPSHAAKRHKPGNS >PAN12590 pep chromosome:PHallii_v3.1:2:43831286:43832434:-1 gene:PAHAL_2G276100 transcript:PAN12590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDMVPADAIALRLYSLPAAAAAAAASLYYAWLVAALAAAVGLWRICAVGAGVRRASAAVVGEKPQAPQAGQPSPAVEEPLPAARAPEPADDAASRSEPSTPSKVRFTAYYGVSGGDGGGVVDGVWKCSDEDEDDDNGVDGEVEAVLRRTASAPERRRAATALAAAPWEEREMAVRRRGDLGWYRHLDMAALDGSVVRLWDGQLTASPRARRRRAGLEFRLSF >PVH63428 pep chromosome:PHallii_v3.1:2:1939390:1941647:-1 gene:PAHAL_2G026700 transcript:PVH63428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTVVLLPVWGAGHLTPMLEAGKRLLASGAGGGRALSVTVLVMRPPTEQQASEIEGHIRRAEEEAAAAGLDVRFRRLPAVEPPTDHEGPVEFISRAVELHVPHVRAAVSGLPSVAALVLDLFCTPLIDVARELAVPAYVYFTCNAATLSFFLRLPALLEEVAGEFEEMEGAADIPGLPPVPPLWLPMPVMERARPECAWYAYHGRRFADADGIIVNTATELDRGALSAIAGGRCTRGGPAPALYPIGPVISFPPPAEPPHDCVRWLEAQPPASVVFLCFGSGGFFTAAQAHEVARGLERSGHRFLWVLRGPPAPGSRQPTDANLAELLPDGFLERTRGRGLVWPTAAPQKEILARAAVGGFVTHCGWNSILESLWCGVPMAPWPLYAEQHLNAFALVAAAGAAVAMGVDRRRGNFVEAAELERAVRALMGGGEEGRRARERAAEMKAACRNAVEDGGSSAAALKRLSNDILCRQLPSSSLGTS >PAN10339 pep chromosome:PHallii_v3.1:2:6352729:6359705:1 gene:PAHAL_2G087600 transcript:PAN10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPAVPYDVIQSILREELQRPLDSIYEYIDPVPIASASIAQVHVARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVIARVLEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEAANIQAFQGYIDAMGFDRQAKAPFVYQHCSTKRVLTMERLYGVPLTDLDCIRSLVLDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPKTWAAMEVFLASFATEDYDAMASALSEMGATGNDINIDEFAKDLRKIFSSIQDLDTEIIVATARGPDATAVSANVVLDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINISTNRQPRRTDRFQ >PAN12011 pep chromosome:PHallii_v3.1:2:39979706:39983959:1 gene:PAHAL_2G235500 transcript:PAN12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKWHAVAALGVAFAATAAAVAADRGLSLVGAAVAPEEEMGLLKKVANLLWKSGANTYQHVWPPMQFSWQIVLGTLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGASVSTVYYNLKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIQREAAKRLEQTAGEEVEYAPLPTGPSAAGGTKAPPSDEAASIMQNIQWKEFGLLSFVWVAFLVLQVTKNYTATCSPWYWVLNLLQVPVSVGVTMYEGLGLMSGKRVLSSKGSEQSTLKLHQIFIYGFFGIAAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYAVYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMVYKIAQHEYMGFQDLCKYDA >PVH63735 pep chromosome:PHallii_v3.1:2:7158672:7161538:1 gene:PAHAL_2G094700 transcript:PVH63735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYVRCSQKSQVVLIFAFFCVLSLCIQTSPGRAQIRRWSLHICVRPGQYYSHQRITVVRESSNLSMF >PVH64352 pep chromosome:PHallii_v3.1:2:41282729:41283774:-1 gene:PAHAL_2G246900 transcript:PVH64352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSYSIRPRYKKYNKNGPGA >PAN13948 pep chromosome:PHallii_v3.1:2:50036230:50039641:1 gene:PAHAL_2G374200 transcript:PAN13948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDGSPAASPLDAVAAAFKSRVVELQDLVLARSMFPATALPDLASVNASVTAMESRVQDIRRRLQEELDAIPKAKKLIERSLKQQEKLQHMLANVPSGMHEGVFATHLEQSSSRMLPDCFNYSSSVREYNECELKIKEEPVAAPKKGRAPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADANAHLVTCPKKKLSDDMWDKALELRDIAATEAVKGKPFFLEVDIKGPGLKLDNTGKAILTVLRHLGRIHETRIGHHRVFILSKQA >PAN14243 pep chromosome:PHallii_v3.1:2:51328086:51330752:1 gene:PAHAL_2G394000 transcript:PAN14243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT1G11545) UniProtKB/TrEMBL;Acc:A0A178W0W2] MGSRRRDLLALAAAAAALLLSCSFRGASASSFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKERYLFGWFSMKLKLVGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGQPYIIQTNVYHNGVGGREMRHSLWFDPTADFHTYAILWNAKHIVFFVDKVPIRVYPNDASKPGGNAFFPVAKPMYIFSSIWNADDWATRGGLEKTDWAKAPFVSSYRDFAADACAWPTEPGAPSPPACAAATGDSWWDQPPAWALDEAQRMDNAWVARNVLIYDYCDDRKRFPAPPEECALRGAGTAS >PVH64955 pep chromosome:PHallii_v3.1:2:51156141:51165373:1 gene:PAHAL_2G391800 transcript:PVH64955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNYTVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSWESLKAVLSREWLLMKRNSFLYIFKAFELSFLAFLTMTVFFRTKMPSGKFSDGGKFNGVLTSSLITIMFIGFPELNMIIKKLPVFYKQRDYLFFPAWTFGLATIILKIPFSFLESISWTTVTYYVIGFAPAPGRFFSQFLAYFLTHQMAVAMFRLLGAILQTMVVANTFGMFSLLLVFLFGGFLIPRQNIKPWWIWGYWTSPMMYSNNAISVNEFLSTRWASPNTEANIDAPTIGKAILKVKGSFGSQWGFWLSIGATIGYIILFNILFLCALTFLSAGGSSSNAVISDDDDEKKSADQEQMSQVTHGTDEPANRRSQTGMVLPFQPLSLSFNHMNYYVDMPAEMKERGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGDIKLSGYPKNQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENTRKMFVEEVMSLVELDVLRDAMVGLPGVSGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSRILVEYFEAIPGVPKITEGYNPATWMLDVSSPLAEARMNVDFAEIYANSSLYRTNQELIKELSIPPPGYQDLSFPTKYAQNFLNQCMANTWKQYRSYWKNPPYNAMRYLMTALYGIVFGTVFWRMGKNVETQQDLNSLLGATYACIFFLGSANLLTAIPVFSIERTVFYREKAAGMFSPLSYAFALTAVESLYCIAQGVLYTIPIYAMIGYEWKADKFFYFLFFFTACFLYFSMFGAMLVACTPSQVLATILVSIILTCWNIFSGFLITRPALPVWWRWFYWCDPVAWTIYGVIGSQFGDVDRTVTVPGNPDKIVKVFLKETYGMKHDFLGYVVLAHFGYILLFLFLFAYGTKTLNFQKR >PAN14215 pep chromosome:PHallii_v3.1:2:51153074:51165279:1 gene:PAHAL_2G391800 transcript:PAN14215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEIAPSGSRRSWLSAASISRSLRGDPDDPFRRSAASRDGGDDEENLRWAALEKLPTYDRMRRGIIRRALEEQAGGAAKLTADEVDIANLDPREARELMERVFKAVEDDNERLLRRFRDRLDLVGIELPQIEVRYEHLSAEADVHVGARAVPTLLNAAINVVEGLISRFGTSNKRTIKILKDVSGIIKPSRMTLLLGPPSSGKSTFMQALTGKHAKNLKVSGKITYCGHEFSEFYPERTSAYVSQYDLHNGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNVMTDITLKVLGLDICADIIVGDEMQRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNYTVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSWESLKAVLSREWLLMKRNSFLYIFKAFELSFLAFLTMTVFFRTKMPSGKFSDGGKFNGVLTSSLITIMFIGFPELNMIIKKLPVFYKQRDYLFFPAWTFGLATIILKIPFSFLESISWTTVTYYVIGFAPAPGRFFSQFLAYFLTHQMAVAMFRLLGAILQTMVVANTFGMFSLLLVFLFGGFLIPRQNIKPWWIWGYWTSPMMYSNNAISVNEFLSTRWASPNTEANIDAPTIGKAILKVKGSFGSQWGFWLSIGATIGYIILFNILFLCALTFLSAGGSSSNAVISDDDDEKKSADQEQMSQVTHGTDEPANRRSQTGMVLPFQPLSLSFNHMNYYVDMPAEMKERGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGDIKLSGYPKNQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENTRKMFVEEVMSLVELDVLRDAMVGLPGVSGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSRILVEYFEAIPGVPKITEGYNPATWMLDVSSPLAEARMNVDFAEIYANSSLYRTNQELIKELSIPPPGYQDLSFPTKYAQNFLNQCMANTWKQYRSYWKNPPYNAMRYLMTALYGIVFGTVFWRMGKNVETQQDLNSLLGATYACIFFLGSANLLTAIPVFSIERTVFYREKAAGMFSPLSYAFALTAVESLYCIAQGVLYTIPIYAMIGYEWKADKFFYFLFFFTACFLYFSMFGAMLVACTPSQVLATILVSIILTCWNIFSGFLITRPALPVWWRWFYWCDPVAWTIYGVIGSQFGDVDRTVTVPGNPDKIVKVFLKETYGMKHDFLGYVVLAHFGYILLFLFLFAYGTKTLNFQKR >PVH64953 pep chromosome:PHallii_v3.1:2:51153694:51164845:1 gene:PAHAL_2G391800 transcript:PVH64953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTESMATGGVVCRVGIELPQIEVRYEHLSAEADVHVGARAVPTLLNAAINVVEGLISRFGTSNKRTIKILKDVSGIIKPSRMTLLLGPPSSGKSTFMQALTGKHAKNLKVSGKITYCGHEFSEFYPERTSAYVSQYDLHNGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNVMTDITLKVLGLDICADIIVGDEMQRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNYTVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSWESLKAVLSREWLLMKRNSFLYIFKAFELSFLAFLTMTVFFRTKMPSGKFSDGGKFNGVLTSSLITIMFIGFPELNMIIKKLPVFYKQRDYLFFPAWTFGLATIILKIPFSFLESISWTTVTYYVIGFAPAPGRFFSQFLAYFLTHQMAVAMFRLLGAILQTMVVANTFGMFSLLLVFLFGGFLIPRQNIKPWWIWGYWTSPMMYSNNAISVNEFLSTRWASPNTEANIDAPTIGKAILKVKGSFGSQWGFWLSIGATIGYIILFNILFLCALTFLSAGGSSSNAVISDDDDEKKSADQEQMSQVTHGTDEPANRRSQTGMVLPFQPLSLSFNHMNYYVDMPAEMKERGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGDIKLSGYPKNQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENTRKMFVEEVMSLVELDVLRDAMVGLPGVSGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSRILVEYFEAIPGVPKITEGYNPATWMLDVSSPLAEARMNVDFAEIYANSSLYRTNQELIKELSIPPPGYQDLSFPTKYAQNFLNQCMANTWKQYRSYWKNPPYNAMRYLMTALYGIVFGTVFWRMGKNVETQQDLNSLLGATYACIFFLGSANLLTAIPVFSIERTVFYREKAAGMFSPLSYAFALTAVESLYCIAQGVLYTIPIYAMIGYEWKADKFFYFLFFFTACFLYFSMFGAMLVACTPSQVLATILVSIILTCWNIFSGFLITRPALPVWWRWFYWCDPVAWTIYGVIGSQFGDVDRTVTVPGNPDKIVKVFLKETYGMKHDFLGYVVLAHFGYILLFLFLFAYGTKTLNFQKR >PVH64954 pep chromosome:PHallii_v3.1:2:51153074:51165279:1 gene:PAHAL_2G391800 transcript:PVH64954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPSSGKSTFMQALTGKHAKNLKVSGKITYCGHEFSEFYPERTSAYVSQYDLHNGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNVMTDITLKVLGLDICADIIVGDEMQRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNYTVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSWESLKAVLSREWLLMKRNSFLYIFKAFELSFLAFLTMTVFFRTKMPSGKFSDGGKFNGVLTSSLITIMFIGFPELNMIIKKLPVFYKQRDYLFFPAWTFGLATIILKIPFSFLESISWTTVTYYVIGFAPAPGRFFSQFLAYFLTHQMAVAMFRLLGAILQTMVVANTFGMFSLLLVFLFGGFLIPRQNIKPWWIWGYWTSPMMYSNNAISVNEFLSTRWASPNTEANIDAPTIGKAILKVKGSFGSQWGFWLSIGATIGYIILFNILFLCALTFLSAGGSSSNAVISDDDDEKKSADQEQMSQVTHGTDEPANRRSQTGMVLPFQPLSLSFNHMNYYVDMPAEMKERGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGDIKLSGYPKNQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENTRKMFVEEVMSLVELDVLRDAMVGLPGVSGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSRILVEYFEAIPGVPKITEGYNPATWMLDVSSPLAEARMNVDFAEIYANSSLYRTNQELIKELSIPPPGYQDLSFPTKYAQNFLNQCMANTWKQYRSYWKNPPYNAMRYLMTALYGIVFGTVFWRMGKNVETQQDLNSLLGATYACIFFLGSANLLTAIPVFSIERTVFYREKAAGMFSPLSYAFALTAVESLYCIAQGVLYTIPIYAMIGYEWKADKFFYFLFFFTACFLYFSMFGAMLVACTPSQVLATILVSIILTCWNIFSGFLITRPALPVWWRWFYWCDPVAWTIYGVIGSQFGDVDRTVTVPGNPDKIVKVFLKETYGMKHDFLGYVVLAHFGYILLFLFLFAYGTKTLNFQKR >PVH64129 pep chromosome:PHallii_v3.1:2:31134070:31134390:-1 gene:PAHAL_2G195300 transcript:PVH64129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSNGGLNHRQDWVQDGTLLDVFGDVVWIFHCKSKNRVSTNVLSKSNHTRGGGIAEINAQVNPRIRLWFICGKTRSYAKVRLKHLQNKFSSDKEYMLLIHTGSKF >PAN12768 pep chromosome:PHallii_v3.1:2:44825016:44826036:1 gene:PAHAL_2G290200 transcript:PAN12768 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MSHLSILSFKYNLAKLRSKAGSKASRPVGRPLSARDRQFSDLSTYKPDEEEMKKVFHTIARDPRGINKKDLQLLLERLGKADAAGEARRMMCVADHNKDGYMDLEEFMEVHRNGVQLGDIRRAFFVFDRNEDGRISAEEIMTVLRKLGESCSLEDCRKMVREIDRNGDGFVDMDDFMAMMTRPRRKL >PAN14774 pep chromosome:PHallii_v3.1:2:54246330:54248800:-1 gene:PAHAL_2G443500 transcript:PAN14774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKNDIGMVVIRGNSVVMIEALEPVAKAQ >PAN09896 pep chromosome:PHallii_v3.1:2:4147548:4150478:1 gene:PAHAL_2G056200 transcript:PAN09896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPSQHQLLLYILVLLAAGALSIQATTIAEQHEPPLPITRPGCPDKCGNISIPFPFGMKPGCFRQGFQVTCDHSFQPPRAFLAKGAGSGASQSTFLSSYSVNMTTGNYSLVDRKDSPVFPVELIDISVAESAARAYGAVASVCNTNATGGFLRLTFTTLAFGMDAAQGPFLVSVARNVLVGVGLKVQPLAFTFNTAPGAERDEPLVSCRSTLMGNLELASNGSCSGRGCCQASLPKELPLSGVSVIMPTQTLNNSLWVTNPCSFAMVVEDSWYNFFTADLYGDTSNKFPRGVPYVIDFAIRNARCPEKGEQPPLGYACVSGNSSCADVTNGYICKCLEHYEGNPYITNGCQDIDECKHPGLYPCSSDGICKNRLLGYDCPCKPGMKGDGKMGTCQHIFPVVAKMLVGVIGGFFVMAALLFLILLRKEKKKMRELYEKNGGSILEKAKAIKIFKKEELKEILKSKNSIGKGQFGEVYKGLLNNKLVAVKKPRSDGEPKNNEQFANEVIIQSQVIHKNIVRLIGCCLEVDIPMLVYEFILNGSLEDLLHSNKLVPVNIDVRLSIAAQSADGLSYMHSKTSNKILHGDVKPANILLDDNFMPKISDFGISRLIARDKEHADEVIGDMSYMDPVYRQTGLLTEKSDVYSFGVVILELICRKKATYCDNNSLVRNFLEAHNEKRVAELFDSEIAMTSNLELLNSLARIAMECLNLDVDQRPSMIDVAERLLKLYQSRNP >PAN14833 pep chromosome:PHallii_v3.1:2:54493087:54501522:-1 gene:PAHAL_2G447600 transcript:PAN14833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFAADRARRAVAASLRGAASSRSAAASPLAPAPCHPAPPVGAAAMAAALARTMSTAAAGTPPVSLNTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTYFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIGSEKDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEARSKGITVRALVAINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARSLGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSPEVREQIYKLASVNLCSNVSGQILASLVMNPPKAGDESFESFMVERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLPQKAIGVAQAAGTAPDAYYAKRLLEATGIVIVPGSGFGQVPGTWHFRCTILPQEDKMPAIISRFKEFHEKFMDEFRD >PVH64897 pep chromosome:PHallii_v3.1:2:50278976:50283386:-1 gene:PAHAL_2G378400 transcript:PVH64897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MPPPARSPAWGPRRRTLLLPFLLLPLLLFLLHLASSPSPRPLPAPRKPSQACDYSAGEWVRDASAGPALRYEHTCKEIFKGWNCIANGKANGRDMLRWRWRPSGPGCELPRLDPRRFLERHRDTKIGFVGDSLNRNMFVSLVCMLRGVSGEVRKWRPAGADRGFTFLHYNLTVAYHRTNLLVRYGRWSASPNGGPLESLGYKQGYQVDVDVPEQTWVEACSFHDVLVFNTGHWWWAPLKFNPIQSPMLFFEKGKPIVPPLLPSAGLDLALQHMVVTGMKVDLVNVASPCPQNRWKNFSLWTITARI >PAN14015 pep chromosome:PHallii_v3.1:2:50278977:50283386:-1 gene:PAHAL_2G378400 transcript:PAN14015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MPPPARSPAWGPRRRTLLLPFLLLPLLLFLLHLASSPSPRPLPAPRKPSQACDYSAGEWVRDASAGPALRYEHTCKEIFKGWNCIANGKANGRDMLRWRWRPSGPGCELPRLDPRRFLERHRDTKIGFVGDSLNRNMFVSLVCMLRGVSGEVRKWRPAGADRGFTFLHYNLTVAYHRTNLLVRYGRWSASPNGGPLESLGYKQGYQVDVDVPEQTWVEACSFHDVLVFNTGHWWWAPLKFNPIQSPMLFFEKGKPIVPPLLPSAGLDLALQHMIMFVNKAVRPNTFKFFRTQSPRHFEGGDWNEGGSCQRSQPLSSEQVEEFFSLDNNGTNIEARLVNKHLMKALEQSTFRVLDITRMSEFRADAHPSTTGGKKHDDCMHWCLPGLTDTWNDLLALNLESFES >PAN09258 pep chromosome:PHallii_v3.1:2:598768:600841:-1 gene:PAHAL_2G008900 transcript:PAN09258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAISAIIGELATRSLSLLIGKYFKPATSKEDCLQRLQWMLLRIRLTVEEAEGRCIRNQAMLQQLNILRKVMYKGFYMLDTFICDVPEEEKGKKDHGVSRSLSLSKFSPAKLSAGSKYDTENIEQMLESLEITVAGMSELVIFLRDYPPMFRQPYSTYLFMEKCMFGRQMEMERVINFLLHEEPPVHCNFGILPIVGPGKVGKTTLVEHVRRDERVRSNFSHVIYLSDNDFREEKQLKIMDGSRIKHQRGDSDEEKFLVIAELVGNIDEGAWGRLHSACQSSIPRGSKVIITHRSENIVNFGTAQALKLKFLSREAYWYFFKALVFGSAEPEEQPRLASIARAIFDEYFDQDVYKAFAGPFIYLNKTAVGLKSSVNVQNWNKILACFKNNTRQNEPGFRKILSEFRMNSDYIFLQRVVDSTQYCVVHNHDRIALVNEEAPKITLHHILDGTGSVRPHGKFDILVWESHLPPYHKYIYNCQIIERDCKVNRKKQGQKRKILS >PVH65219 pep chromosome:PHallii_v3.1:2:54098011:54104544:1 gene:PAHAL_2G440500 transcript:PVH65219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPATAYEPKRNSEIRIFESLDEISTDLAEYISQISEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYKLTKEGFLTKVPILNGHVYSINDNATVEDAAKDYEFVIRQLVKVRTIGVSESNDCPKFDLILLDMGSDGHVASLFPNHPALELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDDKAKAMHLAVSDGTEGPEAPASLPARMVKPTDGKLVWFLDKAAASSLEAENGGDASYEHHEY >PAN12229 pep chromosome:PHallii_v3.1:2:41622892:41626438:-1 gene:PAHAL_2G250800 transcript:PAN12229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWTKKLGHTLSRLLTSKPPFAFSRPRPTPPAPLRPPPSPPVSSIQPQPPGLPPFPAAPTMPHHGSHRRPAPAPGGHVFPRAASTVLPDPSRFFAPELLAAPLPTNSFFQNFVLKNGDQPEYLHPYSVRSPGGAALDVCYPSRNHSPSFDIQTFVADLTVADAAGGAERHRIAAFDDLSVTLDVSPTLRAHLVRGCPYVTVVTTTGPVDVSVASVHAFVDVAACDGAGTKWRLGMNSGQTFLLYASAPIHLAQAGTTRLSAPGFAGAIRVAYLPDASMEPVLDRYSGCFPTAGDAALHRPFCVDYSWRKAGHGELLMLAHPLHLRLLSDDCGVRVLDDFRYRSIDGDLVGVVGDSWALRADPVSPTWHSTRGVSEDGVAEVAAALRADVAGLASAPITTTSSYFYGKAVARAARLALIAEEVGCPDAIPAVQSFLRATVTPWLDGSFQGNGFFYDAKWGGLVTLQGLRDSGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPCWGRKYMPQAYSMVADFMTLSRNRAGGSFTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALVGLSYGDTHLVSLGATLTALEALAAQTWWHVRAGEGIYEEDFAAANRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDVAFVRDLVAWTEPALARDGVGEGWKGFVYALEGIYDREAALAKTRALTGHDDGNSLANLLWWLHSRGSVVGDGDGAGFGRCCWYRQYCH >PVH64370 pep chromosome:PHallii_v3.1:2:41623209:41625467:-1 gene:PAHAL_2G250800 transcript:PVH64370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWTKKLGHTLSRLLTSKPPFAFSRPRPTPPAPLRPPPSPPVSSIQPQPPGLPPFPAAPTMPHHGSHRRPAPAPGGHVFPRAASTVLPDPSRFFAPELLAAPLPTNSFFQNFVLKNGDQPEYLHPYSVRSPGGAALDVCYPSRNHSPSFDIQTFVADLTVADAAGGAERHRIAAFDDLSVTLDVSPTLRAHLVRGCPYVTVVTTTGPVDVSVASVHAFVDVAACDGAGTKWRLGMNSGQTFLLYASAPIHLAQAGTTRLSAPGFAGAIRVAYLPDASMEPVLDRYSGCFPTAGDAALHRPFCVDYSWRKAGHGELLMLAHPLHLRLLSDDCGVRVLDDFRYRSIDGDLVGVVGDSWALRADPVSPTWHSTRGVSEDGVAEVAAALRADVAGLASAPITTTSSYFYGKAVARAARLALIAEEVGCPDAIPAVQSFLRATVTPWLDGSFQGNGFFYDAKWGGLVTLQGLRDSGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPCWGRKYMPQAYSMVADFMTLSRNRAGGSFTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALVGLSYGDTHLVSLGATLTALEALAAQTWWHVRAGEGIYEEDFAAANRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDVAFVRDLVAWTEPALARDGVGEGWKGFVYALEGIYDREAALAKTRALTGHDDGNSLANLLWWLHSRGSVVGDGDGAGFGRCCWYRQYCH >PAN13639 pep chromosome:PHallii_v3.1:2:48774617:48775550:-1 gene:PAHAL_2G353200 transcript:PAN13639 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein, Negative regulator of auxin synthesis and transpor [Source: Projected from Oryza sativa (Os09g0545300)] MIHPKRLVQLAKKWQHMAALGRRRLTTITATKDGNLYCASAIANKGHCIVYTADGKRFEVPLVYLNTDVFGELLRMSEDEFGFTSEDRITVPCEAAVMEYVMCLLRRKPSEEVERAVLSSVVRPCNYMSSMTTVSKGLSQSLSIF >PAN10212 pep chromosome:PHallii_v3.1:2:5901785:5902583:-1 gene:PAHAL_2G078800 transcript:PAN10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPRGLALLLLAAACSLLTAPLAAADDGPLVKQFYYYSPPPPSTPPGGGAGYAYPSPPMTGAANGGPPCNCEKPPPSTPPGGGAGYAYPSPPTTGAANGGPPCNCEKPPPGATRAPGAGQQGQGQQYAFLSGSSWSRSPPALRLPLLCAAAVALLARR >PVH63838 pep chromosome:PHallii_v3.1:2:9918236:9919108:1 gene:PAHAL_2G118400 transcript:PVH63838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGMRCCAPRRFQQDEQNKRRLRGGYKTGCRLWVAGGGGGGGGGGGGTDVEHLVDAQGRGRFLRPAAIRCANLPSPPPARRHPTSPLRLQNDRSSRAPGPGRPPASLYKLEMEDFQLELGKGASTRDGGGRGGGIDAFAPPGSKAKLTSRLRLTKDVRQCYRC >PAN13583 pep chromosome:PHallii_v3.1:2:48507337:48508461:-1 gene:PAHAL_2G348200 transcript:PAN13583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKARPREEELVEAALAAAAAALLVSGVKRLVAPAAALAAPWWWPAPLSVPPPALFLLLNVVIASIVVASVQPRRGGASASAGAVHAREDAAAAARPGDGAKRVKRRRSKKRTAAEADVADGRCMAPVATDGPMETGATVVMEEVAADEEAAGNAEEVNKRAEEFISAFRHHLRVDSSGSRRSNARTAPCF >PAN14066 pep chromosome:PHallii_v3.1:2:50520825:50529903:-1 gene:PAHAL_2G382000 transcript:PAN14066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTTQSHFHSAPRGRLRQRGSAGAAASHRGRGRGRARVISTTAARSLIARRPAAAAAGRRRRAAGHPLLAAGSSGAPLPAGSSGGPSDDGSMPLAPLLAGDGGEPAAARPAAPSWLGRVVDTEEARAQLRFAVPMVLTSMAYYGIPLVSVMFSGHLGDVQLAGATLGNSWATVTGYAFVTGLSGALETLCGQAYGARLYRMLGLYLQSSLIMSAAVSVLVSALWCFTEPVLLFLRQDPEVSRAAASFVRCQIPGLFAFSFLQCLLRYLQTQSVVAPLVACSVAPFALHVALAHLLVNVLGLGVAGASAAVSATFWVSCLMLLAYVTRSQAFGETWTGFSVEAFKYVLPTVKLATPSAVMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCTSTEAIAYMITFGFSAAVSTRVSNEIGAGNVDRAKNAVSVTLKLSVFLAVSFVALLALGHTQWARLFSGSAAIVSEFAAIAPLMAVSIVLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLAVLLAFKLDLGARGLWAGLICGLACQAAALLVITARTRWSDLADAMREEKANYVA >PAN14499 pep chromosome:PHallii_v3.1:2:52487699:52488396:1 gene:PAHAL_2G414400 transcript:PAN14499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGQQQAPVTAYPPPGGYAATAPPLPAGQPYVQPPPMQAGYVQPAPPAGYPGNFSGAMMNPPPPQVVAPQTQSRGDKTFWEGCCAALCCCCILDMCC >PVH63830 pep chromosome:PHallii_v3.1:2:9758272:9764046:1 gene:PAHAL_2G116700 transcript:PVH63830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIDLEPRFSRGRRRSVHPSVPHRRQTYAHPIPRAIPSPTESSSERPTPPTVPATFRSCSRDRRAGKPLVRCSGRGGLAALATQVAEMEEEGEVQFASRVWCFL >PAN13690 pep chromosome:PHallii_v3.1:2:49004903:49006005:1 gene:PAHAL_2G357300 transcript:PAN13690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAASESELRKALTDVTHFLAFGSVFLVLGFLTPSITRHYQALCWQTATVGFFAAAVVVWRHPVVWYPVVRRWINQLKKKPKSPYH >PAN09264 pep chromosome:PHallii_v3.1:2:590821:596900:1 gene:PAHAL_2G008800 transcript:PAN09264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKTCASLWVQNDQTDGHQILGTVLGELASRSINFFISKSSKPKVLGVEDSLQMALIRAQVIIDEATGRHITNQAMLQQVDMIRDAMYQGCYILDAFRYLYHDEENTKDQVVSNSFSLSKVNSLKGIYSSNKKTRILEQLQDTLDNLNCMILDMKELVVFMTSYSRLYRQPYSMHLLLGNCMFGHQMEAELVLNFLLHAQPNGAEELEVLPLVGPGKVGKSTLVAHVCNDERVRDHFLEIVFMSDHDFKDEKLTYLGERCVKKHQKPMLKKDGRLLVVVEMAGDMNEDEWKRQYAASKRCMTKGSKIIITSRSDKITKLGTTRAVTLKYMSHEACWYFFKTLTFGSTDPMMHPRMICLAMEISRMLKGSLFSAVAIICLLRDNFNTHFWCKVVTFFRWFIKWHVSEFGEHPSVVLNQNKPAHLWRMARTSEEIVVYHQYEGSSQEELPTIAFPSVLYGSVKPSGRFEALVWRSQIPPYYNYIYTCEIRDLKTNAAKRKRS >PAN09908 pep chromosome:PHallii_v3.1:2:4232467:4239112:1 gene:PAHAL_2G057100 transcript:PAN09908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQAIVEISCTKLSSAIGDEATNFTGDLQEMLDTLLAIQEVMPNAERVLGGWESEPRKEWAKKEWAKKVRAAAYGVSDLVDEVKLQAARSPAAGKMTKKVDLPAENKMSDKVKEIKENLKGVLKESIEFNAVRARSRAPNPVTTVPPGATVGRDEDRGKILARISALCATEGPIILLISGERGVGKTTMAEMLFNDTRFRCHSRVWVRTSSFLHEIGRSVICQVSGKDEMSHASADDVDMITDRLHELLSGIKVLIVLDDLYMYDYEWKTLKRMFSVSDKGSQVIVIATTEHEKKDSVIQSHSLEPLTEDMCCTLIKQVASRHSEDSRSSREELERMARKIAKLSKGLPLAAQLLGRLLRFKHFEEWPALLDESLWSTDSPVDLALELSYRSMPPNLRLCLAYCTISHMPPFVVKEDAIHQWIALGLIEPSHNTISAMKLAEEYIGRLLDMSFLQTANLQQDSGEDDTGVVLFKMHVSIRHFGQKAIEAEMGYILRYIDDPEFNNVTEHCRYVIIEECDTWPSNSLLPAHKICAINCFSCSKMELKDDPFSVPSCLRVLNLAKTSIQKLPHSICHLEQLGYLNLSGCSRLVDLPEIFGYLKNLLHINLSGCSELVNLPESFGMLKILQHINLSGCSGLVTLGEPFVKLTSLVHINLSGCCALINLPEFFGKLVNLMHLNLSGCSSLVALPGSFGKLIKLVHISLSGCSGLKKLPQSFGSLTSLVHINLSGCSSLSTLPNSIGYLRNLLHIDLSRCYGLSKLPKSFGKLQKLEHIGLSGCSGLVTLPESFGGLINLLHINLSHCSGLSKLPESFRNLRKLVYLDLSFWSCFEGIQIALGGLTRLQHLNLSHPCCYRADHIHLEGLKEVFHKLNSLQYLNLSMLLNPISYNQSEEKICEYIESISGLYSLEHLDLSHNIFLRDLPESLGDLYRLHTLDLSGCIRLKRLGKWMAKMDSLKSIILRDCEGLESYQFVVGVDDSINNYVQLEDVTSKELEIRCLERIKCLEEAKRIRLVEKRKLQELKLCWTVDPKSSTSVNNNKSEVSAEENKPNRSMEENEHKDLVQDNKPDRSEEENKPEGFVQENKPDKSKEENILDRSTEENEPKGSTHENKPKSSVQENTPKKSEKESIPDRSVEEIEHEGPVQVNIVNKSKEENIHDKYVEEIIEDGGSVQENKPDSSEVENKPDKSVEEIVLEDYVHENKLNSSEKENKPGRSLEETVLEGSVQENKPNKPEEENINIPDKSVEEIVREGSEEENKPDRSVDEIDCFYDYRRPNSSLREDKPDGVVEENVLLGALVPPQNLKCLGLHGYSGETCRPCWWTEERCSANLPNLEEVTMENFPSCRTLPPFGMLPNLKILVLKRMASITRINASDLSGSKNSELECTIEDMPSLEEFNTTYIRGDKKSTFSDIGKLVVKNCPLVRFGTLPPRARMLVISECEKGMDFLGEMQEGHEEEGTSCTSDPITKLVVVESCSKPLSQWSLLNHLSILPSLTIRNCSLLKLCSLDNDDDNSVPEYLGYLTSLPELKIESCKDVNYRLLRWIIQKLASLKSLHFINCEDLSKLPEELGDLTSLQTLAFERCPTIDSLPDSISKLTNLKDLHIPDCRGLKRWYEKKENKSRLGHLRIKI >PAN10517 pep chromosome:PHallii_v3.1:2:7615308:7617485:-1 gene:PAHAL_2G098300 transcript:PAN10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPRAKKSRRGAQRTRDPGHPAPVPASAAVEAPRFESTPAVLGGESRGQEAGADGGVDRISGLPDAILGEIVSLLSTKEAARTQTLATRWRHVWRAAPLVLDGIDLAAKDLPAGVEILRAADEALAGVVSLILAAHPGPARRFCIPPRHLHDRPAAVDAWLRSQALDNLEELDFCDYWQLRSFPPAPPPASAFRFSDTLRVATFSKCKLMDRSVEGLQFPHLKQLALEDVSISEGSLHAMISSCPALECLLLNHSFGFSCVRISSSSLRSIGLGASRCGNQIQLREFIIIDAPCLERLLFLRQSVAVNVSVIRAPKLETLGCLTVINLTTAVRNVKILAVAPWPINLDMAIDLLKCFPCLEKLYIKSFISGEMNRWHRKHRQFIKCFDIRLKTIVLELYRGIRSQVNFASFFLLNASKLELMTFAVENRADNDAFFAEQYRVLQMEKRASRSARLHFRTERCNRAVHVIHVRDLAVANPFECRCWH >PAN13336 pep chromosome:PHallii_v3.1:2:47348727:47353355:1 gene:PAHAL_2G329500 transcript:PAN13336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWFCCTQFHASYREHENELPISPDEKEGNSFAANSDPIKAPPPIEVPELSFEELKEKTDNFGSKALIGEGSYGRVYYAILDSGKHVSVKKLDASTDPELDNEFLTQVSIASKLKHDNFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVKIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPQPPPAAAPDAKAPSGA >PVH65192 pep chromosome:PHallii_v3.1:2:53965390:53966163:-1 gene:PAHAL_2G438500 transcript:PVH65192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPAAVSSLALLALLLSCAVTMSSAARRLEEEPAPKEEEPEFPPHLTVPELPVPEHELPPLPEAHLPPKPELPPLPKVELPPKPEMPAIPELHFPEPEAAKP >PAN09909 pep chromosome:PHallii_v3.1:2:4241677:4243481:-1 gene:PAHAL_2G057200 transcript:PAN09909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENDHRSKLRAAAAPGARVPDDALVEMFELLPAKHLHRCKCVSKAWCGLVTDPLHRERYAQTLAGFLHADIDVDTAAEGEIGGGVFESCREGDGDGDGGAESSLGACWHVMLSKRRITRRFINVSRMAEPLIDASFSFLPPPPKPSTVAGAGFRDVILDARDGLVLLARVRRHEAPDLHPPECYLVCNPATARWASVPASGWAPSASQQMTRTFLLFDAAASPHAFHLVQFWLDEMDAVRAVHTYSSAGGAWTNRVIPWLDGGWRNWGRAMALIQPGTGAAVADGLLHLVVDTDGTTGPNNLVAVDEEGSTRRNIPLPRREVAEKDWHSVFVARSQGRLHYVMCVRPPHGRLSEEHPLKLLVWVLEDRDAGEWVLKHTVSFPELFGRIACQFRVEYSVVAVHPDGNWVFFVRHWDRKLVAYDMDRREVLVVADLGAGGELGDELPTPYVPLYSESSALTNMQ >PAN12081 pep chromosome:PHallii_v3.1:2:13778389:13779420:-1 gene:PAHAL_2G143200 transcript:PAN12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSCRTAAAGGGGGKPDVDRIKGPWSPEEDEALQRLVARHGARNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKYYAAAGGQAADAAAAGHADADDERPLKRTSSDGHPGLCFSPGSPSGSDLSDSSHHSLPSVMPSAAAPAVTSQQQQHVYRPVPRAGGVVVLPPPLAPRPPSPPATSLSLSLSLPGLDQQPDPSPSPAPVQMQQQPAPSQMPPPPQPSLPFQLQPPAMNLASPRPPQPAPFSAEFLSMMQEMIRIEVRNYMSGSGLDPRADAGAVHAVSKRMMGMAKIE >PVH63876 pep chromosome:PHallii_v3.1:2:11211019:11212477:-1 gene:PAHAL_2G126800 transcript:PVH63876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAVAPSLLHRTRSFHGRTDTSMPTDTAVAPSLHRTLSCPSDFFYLDPPPVSPVEAVYRFVHGEVGDDDADLYEQLHSLERELDRLDQLEQRVRLEAAELRRQVRSAEDALKDEKGGTPLVVGHVVELVGEEHAVVEEAADDGRSRGYYVRVGSAVDCGRLKPSATVLLHASPSRAVLEVGERPGVTYDDVAGCEAQKRALRDAMELPLTRPELFARLGVDPPRGVLLCGPPGTGKTMLARAVGHHTSAAFFRGSGAALVAKFLGEGPRIVRDVFRLAREKAPSIVFIDEVDAVATARLSDGAADSGGEREVQRVLVELLAQMDGFDGDGRAGDGVRVIMATNRADELDPALLRPGRLDCRIEFPLPDRRQKVLMFRACAAGMSLDGDVDLESLAARHDGMSAAEIAAVCFEAGMRAVRGDRSVVTHRDFEEGYRAVAKRPEYGAYYELSFETSKLHCRLQLALL >PAN12534 pep chromosome:PHallii_v3.1:2:43399231:43401973:-1 gene:PAHAL_2G270900 transcript:PAN12534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGVPWLERYGPGAGMVLVQLFYALVDVALKTASGLGMRPIVFVAYRQGIAAATLLLASLAARGCTLQPMAVGARAFGLIFAASLATATGQYFYLQGLLLASPSMARATTNLAPGITFAIAAVIGLEKVDIRSLRSVAKIVGTAICLAGAVFMAFFKGPKLLGAVLVSATGDWVKGGIYLVGNAACVSIWYIFQVPVCKSYLDPLSLATWMCFLATLQCTVMAFFLEPNYLQIWKLNSFWEFPCILYGGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITAILSTLFLHEELHIGSLLGAITIIVGLYVVLWGKADDAKSESLAIHSSGSKGGVDSDCIGVRVQSHPNLSEPLLSDNGNTQTH >PVH65469 pep chromosome:PHallii_v3.1:2:57752188:57753155:1 gene:PAHAL_2G500800 transcript:PVH65469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCFPQPWLPIPNHQISWMAQQGFTSPTYAHTCREFGSLGTSRAGRAGGDEISELVAPALDKVEEALGRFSDGPFFLGQSRSAVSNQSIIHSIVSMPEPDLIGLLMISAS >PAN14432 pep chromosome:PHallii_v3.1:2:52231705:52232364:-1 gene:PAHAL_2G409800 transcript:PAN14432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPSPPPPAEEVPAGCDRRQPHQHHPLLAAAAAASLLAVLYLPRPLLLFVLSPASLSSLLLLLSILRLGSAPPPPAADHAPVGPPPSSSPPPPEEEEEEEEHQQQLHEAAHPLLPPPVAVEREVVFPAEALEFASWAAKGRALEVIHEEFEAEWGRPEEMGLSWASDSDLDDSDSDSDSGGSDDDGVGGGGEGEDGMIEILLEEDSLIEIDISRCQ >PVH63764 pep chromosome:PHallii_v3.1:2:7672518:7679757:1 gene:PAHAL_2G098800 transcript:PVH63764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRDTTSAAPPLLAVGHRGPPPVRSSSGGCGACVVLIAKYNPETDEVTEFTASSCLTLLYSIHFCSIITTEGLGNTKDGFHAVQERMSGFHASQCGFCTPGMCMSIFTSLINADKSKRSEPPKGFSKLKVSEAEKAFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKRSDKNPDVSELPSYTLGGGVCTFPDFLKCEIKSSLEHRNDVYIAASGEGWYHPRSIKEYYELINSCLFRDSVKVVVANTSTGIPGYKDQDLYNKYIDISGIPELSNIVKKESGFEIGAATTISRTIEILKQECESISSPNGSVVFRKLADHMGKVANPFVRNTASIGGNIILAQKYPFPSDIATILLGAGATVCLQVVTERRQITLEEFLEQPPLDPTTLLLSIFIPHWISDYQGETSLLFETYRAAPRPLGNAVSYVNCAFLGLSSVDEQSDTLVLSNLRLAFGAYGTEHAIRAKKVEKFLTGKSLSALVVLGAVRLLRETIVPMEGTSHPEYRVSAAVGFLFSFLSPLAKGIPEPGKALTSGWSNSADTDDVRNLPLSSRRETISNDDYKPVGEPIKKYAVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLACVKSIKFKSSLASAKIIDVITAKDIPCGGENIGSAFIFGDEPLFGDPIAEYAGQALGIVIAETQRYADMAAKQVIIEYDTKDLSPPILTVDQAVENSSYFSVPPKYFPKEVGDFSRGMAEADHKIPAAEVKFASEYYFYMETQTALAIPDEDNTLVVYSSSQYPEFAQRVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGFKSDGKITALHLDLLINAGISEDASPIMPLNIISSVKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSLIADAIIGHVASVLSVDAISVREKNFHTYDTLQLFYPESAGEASTYTLHSIFDRLVSTSSYLDRAESIKQFNSSNKWRKRGISCVPLIFRAEQKPAPGRVSVLDDGSIVVEVGGIEIGQGLWTKVQQMTAFALGQLWPNGGEDLLERVRVLQADTLNLIQGGLTAGSTGSESSCAATLQACDMLIGRLKPVLDRLQQQSENVSWDTLISQASKENVNLSASAYWVPGQDSYQYLNYGAGISEVEIDLLTGAITILRGDLVYDCGKSMNPAVDLGQIEGSFIQGIGFFIYEEYVTNSDGLMISNSTWDYKIPSVDIIPKQFNAEVLNTGYHKDRVLSSKASGEPALIAASSVHCALREAIRAARKEFANSTGSGSSPLEFQMDVPAPMTLVKELCGFDIVEKYLESLSAYERAAGA >PAN10525 pep chromosome:PHallii_v3.1:2:7667513:7680153:1 gene:PAHAL_2G098800 transcript:PAN10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVERLVFALNGRRYEVAAGDVDPSTRLVEFIRTRTPFKGTKIGCGEGGCGACVVLIAKYNPETDEVTEFTASSCLTLLYSIHFCSIITTEGLGNTKDGFHAVQERMSGFHASQCGFCTPGMCMSIFTSLINADKSKRSEPPKGFSKLKVSEAEKAFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKRSDKNPDVSELPSYTLGGGVCTFPDFLKCEIKSSLEHRNDVYIAASGEGWYHPRSIKEYYELINSCLFRDSVKVVVANTSTGIPGYKDQDLYNKYIDISGIPELSNIVKKESGFEIGAATTISRTIEILKQECESISSPNGSVVFRKLADHMGKVANPFVRNTASIGGNIILAQKYPFPSDIATILLGAGATVCLQVVTERRQITLEEFLEQPPLDPTTLLLSIFIPHWISDYQGETSLLFETYRAAPRPLGNAVSYVNCAFLGLSSVDEQSDTLVLSNLRLAFGAYGTEHAIRAKKVEKFLTGKSLSALVVLGAVRLLRETIVPMEGTSHPEYRVSAAVGFLFSFLSPLAKGIPEPGKALTSGWSNSADTDDVRNLPLSSRRETISNDDYKPVGEPIKKYAVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLACVKSIKFKSSLASAKIIDVITAKDIPCGGENIGSAFIFGDEPLFGDPIAEYAGQALGIVIAETQRYADMAAKQVIIEYDTKDLSPPILTVDQAVENSSYFSVPPKYFPKEVGDFSRGMAEADHKIPAAEVKFASEYYFYMETQTALAIPDEDNTLVVYSSSQYPEFAQRVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGFKSDGKITALHLDLLINAGISEDASPIMPLNIISSVKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSLIADAIIGHVASVLSVDAISVREKNFHTYDTLQLFYPESAGEASTYTLHSIFDRLVSTSSYLDRAESIKQFNSSNKWRKRGISCVPLIFRAEQKPAPGRVSVLDDGSIVVEVGGIEIGQGLWTKVQQMTAFALGQLWPNGGEDLLERVRVLQADTLNLIQGGLTAGSTGSESSCAATLQACDMLIGRLKPVLDRLQQQSENVSWDTLISQASKENVNLSASAYWVPGQDSYQYLNYGAGISEVEIDLLTGAITILRGDLVYDCGKSMNPAVDLGQIEGSFIQGIGFFIYEEYVTNSDGLMISNSTWDYKIPSVDIIPKQFNAEVLNTGYHKDRVLSSKASGEPALIAASSVHCALREAIRAARKEFANSTGSGSSPLEFQMDVPAPMTLVKELCGFDIVEKYLESLSAYERAAGA >PVH63765 pep chromosome:PHallii_v3.1:2:7671823:7680153:1 gene:PAHAL_2G098800 transcript:PVH63765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAFVAGETGPCALRPPPHAAQGHHLRCPSPACSGAQGTSSGGCGACVVLIAKYNPETDEVTEFTASSCLTLLYSIHFCSIITTEGLGNTKDGFHAVQERMSGFHASQCGFCTPGMCMSIFTSLINADKSKRSEPPKGFSKLKVSEAEKAFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKRSDKNPDVSELPSYTLGGGVCTFPDFLKCEIKSSLEHRNDVYIAASGEGWYHPRSIKEYYELINSCLFRDSVKVVVANTSTGIPGYKDQDLYNKYIDISGIPELSNIVKKESGFEIGAATTISRTIEILKQECESISSPNGSVVFRKLADHMGKVANPFVRNTASIGGNIILAQKYPFPSDIATILLGAGATVCLQVVTERRQITLEEFLEQPPLDPTTLLLSIFIPHWISDYQGETSLLFETYRAAPRPLGNAVSYVNCAFLGLSSVDEQSDTLVLSNLRLAFGAYGTEHAIRAKKVEKFLTGKSLSALVVLGAVRLLRETIVPMEGTSHPEYRVSAAVGFLFSFLSPLAKGIPEPGKALTSGWSNSADTDDVRNLPLSSRRETISNDDYKPVGEPIKKYAVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLACVKSIKFKSSLASAKIIDVITAKDIPCGGENIGSAFIFGDEPLFGDPIAEYAGQALGIVIAETQRYADMAAKQVIIEYDTKDLSPPILTVDQAVENSSYFSVPPKYFPKEVGDFSRGMAEADHKIPAAEVKFASEYYFYMETQTALAIPDEDNTLVVYSSSQYPEFAQRVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGFKSDGKITALHLDLLINAGISEDASPIMPLNIISSVKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSLIADAIIGHVASVLSVDAISVREKNFHTYDTLQLFYPESAGEASTYTLHSIFDRLVSTSSYLDRAESIKQFNSSNKWRKRGISCVPLIFRAEQKPAPGRVSVLDDGSIVVEVGGIEIGQGLWTKVQQMTAFALGQLWPNGGEDLLERVRVLQADTLNLIQGGLTAGSTGSESSCAATLQACDMLIGRLKPVLDRLQQQSENVSWDTLISQASKENVNLSASAYWVPGQDSYQYLNYGAGISEVEIDLLTGAITILRGDLVYDCGKSMNPAVDLGQIEGSFIQGIGFFIYEEYVTNSDGLMISNSTWDYKIPSVDIIPKQFNAEVLNTGYHKDRVLSSKASGEPALIAASSVHCALREAIRAARKEFANSTGSGSSPLEFQMDVPAPMTLVKELCGFDIVEKYLESLSAYERAAGA >PAN11893 pep chromosome:PHallii_v3.1:2:38400059:38404386:-1 gene:PAHAL_2G226000 transcript:PAN11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQKREGSSTDDDCASKRLKGTDAASETGGSVEGSVSQETAAEVTRTCQKESEVPLEKCTSDGKAVANSKVSGEQNMVLTAVEADAAEDKGCRHTMEDAWVLLPNAGAESPGSLRCAHFAIYDGHGGRLAADFAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTKGNWQDGATAVCVWILGQMVVVANAGDAKAVLARSTSTNGEGVVDDTKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRAFGDRQFKKVGLIATPDVHSFELTKKDHFIILGCDGLWGVFGPSDAVEFVQNQLKETSSASLAVRRLVKEAVRERRCKDNCTAVLIVFKH >PVH64270 pep chromosome:PHallii_v3.1:2:38401269:38404386:-1 gene:PAHAL_2G226000 transcript:PVH64270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQKREGSSTDDDCASKRLKGTDAASETGGSVEGSVSQETAAEVTRTCQKESEVPLEKCTSDGKAVANSKVSGEQNMVLTAVEADAAEDKGCRHTMEDAWVLLPNAGAESPGSLRCAHFAIYDGHGGRLAADFAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTKGNWQDGATAVCVWILGQMVVVANAGDAKAVLARSTSTNGEGVVDDTKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRAFGDRQFKKVC >PVH64268 pep chromosome:PHallii_v3.1:2:38400059:38403946:-1 gene:PAHAL_2G226000 transcript:PVH64268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGMVVVWQQILHRSICIRMSSLQDYHMDVKAAKKAIIEGFRRTDESLLQESTKGNWQDGATAVCVWILGQMVVVANAGDAKAVLARSTSTNGEGVVDDTKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRAFGDRQFKKVGLIATPDVHSFELTKKDHFIILGCDGLWGVFGPSDAVEFVQNQLKETSSASLAVRRLVKEAVRERRCKDNCTAVLIVFKH >PVH64267 pep chromosome:PHallii_v3.1:2:38399533:38404868:-1 gene:PAHAL_2G226000 transcript:PVH64267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGMVVVWQQILHRSICIRMSSLQDYHMDVKAAKKAIIEGFRRTDESLLQESTKGNWQDGATAVCVWILGQMVVVANAGDAKAVLARSTSTNGEGVVDDTKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRAFGDRQFKKVFGPSDAVEFVQNQLKETSSASLAVRRLVKEAVRERRCKDNCTAVLIVFKH >PVH64269 pep chromosome:PHallii_v3.1:2:38399533:38404868:-1 gene:PAHAL_2G226000 transcript:PVH64269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQKREGSSTDDDCASKRLKGTDAASETGGSVEGSVSQETAAEVTRTCQKESEVPLEKCTSDGKAVANSKVSGEQNMVLTAVEADAAEDKGCRHTMEDAWVLLPNAGAESPGSLRCAHFAIYDGHGGRLAADFAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTKGNWQDGATAVCVWILGQMVVVANAGDAKAVLARSTSTNGEGVVDDTKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRAFGDRQFKKVFGPSDAVEFVQNQLKETSSASLAVRRLVKEAVRERRCKDNCTAVLIVFKH >PAN12218 pep chromosome:PHallii_v3.1:2:41541724:41544604:1 gene:PAHAL_2G249800 transcript:PAN12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLAALAAALVVVLLACCSCVVRGGESQEVRESLVNFLTALAGGDGQAALRLGWDASVDPCGGTTSWGGTVRCFENKSENFGKIKKILLEGRGLSGTIDAALLCAAPAVRVVNLLGNSLRGGLPAGISACLGLTQLLVSGNQLSGSLPTSLAQLGQLEVLDVSGNNFSGEIPAGLSKLGKLVRFMANDNHFNGTIPEFNLDGFQNFTVSNNNLTGPIPKNAERFGNASFWPNAAGMCGGPFFDPCQQSPSATSPTAPFNAPPPSSISEEDDDDGQGEGRHKKRTVPKIVMYLGYVLLGAAILAFVLYKICSKKKRSKLGRKAKPGGRGRSVYDSSRLTTTTTTASVSPSKLAYSLPTSAEQSAAAAAGVPSASLVVLRTASITSTAAAAAAKELRFEDLLKSPAELLGRGRFGSSYKVVVPGGAALAVKRVKDAAVDEEAFRRRMERVGRAKHPAVLPPLAFYCAMQEKLVVYEFQSNGSLAKLLHGSIESSQGPVDWPARLHIAAKVADGMAFLHTALRGDGASSNTSSSGEEAAAAGDGPIAHGNLKATNVLFTAGMDPCISEYGVTAPAPAASGDAALRGDVRAFGVLLLELLTGKAASARGDGSELARWVTSVIREEWTAEVFDRALLAASSDGSSEQRMVRLLQVAMRCVDESPGSAPPPTMREVSSMVNAIREDDDDRSFPLEA >PAN13833 pep chromosome:PHallii_v3.1:2:49567999:49570641:1 gene:PAHAL_2G367000 transcript:PAN13833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTNGDAAGPSPSQDGSAATMKKRNKPQYHPFTQQQLPACKPILAPQTVIPVLLFVGIVFIPIGLGCIAASNRVVEVVYQYETSCVPGYMIDNKIAYIQNPSIDKTCTRILKVPKDMKQPIYIYYQLDKFYQNHRRYVTSRSDKQLRSPNEVNNTQSCKPEATEHGSPVVPCGLIAWSLFNDTYSFARGNEALMVHKRGILWRSEREDIFGKQVYPRNFQNGTLIGGGTLDPRIPLSRQEDLIVWMRTAALPTFRKLYGRIEVDLHADELITVTLQNNYNTYSFGGKKTLVLSTAGVLGGKSDFLGRGYVVVGLACLALAMLLTLLCLAFPLREEHLALRYPLSGGPAR >PAN09985 pep chromosome:PHallii_v3.1:2:4687533:4693245:1 gene:PAHAL_2G063600 transcript:PAN09985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAIREQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEQKMKEKGEQPVMFGHLGPPKRRPAAEEDDRANPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSNAGASSSMSESEADPSTLPPPPPPPPLPATSEPIDPSAPFPLPPLPPPPPPPPKPVSDSALPSLPPPPPPPPGPPPREPVSGHTVLLPPPPPPQRSSGANESMTDSAQPSLQPSVVLPPPPPPPGLPPKSNDVEAAGTSKDTPGFKQDTTARVLPPPLPPQSSNLPPLPPRPPLQPDMVAPGAMRFPPPPPPPDSRPQFMAPGVTRPPPPPPGLPPAQMPMPPYGVLPGPPPMPRPPFLPGPPMHPEEFAAFGPRPQLPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKAQQQQQQSSTPSISKPSVTLIRSDARPSSSAPKPPSMDDSYMAFLEDMKELGALDG >PAN10501 pep chromosome:PHallii_v3.1:2:7468930:7475377:1 gene:PAHAL_2G097100 transcript:PAN10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRDASSPSSRSLHVVVFPCLAFGHMIPFLELSKRLAWCGHAVTFVSTPRNAARLGAVPPDLSARVRVITMELLAVEGLPAGTESTADVPPEKVKLLKAAFDGLAALFVALVAGRMGGGGEGNGFERKPDWIILDFAQNWVWPIAEEHKIACAMFLILPAALLAYVGTKQSNEVHPRRTMEDYMVQPPWVHFPSTLFYRCHEAEAIAAAFRPNASGVSDMDRLMQLHRPSCRLVVHRSCPEAEPRLFPLLTDLFAKPVVPAGLLLPGDAADDDARPGGGDQPFVAAARWLDEQPRRSVIYVALGSEAPVTLSGARFLSALRAPGGRTPAALLPDGFEQRVAGRGVVLAGWVAQVRVLAQAAVGAFLTHCGWGSTGLVARAMAARGVGVEVPRDEDGGGSFRGADVSAAVKRVMAGEEGEEMARKARELREVVGDRVRQERYVDELVQLLRRYK >PAN13035 pep chromosome:PHallii_v3.1:2:46004224:46005783:1 gene:PAHAL_2G309200 transcript:PAN13035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVRRCSRSRGCSLAALLALAALASPGRLGAAAAGEGGSGGKWHVVSVSSLLPSTVCTATEAPPNPSALSVVHRHGPCSPRWSRGDPPPSHAEILGWDRERVDAIHRKVAGAAASKSANATLQAHWGKPLGTSNYFISVGLGTPARDLAVEFDTGSDQSWVQCKPCGDCYEQHDPLFDPEGSSTYSAVSCGARECREFGSRNCSSSSDDKKCRYEVSYSDQSRTVGNLARDTLALTPTATLPGFMFGCGHRDTGVFGEVDGLLGLGRGKASLASQAAAQYGVGFSYCLPSSPSTVGYLTFGAAASAPANAQFTAMVTGKHDASFYYLNLTGVRVAGRAVEVPPSAFATAAGTIIDSGTAFSRIPPRAYAALRSSFRRAMGGRYRRAPAPPPFDTCYDLAGHEAVRVPSVALAFDGGATVVDLDPSGVLYAWDSMSQACLAFAPSPDEASLGVLGNVQQRTLAVVYDVGNQKIAFGAEGCA >PVH64760 pep chromosome:PHallii_v3.1:2:48808810:48811381:-1 gene:PAHAL_2G354100 transcript:PVH64760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEGPWEEGAHVNDMWLKMATCVRKVASEMFGVSRGGKQEVKETWWWNDEVQRAIKEKKECFKRLHLDKSATNIEDYRLAKRFAKRAVSVAKGQAFDDLYQRLGTKEGEKDIYRIARTRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTFELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEVWRCLGERA >PAN12672 pep chromosome:PHallii_v3.1:2:44305111:44310713:1 gene:PAHAL_2G282800 transcript:PAN12672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQQFAAGGPPLHEPPEDEDVVDGVGGAIGGGASTPSTRHAIKALTAQIKDMALKASGAYRHCKPCAGSSAAASRRHHPYHHRGGSAFGGSDAGSASDRFHYAYRRAGSSAASTPRLRSGGAALSSGDATPSMSVRTDFPAGDEEDDEIASEGGGKEDDAKEWVAQVEPGVLITFVSLAQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQTVPLPTTPKSEDESSKEDSPVTPPLDKERLPHTFHRPMSGGGAMGYSSDSLEHHSNRYCNGHHHHHGHQFCDSMGLASTPKLSSISGAKTETSSMDASMRTSSSPEDVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >PVH65233 pep chromosome:PHallii_v3.1:2:54139159:54144267:1 gene:PAHAL_2G441300 transcript:PVH65233 gene_biotype:protein_coding transcript_biotype:protein_coding description:APETALA1 (AP1)/ FRUITFULL (FUL)-like MADS box transcription factor, Specification of inflorescence meristem identit [Source: Projected from Oryza sativa (Os07g0605200)] MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVALIVFSTKGRLYEYSSHESMEGILERYQRYSFEERAVLDPNIGDQANWGDEYGRLKTKLDALQKSQRQLLGEQLDSLTIKELQQLEQQLDSSLKHIRSRKNQLMFDSISELQKKEKSLTDQNGVLQKAVST >PVH65232 pep chromosome:PHallii_v3.1:2:54139159:54144266:1 gene:PAHAL_2G441300 transcript:PVH65232 gene_biotype:protein_coding transcript_biotype:protein_coding description:APETALA1 (AP1)/ FRUITFULL (FUL)-like MADS box transcription factor, Specification of inflorescence meristem identit [Source: Projected from Oryza sativa (Os07g0605200)] MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVALIVFSTKGRLYEYSSHESMEGILERYQRYSFEERAVLDPNIGDQANWGDEYGRLKTKLDALQKSQRQLLGEQLDSLTIKELQQLEQQLDSSLKHIRSRKNQLMFDSISELQKKEKSLTDQNGVLQKLMEAEKEKNNTLMNAHLREQQNGASTSLPSTVPDSMSTLNIGPCQRRGGTVGESEPEPSPAQVKSGKLPPWMLRSVSNR >PAN14023 pep chromosome:PHallii_v3.1:2:50330218:50340920:1 gene:PAHAL_2G379000 transcript:PAN14023 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MKERSSLCDSAADGNWGLKYKRKRSKLTVSPSNENEATSPTSDSPRGYGSTKKKLKHDTNISPSSKKIRGNDGYFYECVVCDLGGNLLCCDSCPRVYHLECLNPPLKRPPPGKWQCPRCRPKKGSLKLLGNAEADTSKHERTTRMLTSMTSDSPPSHTKVSFKTRSSKQDKIGSNEQGKQSSGGTLKGSDPSIKKNEGEKKKTLILHLKKRSTKELSENVKPTKSEFVGEPSEEKVVKHGSFLKLKNYPPRTDSSPNKSKSRRQNSQRDGKISGTKKLKYSTSDDDSISSTEPSTSLDNSESPPKRKSSDGKTPSSSTKKGKKKVKFVDKKHPEEQGVVGGKITTPQEDQQVDRILGCRLQMDDVAPCTFGGPESSHDISNRSHDGTQSSSNGTAEDVCADESANHDDEDHLHNLEKQKERNSKSCENKEPLKQEQVTQTVSGHSGDQTSIIRDDQVVRENVSASINGEDETTSDLPEEKDDTKLPVSGADTMVQTKKEHTAESKLHGKIEEISGKDYSDVGYEFLIKWVGKSNIHNSWVSESEVKVLAKRKLENYKTKYGTSLINICKEQWCQPQRVIALRTSLDDVEEALIKWCGLPYDDCTWERLDEPTVMKYSHLVVQFKNFECQALDKDVADNNANARNQLDLNVLVDQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKVNLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARSRSIIRQYEWHAGDASQIGKSKRSYKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNSFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPTSFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGTPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDNADGKDNNEVSGPVADVEFKHRRRTGGLGDVYEDKCIDGSAKLVWDENAIMKLLDRSNLPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGTNEDIPNIDNDGCEQASESKQGAATRAEENEWDKLLRVRWEQYQIEEEASLGRGKRLRKAVSYRETFAALPNEALSEDSDEGDEPKREYTAAGLALKEKYGRLRARQKERIAQRHIIKNYADDKLDEFMTPYDSIANEHAENPLIIVEDPNSSQLSGAKRFSESTAEMRQSSKKSKRYAEVPQDLYARIPGNAASSKHNSKGADVFNPGAPNHLLPVLGLCAPNADQVNSYKNSLSGPSMKEHKKASGDVANKPLSTAADHSSEHRNEAQSVSDKAIFPGASEEALRRLNNIIPDSYFPFTHIPPISGKGVDPVENSGPSVASFQGKLGLPNFGLDDNIPLKHMKSIPDMLPNLSLSAQKDYIRNSVPELPDSSLLPNFMADIAGTSKQKSFMSGLLPGLGLSPAQPIHSAMPDNHKKVLDNIMMRAQYASNKFLKKRSKLDYWSEDELDALWIGVRRHGRGNWDGMLRDPKLKFLNNRTSEELALRWILEEQKIIEEPMSTATRRPSSTPFPGISDAMMSRALNESNFSKLRMEQPKLQSHLTDIQLGSSDILSRLPHVEAANYMNSGEGGPSPIPWQDFKHRSGYGGDFPGSAFDKLEKPDIGLIPPFMPNPFMNDSIGPLPINRKNNSTILPNEIGPSSHESILLHGVSDGQINLLHEMQRRVRLGKQPMEMNLNRTDHSNPQLDNISDIGGLKSNKLPHWLQDAVRAPSSKPPERELPATVSAIAQSACLLLGEQEPTIPPFLMPGTPLSRPKDPRINSKKRKLRKVQQLSSNVEHSKTGSGQGGRDATPTPPSIEASPAPPTVDCNDGAPSLNLNSLSSSSASSQGKDEAPPTFEESQPNVEGSEAIEATCTSKSEAPEISCQTTGFSLVDDKVSQSHGSRIKDIPDTAARMPESDNSAPLGPELQVVGDTPGTSSSRAADMPVPSDNNDLTQNNPLGNAGSTMNLEEVMEKPAPLVETRDSDASHPVSAETVDEDRVDEIASDEH >PAN10867 pep chromosome:PHallii_v3.1:2:9969174:9978153:-1 gene:PAHAL_2G119400 transcript:PAN10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCHFSCTLQLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALKTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLRSGELVAVKVQRPGMAPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFATLYSHGGDTSEGSTSIKVPKVYWNYTHKTILTLEWIDGIKLTDAERISKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAVADALRLSFGDVRRQSNDFQGVMYHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLIAAISAQSAESSNASGAGSAENANGSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIIKASDAFLQEATFPYIFDNEGKMGELNPERSKTIRRLVNAVQSFRQAINLAPDAWSAMLIRTLLKPESQKFVLDVFLSLASHSSYKIPETFWLCMSRFLNYLDKKDTL >PAN10869 pep chromosome:PHallii_v3.1:2:9969174:9979651:-1 gene:PAHAL_2G119400 transcript:PAN10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTSRLLLLARRADRCRSLPLLLPRAVHAAAAAVAPSPKAPPPRLPASAPLRRYSNAFTSVHGERPSSEYAKIRKESLETQFGRILGSSSRRLFADRGFGPFLALYRAATISFHVVKLTIWHLLLNDMRKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALKTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLRSGELVAVKVQRPGMAPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFATLYSHGGDTSEGSTSIKVPKVYWNYTHKTILTLEWIDGIKLTDAERISKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAVADALRLSFGDVRRQSNDFQGVMYHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLIAAISAQSAESSNASGAGSAENANGSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIIKASDAFLQEATFPYIFDNEGKMGELNPERSKTIRRLVNAVQSFRQAINLAPDAWSAMLIRTLLKPESQKFVLDVFLSLASHSSYKIPETFWLCMSRFLNYLDKKDTL >PAN10868 pep chromosome:PHallii_v3.1:2:9969686:9979653:-1 gene:PAHAL_2G119400 transcript:PAN10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTSRLLLLARRADRCRSLPLLLPRAVHAAAAAVAPSPKAPPPRLPASAPLRRYSNAFTSVHGERPSSEYAKIRKESLETQFGRILGSSSRRLFADRGFGPFLALYRAATISFHVVKLTIWHLLLNDMRKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALKTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLRSGELVAVKVQRPGMAPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFATLYSHGGDTSEGSTSIKVPKVYWNYTHKTILTLEWIDGIKLTDAERISKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAVADALRLSFGDVRRQSNDFQGVMYHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLIAAISAQSAESSNASGAGSAENANGSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIIKASDAFLQEATFPYIFDNEGKMGELNPERSKTIRRLVNAVQSFRQAINLAPDAWSAMLIRTLLKPESQKFVLDVFLSLASHSSYKIPETFWLCMSRFLNYLDKKDTL >PAN10871 pep chromosome:PHallii_v3.1:2:9969790:9979651:-1 gene:PAHAL_2G119400 transcript:PAN10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTSRLLLLARRADRCRSLPLLLPRAVHAAAAAVAPSPKAPPPRLPASAPLRRYSNAFTSVHGERPSSEYAKIRKESLETQFGRILGSSSRRLFADRGFGPFLALYRAATISFHVVKLTIWHLLLNDMRKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALKTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLRSGELVAVKVQRPGMAPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFATLYSHGGDTSEGSTSIKVPKVYWNYTHKTILTLEWIDGIKLTDAERISKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAVADALRLSFGDVRRQSNDFQGVMYHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLIAAISAQSAESSNASGAGSAENANGSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIIKASDAFLQEATFPYIFDNEGKMGELNPEFQACEWFNSLSEALALYPFPITGYRQPRTFTCYIMSHGIIFFAICNFWEKKRNLVPRFLLIVHYFHQS >PVH64551 pep chromosome:PHallii_v3.1:2:45514606:45516532:1 gene:PAHAL_2G300700 transcript:PVH64551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAASRWGDDILRLYERAMVHLHVGGGYMGNGFIVHSDGDTKLIMTCQHVVKTLAVNAVVPTYFSESCGGKTNARLLSADADRDLALLRVDGVHRQIKPILFTDEPTRLGNEVLLLTFFDMDGPIVVRPGR >PAN13366 pep chromosome:PHallii_v3.1:2:47461474:47465542:-1 gene:PAHAL_2G331400 transcript:PAN13366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MNKDKAPMPGDGGSGDGLPPQATRRAGAPPSSSTPPPEFDISRMPDFPTRNAGHRRAHSEILSLPDDLDLSAPGGGDGPSLSDENDEELFSMFLDVDKLNASCGASSEAEAESSSAAGGGGEGAELGHAPRPRHQHSQSMDGSMSIKAEQLAAAQGMEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTTGLTTENSELKIRLQTMEQQVHLQDALNDTLKAEVQRLKVATGQVANGGGNMMMNFGAMPRPFGGNQQMFQNSQGMQSMLATQQLQQLQLHSQPQQQSLRPQHQQQPLHPLQVQQLQQAARDLKMKGHLGSQGQWGDGKSGSSGS >PAN14857 pep chromosome:PHallii_v3.1:2:54565258:54570526:-1 gene:PAHAL_2G449300 transcript:PAN14857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTRRRAAAAATAARVVVQVEEEEEEEERDAAIEISSDSEAGSESRSEEEEEEEEEEDSESGEEVDTSDEDFVDISDSEGGDGEGSGGESEEESGAEAEAEQLGSDRSEAACIKIAGLLRSGRSLEGIKLVECKAYLKNNGLSQTGDISACVDRIVLHWRFKDGDPERIYPRSSFSINCKGDVCRGDAVLFKQKVYEKSGKRHAKCIGKRIVAGKVIKESYGKEKQQHTFTIQVFWSKGAGKLPPLHLLLVKGRNLYRMMTFRQPWANEAERLKVLDEKHSRGDDARRVRALNRPKSAGNTLKGKKKLEKEKHKSRSGRPDCRSNIIEVDKSKKHPAQSSKSDLPNKRSKKEGSQVPYGKKCSGGRRANKNHSHLNKSVGHSSSLCIDSVEKNHATLQKNCHIGPLNKGPSSTGRYAQFEGRYMTPAAHVEANHGNFAVVQHPSIERPQLPPPLREVANILFPPPGGSHPDALFNTTMGFRHQNGVMAEPHAPAYFRGLRPNHQSVAFSSPNMSQTAYHSHPESAYVVPHFRHPNGSTGFRR >PVH63827 pep chromosome:PHallii_v3.1:2:9719048:9720703:1 gene:PAHAL_2G116300 transcript:PVH63827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMIKQDSSSSNEEDDRHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPSCSKPDKRSKDNKSKHRHDSSDEEEEERKSKNKRFGKKKTHDKKTKLFPKKKGHTKESFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEAIDPNLEKLDHETFDKVNASTSCDDLLIDANATNIVPKLAPSREKELMDQVASLKSCVEKLSREEYIHKEILFNNARDYGKRDLGSFPEPNMATTSSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSNVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN12342 pep chromosome:PHallii_v3.1:2:42605992:42606762:1 gene:PAHAL_2G260600 transcript:PAN12342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYPNPHHFGVSQEPPHPNPTGFPMLPLAAHLDQHYADHHFFPGHGQFNSETLEAVLRPPRAAPESGPVVTAPQGGKNGAAAAGQGHARARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDRLGFDKASKTVDWLLTQSKPAIDRLTEPSQRCAGGSDASLSSPTSGAPNGSGNKRGGLVEKAGTRNGRSAFMEHGCELDRLVSAAPALGEYYYAGLSEMMSNNGGEGDDDGEYEEDGDDFLDGMQY >PAN13692 pep chromosome:PHallii_v3.1:2:49017683:49020384:-1 gene:PAHAL_2G357500 transcript:PAN13692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAIFVFLIVSALQLVDRYLDLAKKRGSQSDEQIKLRLEIKQLLKEANELSTPSTFAQAAKLKRLAVAKEKELTKIQEQDTKGKQSLYDKYGKILLVTKVLIYALLVLWFWSTPVTTVRRHLLQPFGKMFSLRGVDSATGNVVVGILPWLFLTSRVSKLLCQKFSFVLLRP >PAN10288 pep chromosome:PHallii_v3.1:2:6155002:6158308:-1 gene:PAHAL_2G084700 transcript:PAN10288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPAVEAAAARGGGDHDGGRRKAAAWEKTYLDVLGICCTAEVALVERLLSPIDGVRAVTVVVPSRTVIVEHDAAAVSQLHIVKVLNKAGLEASIRAYGSGGARRWPSPFIVACGALLAASFFAPLLPTLGWLALAAACVGSQPMLLRAFAAAGNLTMDINIFMLIAVAGAVALGNYTEAGAIVFLFTVAEWLETLACTKASAGMLSLMSAVPKHVVLAEMGQVVGMRDVGVGTVVAVKAGEVVPVDGVVVGGQSEVDESSLTGESFPVPKQPQSEVWAGTMNLDGYIAVRTTALAENSTVAKMERLVEEAQNSRSKTQRLIDSCSKYYTPAVVVVAAGVVLVPLLLGAHDLKQWFQLSLVLLVSACPCALVLSTPVATFCALLRAARMGLLIKGGNILESLGEVRIAAFDKTGTITRGEFSISAFHVVGNKVEMDQLLYWVSSMESKSSHPMAAALVEYSQTKSIRPEPENVTEFHIYHGEGIYGVISGKHIYIGNKKIMARSSCQEPVQEMDDHKGVSIGHVICDGDLVGLFSLSDDCRTGAAKAIKELRSMGIKSVMLTGDSAAAAKHAQEQLGGVLEELHSELLPEDKVRLIAKLKATAGATLMVGDGMNDAPALAMADVGLSMGLSGSAAAVETSHATLMSSDILRVPRAVRLGRRTRRTIAANVAFSIGAKAAVLVLTVAWRPVLWVAVLADVGTCLLVVLHSMLLLRDAAAARRACCGGAPSKAHACCGASKSMACCSTAMSPTARSSHLAGAPNAKGPGDNDCHCCQKQCKPPEHSVVITIPAQAVAEHRKEAHAHSMAGEGGGCCGGGASSAAAPAICAPHGNGEDEVCIIISARTSCSSKARSRCGSPKVAGCKDAVCCSGGKDSISSPLVC >PAN13224 pep chromosome:PHallii_v3.1:2:46794675:46797487:-1 gene:PAHAL_2G321600 transcript:PAN13224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQVLLCLLVAAAALLLVAAAKKSGDVTELQIGVKYKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGPQGSPPTIPGGATLIFDTELVAVNGEPSSKSDEDSEL >PAN14158 pep chromosome:PHallii_v3.1:2:50925086:50928183:-1 gene:PAHAL_2G388200 transcript:PAN14158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYISPERNLEGTCGDPGPLFGDQDSSLLDHLDYQGGGIPQHESPTLDDGLLVDPADAIPYLSADSLPFMNDQITCNVMKSASTSPESSLKQVQEPLNVESDIQNDAPEQNVHDSNSEEQVASVDCDVPQNTEVIGAVLPPELPESSGNDTSNFQPEAADSDVYHGDSLLTENSNKDCQLNNSSAAADEIPNSPALQLENEDMEKLHETSHNEKSGSEDDQMNGRKSSPIDGRDKENFNTSAEPPSWVQIEQENPGTRNGSSTPDNRFDSPPDRFARLERDTPSPDGRVSPDRFARLERDTPSSDGRVSPPVRSPNAHHSEKIESQRHAKDVADLAHSESPPERRRSRSSEKHDPNRKRASSRELSPHGQKRRRESRHGDRSPRRRSASPRRRSTPPRRRSISPRRRSISPRRSSHKRDSPKRGDSPRRRHSPRRRDSPRKRDSPRRRDSPKRRRDSPRRRDRSKSRSPSRKHDRHRREHDRSRSRSPHPRDHHRRSPRRHSPRRRSPSSSHRHRSPRRHWSPPANRKTGLGKPGKNLFIAGFSYATTERDLEKKFCKFGRVTSARVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPTW >PVH64929 pep chromosome:PHallii_v3.1:2:50924355:50930056:-1 gene:PAHAL_2G388200 transcript:PVH64929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYISPERNLEGTCGDPGPLFGDQDSSLLDHLDYQGGGIPQHESPTLDDGLLVDPADAIPYLSADSLPFMNDQITCNVMKSASTSPESSLKQVQEPLNVESDIQNDAPEQNVHDSNSEEQVASVDCDVPQNTEVIGAVLPPELPESSGNDTSNFQPEAADSDVYHGDSLLTENSNKDCQLNNSSAAADEIPNSPALQLENEDMEKLHETSHNEKSGSEDDQMNGRKSSPIDGRDKENFNTSAEPPSWVQIEQENPGTRNGSSTPDNRFDSPPDRFARLERDTPSPDGRVSPDRFARLERDTPSSDGRVSPPVRSPNAHHSEKIESQRHAKDVADLAHSESPPERRRSRSSEKHDPNRKRASSRELSPHGQKRRRESRHGDRSPRRRSASPRRRSTPPRRRSISPRRRSISPRRSSHKRDSPKRGDSPRRRHSPRRRDSPRKRDSPRRRDSPKRRRDSPRRRDRSKSRSPSRKHDRHRREHDRSRSRSPHPRDHHRRSPRHSPRRRSPSSSHRHRSPRRHWSPPANRKTGLGKPGKNLFIAGFSYATTERDLEKKFCKFGRVTSARVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPTW >PVH65317 pep chromosome:PHallii_v3.1:2:55268399:55270012:1 gene:PAHAL_2G461800 transcript:PVH65317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMESHPDRVPTHLKSQAESKFKEVAEAYSCLKDGRRSGSRMEVHVMPSGVPSGYGRSNKALVKAPFILIMFAAVSFGAFSASRAYQRQKELCSSQNPFLP >PAN15068 pep chromosome:PHallii_v3.1:2:55268399:55270012:1 gene:PAHAL_2G461800 transcript:PAN15068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSEAMELLGFPPYSRPSPSEVKAAYRRMVMESHPDRVPTHLKSQAESKFKEVAEAYSCLKDGRRSGSRMEVHVMPSGVPSGYGRSNKALVKAPFILIMFAAVSFGAFSASRAYQRQKELCSSQNPFLP >PVH65187 pep chromosome:PHallii_v3.1:2:53947026:53948036:-1 gene:PAHAL_2G437900 transcript:PVH65187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGTMASLVLLMALLLLCSGMSGAARLLEEAAPKEEYPHPAVPELPKPELPPHPTVPELPKPELPPHPVEPELPKPEVPAHPSVPELPKPEVPSHPTVPELPKPEVPKHPAVPEMPKPEVPLHPAVPELPKPEVPELPKPEVPEHPTVPELPKPEVPEHPAVPPELPHPEVPKHELPPLPEPELPPKAEGHYPVPEIKP >PAN15440 pep chromosome:PHallii_v3.1:2:56943067:56946456:1 gene:PAHAL_2G488200 transcript:PAN15440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATGAVASAAPGEESPAATVLLGRYELGDLLGRGASAKVYRARDLLTGRSVAIKSFPNPRAGAGGAEEGRRPVAIEREAAILRRLRHRHVVRLHEILATRKKVHFVLDLAAGGELFSLVDASGRMTEDLARHYFRQLISAVRYCHARGVFHRDIKPENLLLDEAGELKVADFGLGAVADGNLRHTLCGTPAYVAPEILSRKGYDPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPSWFSPALRDLLRRVLDPNPDARIDADGIAGHPWFCHGAGGEELARLMRGHEEEAWFKAEFKEDMAREMTAFDILSFSAGSDLSALFGAGPGKERVFVSEPAAAVLSRVEAAGRKEGYRVRREGKRGTGPVYLEEESGGIVAKASVFKLADAVSVVEVVKGDGAEAALFWTERLEPAVKPPVLS >PAN10585 pep chromosome:PHallii_v3.1:2:8122730:8130339:1 gene:PAHAL_2G103400 transcript:PAN10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MGRKLPAEQTEAELPRSSKKEKKSKKDKKRKLAAEAEEAAATEEAVVKSSKKKRAEDGARGGGGGAAENGAEKAVAVTGKGSEDPKYAALRSFTAAELPSQVLDCCKEFSQPSPIQAHSWPFLLDGRDLIGIAATGSGKTIAFGVPALMHIRKKVGGKTGKKAVPRCLVLSPTRELAQQIADVLSEAGTPCGINSVCLYGGTSKGPQIAALKSGVDIVIGTPGRMKDLIEMGFCNLNEVSFVVLDEADRMLDLGFEPEVRAILSQTSSVRQMVMFSATWPLAVHKLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLLALLDKYHQAQSNRVLVFVLYKKEAARVETMLQRRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPSALMKFGTHVKKKESKIYGSHFKEITADAPKSTKITFGDSDED >PAN10586 pep chromosome:PHallii_v3.1:2:8122730:8130339:1 gene:PAHAL_2G103400 transcript:PAN10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MGRKLPAEQTEAELPRSSKKEKKSKKDKKRKLAAEAEEAAATEEAVVKSSKKKRAEDGARGGGGGAAENGAEKAVAVTGKGSEDPKYAALRSFTAAELPSQVLDCCKEFSQPSPIQAHSWPFLLDGRDLIGIAATGSGKTIAFGVPALMHIRKKVGGKTGKKAVPRCLVLSPTRELAQQIADVLSEAGTPCGINSVCLYGGTSKGPQIAALKSGVDIVIGTPGRMKDLIEMGFCNLNEVSFVVLDEADRMLDLGFEPEVRAILSQTSSVRQMVMFSATWPLAVHKLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLLALLDKYHQAQSNRVLVFVLYKKEAARVETMLQRRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPSALMKFGTHVKKKESKIYGSHFKEITADAPKSTKITFGDSDED >PAN14729 pep chromosome:PHallii_v3.1:2:54159842:54160558:1 gene:PAHAL_2G441700 transcript:PAN14729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDDEKAAGGSAALAILAVGAVISYFLWPVAAPAAGVMMKAPGAAGFLISRAAFLANPQLYFALLRTAGPAAAAAAFAS >PVH63937 pep chromosome:PHallii_v3.1:2:13109265:13112662:1 gene:PAHAL_2G139500 transcript:PVH63937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNPSCRSRSRSSKLPCRVGPGREKPKPSSQADPPNQNQTDRPGRGARTRREKGKGEPPDRAAPPSLLPAISSHRAMAAAKLLYIVVVDDNGSSFRYTRSLIHSTLQLMGCKPRHAFEISRRVFDVIRGDGSDEMAASASARVQRYEVAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLICDALALYKYVAPNQRADLMLACRIRERKESVTVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAEAKARRKAKKRSGMSSSSNIDYEKIGALTEKVEGKSIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMRKHPSIIPFMIYISNEGKHTERFAVRAKYMTLDPAKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRRRANGDQLYDPDTNIVALVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSGDGKCSSDNSTQKSVGNPIYGPLNIGRAESVNLQFGTFGISAWPTDTGCTSQAGSADESWANATEGSSRHVPSSSGSPKKSDGHCKELDQRVISSIWQR >PVH63936 pep chromosome:PHallii_v3.1:2:13108997:13113628:1 gene:PAHAL_2G139500 transcript:PVH63936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNPSCRSRSRSSKLPCRVGPGREKPKPSSQADPPNQNQTDRPGRGARTRREKGKGEPPDRAAPPSLLPAISSHRAMAAAKLLYIVVVDDNGSSFRYTRSLIHSTLQLMGCKPRHAFEISRRVFDVIRGDGSDEMAASASARVQRYEVAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLICDALALYKYVAPNQRADLMLACRIRERKESVTVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAEAKARRKAKKRSGMSSSSNIDYEKIGALTEKVEGKSIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMRKHPSIIPFMIYISNEGKHTERFAVRAKYMTLDPAKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRRRANGDQLYDPDTNIVALVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSGDGKCSSDNSTQKSVGNPIYGPLNIGRAESVNLQFGTFGISAWPTDTGCTSQAGSADESWANATEGSSRHVPSSSGSPKKSDGHCKEIKESSAASGSDEEEEEEADVRPNSGSDEDLSEEDSREIHEEMEGSVDEDCNRSDEEYDDLAMRDCMENGYLTDDGMFYTGLSKSLSGKFLDGNQRSHSTPRKHHGKLDAGVPETARSTSSAVPAGTSSKRHGTRKWKRSLSDSFRSRRRSAPDLVSTYKSSPPVPVAPDER >PAN11318 pep chromosome:PHallii_v3.1:2:14133340:14138195:-1 gene:PAHAL_2G145200 transcript:PAN11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTAPKGRGAARSSPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVILILFLVFATSAYCAAYGESASHAALTITSVVFFHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGVVIILSPLMILIGFNPTRYFLSLYFG >PVH64444 pep chromosome:PHallii_v3.1:2:43215729:43218573:-1 gene:PAHAL_2G268400 transcript:PVH64444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIRDALLSQLQKDRLRQEIFLAELAKIERAMVLRNDSRHGISTDDVEWTKPVPFTFMEESIPHCRWSVSREGYADVDETHDPKKKNGRHGSVELKSGKPAMEYHVCECLRPCCNGKAGQENAKLEEQKLQESSETIQPKKTLPSVKWEMTEITIPVKKPHWELTCDICQVQATSEHSLQEHCAGQKYRSNVATVELRNKVIS >PAN13895 pep chromosome:PHallii_v3.1:2:49836150:49837372:-1 gene:PAHAL_2G371200 transcript:PAN13895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain 2 containing protein [Source: Projected from Oryza sativa (Os07g0476900)] MTSTTATFLSTLARATASLSSSTMPSGGAKVVRFLPAQTSRGRRAVLSAPRAAVSDTEKAQPAPASDKGKSKDERVVKVHSIEEFDGALKAAKNRLVVVEFAASDSESSSQIYPTMVQLSRTCGDVDFLLVMGDESEAAKELCRREGITQVPHFNFYKGAEKVHEEEAIGPERLAGDVLYYGDSHSAVVQLHSRDDLEALINEHRGEKGKLVVLDVGLKHCGPCVKVYPTVVKLSRSMAETTVFARMNGDENDSCIQFLRDMDVVEVPTFVFIRDGKIVGRYVGSGKGELVGEILRYNGVRVTY >PAN09156 pep chromosome:PHallii_v3.1:2:39190:40283:1 gene:PAHAL_2G000400 transcript:PAN09156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAWLGRLQQFPHIQDQEKHHCPVAMRYTTQSSHLLAAQQAKMPGPMPIQEDDATDLIILLLPMHLDSFIVPYLHSGIQ >PAN14592 pep chromosome:PHallii_v3.1:2:52960363:52960713:1 gene:PAHAL_2G422100 transcript:PAN14592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPGDDDEVDRKPVIKPGVHLTLKVQDTAGRTLERTVRRTEKLQALMDAYYASVPAVTYGTGRFLYDGGRLAGWQTPAELEMEDGDEIDFFTELLGGGGRAPASAAAADEQPVPA >PAN13654 pep chromosome:PHallii_v3.1:2:48834535:48835629:1 gene:PAHAL_2G354700 transcript:PAN13654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKRIAQLAKKWQRMAALGRKRLAWVATEADQCCTSVASKGHCTVYTAEGARFEVPLACLGTAVFAELLRMSQEEFGFAGGDDGRITLPCDAAVMEYAMCLLRRGASAELEQAFLSTMSLPCHYASRVAPYVEASRQVAV >PAN12973 pep chromosome:PHallii_v3.1:2:45779877:45784408:1 gene:PAHAL_2G304600 transcript:PAN12973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAWRLSQRAVTSPLLGIGRPQIRSPSSTMASLSPAAAASPKRLRVYSSAAADGAGSGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDTLDAVLDVGGVYDPSQHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVHRLYLAIYKSFIEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGEVDPSGEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYEDVRSQSWRVQAVSVAPDRFESRRALPWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKF >PVH65388 pep chromosome:PHallii_v3.1:2:56495350:56495718:1 gene:PAHAL_2G481300 transcript:PVH65388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSSSSTYQQLHVSSICMMLMQQILVNYISYTHVSIDHHRSLVASIPVTDRIPSICPGLHQLDHHSSSYTYD >PAN09816 pep chromosome:PHallii_v3.1:2:3840182:3842250:1 gene:PAHAL_2G051700 transcript:PAN09816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLFPVRSQQGAMEGRPPAPPRVSMFRRLMVRVTPAERLAADGKEREKEERPPAAGDAEVGSLGLDRMVLSFMEDNAAVVERPPRGRCNCFNGNQDGSDDEDFDYFLPSASAPAAAPAAAGDALELLKGLVQCASTAERNLLADASRIAERCGKGGYGSGRKKADVRRAVADGLRALGYDAAVCTSRWDKGPSHPAGEHEYIDAVVVVEPGPGAGAGAATATRLVVEVDFRSEFEVARPTKAYRAALQALPPLFVGTPDRLGRVVALVADAARQSLRKRGLHFPPWRKPEYMRAKWLSPHARTGVADKPPAVATPVSAGSFSGEFELRFDEKPKAPDDTTGEEKKITVVVSPSPWRPQDPEASKQSPPPPAPPPQAKGKVVTGLASVL >PVH64804 pep chromosome:PHallii_v3.1:2:49152308:49154817:-1 gene:PAHAL_2G359900 transcript:PVH64804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPHTSFGSPPRLLLLEPAMGFGPARLVLAPLVLGSLRPPRAAAPLRCLCGAAPAASSQRSSPLRAPLRDRGPGRRIILDSGPPRRRGDRGDAQRRLPGRRRRRRRHGGRRPLRRRHHRVLRPALERLHRCLGREDTTPDHLRGGVRRGCSRVRTGLEACTARDRRDSPQCSDEPPMEYQAVSGPHRLPHWEGEAQGATPGDDPQGRLAILLERCPCAEYIQRVVKLMVILQDHVIMKKDKLTSVPNLPIFSSCPVILTQLMKVVSECSTITESTKFRRYRGH >PAN13530 pep chromosome:PHallii_v3.1:2:48220708:48228323:-1 gene:PAHAL_2G344700 transcript:PAN13530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MADSPVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQKEEKGLIGVLPVRDSEAGAVGSLLSPGVGSDSGEGSSKAGGSPGETSKQDIKNGKEPIHWHSKGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSTRGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLDTVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVVALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYNSSRAYLELLADLPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSAYLQIPEAMVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQANTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGHDISNTYENPSPMVVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSCGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDVNLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLTEVPSPILSGMEILLVKRIEEVLDHAFEDGCPLRSRSKL >PAN13529 pep chromosome:PHallii_v3.1:2:48220708:48227717:-1 gene:PAHAL_2G344700 transcript:PAN13529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MQVVLDFDLISDFIGVIVSLCSVKLVEQELWQKEEKGLIGVLPVRDSEAGAVGSLLSPGVGSDSGEGSSKAGGSPGETSKQDIKNGKEPIHWHSKGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSTRGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLDTVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVVALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYNSSRAYLELLADLPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSAYLQIPEAMVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQANTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGHDISNTYENPSPMVVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSCGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDVNLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLTEVPSPILSGMEILLVKRIEEVLDHAFEDGCPLRSRSKL >PAN15387 pep chromosome:PHallii_v3.1:2:56682326:56684572:-1 gene:PAHAL_2G484200 transcript:PAN15387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTQVFEKLFKFTGPNLKHLLERPAVEGPDPEPGRYCLRLHRNRVYYASEALVRRATAVARPRLAGVGTPIGRFTHHGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKAGDGVVVMSMADVPLGFGVAARSAQDCRKADTNAVVVLHQADAGEYLRKEEELM >PVH64792 pep chromosome:PHallii_v3.1:2:49056551:49057087:1 gene:PAHAL_2G358300 transcript:PVH64792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRNVSAGWSKLCHKVVPSGDRMTRLPVLRSTAAALPAAGAGAAPATLVVHWTRPPASDRTRSEALVSDSAGDGEVLLFVTGVSLLATHTTVSRGMSLYHMPRYSLAGVELVGEKKPKAKLPPSDTMVAPGESGLPGTSRSTAAHGGGGSSRRWRTIVYLTIAGQAIKLAPILATLS >PVH65181 pep chromosome:PHallii_v3.1:2:53912731:53914912:1 gene:PAHAL_2G437200 transcript:PVH65181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVEMELAAVRHGCKVCGKSFSSGRSLGGHMRSHLSLGEAAAEGDAAEELARASADGGRSSSGVVGYGLRENPRKTRRLSDFADEEEEEEDGGDGDGDGEHKACRECGKLFSSWRSLFRHMRSHASGGRDRDEEEDVDVEEEFVPEEAEAEEAEMVVTTVEAPVLEPAAVTALVAAPRRRRRSMRVAAPPPARAPVLCGFEKEPEDVALCLLMLSRDTGLWSSPVKEEPFESAKKRAGLPRSGYARDSDDASALLQHGDAKIMGRVPKGRKRSSPKQQRDAVAPKRTRYECPGCGKVFSSYQALGGHRASHKRINTSCSAPKAAAAAASPAPEPSTETYASFSTLSPSASPDSVAIGFGKLNAQAAAEAAAEKFACPVCFRVFSSRQALSGHKRSHLMPTDGGELYAGEAEEDQEQHSAAGFLDLNLPPAPPEAA >PVH63796 pep chromosome:PHallii_v3.1:2:8605456:8605749:1 gene:PAHAL_2G108700 transcript:PVH63796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN10774 pep chromosome:PHallii_v3.1:2:9561495:9564517:1 gene:PAHAL_2G115300 transcript:PAN10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >PAN11128 pep chromosome:PHallii_v3.1:2:11830285:11834564:-1 gene:PAHAL_2G131300 transcript:PAN11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNGQIHHHDEQPTEEKLIIDTDPGIDDSIAIMMAFQSPGVQVLGLTTIFGNCTTEHATRNALILCEKAGHPEVPVAEGSHEPLKGGKPCVADFVHGSDGLGEIELPDPTIKKVDQSAAEFLVDKVSQFPGEVSVLALGPLTNVALAIKKDPSFVKNVKKIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITMQVSFTDKDLLELRNSKGKHAQFLCDVCKFYLDWHIESYGAPVIFLHDPVSFAALVRPDLFTFRKGVVRVETQGICAGHTSMDMLLKKWNSENPWTGYSPISVAWTVDVPKMVAFVKELVTRE >PAN09602 pep chromosome:PHallii_v3.1:2:2586608:2589735:1 gene:PAHAL_2G036500 transcript:PAN09602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTTGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAAAGGALAGPGVGRAAGRGVPTGPLLQAAPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAPPVAYPQVVRPPQGMPPPPMRPGMPPQMQMQFQRPPGAPPAPFPGAPPQQFMRGPPPMGPPQIRPGMPGPPPPGMRPGMPPPPFGQPRPGMPPPPPGPQQPGQNPPQ >PAN14134 pep chromosome:PHallii_v3.1:2:50819553:50827230:1 gene:PAHAL_2G386300 transcript:PAN14134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSPSPSPSPSPERHHHQPARRRVGAASSQMLHHHHRGGRSPSPSPPPRRSLRPRRAAAASSRPLVDDFFPFPSSPSSSPSRQRQRRPSPEPSSSDSGADGGGGGSSASDRRRRKLKLVVKLSQLPPDQHHRRAPPPPSYSDDSDGAGEVGGDGSGDEEQVKPPKKRRIEPRADRSRHREIIGGVRSDPASAPRTKRLPVPGTARTTPLPDRKALEMILEKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPIENHHIKIEQKARPNSCNREPVKKPVLRYQDDDLDFLSRKEQVKRPNPKNLEGAISYKDQVKKPAPGNSQDESSLFHKERVRKPISRNSDDGLSSSFHKNRPKKLFSKNSEDDLSSSFGKEQVSKVISKNSENNESSTVHKQHIKKTTPHSSKNDLSSQKKHIKKPVCSNREDPDFSSRKEHVEDPVCTNVEDAGLSTKRLAEKPISRNSEDLGHCHQESSKKPSCRDGQDDLGNSCNEETAKKPARMNSQDAMGSDISAATIASVGDGSNGLSMSQANTTEPAGCTVANGFLDKDISSPLDEIRSEKTDDIFAKPSYKSIVVDETRRKTYEEQPSVEFDPVFDIFSTEPKELVNVGLDAEHSYAYARSLARFAGSLGAQGWRIASERIRQALPAEVKYGRGWVGEYEPPLPSVLVVNDQSRYVKTSEANVRRNTSLPRDNGRLRPTESNNPKDMSLSLLNRITTSSTNVVGVPGPLESPEFKPRIFGVTAEPQHRSTDALSPHANHRGPGNVAKTKRTANGQTRKGNSSSSARPIEMKPQKGASGAPDMPALNRTAGQPRPFFQPAESNRTQQMRKVDSLKSNVPIEMAPQRLECSKGASPGVHDTPSSNGQPKHFFQSQAAAASGVHDISSSNGQPKHLFQSQAAASSDAHVVPSNGQPKHFLQSQGPASSGGHDMPSSNGQPKPFFQPQEATVPQPRNEATWVYHGRPGDGKVGTSNKSRPSTSVGFVNKNQAVNAATFAMNLNGQKNVSDHAKPVGSTAMPGLANIPSRGLDASRNMFSAFPAAARENQNIPPAPSAQSWISFGTSTENKPAIVSPTFLDNNSAWKMPFANVRPSDDTKISAVPQFFRQPVQVVRESPVQNNGLVIFPQLVQPDFMRSQGQPQWQGLVPHMQQKPSKDVLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >PAN14135 pep chromosome:PHallii_v3.1:2:50822210:50827230:1 gene:PAHAL_2G386300 transcript:PAN14135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPIENHHIKIEQKARPNSCNREPVKKPVLRYQDDDLDFLSRKEQVKRPNPKNLEGAISYKDQVKKPAPGNSQDESSLFHKERVRKPISRNSDDGLSSSFHKNRPKKLFSKNSEDDLSSSFGKEQVSKVISKNSENNESSTVHKQHIKKTTPHSSKNDLSSQKKHIKKPVCSNREDPDFSSRKEHVEDPVCTNVEDAGLSTKRLAEKPISRNSEDLGHCHQESSKKPSCRDGQDDLGNSCNEETAKKPARMNSQDAMGSDISAATIASVGDGSNGLSMSQANTTEPAGCTVANGFLDKDISSPLDEIRSEKTDDIFAKPSYKSIVVDETRRKTYEEQPSVEFDPVFDIFSTEPKELVNVGLDAEHSYAYARSLARFAGSLGAQGWRIASERIRQALPAEVKYGRGWVGEYEPPLPSVLVVNDQSRYVKTSEANVRRNTSLPRDNGRLRPTESNNPKDMSLSLLNRITTSSTNVVGVPGPLESPEFKPRIFGVTAEPQHRSTDALSPHANHRGPGNVAKTKRTANGQTRKGNSSSSARPIEMKPQKGASGAPDMPALNRTAGQPRPFFQPAESNRTQQMRKVDSLKSNVPIEMAPQRLECSKGASPGVHDTPSSNGQPKHFFQSQAAAASGVHDISSSNGQPKHLFQSQAAASSDAHVVPSNGQPKHFLQSQGPASSGGHDMPSSNGQPKPFFQPQEATVPQPRNEATWVYHGRPGDGKVGTSNKSRPSTSVGFVNKNQAVNAATFAMNLNGQKNVSDHAKPVGSTAMPGLANIPSRGLDASRNMFSAFPAAARENQNIPPAPSAQSWISFGTSTENKPAIVSPTFLDNNSAWKMPFANVRPSDDTKISAVPQFFRQPVQVVRESPVQNNGLVIFPQLVQPDFMRSQGQPQWQGLVPHMQQKPSKDVLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >PAN11794 pep chromosome:PHallii_v3.1:2:36884227:36887683:1 gene:PAHAL_2G217000 transcript:PAN11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPKPEASFGSTHTNSVANQQQMELAGNNMGPSNGANNNNNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDASSDGNKADNKDPGDLLAGLEGSSGLPISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPAAGASVTVSSDQFPDSERTEPSTPAPTSESPTQLGASNRDTGERTEATKSTCRGDSLPRHEPLTPDSNCQNGSPPASPNHERAAKRQRGSGNEFLDAETDFSLPRNIFESSSGSEFQQYSMSYSGH >PAN11410 pep chromosome:PHallii_v3.1:2:21414699:21425419:1 gene:PAHAL_2G165800 transcript:PAN11410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKDLIGILKTIRGKKCLVIDPKLAGTLSLIVQTSLLKEYGAELRILSSDPLQTECPKIVYLVRSQLSFMKFIASQIRNDESKGLQREYFLYFVPRRTVACEKILGEEKVHQRLTLGEYPLYLVPLDDDVLSFELDHALQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNNMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGTQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQRATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEDMIHKQEPIENVLRLLVLLSLANTGLPKKNFDYLRREILHSYGFEHMHLLYNLEKAGLFKRQESRSNWVGITRALQLIVDVHDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTFDSSLEVQPVSGAKQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTLLRPIIANSKEGMM >PAN11408 pep chromosome:PHallii_v3.1:2:21414699:21425419:1 gene:PAHAL_2G165800 transcript:PAN11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKDLIGILKTIRGKKCLVIDPKLAGTLSLIVQTSLLKEYGAELRILSSDPLQTECPKIVYLVRSQLSFMKFIASQIRNDESKGLQREYFLYFVPRRTVACEKILGEEKVHQRLTLGEYPLYLVPLDDDVLSFELDHALQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNNMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGTQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQRATSIQQDYAEVKSTNSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEDMIHKQEPIENVLRLLVLLSLANTGLPKKNFDYLRREILHSYGFEHMHLLYNLEKAGLFKRQESRSNWVGITRALQLIVDVHDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTFDSSLEVQPVSGAKQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTLLRPIIANSKEGMM >PVH63472 pep chromosome:PHallii_v3.1:2:2602549:2607300:1 gene:PAHAL_2G036600 transcript:PVH63472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSCRHSLLILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPPVLSTWANHTDFCYGGDYKTASAFVECYGDSVTQLHIIGPGGAGAPPLPKTFSIDAFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLASLEIVNISGNYLYGQLPEGLSRLGNLQTFIADDNMLSGELPGWLGKLPSLAVLSLRNNSLQGPLPESVSDMGSLRSLTLASNNLSGAVPDLSALKNLQVIDLANNSLGPAFPRLGRKVASVVLSGNRFSDGLPGELSSFYLLEHLDVSRNRFVGPFPPTLLALPSIEYLSIAGNHFTGLLAANMSCGENLRFVDVSSNLLTGSLPSCLTRAPSSKPDESKVTLVAASNCLSATAGGDVGWQHPSLFCQNQALAVGIVPDQAHSKKSGAKAGLVAGIVAGALAGAVLVGVAVFLAVRKVTMRRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFEVSCLLGQDAHGQMYRGTLSNGTPVTIRTLRVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLPWVQRISTAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGGSKYPSDRVPNGDKMDIYDFGVILLEVVTGRPITSIHEVEIMREQLQSALTSESPARRRLLVDPSVGRACSDESARTVMEICLRCLAKEAAQRPSVEDVLWNLQFAAQVQDDWRAGDSRSQSSEESPLSPSQIPRASNTAPADAA >PVH63470 pep chromosome:PHallii_v3.1:2:2602549:2607300:1 gene:PAHAL_2G036600 transcript:PVH63470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSCRHSLLILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPPVLSTWANHTDFCYGGDYKTASAFVECYGDSVTQLHIIGPGGAGAPPLPKTFSIDAFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLASLEIVNISGNYLYGQLPEGLSRLGNLQTFIADDNMLSGELPGWLGKLPSLAVLSLRNNSLQGPLPESVSDMGSLRSLTLASNNLSGAVPDLSALKNLQVIDLANNSLGPAFPRLGRKVASVVLSGNRFSDGLPGELSSFYLLEHLDVSRNRFVGPFPPTLLALPSIEYLSIAGNHFTGLLAANMSCGENLRFVDVSSNLLTGSLPSCLTRAPSSKPDESKVTLVAASNCLSATAGGDVGWQHPSLFCQNQALAVGIVPDQAHSKKSGAKAGLVAGIVAGALAGAVLVGVAVFLAVRKVTMRRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFEVSCLLGQDAHGQMYRGTLSNGTPVTIRTLRVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLPWVQRISTAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGGSKYPSDRVPNGDKMDIYDFGVILLEVVTGRPITSIHEVEIMREQLQSALTSESPARRRLLVDPSVGRACSDESARTVMEICLRCLAKEAAQRPSVEDVLWNLQFAAQVQDDWRAGDSRSQSSEESPLSPSQIPRASNTAPADAA >PVH63471 pep chromosome:PHallii_v3.1:2:2602549:2607315:1 gene:PAHAL_2G036600 transcript:PVH63471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSCRHSLLILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPPVLSTWANHTDFCYGGDYKTASAFVECYGDSVTQLHIIGPGGAGAPPLPKTFSIDAFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLASLEIVNISGNYLYGQLPEGLSRLGNLQTFIADDNMLSGELPGWLGKLPSLAVLSLRNNSLQGPLPESVSDMGSLRSLTLASNNLSGAVPDLSALKNLQVIDLANNSLGPAFPRLGRKVASVVLSGNRFSDGLPGELSSFYLLEHLDVSRNRFVGPFPPTLLALPSIEYLSIAGNHFTGLLAANMSCGENLRFVDVSSNLLTGSLPSCLTRAPSSKPDESKVTLVAASNCLSATAGGDVGWQHPSLFCQNQALAVGIVPDQAHSKKSGAKAGLVAGIVAGALAGAVLVGVAVFLAVRKVTMRRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFEVSCLLGQDAHGQMYRGTLSNGTPVTIRTLRVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLPWVQRISTAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGGSKYPSDRVPNGDKMDIYDFGVILLEVVTGRPITSIHEVEIMREQLQSALTSESPARRRLLVDPSVGRACSDESARTVMEICLRCLAKEAAQRPSVEDVLWNLQFAAQVQDDWRAGDSRSQSSEESPLSPSQIPRASNTAPADAA >PAN09604 pep chromosome:PHallii_v3.1:2:2602430:2607300:1 gene:PAHAL_2G036600 transcript:PAN09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSCRHSLLILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPPVLSTWANHTDFCYGGDYKTASAFVECYGDSVTQLHIIGPGGAGAPPLPKTFSIDAFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLASLEIVNISGNYLYGQLPEGLSRLGNLQTFIADDNMLSGELPGWLGKLPSLAVLSLRNNSLQGPLPESVSDMGSLRSLTLASNNLSGAVPDLSALKNLQVIDLANNSLGPAFPRLGRKVASVVLSGNRFSDGLPGELSSFYLLEHLDVSRNRFVGPFPPTLLALPSIEYLSIAGNHFTGLLAANMSCGENLRFVDVSSNLLTGSLPSCLTRAPSSKPDESKVTLVAASNCLSATAGGDVGWQHPSLFCQNQALAVGIVPDQAHSKKSGAKAGLVAGIVAGALAGAVLVGVAVFLAVRKVTMRRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFEVSCLLGQDAHGQMYRGTLSNGTPVTIRTLRVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLPWVQRISTAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGGSKYPSDRVPNGDKMDIYDFGVILLEVVTGRPITSIHEVEIMREQLQSALTSESPARRRLLVDPSVGRACSDESARTVMEICLRCLAKEAAQRPSVEDVLWNLQFAAQVQDDWRAGDSRSQSSEESPLSPSQIPRASNTAPADAA >PAN15301 pep chromosome:PHallii_v3.1:2:56338561:56346407:1 gene:PAHAL_2G478900 transcript:PAN15301 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MASSGPTSGKKSLNTVTLLFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQDNELIWCGRVSVAASFTCEYKYYVVDDNKNVLRWEAGENRKLVLPEGVQEGDVIEFRDWWQDASEALFLRSAFKNVVFNATEGVKKEPQSASLNKSLDPEDIVVQFVISCPRLESGSTVVVTGSNPQLGRWQAQDGLKLSYVGDSLWKAICVLRKSEFPVKYKYCQISQAGNSSLELGPNREVDIDLSSPNQSRYVVLSDGALRDAPWRGAGVAVPVFSIRSDEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVNRMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADVKEEIQQAKKQLDKKDVDYEASLSTKLSIARKIFNLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLEKLISEGTLHHDVIRFHYYVQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHVLGFFRIWELPDHAATGLLGKFRPSIPLSQEEFLSEGLWDFNRMSRPYIRQEILEEKFGSFWTVIAANFLNEYQKHCYEFKEDCNTEKKIIAKIKTSPEKSLWLEKEDNIRRGLFDLLQNIVLIRDPEDSTKFYPRFNLEDTSSFRDLDEHSKNVLRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNTEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTLVGSDEEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTSRPAPEETINDPTNPRHYWRFRVHVTMESLLADKDIQATIKDLVTSSGRSFPGKVEGADESGEKLSKVKLNGKA >PAN15302 pep chromosome:PHallii_v3.1:2:56339359:56346348:1 gene:PAHAL_2G478900 transcript:PAN15302 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MYMRDLVWSNHSFCGLSVDLLGENSCMASSGPTSGKKSLNTVTLLFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQDNELIWCGRVSVAASFTCEYKYYVVDDNKNVLRWEAGENRKLVLPEGVQEGDVIEFRDWWQDASEALFLRSAFKNVVFNATEGVKKEPQSASLNKSLDPEDIVVQFVISCPRLESGSTVVVTGSNPQLGRWQAQDGLKLSYVGDSLWKAICVLRKSEFPVKYKYCQISQAGNSSLELGPNREVDIDLSSPNQSRYVVLSDGALRDAPWRGAGVAVPVFSIRSDEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVNRMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADVKEEIQQAKKQLDKKDVDYEASLSTKLSIARKIFNLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLEKLISEGTLHHDVIRFHYYVQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHVLGFFRIWELPDHAATGLLGKFRPSIPLSQEEFLSEGLWDFNRMSRPYIRQEILEEKFGSFWTVIAANFLNEYQKHCYEFKEDCNTEKKIIAKIKTSPEKSLWLEKEDNIRRGLFDLLQNIVLIRDPEDSTKFYPRFNLEDTSSFRDLDEHSKNVLRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNTEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTLVGSDEEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTSRPAPEETINDPTNPRHYWRFRVHVTMESLLADKDIQATIKDLVTSSGRSFPGKVEGADESGEKLSKVKLNGKA >PVH64392 pep chromosome:PHallii_v3.1:2:42317236:42317496:1 gene:PAHAL_2G257500 transcript:PVH64392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGGKGGVEAGAATTVLLVALLLVCAASHAGANAVVAAVRRLRAGDDALLVGEQLSGPQANGCSSDPHNQGNSCHIPGAPAKRAP >PAN12151 pep chromosome:PHallii_v3.1:2:41257146:41260194:1 gene:PAHAL_2G246400 transcript:PAN12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIIGGMFSLMLASTTVMGIAGWRAAFHIVGLISVVVGALVGLFAVDPHFVHVGNGEQLLRKSAWEEMKDLVREAKAVVQISSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHDKTGLLMITFILASSLGGILGGKMGDHFAIRFPDSGRIILSQISSASAIPLAALLMLGLPDDSSGFLHGLVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLSSFAPPIVGFLAEYVYGYNPISYGAGAGSVGRDKSNAGALAKALCTAIAIPMLLCCFIYSLLYRTYPRDRERARMDSLIASELQQIELERCHGLGDYAGSKDGTTVIYMEYSEEDFDADDDEKALMDQQAQHSGSVK >PAN12150 pep chromosome:PHallii_v3.1:2:41256402:41260188:1 gene:PAHAL_2G246400 transcript:PAN12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGRRTLALVNLAAIMERADEALLPAVYQEVGAALHATPMGLGTLTLYRSAVQGACYPLAAYAAVRYNRAHVVAVGAVLWAAATFLVGVSDTFAQVAVARGLNGIGLALVTPSIQSLVADCSDDNTRGAAFGWLQLTGNMGSIIGGMFSLMLASTTVMGIAGWRAAFHIVGLISVVVGALVGLFAVDPHFVHVGNGEQLLRKSAWEEMKDLVREAKAVVQISSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHDKTGLLMITFILASSLGGILGGKMGDHFAIRFPDSGRIILSQISSASAIPLAALLMLGLPDDSSGFLHGLVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLSSFAPPIVGFLAEYVYGYNPISYGAGAGSVGRDKSNAGALAKALCTAIAIPMLLCCFIYSLLYRTYPRDRERARMDSLIASELQQIELERCHGLGDYAGSKDGTTVIYMEYSEEDFDADDDEKALMDQQAQHSGSVK >PAN13074 pep chromosome:PHallii_v3.1:2:46215211:46219506:1 gene:PAHAL_2G312500 transcript:PAN13074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNTPSISWRCCTSWNMYSESRQLPGRLTQRVDLWNSSCSQTLRHHARIGSVKKNIKPSNLQTTACSVSLGRESQCRLSTRSQILSVKSGILSHHKFSSISWRLGSMPRKIGGIASGQGFAVSGMASAEGPVDNNIDSTQPAESSANLSHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPIPNEDLQRKLADELRTMVADEFIKRRAETEWFIEGDFDAYVSQIRKPHVWGGEPELFMASHVLQMPITVYMHDKEAGGLIAIAEYGQEYGAEASIQVLYHGYGHYEALQIPGKGGPRSRL >PVH64598 pep chromosome:PHallii_v3.1:2:46215211:46219445:1 gene:PAHAL_2G312500 transcript:PVH64598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNTPSISWRCCTSWNMYSESRQLPGRLTQRVDLWNSSCSQTLRHHARIGSVKKNIKPSNLQTTACSVSLGRESQCRLSTRSQILSVKSGILSHHKFSSISWRLGSMPRKIGGIASGQGFAVSGMASAEGPVDNNIDSTQPAESSANLSHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPIPNEDLQRKLADELRTMVADEFIKRRAETEWFIEGDFDAYVSQIRKPHVWGGEPELFMASHVLQMPITVYMHDKEAGGLIAIAEYGQEYGAEASIQVLYHGYGHYEALQIPGKGGPRSRL >PVH64597 pep chromosome:PHallii_v3.1:2:46215218:46219418:1 gene:PAHAL_2G312500 transcript:PVH64597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNTPSISWRCCTSWNMYSESRQLPGRLTQRVDLWNSSCSQTLRHHARIGSVKKNIKPSNLQTTACSVSLGRESQCRLSTRSQILSVKSGILSHHKFSSISWRLGSMPRKIGGIASGQGFAVSGMASAEGPVDNNIDSTQPAESSANLSHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPIPNEDLQRKLADELRTMVADEFIKRRAETEWFIEGDFDAYVSQIRKPHVWGGEPELFMASHVLQLASLIWSFGITMTLHLQDANHGLHA >PAN13073 pep chromosome:PHallii_v3.1:2:46216437:46218723:1 gene:PAHAL_2G312500 transcript:PAN13073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNTPSISWRCCTSWNMYSESRQLPGRLTQRVDLWNSSCSQTLRHHARIGSVKKNIKPSNLQTTACSVSLGRESQCRLSTRSQILSVKSGILSHHKFSSISWRLGSMPRKIGGIASGQGFAVSGMASAEGPVDNNIDSTQPAESSANLSHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPIPNEDLQRKLADELRTMVADEFIKRRAETEWFIEGDFDAYVSQIRKPHVWGGEPELFMASHVLQLASLIWSFGITMTLHLQDANHGLHA >PAN10726 pep chromosome:PHallii_v3.1:2:9321959:9322981:1 gene:PAHAL_2G113700 transcript:PAN10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRTRWRVGARGVIRDQQPELHEAPLRRHEKSLFPSCNRCPTPTRRQWFVFYLRSAVSEEHSPTRSHLLLLLGW >PVH63820 pep chromosome:PHallii_v3.1:2:9321959:9322982:1 gene:PAHAL_2G113700 transcript:PVH63820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRTRWRVGARGVIRDQQPELHEAPLRRHEKSLFPSCNRCPTPTRRFVFYLRSAVSEEHSPTRSHLLLLLGW >PAN12504 pep chromosome:PHallii_v3.1:2:43290449:43293072:1 gene:PAHAL_2G269200 transcript:PAN12504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNKPTSPLTQAHATENLSFFSLPSSHLLLTSSLSLSLSLFLTVKWGRAPAELGARVMAWGACIAALPLLLLLLLAAAAGGNGGVHCLEQGSRSRSRRALQGRHKHHLRSRAVGGATVLELRHHSFSSAPSKSREEEADAFLSSDAARVASLQWRIENYRLIGSAAAASKAQVPVSSGAKLRTLNYVATVGLGGGEATVIVDTASELTWVQCKPCDSCHDQQEPLFDPSSSPSYAAVPCNSSSCDALQVATGMSGSPACGGADRPACSYTLSYRDGSYSRGVLARDKLSLAGEAVDGFVFGCGTSNQGAPFGGTSGLMGLGRSQLSLVSQTADQFGGVFSYCLPLKESDSSGSLVLGDDSSVYRNSTPIVYTSMVSDPLQGPFYFLNLTGITVGGQEVESPGFSSGKAPAFSILDTCFDMTGFKEVQVPSLKLVFDGGVEVEVDSSGVLYFVSSDSSQVCLAMAALRSEYETSIIGNYQQKNLRVIFDTSASQVGFAQETCGYI >PAN12503 pep chromosome:PHallii_v3.1:2:43290449:43293072:1 gene:PAHAL_2G269200 transcript:PAN12503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNKPTSPLTQAHATENLSFFSLPSSHLLLTSSLSLSLSLFLTVKWGRAPAELGARVMAWGACIAALPLLLLLLLAAAAGGNGGVHCLEQGSRSRSRRALQGRHKHHLRSRAVGGATVLELRHHSFSSAPSKSREEEADAFLSSDAARVASLQWRIENYRLIGSAAAASKAQVPVSSGAKLRTLNYVATVGLGGGEATVIVDTASELTWVQCKPCDSCHDQQEPLFDPSSSPSYAAVPCNSSSCDALQVATGMSGSPACGGADRPACSYTLSYRDGSYSRGVLARDKLSLAGEAVDGFVFGCGTSNQGAPFGGTSGLMGLGRSQLSLVSQTADQFGGVFSYCLPLKESDSSGSLVLGDDSSVYRNSTPIVYTSMVSDPLQGPFYFLNLTGITVGGQEVESPGFSSGKVIVDSGTVITSLAPSIYNAVKAEILSQFAEYPQAPAFSILDTCFDMTGFKEVQVPSLKLVFDGGVEVEVDSSGVLYFVSSDSSQVCLAMAALRSEYETSIIGNYQQKNLRVIFDTSASQVGFAQETCGYI >PAN15079 pep chromosome:PHallii_v3.1:2:55309861:55312350:1 gene:PAHAL_2G462600 transcript:PAN15079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTGTILFASVGVTSFGFDVFSVAVPAPSDDGAPDASELDERRHTDGVSVNFNAQFADDAGDAVAFVSERTGAAGLFLSRPGSERPEPLPAAEGSLFHDRPTVRGGRVYFVSAHEKPDRPFRSWAAVYAAGLSGEGQAVERVTPRGVVDMSPAVSASGDLVAVASYGDRPWAFDFQVLETEVAVFRAADPARRVVVAERGGWPTWHGERTLFFHRVADDGWWSVFRVDVSPETLEPTGAGERRVTPPGLHCFTPAAVGRGGGRWIAVATRRKGRAQRHVELFDLEIERFSPLTELLNPELHHYNPFFSPSGGRLGYHRFRGAGAPGESVVPYLQTVRSPVSSLRMLRVNGTFPSFSPDASHIAVNGDFFATPGVMVLRSDGTRRWTISKEPGLFYTTWSPSERGVVFTSAGPIFETPKATVRIARVEFDPSELTDDRKEVGATVRALTRPEAGNDAFPAVSPCGRWLVFRSGRTGHKNLYVIDTARGEEEEGGGGVRRLTEGEWIDTMPSWSPDGSLIAFSSNRHDPANPAVFSIYLVRPDGSGLRRVYVAGPEGSAEADQERINHVCFSPDSRWLLFTANLGGVMAEPISGPNQFQPYGDLYACRLDGSGLLRLTCNAYENGTPAWGPASAGLGVEALSLGAPAGEDPMGQFDEPLWLTCDV >PVH65363 pep chromosome:PHallii_v3.1:2:56022923:56030570:1 gene:PAHAL_2G474300 transcript:PVH65363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASAAAPGSAERQARGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRSGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKAALEEALAQAPNAALVMGHNGIFRNDTTDVYEGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPEEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKEARINSMRAAVSETFPEPNRRLLQRILRMMHTIASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDFDMNGDSAAQLIAAANAANSAQGIVTTLLEEYDNIFNDEHLRCSLSPDSQTGDSGSEESTDDETVDIRDNGFHDAENDVDQDLDDAERILSGKLSETSACTRADLYGYKEVNGNDSDAEPSVEDNALESNIGPNDAPLSHLTETGSMRVQQSLNEKEPSNPVSSHETPLSMGEILLSLDAGIPLPSPGAEYPKDRHSNKPNGTQQHVKRSNLWGRNNARKGQQSELIDPSGEEELAIQRLEVTKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQLSSSRSMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSSVDANDRYQHLPSHISQNIVQPGFDRSIAFCNQEKKQRNEESLPSSSHWRSIKQHVLSHGSSRPFSRKHSLDASLSDSKEASTSVPAESGSMLVNIPRTTEQGVGYGRPPAVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASHGFPYKPSSPWNSPR >PAN14603 pep chromosome:PHallii_v3.1:2:53003076:53004923:-1 gene:PAHAL_2G423000 transcript:PAN14603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLLRLLLLLAMLLTRAAADASFPINVWPKPVSMSWAEPYTAVPVSPSFHIVAPSGNPYLASAAERYAKLMVTERYRPVVGPAVTININAGTALAKLTVAVSDLAAPLQHGVDESYTLEILPGGGAATVTAVTAWGAMRGLETFSQLAWRAGHGRGRDLLLVASGVRVEDRPLYPHRGLMLDTGRTYFPVSDILRTIDVMAANKMNVFHWHITDSQSFPIELPSEPQLAEKGAYGEDMRYTVKDVKRIVEFAMSRGVRVVPEIDSPGHTASWAGAYPEAVSCAGQFWLPGGDWDDRLAAEPGAGQLNPLAPKTYEVITNVVNDLTSLFPDGFYHAGADEVTPGCWHADPTIQADLDRGATLSQLLERYVSAVHPLVVSRNRTAVYWEDVLLDAAVNVSASAIPPATTILQTWNNGPNNTKLIVQAGYRAIVSSASFYYLDCGHGDFVGNNSIYDDPNSDFDTKGGSWCGPYKTWQRVYDYDIAYGLTADEARLVIGGEVAMWTEQVDATVLDGRVWPRASAMAEALWSGNRDAAGRKRYAEATDRLTDWRNRMVGRGVRAEPIQPLWCRTRPGMCNAVQ >PAN09990 pep chromosome:PHallii_v3.1:2:4693246:4697635:1 gene:PAHAL_2G063700 transcript:PAN09990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSAVTGAVLVAAVLLLVAIRDGHCAQLCMESTFPRTINGSLSFCGYNGTSCCNATDDAAVQKQFAAMNISGTPCGDIVKNILCARCNPYAGELFTVTTTTRTVPLLCSTTGVSSRLSTKPAAAATTDYCSQVWDTCKDVRIPGSPFQAPKGGAAAPKLTDVWQSVSEFCGALGGSGKSPCLDGEGAAFNDTRPPLPVNGMCLERIGNGSYLNMAAHPDGSNRVFLSNQAGKVFLATVPAQGSGKTLQLDVATPFLDITDEVHFDNEFGLMGLAFHPDFATNGRFFVSYNCDKTKSASCAGRCACNSDIGCDPAKLGSDNGAQPCQYQTVIAEYTANATSDTPATATSANPKEVRRIMTLGLPFTTHHGGQILFGPSDGYMYFAMGDGGSVGDPWNFAQNKKSILGKIIRIDVNTMPSGNTTAGWGNYGIPKDNPSSADPSFAPEVFALGFKNPWRCSFDSGKPSYMYCADVGHKVYEEVDLIMKGGNYGWRVFEGTQPYKPLSTPGGNTSAASIDAIGPVMGYAHSSVNSNVGSASITGGYVYRSTVDPCLNGRYLYADLYAKSMWAGTESPEGSGLYNVTGLTFSCSKSSPIPCDVAAGSALPSLGYIFSFGEDNAKDVYLLTSKGVYRVVDPAQCSYACPIKSSAPGASPSSAVRARAPALATLLNCALLALLSLLSV >PVH65408 pep chromosome:PHallii_v3.1:2:56784591:56786427:-1 gene:PAHAL_2G485800 transcript:PVH65408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFSDIWDCMKTAQVSDFATYRDVCFSIALSFMMQARFFGQMKDYGLRSLKEVDLGWLLATRDGTIGYEWGFKVIELELAFLYDLFFTSNAFVHYYQARAATIWSFASVIGICFVGVAAAIPGTWRTSPSTNGGGGGSGDTIVVDTTVADLTVTLVILSSLACLQVLQLLFSWSSNWSRVALACDYVRAKRRSSCGDDPVRPNRRMKVKAFLQRRVNNLFERYSWQNKLGQYSLMDSVSVRRREPRGPCVTAGTRIESTLWKKPKKSCARLLEVLGFKYVERALQELWSSGTGAAVELHPDVKEAIAGFLSKLRPGASSVRNWQSLLEANGVHDYFLEKGVRDYVCLRLEINAADPYSILKWHIATWYCEECGSSRGGGGDGGSSSSVEKNHRVATTLSRYCAYLCVSAPDLLPGDNSRGLGMYRAAARSASRHLYGKDTLEAMERAPSMRVAHWSLREGVSLGKELEEKMSVTERWEALAKVWVTMLVYAAPSNKMEVHIRHLAQGGELISHVWALLTHAGITGWPDDLFQRDTSDEEPEDDISHEDTTDEREEDDDEATV >PVH63483 pep chromosome:PHallii_v3.1:2:2842767:2843645:1 gene:PAHAL_2G040600 transcript:PVH63483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPASGGASSWARRPAAHPSAGPGRAAAVAACGSRDGGSMAHRGIERAGRRRIEPRRLLRAARLGRAAAVLLRGAAERLLRAGGRELGLRTGRTAAAAVLRRRDGYW >PAN10268 pep chromosome:PHallii_v3.1:2:6079212:6082913:1 gene:PAHAL_2G083000 transcript:PAN10268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEERTISINRPEDASTPQKSACCGS >PAN11553 pep chromosome:PHallii_v3.1:2:36897098:36899749:1 gene:PAHAL_2G217200 transcript:PAN11553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLLGYGAYMLLGCTSYCGPRFFLRYIADLKSFCSSSLWKISLEDLCGSRAYISWQNAVLCSCFLLLNDLVVSLAVRPYSSFYSKKRPYLSYDLPAEQKMLCICTSRHPKQL >PAN12772 pep chromosome:PHallii_v3.1:2:44844218:44845930:1 gene:PAHAL_2G290400 transcript:PAN12772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACANMYNPEHHQHQPAPFMAPRMSFSSDFAVEPPPPAAARGGAAAPGDTDFEFSVGSHPMMAADQLFSKGRLLPLREAPHGGAGGRPVTLRDELRADERHGRLPRAPNIRWKELLGLRKAPKKQAAAEAAAGTSTDAHTDLGGQGGTRD >PAN12915 pep chromosome:PHallii_v3.1:2:45495415:45497450:1 gene:PAHAL_2G300500 transcript:PAN12915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQRVPPDEPYPPPGYHPSQAYPYPPPPDVYPPPQGHGPHVYPSHGVYPPPQRPYPPPDQPPPGYQGYFNNDQRPYYPPPPQPPPPYAYGGGCHDHHHGEDGCSGFLKGCLAALCCCCVLEECCGFF >PVH64647 pep chromosome:PHallii_v3.1:2:46909877:46914752:-1 gene:PAHAL_2G323400 transcript:PVH64647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCHTPPQCGRAPLRHHGRREAAVPGVAVRCARGAPQVPGLEAASPGHAAATKAAAEAAGAGGGGARPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKNLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFIHCPKTPRLAFPEENNGSLKKIPILSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSMNGSASAAQHKEERQQFLHCLRFAGNGDEINRGRTVWRKLAR >PAN13831 pep chromosome:PHallii_v3.1:2:49559129:49562313:-1 gene:PAHAL_2G366700 transcript:PAN13831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKATAATSWRWRLLLLLLVTVAALCWIPPAIAAAAAAAAASTANGARRSLLGFVEAQGNASYQCTPSGPCIPCQYSEKNDEKYSCSETGYRLPLKCVQAQNVTKEGNKSKQRKILDDASTSGDTKSQSGGTKSTSGGPKHYTTYRSCVPLEGEEKLSFLGFEVIMAGMLLVSGPFVYYRKRRTNLMQGAARIPTSPPRF >PAN13830 pep chromosome:PHallii_v3.1:2:49560117:49562096:-1 gene:PAHAL_2G366700 transcript:PAN13830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKATAATSWRWRLLLLLLVTVAALCWIPPAIAAAAAAAAASTANGARRSLLGFVEAQGNASYQCTPSGPCIPCQYSEKNDEKYSCSETGYRLPLKCVQAQNVTKEGNKSKQRKILDDASTSGDTKSQSGGTKSTSGGPKHYTTYRSCVPLEGEEKLSFLGFERKRK >PVH63656 pep chromosome:PHallii_v3.1:2:5859003:5859269:1 gene:PAHAL_2G078300 transcript:PVH63656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACVRTWTDILAWLRRHDNPLRPISKFQERKVAYRWHHFPGGEVMVYLQEDQAARYPPPLSRFVDLKRQEGEVIEVYKITYSVARPA >PVH64159 pep chromosome:PHallii_v3.1:2:34027562:34032981:1 gene:PAHAL_2G205000 transcript:PVH64159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAPPPLNSVAPSSSSSAPPPLNSMASSSALPPSSSQRFVMPPRLELGFGFGNPSPSHNPSVEDRVALLLRAAYDGYLPKIKKLVKRLEEHSGMSVAEAVAGVEVPWSKGHGPLHMAASAGKVKACKFLIKEVQLHVNAIGTDGATPLYCAVYGSGSLAVVKFLLDHQANPSRVDSHGCSPLHIAAIRGNYEIVEELLSRGACADPMWECKSPLYIAAQRGHTRMMELLLRHGAEPNNNLVYTPLKAAIFARSLVGMELLIKADAGVNFGLPETPLIAAAAAGLTDFVKRLLEAGANANTPDDNGRIAIEIAAIQGRQQCVEVLFPFTDPLARVADWSIDGVTQHAKLMSSEPQDPVFYEVDEPDYEAEGDAASNGRDYSRALTLYTMAMEVDPNNATLYAKRSLCFLNSGHQANALEDATTYIDMQPV >PAN13288 pep chromosome:PHallii_v3.1:2:47063271:47065017:-1 gene:PAHAL_2G326000 transcript:PAN13288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFFSMEHPWASAFGILGNIISFLVFLAPMPTFLRVYRKKSTEGFSSVPYVVALFSCTLWIFYALVKTNSSPLLTINAFGCAIESVYILLYLVYAPRPARLRALASFLLLNVAAFSLIAVVTLVLVAEAQRVKVLGSICLTFSMAVFVAPMSVIFVVIRTKSAEFMPFSLSFFLTLSAVAWFFYGLFTNDLYVTLPNVGGFFFGCVQMVLYCCYRKPKPASVVLPTTAAALAAESAEMELPLAALDAVAVLPSCAVPVLAELQKLEEAVGSPRKGGVKAI >PAN09301 pep chromosome:PHallii_v3.1:2:927582:929027:1 gene:PAHAL_2G013700 transcript:PAN09301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLNALKSDIANRIVAFLMDKFSEVATPTTEGMRLHDLQRVLLRVGVIVEEAEGRYITNPLMAYQLNILRKEMYRGYLTLDNLRIHGNEELKAKDHDVSNSFALSKFNPAKRLFFSAGNKHVGKDIHQVLDNLNNIMVDMSEFVTTLSNYPPLYRQPYSMHFYVGKCMFGRQMEVDRVIDFLMQTENPIMKNVGVLPIVGPAFVGKSTLVAHIYNAERVRNHFSRIVVLTGDEINYENLQTTLKDRGLTMHQGNALGHNNRLLTIIEFSEDVDELVWNMLYSSASGCFGTGSKIIITSRSNRIINFGTTQALVLNFLPLEAYWYFFKIITFGSVDSNDHPELESIAMNMAREMNGSFFAANISSDFLRNNLSVQYWTMQLTKFKAYIQRNISLFGEHPIALLKKNKHTGCLIYNNDRFLVYSQYLTCVANDNNVPAITVDDLRSGSLKCEGEFDMLLWKSHILPYKSYVVRCMTEKKLQ >PVH65044 pep chromosome:PHallii_v3.1:2:52295129:52304431:1 gene:PAHAL_2G410700 transcript:PVH65044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQAPPPPPPPPPPPAPAPPAAALAPVSATPISVQPPPIQPKPPPPQQQPQPPGPVSGPQPPTPAQLLNLGPQPPMYRGPICWNSYCKDPDPNSFGRRGWKVRSGPPFSVYADLCGRCYSQFEQGIYCETFHSEEGGWRNCESCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARNTRTAMAPPSPVWASPMHNSQNVAERKDIPVKSWRPPAGQISSQWRQTNLWSVSSIQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAQDRKFDDMHDRPTTPAGMNHIMRERDANGHGQPTNMDPAYSYTLYHRDGSHPNNLHDPSHHGGENDSLSSRKVAMPDACTSVDTGFKLDSHHPSILKDDPPSLSVGLASNFASQNGPKDHIRIAPAQQQAQMASSSLQKQFYSHTVSGYNEFQAQMRNGRPRMDAKARSQLLPRYWPRITDQELQHLSSEYPTSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDASGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLIMGFRKATNISSEQEQTTKPANGAPATSEANGKVSAPDPSPNAAVSRQNKVNTETKSSSPVEQTAASKIEKDGLTQKEGPGTASSSPGPAKRKAANLTPKNKRLRMESEESMELKITWEEAQELLRPPPKAPNIVVVDGHEFEEYEEPPILGRKTYFVADQSGSNHQWAQCENCSKWRKLPVDALMPSKWTCSDNKWDSERSSCEAAQEISMEELAELIPIKSGPGGAKKPKARVEGEAIDASDGLDTLANLAILGEGEALPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRASTDSPRKKDTGQSSERDRQGGSGQLATNASATSSSQKAADTKANAPEDMAVDHKVTSSPVKNHIDLNIQPERDDEQSPKSGAAGALNRDNPT >PAN14449 pep chromosome:PHallii_v3.1:2:52295127:52304492:1 gene:PAHAL_2G410700 transcript:PAN14449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQAPPPPPPPPPPPAPAPPAAALAPVSATPISVQPPPIQPKPPPPQQQPQPPGPVSGPQPPTPAQLLNLGPQPPMYRGPICWNSYCKDPDPNSFGRRGWKVRSGPPFSVYADLCGRCYSQFEQGIYCETFHSEEGGWRNCESCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARNTRTAMAPPSPVWASPMHNSQNVAERKDIPVKSWRPPAGQISSQWRQTNLWSVSSIQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAQDRKFDDMHDRPTTPAGMNHIMRERDANGHGQPTNMDPAYSYTLYHRDGSHPNNLHDPSHHGGENDSLSSRKVAMPDACTSVDTGFKLDSHHPSILKDDPPSLSVGLASNFASQNGPKDHIRIAPAQQQAQMASSSLQKQFYSHTVSGYNEFQAQMRNGRPRMDAKARSQLLPRYWPRITDQELQHLSSDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDASGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLIMGFRKATNISSEQEQTTKPANGAPATSEANGKVSAPDPSPNAAVSRQNKVNTETKSSSPVEQTAASKIEKDGLTQKEGPGTASSSPGPAKRKAANLTPKNKRLRMESEESMELKITWEEAQELLRPPPKAPNIVVVDGHEFEEYEEPPILGRKTYFVADQSGSNHQWAQCENCSKWRKLPVDALMPSKWTCSDNKWDSERSSCEAAQEISMEELAELIPIKSGPGGAKKPKARVEGEAIDASDGLDTLANLAILGEGEALPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRASTDSPRKKDTGQSSERDRQGGSGQLATNASATSSSQKAADTKANAPEDMAVDHKVTSSPVKNHIDLNIQPERDDEQSPKSGAAGALNRDNPT >PAN10323 pep chromosome:PHallii_v3.1:2:6338096:6340952:-1 gene:PAHAL_2G087400 transcript:PAN10323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMVSALALLLAPRPVSTRPTSPHLHSRRLALPPRPATLSAQAATHPRRAGRLQQLHAASCCNNSAPAAGTSGGSATGAKDWRFYLAWYLMSLDKNPIATKAVTSAVLTLAGDLICQLVIDRVPELDLRRIFVFTFLGLALVGPTLHVWYLYLSKLVTISGASGAIARLILDQFIFSPIFIGIFMSLLVTLEGKSSLVVPKLKQEWLSSVLANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVIAK >PAN12386 pep chromosome:PHallii_v3.1:2:42922608:42927721:-1 gene:PAHAL_2G264700 transcript:PAN12386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGMTVAFKASCSSITQQQWWHPTRDQRQYGFTSLGERKFRKRPVLLPVKAISGKLDLDFSDPSWKQKYQEDWDRRFSLPHITDIYDLEPRTTTFSLKKNRTPLGDGDVSSTDMRNGYVNKDDRALLKVIKYASPNSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRDVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLQHLEHLLNTKGFCMICVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDIGVPADLKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGVCNTHYVYLPIPEVITTPKHVNPNSRMWHRCLTSTGQPDFH >PAN12387 pep chromosome:PHallii_v3.1:2:42922608:42929111:-1 gene:PAHAL_2G264700 transcript:PAN12387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGMTVAFKASCSSITQQQWWHPTRDQRQYGFTSLGERKFRKRPVLLPVKAISGKLDLDFSDPSWKQKYQEDWDRRFSLPHITDIYDLEPRTTTFSLKKNRTPLGDGDVSSTDMRNGYVNKDDRALLKVIKYASPNSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRDVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLQHLEHLLNTKGFCMICVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDIGVPADLKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGVCNTHYVYLPIPEVITTPKHVNPNSRMWHRCLTSTGQPDFH >PVH64275 pep chromosome:PHallii_v3.1:2:38549611:38549883:1 gene:PAHAL_2G227200 transcript:PVH64275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACASKVVSLYCNSPLPGIEHDERWHSSLQQQTRKEKRGKLRSTILIYTAWNLWKELNRHIFAWKSLQPAQVVLLIKEEMMVIRQQACLS >PAN12752 pep chromosome:PHallii_v3.1:2:44718558:44721821:1 gene:PAHAL_2G288800 transcript:PAN12752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAAATPAASSCEVDLGNLMAYDPSHHVATAAASREELRQECLQKATELAQAVANSLFALPPSEDRDGPIVHLPPPTIRLPREKHLPKPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDVPIIDAKATDEPGVDPFAQRRQEKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAARALPITGTKADLPKKSRKEDLENVAGMASSATASGGKFDEKLPGEKPPKHPGKHRKFLPVAEGKGMGNLEKQQNDKILNSLLARNSDEQLDVGKAITMYKVKKEKQRRKDKATFPKSDKLKPQKKSLKKSSKKKA >PAN13340 pep chromosome:PHallii_v3.1:2:47371529:47375891:-1 gene:PAHAL_2G329800 transcript:PAN13340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCYSAIAATKLKMLRHGGRGAAAIIPVTSRDGPCCSPYRDSDAKEKKKKKGRKGRKHAPILGDAGAVDPDFARRYRLGAELGRGEFGVTRRCEDTATGEALACKTIRRKRLMLRRAGPDADDVRREVEITRRMSELGEGRVVRLREACEDDDGVHLVMELCEGGELFDRIFEREHYSERAASKLARTIVEVVQLCHDNGVMHRDLKPENFLFVNKSEDSPLKAIDFGLSVFFKPGDRFTEVVGSGCYMAPEVLKRNYGPEIDVWSAGVILHILLCGFPPFWGDSDEKIAQSILRGGINLQRDPWPKVSQNAKDLVRKMLDPDPRTRLTAKQVLEHPWLKNADKASNVSLGEVVRSRLKQFSSMNKFKKKALGVVAMNLPVEEIDKYTQMFNTMDKDNDGNLTLEELKEGFRINGQPVMEEEIKMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNDEYLPKAFKFFDKDGNGFIEMEELMEALGDGELKPNGKVVNDIICEVDKDKDGRISYPEFELMMKGGSDWRNGSRRYSRANFDSLSHRLCKDTL >PAN09904 pep chromosome:PHallii_v3.1:2:4209528:4212331:-1 gene:PAHAL_2G056900 transcript:PAN09904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEEGLNAKRGAAVDGLPDDVLVEILSRVPARSIHRFKCVSKPWRDLIADPLHRKRLPQTLEGFFCSDGSGFDGRFISLPGRSAPPVDPSFSFLTKLSGLIKVLGSCNGLLLFEYGWNPATAYAVWNPATEEWVAVPNAGRPSHDPVLEERTYLIFDPAVSPHFHLLYIWQKDFLCEIEVRTYSSETRVWSDRASQQRRWQDEGDWKQWVNNGGAILTSTGACVNGMVHLIIFNMLDEYLIAMFDREGKTCRVIRCPDNCASLFVGQSQGCLHCVGLLVEREESCLKWAGLSIWVLKDYDTEEWVLKHRVSFLELFGQMSCLDGYNSNVLTIHPDRDLIFIHQNSNQKLISYDMDSKELHAFHTLGCDYVSLTPYVPSFLNLVLTNEH >PAN09905 pep chromosome:PHallii_v3.1:2:4210780:4211949:-1 gene:PAHAL_2G056900 transcript:PAN09905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEEGLNAKRGAAVDGLPDDVLVEILSRVPARSIHRFKCVSKPWRDLIADPLHRKRLPQTLEGFFCSDGSGFDGRFISLPGRSAPPVDPSFSFLTKLSGLIKVLGSCNGLLLFEYGWNPATAYAVWNPATEEWVAVPNAGRPSHDPVLEERTYLIFDPAVSPHFHLLYIWQKDFLCEIEVRTYSSETRVWSDRASQQRRWQDEGDWKQWVNNGGAILTSTGACVNGMVHLIIFNMLDEYLIAMFDREGKTCRVIRCPDNCASLFVGQSQGCLHCVGLLVEREESCLKWAGLSIWVLKDYDTEEWVLKHRVSFLELFGQMSCLDGYNSNVLTIHPDRDLIFIHQNSNQKLISYDMDSKELHAFHTLGCDYVSLTPYVPSFLNLVLTNEH >PAN10495 pep chromosome:PHallii_v3.1:2:7426937:7429480:1 gene:PAHAL_2G096800 transcript:PAN10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRWIKAEVYPLFATTGVAVGICVMQLVRNITTNPEVRVTKENRAAGVLDNHDEGRRYSQHGVRRFWLSQRRDYMHALDNVPAAESKTTTNK >PVH63527 pep chromosome:PHallii_v3.1:2:3528920:3532913:1 gene:PAHAL_2G048000 transcript:PVH63527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADMAAMFPDGIRALIVDDDTKFLKSATATLSVLNFEVVTCSTVAYALKSLTTGKLKGFDVVLAHAAKAAACGFDFRAIVEADLLIPVIYFLPPDHQAAGDEAEELLRTLEAAGSYIIQKPLDADEVRTRLWTVIAWRKCGLETKASGKRGAVDFEGEDEGRVHYKVVRARRPRKRKGGAGSSEPTVAAVFDRAGGGHPDKDNVANNNQQEPAQQKHGRGKKAQKNSGEAFKQLQQPDKGMVGGKQPRQWHPRLSSLFVESVLRTLNVPPDNPEFFSDYAGPSSNAAAAFAGASNAAAPPAPPPVYSAATAPSPAPPRLVYASAPPASPPAVRQQKQQQPAANNIVFGSMAPPVAASTAMPAAATATFEPQVSRGGTQTQQLEDVRRQLISGPFPHQQGPPPPVMQQDMVAPAAAGDGPSTGGMAAGASAAAATEAEAHGDEVSLPFLQPPNLVAGMVEVDMFTSMADPPVASQHDGDASDKVAMLSELLSKDFDDYSGGSSLAAPDAQILAMVSDLNGLIAGGASGSTGAASSVAPQDLGAAPNGGSSAASFMASNVSDLAMAGGGASGSSSSAAPFVAPRDLDAAPDGGSSSSKAPPFVAPDQDLSNACADQCEDRTSFPLDDLLGDLHSPMSEFDDAQLGASTGGAAAAAHDAAGTSRDGRGEEGGLMEAMFASDQHEDDSLFPIDAPLGDLHGPIFEFDDIDLDAQLAGGGAAHDAAGTSRNGGEEEGGLAEAMLASDQHEDGIIDALLGDLHGPIFEFDDIHLDAQLGGSTGGGSGEDVIDLNGIDIPFAVWTFEMEDFMSPRHKNKNNARE >PVH64737 pep chromosome:PHallii_v3.1:2:48500845:48502298:1 gene:PAHAL_2G348100 transcript:PVH64737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMDNRPCWAPSSAATNSPARKLHRLLAGSRRPHRLLLFDRAVFTRGSPARTGLPSRWLPLAGLRPRLAGFVASAPASTALAGRDASPLFSVALPPPAARRQRCLASVRCRTAYARASAASQPPHPRQLPLAGRAIRYRARALRPLQQPRPYASSACGSHRARCRRSCPTRIGEISKTRDAPRDEGRRQEVLWLGKKTRGSRGRRRTAASPRGRRRSCGWLPVRPALPSWSNNVWIIL >PAN15579 pep chromosome:PHallii_v3.1:2:57675015:57684943:1 gene:PAHAL_2G499200 transcript:PAN15579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAGDVPTMYAVLVNSLSADEAARRPAEAALAQCETRPGFCSCLLEIISARGLACREDVRLLATVYFKNSINRYWRHRRDSYGISNEEKDHLRKNLLLNIREENSQIALQLAVLISKIARLDYPKEWPDLLSVLAQQLQSTDVLASHRVFMVLFRTLKELSTKRLAVDQKNYAEITGHLFEYTWNLWKSDVQTILQNLSVLSQRNDLDSILEQSNNLILICDRWLLCLKIIRQLIFSGYASDSRTAQEVWQVREVCPTVLTAIKSLLPYYDSFKDKQAKLWDFAKRACIKLMKVLVTLHGRHPYSFVHQTVLPATVDFCLNMITNPEQAGTSFEEFLIQSMVLVKSVLECKEYRPSPTGRVINENAQPLSLEQRKKNFAAVASEMLKVVLPGDRVVLLCNILVRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKKRPCAEALFIVIFEKYRELLAPVVVSVLREAMAVSPPQETDVTAGMLLKDAAYTAAGHVYYELSNYLNFNEWFHGSLSIEVSNHHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMSFKLIEDVQEFDSKVQVLNFISVLLEHAGDKIIPFASQLSQFFQMIWDESAGESLLQIQLLTALRTFVSSLGFQSPLSYHVLIPILQNGVNIDSPDALNLLEDSVLLWEATLSNAPSIVPQLLDLFPYLLGIVNRSFDHLEVTIKILEDYTIFGGSEFLKSHGASLANIIDTIVGNVNDKGLLTSLPIVDLLIQIFPLEAPPLISSALQKLIFISLSQDDGQNPSRTAVRASSGAILARLLVMNTNFSAQLLSEPPLLASIQQAGIAVNNNLLIPLIDMWIDKVDDANATQQKEYAMALSVVLTLQVPQVIDKLDGILSVCTTVIIGSREVKTDDDTSGDITSSSWIGNDGSGYSNTSSKELRKRQVKDSDPIKLASLENMLRENLKACAALHGDAAFNAVISRIHPSAFAQLQQALNTA >PAN15580 pep chromosome:PHallii_v3.1:2:57675015:57684943:1 gene:PAHAL_2G499200 transcript:PAN15580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAGDVPTMYAVLVNSLSADEAARRPAEAALAQCETRPGFCSCLLEIISARGLACREDVRLLATVYFKNSINRYWRHRRDSYGISNEEKDHLRKNLLLNIREENSQIALQLAVLISKIARLDYPKEWPDLLSVLAQQLQSTDVLASHRVFMVLFRTLKELSTKRLAVDQKNYAEITGHLFEYTWNLWKSDVQTILQNLSVLSQRNDLDSILEQSNNLILICDRWLLCLKIIRQLIFSGYASDSRTAQEVWQVREVCPTVLTAIKSLLPYYDSFKDKQAKLWDFAKRACIKLMKVLVTLHGRHPYSFVHQTVLPATVDFCLNMITNPEQAGTSFEEFLIQSMVLVKSVLECKEYRPSPTGRVINENAQPLSLEQRKKNFAAVASEMLKVVLPGDRVVLLCNILVRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKKRPCAEALFIVIFEKYRELLAPVVVSVLREAMAVSPPQETDVTAGMLLKDAAYTAAGHVYYELSNYLNFNEWFHGSLSIEVSNHHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRVQVLNFISVLLEHAGDKIIPFASQLSQFFQMIWDESAGESLLQIQLLTALRTFVSSLGFQSPLSYHVLIPILQNGVNIDSPDALNLLEDSVLLWEATLSNAPSIVPQLLDLFPYLLGIVNRSFDHLEVTIKILEDYTIFGGSEFLKSHGASLANIIDTIVGNVNDKGLLTSLPIVDLLIQIFPLEAPPLISSALQKLIFISLSQDDGQNPSRTAVRASSGAILARLLVMNTNFSAQLLSEPPLLASIQQAGIAVNNNLLIPLIDMWIDKVDDANATQQKEYAMALSVVLTLQVPQVIDKLDGILSVCTTVIIGSREVKTDDDTSGDITSSSWIGNDGSGYSNTSSKELRKRQVKDSDPIKLASLENMLRENLKACAALHGDAAFNAVISRIHPSAFAQLQQALNTA >PVH63939 pep chromosome:PHallii_v3.1:2:13142551:13144461:1 gene:PAHAL_2G139800 transcript:PVH63939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVGRGGEKNMSESVSLNGWHLRTLLFPLLPIPLGISPLRALFFPRAGASPSCSPRACSRSRTKSCCSRAKSSPLAPNEAARAVAASSRRSRQVAPAPPPRTAPTCSGDSDKEPPRGCRLAAGEGADEAGRAAGWKEEEEPRELQRRRRADPSGTWRRNRRDRRGSAGGGRPRPGAPTTTAPSARGMMMRRRSRHGRRDSVGGERPHPGAPTKMAPSVHGVTTRRRMEAGETALA >PAN10307 pep chromosome:PHallii_v3.1:2:6247447:6252418:-1 gene:PAHAL_2G086100 transcript:PAN10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGEILRAELSSRTPPFGLRLWIVIGICIWVVILFILGLMCFWAVYRRKPSKSFDKIPVSQIPDVSKEIAVDEVREHAVVQSFQVQESQALAVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNPRRQYSQYATVTASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVVLGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNERSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMELKPTTRALKRALLVALRCVDPDAEKRPTMGQVVRMLEAEGVPSREDRRSRRGHSSNADSESKASSSEFEISSDRRESGLSARFQSNS >PAN10601 pep chromosome:PHallii_v3.1:2:8212229:8215484:-1 gene:PAHAL_2G104500 transcript:PAN10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVVMAPGCGGGGGGGGEGKAEEGQQQGQGQVLALVLAALRKSVVLPCQMADADDPAGASWGMEIGWPTDVRHVAHVTFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQERLYAQDGLKAEGIFRITPENSQEEHVREQLNSGIVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEQCIELVELLPPTQAALLHWVVELMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLRERDDDDAGAYSSFSSSSSLSEEIDEEGHDQQDDENDSGSENCNCDDHKRPKDIDKAAALRVDNEQLIGASRRHTSIDCHLPFIGFENDNEDTSLDDIEECFLRRLEWKAVRECVAEDNISSFPAAKEEADQLSSSESITEGSNTTVENRDVTSNAIDVTINELRQMEIRIEMTDTEVRNATKGELILCS >PAN10600 pep chromosome:PHallii_v3.1:2:8212229:8215040:-1 gene:PAHAL_2G104500 transcript:PAN10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCGYDDKGNSVPKILLLMQERLYAQDGLKAEGIFRITPENSQEEHVREQLNSGIVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEQCIELVELLPPTQAALLHWVVELMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLRERDDDDAGAYSSFSSSSSLSEEIDEEGHDQQDDENDSGSENCNCDDHKRPKDIDKAAALRVDNEQLIGASRRHTSIDCHLPFIGFENDNEDTSLDDIEECFLRRLEWKAVRECVAEDNISSFPAAKEEADQLSSSESITEGSNTTVENRDVTSNAIDVTINELRQMEIRIEMTDTEVRNATKGELILCS >PVH64254 pep chromosome:PHallii_v3.1:2:37866278:37867004:1 gene:PAHAL_2G223000 transcript:PVH64254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALPQLHGSELPSPPASSCSLLLQPWLRGAGSAGIPGYPDSDLG >PAN15072 pep chromosome:PHallii_v3.1:2:55280492:55282507:1 gene:PAHAL_2G462200 transcript:PAN15072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGSTGHCYQPLRQIDRHLGATRRATCTPCFRLGRNTAGSGFVLDEYSSVWWLRRAHAHRHSLGTPGLQSYCLVCALDFSCLSSFFSWLLLAALAFQFSRSSCAFLLIA >PAN15073 pep chromosome:PHallii_v3.1:2:55281070:55282506:1 gene:PAHAL_2G462200 transcript:PAN15073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGSTGHCYQPLRQIDRHLGATRRATCTPCFRLGRNTAGSGFVLDEYSSVWWLRRAHAHRHSLGTPGLQSYCLVCALDFSCLSSFFSWLLLAALAFQFSRSSCAFLLIA >PAN11009 pep chromosome:PHallii_v3.1:2:11965281:11972510:-1 gene:PAHAL_2G133300 transcript:PAN11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCETSQLQPLAKVAPTIDAVLLSHPDMMHLGALPYAMKHLGLSAPVYATEPVFRLGLLTMYDHFLSRWQVSDFDLFTLDDVDAAFQNVVRLKYSQNYLLNDKGEGIVIAPHVAGHLLGGTVWKITKDGEDVVYAVDFNHRKEMHLNGTVLGSFVRPAVLITDAYNALNNQGYRKKQDQDFIDSLVKVLASGGSVLLPVDTAGRVLELLLILDKYWGDRRLEYPIYFLTNVSTSTIDYVKSFLEWMGDQIAKSFESSRANAFLLKKVTLIINKEELEKLGDTPKVVLASMASLEVGFSHDIFVEMANEARNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEAIKASLVKEEELKASHGSNAKASDPMAIDASSSHKSAKAGSHFGGNNDILIDGFVPPSTSVAPMFPFFENTAEWDDFGEVINPDDYTMKQEETDSALMLGPGDGLDGKIDDGSARLLLDSTPSKVISNEMTVQVKCSLVYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKNLDSHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNIICKKLGEHEIAWVDAEVGKEDEKLVLLPPSSAPPPHKPVLVGDLKLSDFKQFLENKGWQVEFSGGALRCGEHITVRKIGDSQKGSTGSQQIVIEGPLCEDYYKIREHLYSQFYLL >PAN11008 pep chromosome:PHallii_v3.1:2:11964857:11972676:-1 gene:PAHAL_2G133300 transcript:PAN11008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCETSQLQPLAKVAPTIDAVLLSHPDMMHLGALPYAMKHLGLSAPVYATEPVFRLGLLTMYDHFLSRWQVSDFDLFTLDDVDAAFQNVVRLKYSQNYLLNDKGEGIVIAPHVAGHLLGGTVWKITKDGEDVVYAVDFNHRKEMHLNGTVLGSFVRPAVLITDAYNALNNQGYRKKQDQDFIDSLVKVLASGGSVLLPVDTAGRVLELLLILDKYWGDRRLEYPIYFLTNVSTSTIDYVKSFLEWMGDQIAKSFESSRANAFLLKKVTLIINKEELEKLGDTPKVVLASMASLEVGFSHDIFVEMANEARNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEAIKASLVKEEELKASHGSNAKASDPMAIDASSSHKSAKAGSHFGGNNDILIDGFVPPSTSVAPMFPFFENTAEWDDFGEVINPDDYTMKQEETDSALMLGPGDGLDGKIDDGSARLLLDSTPSKVISNEMTVQVKCSLVYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKNLDSHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNIICKKVEFSGGALRCGEHITVRKIGDSQKGSTGSQQIVIEGPLCEDYYKIREHLYSQFYLL >PAN10596 pep chromosome:PHallii_v3.1:2:8190249:8192978:-1 gene:PAHAL_2G104200 transcript:PAN10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSAAADLAVAVFSLAIAVAAPLMDAQSVLPPGLHPAPLVELKRWYTAEFGDYLMARPPGFFRGIICLELAFQWPLAVATLYGILTRRRWVATTSLMAGVSTLTSMSAVLGELLGSGKATPKLLQVYVPFAAFAVIAILRGLCSCSPRATAGSSLGPSSRKKRV >PAN14502 pep chromosome:PHallii_v3.1:2:52500864:52504698:-1 gene:PAHAL_2G414700 transcript:PAN14502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIEIKDDEEHPTEMLVDQPRFLEPLCPEEVNEDTRVYPRVGDEYQVEVLDLLTEEERTKLRSSAVYDSRAFGFEYPVGVGLAIPVTWNQNTSTHIEEEHGGFSGCRSCPSQDEGPIHSSENIPGNLYQDIICSECKVGYAEQGEKFSGSAGQDMHCSQKRKLLGCSCAKKKFNDCFPLPGMPKYSWSDEEAQTFLLGLYIFGKNLVQVTKFMETKTMGEVLSYYYGEFFRSDAYRRWAACRKARSRRCILGLRIFSGPRQQELLSRLLAGVAKEVEASLMEVFKIFNEGTSTFEQFILTLRSTVGAQVLVEAVGIGKGKYDLTGFALDPSRNHGTSTRPEIPVGKACSALSSGDIIKFLTGDFRLSKARSNDLFWEAVWPRLLSRGWHSEQPKDSSPVGKHALVFLIPGVKKFSRKKLIKGNHYFDSVSDVLSKVASEPRLLEFGVQGGNGDSGIKHENGWIHDSELDRNTLPNKKPSYNRPTEPGCSPELMKFTVVDTSLVQGEEPSKVRSLRNLPTDSSHGYMSSPHSGDSGSDSSEERSDSEDSSEPYEHISTDRSTTGVKYASEERKSKAPKIDKMDSSVIEKAAFLGTLSSINGHNSTDQGFNAMSNACSSTATILSVDVERIHATTTSTEISFQFDQRVNVESQIFLAPFSKRRRLVSSKTERTGRRNTSTNENHYWKQVDEPPQHDVSGANEASGEANSFVWGAIPNSSANISFDVNNKKPYCGQLNNVPPNAETMVYKETCQNRHIIDLNIPHMPSDYESTLSYIVPPSDKNTETTVRPLHSSGTEVADRLPDMDASSDVLYEELSFNSRRHSSRSRPPTARALEALACGFLGTKQKGREANFPSSSRSSRPVRRPRRSPDVALPFSSDGKGCSSHFPDPPTDVNGWGMSNPPFQMIHSSSSDKSTDKVAPDLFGADKSTDKGVHELFGIP >PAN13269 pep chromosome:PHallii_v3.1:2:46996380:47000941:1 gene:PAHAL_2G324400 transcript:PAN13269 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MPPKPAKKPPAAELEYCELCRHHHDLGRRHRYGSKHRDELGATLTRFRSKLSDLRRALLQGSPSSQPPRPRLWCPFCSTDLVDLDDLSACSNAIYHLASGEHLKGVKDFLRKHGGGMDQVDSLRISEDELAKWEKGCESFGKGAKMETEGLIGPSLGPLKDIQNESTCDNLDSCAETNIPSFSNTASYVVMPLQIPTNGAYHPISTACHGAFVSGSVSYSAPYGTVGLPITPWGSARTHEQQAVLSTNCFHSTGPEMKGHQSTILGNRPSPSISCDAHILPSQIQQSHPGGNLSIGPKANVHTGAPPPWLKANEHDPNNLLLRSCGPPGKGKSRKLNPKRVGAAWAERRRAEMEMEKRGEIVPETSDSSWLPNFGGVWQSGTRKESRKDFEKNRKLQDTNSNHELSLEIKPYISKRMRAGVDKASDNAEQLGSHLEQ >PVH64050 pep chromosome:PHallii_v3.1:2:24221998:24232362:1 gene:PAHAL_2G173700 transcript:PVH64050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPGNPVNAVKWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKSPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSSSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVIFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTVGLRSSLTPNTSGFLSTSNSSTMEETPYRARPLSGGPLSKLQAPRSNYNLKDDMDVFSPLVDVQPFTPSSGSWWDEHGNDDTKKDDKPGEKKLSTTRKFSYMEGNDEPHAISDWRSTANSRQDFSSVTTTSMPSWKSELSISSPETATGNALPDRLTQRQQISRFGASAFSTGGLAFTALQDSSSTGHSLKGSLTSNILMNLQNKGILSNSHSSLDASSPNLQSSLLSSYGSKAVSSVNPDQTGEAQSSSMWRPTTYTDKMSSSSVFSDGLASAFGSPKSKKTGAETKDELLSSLLSRQEAATASSSPSPLASNGVGPPQLSNTSSSTDQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKVEGLTKEVQQLRRENQQLRQQLL >PVH64051 pep chromosome:PHallii_v3.1:2:24223831:24231975:1 gene:PAHAL_2G173700 transcript:PVH64051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKSPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSSSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVIFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTVGLRSSLTPNTSGFLSTSNSSTMEETPYRARPLSGGPLSKLQAPRSNYNLKDDMDVFSPLVDVQPFTPSSGSWWDEHGNDDTKKDDKPGEKKLSTTRKFSYMEGNDEPHAISDWRSTANSRQDFSSVTTTSMPSWKSELSISSPETATGNALPDRLTQRQQISRFGASAFSTGGLAFTALQDSSSTGHSLKGSLTSNILMNLQNKGILSNSHSSLDASSPNLQSSLLSSYGSKAVSSVNPDQTGEAQSSSMWRPTTYTDKMSSSSVFSDGLASAFGSPKSKKTGAETKDELLSSLLSRQEAATASSSPSPLASNGVGPPQLSNTSSSTDQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKVEGLTKEVQQLRRENQQLRQQLL >PAN11226 pep chromosome:PHallii_v3.1:2:24222036:24232996:1 gene:PAHAL_2G173700 transcript:PAN11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPGNPVNAVKWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKSPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSSSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVIFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTVGLRSSLTPNTSGFLSTSNSSTMEETPYRARPLSGGPLSKLQAPRSNYNLKDDMDVFSPLVDVQPFTPSSGSWWDEHGNDDTKKDDKPGEKKLSTTRKFSYMEGNDEPHAISDWRSTANSRQDFSSVTTTSMPSWKSELSISSPETATGNALPDRLTQRQQISRFGASAFSTGGLAFTALQDSSSTGHSLKGSLTSNILMNLQNKGILSNSHSSLDASSPNLQSSLLSSYGSKAVSSVNPDQTGEAQSSSMWRPTTYTDKMSSSSVFSDGLASAFGSPKSKKTGAETKDELLSSLLSRQEAATASSSPSPLASNGVGPPQLSNTSSSTDQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKVEGLTKEVQQLRRENQQLRQQLL >PVH64052 pep chromosome:PHallii_v3.1:2:24224216:24232996:1 gene:PAHAL_2G173700 transcript:PVH64052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKSPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSSSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVIFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTVGLRSSLTPNTSGFLSTSNSSTMEETPYRARPLSGGPLSKLQAPRSNYNLKDDMDVFSPLVDVQPFTPSSGSWWDEHGNDDTKKDDKPGEKKLSTTRKFSYMEGNDEPHAISDWRSTANSRQDFSSVTTTSMPSWKSELSISSPETATGNALPDRLTQRQQISRFGASAFSTGGLAFTALQDSSSTGHSLKGSLTSNILMNLQNKGILSNSHSSLDASSPNLQSSLLSSYGSKAVSSVNPDQTGEAQSSSMWRPTTYTDKMSSSSVFSDGLASAFGSPKSKKTGAETKDELLSSLLSRQEAATASSSPSPLASNGVGPPQLSNTSSSTDQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKVEGLTKEVQQLRRENQQLRQQLL >PVH64221 pep chromosome:PHallii_v3.1:2:36916113:36918655:1 gene:PAHAL_2G217400 transcript:PVH64221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLFGYGAYMLLGCTSYCGPRFFLRYIADLKSLISLEDLCGSRAYISWQNAVLCSCFLLLNDLVVSLAVRPYSSFYSKKRPYLSYDLPAEQKMLCICTSRHPKPL >PAN11859 pep chromosome:PHallii_v3.1:2:37834930:37839010:-1 gene:PAHAL_2G222800 transcript:PAN11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKPKSPSPRHLLFFLYGVLVSLPSNSATFSSAQTSNSSEADRQALLCFKSSISADPGLVLRSWRSDSLDFCSWRGVTCSTTLPIRVVSLELRYDKLKGELPSCMGTLTSLVWIDLSNNDLSGSIPEEIGAMPGLQTLILADNRLTGNIPLSLGTAASLRYVNLSRNALSGGIPDSLSKVSSLRMLTLSMNNLSGEIPGTLFNNSSNLVTVDLRLNSLSGVIPHFHRRTTLQFLDLTGNFLFGSIPASLGNVSSLNYILLAENNLEGSIPEALGHILNLTVLDLGYNRFSGNVPAMIYNISSLRYLRLSTNRLEGQILLNTGHSLPNLESLILSSNALSGIVPASLANMSKLQVIDLSSNLLSGSIPSLGSLSNLSRLILGSNMLQAENWTFLTSLTNCSRLLTLALDGNSLNGSLPKSVGNLSTNLELLNFRGNQISGTIPASIGNLVNLTLLNMEQNMLSGIIPSTIGKLGNLVVLSLSKNRLSGEIPSTIGDLPQLIQLSLDDNLLSGNIPASLGRCQRLIMLNLSVNNLDGSIPSEILSIYSLSLGLDLSNNNLTGMIPPEIGILDHLVILNVSSNKLYGEIPLALGMCLSLSSLQMEGNMLSGIIPESLKNLLFIQRMDLSGNNLSGQIPEFFGHFLLYLNLSYNKLEGPIPTSGIFTNNPNAVMLEGNMALCQRIAIFSLPTCPNTSSTKRKINARMLLIIAPPVTIAFLSLLCVGATVMKGRTNQPSESYRETMKKVSYGDILKATNWFSPVNKISSSHTASVYIGRFEFDTDLVAIKVFHLDEQGSLNSFFTECEVLKHTRHRNLIQAITLCSTVDFENNEFKALVYEFMANGSLDMWIHPRKHQGSPRRVLSLGQRISIVADVASALHYLHNQLIPPMIHCDLKPSNVLLDYDMTSRIGDFGSAMFLSSSLSSSPEGLVGASGTIGYIAPEYAMGCKISTGGDVYSFGVLLLEMLMAKRPTDKLFGNDLSLHKYVDLAFPDKISEILDPQMQNKEDEILCNLHMHNYIIPLVEIGLLCSMESPKDRPTMQDVCAKIVAIQEAFIQSF >PVH65198 pep chromosome:PHallii_v3.1:2:53984400:53985080:-1 gene:PAHAL_2G438900 transcript:PVH65198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACCLCVAWKLGVKKSLACWIKLLPRQTSKRYEVNSPAAGRDDDTTPRTRTGCFSTPR >PAN12070 pep chromosome:PHallii_v3.1:2:40681978:40683633:-1 gene:PAHAL_2G240900 transcript:PAN12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGRSKSSSSTTPSAPSKAAAACSELRAAYHECFNRWYADKFAKGQWQRDDCADHWHKYRACLEEHLEDKHLRQILLDSETSAFYARPETDPPSGQGATK >PAN11236 pep chromosome:PHallii_v3.1:2:30509805:30512542:1 gene:PAHAL_2G193200 transcript:PAN11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRPRRGGRRIDAAIDHLAQYGFPRPQIRKIINNLLQLYGRDGWVFLEEGSYRVVLDKLLEEQGQLDKQEEAAEDKATPENDIEVSRAVHSEAPTESQSALEQQASPNSLPPQEHVLPLPPATRAAPATLPCYGWISEESETESEPEDGEMLSDVPRPASIPQKDVPNPAETLPSKRKWPTRWDMRPNWG >PAN11237 pep chromosome:PHallii_v3.1:2:30509805:30512542:1 gene:PAHAL_2G193200 transcript:PAN11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRPRRGGRRIDAAIDHLAQYGFPRPQIRKIINNLLQKQEEAAEDKATPENDIEVSRAVHSEAPTESQSALEQQASPNSLPPQEHVLPLPPATRAAPATLPCYGWISEESETESEPEDGEMLSDVPRPASIPQKDVPNPAETLPSKRKWPTRWDMRPNWG >PAN14360 pep chromosome:PHallii_v3.1:2:51863494:51864322:1 gene:PAHAL_2G403600 transcript:PAN14360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWFLSSAAAAALLPAGIARERQVEGPVARRKVGPAMRRRKEAQAVEARAKSVGQAIRRRSPRGRRRRRHFLRDDFD >PVH65246 pep chromosome:PHallii_v3.1:2:54403677:54409308:1 gene:PAHAL_2G446200 transcript:PVH65246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMLVAPDGGGVELQPYGAPPVEQELELLRDNADDGLEGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEAALAKLVPEVGMEFESEEKAYEFYNKYAGHIGFSVRKSTSHKSSENITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVVTPTTKATGDLVVRQIDEDDKLTNFFWADPKSRDDFNYFGDVLCLDTTYKINGYGSKTFAKDFSKCVFGYEDGDEFLFAWRSMLEKYDLRHNEWLSKVFDEKEQWALAYDRHIFSADIISALQAESFSSILKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTAHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTTSEYKVASSEKPKEHFVRFDSSDRSCVCTCRKFEFMGIPCCHMLKVLDYRNIKELPQKYLLKRWRRTAKSANEDNEGNASNANGSSLNAPAPAANHHGLQSFSAMIQDTSVSSMP >PVH65248 pep chromosome:PHallii_v3.1:2:54403677:54409308:1 gene:PAHAL_2G446200 transcript:PVH65248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMLVAPDGGGVELQPYGAPPVEQELELLRDNADDGLEGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEAALAKLVPEVGMEFESEEKAYEFYNKYAGHIGFSVRKSTSHKSSENITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVVTPTTKATGDLVVRQVSFLRSISLLPADYKNYLRSKRTKTMQPGDGGAILKYLQTMQMDNPSFFYTMQIDEDDKLTNFFWADPKSRDDFNYFGDVLCLDTTYKINGYALLYDESFESYKWLFESFKIAMHGKQPAVALVDQSIPLSSAMAAAWPNTTQRICAWHVFQNSLKHLNHVVQGSKTFAKDFSKCVFGYEDGDEFLFAWRSMLEKYDLRHNEWLSKVFDEKEQWALAYDRHIFSADIISALQAESFSSILKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTAHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTTSEYKVASSEKPKEHFVRFDSSDRSCVCTCRKFEFMGIPCCHMLKVLDYRNIKELPQKYLLKRWRRTAKSANEDNEGNASNANGSSLNAPAPAANHHGLQSFSAMIQDTSVSSMP >PVH65247 pep chromosome:PHallii_v3.1:2:54403677:54409308:1 gene:PAHAL_2G446200 transcript:PVH65247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMLVAPDGGGVELQPYGAPPVEQELELLRDNADDGLEGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEAALAKLVPEVGMEFESEEKAYEFYNKYAGHIGFSVRKSTSHKSSENITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVVTPTTKATGDLVVRQIDEDDKLTNFFWADPKSRDDFNYFGDVLCLDTTYKINGYALLYDESFESYKWLFESFKIAMHGKQPAVALVDQSIPLSSAMAAAWPNTTQRICAWHVFQNSLKHLNHVVQGSKTFAKDFSKCVFGYEDGDEFLFAWRSMLEKYDLRHNEWLSKVFDEKEQWALAYDRHIFSADIISALQAESFSSILKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTAHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTTSEYKVASSEKPKEHFVRFDSSDRSCVCTCRKFEFMGIPCCHMLKVLDYRNIKELPQKYLLKRWRRTAKSANEDNEGNASNANGSSLNAPAPAANHHGLQSFSAMIQDTSVSSMP >PVH65250 pep chromosome:PHallii_v3.1:2:54405040:54409308:1 gene:PAHAL_2G446200 transcript:PVH65250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMIEAEPPIDEAALAKLVPEVGMEFESEEKAYEFYNKYAGHIGFSVRKSTSHKSSENITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVVTPTTKATGDLVVRQVSFLRSISLLPADYKNYLRSKRTKTMQPGDGGAILKYLQTMQMDNPSFFYTMQIDEDDKLTNFFWADPKSRDDFNYFGDVLCLDTTYKINGYALLYDESFESYKWLFESFKIAMHGKQPAVALVDQSIPLSSAMAAAWPNTTQRICAWHVFQNSLKHLNHVVQGSKTFAKDFSKCVFGYEDGDEFLFAWRSMLEKYDLRHNEWLSKVFDEKEQWALAYDRHIFSADIISALQAESFSSILKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTAHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTTSEYKVASSEKPKEHFVRFDSSDRSCVCTCRKFEFMGIPCCHMLKVLDYRNIKELPQKYLLKRWRRTAKSANEDNEGNASNANGSSLNAPAPAANHHGLQSFSAMIQDTSVSSMP >PVH65249 pep chromosome:PHallii_v3.1:2:54403677:54409308:1 gene:PAHAL_2G446200 transcript:PVH65249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMLVAPDGGGVELQPYGAPPVEQELELLRDNADDGLEGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEAALAKLVPEVGMEFESEEKAYEFYNKYAGHIGFSVRKSTSHKSSENITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVVTPTTKATGDLVVRQVSFLRSISLLPADYKNYLRSKRTKTMQPGDGGAILKYLQTMQMDNPSFFYTMQIDEDDKLTNFFWADPKSRDDFNYFGDVLCLDTTYKINGYGRPLALFLGVNHHKQTIIFGAALLYDESFESYKWLFESFKIAMHGKQPAVALVDQSIPLSSAMAAAWPNTTQRICAWHVFQNSLKHLNHVVQGSKTFAKDFSKCVFGYEDGDEFLFAWRSMLEKYDLRHNEWLSKVFDEKEQWALAYDRHIFSADIISALQAESFSSILKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTAHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTTSEYKVASSEKPKEHFVRFDSSDRSCVCTCRKFEFMGIPCCHMLKVLDYRNIKELPQKYLLKRWRRTAKSANEDNEGNASNANGSSLNAPAPAANHHGLQSFSAMIQDTSVSSMP >PAN14414 pep chromosome:PHallii_v3.1:2:52130587:52134992:-1 gene:PAHAL_2G408200 transcript:PAN14414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSYSLIPGRHSELGMEYDDKHTGAAKTQGKSWGIFICWLLGNGCLFGFNGMLTIEDYYTYIFPKYHPTRIITLAYQPFVLVTTAIFTYHEAKVNTRLRNLAGYMLFFLSSFGVIILDVLSSGGGGIAPFVGVCIIAAAFGIADGHVQGGMTGDLSLMCPEFVQSFFAGIAASGAITSALRFFTKAYFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSRAASEGSLTVTADLAAGGIKSRSNPLAEEAPAHTERLSNKQLLHQNMDYALDIFLIYILTLSIFPGFLAEDTGSHSLGSWYVLVLIASFNVSDLIGRYLPLIEQIKLTSRKGLLLAVISRFLLIPAFYFTAKYGDQGWMIMLTSFLGLSNGHLTVCVLAEAPKGYKGPEQNALGNLLVLFLLAGIFVGAVSDWLWLIGKGW >PVH64106 pep chromosome:PHallii_v3.1:2:29402478:29403221:1 gene:PAHAL_2G189000 transcript:PVH64106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDRAHASTGQRRQKRRHDPQHQVEEDSPPSPPPRELHPNHRPGKEPAGSSQASSRAARQAPYMMPSMAVPPPSQANPSRRGIAWEICPRTPPRLQIEYQEDQRQYPRCPKLTRPQILPEFTVSMGRNNFKQIVALTNARKEDVYKYEKAENLERRFWCQLHQDFYSSVIMHKGKAPIVSCKYVDWAYFEKLNDPFFNQAIAKYKEFGLYDIMGFRYDWNEEILAQFHSSLFYEQGKLPSFGPPRG >PAN13621 pep chromosome:PHallii_v3.1:2:48668671:48670606:-1 gene:PAHAL_2G351700 transcript:PAN13621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSPLQAVLVAPGVKDTKVLAFERRDALKDKDAASGLLRAVLAANPAQRTAFYAFDLARVVDLYWAWRRALAGVRPCYAVKCNPDPALLGALAALGAGFDCASRAEIEAVLALGVRPRDIVYANPCKPEPHLEYAAEVGVNLATYDSEEEVAKVKRCHPNCELLLRLKGPDGGDARVDLGTKYGAHADEVVPLLRAAQSAGLNVAGVSFHVGSGANNLAVYRGAIEAARAAFDAAAALGMPPMRVLDIGGGFVSGPTFDEAAAVINDALAQHFGDLPCVEVIGEPGRYFAETAFTLAARVIGKRTRGEVREYWIDDGLYGSLNCIIMDHYVPTPRPLAAPRPGEKTHTSTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDNMGAYTTGAGSNFNGFATSDIKIYVAYSS >PAN13622 pep chromosome:PHallii_v3.1:2:48668682:48670594:-1 gene:PAHAL_2G351700 transcript:PAN13622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSPLQAVLVAPGVKDTKVLAFERRDALKDKDAASGLLRAVLAANPAQRTAFYAFDLARVVDLYWAWRRALAGVRPCYAVKCNPDPALLGALAALGAGFDCASRAEIEAVLALGVRPRDIVYANPCKPEPHLEYAAEVGVNLATYDSEEEVAKVKRCHPNCELLLRLKGPDGGDARVDLGTKYGAHADEVVPLLRAAQSAGLNVAGVSFHVGSGANNLAVYRGAIEAARAAFDAAAALGMPPMRVLDIGGGFVSGPTFDEAAAVINDALAQHFGDLPCVEVIGEPGRYFAETAFTLAARVIGKRTRGEVREYWIDDGLYGSLNCIIMDHYVPTPRPLAAPRPGEKTHTSTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDNMGAYTTGAGSNFNGFATSDIKIYVAYSS >PAN12629 pep chromosome:PHallii_v3.1:2:44046685:44051334:1 gene:PAHAL_2G279400 transcript:PAN12629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREENAVVAAHAQVQQLHLLQHPAKNAIADRKHTRTSSDLSDPSTPRKIEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAMPLPWGTRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSGQSSGLPDYRARRRLTGNSVHFRAIPNPKCSPAAPACRVR >PAN09727 pep chromosome:PHallii_v3.1:2:3498703:3499858:-1 gene:PAHAL_2G047400 transcript:PAN09727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMGSLAFAIAGAHAGVLPVRVPAAALAPRRRALVVRAQAGDTEPREETSAASSSAPPSTPSAAPATPTPKPKAASPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPVLRGESAEGRSGGVMSADAELWNGRFAMLGLVALAVTEYITGAPFVNV >PAN10006 pep chromosome:PHallii_v3.1:2:4780666:4781604:-1 gene:PAHAL_2G065200 transcript:PAN10006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQFSSSYYYGRGAATAYAHCDSHYSLQQHYDGGAMDASAGFQQFSAYDPHTYSGDYYHSSSSAASWSSSSSGAAPSNHHQMQQLHFGGGMDDEYYSYQVDGMGVADMDQFGALVGATSISTTTSSNSSSASSAVARPGAADGSSSCGYFLPQADHQAADDTPLIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGAAAVLNFPVEHVQESLRALGLTAAGAGDSPVMALKRRHCIRKRLPKSKKAAAAGKEQTTAPSTHGHAKRNQAAPDACVLELEDLGADYLEELLALSDQ >PAN09224 pep chromosome:PHallii_v3.1:2:390850:393061:-1 gene:PAHAL_2G005800 transcript:PAN09224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLGLLKVRVVRGINLAYRDARGSDPYVVLRLGKKKLKTSVKKRSVNPIWHEELTLTVTNSSEPLKLEVFDKDTFSRDDPMGDAEIDVAPMMEVINMNPEDIKNGAIIKSVRPSTRNCLADESHVCWRNGRFVQDMILRLKNVESGEIQLQLQWVNIPGAK >PAN09222 pep chromosome:PHallii_v3.1:2:391341:392038:-1 gene:PAHAL_2G005800 transcript:PAN09222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLGLLKVRVVRGINLAYRDARGSDPYVVLRLGKKKLKTSVKKRSVNPIWHEELTLTVTNSSEPLKLEVFDKDTFSRDDPMGDAEIDVAPMMEVINMNPEDIKNGAIIKSVRPSTRNCLADESHVCWRNGRFVQDMILRLKNVESGEIQLQLQWVNIPGAK >PAN09221 pep chromosome:PHallii_v3.1:2:390850:393082:-1 gene:PAHAL_2G005800 transcript:PAN09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLGLLKVRVVRGINLAYRDARGSDPYVVLRLGKKKLKTSVKKRSVNPIWHEELTLTVTNSSEPLKLEVFDKDTFSRDDPMGDAEIDVAPMMEVINMNPEDIKNGAIIKSVRPSTRNCLADESHVCWRNGRFVQDMILRLKNVESGEIQLQLQWVNIPGAK >PAN09223 pep chromosome:PHallii_v3.1:2:391341:392038:-1 gene:PAHAL_2G005800 transcript:PAN09223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLGLLKVRVVRGINLAYRDARGSDPYVVLRLGKKKLKTSVKKRSVNPIWHEELTLTVTNSSEPLKLEVFDKDTFSRDDPMGDAEIDVAPMMEVINMNPEDIKNGAIIKSVRPSTRNCLADESHVCWRNGRFVQDMILRLKNVESGEIQLQLQWVNIPGAK >PVH64016 pep chromosome:PHallii_v3.1:2:20876199:20876903:-1 gene:PAHAL_2G164100 transcript:PVH64016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAWNTAREISFRPIAKNLFMVQAHYIGDRKRIMEEGPWLFCECALMLEEYDGASMTPTVEPCRVQAWIQIHKIPPLFRTEKIIRQLAGRVGEVIEVEVRAVLSSSGEFHRARVKYEKVARFFAFCGKMGHTHLECDTGEHVEDDLQFGEWMIAASDTWRPGSRRVRGNVDPDKGGARDKSTSAESGSTGGFWRAKHTARGGARSCM >PAN12684 pep chromosome:PHallii_v3.1:2:44357050:44358436:-1 gene:PAHAL_2G283900 transcript:PAN12684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSERPYAFATPSTVPVGFSRGSGSAAAATDSAGRSSSSGAPTKSRKPPFRPAADDTKPVLRDPISRSDPVETEQAVLRLPPFP >PAN15284 pep chromosome:PHallii_v3.1:2:56243711:56248848:1 gene:PAHAL_2G477500 transcript:PAN15284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGSGDKMLLFGSFTEDETKLFQGQPLKSPTKSVTKSWEHPEIQFGSLNFSVLSLQKASSPIAKGVVLPAKSADAQSSVITRKNSCSNKKEAIGPSFPNGGPVLANGCPPVNVSPNNGVLENVRKKEAVVPPAMPVVPPVVTAKSISNETPHAVPEVDKNGTKSTQDRKLDKVKEITENGSPIFDTPIVSAPADEAVTSLNKKASQNMPLLPHGLRNTGNICFLNATLQALLSCSPFVHLLQDLRNRSIPKVGYPTLSAFVEFLSQFDVLDESIMKKNEKAVTVAAKPLNPAMFDTVLRNFTPDVHAGTSARPRQEDAQEFLSFAMNRMHDELLKLNGNGSNSKEGMVVSSADDDAWETVGRKNKSAIVRTQSFVPSELSAIFGGQLQSVVKAAGNKASATVEPFLLLHLDIFPDAVQTLNDALHLFSAPESLEGYRTAAGKAGVVTARKSFKIQTLSKIMILHLKRFSYGNHGSTKLYKPLHFPLQLVLSRELLSSPVSEGRKYELVATITHHGRDPYRGHYTAHAKHANGQWLRFDDDAVAPVGQNEVLHDQAYILFYQQV >PAN10680 pep chromosome:PHallii_v3.1:2:8822902:8826532:1 gene:PAHAL_2G110000 transcript:PAN10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPPPHPTALLQPRPNGRPPAPPTPAGQPSVKGKMVPFMTASLKDVSIGEITVPYDSKHTIAKLTALVVEDQKVKLSEKDPFRRQCNFCC >PAN13511 pep chromosome:PHallii_v3.1:2:48142644:48146980:-1 gene:PAHAL_2G342900 transcript:PAN13511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPKQRLPYSTAGGGGGGRRAASGSGVLPPVVVLVFLFVVAPSLFFVVRNGGRGHVHVASDPKVRNGDQEAVAMANLKSILPKEVIDAIAANQQEVGTLSLNFFRNHESPSWKTDDLVTEKGIYVNDKAKAENSAAEHDLPTNKSPKDPDEHQVDTAVKIARRKLREKRREKRAMDLLHKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDTTVRLIRDQIIMARVYSALAKSKNKSGLFQELQTRIKESQRAVGEAAADADLHHSAPEKIRAMGQVLSKAREEVYDCRAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSLRLTIDYYLLPLEKRKFPRSENLENPSLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESASMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDVDLDGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQTMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDGAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYLRGCNLSE >PAN13482 pep chromosome:PHallii_v3.1:2:48017278:48022196:1 gene:PAHAL_2G341000 transcript:PAN13482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAALNPSATAAAAVAAGNGVQASEAGGERSEDASKQNLAQVTSSIQKTLGLLHQLNLTVSSFNSASQLPLLQRLNALVAELDTMQKLAEGCDIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEQYREIRASSAAEAKRLAQSQSTLPNGDVKVKAEH >PAN10383 pep chromosome:PHallii_v3.1:2:6753272:6756019:1 gene:PAHAL_2G090700 transcript:PAN10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDLAPSTPAAMASAAAEVEEEVEEERPWPPPMRMKLAPYAMYRVFGAGPAGEKLGCAYVALHFALYAARALALSPVAERAAFWSPVHAALQCLCYAATALVACAFIRWYMAVDGVYVVEFDPPPQLLAERAAAEAEQPPSPPPPAMDMC >PAN14038 pep chromosome:PHallii_v3.1:2:50388166:50392267:1 gene:PAHAL_2G380100 transcript:PAN14038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLRSGNANSSQSQAQAGGCSC >PVH64179 pep chromosome:PHallii_v3.1:2:34957046:34957440:1 gene:PAHAL_2G209300 transcript:PVH64179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGALPGNAQYSFVLEVVRYESRGRLPHYYSLTIKCWPLRFKKNCLPPSPAIGHYDQFLPSFRKLQAQGNFQSSKLEERRAGQQIRSHRLG >PAN12295 pep chromosome:PHallii_v3.1:2:42280967:42282236:-1 gene:PAHAL_2G257000 transcript:PAN12295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAPEEVVHAGGCHCRRVRWRVEAPASVVALVCNCSDCSMRGNPHFVVPAARFRLQPGAEEFIATYTFGTHTAKHTFCKVCGITSFYTPRSNPDGVAVTVACVDPGTLGHVEYRKADGRNWEEWFESSRIAGFSKEKAAEE >PAN14722 pep chromosome:PHallii_v3.1:2:53589863:53594666:1 gene:PAHAL_2G432300 transcript:PAN14722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVRASAAWVASRSSHVTVDLQEIEKVVDKIQGNVPKVEWDFEGIHYYDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLKNYTGPELRHLLNWPRPLPIEEERVRLLHEVGLELERSFGGQAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNCEIVPGSEEEVEIRACSIYAVEKMRDLISKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >PAN13787 pep chromosome:PHallii_v3.1:2:53589863:53594666:1 gene:PAHAL_2G432300 transcript:PAN13787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVRASAAWVASRSSHVTVDLQEIEKVVDKIQGNVPKVEWDFEGIHYYDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLKNYTGPELRHLLNWPRPLPIEEERVRLLHEVGLELERSFGGQAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNCEIVPGSEEEVEIRACSIYAVEKMRDLISKKFGKQNMALSHHRTLSIYY >PAN15154 pep chromosome:PHallii_v3.1:2:55626244:55627140:1 gene:PAHAL_2G468800 transcript:PAN15154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGIKEGEPKKASGATADPAAKSTTAGVMADATFKSIGDVLKLLPTATVIVYEVLNPIVTNTGACRVGYKIATGILLGLCGFFCAFSTFTDSYVGADGKVKYGLVTPRGLLPFADSGAAAGGGRDFSRYRLRFSDFVHAAFAVAVFAAVALLADANTVACFYPSLKEQQKKVVMALPVVVGAVAGVVFIVFPSTRHGIGYPPSKPETSALASQ >PAN13049 pep chromosome:PHallii_v3.1:2:46065208:46070644:1 gene:PAHAL_2G310200 transcript:PAN13049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMLAAQFTGLPLSQSPAPQPSFRLGLRPSPAVRARSLAPRVAASAAAVSAKPAAEAPPVADRTVVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGKGNEDLVVVHDALEFGHCRLSLAVPKEGIFENVNTLEDLLKMPEWTEERPLRVVTGFGYLGDKFLREKGFKHVHFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIEGGVVVESQATLVASRKSLHKRKGVLEITHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYCRRDGKVDVEYYAINVVVPQKLLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLSELGL >PVH63606 pep chromosome:PHallii_v3.1:2:4935991:4936745:-1 gene:PAHAL_2G067100 transcript:PVH63606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLFADQGLTARLMAARRVGLEVPWDGRSFGGEDVAATVRRVMVEEEGKALARNAREMQGVFWDTARQGRYIDELVEHLQRRRRREQDAPCT >PAN12326 pep chromosome:PHallii_v3.1:2:42480513:42484039:-1 gene:PAHAL_2G259400 transcript:PAN12326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALAGRRRAAKVMTVDGATFRYKTPAAAGAALRGHPGHQLLESEEVRRLGVRARPLDRDAPLKPGKLYFLVQIPRGAAAAGGDDPRAPRKTWSGALHVGARERLESLMLSRRTVSDVASIVPSSAARLAAAGAPAGSPKPPPSVEVGADGAVRLRMRLPKSEVARLMKESRDPTEAAERIMQLCVARDQGAAAPPPPTARAPLCSALSGRNATTAGTGLKKEKRTRFMAVPDEIIG >PAN13722 pep chromosome:PHallii_v3.1:2:49167911:49172241:1 gene:PAHAL_2G360200 transcript:PAN13722 gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MPGIPTAPAPPPDSSLLARRHRLQFSSPSPRSNHQRLLPTLLPRLPARPRAAPMSAEARAPAPPVAPPAHPTYDLRGVIALALSEDAGDRGDVSCLATIPSDVEAEATFIAKADGVIAGISLADMIFNQVDPSLKVEWFESDGNYVHKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATLTKAMSDAARPACILETRKTAPALRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGIANAMRSVDQFLEKEKLTIPVEVETRTIEEVKDVLKYAAENKTSLTRIMLDNMVVPLPNGDVDVSMLKDAVQLINGRFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >PVH65343 pep chromosome:PHallii_v3.1:2:55590549:55597851:1 gene:PAHAL_2G468400 transcript:PVH65343 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MAAQEAAAASADASGPRFAPDDPTLPAPWKGLIDGATLYYWNPETNVTQYEKPAAAAAAPPLPAGPPPATPVQVPEPAPGASQPGLQFGQVGQATHQERPGQAVYPQPVQLGRQQQTQQPAQQQQFQHVPQHQASFQQVPYQQQQPHMPNQPPQYPNPQQQQPHMPSQPPQYPNPNRQHMPYQQGPYMQPQQQQQGPPYPYQADQQPLPQAAYNQGQGQQPPTPQAAYNHGQGQQPPMPQSSYNQSQQPVIPQSVYNQAQQPQMPHGAYNQSQQPQGMRIPQNQVQHPQQSPSFHPVQTPQLPQVSQSQGLQMPSQQGQLQHGLQFSQHGKQPLSHGQQSPLLKDDDVGGHEGKRTGFSLPLSQQRGQAPLSNQQLISSHQYPGAVNQPNIPGVGGPLYPAKHLHGGSSPAETNNMGLMNSPGQMHQGAVPNHIGPSPVRPPMGFEMGNRDGHFERDDPHPYGRFDGANTLQQQPKLAAVPPSQTPLGMRNGPPYPQADNFGGYNMAPPHSVPNPHNHGPLPIGASMRPPSRMFGPPDFPGISSADAYRQHHEVTAMGENVPAPFMTFEAAGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCHNNPMLGPTVLVLAPTRELASQIQDEVVKFGRSSRVSCICLYGGASKGPQLRELERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPRTRQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSIDELVANKSITQYVEVVPPMDKQRRLEQILRDQERGSKIIIFCSTKKMCDQLARGIGRSFNAVSIHGDKSQTERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKLLEGANQHVPPQLLDMAARGATGGLRNQAAGMSRWDGPGGGRIEPGIGGPVGYGGVREGPGGFGSREGPGGFGVHEGPGGFGGRDGPDGFSGREGPGGFGGTEGPGGFGGRKGPGGFGGRDGPGSGSFGGRGGRGSGGFGGRGGASPGGFGGRGGRGDSPGFGGRGRGDSPGFGGRGRGDFPGFGGRGRGDSPGFGGRGRGDFFGGRGGRGRGFGGRGRSDRGPHDRYISDGRGRYDNRRGFGDKGRDRSYSRSPGRGRSRGYDRRSDSRSLSRSRSRSRSWSRSRSRSRSWSRSRSRSSSRSRSRSRSRDHGAAPERRPRARSGFDVLPPATGAAGPVPVPVSGQAVSPVPSLAHTGSLTDTSAMSPMSPGGLVQQAAAPLNSMNDGNFSGPQAGQPFHGTDAAIPSFPAAEKFPGSAVQQAAPDV >PAN15149 pep chromosome:PHallii_v3.1:2:55590549:55597851:1 gene:PAHAL_2G468400 transcript:PAN15149 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MAAQEAAAASADASGPRFAPDDPTLPAPWKGLIDGATLYYWNPETNVTQYEKPAAAAAAPPLPAGPPPATPVQVPEPAPGASQPGLQFGQVGQATHQERPGQAVYPQPVQLGRQQQTQQPAQQQQFQHVPQHQASFQQVPYQQQQPHMPNQPPQYPNPQQQQPHMPSQPPQYPNPNRQHMPYQQGPYMQPQQQQQGPPYPYQADQQPLPQAAYNQGQGQQPPTPQAAYNHGQGQQPPMPQSSYNQSQQPVIPQSVYNQAQQPQMPHGAYNQSQQPQGMRIPQNQVQHPQQSPSFHPVQTPQLPQVSQSQGLQMPSQQGQLQHGLQFSQHGKQPLSHGQQSPLLKDDDVGGHEGKRTGFSLPLSQQRGQAPLSNQQLISSHQYPGAVNQPNIPGVGGPLYPAKHLHGGSSPAETNNMGLMNSPGQMHQGAVPNHIGPSPVRPPMGFEMGNRDGHFERDDPHPYGRFDGANTLQQQPKLAAVPPSQTPLQGMRNGPPYPQADNFGGYNMAPPHSVPNPHNHGPLPIGASMRPPSRMFGPPDFPGISSADAYRQHHEVTAMGENVPAPFMTFEAAGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCHNNPMLGPTVLVLAPTRELASQIQDEVVKFGRSSRVSCICLYGGASKGPQLRELERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPRTRQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSIDELVANKSITQYVEVVPPMDKQRRLEQILRDQERGSKIIIFCSTKKMCDQLARGIGRSFNAVSIHGDKSQTERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKLLEGANQHVPPQLLDMAARGATGGLRNQAAGMSRWDGPGGGRIEPGIGGPVGYGGVREGPGGFGSREGPGGFGVHEGPGGFGGRDGPDGFSGREGPGGFGGTEGPGGFGGRKGPGGFGGRDGPGSGSFGGRGGRGSGGFGGRGGASPGGFGGRGGRGDSPGFGGRGRGDSPGFGGRGRGDFPGFGGRGRGDSPGFGGRGRGDFFGGRGGRGRGFGGRGRSDRGPHDRYISDGRGRYDNRRGFGDKGRDRSYSRSPGRGRSRGYDRRSDSRSLSRSRSRSRSWSRSRSRSRSWSRSRSRSSSRSRSRSRSRDHGAAPERRPRARSGFDVLPPATGAAGPVPVPVSGQAVSPVPSLAHTGSLTDTSAMSPMSPGGLVQQAAAPLNSMNDGNFSGPQAGQPFHGTDAAIPSFPAAEKFPGSAVQQAAPDV >PAN09197 pep chromosome:PHallii_v3.1:2:275748:277084:1 gene:PAHAL_2G003300 transcript:PAN09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARAFLAVAAVAAALAVPQLAAAADHPVGGSGSWDASGTSYNAWAAKQTFKQGDTVSFKFAPSHDVTEVNKADYDACSGANPVKSYTGGSAAVKLTAPGKRYFICSVTGHCAAGMKLEVTVAAAAVTAPAPGKGGKPHHKRSGAPTPAPAVAAPEPSSTEVSTPTAAPAPRSSDAATIAGMLGGKAVVGLAVGVAMALAM >PAN14516 pep chromosome:PHallii_v3.1:2:52551100:52552817:-1 gene:PAHAL_2G415800 transcript:PAN14516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin protein, Coordination of interlink between abscisic acid (ABA) and brassinosteroid (BR) signalin [Source: Projected from Oryza sativa (Os07g0569100)] MLSAQTAASTSSGVVERERSVVVQQERSVVEERRRQPVGEDEEEPEFRDIHALSPPPSYRRGRGESWGSAAGGSRHTSIRSVGSDTAPSEPFPTMSREFSAMVAAAATATANAAAAANGAGSDADRDVDAMGRIGEGDELEETNPLAIVPDSNPIPSPRRVPPTPGADVALAGTGAQGHGGGEGGVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASGWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSTKRSFF >PAN14992 pep chromosome:PHallii_v3.1:2:54990403:54991839:1 gene:PAHAL_2G456700 transcript:PAN14992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAVACGLVLSSLLAVSSTAEQFDFFYFVQQWPGSFCDTWRGCCFPDTGKPAADFGIHGLWPNYAQCHGGDDDASFFSIVGRRGKCWPEYCEREDGNALSPWEIRDLVASLGRNWPTLSCRSGDSFEFWSYEWKKHGTCSNLRPHDYFARALALKEAHNLTAILAAAGIVPSDTETYSLSSVSDAIAAATGATANLQCNRDKDGQTQLYQVFQCVDREAKKLIDCPLHMRSRCSGDRVKLPLF >PVH65201 pep chromosome:PHallii_v3.1:2:53996719:54004237:1 gene:PAHAL_2G439200 transcript:PVH65201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCLFNNVAVAANYLLNDRPDLGIKKILIVDWDVHHGNGTQKMFYNDPRVLFFSVHRFDYGSFYPSEGDGSHCFIGEESGKGYNINVPWEHGKCGDADYIAAWDHVLLPVTEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAKGRIVMALEGGYNLRSIANSVFACAKVLLGDKFTFSSPEMQPFESTWRVIQAVRDELKTYWPVLSSKLPENLSLRIKPSPSELYASSDSEPDGEDVDELSGALSSVNVIQFADDAISEHLSKMKLDEENLAVKTASSCSTAEHHPTDSVEVDIDGSVVLSKRISDLSLAWRSDLSRTHVWYASFGSNMWKPRFLCYIQGGKAEGMSIPCCGSRDTSSPRGTMWKTVPHRLLFGRSFTPCWGTGGVAFLNPEINYNEKSYVCMYKITLEQFNDILFQENRLVLEDGKNGNVVYPDSPLIGSYEVEFMSTNKAIHLEPIKDSWYSNVLYLGDEDELPILTMTCPSSDIERYKSGELPLAPPSKTYAATLIKGLVEGKQLDADGGASYINAAAARGL >PVH65202 pep chromosome:PHallii_v3.1:2:53996719:54004237:1 gene:PAHAL_2G439200 transcript:PVH65202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAPPAARAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLNAEGVASRCVVLKAKEAEDKYIASVHSNNHIKLMKEISSKKYDSSRNKIARKYNSIYFNKGSSESAVLAAGSVIEVAEKVAAGELRSAIALVRPPGHHAEHDEAMGFCLFNNVAVAANYLLNDRPDLGIKKILIVDWDVHHGNGTQKMFYNDPRVLFFSVHRFDYGSFYPSEGDGSHCFIGEESGKGYNINVPWEHGKCGDADYIAAWDHVLLPVTEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAKGRIVMALEGGYNLRSIANSVFACAKVLLGDKFTFSSPEMQPFESTWRVIQAVRDELKTYWPVLSSKLPENLSLRIKPSPSELYASSDSEPDGEDVDELSGALSSVNVIQFADDAISEHLSKMKLDEENLAVKTASSCSTAEHHPTDSVEVDIDGSVVLSKRISDLSLAWRSDLSRTHVWYASFGSNMWKPRFLCYIQGGKAEGMSIPCCGSRDTSSPRGTMWKTVPHRLLFGRSFTPCWGTGGVAFLNPEINYNEKSYVCMYKITLEQFNDILFQENRLVLEDGKNGNVVYPDSPLIGSYEVEFMSTNKAIHLEPIKDSWYSNVLYLGDEDELPILTMTCPSSDIERYKSGELPLAPPSKTYAATLIKGLVEGKQLDADGGASYINAAAARGL >PVH64712 pep chromosome:PHallii_v3.1:2:47957076:47960152:-1 gene:PAHAL_2G339700 transcript:PVH64712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSKTTGSFGHFCFQKNKIKYLFPVTGCSLGLRSRLLIHSSPPKLVFLLSLMPTMIAASPVRNLACSSRLSSCSDFTSGNSWRPIEAAKLHRTYSVSSIRISCAATKPAKTPAEVEWKVKRQLLAEKRVRSVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQSVDTKIGKDAKIIVACSTGGTLKPTQNFPDGKQSRSLIAAYLLVLNGYKNVYHLEGGLYTWFKEGLPSVEGEE >PAN10873 pep chromosome:PHallii_v3.1:2:10014256:10019220:1 gene:PAHAL_2G119700 transcript:PAN10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKAPRAFSIEELPGHLVGEVLTSGRLAAADLARLEATCRALRPLAEYAASKLCSARAAFAVMGPAARGELLERCGGSWKKVLRFLQSVEQSSGAVATSSGSMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVSFPSLSRVINISASHNHAAFVTESGEVFTCGDNSSLCCGHGEVGRTIFRPTQVEALKGVSCKQVATGLSFTVILTRDGQVYTCGSNTHGQLGHGDTIDRATPKIIELFEGPTQVVQIAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGHVYTWGRGYCGALGHGDENDKTSPELISSLKNQVAVQVCARKRKTFVLTDEGSVYAFGWMGFGSLGFPDRGSSDKVMKPRVLESLRDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGHEYIRVCLKPTEIMFQKSMEDIAIVTPSG >PAN13926 pep chromosome:PHallii_v3.1:2:49951564:49953978:1 gene:PAHAL_2G372700 transcript:PAN13926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPHHLLLGLLLLCLHAPPRPAAAADTVSPGNGLAGTAARLVSNNSKFALGFFKADSESPNTYLGIWFHRVPKLTPLWSANGDSPVVDPASPELAISGDGNLVILDRATRSVVWSTRANATTSRTVAVLLDDGNLVLRSAFNASDVFWQSFDHPTDTLFAGAKIGWSKRTGLNRRLVSRKNSVDQAPGLYSLELDLNGVGHLLWNSTVEYWSSGSWNGNYFGLAPEMIGAVMPSFKFVNNEEEAYFIYTLHNDTAIVHTAIDVSGRGLVGIWLDSLQDWLVNYRQPVAQCDVHATCGPFTVCNDAAHETCSCMRGFSVRSPMDWDLGDRTDGCMRNTPLGCAGEGNRTGLGDRFYPVPSVTLPYGPEKVQAATGEGDCEQACLGNCSCTAYSFGGGICSVWRGKLYNVKQQSGASSDGNGEVLYIRLATAEVAGVETKKSGRISTGVAIGAAVGASAAAAILMIILGLMVWRRKGKWFDSKLGNAQGGIGIIAFRYVDLQRATKNFSEKLGGGSFGSVFKGYLNDSVTLAVKRLDGAHQGEKQFRAEVNSVGIIQHINLVKLIGFCCEGDKRLLVYEYMPNHSLDVHLFKADEAVLDWNVRYQIAIGVARGLAYLHTSCRDCIIHCDIKPENILLDVSFVPKIADFGMAKVLGREFSHAMTTMRGTIGYLAPEWIGGTVVTSKVDVYSYGMVLFEIISGRRNSCPEYFKDGDYSTFFPMQAARKLLTGEIGSLLDAKLHGDVNLKEVERICKVACWCIQENELARPTMAEVVQVLEGLSELDMPPLPRLLNAVTGGSPTSLRH >PAN13724 pep chromosome:PHallii_v3.1:2:49178538:49181177:1 gene:PAHAL_2G360400 transcript:PAN13724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSKPDVPLFQLLTDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQAPKHLDELEIAAELDKLSSRLDNVDKMISSAMASDPEVKSLLSSTADIWMPVITASADERRCFAGTSSEGSQDEKENSKQ >PVH64832 pep chromosome:PHallii_v3.1:2:49453748:49454809:1 gene:PAHAL_2G364900 transcript:PVH64832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGGRRPPFQIRPAGGHRSQWKGRLRLRAEEGEGQSVDRISDLPDTILGDIVSRLPAKDGARTQVLSSRWRRIWRSAPLNLDLRSQPVPLGKVHRILSSHPGPGRCFSTPARYYNELHSHSRSRSAAILDGWLRSRALNNLQELDFRLDIPFRDRRNPPPLPASALRFSCTLRIARFWSCRFPDGISIRLPVLEELQLSHAIISDSESSLHALLAGCPALQRLLLTYNDGCSRVRIASPTLRSIDVGRGVGDLRLQQLIIEDAPCLERFHHHKAMSHHKMDICVMSAPKLGILGHIYDHYPRLEIGPTVFQVYASSSLLSSQESQVSFASSWCQPSDFSVYLAFSDIIRRE >PAN12506 pep chromosome:PHallii_v3.1:2:43306723:43311091:1 gene:PAHAL_2G269400 transcript:PAN12506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPATTCGARAGAFAPFPRHEAPPGSSRKPFPGPSPRAPGLRLVAPMASTVDSPGSSSDFAKRIERAWLISKQPKPISCSSCQSSGHVECKWCAGTGFFILGNNMLCEVPSRNTKCVICSGKGFASCADCQGTGFRAKWLEEPSVDK >PAN13433 pep chromosome:PHallii_v3.1:2:51188476:51188929:1 gene:PAHAL_2G392200 transcript:PAN13433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHVSLWSMRPRSLVIAGDMFRYFLIRKLNELDLCRVLRILRILSGEPYQNPSYSFS >PAN12091 pep chromosome:PHallii_v3.1:2:40784208:40787775:1 gene:PAHAL_2G241500 transcript:PAN12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEPPQRWAATYTKHVKQKRKAYHDGALLLYPASGRLVLLDDAGDTLESRFLRSSEEFSPGAALSFQAHLVNVGEPEDGPARYTSSSASASAGSRTSRRAGGARARPPSSERVFPMLVSRAFVNPSKSRGCGGGGGGDGEAAGSGGAEVLDSNFQEWTALYTAQLTQKAKKYRDGFVRLVQAGPHVQQIVLLDEYGQVLGCRHLMSGESIESGKKCHFPNYLIEICEAKNQKHGGEHTSEESMVHSRQKNGGNTCNKMRLGASSTSQKFVSPQKFHGLDDTKSKVTGKPESDKVEAMAADRPGSLTEADSGFKEWNALYTTQLTQKAKKYHDGILRLMQIGSHARQIVLLDEYGEVLGNRCLKSVESIESGTKCHLPNYLVEVCELRNQTNETKHSLKDALNQTGLRNQQNTSDKTSEKCRSPKFVSPFKSQDAQKSNWESTASSNRPQVGKTTCSNLDTPQNFHVHSDLQRRKSGCNVNRGSDYSESTFDFADIHRCSATNFLPDLGKSTSSRNGDPLQFHDVQDGKSGCPNSVIRREVDKSTFGNMDDSLRTGLYTFDYF >PAN12092 pep chromosome:PHallii_v3.1:2:40784208:40788923:1 gene:PAHAL_2G241500 transcript:PAN12092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEPPQRWAATYTKHVKQKRKAYHDGALLLYPASGRLVLLDDAGDTLESRFLRSSEEFSPGAALSFQAHLVNVGEPEDGPARYTSSSASASAGSRTSRRAGGARARPPSSERVFPMLVSRAFVNPSKSRGCGGGGGGDGEAAGSGGAEVLDSNFQEWTALYTAQLTQKAKKYRDGFVRLVQAGPHVQQIVLLDEYGQVLGCRHLMSGESIESGKKCHFPNYLIEICEAKNQKHGGEHTSEESMVHSRQKNGGNTCNKMRLGASSTSQKFVSPQKFHGLDDTKSKVTGKPESDKVEAMAADRPGSLTEADSGFKEWNALYTTQLTQKAKKYHDGILRLMQIGSHARQIVLLDEYGEVLGNRCLKSVESIESGTKCHLPNYLVEVCELRNQTNETKHSLKDALNQTGLRNQQNTSDKTSEKCRSPKFVSPFKSQDAQKSNWESTASSNRPQVGKTTCSNLDTPQNFHVHSDLQRRKSGCNVNRGSDYSESTFDFADIHRCSATNFLPDLGKSTSSRNGDPLQFHDVQDGKSGCPNSVIRREVDKSTFGNMDDSLRTASQILSIMKPPSETRISQSSQSGQAHYSLASSEARITLDASCRRNSMVDESNKNFYGSGTSGMSHFATQLRTSVQSCLTLETLPRMNSVRGHQWGKSSGNSHPNYDHQTL >PAN13913 pep chromosome:PHallii_v3.1:2:49899036:49903800:-1 gene:PAHAL_2G371800 transcript:PAN13913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKNLLKPRPTPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAAKRNDMGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTQKIQQASTSKAPEERQAVAEGVDDDEEDLEEIRARLAKVRS >PVH64189 pep chromosome:PHallii_v3.1:2:35632916:35637020:-1 gene:PAHAL_2G211600 transcript:PVH64189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPPRAFSYEAPDRLFIPAPSRNPHLNAAAADADFHCLDFADMNPRSGSVIDDWLLRAYDGGRLLLSYGFLEYEELAVYDPIARTADLVCRPDIIQFDFEFVAVHYALLVDEADASFRVVGAQFWNGRVRAAIFSSRTGQWAPLPFLRAPEAWRMRGGMRAGRFAYWQSNTRLERYIYSEEIERCLVLDTTTMEWTLIQVPFHAKESYCVADMAENGGLCLVASKDQVVQIWVRDNDGGWVIKKQISLLKQFGLLKRLRHDEWMKRVRILAVRDGCVYMEFWSIRKPNSYLLVLDWETMKLSVIANDTDDKYRGPAFPFFMTWAPPLLSPAEQQRLRLEGDRFERNVFVSG >PVH64188 pep chromosome:PHallii_v3.1:2:35632915:35637021:-1 gene:PAHAL_2G211600 transcript:PVH64188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPPRAFSYEAPDRLFIPAPSRNPHLNAAAADADFHCLDFADMNPRSGSVIDDWLLRAYDGGRLLLSYGFLEYEELAVYDPIARTADLVCRPDIIQFDFEFVAVHYALLVDEADASFRVVGAQFWNGRVRAAIFSSRTGQWAPLPFLRAPEAWRMRGGMRAGRFAYWQSNTRLERYIYSEEIERCLVLDTTTMEWTLIQVPFHAKESYCVADMAENGGLCLVASKDQVVQIWVRDNDGGWVIKKQISLLKQFGLLKRLRHDEWMKRVRILAVRDGCVYMEFWSIRKPNSYLLVLDWETMKLSVIANDTDDKYRGPAFPFFMTWAPPLLSPAEQQRLRLEGDRFERNG >PVH64616 pep chromosome:PHallii_v3.1:2:46432360:46438434:-1 gene:PAHAL_2G315400 transcript:PVH64616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPAPRRVCVTGGGGYIASWLVKLLLSRGYAVHATVRDPSDPKNAHLCRLDGAAGNLRLFKADVLDPDALAAAIAGCKGVFHAASPVPPSVKVADPESEVLAPAVKGTLNVLQACSANNVQKAVVVSSTSAVHFNSNWPQGKPKDESCWSDRNLCLKNEDWYMAAKTLAEGTALEYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYVIKGGPTVMNYMLWHIVDVRDVADALLLVYEKAESSGRYLCAPDRVSTKDLLNILKMTHPDYNYVNCDIGSDLKSIVTPITSEKLKNLGWKPRKIEETLFDSVEYYEKAGLLQDVEGCPCRLPHPFHMATDK >PVH64611 pep chromosome:PHallii_v3.1:2:46432700:46437140:-1 gene:PAHAL_2G315400 transcript:PVH64611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETVSGDPKNAHLCRLDGAAGNLRLFKADVLDPDALAAAIAGCKGVFHAASPVPPSVKVADPESEVLAPAVKGTLNVLQACSANNVQKAVVVSSTSAVHFNSNWPQGKPKDESCWSDRNLCLKNEDWYMAAKTLAEGTALEYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYVIKGGPTVMNYMLWHIVDVRDVADALLLVYEKAESSGRYLCAPDRVSTKDLLNILKMTHPDYNY >PVH64613 pep chromosome:PHallii_v3.1:2:46432504:46434042:-1 gene:PAHAL_2G315400 transcript:PVH64613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEVLAPAVKGTLNVLQACSANNVQKAVVVSSTSAVHFNSNWPQGKPKDESCWSDRNLCLKNEDWYMAAKTLAEGTALEYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYVIKGGPTVMNYMLWHIVDVRDVADALLLVYEKAESSGRYLCAPDRVSTKDLLNILKMTHPDYNYVNCDIGSDLKSIVTPITSEKLKNLGWKPRKIEETLFDSVEYYEKAGLLQDVEGCPCRLPHPFHMATDK >PVH64610 pep chromosome:PHallii_v3.1:2:46432504:46437140:-1 gene:PAHAL_2G315400 transcript:PVH64610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETVSGDPKNAHLCRLDGAAGNLRLFKADVLDPDALAAAIAGCKGVFHAASPVPPSVKVADPESEVLAPAVKGTLNVLQACSANNVQKAVVVSSTSAVHFNSNWPQGKPKDESCWSDRNLCLKNEDWYMAAKTLAEGTALEYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYVIKGGPTVMNYMLWHIVDVRDVADALLLVYEKAESSGRYLCAPDRVSTKDLLNILKMTHPDYNYVNCDIGSDLKSIVTPITSEKLKNLGWKPRKIEETLFDSVEYYEKAGLLQDVEGCPCRLPHPFHMATDK >PVH64615 pep chromosome:PHallii_v3.1:2:46432288:46438434:-1 gene:PAHAL_2G315400 transcript:PVH64615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPAPRRVCVTGGGGYIASWLVKLLLSRGYAVHATVRDPSDPKNAHLCRLDGAAGNLRLFKADVLDPDALAAAIAGCKGVFHAASPVPPSVKVADPESEVLAPAVKGTLNVLQACSANNVQKAVVVSSTSAVHFNSNWPQGKPKDESCWSDRNLCLKNEDWYMAAKTLAEGTALEYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYVIKGGPTVMNYMLWHIVDVRDVADALLLVYEKAESSGRYLCAPDRVSTKDLLNILKMTHPDYNY >PVH64612 pep chromosome:PHallii_v3.1:2:46432300:46434237:-1 gene:PAHAL_2G315400 transcript:PVH64612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEVLAPAVKGTLNVLQACSANNVQKAVVVSSTSAVHFNSNWPQGKPKDESCWSDRNLCLKNEDWYMAAKTLAEGTALEYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYVIKGGPTVMNYMLWHIVDVRDVADALLLVYEKAESSGRYLCAPDRVSTKDLLNILKMTHPDYNY >PVH64614 pep chromosome:PHallii_v3.1:2:46432300:46438434:-1 gene:PAHAL_2G315400 transcript:PVH64614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTLAEGTALEYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYVIKGGPTVMNYMLWHIVDVRDVADALLLVYEKAESSGRYLCAPDRVSTKDLLNILKMTHPDYNYVNCDIGSDLKSIVTPITSEKLKNLGWKPRKIEETLFDSVEYYEKAGLLQDVEGCPCRLPHPFHMATDK >PVH64498 pep chromosome:PHallii_v3.1:2:44544536:44546681:1 gene:PAHAL_2G286400 transcript:PVH64498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGSVALVLLVASAAACASPAASMFAGDVRVALRHVDAGKQLSRPELIRRAAQRSKARAAALSAVRGRGGGGARFSGASEQQQQAPRGTPVRPSGDLEYVVDLAIGTPPQHVSALLDTGSDLIWTQCAPCTSCLAQPDPVFAPAQSASYEPMRCAGPLCADILHHGCLRPDTCTYRYNYGDGTTTMGVYATERFTFTSSAGDVLNVPLGFGCGSMNVGSLNNGSGIVGFGRNPLSLVSQLNIRRFSYCLTPYASGRRSTLLFGSLADGVYGDATGPVQTTPLLQSPQNPTFYYVHLTGLTVGARQLRISESAFALQPDGSGGVIVDSGTALTLLPGAVLAEVVRAFRVQLRLPFANGSSPDDGVCFMVPEAWRRASSTTSQVPVPRMVFHFQGADLDLPRRNYVLDDHRRSRLCLLLADSGDDGSTIGNLLQQDMRVLYDLQAETLSFAPAQC >PAN13323 pep chromosome:PHallii_v3.1:2:50768468:50769056:-1 gene:PAHAL_2G385600 transcript:PAN13323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHTHHIDTTTKLDNHSCITNYILTQIELLPVSLLKALHALLVNCLNPKSRLPLLYNHYCIVVVAHIKLLINSI >PVH64300 pep chromosome:PHallii_v3.1:2:39201601:39203421:-1 gene:PAHAL_2G231700 transcript:PVH64300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHIKAMSRKLWRVVNDGYVILDSKNLSPLEEENEILNDQGVNVLFSALGVTEFNRVKSLTNAHDIWEKLMEIHEGTSSVKEAKLYLLKGNFSEFTMKKDESIAEMFNRLNDIVNDLKGLGFEVPDADFNHKFLRCLPERYDTIVTLLVRSNVKTATPTQILGEVLTHDMFKKSQDEAHGGEIDMKKKSVAFKAQDSKKEEESECQEEESDEEMALFVKRFNRMMNKKNFGKKGQSSRKNPFVNKTCFQCGEMGHISVNCPNKKNDKKDKKNDEKKKKKFIKKKKNGQPYFVEWDSDASSDDDDDDDDDDKPSKGVAGIAIKEAPSLFSTPHCLMAKGGAKVQQDDELDELSYDDLVEMLNDADEFMTKEKAKLKELKLKFTSLQDSYEELKTSHENLKETHEKLEEAHNALLNHERKATLSIGNCTNMKVISFDSSYILMRNSNGNVSAKFVGIPIDGAKKNAIWVPKVLVTNVQGPKKVWVPKRVVSLL >PAN10857 pep chromosome:PHallii_v3.1:2:9922877:9927867:1 gene:PAHAL_2G118500 transcript:PAN10857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIVLNSDFSEGLHLWQPNSCHAFVAVEGSGYHYGVRPHSGSSYAVLTNRTQSWQGLEQDITENVTLGTEYFITAYVRVHGEVHEPVGVKATLKFEEESSSTNYISIARILASQECWEKMEGSFNLTSIPRRLVFYLEGPPPGVDLLIDSVTISYKKTERSVSSTIGGTENIISNYDFSKGLHPWNPISCHAYVASQWSGFLDGIRGNTGANYAVVSKRTEHWQGLEQDITNRVSTGTAYVVSAFVRVDGNVQGQVEVKGTLRLQNTDGSTHYSPVGSVLASKEKWNKLEGSFSLTNVPKHVVFYLEGPPAGVDLIIDSVNITCSGHKQSKEVKVTSGVETVIKNPHFEEGLKNWSGRGCNICRHEYTAYGNVYPLNGSYFASATGRVHNWNGIQQEITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVGLAKNQASDKQWTHLKGRFLLHAPFTKAVIFIEGPPAGIDILVDGLVLSSARKLQAAPCPKIENVLYGANLLHNSAFTRGLAGWSPMGSCRLSIQTEAPHMLSSILKDRASQKHISGRYILATNRTEVWMGPSQVITDKLRLHVTYRVSAWVRAGTGGHGRHHVNVCLAVDNQWVNGGQVEADGDQWYEIKGAFKLEKQPSKVTAYVQGPPPGVDLRVMDLQIYPVDRKARFEYLKEKTDKVRKRDVVLKFQGSNAVNLLGSSVRIQQTENSFPFGSCIARHNIENEDFAEFFVKNFNWAVFENELKWYHTEAEQGRLNYKDSDELLEFCEKHKIQVRGHCLFWEVEDAVQPWVRSLQGHHLMAAIQNRLQSLLSRYKGRFRHHDVNNEMLHGSFYEDRLGRDIRAYMFREAHKLDPSAVLFVNDYNVEDGCDTKSTPEKFVEQVADLQERGAPVGGIGVQGHISHPVGEIICDSLDKLAILGLPIWITELDVTAENEHLRADDLEVSLREAFAHPAVGGIILWGFWEMFMFREHAHLVDADGTINEAGRRYLALKQEWLTRVNGNVNHQGEFNFRGYHGSYTMEVDTPLGKVVRSFVVDEHSPVQVITLNI >PAN15398 pep chromosome:PHallii_v3.1:2:56734259:56739042:-1 gene:PAHAL_2G485100 transcript:PAN15398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAVAAAAFPFRLFSAEARRNTRSVRGKRSAARPLKSSPQPPPRPPSSSVGGGGAAATTFTRLPLRDAPESVEVTLDRFPTAQANPEAPAPAPTHGSSVQRLDEDDEEEFEVGVGATSFAQIPLRDSPDGVELTIGQFEARAAGRKSPGGRGFARQTVEHLDGDGDEELVVNSRDVFEVKRGRKALALVPEVLDDDDDVVVFDPDYGVDSDDDEEDMFPIKQSQKAGATPRTELGELEYDDEEDDDDEVVVFHPDYDDEEAFEDDDYDGEEETEEEGEEAKGKGVPAVMRCFDTAKIFAKAGDGGNGVVAFRREKYVPYGGPSGGDGGRGGDVYVQVDGEMNSLLPFRKSVHFRAGRGAHGMGQQQAGAKGEDVVVKVPPGTVVRTSDGGVELLELMKPGQRALLLPGGRGGRGNAAFKSGTNKVPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGYEFLRHSERCSVLVHVVDGSAQQPDYEFEAVRLELELFSPSLVDKPYVVVYNKMDLPEASERWNTFREKLQAQGIEPYCISAINRQGTQDVIHAAYKLLQKERQRIRETEDWSGPENLNHVSDAIKKERRAPMNEFEIFHDKGTKTWTVVGAGIERFVQMTNWQYSDSLKRFQHALEACGVNRTLIRRGVKEGDTVIIGEMEMVWNDEPKSNRPSNTMNTKDDTVRWPEFG >PAN12921 pep chromosome:PHallii_v3.1:2:45599357:45603846:1 gene:PAHAL_2G301500 transcript:PAN12921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPWPRTAGTAPAPPPEAAAAAAAATAAANPAPTSEQHLVKEGGNAADAAVPQQQEEEAKPHLARDDDSEAVIQEHEQKINRYQAILAARLRAKFFSKKAFDGGNIFEAETIVEGEIIQSSRWPCTRSFANPEFFCRDKNSHEKGNSPSSAADSSAKNSSPLAGDVSPKNNASALATENNLTPGKRQQSKKT >PAN12922 pep chromosome:PHallii_v3.1:2:45599325:45603535:1 gene:PAHAL_2G301500 transcript:PAN12922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPWPRTAGTAPAPPPEAAAAAAAATAAANPAPTSEQHLVKEGGNAADAAVPQQQEEEAKPHLARDDDSEAVIQEHEQKINRYQAILAARLRAKFFSKKAFDGGNIFEAETIVEGEIIQSSRWPCTRSFANPEFFCRDKNSHEKGNSPSSAADSSAKNSSPLAGCI >PVH64043 pep chromosome:PHallii_v3.1:2:23918631:23921590:1 gene:PAHAL_2G172700 transcript:PVH64043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKMVKLYSQRAWGGSAGGGADGLCELLLFSQRMPANTLLDMLLSRGLRCYRWQMPAIRSLQIVPVFLSANGSVLWLLIFAIEISGAWRC >PVH63593 pep chromosome:PHallii_v3.1:2:4681533:4682926:1 gene:PAHAL_2G063400 transcript:PVH63593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWWHAVPAPHHRHGGPRCDAPGKDAGRTYRLVFHQRHRDLVVDSYLPHVCREGRAIMEANRRRKLFTNAGERYGKSSWKRVAFKHPSTFATLAMDPAKKREIMDDLDAFRKGKEYYRRIGKAWKRGYLLYGPPGTGKSSMIAAMANYLDYDIYDIELTSVSTNTELRRMFIDTKGKSIIVIEDIDCSLDLTGKRRSRQQPLPGPADDDADAPPPEPTSIGRVTLSGLLNFSDGLWSACGGERVIVFTTNHAERLDPALIRRGRMDKHIEMSYCCFESFRFLARNYLALDAHPLFDDVRAQLQEVDITPANVAEILTPKRAGDDEGSCLAGLVEALREAAAAAKNATSNNIQEDGEVVEDE >PVH64975 pep chromosome:PHallii_v3.1:2:51393359:51396525:1 gene:PAHAL_2G395300 transcript:PVH64975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASPELASVTNDDGVSVLYLAVTLESRRMVRALLRRSPDGAPSPASFTGPEGRTAMHVAAACCKEVVMDILAWKPEGPTLLTKVDSSGKSPLHFAVLHYNLDAVELLLNVEASLVRISDKDGLYPLHAAAMVGSTRIIDELITKCHDYYEMVDDKGRNFLHCAVEHARDKVVDHICRNDKFDMLLNATDDEGNTPLHLAVKHGHPRIVTLLLQTMSVKTCIINKNGLTVLDLAHEEVLSGSNYFLDPHMIVFNCLCSSEPNLYTVEGIQLLRVKDRPATETEASMKEDGMTKTGTIASVLIATVAFAAAFTVPGGFIADDHPNAGTAILARKFAFRAFVVSDTMAFVCSIVATSFHIYGGAWEIPPNHRSRYNRLASGLVPVGVQFMVAAFAFGLHLVLGAADLGLIILVYIVSSASVLFCFPSIWVPLHLGVGKAIWRRAGWRGLADMHYSPSNLRDLFDCFIYSFLFQNIRRPFFAVLICAMFIVAIVLEITLPNY >PVH64976 pep chromosome:PHallii_v3.1:2:51394297:51396526:1 gene:PAHAL_2G395300 transcript:PVH64976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILAWKPEGPTLLTKVDSSGKSPLHFAVLHYNLDAVELLLNVEASLVRISDKDGLYPLHAAAMVGSTRIIDELITKCHDYYEMVDDKGRNFLHCAVEHARDKVVDHICRNDKFDMLLNATDDEGNTPLHLAVKHGHPRIVTLLLQTMSVKTCIINKNGLTVLDLAHEEVLSGSNYFLDPHMIVFNCLCSSEPNLYTVEGIQLLRVKDRPATETEASMKEDGMTKTGTIASVLIATVAFAAAFTVPGGFIADDHPNAGTAILARKFAFRAFVVSDTMAFVCSIVATSFHIYGGAWEIPPNHRSRYNRLASGLVPVGVQFMVAAFAFGLHLVLGAADLGLIILVYIVSSASVLFCFPSIWVPLHLGVGKAIWRRAGWRGLADMHYSPSNLRDLFDCFIYSFLFQNIRRPFFAVLICAMFIVAIVLEITLPNY >PAN09873 pep chromosome:PHallii_v3.1:2:4078471:4084334:1 gene:PAHAL_2G055400 transcript:PAN09873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKSTSDFESSPTRSPGKMPFRTSPPQTPPPPAMQPLSASATATRFAAHWVADALAGDETLEFSVLKALVGASPESLSGAPEATRKRVAIRCLEEVSAVIAAGGDAAATEKVLRVDDARSCEDLLLQLIGEVRNSGNLEKDLLPPFSQDIQNIIRIKKPTLPQTSFELLREVDPDIKSMAPSSQLEQNGTTQLHNDQSPCSSNDHVNIEKPRLPTDNGELQQEALVNLVDESDSRSIEKDSVAPTSVLHQPCTSDSKCCYPLQEDAIGAASLGPRSQERSPIVEGKISVETVPASASCDAALQGSITGPLSKHVMKYHTTMVQRQPNRETSPSPPHYIDGERPYDDSTSDLPSKDPRHEELSVHTTVNPDIDRSSDALPTNASKPEFVTTQDTTMISQPHSSGTHLSTLQNLSGERVNQHLDDVSASIEPVEKDHVYEELTLQAASALPSISCNGDIQGGKSETNHQSGNTAEHTMVCEQQNVDRSHLEISSSNKLNQALHDGSIQENNVANGGPNAQIAPRSQTCNVTLHDKISEADYLSEENTGKNRTDVLKCGCSASVPSSAQDGDGKGATKILNRESFGDTSVEVSVPCSDYSLHGTAAAGLLAMTDKMPFCTKDQDINDSLGDLSQLDLCIKCGKDGQLLKCSSCLLTAHDSCFGSSATFEDTGLFYCPVCFYTKATEAYKKAKKTYCEARKSLAAFLGTTHLVRQHDEQPTGALPGAANRQGHSNGCDSPKRKNIDQNEADNLTHQDEEPNQKRKKQKINATSNCYPEQVVTEKVPFPSFDVAPVNKHTILKNNSSKRVQGAEKWQQVENKEARKEAGNDNSSHETRSLSQQKCGPANEEVEADREDDLANSHQPDDTDKLEATSSNDSGNRSSPPWHNMRHSKARLHVKETMASSSSRKTAQKDQHMPSSSRQRNYAYQQKRYSNPVAPSGRRSKLCWTEEEEEALKEAMAKFTPQDDTPIPWVHILEYGRDVFHRTRLPSDLRVKWRNMKKRTGY >PVH64479 pep chromosome:PHallii_v3.1:2:44076817:44078748:1 gene:PAHAL_2G279900 transcript:PVH64479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGVEPDLVTYNTLVYALSRAGMVAKARAFLDTMAAQGHFPDVITYTLLMNGMCVQGDALGALRLLEEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVEVYKSMVGAGMKMEAPAYATFARALCRSGSVADAYEVFDYAIETKSFSEVTAYSELENSLLLVLASPFI >PAN11108 pep chromosome:PHallii_v3.1:2:13425573:13431424:-1 gene:PAHAL_2G141400 transcript:PAN11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MSATRGRSGGGATTSGCGLKRRNAPQDQEDSKMMNQDQPSTEDWCGRFWNGMELLKDVTEKKHFLPTGLEGIDTLLGGGLRQGQLTEITGPSSSGKTQVCLHCASNIAAKQMGVVMYLDTSNSFSPSRVATIIYGISDLFGQRGFELQPKDARLKSVMRSIICESVFDIFALFEVLHQLEVSLLNDKVNNGGSKVCLLIIDSISSLLAPIIGGKYPQGRSMMISVAVILKKLADEHNLSVLVTNHMVSAGNGAVKPALGESWKAVPHVRLMLSRECQNNICTATVLKHTLMASGRTAKFAAPS >PAN11105 pep chromosome:PHallii_v3.1:2:13425573:13430829:-1 gene:PAHAL_2G141400 transcript:PAN11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MMNQDQPSTEDWCGRFWNGMELLKDVTEKKHFLPTGLEGIDTLLGGGLRQGQLTEITGPSSSGKTQVCLHCASNIAAKQMGVVMYLDTSNSFSPSRVATIIYGISDLFGQRGFELQPKDARLKSVMRSIICESVFDIFALFEVLHQLEVSLLNDKVNNGGSKVCLLIIDSISSLLAPIIGGKYPQGRSMMISVAVILKKLADEHNLSVLVTNHMVSAGNGAVKPALGESWKAVPHVRLMLSRECQNNICTATVLKHTLMASGRTAKFAAPS >PAN11106 pep chromosome:PHallii_v3.1:2:13425044:13431827:-1 gene:PAHAL_2G141400 transcript:PAN11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MGVVMYLDTSNSFSPSRVATIIYGISDLFGQRGFELQPKDARLKSVMRSIICESVFDIFALFEVLHQLEVSLLNDKVNNGGSKVCLLIIDSISSLLAPIIGGKYPQGRSMMISVAVILKKLADEHNLSVLVTNHMVSAGNGAVKPALGESWKAVPHVRLMLSRECQNNICTATVLKHTLMASGRTAKFAAPS >PAN11109 pep chromosome:PHallii_v3.1:2:13425044:13431827:-1 gene:PAHAL_2G141400 transcript:PAN11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MSATRGRSGGGATTSGCGLKRRNAPQDQEDSKMMNQDQPSTEDWCGRFWNGMELLKDVTEKKHFLPTGLEGIDTLLGGGLRQGQLTEITGPSSSGKTQVCLHCASNIAAKQMGVVMYLDTSNSFSPSRVATIIYGISDLFGQRGFELQPKDARLKSVMRSIICESVFDIFALFEVLHQLEVSLLNDKVNNGGSKVCLLIIDSISSLLAPIIGGKYPQGNQSYGFCWQWSCQACSWRELESCSTCPPDVVS >PAN12237 pep chromosome:PHallii_v3.1:2:41701928:41706454:1 gene:PAHAL_2G252000 transcript:PAN12237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPKADELQPHPPKEQLAGVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVVQTILFVAGINTLLQTFFGTRLPVVMGGSYIFVAPTISIILAGRYSNETDPREKFLRTMRGTQGALIIASTIQIILGFSGLWRNVLKLLSPLSAVPLISLVGFGLYELGFPGVAKCVEVGLPELLLLVVFSQYLPQVLHFGKDVFGRFGVLFTVPIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLVGGAPWISVPYPFQWGPPTFDAGEAFAMMMTSFIALVESTGAFIGASRYASATMIPPSIISRGIGWQGIGILLDSFFGTANGTSVSVENIGLLALTRVGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCLFFAYVGGVGLSFLQFCNLNSFRTKFIMGFAFFMGLSVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYVLDNTIQVKDARKDRGYHWWDKSRSYKKDARSTEFYSLPFNLNKFFPSV >PAN12670 pep chromosome:PHallii_v3.1:2:44291412:44292902:1 gene:PAHAL_2G282600 transcript:PAN12670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRDHCHGGKHPPPLPPPRRARGERRQASASSGSFSASLLDAIYRSLDEGGGGGAGADVADAAPATAQFWWAKDAATKPRHSSSSDRDRRRREAAVVVARPRHSGYASSTTSSSDSSASYSSFSCSSASTTDTESTNRRHSPPPPRMPEEPVAADAEEATPPPKSKGKKKSRPCFPVARIRPRASVPPSSGPQPPSPATFASALKALFSSARLQRKPKKPAATPQPPVSPPPPQPPCKSAMSTVKAVDAPAEPSEPRTVRFRPDAEASVVRRRVEELVRGLEELEADEEGSDASSDLFELESLRGAGADELPVYGTTSLVANRAIAQGAAC >PVH65200 pep chromosome:PHallii_v3.1:2:53988871:53993283:-1 gene:PAHAL_2G439100 transcript:PVH65200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLTSSSSSLRALPPPLAAPSRARVRVAASAAASAPDGAGATASGFPSFLPRAVERIRDGAAIRLAKRIERVPVQTGFSGSPILSSCVRPLKQQQDADPIVLLHGFDSSCLEWRYTYPLLEEAGLEAWAVDILGWGFSDLATRPPCDVASKREHLYQFWKSYIKRPMVLVGPSLGAAVAIDFSINHPEAVSKLILIGASVYSEGPKDMTRMPKFVPYAGVFILKSLPLRFLATRLAFNKTPNEVFDWVQIGRLHCLHPWWEDATVDFMIRGGYNVIKQIKQVKHKCLIMWGEDDGIISSKLAYKLHQELPDAILRQVRQCGHIPHVEKPREAAKLVLEFLERDRAEKADEASSVTPVLTNG >PAN14132 pep chromosome:PHallii_v3.1:2:50806720:50813272:1 gene:PAHAL_2G386200 transcript:PAN14132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRAGNPSSGSSRGRRRRRDEEDEDELSSNTTSDSDFVADSEEEVGDDDEGFASDEDAPPAPAPAPAMAAPPPPPMLMPVPNRARNRGGQRRRGKKARDEDLPPLPWQVWEAANDRWLDEREKPPGHGGPPDDAGAAAAGAGAPVPTADPAPEVVLPLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQAISLVLTARRLRPPGHHHSAASSSSSSAGRPMRQVGCTLVVCPVVAVIQWTEEIERHTASGSARVLIYHGAKRGTKKFDFNNYDFVVTTYSTIEADYRKHIMPPKIRCQYCDKLFYPNKLKVHLKYFCGPDAVRTEKQAKQQSKKWGGSRGKGKGSGHKKDGDEENKDFDELADEPVSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTARAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTSMKKQCDCGHSSVRHFCWWNKYIARPIQWGSASDEGKRAMMLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSVTQFDSYVVAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAGPREGFKNEGNESMESQCGICHNVAEDVVVTSCDHAFCKTCLMDYSATLGNVSCPSCSVPLTVDLTTKGSVGKVTPSVKGRKRSGILSRLASLEDFKTSTKIDALREEIRNMIEHDGSAKGIVFSQFTSFLDLIQFSLEKSGIKCVQLNGAMNITEKGRAIDNFTNDPDCRIFLMSLKAGGVALNLTVASHVFVMDPWWNPAVESQAQDRIHRIGQFKPIKSTRFVIKDTVEERILQLQEKKQLVFEGTVGDSPDAMSKLTEADLKFLFQI >PAN15004 pep chromosome:PHallii_v3.1:2:55016521:55017632:1 gene:PAHAL_2G457200 transcript:PAN15004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANDGDRAAAGILRLLLGLAGAPSAALPRGGGPGLVVVQHFILDSDGDLFSGGVGSGVPPASKAAIAALKEVKAGEVEGGGPLGDCAICLDSVEDAGKEMPCGHRFHGECLERWLGVHGNCPTCRHELPPAKEDGAAAEGGEERRRPRTAVVVSYMVLGGQREEAQQQPEPEREEPWTIRIEDVD >PAN09483 pep chromosome:PHallii_v3.1:2:1947756:1949405:-1 gene:PAHAL_2G026900 transcript:PAN09483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRMSRRRALRLPPLRNRAPVSRRRRALGLPPPSRVDVVMELNPIMLGRWIRVRLKICR >PAN09348 pep chromosome:PHallii_v3.1:2:1100822:1102424:1 gene:PAHAL_2G016200 transcript:PAN09348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANKNPAVNVVGTDVEVDLYPFLLGYKDGRVERLLSSRFVPASENPTANRGVATRDVVVDHGTGVSARLFLPTRAAMAGRRLPIVVYVHGGSFCTESAFCRTYHGYATSLAASAGAIVVSVEYRLAPEHPIPAAYDDAWSALKWVASLADPWLADYADPERTFLAGDSAGGNIAYHTAVRASRAGGVGVGVGIDGVIIVQPYFWGAERLPSEAVCDGAAVLPAHRVDWLWPFVTAGQAGNEDPRLNPPDEEIASLTCRRVLVAVAEKDTLRERGCRLFARIRDYYARTGGEATLVESEGEDHGFHLYSPLRATSRRLMESIVRFINQPPAPELDGGLLWHALDGKRIRRSSTMTAPELIILGVPSRPFRDIFGYGMDMKHQHCSGSSSTTCMAYGTSKIGGGRGKAATSKKANYGLFTGPVRPNKAYKGPAAAALPGGTQAVKSYL >PAN14454 pep chromosome:PHallii_v3.1:2:52342982:52345515:-1 gene:PAHAL_2G411500 transcript:PAN14454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEGGSDPNPSPTPTQSNLSTRLFCSFDDWAGCARINRSRMSPPPRREGDRDAAITCNCSKRRRLARGGSDGGDDGEDVRPWASLAQDLVELIAWRVLAGDLLDYKRPPPRRLLPRDQEHPPPARSQPCGSALPPATVDDIARRPRALPGPPQPRRVRAFLQPHHHQSQVRPRPPTALRRPRRPRFGRWSPAAAAAPGAWHHHLPPPPLHRRHRRVPTAVIPSAADGALPLHERGVQSQRAPALPLGTLCRRHRGCRRDHGITVVIAFGPRRRVAHATAGDQRWTLSARKLPFLLVPPISFQGKVYAMSSKSLGERNVRIRLIRLRRVQRVRTCYLICSQQRGLLSSAQWSQLWQQATW >PAN13815 pep chromosome:PHallii_v3.1:2:49510287:49511274:-1 gene:PAHAL_2G365600 transcript:PAN13815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSSSPASRTRLLLLLVAAAAAAAACCMALAGACAVQRPPPSSPVLGVGSSLVAARANHGYPAGGDLPGGGAAAEQDYGFVDPTPDTRRRGGTAPIPHD >PVH65121 pep chromosome:PHallii_v3.1:2:53317893:53318883:-1 gene:PAHAL_2G428400 transcript:PVH65121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQVGLPRWRRRDGLLGAACVCVVGCGARLRGEGDDDARGDSGWAVGCGYVRACEGRGREEGRGAKRVSEGEPTRQQAAEAGGIGWAPVSPRRARCSVAARPMFEQRRKGWKRAGAH >PAN09738 pep chromosome:PHallii_v3.1:2:3503063:3506136:-1 gene:PAHAL_2G047500 transcript:PAN09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVMMASMSSLAFATAGARAGVLPVPVPAAALAPRRRAMVVRAQAEDARPTTEETTAASSSSPSPAPSTPLTAKPKAASPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGTGLAWFAATAAVLSVASLVPVLRGESAEGRSGGVMSADAELWNGRFAMLGLVALAVTEYITGAPFVNV >PAN14751 pep chromosome:PHallii_v3.1:2:54181751:54183364:1 gene:PAHAL_2G442100 transcript:PAN14751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPDPPPRPGKPTLPWRARLLVGGASALHGASFRGDGTVNRFLVSLFDRPAPPTPAPVAGVASTDHAVSDHLRVRLFTPTTAAGDEDSKQRPVPVVVYFHGGGFVFHSAATAQYDELCRRLASGIPAVIASVDYRLAPEHRFPAPYDDGEAALRWVLADAGGALPSPPAAVFVAGDSAGGNVAHHVSARLPAGVAGLVALQPFFGGEAPTESELRLRDAPFGAPGRLAWLWRAFLPPGATRDHEAANVPDAIRRDADAGDGRWRAFPPAVVCVGGWDAHQDRQRAYAGALRAAGAEDVAVAEYPDAIHAFYLLGELADSRKLLGDVAEFVNRRAEQLEKRALDQARD >PAN10052 pep chromosome:PHallii_v3.1:2:5071927:5077524:1 gene:PAHAL_2G069100 transcript:PAN10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT3G04870) UniProtKB/Swiss-Prot;Acc:Q38893] MASVAATSTLAPALAARRRRARPGAAPLPPRRAAVVRCSLESNVSNMGVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRQGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGAVGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVQALVDPDGALQQVRDLDDVSFSDWFMSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYEKLPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWREWEMFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLEKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVVELFPSARGLEVTWSSVVKIGQSLYREAPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLVIDDSEKALGNVQVLQTS >PVH65291 pep chromosome:PHallii_v3.1:2:54843965:54847885:1 gene:PAHAL_2G454300 transcript:PVH65291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHRAGLRLAPGAGCSRMGGRQLRDAEVFFYELNYKVLTVFMLMLYPPVMVMSLAARNGSIFWLFRLDPFGSDEDVVEQPLVSPAAHLHPDKTRQHRPAAHVHGVPPPPRPHPTEAPARLVRASGALAVSTTYFAHYATLPSTIGVHPAEKVAAAAASLILSVFTTILVLWE >PVH65011 pep chromosome:PHallii_v3.1:2:51797923:51799081:-1 gene:PAHAL_2G402000 transcript:PVH65011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVRIKRAKGAVKFKVRCSRYLYTLCVHDADKANKLKQSLPPGLSVQEI >PAN09931 pep chromosome:PHallii_v3.1:2:4362925:4364835:-1 gene:PAHAL_2G059300 transcript:PAN09931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDAGAGSSPAAPPSGNPLRVILTRGFARQVLAGRWFTVFASLLIMAASGATYIFGAYSGALKSSLGYDQRALNTVSFAKDLGANLGVLSGLLNEVTPPWVVLAAGAAMNLAGYLMVYLAVSGRTARPPLGLLCLYVFAGANSQSFANTGALVTCVKNFPESRGVVIGILKGFVGLSGAVYTQLYLAFYGGEDPESLILLIAWLPAAVSVVFVYTVRYLPYPRRRGGQETSTDPFFCFLYLSIALACFLLVMIVVRGQVHFSRAACGVAAMPLLILLLMPLCVVIKQEYKIYRERQLDDALRAAGPPPTITVVDAASNGVQMSTDTKKTELQPDAAPAPPTSSPSSCLGRFGRCVRTMFRPPARGEDHTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPPRSINTFVSLISIWNYAGRVTAGYASEAVLSRHRVPRPLLLTLVLLLACAGHLLIAFGVPRSLYAASVVIGFCFGAQWPLVFAVISEVFGLKYYSTLYNFGGMASPVGSYLLNVRVAGRLYDAEAARQRGTAVGGGGGGGAACLGVECFRRSFLIIAAATALGAAVSLVLVWRTWGFYRGDIYARFREEEGEKKDGGRLPVGQPQWPGAEAQEEEGEAAVNGGKG >PAN09934 pep chromosome:PHallii_v3.1:2:4362925:4364835:-1 gene:PAHAL_2G059300 transcript:PAN09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDAGAGSSPAAPPSGNPLRVILTRGFARQVLAGRWFTVFASLLIMAASGATYIFGAYSGALKSSLGYDQRALNTVSFAKDLGANLGVLSGLLNEVTPPWVVLAAGAAMNLAGYLMVYLAVSGRTARPPLGLLCLYVFAGANSQSFANTGALVTCVKNFPESRGVVIGILKGFVGLSGAVYTQLYLAFYGGEDPESLILLIAWLPAAVSVVFVYTVRYLPYPRRRGGQETSTDPFFCFLYLSIALACFLLVMIVVRGQEYKIYRERQLDDALRAAGPPPTITVVDAASNGVQMSTDTKKTELQPDAAPAPPTSSPSSCLGRFGRCVRTMFRPPARGEDHTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPPRSINTFVSLISIWNYAGRVTAGYASEAVLSRHRVPRPLLLTLVLLLACAGHLLIAFGVPRSLYAASVVIGFCFGAQWPLVFAVISEVFGLKYYSTLYNFGGMASPVGSYLLNVRVAGRLYDAEAARQRGTAVGGGGGGGAACLGVECFRRSFLIIAAATALGAAVSLVLVWRTWGFYRGDIYARFREEEGEKKDGGRLPVGQPQWPGAEAQEEEGEAAVNGGKG >PAN09932 pep chromosome:PHallii_v3.1:2:4362157:4364976:-1 gene:PAHAL_2G059300 transcript:PAN09932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDAGAGSSPAAPPSGNPLRVILTRGFARQVLAGRWFTVFASLLIMAASGATYIFGAYSGALKSSLGYDQRALNTVSFAKDLGANLGVLSGLLNEVTPPWVVLAAGAAMNLAGYLMVYLAVSGRTARPPLGLLCLYVFAGANSQSFANTGALVTCVKNFPESRGVVIGILKGFVGLSGAVYTQLYLAFYGGEDPESLILLIAWLPAAVSVVFVYTVRYLPYPRRRGGQETSTDPFFCFLYLSIALACFLLVMIVVRGQEYKIYRERQLDDALRAAGPPPTITVVDAASNGVQMSTDTKKTELQPDAAPAPPTSSPSSCLGRFGRCVRTMFRPPARGEDHTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPPRSINTFVSLISIWNYAGRVTAGYASEAVLSRHRVPRPLLLTLVLLLACAGHLLIAFGVPRSLYAASVVIGFCFGAQWPLVFAVISEVFGLKYYSTLYNFGGMASPVGSYLLNVRVAGRLYDAEAARQRGTAVGGGGGGGAACLGVECFRRSFLIIAAATALGAAVSLVLVWRTWGFYRGDIYARFREEEGEKKDGGRLPVGQPQWPGAEAQEEEGEAAVNGGKG >PAN09930 pep chromosome:PHallii_v3.1:2:4362157:4364975:-1 gene:PAHAL_2G059300 transcript:PAN09930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDAGAGSSPAAPPSGNPLRVILTRGFARQVLAGRWFTVFASLLIMAASGATYIFGAYSGALKSSLGYDQRALNTVSFAKDLGANLGVLSGLLNEVTPPWVVLAAGAAMNLAGYLMVYLAVSGRTARPPLGLLCLYVFAGANSQSFANTGALVTCVKNFPESRGVVIGILKGFVGLSGAVYTQLYLAFYGGEDPESLILLIAWLPAAVSVVFVYTVRYLPYPRRRGGQETSTDPFFCFLYLSIALACFLLVMIVVRGQEYKIYRERQLDDALRAAGPPPTITVVDAASNGVQMSTDTKKTELQPDAAPAPPTSSPSSCLGRFGRCVRTMFRPPARGEDHTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPPRSINTFVSLISIWNYAGRVTAGYASEAVLSRHRVPRPLLLTLVLLLACAGHLLIAFGVPRSLYAASVVIGFCFGAQWPLVFAVISEVFGLKYYSTLYNFGGMASPVGSYLLNVRVAGRLYDAEAARQRGTAVGGGGGGGAACLGVECFRRSFLIIAAATALGAAVSLVLVWRTWGFYRGDIYARFREEEGEKKDGGRLPVGQPQWPGAEAQEEEGEAAVNGGKG >PAN09933 pep chromosome:PHallii_v3.1:2:4362156:4364976:-1 gene:PAHAL_2G059300 transcript:PAN09933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDAGAGSSPAAPPSGNPLRVILTRGFARQVLAGRWFTVFASLLIMAASGATYIFGAYSGALKSSLGYDQRALNTVSFAKDLGANLGVLSGLLNEVTPPWVVLAAGAAMNLAGYLMVYLAVSGRTARPPLGLLCLYVFAGANSQSFANTGALVTCVKNFPESRGVVIGILKGFVGLSGAVYTQLYLAFYGGEDPESLILLIAWLPAAVSVVFVYTVRYLPYPRRRGGQETSTDPFFCFLYLSIALACFLLVMIVVRGQVHFSRAACGVAAMPLLILLLMPLCVVIKQEYKIYRERQLDDALRAAGPPPTITVVDAASNGVQMSTDTKKTELQPDAAPAPPTSSPSSCLGRFGRCVRTMFRPPARGEDHTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPPRSINTFVSLISIWNYAGRVTAGYASEAVLSRHRVPRPLLLTLVLLLACAGHLLIAFGVPRSLYAASVVIGFCFGAQWPLVFAVISEVFGLKYYSTLYNFGGMASPVGSYLLNVRVAGRLYDAEAARQRGTAVGGGGGGGAACLGVECFRRSFLIIAAATALGAAVSLVLVWRTWGFYRGDIYARFREEEGEKKDGGRLPVGQPQWPGAEAQEEEGEAAVNGGKG >PVH64914 pep chromosome:PHallii_v3.1:2:50612379:50613830:-1 gene:PAHAL_2G383400 transcript:PVH64914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNMDVPIEPVSPAAIASFHHQPTEDPEVQEVDRSQVSNNKGKKKVAQRGKSFSKEEDRALCSAFLHVSTDAIIGTNQTAAGYYARMHQHFKENVEVSCKRTQVSIENRWTTIQKAVNKFCGFYAAIERRNESGKNEQDRINDAVRMYEETEPWQFHHCWVILRGEPKWHEKMVECNSGQKVNRKQSEIEINSLQTDSTFPERPEGRDSAKKKARVMADTSSSSTAVEMLQKMHERGEKNDEKEDELRQEMFQMERERLDLQKLNWEKKWAAWEKKWAVMESNAKLRQNEYELNQWNADLIVMSQDLDKLAPPLRAMYEQKQMEIMKRRGINTPPTSES >PVH64916 pep chromosome:PHallii_v3.1:2:50612539:50613903:-1 gene:PAHAL_2G383400 transcript:PVH64916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQFNTQEWTLPMSSTMKELEKYNLTGAFTGSMHNMDVPIEPVSPAAIASFHHQPTEDPEVQEVDRSQVSNNKGKKKVAQRGKSFSKEEDRALCSAFLHVSTDAIIGTNQTAAGYYARMHQHFKENVEVSCKRTQVSIENRWTTIQKAVNKFCGFYAAIERRNESGKNEQDRINDAVRMYEETEPWQFHHCWVILRGEPKWHEKMVECNSGQKVNRKQSEIEINSLQTDSTFPERPEGRDSAKKKARVMADTSSSSTAVEMLQKMHERGEKNDEKEDELRQEMFQMERERLDLQKLNWEKKWAAWEKKWAVMESNAKLRQNEYELNQWNADLIVMSQDLDKLAPPLRAMYEQKQMEIMKRRGINTPPTSES >PVH64915 pep chromosome:PHallii_v3.1:2:50612379:50613944:-1 gene:PAHAL_2G383400 transcript:PVH64915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQFNTQEWTLPMSSTMKELEKPVSPAAIASFHHQPTEDPEVQEVDRSQVSNNKGKKKVAQRGKSFSKEEDRALCSAFLHVSTDAIIGTNQTAAGYYARMHQHFKENVEVSCKRTQVSIENRWTTIQKAVNKFCGFYAAIERRNESGKNEQDRINDAVRMYEETEPWQFHHCWVILRGEPKWHEKMVECNSGQKVNRKQSEIEINSLQTDSTFPERPEGRDSAKKKARVMADTSSSSTAVEMLQKMHERGEKNDEKEDELRQEMFQMERERLDLQKLNWEKKWAAWEKKWAVMESNAKLRQNEYELNQWNADLIVMSQDLDKLAPPLRAMYEQKQMEIMKRRGINTPPTSES >PAN09496 pep chromosome:PHallii_v3.1:2:2005952:2006482:-1 gene:PAHAL_2G028000 transcript:PAN09496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRGAPPCSDAGGGGLVDGSGGARLFPCLFCSKTFLKSQALGGHQNAHKKERVAGSGSWNPCGTSSYAATLELDALAAAGISALPATSTLMEGAPHCAGAGEASFRAAAAAASLSLELKRWTGGHAAPAALHGSAGPVDETLNWTRGTQASAAAPKAATDASAAGVEPDLELRL >PAN13923 pep chromosome:PHallii_v3.1:2:49938179:49941714:1 gene:PAHAL_2G372400 transcript:PAN13923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSGPELGRDAEMDRRRGRGSPPRGPCAVTRSQSLVDRISALPDDVLHRILAGVGCVRSAARTSILSRRWRGLWTGLETVTIRDLAPDAIHAALARLARPAVAALDIHVSVPRQGLQAADVDSLLAAAAGLSPVKLAVAIPACSFDVDGDDDVSLSLARLDATESLGLEVHGVFFVSPPGLEFPKLETLSLSACGMDLAAMIPRCPKLRVLRVTKQPSSVTKIEVHSASLEEFVVSTLAQSAIDIAAPALKKLTLACNMGTDGSLSVVTPMVEEISIKCWYFSMYVDLFSELWRVVFLGIRTVEGGGDAPALVLPRAHVMSLQIIMSTRFSERRFAEEMEKIPVPVVSVLELKIGSRGHVFGPLVLYLLQIYPAVQKLDIILLESRPKVEDSCFSCFRRRCLCERSNRDWRDETVSLISLTEVEIKGLRGGYHEVDFLRLIFRGAPLLQRVAVKLCAAIIPGDDWYNTVLDTFEEYPGVNCTVYL >PVH64861 pep chromosome:PHallii_v3.1:2:49938179:49941714:1 gene:PAHAL_2G372400 transcript:PVH64861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSGPELGRDAEMDRRRGRGSPPRGPCAVTRSQSLVDRISALPDDVLHRILAGVGCVRSAARTSILSRRWRGLWTGLETVTIRDLAPDAIHAALARLARPAVAALDIHVSVPRQGLQAADVDSLLAAAAGLSPVKLAVAIPACSFDVDGDDDVSLSLARLDATESLGLEVHGVFFVSPPGLEFPKLETLSLSACGMDLAAMIPRCPKLRVLRVTKQPSSVTKIEVHSASLEEFVVSTLAQSAIDIAAPALKKLTLACNMGTDGSLSVVTPMVEEISIKCWYFSMYVDLFSELWRVVFLGIRTVEGGGDAPALVLPRAHVMSLQIIMSTRFSERRFAEEMEKIPVPVVSVLELKIGSRGHVFGPLVLYLLQIYPAVQKLDIILLESRPKVEDSCFSCFRRRCLCERSNRDWRDETVSLISLTEVEIKGLRGGYHEVDFLRLIFRGAPLLQRVAVKLCAAIIPGDDWYNTVLDTFEEYPGVNCTVYL >PAN12907 pep chromosome:PHallii_v3.1:2:45460018:45461863:-1 gene:PAHAL_2G299900 transcript:PAN12907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPIHFHGRQKFQFEDSVQHLAMWHTEPGKFYGLRAQMSIWGSPDQQDHQESGASILVSCEQDGRIASVQAGFHVSTYLYNNRDIRFFTYWTRDVYNSTGCYNLRCPGFVPANGAALVPGQAVAPPSVYGEQDHYVTINLNKDPNSGDWLVYRHDLETPSYLGHFPGDICPGTPRTLALTGFVSYRKGAQGPPMGSGHLPNDQDDKKTAYFKHIKLYDGKGHTVDPITTPMVRVVDRPDCYDETSVIVKIKSGYMFYYGGPPGCKG >PAN09828 pep chromosome:PHallii_v3.1:2:3884895:3885995:1 gene:PAHAL_2G052700 transcript:PAN09828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGLTVMMELHLSPLDSVAAVVVLLVGVALPDHDAAVVGRLLHHRHDAVVEVLGVHVADDLLRRRQPDVDPGVGVRRRPLVPLRLPVGGAVPVAVEPDVDAGDDPRGERPLQAGVRVPAPRRRRQLRRRPAAEVLVVAPQPRGQHIVVVGGALVDEEVDAVELRVAERAVHAAAVAGEVGEPEVVGEVRRGLGAGERVLAAGAADGEENEDALGLAVLDVGADGAGGVAGEVQAGLAVAEDAEEGDDDEGVGAGVAGLPEGALGLVPAPEHGHLPRLPRRRGWAGEEGEEGHREGQEGA >PAN12314 pep chromosome:PHallii_v3.1:2:42379007:42380797:1 gene:PAHAL_2G258600 transcript:PAN12314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSTVKLYHHVLAPSTSHIKHKRSRKQKLAMGRQPCCDKVGLKKGPWTAEEDQKLVSFLLNNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGQLSEEEEKTVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHTKKKLRKMGIDPATHKSLQPAPPAQELQPEEEKTAMSGAGFGHEVPMVNLLDDDDDVVVEPPAVNSGISTAYSPGPSCSSSSSPSSSSLSYYSSAAASSGGSSIVDGEWPEWPQMMEWPEPMWWLDDVVTGPTSWEFEDPFVTYQSIELFGHHETW >PAN12870 pep chromosome:PHallii_v3.1:2:45248928:45250548:-1 gene:PAHAL_2G296600 transcript:PAN12870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLKLRRLASSLLSQGPASPGSAADAHQAVARATAHHPSTAPPSAHHMDALLAFGRGSRLSAAALAAAFVDRLHAAASGQGDAAVALKCLVALRVLLARGAFILRDQLLAALLRHPASGRNPLALAAFPLGRSSFAAASWVRFSARLLELVLLLPDASADAEYLVALPNPHLTAELAAFAAVADAVRQAPPPPSSGPQPNALIWEAVRLAEEDRVAAERNIAARVKEMGERLDTLSLADAVELVCVLRRVEDQGSAPAPEWKWAGLDEGVVCAARRVRERAEGVVLRRTVEERRLVRRDSGGSASARVLVPSRAGRGGGGDAVRFGSTRWAGTVSAWR >PAN14094 pep chromosome:PHallii_v3.1:2:50637447:50642797:-1 gene:PAHAL_2G383800 transcript:PAN14094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPX2 [Source:Projected from Arabidopsis thaliana (AT1G03780) UniProtKB/Swiss-Prot;Acc:F4I2H7] MAPDAGTTAAAIDEAYEFSAPRFFDFINEETEEAVRAAESWFEAARSHAPSPFNPRIRESRVESKVAVLCDFAEAEEPALKEEPVEAVGGGVTVHSADSTVGSTQEKKDPSFGSVTEGNPGGTCASAGSQQQEESASYSEAILESPPAQEESPKSFEFLPPRDPAAKSDGDSASTPKLQRPPPPPAIKVAPAISTCARSSLKTEARTPKTQALCKAGPMGSMSVKRSMVKGGHDLGIGKAATSAAEIVQENQAVKRQKLDDGRVRQILNVKTRVLPHKGRADLAGSSEMRRANEDVHSVKEVTPYVSAAELVKKFESGTRKMSINNNRSLSVEDAALHGRPKLVLTRPKEPELQTSHRVRAVRVKSTAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKTPHVPEFNEFHLKTMERATRYADTCSEISSADTVRSQSKTLKLTQPKPPQLHTAMRARPPSVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFAHPKPQVTAPKEFHFSTDARLGPPSVADLFDKLSLYSDCSSQSNRQDVPRLTRPNPFNLHTEERGHLKERQLEAQLLQKKMEEEKARVHKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESSVRHELEQQRLMEERERIEQEEAQRRIVKAQPILKEDPIPLPEKERKPLTEVQQFALHVDERAVQRSEFDNMIKEKEKTYKRLREENEFAQKIEEEKALKQLRRSMVPHARPLPKFDRPFRPQKSTRQVTRPKSPQLQVDERGARRHAFIR >PAN14095 pep chromosome:PHallii_v3.1:2:50637835:50642353:-1 gene:PAHAL_2G383800 transcript:PAN14095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPX2 [Source:Projected from Arabidopsis thaliana (AT1G03780) UniProtKB/Swiss-Prot;Acc:F4I2H7] MAPDAGTTAAAIDEAYEFSAPRFFDFINEETEEAVRAAESWFEAARSHAPSPFNPRIRESRVESKVAVLCDFAEAEEPALKEEPVEAVGGGVTVHSADSTVGSTQEKKDPSFGSVTEGNPGGTCASAGSQQQEESASYSEAILESPPAQEESPKSFEFLPPRDPAAKSADGDSASTPKLQRPPPPPAIKVAPAISTCARSSLKTEARTPKTQALCKAGPMGSMSVKRSMVKGGHDLGIGKAATSAAEIVQENQAVKRQKLDDGRVRQILNVKTRVLPHKGRADLAGSSEMRRANEDVHSVKEVTPYVSAAELVKKFESGTRKMSINNNRSLSVEDAALHGRPKLVLTRPKEPELQTSHRVRAVRVKSTAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKTPHVPEFNEFHLKTMERATRYADTCSEISSADTVRSQSKTLKLTQPKPPQLHTAMRARPPSVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFAHPKPQVTAPKEFHFSTDARLGPPSVADLFDKLSLYSDCSSQSNRQDVPRLTRPNPFNLHTEERGHLKERQLEAQLLQKKMEEEKARVHKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESSVRHELEQQRLMEERERIEQEEAQRRIVKAQPILKEDPIPLPEKERKPLTEVQQFALHVDERAVQRSEFDNMIKEKEKTYKRLREENEFAQKIEEEKALKQLRRSMVPHARPLPKFDRPFRPQKSTRQVTRPKSPQLQVDERGARRHAFIR >PAN13058 pep chromosome:PHallii_v3.1:2:46134865:46135488:1 gene:PAHAL_2G311100 transcript:PAN13058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGRRAALGGGRGSRGGRGGRRRRHHGGGRRDPRAARHGHGRPAEAGGSGSARPPLHRRTASSVKWKKNPRVGRDLEGILPLFAPDREETTSGVQSEPPSSLRHRSTSPRPALRGEPVGRKICKYHPRDGSRDGAFMNITRAIKSTEVEATPMGLWPVSVLKIF >PAN10538 pep chromosome:PHallii_v3.1:2:7743795:7749116:1 gene:PAHAL_2G099400 transcript:PAN10538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKKRRRTRKKAPAAAVEPADGNGLIGVLPNEVMSSIISLLPSDDGVRTRAVSSLWRDLWLSAPLNLDDSDLRPWRNGDGLVDLITEILSTHPGPARRLSLTNLARVSSTHGDDRYPSFDGWFQSPVLDRIKELHFRYLFRYLNGDVDPLPPSALRFTDLSVASYGRCHFPENLAGVSFPNLRQLTLFDLTNSEATLEAMIQACPAIRSLLLSGNMGFRHVRISSPTLVSLGVSTLRNEAVMEELTIVDAPSMERLLLFETDGGPMNIDVQGAPNLQVLGSLSSSMPRVQLGSTVLQKMIATTVITPMHTVKILALNAGSFELNTFVDILKCFPCLQKLYFTSFVITDFGKTMLNYGALSIPCLNTHLKEIVLRNFRGGKDDIKFAKFFVLNARVLRLMEFRVPIRESTKKWEANQRRKLPKKINRASQALRFDFVYDDCIFRSFEDTYCTHELSKADPFDSMF >PAN09333 pep chromosome:PHallii_v3.1:2:970484:973123:1 gene:PAHAL_2G014300 transcript:PAN09333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVSAAIVHETVSQILSGLVQKYEEKEEPNANRNLERLEMAQIRLEAALETSNKWQITDASLLRWRRKLKRAAQECDDTLHRCKQQILEGEQTKREVKDSSLSNRFVHATKSFIFSILDRNNNELSKSIAQRFEWYADGASEFLRFIELGGTPRCHMPFDSLVKKLFAGKEVYHKIVRRNKHPSFQLWLVPIRTSEHGTNISLTFVQYDGTPEGNILFSLGVQLSKSTDIFGIAIKCLQFFAPHFKCTFENIRNELSQLPAQDFSWGPSIYSWDKEHWNKFNSFTSQWARPNPFCCKQHGQHEVRRFSNLDMVGLSEVLLEPIISFNLLCQVSMSVYSKQKTSLSEDIISMQDYPYLKAGISFAPHGSLEDMLPTDRSSEITAIVHKEQHCLHTDITLEQLKDIMLPKAIDYFHQNAEATVYQMIWKSKHGLAHIQVEKPCMSTRRSSMRTQRTFGVASKRKQLQGHDEALIRNRIRACHWLDLWVTHVPVRLQRSLKNWVRKEREILSAEPQLHLKF >PAN10000 pep chromosome:PHallii_v3.1:2:4729496:4731864:-1 gene:PAHAL_2G064400 transcript:PAN10000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLRLRLRGVLLVLALLLAATAVVPVLLLGDADADGAAAGVAPGPPFNSSRVKAVSWQPRIFVYKGFLSDAECDHLVRLGKKKVHRSMVADNDSGKSVMSEVRTSSGTFLDKRQDPVVTRIEERIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKVNQARGGHRYATVLMYLSTVNKGGETIFPNAKGWESQPKDDTFSDCARKGLAVKPVKGDAVLFFSLHVNGVPDPLSLHGSCPVIEGEKWSAPKWIHVRSYENSPVPEEETEGCTDKSEHCAQWAAAGECGKNPVYMVGTEGMPGQCRKSCKVCDS >PVH63583 pep chromosome:PHallii_v3.1:2:4540898:4542956:1 gene:PAHAL_2G061400 transcript:PVH63583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSTSSLPAAPPRGATDGSPTATARSSLNLLRSLARARRADLSHRALLLFRSLHASGPAPPPHFSLPAALSAAAFLGALPEGRQLHALAAKLALAPAHTVVANSLVHLYASCGHPGEAVALFRRIPDRTLVSWNTAVDALAGNGDHLAALDLFREMQRDRPDLAPDAYTVQSVLGACAGAGALSLGLYAHALLLRELGGAGDVSRDVLINNSLVDLYGKCGAVELARQVFDRMPARDLASWNAMVLALANHGRVRDSLDLFDRMTRAENVAPNAITFVAVLSACNHGGLVEEGRRYFAAMVGEYGIRPRIEHYGCMVDILARAGLIEEALDVVAGMDCRPDSIIWRSLLDACCKRDAGLELSEAMAKLALDVPDDAVSGVYVLLSRVYASAQRWNDVGMIRRLMSEEGFKKEPGFSSIEMAGSVHQFVAGDTSNPQSEKIYEKLDEIQQRLTSAGYKPDLSEAPMVADADRTKGATLRLHSERLAISFGLLNVTHGAPIRILKNLRVCKDCHTISKLISKIYDVEIIVRDRIRFHHFKDGSCSCKDYW >PAN11997 pep chromosome:PHallii_v3.1:2:39760985:39763627:-1 gene:PAHAL_2G234000 transcript:PAN11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSGLLAFLCLYCFFMLCIHAPCASSTSFSFNFSDTSKDPCANGLKCFGDAKFTSSVGSFSFSGSGVVVKVNTTASPLLLLLLVSLPGKGSQSACHPASSTDGNRMIPWAPLPLPLEQGIYGGVPRVGELVPSYSFGTNKSLDGGFFRYGSSLTLPNIQEPLNVNDDHLEKLWDCLLRHHVTPHISHHRCGCQAPIHFHSAIIDTDPYVRTGHQNDSSRREAFPIPIRSNRTRRHERPHGVILRGHRKLLQDHDSSVRSKRDLPRRRRRQVQLREERVVGTAATTRIAVGLALFGLLCTVAGLVLWCVRKKLEDEEPIIQQSELCPSSGPRRYSHRELAAATGRFAEEERIGRGGFGPVYRGFLADQDRRVAIKVMSQGSSTQAQGTREFQAEVKVMTRLRHRSIVQLLGWCDGPEALMLVYEFLPNASLDKHLHGPERLLTWPDRYKIALGVGSAILYLHTECEQCILHGDIKPANILLDQSCNPKLGDFGLARLVDHEADSRTTQVVAGTPGYMDPGFVSTQRPSAESDIFSFGVVLLEIACGRRPTTRPNGAPVLLNWVRDMYTRDSILAAADRRLDGEFDDQQMRRVLVAGLWCAHHDQSQRPSIAQAMDLLQREDAELPVLDPVMHSSPEAVRSLEEIAYGDFSAEDSVSENSSAHTAYHTSTDSSCLLE >PAN12854 pep chromosome:PHallii_v3.1:2:45168319:45170065:-1 gene:PAHAL_2G295400 transcript:PAN12854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPAMKKKATANNLSRHFKRAVFLLPPLLLAAVLYLQFQTATGLFASISRIVSQPAAIDDLVDRLRASATFLPLKDTRERSETWFISTLADVSEPDGEAKNLVFPSAASRGRLLCLAAPSRHDGTKNAYALAWRDALPRGAALRPGLAFVSETAYDHSNLWHGLTALVPFASWHARSGCGARPARWALFHHGEVRAGMSGWLTALAEAATGANMTVETFGGPGPVCFEEAVVFRRNLEGLSRERLLGAFDFMRCKARAYCGVDTSDAGGPPSALRVTLLFRSGGRAFKDEAAVTRVFQKVCARVAGCTVAAAHSDNATFCDQVRLLSATDVLISAHGAQMANMLFMDRNSSVMEFYPLGWRQRAGGGQFVYRWMADRAGMRHEGSWWDPNGEPCPRSPDILSCYKNRQIGHDEAYFAQWGARVFAAAKERQARSKAAPCNCS >PAN11829 pep chromosome:PHallii_v3.1:2:37326034:37326738:-1 gene:PAHAL_2G220300 transcript:PAN11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGMARRKNTMVLSCVLALMVLLLMATQTAAAAAAAAGDDGGKARRLLVLGCRYRACNCRTCSIYGWACCVSCC >PAN11828 pep chromosome:PHallii_v3.1:2:37326252:37326482:-1 gene:PAHAL_2G220300 transcript:PAN11828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGMARRKNTMVLSCVLALMVLLLMATQTAAAAAAAAGDDGGKARRLLVLGCRYRACNCRTCSIYGWACCVSCC >PAN15287 pep chromosome:PHallii_v3.1:2:56281676:56293543:-1 gene:PAHAL_2G477700 transcript:PAN15287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVGRAVRKAFPGFGTYSGVVESYDADAGYFRVLYEDGDSEEVDADEMAEILVGPAMPEALQRTPPRDAAGRRPKKRRRGDEESPSPATGDAVVLAVPVGGGSLEDAEVEPVTPAEASGVAAPAAEKKRRVSPGPVSSSRPLRRSARQAKAAERAAEMEAAAAVAAAAEAAEAEAAAASTPQQSGRKRPRGNGSGRYRSVSRDLEEAAVTKPPPKPVLPLKPDLPPSSQGLDLGGLPVLDVFQVYSCLRSFSRQLFLSPFPLDTFVAALHCAYVNPLIDWVHFALLRALKSQLEDFANEGDPSAVHCIRNLNWELLDLATWPIYLAEYLLTRGTELRYGMKLTDLKLLSTEYYRQPAVVKLELLRALSDDVLEIGAIRSRMSELDGNDEGFRSTGLRRKKRGSSAKGAADASQSPEGSDEMDDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGDWYCPECVIQKNDGSRNMAGPMRGAEVLGIDPHGRLYFGTCGYLLVIDSCEGDPPCHYYGQVDLHSVVTVLNTCHPSYRSIVNSISSFCGTAIESPNPNGRYQSSRECSTSDAETNCRHSSRLKECSEHDQFMVEQDNSFEQLDSGKACTSNSDQLDQDLSLKSITFRSALMSRSGNAAEGDSNQIPQNRSSSAKNDHCSSQEDFVYSHANDLSSENQKDSPPKKKQSDWHLHSDLVSYINYYSFGQIAASAAEELKHKLSENKEGKKPVQDALSFHLRTICKKYASIFALTDQKLSVELLKEKCGWCNSCQISGGVDCIFKVTDVKCMEGHKPHTFGVGAVKNMESHIILAIHSILSIEERLNGLLTGPWQNPQYSIYWRKAVLKASDVSSLKQPLLMLESSLRRVAISVEWQKPADSVEVVGSAAHILVRSSNKSLSHGSARKPGRKPSANGELKVDSRDVGVYWWRGGKLSRQVFHWKRLPQSLVHKAARQAGRRKIPTILYTDGSQFARRFKYIAWQAAVEMAENVAQLILQIKELEWNIKWTEILGTLPSSLMTKETQKIARLFKKVIIRRKHIEGTNVEYLLDFGKRENIPPVISKHGTKFEEPSSERNRYWLNEGHVPLNLLKAYEAKAFARLLKKKETDELPNKTKKMRDSKPKIPKKTGFAYLFERAEKRSPGLCGHCNKEVVASEAVNCQYCAALFHRKHFKVPRGAADAVYVCNKCLAEKVLKVKSPQKKAAPKKPSPKKKQRKQKKQSRKIVTRRNQIVLKYKKIGKKGKRGRPRKNPLAPSKNELPKTCESEPSNVPKNEPVKRISKRLYDKYMKGNTNVSEHAASCRKRKRTALQYSYWSNGLRWTQNPHDERARSFRKERVLFPSKDAEMSEVGPVCSLCEKCYSEEDIYIACEQCEDWFHGDIYSVTIENVNNLIGFKCHGCRLRSLPVCPYAQTVTILKGQSDKDHGIKFVEDEEHSIDNFVEEEVPSCPNDLGALGSQKDLHDHSNLKEVDIEKRPNGHITEKELSDNNCQEELNDPSNLKEIDTHSTEKELDANKSLKELDAHNELKMLGSPGSEKELNSHINLKDLDSCRTHKEVKNHNCLNELDEHYNWKGLDAHKSQEELDGTASSNFATEETQCLMELDGFNSLKLDSHNNLEELNNHNHPEESDNKNILKGLDNNRSQKDSGDFLAEHFNDIRISGKEALAITPGTGSVKESLALQSKDDSEKTVPAEHEIGLQVVVTL >PVH64418 pep chromosome:PHallii_v3.1:2:42759964:42762910:-1 gene:PAHAL_2G263400 transcript:PVH64418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIHVIEQGDMSIDDYYSAYDRLMGSLTSMVNECTAADCPAHKFIEKFLTYRFVMGVKPEFDSIRTRLLHNSSTLTMDQALAELLAEETRLQSMSSLSTVTMPHSVLAASQRITVPRGTSSEPCKHCGKTTHLSANCFSAYPEKLAEYRARRASRAAHGRGTSSTARDGSVSVAAASSVDASQPAWVLDSGASFHVTSDQSQLVTCKPITDGASIQTADGSSNRDCDWD >PVH64764 pep chromosome:PHallii_v3.1:2:48897657:48898145:1 gene:PAHAL_2G355400 transcript:PVH64764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIPIILFCYRTGEKVEVELVFRSKMRTSEDRHAACTNFQAFALYMTNGNLNCHISLNHVATGNIVPFPIAENMARSHRRK >PAN10399 pep chromosome:PHallii_v3.1:2:6811804:6815533:1 gene:PAHAL_2G091600 transcript:PAN10399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHDLTALLAAQMDRHLVFPLLEFLQERQLYSEPEILEAKIRLLSGTNMVDYAMDIHKSLHGTDDVPEDMVRRRAEVVSRLRSLEEAAAPLVTFLQNPQLVQELRPDKQYNIHMLQDRYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSVSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWALFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLMECEQIILNDPFLGKRIEEGNFVTVPLRDEFLESARLFIFETYCRIHRCIDIGMLAQKLNMSYDEAELWIMNLVRSSKLDARIDSVSGTLMMMTNHVNVHEQIIESLKVLASPDDLHLGGNLLDGQIPESLAGQYLSLGGPGAKYKMKILYVENYK >PVH65444 pep chromosome:PHallii_v3.1:2:57454492:57456849:1 gene:PAHAL_2G495600 transcript:PVH65444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLVHLHLLVALSRCPSSVPKLSSKRSIHQSTMEMAGVRTALVLLPPVSVVLFVMAVRRLRAVARAQFERPPSSGSSSSSLRSYLAVEKGELPVALYRRSGGETKEPEPEHATECVFCLSGIEEGPPRR >PVH64137 pep chromosome:PHallii_v3.1:2:31857016:31857876:1 gene:PAHAL_2G198000 transcript:PVH64137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPDLLINALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLATTPVRFFPPAIRTPVWEARMRSLERRRQEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTQSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPLNPESSAAAVERDAAAQPLANGDPEDGEQGLLTLPAPEEGPPRE >PAN13537 pep chromosome:PHallii_v3.1:2:48257451:48260285:-1 gene:PAHAL_2G345100 transcript:PAN13537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHPTDRPPMDDDDPSAATASSSPTYDDTFFDVLDSLPSPFPSPSPSPSPPHAPSSSTLRRRRRRAKIQKQPDPVPSASPSVSEATSTVTAVEDEPLKPDSSEATSAAPRTDPPPVEEEGEEDEEALEKAKDADVEAPVPAPTPSPAPSILEYLAVLVVKAVVFQVSALISCLTFPVRLLQWWFLFVTDPLGLARRARAWALGLAGDAAGALTARLGGGDGVGKVAQRLLWGSLWAVYVCVVLCALLVMAFLGGGLLVGRIVEKPVQVTETLNFDYTKPSPMAFVSVPRLVPPNQRMQLEVSLTLPESDYNRRLGVFQVKAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEGLEPTTGVRIVLGQRAEFGPGAGIPEIYAASLKLEAELPLFKRLLWNWRWTLFVWSSMGLFLFELLFTLVCCRPCIFPRSGHNVAAP >PAN12875 pep chromosome:PHallii_v3.1:2:45281052:45281617:1 gene:PAHAL_2G297100 transcript:PAN12875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLARVAVLFAAGFTAAAALASATTFIVGDDQGWTIGVDYIAWVRGKTFKVGDKLVFDYPSAEHAVTEVGKNDYFACAGGSALSNDRSGSTNVTLTGPGTRYFICNIPGHCTIGMRLAVTIAGDGSPPVVTPTATATGSRIRPRMGPVGVAAAAGAMIKLAL >PAN09502 pep chromosome:PHallii_v3.1:2:2117913:2118874:1 gene:PAHAL_2G030000 transcript:PAN09502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASSAMEGEKELEFLCSSTVTRIRLARIPLALVAGVDTAHYATRGLSRSIHGHVPISKRGAVYSF >PAN11698 pep chromosome:PHallii_v3.1:2:34784743:34787904:-1 gene:PAHAL_2G208400 transcript:PAN11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPAPPLPALLSRSCSSAPRLLLSSSPRAPPARRPPRAVARSVSVSVEAPAASAEPAVAGGPSTTPRRRLILLRHGESAAGGRSTRDHDRPLSKAGRADAISVSNKLQQMGWIPELILCSDAMRTKETLKILQEHVQGLSQAVVHFIPSFYSIAAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLETCNAALLEAAGKSWVEAFSLAGLGGWKLHGIVKP >PAN14206 pep chromosome:PHallii_v3.1:2:51092986:51099944:-1 gene:PAHAL_2G391200 transcript:PAN14206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSKSAVKSHPRSPTTAQPPPPNPGPAAAGGGAAAAPPPSGPAAGATPSKNAAMAELKSRVLAALAKLSDRDTHHIAVEDLDRIIRAPPSPDAVPMLLNALASDSPGLASPARRESLRLLATLCASHPDAAAPHLHKALAHLARRLKDPASDTSVRDACRDAAGQLAAVYLRPLAASGVAEAGNATVTLFMKPLFEVMGEQSKAVQAGAAACLAKTVEGAGPGPGVIGMFGKLGPRICKLLGGQGVQAKAALLGVMGSLSQVGAISSQNMQPTLQSIRDCLENSDWATRKAAADTLCVLATHSGHLIGDGTAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDANDGRNKEPADGEGKLDSKRSMQRGGKSESFEDSSPDSPSNNVKGSIAEKAAVLLKKRPTLTDRELNPEFFQKLETRKTDGLAVEVVVPRKTLQSHLRIEDEPEEDGDHVGPANSNGSAEDEANLTQMRASSNFQNIRDKWANQRGNRNKDAKSRTSDVEDRGEPSAKDSTSATMNIPGEGPFINNKTNWLAIQRQLSHLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMAREISLSSSRRGGGPALGFDSSPGRSSKYNGFHEFSNSKFGRNGDGRMGFAERYFSADGASGLRSPSWRPDSEQWDSYAYSGSRSGMNARRGLDSVSSDNRMPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGSSRAAARVAIPELDGEALNDDNQGDERGPLWDAWTRAMDALHVDDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDVALTWLQQLTDLVMENGSDYLGIPLDAKQDLLLGLHDATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIN >PAN10598 pep chromosome:PHallii_v3.1:2:8195927:8199977:-1 gene:PAHAL_2G104400 transcript:PAN10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKEEALRAKDLAVIKLQEADYAGAKRIALKAQKLFPSLENISQLLTVCEVHCRAAVKINGEMDWYGILQVETTADDILLKKQYRKLALLLHPDKNKFAGAEAAFKLIGEAHMILTDQVKRLSHDSKRNPVTATSAPLPKKRGRPSNKTDYVAKRANKENTDVGYSTFWTICLACGTKYHYPCSFLMKVLRCQICSMSFVAYDSSKKPPVRVEASHPWSGIGMQQQMFPPSQQTHVNNRQHNYHSVPNQQNPVTGHRAPVSNQQQQPQNVTDKQTPGIYQQQRSQKFPFNSGPKNVDSHPWGGFGMKQEFFPPNQQPNVTDQKLYYQRATGQQNPINGHQPPVNGHQPPVTDQNQQPLKVADKQTPVITQQQQSQKFPFSSGSKNVVNSQCAACPNSNAAASSNLTAEAQVCNSTKVTRPSFNDQNGEDRTKPPVANSDKVPLINEQMGVKEVATGSSYHVAVNGNQVANEGVLTAATADKTSGQNPCITRQQGDTVISEDQTDGCRKGSDHLTDSPAKKRIRKENSSCTSGKSDRTTENEGAKVSSQHKLSIPSKEKIRNENGEVIDGLNHIVLQGTGIRQETPSSGSDSDAAARSVNNSIPCNTTVSCPDPDFYDFENNRDADRFAVDQIWAIYDDDDGMPRYYARIKQVYSPNFMLQFTWLEHDPLYDAEKAWSSKELPVACGSFRLGRTILTEDTKMFSHVVSWTKGRKRNSYEVYPKKGEVWALFKGWDISWSSDSHDHRPYDYDVVEIASDFATGSGTYVIPLVKIKGFVSLFVRSCNEAPFLIPSGDTLRFSHSIPFHRLAQTDRKHIPNGALELDTASLPPDLEKAFTTVNLDSWEMPVGNTEQCQDGAGTNVQDEVEKLNQNTKSKQDNGSEASVIDDHCGDGWDDSSQPESPTSFDYPDPEFCNFTSLRSFDKFKKGQVWALYCDTDKFPKYYGFIKSVDADDCTIHIKWLEHCPCAQMEKRLVQDGLPIGCGAFKVSRQSDIYDCTNVFSHNMEVTLVSKGKNYEILPRVGQVWALYKNWSPAWTFEDYSRCEYILAEVLEISNGNIAVSCLTKVEGFSTVFKPEKKGESRSAMSVAKSDVIMFSHQIPAFRLTNDNLCGYWELDPASVPEVLLVRKTK >PAN14862 pep chromosome:PHallii_v3.1:2:54585835:54589224:1 gene:PAHAL_2G449700 transcript:PAN14862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAGGGRGGRGEPARWLEIAGKLLAARDLVGCKRLAERAVEADPNLPGADELLAVADVLLASQRQLPSGRADPVAVLQLQPCPDPAAVKRAFGRLSQLVSAPRNPRPAADTALHFVQEAFADLSKNASSDTAPATASTPPASGGASAAAADADAFWTACPYCCHVYEYQRALVGRALRCQSAGCRRAFVATEIPTVPPIVPGTDMYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNFQSPPQPASARAAKVDHINVQSPLQPASAGAANLDSRNFQSPPQTATAGTANVDVQNVENNGIPINANATQANVQPANKSGVRGSPAGPSRGRMKKTTARKKVGAVLKKHASSGVESGIEPSMLGSDSWNGNAGSGSAQTAGTREININEVAKPTDGATVLNFGGDEDIGFDLDVDATDAILGNLQHLPFLREDDNTRRMF >PAN14861 pep chromosome:PHallii_v3.1:2:54585853:54588977:1 gene:PAHAL_2G449700 transcript:PAN14861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAGGGRGGRGEPARWLEIAGKLLAARDLVGCKRLAERAVEADPNLPGADELLAVADVLLASQRQLPSGRADPVAVLQLQPCPDPAAVKRAFGRLSQLVSAPRNPRPAADTALHFVQEAFADLSKNASSDTAPATASTPPASGGASAAAADADAFWTACPYCCHVYEYQRALVGRALRCQSAGCRRAFVATEIPTVPPIVPGTDMYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNFQSPPQPASARAAKVDHINVQSPLQPASAGAANLDSRNFQSPPQTATAGTANVDVQNVENNGIPINANATQANVQPANKSGVRGSPAGPSRGRMKKTTARKKVGAVLKKHASSGVESGIEPSMLGSDSWNGNAGSGSAQTAGTREININEVAKPTDGATVLNFGGDEDIGFDLDVDATDAILGNLQHLPFLREDDNTRRMF >PVH65417 pep chromosome:PHallii_v3.1:2:56926898:56930687:1 gene:PAHAL_2G487900 transcript:PVH65417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLMAASPALLFLAVTLMLAASVRAQGRSPPRAPAPSTRPPTPAPTPTPIRTTPIRTPTPASTPTPTHAPTRAPTLTPTPAPMPSRIPAPTPAPTRALTPAPTPSPIGAPTSTPTRAPTPSPTRTPTPTPTRAPAPSPTRSPTPAPTRALARAPAPSPTRAPTPAPTRAPTPAPAPSPTRALTPTPTRAPTRAPTPSPIRAPTFTPTRFYTTESYSYKKENPRGRVEDGSGAQAQGAWIRAVGGVDPSEHKNRCWYGRS >PVH65049 pep chromosome:PHallii_v3.1:2:52374583:52375188:1 gene:PAHAL_2G412000 transcript:PVH65049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGASPRAGALAARAPPLLLRVNETFRCAGARRDWERLGAGSWTGTGTGGGGRGRAREPTRDSDGRGQRIRGRATGGASPRSHPRRCARQSSQRAPTHAAASARATSAKLPFRPRPRPGFPLGLLAPLLCNTREPSPSRVRASDKRQAALERMRAKRRASDAQRHRRHRPNTARTVLAWSFDLVQPVQSPPTGKCCYVGV >PVH64456 pep chromosome:PHallii_v3.1:2:43529258:43530302:-1 gene:PAHAL_2G272200 transcript:PVH64456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSCTEAGPTEKYNKNGPGA >PAN14358 pep chromosome:PHallii_v3.1:2:51853218:51855494:1 gene:PAHAL_2G403300 transcript:PAN14358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRQRARSSEELKAEDFVDSVLNFGGAGGGGEGEDEEEGEAGGGDGQPAATEYKSKNLEAERRRRGRLNSNILALRAVVPNITKMSKESTLSDAIDHIKKLQNQVLELQQQLADSSGEAWEKQGSASCSESFAATENMPYQGQVELVPLGPYKYHLKIFCKKAGIFTKVLEALCSYNAQVTSLSTITFYGYAESVFCIEVKGEQDVVMAELRSLLSSIVEVPNN >PVH63678 pep chromosome:PHallii_v3.1:2:6089557:6090915:-1 gene:PAHAL_2G083500 transcript:PVH63678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAASEVAGRGRGHPATRGRGTGRGRGPGAASRGSSPGGRGTSAAVKKGNRPNTHLNSVGYEEVSESFYQMTGIHATKLQLKNKWDKLKPDLVAWQKLLKQTGLGRNALGEIVMDDEWWKTTKKDIPGSGKFKKRPLQNEEDMKIMFGDITNDESDHWNPLSSNPIIPPSQDDVYDVPENGGGEDEINNDGAVGDEELEKATPSPSIILPKKRAQGGPDKLKKSRVGTALVIQEAVTKISESTTAFTSKKLGEITVAQVMDAVLECGTGYDMNEHYIATELFVKKDQREMFMTIPTNEIKFNWLSRKYNDKYGN >PAN09641 pep chromosome:PHallii_v3.1:2:2774996:2780328:1 gene:PAHAL_2G039300 transcript:PAN09641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSSTRQARLRSKKPEDPQPGEQPVKPASPAPQRAGKRAPTRAARGRKVAAGRRAPPAPKPKRNGVEIVDLEADPACEDPLKAVAGLEVAGAAKNLALNKVAEVGINKGLKMEGESGEKIVGAEDELTATPVPERVQVGNSPEYVTERKLGKGGFGQVYVGRRVSGGTARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNSCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQAMSANMAACIAVESISILEKLHSKGFVHGDVKPENFLLGQAGSPDDKKLYLIDLGLASKWREANGNHVDYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLCCFCPAPFKQFLEIVTNMKFDEEPNYAKLISLFDGLIESPASRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQIYELSPVFLHKDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSNQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSMPKRKPMDETQETLRTSAFPSGHVKEKWAKNLYIASICYGRTVC >PAN09640 pep chromosome:PHallii_v3.1:2:2774547:2780328:1 gene:PAHAL_2G039300 transcript:PAN09640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSSTRQARLRSKKPEDPQPGEQPVKPASPAPQRAGKRAPTRAARGRKVAAGRRAPPAPKPKRNGVEIVDLEADPACEDPLKAVAGLEVAGAAKNLALNKVAEVGINKGLKMEGESGEKIVGAEDELTATPVPERVQVGNSPEYVTERKLGKGGFGQVYVGRRVSGGTARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNSCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQAMSANMAACIAVESISILEKLHSKGFVHGDVKPENFLLGQAGSPDDKKLYLIDLGLASKWREANGNHVDYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLCCFCPAPFKQFLEIVTNMKFDEEPNYAKLISLFDGLIESPASRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQIYELSPVFLHKDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSNQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSMPKRKPMDETQETLRTSAFPSGHVKEKWAKNLYIASICYGRTVC >PAN09642 pep chromosome:PHallii_v3.1:2:2774547:2780328:1 gene:PAHAL_2G039300 transcript:PAN09642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSSTRQARLRSKKPEDPQPGEQPVKPASPAPQRAGKRAPTRAARGRKVAAGRRAPPAPKPKRNGVEIVDLEADPACEDPLKAVAGLEVAGAAKNLALNKVAEVGINKGLKMEGESGEKIVGAEDELTATPVPERVQVGNSPEYVTERKLGKGGFGQVYVGRRVSGGTARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNSCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQAMSANMAACIAVESISILEKLHSKGFVHGDVKPENFLLGQAGSPDDKKLYLIDLGLASKWREANGNHVDYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLCCFCPAPFKQFLEIVTNMKFDEEPNYAKLISLFDGLIESPASRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQIYELSPVFLHKDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSNQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSMPKRKPMDETQETLRTSAFPSGHVKEKWAKNLYIASICYGRTVC >PVH64862 pep chromosome:PHallii_v3.1:2:49954162:49954928:-1 gene:PAHAL_2G372800 transcript:PVH64862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEGFLRASPGGGGGEPVEMPRPSGFVFFRTEETPEPCQGLPDGVRSLVSPTPQYARAVAAAWLAHPQLHPRGRRIKLLPRRRSLCAVRASSSTYCLCAQRAVMMQH >PVH64029 pep chromosome:PHallii_v3.1:2:21968271:21968903:1 gene:PAHAL_2G167900 transcript:PVH64029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMTLCS >PVH65378 pep chromosome:PHallii_v3.1:2:56306053:56306597:1 gene:PAHAL_2G478100 transcript:PVH65378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYLANKCSIASRIDCYSELNTSIFGEKLREQVEERLDFYDKGVAPRENLDVMKAAIEGITNAVSEDGDGNEKNDVSAKKSKKKKSKAEAEGEAMDVDKPAAGEDKEKEEAQARGDTG >PVH64497 pep chromosome:PHallii_v3.1:2:44533686:44535020:1 gene:PAHAL_2G286300 transcript:PVH64497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGRGSLSLVSQLGSPRFSYCLTSFLSKVPSRLYFGAYATLNSTNASTTGPVQSTPFVVNPALPTMYFLNMTGISVGGELLPIDPAVFAINDTDGTGGTIIDSGTTITYLAEPAYGALRAAFVSQIKLPLLNVSDTSVLDTCFKWPPPPRQSLTLPQLVLHFDGADMELPLQNYMLVEPISGGLCLAMATSDDGSIIGNFQHQNFHVLYDLENSLLSFVPAPCNLI >PAN11957 pep chromosome:PHallii_v3.1:2:38936273:38938573:1 gene:PAHAL_2G229900 transcript:PAN11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTNRGEETREHEGIPSTRGEGEGGVSMEDFYVTIPYGLVVLAGGVAGYLKRGSAASLAAGVGFGAALLLAGALSAWAFAHGGGGAGAVFGTVLQIVCAVVLTVVMGVRYVKTRKIMPAGIIAAISAIVLIFYVYKVSTGGNKVYIPVSAE >PAN11956 pep chromosome:PHallii_v3.1:2:38936273:38938573:1 gene:PAHAL_2G229900 transcript:PAN11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTNRGEETREHEGIPSTRGEGEGGVSMEDFYVTIPYGLVVLAGGVAGYLKRGSAASLAAGVGFGAALLLAGALSAWAFAHGGGGAGAVFGTVLQIVCAVVLTVVMGVRYVKTRKIMPAGIIAAIRYQLVVTRFTSR >PAN12495 pep chromosome:PHallii_v3.1:2:43235233:43240249:-1 gene:PAHAL_2G268700 transcript:PAN12495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVVETTLVPPSEATPRHALWLSNLDLAVPKTHTPLVYYYPKPAAGDAEEGPPFFDPARLRDALARALVPFYPLAGRLASGPGGRIEIDCTGEGALFSVARADFAGDEVFRDFEPSPEARRLLVPFAESGEPPCVLAMVQVTFLKCGGVTVGTGMHHVTMDGAGAFQFIRAWTALARGEAPPAPPPFHDRTLLRARSPPRVPFEHPVYSPCYLNGAPRPFATRVYAVPPKLLAGIRAQCAPEASTYCAVTAHLWRAMCVARGLPPDADTRLRVPANVRQRLRPPLPAAYFGNAIVRDLVTVRVGDVLSRPLGFVAERIKRAVSRVDDAFVRSVVDYLELESEKGSQAARGQFMPESDLWVVSWLGMPIYDADFGWGRPGFVAPAQMFGSGTAYVTQGPDKDDPINVLFALEPEYLQTFEKAFYGE >PAN13350 pep chromosome:PHallii_v3.1:2:47400027:47407766:1 gene:PAHAL_2G330400 transcript:PAN13350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEESKNHLLSLSYQELQCLCKRYNLPSKKTHSQLASSLALLLEAPPSPAASPIPLATAKETSTCDHVNNKRGPYNVRDDGRPLAQVKHQKGSETPIDETAKGGIDTCTSISPVSIYGRPDCHDHSSSEWWTDHNLQSQSNVGIPTKSANPGLVCKHHPSPDNIIDQICPPIIQKHPEASIPFSRTEDTTDKGCGPSDKMSENFPTVQFSVMSDEGIDLVVDLNSTPASWAKNFMAEMCITPPPQPGNFSSFISSLASKDDHSTASPSGNIIVDIQSKGAEIIIPSTNSSLASDVGENSRSVPYPADTITMNSVSSPSTLAGTPVELSGCQEGAPVVSSSCLTADVQNNVMSNMMPGVLDNAVLPPESADAFMQSGRIAVPLDAASVLPTGNKVMTSPGGVVRSFSNEASCPKSSEKQTADAPARVQPSHNDDIHETLMENEPVEAVAVEEDVGCGDSLSISCQLAGQTVAKLPVTDAQSHASSAYHCVAGSFDLAQPTSSSAASDNAINSLTSKYGAESVQSHGSTDKNRGRGVEQLEELESMTPAAYSEPPRNIQLSLRSASAKKKPSTLPRRSARLVPK >PVH64674 pep chromosome:PHallii_v3.1:2:47400028:47407762:1 gene:PAHAL_2G330400 transcript:PVH64674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEESKNHLLSLSYQELQCLCKRYNLPSKKTHSQLASSLALLLEAPPSPAASPIPLATAKETSTCDHVNNKRGPYNVRDDGRPLAQVKHQKGSETPIDETAKGQGIDTCTSISPVSIYGRPDCHDHSSSEWWTDHNLQSQSNVGIPTKSANPGLVCKHHPSPDNIIDQICPPIIQKHPEASIPFSRTEDTTDKGCGPSDKMSENFPTVQFSVMSDEGIDLVVDLNSTPASWAKNFMAEMCITPPPQPGNFSSFISSLASKDDHSTASPSGNIIVDIQSKGAEIIIPSTNSSLASDVGENSRSVPYPADTITMNSVSSPSTLAGTPVELSGCQEGAPVVSSSCLTADVQNNVMSNMMPGVLDNAVLPPESADAFMQSGRIAVPLDAASVLPTGNKVMTSPGGVVRSFSNEASCPKSSEKQTADAPARVQPSHNDDIHETLMENEPVEAVAVEEDVGCGDSLSISCQLAGQTVAKLPVTDAQSHASSAYHCVAGSFDLAQPTSSSAASDNAINSLTSKYGAESVQSHGSTDKNRGRGVEQLEELESMTPAAYSEPPRNIQLSLRSASAKKKPSTLPRRSARLVPK >PVH64673 pep chromosome:PHallii_v3.1:2:47400026:47407767:1 gene:PAHAL_2G330400 transcript:PVH64673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEESKNHLLSLSYQELQCLCKRYNLPSKKTHSQLASSLALLLEAPPSPAASPIPLATAKETSTCDHVNNKRGPYNVRDDGRPLAQVKHQKGSETPIDETAKGGIDTCTSISPVSIYGRPDCHDHSSSEWWTDHNLQSQSNVGIPTKSANPGLVCKHHPSPDNIIDQICPPIIQKHPEASIPFSRTEDTTDKGCGPSDKMSENFPTVQFSVMSDEGIDLVVDLNSTPASWAKNFMAEMCITPPPQPGNFSSFISSLASKDDHSTASPSGNIIVDIQSKGAEIIIPSTNSSLASDVGENSRSVPYPADTITMNSVSSPSTLAGTPVELSGCQEGAPVVSSSCLTADVQNNVMSNMMPGVLDNAVLPPESADAFMQSGRIAVPLDAASVLPTGNKVMTRGVVRSFSNEASCPKSSEKQTADAPARVQPSHNDDIHETLMENEPVEAVAVEEDVGCGDSLSISCQLAGQTVAKLPVTDAQSHASSAYHCVAGSFDLAQPTSSSAASDNAINSLTSKYGAESVQSHGSTDKNRGRGVEQLEELESMTPAAYSEPPRNIQLSLRSASAKKKPSTLPRRSARLVPK >PVH63940 pep chromosome:PHallii_v3.1:2:13224309:13224816:1 gene:PAHAL_2G140200 transcript:PVH63940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVIFFFVYNSPGGWLISRMAIFDTMQTVTPDIYTICLEIAASMASFILLGREPTKCIAFRHARITLHLPASAYYRARTLEFLLEVEELHKVCEMITRVYAPRTGKPFRVVSEDMERDVFMSTDEAKAYGLFEYCTGGEMFDEHCDTDPMWFP >PAN10207 pep chromosome:PHallii_v3.1:2:5854310:5854839:1 gene:PAHAL_2G078200 transcript:PAN10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSEHFGRVGNSDTVWLRRHDNPLRPISKFQERKAVAYRWHHFPGGEVKATGQHAAGYSYYYDPPWKMYMQEDQAASYPPPLSRFVDLKRQEELEQRHHFPRGKVKVTGQHAAGYSCYDLRRKMYLQENQAAINN >PAN15182 pep chromosome:PHallii_v3.1:2:55743187:55746559:-1 gene:PAHAL_2G470200 transcript:PAN15182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTQSPRIVRGRGRNKRKWTAEEDDELVKALCQVSTDPRYKVEGGGFKNCYSQGIQSILAQKLPGHGIKASPHVDSRLKVMKQKYYSIKDMLASPGFSWDDTRKMIQCEKQRYDEYCKDHPRAKGLYGVPFVYFDSFDAIYGKDRYAGEGLEGSEEAIANMENDENTNEVGDDEEEDGMSTGVSGRSLAATLSSKSQKKYKHDGKRNMTELNCPSLDKFKDVHGQFQSAIQHVSTMAAAMELFKDVHDHFQSVVQHAGAMATAMERFKDAHDRFQSVVQHVSTTTAVMEHFKDALDHFQSITQNGRVIAAVEYGIEIQEKSMCEEPQRKAKVTAISEVQKLGFTGIEVVTAASIFAKEPNQMDMFLALPEIYKKDYILQMLNGGQSIQYSVG >PAN15185 pep chromosome:PHallii_v3.1:2:55743922:55746364:-1 gene:PAHAL_2G470200 transcript:PAN15185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTQSPRIVRGRGRNKRKWTAEEDDELVKALCQVSTDPRYKVEGGGFKNCYSQGIQSILAQKLPGHGIKASPHVDSRLKVMKQKYYSIKDMLASPGFSWDDTRKMIQCEKQRYDEYCKDHPRAKGLYGVPFVYFDSFDAIYGKDRYAGEGLEGSEEAIANMENDENTNEVGDDEEEDGMSTGVSGRSLAATLSSKSQKKYKHDGKRNMTELNCPSLDKFKDVHGQFQSAIQHVSTMAAAMELFKDVHDHFQSVVQHAGAMATAMERFKDAHDRFQSVVQHVSTTTAVMEHFKDALDHFQSITQNGRVIAAVEYGIEIQEKSMCEEPQRKAKVTAISEVQKLGFTGIEVVTAASIFAKEPNQMDMFLALPEIYKKDYILQMLNGMPCDSLILYLV >PAN15183 pep chromosome:PHallii_v3.1:2:55743459:55746370:-1 gene:PAHAL_2G470200 transcript:PAN15183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTQSPRIVRGRGRNKRKWTAEEDDELVKALCQVSTDPRYKVEGGGFKNCYSQGIQSILAQKLPGHGIKASPHVDSRLKVMKQKYYSIKDMLASPGFSWDDTRKMIQCEKQRYDEYCKDHPRAKGLYGVPFVYFDSFDAIYGKDRYAGEGLEGSEEAIANMENDENTNEVGDDEEEDGMSTGVSGRSLAATLSSKSQKKYKHDGKRNMTELNCPSLDKFKDVHGQFQSAIQHVSTMAAAMELFKDVHDHFQSVVQHAGAMATAMERFKDAHDRFQSVVQHVSTTTAVMEHFKDALDHFQSITQNGRVIAAVEYGIEIQEKSMCEEPQRKAKVTAISEVQKLGFTGIEVVTAASIFAKEPNQMDMFLALPEIYKKDYILQMLNGGQSIQYSVG >PAN15184 pep chromosome:PHallii_v3.1:2:55743415:55746015:-1 gene:PAHAL_2G470200 transcript:PAN15184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTQSPRIVRGRGRNKRKWTAEEDDELVKALCQVSTDPRYKVEGGGFKNCYSQGIQSILAQKLPGHGIKASPHVDSRLKVMKQKYYSIKDMLASPGFSWDDTRKMIQCEKQRYDEYCKDHPRAKGLYGVPFVYFDSFDAIYGKDRYAGEGLEGSEEAIANMENDENTNEVGDDEEEDGMSTGVSGRSLAATLSSKSQKKYKHDGKRNMTELNCPSLDKFKDVHGQFQSAIQHVSTMAAAMELFKDVHDHFQSVVQHAGAMATAMERFKDAHDRFQSVVQHVSTTTAVMEHFKDALDHFQSITQNGRVIAAVEYGIEIQEKSMCEEPQRKAKVTAISEVQKLGFTGIEVVTAASIFAKEPNQMDMFLALPEIYKKDYILQMLNGGQSIQYSVG >PAN09182 pep chromosome:PHallii_v3.1:2:179843:185652:-1 gene:PAHAL_2G002000 transcript:PAN09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGRLLVVAALSILCLQGLLRDSGAAFAQAHPPPHRIVHAGENDGGLMPELPPSGLMPMPELSPSGSPKPFVPFLAPAPLAPFFNNSTPKLSGKCTLNFTAVDKLMTTTAVDCFTSFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTVANYCLSDVQELLLSQGASDNLHSLCSVHLSNVTEGSCPVSTVDSFESVIDSSKLLEACRKIDPVNECCSQTCQNAINEAAQKISSKDGGLTSYSGSPKIDSCRNVVLRWLSSRLDPPSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECGGTIKNSTTCCKAMLSYVAHLQKQSFITNLQALNCASFLGAKLQKMNVSTNVYSSCQITLKDFSLQVGSQESGCLLPSMPSDASFDHISGISFTCDLNDNIAAPWPSSMQAPSSSCNKSVNIPERPAATSAQNGVNQKNLKLRLLVSLASLLLVLLVQA >PVH63714 pep chromosome:PHallii_v3.1:2:6803226:6804739:-1 gene:PAHAL_2G091500 transcript:PVH63714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSPSMPVLAMATTSAELIQAEAELWCHNFGYLKSVALRCTPRAVPVAASKRPCLSRIMALLAASGLFREETVPGDGAAAAEPCYHLTTASRLLVDDDAKGGRNCVSQLFTLCSPFYFTASQNLAEWLQEGGGGGADAVHDGARRGFLRRRQPRRGVRRVLRRGDGLGQRRVRRGAGRGGVPGGRRTVRGHNGTTARAIARAFPHVKCWVLDLPRVVDTMPADGTVEFVAGDMREFIPPADAVLFKFVLHNWSDEDCVQILKRSKEAISTREPKGKVVITEVVLGCPSKPTLEAQFLMDLCMMVVLEGKERTEETWHKIFLDAGFTQYRITPISGTTRSLIEIFP >PAN10014 pep chromosome:PHallii_v3.1:2:4826391:4827157:-1 gene:PAHAL_2G065700 transcript:PAN10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWLLYRAVTAGRSSSSMSMAAVRLNAPQPPGRAYDVFINHRGADTRHTLARQLYDRLLQLSGGRVRPFLDNVSLRPGDRLVARIDEGISQCKVAVAIFSEGYLDSAFCLHELASLVEARKVIVPIFYGVKPSGLVLSPAVVESSALAPRDVERFRAALREASYTVGLTYDPATGDLAELVSAAANAVMKRIEETETSVQ >PAN10417 pep chromosome:PHallii_v3.1:2:6947545:6951798:-1 gene:PAHAL_2G092700 transcript:PAN10417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAAAAASTATALASGRLSAASSARPRRASSRPSFSLSASPRAVAARRRAAPAARAAVSVRAEAKKSVLVVNTNSGGHAVIGFYVAKELLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGGKTVWGDPADVAAAVGGASFDVVLDNNGKDLDAVKPVVDWAKSSGVGQFLFISSAGIYKPTEEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGNGMQLTNIAHVRDLSSMLALAVESPGAAAGRIFNCVSDRAVTLDGMARLCAAAAGAAVEIVHYDPAAAGVDAKKAFPFRNMHFYAEPRAAKEVLGWASTTNLAEDLKERYAEYAGSGRGDKAMTFDLDDKILAAVGKAPAGVSV >PVH63873 pep chromosome:PHallii_v3.1:2:11156853:11158134:1 gene:PAHAL_2G126300 transcript:PVH63873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALPKWVMLERFIFPSCTTCTGARFQVSFVLAEPSTPSRIYLSWPEGPKDEEVCHLVAAHRDLVLLRLDSLVDESVPYGEARHEYFIYIAAPTFQVLPLLRPLPQCMEYDPDLGRPMTSNITLKMLAELWVLRSSSSCCHDDDAENWEKKRLPILYQEDDYYDLWSWDSNGWFPSRIPCSGRIRKELYRSLCVTDDSCRLVYVDVARHDGTGLGPMASGTGFTMTFRTLKMTGDNTMPWEWMDDAVMMLPLVSIDMPNIVHVVLYGWEIESFSLVTIDASDKQVLRSSVTYIKSSKEGLCTDDADLVRAKPGFFSHFLPSEFPEFLNLNR >PAN10696 pep chromosome:PHallii_v3.1:2:9003601:9006871:-1 gene:PAHAL_2G111400 transcript:PAN10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLWWVCGVGYWVQGFRCFPWLALNFHLARGLSLSPVALQLVQNAGNLPLVAKPLFGVLSDAVYIGRAHRLPYISIGALLQLIAWGTLAIIPVTGDTFPTQMACILVGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYVLLKTQEPKIMFTAFSVLLGFQLALSLGTKETLPSTPRNTRSRLVTSSLAANLRKQFSNLMMAISEERIFYPLAWIMTSFAVVPILSGTMFCFQTQYLKLDPSIIGLSKVVGQVMVLSLTVLYNRYLKRIPLRHLIAGVQMLYAVAVLSDLVLVKQINLMLGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGVFGVGLSTLIGVSSVDYSTLPLGILLQSLAALLPLGWISFLPEKWTADEKVVMQR >PAN12587 pep chromosome:PHallii_v3.1:2:43785462:43791308:1 gene:PAHAL_2G275900 transcript:PAN12587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLSRAGLRALSRVRPGTNSATSKSHEVEAISAYMGRKLFSSTTNTTAGDSNNKPEAKISVTFVDKDGEEKLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVNYYNKMEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPSATRNFAVDGYAAKSH >PVH65344 pep chromosome:PHallii_v3.1:2:55598386:55599158:1 gene:PAHAL_2G468500 transcript:PVH65344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAYSFDAFFRNATEKKAAAAAAGGGGWGWMRPLPGEVTPDAAADSLLATLFAGVSAVTRRTRSRDIVRAHAGPNGCGNFSARGIGESYGWGYALPAEDGGARPVAAAGAGRERAGPCLN >PAN10294 pep chromosome:PHallii_v3.1:2:6175368:6176554:-1 gene:PAHAL_2G085000 transcript:PAN10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAWVRSLRLRRSVPKPSPCAENKIQVQSQQYTYFYIDTHLQFSGSIRFGFHAAPAGGADGTLILMYHPLHGQTGLVFCRPGDAAWTKLDNTVVEDDEDAYNGASLFSFADFAYLGGRIFALDINGGTAVFDAATLDVLDVVDVPPGTTNFATKMWGCCHPQGDMNQRDYLHLVALPSRLLCVRVRVRSRSSEPVSFDVFELAQDRHDGLAWRKVVVGLDGIIGGGDYDLFLDGHHATFHRGGGGGRIYYVHDLLIGACTAAAYCYSMKDETLECVYRPPAKRDGEYSTNPSWFVP >PAN15080 pep chromosome:PHallii_v3.1:2:55312761:55313890:1 gene:PAHAL_2G462700 transcript:PAN15080 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-Cys peroxiredoxin PER1 [Source:Projected from Arabidopsis thaliana (AT1G48130) UniProtKB/Swiss-Prot;Acc:O04005] MPGLTIGDTIPNLELDSTQGRIRIHDFVGDGYAIIFSHPADFTPVCTTEMAAMAGYAEEFKKRGVKLLGISCDDVASHKEWIKDIEAFKPGSKLTYPIMADPDRNAIRELNMVDPDEKDARGVSLPSRTLHIVGPDKTVKLSFLYPACTGRNMDEVLRAVDSLLTAARHKGKVATPANWKPGDRAVIGPSVSDEEARKLFPDGFETADLPSKKGYLRFAKV >PVH65083 pep chromosome:PHallii_v3.1:2:52814519:52814913:-1 gene:PAHAL_2G419600 transcript:PVH65083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAAAAVAAARPRAPRLYLKTHGTRVARLHLLDWVVLALLVALDGALNAIEPFHRFVSGTRSRTPCPSGPCRCVACARESTTTRCSPSSRRWPSLPGYT >PVH63455 pep chromosome:PHallii_v3.1:2:2243745:2249303:1 gene:PAHAL_2G031500 transcript:PVH63455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSRQNSGFGLQNKHSFAGDSAGAYPLVLRISVRETSILTLKISKKDNPVENYKRANKIFNVDSQPVHVWDFSGQTNLILMNEWNKLHHDCCPADQENLLEVQVYAMSDSLTSKIGGTNKENSLDLDAHSYNRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPMLVDYFLGDYTRNINRTNPLGLNGELALAFGELLRSLWTTDRKPVAPHNFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKRSVTFDPFMYLSLPVPSTAKRAMTVTVFSTDGSREPCSYDVNVPKFGTLSDLVQALSIACLLGDDEILLVAEVYNNCILRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVIFTHQHFDEHSTGDNLTPQKKEFEAPLLAVLPERVNGLSLHNIYLKLLNPFQLSKRASSLNGSAGSNVDSADLMDGTPSDSGSNFQDIQLEDDPGSSKCSTNECEITNAPDELYDGGAADPNKERRVEDFEFYLRNERGDVQQQKIEINELDLLETIPSRLHVNVHWQQNASIQYAASMLNSLPEINKLELTPKGTEDSVALHGCLEAFLKEEPLGPEDMWKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTTDLDLSSYIADKSEQPSSHYCLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDDCVTPISENSIKTPAAYVLFYRRQ >PAN09527 pep chromosome:PHallii_v3.1:2:2242355:2248497:1 gene:PAHAL_2G031500 transcript:PAN09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATASHPASASTSGRDALAAASSSPVAVCLVPFRWWARVREEEAAGGVRYAATAAASPSYYGLRLLHSFLHPDLVLRLERGDGRAGGGAGGAAGGRSYALVPADELSRALARQNSGFGLQNKHSFAGDSAGAYPLVLRISVRETSILTLKISKKDNPVENYKRANKIFNVDSQPVHVWDFSGQTNLILMNEWNKLHHDCCPADQENLLEVQVYAMSDSLTSKIGGTNKENSLDLDAHSYNRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPMLVDYFLGDYTRNINRTNPLGLNGELALAFGELLRSLWTTDRKPVAPHNFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKRSVTFDPFMYLSLPVPSTAKRAMTVTVFSTDGSREPCSYDVNVPKFGTLSDLVQALSIACLLGDDEILLVAEVYNNCILRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVIFTHQHFDEHSTGDNLTPQKKEFEAPLLAVLPERVNGLSLHNIYLKLLNPFQLSKRASSLNGSAGSNVDSADLMDGTPSDSGSNFQDIQLEDDPGSSKCSTNECEITNAPDELYDGGAADPNKERRVEDFEFYLRNERGDVQQQKIEINELDLLETIPSRLHVNVHWQQNASIQYAASMLNSLPEINKLELTPKGTEDSVALHGCLEAFLKEEPLGPEDMWYCPCCRKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTTDLDLSSYIADKSEQPSSHYCLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDDCVTPISENSIKTPAAYVLFYRRQ >PVH63453 pep chromosome:PHallii_v3.1:2:2243745:2249303:1 gene:PAHAL_2G031500 transcript:PVH63453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEWNKLHHDCCPADQENLLEVQVYAMSDSLTSKIGGTNKENSLDLDAHSYNRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPMLVDYFLGDYTRNINRTNPLGLNGELALAFGELLRSLWTTDRKPVAPHNFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKRSVTFDPFMYLSLPVPSTAKRAMTVTVFSTDGSREPCSYDVNVPKFGTLSDLVQALSIACLLGDDEILLVAEVYNNCILRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVIFTHQHFDEHSTGDNLTPQKKEFEAPLLAVLPERVNGLSLHNIYLKLLNPFQLSKRASSLNGSAGSNVDSADLMDGTPSDSGSNFQDIQLEDDPGSSKCSTNECEITNAPDELYDGGAADPNKERRVEDFEFYLRNERGDVQQQKIEINELDLLETIPSRLHVNVHWQQNASIQYAASMLNSLPEINKLELTPKGTEDSVALHGCLEAFLKEEPLGPEDMWYCPCCRKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTTDLDLSSYIADKSEQPSSHYCLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDDCVTPISENSIKTPAAYVLFYRRQ >PVH63454 pep chromosome:PHallii_v3.1:2:2243745:2249303:1 gene:PAHAL_2G031500 transcript:PVH63454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEWNKLHHDCCPADQENLLEVQVYAMSDSLTSKIGGTNKENSLDLDAHSYNRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPMLVDYFLGDYTRNINRTNPLGLNGELALAFGELLRSLWTTDRKPVAPHNFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKRSVTFDPFMYLSLPVPSTAKRAMTVTVFSTDGSREPCSYDVNVPKFGTLSDLVQALSIACLLGDDEILLVAEVYNNCILRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVIFTHQHFDEHSTGDNLTPQKKEFEAPLLAVLPERVNGLSLHNIYLKLLNPFQLSKRASSLNGSAGSNVDSADLMDGTPSDSGSNFQDIQLEDDPGSSKCSTNECEITNAPDELYDGGAADPNKERRVEDFEFYLRNERGDVQQQKIEINELDLLETIPSRLHVNVHWQQNASIQYAASMLNSLPEINKLELTPKGTEDSVALHGCLEAFLKEEPLGPEDMWKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTTDLDLSSYIADKSEQPSSHYCLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDDCVTPISENSIKTPAAYVLFYRRQ >PAN09528 pep chromosome:PHallii_v3.1:2:2243745:2249303:1 gene:PAHAL_2G031500 transcript:PAN09528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSRQNSGFGLQNKHSFAGDSAGAYPLVLRISVRETSILTLKISKKDNPVENYKRANKIFNVDSQPVHVWDFSGQTNLILMNEWNKLHHDCCPADQENLLEVQVYAMSDSLTSKIGGTNKENSLDLDAHSYNRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPMLVDYFLGDYTRNINRTNPLGLNGELALAFGELLRSLWTTDRKPVAPHNFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKRSVTFDPFMYLSLPVPSTAKRAMTVTVFSTDGSREPCSYDVNVPKFGTLSDLVQALSIACLLGDDEILLVAEVYNNCILRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVIFTHQHFDEHSTGDNLTPQKKEFEAPLLAVLPERVNGLSLHNIYLKLLNPFQLSKRASSLNGSAGSNVDSADLMDGTPSDSGSNFQDIQLEDDPGSSKCSTNECEITNAPDELYDGGAADPNKERRVEDFEFYLRNERGDVQQQKIEINELDLLETIPSRLHVNVHWQQNASIQYAASMLNSLPEINKLELTPKGTEDSVALHGCLEAFLKEEPLGPEDMWYCPCCRKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTTDLDLSSYIADKSEQPSSHYCLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDDCVTPISENSIKTPAAYVLFYRRQ >PVH63452 pep chromosome:PHallii_v3.1:2:2242355:2248497:1 gene:PAHAL_2G031500 transcript:PVH63452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATASHPASASTSGRDALAAASSSPVAVCLVPFRWWARVREEEAAGGVRYAATAAASPSYYGLRLLHSFLHPDLVLRLERGDGRAGGGAGGAAGGRSYALVPADELSRALARQNSGFGLQNKHSFAGDSAGAYPLVLRISVRETSILTLKISKKDNPVENYKRANKIFNVDSQPVHVWDFSGQTNLILMNEWNKLHHDCCPADQENLLEVQVYAMSDSLTSKIGGTNKENSLDLDAHSYNRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPMLVDYFLGDYTRNINRTNPLGLNGELALAFGELLRSLWTTDRKPVAPHNFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKRSVTFDPFMYLSLPVPSTAKRAMTVTVFSTDGSREPCSYDVNVPKFGTLSDLVQALSIACLLGDDEILLVAEVYNNCILRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVIFTHQHFDEHSTGDNLTPQKKEFEAPLLAVLPERVNGLSLHNIYLKLLNPFQLSKRASSLNGSAGSNVDSADLMDGTPSDSGSNFQDIQLEDDPGSSKCSTNECEITNAPDELYDGGAADPNKERRVEDFEFYLRNERGDVQQQKIEINELDLLETIPSRLHVNVHWQQNASIQYAASMLNSLPEINKLELTPKGTEDSVALHGCLEAFLKEEPLGPEDMWKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTTDLDLSSYIADKSEQPSSHYCLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDDCVTPISENSIKTPAAYVLFYRRQ >PVH63441 pep chromosome:PHallii_v3.1:2:2068753:2080508:-1 gene:PAHAL_2G029400 transcript:PVH63441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLQRSRPANPRKRPPPPPDAEPPGAAGADSSAAVDAAAALLADAGCTLLVPPHQAPPLPSPHAFAACLGRALSADPSAAPRLLAGVAAFAAASPARLRQLLLPAAPHAPSLARALLSVPALQPGLLALLLEKLPEHFDDGGGGALDGLPLQDDVGRLIVSQFRWLDFLADADAFVEKLVEVLSVAPPRLKKEIIGSLPEIVGDQSHAAVVVALEKLLQEDSEVVVAVLDVLSDLNLNEELQEQAVTVAISCIRTITPDQMPHLLRFLLLSATPANAGRIILQIREQLRFVGVVDPWAARSKKLKGKASANSTDGAILDTLRSGLRFKNMLCEAFLKELKTVDHPKDHKVIDVWLIMLIYANGGARQKSAEKILKSKILRGCIRETLFDQCIRGNTELVKEHFMSFLSVSDYLLACKEEKAREFASYLFTALFEEFTDTYSRQELVGSLVAHIGSGVSYEVLSSLDIMISLTSNNSEELIPISSHITGILDYLESFHEDNLRKVYDIFCQLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIAHVDVNAAVNCSSYQQPNCEEALELLKMSVNSCKFVTLPLIFLYDELAALLESKVLHSAILEWVGDYVAEFDTPFLADLNNGKLSEKHQYDGIEGELWMNLDGDVSPICVNIMPIASTMPQKSQPCLQILPSQFSLLTTIERLVNEGSLGGINALLGCPLHLPSTKHLDGAKWGNLSGLQKKAVCHSLYYAINWIRELLNAFSTQVASRVDNFSQKARDETAVKLLKRLRNLILLEGLLNAFLKNYPLSLPELRYLGDCSGSTSTSKFNLPKKMGEESMDGTSSNKRQKGCKDKAASEKRNPDDKLNQPTILDAFKRAGVTVSQTTNKASSQPSSSGMMSKDIEQEANDPGELGLVDLMTAPVQLDMQRFKFRTLHTRCLSLLNYSECQDSSCSSLETELPIYLYLLRDLHNKLDNLNPATKPFLSTSHPKCSHVHCHDNTQEFLDKIQPLFSVLRKHLDGAVSMIRDESESCTDNWSSHSSSASNPDIPYVVVSKSSIATAVCKEILGCYRKLVAIPDLLNQPNMSGLKQLLQTLQPTENFDDILSEFQPSLAPSNVDYLYCGACKMFEDIMDPVCSFSYLLSSDVLITMQSIVNSVVVLLDESGESNQKKIQIGCSKAIIPFLRKRLGQSAHKLLTADQPSEHAENGWQSKGDLIQKILQIYLRNSESTSDLLCELCKALTQAPSLKTKGTQEASNGFPTLCSSTIPTWYRVLHEENTGTLNKKIKQALKTRALPERGSIDTILEEIQKSVEAFVYLIQMCKTHEKVSIHAMAVKHGGKYIDTFLKAFNLLETQFKEHNAIILKMLKELQKATRTIQTICSEAKGYKRTMITSKVPPAKRSLEKFLFQVKALLHNCSTEKKFWMGNLKHKDMHGHVVSSQVYGSVDDEDEQMETDSDTPADENDNTMDEDAAEGSNDVPMEEE >PAN12280 pep chromosome:PHallii_v3.1:2:42036922:42041937:1 gene:PAHAL_2G255200 transcript:PAN12280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLAAAAALLVVPVSPAPLVRLGPSRRPRPTAIRAAALRTLPRRLELWPQRLAAAESTPPPSSAQPAPDSSSGLDAGSGGGGSGGDGGGGSADLGWLRVFPHVLTASMANFLFGYHIGVMNGPIEDIAQELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDKFGCKRTLQIDSIPLIIGALLSAQADSLDEILLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGSLGTLCQIGTCLGIIAALSLGIPSENDPHWWRTMLYAACIPGFLIVVGMQFAVESPRWLAKVGRFDDARKVVESLWEPSEVNKSMEEIKAVVANDDSQSSWSELLVEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGALASLYVGITNFGGALVASNLMDKQGRKKLLIGSYLGMAFAMFLIVYGISFPVDEGVAHSLSITGTLLYIFTFALGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLELVNKFGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSLSPATPGKRE >PAN10221 pep chromosome:PHallii_v3.1:2:5941762:5943181:-1 gene:PAHAL_2G079600 transcript:PAN10221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPQAAGREDRDYKQMKQAVDEAYRAAARGDGGPFGAVILRDDGAVLASRHNLVRKNTDPSAHAEVAAIRQEARKTRPLRLLDLRVVRAVPDVLRLDSHSQDQEGGVCLYGAKAEAAVAAGFDASIPDAFVEYYRKSGIEVRQVEGEAARIAEQVFEKAWEAPGEAMRRRRGGGWFEKARGMVKSSRLCCPWD >PAN10220 pep chromosome:PHallii_v3.1:2:5941762:5943182:-1 gene:PAHAL_2G079600 transcript:PAN10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPQAGREDRDYKQMKQAVDEAYRAAARGDGGPFGAVILRDDGAVLASRHNLVRKNTDPSAHAEVAAIRQEARKTRPLRLLDLRVVRAVPDVLRLDSHSQDQEGGVCLYGAKAEAAVAAGFDASIPDAFVEYYRKSGIEVRQVEGEAARIAEQVFEKAWEAPGEAMRRRRGGGWFEKARGMVKSSRLCCPWD >PVH63663 pep chromosome:PHallii_v3.1:2:5942116:5943096:-1 gene:PAHAL_2G079600 transcript:PVH63663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPQAAGREDRDYKQMKQAVDEAYRAAARGDGGPFGAVILRDDGAVLASRHNLVRKNTDPSAHAEVAAIRQVAEMRSTVAFFHLFPHTVAGVQEARKTRPLRLLDLRVVRAVPDVLRLDSHSQDQEGGVCLYGAKAEAAVAAGFDASIPDAFVEYYRKSGIEVRQVEGEAARIAEQVFEKAWEAPGEAMRRRRGGGWFEKARGMVKSSRLCCPWD >PVH64532 pep chromosome:PHallii_v3.1:2:45230389:45231363:-1 gene:PAHAL_2G296200 transcript:PVH64532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEAHKDSPQTQTRGKLRRRLLVALNCGMLALGTTAGPLLTRLYYDKGGDREWLSAWLQSAGWPLLLVPVAASYTARRARDRAAPVLLTPPRVLLAAAALGLATGADNFIYAYSLRYLPVSTSAILISTQLAFTVFFALLIVRQRLTAATVNAVALLTAGAAVLGLHVSSDRPAGATKAQYLMGFALALGAAALYGLILPLVELAYRRAAGGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQHCVR >PVH65014 pep chromosome:PHallii_v3.1:2:51850184:51850684:1 gene:PAHAL_2G403100 transcript:PVH65014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTNKALMCFWLLLLLAVSSEEVGALDDCYPAVTIGCTKDSHCRKPCCNDDDTYTDWICRDLLCLCCKNFDNPKSCSDS >PVH63808 pep chromosome:PHallii_v3.1:2:8994598:8999592:-1 gene:PAHAL_2G111200 transcript:PVH63808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAYGIAKVTGVALCLAGVLLIAFFAGPALSPINHHHAFHSGQTPSAPPGRLTWIKGTFLKILGDMIWSLWITLQAALLKEYPNKMLVTVTQSVFSTVQLFVVATVAERDISRWKLGLDFRLLAVLYTGFVVAGVCSYLQVWCMEMKGPVFLAMWFPLCSVLTIFCSSFFLGEIVQLGSILGAILLIGGLYSVLWAKSKETMIEPCSEVNPVESAKDDKEQNKPEVHQEDGRGKKEEETSAYTVERVYPLV >PAN09755 pep chromosome:PHallii_v3.1:2:3574789:3577422:-1 gene:PAHAL_2G049000 transcript:PAN09755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLKSRRLPFYKDGHPDLVAQVKSTAKNMKEEDIKPHQPIQLRRSRWVLGDVTEVLDQRSWRLGKITEVLKNDYFAIRLVGFIQPREFHISCLRIPHAYHNKQLTVEDRDIQAYEEDDYNTRRKATNVCASTSSRTVKRKLEESRMPPNDLVRRTGKKQKVAAYEVCQLTKNVLPLKMSDRNDIDGDRFYRPLSGRCNDLTKNNNAKRKPDCKVLPSSEIPLHIREANECSVASCSVNYLEYCTNDEEQSVRIRSCFPDDAMSACPSMSAQENNNVYGSGLHMNVHELELQAYQSTVRAFHAAGPLTWEQESLLTNLRLSLNISNEEHLDQLKHLLSL >PAN09757 pep chromosome:PHallii_v3.1:2:3574796:3577422:-1 gene:PAHAL_2G049000 transcript:PAN09757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLKSRRLPFYKDGHPDLVAQVKSTAKNMKEEDIKPHQPIQLRRSRWVLGDVTEVLDQRSWRLGKITEVLKNDYFAIRLVGFIQPREFHISCLRIPHAYHNKQLTVEDRVSELSKPVRLVGHSSHHSKFVMEQDIQAYEEDDYNTRRKATNVCASTSSRTVKRKLEESRMPPNDLVRRTGKKQKVAAYEVCQLTKNVLPLKMSDRNDIDGDRFYRPLSGRCNDLTKNNNAKRKPDCKVLPSSEIPLHIREANECSVASCSVNYLEYCTNDEEQSVRIRSCFPDDAMSACPSMSAQENNNVYGSGLHMNVHELELQAYQSTVRAFHAAGPLTWEQESLLTNLRLSLNISNEEHLDQLKHLLSL >PAN14694 pep chromosome:PHallii_v3.1:2:53440721:53441737:-1 gene:PAHAL_2G430500 transcript:PAN14694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPQLLGSPRISLADPVSTSISCSRGAANGGRRRRRAKPKPIAFPPKPVRRLVSTSLRRLIPRPRPLTVVLPGGGGGWFRLGRRKKTPAEDLAVVALSLALGGDRLATLAEAWNASGLGQALGVWAAVLGRGRRTRRGGLRRLAAFLLGVAFCALVCHLRGASLLAGLQKSGGGRKLVRIFLH >PAN14127 pep chromosome:PHallii_v3.1:2:50771858:50777982:1 gene:PAHAL_2G385700 transcript:PAN14127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNGACAAAGRLVLSLVGLLVVPLLVAGEDPYRFFTWTVTYGDIYPLGVKQQGILINNQFPGPQIEAVTNDNLIINVFNKLNEPFLLSWSGLQQRRNSFEDGVAGTTCPIPPGANFTYILQAKDQIGTYYYFPSLAFHKAAGGFGSIRVLSRPQIPVPFPPPAADYTALIGDWYRANHTDLRYVLDSGKALGFPDGLLINGRGPNGYTFTVQPGKTYRFRITNVGLATSLNIRFQGHTMKLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPGFDYAIVVSTRFTTRIISTTAVLHYANSAGKAPGPLPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVPVTRTIRLANSAATINGKQRYAVNSVSYVNPDTPLKVADYYKIGGVFSVGTIADNPSYGGAYLQTSVMGANYRDYVEIVFENSEDEVQSWHIDGYAFWVVGMDGGKWSAASRQGYNLRDGVSRYTVQVYPRSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVWTPSTSLRDEFPIPKNALLCGRAAGRRTRPL >PAN12864 pep chromosome:PHallii_v3.1:2:45217717:45223938:-1 gene:PAHAL_2G296000 transcript:PAN12864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEARNDSPPARSKAMHLLMVALNCAMLGLGITGGQLLSRLYYNEGGHRQWLAAWLQTGGWPLLLVPVAASYAGRRARDPGAAVLLAPPRVLLGAAGIGLLIGADNFLYAYGLEFVPVSTSAILISTQLVFTVFFAFLIVRQRFTAPTVNAVALLTTGAVMLGLHVSSDRGPGVTRGQYWLGFVLTLGAAVLYGLIMPLVELAYKHAAKGGSALTYALVVEMQLVMGFVATAFCTVGMIVNKDFQAIPREAKNFELGEARYYTVLVWAAVLWQFFFLGAVGVIFCVHTLLAGILIAVFIPVTEVASVIFLHEKFSSEKGVALVLSLWGLASYSYGEWSEARAKKKTAEAAAEAQAP >PAN12863 pep chromosome:PHallii_v3.1:2:45217615:45221877:-1 gene:PAHAL_2G296000 transcript:PAN12863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEARKDAAPARGKAVRRLLVALNCGMLALGAVGGPLLSRLYFSKGGHRQWLSAWLETAGWPLLLLPVAASYAARRARDGRGAPALLAPPRILLAAAGLGVATGVDDFIYAYGLSYLPVSTSAILIATQLAFTVLFAFLVVRQRLSAATVNAVALLTVGAVVLGLHVSGDRPRGVTRGQYWLGFALTLGAAALYGLVLPLVELAYRRAAAGGGRAVTYALVIEVQLVMGFFATAFCTVGMIVNKDFQAIPREAKNFELGEARYYTVLVWAAVLWQFFFLGAVGVIFCVHTLLAGILIAVFIPVTEVASVIFLHEKFSSEKGVALVLSLWGLASYSYGEWSEARAKKKTAEAAAEAQAP >PVH64025 pep chromosome:PHallii_v3.1:2:21467813:21468369:1 gene:PAHAL_2G166700 transcript:PVH64025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIQTTSPKTSSRVSSCTQSCLWPGPPPEFRMAQDSDDPLFVASVVVWVLVVILVIVALHCPLPRRVVR >PVH63322 pep chromosome:PHallii_v3.1:2:311240:313292:-1 gene:PAHAL_2G004300 transcript:PVH63322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKIYIVYYSTWGHVAALAEELKKGAESVDGVEATVWRVPETLPEEVLGKMHAAPQREEHPVISARQLAEADGVLLGFPTRFGMMAAQMKAFLDSTGGLWQEQALAGKPAGFFFATGTQGGGQESTALTAVTQLAHHGMLFVPLGCTFGARMFGMDEVRGGSPYGAGTFAGADGSRTPSETELAMAQHQGKHFAAVAKKLKA >PAN09205 pep chromosome:PHallii_v3.1:2:310866:313292:-1 gene:PAHAL_2G004300 transcript:PAN09205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKIYIVYYSTWGHVAALAEELKKGAESVDGVEATVWRVPETLPEEVLGKMHAAPQREEHPVISARQLAEADGVLLGFPTRFGMMAAQMKAFLDSTGGLWQEQALAGKPAGFFFATGTQGGGQESTALTAVTQLAHHGMLFVPLGCTFGARMFGMDEVRGGSPYGAGTFAGADGSRTPSETELAMAQHQGKHFAAVAKKLKA >PAN13093 pep chromosome:PHallii_v3.1:2:46297915:46300708:-1 gene:PAHAL_2G313600 transcript:PAN13093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLLAAVLLVAALACGGAHAEFSRHSFPKDFVFGTGSAAYQYEGAYKEGGKGLSIWDNFTHIPGKILNNDNGDVADDMYHRYKEDVQLLKDMNMDAFRFSIAWTRIFPNGSLSGGVNKEGVAFYNNLINEVLAKGLRPFVTIFHWDTPLALEEKYGGFLSENIIKDYVDFADVCFREFGDRVKDWTTFNEPWTYAQRGYAVGLFAPGRCSPHVSKSCFPGDSAREPYVVTHNILLAHAEAVALYRAKYQAAQRGQIGITVVTNWYVPNTDSAEDRRAVQRSLDFMYGWFLDPIVHGAYPGTMTSFLGDRLPGFTPEQIKLVKGSYDFIGVNYYTGYYTSAAPAPNGLEQSYDTDIRANTSGFRNGVPIGKPEFVSIFFNYPAGLRELLLYTARRYNNPVIYVTENGIAEGNNSTLPLREALKDGHRIEFHSRHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKQSSYWIEKFLKR >PAN14295 pep chromosome:PHallii_v3.1:2:51579347:51582567:-1 gene:PAHAL_2G398400 transcript:PAN14295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHLLPMAAILAAAAALLAVPAAGYPWPVCGGTSSFKANSTYQAHLDSVAATLPKNASTSPDLFATAVVGTIPQQLRAMGFCRGDINATDCFSCLTQAFKDLSNDCSYDKDGTIYYDPCILHYSGVRTLPGNDTGPTTETYTVNSNAIVTSDPARFISLRAALINATVAYAANNSTRLFATGEADFNHEFPKVYTLAQCRPDRTPAQCRRCLATIVAGNLGGFQNYAGGRVLAINCTYRYETVPFFNGPAMVRMASPISGAPAPAPASTPGPAVQPTVGTSPDARLGRRKYSTVAIVVLAVGLPTLAASNLVACICFWRRRRPIEQVKKQSYPMYSAEAEDMETVDSMMIDVSTLRAATRDFDESNKLGEGGFGAVYKGVLPDGDEIAVKRLSKSSTQGVEELKNELALVAKLKHRNLVRLVGVCLEQQERLLVYEFLPNRSLDLILFDTENEEREQLDWGQRYRIINGVARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTNRVVGTYGYMAPEYQMRGNYSVKSDAFSFGVMVLEIVTGRKNSNDGDRPQQSGHLLTTVWEHWEAGTVAELVDPGMSGSFPVGDVLKCIHIGLLCVQGDPAARPVMSSVVMMLGSDTITLQAPSKPAFFARNNGTDNTTVSTASVQG >PVH65000 pep chromosome:PHallii_v3.1:2:51579740:51582412:-1 gene:PAHAL_2G398400 transcript:PVH65000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHLLPMAAILAAAAALLAVPAAGYPWPVCGGTSSFKANSTYQAHLDSVAATLPKNASTSPDLFATAVVGTIPQQLRAMGFCRGDINATDCFSCLTQAFKDLSNDCSYDKDGTIYYDPCILHYSGVRTLPGNDTGPTTETYTVNSNAIVTSDPARFISLRAALINATVAYAANNSTRLFATGEADFNHEFPKVYTLAQCRPDRTPAQCRRCLATIVAGNLGGFQNYAGGRVLAINCTYRYETVPFFNGPAMVRMASPISGAPAPAPASTPGPAVQPTVGTSPDARLGHEFDVMFAHTGRRKYSTVAIVVLAVGLPTLAASNLVACICFWRRRRPIEQVKKQSYPMYSAEAEDMETVDSMMIDVSTLRAATRDFDESNKLGEGGFGAVYKGVLPDGDEIAVKRLSKSSTQGVEELKNELALVAKLKHRNLVRLVGVCLEQQERLLVYEFLPNRSLDLILFDTENEEREQLDWGQRYRIINGVARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTNRVVGTYGYMAPEYQMRGNYSVKSDAFSFGVMVLEIVTGRKNSNDGDRPQQSGHLLTTVWEHWEAGTVAELVDPGMSGSFPVGDVLKCIHIGLLCVQGDPAARPVMSSVVMMLGSDTITLQAPSKPAFFARNNGTDNTTVSTASVQG >PVH64999 pep chromosome:PHallii_v3.1:2:51580611:51582412:-1 gene:PAHAL_2G398400 transcript:PVH64999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHLLPMAAILAAAAALLAVPAAGYPWPVCGGTSSFKANSTYQAHLDSVAATLPKNASTSPDLFATAVVGTIPQQLRAMGFCRGDINATDCFSCLTQAFKDLSNDCSYDKDGTIYYDPCILHYSGVRTLPGNDTGPTTETYTVNSNAIVTSDPARFISLRAALINATVAYAANNSTRLFATGEADFNHEFPKVYTLAQCRPDRTPAQCRRCLATIVAGNLGGFQNYAGGRVLAINCTYRYETVPFFNGPAMVRMASPISGAPAPAPASTPGPAVQPTVGTSPDARLGHEFDVMFAHTGRRKYSTVAIVVLAVGLPTLAASNLVACICFWRRRRPIEQVKKQSYPMYSAEAEDMETVDSMMIDVSTLRAATRDFDESNKLGEGGFGAVYKGVLPDGDEIAVKRLSKSSTQGVEELKNELALVAKLKHRNLVRLVGVCLEQQERLLVYEFLPNRSLDLILFGTDRTALKRTPFATFTSSHH >PAN13624 pep chromosome:PHallii_v3.1:2:48680846:48683003:1 gene:PAHAL_2G351800 transcript:PAN13624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVLSSKLVRPAYNAGADPAPAAGGEYIPLSVFDRVTYKMQMAIIYAFPPPAPSTAAVEKGLAAVLAEYRAFAGQLGEAPDGTPALLLNDRGARFVEAEVDADLVDMAPAKPTPELLRLHPDLEGDLQEVVRLQLTRFRCGSLAVGFTSNHVAADGHATSNFLVAWGRATRGLPTGLPPVHHQAGLFKPRASPRVEFDHRSREYRLPSPADEQHGHGEGAAADNIVIHKAHFTKDFIAALRGKASEGRGRPFSRFETILAHLWRTMTRARGLNPEETSQIRLSVDGRHRLGLPAEYFGNLVLWAFPTATVADLLGRPLRHAAQVIHDEVARVDGGYFRSFIDFASSGAVEREGLAPSAVCRDVLCPNVEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLVPSYIGDGSVDAFVPVFQHNLEAFKECCYAME >PAN14859 pep chromosome:PHallii_v3.1:2:54579943:54582872:-1 gene:PAHAL_2G449500 transcript:PAN14859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNSPTLPLPPAAVGRRVTVLTIDGGGIRGIIPGTILAFLEKKLQELDGPDARLADYFDYIAGTSTGGLITAMLAAPDKDKRPLFSAEKINEFYMENGPRIFPQRPWPDVVSTLIELKGPKYDGKFLRSKIQSLLGATRMHETLTNVVIPTFDVKNLQPTIFSTFDAQTMPLKDALLSDVCIGTSAAPTYLPAHFFQTRDEASGKTRDFNLIDGGVSANNPTLLTINQITRKMIVEKQELLPRGPTDYDRFLVISLGTGSAKGAAMYTAKEAAGWGILSWLRSKGGYTPVVDMFSYSSAALVDYNISILFQALGCERNYLRIQDDSLRGTAATVDVATEENMRELVRIGEGMLAKTVSRVDMETGKPVPVPEEGTNADALTRFAKKLSQERKARTMSSASNQGRPGGAF >PAN09452 pep chromosome:PHallii_v3.1:2:1770117:1774635:-1 gene:PAHAL_2G024700 transcript:PAN09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIQPVLDERMDPFLGRLTRPTTIDQSWCTTQSGLSLFNGPFPDARISSAELNNSFIEFKDTTMPSDVPNSFEPFATVASWLRQHLLDANVEVTYTEYLDLMKVEIDQRLNKLTEDIRVFKSYNLTHKYDANGSCSTACHVGKLTEIDEGFNCLKVLLVVVFQQIREMLTLVNASIRDLQCEHELQLELTGIIIGDRIRGLQDELERKLYEQSSIVRSLRKNWQETVVQCASIREDLIAISDILLPSEEEPHIPLCRHESLGNWSDRWKFSFFRKRTHQDHSLSSSEQNQNSATQKSISSSEVISEKSDFRHLKGMNRQEMLNYFRSEISKLKRLHELDLQEKTEELFKFKREKWSLALKYDVEFEPLRKKFPEVISRFDQIMSNGMTAPTTCSTSDALDERSRLNSRIDSLYHDNQHLRCLLAEKTKNVQELSRQVSDASRKMSLQYSLEKQLLRQVNNTKEEYEDLYVENTIRNEIYQTVIRKLIDSHRNILVDTAQNFHAKLSSYEAALSEKDKALCLSNEENQKLKEKLSTLEKEHFIQYNQQDPELNKEESEEMILRDIEMEPHVAPQRSYEISDQAMPYEELIKLNQTLEVASTTLKEVETKTLDYSDILGKREQEEQLDCILVSIMGLSKEFVEIEYKMSEDIKGNEKKTEILNDRCNHMVQQAIVLTKKGLWYKQMIDTRRSELQKAESEVDILGNKVNALLSLVQKIYVTLEHYSSVFQHHPVLLDAFLKTCKLVAGIRSRQKEELQDTT >PAN09453 pep chromosome:PHallii_v3.1:2:1768994:1775076:-1 gene:PAHAL_2G024700 transcript:PAN09453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIQPVLDERMDPFLGRLTRPTTIDQSWCTTQSGLSLFNGPFPDARISSAELNNSFIEFKDTTMPSDVPNSFEPFATVASWLRQHLLDANVEVTYTEYLDLMKVEIDQRLNKLTEDIRVFKSYNLTHKYDANGSCSTACHVGKLTEIDEGFNCLKVLLVVVFQQIREMLTLVNASIRDLQCEHELQLELTGIIIGDRIRGLQDELERKLYEQSSIVRSLRKNWQETVVQCASIREDLIAISDILLPSEEEPHIPLCRHESLGNWSDRWKFSFFRKRTHQDHSLSSSEQNQNSATQKSISSSEVISEKSDFRHLKGMNRQEMLNYFRSEISKLKRLHELDLQEKTEELFKFKREKWSLALKYDVEFEPLRKKFPEVISRFDQIMSNGMTAPTTCSTSDALDERSRLNSRIDSLYHDNQHLRCLLAEKTKNVQELSRQVSDASRKMSLQYSLEKQLLRQVNNTKEEYEDLYVENTIRNEIYQTVIRKLIDSHRNILVDTAQNFHAKLSSYEAALSEKDKALCLSNEENQKLKEKLSTLEKEHFIQYNQQDPELNKEESEEMILRDIEMEPHVAPQRSYEISDQAMPYEELIKLNQTLEVASTTLKEVETKTLDYSDILGKREQEEQLDCILVSIMGLSKEFVEIEYKMSEDIKGNEKKTEILNDRCNHMVQQAIVLTKKGLWYKQMIDTRRSELQKAESEVDILGNKVNALLSLVQKIYVTLEHYSSVFQHHPVLLDAFLKTCKLVAGIRSRQKEELQDTT >PVH63422 pep chromosome:PHallii_v3.1:2:1768994:1773866:-1 gene:PAHAL_2G024700 transcript:PVH63422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLGRLTRPTTIDQSWCTTQSGLSLFNGPFPDARISSAELNNSFIEFKDTTMPSDVPNSFEPFATVASWLRQHLLDANVEVTYTEYLDLMKVEIDQRLNKLTEDIRVFKSYNLTHKYDANGSCSTACHVGKLTEIDEGFNCLKVLLVVVFQQIREMLTLVNASIRDLQCEHELQLELTGIIIGDRIRGLQDELERKLYEQSSIVRSLRKNWQETVVQCASIREDLIAISDILLPSEEEPHIPLCRHESLGNWSDRWKFSFFRKRTHQDHSLSSSEQNQNSATQKSISSSEVISEKSDFRHLKGMNRQEMLNYFRSEISKLKRLHELDLQEKTEELFKFKREKWSLALKYDVEFEPLRKKFPEVISRFDQIMSNGMTAPTTCSTSDALDERSRLNSRIDSLYHDNQHLRCLLAEKTKNVQELSRQVSDASRKMSLQYSLEKQLLRQVNNTKEEYEDLYVENTIRNEIYQTVIRKLIDSHRNILVDTAQNFHAKLSSYEAALSEKDKALCLSNEENQKLKEKLSTLEKEHFIQYNQQDPELNKEESEEMILRDIEMEPHVAPQRSYEISDQAMPYEELIKLNQTLEVASTTLKEVETKTLDYSDILGKREQEEQLDCILVSIMGLSKEFVEIEYKMSEDIKGNEKKTEILNDRCNHMVQQAIVLTKKGLWYKQMIDTRRSELQKAESEVDILGNKVNALLSLVQKIYVTLEHYSSVFQHHPVLLDAFLKTCKLVAGIRSRQKEELQDTT >PAN09696 pep chromosome:PHallii_v3.1:2:3160678:3165206:1 gene:PAHAL_2G045100 transcript:PAN09696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSFLSESPCDEQHIHGYGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCMPSERPGLYLVQSQVFRGLGEAKLCQRSLHSAWHCASTVHEKVIFGAWLRYKKCGEEIISDMLAACRKCCREFGPLDIASEMPVGNFQIFGSCEMGSSSRVSSMVTFQIRDGRVTCDRCKIASLSIPFFSMLNGPFTESQLELVDLSENSISLEGMRAVSEFSSTYSLGDFPLEILLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMALAFEENAPVLAASCLQMLLQELPDCLTDDLVISLFLGATAQQQLIMVGQTSFLLYCLLSEVAMNIDPRTETTVCLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYNEAERPFEVAFSAGHIYSIAGLARIASMQGQKALAYEKLSSVIASYLPLGWMYLERSLYSEGDRKLADLDKATELDPTLTYPYMYRAASLMRKKDAKLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKSVLYFRQSLLLLRLNCPEAAMRSLQLARHHAATVHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCGKLDSAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQTVTQLDPLRVYPYRYRAAVLMDSHKENDAIAELSRAIAFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >PAN09867 pep chromosome:PHallii_v3.1:2:4033714:4034298:1 gene:PAHAL_2G054900 transcript:PAN09867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVGPLLVAMASSLDAPGLQFFCWLITAGSFGLAALIYALLRLQREASLYRTKAAAREKRAAWKTLRGAVPELQPHVDRGLLPRWAIHSHRRVACVCLSSLGSAQGVVGSRAAEADVVHRCSVCGVAAHSYCSRGAEKDCKCVAQAGVSLSPLLHHWLWRRQRQRQRLACLPVKAARRHVVIDARVKGKMVFS >PAN12399 pep chromosome:PHallii_v3.1:2:42989730:42993323:1 gene:PAHAL_2G265900 transcript:PAN12399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARCAHADAGGFRLWPIFSAAALRRKVLEVLTCGGGGGRDGGGSCRGRTPYRSPQRMPRPRPRSDRLAELLRAEEPSECGGEDDEADAAARKVEALEELKGVVGALQAAGGEACMSRVEAAAAVRRKAKDDAAAREMLAMLGAIPPLVAMLDEGGDGGEEVTAAALYALLNLGIGNDTNKAAIVQAGAVHKVLRIAEAGASAALTEAVVANFLCLSALDANKPVIGASGAAPFLVRAFQGACSTEQARHDALRALLNLSIAPANAPHLLAAGLAPALVASIGDAPITDRALAALCNIVAACPEGRRAVSRAPDAVPSLVDVLNWADEPGCQEKAAYVLMVLAHRSYGDRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADDASGVVATVSAPQERGCRGEEAVDGEPADACMSAEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPASAESLKALTASSTSKSLPF >PAN12777 pep chromosome:PHallii_v3.1:2:44862341:44872022:1 gene:PAHAL_2G290700 transcript:PAN12777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGMSALVTGGASGIGKALCIAFAQKGLFVTVVDFSEENGREVAVLIQKENNKFHRDLRVPSSIFVRCDVSNADSLAAAFEKHVQTYGGLDICINCAGIANKTLVYDDTSDGARTWRHAVNVNLVAVIDGTRIASQIMRSQKKPGVIINIGSAAGLYPMFFDPIYSATKGGVVMFTRSLVPLKRHGVRVNVLCPEFVQTNLAEQMSRRIVDSTGGYLKMEDIVNGAFELIQDESKAGACLWITKRRGMEYWPTPEEQRKYMVNPSKSKRTLTKNIYPSIQMPESFEKIVVHTLSHNFRNATRLEHVRLRLPVEPHSALVKIIYAGVNASDVNFSSGRYFSGNPKEIASRLPFDAGFEGVGIVAAVGDSVNHIKVGTPVALMTFGSYAEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAELLASLGADRVINYRNESIKDVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLIVIGMISQYQGEGGWKPKNYTGLCEKILAKSQTVAGFFLVQYAHLWQDHLDKLFDLYASGKLKVSLDPKKFLGVASVPDAVEYLHSGKSVGKVVVCIDPSYSQVVAKL >PAN12780 pep chromosome:PHallii_v3.1:2:44863320:44872132:1 gene:PAHAL_2G290700 transcript:PAN12780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFEVQSAGKALCIAFAQKGLFVTVVDFSEENGREVAVLIQKENNKFHRDLRVPSSIFVRCDVSNADSLAAAFEKHVQTYGGLDICINCAGIANKTLVYDDTSDGARTWRHAVNVNLVAVIDGTRIASQIMRSQKKPGVIINIGSAAGLYPMFFDPIYSATKGGVVMFTRSLVPLKRHGVRVNVLCPEFVQTNLAEQMSRRIVDSTGGYLKMEDIVNGAFELIQDESKAGACLWITKRRGMEYWPTPEEQRKYMVNPSKSKRTLTKNIYPSIQMPESFEKIVVHTLSHNFRNATRLEHVRLRLPVEPHSALVKIIYAGVNASDVNFSSGRYFSGNPKEIASRLPFDAGFEGVGIVAAVGDSVNHIKVGTPVALMTFGSYAEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAELLASLGADRVINYRNESIKDVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLIVIGMISQYQGEGGWKPKNYTGLCEKILAKSQTVAGFFLVQYAHLWQDHLDKLFDLYASGKLKVSLDPKKFLGVASVPDAVEYLHSGKSVGKVVVCIDPSYSQVVAKL >PVH65088 pep chromosome:PHallii_v3.1:2:52908104:52909101:-1 gene:PAHAL_2G420900 transcript:PVH65088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDSVNRPADEEHGLFVCWLHHAYGLFFRVRVEVESGGAYRFGWNQTGMAFERWNLESCWHTTWALLKCWGVC >PVH65152 pep chromosome:PHallii_v3.1:2:53726545:53727081:1 gene:PAHAL_2G434300 transcript:PVH65152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVPGVGEQKSAKKVDEAGQDDDNTSVPWLKLGLDAPKSEEAKPPEAKSVATPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHRFQMMMGLPPAASILQPLRVNSHSTVLKEHGDRAAVVVARFDGGQMSAWMPFAVEEAGGLVWPGSFTASSPESKKQTEKNLDLTLRL >PVH63726 pep chromosome:PHallii_v3.1:2:6989255:6991536:-1 gene:PAHAL_2G093200 transcript:PVH63726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGAVWCAATSQHITLTPVLSPPARSSSLSLSLSLSLSLSVELVGWLAAARRTPWRWAARQCRRRCRRWRRARSGRTASSGSSARTRARWCGRRATTTVPSRRARRRWRRAGIRRRRTRRRGGAAAGSCGSSTTRWQGTPPLLAAGTPWLAGRAPRWRRRTSRRRSGSTSCCLGRHLQGEVMCGSVGQTKLTAKYFQEQFLPGRVQVSRQSPAFQLMMMVSWKLELQRK >PAN12087 pep chromosome:PHallii_v3.1:2:40758714:40763482:1 gene:PAHAL_2G241300 transcript:PAN12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGVEPPAPPAEAAAGLSPRRLRHRRASAKRSWSSGCGRSPAPPPAAGDGLNGAVGGARVLGGGADEVVADPAAVSPPAQNGSLLRQQGSDAVDEAAVSPVARNGALLRQQGLDNVEAALGPVQNGVPPRQGHNKMGETVAPSPVSPVASNSGIQRTLPESGLEKAGVDEDRGENGETQLLDDAGVPAMEGQEGNRLVKVMAPGVAVPDGCDIVGSGSSAQNGGERCGLLAAEGDVGREEVAGDGDVMEMRNRAGGGESERKENGFAGSRAKRCLTSAVNLPPKKMAVAAICKIPPGCERTAVTTKKSGVLEVSPARAFRPGCGRATLTAIDREVLDVKPISTFPPSYGRSALTSTGSGDEDTNGDALAEIPVSGGFASPTLALDVSNEKMESKKMVDEGNSNAHSRDQVLDDFIGTEQDGNMQRNVDAKSTPMNSSDDKMKGKLSRHEGRQIARVVVDDKMKNKNEGSLHESTLKSPLSDPIDAKTKGKRLESDMMNVALLGGKMQSKTLSTKKEVVCSNVNIKQNKSARKLNKFGKHVATNEIEESGDMNLIPDQLIVALMAPDRCPWIRGRKSIASASKSLATRNKLKGKDAIPRKLLTGKVASRESINDETMEDNDDPNLEDDRNLEDDDSNLKDDDNSKALVACGEKQEICVMVPPSVPSGSHHRQLGDHDVDARSKVRKLLQLFQAAYRKLTQLIEQGNRDLGRADLEAIKALKKDLIYNKPGPIVGNIPGVEVGDEFHFRVELSMVGLHRPYQGGIDTTKVNGVPIAISIVASGGYPDELSSSDELIYTGSGGKAGGNKQGDDQKLERGNLALKNCIDTKTLVRVIHGFKGQSRSEVSPSKGKQTSTFIYDGLYQVVECWQEGLKGERVFKYKLQRIAGQPELALHAVKATRKSKVREGLCLPDISEGSERIPICVINTIDDMRPAPFKYINKVIYPTWYEKEPPKGCDCTNGCSDSITCACAMKNGGEILFNSNGAIVEASPLIYECGPSCRCPPTCHNRVSQHGVKIPLEIFKTGKTGWGVRSLCSISSGSFICEYAGELLEDKEAEKRQNDEYLFDIGSNYHDEELWEGLKSVVGVQSSTSSSKTMEGFTIDAAECGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMLFAVENIPPLQELTYHYNYTVGQVLDENGKEKVKHCYCGASDCCGRLY >PVH65024 pep chromosome:PHallii_v3.1:2:51912324:51913593:1 gene:PAHAL_2G404500 transcript:PVH65024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQAGDRADRISGHPDDLLDGILVHLHCTAEAARTSVLSRRWRRVWTTLPELYFCDIHEPAEPAVRAQDRVDAALDAHAAAATVSRLELKMPYSSSHVAPERVSSWLRFASRRLAGELRLSLPCGHGDDGVKVEPEQRHVALPVCAGATAISFHHVMSHTLRFPPPPAGGTFAALATLRIRLALVNGPELEVVLSSACPRLKRLVLVEIFLRDEARGLSERSESLEKLHVIMSFMTRGRLEVVAPELQTVSLLVAWDVRIAAPKLAELNWFKFRYDPARQRFEEAGRHLRRLWVVTEPSCAAALMERFSKVDELILFVEIPKTVKDYERHVMGVSTFSMCQIFTVYFRAGSRAFTPTMLHILKKCRGIRKLVVYFSIPT >PAN09304 pep chromosome:PHallii_v3.1:2:833615:834610:-1 gene:PAHAL_2G012200 transcript:PAN09304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLEIAAERAMPSGSDGDAAAGEDRLSLLPDDVLVLILLRLGAAAAAGRTSVLSRRWRRVWALLTELRFPASPPPHLVASALAAHEAEAALRYLLVEAEDGAPESAAAWLPAAVRRLSGSLVFKNLAPARNFNDEAEEEEETSKGGVFELPCCERATSVSLDLGCLDLAVPPAGAFARLTELSQRRVRFHGSFELGDAVSSRRCPCLQKLNVFHARGLDSIAIHSESLLQVDLRHLHELRQLTIVAPALEGLQLINCFAHSRPVANISTPQLVFLMSCGRSHMIRARSSLAIWDNFNG >PVH64277 pep chromosome:PHallii_v3.1:2:38676829:38680753:-1 gene:PAHAL_2G228000 transcript:PVH64277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSLSPLKVYCCSLFCSFRDDLRDLEKLLCLESQLKEKDKWLFGIEVADEAQTVLAQSWHRDAKQLAFEIEDTIDEFVSSEELYHENTCAHKASLHCSYSNPVAARVWTVKRMISETKKLNSAIKLNERYGTGPTGIGSEARKFPEKETTSYDGPDPVIGRVNDLEAIVDTIKEHAKRLSIIAMVGPVGVGKTCLARLVFNHLDSGSRFTRRIWVYVHKSCSRVDIKRIGRQVVSQGLLSGEERPNADNTMQEITTKVHEILKRERCLIVLDGFCNAETESVIIVTTHNEQIAQHMSTLPLYRLAPMIEGDHCSDIFVSALRGRNSLFPRYRKEIISRCQGIPLVADFLGSVVRTGGWDQRAVWENARDKDLWKLEEDYATTLSKELTLFAPFKLMFYNIPHGLRLCFAYCSVFPKGSRIHKRKLIQQWISLTMNIIKQLKSIYLLQVDDDADGSAGATGEVLRLHYYMAYELARFISNKDILVILEDEETVSSFPQEKEEASRTHCFCQEKEQPSLMYCDHRYAQLPVFTKHRPHKVRSLILRPRTNLQEDKPTILDTVSEVISGNKYLRVLDLSGCGITELPACICQLKQLRYLDASNLYMKELPCVENSLRKLVYLNLQGCCNLQRRLRSSRQDCTIDSSGCRESVVLQFNSELQGNRTQPNQVPNPPPDKVSDPQPIQCHLPAISSTKDKITGSHPGLPLESTSLVDVADEQEESQPSLDTNLGHRASLSSSNSTFGAPYYGKTAGFLIQLSLRQQRYFLLLILLWY >PAN15006 pep chromosome:PHallii_v3.1:2:55027026:55029051:-1 gene:PAHAL_2G457500 transcript:PAN15006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMARLAAGRLLVILLALHLLVASVHAARFTRGFRARMVEAPALEGAEDAAEDNWRSNTLVEEVFGRMALQITDYPGSSPNDRHTPKAPGP >PVH65304 pep chromosome:PHallii_v3.1:2:55027024:55029052:-1 gene:PAHAL_2G457500 transcript:PVH65304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMARLAAGRLLVILLALHLLVASVHAARFTRGFRARMVEAPALEGAEDAAEDNWRSNTLVEEVFGRMALQITDYPGSSPNDRHTPKAPGP >PVH64089 pep chromosome:PHallii_v3.1:2:26695058:26695357:1 gene:PAHAL_2G181600 transcript:PVH64089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEGGEEEGEIDLDSLIVGVEDMNVANTQKELNNWTRSDIEGVSGDANVIQRAFAESVPEPNDDDLSDEEDDTNETYINDGLIAPVNSSSQGPDDDFFA >PAN15213 pep chromosome:PHallii_v3.1:2:55921279:55925602:1 gene:PAHAL_2G472300 transcript:PAN15213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSCGVAPAVARAGPALRPPAGLLPPRRRPARLVAVASVAPSSTPSGEVASRARDYGVAGGTNGTVTPTAKATAIETTVERVIFDFRFLALLAIAGSLAGSLLCFLNGCVFIKEAYQVYWSSCVKGIHSGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALRGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKIATGLDLLSYAMCIFLSSASLYILHNLHKGDHEEGAIPHL >PAN11888 pep chromosome:PHallii_v3.1:2:38370776:38372273:-1 gene:PAHAL_2G225600 transcript:PAN11888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNMLTARRARENADTSAVYALASGAGAASRRAEASARRLACASTRTAVGSTRWWWRARSPWRSRAARTAATATPGCPSREASAFMTAVRRARLRRRRDRSRASSVDGRVLYGMAARRARL >PAN13832 pep chromosome:PHallii_v3.1:2:49566550:49567397:1 gene:PAHAL_2G366900 transcript:PAN13832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTLWARQIAHLPKCANSGVACAPSFLAVTQPPPQFSLVHRASFRSQHSQLTSAAGPLMAERELIGKDKLVLRGLQFHGFHGVKQEEKTLGQKFAVDVDAWMDLSTAGETDSIYDTVSYTDIYRIVKDVVEGPSQNLLESVAHRIASATLLKFPQISAVRVEVKKPHVSVPGIIDYLGVEIVRYRKDMAGISP >PAN09629 pep chromosome:PHallii_v3.1:2:2691231:2692782:-1 gene:PAHAL_2G038300 transcript:PAN09629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADIAAVAAAAAAEAPAQPLAGRVAIVTGASRGIGRAIAAHLSSLGASLVLGYASRADEADALAASLPRAVAVRADVSDEAGARSLFDAAQSAFGGAGAHILVANAGVLDDSYPAVAGTATESFDRVVAVNLRGAFLCLREAANRLPRGGGGRIVAVTSSVVGSLPEGYAAYTASKAAVEALVRTMAKELKGTRITANCVAPGATATDMFFAGKSEEMVRRNVVNNPMERLGEPGDIAPVVGFLCTDAAEWVNGQVIRANGGYV >PAN09430 pep chromosome:PHallii_v3.1:2:1608483:1610356:1 gene:PAHAL_2G022800 transcript:PAN09430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSENAAGDMMSNIMDAIAENLPNKKSVRFDDGEGSISDQARKLFGGGQGGKKSVHHILGGGKSADVLLWRNKKISSSVLGVATLSWVFFEWLDYHLLTIASFALVVGMAVQFAWSALASNVPRVELPEELFANAGRAAGAQVNRALGALQDISCGRDLRRFLIVIAGFFAAAVVGSFCNLLTVIYIGFVCAHTLPVLYEKYQDQVDEFLYSMLGLLQNQYQKLGSKGVLSKGKLRKSD >PAN13363 pep chromosome:PHallii_v3.1:2:47452399:47453057:-1 gene:PAHAL_2G331200 transcript:PAN13363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRRLLAVFAVLAVVFLAGAGVAGAARPAPAGGGGEEAAAYLVVDPAAVVEKARETVEMLMARLPAGPSPRGPGH >PAN10071 pep chromosome:PHallii_v3.1:2:5196233:5198200:-1 gene:PAHAL_2G070000 transcript:PAN10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGFAVSKPGAAAAAGRREFRGKITWYVWICGIVAATSGLMFGYDIGISGGVTAMDGFLARFFPAVHARKHRARENNYCKFDDQRLQLFTSSLYLAALAASFAASRACTRLGRRRTMQAAAALFLAGSALCAGATGLAMLIVGRVCLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTVGILIASVVNYFASAFHPDGWRYSLGGAAAPAAVLFLGSLAITETPTSLVERRRAEEGRRTLEKIRGTADVDDEFDEIRAACDTAAALNAEERPYRRLLRPESRPPLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGFEADGSLLSAVVTGCVNVASTIVSIVLVDKVGRRKLLLEACAQMLVAQTAVGGIMLAHVRADGSPSSAWAVAIVVLICVYVSSFAWSWGPLGWLIPSETFPLETRTAGFSIAVSSNMLFTFLIAQAFLSMMCSMRAFIFFFFAAWIVVMGAFVLVFLPETKGVPIDEMVDRVWRRHWFWKRCFGDGANEARVNNC >PVH65384 pep chromosome:PHallii_v3.1:2:56465925:56466511:-1 gene:PAHAL_2G480800 transcript:PVH65384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRCRGLLLVIGVAVASVLASGAPPVQPPRIQADVVVMGFVPCNDGTSMRTGSAPGFAGAVVQLRCTDGADLSANATTDGKGRFRMAVNTTVAPSSVAGHCELVVGTPLASCNATLPASGTLRSGLRLLVSMVFFPRGFSYVAPSA >PVH64587 pep chromosome:PHallii_v3.1:2:45944639:45946268:1 gene:PAHAL_2G307800 transcript:PVH64587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLTPLEYRSIFTSISDRPRHLLFLKRSVPIWTRPARAGAKLLPRASASSSLRLPHARVGYPLLASSGPIATLPPLLPPSLSLPRTHRRRRRAIDPIGEEAWPAMGLRRGVALRVAVLAAAVGFATAGFISNDALLERGHDTTGRSLLQAKKDCPVSFEGANYTIITSRCKGPLYQPSLCCGALKDFACPYSTYINDVTTNCAATMFSYINLYGKYPPGLFANTCHEGDKGLSCPEDTPQVQPGQKASGAAAVAAPAAAVAVAVAAALAVSSIMSC >PVH64424 pep chromosome:PHallii_v3.1:2:42938851:42939498:-1 gene:PAHAL_2G264900 transcript:PVH64424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLRLRWRGRITAACTRSRAAQSAGKEEPVAVAAAERHGADATKAEAMVAAARRTLPQAEEAEQAPLLDATAQPAPYAGASFLVAAPDPSALLIPVLLLKARGRGARPRVRAPVDERARCRRLRQHELRASRETSRRASELPRMHVDLEI >PVH65149 pep chromosome:PHallii_v3.1:2:53689725:53691079:1 gene:PAHAL_2G433900 transcript:PVH65149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAQLVFRRETGRAPGALHGLIGSFSTESYSQRLAGKVAVITGAASGIGKATATEFIRNGAKVILTDVQDDVGRAVAAGLGPDASYARCDVTDEAQIAAAVDLAVSRHGRLDVLYSNAGVAGAVAPEPLASLDLADFDRVMSANARSAVACLKHAARVMVPRGSGCVLCTGSTTGMLGGVAALPYSLSKATVMSVVRMAADELARSGVRVNAISPHAIATPLLVRSLARLHPGVPDEQLKRLVETGMSELRGAVLELEDVARAAVYLASDEAKFVTGHNLIIDGGFTVGKRIGVPAAR >PAN09283 pep chromosome:PHallii_v3.1:2:465397:479354:-1 gene:PAHAL_2G007500 transcript:PAN09283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MDPGAAGAGGGGRAGFERACRLPNTVHSEIAPALPLPTLPPALGFDDLRDDEPLAAPDRPDMIMQAANIARILAETDVSHLGFTEADNVDVDPSQCSWLWREVLKHNPDAFKVKPPAPPPTSQGAREGPDYQIQSEKHFEHFTPNMNKARKEPVFPLDDINSRREHLRNELTPDSVASKKPKVRKKEIDNSVSSSGPSIPNNQEVIDNFCEMVEDFCRRVEIPYDVDGGDWLSIPLNDVKFLVNEITSVRSKRILHEVPMATVTRLLDVIDRQIRCSQGLSIDEKENSDAEPLVFSALESVHAALAIMTHHDMPKQLYREELIERIIDFSRRQITDCMAASNPTFRAIHKPAENVANDGDDDEEDVENGPVSKKRRTTANLSMRKSSNRVSASVYSAVQKLCLILGFLKELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIGVICTVFSSYTQHRSYLVDETIVLLRKLQFSRNAVRTYHLADEEQKQIQMITALLVHLVQFSAIVPDSLKGAVDWSTIVDSPVDASYPIKCHEAATEACCLFWTSVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAASILEVLCVLLLQNAGLKSKDTNARCFAIDLLGGIASRLKRDSVACSDEKLWILQELTDAGSDGSKILKNKCCVCLGGRGINIACDVCGRCFHSDCMGSGSQDNLQRDSVCPLCFCNQQLSVLQSYCQLQTKENGKRTAASVSKKSSAPSEVPAVDIVQHILLSYLQEAGPQDDGNLFTRWFYLCIWNKDDPHSEEKIIYYLARLKSKEILRDSGNCLVISRDWVKKICLALGQKNSFSRGFDKILALLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAKKAEQIVDMLRKMPNHQPLITVIKRNLTLDFLPQSTKAAGINSSMVASLRKRCELICKRLLERILQVEEGAANEMEIHALPYIVALQAFCIVDPTLCIPVTDPSKFVVTLQPYLKIQIDNKSAAQLLESIIFVIDAVLPLIRKPPQTVVVELEQDLKQMIVRHSYLTVVHACIKCLCALSKSAGRGPGLLEYLVNVFYKHLSGTNSDSQLLGRSLFCLGLLLRYGYQLMLTSENQLDFPKIINLLQRRYLLRDDFNLKVRALQTLGYILIAKPEFMLQKEIMNLIEATLSSGVDHRLKIQGLQNLYEYLRDAESQLTAESTGKPPVQSAINGGSEVPVAAGAGDTNICGGIIQLYWSSILERCMDTNDQVRQSALKIVEVVLRQGLVHPITCVPHLIALEMDPLEGNSKLAHHLLMNMHEKYPSFFESRLGDGLQMSFIFFETTVSNHKLAANIKSNPIAFVKPGITRIYRLIRANRNSRNKFVHSIVRKFEPDGRNRSTVSFLVYCAEVLASLPFSCPDEPLYLIYDINRVIHFRAGAIETNLKKWTSMDQPQDAAGMATLPGESHVVMHEPGVYYSNNVGYIPERMNNNPCSTSDVDMAKVQEDCYDAIALQLLLKLKRHLKIVYSLTDARCQAFSLKEPPKSGETLSKQNVPFNIGNNISLPSCLQDVASVYQDFKTVLREDSMDFGVYTPSVQRKRPTPRSTSRVRRTAATSVSRARGGGRGDDDDTDDDDWAGGARVLDFSAQASNGGRVTRQRVQV >PAN09285 pep chromosome:PHallii_v3.1:2:465680:475739:-1 gene:PAHAL_2G007500 transcript:PAN09285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MNKARKEPVFPLDDINSRREHLRNELTPDSVASKKPKVRKKEIDNSVSSSGPSIPNNQEVIDNFCEMVEDFCRRVEIPYDVDGGDWLSIPLNDVKFLVNEITSVRSKRILHEVPMATVTRLLDVIDRQIRCSQGLSIDEKENSDAEPLVFSALESVHAALAIMTHHDMPKQLYREELIERIIDFSRRQITDCMAASNPTFRAIHKPAENVANDGDDDEEDVENGPVSKKRRTTANLSMRKSSNRVSASVYSAVQKLCLILGFLKELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIGVICTVFSSYTQHRSYLVDETIVLLRKLQFSRNAVRTYHLADEEQKQIQMITALLVHLVQFSAIVPDSLKGAVDWSTIVDSPVDASYPIKCHEAATEACCLFWTSVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAASILEVLCVLLLQNAGLKSKDTNARCFAIDLLGGIASRLKRDSVACSDEKLWILQELTDAGSDGSKILKNKCCVCLGGRGINIACDVCGRCFHSDCMGSGSQDNLQRDSVCPLCFCNQQLSVLQSYCQLQTKENGKRTAASVSKKSSAPSEVPAVDIVQHILLSYLQEAGPQDDGNLFTRWFYLCIWNKDDPHSEEKIIYYLARLKSKEILRDSGNCLVISRDWVKKICLALGQKNSFSRGFDKILALLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAKKAEQIVDMLRKMPNHQPLITVIKRNLTLDFLPQSTKAAGINSSMVASLRKRCELICKRLLERILQVEEGAANEMEIHALPYIVALQAFCIVDPTLCIPVTDPSKFVVTLQPYLKIQIDNKSAAQLLESIIFVIDAVLPLIRKPPQTVVVELEQDLKQMIVRHSYLTVVHACIKCLCALSKSAGRGPGLLEYLVNVFYKHLSGTNSDSQLLGRSLFCLGLLLRYGYQLMLTSENQLDFPKIINLLQRRYLLRDDFNLKVRALQTLGYILIAKPEFMLQKEIMNLIEATLSSGVDHRLKIQGLQNLYEYLRDAESQLTAESTGKPPVQSAINGGSEVPVAAGAGDTNICGGIIQLYWSSILERCMDTNDQVRQSALKIVEVVLRQGLVHPITCVPHLIALEMDPLEGNSKLAHHLLMNMHEKYPSFFESRLGDGLQMSFIFFETTVSNHKLAANIKSNPIAFVKPGITRIYRLIRANRNSRNKFVHSIVRKFEPDGRNRSTVSFLVYCAEVLASLPFSCPDEPLYLIYDINRVIHFRAGAIETNLKKWTSMDQPQDAAGMATLPGESHVVMHEPGVYYSNNVGYIPERMNNNPCSTSDVDMAKVQEDCYDAIALQLLLKLKRHLKIVYSLTDARCQAFSLKEPPKSGETLSKQNVPFNIGNNISLPSCLQDVASVYQDFKTVLREDSMDFGVYTPSVQRKRPTPRSTSRVRRTAATSVSRARGGGRGDDDDTDDDDWAGGARVLDFSAQASNGGRVTRQRVQV >PAN10358 pep chromosome:PHallii_v3.1:2:6551199:6551579:-1 gene:PAHAL_2G089300 transcript:PAN10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSMAASAPALLLLVAMALTLAPSALAQLAPAHAPSPSQAFCTPGFDSLEAFQENAKQHGDPVLFAYVYVPVLGSSTSIASLVTGIQQGQNPTLNLCVCTPNPITFIPGLSGPKVICYLGSVTV >PAN15567 pep chromosome:PHallii_v3.1:2:57596311:57596817:1 gene:PAHAL_2G498200 transcript:PAN15567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAERLKLLGSFVFLPACRGIFFFDPSVAISPVQSRWTPCPCCSAQSNQMEINLSSGVLPAPSGTPLVEYHAPVQQTISTTSQSVYQQQLQRYNCCCCWLLDGHRSAQVHTTKAHAPTWPRAMAASCCCCCFSFRSGAQQRKKQSKRSIPFLSYLPNRDDRRPCTDR >PVH63467 pep chromosome:PHallii_v3.1:2:2475670:2476379:1 gene:PAHAL_2G035500 transcript:PVH63467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEVDERLLALAHRTGARRGGRDDDRGSCSAACWARRGCRARSARRPRVPRRRRGLTPTPPDGMSNSGASLYTGLRPRAAGLGARRAVLPGEVGARVLGPQVSAPPPHPASSPLGPPSPLRKPLVELVA >PAN09289 pep chromosome:PHallii_v3.1:2:446194:450965:-1 gene:PAHAL_2G007200 transcript:PAN09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPENPHLPFLLFLAVAALAAGEAAAGTTLTASPAKLSPSDREIKIRWSGLPAPDGLDYVAIYSPPSSRDSDFLGYLFLNGSASWRDGHGELSLPRLPTLRAPYQFRLFRWPANEYSYHHIDHDRNPLPHGKHRVAVSGEVYVGDPARPEQVHLAFADAVDEMRVMFLCGDGGKRVVRYGRQKDDEKGWKEVATEVRTYEQKHMCDGPANSSVAWRDPGFVFDGLMKGLEPGRKYFYKVGSDTGGWSETYSFISRDSEANETNAFLFGDMGTYVPYNTYIRTQAESLSTVKWILRDIEALGDKPAFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPSQPWKPSWATYGKDGGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFVYMSTETNFLKGSDQYNFLKADLEKVNRTRTPFVVFQGHRPMYTSSDETRDAALKQQMLQNLEPLLVTYNVTLALWGHVHRYERFCPMKNFQCVNTSSSFQYPGAPVHLVIGMGGQDWQPIWQPRPDHPDVPIFPQPERSMYRGGVFGYTRLAATRQKLTLTYVGNHDGQVHDMVEIFSGQVSSNSSVAEAVDGTKLSTGVSTVRKIPLLYLEIGGSVMFALLLGFGFGFLVRRKKEAAQWTPVKNEES >PAN12533 pep chromosome:PHallii_v3.1:2:46772502:46773407:1 gene:PAHAL_2G321100 transcript:PAN12533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGNTFVQDEERQRLLLEEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGCAFYLIGLFRLLLGLDTAAINCT >PAN10608 pep chromosome:PHallii_v3.1:2:8248796:8251160:1 gene:PAHAL_2G105000 transcript:PAN10608 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVPALTLSVEPLAHRHHHPARRAPCSGSSGFRRSLNRAVTSRARVTMLSRQDPGADGAAGTPLFLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAGWASSWRAALFQLLGDIPPAHRPSISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTAGSDGTGSGSAAVLMHQSDWLLWLLHGQYGVSDYNNALKVGYDPEADAYPSWLMAQPYSRMLPSVMAPGAPIAAVRDDVLSQYGLSKECVVCTGTTDSIAAFLAARTAGPGRAVTSLGSTLAVKLVSEARVDDARFGVYSHRLDDAWLVGGASNTGGAVLRQLFTDDQLVVLSRDIDPAAASPLDYYPLPRKGERFPVSDPDMAPRLQPRPESDAEYLHGILESIARIEAKGYSLLRELGATAVEEVFTAGGGAQNEKWTTIRERVLGVPVRKAEQTEAAYGAALLALKGADRRVGAIAS >PVH63782 pep chromosome:PHallii_v3.1:2:8248884:8250621:1 gene:PAHAL_2G105000 transcript:PVH63782 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVPALTLSVEPLAHRHHHPARRAPCSGSSGFRRSLNRAVTSRARVTMLSRQDPGADGAAGTPLFLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAGWASSWRAALFQLLGDIPPAHRPSISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTAGSDGTGSGSAAVLMHQSDWLLWLLHGQYGVSDYNNALKVGYDPEADAYPSWLMAQPYSRMLPSVMAPGAPIAAVRDDVLSQALQGMRRLHRDDGQHRGVPRGAHRRAGESGDVAGLDAGGQARERGPRGRREVRRVQPPPGRRVARRRRVQHRRSRPPAALHRRPAGGAEQGHRPGRRVTARLLPAAEERREVPGLRPRHGAKVAAAPRERRGVPARHPGIDRAN >PVH63783 pep chromosome:PHallii_v3.1:2:8248884:8250730:1 gene:PAHAL_2G105000 transcript:PVH63783 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVPALTLSVEPLAHRHHHPARRAPCSGSSGFRRSLNRAVTSRARVTMLSRQDPGADGAAGTPLFLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAGWASSWRAALFQLLGDIPPAHRPSISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTAGSDGTGSGSAAVLMHQSDWLLWLLHGQYGVSDYNNALKVGYDPEADAYPSWLMAQPYSRMLPSVMAPGAPIAAVRDDVLSQYGLSKECVVCTGTTDSIAAFLAARTAGPGRAVTSLGSTLAVKLVSEARVDDARFGVYSHRLDDAWLVGGASNTGGAVLRQLFTDDQLVVLSRDIDPAAASPLDYYPLPRKGERFPVSDPDMAPSRAPRATRSTCTASWNRSRELRPRGTVC >PVH63780 pep chromosome:PHallii_v3.1:2:8248796:8251160:1 gene:PAHAL_2G105000 transcript:PVH63780 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVPALTLSVEPLAHRHHHPARRGSSGFRRSLNRAVTSRARVTMLSRQDPGADGAAGTPLFLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAGWASSWRAALFQLLGDIPPAHRPSISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTAGSDGTGSGSAAVLMHQSDWLLWLLHGQYGVSDYNNALKVGYDPEADAYPSWLMAQPYSRMLPSVMAPGAPIAAVRDDVLSQALQGMRRLHRDDGQHRGVPRGAHRRAGESGDVAGLDAGGQARERGPRGRREVRRVQPPPGRRVARRRRVQHRRSRPPAALHRRPAGGAEQGHRPGRRVTARLLPAAEERREVPGLRPRHGAKVAAAPRERRGVPARHPGIDRAN >PVH63779 pep chromosome:PHallii_v3.1:2:8248796:8251160:1 gene:PAHAL_2G105000 transcript:PVH63779 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVPALTLSVEPLAHRHHHPARRGSSGFRRSLNRAVTSRARVTMLSRQDPGADGAAGTPLFLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAGWASSWRAALFQLLGDIPPAHRPSISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTAGSDGTGSGSAAVLMHQSDWLLWLLHGQYGVSDYNNALKVGYDPEADAYPSWLMAQPYSRMLPSVMAPGAPIAAVRDDVLSQYGLSKECVVCTGTTDSIAAFLAARTAGPGRAVTSLGSTLAVKLVSEARVDDARFGVYSHRLDDAWLVGGASNTGGAVLRQLFTDDQLVVLSRDIDPAAASPLDYYPLPRKGERFPVSDPDMAPSRAPRATRSTCTASWNRSRELRPRGTVC >PVH63781 pep chromosome:PHallii_v3.1:2:8248796:8251160:1 gene:PAHAL_2G105000 transcript:PVH63781 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVPALTLSVEPLAHRHHHPARRGSSGFRRSLNRAVTSRARVTMLSRQDPGADGAAGTPLFLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAGWASSWRAALFQLLGDIPPAHRPSISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTAGSDGTGSGSAAVLMHQSDWLLWLLHGQYGVSDYNNALKVGYDPEADAYPSWLMAQPYSRMLPSVMAPGAPIAAVRDDVLSQALQGMRRLHRDDGQHRGVPRGAHRRAGESGDVAGLDAGGQARERGPRGRREVRRVQPPPGRRVARRRRVQHRRSRPPAALHRRPAGGAEQGHRPGRRVTARLLPAAEERREVPGLRPRHGAKPRPESDAEYLHGILESIARIEAKGYSLLRELGATAVEEVFTAGGGAQNEKWTTIRERVLGVPVRKAEQTEAAYGAALLALKGADRRVGAIAS >PAN10609 pep chromosome:PHallii_v3.1:2:8248796:8251160:1 gene:PAHAL_2G105000 transcript:PAN10609 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVPALTLSVEPLAHRHHHPARRGSSGFRRSLNRAVTSRARVTMLSRQDPGADGAAGTPLFLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAGWASSWRAALFQLLGDIPPAHRPSISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTAGSDGTGSGSAAVLMHQSDWLLWLLHGQYGVSDYNNALKVGYDPEADAYPSWLMAQPYSRMLPSVMAPGAPIAAVRDDVLSQYGLSKECVVCTGTTDSIAAFLAARTAGPGRAVTSLGSTLAVKLVSEARVDDARFGVYSHRLDDAWLVGGASNTGGAVLRQLFTDDQLVVLSRDIDPAAASPLDYYPLPRKGERFPVSDPDMAPRLQPRPESDAEYLHGILESIARIEAKGYSLLRELGATAVEEVFTAGGGAQNEKWTTIRERVLGVPVRKAEQTEAAYGAALLALKGADRRVGAIAS >PVH63778 pep chromosome:PHallii_v3.1:2:8248796:8251160:1 gene:PAHAL_2G105000 transcript:PVH63778 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVPALTLSVEPLAHRHHHPARRAPCSGSSGFRRSLNRAVTSRARVTMLSRQDPGADGAAGTPLFLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAGWASSWRAALFQLLGDIPPAHRPSISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTAGSDGTGSGSAAVLMHQSDWLLWLLHGQYGVSDYNNALKVGYDPEADAYPSWLMAQPYSRMLPSVMAPGAPIAAVRDDVLSQALQGMRRLHRDDGQHRGVPRGAHRRAGESGDVAGLDAGGQARERGPRGRREVRRVQPPPGRRVARRRRVQHRRSRPPAALHRRPAGGAEQGHRPGRRVTARLLPAAEERREVPGLRPRHGAKPRPESDAEYLHGILESIARIEAKGYSLLRELGATAVEEVFTAGGGAQNEKWTTIRERVLGVPVRKAEQTEAAYGAALLALKGADRRVGAIAS >PAN10223 pep chromosome:PHallii_v3.1:2:5943267:5944718:-1 gene:PAHAL_2G079700 transcript:PAN10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPQAGQGDRDYKFIAKAVDEAYRAVDGGGGGPFGAVIVRGDEEVVSCHNLVLKDTDPSAHAEVTAIREACKKLGKINLSDCEIYASCEPCPMCFGLIRLSKIKKVVYGAKAEVAAAAGFNGTIPDAFVEYYKKSGIEVRQAEGEAARIAEEVFEKA >PAN10222 pep chromosome:PHallii_v3.1:2:5943267:5944717:-1 gene:PAHAL_2G079700 transcript:PAN10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPQAAGQGDRDYKFIAKAVDEAYRAVDGGGGGPFGAVIVRGDEEVVSCHNLVLKDTDPSAHAEVTAIREACKKLGKINLSDCEIYASCEPCPMCFGLIRLSKIKKVVYGAKAEVAAAAGFNGTIPDAFVEYYKKSGIEVRQAEGEAARIAEEVFEKA >PVH65145 pep chromosome:PHallii_v3.1:2:53676878:53677758:1 gene:PAHAL_2G433500 transcript:PVH65145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIPAAALLLLVLVATASLQALAVAADSSGAVPDGVCDGKCRSRCSLKKAGRCMGLCLMCCGKCQGCVPSGPYASKDECPCYRDMKSPESQRPKCP >PVH64641 pep chromosome:PHallii_v3.1:2:46817422:46818859:1 gene:PAHAL_2G322000 transcript:PVH64641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATSINRVDWKFQKGVARPVMPRKFPFISGFDLAGEVVEVGAGVCEFKPGDKVIAINFPGGGGLAEYAVASASLTALRPPEVSAAQGACLPVAAVTALRALQTAGVSLDPDTARGGDGTGRRMNVLVTGASGGVGHFAVQLARLGGHNVTATCGARNLGLVRELGADEALDYGTPEGAALRSPSGRRYDAVVHCAAAAVPWSVFRPALAAAGMVVDITPGLVAGATAILQKLTFSKKRLVPLLVTPRKDEMELLVGMVKQGRFTAVIDSRYQLSRAQEGWAKSLSGHATGKVVVEMGGPE >PAN11122 pep chromosome:PHallii_v3.1:2:13682916:13685492:1 gene:PAHAL_2G142900 transcript:PAN11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVFSAGLTAAQLHALDLLITQPACQVRFRASGPSRVRLGVARPNGHTKARASRVASPIFACARRALASHALRLVQRAITPSPHNAASASPSRSLITPSPTRKEAALLLEPGATSHAPRTPPPSGSSVPSRGEQKSLTPVALPLLPSPRHATSLFALPPHRGHPVPPGVSPRIEQLRPSASLRRSHTPHAACLVAPPMPGSQSAPSPLPAKHLRALPLVAPCCFVTRYARRKPDCLVLLLGEIPAESVCPYT >PVH63351 pep chromosome:PHallii_v3.1:2:705557:711051:1 gene:PAHAL_2G011000 transcript:PVH63351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRGTSSDNAALGSQDVCVVGIARTPMGGFLGALSSLPATKLGSIAIQAALKRANVDPALVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMIKDGLWDVYGDCAMGMCAELCADNHALTREDQDAFAIQSNECGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKARELGLQVLARIKGYADAAQAIANAGLESSHVDFYEINEAFSAVALANQKLLGIPSISDTYIKLPFLLKHLFQKIHLGKD >PAN10961 pep chromosome:PHallii_v3.1:2:11433883:11438768:1 gene:PAHAL_2G129100 transcript:PAN10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MEAAAAAAAVLPALVPSGSAVVVLFAYLGYLAAAGAILPGKLVAGAVLPDSSRLHYRCNGLLSLLLLLGLCAFGVYVGWMTPTVVADRGLELLSATFIFSVLVSFGLYLAGTKSRYKSSSLKPHVSGNFIEDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSFLAGSVNLSVILYQFFCAWYIIDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNEVELSLLAGVANFCIFIIGYLVFRGANKQKHVFKKDPKAPIWGKPPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGFSSVIPYFYPTYLLILLIWRERRDEARCSQKYKEIWAEYCKLVPWRILPYVY >PVH64053 pep chromosome:PHallii_v3.1:2:24308765:24309768:1 gene:PAHAL_2G174200 transcript:PVH64053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERCSRAWGKVFWRDHAVTMRGGVPDTSCRLCCEKAIHRLKASARPSWAVCLPIRSNSAWTVRCGAVRLDDVLMRFSSSDHAVTHPIRSLLFLRSVSCDFSGDLPQLVRRFIVEIPACSFSFSGFSGDLFGDSGVCFLLIHRSGFGFVLRIQVSASISPFY >PVH63590 pep chromosome:PHallii_v3.1:2:4661984:4662262:1 gene:PAHAL_2G063100 transcript:PVH63590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPRRRTCGRYSPTTRSRWRQWNVRRRQRLWLPLPCRTDAHLTVAPLNSSDTSCPSRGLSTKPSPSGAPSASSSSASRAHAGLRYAFTSS >PAN14697 pep chromosome:PHallii_v3.1:2:53455410:53470631:-1 gene:PAHAL_2G430900 transcript:PAN14697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVYINDESYQNDYCDNRISNTKYNLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGARKHIQAQDIRVGNIVWIRENEEVPCDLVLLGTSEPQGLCHIETAALDGETDLKTRVSPPPCVGLEFEQLHRIKGVIECPIPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLTVVIVLGAAGNVWKDIEARKQWYVKYDDNEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEDMYDLENDTPAHAANTAISEDLGQVEYILTDKTGTLTENEMIFRRCCVGGTFYGNECGDALRDVELLNAIANNSPHIIKFLTVMTLCNTVIPIKSPSGSVLYKAQSQDEDALVNAAANLHMVLVSKNGNNAEIHFNRRVMRYEILDILEFTSDRKRMSVVVLDCQSGKIFLLSKGADEAMLPYAYSGQRTKTFVDAVDKYAQLGLRTLCLGWRELESEEYTEWSRSFKEANSALIDREWRVAEVCQKIEHSLEILGVSAIEDRLQDGVPETIEILRQSGINFWMLTGDKQNTAIQIALLCNLISSEPKGQLLHINGRTQDEVARSLERVLLTMRITSSEPKELAFVVDGWALEIILTHYIEAFTELAVLSKTALCCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTIVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIIIFLITIHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQLLAIWGNFIAFYVINFFISSIPASGMYTIMFRLCRQPSYWITLVLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVNLESQLRSDKDNMMVSSSTMPVKNKSSVYEPLLSDSPMASRRSLAPSSFDIFQPAHSRTSHPRNIKAN >PAN14701 pep chromosome:PHallii_v3.1:2:53455396:53470909:-1 gene:PAHAL_2G430900 transcript:PAN14701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVYINDESYQNDYCDNRISNTKYNLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGARKHIQAQDIRVGNIVWIRENEEVPCDLVLLGTSEPQGLCHIETAALDGETDLKTRVSPPPCVGLEFEQLHRIKGVIECPIPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLTVVIVLGAAGNVWKDIEARKQWYVKYDDNEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEDMYDLENDTPAHAANTAISEDLGQVEYILTDKTGTLTENEMIFRRCCVGGTFYGNECGDALRDVELLNAIANNSPHIIKFLTVMTLCNTVIPIKSPSGSVLYKAQSQDEDALVNAAANLHMVLVSKNGNNAEIHFNRRVMRYEILDILEFTSDRKRMSVVVLDCQSGKIFLLSKGADEAMLPYAYSGQRTKTFVDAVDKYAQLGLRTLCLGWRELESEEYTEWSRSFKEANSALIDREWRVAEVCQKIEHSLEILGVSAIEDRLQDGVPETIEILRQSGINFWMLTGDKQNTAIQIALLCNLISSEPKGQLLHINGRTQDEVARSLERVLLTMRITSSEPKELAFVVDGWALEIILTHYIEAFTELAVLSKTALCCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTIVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIIIFLITIHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQLLAIWGNFIAFYVINFFISSIPASGMYTIMFRLCRQPSYWITLVLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVNLESQLRSDKDNMMVSSSTMPVKNKSSVYEPLLSDSPMASRRSLAPSSFDIFQPAHSRTSHPRNIKAN >PAN14698 pep chromosome:PHallii_v3.1:2:53455338:53470909:-1 gene:PAHAL_2G430900 transcript:PAN14698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVYINDESYQNDYCDNRISNTKYNLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGARKHIQAQDIRVGNIVWIRENEEVPCDLVLLGTSEPQGLCHIETAALDGETDLKTRVSPPPCVGLEFEQLHRIKGVIECPIPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLTVVIVLGAAGNVWKDIEARKQWYVKYDDNEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEDMYDLENDTPAHAANTAISEDLGQVEYILTDKTGTLTENEMIFRRCCVGGTFYGNECGDALRDVELLNAIANNSPHIIKFLTVMTLCNTVIPIKSPSGSVLYKAQSQDEDALVNAAANLHMVLVSKNGNNAEIHFNRRVMRYEILDILEFTSDRKRMSVVVLDCQSGKIFLLSKGADEAMLPYAYSGQRTKTFVDAVDKYAQLGLRTLCLGWRELESEEYTEWSRSFKEANSALIDREWRVAEVCQKIEHSLEILGVSAIEDRLQDGVPETIEILRQSGINFWMLTGDKQNTAIQIALLCNLISSEPKGQLLHINGRTQDEVARSLERVLLTMRITSSEPKELAFVVDGWALEIILTHYIEAFTELAVLSKTALCCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTIVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIIIFLITIHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQLLAIWGNFIAFYVINFFISSIPASGMYTIMFRLCRQPSYWITLVLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVNLESQLRSDKDNMMVSSSTMPVKNKSSVYEPLLSDSPMASRRSLAPSSFDIFQPAHSRTSHPRNIKAN >PAN15192 pep chromosome:PHallii_v3.1:2:55819809:55821832:-1 gene:PAHAL_2G470700 transcript:PAN15192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASARRRANKPQGGGDQQIALWGACVLLSSLSLLVAAASSGLSAASLTGREVGALVRAATATTTTTTSGASVVVHGAGGDDGGGDGDGSRYCSDDDDLLRSMSVDGEWVRDADDDERRRHALYEPGRCPFVDVGFRCSENGRPDGEYAKWRWQPTRCTLPRFDAARLLEILRNRRLVFVGDSIGRNQWESMLCMLASAVAEEGAIYEENGSPITKHKGFLSFRFRDHNCTVEHYRSPYLVRRSRPPRRSPKRVGATLQLGAMDSRAARWKDADILVFNTGHWWNQERLQRLGCYFQDGKKLRLNMSVEDAYQRAMGTLQKWVRKEVNAAKTLAVLRTYSPAHVRVTDGAGGGCAAATLPELNASRIALHRWPGVLNPAFEAPKKKQLHAAAELQVLNVTLMTAQRRDGHPSVYNVAPPSRAPAAGQRADCSHWCLPGVPDAWNELLYSLIVRRFSS >PAN10213 pep chromosome:PHallii_v3.1:2:5906855:5912138:1 gene:PAHAL_2G078900 transcript:PAN10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSGASSSRGGVGVDRFYSPPHVRRQQQEEQLQRLKGQRPSSPAAGALTPRAARQKPPPPPPAAGAAEPAAPPPKEAERRADAPSKPSVSAPAAKAAAAADAGAAPPPAPTMDEAGNLERFLSSTTPSVPVQYLPKTSMRGWRIGDTTNSPPYFCLADLWEAFKEWSFYGAGVPLVLNGSDSVIQYYAPYLSAIQLYADPSKLSARIRHPWEESDGESMDTSSEGSSETDVDRLRGSLEATCRLEGGFQRDDAEMHSPSTRPIFEYLETDPPFGREPLTDKVSILASKFPDLKTLRSCDLLPTSWMSVAWYPIYRIPTGPTLKDLDACFLTFHYLSTPSKDTDPRTPACPSFGGLNHCMNAAGKLTLPVFGLASYKLRSSVWLSNRPEEQQLAASLMQAADDWLRHRQVYHPDFRFFLTHYNTALR >PVH63659 pep chromosome:PHallii_v3.1:2:5906855:5912138:1 gene:PAHAL_2G078900 transcript:PVH63659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSGASSSRGGVGVDRFYSPPHVRRQQQEEQLQRLKGQRPSSPAAGALTPRAARQKPPPPPPAAGAAEPAAPPPKEAERRADAPSKPSVSAPAAKAAAAADAGAAPPPAPTMDEAGNLERFLSSTTPSVPVQYLPKTSMRGWRIGDTTNSPPYFCLADLWEAFKEWSFYGAGVPLVLNGSDSVIQYYAPYLSAIQLYADPSKLSARISEGSSETDVDRLRGSLEATCRLEGGFQRDDAEMHSPSTRPIFEYLETDPPFGREPLTDKVSILASKFPDLKTLRSCDLLPTSWMSVAWYPIYRIPTGPTLKDLDACFLTFHYLSTPSKDTDPRTPACPSFGGLNHCMNAAGKLTLPVFGLASYKLRSSVWLSNRPEEQQLAASLMQAADDWLRHRQVYHPDFRFFLTHYNTALR >PAN14788 pep chromosome:PHallii_v3.1:2:54304167:54305133:-1 gene:PAHAL_2G444600 transcript:PAN14788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPAVNVERLWSMVPAEKAAEAGADKAPQIDVTQFGYFKVLGKGKLPSKPIVVKAKLISKVAEKKIKAAGGAVVLTA >PAN13034 pep chromosome:PHallii_v3.1:2:46001986:46002564:1 gene:PAHAL_2G309100 transcript:PAN13034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHLLAHIPAAGRPYPSTTIRRTAANHSVVSSSSSSHPSQITVDTAMVVVQIQVVMDSNEELPGRDWEWLPDGRKQADIFFF >PAN14963 pep chromosome:PHallii_v3.1:2:54905209:54912447:1 gene:PAHAL_2G455000 transcript:PAN14963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MAEGSCSHRRSAFSPGLAVLLSGEEAKISPQKTHLVSYHDEIGHQAVERTIEHILDLPHKSVVRPPGPIDAVFVRSVLRNQARKFDLDWDKCIHAYHGSVLIVDKGAGQSKVVLDDSSICGKFRSVRGPLLVESSAPFSSARANACVWKGKWMYEVTLETSGVQQLGWATLSCPFTDQKGVGDADDSYSFDGRRVTKWNNDPKPYGQPWAVGDVIGCCINLDAGEISFYRNGTYLGVAFDGIRSVEPSKGYYAAISLSEGERCHLNFGSHPFRYPVDGFEPMEAPPRSWTFTTYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLQELFQPISEAICAEFFSAIEMNQGCLEYIAWGSLTTLLLDVFRARDPHDFSCLDQVLDLFLQFPGCSSLLQELIVALSCMCKAAPLVLTECPYSGSYPFLALVCHLLRHKDVMLLWWNSEDFAFSFEGFLTRKIPNKHDLQCLVPSVWWPGSSEDEVSMTLSMTTLLDAIKKIEEMHRELCSLVICFIPPVSPPQPPGSVFRSFVQGSVLKARGGDHRMVVNGTYNNTVLVSLYTVILHLLSEGFSMDSSGSASSSKVNCGNGVGFLHKGGKRKFPTQLLFRNDAYYSVIPRIGGPPSILMHHQFDDVEDEVQWDEGCMDDEETCVTHTTVQKPCCCSVTDASIGLRYKESAKYVPSTSKVPSKPMPERTAHVAAECSGRSLSDEIEDKPSTSTQSEIEYGYQALHNLESMPMTTQSSSEALKEEELLDVMLLLYHLGISPNFRQAFYFMSQQSQSISLLEETDRQIREKSCAEQVRRLKEARNSYHEDLVDCVRHCVWYRATLFSPWKQRGMYATCMWVVELLLVLSDSKTIFQYVPEFYVESLVDCFHALRRSDPPFVSPAVFLKQGLASFVTLVVKHFDDTRIVNPDLKDLLLQSISVLVQYKEFMLVFENNREAINRMPRSLLSAFDNRSWIPVSNILFRLCKGSGFASSKNGESSSSAIFQVLLRETCIHEEELFFSFLNRLFNTLSWTMTEFSMSIREMQDKHQVADLQQRKCSVIFDVSCNLARILEFCTREIPCAFLMGPDMNLRRLTELVVFILNHIISAADAEFFDMTLRRPGQHQDKTNRTMILAPLVGIILNLMECSSTSERRELNDVIAVFASMDCPATIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSHYFRRITMAVDGEEDHSLNTGDEEEDDTCCICYNCDSDATFQPCHHRSCFGCISRHLLNSQRCFFCNAVVTSVTRIADS >PVH64654 pep chromosome:PHallii_v3.1:2:47035335:47044587:1 gene:PAHAL_2G325300 transcript:PVH64654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAVVGAGVSGLAAAHELARSGGGGGGVRVTVYEKEDCLGGHARTVAVEDAAAGTVHLDLGFMVFNRVTYPNMLEWFEGLGVDMEISDMSFSVSTQLGTSGGRCEWGSRNGISGLLAQKSNAISPSFWRMIREILKFKNDALKYLEDRENNPDMDRNETLGQFIQSHGYSQFFQEAYLIPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHSYVHKVREELESMGCQIKTSCEIKSVSSSNGAGLRVTTFDGSEEMYDRVIFGVHAPDALKLLGAEATHEELRILGAFQYVSSDIYLHCDKSMMPQSSSAWSSWNFRGTTSKGVCVTYWLNLLQNIESTDRPFLVTLNPPNVPDHVLLKWYTSHPVPSVAAAKASLELHHIQGNRGIWFCGAYQGYGFHEDGLKAGKSAAQDLLGKKSGLLVNPKQMVPSWTEAGARLLVARFLGQYVSVGNLVLLEEGGTMFSFGETGKKCHAKSVLRVHDPMFYWKVATEADLGLADAYINGYFSFVDKREGLLNLFLILIANRDANKSNSSGASKRGWWTPLLLTAGVASAKYFLRHISRKNSVTQTRQNISQHYDLSNEFFSLFLDPSMTYSCAIFKTEDESLEAAQLRKVGLLIDKAKVERDHHVLEIGCGWGSLAIQLVKQTGCKYTGITLSVEQLKYAQRKVKEAGLEDHISFMLCDYRQIPTHRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLARITSAMSAASRLCIEHLENIGYHYYPTLIRWRDNFMANKDAILALGFDDKFVRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLGDNDPYASFPAANQS >PVH63795 pep chromosome:PHallii_v3.1:2:8603044:8605393:-1 gene:PAHAL_2G108600 transcript:PVH63795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRVGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFLYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN12728 pep chromosome:PHallii_v3.1:2:44575826:44578343:-1 gene:PAHAL_2G287000 transcript:PAN12728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRITVSYSGYVAQNLAASLGHRFSSASAFATGSRLVHDGAWRPFCIFTSARQPEQHHSRGSGSDRHDGGDHNHPKPQAIAAGAHSLLLTRACLTSKSPPPSLAVGLLSVLTQGIGPAAGITGSAASLSGSSSISLGFNPTSFLPFLQTSKWLPCSDLATSSSSAPSSPPHPSKKALIGGASSSAGSSGPAGIATSSGASAAMSRSNWLSRWMSSCSDDAKTAFAAVTVPLLYGSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQAYGYSSGDVFIKRVVAKGGDYVEVRDGKLLLNGVVQDEDFVLEPHNYELGPVLVPEGYVFVLGDNRNNSFDSHIWGPLPVRNIVGRSLLRYWPPSKITDTIHEYEPDAARHAVPS >PAN12727 pep chromosome:PHallii_v3.1:2:44576824:44578082:-1 gene:PAHAL_2G287000 transcript:PAN12727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRITVSYSGYVAQNLAASLGHRFSSASAFATGSRLVHDGAWRPFCIFTSARQPEQHHSRGSGSDRHDGGDHNHPKPQAIAAGAHSLLLTRACLTSKSPPPSLAVGLLSVLTQGIGPAAGITGSAASLSGSSSISLGFNPTSFLPFLQTSKWLPCSDLATSSSSAPSSPPHPSKKALIGGASSSAGSSGPAGIATSSGASAAMSRSNWLSRWMSSCSDDAKTAFAAVTVPLLYGSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQAYGYSSGDVFIKRVVAKGGDYVEVSFYPNGARWQAIA >PAN10421 pep chromosome:PHallii_v3.1:2:6961180:6969628:1 gene:PAHAL_2G092900 transcript:PAN10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPASTSWFSGLARASSMAGGVASAPASSASLPDAPAAAARSVVAAAAGAGAGAAGGKRKQLHGALFKYGPKSAQVAFRTGDFNHQVIFIGGLTDGLLATDYLEPLSIALEVEKWSLVQPLLSSSYSGYGISSLEQDALELDQLISYLINKENSEGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMISEGRGMDLMPREANPDAPITAYRYHSLCSYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKKALVDRLCRALGGAEKVEIEWGNHALSNRVQEAVRAIVDFVKREGPKGWDDPWS >PAN14292 pep chromosome:PHallii_v3.1:2:51565250:51567915:1 gene:PAHAL_2G398100 transcript:PAN14292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGSLVVLLAATLPLLFFSPAEAGEVGVNYGRVANDLPDPASVVNLLKQNGITMVRIYDANPRVLRSFANSGIKMMVMLPNEQLADAARDRRYALDWVRSNVSAYYPATQIHAVQVGNEVFASRPDLTWSLVPAMDNVQRALADLGLADAVKVSTPVGFSAVDVSWPPSNGRFRDDVAEPVMRPMLDLLQRTGSYLTINLYPYFAYAAQRSNAISRDYFLGNPNPGERDPKTGLVYYSLLDAQLDATYFAMDKLGFTSLIAVTGETGTPSSGGHPKPPLGRLTARNGDPPAASKENAQAYNNNVINRVLSGRTGTPHRPDADMDVYIFALFNENQKGSGPDDIEANFGLFYPNMQKVYEFDFHAAAPPPPPATSSWCVANASVGDSRLQAALDYACGHGADCSAIQPGAPCFEPDTRLAHASYALNSYYQRNGRASGTCDFNGAAYVVYGDEPAGTCDPNTSWCVANEAAGDARLLEALNYACANGADCSAIQPGAACFEPNTMVAHASYAFNSYYQRNHRASGTCDFAGAASVVYHAPKYGNCVLPSKAAFFEEATAKSELHAAI >PAN13663 pep chromosome:PHallii_v3.1:2:48918368:48920935:1 gene:PAHAL_2G355700 transcript:PAN13663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMPPLPASSPILLCCLLLTAALCPAARAARFACNATAPRASTCQALISYAPPNGTATATLAAVRALFQLRSHRALLAANGLPLSTPPTAPAPTPLRVRLPCLCSGGAGATFQRPTYRVRAGDTLDAIARGAFAGLVTYQDIAAANNVSDPNKVAVGQQLWIPVPCSCDPVGGQPVVHLTYVAPAGSSVAGIAQEFGSAEETILAVNKMPDAKGLLAGQVLDVPLRTCGSAISNTAIDRNLLVPNGSYILTANNCVMCGCSSSTWQLDCQAAQGLSSSFCPAAKCGDMFLGNTSSTSSCESRTCSYAGYTNSTSFAILTNITTSNTCNAGMAPMAQPAHSSAFRLEPAWLRWTELVVSLHVVLLCLGYLRQD >PAN11222 pep chromosome:PHallii_v3.1:2:24299846:24308764:1 gene:PAHAL_2G174100 transcript:PAN11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRNADRAARSSDHRNNVVSWISEIKDTSNVAVRKKRGKRAPPSSKRLRDKKLDKELDSDDADNDIDGTDEGQVGKNQSVVHSEECVDGRTSDGLQKTGQSGNSDGPTLASEEQDHSTNSKDILQKSSSQPTKKVSQSATRSRQGASHLEQEGADEDDSHGQAAVVNKDVDDERSSHEIKDDQVSDTQVNTTSSDDKSSEEVEDVKVCDICGDVGEEEKLAVCSRCNDGAEHTYCMRVMMEDVPESEWLCEDCQTVVESEKKKLEKSEVKVGTSKRQSFEGEMNKPAIAAKSRSSSDTELEAENVGNKESDTANKGNDTVKNRMEEDAAITSSIRDAISETGGVYMGADSRKRMPSSRESSFGYDADKGKQPNLVGTLLASNAPRNQAPQARGQLTKSTSFNNSKVPKVKQLLNEVPQKSKNLKESWSSIIKKEGPISMTTKSATFKKPKPCEPANKAKSSITLAEEPRVANQLMSQNVTNDQCSSILGTPSTTASMVAPVISKTDTTAQPLATGNSELKKPLLAKLAGSTMLPNAERSSGGILGPGAQRKVTQNLDPSHRDTKTKDAIGFKQGASSSNRTIRCQRCNEAGHSTQFCSVDKLRVSAVKPLSERNLKDASAKRNRMSETSTSAATEKDAYRPGNQSEQILKCGTYQNQLYGPKDVLPASFSHVKKPSTLSARANEQQDMKYLLSTQGSTASVDYSKLKFKDDHPTLSAATGTSADNGCTMPSDRRDESAQVFSTGDESMASTVPELDWIWQGGFELRRTGKSPELCDGFQAHLSCSASQSVLEVAKKFPSNVQLEEVPRQNSWPTQFQENGPTYENVGLFFFARDVQSYENHYSKLVENMLKNDLVLRGSVDAVELLIFPSNILSKNFQRWNMFYFLWGVFRVSRKDCSTLPSDVPTTRLEPNFNEDPQAVVPSTSVLSSSPFSKDRNSFAEQHTSLVKSANCLPSLEANHEVCLNGENSMNQPVSARANDNLDSTNSNGAMGPSAMATEIKEQKPDVKKSDSVGGSASERDFDVNMVSVPCSVSLTHQKEPGMEGTIINLNDAEDPMDIDHVNTSEISTEALYAHGSGGARKRNIEMANGGAEVGVLEHKKIKLDTVLSTNSGVSENINNGRLSSKVHPFAASSVGDVSSNNSMTRASSSDTKYLFPLDLNAVDDTVSENIVNIPSSDDEESLEPDPSKVGEKQAGEENLSTDVTGPLSLSLAFPSRKEQASKPQSEPQ >PVH63513 pep chromosome:PHallii_v3.1:2:3168272:3171548:1 gene:PAHAL_2G045300 transcript:PVH63513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os07g0178600)] MDPMLNPVKEESHGDGGLLPGAAAGDGPSAAAAPKPMEGLHDAGPPPFLTKTYDMVDDPATDPIVSWSATNNSFVVWDPHAFATVLLPRHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPPAQNASNQQSLGSYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQKQQQMMAFLARVMRNPEFLKHLVSQNEMRKELQDAISKKRRRRIDQGPEVDDLGTSSSLEQGSPVLFDPEDSVEFLVDGIPTDLESPAFNSQGLVEPQDIDLGTSEHQQDMPQEELTDNFWEQLLNEGLGEENDNPVVEDDMNVLSEKMGYLNSDGPTSRK >PAN09697 pep chromosome:PHallii_v3.1:2:3168012:3171516:1 gene:PAHAL_2G045300 transcript:PAN09697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os07g0178600)] MDPMLNPVKEESHGDGGLLPGAAAGDGPSAAAAPKPMEGLHDAGPPPFLTKTYDMVDDPATDPIVSWSATNNSFVVWDPHAFATVLLPRHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPPAQNASNQQSLGSYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQKQQQMMAFLARVMRNPEFLKHLVSQNEMRKELQDAISKKRRRRIDQGPEVDDLGTSSSLEQGSPVLFDPEDSVEFLVDGIPTDLESPAFNSQGLVEPQDIDLGTSEHQQDMPQEELTDNFWEQLLNEGLGEENDNPVVEDDMNVLSEKMGYLNSDGPTSRK >PVH64257 pep chromosome:PHallii_v3.1:2:37893124:37893496:1 gene:PAHAL_2G223500 transcript:PVH64257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFCSRLLRLIMQCSLIFHLLAHSLKKRRIGFLHKHLPVFWLQKAMLLKRRLLLPHISFS >PVH64655 pep chromosome:PHallii_v3.1:2:47060366:47062624:-1 gene:PAHAL_2G325900 transcript:PVH64655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHQSKPGSHAVVRFRLPPHQLVDRSPPLSLCLQSDLHCRYAPFLPSSLSPMVAMASGLTALVAVSVALLLLLLMLSGTEAKFLSKANNITVVGSVYCDACSNNTFSKHSFFLKGARVLIKCSFKVNSTSSEELSLEAERTTDQHGVYKLDVPPVDGFECREGRELRSACRATLVRSSSAACNVPGVGGSTQHIALRSRATNACFLNLNALNFRPAKRDGALCPGGSAFGSSLFFWPFLPLFWPPFRPPYASPGGAAGGTVSFPWPFPVPDWLVPFLRPPFLPFPLYEPAAPPPPFYRFPPSQEADSRP >PVH65130 pep chromosome:PHallii_v3.1:2:53500186:53506267:-1 gene:PAHAL_2G431200 transcript:PVH65130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDPCRSRANGSRKPDVIVRVQDHRLPLHKDAITSQSSYLRRLLSDSSDVAVALPLGLTFDAFVHAVASCYGSDEAAAALSLASLAGTWAAAGWLELGAGRGLARAAEDYFFQEVATDHGRAAEVLRSCAAFLGGEAAGPAADLLARCLEVLAASGGYGGRWLDDVAALPVEEFLVAVGAMQARFAHDHDLMYTVVDHYLENHKGKLTEEDKGRLCYNVNCTKLSQHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSHHHHTAAPAPGQPAAPILKPSLSGAFSGGVAGDAASMTLGDILQRDAALRQSAHIRASMQATSLRIETLERELASLRTRLRRSEQQQQAEAAAGASAIDRAPGKSASFRIPRSRLWDGEELAPVGSRRAAARDGNARGFKSRLVHGFKNLFGRRRGAPGAPSPCGEDASTRCFSDKRAAAAELELEIDDDEVLCVEERWRPHRRNHSLV >PAN14705 pep chromosome:PHallii_v3.1:2:53500186:53506654:-1 gene:PAHAL_2G431200 transcript:PAN14705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSRGWQELGVVDTIYEDDHEEEDEEEEEEERFDSPTMSSSAATSRSCSPEVEEEEDAAAAHPSLPPALRRAVQAWSRANGSRKPDVIVRVQDHRLPLHKDAITSQSSYLRRLLSDSSDVAVALPLGLTFDAFVHAVASCYGSDEAAAALSLASLAGTWAAAGWLELGAGRGLARAAEDYFFQEVATDHGRAAEVLRSCAAFLGGEAAGPAADLLARCLEVLAASGGYGGRWLDDVAALPVEEFLVAVGAMQARFAHDHDLMYTVVDHYLENHKGKLTEEDKGRLCYNVNCTKLSQHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSHHHHTAAPAPGQPAAPILKPSLSGAFSGGVAGDAASMTLGDILQRDAALRQSAHIRASMQATSLRIETLERELASLRTRLRRSEQQQQAEAAAGASAIDRAPGKSASFRIPRSRLWDGEELAPVGSRRAAARDGNARGFKSRLVHGFKNLFGRRRGAPGAPSPCGEDASTRCFSDKRAAAAELELEIDDDEVLCVEERWRPHRRNHSLV >PAN12250 pep chromosome:PHallii_v3.1:2:42747610:42751558:1 gene:PAHAL_2G263100 transcript:PAN12250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMASSSSSPPPLSLIVLRTLPCRPVVLSRQKKPLPSPKSGRLAVAGAEQRLLRLTETGRWRRSYRRGGRFPCFSYNANNRSPSPSDKSSDEWPILRRWDVPWEWQTVMLTMVGCGVSFVLTGLVEQSALQYVGFKAVEATIDEKTEILFLGQLSVTVVLLGVIFGITNTFRPFPDDVFRYDIKEPFKLQNGWLLWAGVGLFVAVISIALAGAAMTYLNGETPERETDSLVLLLPLIGSSTTSTAFLVGITGVLAPLLEETVFRGFLMMSLTKWFPTPVCVLVSAAVFALAHLTPGQFPQLFLLGVTLGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >PAN15468 pep chromosome:PHallii_v3.1:2:57111058:57113371:1 gene:PAHAL_2G490000 transcript:PAN15468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGPIFTYSIGNMVFLHASRADVVRDIGLCVSQLDLGKSSYMKVTHRPLFGDGILKSSGEAWAYQRRLIAPEFFPDKVRGMVDLMVGSATALVGAWEDRISNNGGGGGCCCLELRIDDDIRAYSADVISRTCFGSSYVKGKEIFAMTRELQKTVSKPNLLAEMSGLSFLPTRTNRAAWRLNRQVRRLVLDVVKESADDDKNLLNAMLRSAASSGGGGTVAAEDFIVDNCKNIYFAGYETTAVTAAWCMMLLALHPEWQGRVRDEVRQACAGAPDFTSLQKMKKLAMVIQETLRLYPAGSVVSRQALRGVTLGGVRVPAGVNIYVPVSTVHLDPALWGADAREFDPERFGGARAQPPPHAYLPFGAGARTCLGQGFAMAELKVLLALVLSRFELSLSPAYVHSPALRLIVEPEHGVRLVLRSIEPGF >PAN15465 pep chromosome:PHallii_v3.1:2:57108977:57113371:1 gene:PAHAL_2G490000 transcript:PAN15465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEASMAAAGLCCVGACALALYLYHVLWVAPERVRAALRGQGIAGPRPSFPYGNRDEMRRAAAEAERAAAPAHRGGIVHDYRPALFPHYERWRKEYGPIFTYSIGNMVFLHASRADVVRDIGLCVSQLDLGKSSYMKVTHRPLFGDGILKSSGEAWAYQRRLIAPEFFPDKVRGMVDLMVGSATALVGAWEDRISNNGGGGGCCCLELRIDDDIRAYSADVISRTCFGSSYVKGKEIFAMTRELQKTVSKPNLLAEMSGLSFLPTRTNRAAWRLNRQVRRLVLDVVKESADDDKNLLNAMLRSAASSGGGGTVAAEDFIVDNCKNIYFAGYETTAVTAAWCMMLLALHPEWQGRVRDEVRQACAGAPDFTSLQKMKKLAMVIQETLRLYPAGSVVSRQALRGVTLGGVRVPAGVNIYVPVSTVHLDPALWGADAREFDPERFGGARAQPPPHAYLPFGAGARTCLGQGFAMAELKVLLALVLSRFELSLSPAYVHSPALRLIVEPEHGVRLVLRSIEPGF >PAN15467 pep chromosome:PHallii_v3.1:2:57110777:57113371:1 gene:PAHAL_2G490000 transcript:PAN15467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLHASRADVVRDIGLCVSQLDLGKSSYMKVTHRPLFGDGILKSSGEAWAYQRRLIAPEFFPDKVRGMVDLMVGSATALVGAWEDRISNNGGGGGCCCLELRIDDDIRAYSADVISRTCFGSSYVKGKEIFAMTRELQKTVSKPNLLAEMSGLSFLPTRTNRAAWRLNRQVRRLVLDVVKESADDDKNLLNAMLRSAASSGGGGTVAAEDFIVDNCKNIYFAGYETTAVTAAWCMMLLALHPEWQGRVRDEVRQACAGAPDFTSLQKMKKLAMVIQETLRLYPAGSVVSRQALRGVTLGGVRVPAGVNIYVPVSTVHLDPALWGADAREFDPERFGGARAQPPPHAYLPFGAGARTCLGQGFAMAELKVLLALVLSRFELSLSPAYVHSPALRLIVEPEHGVRLVLRSIEPGF >PVH64581 pep chromosome:PHallii_v3.1:2:45874430:45876756:-1 gene:PAHAL_2G306100 transcript:PVH64581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLGKSTLVNNVYKNEALLSNFNCHAWVSVSQSCKITDIWRNMLKEIYGNDNRAFDAASMNSAELRVELAKILDKKRYFIILDDVWTADVLFKIREVLVDNDLGSRVIITTRIEEVASIAEDGCKIKLEPLNDHDAWLLFCMKAFPKTKNHICPPELHQCGKDIVEKCDGLPLALVAIGSLLTLKKESVKDWRVFYNQLIWELHNNESLNHVEKILNLSYKYLPDYLKNCFLYFALFPEDYPILRKRLIRLWIAEGFIEQKGACSLEDVAEAYLGELVQRSMLQVVKCNSFDRMQYLQSFGITYDDTHEIVQVGLDSRRVSVVQCNKGIQSRICPLRHRTFISFDTSMVLSSWYSFIFSGSKYLTVLDLSGLPIEDIPNSIGELFNLRYLCLDDTNVSELPKSITKLHNLQTLSLERTKVLNFPQGFSKLKKLRHLLTWKLLDATYRSFHNWESMKPFEGLWDLKELQSLNEVRATKIFVAKLGDLSQLRSVSITYVRSSHCAQLCYSLSKMHQLSKLHIRAINEAELLLLEDLTMKNPLEKLELVGRLSKGTLESPFFSTHGNQLLQMELSWCQLIESPVEELSGLSNLTELRLTRAYTGQQLNFCGTWFQKLKNIVLADLPEVNQICIHEGALCSLECLHMDRLKELRDVPIGIEFLNSVKEAYFTRMRSDFVRNVQMGKLNLIPKVYWSTQGESTGETEPDNLPGPSSSTPQWRLIGVSGWMVI >PAN13727 pep chromosome:PHallii_v3.1:2:49184742:49189252:-1 gene:PAHAL_2G360600 transcript:PAN13727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MGDAEAAARAGRGNGAAAGMRVFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYSSIFAGLNVLVKEEGPSSLWRGWGGKFFGYGVQGGCKFGLYEYFKKRYSDMLPDSNKSTIYFLSSASAQIIADVGLSPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYRGLLPLWGRNLPFSMLMFSTFEHTVDFLYQKVIQKRKENCSTIQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAENIIHAVKSIGLRNLFTRSLPIRITLVGPVITMQWFFYDTIKILTGLPTTGGLPRDLEEVNI >PAN13726 pep chromosome:PHallii_v3.1:2:49184742:49187873:-1 gene:PAHAL_2G360600 transcript:PAN13726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MKYSSIFAGLNVLVKEEGPSSLWRGWGGKFFGYGVQGGCKFGLYEYFKKRYSDMLPDSNKSTIYFLSSASAQIIADVGLSPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYRGLLPLWGRNLPFSMLMFSTFEHTVDFLYQKVIQKRKENCSTIQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAENIIHAVKSIGLRNLFTRSLPIRITLVGPVITMQWFFYDTIKILTGLPTTGGLPRDLEEVNI >PAN13728 pep chromosome:PHallii_v3.1:2:49184689:49188402:-1 gene:PAHAL_2G360600 transcript:PAN13728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MGDAEAAARAGRGNGAAAGMRVFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYSSIFAGLNVLVKEEGPSSLWRGWGGKFFGYGVQGGCKFGLYEYFKKRYSDMLPDSNKSTIYFLSSASAQIIADVGLSPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYRGLLPLWGRNLPFSMLMFSTFEHTVDFLYQKVIQKRKENCSTIQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAENIIHAVKSIGLRNLFTRSLPIRITLVGPVITMQWFFYDTIKILTGLPTTGGLPRDLEEVNI >PVH64742 pep chromosome:PHallii_v3.1:2:48530900:48536706:1 gene:PAHAL_2G348800 transcript:PVH64742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPGSYIFGLCGQRPNVFALKALACLPSPTRRFVPIPLAGDQQHAASNSRAKQQIEAAMDGGSSSPTSPPSTAAPSAAEMEEYKNWKKNAAVLYDLVISHPLEWPSLTVQWLPSESSTRSHRLVVGTHTSEEAPNNLLLLDAVLPLPPRLAAAAAASGGAVPTPSVSVSRVAPHRGEVNRARCMPQRPFTVATKTCLVEVHVYHLGDGDGDGSGKSGADVVLRGHDAEGYGLAWSPMKEGRLLSGSYDKKICLWDLASGSGAPVLDAHQVFEAHEDLVEDVAWHLKDENIFGSVGDDCKLMIWDLRTNKPEQSIAAHQKEVNSLSFNPFNEWILATASGDATVKLFDMRKLSRSLHTFDSHEGEVFQVEWNPNLATVLASSAADKRVMIWDVNRIGDEQSEEDADDGPPELLFVHGGHTAKISELSWNPSEKWVVASVSEDNILQIWEMAESIYCDDYSLQDS >PVH64391 pep chromosome:PHallii_v3.1:2:42288017:42288521:-1 gene:PAHAL_2G257100 transcript:PVH64391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFSIIFPSHTKLLHSPASACWLLQFQLTSCCNSGGNHWFILDKMPLHAQHLNKLIPAQNFQSLALLHQKGALG >PAN13148 pep chromosome:PHallii_v3.1:2:46537482:46539519:1 gene:PAHAL_2G317200 transcript:PAN13148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRTRNKIILWSLAVTAVAVLVGGTIALVLTAGTWKAKIKKSQEKICNKGWECSGSKYCCNDTITDFFKVYQFENLFAKRNTPVAHAVGFWDYQAFITAAALFEPQGFCTTGGKQMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQIYCDKTYTQYPCVEGAEYYGRGAIPVYWNYNYGAAGDGIKADLLHHPEYLEQNATLAFMAAMWRWMTPIKKSQPSAHEAFVGTWKPTKNDTLSKRLPGFGATMNILYGESICGRGFIDAMNVIISHYQFYLDLMGVGREHSGDNRDCAEQAPFNPSSKQDDQQQQQQSGS >PAN13246 pep chromosome:PHallii_v3.1:2:50312841:50314742:1 gene:PAHAL_2G378700 transcript:PAN13246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHCCSKQKVKRGLWSPEEDEKLVKYITAHGHSCWSAVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTIIDAHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASRSSLLHGNGANPSNPAQFHSNGATANGGATTPFTISSPTKAAYDTVAAPPPPEMAPAMYDVPNSAGMLMGHDQAAAAAIPGYPYPDNGCGVLMSFRDQNAAGLQTSMDFMNGSSSSSSMDHVGGMPNGNGFSQGMGAAFVDVTAGMWTTAVELGMCAGTEVGQQQSSLPLPPQGLVQGEVVGRPTMLNGGGAAADKGMDMMDVSSVYGGAGATAFDLELMESCGLFCGGGGGAGNAMEQLQWDC >PAN14019 pep chromosome:PHallii_v3.1:2:50312841:50314742:1 gene:PAHAL_2G378700 transcript:PAN14019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHCCSKQKVKRGLWSPEEDEKLVKYITAHGHSCWSAVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTIIDAHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASRSSLLHGNGANPSNPAQFHSNGATANGGATTPFTISSPTKAAYDTVAAPPPPEMAPAMYDVPNSAGMLMGHDQAAAAAIPGYPYPDNGCGVLMSFRDQNAAGLQTSMDFMNGSSSSSSMDHVGGMPNGNGFSQGMGAAFVDVTAGMWTTAVELGMCAGTEVGQQQSSLPLPPQGLVQGEVVGRPTMLNGGGAAADKGMDMMDVSSVYGGAGATAFDLELMESCGLFCGGGGGAGNAMEQLQWDC >PAN13628 pep chromosome:PHallii_v3.1:2:48712043:48727347:1 gene:PAHAL_2G352300 transcript:PAN13628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGTGAPIVQVYHEKSIILPDVSRVLACLYEKDIKFETHTASYKSLLRLQASSHAPVPFYDGPTFLEESRGICRYIAEKYEHQGYPFLLGKDALERASVEQWLHNEEHAFNPPSRALYCHLAFPLDEEDGDDIDMHTRKLEEVLEVYEQRLNDSRYLAGNKFTLADLVHLPNSHYITASDKFVYLYDSRKNVSRWWDEISNRKSWQQVLRDMKRVEEQNRQEELKKQQQQQQKEHPRTSGHPIRIDSRKQIGTEPRTILVPPADSVSSSSIVPPHPTDTFPSEALVSSSQTTPTDKTSAVLSKETAVFNAPDEKPPTSVQNTPSTSIEHPIPVQSIKGAASPTTAKKPPVAYAAKSSTQDASIPEPTVKDLHTRHKPSSSKDGSNNLDVFDYYPSHTDKETPYIEPTPRRNSDMLDAFSGSNIAAGHTKTSSISAKEPDLPSVSEFYKSHSRATGIDSQNKESIPYSGRTVPKLEPTDTSGSKLHTTDVHRRLRTEQWHTATAGLNDLQQDADHLMSTQQGKPSKDVKQYPSQDSEQASSHPVPEEPTSMELVQRRENTTRRPYTDQRSQGIVEDKTSSDQRSALLLPSAQEHDATPSWQEAAKDARGRIPWQAGDPGGQDTNKQPRDPASVPRQRAAQDARGTFGESKAVDSTIPSGSFPDASGSSLSGQDLDAAHHTTAPFQKRYPGTKDTSKQAKDKISIPWPMADKDTEDTTEETQISDSSSSQVRPSYFQKADAPPWKQETTEDRHSGTSAIQEKHANVKDTTGISRDVAFKPKQTIGQDDQDTFKETKSGGPSLLRDQQTDTWQAASTLPEQEVNQDGRSVAPPSQTRYLSSTPPSKTRYQIAKDANKQPTGTAPTPKKKAAQDDKETSEESNTADEIASTEQPLSAWSAPISPRRQEVENSSRMNTSFQKRYPDDEDGMQVADTASASRQIAPDGRKDIFEETKPADSTTSAKPAYTQQAAAPLPRQAEVEDAQGKGRQSRVTIPEPQGIVARNTQVTHGERKTTTPGEQFSDRPQAIPQSRLAVAKDFQSATGETTNDQKLVPPLLSKEPTSQVQPSSESLQETASRGDLSTKSSTIEQWQRASVPLNDATSSSGYDEIVMATIDQKLTPMNQKATPSSEVVNQMAKESGEQRVEPHVPVEAETSGVQSASPSFPGASTAHHATADDKFSKQSIINERVGEPTKMQASTPAHIAAPDGHSELVSIPDGQISEAAKTRHDQATLQEDVHDANLPILDVAKDTFKETKVADSTPSSAKPMHTQQPGHAEVEDTRNKGTKSRETVPDGQKMVERSASTAGEQLSDRLKAIPPSGQAYREDTIDNQKVAPPPLSRELTSQVQPPSEPSHDAALHGDLSSKPSTIDKWRHASSPLHDVTTGSGDDEVAMSAVDQKPTPMRQQESDEQRVGPPVPKAETSGVQRASPSFLGAPVDDHATIGDKFAKQSIIDESVGKPIQMQPSSPDAHPASELTKRATPEGHETGDSDGQISEATKARDRGTVHENANDVNLSTHDVGKDTFKETKVADSTPSNAKPMYTQQPAITPSRQTQVKEARDKSMRSRETIPEQQKMVERKTGISGEQSSDYKKAIHPSRQLAAAEDAPNGDRIQFPYIPDSSKKSSVTFEEAIGPGSTLPKAQALDSQDSQLMKGESAMPTADQRKDRKDAKDDETIMTKEKSFSTERLREMFQESESITPKTQPTDSQDYNTVDKTFPVYQKGPLAAQIIQAGEKIDSSTPEHQESSGAPYTYDKKLSASAPARADIRDDHSAEEPYKKYTADDQKVAPSRSSKEPASQVQPFAAPFQRAVPDGDSPSKQFTIDQWQRASAPLHGVSADSAPKQMHEPIIPASASTIRTTPDGHEVGGSKLVRTPEDLEAAKATQDPDTIHEDVHDASLSTHDAAIDENTATYHASGDEVITGSVHDRQAHPPASTQAQTTVETPRDYDSSQYEQKSTPSDKGSSHAAQPLSSVEPIKEDSNVSATDYANAPQMIFRQQARPSAPSTIGIPASDTQGVIGKIQEVTPDNRRTDDSEQVADASQAIPGQEEMTSPPGTPVFPTSDAQVASTEIQEVIPDDKQAVESITPLFSNGKQGSHVESAFGPGEVGPPEKKFSPSDQELPHSAEQPTSGEPRKEQTVVPTAEQIKQQPTVIGQKDTVDTREALISEDVLDTTPTHGDVHPTGSIEPDSRPLSIKGEETQEPPPRVAKDSLDTEDISTDALGNVQSLKPSTTPGALRITTGGTPGDVVMAEQTSSTSGQDSADPVEPAFPLGPGNKEIRDSTPSGLIISSTNPDKRDAIVAAPDQAKDLQTIPSGQDILSTQRPSGKVQETVPGDDSSKDKPFRPSSAVREGEPSKLVPASVPGIQLGSAPNEVAYDEQKSSPSGDEVPSSQDSAYPAQPPSSAEARSKEIGDLAPSAQLNSTTEPRNGDGIVAAPDQAKDFETTPGQQVKLSAPSSYTQRPSGTVEEDEPADNPGQDSAHTTLPAPAPGTQYGTELHKTDDEQKFASSGQDSVRSVQPAFSTDERTKEDTVVAATNQTSTLEKIIDQKDTTPAPDKARTPFSGTPNASRETQKSTDDDHIDEKHPSEGRISPYRHVSEPPEGPTPEVHSDVVIKETTLGSSQEETSKTRPDSTPISSDVPAASSLPETQDLQGSANAQNVPADSLQNIESPGHLSSDQALAPIMSPSVPSVAPLGTNSVQPPRKASMASIVDLESVPDTQHGTPRGEATPDEQKFAVSDQELPHTLEVPSSAKTRKEEANAAMGDQPNVPQGPETVRHQVKAPSSDARETLRKDQQPSGAALPEQITHAVLPSDLRDRPTPELGRTVVDKETTLLSSQAQTSAAEPDSTLISGDVLPTGSLQNQDAQLPAATQAPPTQSLQGSAPTHDDSLRKFESPRQASTDQVMAPVSDSAAPSDASQVTETGQDSAESIQLPFSSEPTEDNTVVTAADQTKDMEKATHQQAIAPAPESAKTPFPGTQDASRKIQESTPDNGHINEPFPSQEQMLPGGSSSQSYEGQITAPYGTNVNGKTGTSLSGQANSADSGSDLAPTHTDVHPSVDEGPAGIYFPVPGAQKQLPVATQDVLLDSSSKTKSTGQESMAPTRDLASSPDTQHHSSQTQHEAAPAGQKFAVSDQGFSSASKSPSFAEPRKEETNAGAADQISVPQTTAAHKVPSATSDARESLWKDRESSRDGDYISNSTNEPVVSEGKASHAGHASEGPNSEVSSAVVDKATTLLSSQAQTSSTGPESTPISGDTRLSSADVPATSSLKNEEVHTPVATHVPTTQVPLGSASTRNIPADSLEEIRSPRQPSTDQVMSPITSSAELSDAPQGTEPDQVSAHASEPPFSAEPSNEVSHAARAPKSHEGTTPQVRNPVVDAKTILPSRQVQTANAGPDSTPISGDVPDRSSLQNQEAQLSAATQVPTVQAQGSASTKNEPADSLGYIKSLRQLPTDEVVAPITSFAAPSDSSQGTEPGTNSVQPSEEASFDFLSDKKPTTTQGDQAKTLPNGDLPTSQIVGQSEGKDSRRVDSQENLKGASTNENPKTQQQIEQFSTQSFKENNKEADEPGNQQPSEQAIVDSPEGNENQAEQTKLRDTETGGPEDIEASENTNQKNNRISQVETSDHSGKKASGVQQLVENKKDAPNSTQDAPGDVQAFSKSKESSRSSEEPKVQLQSEDETGETESPRSETRQPREGDLPANSYQNNSRQYQAETSDKSDEQSYPGIPNKDRGSGRSDDSADPTKPGDMED >PAN09247 pep chromosome:PHallii_v3.1:2:638573:639729:1 gene:PAHAL_2G009900 transcript:PAN09247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSSAVPPPPLRVPPELPDDIVEDIFIRPARRHALLLHPALACKRWARLFAGRGFRRRYRELHQAAPMLGVLANLTHTGGIARFIPAPGGGFCPARDDRRGYRAHDARHGRVLLNRDPDSALAVWYPTTDELHQLPLLPRPQQVLSWNAAVLCGSLGACDHLDCRPGPFRVVFVGIDDSDSDSEAVFAHVYSSEPGAWSDETRAALPVPVDELDAAVPGVLARNALHFVLLTGTRILRLDLATRQLSVIHILRRPDYGPHINVLMATDDGELGVRGGVPAVDGGQALVTNVGPWVLSRAIELRKELPADALLPGSMPGVVAFAEGAGVVFMKTIDGLYSFDLRSGRAAKFPMTSGFFDIVPYVSFYTPGITT >PAN15198 pep chromosome:PHallii_v3.1:2:55854337:55867556:-1 gene:PAHAL_2G471200 transcript:PAN15198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARKKRGAAAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAVISEPQKWNLPPPASKKKLLVYFYGTKQVAFCSYADLEAFTEEKKKSLLVKRHGKGADFVRAVNEIVEIYDSLKKETNNENNKSVLTENNLRTDVENHVNNSSSLDTGGLEDGSDLANDNKMEDCPTSSTDHNTSRSNINTTVGEHCVVNSAHGDPTEKSPLLHESRHSPLHASSCSKKSQEDPQQQDSCTNGNFALAWRSGSSLGAELITSQDSDGLMDGTNQLSVDLVPGDKQEVSARHKCIDDDKPSLCSPSATKEAVLSHSSQGTGSQLAPSGSSNDDKPSTAKDNVQCTCSNEVSQNGVRDKEVKLNGMVDLPINTTRTFRRKRRQNTTRANYSVSSEVSNMDRELQPKSKEDTVCSPNSRNEINKSDGDEHLPLVKRARVRMERPTLEDATADEPDHSSDKTEPAKHEDPCYKHTISAISGKDRSAGGLPPSVDASPDINLSLPSGEVVNSCNNNNELQPKVLTLDVEAALPPSKRLHRALEAMSANTSQAFSNLPEAAKSNEVSLKGCTASTERSPLNNSADALVKSPKSAMAKSPKVSWSAHSSDAPTDQKHITQVAMLNKDALSPVSLDLRNDDLGDNIQKDRVSEEACMDSENVPNLVVHTGIDSDKCEKTPTRSMKLEEPALVSKLDQPPSHKPSGNEPRESVEGSKNAFSITIGVSAEPISQANAVVSYTNGTCDPVLHDDTVLAESTVSICDTSATCLVSKVSCIHSDTSTRTFEAHSSSSIAPGDLDHGLNLKDKSISPDSVPTKELVADGHARGFSQSNSFMHSSLDSKFISEPLLNIPSLKEGSSDRCSPSNHTIRSASERVHTEEDSGTIPFDNLQPKGLNKLAGRHEANSSRRAFEAFVGTLTRTKESISRATRLALDCAKHGIAGEVMDIIIEHLEKETNLYKRVDLFFLVDSIIRYCRNQKGGPGDAYPSLIQAVLPRIIYASAPPGNSAWENRRQCLKVLRLWLERKTLSEYIIRHHIKELEVLNEASFGSSHRPSGTERALNDPLRDNEAFLVDEYGSNAGFHLQNLICTKLLEDEDGRSSEERSFEGLTPEHEVTGASEQEASQLHMTKHQLILEEVDGELEMEDAAPSTGAEASSTCQEDLTNNSSCTRTSQQLSSIPPLPDDKAPSPPPLPSSPPPQPRPPCPVSQGSQVQGALLVAADCVEQQHPGANYNVEGQHPYSVANSRGNMGACVASSQPPVHYNSGYAGHTNQIFPPPPPPPPQPTAAFHPSGPHGSLCGPSVPHHVNNYHQPPSAPLPNSAYHIHPPPHPPGPNQFPYPPEPEQRTQAWNYGPPYPESCQYGGHDRGHHGYNRGPHFDDRGHHFDDRGHRFDGGGHYFDDGMHRFDDRWHHFHDRGQMHHEVMDGGRFPPFFPPGPPFPDHFEAPPNQFHCGRPLEPPPGPCSGWSMPHRRSKYPPDSRQTMEAPVSNGECRLNSDHS >PAN15199 pep chromosome:PHallii_v3.1:2:55855244:55867230:-1 gene:PAHAL_2G471200 transcript:PAN15199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARKKRGAAAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAVISEPQKWNLPPPASKKKLLVYFYGTKQVAFCSYADLEAFTEEKKKSLLVKRHGKGADFVRAVNEIVEIYDSLKKETNNENNKSVLTENNLRTDVENHVNNSSSLDTGGLEDGSDLANDNKMEDCPTSSTDHNTSRSNINTTVGEHCVVNSAHGDPTEKSPLLHESRHSPLHASSCSKKSQEDPQQQDSCTNGNFALAWRSGSSLGAELITSQDSDGLMDGTNQLSVDLVPGDKQEVSARHKCIDDDKPSLCSPSATKEAVLSHSSQGTGSQLAPSGSSNDDKPSTAKDNVQCTCSNEVSQNGVRDKEVKLNGMVDLPINTTRTFRRKRRQNTTRANYSVSSEVSNMDRELQPKSKEDTVCSPNSRNEINKSDGDEHLPLVKRARVRMERPTLEDATADEPDHSSDKTEPAKHEDPCYKHTISAISGKDRSAGGLPPSVDASPDINLSLPSGEVVNSCNNNNELQPKVLTLDVEAALPPSKRLHRALEAMSANTSQAFSNLPEAAKSNEVSLKGCTASTERSPLNNSADALVKSPKSAMAKSPKVSWSAHSSDAPTDQKHITQVAMLNKDALSPVSLDLRNDDLGDNIQKDRVSEEACMDSENVPNLVVHTGIDSDKCEKTPTRSMKLEEPALVSKLDQPPSHKPSGNEPRESVEGSKNAFSITIGVSAEPISQANAVVSYTNGTCDPVLHDDTVLAESTVSICDTSATCLVSKVSCIHSDTSTRTFEAHSSSSIAPGDLDHGLNLKDKSISPDSVPTKELVADGHARGFSQSNSFMHSSLDSKFISEPLLNIPSLKEGSSDRCSPSNHTIRSASERVHTEEDSGTIPFDNLQPKGLNKLAGRHEANSSRRAFEAFVGTLTRTKESISRATRLALDCAKHGIAGEVMDIIIEHLEKETNLYKRVDLFFLVDSIIRYCRNQKGGPGDAYPSLIQAVLPRIIYASAPPGNSAWENRRQCLKVLRLWLERKTLSEYIIRHHIKELEVLNEASFGSSHRPSGTERALNDPLRDNEAFLVDEYGSNAGFHLQNLICTKLLEDEDGRSSEERSFEGLTPEHEVTGASEQEASQLHMTKHQLILEEVDGELEMEDAAPSTGAEASSTCQEDLTNNSSCTRTSQQLSSIPPLPDDKAPSPPPLPSSPPPQPRPPCPVSQGSQVQGALLVAADCVEQQHPGANYNVEGQHPYSVANSRGNMGACVASSQPPVHYNSGYAGHTNQIFPPPPPPPPQPTAAFHPSGPHGSLCGPSVPHHVNNYHQPPSAPLPNSAYHIHPPPHPPGPNQFPYPPEPEQRTQAWNYGPPYPESCQYGGHDRGHHGYNRGPHFDDRGHHFDDRGHRFDGGGHYFDDGMHRFDDRWHHFHDRGQMHHEVMDGGRFPPFFPPGPPFPDHFEAPPNQFHCGRPLEPPPGPCSGWSMPHRRSKYPPDSRQTMEAPVSNGGWRRHGRRDYDRFN >PAN13643 pep chromosome:PHallii_v3.1:2:48792954:48794264:-1 gene:PAHAL_2G353500 transcript:PAN13643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRLVQMAKKWQRIAALARKRITSPAKETEGSPGSTSSVASKGHCVVYSADGGRFEVPLAYLGTAIFGELLSLSQDEFGFAGDDGRITLPCDAAVMEYVMCLLRRDASEEVLRAFLSSMARPCHCGNGLGQYVGVSHQVAVPSF >PAN10040 pep chromosome:PHallii_v3.1:2:5006746:5017110:-1 gene:PAHAL_2G068200 transcript:PAN10040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASTADAGGASASSAAASSSSGPSTSASASVSADAGATHYLAKRVLRGSAVLHVAEGCFRSPDSADVVLAKETSLELVAVGDDGVLKSICEQDMFGIVKDIGVLQWHSRHIGLIPQIEHKDLLVVLSDSGKLSLLYFCPEMHRFFAIANIELSKPGNLRHQLGRVLAIDRESSFVAVSAYEDKFALIHISVCQSPHGSGRGAIYDKKYFYPPENEEDVRTVSGASRTSIRGTIWTMRFISTSQGEEYYPVLAMIINRKGSDVNDLSLFGHDSSSGVISHISSYSEIGPLAIDISEIPEMLGFALLFRVGDALLLDLRNPRNVCCIRRISLTTSLIGEPVTVEDSCPGLDVDDDVAACALLELRDSANNILKDDGYMDIDGVDSRGSVKSRIVCSWSWEPPDPIRQGWARLLFCLDDGEFHILEFTSDVEGVKLYTFEYVDRSLPCKPLLWMKNRMIIGFVEMGDGMIFKLGHRRLFLKSTIQNVAPILDLAIADYHGEKQDQMFACCGMCPEGSLRVLRNGVNVEKLLRTEAIYQGVAGLWTLRMKTNDAYHSFLVLSFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLLADGLLVQIHSKGVKLCLPTVYAHPEGAPLTSPICTNWYPDVTISVGAVGHNIVVVATSNPCCLYVLGVRSSSSYQYELYERQHVQLQYEVSCISIPQEGLRSDNVTLSGGEHDDFCNNPSANVNVRKFAVIGTHKPSVEIISVEPGEALRLLTIGTISVNNALGAPVSGCIPENVRFVAAERFYILAGLRNGMLLRFESEASERYFPGSFYKDSSIPSVTAFLQLISIRRIGITPVFLVPIHDSANADIIVLSDRPWLLHAARHSLAYSSISFLPASHVTPVSSVDCPNGLLFVADSCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHNESRTLLVLRTGLSGASCSSDIVQVDPQNGVLLSRYKCEPGETAKCMQITKIGSDQVLVVGTSRSAGRPMMSNGEAESTKGRLIVLSLEAVESPRESSSFIPTSSFNPSSHSGSPFHEIMGYTTEEFSSNSLCSSPDEFCCNQIQAEQMAGHLRSLSHATLSGAVLAVYPYLDRYVLAAAGNTIYVFGFANENPHRMKKCAVGRTRFTITCLKTFASRIAVGDCRDGVLFYSYNESLRKLELIYSDPAQRLVGDIALLNCETAVVSDRRGSISVLSSTRLEVSESPQKNLAVNCSFYMGETAMSIQKAAFRYRLPIDDDTDPVLESAYDCIVASTLLGSLFVMIPLTSEEHRLLQDVQERLSVHPLTAPVLGNDHAEFRQRGIPSGVPPILDGDMLVQFLELTGEQQQAILAHALPGKGPRRPVSVFEVLRTLERVHYALN >PVH63609 pep chromosome:PHallii_v3.1:2:5007311:5016885:-1 gene:PAHAL_2G068200 transcript:PVH63609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASTADAGGASASSAAASSSSGPSTSASASVSADAGATHYLAKRVLRGSAVLHVAEGCFRSPDSADVVLAKETSLELVAVGDDGVLKSICEQDMFGIVKDIGVLQWHSRHIGLIPQIEHKDLLVVLSDSGKLSLLYFCPEMHRFFAIANIELSKPGNLRHQLGRVLAIDRESSFVAVSAYEDKFALIHISVCQSPHGSGRGAIYDKKYFYPPENEEDVRTVSGASRTSIRGTIWTMRFISTSQGEEYYPVLAMIINRKGSDVNDLSLFGHDSSSGVISHISSYSEIGPLAIDISEIPEMLGFALLFRVGDALLLDLRNPRNVCCIRRISLTTSLIGEPVTVEDSCPGLDVDDDVAACALLELRDSANNILKDDGYMDIDGVDSRGSVKSRIVCSWSWEPPDPIRQGWARLLFCLDDGEFHILEFTSDVEGVKLYTFEYVDRSLPCKPLLWMKNRMIIGFVEMGDGMIFKLGHRRLFLKSTIQNVAPILDLAIADYHGEKQDQMFACCGMCPEGSLRVLRNGVNVEKLLRTEAIYQGVAGLWTLRMKTNDAYHSFLVLSFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLLADGLLVQIHSKGVKLCLPTVYAHPEGAPLTSPICTNWYPDVTISVGAVGHNIVVVATSNPCCLYVLGVRSSSSYQYELYERQHVQLQYEVSCISIPQEGLRSDNVTLSGGEHDDFCNNPSANVNVRKFAVIGTHKPSVEIISVEPGEALRLLTIGTISVNNALGAPVSGCIPENVRFVAAERFYILAGLRNGMLLRFESEASERYFPGSFYKDSSIPSVTAFLQLISIRRIGITPVFLVPIHDSANADIIVLSDRPWLLHAARHSLAYSSISFLPASHVTPVSSVDCPNGLLFVADSCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHNESRTLLVLRTGLSGASCSSDIVQVDPQNGVLLSRYKCEPGETAKCMQITKIGSDQVLVVGTSRSAGRPMMSNGEAESSTKGRLIVLSLEAVESPRESSSFIPTSSFNPSSHSGSPFHEIMGYTTEEFSSNSLCSSPDEFCCNQIQAEQMAGHLRSLSHATLSGAVLAVYPYLDRYVLAAAGNTIYVFGFANENPHRMKKCAVGRTRFTITCLKTFASRIAVGDCRDGVLFYSYNESLRKLELIYSDPAQRLVGDIALLNCETAVVSDRRGSISVLSSTRLEVSESPQKNLAVNCSFYMGETAMSIQKAAFRYRLPIDDDTDPVLESAYDCIVASTLLGSLFVMIPLTSEEHRLLQDVQERLSVHPLTAPVLGNDHAEFRQRGIPSGVPPILDGDMLVQFLELTGEQQQAILAHALPGKGPRRPVSVFEVLRTLERVHYALN >PAN12096 pep chromosome:PHallii_v3.1:2:40818655:40821527:1 gene:PAHAL_2G241900 transcript:PAN12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPQSAQQHDTSDTQWWLQLQPNFGCQMALAREHLNYINGEAGEKKMEGSEPLFKPEDVGAKKGVDPFEPPWIVSTAFMKQTSETGLEELKTLAGYSPTSLKCKGNANNCIYEDKEFTEFKAFDPLFPKKPQKEYCEMDAPWEDNKKSQPWWQVADVDGLASLVAERAMENIVNNDLPRPAKTAWFHGAELNSPGNKVDYELPLPSRKELNLAHDTMACSYSVSSTTIETNSSDVGGWEQQRRNNVPEGAQDSYSSTNSTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKEHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKDHQIAAMFPELPWMMVKEKVAPGQEHKDGTRKRGRRPNRRGGLRNTVAFAVGVGIVGAGLLLGWTLGWLLPKL >PAN12094 pep chromosome:PHallii_v3.1:2:40817983:40821527:1 gene:PAHAL_2G241900 transcript:PAN12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPQSAQQHDTSDTQWWLQLQPNFGCQMALAREHLNYINGEAGEKKMEGSEPLFKPEDVGAKKGVDPFEPPWIVSTAFMKQTSETGLEELKTLAGYSPTSLKCKGNANNCIYEDKEFTEFKAFDPLFPKKPQKEYCEMDAPWEDNKKSQPWWQVADVDGLASLVAERAMENIVNNDLPRPAKTAWFHGAELNSPGNKVDYELPLPSRKELNLAHDTMACSYSVSSTTIETNSSDVGGWEQQRRNNVPEGAQDSYSSTNSTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKEHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKDHQIAAMFPELPWMMVKEKVAPGQEHKDGTRKRGRRPNRRGGLRNTVAFAVGVGIVGAGLLLGWTLGWLLPKL >PAN12097 pep chromosome:PHallii_v3.1:2:40818655:40821514:1 gene:PAHAL_2G241900 transcript:PAN12097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPQSAQQHDTSGENPTNPQDPHSPNFMPINWNLMSSSLPTDTQWWLQLQPNFGCQMALAREHLNYINGEAGEKKMEGSEPLFKPEDVGAKKGVDPFEPPWIVSTAFMKQTSETGLEELKTLAGYSPTSLKCKGNANNCIYEDKEFTEFKAFDPLFPKKPQKEYCEMDAPWEDNKKSQPWWQVADVDGLASLVAERAMENIVNNDLPRPAKTAWFHGAELNSPGNKVDYELPLPSRKELNLAHDTMACSYSVSSTTIETNSSDVGGWEQQRRNNVPEGAQDSYSSTNSTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKEHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKDHQIAAMFPELPWMMVKEKVAPGQEHKDGTRKRGRRPNRRGGLRNTVAFAVGVGIVGAGLLLGWTLGWLLPKL >PAN12095 pep chromosome:PHallii_v3.1:2:40818006:40821527:1 gene:PAHAL_2G241900 transcript:PAN12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPQSAQQHDTSGENPTNPQDPHSPNFMPINWNLMSSSLPTDTQWWLQLQPNFGCQMALAREHLNYINGEAGEKKMEGSEPLFKPEDVGAKKGVDPFEPPWIVSTAFMKQTSETGLEELKTLAGYSPTSLKCKGNANNCIYEDKEFTEFKAFDPLFPKKPQKEYCEMDAPWEDNKKSQPWWQVADVDGLASLVAERAMENIVNNDLPRPAKTAWFHGAELNSPGNKVDYELPLPSRKELNLAHDTMACSYSVSSTTIETNSSDVGGWEQQRRNNVPEGAQDSYSSTNSTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKEHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKDHQIAAMFPELPWMMVKEKVAPGQEHKDGTRKRGRRPNRRGGLRNTVAFAVGVGIVGAGLLLGWTLGWLLPKL >PVH64345 pep chromosome:PHallii_v3.1:2:41124796:41125200:-1 gene:PAHAL_2G244800 transcript:PVH64345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLAMFVAILAFVAVVVHSCEPNCPTPTPPVAPSPPIVPTPPSGGGSCPIDALQLNVCANVLNLVKLNLPCCPLLDGLVDLDAAICLCTAIKANVLGISVNADVDVRILLNYCGKTCPADFTCPSN >PAN14545 pep chromosome:PHallii_v3.1:2:52744238:52747256:-1 gene:PAHAL_2G418500 transcript:PAN14545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63170) UniProtKB/Swiss-Prot;Acc:Q9M1X2] MVSLRFPTAAVPRLPPKPVPNGVTIAATLAAAAAAAAAAAASLTLTAKSAGRPVPLPSPSAPLWASLSLADGAAPGSVEPRTGAAFPTEDAAGRRLLGVGLRKTSVLGLKSIDVYAFGVYADGNDLEQQLKEKYRKFSASELKGSAELINDVLGQDIQMTVRLQIVYGRLSIRSVRSAFEKSVGSRLQKFGGQDTKELLQSFVALFKDEYKLPKGSVIELSRESNHVLKISIEGEEVGSIQSKLLCRSILDLYIGDDPFDKSAKDNVQENIASILQS >PVH63772 pep chromosome:PHallii_v3.1:2:8019820:8021968:1 gene:PAHAL_2G102000 transcript:PVH63772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRLEREQRKREREREGIWWHLPFIGCHVMIISLYTGNCHRQRTCFCCRGVQEEAAASSAGMGSSTTAAAKPHVVLVPFPAHGHVAPHVQLGRVLRARGAHVTLVHTELHHRRLLLARGGEAPADEGGLDVEVIPDGLSLDDPPRTLRAHHEAMERNCLEPLKALLRDMLRGRPGAPPVTCVVADTPMPFAAAAARAVGVPDVQFFTASACGLICYLQFPELLARGAIPLKPGYESDGSLDAPLEWVPGMKGVRLRDMPTFCHTTDADEWLVHFHIHQTRTAAASGAIILNTFYDMEKDVVDALAPLLPPLYTVGPLAGVIAASSPPSSSPPASGATSLLQEDRECMAWLDGKAARSVVYLSFGSHASMKGARLREFAAGLARCGSPYLWVLRPDMAAEVEAAGEGGLVVPWCAQEAVLAHPAVGLFVTHCGWNSILESVAAGVPVLGYPVLSEQTTNCRQVCTAWGIGGELPQEAGSEEIAALVREMMTGKKGMEARDKTLEWKRLAEASAKEGGSSYENIGRLMENVLLKGL >PAN12316 pep chromosome:PHallii_v3.1:2:42401142:42402593:1 gene:PAHAL_2G258900 transcript:PAN12316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGQLSEEEEKTVIDLHSELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPATHRPLQPAPDSRTSGSPEEEKAIAEVTPPGFGHEAFCVDEVSMAHLLDDIVIPGDVLDALPAADGGGVSTAYSPGSSSSSSSSSYTDDASAPASSGGSSIVDGEWPEWPQMVEWPESTWLDDVVTGPTPWEFEDPFLTYQRIALFDHHETWNNSRAELF >PVH64841 pep chromosome:PHallii_v3.1:2:49544829:49546678:-1 gene:PAHAL_2G366400 transcript:PVH64841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGVLSTRADRVLGSPTCTDGSLSREPGARPPRSSGAMPLLVDPFLRRTSRHLLHTSGGRPGINATMIGEAFSIFLDFLHEHELARARACLYILLLLITRTDSSSRVSRAAHLGFRRQHCSAWGQKLCFYRRVLSNQTHTSVASTYYKPVCQKIIRKPP >PAN09922 pep chromosome:PHallii_v3.1:2:4301995:4303943:-1 gene:PAHAL_2G058400 transcript:PAN09922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRAAEQGAIQPPPASAAAVDPVHPRLPLAALPSSPTAGVDGAMENGLPDDPLVDVLSRLPARSLCRFKCVSKPWRDLIGDRLRCSKFPQTLQGFIYGDGEAHAGENYGHFINPLGKPVPLIDPSFTFLTKLPGVEKIVLLGSCKGLLLFGHRRGSDIYDSLGYIVCNPATEQWVAVPSSGWSPWPDSEAEEDEDYYTEEDVLTHLIFDPAVSPHFQLVQLWQESYRDLAGVHTYSSETGVWRSTKRSDWEQWGLDGVISTAAGCPLNGMLHLKVDDIYERRSIIVAVDGEGKTSRVIRWIEKRGFPDFVGQSHEHLYCISGDIDDSDMITELSIWVLEDYHTEEWVLKHSVSILQLFGKMSCRFDSYEVVTIHPDRNLVFFVEYGDCKLISYDMDSKEVCDICTLGRGYGCITPYFPYFSGLSVLGNKH >PAN12783 pep chromosome:PHallii_v3.1:2:44883929:44886287:1 gene:PAHAL_2G291000 transcript:PAN12783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSNISIQKTLYEVLSVSEDATYDEIRAAYKSAALNTHPDKAHTTLESSVPSSEQQEFLSVQKAWEILRHPASRADYDKQLQSSRQNIEIIASEIEVRDMIIESTADTVELLYPCRCGDYFSITSCELGEMGISVSGDGEVEQQASDSASASVVLGCGSCSLKVRLVINETP >PAN09174 pep chromosome:PHallii_v3.1:2:139825:144387:1 gene:PAHAL_2G001400 transcript:PAN09174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHIIQLLQGLCSDGLWRYAIFWSFKSEMNGWILTWGDGYVDKVVEDIQVGDLSAGPTVSKNQIVPSSCYNKGYPFCPIEAALLRMSSHLYPLGEGIIGKVALTGQHCWISANELCSVSMHNYREDWLLQFAAGIKTVLLVPVVPHGVLQLGSLDMVFESSALVALIKDLFHELYDAPVSHPSLSVSSRTLRPPTATLSIDHPEVNLFEIDSAARLLNDHLSSTQPLSTSEFPTVEDITLGAYRISPTARPNRLLGGNETMEYEYSNGFTLTDMAHGYQENTCGDGSTVLNNGVVISGSIHSEFHRDLMAMSREEHELFIWHSRLKHTTSPTPLQVNGNNADFCMQLETNNYAEMLVDTIIDQIGHTSNSESSRPTGSPFSCETKIKKDHAPRMDASSVPDIPGGQELSHIPMNEGFISCATTDASPTEIDKITTQECIVRNTHGTNSAEIKKRCSNVESKRLRPRDRQLIQDRMKGLRELIPNASTCSIDALLDKTIAYMLFLQSVSEKAEKTPNTSSENKEPRDEAKKQLESCPLRVEELDQPGHLLIKMLCEDYEVFLEMAHVLKGLDVSILKGVLEHRSAKLWASFVIEASGGLSQMQILCPLMHLLHRRWS >PAN09173 pep chromosome:PHallii_v3.1:2:139824:144909:1 gene:PAHAL_2G001400 transcript:PAN09173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHIIQLLQGLCSDGLWRYAIFWSFKSEMNGWILTWGDGYVDKVVEDIQVGDLSAGPTVSKNQIVPSSCYNKGYPFCPIEAALLRMSSHLYPLGEGIIGKVALTGQHCWISANELCSVSMHNYREDWLLQFAAGIKTVLLVPVVPHGVLQLGSLDMVFESSALVALIKDLFHELYDAPVSHPSLSVSSRTLRPPTATLSIDHPEVNLFEIDSAARLLNDHLSSTQPLSTSEFPTVEDITLGAYRISPTARPNRLLGGNETMEYEYSNGFTLTDMAHGYQENTCGDGSTVLNNGVVISGSIHSEFHRDLMAMSREEHELFIWHSRLKHTTSPTPLQVNGNNADFCMQLETNNYAEMLVDTIIDQIGHTSNSESSRPTGSPFSCETKIKKDHAPRMDASSVPDIPGGQELSHIPMNEGFISCATTDASPTEIDKITTQECIVRNTHGTNSAEIKKRCSNVESKRLRPRDRQLIQDRMKGLRELIPNASTCSIDALLDKTIAYMLFLQSVSEKAEKTPNTSSENKEPRDEAKKQLESCPLRVEELDQPGHLLIKMLCEDYEVFLEMAHVLKGLDVSILKGVLEHRSAKLWASFVIEASGGLSQMQILCPLMHLLHRRWS >PAN12258 pep chromosome:PHallii_v3.1:2:41877976:41879091:-1 gene:PAHAL_2G253500 transcript:PAN12258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGTEAFPELGAHCDEADCNQLDFLPFECDGCGGVFCAAHRTYRGHGCAKAADQGRTVVVCPDCGDSIERTAPGQTEPEILDEHVRSRRCDPARKRKPVCPVRRCKEPLTFSNSNDCKACGRKVCLRHRFPADHACAGAAGAAAARRAGGECARDAQNMGSGGWALPASIRNLKIF >PAN12693 pep chromosome:PHallii_v3.1:2:44397503:44400560:-1 gene:PAHAL_2G284600 transcript:PAN12693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPLPHLPILPPIPRKMEDSTLLWFLYVSLASCLLYKLFLSTKTRSSQARRPPGPAPLPLLGNILDLHGEPHHALARLAETHGPVMSLRLGATDAVVASSAAAARDVLQRYDHVLAARSVTDAGRALGNHEHSVIWLPGSSPLWRRLRAVCTTHLFSARGLDATRAAREGKARELVACLGRHAGEAVDVGRVVFSCVLNVVSNVLFSEDVADLSSDRAQELEMLVRDTVEEACKPNLSDLFPVLAKLDLQGRRRRSAEFIGRFYEFFDDIIARRVHAGGGGEKEDFLDVLLQLHAVDQLSLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRGELRDALGSKLHPDESDIDRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGGFAVPSGTKVIVNLWAVMRDSAVWPEPEAFVPERFAGAGDADFRGKDRMEFMPFGAGRRACPGTPMATRVVTLLLASMLHAFEWRLPEGMQPGDVDVRDRFGTSLNMVTPLEAVPVPVRR >PAN12694 pep chromosome:PHallii_v3.1:2:44397503:44400412:-1 gene:PAHAL_2G284600 transcript:PAN12694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPLPHLPILPPIPRKMEDSTLLWFLYVSLASCLLYKLFLSTKTRSSQARRPPGPAPLPLLGNILDLHGEPHHALARLAETHGPVMSLRLGATDAVVASSAAAARDVLQRYDHVLAARSVTDAGRALGNHEHSVIWLPGSSPLWRRLRAVCTTHLFSARGLDATRAAREGKARELVACLGRHAGEAVDVGRVVFSCVLNVVSNVLFSEDVADLSSDRAQELEMLVRDTVEEACKPNLSDLFPVLAKLDLQGRRRRSAEFIGRFYEFFDDIIARRVHAGGGGEKEDFLDVLLQLHAVDQLSLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRGELRDALGSKLHPDESDIDRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGGFAVPSGTKVIVNLWAVMRDSAVWPEPEAFVPERFAGAGDADFRGKDRMEFMPFGAGRRACPGTPMATRVVTLLLASMLHAFEWRLPEGMQPGDVDVRDRFGTSLNMVTPLEAVPVPVRR >PAN12692 pep chromosome:PHallii_v3.1:2:44397503:44400560:-1 gene:PAHAL_2G284600 transcript:PAN12692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPLPHLPILPPIPRKMEDSTLLWFLYVSLASCLLYKLFLSTKTRSSQARRPPGPAPLPLLGNILDLHGEPHHALARLAETHGPVMSLRLGATDAVVASSAAAARDVLQRYDHVLAARSVTDAGRALGNHEHSVIWLPGSSPLWRRLRAVCTTHLFSARGLDATRAAREGKARELVACLGRHAGEAVDVGRVVFSCVLNVVSNVLFSEDVADLSSDRAQELEMLVRDTVEEACKPNLSDLFPVLAKLDLQGRRRRSAEFIGRFYEFFDDIIARRVHAGGGGEKEDFLDVLLQLHAVDQLSLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRGELRDALGSKLHPDESDIDRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGGFAVPSGTKVIVNLWAVMRDSAVWPEPEAFVPERFAGAGDADFRGKDRMEFMPFGAGRRACPGTPMATRVVTLLLASMLHAFEWRLPEGMQPGDVDVRDRFGTSLNMVTPLEAVPVPVRR >PAN09541 pep chromosome:PHallii_v3.1:2:2296359:2298157:-1 gene:PAHAL_2G032400 transcript:PAN09541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVALAAILAVFILRYMLAPCGKKALNLPPGPRGWPVIGSLGALAGAVPPHRALAALAARHGPLMHLRLGSYHVVVASSADAARLVLKTHDLAFADRPRTAAGKVASYGYLGIVHTPYGAYWRMARKLCATELFSARRVDSFERVRAQEMRALVRGLFRSAGRAVAVRKHVAGATLRNILRMAVGEKWSGCYGSADGETFWRTLDEAFAVTGAVSNVGEWIPWLGWLDLQGCIRRMKRLSEMYDRFYEQILDEHEERRRRARAGKFVASDLVDVLLQLAEKGRSESSEAKLTRDGVKAFIQDIIAGGTESSAVTIEWAMSELLRHPEAMAAATDELDRVVGRGRWVTERDLPDLPYIDAVVKETMRLHPVGPLLVPHQAREDTVVAGYDVPAGARVLVNAWAIARDPASWPDAPGAFRPERFLGGGGGGAGVDACGAHFELLPFGAGRRMCPAYGLAVKVVAAGVANLVHGFAWRLPEGVAPEDVSMEEHFGLSTRRKVPLVAVAEPRLPAHLYAANE >PVH64546 pep chromosome:PHallii_v3.1:2:45479231:45480386:1 gene:PAHAL_2G300200 transcript:PVH64546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQITVDDGEECNSNESGWTMYLGSPVSSDDAKSNETEGSNVGSVCSNGTSKPDADYDDGDYDSLASDASTGPAQVKMRNGKEKKDHDKNDSIRDEHGNVKQEEMHTKLPTSCDKKPGKMKKGEDKTTRRSHNKRRSSSRTGFFW >PAN13334 pep chromosome:PHallii_v3.1:2:50798747:50799965:1 gene:PAHAL_2G386000 transcript:PAN13334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRLTVPSGRRRNALLLLLLLGIVSELSTVALANFGGRRFGHGWFDQKCWFGRYMKGGKGFGGGGGFGGGGGGGLGGGAGYGGGFGTGVGHGIGASGGGGLGGGGGGGLGGGHGGGFGHGGGVGIGGGAGGGLGGGGGGGLGGGFGSGAGAGNSFGGGLGGGGGFGGGVGGGLGAGVGSGVGGGLGGGGGFGGGTRHGGGFGIGSGAGGGAGGGLGGGGGFGGGGGLGGGHGGGFGAGAGGGGGAGGGGLGGGHGGGFGAGAGVGSGVGGGLGSGGGFGGGHGGGFGAEVSVEGGGGFREGRGL >PAN09176 pep chromosome:PHallii_v3.1:2:148634:151542:1 gene:PAHAL_2G001600 transcript:PAN09176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAQRSNQVSASAPPEPEPDPSQLQDASRGVWMRVPCFQVLGDDLMRCEGLTSHLVWVWAWVWFTKGLMQPKGLSNPG >PVH63697 pep chromosome:PHallii_v3.1:2:6360888:6362458:1 gene:PAHAL_2G087700 transcript:PVH63697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSLKEEEMRAAEEVVGEGDLREVARAAAAPRCRVVRIIVHDEDATDSSSSEDEDEDEDEEEEGEAGRSVKRSRVLLQTGGGGGEEDAAEGVRFRGVRRRPWGRFAAEIRDPQRGRRLWLGTFDTAEEAAAAYDAARLRIRGPGASTNRPPSADSDPLPPPAAPLPPRPPPPPPQRLPQLPLLPPKKQYLPPPPLLPPKKRLPSPPPVPPETGKQGGAASSASPPAPPPFSPLPVWALLSGKRKKRSGCGGRVPALRTPAAEEASRA >PVH65006 pep chromosome:PHallii_v3.1:2:51639109:51648505:-1 gene:PAHAL_2G399200 transcript:PVH65006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRAVRIPVLLAVLLASAAAQQWVGPWPNCDVTSGNYSAGSAYANSTLRLIFNLQSAASAAPALFASGSTGAGAAAAYGLMLCRGDLSASDCFDCGTRAGQDVQRVCNRTRDAALVYNQCYVRVAGADFLASTNNTGMVPLISGNNISSGVDVAAYDAAVTRLLNATAQYAVKQSPKLFATGQLVGLDSRIPNIWSMAQCAGDLSPALCRKCLDDLVARWWKEFPLNGNGARLAGSRCNLRSELGDVFYTGSPMVKLQLNGEAVVPAPSTDVVPATVGGKNNSAGKLLGIILPIVFVAVVATITVYILNVRKKRRTRGTKLPHITHTVEDFESIKSTLLSLSSLQVATNNFDESNKLGEGGFGSVYKGDLSGQEVAVKRLSKGSGQGLEELKNELVLVAKLHHKNLVRLEGFCLEDGERLLVYEYMPNKSLDTLLFDPEEKRRLDWRKRFNIIEGVARGLQYLHEDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVVEIVTGQRNTGHYFHEQNEDIISIVWRHWSEGTIAEMIDDSLGRNYSETEVLRCVNIGLLCLQQNPVDRPTMSDVMVMLNGDATSSLPPAARPTFFLDPSSDYSYTSSGTVSHPSAR >PAN13320 pep chromosome:PHallii_v3.1:2:47278293:47283422:-1 gene:PAHAL_2G328500 transcript:PAN13320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKALGCAALLILAAVAPAALAEAVTRADFPPGFVFGVGSSAYQVEGAVAEDGRKPSIWDTFTHGGYSIDNATGDVTADQYHKYKEDVKLLHEMGVDAYRMSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLSYGIQPHVTIYHFDFPQALQDEYNGLLSPRFIEDFTAYADVCFKNFGDRVKYWSTVNEPNIEPIGGYDQGINPPRRCSYPFGFACEEGNSTTEPYIVAHHLLLAHASAVSLYREKYQAEQGGRIGLTLLGWWYEPATETPDDIAAAARMNDFHIGWFMHPMVYGDYPPVMRKNVGSRLPSFTDEERKRVLGSFDFVGFNHYIAVYVKADLSRLDQKLRDYMFDAAVAYDMPFLKSNNQFPFGLTNDFMTSTPWALKKMLKHLQVKYKNPAVMIHENGAAGQPDPSGANTYDDEFRSQFLQDYIEATLHSIRNGSNVQGYFVWSFLDVFEYLFSYRLRFGIYGVDFNSTERTRYQRHSAKWYSSFLRGGELRPVALPDGAYSQ >PVH64728 pep chromosome:PHallii_v3.1:2:48184743:48186216:1 gene:PAHAL_2G343800 transcript:PVH64728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPGSRLHPSMATTWSPVLYHSCCPPPSLLALQASSELLKILLLSPVSRWSNRPGDVTSYSSCATSCCALAVRFKPSAPSCRWISWRVLTLAPRVPTCVGADWATESGQMRCRMVKLLELSHSTV >PVH65323 pep chromosome:PHallii_v3.1:2:55317358:55319251:-1 gene:PAHAL_2G462900 transcript:PVH65323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATTLTVLTVLALLRSASCQAGYRVGNPAPAPQQSAYTPSTLAPPTYPPTNMSPPPSMLLHPPTYPSTSPSPPPPMIPQQPTSPPTSQPPTSPSPPPPVIPKPPTSPPTSSNPPPAISPQPPTSPPTSPSPPPPMVSPEPPTYPPTSSNPPPAISSQPPTYAPSIPSPPPPLPSPPPSSDDAGKKLKVGYYEKKCGGQVDVEAIVRKHVSSFDASMKAGLIRLFFHDCFVRGCDASILLDPTGDNPQPEKLGIPNFPSLRGYEVIDAAKAELEARCPGTVSCADVAAFAARDASYFLSGGGVDFAMPAGRYDGNVSLASETLPNLPPPFAGLQQLEKMFADKGLDAFDMVTLSGAHSIGRSHCSSFRRDRLPPGATASDMDPAFAAELQANCTSAGGADNTVVQDYETPDELDNQYYQNVLDRKVLFTSDAALTSRDMTGYLVRVYAMFPWLWQQKYAEAMVKMGGIEVKTAATGEIRRACRVVNSRT >PVH63497 pep chromosome:PHallii_v3.1:2:2973959:2975470:-1 gene:PAHAL_2G042400 transcript:PVH63497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLNGTVELKLSGCKKLEKLPALWLLPALEILHVKGLESLHCLCSGAATAVTFQKLKVLTLVEMPNVEAWLDTDVVQGEGPIFPEVEELVIRECGSLTALPKAASVTTESSGVVDTKCRSAFPALRNMTLRSLNMFDRWETVEGTPEEEVTFPLLEDLEIDACLKLTGLPETPKLGKLAIGGEGQQISLQAASRCIPSLSRLRLDVSPDDTKTTLLHVKQKWDHELPLAAMTLTRCDLLFSSHPGALALWTCFARLVDLTIFDCDALVYWLENVFQVLVSLRRLSIWRCSKLTGHTQASDGQSALVEVLPRLEYLWMSGCTCLVEVPNLPASLKELCIHSFSDNLKSIIFGQHEYVMPVGGEGVVQPDTSSLSPGSSGSEATASIAVLKLSSAANHRSLPCLESLCIRSCHYLSEVANLPPSIKILDILSCGNLQSLSGKLDVVQKLNIQSCSRLESLESCVGELRSLEELHLDGCKSLVSLPDGPEATHLLDILRFVVVMV >PAN13258 pep chromosome:PHallii_v3.1:2:46952807:46958122:-1 gene:PAHAL_2G323800 transcript:PAN13258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKAVDDVMEAAVGAHFSGLRLEALRLSSPSSPSSPSSARASPPAAAAHSNGAVYANGTAAAAAVELASPPAARQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESARAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKKHRRCSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDISSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVEHIRTKLGQHDLCKIYPNVHVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLVYHKLPMDIAERHVLLMDPVLGTGNSANQAIDLLIRKGVPEDRIIFLNLISAPEGIQCVCKRFPLVKIVTSEIDYGLNEEFRVIPGLGEYGDRYFGTDN >PAN15032 pep chromosome:PHallii_v3.1:2:55124510:55126369:-1 gene:PAHAL_2G459400 transcript:PAN15032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVPPAAPGAQATAAASPAGVRGEQASTSRGTGSPSRGGAGGGGPGRSAGGDEHARLVVAMPAPPPPAMGPTPAGVSAAYVPAPVPVQARPWSGSRSPITWVRFVVGLLLLVLLGYAFIEWGLPFLSEKVIMPIIQWEAKSFRRPMLAVVIIASLALFPIVFLPSGPAMWLTGIIFGYGFGFLIIMAGITIGMSIPYWIGLLFRDRLNLWLEKRWPRQIALIKLAGEGSWFQQFRVVALLRISPFPYALLNYAVTVTEMKFNPYICGSVVGMIPDVFINIYSGRLIRTLAELNYRKHRMTIVEIVYNIVSVIVAVVFAIGFTIYARRALDNMERSGICSEPVGVPASSTEFRDNLQGCSTARSVPIDVV >PAN15031 pep chromosome:PHallii_v3.1:2:55124510:55126199:-1 gene:PAHAL_2G459400 transcript:PAN15031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACGDWFSSRGTGSPSRGGAGGGGPGRSAGGDEHARLVVAMPAPPPPAMGPTPAGVSAAYVPAPVPVQARPWSGSRSPITWVRFVVGLLLLVLLGYAFIEWGLPFLSEKVIMPIIQWEAKSFRRPMLAVVIIASLALFPIVFLPSGPAMWLTGIIFGYGFGFLIIMAGITIGMSIPYWIGLLFRDRLNLWLEKRWPRQIALIKLAGEGSWFQQFRVVALLRISPFPYALLNYAVTVTEMKFNPYICGSVVGMIPDVFINIYSGRLIRTLAELNYRKHRMTIVEIVYNIVSVIVAVVFAIGFTIYARRALDNMERSGICSEPVGVPASSTEFRDNLQGCSTARSVPIDVV >PAN15033 pep chromosome:PHallii_v3.1:2:55124197:55126417:-1 gene:PAHAL_2G459400 transcript:PAN15033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPPPAMGPTPAGVSAAYVPAPVPVQARPWSGSRSPITWVRFVVGLLLLVLLGYAFIEWGLPFLSEKVIMPIIQWEAKSFRRPMLAVVIIASLALFPIVFLPSGPAMWLTGIIFGYGFGFLIIMAGITIGMSIPYWIGLLFRDRLNLWLEKRWPRQIALIKLAGEGSWFQQFRVVALLRISPFPYALLNYAVTVTEMKFNPYICGSVVGMIPDVFINIYSGRLIRTLAELNYRKHRMTIVEIVYNIVSVIVAVVFAIGFTIYARRALDNMERSGICSEPVGVPASSTEFRDNLQGCSTARSVPIDVV >PVH64695 pep chromosome:PHallii_v3.1:2:47725723:47730331:1 gene:PAHAL_2G335900 transcript:PVH64695 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 7 [Source:Projected from Arabidopsis thaliana (AT5G62190) UniProtKB/Swiss-Prot;Acc:Q39189] MSPALAAAEPMAVDDSASKKAKRKQLKAAAAAAAEAEAEAASAKKKEKKEKKRKAKEPSPSSDEEKSSTSSEETAPAAKKVKKEKAKKTVEASPSASEDDGEVTASSDEDSADPNALTNFRISEPLRQRLKSKGIKALFPIQATTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLVNGTHKASRKTDYGRPPSVLVLLPTRELANQVHADFEFYGATYGLSACSVYGGSPYRPQEMALRKGVDIVVGTPGRVKDFIVKGTLNLKCLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATLPDWVNKLSMRFLKGDRKTVDLVGNEKLKASASVKHLALPCNKAARAQVIPDIIRCYSHGGRTIIFTETKDSASELSGLIPGSRALHGDVVQAQREVILAGFRGGKFQVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYEPRYKHSVSRLERESGVKFEHISAPQPTDVAQSAGTEAADAIASVSDSVIPIFREQAEQLLSSSSLSAADLLAKALAKAVGYTDIKKRSLLSSMEDYTTLHLQTGRPMWSPGFAFTILKRFMPEEKLADVKGATLTADGTGVVFDVPAADVEDYIQASESAAQVTIDEVKQLPPLQEREQSRGNSGGGRFGRGGGSRFGGGGGGGGRGGGSRFGGGGRGGGGRGFSGRGGGGNRFNRRN >PVH64191 pep chromosome:PHallii_v3.1:2:35659151:35663903:1 gene:PAHAL_2G211700 transcript:PVH64191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFTHFNPENYLIRCTYTHHPDKVPEEAAATFYPHIKFVRVECPKYPGFCLTRQRNEYPFVKVFYNPEQAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH64190 pep chromosome:PHallii_v3.1:2:35659151:35663903:1 gene:PAHAL_2G211700 transcript:PVH64190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFTHFNPENYLIRCTYTHHPDKVPEEAAATFYPHIKFVRAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH64445 pep chromosome:PHallii_v3.1:2:43317041:43322030:1 gene:PAHAL_2G269500 transcript:PVH64445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKISEREKAGCPHDSISLSRPSTSSAHLASALLSKHQLILPPHHPAIPIPLSLRIFKTATSRGHHSWPPTSPCSPPPPVSPSLCTVTMAKPRKNSTAAAAAANSNSAAAAGDAGVRVKPKRTRKSVPRESPSQRSSIYRGVTRHRWTGRFEAHLWDKNSWNETQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPDTILNFPASAYEEELKEMEGQSREEYIGSLRRSMKFIRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGGAAAAQDPHPMLGGLAQQQLLPPADAVIDAAAFQHDRRQGGAELPLPSRTSLGHTPTTSALSLLLQSPKFKEMIERTSAAESGTTTSSSSSPQTPSPSPPPPSVQAQHQAARDGGAASPQCGFPEDIQTFFGCEDVAGVGVGVDVDALFFGDLAAYASPAFHFELDL >PAN12508 pep chromosome:PHallii_v3.1:2:43317041:43322030:1 gene:PAHAL_2G269500 transcript:PAN12508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKISEREKAGCPHDSISLSRPSTSSAHLASALLSKHQLILPPHHPAIPIPLSLRIFKTATSRGHHSWPPTSPCSPPPPVSPSLCTVTMAKPRKNSTAAAAAANSNSAAAAGDAGVRVKPKRTRKSVPRESPSQRSSIYRGVTRHRWTGRFEAHLWDKNSWNETQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPDTILNFPASAYEEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGGAAAAQDPHPMLGGLAQQQLLPPADAVIDAAAFQHDRRQGGAELPLPSRTSLGHTPTTSALSLLLQSPKFKEMIERTSAAESGTTTSSSSSPQTPSPSPPPPSVQAQHQAARDGGAASPQCGFPEDIQTFFGCEDVAGVGVGVDVDALFFGDLAAYASPAFHFELDL >PAN12160 pep chromosome:PHallii_v3.1:2:41286646:41292579:1 gene:PAHAL_2G247100 transcript:PAN12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQPRDRGSRASRKGRSARAGPAAALPPASDPDPAAGDDAAPWLRATADELEERLLKRLDEAYAAALARLADLGHSEEASLEAVLRAGHCYGKLNDPVSNIVANARTYLSDPGHAGGAGGFADLRRLEEYSLAGLVCLLQSSRPTLTRAEALWCLLSSDLRLEEAIAIGCSLNGKPAPAAALAESEQLPPAVAETPHRGHIHYHNTTAAAAAQDPALFDPETFMRLAIRQGPAAATISCLKAAGWSRSSGAAPEGQPKESVAKKLSTEELIESVVAELEVLDIDKKGPPDANPDPKNQMVRDLIKQTREMEAQLKERREWAQGKAIQAARKLGTDLTELRVLRMEHDENQRRKKEKQVMEDDTMKRLAHLENELKKKSGQLDRSNATVQRLEMENAEIRAEMEAAKLSASETERQCQGLLRKEKKDTKKLEVWERQKAKLKEDIAECKTKITQAERELSEVKKSIKNMEIKIREDTRAKEENVTLLEEERRKKEAAKADSDRRLEELRRKKEVESQCYKDDLRRLQDELNRLQKSTGTNQPAVPSTNPPGMTNRSTARAPKQQPIQRSPAASNRPPLQPAQKQSRRRDCVVCKKEEACVILLQCAHQVLCVGCNKLHEDKGVVRCPCCSAKIEDRIRVFGASSN >PVH64518 pep chromosome:PHallii_v3.1:2:44967795:44969589:1 gene:PAHAL_2G293000 transcript:PVH64518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLRPTRRARGDGDQRPHPVRRPRLCPLLADPSRTGSSWRR >PVH64517 pep chromosome:PHallii_v3.1:2:44967795:44970161:1 gene:PAHAL_2G293000 transcript:PVH64517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLRPTRRARGDGDQRPHPVRRPRLCPLLADPSRTGSSWRRLRKAMICCIK >PAN14753 pep chromosome:PHallii_v3.1:2:54191772:54192851:-1 gene:PAHAL_2G442300 transcript:PAN14753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLHRALHLPRLSPRRGHGFTAAAVRARLSSPEPAPQQQPAVRLDIAPQVSFEAAVAATESKLRREQAASGADDGGRTCALPTWALIGGITAGVAVALALSAGAGPALALGPEGPLVEEFWDNMRRYALYVVTVSTGVAYTVLQPIVELLKNPVTALLIVAVLAGSGFLVSQVLNAMVGNSDFIYRYE >PAN10602 pep chromosome:PHallii_v3.1:2:8219617:8221176:1 gene:PAHAL_2G104600 transcript:PAN10602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRSWVRAALMGALGAAELLVSAAVHLGYAFYVFGTAVAADVAASLVKGLMAGGCGGGVAKGVAVGSVDEAAAVLDGAVPPIVLVHGIFGFGKGRLGGLSYFAGAEEKDDRVLVPDLGSLTSVHDRARELFYYLRGGRVDYGEAHSAACGHARFGRTYERGHYPLWDEDHPVHFVGHSAGAQVIRLLQQMLHDGEFAGHATSERWVLSVTSLSGALNGSTRAYIDGVRPEDGRSLRPMCLLQVCRVGSVLYHWLDLPWLKRYYDFGFDHFGMSRRLVGVRGLADILVAGKRGPFATGDWILPDLTIQGAARINARVRTFPGTFYSSYASRRTARTRRGATVPSGLLGIHPLLFLRALQMCRWRYPAGAPPPYEGYRDEDWEDNDGALNTFSMTHPRVPDEHPSVPVEEDGAGGRSLRPGVWYYRIVEADHMAFVINRRRGGVQFDLVYDSIFRNCRRLCLPDRADADASGSELSPDRDLRPN >PAN10251 pep chromosome:PHallii_v3.1:2:6031395:6032861:-1 gene:PAHAL_2G081800 transcript:PAN10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGQEQHRRRVVLFPLPFQGHISPMLHLGALLHARGLAVTVLHTGFNAPDPARHPELGFVPIHEAFPAEVTSPGADIARQLLALNAASEGPFREALASLLRLRGGGGHQADVACAVVDGQCYAALRAAAQLGVPVLALRTDSAATFRSLLAYPRLLDAGYVPVTEERLDEPVPDLEPLRTRDLIRIDGSDADALRRLLARVAGAVLASASGVVFNTSEGIEAPELAAIRRELSRPAFAVGPLHLLSRAPAEQTLRAPDRGCLAWLDARPPRSVLYVSLGSVAAVSRAAFEETAWGLAGSGVTFLWVVRPGSVTGAGDEAPPPLPDGFSEETRGRGKVVAWAPQREVLAHAAIGAFWTHCGWNSTLESVCEGVPMLVQPCFADQMANARYVTHKWGVGMEVGEVIERGRVAEAVAKLMVGEDGARMRERARHLQMQATAATSSAMDSLVQYILSL >PVH64130 pep chromosome:PHallii_v3.1:2:31134728:31135465:1 gene:PAHAL_2G195400 transcript:PVH64130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGTSGGTLRCDMMVFVERSTRYPDVDPWFISTSGFRFPDTYRKAARKALRRLRVLYRHHLQRSPLGFFPPTEGRGRTWIAQMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAANAEYSLAALQAQMQEYENRSGTGGWIEEEEEEPMETHWDKGTQTEDEEMDRSLPTKKRPIRIEEESP >PAN10864 pep chromosome:PHallii_v3.1:2:9962015:9968754:1 gene:PAHAL_2G119100 transcript:PAN10864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVAATAPSRPLPLVVGRSRGRGAPPGIRTAKPEALTELQLRSSSPTLSCACSPSPSSSPSPGDGGKGSARNLFDDFSVLSPVVPWEADDIWRIYAGYFFVLHIPLSFGGLGVVAKVLKCSSLDPLTTVISTVWLQLVELSLALALLQYVAMPGNDVQAFFASKVSTRNWVKETVIGFAVLMILVWITSILADKLVGSEDAYDPVLEGILSDSPTSKLVCFFLYCVIAPLSEETIYRGFLLTALSSSMKWRDAVVMSSLAFSVAHLSGESSIQLFAIGCITGLTYCRTGTLVASFAIHSLYNAVTLYMVLAS >PVH64430 pep chromosome:PHallii_v3.1:2:43007637:43008902:-1 gene:PAHAL_2G266100 transcript:PVH64430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTTREMQAMAAAGQISLDDLRAAGGVHDDFLDQMLGGLPPSAWPELASAAGAKAPEGGAEAEGMHQQHHQQQQQQFGGGLYDESALLASRLRQHQISGGGGAESAAAAKQMVLQQLADLRHGHHMLLQGMGRSTGGGGGDGGVLLPLSLGSGGSGGDVQALLKAAANSAGGEASGVFGGAFAGSLQQQQQHFQPHPQSQQQTAPLPGQGFGGGGGGASQPQAGASGGGAAAPPRQRVRARRGQATDPHSIAERVSYATTLLPPPHPNCRSAFTINHVGQQYLILNLANKSAAAVANQPAVCCCFLDLDCSPSVPAPAETQPLPFLCPFLRSHIRLRHGVHMFIGLT >PAN12403 pep chromosome:PHallii_v3.1:2:43005406:43009019:-1 gene:PAHAL_2G266100 transcript:PAN12403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTTREMQAMAAAGQISLDDLRAAGGVHDDFLDQMLGGLPPSAWPELASAAGAKAPEGGAEAEGMHQQHHQQQQQQFGGGLYDESALLASRLRQHQISGGGGAESAAAAKQMVLQQLADLRHGHHMLLQGMGRSTGGGGGDGGVLLPLSLGSGGSGGDVQALLKAAANSAGGEASGVFGGAFAGSLQQQQQHFQPHPQSQQQTAPLPGQGFGGGGGGASQPQAGASGGGAAAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGQGGAPPAAAGSDGLAVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPVSLASAISSATCHMRPPVGGLGVAAAAHHMAAMRLPPGMNGGADAAAVPASPSMSVLTAQSAMANGAGGADGEGSHSQQPKDAASVSKP >PVH64429 pep chromosome:PHallii_v3.1:2:43006615:43008902:-1 gene:PAHAL_2G266100 transcript:PVH64429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTTREMQAMAAAGQISLDDLRAAGGVHDDFLDQMLGGLPPSAWPELASAAGAKAPEGGAEAEGMHQQHHQQQQQQFGGGLYDESALLASRLRQHQISGGGGAESAAAAKQMVLQQLADLRHGHHMLLQGMGRSTGGGGGDGGVLLPLSLGSGGSGGDVQALLKAAANSAGGEASGVFGGAFAGSLQQQQQHFQPHPQSQQQTAPLPGQGFGGGGGGASQPQAGASGGGAAAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEVTISLSIFLSPTCSRVDRVACSFSL >PAN12343 pep chromosome:PHallii_v3.1:2:42613771:42616586:-1 gene:PAHAL_2G260700 transcript:PAN12343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWDGGVEHGSQEAAHQLLPWLGAAPLAEPAAAAGFGAMGAYGACDGVSVGGLGLGHGGVFGFGGFDAVPQQQQRAGAAEGSGKAVVSGLLGSLQAELGRMTAREMMDAKALAASRSHSEAERRRRQRINGHFARLRSLLPNTTKTDKASLLAEVLDHVKELKRQTSAMMVAAAAAVAGGGGDDDGGAGPARMLPAEADELAVDAAADRAGRLVVRASLCCEDRPDLIPDIVRALAALRMRARRAEITTLGGRVRSVLLITADEGAEDDQGCDEDDDGRCPNARQRGGDGGHGRAASHRRHECIASVQEALRGVMDRRTASSDTSSSGGGGGGSIKRQRMNYGAQEQCV >PVH63834 pep chromosome:PHallii_v3.1:2:9814199:9814501:1 gene:PAHAL_2G117300 transcript:PVH63834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYMLEFVDPAFLRVICSSQHLGHGGRPPIPLGGSRCPQAVKISCRVPSALTVCLSAPPNHVAIHFVCLNHPAQSLKLKFTSSLSAARGMCTPYSPWFLH >PVH65217 pep chromosome:PHallii_v3.1:2:54087787:54092762:-1 gene:PAHAL_2G440200 transcript:PVH65217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MENYRYLSRTPLVLSLSSLFAPSSAPPPAARPPTPPSYPPPVSAGGPRPILRTSPSNSSAFVCIPQRGVEARGSDSPHLGATAATARPPAPPAAALVARPRRMLGRFPIVLRLPRGILRGSSCRRGLSAMAGGDEEGPLGDFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHAHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYSSPHLLTIRERISVGNDGGPVPVRLLSDLFDQAKEAIDESIESENGALTHFEVFTALSFLLFSRENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGSEHLAALGGSLQSIAIAKSGIIKQGRPVVIGGPFSADIEQIIRDRAFLTQSPVISACDPGIKSITKCVDWDNGKPYQCCDISIKISNDMPLSIELCDVNLQLLGDHQRQNAVTASCTALCLRNLGWDISEASIQAGLEETQLAGRSQILTQEEALVLGLDGASTVLIDGAHTEASAKTLSNMIESIRPEGPLALVVGMANDKAHFAFAEQLLAGSRPDVVLLTEASIAGGASRAMPALLLKEIWMAAARDLGINCVDIGTISGAEAQERIANLAASSSSFAGKPMVMIGCQDDTTPFSCNLIRAASQLILESRGSDDPSPGLVCVTGSLHLVASVLQHLERH >PVH63929 pep chromosome:PHallii_v3.1:2:12834484:12834968:-1 gene:PAHAL_2G137700 transcript:PVH63929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMVNLAQDFHRDLTLKDDQIHSLGQGIAGRDTTIGHLEVQILEGDAQILQHNTVIDFLQEQVHDLNQELGDSLGHIEMLQEQQMPPLVPNELEEEEDSEEEPEKIEGVSEIDSEHGDPEPNPQPNHSSSGSQSSVGNLDDF >PVH64139 pep chromosome:PHallii_v3.1:2:32666495:32669143:-1 gene:PAHAL_2G199900 transcript:PVH64139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLGWPLIASLPLTQMLPPTHQEEKGKGADEEEYVANVDGDGDGGSGEGDGGEDREECEDRDGDAEEEEEEDTTTQAVFRFGGNNVMTRAAINPANRRQIRPHGDWQWDNICWEGRNRLRPVIATLGTHCRFHYPGMVTIGGVLQPALKWEQYKLQSNDQGVMIPARVWNEFWERYCLPEGEEQCLQDRACSVFDKVATKVVRDMMSNARIQYVCLYYKK >PAN12100 pep chromosome:PHallii_v3.1:2:40825749:40829021:-1 gene:PAHAL_2G242100 transcript:PAN12100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAMNKDKGHDKETHGTSSDINENTSVVDVKGPNLLERAKEEIEALAGAVHTKMEHHSSPHEKEDESHKEDKEGSLQKIKTHTNETHGTSTDINENTPVDKVKGPNVFERAKEEIEAIAEAIHPKGPDSK >PVH64858 pep chromosome:PHallii_v3.1:2:49896114:49897155:-1 gene:PAHAL_2G371700 transcript:PVH64858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNPKLLTSWELERHGGAIFTHEVFRRFQNELLAAREQCDVQSTTEMEDRTIVKVVDNFNRPREVIFFNADQVHKCSCMLFESIGIPCRHIIRMLRSARISELPMHYITKRWTKNCKREAAFDSEGNLLIEKSITSMEDSTRRKMATAHKKFEDIFQMAKTSEEGLDILIQNLERPSLLFEPISRTGQEEQESFIGYPFRKMYKYIHLVTFVRRGGVRE >PVH65274 pep chromosome:PHallii_v3.1:2:54688989:54692682:-1 gene:PAHAL_2G451600 transcript:PVH65274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MGRDELLRRSLVALAAAVVVTGLATASLRKAAATYGFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDVWKFKPYPLRMAMLTTIYSFGLYKWWIYVSS >PAN15381 pep chromosome:PHallii_v3.1:2:56667453:56669172:-1 gene:PAHAL_2G483700 transcript:PAN15381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLHNIEGVYKVTVDAAQHKVTVTGSVGADALVRRLHKAGKQAALWPDPAPAAVVEAAKKPEEVALAPPAAAGEGDKVKEGADMAEAKPKEAAKDKKQPEAEGKEKKPEKDKGSDKKPEKAEAARPKDEAKKDVDVTAPKEKGSPEPAKESAAAAEEAGGEEAGSGKKGKKKKNKQKDGGEAEAAPQPSVAAPVPAPAPAPAPALGPERPPAGFPYYAAQPVMSYNVAHPSSSVSYYAPTPVGPMPPMPTPPPPQMTPYGYPPYPPMMPPPVPEFLYGPPGMRSSPPQESYNNMFNEENANSCSVM >PAN14223 pep chromosome:PHallii_v3.1:2:51215095:51215745:-1 gene:PAHAL_2G392700 transcript:PAN14223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAKKGKGLLARRKAHCTACCVALAVLLILGALALAFYLRYRPRPPRVVATPVDVSIDEFALLPHPSLRVSVGVHVVVANPSNSPYRYGAALGPVTYRGAPVGETLVPAGEIGGKSTARVEPATVVDGVKVAESPHFASDAVAGVLPFVAVVRVVGKALVLRAFEVPVTVEVVCLVRIYVFHGESSSRCASTVRTGARAAGTGGGFPAAGYGHE >PAN12627 pep chromosome:PHallii_v3.1:2:44015866:44020016:1 gene:PAHAL_2G279200 transcript:PAN12627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMQKPLLVLLQLVLVASTSALASSAGAGAGAATYIVYLNPALKPSPYATHLHWHHAHLDALSLDPSRHLLYSYTTAAPSAFAARLLPSHVAALRAHPAVASVHEDVLLPLHTTRSPSFLHLPPYDAPEANGGGPDVIIGVLDTGVWPESPSFGDAGLGPVPARWRGSCETNATDFPSSMCNRKLIGARAFFRGYSSGGGNGSRVSSDLMSPRDHDGHGTHTATTAAGAVVADASLLGYASGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEKAIDDGVDVLSLSLGGGSMPLSRDPIAVGALAATRRGIIVSCSAGNSGPSPSSLVNTAPWIITVGAGTLDRNFPAYAALGNGETHAGMSLYAGDGLGDGKFPLVYNKGIRAGSNASKLCMEGTLNAAEVKGKVVLCDRGANSRVEKGQVVKQAGGVGMVLANTAQSGEEVVADSHLLPAVAVGAKGGDAIRRYVESDANAEVSLSFAGTALDVRPAPVVAAFSSRGPNRVVPQLLKPDVIGPGVNILAGWTGSLGPTGLVADERRSAFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAVKSALMTTAYTADNTGSPLLDAATNATATPWAFGAGHVDPVRALSPGLVYDASVDDYVAFLCTVGVSPRQVQAIAAAGPNVTCARKLSSPGDLNYPSFSVVFGRRSSRSTVKYRRELTNVGDAGATYAVKVAGPSDISVSVKPARLQFRRPGDKLRYTVTFRSANARGPMDPAAFGWLTWSSDEHEVRSPISYTWGM >PAN15392 pep chromosome:PHallii_v3.1:2:56715147:56721056:1 gene:PAHAL_2G484700 transcript:PAN15392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEIGGAARQAQWKNYCKTLSLLAFQSFGVVYGDLSTSPLYVYRNSLSGRLNAYIDETTIFGLFSLIFWTFTLVPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKFSLLPNQQAADEELSTYYQPGTDRTAVSSPFKRFLEKHRKLRTCLLLFVLFGACMVIGDGVLTPTISVLSAISGLQDPATSGLSNGWIVFIACVVLVGLFALQHRGTHRVAFMFAPIVVFWLLSIGIIGLYNIIRWNPRIFVALSPHYIVKFFKKTGRDGWISLGGVLLAVTGTEAMFADLGHFTAASIRLAFVGVIYPCLVLQYMGQAAFLSKNTPAVYNSFYLSIPSPLFWPVFVIATLAAVVGSQAIISATFSIVKQCLALGCFPRVKVVHTSRWIHGQIYIPEINWILMVLCLAVALGFRDITVIGNAYGLACITVMFVTTCLMSLVIIFVWQKNLLISLLFLVFFGAIEAAYLSAAVMKVPQGGWAPIALAFVFMSIMYVWHYGMRRKYMFDLQNKVSMKWILNLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPVDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVRSIARFIQMEAEESASSGSYESSTEGRMAVVHTTDTTGTGLVVRDSTDDDAAAGTSLTRSSKSETLRSLQEIYELENAGSVNRRRRVRFQIDEEERIDPRVRDELSDLLEAKEAGVAYIIGHSYVKARKNSNFLKTFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >PAN10995 pep chromosome:PHallii_v3.1:2:11905340:11905975:-1 gene:PAHAL_2G132400 transcript:PAN10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKYAAVFLVLALSAPLAALAGDPDILGDYILPANTDPATVTGAFFTHTGFRAAMTMNMSMPMPSFTATKASMAEFPALDGQSVSYALLMFPPGAVNPTHTHPRAAELLLVLDGALSVGFVDTAGRLFTQDLAAGEMFVFPKGMVHWQFNQGSKPATGLSAFGSAAAGLVSVPVTVFGTGIADDVLAKSFKTDVATIQKLKAGLTPPKE >PAN11810 pep chromosome:PHallii_v3.1:2:37015939:37018037:-1 gene:PAHAL_2G218700 transcript:PAN11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISPTDCVLLHANGFEGHFFHRNATTAMSFTSRGTSIVASLSCPERPLLPTILVVECSRVDFTNEPPRIVCAVEGTILFSVLMGPRPDVSPCKYDYYIYRVGDIPTLQLLPPPLDNFMDEDAGLLLHGDGEFMVAALISTDKFDLFDLHRFDSRTGAWSQVVVRLVEPQISFPFRVTRNSLRLGYHLTSTVITIGGEGGRMGWVDLWRGILICDVLDSKPEVRGVPLPLPMDLLTCNNGRGAELGGCARPLRGIAVVDQCLRFVHLEATISTPYRTTLPPTDGSDSDEETATFPNPVMSDWVIHTWSNSKMTASWEDWVVDCKARASHATIPSKVKSKMLSSGLLSPEEGANPVRALGNLWVSHPALGTDDGVVYLLARLRFQDPKAFIIALDTRENVLLGSAEFATERKRGAGIMYFPSNISKYVDPKARVLPISEGVEDNFEESSLNEGTESVEYPNVELFYHGMEFDDDASVDS >PAN14596 pep chromosome:PHallii_v3.1:2:52966703:52971684:1 gene:PAHAL_2G422400 transcript:PAN14596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQEMQEKAASAAASSAEAPKHANPKPGFAVAANKRPFELKKAGPAAMGGKLAFSLKKAKVAVAPVFAADDEDEDAADVEREEPAKRQKSVQADAPVVAGLAGAVAPPPPNDMTVKQVADKLASFVAKKGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFQLAEEEKALAQSEQAEASKNVNSSTASFKAPGGPHRSSFEQKSNYQTPASALYGAYEDHSSQGSSSSNGDRNMSVPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDNLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN14595 pep chromosome:PHallii_v3.1:2:52966703:52971684:1 gene:PAHAL_2G422400 transcript:PAN14595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQEMQEKAASAAASSAEAPKHANPKPGFAVAANKRPFELKKAGPAAMGGKLAFSLKKAKVAVAPVFAADDEDEDAADVEREEPAKRQKSVQADAPVVAGLAGAVAPPPPNDMTVKQVADKLASFVAKKGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFQLAEEEKALAQSEQAEASKNVNSSTASFKAPGGPHRSSFEQKSNYQTPASALYGAYEDHSSQGSSSSNGDRNMSVPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDNLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >PAN14830 pep chromosome:PHallii_v3.1:2:54475099:54475629:1 gene:PAHAL_2G447400 transcript:PAN14830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSLLVLAAVLALFSPAALAAGREERERIRVYVHERFSGPNATVGSVAPSLLGDNSTFGEVGVVDDVLRAGPDPSSREVGQYQGLFAGADLADANYFSAITLVFTAGEHRGSTLSLQGSYTFPGDEVLERAVVGGTGGFRMARGVSLLKVVSAQPEAAVFQLDLVVFTPRRRY >PVH63995 pep chromosome:PHallii_v3.1:2:19632366:19632837:-1 gene:PAHAL_2G161200 transcript:PVH63995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPRLVFRGRGGDQSSGSGRGSGPRPAPLPSLDRTRVLVFTLAVSRVDGNLNQSLSSLLGCNSCLRVEERNDQDGSLCIFFRASLVELQLILILCGS >PVH64239 pep chromosome:PHallii_v3.1:2:37363700:37365994:-1 gene:PAHAL_2G220600 transcript:PVH64239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPEATQEQERVIEENVNPMPPPPPPHLEATQEQEEERVIEEIVNPTPPPPPPPLPPSAPPTYDVSRLPNDPGERQPIASFHANDHDAIRRAYILRGPFQPYAHEFPKRWIGDREHHFNFVWFHNFPWVEYSVKKDVVFCFVCYLFKNKESKGKGTDAFTMKGWKNWNIGENALLKHARSKAHKAAQEKYFGFLNPDAAIDDKIEKWSTEDHYLYKKRLTYSLRCLKFLLHQGLAFRGHDESAESSNRGNFIELLKFVAAHSEEVNKYVLNNAPSNCTLTSPMIQKQIIQCCAIETRKKIIGELGEEPFAILADECSDISHKEQLALCLRYVDASGRPCEHFLGVVHVDDTTSLSLKDAIEALLVSHGLTLTRIRGQGYDGASNMRGDIKGLKTLIMQESPSAYYIHCFAHQLQLVLVTVAKGNNDCVWFFDQVSLLLNIVGVSCKRHGMLRDARIENLMRALDCGELETGSGLNQEMGLARPGDTRWSSHYKAVCNIIAMYPIIPEVLFTLGEDTTVRADWTKIHTMLGAFESFDFVFCLHLMFTILGYTNDLSECLQRREQDILNAITFVKAAKKRMEHLRNHGWDQFLDRVILFCNKHGVQVPAMEGNYVPFGRSVRFVHDQNNDDHFRRAIYIGVIDQISMELASRFDEVNMELLSCMEAFDPSNSFASFDAQKVRRLAEFYPNDISSTDLLKLDFQLDNFIDVLREDGDFKDLHNLVDLSVKLVEKKDIRCMMLCTCFSNWYCFYRWQQQVLKGHSLH >PVH64305 pep chromosome:PHallii_v3.1:2:39623407:39628263:-1 gene:PAHAL_2G233100 transcript:PVH64305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVAATHQFQVHDGTNPLVRRMREIIQGPGASDAWNKCWEGDITPWDLGQPTPAVTKLVQSNTLASHGRVLVPGCGGGHDVVEFSTPDRYVVGLDVSGTALKKAKELYSSAPNAEFFEFVKADFFNWVPTESFDIIFDYVFFCAVHPSLRSAWAKRMDYYLKPEGELITLMYLFVLVNISTSNFLAHANILAYIQIITLKPLGFVITSIEDNQVAVGPRKGIEKIARWKKTAN >PVH65427 pep chromosome:PHallii_v3.1:2:57125405:57134824:1 gene:PAHAL_2G490300 transcript:PVH65427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALKGYFGYSSFRPYQREIIQKVLDGRDCLVIMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQKGVKSEYLGSTQTNSSAFNEAEKGMFDVLYMTPEKAISLPSRFWNNLQAAGICLLAVDEAHCISEWGHDFRMEYKELHLLRGLLVGVPFVALTATATERVRRDISTSLVLCNPHVVVGSFDRHNLFYGVKSCNRSISFINELVKDVSKKSAVGESTIVYCTTIRETEQVHEALITAGIKSGIYHGKMGSRAREESHRSFIRDEVRVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDALSSVCWLYYQRSDFTKADFYCADAKNGTQRKAIMDSFMAAQKYCLLATCRRRFLLQYFGEELNSDCNCDNCTAVKNVRDLSKETFLLLSCIKSCGGRWGLNLPIDVLRGSRAKKIVDNNYDKLQMHGRGKDYSPNWWKALGGLLIAHDYLKETVRDTFRFVSVSPKGVKFLSAADKIDGTPLVLQLTAEMIDLEDHGSSQHKEGGGSNLVPTLESEKFSEDESKLYQMLLNVRMELAQDIGTAPYAICGDQTIRNFAKMRPSTGARLANIDGVNQHFVSRFSGTFIQNITQLSKELNLPLDNSPLPPPPTINPAVENIAGVPKPVQNNLPGILGDAKVTAWELWQKQEFSFLKIAYFRRAVPIKEQTVIAYILDAAREGCELDWSRFCREVGLTPEIASGIRLAIAKVGSRDKLKPIKEELPENVTYDMIKTFLTIEGRGLSEQIFSNAPASSHASEASGNDNPADGVTADACDANPSAKRGQTDGMVGSAEEPAMKLQKIEEHGVESSGTTSATEESVLELVASRDGVLLDDVVKHFNGSKRESVVEILVSLESEFEIYKKNGKYMIM >PAN15471 pep chromosome:PHallii_v3.1:2:57125405:57134824:1 gene:PAHAL_2G490300 transcript:PAN15471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALKGYFGYSSFRPYQREIIQKVLDGRDCLVIMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQKGVKSEYLGSTQTNSSAFNEAEKGMFDVLYMTPEKAISLPSRFWNNLQAAGICLLAVDEAHCISEWGHDFRMEYKELHLLRGLLVGVPFVALTATATERVRRDISTSLVLCNPHVVVGSFDRHNLFYGVKSCNRSISFINELVKDVSKKSAVGESTIVYCTTIRETEQVHEALITAGIKSGIYHGKMGSRAREESHRSFIRDEVRVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDALSSVCWLYYQRSDFTKADFYCADAKNGTQRKAIMDSFMAAQKYCLLATCRRRFLLQYFGEELNSDCGNCDNCTAVKNVRDLSKETFLLLSCIKSCGGRWGLNLPIDVLRGSRAKKIVDNNYDKLQMHGRGKDYSPNWWKALGGLLIAHDYLKETVRDTFRFVSVSPKGVKFLSAADKIDGTPLVLQLTAEMIDLEDHGSSQHKEGGGSNLVPTLESEKFSEDESKLYQMLLNVRMELAQDIGTAPYAICGDQTIRNFAKMRPSTGARLANIDGVNQHFVSRFSGTFIQNITQLSKELNLPLDNSPLPPPPTINPAVENIAGVPKPVQNNLPGILGDAKVTAWELWQKQEFSFLKIAYFRRAVPIKEQTVIAYILDAAREGCELDWSRFCREVGLTPEIASGIRLAIAKVGSRDKLKPIKEELPENVTYDMIKTFLTIEGRGLSEQIFSNAPASSHASEASGNDNPADGVTADACDANPSAKRGQTDGMVGSAEEPAMKLQKIEEHGVESSGTTSATEESVLELVASRDGVLLDDVVKHFNGSKRESVVEILVSLESEFEIYKKNGKYMIM >PVH65428 pep chromosome:PHallii_v3.1:2:57127834:57134825:1 gene:PAHAL_2G490300 transcript:PVH65428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRAREESHRSFIRDEVRVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDALSSVCWLYYQRSDFTKADFYCADAKNGTQRKAIMDSFMAAQKYCLLATCRRRFLLQYFGEELNSDCGNCDNCTAVKNVRDLSKETFLLLSCIKSCGGRWGLNLPIDVLRGSRAKKIVDNNYDKLQMHGRGKDYSPNWWKALGGLLIAHDYLKETVRDTFRFVSVSPKGVKFLSAADKIDGTPLVLQLTAEMIDLEDHGSSQHKEGGGSNLVPTLESEKFSEDESKLYQMLLNVRMELAQDIGTAPYAICGDQTIRNFAKMRPSTGARLANIDGVNQHFVSRFSGTFIQNITQLSKELNLPLDNSPLPPPPTINPAVENIAGVPKPVQNNLPGILGDAKVTAWELWQKQEFSFLKIAYFRRAVPIKEQTVIAYILDAAREGCELDWSRFCREVGLTPEIASGIRLAIAKVGSRDKLKPIKEELPENVTYDMIKTFLTIEGRGLSEQIFSNAPASSHASEASGNDNPADGVTADACDANPSAKRGQTDGMVGSAEEPAMKLQKIEEHGVESSGTTSATEESVLELVASRDGVLLDDVVKHFNGSKRESVVEILVSLESEFEIYKKNGKYMIM >PAN09941 pep chromosome:PHallii_v3.1:2:4440109:4446283:1 gene:PAHAL_2G060200 transcript:PAN09941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G14170) UniProtKB/Swiss-Prot;Acc:Q0WM29] MLRAALSRSALGLRRSPAMAAAVPLSTAAAPWLSNGPASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRGTPVTTRQRVMFKFQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNTAGMHIYSRASATGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSESWEDELVKRASGLVVNSGMVNDADLGPVISRQAKDRICKLVQNGVDSGARLLLDGRYIVVPQFEDGNFVGPTLLADVKSDMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGISARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >PVH64341 pep chromosome:PHallii_v3.1:2:40944925:40947534:-1 gene:PAHAL_2G243600 transcript:PVH64341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRQRDSCETAAGGGGGELRRDEDRVARAQPCPGHRGTPRARGQRGARTRGTPRGTGPRRRGPTVDARSGRAGALPPAADALPPAADADAEKRRWRASSGAAVRMGTDEDGQQHASIDAVREGKK >PAN14453 pep chromosome:PHallii_v3.1:2:52339306:52339829:-1 gene:PAHAL_2G411300 transcript:PAN14453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSICPALNSPRPPSPPPHPGRIPRNRLLLPTGAACRSLAIADLTAASIVGESRARRASNRRGYRRRKERGGMVFFCFLVDQRRTVRSSKPAAGICSRCGGCASVADMETATRVCYLLTVHRRTWRAIICTFCGAMLKSYRHYRLY >PAN12807 pep chromosome:PHallii_v3.1:2:44937849:44943465:1 gene:PAHAL_2G292400 transcript:PAN12807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G26560) UniProtKB/Swiss-Prot;Acc:O48723] MEEEKSIQPPTYGNLVTILSIDGGGIRGIIPAVVLAFLESELQKLDGEEARLADYFDVIAGTSTGGLVTSMLAAPNKKNRPLFAAKDIQAFYMNHAPKIFPQKRGLFGRIMRIFQSLSGPSYDGKYLHEVVRKKLGTTRLRETLTDVVIPTFDIKRLQPVIFSSYEVKNDKCNTMDALLSDICISTSAAPTYLPAHYFKTEDYHGNTKEFHLIDGGVAANNPALVAIGEVTKQIFKENPDFFPIKPMDYGRFLVISLGTGSAKFEANYNAQTAKSWGVLGWLLGSGSTPLVDIFTQASADMVDIHISAVFKALHSEQNYLRIQDDTLQGTLSSVDVATMENMEKLASIGDALLKKPVSRVNLETGHMVPAYHSTEMTNEEALKRFAKLLSDERRIRWARSPK >PVH65245 pep chromosome:PHallii_v3.1:2:54363078:54366781:1 gene:PAHAL_2G445800 transcript:PVH65245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISDIKLIRTDTTLDLSQKAEKVLRLSSQACCLNSSDNEEPSRSSAYVDYRSQCLLPSITLAVGTSNWDAARNISFRECVRLYGPSQSIGLFALLVQLFLPRRIREIWCLIQSIVDYCGNTGQELFELAPMLVSKLGGSMTLLQVYAAVIRILLELSMFEDALLTYIEAKKVGVELRLCNFLLKCLVQGNQIVYARSLFDDMKSSGPSPNVYSYSILMSMYTHGERLCLEEAFELLREMETNGVRPNAATYGTYLYGLCWELLKGYDMLDEMARKGISPNHVSYSSLLHGLCKTRNVNLALGIFKMLKDQGFKHDQIMYSILFHGCCQYLDLDIVNDLWDDMIHHDFAPDVYDYTNLIYALCRHRYLIEALEAFELMLENGVTPNIVTCTILADSFSKKGMIGEAFLFLDKVHQSLGIAPNLFTYKVIIKGLCKTNKSDNVWEIFADMVKRGHVPDATLYSIIIDGFVKALELQEAFRLYHKMLDEGIKPTIFTNTSLLNGLCHDDGLPGFRKLMRDMIGEELVLDKILCTSIIACYCRRSNMKAAMEMYKKMESYGLSPDAFVYTCLISGFSKVRAMDGAFLMMKEMEKRNIKPTVVTYTAVIIGYLKTEDEKQAFKTCISMRRAVIVPDDKLSCILGLGNGRDDSDYYS >PAN11184 pep chromosome:PHallii_v3.1:2:33546828:33547176:1 gene:PAHAL_2G203200 transcript:PAN11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEAAREWLGEACSASTSGDAATGGRCAAARRSKAWSNCRAPRRGQKATPRLDRGRSGIGGGAEMAAERDIDDLPWNDANYTALMPL >PAN13645 pep chromosome:PHallii_v3.1:2:48798146:48798592:-1 gene:PAHAL_2G353700 transcript:PAN13645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRLVQMAKKWQRMAALARKRITSTPAKETEGSPCSTSSVAGKGRCVVYSADGRRFEVPLAYLDTAIFGELLSLSQEEFGFAGDDGRITLPCDAAVMEYVMCLLRRNASEEVEAAFLSSIARPCHYGSGLAQSMGVSQQLAVPSF >PVH63641 pep chromosome:PHallii_v3.1:2:5687228:5688848:1 gene:PAHAL_2G075700 transcript:PVH63641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPLQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEVNFFLSDLNCIVSATHTIDEDCRRTVSVASLHRDCGLHGGENENISGCDQR >PVH63642 pep chromosome:PHallii_v3.1:2:5687099:5696111:1 gene:PAHAL_2G075700 transcript:PVH63642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPLQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEIVEGQSALHRCTATVDFMEEKMRTYPAVINDEGIYAHAKAVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVLQDRQLVFRCSGVRTCMLLSLMERK >PVH63640 pep chromosome:PHallii_v3.1:2:5687099:5689191:1 gene:PAHAL_2G075700 transcript:PVH63640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPLQELVDWEYKSQESGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKLVFQPAEEGQGGAYYVLQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATACRFQATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLSYLMKRVIEIVEGQSALHRCTATVDFMEEKMRTYPAVINDEGIYAHAKAVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGASFHAAVAIEYLKKHASA >PAN10119 pep chromosome:PHallii_v3.1:2:5412334:5413478:-1 gene:PAHAL_2G072700 transcript:PAN10119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTRAMRARAAASADRLPPDALFDTLLRLPAREICRLRAVSRSWRSLTSDPVFVRAHAAAHPGPLFVAKFRDNQTDVYVLDLAGNVLKRISGVADASVHVLCARLNLACLATDWNRCRILLRSPAPQHRNRANLSEPYTFFALGLVASTGEYKVFRMFNRLDQVFEVLTVSSSTGQSCWRAKPKPSFYIQAFTGVVVDAVVYFLISKSYIHAPHTDARARRPSFDLEREEWRRGLTGPVGGHIASGEGLLSDNYRLDLAELKGSLVLVYKRRQQLTLYMELWFLKDFENGLWAKEYTIQAHQLIVSVADNLLSHVKPVLVLDDGRLVIYAAPAGILVIWDPRSNLFAHVLETRVLDSVGIYTGSLLSLQ >PAN14519 pep chromosome:PHallii_v3.1:2:52567116:52568644:-1 gene:PAHAL_2G416100 transcript:PAN14519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAQAAAVAAPMVAAASAHAAACLSTACRRGTSRWALPSRAPGGTTGGFRWLRRRAQTRARAWRAAWATGRGRGPVILRAEFGGTYEDGFEDVHKNIINYFTYKATHTVLHQLYEMNPPSYTWLYNYVLVNDPLDGDYFLRLLAKERQDLAERVMITRLHLYGKWIKKCDHAMMYERISKENLDIMRQRLLETVVWPADDTSTGEPKD >PAN12062 pep chromosome:PHallii_v3.1:2:40647220:40652524:1 gene:PAHAL_2G240200 transcript:PAN12062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAPPELGQFDGWESSGEEERERERWGWCRRSRRGSSRRRASPKAAGDDDTTVATGCCIRLWPVGTCQPPPPPRSKVDTSTSSASTHGAEKSTENGSRNQPAALVVSGSTTTSNAESSSSASKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSLKAQGPFAARNGQQPVRSLSDGPRASPFRYSPKPNVK >PAN12061 pep chromosome:PHallii_v3.1:2:40647220:40652524:1 gene:PAHAL_2G240200 transcript:PAN12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAPPELGQFDGWESSGEEERERERWGWCRRSRRGSSRRRASPKAAGDDDTTVATGCCIRLWPVGTCQPPPPPRSKVDTSTSSASTHGEKSTENGSRNQPAALVVSGSTTTSNAESSSSASKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSLKAQGPFAARNGQQPVRSLSDGPRASPFRYSPKPNVK >PAN13251 pep chromosome:PHallii_v3.1:2:46892394:46899478:-1 gene:PAHAL_2G323200 transcript:PAN13251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKPKPKNLRKAQERGPSASSSDVGPGDAASQDASHSAEETTASASGREHCGHYSRDSAHLDKVLLEILSSKHVASCEHCREDAPRKKGGAGSKEKGGKKKKGGASKGAAAKAQAKAEKSDVWVCLDCGRHFCGGAVEDAKPYGHARRHAKQDRHWWAARYDDPTVAYCLSCEKEVSIEMPKIETVVAEVVDDKVVGIEDPDASGLVNPHANVIKGLPNLGNTCFFNAVLQNLLALDRLRRKILGPDVPTGALAMSLKKLFGETSASNHAGGALSPKNLFSSICSKYPQFRGYQMQDSHELLRCFLDGLRTEETEARKLVEEASDAGVPTIVDSIFGGQLSSTVSSTECSHSSVKHDQFLDLSLPVPSRRPPTKSVSSPRAKKTKQSIRDRNKSRRYGKIPARASPSVESNKEQIQTIDARNNSQIPGSEKQVVSEKEPEPSICSESCASVSNQEQKATSNVVDSICWLDYVADADETKSEILDSADSTETGHIWESRDAAHGPLHPQDDAVPKEQILGSEHSGENTVDDAPLLQPVILLPYKEFGTTVKERDETIENSQNSECAVPPPDVSPVTENNTQPAYGGDVEQDDYGFGDMFNEPEVTSEVKKENGKAEDIDVMAWSSNSADDEVDDSNAPVSVEGCLALYTEPELLSEPWLCEHCTNAARLSADEAKNVVEMTDGAEIKDGEEMMAGGDGRQDGEKLIMSCSKEDIDQVMTTDGCKKDIDQIMATDDCSDNLHSGIHCKEGGCADPSLADPEHCNGNCPDTENATIQRTGAVFTVEKTGPSNSQTDHKEQSVDLRSLELESSSLNKQQHDVNIQYNDGHNVDITAESTSAPVSCDRDSVSCSATNNVEAEHVGGAEEVVSSSLPSDAQKTLQSAKDNEDVITRNQGRRKRMKMVGKAQQMQDSQNKNKEDETKVFRAAMRRILISKAPPVLTINLNRFSQDSHGRYKKLKGHVRFKEMLDIQPFMDPRCKENNNTTYRLVGVVEHLGTMTGGHYIAYVRAAKIGGRQQQSSSSKSWFYASDGQVREASLEEVLNCEAYILFYERVAD >PAN13983 pep chromosome:PHallii_v3.1:2:50158003:50165933:-1 gene:PAHAL_2G376500 transcript:PAN13983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAGARDPLVASEIHGFLTCADLNFDKLMAEAGTRWFRPNEIYAVLANHARFKVHAQPVDKPASGTVVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEEDPNFFRRCYWLLDKELERIVLVHYRQTSEENAIPPPHIEAEVAEVPPINIIHYTSPIISTDSASARTELSSCAAAAAPEEINSHGGGAISCETDDHDSSLESFWADLLESSMKNDTSVRGGSLTPNQQTNDGMRDSGNSILNTNARSNAIFSSPANVVSEAYAANPGLNQVSESYYGALKHQVNQSPSLLTSDLDSQSKPHANSIIKTLVDGNMPSDVPARQNSLGLWKYLDDDITCLVNNPSSAIPITRPVIDEMPFHIIEISSEWAYCTDDTKVLVVGYFLDNYKHLSGANLYCVIGEQCVTADIVQTGVYRFMARPHVPGRVNLYLTLDGKTPISEVLSFEYRRMLGSSDDDEPKKSKLQMQMRLARLLFSTSQKKIPPKFLVEGSRVSNLLSASTEKEWMNLFKYVTDSKGTNIPATESLLELVLRNKLQEWLVEKIIEGHKSTDRDDLGQGPIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYYGREKMVAALLSAGANPSLVTDPTHDDPGGHTAADIAARQGFDGLAAYLAEKGLTAHFEAMSLSKDKKSTSRTQSIKQISKEFENLTEQELCLRESLAAYRNAADAASNIQAALRERTLKLQTKAIQSANPEIEAATIVAAMRIQHAFRNYNRKKMMRAAARIQSHFRTWQMRRNFMNMRRQAIKIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIATGMPVAMSTDTEAASTAEEDYYQVGRQQAENRFNRSVVRVQALFRSHRAQQEYRRMKVAHEEAKVEFSQN >PAN13982 pep chromosome:PHallii_v3.1:2:50158003:50165241:-1 gene:PAHAL_2G376500 transcript:PAN13982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGTRWFRPNEIYAVLANHARFKVHAQPVDKPASGTVVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEEDPNFFRRCYWLLDKELERIVLVHYRQTSEENAIPPPHIEAEVAEVPPINIIHYTSPIISTDSASARTELSSCAAAAAPEEINSHGGGAISCETDDHDSSLESFWADLLESSMKNDTSVRGGSLTPNQQTNDGMRDSGNSILNTNARSNAIFSSPANVVSEAYAANPGLNQVSESYYGALKHQVNQSPSLLTSDLDSQSKPHANSIIKTLVDGNMPSDVPARQNSLGLWKYLDDDITCLVNNPSSAIPITRPVIDEMPFHIIEISSEWAYCTDDTKVLVVGYFLDNYKHLSGANLYCVIGEQCVTADIVQTGVYRFMARPHVPGRVNLYLTLDGKTPISEVLSFEYRRMLGSSDDDEPKKSKLQMQMRLARLLFSTSQKKIPPKFLVEGSRVSNLLSASTEKEWMNLFKYVTDSKGTNIPATESLLELVLRNKLQEWLVEKIIEGHKSTDRDDLGQGPIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYYGREKMVAALLSAGANPSLVTDPTHDDPGGHTAADIAARQGFDGLAAYLAEKGLTAHFEAMSLSKDKKSTSRTQSIKQISKEFENLTEQELCLRESLAAYRNAADAASNIQAALRERTLKLQTKAIQSANPEIEAATIVAAMRIQHAFRNYNRKKMMRAAARIQSHFRTWQMRRNFMNMRRQAIKIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIATGMPVAMSTDTEAASTAEEDYYQVGRQQAENRFNRSVVRVQALFRSHRAQQEYRRMKVAHEEAKVEFSQN >PVH64339 pep chromosome:PHallii_v3.1:2:40701083:40701526:-1 gene:PAHAL_2G241100 transcript:PVH64339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCSGFLDDFHSLLNKLSKNDKNDETLGSCSTQASPLFPNAGRALGNPRSYVLRGLAKVQEYRYVLFNCLDVNPYLRAHAEEITTKHNGRRVSHRDVEKIQNKKFHQWFRGYVSATPHILYI >PAN10603 pep chromosome:PHallii_v3.1:2:8221834:8225593:-1 gene:PAHAL_2G104700 transcript:PAN10603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAAAKRARETAEDAVAAGAGAGAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKEFFPHLAVGFEDPRVSLHIGDGVAFLKNVPEGTYDAVIVDSSDPIGPAQELFEKPFFQLVARALHPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFQHPVFNIEEDEHSTKSKGPLKFYNSEIHTASFCLPSFAKRVIGSKAN >PAN14576 pep chromosome:PHallii_v3.1:2:52910493:52914639:1 gene:PAHAL_2G421000 transcript:PAN14576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARACLVALAMAAALFLEGTAAASAGNAGAAPLKQRRRQLLRQRQVRSHLKRLNKAPLATIESPDGDIIDCVHISNQPAFDHPFLKNHTVQMRPAYHPEGLYDESKVASQQQTQTITQMWHQNGKCSEDTIPIRRTKEEDVLRASSVRRYGKKRRRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFGKDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKASYFKNIQVVDSSNNLKAPKGVGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >PVH65089 pep chromosome:PHallii_v3.1:2:52910493:52914639:1 gene:PAHAL_2G421000 transcript:PVH65089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARACLVALAMAAALFLEGTAAASAGNAGAAPLKQRRRQLLRQRQVRSHLKRLNKAPLATIESPDGDIIDCVHISNQPAFDHPFLKNHTVQMRPAYHPEGLYDESKVASQQQTQTITQMWHQNGKCSEDTIPIRRTKEEDVLRASSVRRYGKKRRRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFDG >PAN15537 pep chromosome:PHallii_v3.1:2:57458504:57459783:-1 gene:PAHAL_2G495700 transcript:PAN15537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQTMSSHGDDGRRGLSRQGSVYSLTLTEVETQLGEPLRSMNLDDLLRTVLPAGPATEPAPAAKKTVDEVWRDIQSASGARQPTMGEMTLEDFLSRAGVTVDAGCAGPHWLHQYPPQQQYVVPRPLPLGSGAGPAVDGVGVGVFLSQVAGRKRGATAAVGGDGVVERTVERRQKRMIKNRESAARSRARRQAYTNELENKVARLEEENKRLRELKGRQ >PAN15539 pep chromosome:PHallii_v3.1:2:57456935:57460543:-1 gene:PAHAL_2G495700 transcript:PAN15539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQTMSSHGDDGRRGLSRQGSVYSLTLTEVETQLGEPLRSMNLDDLLRTVLPAGPATEPAPAAKKTVDEVWRDIQSASGARQPTMGEMTLEDFLSRAGVTVDAGCAGPHWLHQYPPQQQYVVPRPLPLGSGAGPAVDGVGVGVFLSQVAGRKRGATAAVGGDGVVERTVERRQKRMIKNRESAARSRARRQAYTNELENKVARLEEENKRLRELKMLPPLELPPEHERRPVPQPPPVHERWPVPRPEAKQPLRRRNSATF >PAN15538 pep chromosome:PHallii_v3.1:2:57456936:57460543:-1 gene:PAHAL_2G495700 transcript:PAN15538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQTMSSHGDDGRRGLSRQGSVYSLTLTEVETQLGEPLRSMNLDDLLRTVLPAGPATEPAPAAKKTVDEVWRDIQSASGARQPTMGEMTLEDFLSRAGVTVDAGCAGPHWLHQYPPQQQYVVPRPLPLGSGAGPAVDGVGVGVFLSQVAGRKRGATAAVGGDGVVERTVERRQKRMIKNRESAARSRARRQAYTNELENKVARLEEENKRLRELKMLPPLELPPEHERRPVPQPPPVHERWPVPRPEAKQPLRRRNSATF >PAN15540 pep chromosome:PHallii_v3.1:2:57458504:57459783:-1 gene:PAHAL_2G495700 transcript:PAN15540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQTMSSHGDDGRRGLSRQGSVYSLTLTEVETQLGEPLRSMNLDDLLRTVLPAGPATEPAPAAKKTVDEVWRDIQSASGARQPTMGEMTLEDFLSRAGVTVDAGCAGPHWLHQYPPQQQYVVPRPLPLGSGAGPAVDGVGVGVFLSQVAGRKRGATAAVGGDGVVERTVERRQKRMIKNRESAARSRARRQAYTNELENKVARLEEENKRLRELKGRQ >PVH65445 pep chromosome:PHallii_v3.1:2:57457200:57460543:-1 gene:PAHAL_2G495700 transcript:PVH65445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQTMSSHGDDGRRGLSRQGSVYSLTLTEVETQLGEPLRSMNLDDLLRTVLPAGPATEPAPAAKKTVDEVWRDIQSASGARQPTMGEMTLEDFLSRAGVTVDAGCAGPHWLHQYPPQQQYVVPRPLPLGSGAGPAVDGVGVGVFLSQVAGRKRGATAAVGGDGVVERTVERRQKRMIKNRESAARSRARRQAYTNELENKVARLEEENKRLRELKAMTVVVGFLSLSCNKILSN >PVH63676 pep chromosome:PHallii_v3.1:2:6085517:6085909:1 gene:PAHAL_2G083300 transcript:PVH63676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFCNMQSLLYVLTKYRCLTAANSSIFQPVVLQVTKTGSKKTRVISPPLIYASCIVIQRCTIFIHVI >PAN10175 pep chromosome:PHallii_v3.1:2:5735078:5737828:1 gene:PAHAL_2G076200 transcript:PAN10175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSLLATTPLLLLLLLLLLVLSPRLPPRAAASSSSSSSPALGGELLGAARAPSFAAWLRGVRRRIHERPELAFQEHRTSELVRAELDAIGVPYAWPVAQTGVVATIAGGGDGPVVALRADMDALPLQELVDWEHKSKENGKMHACGHDAHTTMLLGAAKVLHSRKDDLKGTVKLVFQPAEEGYGGAYHVLREGVLDDVSAIFGLHVDPSLPVGAVASRPGPFLAASGRFLVTVTGKGGHAAAPHDAVDPIVAASSAIVSLQLLVAREIDPLQAAVVSVTFMKGGHAHNVIPESVSFGGTFRSLTTEGFSYLKKRIKEIIEAHATVHRCAATVDFMEDKLQAYPATVNDEGMYHHAREVAETMLGEGNVRRGPPLMGAEDFAFYAQRFAGAFFMIGVRNKTMEAMHPLHSPHFVIDEDVLPVGAAIHAAVAIEYLNKHAAPAE >PAN15529 pep chromosome:PHallii_v3.1:2:57434362:57438913:-1 gene:PAHAL_2G495200 transcript:PAN15529 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MSSTPPSASLRGFLDAHFASPGDLAAAPALAELLRRECAELDASLRRLEAQLGAAAASWLARSAGARSDLRRIRSPGGAVDVEDEGAETVRKVGLPALVREIQRIDTIRLYAEATLQLEALVGNLEDVAFSIVRQASKLNLPSILRKSNEAELRHAKLLNAVNAVRDIERELVRVSTSRPKWTSLIMAVDSRVDKTLAILRPQALTDYRALLAALGWPPSLSSPDTEHDKYSQIPNPLVLMNEENKEKYSQSFLALCALQHVQANREVRQCEIAAATPALADSKYFDKTACLDNGLWAIDELVHPVASRMEYHFAKWSEQPEFIFTLVYKITKDFMDGVDDVLQPLIDHARLAGLSAKESWVTGMVKMLVGYLERQIFPAFVTSNQDQTTAVKPEVESSWMHLNDLMISFDKRMQLLADSGIQKIASLSEGLSRSLSVFSIYNEHPDWLQIWASVELSSAQDKLKSGMEDETSWSCSYSQHDQLGHMENSIKFLLSTREDYKAPPISEFVVKTALSMIERGCALPNRGMQIHYNRSSSVKFLNDFFLVLRDRCEALQLSNTALEDQSLSKASCAINAARYCENVLREWDEDTAFLDMGPQGSLFTDEISFLVKLGTNYLEQILSAILLEFEDLSWEYVQNIGSWSGQTAIDDQILDEENAGVSLGFIASLDVLTDRTTKLKQYLNSKDFLDLWRSIAEGLDYFIYSSIRWGELNFSDTGVIQLRVDTKALLHIFRPFCSRPEAFLPFLSESLRLLTMKKSDAHYLLEMLTDDTRSNNCLKHQGLHHVNAGQAAKILRSRKFGG >PVH63460 pep chromosome:PHallii_v3.1:2:2321642:2324108:-1 gene:PAHAL_2G033000 transcript:PVH63460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCTAPPEPSTWRRAVRRRSLDGAGVPPGCRRAEELEEAVWRLRAEKEAAERAAAALRAELDAERGAAATAATETMLMIARLQREKAAAMMEARELQALAEGRALRECELHDRLAAVSALAASYAALLRAHGVDPEEAEDGGNYEDEDDDHSVEYLEADADGDGESHGGDAEATAVTALVAEEPPSPPTAEEESEYTADVQCVPCAATTEAAAPPALREASAARVAEDPSLYGRVAALEAESAAMRREVAALRAERALVVLARELARRLCLQAAADERAAVAAAERPRFSALAICRWLFSTIIWGKTHSASAASSSSGSSTSSHLRQILLLGRSKGDHRIQISRSPPRNQMPVSGETGLAGCEKPWSSPL >PAN09553 pep chromosome:PHallii_v3.1:2:2322721:2323803:-1 gene:PAHAL_2G033000 transcript:PAN09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHTPVGHRCRHRYLAIHAAGHPAALPVPFTSSTRPTVRRHSPAKPRAPSSPMDPCTAPPEPSTWRRAVRRRSLDGAGVPPGCRRAEELEEAVWRLRAEKEAAERAAAALRAELDAERGAAATAATETMLMIARLQREKAAAMMEARELQALAEGRALRECELHDRLAAVSALAASYAALLRAHGVDPEEAEDGGNYEDEDDDHSVEYLEADADGDGESHGGDAEATAVTALVAEEPPSPPTAEEESEYTADVQCVPCAATTEAAAPPALREASAARVAEDPSLYGRVAALEAESAAMRREVAALRAERALVVLARELARRLCLQAAADERAAVAAAERPRFSALAICRVLVLITDIVV >PAN12626 pep chromosome:PHallii_v3.1:2:44015495:44015872:1 gene:PAHAL_2G279100 transcript:PAN12626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAVSEGPTGDDAMPGRRACAIAHARWLKPAGGRTRALGRAPPCARTVTGALGGVVEGAVVPGASPLPQPRGEPNPSTSQPRSIARPHHGRQPAGRRCAAGRGGSHGHRVRARPRAAPLVKEGV >PVH64096 pep chromosome:PHallii_v3.1:2:27894742:27895443:-1 gene:PAHAL_2G184500 transcript:PVH64096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVPTRSQLMAAKLEEQARASAAAEQKNIEVQGEVEKLKEKVANLEAEREMIIEESKRQIQQEEEKKREVFKEALREELRQEMLSMLAEQKKEELQQTNPHSILHLETANGPAIGDKGRNTRASAVNRSLFQTNNMNTFISPLQLRASATKTRSRTRNDSVTVQTSWKNLILTLFLSMLILYDIIYACLFTLDSNMMWNLALM >PVH64923 pep chromosome:PHallii_v3.1:2:50834778:50838761:-1 gene:PAHAL_2G386500 transcript:PVH64923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:Projected from Arabidopsis thaliana (AT1G11790) UniProtKB/TrEMBL;Acc:A0A178W336] MDVELCLLALPGVQKDDLQTIFSHPQDLAQCEYSLSNLGVSKKNVDHGAAGAEIISKQNLRDDEVIGSARSAELYGLNILECNFQDASPNTSRYLVLAITANIPNEYEKYKTSIVFGLEEGPGTLHKALVAFWKRDLNLTKIESRPNRGKPMRTLGTEKQFNYIFYVDFEASLAEIPTQNALKELEEIASFLRVLGCYPSTTI >PAN09622 pep chromosome:PHallii_v3.1:2:2674835:2677648:-1 gene:PAHAL_2G037800 transcript:PAN09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESWKQESEETGVHAPEAPILCINNCGFFGSTMTNNMCSKCYRDFIKLMEAPVVEKKVITAASSSAAPMETAKQDDVPAAAATEAVAEKQAEQEPPKPPSNRCLTCRKKVGLTGFLCRCGGTFCSTHRYTDSHQCTFDYKKVAREQIAKQNPVVMAEKINKI >PVH64151 pep chromosome:PHallii_v3.1:2:33552617:33558551:-1 gene:PAHAL_2G203400 transcript:PVH64151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYESQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQYCRLQIKYFRNQDKMHLGVLLLRNTYNKLESDLSNSKKRYVELVEHRDNLKRGREDSEERESALEELKAVELHHKKLKEELAAYADSDPAAVEAMKDAIDVAHSAANRWTVVFNYISTSKRAT >PAN11183 pep chromosome:PHallii_v3.1:2:33552617:33558382:-1 gene:PAHAL_2G203400 transcript:PAN11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MLQIFYESQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRNTYNKLESDLSNSKKRYVELVEHRDNLKRGREDSEERESALEELKAVELHHKKLKEELAAYADSDPAAVEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >PVH64150 pep chromosome:PHallii_v3.1:2:33552617:33558551:-1 gene:PAHAL_2G203400 transcript:PVH64150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYESQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRNTYNKLESDLSNSKKRYVELVEHRDNLKRGREDSEERESALEELKAVELHHKKLKEELAAYADSDPAAVEAMKDAIDVAHSAANRWTVVFNYISTSKRAT >PAN11180 pep chromosome:PHallii_v3.1:2:33553534:33558397:-1 gene:PAHAL_2G203400 transcript:PAN11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYESQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQYCRLQIKYFRNQDKMHLGVLLLRNTYNKLESDLSNSKKRYVELVEHRDNLKRGREDSEERESALEELKAVELHHKKLKEELAAYADSDPAAVEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >PAN11182 pep chromosome:PHallii_v3.1:2:33553534:33557500:-1 gene:PAHAL_2G203400 transcript:PAN11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MLQIFYESQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQYCRLQIKYFRNQDKMHLGVLLLRNTYNKLESDLSNSKKRYVELVEHRDNLKRGREDSEERESALEELKAVELHHKKLKEELAAYADSDPAAVEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >PAN11181 pep chromosome:PHallii_v3.1:2:33553534:33558397:-1 gene:PAHAL_2G203400 transcript:PAN11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYESQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRNTYNKLESDLSNSKKRYVELVEHRDNLKRGREDSEERESALEELKAVELHHKKLKEELAAYADSDPAAVEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >PAN15085 pep chromosome:PHallii_v3.1:2:55331625:55333559:-1 gene:PAHAL_2G463200 transcript:PAN15085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFAIPIALLALLGSAACQNVVYAPSTSPPASLSPPSPNSISSPPSQNPPGSNPPAYPPSPSQPANPQPSPSPVAYPPIQSPSSSTPPPAYPPTPSPLVSTPPTYPPRPSPLSPSVPPTYASNVSPSPTAYPPGASPSPTSANPPTMYPSPGPSPTSYGPSMNPSPIPPSHSPSASSPAYTSPSPSPSIPIPSTFPAPSPGPIPSSSISSPPNYPPASLSPGPSTAPSGLSVGYYKYSCPDAESIVREAVKSATDSNRGTGAGLIRLFFHDCFVQGCDASVLLNTTGSTQPTERASAPNLSLRGFEVIDSAKAALEDACPGVVSCADIVAFAGRDATYFLSGNAVDFDMPGGRYDGRVSLDSEALANLPPPFASLQELKDMFAAKGLDTEDMVTLSGAHTVGRSHCSSFSDRLSPNASDMNATLAASLTDQCGGGGDPTVPQDFVTPDGLDSQYYRNVLNHEVLFGSDAALLASNQTADMVSDNAFTPGLWEAKFKAAMVKMGRAGTKTSTNGEIRKKCWMVN >PAN15313 pep chromosome:PHallii_v3.1:2:56393889:56395390:-1 gene:PAHAL_2G479900 transcript:PAN15313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRERKKAAAAGLHEKLQILRSITHSRALSDTSIILDSSEYIKELKQKVVRLKQEIACEEAGALNHNSSPTTVTVETLGHGFVVSVFSDKSCPGLLVSILEAFDELGLSVLEATASCADTFRLEAVGGESQVENVDEHVVKQAVLRAVRNCSEGGRQQDE >PAN10788 pep chromosome:PHallii_v3.1:2:9645121:9646021:-1 gene:PAHAL_2G116100 transcript:PAN10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVSSAANGVGTLVGNVVSAPFKVLFGASCESVCSGTWDLPCFIEHVCISSLLRLFLVIIVTYIVLLFGYVLCKLGIVKCVAKNAFKMVWKPCSACCGVLGLLWQKVRDTKRVHRGRRSRRERDVELGEPSSSTTRDGTGSSSSSSSDDDGDHRRGAAAGSRSTGRSLPSSSSSVRERRKDRIRQSLRLKRVSSKVERAARVS >PAN12530 pep chromosome:PHallii_v3.1:2:43390325:43391399:1 gene:PAHAL_2G270700 transcript:PAN12530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRGGCAAARPLVVLVAFVCLLSCGLAQGRVARKDLGLGIDVGGGQGAGLGLGLGLGLGVGTGGVSASGSGSGSGSVAGVGSTSGSRSGSISVGGASSSAGSSAGSSAGSSGSGAGSSAGSGGGQGFGQGGGSGSGSGYGEGSGSGHGSGNGVVGIGYSEGYGHGSPGSGGNP >PVH63767 pep chromosome:PHallii_v3.1:2:7719618:7720553:-1 gene:PAHAL_2G099300 transcript:PVH63767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDYAWKVDTGHKSGYLTFIEKEMAKSRDGAAALYMKPMVNFEKLCDVYASDLAKGGNAKGPGEEEVAEDEPFPNEAHMNSEPAGGVHEQTKDDNSTSSSGKQGRKRVYADSDGLETGLLNMSNSFAKYLESEKKNANTMADIGKALVHGVANLPRLDDDQVVMAVRIIGRDPEDTDLFLQMEDRYKVIFVKQELEAATNKLKDA >PAN11005 pep chromosome:PHallii_v3.1:2:11956713:11961062:1 gene:PAHAL_2G133100 transcript:PAN11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAAGPPGEDEDEEMGVKEEDDEEEEEDDGEDGCYYMDPCPVSVASPTGSGGGGRANQGRRRAREEKERTKLRERQRRAITGRILAGLRQHGNYSLRARADINEVIAALAREAGWVVLPDGTTFPSSSPPPAAAAAQPAPRPVMVAAAPLALPLPLPLPTSPALPLRGVPPVAARPISHRPALALLPLPSVLLSPPRAAGPAASSRPPADDVPDGDSSHLLAVPVPVAMDPATTEDAAVAKQVPEVAPRPPERDFAGTPYVPVYVMLPLGVVNVNGEVVDADELVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFQMIRELKLKLQVVMSFHECGGNVGDDVPIPLPHWVTEIGRSNPDIYFTDRTGRRNTECLSWGIDKERVLQGRTAVEVYFDFMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKNLRRAAEARGHTIWARGPDNAGHYNSEPNLTGFFCDGGDYDSYYGRFFLNWYSQMLVDHADRVLMLARLAFEGSSIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKYDAALNFTCVELRTMDQHEVYPDAFADPEGLVWQVLNAAWDAGIQVASENALPCYDRDGFNKILENAKPLNDPDGRHLFGFTYLRLSKVLLERRNFFEFERFVKRMHGEAVLDLQV >PAN11915 pep chromosome:PHallii_v3.1:2:38583341:38587810:-1 gene:PAHAL_2G227400 transcript:PAN11915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKPKSMEVVLMLGLGLLLVAANCTPATAVPSSECRRQCGNVEITYPFGIGMNCSMPGGFDVTCQRDLNGFPKPFIGGRELLDISLTNSTIRVLNPITTYCYNDTTPEQQLINGTSEWLKTDRNSPFRFSEIRNKFTVIGCNTLGVIANNNSGSEYLSGCFSYCGRNLSAQMDSSCSGMGCCQTTIPKGMDFNEVGLGVIKGASNTSRTWKGFGQQCSYAVVTEAAAFSFRTTYITTTGFSDMAAGRAPAVLDWSIRNGTCEVAERNLSGSYACRSANSKCFDSTSGSAGYVCNCSQGYEGNPYLPDGCKDVDECNQGRPCPPDGVCRNTVGGYRCYCPTGRKYSQSNNTCVFDTGLLIGVTVGFLGLMIFSFFGYMILQKRKLKKVKQEYFRQHGGLILFETMKSEKGLAFTVFSEDELRQATNNYDKSRIIGKGGHGTVYKGVLKGKPVAIKRCTLIDERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPVLVYEYIPNGTMYELIHGGNQELQTSFSTLLRVAQEAAEGLSFLHSYASPPILHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTVGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGEVPLKLYGPEATRSLTSNFLSAMKENSLSTVVASHVTEQESTELIRGLAELAKNCLDMCGSNRPSMKEIAHELSRLRKLSIHPWVQLTMEETETQRLLRGPADTSFETESSGIGYPGPDGANQPINPVSSYYAR >PVH64608 pep chromosome:PHallii_v3.1:2:46364508:46364882:-1 gene:PAHAL_2G314300 transcript:PVH64608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTNYQRLSPAPEPEEGGVRAGAARAWAALRRGAAGVARLYAARRRWAARRLWGGRRAALPLRVKAAARYEYDSASYARNFDDGTWMAEEGVSWHARSLAACRLAAFQSFVGRGSLSSLLCEN >PAN12305 pep chromosome:PHallii_v3.1:2:42335216:42336975:1 gene:PAHAL_2G257900 transcript:PAN12305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGGKAALGWAARDASGHLSPYSFSRRVQRDGDVTIKVLFCGICHTDLHIIKNEWGNAMYPVVPGHEVVGVVTDVGAGVTKFEAGDTVGVGYFVDSCRSCESCGAGHENYCPDVVLASNGVDSDGATTQGGFSDVVVVDQDYVVRVPRSLPLDAAAPLLCAGVTVYSPMVEYGLNAPGKRLGVVGLGGLGHMAVKFGKAFGMTVTVISSSPGKREEATERLGADEFLVSRDTEQMKAAAGTMDGIIDTVSAWHPLAALLELLKPMGQMVLVGVPSKPLELPAFAVCPSGKRVAGNGVGSIGDCQAMLDFAGEHGITADVEVVRMDYVNTAIERLERNDVRYRFVVDVAGSLGAAA >PAN12652 pep chromosome:PHallii_v3.1:2:44188161:44191941:1 gene:PAHAL_2G281100 transcript:PAN12652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSAISLYTSPPGAVYSSEFDPSSRGSSPCTTAAPPPPAASHRLPAGGGGLSCLFSSPAAAAAPPRTPAHDELGVLWHDRSDDLSVGGAGSCSYSHSSSPLKWRDLHHHHHHHSPVSVFQGPSSSSPSRSPPASWLAGRDRDRLFAGFVRNALGSCVDYAPAASPRPEVGAGELAFELDENLAEASPACEPYARELLASAQDRHRIFHEELVVKAFLEAEKAHRGQTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMLLAMADARAVLIKLADRLHNMETLEALPLTKQQRFAKETKEIFVPLANRLGIASWKDQLENLCFKYLNPEEHKELSSKLTESFDEELITSAVDKLDKGLRDAGVSYHNLSGRHKSLYSIHSKMQKKNLTMEEIHDIHGLRLVVEKEEDCYGALTVVHKLWPQVPGRFKDYISRPKLNGYRSLHTVVMSDGIHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERTTSLGSSDTVRPPCPFPLHSEDCPYSYTHQCNHDGPIFVILLEHDKMSVQEFPANSTVMDLMDRVGANSSRWSPYSIPMKEDLRPRVNHEPINDPNRKLSMGDVVELTPALPHKSLSGYREEIQRMYDRGGFALATRGGGSRRC >PAN12848 pep chromosome:PHallii_v3.1:2:45106517:45109274:1 gene:PAHAL_2G294800 transcript:PAN12848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPSSSSPPPARRWPPEVRRVRKRALEEVLEQVQRAVEMLRDADPDLGVSLLSEDTGAAPPEGEDRKGGTGDDADDGATSSVASDSDYETAQMCDLLKSKVESLEFLKKLEGIQKSVYQDGAVEPDISWDIVKAVDLWEDDDPDDGYVLVKQEDVVDGITSFMAAYLLSLKKTKDLSPDQLQNALQKTFSAEKKKSRIRKAWDGTKVIYNVASWGATAVGVYNNQALLTVATTAFRTSYRVISKFL >PAN13539 pep chromosome:PHallii_v3.1:2:48268443:48271973:1 gene:PAHAL_2G345300 transcript:PAN13539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSFCPDCKKQTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >PVH65076 pep chromosome:PHallii_v3.1:2:52666480:52667214:-1 gene:PAHAL_2G417300 transcript:PVH65076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWTNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYTSGGTLRCDMMIFMERSTRYPEVDPWFISTAGFRFPDTYRKAARKALRRLRALYRHHLQRTPIGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGIEKLTQELEEQRTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEPMETHWDKGTQTENKMDRFLPIKKRSIRTEEESP >PAN14086 pep chromosome:PHallii_v3.1:2:50604620:50610049:-1 gene:PAHAL_2G383300 transcript:PAN14086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMSPFPGMDLSKMDAPTLTLLGAAGCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAVSSFVGLLDIQGSKTFFTFLDAVKECYEALVIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRTVRLEHKTLKLLKYWTWQFVVIRPVCSILIIALQLLGMYPSWVSWTFTIILNFSVSMALYALVLFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGLALDVLTKVGVIQSHHFWLDVEHIQEAIQNVLVILEMVVFSVIQQYAYHVAPYSGADRAKFEKKNE >PAN15282 pep chromosome:PHallii_v3.1:2:56230163:56235993:-1 gene:PAHAL_2G477300 transcript:PAN15282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNTQCFGPSTILSLEACSMSNTATARLPPGHPCNRSLPPLLPSPPRSPRDRPQMLGVPTNMLSSSASRRDAAAARAAKSGELPKSAGISWKDVAAAATAKNSELSKAVTAVREAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLESRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNQKEKEHQITMGAHDIEDALVWKKKIELLIDQKPDPAAKNHKAFATMDFDMDLGGQFSLSDRDSAAEEEEERPTLTRRKTIGNGPPDSVHDWTKDADFGLSNQNEPTQLYSKKNWRLLRCQNGVRIFEELLEVEYLARSCSRAMRAVGVVEATCEAIFGLMMSMDATRYEWDCSFRQGSLVEEVDGHTAVLYHRLQLHWCTRFVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCSRQRGYVRALIESGGFKICPLKSRNGRPRTQVQHLMQIDLKGWFLNYSTSFQYHSLLQILNCVSGLREYFSQTDDIHITPRIPAMESMADDEKPNEVDPKTKPADQERAENKNMGTIDEESDDDEDYQVPEADIEEGPNKFDNEAKHTDEPPEKIDLSCFSGILHHDPEEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDTKRMDNVGRQKNCVAQVAADKGMHTFIVNLQIPGSTHYSLVMYFVTSSLKKGSLLQRFFDGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGPGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPSTAVVPNLETNSESNKDNSSNDATSSEDDSSKKTN >PAN15281 pep chromosome:PHallii_v3.1:2:56229933:56235993:-1 gene:PAHAL_2G477300 transcript:PAN15281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNTQCFGPSTILSLEACSMSNTATARLPPGHPCNRSLPPLLPSPPRSPRDRPQMLGVPTNMLSSSASRRDAAAARAAKSGELPKSAGISWKDVAAAATAKNSELSKAVTAVREAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLESRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNQKEKEHQITMGAHDIEDALVWKKKIELLIDQKPDPAAKNHKAFATMDFDMDLGGQFSLSDRDSAAEEEEERPTLTRRKTIGNGPPDSVHDWTKDADFGLSNQNEPTQLYSKKNWRLLRCQNGVRIFEELLEVEYLARSCSRAMRAVGVVEATCEAIFGLMMSMDATRYEWDCSFRQGSLVEEVDGHTAVLYHRLQLHWCTRFVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCSRQRGYVRALIESGGFKICPLKSRNGRPRTQVQHLMQIDLKGWFLNYSTSFQYHSLLQILNCVSDDIHITPRIPAMESMADDEKPNEVDPKTKPADQERAENKNMGTIDEESDDDEDYQVPEADIEEGPNKFDNEAKHTDEPPEKIDLSCFSGILHHDPEEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDTKRMDNVGRQKNCVAQVAADKGMHTFIVNLQIPGSTHYSLVMYFVTSSLKKGSLLQRFFDGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGPGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPSTAVVPNLETNSESNKDNSSNDATSSEDDSSKKTN >PAN15487 pep chromosome:PHallii_v3.1:2:57231616:57234363:1 gene:PAHAL_2G491700 transcript:PAN15487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVPLHTHPTLVRIDLVSSGAFYEIDHKKLPPKSPIHLKSIRVVKVSERTNLEVTVKFPSLQSLRSFFSSYPESGTGPELDERFVMSSNHAARILLRQVAEKELEGEVGQESFWLIKPHLYDFAACQQEAPPPAPPSAKLAPVADSCLLTTLKCDGAGWGMRRRVRYVGWHRDEAPEGIYTYTCVA >PVH63812 pep chromosome:PHallii_v3.1:2:9131641:9132325:-1 gene:PAHAL_2G112300 transcript:PVH63812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAPKAVIPSLKALGETLRSDASPRFGGDRYLSCI >PAN12014 pep chromosome:PHallii_v3.1:2:39984261:39985870:1 gene:PAHAL_2G235600 transcript:PAN12014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRRRPDGSYGPEYGPLPPEHEYAMYRHLSSRRRAPWPLQHGDEDYPGRILEQRLRREPFGLSRHPLQPYAPFRIHHANGGGSSGVTANPRHRREDPGLTDEEFREAMDQLRKQEYRPSNPQKKRHYRTRSARAEAPPAVTEEEKACTICLETFLAGEQVVVTPCNHMFHQGCITPWVKGHGTCPVCRSALCERRNAVAGNINSSNDGEDGALDLDLLAMMRAMEEAFSRVRLSDFMSYNH >PVH65419 pep chromosome:PHallii_v3.1:2:57013153:57014187:1 gene:PAHAL_2G488500 transcript:PVH65419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLRACYLEPCPQAMAKASRRPNMPGPPVKGLPIRLRKRSEPPLTHQTTRRRRGPRRGPCRARRRSGPPAARTPGTAASPSSAAAPPRPSGPSPSAPPPASPPGAARSSGTPPPRRRPPHAPPRRCCSPWQWRQPAPPSSGPAGGPRRAGPAARAPSRRSSTPRAPPR >PAN14089 pep chromosome:PHallii_v3.1:2:50620657:50626347:1 gene:PAHAL_2G383500 transcript:PAN14089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWRRKSTDRSPAETETSASSASERITGEQDTAKSSPNSTQSPEISSKELEEDSNVKVKVLSERLSSVVQDIRAKDDLVKQHSKVAEEAVLGWEKAEEEIASLKTQLNAATAKNSALEDRLVHIDGALKECVRQLRRAKEEQDQTVQDALAQQARQWESHKTDLELRIVELTARLEAKSERSVATDGDTGSKLAALEKENSALKVQLVAKTEELELRTIEKELNRRAAETASKQQLEGIKKVAKLQAECRRLQAAARRPSMNVELRRSPSSACAESVTDCQSDCSDSWASALITELDQFKNDKNSASTRAASIAAADIGVMDDFLEMERLASANDSSKGDAAVEDASGQLAKLEEKVKKLAVEKAEREKALYEAQRELRTSRHRVMVAEEKSAELQRQLNLANGEKHAMEAEVEAAEVKRSELEGKLELARAEIAGLLDKGHILEERLESEKALTLELAAKYHDMEALGAERREISAQLEASRSETKKLSDKITLLERKLEVEKALSIRLATKCHGIDALEAKKKGVELELATAREEIASLHKRVSSLELEVQEEKTSSTELATRCEELEALVEKQRPVTAELESQLQSRQAEIESLRECVSLLEKKLESQKNLSSAYISALGASETEKKELAARFELKEKEADELLRKMSFLEEQIYEEKARSSEFEAKCVKMEEEVPSRSLGHQPVKPTATEDLQTRKEKELAKAAGKLADCQKTIASLSSQLKSLADLDEFLPGTETSGAASADTWDGDLKLLHPASYPAQIGYLAVT >PAN14091 pep chromosome:PHallii_v3.1:2:50621783:50626347:1 gene:PAHAL_2G383500 transcript:PAN14091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDTAKSSPNSTQSPEISSKELEEDSNVKVKVLSERLSSVVQDIRAKDDLVKQHSKVAEEAVLGWEKAEEEIASLKTQLNAATAKNSALEDRLVHIDGALKECVRQLRRAKEEQDQTVQDALAQQARQWESHKTDLELRIVELTARLEAKSERSVATDGDTGSKLAALEKENSALKVQLVAKTEELELRTIEKELNRRAAETASKQQLEGIKKVAKLQAECRRLQAAARRPSMNVELRRSPSSACAESVTDCQSDCSDSWASALITELDQFKNDKNSASTRAASIAAADIGVMDDFLEMERLASANDSSKGDAAVEDASGQLAKLEEKVKKLAVEKAEREKALYEAQRELRTSRHRVMVAEEKSAELQRQLNLANGEKHAMEAEVEAAEVKRSELEGKLELARAEIAGLLDKGHILEERLESEKALTLELAAKYHDMEALGAERREISAQLEASRSETKKLSDKITLLERKLEVEKALSIRLATKCHGIDALEAKKKGVELELATAREEIASLHKRVSSLELEVQEEKTSSTELATRCEELEALGKHRDDLRTQLDSANSEIVKLNEKVKMLEDAVEKQRPVTAELESQLQSRQAEIESLRECVSLLEKKLESQKNLSSAYISALGASETEKKELAARFELKEKEADELLRKMSFLEEQIYEEKARSSEFEAKCVKMEEEVPSRSLGHQPVKPTATEDLQTRKEKELAKAAGKLADCQKTIASLSSQLKSLADLDEFLPGTETSGAASADTWDGDLKLLHPASYPAQIGYLAVT >PVH64917 pep chromosome:PHallii_v3.1:2:50620657:50626347:1 gene:PAHAL_2G383500 transcript:PVH64917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWRRKSTDRSPAETETSASSASERITGEQDTAKSSPNSTQSPEISSKELEEDSNVKVKVLSERLSSVVQDIRAKDDLVKQHSKVAEEAVLGWEKAEEEIASLKTQLNAATAKNSALEDRLVHIDGALKECVRQLRRAKEEQDQTVQDALAQQARQWESHKTDLELRIVELTARLEAKSERSVATDGDTGSKLAALEKENSALKVQLVAKTEELELRTIEKELNRRAAETASKQQLEGIKKVAKLQAECRRLQAAARRPSMNVELRRSPSSACAESVTDCQSDCSDSWASALITELDQFKNDKNSASTRAASIAAADIGVMDDFLEMERLASANDSSKGDAAVEDASGQLAKLEEKVKKLAVEKAEREKALYEAQRELRTSRHRVMVAEEKSAELQRQLNLANGEKHAMEAEVEAAEVKRSELEGKLELARAEIAGLLDKGHILEERLESEKALTLELAAKYHDMEALGAERREISAQLEASRSETKKLSDKITLLERKLEVEKALSIRLATKCHGIDALEAKKKGVELELATAREEIASLHKRVSSLELEVQEEKTSSTELATRCEELEALVEKQRPVTAELESQLQSRQAEIESLRECVSLLEKKLESQKNLSSAYISALGASETEKKELAARFELKEKEADELLRKMSFLEEQIYEEKARSSEFEAKCVKMEEEVPSRSLGHQPVKPTATEDLQTRKEKELAKAAGKLADCQKTIASLSSQLKSLADLDEFLPGTETSGAASADTWDGDLKLLHPASYPAQIGYLAVT >PAN14090 pep chromosome:PHallii_v3.1:2:50620657:50626347:1 gene:PAHAL_2G383500 transcript:PAN14090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWRRKSTDRSPAETETSASSASERITGEQDTAKSSPNSTQSPEISSKELEEDSNVKVKVLSERLSSVVQDIRAKDDLVKQHSKVAEEAVLGWEKAEEEIASLKTQLNAATAKNSALEDRLVHIDGALKECVRQLRRAKEEQDQTVQDALAQQARQWESHKTDLELRIVELTARLEAKSERSVATDGDTGSKLAALEKENSALKVQLVAKTEELELRTIEKELNRRAAETASKQQLEGIKKVAKLQAECRRLQAAARRPSMNVELRRSPSSACAESVTDCQSDCSDSWASALITELDQFKNDKNSASTRAASIAAADIGVMDDFLEMERLASANDSSKGDAAVEDASGQLAKLEEKVKKLAVEKAEREKALYEAQRELRTSRHRVMVAEEKSAELQRQLNLANGEKHAMEAEVEAAEVKRSELEGKLELARAEIAGLLDKGHILEERLESEKALTLELAAKYHDMEALGAERREISAQLEASRSETKKLSDKITLLERKLEVEKALSIRLATKCHGIDALEAKKKGVELELATAREEIASLHKRVSSLELEVQEEKTSSTELATRCEELEALGKHRDDLRTQLDSANSEIVKLNEKVKMLEDAVEKQRPVTAELESQLQSRQAEIESLRECVSLLEKKLESQKNLSSAYISALGASETEKKELAARFELKEKEADELLRKMSFLEEQIYEEKARSSEFEAKCVKMEEEVPSRSLGHQPVKPTATEDLQTRKEKELAKAAGKLADCQKTIASLSSQLKSLADLDEFLPGTETSGAASADTWDGDLKLLHPASYPAQIGYLAVT >PVH64918 pep chromosome:PHallii_v3.1:2:50620657:50626347:1 gene:PAHAL_2G383500 transcript:PVH64918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWRRKSTDRSPAETETSASSASERITGEQDTAKSSPNSTQSPEISSKELEEDSNVKVKVLSERLSSVVQDIRAKDDLVKQHSKVAEEAVLGWEKAEEEIASLKTQLNAATAKNSALEDRLVHIDGALKECVRQLRRAKEEQDQTVQDALAQQARQWESHKTDLELRIVELTARLEAKSERSVATDGDTGSKLAALEKENSALKVQLVAKTEELELRTIEKELNRRAAETASKQQLEGIKKVAKLQAECRRLQAAARRPSMNVELRRSPSSACAESVTDCQSDCSDSWASALITELDQFKNDKNSASTRAASIAAADIGVMDDFLEMERLASANDSSKGDAAVEDASGQLAKLEEKVKKLAVEKAEREKALYEAQRELRTSRHRVMVAEEKSAELQRQLNLANGEKHAMEAEVEAAEVKRSELEGKLELARAEIAGLLDKGHILEERLESEKALTLELAAKYHDMEALGAERREISAQLEASRSETKKLSDKITLLERKLEVEKALSIRLATKCHGIDALEAKKKGVELELATAREEIASLHKRVSSLELEVQEEKTSSTELATRCEELEALGKHRDDLRTQLDSANSEIVKLNEKVKMLEDAVEKQRPVTAELESQLQSRQAEIESLRECVSLLEKKLESQKNLSSAYISALGASETEKKELAARFELKEKEADELLRKMSFLEEQIYEEKARSSEFEAKCVKMEEEVPSRSLGHQPVKPTATEDLQTRKEKELAKAAGKLADCQKTIASLSSQLKSLADLDEFLPGTETSGAASADTWDGDLKLLHPASYPAQIGYLAVT >PVH64215 pep chromosome:PHallii_v3.1:2:36752804:36758437:1 gene:PAHAL_2G216200 transcript:PVH64215 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G56130) UniProtKB/Swiss-Prot;Acc:Q9FKT5] MEGREEERKGSGGAAAAVLTPGANLKDLVSREYYGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDSVDQLCWDPNHPDTVATAAADKSIRLWDARSGKCQVIELSGENINITYKHDGSQIAVGNKEDDLTILDVRTLKVVKKHKANYEMNEIAWNKAGDLFFITTGLGHIEVVKDLEFLKPCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCIRTFTKLEWPVRTVSFNHTGEFIAYASEDPFIDIANVETGRSVHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGIFRIFGFETHN >PVH65369 pep chromosome:PHallii_v3.1:2:56110619:56112825:1 gene:PAHAL_2G475400 transcript:PVH65369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCKMGMRMLPVLLLLLAAGAAASHSSSSSSCPATPPDSGATLQVSHAFGPCSPLGPEAASPSWAGFLADQAARDASRLLYLDSLAVRGRAYAPIASGRQLLQTPTYVVRARLGTPAQQLLLAVDTSNDAAWIPCAGCAGCPTSTPFNPAASTSYRPVPCGSPLCAQAPNAACPLNSKACGFSLTYADSSLVAALSQDSLAVANDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYEATFSYCLPSFKSLNFSGTLRLGRKGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGRKVVPIPAAALAFDPATGAGTVLDSGTMFTRLVAPAYAAVRDEVTLPEENVVIHSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAA >PAN15257 pep chromosome:PHallii_v3.1:2:56110619:56112825:1 gene:PAHAL_2G475400 transcript:PAN15257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCKMGMRMLPVLLLLLAAGAAASHSSSSSSCPATPPDSGATLQVSHAFGPCSPLGPEAASPSWAGFLADQAARDASRLLYLDSLAVRGRAYAPIASGRQLLQTPTYVVRARLGTPAQQLLLAVDTSNDAAWIPCAGCAGCPTSTPFNPAASTSYRPVPCGSPLCAQAPNAACPLNSKACGFSLTYADSSLVAALSQDSLAVANDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYEATFSYCLPSFKSLNFSGTLRLGRKGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGRKVVPIPAAALAFDPATGAGTVLDSGTMFTRLVAPAYAAVRDEVRRRVGAPVSSLGGFDTCFSDPAVKWPAVTLLFDGMQVTLPEENVVIHSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAA >PVH63337 pep chromosome:PHallii_v3.1:2:443512:445197:-1 gene:PAHAL_2G007000 transcript:PVH63337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARGSDNLRRDARLRVHPSTARWCRPRRCAWSAPASRGWQLRREGHGVTVMEQSGGDPLGAAGVHSSIYASVRLITPREIIGVSGFPFYPRNDGRRFPAHGEFLRYVRDFCDAFGLMGAVRLNTKVLRLSLVPGDGDGVMRWVVRCAKQGEDEGEVVTTEEVFDAVVVAVGQYSKPWLPTINGMDKWSRRQLHSHSYRVPDSFQDQVVVVVGFHESGMDIALELLGVASQVHVSVKSMDGVSPGVFKALSRHPQPAPAPAPPGNYIDCLCEDGQVVIADGSGVVADAQGEKYDYSFPFLDTAGLVTVDDNRVGPLFEHTFPPALAPLLSFVGAPKKVVVPLFYEVQARWVAQVLFGRRSLPPVEDMLRAVEEYNRARDAAGVPKRLTHDIFDLEYCDEFGEKRCGFPRLEWKKELLWSTVTGMRDDMESFRDDYHDSDLVREGLRSQGWLDGRAPQQKDQTGTE >PVH63600 pep chromosome:PHallii_v3.1:2:4815906:4819170:-1 gene:PAHAL_2G065500 transcript:PVH63600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRAAASNSGDLLLDALSEILLRLSAKELCRLRAVSPTWRSLTYDRAFIAAHRARHREPLLAFAHRDDNHVHNVDIVDLSGELVRRMPRPEDGVGVLGTRLDLVRVACRYRRMAFWVLNPATGAALALPDCHSEYMGNGPDERFVLSLWPCGEECIRPGLHHWRVQGTPHHPLLLWPQI >PVH63601 pep chromosome:PHallii_v3.1:2:4817821:4818375:-1 gene:PAHAL_2G065500 transcript:PVH63601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAKLRAAASNSGDLLLDALSEILLRLSAKELCRLRAVSPTWRSLTYDRAFIAAHRARHREPLLAFAHRDDNHVHNVDIVDLSGELVRRMPRPEDGVGVLGTRLDLVRVACRYRRMAFWVLNPATGAALALPDCHSEYMGNGPDERFVLSLWPCGEECIRPGLHHWRVQGTPHHPLLLWPQI >PVH65128 pep chromosome:PHallii_v3.1:2:53428960:53435263:1 gene:PAHAL_2G430300 transcript:PVH65128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMREGQTAAAATPARVKPQTPAQDPTLGSPKAYQYQLQPARPIPWPPPPPPTESRIQRGSDRARDGGTDDQRGSHPAAPLNFHDASLPFRSPPPPPRRVSLAPPTPPPPPKPAHPLPPNSNPPPNPTSLKTPAAAPLPRVPMAAAASSEEAVKAAKVLMVGAGGIGCELLKTLALSGFSDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNINITPYHANVKDSKFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDRNQDNDLNVRSNDDSSSKTDVFERNVDEDLPQYAQRIYDHVFGYNIETALANEETWKNRKKPSPIYIRDALPEDAVQRNGSSRDHKNEEHEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLIFDKDDQLAVEFVTAAANIRASSFGIPPHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLKGDYQNYRMTYCLEHPSRKMLLMPVEPFEPNKSCYVCSETPLVLEVNTKTTKLREVIDKVIKSKLGMNLPLVMVGATLVFEDGEGLEPDEAANYALNLEKVLAELPAPVVNDTKITVEDFQQELKCSINIKHRDEFDEEKEPDGMVLAGWSGPVDKQVTSNGDKRSVPSSSSADDVDSTAEDISVKPGMKRKLNEILESQENFDALQNQSDVGSSSAQVVEDDDDDIVMLDEDPKQGKKKRLQ >PAN15560 pep chromosome:PHallii_v3.1:2:57567138:57573499:-1 gene:PAHAL_2G497700 transcript:PAN15560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDADARLFAGVRFALYGFNTLSESQYRLEIVRCGGVDVGDWDGDCTHVIVSDTLYDDPVCVAARKDKKKVVTEQWVEDSFELGELADADKVLYAPVRDPNGIPGSDELHICLTGYQKNWRGDITKMVSLMGAHFSRSLRANEDTHLICYKFEGEKYELAKQVNIKIVNHRWLQDCLKAWEILPIDDYTKSGWEVEIMEAQAKDSEDEREDVGRGSSSGRRIGRSTLVTEIRMKSHVDSAVDAPIRGPTISTSNAEGATGRHLGTPEQTMKAEYASTKSFDIRADSGSAPDTKYATVSVDRDAHKTYPSRIYQSENDEAPADQVSRDEAKDDHKRDSDTRASTLCTPSVYKSIAPAIPADNKKNIKGNYFHSSNQINVNNDLRSNAFEENCSKQIVHSTEMSRKVDDPQPTISQSFAEERLNMRECSLGPEDNSASISNQILGYSRRRSAKSVSPGANLRSVHQTTAPQCFKGDTSRVELNATPRGNNQDFSRHAEIRGLQENEVLKHANRSGGGHAQKRKSILSSFSSKAPSEAPDSQTGKSSSPLSSKESASEAAVSNLSRSPAESTKVCGHLNSDLAVNFSEKQMPGSFKSNLLSNRRTSLKLVSSAEVEKLPENSAKYKNMGALGEVNTPAKHETTIEKCCAISPSVNSEVRKESSGVSLQNGDTEMTDAQQINKIEAAGPCSKPEKVVSHQELEADPKDIPVNKVTDKHGTFPPKVSTSRVRNSGAKRSRNAGSKAVPGLINSKSGVATKPMHDKVASHENVETQQAEGFCSPNAAKNTPLFAAEVLNSKSRNEVQSSSLGPNRKTNESLVVSKAESVNMPLQGRKLSSTASTDENKGSSSQRVPNSESSKSFAKGSWTADVNKADSPTIDKTETIPSKSSFNEAVPLENGEEHSSSARADDHEICTENKVPNNRVRKVVAKRKLSSVQKQKSGSEPGITANVLVSEDKVVSSERAAQSSRNSDMVMVDQDLENTNEVRTNDTVGLLCKDAMEERLKDTHNSKSRSNKRQKTADLVDGSTDNDKENIPVNSNFTSKTRAGNNSMSSKSTAKALQSSKVVLDERGMIEGNNIGTLNVLEPTWFILSGHRLLRKEYKVILRRLKGRVCRDSHHWCFQATHLVTTELRRTEKFFAAAAAGRWILKPDYLTACNEAGKFLEEEPFEWHGNGLNSGDTISLDAPRKWRQLKQRTGHGAFYGMQVIIYGECIAPTLDTLKRTIRSGDGTILATSPPYTRFLKSSIDFAIVSAGMPSVDAWVQEFVRHNIPCISADYLVEYVCKPGHPLSKHVLFSMHDLAEKSLQKLLRNQEDVMDADTGEEGEADVGCSTCGSHDQEGLMLTCGSSGNQGGCGVRVHVGCCNPPVEAALDGEWLCGKCDEQKSAKKSAGKSRVLKSR >PVH65458 pep chromosome:PHallii_v3.1:2:57567138:57571754:-1 gene:PAHAL_2G497700 transcript:PVH65458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEKYELAKQVNIKIVNHRWLQDCLKAWEILPIDDYTKSGWEVEIMEAQAKDSEDEREDVGRGSSSGRRIGRSTLVTEIRMKSHVDSAVDAPIRGPTISTSNAEGATGRHLGTPEQTMKAEYASTKSFDIRADSGSAPDTKYATVSVDRDAHKTYPSRIYQSENDEAPADQVSRDEAKDDHKRDSDTRASTLCTPSVYKSIAPAIPADNKKNIKGNYFHSSNQINVNNDLRSNAFEENCSKQIVHSTEMSRKVDDPQPTISQSFAEERLNMRECSLGPEDNSASISNQILGYSRRRSAKSVSPGANLRSVHQTTAPQCFKGDTSRVELNATPRGNNQDFSRHAEIRGLQENEVLKHANRSGGGHAQKRKSILSSFSSKAPSEAPDSQTGKSSSPLSSKESASEAAVSNLSRSPAESTKVCGHLNSDLAVNFSEKQMPGSFKSNLLSNRRTSLKLVSSAEVEKLPENSAKYKNMGALGEVNTPAKHETTIEKCCAISPSVNSEVRKESSGVSLQNGDTEMTDAQQINKIEAAGPCSKPEKVVSHQELEADPKDIPVNKVTDKHGTFPPKVSTSRVRNSGAKRSRNAGSKAVPGLINSKSGVATKPMHDKVASHENVETQQAEGFCSPNAAKNTPLFAAEVLNSKSRNEVQSSSLGPNRKTNESLVVSKAESVNMPLQGRKLSSTASTDENKGSSSQRVPNSESSKSFAKGSWTADVNKADSPTIDKTETIPSKSSFNEAVPLENGEEHSSSARADDHEICTENKVPNNRVRKVVAKRKLSSVQKQKSGSEPGITANVLVSEDKVVSSERAAQSSRNSDMVMVDQDLENTNEVRTNDTVGLLCKDAMEERLKDTHNSKSRSNKRQKTADLVDGSTDNDKENIPVNSNFTSKTRAGNNSMSSKSTAKALQSSKVVLDERGMIEGNNIGTLNVLEPTWFILSGHRLLRKEYKVILRRLKGRVCRDSHHWCFQATHLVTTELRRTEKFFAAAAAGRWILKPDYLTACNEAGKFLEEEPFEWHGNGLNSGDTISLDAPRKWRQLKQRTGHGAFYGMQVIIYGECIAPTLDTLKRTIRSGDGTILATSPPYTRFLKSSIDFAIVSAGMPSVDAWVQEFVRHNIPCISADYLVEYVCKPGHPLSKHVLFSMHDLAEKSLQKLLRNQEDVMDADTGEEGEADVGCSTCGSHDQEGLMLTCGSSGNQGGCGVRVHVGCCNPPVEAALDGEWLCGKCDEQKSAKKSAGKSRVLKSR >PAN09305 pep chromosome:PHallii_v3.1:2:908221:910002:-1 gene:PAHAL_2G013300 transcript:PAN09305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALMDELIEEVLLRLPASEPACLVRAALVCKRWCSTVSDAGFRRRFREFHRAPPTIGVVYNAVDGDAYVARFRPCASSFPPHADRRGSGVLDCRHGRVLLRGMPRVGKDLRLTYLSALGVWDPVTDEQWEVPLPSLYPNEFYERLVLCAAAATGTCDHLDCHGGPFLVVLVGIDYKHDMFVYTYSSEAAAWSEPSSIHLGTALDRPCNMLGPRLVAGDAIYFFLADGHRILKYDLGGGHALSVIKPPLPSSLRAQGKVALMEAKDGGLRVANVEGYNLHVWSCRPAGGPNGVEQWVKDQVIKLDTVVSIATGDPSTTFDVLGFGEGTDVIFISANAGIFAVWRNSGRVMKAGEKRAIPTDFDEPMYCYQSFYIPALGHFLKKANRCMNY >PAN13646 pep chromosome:PHallii_v3.1:2:48799840:48800265:1 gene:PAHAL_2G353800 transcript:PAN13646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKRIAQLAKKWQRMAALGRKRLYWVAAAAPEADECCASVASKGHCAVYTADGARFEVPLAFLGTAVFAELLRMSQEEFGFAGGDGGRITLPCDAAVMEYAMCLLRRGVSAELEQAFLSTMAMPCHHASRVAPCVAACC >PVH64378 pep chromosome:PHallii_v3.1:2:41820143:41824864:-1 gene:PAHAL_2G252900 transcript:PVH64378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAFLSSLRSRLRSAPPTTPHHRLQASRGYHVELGAREKALLEEDVALKRFKSYKNSVKQVSKIGNVLTLAVVLACSYEIAVLATSTK >PAN14220 pep chromosome:PHallii_v3.1:2:51204263:51211171:1 gene:PAHAL_2G392500 transcript:PAN14220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALTMMFLFGMELSSYLAVNTTTSVIVDRSSDGEFLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHPGPIPIVSKHGDDVEEDHVDGSVSLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIIRERYDPEMDGRILLGKVDCTEEVDLCRRHHIQGYPSIRVFRKGSDIKENQGHHDHESYYGERDTESLVAAMETYVANIPKEAHVLALEDKSNKTVDPAKRPAPMTSGCRIEGFVRVKRVPGSVVISARSGSHSFDPSQINVSHYVNQFSFGKKLSPRMFHEFLRLTPYLRGYHDRLAGQSYIVKNGEVNANVTIEHYLQVVKTELVTLRSSKELKVLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTEVPRSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRMVKKVELGKNI >PAN14221 pep chromosome:PHallii_v3.1:2:51204263:51211171:1 gene:PAHAL_2G392500 transcript:PAN14221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALTMMFLFGMELSSYLAVNTTTSVIVDRSSDGEFLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHPGPIPIVSKHGDDVEEDHVDGSVSLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIIRERYDPEMDGRILLGKVDCTEEVDLCRRHHIQGYPSIRVFRKGSDIKENQGHHDHESYYGERDTESLVAAMETYVANIPKEAHVLALEDKSNKTVDPAKRPAPMTSGCRIEGFVRVKRVPGSVVISARSGSHSFDPSQINVSHYVNQFSFGKKLSPRMFHEFLRLTPYLRGYHDRLAGQSYIVKNGEVNANVTIEHYLQVVKTELVTLRSSKELKVLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTEVPRSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRMVKKVELGKNI >PAN09601 pep chromosome:PHallii_v3.1:2:2585225:2585802:1 gene:PAHAL_2G036400 transcript:PAN09601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSLLIVAVAVLFAAAPALAADLVVGDDKGWDLEVDYDEWVDGNQFIVGDRLVFKYTKGNHSVVEATAAGFAACSDANSLATWSSGDDRVPLSGSGQRWFFSGVGKDCGQGMRFNVTVLPVVKLSPSASPPPPPPSSAPSGGRVAPALAAAAVAAAALLF >PVH64806 pep chromosome:PHallii_v3.1:2:49174149:49175870:1 gene:PAHAL_2G360300 transcript:PVH64806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFSMASAVPASSPAAGEAAAATLSEGADSPAAPAVASTTQPSRWQSRQSSSTARSRRRRSAMSRSIRMRRSRRARFSSSSLAARASSSSSSSSSRAAGAGSWTISKRLRSWSRRRSTSTCSSTSLSPEPESSSPAASPASARRRMRPFMDPYPSTPHASLAISTSTSRAGDSTGVGSTAGVTGHGDTSDATPPARFARIRNDVVLRGAAAPPHRAPPDAAGGALRFQGVRADRFHAGRAGLNPSTGGSIAGGGAAGAAPHIGWWYGSGAGGRIICSFMVWQGRTNPPRSETLTLNHNPKHPKNHTISSGP >PAN14092 pep chromosome:PHallii_v3.1:2:50625761:50631597:-1 gene:PAHAL_2G383600 transcript:PAN14092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTVLESPGCPPLRALTTDILGLVKVVEARTNPAGAAKVVETWGEPDASRAIVAASLADRAADPVLAVARKNGVVELLNPLNGDALAAVKTVGPASDDGSAEGDPLVTLHLFTRQASDSMLGTFIACTDKGKASIRSIMKENAASGSDAGPSTTWDVCSGGVQFCSVDHGESYAMFGGKGIEVNLWDIASCSKTWSAKSPRANSLGIFTRPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTSLQRRPAISVDFREAPIKAVAADPNGHDVYIGTGTGDLASFDMRTGKLLGCYIGKCSGSIRSIIRHPELPLIASCGLDSYLRIWDTNTRQLLSAVFLKQHLTTVVIDSHFSVEAPVETNSKQPEFLVEAEAEVRKEKKSKTIEEDEAEAEVQLEKKKKKKKESKTVEEDVAEVRKEKKKKSRTTEEDEERDSDEMCTTKRRKSGERSKCMKKSKKQHVA >PAN13876 pep chromosome:PHallii_v3.1:2:49739386:49743599:-1 gene:PAHAL_2G370000 transcript:PAN13876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPSLSGDDVEVEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVQSQKYVALKIQKSAPEFAQAALHEIEFLSEITKRDPSNCKCIIQLIDHFKHTGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGFDRVKRICKSILVGLDYLHSELGIIHSDLKLENVLLVSTIDPSKDPIRSGLKPNLERPEGNPNNGEVGLNPIEKKLKMRARRVLAKLAEKRKSVVESSRSERSLDGIDLTCKIVDFGNACWADKQFTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMELLGKMPKKIATMGTRSKEYFDRHGDLKRIRRLKMSSIERILVDKYKISESDAQEFAKFLCPLLDFAPEKRPTATECLKHPWLQCKEDKNCGSVNNNDAKNIDLAQSTGTVRNCTNIDATCKKGSISGSCDKTADAKYNTSSSTNNASINADVQPNIGSIANRLAKNADVNLNIGSITNRDAKNSDTKPNVGSIANSDTKSSSVKLDTGNITNRNSKTIDTKTNTGSISSSDGKSSNVDTTTSSVVNREKRSIRSVVNSYIKNFDAKCNAGSTARSDARSSDTKPSTRSVAIADDAKCVDVKPISGSVKSNDVTNANVKSNTGIVANSDAQNTDVQTNTGSVVSSEDNSVDTKPNIGRVAASIQRLESSMSKVQIGRYR >PVH64856 pep chromosome:PHallii_v3.1:2:49739324:49744780:-1 gene:PAHAL_2G370000 transcript:PVH64856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPSLSGDDVEVEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVQSQKYVALKIQKSAPEFAQAALHEIEFLSEITKRDPSNCKCIIQLIDHFKHTGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGFDRVKRICKSILVGLDYLHSELGIIHSDLKLENVLLVSTIDPSKDPIRSGLKPNLERPEGNPNNGEVGLNPIEKKLKMRARRVLAKLAEKRKSVVESSRSERSLDGIDLTCKIVDFGNACWADKQFTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMELLGKMPKKIATMGTRSKEYFDRHGDLKRIRRLKMSSIERILVDKYKISESDAQEFAKFLCPLLDFAPEKRPTATECLKHPWLQCKEDKNCGSVNNNDAKNIDLAQSTGTVRNCTNIDATCKKGSISGSCDKTADAKYNTSSSTNNASINADVQPNIGSIANRLAKNADVNLNIGSITNRDAKNSDTKPNVGSIANSDTKSSSVKLDTGNITNRNSKTIDTKTNTGSISSSDGKSSNVDTTTSSVVNREKRSIRSVVNSYIKNFDAKCNAGSTARSDARSSDTKPSTRSVAIADDAKCVDVKPISGSVKSNDVTNANVKSNTGIVANSDAQNTDVQTNTGSVVSSEDNSVDTKPNIGRVAASIQRLESSMSKVQIGRYR >PAN15424 pep chromosome:PHallii_v3.1:2:56829243:56831567:1 gene:PAHAL_2G486800 transcript:PAN15424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAPMLLLQHAAAPGSGWRLQAVRGLDGRGAPNWVAFRRARQGGGCRDLRAAGLGRFFGGGDHNNKNHEVDEFAPARLFVGLPIDSVTDGATVNSAAAVAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYQAVADMVRAESLSLRVSLRAHGTPGGGVPTLPAWVSGVAADDPDIFFTDRSGARHEGCLSFAIDELPVLHGRSPLQLYEAFFRSFAAAFEDFFDSTITDVTVGLGVNGVLRYPSYPPGSDARKFTGVGEFQCYDKYMLAQLRQQAEEAGNSMWGLSGPHDAPLYHESPDSCGFFRERGGSWETPYGDFFLSWYAGQLVGHGDRVLGMASAVFGGKPVELSAKIPFMHWWHGALSRPAEAAAGFYKSNKKNGYSPVAKMFARHGCTMVVPGMDVCMNKQHHSAGSSPDQLLVQIKNACRRHGARIAGENASLVMTHTSSFSRIRSNILTTELMRPCHFTYQRMGAEFFSPDHFPQFMEFVRSVVCGEWDEDDGPADEERAMAASGSAKTREA >PAN12596 pep chromosome:PHallii_v3.1:2:43848264:43852437:-1 gene:PAHAL_2G276500 transcript:PAN12596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHLTGPRVAVAAKPAALGGLRLPTPSIAVPSGWRARGLVVRAATVVSPKYTSIKPLGDRVLVKVKTSEAKSDGGILLPVSVQTRPQGGEVVAVGEGRSFGSKSIEISVPIGAQVVYSKYAGTELEFNDADHLILKEDDIIGILDGDDVKDLKPLNDRILIKIAEAEEQTAGGLLLTQATKEKPSVGTVVAVGPGPLGEDGSRTPLSITPGSNVMYTKYAGSEFKGAEGEYIVLRASDVMAVLS >PAN14333 pep chromosome:PHallii_v3.1:2:51751399:51754909:-1 gene:PAHAL_2G401500 transcript:PAN14333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFAFVRRVRRSPPPSTSTSTAPAAAPAEKRRRRPSSSGSASWKPTLGAISEDAALTAASAATTKVQAKPAPAAKAKARSPRRATRAASYDDFRHYGPPTVLPAFSPTAFLF >PAN14663 pep chromosome:PHallii_v3.1:2:53290160:53296256:-1 gene:PAHAL_2G427900 transcript:PAN14663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MADSPNPSSGGSPEKPVLTDRRVAALAGAGAGARYKAMSPARLPISREPCLTIPAGFSPGALLESPVLLNNFKVEPSPTTGTLSMAAIINKSTHLDILPSPRDKSTGSGHEDGDSRDFEFKPHLSCQPAGPAVNNPNHHDTSMQNHTSSSSNLMTENKSLCSRESIHQTANISSVLNQPVAIVGPSDNMPAEVSTSERNQMNSSENAAQETQTENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLAAGAVPSNQGEERYDGATPVEDKPSNIYPNLCNQVHSAGMIDPVPGPASDDDGDGGGGRPYPGDDANEDDDLDSKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSAAPMKPAGHPINSSMPGLGGMMRACDARAFTNQYSQAAESDTISLDLGVGISPNHSDATNQMQPSVPEPMQYQMQHMAPVYGSMGFPGMPVPTVPGNAASSAYGSREEKGNEGFTFKAAPLDRSANLCYSSAGNLVMGP >PAN14661 pep chromosome:PHallii_v3.1:2:53287867:53289861:1 gene:PAHAL_2G427800 transcript:PAN14661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0583600)] MADTPTSRMVHPFGNVPRQTPKQFLYSGNTQHLCHPYQSASDTHVVPQHHYTMKSHSPDAGSEEYETHKQYTLDSSAASGCSRHDSPSSQSIHTGSGSPLSHEDSHSGSTNGNGSPVSASCVTEDPTDLKQKLKDLEAVMLGTESEIVDSLEISVANQLSLEPEKWVHMMSMPKGNLKELLIACARAVEHSNTFAIDLMIPELRKMVSVSGEPLERLGAYMVEGLVARLASSGNSIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHIAQGAQWISLLQALAARPGGPPFVRITGIDDSVSAYARGGGLELVGRRLSHIAGLYKVPFQFNAVAISGSEVEEGHLSIVPGEAVAVNFTLELHHIPDETVSTANHRDRILRLVKGLSPKVLTLVEQESNTNTAPFAQRFAETLDYYTAIFESIDLALPREDRERINMEQHCLAREIVNLVACEGEERVERHEVFGKWKSRLMMAGFRPSPLSALVNATIKTLLQSYSPDYKLAERDGVLYLGWKNRPLVVSSAWH >PVH65258 pep chromosome:PHallii_v3.1:2:54520536:54522215:1 gene:PAHAL_2G448200 transcript:PVH65258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTAKESLGKMFSAGTSYYGGLVQSHAPSGDCDCYGKQLGDRVSTFNRALQMMRSSGVNITRHACLYETAPAYVTDQPRFLNSAVRGTTRLGPHELLKKLKEIEKDIGRTGGIRYGLRPIDLDILLYGNSQIDSETFIVPHERIHERPFVLAPLVDLLGTSSDDGIEKSWHSLSKCDGSFFELWNKLTCRTLVMGILNVTPDSFSDGGKFQQVEAAISQAKLLISQGSDIIDIGSQPTRPFAKRLSPNEELERLIPVLDELLSVDTFYAEVATEAVKRGVHMTNDVSGGQLDPRILKVVAELRVPYVVMHMRGDPSTMQSDENLQYDDLRSEIGSELSAKRIIVDPGIGFSKKSGDNLEVIAGLKSIRREMGKTSTGASHVPILLGPSRKSFLREICDSANPADLDAATAVAATIGILNGANIVRVHNAGYCADALHKRRRWEN >PVH63329 pep chromosome:PHallii_v3.1:2:375542:376146:1 gene:PAHAL_2G005400 transcript:PVH63329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKELPGFYYDPEKNRYFPIKGPIPGAATRRPPPSAPPTPPPAATAGCSRKRARRPELLSAREMYGGGVIFSNKATRSTFKQQCQYLQASQPMVWKYQATTLVADKALEQLNTMVQTPQGLRESRMLVTGSMNGSI >PAN15409 pep chromosome:PHallii_v3.1:2:56773126:56775690:1 gene:PAHAL_2G485700 transcript:PAN15409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFWVYVYKVQRCPQVGNHDWTACPYAHKGERARRRDPRNFSYLAVTCPAFRESQQQQHLARTGAAPSCVRGLRCRYAHGVFELWLHPARFRTVMCEGGLRCPRRVCFFAHFPAELRGENDPVPLDGLPPLPPRVPRAPPSPAAPLSFPRRVDLAMQAMPGKVRLYGGAAGESQSPSAPAAAAEPVAAPVPALPPPPASPDDKEEPAVQNSRRASEDGSEDGDYPHFDLIKDMVL >PAN12989 pep chromosome:PHallii_v3.1:2:45867623:45869456:1 gene:PAHAL_2G305800 transcript:PAN12989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQKHLLPLHRSTSPTHLCLQRASSSPSHFAAEDYLVTTCGLTREEAAKAAKCFSHWKSLANADAVLAFLTSPALGLSKADIAFLVTKDPRILNSSVANNLRARLDGFRSHGFSTAQIRSFVRACPCFFRTFNIDEKLGFWMSFLGSPDKFLRIIRRSYYLVSSDLDKVVKTNIRLLQERGLSVQDIDNMCVTNPRLLTSNPDVTRAVLVRADELGVPRNSLMFRQAVNTVAGLGPETMASKLKMMRKTLGCSDAEVARMVQMNPLVLKTSREKVQRVYEFLTKVVGVDAKYIQGRPAILMYSLERRLAPRNYVMKVLQEKGLIRKDLSFYTMVTAVEKVFYSS >PAN12988 pep chromosome:PHallii_v3.1:2:45867618:45869242:1 gene:PAHAL_2G305800 transcript:PAN12988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQKHLLPLHRSTSPTHLCLQRASSSPSHFAAEDYLVTTCGLTREEAAKAAKCFSHWKSLANADAVLAFLTSPALGLSKADIAFLVTKDPRILNSSVANNLRARLDGFRSHGFSTAQIRSFVRACPCFFRTFNIDEKLGFWMSFLGSPDKFLRIIRRSYYLVSSDLDKVVKTNIRLLQERGLSVQDIDNMCVTNPRLLTSNPDVTRAVLVRADELGVPRNSLMFRQAVNTVAGLGPETMASKLKMMRKTLGCSDAEVARMVQMNPLVLKTSREKVQRVYEFLTKVVGVDAKYIQGRPAILMYSLERRLAPRNYVMKVLQEKGLIRKDLSFYTMVTAVEKVFYSRQQFVFNS >PAN12991 pep chromosome:PHallii_v3.1:2:45867623:45869242:1 gene:PAHAL_2G305800 transcript:PAN12991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQKHLLPLHRSTSPTHLCLQRASSSPSHFAAEDYLVTTCGLTREEAAKAAKCFSHWKSLANADAVLAFLTSPALGLSKADIAFLVTKDPRILNSSVANNLRARLDGFRSHGFSTAQIRSFVRACPCFFRTFNIDEKLGFWMSFLGSPDKFLRIIRRSYYLVSSDLDKVVKTNIRLLQERGLSVQDIDNMCVTNPRLLTSNPDVTRAVLVRADELGVPRNSLMFRQAVNTVAGLGPETMASKLKMMRKTLGCSDAEVARMVQMNPLVLKTSREKVQRVYEFLTKVVGVDAKYIQGRPAILMYSLERRLAPRNYVMKVLQEKGLIRKDLSFYTMVTAVEKVFYSRYIHPYKDVLPGLANAYASACKGRIPT >PAN14188 pep chromosome:PHallii_v3.1:2:51029121:51031121:-1 gene:PAHAL_2G390000 transcript:PAN14188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRVAAASPTYGKAVEAYKKAAATAVTVTAYAVLARGMARELLPDERRGAARWAADFLLRGAARAPRGGPHVRHQAVRRRRPRRQRALQGRARVPGHQGRPARHAPGVPQPPPPPGAGDDDGYGYGIKGGGGGQVLSMEVGDSMDDVFEGVKFTWTSISDSEGHYKEAASLELTFDAEHTDMVLARYAPFITANVEEARRRERTLQIFSSDNGGSWRGSSYHHPATFDTLAMEPELKRSVVADLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMVNYLRFNLYDLDLSKVNSNSTLQWLLTSMPEKSILVVEDIDCCFSARSRGVLDKSGVVQKANERPSDAPAPPNKDHLTGQGDGMTLSGLLNFVDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHIYMGYCGWEAFKTLAENYFIVNDHPLFPEIQELLAEVEVTPAEVSEMLLRSEDVDVALQGLTELLQEMKQGKQTGEAGPA >PAN14645 pep chromosome:PHallii_v3.1:2:53212077:53215978:1 gene:PAHAL_2G426500 transcript:PAN14645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHPTEPEAGPEQAAPAPEAALPLAAAAPAPVKKKRNLPGTPDPDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHSPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAPPSPRPPDLEAEEDVDKDKEKGREEEENEDSAVAAEQPQRVEAPMPEAPQRIPLPPPLPQEPLRRPSPPPLPKEPLCRPSPPPLPKELLRRPSPPPLPKEPPRRPSPPPLPKELPRRPTPPPLPKEPQLFPSPLPFPLEQRPVVAVVPNVDDPEVVAETAVAAKLEDEADQDEDTCFQEADQYKEAELEVSNLMDKDTPMLPCFLPSPSEAIGTDGSSTTCGAGGSVSNSIAPSTTTNTFAGLFASATTSTTSQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTSPSNPGTFAAPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLASSSTSSPPSKQPPHGRFTENSALPWHHRSNQHMEMERHRNHQHREMESSSQPWHHRSTQQMEMEHHRNNQQREMESSSQRWHHHRSDQQMGMERLRSNQQMQMESSTHRWPHHRSNQQMEVSNQQMQMESSTQRWAHHRSSQEMEVMERHHRSGRQMERESRAMLSGGLGLGLAYESGNSGLPDLMMGPSPLFGPKPATLDFLGLGIGGTMGGSTANGGLPALMVGGELDMGPSAQVPAPWEDAKRKTNGRTIL >PVH64048 pep chromosome:PHallii_v3.1:2:24201167:24202603:-1 gene:PAHAL_2G173500 transcript:PVH64048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARHGEGYDWRNAPIDPEAVYSSGGKPHGRYPLFEKVIDSSQVPSRQRAGSSRSASRSTSSGDDSVEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIASQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVHPTSNWADQFIGSGGSVQPGDGDDQT >PAN13275 pep chromosome:PHallii_v3.1:2:47026183:47028686:-1 gene:PAHAL_2G325000 transcript:PAN13275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDGVARAFVDHYYTTFDTNRAALVGLYQEGSMLTFEGDKYMGAAAITQKLTSLPFAACKHQVTTVDCLPSGPAGGMLVFVSGALQVGEGEHPLKFSQMFHLMPVGPGNFYVQNDMFRLNYG >PVH63888 pep chromosome:PHallii_v3.1:2:11890818:11893128:1 gene:PAHAL_2G131900 transcript:PVH63888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGCRRPTTGPPRFTRNFNPTHATPRHGRHGAMSLAHPPPSLPRLRALRRDGILSSIGRFPSIPGCLPPGSTLRSCGRAGRAYCLFSGGDNRKKQDEARKALENALGQKKAEFDKWDVEIERRRQRRRPGGPAAGGGGWSGGGRWFRWLTSGDFWDAAKQIVLTILGIISAFFLIANFNVLVAAVINSLLLVLRTIRRTLSFIAHCVFQDALVERPGPKSSTLHNSNVAAVPVKERAGMSVTERVIKKWGTD >PAN09296 pep chromosome:PHallii_v3.1:2:424200:425760:-1 gene:PAHAL_2G006500 transcript:PAN09296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFAACFVLLLLLLAGAPRVAESRRHRHHHHDDDDDGGSRSPPAAAKQQRTQKLFVFGDDFADTGNGASDPGLGYNSREWRYPFGMSDTAHARRPSGRFSDGLVQSDFMAKIMGHREAPPPYTYDDWHDGIDDAGLNFAVGESGALETPAGVTKLGKQVQQLRRLIRDGLVERRDLRDSVALVAYSGNDYAYADDDTFDGLMETVVEELASVVSDLQDLGVGKVLVNTVPPFGCSPWLTRQFNYTACDDRGNAGPDRHNAALRDRLGVGAGGDDDDGGVMLLDVNSIITDLVAPNKEGSALATRFTELLRPCCEATDGDRGYCGLDDGYSLCDRPGEYFFWDTEHPTQAGWRAVMQLLQGPIMAFLGISNLEHF >PVH64028 pep chromosome:PHallii_v3.1:2:21883268:21884041:1 gene:PAHAL_2G167800 transcript:PVH64028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRVGVNMTLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDRQASGVLCEKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINKPKP >PAN13031 pep chromosome:PHallii_v3.1:2:45989472:45991037:1 gene:PAHAL_2G308800 transcript:PAN13031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHTDAAPDTSAVRVVHRHGPCSPLQARGHAPSHEEILERDQDRVDSIRRRIAGAPAISDVARAPEGVSLPAYLGTSAGTTNYIMTVGLGTPARNLSVEIDTGSDLSWVQCEPCARCYGQQDPLFDPARSSTYSAVPCGAPECRELDPQSCAADRECRYEVIYDDYSHADGTVSRDALTLAASRTLPSFVFGCGHDDAGLFGKVDGLFGLGRGKMSLPSQAATKYGAGFSYCLPSSPSAEGYLAFGVGAAPANTQFTEMARGPETWSYYLNLVGVKVAGREIGIPPAVFATGGTIIDSGTVITRLPPRAYAALRSAFVRSMASYRRAPALSLLDTCYNFTGQTRVKIPSVDLVFAGGTTVNLNARGVLYVSTVSQTCLGFASTGDETSVNILGNTQQKTFTVVYDVAKQRIGFGAKGCN >PAN13030 pep chromosome:PHallii_v3.1:2:45989036:45991266:1 gene:PAHAL_2G308800 transcript:PAN13030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGMRCSVGHGGHGRRLAVVLALLASLASSRRHGASAAAELEGSGPKWHVVSARSLLPSTACTAAKAAPDTSAVRVVHRHGPCSPLQARGHAPSHEEILERDQDRVDSIRRRIAGAPAISDVARAPEGVSLPAYLGTSAGTTNYIMTVGLGTPARNLSVEIDTGSDLSWVQCEPCARCYGQQDPLFDPARSSTYSAVPCGAPECRELDPQSCAADRECRYEVIYDDYSHADGTVSRDALTLAASRTLPSFVFGCGHDDAGLFGKVDGLFGLGRGKMSLPSQAATKYGAGFSYCLPSSPSAEGYLAFGVGAAPANTQFTEMARGPETWSYYLNLVGVKVAGREIGIPPAVFATGGTIIDSGTVITRLPPRAYAALRSAFVRSMASYRRAPALSLLDTCYNFTGQTRVKIPSVDLVFAGGTTVNLNARGVLYVSTVSQTCLGFASTGDETSVNILGNTQQKTFTVVYDVAKQRIGFGAKGCN >PAN12987 pep chromosome:PHallii_v3.1:2:49255564:49256267:-1 gene:PAHAL_2G362000 transcript:PAN12987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPFVCEVCHKGLQREQNLQLHQRGHNLPWKLKQKDPKEARRRVYLCPEPTCVHHNPSRALGDLTGIKKHYCPSTARRR >PAN13162 pep chromosome:PHallii_v3.1:2:46570273:46588123:-1 gene:PAHAL_2G317800 transcript:PAN13162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAERRLELAERWRGIQEDEEAEDGGEPYAARHRRLMRAKEEWFSRCYTFLVNLPKQEHIWCGYADIMGPFLETFHGFFDDEDENSSLRIIWRRVSQEMGICTQCVCEHHQAQGFFDTEYRSDTVDPLLKVLRLLDEERVTEHLIQINKKIQLKEYDPSCHGAEVVSIMFEVLMYPVLLDDQSLANQFQMFIETIDESYELSLSTNQQYPGVYALLFFKTGKARAIGLRLARSMGKLRRAVDLEPLQPLMQRYINFLEAEVLPSTSEHSRPRVQLKRADIWLGFKSLLGFLEAPAFEDGVLEKYPVFLNIVLNHVSDDTSDLSCAVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGHCFHTRDEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSNLMRKNATKIALLIVQRGYTMSPPCPPTECAHMWGPSLISSIEDTSLHSSLRQPALGLIYIIVISDASALISYKLKYEAVKKDNVSNSVMFADDDDELPFSHDAEEKSQSCWNDFSVLNKLASRECKDWKCIPLLWYLTMVQLEPSKLPIAFSKAVLWGLSHVSVLEPGLATESSVPVNAWLSSHAGEVSSTFTWQVPNGADDGGGGKDCINTLKVLQFCTLLLKIFKRLAIHVMTQIEHCGLQKQWIWEPMMGESLILALVDNNDDVRQVGRAILEHVSQARGLTSGLQFLCSSASSLSAVFLGLRYAVQLVGTRSVLADFHSFHHLFFVMCKLLKEVVAQKPPVAQPGKPPEGGFLRQPYSSVVDSPPEHAVDVTNWGKFCTLLSATLWPFISTCLREGKELIGIKQCQISCVRLLELLPLVYERVNINCHSQSRNTMTAFQDPMDIAWFLDLTHWRKSSLPVIIRHWKQCMLSLLKKLKGSYSGTIQCYIEDLDNIISHDAVNIDELEDRISNLKLALSKEAPATVKRGGLIDAPMFKEPIVSVPSPVQGRYTGMDNVVNVESTKPSRSPDIHEIILLSDSEDNSPAADVSNEEVLSSVMDNDAPTASNMAKEIKPPEQRMLTNDRHVPLKPQICTPASNISASRPVSTDSRGSIAASKGLDGMKKLSLPKNANNNSLLPKSVKSSVSGTSQPQRPKLSSDTEKFKSIFRDISDDEDDPLDHALDYCRRPQIPSSKPSILVPKRQVVQLPVPVGRRQGSGTKVTSTRRLQPPKLGSWFKNILEMDYFAVVGLSSSEIVKKPALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNSYVETPPDDMMCGCISILSVERVDEFLIVRARPENSQSIKFKGCMENDLILLTRDPLKNPKHQVHVLGKVERRESDKNKALIFVIKFFLFSNKARLNKVKRLLVERSKWFLNRVMSMTPQIREFSALSSLNDIPVLPAILNPVSCAASYHKSGKVHLDRLAHPLRKVLKSSYNESQLQAVSIAIGSAGSKTNFDLSLIQGPPGTGKTRTIVAIVSALLSLHADNPYKLPRNESLNSTDSTKPRAKISQSAAVTRAWQDAALAKQLEKDSRTECPGTSERFAKGRALICAQSNAAVDELVSRLSEGLYGADGKMYRPYIVRVGNAKTVHSNSLPFFIDTLVEQRLSDELKTNNDGKNSSDAESSSSLRANLEKIVDRIRHYESRRKLIESDKSEDGSPVPDENEVDEVSDEALGGKLNFLYAQKRKVSAELATAHAHEKKIADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGVCSETASSNKYGTFSEHALFDVVIIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAEMSEKSASFHDHDYLGPYMFFDIADGCEHCGRNAATQSLCNEFEADAALAILTYLKKRYPLEFSSRKIGIITPYRSQLSLLRSRFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSADDTHRTGEARSIGFVADVRRMNVALTRARLSLWIVGNARTLRTNSHWDSLVQNAEERNLFISIKRPYGLMFEKVQPHSRDIHGATRSYHTSHHLKQKDNRKAAMMSSKRIDARLQEQSTHAARNVEKEGKRPKEHSKWASCWDQKVLEAQESIVRSSEDRSEKQNDNIRSTKSSRQENIDQDSVMRNQMEGKKSTVNNDNHLELSKGLVKGGSHEGSSVRRQMESNIPAEQNVCKETNKASSNQDFQNPKVTHNSYKKNASRNNDMGTIKGSLKHDLNLKSASKKDDVSPPSVPDLHKLIQNAKGARKFSEKPRYDNPNQVDLSVNHDGILDPANKNDGACPPTNPDIKIANKAKRTSRFSEQPRPWNSTQVDPSHPSHFNEASSHVPELKKSQSTKLSSKKDLIAERKRQREDVDSLLSSALIPSSTHRTKKKK >PAN13161 pep chromosome:PHallii_v3.1:2:46570273:46588147:-1 gene:PAHAL_2G317800 transcript:PAN13161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAERRLELAERWRGIQEDEEAEDGGEPYAARHRRLMRAKEEWFSRCYTFLVNLPKQEHIWCGYADIMGPFLETFHGFFDDEDENSSLRIIWRRVSQEMGICTQCVCEHHQAQGFFDTEYRSDTVDPLLKVLRLLDEERVTEHLIQINKKIQLKEYDPSCHGAEVVSIMFEVLMYPVLLDDQSLANQFQMFIETIDESYELSLSTNQQYPGVYALLFFKTGKARAIGLRLARSMGKLRRAVDLEPLQPLMQRYINFLEAEVLPSTSEHSRPRVQLKRADIWLGFKSLLGFLEAPAFEDGVLEKYPVFLNIVLNHVSDDTSDLSCAVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGHCFHTRDEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSNLMRKNATKIALLIVQRGYTMSPPCPPTECAHMWGPSLISSIEDTSLHSSLRQPALGLIYIIVISDASALISYKLKYEAVKKDNVSNSVMFADDDDELPFSHDAEEKSQSCWNDFSVLNKLASRECKDWKCIPLLWYLTMVQLEPSKLPIAFSKAVLWGLSHVSVLEPGLATESSVPVNAWLSSHAGEVSSTFTWQVPNGADDGGGGKDCINTLKVLQFCTLLLKIFKRLAIHVMTQIEHCGLQKQWIWEPMMGESLILALVDNNDDVRQVGRAILEHVSQARGLTSGLQFLCSSASSLSAVFLGLRYAVQLVGTRSVLADFHSFHHLFFVMCKLLKEVVAQKPPVAQPGKPPEGGFLRQPYSSVVDSPPEHAVDVTNWGKFCTLLSATLWPFISTCLREGKELIGIKQCQISCVRLLELLPLVYERVNINCHSQSRNTMTAFQDPMDIAWFLDLTHWRKSSLPVIIRHWKQCMLSLLKKLKGSYSGTIQCYIEDLDNIISHDAVNIDELEDRISNLKLALSKEAPATVKRGGLIDAPMFKEPIVSVPSPVQGRYTGMDNVVNVESTKPSRSPDIHEIILLSDSEDNSPAADVSNEEVLSSVMDNDAPTASNMAKEIKPPEQRMLTNDRHVPLKPQICTPASNISASRPVSTDSRGSIAASKGLDGMKKLSLPKNANNNSLLPKSVKSSVSGTSQPQRPKLSSDTEKFKSIFRDISDDEDDPLDHALDYCRRPQIPSSKPSILVPKRQVVQLPVPVGRRQGSGTKVTSTRRLQPPKLGSWFKNILEMDYFAVVGLSSSEIVKKPALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNSYVETPPDDMMCGCISILSVERVDEFLIVRARPENSQSIKFKGCMENDLILLTRDPLKNPKHQVHVLGKVERRESDKNKALIFVIKFFLFSNKARLNKVKRLLVERSKWFLNRVMSMTPQIREFSALSSLNDIPVLPAILNPVSCAASYHKSGKVHLDRLAHPLRKVLKSSYNESQLQAVSIAIGSAGSKTNFDLSLIQGPPGTGKTRTIVAIVSALLSLHADNPYKLPRNESLNSTDSTKPRAKISQSAAVTRAWQDAALAKQLEKDSRTECPGTSERFAKGRALICAQSNAAVDELVSRLSEGLYGADGKMYRPYIVRVGNAKTVHSNSLPFFIDTLVEQRLSDELKTNNDGKNSSDAESSSSLRANLEKIVDRIRHYESRRKLIESDKSEDGSPVPDENEVDEVSDEALGGKLNFLYAQKRKVSAELATAHAHEKKIADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGVCSETASSNKYGTFSEHALFDVVIIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAEMSEKSASFHDHDYLGPYMFFDIADGCEHCGRNAATQSLCNEFEADAALAILTYLKKRYPLEFSSRKIGIITPYRSQLSLLRSRFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSADDTHRTGEARSIGFVADVRRMNVALTRARLSLWIVGNARTLRTNSHWDSLVQNAEERNLFISIKRPYGLMFEKVQPHSRDIHGATRSYHTSHHLKQKDNRKAAMMSSKRIDARLQEQSTHAARNVEKEGKRPKEHSKWASCWDQKVLEAQESIVRSSEDRSEKQNDNIRSTKSSRQENIDQDSVMRNQMEGKKSTVNNDNHLELSKGLVKGGSHEGSSVRRQMESNIPAEQNVCKETNKASSNQDFQNPKVTHNSYKKNASRNNDMGTIKGSLKHDLNLKSASKKDDVSPPSVPDLHKLIQNAKGARKFSEKPRYDNPNQVDLSVNHDGILDPANKNDGACPPTNPDIKIANKAKRTSRFSEQPRPWNSTQVDPSHPSHFNEASSHVPELKKSQSTKLSSKKDLIAERKRQREDVDSLLSSALIPSSTHRTKKKK >PAN11308 pep chromosome:PHallii_v3.1:2:14242480:14252998:1 gene:PAHAL_2G145900 transcript:PAN11308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/proton antiporter 2 [Source:Projected from Arabidopsis thaliana (AT1G49810) UniProtKB/Swiss-Prot;Acc:Q9C6D3] MTRRYSLLIHSCQKGGPQIPSAAAKQAMAPYCCMLAGARAATSPSLPASATPLLRRHCPLAVAVGDPFPRAQRWRRGLRFPCASSPSSSPPPPPPVPPEEIDDYELLDTTGNCDPLCSVDEVSSQYFEANYKPKDDLLKALTIFATALAGAAAINHSWVAANQDIAMVLVFALGYAGIIFEESLAFNKSGVGILMAVCLWVVRSIGAPSIDVAVQELSQTTSEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRSPKTLLWVIGIVTFFLSAILDNLTSTIVMVSLLRKLVPPSEYRKLLGGVVVIAANAGGAWTPIGDVTTTMLWIHGQVTTLKIMQGLFIPSVVSLAVPLALMSLTSEANGSSQKSSSMLSSEQMAPRGQLVLAVGVGALVFVPVFKALTGLPPFMGMLLGLGILWILTDAIHFGDSERQRLKVPQALSRIDTQGILFFLGILLSVGSLESAGILRQLANYLDANIPNGDLIASAIGVASAVIDNVPLVAATMGMYDLTSYPQDSDFWQLIAFCAGTGGSMLIIGSAAGVAFMGIEKVDFLWYFRKVSGFALAGYAAGIISYLATSNLHLTLPTSLAEIPFIPGS >PAN11307 pep chromosome:PHallii_v3.1:2:14242480:14252998:1 gene:PAHAL_2G145900 transcript:PAN11307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/proton antiporter 2 [Source:Projected from Arabidopsis thaliana (AT1G49810) UniProtKB/Swiss-Prot;Acc:Q9C6D3] MTRRYSLLIHSCQKGGPQIPSAAAKQAMAPYCCMLAGARAATSPSLPASATPLLRRHCPLAVAVGDPFPRAQRWRRGLRFPCASSPSSSPPPPPPVPPEEIDDYELLDTTGNCDPLCSVDEVSSQYFEANYKPKDDLLKALTIFATALAGAAAINHSWVAANQDIAMVLVFALGYAGIIFEESLAFNKSGVGILMAVCLWVVRSIGAPSIDVAVQELSQTTSEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRSPKTLLWVIGIVTFFLSAILDNLTSTIVMVSLLRKLVPPSEYRKLLGGVVVIAANAGGAWTPIGDVTTTMLWIHGQVTTLKIMQGLFIPSVVSLAVPLALMSLTSEANGSSQKSSSMLSSEQMAPRGQLVLAVGVGALVFVPVFKALTGLPPFMGMLLGLGILWILTDAIHFGDSERQRLKVPQALSRIDTQGILFFLGILLSVGSLESAGILRQLANYLDANIPNGDLIASAIGVASAVIDNVPLVAATMGMYDLTSYPQDSDFWQLIAFCAGTGGSMLIIGSAAGVAFMGIEKVDFLWYFRKVSGFALAGYAAGIISYLATSNLHLTLPTSLAEIPFIPGS >PAN11904 pep chromosome:PHallii_v3.1:2:38481671:38486087:-1 gene:PAHAL_2G226600 transcript:PAN11904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGHHLTEVALLASASPDLAAVDAGEREGWLDDPTVLPSLGPRARALAVASATRSVLVIVPVAGGGGGGVTVKPAVGPEEGRISAVEWVPLTTEDGEEEEGLAVAVGTDTGWLLFYSLAGDLLHKQSVYPSKILKLNFRERKENAWEDSGSDELSVVFPGVIARFDGADLQNILQKAFQDVKSRLWKDKFEEEDTEDEGSFGRIAFQIWNVSKFGSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKILWRSEPSPPKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSTMRMEYAKSDYCLCLAIHAPRKGIIEVWKMRTGSRLLTIPCPKGSRILQPSARLSSSFSYAPLEVYLFNGDSGQLSVLNSHVG >PVH64398 pep chromosome:PHallii_v3.1:2:42390707:42392042:1 gene:PAHAL_2G258700 transcript:PVH64398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYNIVSSCLLHVDSSLATRHGNTRPMTWFQLGRLFKFSVQTFQVQGWMRHGLVKAWDLRREDWTNLINDK >PVH64867 pep chromosome:PHallii_v3.1:2:49997675:50003921:1 gene:PAHAL_2G373600 transcript:PVH64867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPGEERKSSKSTSASRRIIRRVGTRHPQSTRTPSSSLPTPHFRSAKQKTQREKEMEPATAAGKSPDPMPLPPPEGPSPVGTSSSSASAPGTQAPAPAPPGAREVAAAMEAVERDAAAIAESYASLFASLRVALSNVTSTSAENMECLGDVVGRLQESALEASSKGNKYINSCLRLNEEMRGLEGLAMQLKILRKNVDSLDLAVNQLLRLP >PVH63738 pep chromosome:PHallii_v3.1:2:7250943:7257015:1 gene:PAHAL_2G095300 transcript:PVH63738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRQAAAVLLVETRFCKQVLALTCIFVYFLRQYTSSAPAERPGNSSNNMDAPPPSQPDAAAAAAAPPSSTSASAPSPAPPSNPPSSAASAAPSSDSTITSPTPTPSPGTAANPAQTIEAPAPSLAAARPPPPRMRPPYTHLASPITMSSSSSAATAATSSASVPPSSSAAPPMPRAGLVLGVPAPRPAQTPAGYTGFVPPPPLAHQFGSMHRDPDQPPPSSSQFRQPSPGIQNIGMVGSLSASQMRPGILTGLQQTRPGLASSATPIPSGSQMSGSQRTPSHALMRPMSVGSPSPSPASQQTPQNSSSAFRPQQRPQVPQPRPQQSAPVTPHQQNVISAQQQQQQKQQQQSSSHQIQQNPTPKNQPQHSQQQAARTPVTMTQKPDLPAIQNATVLQSVDTAAIDADASETGTRLLTKRSIHELVAQIDPNEKLDPEVEDVLMDIAEDFVESVTTFACSLAKHRKSNTLEAKDVLLHAERSWNITLPGFSGDEIKLYKKQHINDIHRERLALIKKSMATDTRNSASQAAANQKNQTPKPPAPVSP >PAN15480 pep chromosome:PHallii_v3.1:2:57182651:57187276:1 gene:PAHAL_2G491100 transcript:PAN15480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRYSALPNGRQDTLADRLHRYRGVLLVLLAPLALVSLVLLLMPRSPASSTAAASSRRSGPLDAAAGAKRYAVIFDAGSSGSRVHVFCFDANLDLVNIGSEIELFVQKKPGLSAYASDPREAAESLVSLLDEAKRVVPAELRDQTPVRVGATAGLRNLGAQKSEEILQAVRDLLRDKSSFKNQADWVTVLDGSQEGAYEWVTINYLLGNLGKTYADTVGVVDLGGGSVQMAYAIAEKDAEKAPKPSDGEDSYVKKLFLKGTTYYLYVHSYLHYGLLAARAEVLKAGNGNGYSNCMLEGYQGQYKYGDETFEASASPSGASYSKCKDDAVKALKVDEACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAAVAKVKPSDFEQAAKRACKLSVKDAEAAYPGVQKDNLPYICLDLVYQYTLLVDGFGVDADHEMTLVKKVPYNGAYVEAAWPLGSAIEVASS >PVH64420 pep chromosome:PHallii_v3.1:2:42783027:42791612:1 gene:PAHAL_2G263800 transcript:PVH64420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQTHCTRGRRSLRIPQFTAPRPPLPAPVRSEFPSPRLHTSLLPLHSHPAPAWLPPRPPPTPGPSSLAAMPPAAAAAFLSPAVAVSSRALPLRRARHLAVRAVASPPASKAKPAPPPSKTGKWEWKFEDKPINIYYEEHEQGTSENVKNILMIPTISDVSTVEEWRVVAKDIVGRKGELGYRATIVDWPGLGYSDRPSLNYNADVMESFLVQLMNSPNSPVANADDELVIVGGGHAATIAVRAAGKGLIRPSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIIESRYELTKRKGARYVPAAFLTGLLDPVQSREEFVQLFAKLDGDVPVLIVSTLNAPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPLAVAEELYSFLQESFAAR >PAN14656 pep chromosome:PHallii_v3.1:2:53263862:53267324:1 gene:PAHAL_2G427400 transcript:PAN14656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MALQLQLPRPPPLRLAAVRLPSPAAPARGASASATALRARAGGVAFSLQTNVRLLKPNRRVRRSRDPYYDLDEDEDDEDQEFEEEDDDEGYDSDDDMSGLEYPGVLYSNSPRAPSKRPGLQTPLIKENWEGRQPKTRDKYGSPEKIKSLHPRSKVGRSSPDLMNMDSEVDLKNESISRSLFQKLQEEYDFDDKWLPLVDYLCTFGLKESHFTYIYERHMACFQISQASAEERLAFLLNAGVKSKDMKRILVRQPQILEYTLSNLKSHVDFLVSIGVPSTRIGQVISSAPSMFSYSVEQSLKPTVRYLIEEVGIEESDVGKVVQLSPQILVQKIDSAWKSRSLFLSKELGAPKDSIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEGNLKPKYLYLVNDLKNNVQALTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDECFCQRWAGTSLEKYHTFRQSLLLTGFAEKSGRKTLVSRR >PVH63813 pep chromosome:PHallii_v3.1:2:9134881:9136918:-1 gene:PAHAL_2G112400 transcript:PVH63813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFMLTGLIFLWFYLLLKVLYHTSVLIFIYICCCFCDAPFCRGDATKISISYIYIFPLSGILVIFGFRVLRLVSELRLSVLDFVKT >PAN12775 pep chromosome:PHallii_v3.1:2:44854649:44859642:-1 gene:PAHAL_2G290600 transcript:PAN12775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAAPALSPGTVPLGVLLRREVTKERMERPDVLCGEASRSRKGEDFTLLRAGAGQRVAGDPSTSFSVFALFDGHNGSGAAIYAKENLLHNVLRAIPTCLSRDEWLAVLPRALVAAFVKTDKDFQRVAGTSGTTVTFVIVDEWVVTVASVGDSRCILESAEGSVYCLSADHRFDSNPDEVERVTACGSKVGKMDIVGGPEVGPLRCWPGGLCLSRSIGDFDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTCEMALDCSRGFPSDVAANRIVNEAIRPRGIRDDTTCIVIDILPPEKLAPTPPKRQGKIAFNSMFRRKSADVHFKTNSEYAEPDVVEEIFEDGSAMLSKRLTTGYALEKIFARSSCAVCLVRLKSGQGISLHANPLQHEKLQGWQGPFLCHSCHDKKEAMEGKRRRKDSSPTVFGHMC >PAN13525 pep chromosome:PHallii_v3.1:2:48210813:48211853:-1 gene:PAHAL_2G344300 transcript:PAN13525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRPALCLLCHVLLVAVAAAAGDDRGKIGICHGRVGGNLPAPQAAAALLRQNGVTRARLFLPDPAVLPAFAAAGIDLVVGVPNENLTFLASSGPEGAARWLRSAVLAHAPAARVRYLAAGNEVLYGDRSYAPSLVPAMRNLHAALAAMGLEGSVKVSSAHASSVLAASYPPSAGAFDAAALPVLRPMLRFLADTGAPFMVNTYPFISYANDPANVPLDYALFGAGATPVRDGALAYTNLFDATVDALVAALEKEGFGGVPVAVTETGWPTAGHPAATPQNAAAYNAEIVGRAARGVGTPRRPGVPVEVFLFDQYDEDGKPGAEFERHFGIFRADGSKAYDINFA >PAN15193 pep chromosome:PHallii_v3.1:2:55823128:55823805:-1 gene:PAHAL_2G470800 transcript:PAN15193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRVAGVPAVRLASRLHLAASFFPQPPSSSARRSRRRGGSAAGPPPALTADVSVIRRHFPVPGPRGTRVVQKIADDIALRRRPALSLRKPDRVDRALAEDVLPLVAHPFDRGAVVAAGGEICAYVAAACADPRVASGGVRVQALVDTFACPVVFCPRPPCKPMWSVAVVKNVIAIADDPCAGLEPESGLPAAAAKEHPRPIGAIGGGGPEPVEERFQGWLPW >PAN12928 pep chromosome:PHallii_v3.1:2:45631887:45633524:1 gene:PAHAL_2G301900 transcript:PAN12928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAGVLLALLLSLSALSATAAEAHKERLGENAVLLTGRKWLRGRKIMAALGHGDAAKNDEVVEGEGAKSTGANQEADAPAAEAVHDSGNRSKGHAMFPAPRQGDTAAEAPEVLGMDYNFKLDARHHRPINNDAPLDDLAKKP >PVH63923 pep chromosome:PHallii_v3.1:2:12387745:12388315:-1 gene:PAHAL_2G136000 transcript:PVH63923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRGNQALTYVLLNVHDIKIMSQLQIFPILQVPQTNPPAPNSHMSTATTVHFRTLPSITSWLPDPRSNMAYLL >PVH64422 pep chromosome:PHallii_v3.1:2:42910709:42911248:1 gene:PAHAL_2G264600 transcript:PVH64422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQALLLKICHRRTLCLVHGHDQLPLEDSANMTKQYSFWPHIFRVCFEKAYCVTIYLFR >PAN10271 pep chromosome:PHallii_v3.1:2:6091724:6092479:1 gene:PAHAL_2G083600 transcript:PAN10271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAKAKLNMTDLGMSLVVAFLLLSGAAAMEGMIGYRAIDADEPPGKNPALFHPGDIANKYTRGCETSEECRGK >PAN11816 pep chromosome:PHallii_v3.1:2:37109907:37111149:-1 gene:PAHAL_2G219200 transcript:PAN11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLGRAAVPVKRVWRGLRLRRATGLGRLRKEVRTCEYSDVHVMWEMLSSSIKPGGGGAISHGRCRRHWEGLQEGQGGEEGRRRLEPPGLLLPRALIRDDQSCSSDSDREGSLLLLLLLLLLLLLPPPPPPPPC >PAN09598 pep chromosome:PHallii_v3.1:2:2543367:2546656:1 gene:PAHAL_2G036200 transcript:PAN09598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEELAPSSKKRVADTQINKDNPEPDDDGPEQEMGTFKKASEEVMASRRIVKVRRQQPSSAPSSNPFSAIRFTPSDSSAPVQEPQPSNVKADEGSNGSGKDTLSVPDKNEGSGEVPEIQKDESTVNTGATTEAPHLPIETSDKAEGTKDGSVGDKVVVGEPNKGNNVPSDVESKTKGGDAEEKEGADEAENKDKISKDDTSKKDGGESETKNGLSDEQKDADNKGQTSSATPLFSFKNLSSGQNAFTGLAGTGFSSSSFSFGSASKDDSSAGTLFGLKTDGSSFPSFNLGANNSALATSAEAPKKFAMTEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGELKLNVPVSGGERARLVMRTKGNYRLVLNASLYEDMSLKDMDKKGATFACVNSISESQSGLATFALKFKDTATREEFKDQVEAHKTRKAPEAPLKTTENSPKAAEV >PAN09735 pep chromosome:PHallii_v3.1:2:3534507:3536720:1 gene:PAHAL_2G048100 transcript:PAN09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPAARRRKNTIYHGHRRASPHRPTVRGGLFTDLRSPPPRPRAPDSPSTTATPFRLADWDPHSSPSSSSVPSPSSPSASASASARRLSPLARFLLDALRRHQRWGPPVVADLTKLRRVPPSLVAEVLTARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPGAADQLPDLMRAHGRPVSHPQLTLLVRLHTAARRPLRALHALRRFRHEFDVKPEVHACNRVLGALAAAGHVEDALKLFDEMSETETQPMPVTFAIMVRALARAGMTERLLEMIGRMRSEVCQPDVFVYTALVKTMVRRGYMDGCIRVWKEMVKDGVEPDAMAYATMVGGLCKAGMVEEAAELFKEMRSKGLLVDRMVYASLIDGYVSIGRVGDGCRVLKEMIDAGYRADLEIYNTLISGLCGIGREDKAHKIFQIVLQEELTPSSDTVSPLLACYAEKGELVMFFGLVDKLAELDLPVVEFLVDFMELFAGKDGRELKAVEVFDALRGKHYCSVGIYNVVIKNLLKIQDRKKALLLFEEMQSSVHFKPDSCTYSHMIPCFVDVGNVEEACSCYNSMMKENWIPSMPAYCALVKGLCKIGEINAAISLVKDCLGNVENGPTEFKYALTILEACRSKSPEKVINVVEEIIEVGCSMEEIIYSSIIYGFCKYASSTEARQIFTIMRDRNILSEANFIVYEDMLNEHLKKVTADLVISGLKLFNLESKLKWRSRID >PVH63673 pep chromosome:PHallii_v3.1:2:6072346:6074392:-1 gene:PAHAL_2G082800 transcript:PVH63673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTPNHTQTVTGWAAMDEGSGKVEPFIFKRRENGVDDVTIKVQYCGMCHTDLHFIQNDWGITMYPVVPGHEITGVVTKVGANVSGFEVGDRVGVGCISASCLDCEHCRRSEENYCDKVTLTYNGVFWDGTVTYGGYSSVLVADKRFVVRIPDNLPLDAAAPLLCAGITVYSPMKRHGMLRSGGSLGVVGLGGLGHVAVKFGKAFGLRVAVISTSPAKEREARERLKADDFIVSTNQKQMQAMTRSLDYIIDTVSAKHSLGPILELLKGRGP >PAN10265 pep chromosome:PHallii_v3.1:2:6072950:6073980:-1 gene:PAHAL_2G082800 transcript:PAN10265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHTDLHFIQNDWGITMYPVVPGHEITGVVTKVGANVSGFEVGDRVGVGCISASCLDCEHCRRSEENYCDKVTLTYNGVFWDGTVTYGGYSSVLVADKRFVVRIPDNLPLDAAAPLLCAGITVYSPMKRHGMLRSGGSLGVVGLGGLGHVAVKFGKAFGLRVAVISTSPAKEREARERLKADDFIVSTNQKQMQAMTRSLDYIIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGLKETQEMLDLCGEHNITCDIELVSTDRINEALARMARNDVRYRFVINIGGNSSKL >PAN10266 pep chromosome:PHallii_v3.1:2:6072950:6074196:-1 gene:PAHAL_2G082800 transcript:PAN10266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTPNHTQTVTGWAAMDEGSGKVEPFIFKRRENGVDDVTIKVQYCGMCHTDLHFIQNDWGITMYPVVPGHEITGVVTKVGANVSGFEVGDRVGVGCISASCLDCEHCRRSEENYCDKVTLTYNGVFWDGTVTYGGYSSVLVADKRFVVRIPDNLPLDAAAPLLCAGITVYSPMKRHGMLRSGGSLGVVGLGGLGHVAVKFGKAFGLRVAVISTSPAKEREARERLKADDFIVSTNQKQMQAMTRSLDYIIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGLKETQEMLDLCGEHNITCDIELVSTDRINEALARMARNDVRYRFVINIGGNSSKL >PAN12192 pep chromosome:PHallii_v3.1:2:42275961:42277540:-1 gene:PAHAL_2G256800 transcript:PAN12192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWQSFCFGADVFCHACPSFVMDRKLRLIRHKSCIHGSCICRGLSTSWSPCPLVGGLERREQTFLGRASKQVCAFLCLDTRQVVALRHGGTVQFSIATCKSRPCPAGPKRGTLCPARLVARHTRRHVHAPAGRHMPISRWHAGPTSPHLPPATAVSFRFFPLRPHYDPSAPSPPAPLSLPPLSARLSRTCLPSPLPFPSLSLYLLARLLDGGCVRRPPQHWRRCSEARAPPTSRFLGSGSPGT >PVH64042 pep chromosome:PHallii_v3.1:2:23913576:23913978:-1 gene:PAHAL_2G172500 transcript:PVH64042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEATLIRFLYVMPMLTSLPFHVLMTTMNHNNEKLQQSFDFLGIRQVLIALGYI >PAN13546 pep chromosome:PHallii_v3.1:2:51743257:51746500:1 gene:PAHAL_2G401300 transcript:PAN13546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFLVIIFMVAHVLGCVATDTITSTTPLSGTWKLVSKGNKFELGFYSPTRGGNTSSNRNYSYYIAIWFSNIQEVTVVWTANTEDPVSDPSEASLSISTDGNLVLFDKTRNKQIWSTNASISSNSTVASILDSGSLVLTDAFDSSKVLWRSIDHPTNTWLAGGKLGLNKITGVSQRLTAWRSNDNPSPGLFSLVLDPKGTTAYLMQWNKSVSYWTSGPWNGEVFSLVPEMRSDYAGGFQFVNNANESYFTYGLPDDSIASRVIMDTDGQFKHLMWVNATQKWILFWSVPRTQCEVYAVCGPYGSCKLDALPFCSCIKGFSAKVQSEWDLGVYSGGCKRGVPLHCQTNLSSEKSEADKFHSMADVRLPDHAQAANAGSPENCQAACLNSCSCSAYTYNNSGCFVWHGDLVNLQEQYSGDGAGTLFLRLAASELPAVTKRRTATIGVVTGGAVAVLTILVIVLFILIQRCRGDSALQVFENTGDRLTNFRYSDLQHATNNFSVKLGGGAFGSVFKGQLPGGTTPIAVKRLDGLQQGDKQFRAEVITVGMINHVNLVRLLGFCAENSNRLLVYEYMEGGSLDSYLSRKDSNSTILDWKTRYNIALGIARGLAYLHDQCRQCIIHCDLKPENILLDANFQAKVADFGLAKLLGRDFSCVLTTMRGTMGYLAPEWFSGLPITSKIDVYSFGMTLLELISGRRNTTSSESDIGPFFPCWAATQVTDGNERFILDHRLGGVADMEELVRASRLACWCIQYEEHRPSMALVVKMMEGTIGYLATKWFSRLPNTSKIDVYSFGVMLFELISGRRNALLSEADIYRFLLSMLGSNPLMEMKITFWIID >PAN09428 pep chromosome:PHallii_v3.1:2:1601413:1602964:-1 gene:PAHAL_2G022700 transcript:PAN09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIADADIVRGTVILVAIVAFLAAVFLFACTRRRGACAGAVVRSTSASQSYAVVSDRQIRHATVERFLWEIRNERPFRFTPRQVARFTRNYSTRLGAGGFATVFRGALPNGLAVAVKVFHAGLGARAEQEQFMAEVGTIGRTHHINLVRLFGFCFDDALRALVYEYMEHGALDAYLLGGRGQDAGVAALCGIAVGIARGIRYLHEECQQKIVHYDIKPGNVLLDGELTPKVADFGLARLVNRADTHVSVSCVRGTPGFAAPEMWMMSGVTEKCDVYSFGMLLLEIVGRRRNFDDAAPESQQWFPKLAWAKYEAGEIMELVAARSGSNGSRSVPAVGDELQRKEMVERMCKVAFWCVQQPPEARPPMRAVVKMLEGEMEIAPPANPFLHLMAAPMVTDQWTRMTRSANSVPEISIEIA >PAN12307 pep chromosome:PHallii_v3.1:2:42338152:42341261:1 gene:PAHAL_2G258000 transcript:PAN12307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAIALTICALSALLAAARGSEKPATAAVAAVGVAAAGDLLRSGGHRYLDVRTEEEFRDGHVKDSLNVPYVFFTSQGREKNPQFIEQVAAHFDKQDNIVVGCKSGVRSELACFDLMAAGFENVNNMEGGYDAWVENDLAVKKPQAQDEL >PAN15391 pep chromosome:PHallii_v3.1:2:56695860:56708431:-1 gene:PAHAL_2G484600 transcript:PAN15391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVRRRQEDAAGRGGGVAQLRGGMDDDAELEEGEACGDDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAANVTSKSPFHQSFEGISQNPSAVAVPSIPQNNGPVVPFSGDSSKKETRPITKAERSSGHSDSHDSYGPSKSSDHNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSIEDSPDGCGSLSPEVNNVPHESPRTILQIMTCFSVPGGFLLSPLPANILQLTKKVVASSKKWESHVEIENVQETYEGHVAKKVKSDGKKKKLVDAKNSKSRNDISAVMKKEIDIETAAGQKIVSEALNIALLSDPRAMERKGENQLEEEPTESNLGGNKDARLKERAIKSDSMTIKVEPVKAEAAECLENSSFGSSEMDFSAAKGEVKPKTEKGEIKLEERNTTNDKNLILDRKQEKKIKPESKFNASNFEGSNAINERTPAVSRSMGKVSGKESLPYDTNGENNSKSEVKKMQREQKTNASTSSDFLEEEKHIHSSAAVKERKNDMQPKSSHTGKKPKAKSHRDARDNLPEGSYVGKEHDTLESESGLGEPRPKEKSWKNDIERDSDMPGTLRRETSSSVKHDKHAASEEQKMHIPPPATVSTTNAAPILPAPVVIEEHWVCCDICQRWRLLPYEMNPSSLPKKWKCSMLHWLPGMNRCEISEEETTNALNALYVMPMPANGIPNAGHPHVASAGLVTSSTSNLNGHAEQSRKRKNALSDGNFVAEGSHLLQASGHPVSNQHTPSKSKSYADGSQYPIERDSVSKLVDPIIEKKRSKSKHRSSYSDGGDLVERSKKHSKVKSKRDMDHDEYKASKKIRKEERNHFDRDRHPGCDLASGDVPDEARALPVKTATSKGSGERSDVSSSKQKNVSRNNRLESSKKATQEDVFIPEDENKEYFQQSDVQRSDLSSKKRIVKEWEESQYNSVAHVSKGATANTSSAIKEIYKDPNLKEAKLKSLKSEELLSVTDSKPGKIQHADQILSYDGGHMNNELVEDNTLFRGKRGPPELESNLCDQTLDLGEPAPSDVAYIQSAAVTSSSSKASGSQKKKHNTQATKTSPIESLSSSPLRNSNIDKVPHSRISGKDGSLNANSSTVPSMVKQLNAEVGIAGNDQRASEPVSVGSSRRKSDKDNGPVQLMQGHASDGIHFERGLNDDLQHESGRKDSNVKGSHIPRGSNHLHSGDRNNYHTDGSPMQPGKHTVDPKTSVLDTKGDSGVHEYKKSTNSLQDRNGSTHCPPDGNPLLGLPSGKEKSYLKSNKQDSQKPKPQMGSPPKESKFDSHSTPLKPNGSKLTPQIRQYNTENGGRHGKAIPSPAHTSSPARKDNTSAAYALKEARDLKHKANRLKEEGKELESTRLYFEAALKFLHVASLLEPPNFDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQAVVQTAPGESPSSSASDIDNLNNNGLSKGSSSKDANSPQVAGNNLLLAARNQPHLMRLLAYTNDVNGAFEATRKSQSAIASAAGKNENGIDGLSSVRTVLDFNFRSVNDLLRLVRISMESISC >PVH65402 pep chromosome:PHallii_v3.1:2:56695860:56708434:-1 gene:PAHAL_2G484600 transcript:PVH65402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVRRRQEDAAGRGGGVAQLRGGMDDDAELEEGEACGDDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAANVTSKSPFHQSFEGISQNPSAVAVPSIPQNNGPVVPFSGDSSKKETRPITKAERSSGHSDSHDSYGPSKSSDHNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSIEDSPDGCGSLSPEVNNVPHESPRTILQIMTCFSVPGGFLLSPLPANILQLTKKVVASSKKWESHVEIENVQETYEGHVAKKVKSDGKKKKLVDAKNSKSRNDISAVMKKEIDIETAAGQKIVSEALNIALLSDPRAMERKGENQLEEEPTESNLGGNKDARLKERAIKSDSMTIKVEPVKAEAAECLENSSFGSSEMDFSAAKGEVKPKTEKGEIKLEERNTTNDKNLILDRKQEKKIKPESKFNASNFEGSNAINERTPAVSRSMGKVSGKESLPYDTNGENNSKSEVKKMQREQKTNASTSSDFLEEEKHIHSSAAVKERKNDMQPKSSHTGKKPKAKSHRDARDNLPEGSYVGKEHDTLESESGLGEPRPKEKSWKNDIERDSDMPGTLRRETSSSVKHDKHAASEEQKMHIPPPATVSTTNAAPILPAPVVIEEHWVCCDICQRWRLLPYEMNPSSLPKKWKCSMLHWLPGMNRCEISEEETTNALNALYVMPMPANGIPNAGHPHVASAGLVTSSTSNLNGHAEQSRKRKNALSDGNFVAEGSHLLQASGHPVSNQHTPSKSKSYADGSQYPIERDSVSKLVDPIIEKKRSKSKHRSSYSDGGDLVERSKKHSKVKSKRDMDHDEYKASKKIRKEERNHFDRDRHPGCDLASGDVPDEARALPVKTATSKGSGERSDVSSSKQKNVSRNNRLESSKKATQEDVFIPEDENKEYFQQSDVQRSDLSSKKRIVKEWEESQYNSVAHVSKGATANTSSAIKEIYKDPNLKEAKLKSLKSEELLSVTDSKPGKIQHADQILSYDGGHMNNELVEDNTLFRGKRGPPELESNLCDQTLDLGEPAPSDVAYIQSAAVTSSSSKASGSQKKKHNTQATKTSPIESLSSSPLRNSNIDKVPHSRISGNDQRASEPVSVGSSRRKSDKDNGPVQLMQGHASDGIHFERGLNDDLQHESGRKDSNVKGSHIPRGSNHLHSGDRNNYHTDGSPMQPGKHTVDPKTSVLDTKGDSGVHEYKKSTNSLQDRNGSTHCPPDGNPLLGLPSGKEKSYLKSNKQDSQKPKPQMGSPPKESKFDSHSTPLKPNGSKLTPQIRQYNTENGGRHGKAIPSPAHTSSPARKDNTSAAYALKEARDLKHKANRLKEEGKELESTRLYFEAALKFLHVASLLEPPNFDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQAVVQTAPGESPSSSASDIDNLNNNGLSKGSSSKDANSPQVAGNNLLLAARNQPHLMRLLAYTNDVNGAFEATRKSQSAIASAAGKNENGIDGLSSVRTVLDFNFRSVNDLLRLVRISMESISC >PVH65436 pep chromosome:PHallii_v3.1:2:57347967:57352375:1 gene:PAHAL_2G493400 transcript:PVH65436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQSLKACQNWWTKYVQMTPPVSWKPQSSSGNFFQMNSTVIRIIRADVLPRFAEFLSRHGLPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLRSPNANIRHQAIWCLGNIAADLPSCRDILFDHGVVTPLLSQFRDDMKIPVLRTAMWALSNICFGKLPAEVQVKPILEIVSQLIHSADEKILADACWTVYYICGGVDDAIQDVLDAGVCPQLVNLLMHASASVLLPVIMALARISAGDDAQVQVLIENGILNCLAQMLARNYPKNIKKQACLIVSNITTGNKEQIQAVIDASIISPLVVLLKTSESDIKEEAAWALSNAASSASSEQIQYLVSRGCLEPLCSVLSCKDHDLVYTCLEGLENILQAGEAGKKGEESGTNPYAQFILECGGLDKLEDLQDVNSDRIYKLAMKLLQSYWEEEVSGMPHEDDPDVPGSNDSADTVETKPEDAAQPPEPASGADDAE >PAN15513 pep chromosome:PHallii_v3.1:2:57347967:57352375:1 gene:PAHAL_2G493400 transcript:PAN15513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAPRRPSDEARRGAYKPRVDFSRSRRRREDGLLALRRLDRDAGLFKRRRDETAPAVHASDTAPTSDEEAPPASNARPPPGSSLPPDPAAPRNAAESELEGLSELVDKVCSDDTTSQLEATVQFRKLLSDEKNSTVIRIIRADVLPRFAEFLSRHGLPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLRSPNANIRHQAIWCLGNIAADLPSCRDILFDHGVVTPLLSQFRDDMKIPVLRTAMWALSNICFGKLPAEVQVKPILEIVSQLIHSADEKILADACWTVYYICGGVDDAIQDVLDAGVCPQLVNLLMHASASVLLPVIMALARISAGDDAQVQVLIENGILNCLAQMLARNYPKNIKKQACLIVSNITTGNKEQIQAVIDASIISPLVVLLKTSESDIKEEAAWALSNAASSASSEQIQYLVSRGCLEPLCSVLSCKDHDLVYTCLEGLENILQAGEAGKKGEESGTNPYAQFILECGGLDKLEDLQDVNSDRIYKLAMKLLQSYWEEEVSGMPHEDDPDVPGSNDSADTVETKPEDAAQPPEPASGADDAE >PVH64461 pep chromosome:PHallii_v3.1:2:43626839:43627750:-1 gene:PAHAL_2G273600 transcript:PVH64461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPPSSCLLLGAPLALLVVVFVFPALHPPQLGSDGAGALCGRRATTTNVAAVTPPQDLEPELSLLIGVLTMPSRLERRDIVRMAYALQPPASRARVDVRFVFCNVTDPVDAALVALEIRRHGDILVLDCAENMNDGKTHAYLSSVPRLFASDPYDYVMKADDDTYLRVAALVEELRGKPRADLYLGRGFPVGDDPAPFMHGMGYVVSWDVAEWVSGNEDILRNNDTRGHEDRLLGKWLNAGGRGKNRYNLKPRMYDVHWDVDNFWPDTVAVHRLKDNRRWAAVFRYFNVTAGITPSNLYHLP >PVH64646 pep chromosome:PHallii_v3.1:2:46883942:46887091:1 gene:PAHAL_2G323000 transcript:PVH64646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGKTFVQDEEKQRLLLEPGGAHREALHGRRGGPGHHHRRLRRPHRALCARRGALRRQRILHARAHRGARGGRRRRHLHGARRVPGGEERGGPLQPRAAARAGGDRHRPRRRGRRDRGHPVVVRARPRGVRPVVTTLRNNPKAWLEFMMKFELGLEKPEPRRALVSAATIALSYVAGGLVPLLPYVFVPRAERAMAVSVAVTLAALLFFGFVKGRFTGDRPFLSVVQTTVVGALASAAAYAMARAVQSI >PAN13684 pep chromosome:PHallii_v3.1:2:49000930:49001727:-1 gene:PAHAL_2G357000 transcript:PAN13684 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MSRRRRGGSDSDGDDDSFLYRYPLPSADGSSSAPGGGGGKPRGGGSGGGGGSGGLAPSKSTVYVSNLDFALTNSDLHLLFSRFGRVARVTVLKDRDSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIAADNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPAPSKKSRRGGGGGGGGRGGGGVAWHSDDDEEAAATAFEDDRWASVVDTRGEEEKSAGKDAGKAKAARKEKRKGYFSDESDEEDD >PAN10349 pep chromosome:PHallii_v3.1:2:6414297:6417754:1 gene:PAHAL_2G088400 transcript:PAN10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSTCGLAWSSRSPRSTTLMRAGGGRCSTAASSSGSSCLTWTPATSGTSRPSPTPATSASASRHPRSGAAPTARPTRPTSTAGHYADASGEPVEAEDVVCVFERYAGDIAWRHTEATLQVTEVRPDVTLVVRMVVTVGNYDYILDWEFKTSGSIKIVVSLTGLLKTEATSYTHADQIKVDAHGTLLAEKTLGVFHDHFVTYHLDLDVDGATNSFVKNTIVPRRNSGDTAAGGGADTTRRSYWTVRREVAETEAEGQVDVNGGAPADLLFVNPGKRGRIGYKAGYRLIPAGATAASLLADDDYPQRRAAYTKKQVWVTPYNKSEKWASGLYAEQSTGDDSLASWSRRNRRIKEEDIVLWYTLGLHHVPYLEDFPVMPTLSGAFELRPSNFFERNPLLRTKPPSNYDYPNCSFGAASM >PAN09451 pep chromosome:PHallii_v3.1:2:1765844:1768960:1 gene:PAHAL_2G024600 transcript:PAN09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHTGRDMWDAEECQSPRMGSVILGVDGGASNTVCVCIPAAMPFNDPLPVLSRTVAGCSNHNSVGEDRARETLERVMSQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSGYGISAQAMTAVVRAYDGRGPETVLTNNILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAESGDVVANKILHNSVGELASSVKAVVQRLELGGEDGKYPFPLVMVGKVLEANKRWDIGKEVIDCVTKHYPGAYPIHPKVEPAVGAALLAWNAIASELDGGIRNVQ >PVH63423 pep chromosome:PHallii_v3.1:2:1765844:1769015:1 gene:PAHAL_2G024600 transcript:PVH63423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHTGRDMWDAEECQSPRMGSVILGVDGGASNTVCVCIPAAMPFNDPLPVLSRTVAGCSNHNSVGEDRARETLERVMSQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSGYGISAQAMTAVVRAYDGRGPETVLTNNILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAESGDVVANKILHNSVGELASSVKAVVQRLELGGEGNTTILSDYLPGYHNFSHLLLMQTTKQ >PAN10913 pep chromosome:PHallii_v3.1:2:10754806:10759763:-1 gene:PAHAL_2G124000 transcript:PAN10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLQKVGNLVKRSTGASSPLYQAVRCMSSSKLFIGGISYGTDEHSLRDAFASYGQVIEARIIMDRETGRSRGFGFVTYTSTEEAAAAITGMDGKDLQGRIVRVSYAHDRGSRPGGGGYGGGGYGGGGYGGGGYGDGSYGGSGGYSGGGYGRGGGGGYSGGGGYGGGSYGGSGGYGGGGYNNDGNAGTGYNTSGSYGVSQGGQGGYGVDAGYTGGTGGYNATPGNYGGDSFNQGGGTPSAYGGGNYGASNDSYADNASNNAAVGKLDDLLSDLKVDGAGEAEGEVEASEGQDDFTQDDLKDEDEPDKANKSS >PVH63629 pep chromosome:PHallii_v3.1:2:5405412:5405771:-1 gene:PAHAL_2G072600 transcript:PVH63629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICRLVFAPSGIFKTIGSIEPCKTHHKKGCILEQKYFTLFFCCKCIIMAAAKGKGWLLISSFSLWWSDDAFRSKSLFMSSFIKLITNCILTISSDFNFLTCIYFLALIFNAGRYYLWY >PAN10941 pep chromosome:PHallii_v3.1:2:11260199:11264271:-1 gene:PAHAL_2G127600 transcript:PAN10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDDAAVLSDVDEDPLPPPSSASAHKTLPQAQPQPQPDAQAQQQRLLDLAAELEEERRLRRAAEGSLADTENRFARLKAFAQDALRKRDELTAEAAASARSVAALQAESAASARSLAALQAESAASARSLAALQAEAATASSMLSSGFERISAKASPSSAPAPLPTSQKYSSGLPALAYGVLKRANDIVDDLLAQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSTECESLSKFLSQREAEISELRDKILSLEGKLDAQRPVLAEQIGCASKLYDEIREVVKLVDADAASTLSDSVFVWKETDVEESLKVSLEGTKLAYELAAMALEKVGACIDDKESKLRGLEDRVDELIKEKEHIGVLLRSALQATTSEVLKVAEDGLREAGIEIGLDERKEHRPGSVEKDEVCTLAGALENTMKESQVKIVELEHLVQALRAESGLLRTRLEGQEKEIVQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEKEAEAGRSVEQEFQIQISSLRKELDEAKQAMVELENKLKFKEETAAAAMAARDAAEKSLKLADTRSSRLRERLEELNRQLEESDSRTDSVSRNGHRYMCWPWQWLGLNYVRLPPAETDQTSNEMELSEPLII >PAN14176 pep chromosome:PHallii_v3.1:2:50976153:50979747:1 gene:PAHAL_2G389000 transcript:PAN14176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTTTPLLQPAVRASPAHGGGSRELEAILSDASSPWARRSWRGAGVELPLLLRVALPAVAVYMVNYVMSMSTQIFCGQLGNLELAAASLGNTGIQTFAYGLMLGMGSAVETLCGQAYGAHKHSMLGVYLQRSTVLLMATGVPLAVLYAFSERVLVLLGEPERIAAAAAAFVYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISTATLALHLALSWVAVYQLGLGLLGASLVLSLSWWVIVAAQFAYIVASPRCRETWTGFTAQAFSGLGSFFKLSAASAVMLCLETWYFQVLVLIAGLLKNPEISLDSLSICMTVNGWVFMISVGFNAAASVRVGNELGAGNPRAAAFSVVVVTSLSLFVAAACAVLVLCIRDRLSYLFTGGEAVARAVSDLCPLLAVTLVLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPLGVFLGFYLDLGAKGVWSGMVIGGTLMQTLILLWVTFRTDWDKEVEKAKARLDKWEDKQPPLLED >PVH65316 pep chromosome:PHallii_v3.1:2:55260855:55261608:-1 gene:PAHAL_2G461500 transcript:PVH65316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDWRGPGVCPPGRGRRPGPASHYFSVRLLVFYFIYFVLFPFILFYEETAPDHECQFDGVLFMIWGRYNLFLC >PVH64148 pep chromosome:PHallii_v3.1:2:33472497:33474476:-1 gene:PAHAL_2G202800 transcript:PVH64148 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSRP2 [Source:Projected from Arabidopsis thaliana (AT3G52150) UniProtKB/TrEMBL;Acc:A0A178VFQ5] MATAISSLITPPALYLRCRSTASASVSVSARLSFRAVAAPQARGLGLALRVAASSAVLEAPAEVAARKLYVGNIPRTVTNDELRDMFAAHGTVERAEVMYDKYTNRSRRFGFVTMSTAEEANAAIEALNGTEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKSVTTEVLKNFFSEKGQILSATVSHIPGTSKSKGFGFVTFSSEEEVEAAVATFNNAELEGQPIRVNRA >PAN11192 pep chromosome:PHallii_v3.1:2:33472075:33474476:-1 gene:PAHAL_2G202800 transcript:PAN11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSRP2 [Source:Projected from Arabidopsis thaliana (AT3G52150) UniProtKB/TrEMBL;Acc:A0A178VFQ5] MATAISSLITPPALYLRCRSTASASVSVSARLSFRAVAAPQARGLGLALRVAASSAVLEAPAEVAARKLYVGNIPRTVTNDELRDMFAAHGTVERAEVMYDKYTNRSRRFGFVTMSTAEEANAAIEALNGTEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKSVTTEVLKNFFSEKGQILSATVSHIPGTSKSKGFGFVTFSSEEEVEAAVATFNNAELEGQPIRVNRA >PAN14306 pep chromosome:PHallii_v3.1:2:51649567:51653345:-1 gene:PAHAL_2G399300 transcript:PAN14306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAARVPVLALLVAVAAAPLAAAQPWAVCDGQGGNYSAGSAYANSTLRLISVLRANASNSPALFASGSAGAGADAVYGLVLCRGDLSASDCFDCGTRAGQDVQRVCNRTRDAALVYNQCYVRVAGADFLASPNNTGMVPLISGTSIPSGVDVAAYDAAVTRLLNATARYAVDSSPPSPSPRFYFATGQLVGLDPRVPNIWSMAQCAGDLSPAQCRGCLGDLVATWWNGSGFEPNGEGARLAGSRCNLRSELGDKFYTGAPMVKLQMNGDAAAPAPAPSTVAVPGTTGGKINSAGKLLGIILPIVFIAVVAATTLYVWNVSKKRRSRGTKLPRRTPTAEDFESIKSTLLSLESLQVATNNFDESNKLGQGGFGAVYKGDLSGQEVAVKRLSKGSDQGLEELRNELVLVAKLHHKNLVRLEGFCLEEGEKLLVYEYMPNKSLDTILFDPEEKRRLDWRKRFNIIEGVARGLQYLHEDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIVTGQRNAGSYFYDQNEDIISIVWRHWSEGAIAEMVDDSLGRNYSETEVLKCVNIGLLCLQQNPVDRPAMSDVVVMLDGDATSSLPPAARPTFFLDPSLVYSNASVTVSYPSAR >PAN14490 pep chromosome:PHallii_v3.1:2:52441107:52443880:-1 gene:PAHAL_2G413300 transcript:PAN14490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to NAC domain protein [Source: Projected from Oryza sativa (Os07g0566500)] MRSMESTDSSSGSAPPRRQQQQQPKQPGSAPDLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPDKATFGEHEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILASNGSREKVGVKKALVFYRGKPPKGLKTNWIMHEYRLADAAGSTTAHRPPPGAGGGGGKATSLRLDDWVLCRIYKKTNKLGVGDHQRSLECEDSVEDAVVAAYHTHAAPAVAAAGGGGAHGGNYTSLLHHHSGHEDHFLDGLLTAEDAGGLSAGASSLSHLAAAARAAPSATKQLLVPSSTPFNWLDASALAILPPTKRFHGYSGRDTTTDGGMSLSSPSERNHLAAVGAGAVDNGASGGASAIPTFLNPLGVQAAATYHHHAILGTPVPPEAAAAAAACTFQHPYQLSGVNWNP >PAN11705 pep chromosome:PHallii_v3.1:2:34641880:34645759:-1 gene:PAHAL_2G207800 transcript:PAN11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPPPRADLMPPPPPPPPVPPMTERRPRRRAREVSSRYLSTPVPATPRLSTASSTRSRSPTPSPRGRQRVATPFANENHPPPPPPPTGTVARRRAVQKLFEETGACNPRASVGSNSSGAAAATPRPLPRSASGPAAPTARRGYPRLPTPARAGSYPSAASAAADSDAASCCSSSDTASTATDFSEAEGGLGVAPAAPCESPPLLGPASCRGGRLSSELRSSVPESGGSARASNPLCYRSLNSALSISTATTGKLTTAARPPQPQGAKAAELKKAAIMGGRKVAGKQEDVHQLRLLDNRYLQHRFLNARAEAAAKAKAAAAEKSLYGLAERLMGLRESVAEKRAEVDTMRREKRLFSVVNVQVPYLDQWTDIEGEHSSCLRGVTTALHNASLRLPIIGNVKANCEEITDVLTSAAQLLEPLSPCIGNFLPKVEEIDDVAQNLAQVIATERTFIEECGNLLYQAHNLQMREYSLRSQLMQLKQTEAT >PVH64240 pep chromosome:PHallii_v3.1:2:37452965:37453966:-1 gene:PAHAL_2G220900 transcript:PVH64240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHAHTNALHWEGFPHLLWESLQMFGYTESPPYDGVEYNEAGVPRCKVKMTIPLHPTLSLWQPIEVNVIGHRLADTFEAAAMEAIHIFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRVTYCDHLLGSLAGETLHTAVRFMNAQYRYQTLQQHGIYRLTNIAQGYRNQVGRQNTQIEELQVTVTAKEEVITQREETIQHREEQIIESDALIVQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPVQPIVDEFESEEEEEDPEEVEGVFEIDSEHGDPLLSPHHSSSGSQSSVGNLDDL >PAN10505 pep chromosome:PHallii_v3.1:2:7513870:7517407:1 gene:PAHAL_2G097300 transcript:PAN10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPAASADACIAAPGGVDGRSGYCEATRTFRSLRPPVPLPPKDAPLSFTAFAFSLLPSPPPAHPALLDAATGEAVSFPALRSQVRALAGALRSRLGLRRGDVAFVLAPARLDVPVLYLALLSIGAVVSPANPALTAAEVARLVALSEASVAFAVSSTAAKLPAGLPTVLLDSDQFRSFLRSEGRGEKTVAVRQSETAAIQYSSGTTGRVKAAALSHRNFIAMAAGSHALAPKPRHGRRDSRMLLGAPMFHSLGFYFALKAVALGQTTVLVTDAVARRGVRGVVEAAERWAVTEMTASPPVVMAMAKEPCILEALERVVCGGAPLPTTAAERFRRRFPNVDLCMGYGSTEAGGISLMIGPEECNRVGSAGRVSENVEVKVMDHVTGEPLAVGQKGELLVRGPAVMTGYVGDDEANASAFDSQGWLKTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELILQTLPGVVDAAVMAYPHEEAGQIPVALVVRQPGSKVTEAQIMDHVAKRVAPYKKIRKVLFVDSIPKSPAGKILRRQLANYVQYSAVSRL >PAN09157 pep chromosome:PHallii_v3.1:2:41336:45871:1 gene:PAHAL_2G000500 transcript:PAN09157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVVPRPPPSLPTRAFPSSSSSSSSSRRYPLRLRSMPRPLVCAAASSSVWMPDPDLEPEPAHDDQPAPESRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHQAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRNKEMRSRLTNGEPEKPLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFIGDGPFRAELEQMFSGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVGARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLSCEELREAMGRAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPVQWVVRRLLRPTMPGAGNTVAKQS >PVH63434 pep chromosome:PHallii_v3.1:2:2035454:2035751:1 gene:PAHAL_2G028700 transcript:PVH63434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEKEIIVTWSRASSILPAMVGHTIAIHNGKEHLPIYITDRILVFS >PAN09462 pep chromosome:PHallii_v3.1:2:1788058:1790205:-1 gene:PAHAL_2G025000 transcript:PAN09462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIAEKLANGCNHPMTLYCKSFFTLLFVVNLYIFPSSNIGLCIIYFVHPLINFFQTVAAHPVTRTPLLAARIPLYLYPFLNTTSKAKSYEYLRLASLNVIDALVKVDDTEAVNFLVTSQVIPLCLRIMETDSELPKLVATSIVKRIMLDEFGLQYICATADRFFQAAMALATMVNALAEQPSARLLKHVVRCYLRLTDNPRARAALQICLPKPLKDGAFDNCLQDDPATRCCLQQLLDNLGAPAGGGAPRPGAGGSAAAGGGARHPGPGPAARGSRGGGSSSQAGPSRAPWM >PAN09463 pep chromosome:PHallii_v3.1:2:1787807:1791159:-1 gene:PAHAL_2G025000 transcript:PAN09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPSYARKRGMFKDLAPMLWYSFGTMAALLQEVVLVYPTLSSPTLSANASSRVCNALGLLQTVAAHPVTRTPLLAARIPLYLYPFLNTTSKAKSYEYLRLASLNVIDALVKVDDTEAVNFLVTSQVIPLCLRIMETDSELPKLVATSIVKRIMLDEFGLQYICATADRFFQAAMALATMVNALAEQPSARLLKHVVRCYLRLTDNPRARAALQICLPKPLKDGAFDNCLQDDPATRCCLQQLLDNLGAPAGGGAPRPGAGGSAAAGGGARHPGPGPAARGSRGGGSSSQAGPSRAPWM >PAN09997 pep chromosome:PHallii_v3.1:2:4722017:4724128:1 gene:PAHAL_2G064100 transcript:PAN09997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHIFNMLMINIVCFSTAYCVVAVESVGRQIPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCGSK >PAN09996 pep chromosome:PHallii_v3.1:2:4720783:4723961:1 gene:PAHAL_2G064100 transcript:PAN09996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCGSK >PAN11897 pep chromosome:PHallii_v3.1:2:38454658:38459094:-1 gene:PAHAL_2G226200 transcript:PAN11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKANETEAVETAKEWTRVYASGA >PAN10962 pep chromosome:PHallii_v3.1:2:11440514:11442643:1 gene:PAHAL_2G129200 transcript:PAN10962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAPAPALLDAFFAGPRHHQLRPAAGAVAGCRSARLQAQPCRAAARFARADWQAACAILASNSGGSGSGSTSPDAASDGRQPAPRVNGQKPPPAPAPALEAAPAPAELDLVPVSNLPRPLSISDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAGAAEHVAAGGLRDTAAIASARAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDADGTSILFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWEAAPSSWSSRVDNSSSSRQH >PVH65448 pep chromosome:PHallii_v3.1:2:57481662:57483198:-1 gene:PAHAL_2G496100 transcript:PVH65448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKFVWAAGFAALAVVVALSLGVTTGIAEARTLDKDGLLGGGGGARGGFGAGLGHGGGGIGHGAGLGGGFGGGAGHGGGLGGGFGGGKGGGFGGGGGAGGGAGGGAGGGGGLGGGIGGGAGGGGGFGGGAGGGVGGGGGAGGGFGGGAGGGAGGGVGLGGGAGSGLGGGVGGGAGGGFGGGVGGGSGGGGLGGGAGGGAGGGGGLGGGVGGGRGLGGGAGSGLGGGAGGGAGGGLGGGAGGGAGGGGGAGGGVGGGGGGLGGGAGGGGGLGGGVGGGAGGGAGGGLGGGTGGGLGAGGGFGGGKGGGFGGGKGGGFGGGLGGGGGAGFGGGKGGGFGGGVGGGAGGGFGGGKGGGFGVGLGGGAGRGFGSGGGAGSGFGAGAGGGGGFGGGAGGGH >PAN12929 pep chromosome:PHallii_v3.1:2:45634897:45637471:-1 gene:PAHAL_2G302000 transcript:PAN12929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDLDCPVQTQMAVAVLDRSFSSGYPGGSRTEGRQPSWKRVFVQTDNGSVLGIELERGENVQAVKKKLQIALNVPTDESSLTFGDLVLSNDLSSIRNDSPLLLKRNQIHRSNSTPCLSPTGKDVWKRDRSGPIEILGCSSPSSRMKQLAKDVIKAIRNGVDPVAVNSGMGGAYYFKNIWGERVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTMLVMITHTVFNVNDCVGCKSKVFCNKSEAVSKIASLQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGADNFGEQTELIPIDHGLCLPECLEDPYFEWIHWPQASVPFSEEELDYIARLDPVKDAEMLRMELPMIREACIRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVEERELFLPDEAGVEDDDDDFTQFPLDSEDDSDDAFELPAFSKFRSMKTSSRNPLSKLDECDEEDEEEDEDDAYTTGEDAYTLTSQLPQKISSMSKLSSSMKGLGFVGKSKSYHTGAPKGKVGKTNYRGKASEHQSGSRSANELLPPSASFVKVSDMGPREWSAFLNMFQELLPSAFRARKHTAGGGPRQMPRLGTSCQF >PAN13754 pep chromosome:PHallii_v3.1:2:49148822:49153009:1 gene:PAHAL_2G359800 transcript:PAN13754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSMAPAPVKGSLQGPAAGCPTTFLSARKPRSASFVRFPRNHPLQQQQHGGVFPSLAWSAGGDRSGRRLKAQEPDQVLRSCRPLFSDEEFADPQAPTSYVNVLNTLRLRHPSSREKKLMREEDERCKMALQQCARSFVDGIIQLVSSKKEYYKFIIIACKENTNKIMELGFISCKNRDDILNGLERIEDDIAKGRFMWRENKDVRSNIVEALVERVGGPARNLDATISQCAQKLTILRLWFHDSADTIVTQIEQLQVELVLLALRNWGFVVPLLARRTDWILLGELILSKVELVH >PAN13755 pep chromosome:PHallii_v3.1:2:49149228:49152057:1 gene:PAHAL_2G359800 transcript:PAN13755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSMAPAPVKGSLQGPAAGCPTTFLSARKPRSASFVRFPRNHPLQQQQHGGVFPSLAWSAGGDRSGRRLKAQEPDQVLRSCRPLFSDEEFADPQAPTSYVNVLNTLRLRHPSSREKKLMREEDERCKMALQQCARSFVDGIIQLVSSKKEYYKFIIIACKENTNKIMELGFISCKNRDDILNGLERIEDDIAKGRFMWRENKDVRSNIVEALVERVGGPARNLDATISQCAQKLTILRLWFHDSADTIVTQIEQLQMGRIVGWPIECPQGQPFAMLQTSRLSLFC >PVH63359 pep chromosome:PHallii_v3.1:2:837336:841044:-1 gene:PAHAL_2G012300 transcript:PVH63359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGASGSGPNSPAQAGGGVSRRQPRAGADPLLIVCRCFGVVTAATAFLCVAVNVLSAVLSFRGGTDIFGGIFRCYAVVFAIFVGVLETEWEFIIKFWKIFEYLPARGMLQIFVAVMTKAYPNVERNDLILLQEIASYMLLACGAVYTISGILCLGVLKRRKQQKATSQEQAVKDLKELEKRREELESLLIAERSQSA >PAN09315 pep chromosome:PHallii_v3.1:2:837654:841044:-1 gene:PAHAL_2G012300 transcript:PAN09315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGASGSGPNSPAQAGGGVSRRQPRAGADPLLIVCRCFGVVTAATAFLCVAVNVLSAVLSFRGGTDIFGGIFRCYAVVFAIFVGVLETEWEFIIKFWKIFEYLPARGMLQIFVAVMTKAYPNVERNDLILLQEIASYMLLACGAVYTISGILCLGVLKRRKQQKATSQEQAVKDLKELEKRREELESLLIAERSQSA >PAN12588 pep chromosome:PHallii_v3.1:2:43816971:43828077:1 gene:PAHAL_2G276000 transcript:PAN12588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTPSRGSNARRSGHRRIADYLADDQTTTATTTDASDNESYTTAYGEEFFAAAAAGGGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTSAALYGAASLPAPPPAGFGPSPSPSPRHHAPSEGGGAGRLSRCSSTSSRIRRKFAWLRSPSPAPSPRLPTPAELQREAAMAARERRREQAQLNRSRAGARRALKGLRFISRTTGSVEAAELWRRVEERFNGLAREGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDTYMNYSRPLSTASGAQWSQNLGVGGTLTVTGGGGADGGACGGGDGDQAAGAPRERRRRSWGVRRAAARVRVAAEENWRRAWVLALWFAAMAALFVWKFVQYRRTPAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRVIAASPEEYALVAGAFGLGKPTYAGLLSGAEGVTGVAMVVLMTVSFTLATHPFRKGEPKGAGGGAAAVTSRLPAPLNRLTGFNAFWYSHHLLGIVYALLLVHGYFLFLVRRWYEKTTWMYISVPLVLYVGERMLRALRSNAYTVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPMISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREAGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPMLAKELKTLAHEMSHKTGTRFHFHKEYF >PVH63328 pep chromosome:PHallii_v3.1:2:372098:375037:1 gene:PAHAL_2G005300 transcript:PVH63328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKASASKNAAEEDEVEPKKIRSSRSNRRQGHCNRSSFDSESPPHKRSKKHSKRITNKKSKRSKISSSSSRRHRRSHSPSRSLSSSSSPSSITRHSYSTCSSSASERSVSPPPRSRSRDARKKKGRGRDRERDCKRRKARRSASSSSISASSGSSRSRSRSKSKHRKRKTVAGTTRDKIEIDYNNRHDSQSEKHMAEDDDTDENKLSIAKKREHDIDSYKKNLESGSPPPKNANETQEMAPAGGGNSDAEDLELILRQKALENFRKFRAAAVVAGKTGTNGATGKEALIEDPQSAGTEIAGARSSAVTHFQKQGSSLVMKNPARSPRSKDFGNGTSHSWKQEGSAGMSSGAASPGILEDGDTGGATQQKGRTEEATRSNCQFRSPQDGRNSHSVMQRLGSTPGSCDSVNQRLGSSAGVSHVNGAPRVRSVVSIPAREGLDGNTYTTPPRPSENSAPVESTSDVGRPLTDINKAERANGDDRKTSEASASNGSILPPAEGKSQARTEDKDGAQFQKKTFSRMHDGETVEVRNRSSLLLQVSYKVYIPKKAPALARRKLQR >PVH64386 pep chromosome:PHallii_v3.1:2:41998812:42003991:-1 gene:PAHAL_2G254900 transcript:PVH64386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNHTPKSLAVLLRARMHPDAIPSPRPQLSPTPPLTDPDPAAPPAASVRHWLHAAASAASPPPSTLDSFSDGYRSLDRVGRREVLRSLATDYDVPRARVRDLMRQYMSVASAAAAGGDGAEAEEGKEGAAAALYRMERGLREALRPRYAGFLEAMNAQPGGLKLLAVLRADLLALLGEENAPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALHKDMAASIQEVLWDEPPTPESEATCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLRAKLASQIKLAETESQEGNSLEGASSTFRESILLPDEEKMIHDAIEQAHGKQGIELLQDTLKTTQWVKSEKLSAALKSPLMRLCARYLAREKIRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYLYRLENIEEYALSYSGKGLVHSSPSLSQYLEPKDT >PAN14348 pep chromosome:PHallii_v3.1:2:51813604:51817295:-1 gene:PAHAL_2G402300 transcript:PAN14348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASLLPRNFLPHRLRRLAPAGCTSSPAASASAPSSRYDFEPLLAYLSSPSVAASLTSSSPPSSVPVPERHLAASYSAVPSHEWHALLRELAASDASLPVAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLTDPPSPLLLNSLLAASAAASRPAVALRLLALLREHDFLPDLASYSHLLASLLNTKDPPDASLLERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGAAGRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSQCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGDWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAMGLFEEMRESNCPPGTTTYNIMINLLGEQERWEGVEAMLSEMKEQGLVPNIITYTTLVDVYGRSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSILVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >PAN15140 pep chromosome:PHallii_v3.1:2:55561154:55564669:1 gene:PAHAL_2G467800 transcript:PAN15140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYSLKSSKGAPILPRSVFVFFVALCGFYVCYLSFNQITLENRREGYNGEEQRANICRKPSVPYEELRYVHFPKPTSYSRGECSCTPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLNKLYNLDWLTSAAKNECTAAFGLKWMLNQGILENHDDIVSYLNKKGVSVIFLFRRNTLRRVISVLANDYDKDAKQLNGTHKSHVHSKEEAEILAKFKPKLDTWTLIANIRNIEKVIKDCLDHFKSTRHMILYYEDIIGNSNALSQVQEFLRVPVRPLMSRQVKIHTRPLPDLVKNWEDVSSKLNGTEFAHFLDGSDYGK >PVH64367 pep chromosome:PHallii_v3.1:2:41607865:41611669:-1 gene:PAHAL_2G250600 transcript:PVH64367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRNMISSAVPFRAAGEGGAQVFLFGGGGGFLRGAPVVGAAGAADGWRRKRPFQLTAHEELQLQLELGDDELAGFDYELHGGPQERTKRRLTAEQVRELELSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKAKQLEQDFDRLRAAHDELLAGRDALLADNDRLRSQVITLTDKLQAKESSVPASALQAAAYAPFEQDQLCTETAITGGAAPAAGYTGGAGDSPESYLASARSPPSSSEDDCGGGDGDGGAFFLPDPDALLAAAAEEDGAQLSNWAWLWNEQQY >PAN10597 pep chromosome:PHallii_v3.1:2:8194249:8195653:-1 gene:PAHAL_2G104300 transcript:PAN10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSAAVDAVVALFSLTMAVAAPLFDSQVVLPANLYPAPLVGIFRWFVAEFGHYVVADPPPFFRGLVWIDLAFLWPVSVANLYGILARRRWSAATSLMAGVHMLTYLSAMFGEMLGSGRATRKLLQLYALFVVFAIASVLRGLCWCSTQATPAGPSPAHPARKKRV >PVH63988 pep chromosome:PHallii_v3.1:2:18102538:18103269:-1 gene:PAHAL_2G157800 transcript:PVH63988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMKPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFSPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKLTQELEGQRTRAAGAEYSLAALQAQMQEYKNRNGIGGWIEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN14825 pep chromosome:PHallii_v3.1:2:54454393:54458244:1 gene:PAHAL_2G447000 transcript:PAN14825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEMMAYSSLSKIDTSQNQRAALSNGVAGNNYPAQDYLYEPSFEPDFPEYDSRDNPFAPTRASPKVDLKTVLGGLVSIVMGANKSEDDASQQQSFTTDISFLGSDKNGDIDVHPSVCVPSAPPLLEASALQYSAYREVLLADPPEWLPDSSAKACLQCNLPFTALTRGRHHCRFCGGIFCKNCSKGRCLLPMKFRIRDPQRVCDACYERLDPLQGLLINYNSNSMQPAKHDVMDWTSTRSWLNMPVGVSMEYEIYKATNTMKKYCQVARLNPEKSIPSSILKGAKGLAILTVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDRKAVKAFSSRIHLSLGAGLSAAAGPIGRAFEADVRASEKGSGICYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTTDILFGRVGRPRAAQPLYSALDDLFSRMVC >PAN15026 pep chromosome:PHallii_v3.1:2:55103804:55106839:1 gene:PAHAL_2G458900 transcript:PAN15026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLFSCPVEEEDVPAEATGLPAPGCGNAGEPAMLKASLGSGKLRFEGSLSFKREQQGPSALLQVETKISIASPRATVVAPVPMPRELARTRFADAAAAAGACAVPESPKHESAAVTVQKVYKSFRTRRRLADCAVLVEQSWWELLDFALLRRSSVSFFDIERQESAVSKWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLQSESKEPFFYWLDIGEGREINLDRCPRSKLLSQCIKYLGPKEREDYEVVIEDGKFMFKNSRQILNTSGGSRDAKWIFVLSTSKSLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGVLKAIWPHSGHYRPTEENFQEFQSFLKDKSVDLTDVKMSPDEDDEEFWSRLKSIPSDCCAAADKPEEDETVAAQDTSPRQEPLVTTEATTPEEVSVSEHEQTRTNLKPIAIVTRQDSSEDAAENAETSTTSDSASFEDPQEENHDDDDKTAVPREKILQRISSKKETKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALEQVHLSPRSGGAGAGRAASSRFASPQRSSSPMARGRCEQLTLGSGGGRASSSRFASPQRSSSPMARGRCEPLTPREAFRTHLMARG >PAN13744 pep chromosome:PHallii_v3.1:2:49284494:49289564:1 gene:PAHAL_2G362200 transcript:PAN13744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSLKKKRASGGGGGKSGEHGGKPASLERSGSKVLDGDETIFTDMAQEHKEEGNKLFQRRDYDRALLNYDKAIKLLPRAHPDVAYLHSNIAACYMQMSPPDYYRAINECNVALEASPKYTKALLKRARCFEALGRLDLACRDVNKVLALEPNNLTALDVADRIKKAMEEKGIVLDDKEVMPTPEEVVAAAPKQKPRKKRGGRKAAAKAAAAAVEEVEEQKIAEAVKEEEVEEPPRQVKLVFGEDIRWAQVPVSCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEQLAEPGSSLRLYVTEANPEHEPYIDDSNSGALERNVNSASENGSIRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTEEAQEVFQLAERNFQEMAALAFFHLGNVQMSRARKRLLLSGDAPRELVLGQVKEAYEWAREEYNKAGKTYEEAVKAKPDFFEGFLALAHQQFEQAKLSWYYAVGSNVDMETCSTEILELFNKAEDNIEKGIEMWEEMEEQRLKNRSKPSQENVVLEKMGLEEYIKDVSTDDAAEQASSLRSQINILWGMLLYERSVVEFKLGLPTWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLVFKIEEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >PAN15027 pep chromosome:PHallii_v3.1:2:55108074:55111446:-1 gene:PAHAL_2G459000 transcript:PAN15027 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEVEGEARPFRLFSGRAKPKPKLKPEPEVRTQPEPRSPDPAAVETDHADADPAPTIVTETGQADDAEAAAGAAAATFADLGLSQWLVDACSALGMKRPTAVQRRCIPRALAGEDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGIRCLAAIGGFESLAQAKGLARRPHIVVATPGRIATLVKDDPDLAKVFARTKFLVLDEADRVLDVNFEEELRVIFGCLPKKRQTFLFSATMSDNLRSLLELSGNKSYFFEAYEGFKTVETLKQQYIHVPDDGKELHLTYLLSKIKDKEDPIHKMGDPIRSAIVFVSRCSTCFFLDLLLEELGHPAVSLHSHKSQAQRLLALNRFKSGQVPVLLATDVGSRGLDIQTVDLVINYDLPWNPRDYVHRVGRTARASRGGLAISFVTQKDICLLHEIEDIVEKQLEAYECSDKEVTKNITKVFKAMRLATMKMDDEGHGEKVQARKEQKKRDLARKRKHEG >PVH65306 pep chromosome:PHallii_v3.1:2:55107725:55111795:-1 gene:PAHAL_2G459000 transcript:PVH65306 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEVEGEARPFRLFSGRAKPKPKLKPEPEVRTQPEPRSPDPAAVETDHADADPAPTIVTETGQADDAEAAAGAAAATFADLGLSQWLVDACSALGMKRPTAVQRRCIPRALAGEDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGIRCLAAIGGFESLAQAKGLARRPHIVVATPGRIATLVKDDPDLAKVFARTKFLVLDEADRVLDVNFEEELRVIFGCLPKKRQTFLFSATMSDNLRSLLELSGNKSYFFEAYEGFKTVETLKQQYIHVPDDGKELHLTYLLSKIKDKEDPIHKMGDPIRSAIVFVSRCRFPYCSQLMWAAVDWISKQLILSSTMTFHGIHAITSIGLDELQELQGEGLL >PAN11090 pep chromosome:PHallii_v3.1:2:13344957:13346512:1 gene:PAHAL_2G141000 transcript:PAN11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFIAAAAVMAAALVGMASAAVYNVGEPTGSWDLRTNYRDWAASKRFHPGDQIVFRYTPQAHDVVEVSKADYDSCSTARPIATHTSGNDAITLTSIGTRYFICGITGHCDGGMKLQVDVVPGATTSLAPTGAPGANAPVSPQTPSTPASAATKATATGFALAAVMLAAGLMA >PAN12638 pep chromosome:PHallii_v3.1:2:44084338:44088070:-1 gene:PAHAL_2G280000 transcript:PAN12638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPWAPFLGVVLATVMLLKAVLGRRSPRAYNLPPGPKPWPIIGNLDLMGPLPHRSIHELSRKYGPLMQLRFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRDLHAAAPGSGRAVMLKDYLSTVSLNVITRMVLGKKYLDKEVVAGGSSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHNQRRLREGKSFVANDMVDVLLQIADDPTLEVELDRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVIAKATEELDRVIGRGRWVTEKDIPQLPYVDAIVKETMRLHPVAPLLVPRLAREDATVAGYDIPAGTRVLVSVWSIGRDPALWESPEAFMPERFLGSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFTWSLPNGMTKEELNMEEIFGLSTPRKFPLEAVVKPKLPAHLYAEA >PVH64090 pep chromosome:PHallii_v3.1:2:26809513:26810016:1 gene:PAHAL_2G181800 transcript:PVH64090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGGGCRGGGRRGGFGGRGGMIEDTLPHSSGLHFYGAIGLPLGNRTHFTLMAPGDAIPMVSIAAMDGIAPNRVTYERLAMEACLEQL >PVH64905 pep chromosome:PHallii_v3.1:2:50369259:50372094:1 gene:PAHAL_2G379800 transcript:PVH64905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGEPSRLSGESSPSSPTSSGSSSPSSGAADGSATNLTLTASTSAGGNDADADVPTSPHLGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQDETAGAGAASSSSTAPAPRCPDSRTGCLASLTIKLIPSANAFRVTDFVAEHNHQLASAAPAVSLALLPPSSSHHSIATAASLPDPRDGPHVDMHFETEEDAYVFYNRYAEHIGFSVRRSYKKRKRGMIVSRIFVCSREGVSDRAKQEGGAIVTANGVAGSSVTPRPGPAPTRTGCQARMVIKITPCRTYRVAKFFPDHNHPLANPESVHKLRSHKMRARAHELGAGDLHRRKQGKGVQLGDAGAALQYLEELQIVNPSVYYAVGIGPDGKSAVNFFWADAKSIIDFRSFGDVVCFDTTYGLNIYGRPFALFVGVDNHKQLLVFGAALLYDESIQSFKWVFEVFADAMRARQPQTILIDERPECAAAAAEVWPGSNHCTSVWHIYHNSKRHLKQVFESSKSFSNALSHFLFDYEDEMEFLAAWERLIEKHDISESEWLSGLFMEKEKWALPYQRTIFAADILATLQKDNMINALRRELSEQEDILQFFRRYEAILEEHRSKKLHADVDGSQVTLPIPSLRMLKQSSNAYTPEAFKMFQGEFEAYMNCMSFPCGVVGTISEYKIVLDEKPSENIVKFDALDGSASCSCKKFEAVGIQCCHVLKVLDLKNIKELPEQYILKRWRKDARSVQIGEEPTYGSGSVMQSASEARFNNMCRLASLIASRASKSEEAMSYIESQSSVLLKHLDDILQTGYPDMGNHAVASSSQAISFVGSQHPDHTTQAGVVAQTTNGLMEL >PVH65099 pep chromosome:PHallii_v3.1:2:53034527:53039275:1 gene:PAHAL_2G423500 transcript:PVH65099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPSPLPVASLSAPRAVAAAGPHPLGFPRRLKPSTGGAPRSSLRVVASNSKVDPVEERAPVAPLTGVPVPAGASPPGPSLEPQPQVSTGTWKWRGYNIRYQKAGTSGPALVLIHGFGANSDHWWKNVPVLAMENRVYAIDLIGYGYSDKPNPREVGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVQSFVERHS >PAN14609 pep chromosome:PHallii_v3.1:2:53034527:53039275:1 gene:PAHAL_2G423500 transcript:PAN14609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPSPLPVASLSAPRAVAAAGPHPLGFPRRLKPSTGGAPRSSLRVVASNSKVDPVEERAPVAPLTGVPVPAGASPPGPSLEPQPQVSTGTWKWRGYNIRYQKAGTSGPALVLIHGFGANSDHWWKNVPVLAMENRVYAIDLIGYGYSDKPNPREVGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVQSFVERHS >PVH65097 pep chromosome:PHallii_v3.1:2:53034520:53038634:1 gene:PAHAL_2G423500 transcript:PVH65097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPSPLPVASLSAPRAVAAAGPHPLGFPRRLKPSTGGAPRSSLRVVASNSKVDPVEERAPVAPLTGVPVPAGASPPGPSLEPQPQVSTGTWKWRGYNIRYQKAGTSGPALVLIHGFGANSDHWWKNVPVLAMENRVYAIDLIGYGYSDKPNPREVGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVQSFVERHS >PVH65098 pep chromosome:PHallii_v3.1:2:53034520:53038634:1 gene:PAHAL_2G423500 transcript:PVH65098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPSPLPVASLSAPRAVAAAGPHPLGFPRRLKPSTGGAPRSSLRVVASNSKVDPVEERAPVAPLTGVPVPAGASPPGPSLEPQPQVSTGTWKWRGYNIRYQKAGTSGPALVLIHGFGANSDHWWKNVPVLAMENRVYAIDLIGYGYSDKPNPREVGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVQSFVERHS >PVH65100 pep chromosome:PHallii_v3.1:2:53034527:53039275:1 gene:PAHAL_2G423500 transcript:PVH65100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPSPLPVASLSAPRAVAAAGPHPLGFPRRLKPSTGGAPRSSLRVVASNSKVDPVEERAPVAPLTGVPVPAGASPPGPSLEPQPQVSTGTWKWRGYNIRYQKAGTSGPALVLIHGFGANSDHWWKNVPVLAMENRVYAIDLIGYGYSDKPNPREVGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVQSFVERHS >PVH64589 pep chromosome:PHallii_v3.1:2:45981302:45983237:-1 gene:PAHAL_2G308500 transcript:PVH64589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQKHGPSTTSPPQPGPAQFRRRQQYYWPLFLLSPQSKKKIRRPTGQRLFSAPAIPTAMAFAAVLRAGLLAVPLPLSASSPPPFFATSDYARVPRLTAAARAVRYRRRGRPSRAAAAITASLDLTEDNVRLALEEAKSELGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRSTVVARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >PAN13586 pep chromosome:PHallii_v3.1:2:48529300:48531055:-1 gene:PAHAL_2G348700 transcript:PAN13586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 4 [Source:Projected from Arabidopsis thaliana (AT5G02850) UniProtKB/TrEMBL;Acc:A0A178UPY5] MMQSHLPSPARLGLTASSPSLPPNPSPLNPTSSPPHGNLPASATAGAGSGAAPTLTTSPSLLPLLPPLPRAQSLLQLISSLASNLFELSPNRAAWISAYRGSLPTFLPSASSSPPPLPTPISSTKDALSLLTTLQTQLFEAVAELQETLDLQDARARLAREARAKDAALLAFAKKLHEAHHVLDRLVDDYADYRRDPKRPRGAAAADDPEPVSDGDFGASLHSRLKLDDILSYAHSISCTTFAPPEHGAGLPLRGAFPPAPQEQEMRASKLYQFADLDVGVPKKPLEAKEGITAEVEAMPLYEPPPQEGAPRIPSTLPPMFPKELKPPPGWKPGDPITLPLDDILPGVKGEEPQAPVPQAPVSVRPVVPMGPEPIQVKPVQLDFETSSSDEYSSDVGSSEEDDED >PAN12810 pep chromosome:PHallii_v3.1:2:44952205:44956708:1 gene:PAHAL_2G292700 transcript:PAN12810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAVNGGGDVQKPQQQPQPVVVGAPHPPPAAVVPPHWVAMPFAPPPGAAAMVMPPPHQMAPAPPQFAPAHFVPFHAVAPPPPPRAAPVAAVAMGSPAPHQAGQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFSGHIMPNTDQPFRLNWASFSMGDRRSDVASDHSIFVGDLASDVNDATLLETFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTHAMTEMNGVYCSSRPMRIGPATPRKSSGTSGSNGSSARSDGGDLTNTTVFVGGLDPNVSEEDLRQTFSQYGEISSVKIPVGKQCGFVQFAQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQFRGDNGNQWNNGMYYAASPFYNGYGYPAAPFPDPGMYAAPAYGAYPFYGNQQQVS >PAN12493 pep chromosome:PHallii_v3.1:2:43220185:43223650:-1 gene:PAHAL_2G268500 transcript:PAN12493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEFACRGRPAADQDGDGSIHYPYPGPPLPHDAGDAMLVIRDALLLQLQKDRLRQEIIMAELAKLESAMALRSAARHEHCSDVDGIHDPKKKDGKHGGVQSKSGKPASKDRFCVCSRPCCSNGKSEEENEAFDEKKLQESNEPKKTPSSLKWELTGITIPVKKTKLPQSWSCAICQVGTPNTEHNIQEHCAGKKHRSNVASLESRNKAISQKAQTTAESSSCAGQKTSSIKWSCSMCQANGSSEADLKEHLSGRTHQQIIEAQCQEGDGMAKNTEPQEAKCHKSHVQQPLEKPPSSICQDNCTADSELGSLVLAKIQALLDAINNMATNSESHEAKLPPNNVRQDAEKTSESNCSIYPTGSDHQSCCSEPQSANPRRIRKRRKKRGTLQVEGQEAEPSDMKPADKISSYGSCSKSASSEEKQASYHWEVCNLDLNSESGLANHCDGEEHLEKQKLLNFCEVCNLQCNSRQMFDHHCTGKKHRKNLDANK >PAN12492 pep chromosome:PHallii_v3.1:2:43220185:43223651:-1 gene:PAHAL_2G268500 transcript:PAN12492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEFACRGRPAADQDGDGSIHYPYPGPPLPHDAGDAMLVIRDALLLQLQKDRLRQEIIMAELAKLESAMALRSAARHGIDTAFVEQPKPLFFTFNEEFMPYFRWPEHCSDVDGIHDPKKKDGKHGGVQSKSGKPASKDRFCVCSRPCCSNGKSEEENEAFDEKKLQESNEPKKTPSSLKWELTGITIPVKKTKLPQSWSCAICQVGTPNTEHNIQEHCAGKKHRSNVASLESRNKAISQKAQTTAESSSCAGQKTSSIKWSCSMCQANGSSEADLKEHLSGRTHQQIIEAQCQEGDGMAKNTEPQEAKCHKSHVQQPLEKPPSSICQDNCTADSELGSLVLAKIQALLDAINNMATNSESHEAKLPPNNVRQDAEKTSESNCSIYPTGSDHQSCCSEPQSANPRRIRKRRKKRGTLQVEGQEAEPSDMKPADKISSYGSCSKSASSEEKQASYHWEVCNLDLNSESGLANHCDGEEHLEKQKLLNFCEVCNLQCNSRQMFDHHCTGKKHRKNLDANK >PAN12487 pep chromosome:PHallii_v3.1:2:43220450:43223522:-1 gene:PAHAL_2G268500 transcript:PAN12487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIRDALLLQLQKDRLRQEIIMAELAKLESAMALRSAARHGIDTAFVEQPKPLFFTFNEEFMPYFRWPEHCSDVDGIHDPKKKDGKHGGVQSKSGKPASKDRFCVCSRPCCSNGKSEEENEAFDEKKLQESNEPKKTPSSLKWELTGITIPVKKTKLPQSWSCAICQVGTPNTEHNIQEHCAGKKHRSNVASLESRNKAISQKAQTTAESSSCAGQKTSSIKWSCSMCQANGSSEADLKEHLSGRTHQQIIEAQCQEGDGMAKNTEPQEAKCHKSHVQQPLEKPPSSICQDNCTADSELGSLVLAKIQALLDAINNMATNSESHEAKLPPNNVRQDAEKTSESNCSIYPTGSDHQSCCSEPQSANPRRIRKRRKKRGTLQVEGQEAEPSDMKPADKISSYGSCSKSASSEEKQASYHWEVCNLDLNSESGLANHCDGEEHLEKQKLLNFCEVCNLQCNSRQMFDHHCTGKKHRKNLDANK >PAN12488 pep chromosome:PHallii_v3.1:2:43220556:43222306:-1 gene:PAHAL_2G268500 transcript:PAN12488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIRDALLLQLQKDRLRQEIIMAELAKLESAMALRSAARHGIDTAFVEQPKPLFFTFNEEFMPYFRWPEHCSDVDGIHDPKKKDGKHGGVQSKSGKPASKDRFCVCSRPCCSNGKSEEENEAFDEKKLQESNEPKKTPSSLKWELTGITIPVKKTKLPQSWSCAICQVGTPNTEHNIQEHCAGKKHRSNVASLESRNKAISQKAQTTAESSSCAGQKTSSIKWSCSMCQANGSSEADLKEHLSGRTHQQIIEAQCQEGDGMAKNTEPQEAKCHKSHVQQPLEKPPSSICQDNCTADSELGSLVLAKIQALLDAINNMATNSESHEAKLPPNNVRQDAEKTSESNCSIYPTGSDHQSCCSEPQSANPRRIRKRRKKRGTLQVEGQEAEPSDMKPADKISSYGSCSKSASSEEKQASYHWEVCNLDLNSESGLANHCDGEEHLEKQKLLNFCEVCNLQCNSRQMFDHHCTGKKHRKNLDANK >PAN09822 pep chromosome:PHallii_v3.1:2:3858228:3859526:-1 gene:PAHAL_2G052100 transcript:PAN09822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLRPYVVYPPEGPRPPPPEELRERAREIARKRQQS >PAN09961 pep chromosome:PHallii_v3.1:2:4583859:4587393:-1 gene:PAHAL_2G061900 transcript:PAN09961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHGTRLLLLALFAASLSAASESISGGGGDDGEQIYVVYLGHLPSSESDSASEAESLSATVEAAHHELLNKVLDDGSYASDRILRSYKRSLNGFAARLTEQQANKLADMEGIVSVFPSQTYELQTTRSWDFLGLPPTPREELPLEGEVIVGVLDTGVWLDSPSFSDEGFGPPPSRWKGICQNFTCNNKVIGARAYQNGVTAGLSPLDEQGHGSHTASTAAGRVVGNVSFGGLAGGTARGAVPGARLAIYKVCWDSACRGEDVLAAFDDAVADGVDVISMSIGSRFPDFYFKSVYAIGSFHAARRGVVTSAAAGNSGLSAGRVCNVAPWMLAVAASTVDRRFVDRIVLGNGKIVLGSSVNIFPPVINATLEFPVNGSCDPDDLAGVSYKGKILVCPLDGGFRTPATGPALAGAAGAVLAGMAPDVALTMPLPALVVTEGQLDEIMAYVNSTSNPVGTIERTETTENQLAPIAASFSSPGPNMITPEILKPDLSAPGIDIIASWSMLAPPSDDPDDKRRVQYSINSGTSMACPHASGAAAYVKSFHRDWSPAMIMSALITTATPMNTPGNAGTNDLKYGAGQLNPAKARDPGLVYDASESDYIAMLCTQGYNASQLALIIGSNATACAAAADGGSAGDLNYPSMAALVKPGKNFTVAFPRTVTNVAGGNAAAGAVYDARILFSARGAADHLAVAVAPSRLELNARSGHKASFTVTVSGVVAEAGQVASAAVVWSDGEHEVRSPVVVYTLDSEDGLKQ >PAN14557 pep chromosome:PHallii_v3.1:2:52803314:52804317:-1 gene:PAHAL_2G419400 transcript:PAN14557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAPQALGERDWSNLTAGPAGAIAERVLSNDYVDLLRFRAVCRAWRAGSAHLRARGALDRRFHPRRWVLLPCAFASLPRRLFLNVVTGEPVVLVLPDLPATSRGTPPRALLVLCRMGTHAVQLLNPLTGQLADLPHAASLLGSSGDLLRRQLRNLELRGAGLADDSMVALNFRSSSLSPKPGDLLWTRLTFHDGIISALPFQGRTCLVNSKNISVVGTAASQQHPPRRAAAAVHGRGAQAYLKNRMFLADNDGELILCYHVLPTNEPCNHRRCSVCRVKLDSRDMASLAMLQGKALFTGTRRAVLVSAAVSSSIDADTVYVCSYNDVAH >PAN11838 pep chromosome:PHallii_v3.1:2:37382203:37393310:-1 gene:PAHAL_2G220800 transcript:PAN11838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLPLPQPLPQTTGCEYACPGPASHIFRQRPRTGLSPDEKLAAEKDLAQYCKPVELYNIIQRRAIKNPPFMQRCLLYNILARRKKRIQITISLSGRTNTELQAQNIFPLYVMLARPTSNVSLEGHSPIYRFSRVCLLTSFSEFGNKDNSEATFIIPDVKNLSTSPSCNLDIILISCGQVGQSTGEDYCSGNHVESSSLQKLEGKCSWGKIPTNLLASSLENCVTLSLGTIVELASKVTMRPSFLEAKFLEQDSCLTFCSHKVDAMGSYQLQLCMSAQEAGARDMSLSPYNNYTYNDLPPSSLSDIIRLRAGNVIFNYKYYYNTMHETEVTEDFSCPFCYVRCGSFKGLGCHLNSSHDLFRYEFWISEEYQVVNVSLKADTWRTEFLAEGVDPRHQTFSYRSRFKKRRRSKTTSEKIRHVHSHIMESGSPEDAQAGSEEDYVQGENGTSVANASIDPAQSLHGRNLSPPTVLQFGKTRKLSERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSQFHGQQLVQNPALLWGWRFFMIKLWNHSLLDARTMNTCNTILQDFQDKK >PAN15322 pep chromosome:PHallii_v3.1:2:56467295:56468906:-1 gene:PAHAL_2G480900 transcript:PAN15322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAVLLLAAAAVAAACVLATSEARLGKLGRLVITGVVPCNTGSLIDIATSPAFPNADVELRCAGKVVAGATTNTNGSFAMELDMTSALAAFIGGCTLVVDTPLVKCNADLADVGSLVSYLQGPLTRLLGGIFHLFPAGFSFHARRN >PAN12795 pep chromosome:PHallii_v3.1:2:44919036:44921376:-1 gene:PAHAL_2G291800 transcript:PAN12795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSIPGEESDEPVHERHGLIAAGLEEEEGVLLAPGLEPLHLAAPAARSEGLVVRPVPRPQQVTLRRADEDPLARKVPQARCRGDQGVHPRIVRAVGRLGAREHPQLLRERSPLRRLAVDGLPPAEPRVEQDRTLDPRRRRRRARGRLAFGRGHHRDVVDDVPAGAVAGQVEAAEVAVLRQPGVPFTRDRPPERAERVLVAGRQRVLGREAVVHGDDEQAGPRGQGVEELLVHRRRRRLGDEAAAVEVDQHGELLAVGVGPGGRLRKVEANVESGVAVDDDILRRDAGTGVEAGRHSGRADQAVDAPVAVDAEERAVQYDFGAGIHGDWCVWVGTGE >PAN13150 pep chromosome:PHallii_v3.1:2:46539733:46543701:-1 gene:PAHAL_2G317300 transcript:PAN13150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKSPQSSKKSRVVVPSDTNGSRFDNDDFSSESASNQMVVFNSEAGDKEQEELGENRSQKSGITRGISPSIGAFTVQCAKCFKWRLIPTKHKYEEIREHIIQEPFVCKRAREWRPNVTCNDPEDISQDGSRLWAIDKPNIAQPPRGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRFLQENPEYVAQGVTLAQFSFQIPRPLRQDYVKKKPKLVNPSDEASTIMSKSFQPEEVSPISWAVPTAHEGDASEEASRADETIGSEEIELTRKRKAGSSLSEESNHLSDEQKPKLEDAQNGDTST >PAN12016 pep chromosome:PHallii_v3.1:2:40038448:40047568:1 gene:PAHAL_2G235800 transcript:PAN12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKPPCPCGSRCFFLVSFLGLLLAEAVHGAGSEAVAPPTTSLVPAEGRVLRRIAARLVVPPRWNWNFTAGPCDSKSDEMNCVRYDCSFSNGTACHVTEIYLKGQNFSGELPPDFADFPNLLQLHLSRNLFHGGVPAQWARMKLQGLVLNGNRLSGPFPMVLTNITTLTDLEIEGNEFYGSIPPEIGRLIRMEKLILSANEFTGPLPAALSLFSNLTDLRISSTNFSGRLPDFWGRLKRLTTLQLEGSLLKGPIPFSISELTNLSDLRISELRGSGSAFPNLRGMQSMKKLVLRKCSISGSIPSYIGNWKNLKHLDLSFNKLNGTIPPSFDGMRGVDYIYLTGNELTGNIPGWLLTRNKITDISFNNFTTGSSGPSPQCPIESTVNLVESYSPEMNSLNNVSPCLKRNFPCLASSDQFSLHINCGDKEATINGTTYEADTTPKGASSLYISPGSNWAFSSTGNFMDDNNPDDNFIATSTSKLAIPNSELYTKARRSALSLTYYGLCMFSGSYTVELHFAEIVFTNDSTYSSLGKRRFNVFIQGRMVLEDFDIEQSAGAVGKPVIKTFQTYVSNHTLEIQFYWAGRGTTGFPNRGSYGPLISAISVTPNFPIPSGKSRSRASIALVIGIPIIAVFTALVVGIYCIKKQRKSSMQKELRALDLQIGSFTLRQIKAATRNFDTANKIGEGGFGSVYKGLLYDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGKQLSLVYEYMEYNCLARALFVEQYKLRLDWATRHKICLGIAKGLAYLHEESAIRIVHRDIKASNILLDKDFNAKISDFGLAKLNEDDNTHISTKVAGTMLVFYIREELSWSW >PAN12017 pep chromosome:PHallii_v3.1:2:40038448:40047568:1 gene:PAHAL_2G235800 transcript:PAN12017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKPPCPCGSRCFFLVSFLGLLLAEAVHGAGSEAVAPPTTSLVPAEGRVLRRIAARLVVPPRWNWNFTAGPCDSKSDEMNCVRYDCSFSNGTACHVTEIYLKGQNFSGELPPDFADFPNLLQLHLSRNLFHGGVPAQWARMKLQGLVLNGNRLSGPFPMVLTNITTLTDLEIEGNEFYGSIPPEIGRLIRMEKLILSANEFTGPLPAALSLFSNLTDLRISSTNFSGRLPDFWGRLKRLTTLQLEGSLLKGPIPFSISELTNLSDLRISELRGSGSAFPNLRGMQSMKKLVLRKCSISGSIPSYIGNWKNLKHLDLSFNKLNGTIPPSFDGMRGVDYIYLTGNELTGNIPGWLLTRNKITDISFNNFTTGSSGPSPQCPIESTVNLVESYSPEMNSLNNVSPCLKRNFPCLASSDQFSLHINCGDKEATINGTTYEADTTPKGASSLYISPGSNWAFSSTGNFMDDNNPDDNFIATSTSKLAIPNSELYTKARRSALSLTYYGLCMFSGSYTVELHFAEIVFTNDSTYSSLGKRRFNVFIQGRMVLEDFDIEQSAGAVGKPVIKTFQTYVSNHTLEIQFYWAGRGTTGFPNRGSYGPLISAISVTPNFPIPSGKSRSRASIALVIGIPIIAVFTALVVGIYCIKKQRKSSMQKELRALDLQIGSFTLRQIKAATRNFDTANKIGEGGFGSVYKGLLYDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGKQLSLVYEYMEYNCLARALFVEQYKLRLDWATRHKICLGIAKGLAYLHEESAIRIVHRDIKASNILLDKDFNAKISDFGLAKLNEDDNTHISTKVAGTIGYMAPEYAMRGYLTEKADVYSFGIVVLEIVSGRSNTNYKPREDFVYLLDWACVLHKRGTLLELVDPDLGSNYAIEEALLMLNVALLCTTATPTLRPMMSKVVSLLEGHTPLQPFLSDFNLEANSLSSSGVHGNFWQNPCESRSLTAEVLCSDTNESSA >PAN09926 pep chromosome:PHallii_v3.1:2:4335599:4336900:1 gene:PAHAL_2G058900 transcript:PAN09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARRRQLRGDDAEVVLAAPPLRRRAWFAVSTARREIHGDPLHRTALPPTLQGFFHGRGVAYGDDVPRQEFGQFSSLLRTPAAPAIDPSFSFLTKVLPWTDHIFLLDSRNGLLLFGHLAPDADDTPPELGYIVCNPATEQWVAVPGCGCVDDDLSFIMLTTHVSLLFDPARSSHFHLVLFWDDLDIDGTTLTTVHTYSSRAGVWSHSEGDDWSEEERQGPLEGWRRRDMLTDSSSLCGSSRALVDGMLYLILGRNRILRVDAQGKTRRIVTSPSVHADSETHVLFVGQSQGLLHCIVEEGVPSLLASDDPRKRWSYGLSVWVLQGPDTQQWTFKHRMSNLQLFGNRSYRNMLDYHVVTMHPDCNLIFFVQHRDAQIVSYDIDRQEVRALQSLRHDFGPITLFNRITLTPYVPYLSELFLGIIGAHKQAVEVLL >PVH64486 pep chromosome:PHallii_v3.1:2:44311635:44314372:-1 gene:PAHAL_2G282900 transcript:PVH64486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALEATRSAVGPVALSLGAPEPAASAAAGAAAGLAAAVAAQIVWTPVDVISQRLMVQGNPCPASRYRGGVDAFRKIVGSDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCEYGVGVQEIDLGNGDSSLQPGCKTVMVVQGVSAAMAGGASALVTMPLDTIKTRMQVMDGDGEPITVGRTVRRLIKEGGWAACYRGLGPRWASMSLSATTMITTYEFLKRLSDKGQESGLA >PAN12220 pep chromosome:PHallii_v3.1:2:41551391:41560709:-1 gene:PAHAL_2G250100 transcript:PAN12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSYSNLLDICSEDVFDFQQPLRSLPCMVTSPGNISDPDWESSNGSNLVGSAPPCLKRKIVVANFLPLNCMKDEATGEWSFAMDDNQLLVQLKDGFPIDNEVIYVGSLNVQVDPSEQEQVSQKLFKEHKCIPTFLPADLQQQFYHSFCKQHLWPLFHYMLPVCHDKGELFDRSLFQAYVRANKIFADKVMEVVNSNDDCVWVHDYHLMLVPTFLRKKLHQIKVGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLSYESKRGHIGIEYFGRTVSLKILAAGVHVGRLESMLKLPATICKVQEIENTYRGKLVILGVDDMDIFKGISLKLLGLELLLERTPKLRGKVVLVQIVNPARSIGKDIEEAKNEAVSVAQRINDKYGSANYRPVVLIDYSIPFYEKIAFYAASDCCIVNAVRDGMNLIPYEYTICRQGNEEIDKLRGADKSSLHTSTLIVSEFVGCSPSLSGAFRVNPWSVEDVADALYSATDLTQYEKIQRHEKHYRYVKSHDVAYWARSFDQDLERTCKEQYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKANKRVVFLDYDGTLVPQSSLNKAPSTELISILNSLCSDTKNTVFIVSGRGRNSLSEWFDSCENLGIAAEHGYFIRWNRAAEWEVSSSGQCSEWKLIADPVMHVYTETTDGSSIERKESALVWHYQNTDHDFGSCQAKELVGHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDNIIRTLLNNGEVPDLLMCIGNDRSDEDMFESINKASSSAELPAIPEIFACSVGPKASKANYYVDGCSEVIRLLKGVTVVSSQKDAVSHNHVSLKDILEVVS >PVH64662 pep chromosome:PHallii_v3.1:2:47134300:47143698:-1 gene:PAHAL_2G326900 transcript:PVH64662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELWCLHIPVEDRTSFEGLVELVETTVKSESSRASDRPVYLVGESVGACVALAVAVRNPDIDLVLILVNPGTSFHKSQLQTLSAFLDFVPEPFHLTTPQLLTFLTGNFMKITSTVVGRGFSPEEDNTLSEITSSLLASLTFLVDILTKESIMWKLKILKTAASFVNSRLHAVKAQTLVLASGNDELLPSSQEAEKLRGAIEKCRTRLFRDNGHKILLEAEFDLATTIKGAGYYRRSRKTDFVSDYLPPTHDELQQAINRDRILNFITDPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPMVTGVLSSTGVHIRGLAHPFMFDKNSEQIMPDSAHFDHHRIMGAVPVTAANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPDQPEFVRMASRFGATIIPFGVVGEDDICDLLLDYNDLQKLPFYDMLDKVLTRDGLKLRTDSMGELQDQGMHPVVVAPKVPGRFYIVFGKPIETRGREKELRDRDEAQRLYLQVKSEVESCINYLKEKREKDPYRSILHRLLYQAVHGPNTEIPTFEP >PAN13300 pep chromosome:PHallii_v3.1:2:47134300:47140216:-1 gene:PAHAL_2G326900 transcript:PAN13300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAPSAAAATVAPPRSRRPPLARAPFRRASAAHGWEPRRPRAVAVASSSASASPPPGAAAARRRGLREYVEAAGEMARRKDGGPPRWFSPLECAGGERVLGAPTLLYLPGIDGVGLGLIRHHEKLAKMFELWCLHIPVEDRTSFEGLVELVETTVKSESSRASDRPVYLVGESVGACVALAVAVRNPDIDLVLILVNPGTSFHKSQLQTLSAFLDFVPEPFHLTTPQLLTFLTGNFMKITSTVVGRGFSPEEDNTLSEITSSLLASLTFLVDILTKESIMWKLKILKTAASFVNSRLHAVKAQTLVLASGNDELLPSSQEAEKLRGAIEKCRTRLFRDNGHKILLEAEFDLATTIKGAGYYRRSRKTDFVSDYLPPTHDELQQAINRDRILNFITDPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPMVTGVLSSTGVHIRGLAHPFMFDKNSEQIMPDSAHFDHHRIMGAVPVTAANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPDQPEFVRMASRFGATIIPFGVVGEDDICDTTMIFRSFPSMICSTRC >PAN13298 pep chromosome:PHallii_v3.1:2:47134472:47139893:-1 gene:PAHAL_2G326900 transcript:PAN13298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAPSAAAATVAPPRSRRPPLARAPFRRASAAHGWEPRRPRAVAVASSSASASPPPGAAAARRRGLREYVEAAGEMARRKDGGPPRWFSPLECAGGERVLGAPTLLYLPGIDGVGLGLIRHHEKLAKMFELWCLHIPVEDRTSFEGLVELVETTVKSESSRASDRPVYLVGESVGACVALAVAVRNPDIDLVLILVNPGTSFHKSQLQTLSAFLDFVPEPFHLTTPQLLTFLTGNFMKITSTVVGRGFSPEEDNTLSEITSSLLASLTFLVDILTKESIMWKLKILKTAASFVNSRLHAVKAQTLVLASGNDELLPSSQEAEKLRGAIEKCRTRLFRDNGHKILLEAEFDLATTIKGAGYYRRSRKTDFVSDYLPPTHDELQQAINRDRILNFITDPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPMVTGVLSSTGVHIRGLAHPFMFDKNSEQIMPDSAHFDHHRIMGAVPVTAANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPDQPEFVRMASRFGATIIPFGVVGEDDICDLLLDYNDLQKLPFYDMLDKVLTRDGLKLRTDSMGELQDQGMHPVVVAPKVPGRFYIVFGKPIETRGREKELRDRDEAQRLYLQVKSEVESCINYLKEKREKDPYRSILHRLLYQAVHGPNTEIPTFEP >PAN13301 pep chromosome:PHallii_v3.1:2:47134300:47138634:-1 gene:PAHAL_2G326900 transcript:PAN13301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELWCLHIPVEDRTSFEGLVELVETTVKSESSRASDRPVYLVGESVGACVALAVAVRNPDIDLVLILVNPGTSFHKSQLQTLSAFLDFVPEPFHLTTPQLLTFLTGNFMKITSTVVGRGFSPEEDNTLSEITSSLLASLTFLVDILTKESIMWKLKILKTAASFVNSRLHAVKAQTLVLASGNDELLPSSQEAEKLRGAIEKCRTRLFRDNGHKILLEAEFDLATTIKGAGYYRRSRKTDFVSDYLPPTHDELQQAINRDRILNFITDPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPMVTGVLSSTGVHIRGLAHPFMFDKNSEQIMPDSAHFDHHRIMGAVPVTAANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPDQPEFVRMASRFGATIIPFGVVGEDDICDLLLDYNDLQKLPFYDMLDKVLTRDGLKLRTDSMGELQDQGMHPVVVAPKVPGRFYIVFGKPIETRGREKELRDRDEAQRLYLQVKSEVESCINYLKEKREKDPYRSILHRLLYQAVHGPNTEIPTFEP >PAN13299 pep chromosome:PHallii_v3.1:2:47135241:47139893:-1 gene:PAHAL_2G326900 transcript:PAN13299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAPSAAAATVAPPRSRRPPLARAPFRRASAAHGWEPRRPRAVAVASSSASASPPPGAAAARRRGLREYVEAAGEMARRKDGGPPRWFSPLECAGGERVLGAPTLLYLPGIDGVGLGLIRHHEKLAKMFELWCLHIPVEDRTSFEGLVELVETTVKSESSRASDRPVYLVGESVGACVALAVAVRNPDIDLVLILVNPGTSFHKSQLQTLSAFLDFVPEPFHLTTPQLLTFLTGNFMKITSTVVGRGFSPEEDNTLSEITSSLLASLTFLVDILTKESIMWKLKILKTAASFVNSRLHAVKAQTLVLASGNDELLPSSQEAEKLRGAIEKCRTRLFRDNGHKILLEAEFDLATTIKGAGYYRRSRKTDFVSDYLPPTHDELQQAINRDRILNFITDPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPMVTGVLSSTGVHIRGLAHPFMFDKNSEQIMPDSAHFDHHRIMGAVPVTAANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPDQPEFVRMASRFGATIIPFGVVGEDDICDLLLDYNDLQKLPFYDMLDKVLTRDGLKLRVVVSKD >PVH65244 pep chromosome:PHallii_v3.1:2:54355047:54358076:-1 gene:PAHAL_2G445600 transcript:PVH65244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFANVNSEAGLKKLDEYLLTRSYITGYQASKDDLAVYSSFSAAPSSKYINVARWFSHIDALLRLSGVTAEGQGVKVESSAVPSASTPDVADTAAAPAADDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRSVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEDHLCAEPVNEYVQSCDIVAFNKI >PVH64441 pep chromosome:PHallii_v3.1:2:43181803:43192970:-1 gene:PAHAL_2G267900 transcript:PVH64441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCEACKGSDQFVEKGRTIDGAVGEKGKLSLEQIFCFQYFPISNNCLNSC >PVH64439 pep chromosome:PHallii_v3.1:2:43181803:43192970:-1 gene:PAHAL_2G267900 transcript:PVH64439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCSEACKGSDQFVEKGRTIDGAVGEKGKLSLEQIFCFQYFPISNNCLNSC >PAN12480 pep chromosome:PHallii_v3.1:2:43179916:43193183:-1 gene:PAHAL_2G267900 transcript:PAN12480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCEACKGSDQFVEKGRTIDGAVGEKELQKQLLMITEERNSLLSETQQMRLIVNEAEVLKENCDRKLIHAKATIDELSSRISIMEVNRKDDAVVNNKEKTKLRMQIRSLQPELDAHRGRLKEAVNEMKIMDAKYQEASTKLKKELSQSCREVLRLREILKEIQGASN >PAN12479 pep chromosome:PHallii_v3.1:2:43179959:43193087:-1 gene:PAHAL_2G267900 transcript:PAN12479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCEACKGSDQFVEKGRTIDGAVGEKLIHAKATIDELSSRISIMEVNRKDDAVVNNKEKTKLRMQIRSLQPELDAHRGRLKEAVNEMKIMDAKYQEASTKLKKELSQSCREVLRLREILKEIQGASN >PVH64437 pep chromosome:PHallii_v3.1:2:43179916:43193087:-1 gene:PAHAL_2G267900 transcript:PVH64437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCSEACKGSDQFVEKGRTIDGAVGEKKQLLMITEERNSLLSETQQMRLIVNEAELIHAKATIDELSSRISIMEVNRKDDAVVNNKEKTKLRMQIRSLQPELDAHRGRLKEAVNEMKIMDAKYQEASTKLKKELSQSCREVLRLREILKEIQGASN >PVH64442 pep chromosome:PHallii_v3.1:2:43181336:43192970:-1 gene:PAHAL_2G267900 transcript:PVH64442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCEACKGSDQFVEKGRTIDGAVGEKELQKQLLMITEERNSLLSETQQMRLIVNEAEVLKENCDRKASALYITNHKLTH >PAN12477 pep chromosome:PHallii_v3.1:2:43179959:43193087:-1 gene:PAHAL_2G267900 transcript:PAN12477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCSEACKGSDQFVEKGRTIDGAVGEKKQLLMITEERNSLLSETQQMRLIVNEAEVLKENCDRKLIHAKATIDELSSRISIMEVNRKDDAVVNNKEKTKLRMQIRSLQPELDAHRGRLKEAVNEMKIMDAKYQEASTKLKKELSQSCREVLRLREILKEIQGASN >PVH64438 pep chromosome:PHallii_v3.1:2:43180156:43192970:-1 gene:PAHAL_2G267900 transcript:PVH64438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCSEACKGSDQFVEKGRTIDGAVGEKELQKQLLMITEERNSLLSETQQMRLIVNEAELIHAKATIDELSSRISIMEVNRKDDAVVNNKEKTKLRMQIRSLQPELDAHRGRLKEAVNEMKIMDAKYQEASTKLKKELSQSCREVLRLREILKEIQGASN >PAN12476 pep chromosome:PHallii_v3.1:2:43181803:43192970:-1 gene:PAHAL_2G267900 transcript:PAN12476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCSEACKGSDQFVEKGRTIDGAVGEKGKLSLEQIFCFQYFPISNNCLNSC >PVH64443 pep chromosome:PHallii_v3.1:2:43181336:43192970:-1 gene:PAHAL_2G267900 transcript:PVH64443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCSEACKGSDQFVEKGRTIDGAVGEKELQKQLLMITEERNSLLSETQQMRLIVNEAEVLKENCDRKASALYITNHKLTH >PVH64440 pep chromosome:PHallii_v3.1:2:43181803:43192970:-1 gene:PAHAL_2G267900 transcript:PVH64440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCSEACKGSDQFVEKGRTIDGAVGEKGKLSLEQIFCFQYFPISNNCLNSC >PAN12478 pep chromosome:PHallii_v3.1:2:43180156:43192970:-1 gene:PAHAL_2G267900 transcript:PAN12478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSSIRFEFDRIFGQECRTSEVYETRTKHIVDSVVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFQRIEEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEAEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMILGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRNSQSDHWGEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRSIAFSKDKRRVTWCPGPKARQFGIEVLGPVEEGPTSSTVRNERNMGMPPHFEELMQGSYASNDEPCANACSPSDMAKYTEDVSLPDSHALLHVTNRRKTNTMKKSDQEQFGGTAGELIIPEDAHDGNNALQSQECTVPCVVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKYEKLQQNALAAQEQAKVANEKLSTLSATIKSNQEVAYGFLSNVLMETEGINLGMHQLRNSVESALSFIDELSQNLLMMAQGILEVKHSAHEDIRQFGSMVRDYEKLSACLMKKVCKLETEKKLLEEQSQDQQNEIDKLKSNLASCEKDIDDCTLQHELEKEGILSELLNLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETETKLKNSVQEKIKLQSEKAEAHKEVKKLKSQRTLLERDLRKRDSATVDKRHELNSTPQELAGVFDQAVQMQEEYQKLEMHVFDMEAEISSLQEALKTSVAEKDEALSKVELMTSELEDLANKLNSAELERNSLSDEIALLTKRSNSSESTLKRLEASLNSVSREKEDMGMQLTDALLDMESERSIWAAKKKEYLEASQRLNTCLDENRKLSEDLIKVRQELASCREQCRTPEEKLAHSLESNMNEKGIKFCSEACKGSDQFVEKGRTIDGAVGEKELQKQLLMITEERNSLLSETQQMRLIVNEAEVLKENCDRKLIHAKATIDELSSRISIMEVNRKDDAVVNNKEKTKLRMQIRSLQPELDAHRGRLKEAVNEMKIMDAKYQEASTKLKKELSQSCREVLRLREILKEIQGASN >PAN10535 pep chromosome:PHallii_v3.1:2:7709633:7711648:-1 gene:PAHAL_2G099200 transcript:PAN10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKIKPVSTLLQLLFLSLNLAAFTTGDDHQFFYSGFSNNDLVVDGATTITSNGLLELTNGTDQQKGHAFYPTPLRFARSPNGTVQSFSTSFVFAILSVYTDLSAHGMAFVVAPSRNFPSAALPGQFLGLTDIQNNGNVSNHFFAVELDTIQNKEFNDLNANHAGANVNGLKSLESYYAGYYADEDGKFRNLSLISREAMQVWIDYDDKVSGITVTMAPLKVPRPIKPLFTTTYNLTSVVTDVAYIGFSSATGTINSRHYVLGWSFSMNGPAPAIDIARLPKLPRMGPKPRSKVLDIVLPIATSAFVLTVGTAVFLLIRRHLKYAELREDWEVEFGPHRFSYKDLFYATEGFKDKHLLGIGGFGRVYKGILPVSKLEVAVKRVSHDSKQGMKEFIAEVVSIGHIQHRNMVRVLGYCRRRGELFLVYEYMPNGSVDKYLYGKQGKPILSWANRWHIIKGIASCLLYLHEEWKKVIIHRDIKPSNVLLDSDMNGRLGDFGLARLYDHDTDPQTTHVVGTIGYLAPELGHTSKATPLTDVFAFGVFLLEVTCGQRPINETSQDSQCMLVDWVLERWSSGSLLETVDSRLEGNYNIGEACLALKLGLLCSHPFSNARPTMRQVMQYLDGDTQLPEMTPTDMRFHMMAIMQNEGFEDYITGSTASIGTTSVLSGGR >PVH64989 pep chromosome:PHallii_v3.1:2:51482127:51484382:1 gene:PAHAL_2G396900 transcript:PVH64989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPSLLPLVVVLLLAVSLPPTAAGMLPLALVGDGTSPYVECAGAGVYAANSTFEANRRRLASLLLAEEAARGPYYTERAVGYWPNRPQASFFCRLRRRDVDGTGSGDSPCAACIAGAFLEVERECPYHRKAFFYSRNCTLEFSEFRIFGTDSTFVSEGNVLRQILASGLIFQAIGFAWLFFLLLQEWRGRKRGTMMHPTSLLSGD >PAN12293 pep chromosome:PHallii_v3.1:2:42273575:42275929:-1 gene:PAHAL_2G256700 transcript:PAN12293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGCPHRLLVSKLCFGLVLLLTVPIIVLLLEGAPVLTIFSTTPEQLKVLSHGILQQQEQEHLEDDGASLAGFPGPASRSHTHKSRGKVNKDCNYAKGKWVADEKRPLYSGNECKQWLSKMWACRMMRRAHFSYENYRWQPHGCEMPEFTGPNLLKRMKNQTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYQFPATNTTVLFYWSATLSELEPLNAERAVTSYALHLDRPVTFLKKYLNSFDILVLNTGHHWNRGKFNGNHWQLYADGKPIGNGSRLADLNRAKNHTLHSIARWVDSELARHPQMKVFLRTISPRHFVNGDWNTGGTCGNTIPLSNGSEVLQDHSIDIPAESAVKGTRVKLLDITAISKLRDEGHISNSTFRKGSTGINDCLHWCLPGIPDMWNELLFAQI >PVH64706 pep chromosome:PHallii_v3.1:2:47931849:47933598:1 gene:PAHAL_2G338900 transcript:PVH64706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGERDGGKGSCCSCTSTRRKPDPLCEPDYDKNHSYREKASQVGGPSRLDISLRRCAGPSDAALAWHRRLGSEIAQDWVLGARRSRGGRAKQSGGPRKVREGRKVPWLFHAHSFWKVQIGAEVGQGGRDTCRPSGHGCWMG >PVH65357 pep chromosome:PHallii_v3.1:2:55972434:55972815:-1 gene:PAHAL_2G472900 transcript:PVH65357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSCVSGGWMDGSSCDGHETVQSPCPASTNLFRLSLTDGFREFRTHPPSHQPLLPTHIVKKNRNVQDRHKTNAKNCQVKSVEPKGGKEKVKKL >PVH65358 pep chromosome:PHallii_v3.1:2:55972431:55973485:-1 gene:PAHAL_2G472900 transcript:PVH65358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSCVSGGWMDGSSCDGHETVQSPCPASTNLFRLSLTDGFREFRTHPPSHQPLLPTHIVKKNRNVQDRHKTNAKNCQVKSVEPKGGKEKVKKL >PAN10376 pep chromosome:PHallii_v3.1:2:6730790:6736903:-1 gene:PAHAL_2G090400 transcript:PAN10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQTASVPLGDTAVVDAKPLRTLTPMFPAPLGLHTFTPQNSPSFICVTPFGPYTGGTELGTAAVPSMFAASTPAAESSQTQLHTANMNGAAQVNGTAVNSLVTPLQTLSAGVHESGKRKRGRPKRVPDATVPSAPLAPTVPPVTSLPLVPSVPSAPKEGDNIVSVMPSSATPQESGKRKRGRPKRVQDVPELGPPASQVDSTPVLQTLPGPTVHESGTRKRGRPKRLQDSSDISTPIHSKDSEPPPQLPSAATSPESGKRKRGRPKRILDGSATSSSHSGYSIDDEAVDTAKRGRPRKIDTNLLQLPSLSSHDPRESADNVLMMFDALRRRLMQLDDVKQVVKQQHNLKAGSIMTNAELRVNKNKRIGEIPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMSAKFGNEEDPVAISVVSAGVYDNTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNPIRVIRSVKDLTCPTGKIYIYDGLYKIKEAWMEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILLDISYGVESKPICLVNEVDDEKGPTHFTYTTKLTYGYPSSMRKTQGCKCASVCLPGDNNCSCMHQNAGDLPYSASGILVSRMPMLYECNDSCTCSQNCRNRVVQKGTQIRFEVFKTGDRGWGLRSWDPIRAGTFVCEYAGEIIDRNSVNGEDDYIFETPPSEQKLRWNYAPELLGEPSLSDSNETPKQLPIIISAKRTGNVARFLNHSCSPNVFWQPVLYDHGDERYPHIAFFAIKHIPPMTELTYDYGQSQGNIQQGSNSGCRKSKSCYCWSRKCRGSFG >PAN10377 pep chromosome:PHallii_v3.1:2:6730790:6736974:-1 gene:PAHAL_2G090400 transcript:PAN10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQTASVPLGDTAVVDAKPLRTLTPMFPAPLGLHTFTPQNSPSFICVTPFGPYTGGTELGTAAVPSMFAASTPAAESSQTQLHTANMNGAAQVNGTAVNSLVTPLQTLSAGVHESGKRKRGRPKRVPDATVPSAPLAPTVPPVTSLPLVPSVPSAPKEGDNIVSVMPSSATPQESGKRKRGRPKRVQDVPELGPPASQVDSTPVLQTLPGPTVHESGTRKRGRPKRLQDSSDISTPIHSKDSEPPPQLPSAATSPESGKRKRGRPKRILDGSATSSSHSGYSIDDEAVDTAKRGRPRKIDTNLLQLPSLSSHDPRESADNVLMMFDALRRRLMQLDDVKQVVKQQHNLKAGSIMTNAELRVNKNKRIGEIPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMSAKFGNEEDPVAISVVSAGVYDNTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNPIRVIRSVKDLTCPTGKIYIYDGLYKIKEAWMEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILLDISYGVESKPICLVNEVDDEKGPTHFTYTTKLTYGYPSSMRKTQGCKCASVCLPGDNNCSCMHQNAGDLPYSASGILVSRMPMLYECNDSCTCSQNCRNRVVQKGTQIRFEVFKTGDRGWGLRSWDPIRAGTFVCEYAGEIIDRNSVNGEDDYIFETPPSEQKLRWNYAPELLGEPSLSDSNETPKQLPIIISAKRTGNVARFLNHSCSPNVFWQPVLYDHGDERYPHIAFFAIKHIPPMTELTYDYGQSQGNIQQGSNSGCRKSKSCYCWSRKCRGSFG >PVH63608 pep chromosome:PHallii_v3.1:2:4952098:4953292:1 gene:PAHAL_2G067500 transcript:PVH63608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGRGGFCSSSVAGQPTSASWWRDSPPWLRIDVVESPTWIRSSRRDTENMIVRCTRSQDTRMSGKDALKQQ >PAN12734 pep chromosome:PHallii_v3.1:2:44616111:44618848:1 gene:PAHAL_2G287500 transcript:PAN12734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQAKRDGISKAESHRHRRGWVHGHARRAAVVPMASWLLLPLPSFPWLPPPPPPGSSSGGRGGGGGGGDGGDWRPNVVAAFAGAQVGSALRRRFAGLLCSPEVRHLEALPKMDDGCFGGFATHSILGLLGNMFPASYVCSFALSSGNGSFGSGTYIGKGKVLSRRPRRIDSKKRFWTNVLLAINVLTYIAQVASQGKLLMWGAKINSLIDRGQFWRLATSSLLHANVTHLAVGAYAVYMWRHRSYFGNARESLEHIGRVVVLNMGMGLLSRGIDNWGHLGGLLGGVAVAWLLGPAWQYQYVAKDGRVVFKDRAPVLRLIKG >PAN12735 pep chromosome:PHallii_v3.1:2:44616474:44618848:1 gene:PAHAL_2G287500 transcript:PAN12735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGCFGGFATHSILGLLGNMFPASYVCSFALSSGNGSFGSGTYIGKGKVLSRRPRRIDSKKRFWTNVLLAINVLTYIAQVASQGKLLMWGAKINSLIDRGQFWRLATSSLLHANVTHLAFNCFSLNSIGPMVEMLTGPRRFIAVYFSSALAGSLMSYRCCESPAVGASGAIFGLVGAYAVYMWRHRSYFGNARESLEHIGRVVVLNMGMGLLSRGIDNWGHLGGLLGGVAVAWLLGPAWQYQYVAKDGRVVFKDRAPVLRLIKG >PAN12733 pep chromosome:PHallii_v3.1:2:44616111:44618848:1 gene:PAHAL_2G287500 transcript:PAN12733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQAKRDGISKAESHRHRRGWVHGHARRAAVVPMASWLLLPLPSFPWLPPPPPPGSSSGGRGGGGGGGDGGDWRPNVVAAFAGAQVGSALRRRFAGLLCSPEVRHLEALPKMDDGCFGGFATHSILGLLGNMFPASYVCSFALSSGNGSFGSGTYIGKGKVLSRRPRRIDSKKRFWTNVLLAINVLTYIAQVASQGKLLMWGAKINSLIDRGQFWRLATSSLLHANVTHLAFNCFSLNSIGPMVEMLTGPRRFIAVYFSSALAGSLMSYRCCESPAVGASGAIFGLVGAYAVYMWRHRSYFGNARESLEHIGRVVVLNMGMGLLSRGIDNWGHLGGLLGGVAVAWLLGPAWQYQYVAKDGRVVFKDRAPVLRLIKG >PVH63723 pep chromosome:PHallii_v3.1:2:6913613:6914191:1 gene:PAHAL_2G092400 transcript:PVH63723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSSWLRRGGRASSPTPALSKPPRIRNGKAAPPMASAPAPPPSPSPSPCPDARAPRVVRAPPRRPPPRAPGPPPWAERRPAVSVDLDRGRRAARAEVEGVRAASLPARHRLRVEGTRWQRDWKVSEAAARVLALPPADAHAVDAVLNSWAGRFARRNFPLLIRVCPPFSLCLPPACYVFDEMTVGADATIG >PAN12634 pep chromosome:PHallii_v3.1:2:44069022:44075101:-1 gene:PAHAL_2G279800 transcript:PAN12634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGHHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNVFPLWFPPNMITLTGFMFLLTSAFLGFLYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGRATFWFWVISAVPFYFATWEHFFTNTLILPIVNGPTEGLMLIYLCHILTFFTGAEWWAQDFQKSMPLLGWVPFIPEIPVYDIVLGLMIAFAVIPTIGSNIHNVYKVVEARKGSMVLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGNPLVDEQLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFRITRKKA >PVH63829 pep chromosome:PHallii_v3.1:2:9753085:9756737:1 gene:PAHAL_2G116500 transcript:PVH63829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRATPAAASRPRRNPKPKQDPSFLSPLASPAPASRTRTRTRKRPVRGGASSPASSSPGSFPADLDISFLSSPGSSASPPKPRARAKPAARSPLVATPRVAAASPSPSPAASPQPAPAAGASSVGDLRSAIASQMEDLKRRLDALHSRAHADLDASFSRVSKRIKTQNQSCQQLTDEVDKEYKKMSDNIKESSEIVKAKFKQIIAEAQSSTTCDSLLHINGTDDPCVQDGVVVFSTFVDNQ >PAN10791 pep chromosome:PHallii_v3.1:2:9753028:9756737:1 gene:PAHAL_2G116500 transcript:PAN10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRATPAAASRPRRNPKPKQDPSFLSPLASPAPASRTRTRTRKRPVRGGASSPASSSPGSFPADLDISFLSSPGSSASPPKPRARAKPAARSPLVATPRVAAASPSPSPAASPQPAPAAGASSVGDLRSAIASQMEDLKRRLDALHSRAHADLDASFSRVSKRIKTQNQSCQQLTDEVDKEYKKMSDNIKESSEIVKAKFKQIIAEAQSSTTCVCKVTIPEMIKSVEKAIDGLRSRYNITMPA >PAN12362 pep chromosome:PHallii_v3.1:2:42734908:42736907:1 gene:PAHAL_2G262700 transcript:PAN12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36730 [Source:Projected from Arabidopsis thaliana (AT2G36730) UniProtKB/Swiss-Prot;Acc:Q9ZQA1] MLGAAATLAGETVRSKQCTVLARGRRALHGMATPPAAVRALIAAATTFRNLRQIHGHLLTSGRVDSLGPALLRRIISLPSPHLHLPFAHRLLLSVPSPPLDLFNLLLPPIASSTDPSAGACLFVRLRRGGLRPDSHTLPHVLKALARLAPASLPLVACTHVEAIKSGLAHAVVYVPNALMAAYSACGHLGRAMQVFDEMTRRTVVSWNTALTACADNDRHNRCAGMFTEMVEAGFEPDHATFVVMLSAAAELGNLALGKWAHGQVVARRLDMTLQLGTATVNMYAKCGAVSYASRLFGRMKIRNVWTWSAMIVGFSQNGMAQEALELFYKMKDASIIPNYVTFLGLLCACSHAGLVDEGRQFFHEMQHVYGIKPMMTHYSAMVDILGRSGRLQEAYDFVMDMPVKPDPVVWRTLLSACQLHSSKDCIDIVDKVQKRLLELEPRRSGNYVMVANIYSDIGSWDKAAMTRRVMREGRMKKMAGESCIEIGGQIQRFISGDDSCPGFDGASRILHELNNIMRKWEPVDKILLADADI >PAN14114 pep chromosome:PHallii_v3.1:2:50717888:50727489:-1 gene:PAHAL_2G384800 transcript:PAN14114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGGGGGGSGSGRRLRKTESAEMRWVVPGGACEEDEIESSDDGGADTPAAASGSRGGGGSDDDDDGYEEDEMLRQRLVRTGPRADSFDVEALDVPGVYRHQEFTIGRSIVLTLQTLGVVFGDVGTSPLYTFDVMFNKYPITSKEDVLGALSLVIYTLILIPFLKYTLIVLWGNDDGEGGTFALYSLICRNAKASLLPNQLPSDTRISSFNLKVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAFLIVLFSLQRFGTSKVGLAVGPALFIWFCCLAGIGIYNIRIYGSQVLHAFNPLYICYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAFLMENLTQSQQVFFLSIPSQAFWPVVFIATLAALIASRAMTTAIFSTIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTVFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQVNIIVVLCFLTLFLGLELFFFSSVLGSAADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKQKLSMDLLMQLGCNLGTVRAPGIGLLYNELVRGVPAIFAHFLTTLPAMHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDHNDDTDSEEEIASSSSRVLVGPNGSIYSLGVPLAESAGADNSALGSSASFDGSLDEAMDGRRSLDNELSFIHQAKESGVVYLLGHGDIRARKESFFLKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVGMQYMV >PVH65122 pep chromosome:PHallii_v3.1:2:53334687:53337603:1 gene:PAHAL_2G428700 transcript:PVH65122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKGGAVSAPAAAAAAANGTGELIGYVDVHVRSARDIQNICIYHKQDVYARVSLPGDGAPVASTQVVNGGGRNPVFDQSLRLGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLPEVVAADGGTLAREFPLTTSDLFQTPSGFLQLELSYIGVVPEVVPISPTPKPALADPEEEPETNAGAGAGSGKEYEKIEFPDLNLVEENQIMVSEYTGLPCAAVEAQSSESLLTSEHEDGATTLSHEAGVRLVESFSTDHSTADSVGGFRSDTPVSSVSTTESPASAAVPATPQSNSSSEPSGNAHSSAGHKEKAAPEAADAEVDSSRTVQESPAANSPGAASEAAVDKPVISVNIEQEVKVDGNRIMDMYMKSMQQFTDSLAKMKLPALDLDNCSSEKSSLAAAASDADSSGADSSAVKKPTAGGQQEKPSPKVFYGSRAFF >PAN09161 pep chromosome:PHallii_v3.1:2:100144:103365:-1 gene:PAHAL_2G000900 transcript:PAN09161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNAASASSSSSSSSSSCTTPETEDPQFDDGHHERAPLLLPTKIMDAADEKGERPDLSEDTAHQISVDPWYQVGFVLITGVNSAYVLGYSGSVMVPLGWIAGTCGLLLAAAISMYANALLARLHEVGGKRHIRYRDLAGHIYGRKIYGLTWALQYVNLFMINTGFIILAGQALKATYALFRDDGALKLPYCIAISGFVCALFAFGIPYLSALRVWLGFSTLFSLIYIVIAFVLSLRDGMAAPARDYSIPGSHGIRVFTTIGAVADLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNNVKGPVWIKAAANMSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAFHNMVFRVLVRGGYLTVNTLVAAVLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKGHKLSAIQKSWHWLNVIGFSGLAVAAAVAAIRLIMLDSSTYHFFADI >PAN09160 pep chromosome:PHallii_v3.1:2:99444:103798:-1 gene:PAHAL_2G000900 transcript:PAN09160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGWIAGTCGLLLAAAISMYANALLARLHEVGGKRHIRYRDLAGHIYGRKIYGLTWALQYVNLFMINTGFIILAGQALKATYALFRDDGALKLPYCIAISGFVCALFAFGIPYLSALRVWLGFSTLFSLIYIVIAFVLSLRDGMAAPARDYSIPGSHGIRVFTTIGAVADLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNNVKGPVWIKAAANMSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAFHNMVFRVLVRGGYLTVNTLVAAVLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKGHKLSAIQKSWHWLNVIGFSGLAVAAAVAAIRLIMLDSSTYHFFADI >PAN09163 pep chromosome:PHallii_v3.1:2:99444:103841:-1 gene:PAHAL_2G000900 transcript:PAN09163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTGFIILAGQALKATYALFRDDGALKLPYCIAISGFVCALFAFGIPYLSALRVWLGFSTLFSLIYIVIAFVLSLRDGMAAPARDYSIPGSHGIRVFTTIGAVADLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNNVKGPVWIKAAANMSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAFHNMVFRVLVRGGYLTVNTLVAAVLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKGHKLSAIQKSWHWLNVIGFSGLAVAAAVAAIRLIMLDSSTYHFFADI >PAN11656 pep chromosome:PHallii_v3.1:2:29582256:29588828:-1 gene:PAHAL_2G189700 transcript:PAN11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMG7 [Source:Projected from Arabidopsis thaliana (AT5G19400) UniProtKB/Swiss-Prot;Acc:A9QM73] MMTVPMDSATPTPTSSRDLAERLFNKNNELEDQLRKSVQSKVPSDPNIWLQMRDNFEKIILIDHDFSEQNEVEYLLWQLHYKRIEDFRRSISAANSAASQSGKSSANPDRVKRIKSAFKSFLSEATGFYHDLMLKIKSNCGLPLGYFPEGFENASNSVRDDKKTAQLKKGLISCHRCLIYLGDLARYKSLHGDGDSASREYAAASSYYKEAASIYPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADTPFTTARDNLIILFEKNRQSYGQLPDNNKVPIAKTLPPRASGRGRGRGEVRFQPKDVNAETAARERECNILDTLKTFYIRFVRLNGILFTRTSLETFGELFSSVSNDLQILLSSGLEEELNFGSDTAENALAVVRLTAILIFTVHNVKKEPDSQSYAEIVQRRVLLQSAFTAAFEFVGRILRRCSELRDVASSFYLPAILVYMEWLASHPELAVDSEMEEKHASARSFFWNQCIAFMNKLVLTNLAAIDGDDDEACFSNMSMYEEGETVNRLALWEDLELRGFLPLVPAHVILDFSSKHTFGNVGSTKEKKARVQRIFAAGKSLLNFVQVDQWRIYFDPSSKKFVMAKKPPVPKVSAPLHESADALKTNAIETEHEAVRRIDSVSGNMGALQSKVQLCPEGDDDEEIVFKPTSSEKFPKASSDLSVNGYTHPVPMSAAGWPANASLVSVQSTSSMSAAVNYNSTASASAAGNYNINQSLPMSSISWAVNGEQQVIPSIDPRFELMQPVEVSASSWISNGAQHVGPRNTMSTFPDVVSDPRVSASMVPRFSSPDYSKLLSEKEILLMNGLKNVNITGNGYLEQRLQAGLSGLQSMGYSPQIPVESSGNITNLIHNQVKITGETIPSTLDSVVPSMAPSGGAPLKFTEAPLAASKKNPVSRPSKPVGPPPGFNHVTPKRQDDSISVEKLQSPQVDDYSWLDGYQPSVDHVHNLRAVYPGVSASSTAFTTPFPFPGKQQVSGIHPQGPIEKTWQDFHLFEPAKQNMFQNYQQKNQQSGQVAEQEPTNSIWSNSYHV >PAN13067 pep chromosome:PHallii_v3.1:2:46188691:46190305:1 gene:PAHAL_2G312000 transcript:PAN13067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTTPPMEIDLDAVRAARVLGRGAMGTVFLVGDGGGEAYALKVFDKRSPAASRPAAAGDAARRARWEVSVLSRLAHPHLPSLLGCAETPGLLAWALPYCPGGDLNELRHAQPDRVFSPAAIRFYVAEVVSAIAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRQLPPRSPSASTSTSSSCSATSSPPPQAPSHGRAQYHHHLKRIFKRSESAVTASTSGQEEEPRNLAWYLNRSVDGGGDQVKKAKSARVSPMDRGKKLATLCSAAVGERSFSFVGTEEYVAPEVVRGDGHDFAVDWWALGVLVYEMSHGRTPFRGRNRKETFRNVLLREPEFSADARRRWPELTDLITRLLEKDPSRRLGFAGGADEVRAHPFFAGVAWDLLGEVSRPPYIPPPADDTAACEGFSVVEYFDKLHQPPPSPAEHSPEEELAPEF >PAN13541 pep chromosome:PHallii_v3.1:2:48272668:48276606:-1 gene:PAHAL_2G345400 transcript:PAN13541 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM [Source:Projected from Arabidopsis thaliana (AT2G21170) UniProtKB/TrEMBL;Acc:A0A178VN28] MAAAPWSLACSSHLSRPADLRRTAAAPAAPQRVRLGCSRRRAQRTVAMAGSGKFFVGGNWKCNGTKDSISKLVSELNAATLETDVDVVVAPPFIYIDQVKNSLTGRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRNIIGETDEFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAYADSISNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAIRDWLKTNVSPDVASSIRIIYGGSVNAGNCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >PAN13540 pep chromosome:PHallii_v3.1:2:48272668:48275491:-1 gene:PAHAL_2G345400 transcript:PAN13540 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM [Source:Projected from Arabidopsis thaliana (AT2G21170) UniProtKB/TrEMBL;Acc:A0A178VN28] MSFFYSLLFPSLQNGTKDSISKLVSELNAATLETDVDVVVAPPFIYIDQVKNSLTGRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRNIIGETDEFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAYADSISNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAIRDWLKTNVSPDVASSIRIIYGGSVNAGNCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >PAN14315 pep chromosome:PHallii_v3.1:2:51676946:51677991:1 gene:PAHAL_2G400000 transcript:PAN14315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGGSAKAAAGDED >PAN15435 pep chromosome:PHallii_v3.1:2:56897533:56900685:1 gene:PAHAL_2G487400 transcript:PAN15435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLAGSDVKGTIFFSQEGDGPTTVTGSISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGEDGVANFNITDSQIPLTGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >PAN10077 pep chromosome:PHallii_v3.1:2:5231080:5233350:1 gene:PAHAL_2G070600 transcript:PAN10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRGGERGGERGGDRGGFGRGFGRGGRGDRGGRRGGRRGGRQQEEEKWVPVTKLGRLVKEGKIRKIEEIYLHSLPVKEHQIVEAIVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWAETRFAKTPFQEFTDLLAKPTKGLVIEAPVETVEA >PAN12640 pep chromosome:PHallii_v3.1:2:44099785:44102759:1 gene:PAHAL_2G280200 transcript:PAN12640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAWPMFLTVVLITVLFLKTIVSRRRRRAYNLPPGPKPWPIIGNLNLIGELPHRSIHELSKLYGPLMLLRFGSMPVIVGSSAEMAKFFLKTNDAAFSDRPTLSIGKYTAYDSSDIMWSQYGAYLRQARRICATELFSARRLESFEYIRDEEVRGMIHGLREASGRVVRLRSYLQMMTLSVISRMVLGRKYIQGEAAAEEGSPPVFMPAEFREIVDELFALNGVFNIGDFVPWLHWLDLQGYVRRMKRASKMFDQFLNYILDEHNQRRRLEGERFVVRDMVDVLLQLADDPNLEVQLSRDNVKALTQDMILGGTDTTTMTIEWAISELLKNPELQAKATEELDRVVGRERLVTEGDLPHLPYMEAVLKETLRLHPAAPVLAPHLAREDTSVDGFDIPAGTTVFVNVWSIGHDPALWDAPEEFRPARFIGSEVDVKGQDFELLPFGSGRRMCPGFSLGLKVTLLSIANLLHCFTWRLPDGMTVEQLSMEETFLLAMPRKVPLEAVFEPKHMESLDTSD >PAN10361 pep chromosome:PHallii_v3.1:2:6604354:6604728:-1 gene:PAHAL_2G089600 transcript:PAN10361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNSMAASAPALLLLVAMALTLAPSALAQLAPAHAPSPSQAFCPPGFDSLEAFQENAKQHGDLVLFSYVPVLGSSTSIASLVTGIQQGQNPTLNLCVCTPNPITFIPGLSGPKVICYLGSVTV >PAN09203 pep chromosome:PHallii_v3.1:2:302812:308483:-1 gene:PAHAL_2G004000 transcript:PAN09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLWGVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWTLTHPSTDLEDEKLKRDMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKGPTDDTQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIRTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYERRFFRPFEYALQPPPWYRAEHIALDKPELPPGVSKMSEYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDLSLHGDIDVYQFKFFADLCRNKVGENDSVIVVTHEPNWLLDWYWQETTGKNVSHLIQEYLNGRCRLRMAGDLHHFMRHSATRSEKPNFVQHLLVNGCGGAFLHPTHVFRNFERFSGTTYECKAAYPSYEESSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLVHILNEETWSGRLKSFSSTIWSSLLYIFEHAYVSSVGSLTLLMASYSFVPSKLSRRKRAIIGGLHVLAHLTSALLLMLLLELGIEICIRNHLLATSGYHTLYEWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKGWKLDPRWESEVRGPHQLSHDRKHPSKWRSASSPDPVRSVRVVDHFTIERTRPSEMEPSC >PAN14350 pep chromosome:PHallii_v3.1:2:51821073:51821730:-1 gene:PAHAL_2G402500 transcript:PAN14350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLKIASLVAAVLLLATAQARGWAPEEDDLVPVRTPAEQVVVALPGSAGTMAGSSLCLQCRCCSKSSPGNCQTTTCSSGFQCDPAGKCHLMQDKCGCN >PAN13164 pep chromosome:PHallii_v3.1:2:46589913:46594706:1 gene:PAHAL_2G317900 transcript:PAN13164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQDAPGGGGGAKLSLASVGFAGPGAGAGGGGYKELLVMALPKDDGLDGAKVAEVIGVRLPDVAGAVKTILGRREVREFASGALAGAMSKAILAPLETLRTRMVVGVGSRHIFGSFVEIIEQNGWQGLWAGNTINMLRIIPTQAVELGTFECVKRSMAEAQEKWKEDGYPKIQLGNLKIELPLHLLSPVAIAGAAAGIAGTLACHPLEVIKDRLTINREVYPTISLAFSKIYQTEGIGGLYSGLCPTLIGMVPYSTCYFFMYDTIKTSYCRLHKKSSLSRPELLVIGALSGLTASTISFPLEVARKRLMVGALKGKCPPNMLAALSEVIKEEGLLGLYRGWSASCLKVMPNSGITWMFYEAWKDILLADKDKQRA >PVH63807 pep chromosome:PHallii_v3.1:2:8959388:8964431:1 gene:PAHAL_2G111000 transcript:PVH63807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKSSTSNNEQQVMLSEQMLLPFPLLEKITNGFSADQQIAEGGFSVVYKGLLEGQAVAVKKLKEKCIDDKTFWREIRCLTQLKPHNNIVRFLGYYSGADQMCVRYEGTSVIADHTRHRLLCFEYQPDSLYRRISDASSGLGWIWRYQIIKGICNGLCYLHKMDIVHLDLKPANILLDSKMIPKITDFGISRCFDGQSLLFATRIDVTCGYYAPEIVNNVITRKLDIYIYIYSLGVIILEILTGHIYYTWEVQEERSHQVLKDVLESWRNRLETSQGDTYLTLLNQVRICAKIGIQCMDTDPTRRPNIQRILASLCETGSTDGFTEAMQNAAFCCAQVADGVDKIGPCGGGGGELCDVTKIPHCLEGITVRNGLIVDSIQFSYRDREGTLHTSDRWGGPGGFEHVTIKLGPGTSLKRMSGTYAPFSDETVVITSHNIAYFSGGGTTFDIHLTEGSIVGFFVRAGWFIYAIGVYVRR >PAN15071 pep chromosome:PHallii_v3.1:2:55275059:55279272:-1 gene:PAHAL_2G462000 transcript:PAN15071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPVARAVAEEVVRWGSMKQTGVSLRYMMEFGSCPTDRNLLLSAQFLQRELPIRIARRALELDSLPFGLSNKPAILKVRDWYLDSFRDIRYFPEVRSRNDELAFTQMIKMVKVRHNNVVPTIALGVQQLKNQMCRTRKVPFGFDEINEFLDRFYLSRIGIRMLIGQHVALHDPEPEPGVIGLINTRLSPIQVAQAACEDARSICLREYGSAPDINIYGDPNFTFPYVASHLHLMLLELVKNSLRAVQERYMNSDKDVPPVRIIVADGEEDVTIKVSDEGGGIARSGLPRIFTYLYSTAKNPPDLEGPNEGATMAGYGFGLPISRLYAQYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >PAN15070 pep chromosome:PHallii_v3.1:2:55275059:55278309:-1 gene:PAHAL_2G462000 transcript:PAN15070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMVKVRHNNVVPTIALGVQQLKNQMCRTRKVPFGFDEINEFLDRFYLSRIGIRMLIGQHVALHDPEPEPGVIGLINTRLSPIQVAQAACEDARSICLREYGSAPDINIYGDPNFTFPYVASHLHLMLLELVKNSLRAVQERYMNSDKDVPPVRIIVADGEEDVTIKVSDEGGGIARSGLPRIFTYLYSTAKNPPDLEGPNEGATMAGYGFGLPISRLYAQYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >PAN09630 pep chromosome:PHallii_v3.1:2:2692783:2696963:-1 gene:PAHAL_2G038400 transcript:PAN09630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARALREAGRRRGWAAEVGRRCFSGGSAGAAVAEPKEGVAGGGKAVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQGIAGFAIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSQAEVIRKGSDITLIGWGAQLAVLKEACEDAAKDGVSCELIDLKTLIPWDKETVEASVKKTGKLLVSHEAPITGGFGAEIAASIAERCFQRLEAPVARVCGVDTPFPLVYEPFYMPTKNKVLDAIKATVNY >PAN13620 pep chromosome:PHallii_v3.1:2:48663187:48665012:-1 gene:PAHAL_2G351600 transcript:PAN13620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSPMQAVLVAPGVKGKKVLSLDRVGLREKDAVAGLIRSIVATSSPAQRSAFHVLDLARVADLFRAWRRALPDVRPYYAVKCNPEPALVGALAALGAGFDCASRAEIEAVLALGVRPRSIVFANPCKPEPHLEYAAEVGVNLTTYDSVEEVAKVKRCHPNCELLLRLKGPDGGEAKIDLGTKYGAHADEVVPLLRAAQSAGLNVAGVSFHVGSGASRMDVYREAIEAARAAFDAAVALGMPPMRMLDIGGGFTAGATFDEAAVVINDALAQHFSDLPCVEVIGEPGRYFAETAFTLAARVIGKRTRGEVREYWIDDGLYGSLNCILMDHYVPRPRPLASPRPGEKTHTSTVFGPTCDSLDTVVSGYQLPEMSVGDWLIFDDMGAYSTAAGSKFNGFDTSEIKIYLEYST >PAN15160 pep chromosome:PHallii_v3.1:2:55658771:55664507:-1 gene:PAHAL_2G469100 transcript:PAN15160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAETLARSPSRDPSSDPPRAVSSEPPRDPSFEPHGNGDGSGAGAGDSSRRRRRSRWEQSNDDSTANNSGGEGGSGARKRKTRWAEEEPRPAIALPDFMKDFAAEMDPEVHALNARLLEISRLLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPSDYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNKMSTFKSDVQCKICGDGGHPTIDCPVKGTAGKKMDDEYQNFLAELGGGSAPESMTKSGGPMLALTGSGGSGGASAGSGNNPPWSAAGGGGGAAATGANGIKKDYDETNLYIGYLPPTMDDAGLISLFSQFGDIVMAKVIKDRNTGHSKGYGFVKYSDVSQANAAIAAMNGHHLEGRVIAVRVAGKPPQPAVAVSAPPSYPPTDPASGGYSSQSYMGAPHPPPPGSYAPVPWGHPPPYASYPPPPPGSSVYNPAPPAPGQTAPPPYGVQYPPPPPPPPAAPIPPPGTAPSSDGAQNYPPGVTPPSSGAPTHTAPNPVYASSGAPNAPPMYPPPPYSYSPYYPTFQPPPPPPPASVDPSQSIATAPWATHSTVPPPPPPLSSTTDQPASYGADAEYDKFISEIK >PAN12687 pep chromosome:PHallii_v3.1:2:44361370:44364725:1 gene:PAHAL_2G284200 transcript:PAN12687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 3 [Source:Projected from Arabidopsis thaliana (AT5G06680) UniProtKB/Swiss-Prot;Acc:Q9FG37] MDDHQTQDLVKELVHRLLSAAESGGDGGGGGGGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALTFADLHSKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAAPPRNATSGAAAGAGGKRAHGAAPGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKAGDAYNLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEEFSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPMFQEFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFIVGQPVKAESLWREGYLIQSDMLPAFISPVLAQRILRTGKSINFLRVCCDDSGWADAAAEAAAYVGTTTSRGGLGYGETDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFQLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKVFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRAQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSDEMDAAKDLDDLLLAHDKYLNSILEKALLGERSQGLLRNLFELFDIILQFRSHADRWFERIYELQLRGKGKPKSKSKESGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTASLDAFITQLPMQQHVDLKFLLFRLDFTEYYSRVSASK >PVH64492 pep chromosome:PHallii_v3.1:2:44361491:44364646:1 gene:PAHAL_2G284200 transcript:PVH64492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 3 [Source:Projected from Arabidopsis thaliana (AT5G06680) UniProtKB/Swiss-Prot;Acc:Q9FG37] MDDHQTQDLVKELVHRLLSAAESGGDGGGGGGGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALTFADLHSKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAAPPRNATSGAAAGAGGKRAHGAAPGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKAGDAYNLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEEFSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPMFQEFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFIVGQPVKAESLWREGYLIQSDMLPAFISPVLAQRILRTGKSINFLRVCCDDSGWADAAAEAAAYVGTTTSRGGLGYGETDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFQLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKVFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRAQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSDEMDAAKDLDDLLLAHDKYLNSILEKALLGERSQGLLRNLFELFDIILQFRSHADRWFERIYELQLRGKGKPKSKSKESGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTASLDAFITQLPMQQHVDLKFLLFRLDFTEYYSRVSASK >PVH65081 pep chromosome:PHallii_v3.1:2:52756825:52757982:1 gene:PAHAL_2G418800 transcript:PVH65081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTLSTQWGAHPAKETAATKGWSSLPDDLVVQVGDCLLADNDIYSYMDFRAVCTSWRSPTKDIAKAGRFEPSKWALLDRHDDVLTFVNVETGRFLVKNIPLLRKYLFIGATGGGMIILKKSKSPNQVRVLNPFTGLIVRFKASLPIIGWVREATVVTSPMMLFISGEAGKIMWADQDSEKFQEFGVDYRNAPLSMTPFDDKVYLSDKEGSIFSSTVAAVTAGEHSHRSAQTISMASTIRRPAGDPAWDCYLVKSGGELLLVTRPWYGVHGKPVVHRVDAERNKLELVTSIGNRALFLSDVRCLSVEASKFQAIQGGCIYFVDPVPTAGNREASLMTTFHVADQVQDDIIFDVATMAGGSKQPFTIAQVFANYSRSIYYSEPGFN >PAN09159 pep chromosome:PHallii_v3.1:2:48149:51589:1 gene:PAHAL_2G000600 transcript:PAN09159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLTFVGDDGYSEQLALLSNGFECMEVVIEEISADNSGRSFLVRISESKVFYYWCAEKSKEHGMELLSKMKNLLDGRPTLSDLTGISNSRLDAFATHLHAYLLASSIGDVKSLGSLNDFLGPSRSHDQYLQLQSAVSKTSRFRASVSNATKSSSVYQTSLSPRSGTFKDGVPRASCSRVVGREKLKRRAEWSSPSIAPLDTNHLTSSSINPDSTSEKCDADCSRNIVTSVPLDLPLSFPLLPSIYSLGTCPPPEVSLEKQFKPYYCWCPPCPSSLQYTVSPLHLPATSVDPLPLPPLSSLVANEQQPCSEVSPNLDTTDLPSLNLPSILHDPLLHLPLPTSPLIPLHGSQVPTFTPLMSDPIVHVPVIDVCSAGQAYLVSCGPSISSAVPLLPSLKPLLPEADSLVERSARETLMRLIASAPPASNPQLANILPVVLPESISRANNVNKHADADPEDKDFGTSCVAAFGSAIGGVELYSENEVSSGDDSYETFSEYDETSIDCDVQHYQKI >PAN09158 pep chromosome:PHallii_v3.1:2:46226:51589:1 gene:PAHAL_2G000600 transcript:PAN09158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPTREELEPEPEPEPEPGSRPFPDADEPWTTRSERDEPETSPPERDDPSSGAPPPPPPLRQQLMGACRADERLRPLLTLNVSCTAADDRFIAHLAQHFEVSEVGMLARCLCVPLVSLRVGKVQRDGALLCPTPIRGKLNLGLLPSSSMCLTFVGDDGYSEQLALLSNGFECMEVVIEEISADNSGRSFLVRISESKVFYYWCAEKSKEHGMELLSKMKNLLDGRPTLSDLTGISNSRLDAFATHLHAYLLASSIGDVKSLGSLNDFLGPSRSHDQYLQLQSAVSKTSRFRASVSNATKSSSVYQTSLSPRSGTFKDGVPRASCSRVVGREKLKRRAEWSSPSIAPLDTNHLTSSSINPDSTSEKCDADCSRNIVTSVPLDLPLSFPLLPSIYSLGTCPPPEVSLEKQFKPYYCWCPPCPSSLQYTVSPLHLPATSVDPLPLPPLSSLVANEQQPCSEVSPNLDTTDLPSLNLPSILHDPLLHLPLPTSPLIPLHGSQVPTFTPLMSDPIVHVPVIDVCSAGQAYLVSCGPSISSAVPLLPSLKPLLPEADSLVERSARETLMRLIASAPPASNPQLANILPVVLPESISRANNVNKHADADPEDKDFGTSCVAAFGSAIGGVELYSENEVSSGDDSYETFSEYDETSIDCDVQHYQKI >PAN09993 pep chromosome:PHallii_v3.1:2:4707064:4710432:-1 gene:PAHAL_2G063900 transcript:PAN09993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASSSVAAELWRPPHHYLASGPHHEASSVVTTADRGNGGHSGGGSSRRRPRRDTPAEEEPSKFASTSGAAAGSGSGGQDSADPEAKRLKQMTSSKSNDKIRTEAETNSGNATVEKKHAPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQRQVEFLSMKLEAVNAHMNNATASFPSKDISLFMNN >PAN14822 pep chromosome:PHallii_v3.1:2:54440320:54446368:1 gene:PAHAL_2G446700 transcript:PAN14822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEDNLPVNVREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRILLRPRVLIDVSKIDMSTTLLGYNMPSPIIVAPTGAHKLANPEGEVATARAAAACKTIMVLSFGSNCKIEEVASSCDAIRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMVAPPFANLEGLMSLDDDLDSEGGSKLERFAHETLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVEVGAAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVFKALALGATAVMVGRPVLFGLAARGEAGARHVIEMLNRELELAMALCGCRSVAEVTRRHVLTEGDRIRALL >PAN14820 pep chromosome:PHallii_v3.1:2:54440320:54446368:1 gene:PAHAL_2G446700 transcript:PAN14820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MVLSFGSNCKIEEVASSCDAIRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMVAPPFANLEGLMSLDDDLDSEGGSKLERFAHETLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVEVGAAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVFKALALGATAVMVGRPVLFGLAARGEAGARHVIEMLNRELELAMALCGCRSVAEVTRRHVLTEGDRIRALL >PAN14821 pep chromosome:PHallii_v3.1:2:54440320:54446368:1 gene:PAHAL_2G446700 transcript:PAN14821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEDNLPVNVREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRILLRPRVLIDVSKIDMSTTLLGYNMPSPIIVAPTGAHKLANPEGEVATARAAAACKTIMVLSFGSNCKIEEVASSCDAIRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMVAPPFANLEGLMSLDDDLDSEGGSKLERFAHETLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVEVGAAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVFKALALGATAVMVGRPVLFGLAARGEAGARHVIEMLNRELELAMALCGCRSVAEVTRRHVLTEGDRIRALL >PVH65252 pep chromosome:PHallii_v3.1:2:54440320:54446368:1 gene:PAHAL_2G446700 transcript:PVH65252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEDNLPVNVREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRILLRPRVLIDVSKIDMSTTLLGYNMPSPIIVAPTGAHKLANPEGEVATARAAAACKTIMVLSFGSNCKIEEVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMVAPPFANLEGLMSLDDDLDSEGGSKLERFAHETLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVEVGAAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVFKALALGATAVMVGRPVLFGLAARGEAGARHVIEMLNRELELAMALCGCRSVAEVTRRHVLTEGDRIRALL >PAN12535 pep chromosome:PHallii_v3.1:2:43404456:43406353:-1 gene:PAHAL_2G271000 transcript:PAN12535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATQCIFAAMTLWVKAAFAGGMSPTVFVVYRQAVATLVLAPIAVIANRSMLKEMRLGMNGFFLVFMAALFGATVNQNLCYQGLQLGTSSLATTMTNLIPAITFAMAVALGQERVNIKEISSMAKVLGTAVCVGGAIAIAFFKGPKPLNLSLNHSSSSKWVMGALLLIGSSSCWSVCWSLWLILQVIMLRA >PVH64451 pep chromosome:PHallii_v3.1:2:43401762:43406057:-1 gene:PAHAL_2G271000 transcript:PVH64451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATQCIFAAMTLWVKAAFAGGMSPTVFVVYRQAVATLVLAPIAVIANRSMLKEMRLGMNGFFLVFMAALFGATVNQNLCYQGLQLGTSSLATTMTNLIPAITFAMAVALGQERVNIKEISSMAKVLGTAVCVGGAIAIAFFKGPKPLNLSLNHSSSSKWVMGALLLIGSSSCWSVCWSLWLILQAPICRSYYMDPLTLSAWTCFLSTLQSAVVAFLLLPDRSAWKIHSLFELSCYIFAGVFGSGVVFYLQSWCISVRGPLYSAMFTPLCTVLTTRSPPLLGAVAVVAGLYVVLWGKAEDARTGRAPDRSKDSTDRAALSGAQLDVEDTPAAPPLADAAPVGQARS >PAN14827 pep chromosome:PHallii_v3.1:2:54458410:54461384:-1 gene:PAHAL_2G447100 transcript:PAN14827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILSDLYSPVRWTVTAGDLWGESGSSKNGKSWKRRSSWKFDEDDDDFEADFEDFEDCSSEEEVDFGHEEKEFQMNSSNFVEFNGHSAKVASRERKTQYRGIRRRPWGKWAAEIRDPQKGVRVWLGTFSTAEEAARAYDVEALRIRGKKAKVNFPNTITAAGKHHRRRVARPAKRIMSQESLKSSNASGQVVSAGSSTDGTVVKIELLEPPASPLPVSSSWLDAFELNQLGGLRHLEADGRETTEETDHETGVTADMVFGDGEVRLADDFASYEPYPNFMQLPYLEGSSYENIDALFNGKAAQDCVNIGGLWNFDDLPMDRGVY >PVH65253 pep chromosome:PHallii_v3.1:2:54458240:54461384:-1 gene:PAHAL_2G447100 transcript:PVH65253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILSDLYSPVRWTVTAGDLWGESGSSKNGKSWKRRSSWKFDEDDDDFEADFEDFEDCSSEEEVDFGHEEKEFQMNSSNFVEFNGHSAKVASRERKTQYRGIRRRPWGKWAAEIRDPQKGVRVWLGTFSTAEEAARAYDVEALRIRGKKAKVNFPNTITAAGKHHRRRVARPAKRIMSQESLKSSNASGQVVSAGSSTDGTVVKIELLEPPASPLPVSSSWLDAFELNQLGGLRHLEADGRETTEETDHETGVTADMVFGDGEVRLADDFASYEPYPNFMQLPYLEGSSYENIDALFNGKAAQDCVNIGGLWNFDDLPMDRGVY >PAN14022 pep chromosome:PHallii_v3.1:2:54524855:54526550:1 gene:PAHAL_2G448300 transcript:PAN14022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQAKEPLRKMLSAATGYYGGLVQSYSLSAYGLRHSLSSSMKASRHFIPCKARSLSQFSCGGSSADQEIVIAMGSNVGDRVSTFDRALQMMKSSGVNITRHACLYETAPAYVTDQPRFLNSAIRGTTRLGPYELLKKLKEIEKDIGRTGGIRYGPRPIDLDILLYGNSQIHSETLIVPHERIHERPFVLAPLVDLLGTSCDDGIETSWHSFSKCSGGFFELWNKLGGESIIGTQGIKRVLPVGNHLLDWCERTLVMGILNLTPDSFSDGGKFQQVEAAISQVKLLISQGADIIDIGAQSTRPFAKRLSPKEEFERLIPILDEITKIPEIEGKLLSVDTFYAEVAAEAVKRGVHMINDVSGGQLDPGILKVAAELGVPYVIMHMRGDPSTMQSEKNLQYGDVCKEVASELYTQVREAELSGIPLWRIVLDPGIGFSKKSGDNIEVIAGLESIRREMGKMSIGASHVPILLGPSRKSFLREICDRANPVDLDAATVVAVTIGILNGANIVRVHNAGYCADAAKVCDALHKRRRWEN >PAN14839 pep chromosome:PHallii_v3.1:2:54523559:54527852:1 gene:PAHAL_2G448300 transcript:PAN14839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGPADIPTYHCQLPAIASETNRARQHGSRRRLPDRIRDEQNKPTRKPPPPILRLPLLPSEHGRTNHRTSSARPPTAGKGERARARALPPCWLCVSPVAPTEAGSQGARERGQGEDVAGTAAEGVSASTNLRAKEPLRKMLSAATGYYGGLVQSYSLSAYGLRHSLSSSMKASRHFIPCKARSLSQFSCGGSSADQEIVIAMGSNVGDRVSTFDRALQMMKSSGVNITRHACLYETAPAYVTDQPRFLNSAIRGTTRLGPYELLKKLKEIEKDIGRTGGIRYGPRPIDLDILLYGNSQIHSETLIVPHERIHERPFVLAPLVDLLGTSCDDGIETSWHSFSKCSGGFFELWNKLGGESIIGTQGIKRVLPVGNHLLDWCERTLVMGILNLTPDSFSDGGKFQQVEAAISQVKLLISQGADIIDIGAQSTRPFAKRLSPKEEFERLIPILDEITKIPEIEGKLLSVDTFYAEVAAEAVKRGVHMINDVSGGQLDPGILKVAAELGVPYVIMHMRGDPSTMQSEKNLQYGDVCKEVASELYTQVREAELSGIPLWRIVLDPGIGFSKKSGDNIEVIAGLESIRREMGKMSIGASHVPILLGPSRKSFLREICDRANPVDLDAATVVAVTIGILNGANIVRVHNAGYCADAAKVCDALHKRRRWEN >PAN09670 pep chromosome:PHallii_v3.1:2:3055553:3057709:1 gene:PAHAL_2G043300 transcript:PAN09670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVAAYLLAVLAGNPSPSAEDLSAILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >PVH64369 pep chromosome:PHallii_v3.1:2:41619914:41620289:-1 gene:PAHAL_2G250700 transcript:PVH64369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSPACAELGIYGSGADCRPSSFYQFWLWVEIFMRNGKKFFMVGLESQTAQDHPALEAGAEALKGAALALHPRESTSEDTGMVLLQ >PVH64408 pep chromosome:PHallii_v3.1:2:42663318:42665562:-1 gene:PAHAL_2G261700 transcript:PVH64408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYKMGGGDGRLPPGFRFRPTDEELLTHYLAPKAADAGFAPAAVREVDLYRSQPWDLLPAAGGGGGCCYFFCRRSVKFPSGLRTNRATRAGYWKSTGKDKVVTRQHGGGSIDSGRGEPLGLKKTLVFYRGRAPTGEKTNWVMHEYRLVQGHGYTSPMLATGSQSEWVICRMFMRKAPGEKSLLEEETVPHSPLNNGHLQPSFDGCNGGKTTTAVGEASNPEHANCFSDALAIAPADRHHETACQGALQLNREAELLMNCSASDYASAAAPASELQQQATLLLDELSSDCFDDLLPQLLDYEGLPFLQDF >PVH64639 pep chromosome:PHallii_v3.1:2:46769066:46769997:1 gene:PAHAL_2G321000 transcript:PVH64639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAASSPAAGGVSPMWPPPAARSLQQLPTPPSLLHFHLPVAAAFELAFCCSTASAPHLLMEVWSRSSGTLLTLCCFDSVLGR >PVH64253 pep chromosome:PHallii_v3.1:2:37828003:37830665:-1 gene:PAHAL_2G222700 transcript:PVH64253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSPLSTLRPRDYRNTICVRICRKWEYRGVNDGGPLQHIDFVIADEQGNIMYTEVPAAEADRHGPILQADGTYIISRFRVCNAKNVYRSVDNPYMLEFTCHTKISVASEPITEPKYVYKLTPFKDLPDYINDTKKFHDVVGIITEVNEPQWVTFTNQPNPTLRRDIRIKNETAEEMRVALWGSKATRFALPSNLINADKPITILFTGCLIKNYYSQLLKIKQLGIPIAEQAQPEPQPLSDLMTLREMQDIDPYDFLETGCRCTVTIVRLVEGRGWWYPSCNLCKKSCKPDDSTYKCFECNYTKWTYKSEMIAFAGIAHHIVGKPVETVIRSAANRSTIPPDIAAIVSSKYTFSVAMAEESFRKEKKSYQLNGIITAYG >PVH64521 pep chromosome:PHallii_v3.1:2:45015424:45016967:-1 gene:PAHAL_2G293600 transcript:PVH64521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYSQILIFRHAMASPVPIHRTLRRRSGLYCERRCLTDRRQRREICRAACGSTQEV >PVH64182 pep chromosome:PHallii_v3.1:2:35157793:35169763:-1 gene:PAHAL_2G210000 transcript:PVH64182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAVASPLPPAAAAARRWTRASSSARETGSQCWKYDLTQERSLGGSLRIGQSQGSLHKHHSTNLLRPAAAISVEQDEVNTYLPKGDMWSVHKFGGTCMGTPQRIQSVADIVLGDSSERKLVIVSAMSKVTDMMYNLVHKAQSRDDSYMIALEEIFEKHMAAAKDLLDGEDLARFLSQLHSDVSNLRAMLSAIYIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGAPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNISAPGTMICRQPANDNGDLDACVKSFATIDNLALVNVEGTGMAGVPGTSSAIFSAVKDVGANVIMISQASSEHSICFAVPEKEVAAVSAALHVKFREALAAGRLSKVEVIKGCSILAAVGLRMASTPGVSAMLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSTTTLAVGIIGPGLIGGTLLNQLKDQAAVLKENMNIDLRVIGITGSSTMLLSDTGIDLTQWKELLRKEAEPADLANFVRHMSDNHVFPNKVLVDCTADTSIASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVKSLVPEALASCSSADEFMQKLPSFDQDWAKQRSEAEAAGEVLRYVGVVDAVNQKGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASSLGAPS >PAN11738 pep chromosome:PHallii_v3.1:2:35158180:35169595:-1 gene:PAHAL_2G210000 transcript:PAN11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAVASPLPPAAAAARRWTRASSSARETGSQCWKYDLTQERSLGGSLRIGQSQGSLHKHHSTNLLRPAAAAISVEQDEVNTYLPKGDMWSVHKFGGTCMGTPQRIQSVADIVLGDSSERKLVIVSAMSKVTDMMYNLVHKAQSRDDSYMIALEEIFEKHMAAAKDLLDGEDLARFLSQLHSDVSNLRAMLSAIYIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGAPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNISAPGTMICRQPANDNGDLDACVKSFATIDNLALVNVEGTGMAGVPGTSSAIFSAVKDVGANVIMISQASSEHSICFAVPEKEVAAVSAALHVKFREALAAGRLSKVEVIKGCSILAAVGLRMASTPGVSAMLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSTTTLAVGIIGPGLIGGTLLNQLKDQAAVLKENMNIDLRVIGITGSSTMLLSDTGIDLTQWKELLRKEAEPADLANFVRHMSDNHVFPNKVLVDCTADTSIASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVKSLVPEALASCSSADEFMQKLPSFDQDWAKQRSEAEAAGEVLRYVGVVDAVNQKGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASSLGAPS >PAN12402 pep chromosome:PHallii_v3.1:2:42996195:42999828:-1 gene:PAHAL_2G266000 transcript:PAN12402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCSFRSVVRAPPLSRSLGRSAVRCRSAATPGAGGAASTSKLVLEVKERLEREHPGLPTGRNGRDDDDMILWFLKDRKFSVDEAVSKLTKAIKWRQDFGVSELSEESVKGLYQTGKAYVHDSLDIYGRPVLVVVAAKHFPSTQDPVENEKLCAYLVEKAINRLPSGAENILGIFDLRGFRVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQVVKPLLKSYASLVRFCDSETVRKEYFTEETVPPDFRC >PAN12401 pep chromosome:PHallii_v3.1:2:42997046:42999195:-1 gene:PAHAL_2G266000 transcript:PAN12401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILWFLKDRKFSVDEAVSKLTKAIKWRQDFGVSELSEESVKGLYQTGKAYVHDSLDIYGRPVLVVVAAKHFPSTQDPVENEKLCAYLVEKAINRLPSGAENILGIFDLRGFRVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQVVKPLLKSYASLVRFCDSETVRKEYFTEETVPPDFRC >PVH65060 pep chromosome:PHallii_v3.1:2:52512344:52512713:-1 gene:PAHAL_2G415000 transcript:PVH65060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVFRRRLRLLVVLVAVMIICFLTTTFNRGRIYEASFDKNNFIQAKETCKSLAQDFEVSFMLPIRGKNYSPKVPITIVIIKHTT >PAN09292 pep chromosome:PHallii_v3.1:2:438956:441492:-1 gene:PAHAL_2G006900 transcript:PAN09292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFMKVCVVGAGVAGLAAARELLREGHDVTVMEQSGGVGGQWLYDPATDAGDPLGTAGAHSSIYASLRLNSPREVIGFSDFPFYPKNDRGGDARRFPRHGEFLRYIRDFCDAFGLMDAVRLNTRVAHVGMAPRSDDGGILGWEVRCAKQGEGNEVVTTEEVFDAIVVAVGQFTQPRIPTINGMDKWSRRQLHSHSYRVPDSFHGEVVVIVGCHESGKDIALDLREVVREVHISVKPMAGTISPGMSKAVSKHPNLHLHPEIDCLCDDGRVVFVDGSGVVADAIIYCTGYDYSFPFLDTAGLVTVDDNRVGPLFEHTFPPALAPWLSFVGVPRMVILPRFYEMQARWVAQVLSGRMALPPVEEMLRTVEEYNRAREAAGVPKRLTHAVGFDLELCDEFGEKRCGFPRLEDWKKELFLSAITSLLDDAESWRDDYLDSDIVLEGLRSQGWLTGRSPHDKDDDGAAGERAPKPQTHS >PVH63681 pep chromosome:PHallii_v3.1:2:6148229:6151854:1 gene:PAHAL_2G084500 transcript:PVH63681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPCAALVLAAVAALLLLLLAPAARADDGGCGADGAAAARGGDLARARALKIAAFFSILVCGALGCCLPVLGRRVPALRADGDVFFLVKAFAAGVILATGIIHILPDAFEKLTSECLPAGGPWKDFPFAGFGAMVGAIGTLVVDTVATGYFTRLHFKDSAAAAATAAVSAAVVGDDVEKQQQQATAAAPHAGGSDDHEGHVHMHTHATHGHAHGSTALVAAVGGGEGDKEHALRHRVIAQVLELGIVVHSVIIGISLGASKDPSTIKPLVVALSFHQMFEGMGLGGCIVQAKFKLRSIVTMVLFFCLTTPVGILVGIGISSVYNEDSSTALVVEGILNSVAAGILIYMALVDLLAEDFMNPKVQSRGKLQLGINVSMLVGAGLMSMLAKWA >PAN14762 pep chromosome:PHallii_v3.1:2:54220158:54224538:1 gene:PAHAL_2G442900 transcript:PAN14762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYLLHAPAAAVVAAAPSAFTLRSLPPARTPFLPSLPRPASPRRAAASAFSPAAAAAPIAASLLEGPVLVWAGRLCLYYALLHAGLAGSPRNPFLSHEIGDDGAGDSDLGFSKWAEKLRGGASGENDAQDKKKLTSKWRPTTKGTLKRTYRVRSTDEGRRILKEIASVLSQDDHFVDASTHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITPFPAGHLTDNDYRKAERLEMVLRLSASI >PAN10098 pep chromosome:PHallii_v3.1:2:5331033:5335566:1 gene:PAHAL_2G071900 transcript:PAN10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGGGRIPAPPPGAGALVKVAVFGGAAVYAAMNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPERLPHIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTIAASANKVFLDSNDLLLNLQQLSVSGKQKK >PAN12299 pep chromosome:PHallii_v3.1:2:42295925:42296191:1 gene:PAHAL_2G257300 transcript:PAN12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGVRARGAAGVAAALLALLLVAASSVHADAAAAPARRLLGADGATMQPAVAAPPPPPLQVSVSKAAGPSCGTNDPHNSCPPRSHAP >PAN15614 pep chromosome:PHallii_v3.1:2:57828652:57831327:1 gene:PAHAL_2G501400 transcript:PAN15614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAINDLDKELEYLKQAFEDEKTRYEERAKQGF >PAN10584 pep chromosome:PHallii_v3.1:2:8129915:8134185:-1 gene:PAHAL_2G103500 transcript:PAN10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIWLLLACLIAASLAGAGAGDGGSRSQVYVVYMGAVPARTSPNLLLESHLRLVGTVLKRGQRADSVVLRQYRHGFSGFAARLSKDQAAALRRRPGVVSVFADPVYQLHTTRSWDFLQQAAVKIDAAARRRAARKAAGDPSSSSSPAAATADTIIGLLDSGIWPESPSFSDAGFGPVPARWKGVCMTGDDFNSSSCNKKLIGARYYDMDDVRGPSQSGGGGSPRDDVGHGTHTSSTAAGSAVAGASYYGLAPGTAKGGSAASRVAMYRVCSEEGCAGSAILAGFDDAVADGVDVLSVSLGASPYFRQDFSADPIAIGSFHAVAKGITVVCSAGNSGPAAATVVNAAPWILTVAATTIDRDFESDVVLGGNNSAVRGGAINFSNLDKSPKYPLITGAAAKSSSVSDAASASHCEPGTLDRGKVQGKIVLCNHSQGDTSKQVKADELKSAGAVGCIFVNDAELAVATTYLDFPATEVTSASAAAIRKYIASTSQPVATITPAATVTEYKPAPVVAYFSSRGPSAQTGNVLKPDVAAPGVNILASWIPTSSLPAGQTQASQFKLVSGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATQLDNNHAPMTTDFGSPATPYDYGAGQVHPTGALDPGLVYEAGEDDYLHFLCNYGYDASKIKLIAASLPGGFYCAANASTGLISDLNYPSIAVSGLGKAAGGGRTVTRAVTNVGAQEEATYTVAVSAPAGLDVKVTPNKLEFTKGVKTLAFQASFSGGKDAAAKKGAMSGSITWSDGKHMVRSPFVVTS >PAN15383 pep chromosome:PHallii_v3.1:2:56676846:56679438:-1 gene:PAHAL_2G483900 transcript:PAN15383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETTAPPPSSSLPPPAAAAAPRHPRLSGFGQLDASVKELTSSQAELLEKIQKLKQEVQNWRSNVETQVRTCQNELQGLKKGLDSEVEQLKLEMEEIRSAIQEEKGNLPAQITNSETSNNDTEQALQTQDQALKVDTDASMEEQTATQP >PAN15385 pep chromosome:PHallii_v3.1:2:56676846:56678608:-1 gene:PAHAL_2G483900 transcript:PAN15385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETTAPPPSSSLPPPAAAAAPRHPRLSGFGQLDASVKELTSSQAELLEKIQKLKQEVQNWRSNVETQVRTCQNELQGLKKGLDSEVEQLKLEMEEIRSAIQEEKGNLPAQITNSETSNNDTEQALQTQDQALKVDTDASMEEQTATQP >PAN11764 pep chromosome:PHallii_v3.1:2:36685336:36686431:-1 gene:PAHAL_2G215500 transcript:PAN11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALARGI >PAN11766 pep chromosome:PHallii_v3.1:2:36684924:36686431:-1 gene:PAHAL_2G215500 transcript:PAN11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALAREGIPYVGMSPFACGWSTSQRKVNKLENYCFPTTDIFLDFF >PAN11767 pep chromosome:PHallii_v3.1:2:36684392:36686431:-1 gene:PAHAL_2G215500 transcript:PAN11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALARGV >PAN11769 pep chromosome:PHallii_v3.1:2:36684385:36686431:-1 gene:PAHAL_2G215500 transcript:PAN11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALAREGIPYVGMSPFACGWSTSQRKECRD >PVH64207 pep chromosome:PHallii_v3.1:2:36683541:36686677:-1 gene:PAHAL_2G215500 transcript:PVH64207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISKEYHMLGCHHLLVGGLPVKEKSVEISSK >PAN11772 pep chromosome:PHallii_v3.1:2:36683724:36686624:-1 gene:PAHAL_2G215500 transcript:PAN11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISKEYHMLGCHHLLVGGLPVKEK >PVH64208 pep chromosome:PHallii_v3.1:2:36685491:36686431:-1 gene:PAHAL_2G215500 transcript:PVH64208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVRPLSMSNILSKCFSFSFDILKACGNAIKFVI >PAN11768 pep chromosome:PHallii_v3.1:2:36683541:36686677:-1 gene:PAHAL_2G215500 transcript:PAN11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALARGV >PAN11765 pep chromosome:PHallii_v3.1:2:36684385:36686431:-1 gene:PAHAL_2G215500 transcript:PAN11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALAREGIPYVGMSPFACGWSTSQRKECRD >PAN11084 pep chromosome:PHallii_v3.1:2:13295510:13296762:-1 gene:PAHAL_2G140500 transcript:PAN11084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSNHLPPHLSQGTAKPPNYGSLDPSAMADPQFVAFLQATYAAQVAQAAQPAYLSPPAYIDLESSPASWPPRAASLAALHAQLRPPIGIPAMPPTPNFDNSPTQVKSRTGNFTIAEDKAICSAFINVSKDPIVGVNQSSEAYWDRVHKFLYPNTPGTIQKDAAKFCGYKAEQDRKNQSGKTEEDRIEDAKKQYHGLVGKPFTFMHCWESLCGQRKWLDLVGAKGKDADNNGEESTPDLVDLSFPEEDANDTHPIGRDSAKKRRSNKLQSSSTASAYVEVLQKMTDHKGKQIVAEVEWANAFNDREDRKLTLEEKKREDGIMKMDLSALDPYQRRYFRREIKAILARTPADDDEQEMDDDFGARSHGPSAD >PAN14579 pep chromosome:PHallii_v3.1:2:52921395:52926909:-1 gene:PAHAL_2G421200 transcript:PAN14579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRRAKDQESDGISQKDVESLDLRSLSHTSATSSLSTAGGPKGKNTWKLKSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEFLKCLLSFLALYRTWNCQGITEDNRLTTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGILYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVINKGFFHGYSSITILMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIISVFLFSFHLSLAFFLGSTVVSVSVYLHSVGKPQQQK >PVH64071 pep chromosome:PHallii_v3.1:2:25520496:25521230:1 gene:PAHAL_2G178500 transcript:PVH64071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDGICHAEPGLPNLLILSLERIGVMEPPEYAYREYTSKGILRCDMMVFVGKGTRYLDVDPWFISTSGFRFPDTYRKAARKALRRLRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDMVSHLSIYLTGLDELYREQAAQLKQLIHRAEEATQELEEQQIRAARDEYSLAALQAQMQEYKNCRGIGGCIEEEEEPEETHWDKGTQTEGEVMDRCLPIKKRPIRIGEESP >PVH64650 pep chromosome:PHallii_v3.1:2:46984204:46986373:1 gene:PAHAL_2G324200 transcript:PVH64650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTWTSGYNIDEAYPFVEGKMKGEESPRRTPAGTLTYTRRHLCGKPANAEGYRDPGFIHTAFLKDLWPNREYSYQIGHELPDGTVVRGKPSTFRAPPFPGQDSLQRVVVFGDMGLGQRDGTNELAGFQPGAQVTTDRLIQDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASRVPYMVASGNHERTYHDTGGFYTGNDSRGECGVPAETYFYVPAENRGKFWYAADHGMFRFCVGDTEHDWRPGSEQHAFLERCFASADRKHQPWLVFAAHRPLGYSSNDYYAAEGSFAEPMGRALQGLWQKHRVDLAVYGHVHNYERTCPVYENTCMDGGKDDKGSYSGTMGGTVHVVAGTGGAKLRDYSAGPWPQWSVARDKSFGYVKLTASDHSSLRVEFIHSADGAAHDAFNISRDYKDVLACTVDSCAPHSMAS >PAN13872 pep chromosome:PHallii_v3.1:2:53922921:53926962:1 gene:PAHAL_2G437400 transcript:PAN13872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAATSLFLRRNRNPQLLLLRAAISSSRALPQQAELSPEPTAAAAAAAAPDPAPLPPNPSTGSPFYGENWRNPAAANPPSSLLPAVVGASPFGAHNRMAAYSDAAGLKETFAKWMAEQRWEDMKQLFDSWVRSLDTATGKPNRPDVDLFNHYLRANLMTGAMPHEMLDLADHMREFEIEPNTASYNLVLKSMVTAQEAEGAEKLVERMLQTGTVPDDESYNLVVGLLIRQNLFDSALKYLDLMLKSGHTLSLTIFTDYVRACVRSGRLDTLASIIEKCKTTDKNKVLCPQWAWCIDIAEAAFEANNSKLALFALEFLARWIVCGENAKPPAQLSVNEGLVISALSAAGRTYSTDLLNAAWSLLRKSLRQKRAPTPDTYLAKIYAHSSIGQLQRAFGTLREFENAYGNSEDIDPELFSPFTSLHPLVVACCKDGFSTLDSVYVQLENLTRADPPYKSVAALNCVILGCANIWDIDRAYETFVAIKDKFELTPDIHSYNALLCAFGKKKRTEEACNVFEHILTLGVKPNATTYSLLVDAHLANKDPKVALAVIDKMVDAGFTPSKDTLKKVRRRCSRESDFDSDKKVQSLAKQFNYRMGGENRRELLYNIEYNPVY >PVH64311 pep chromosome:PHallii_v3.1:2:39856645:39859580:-1 gene:PAHAL_2G234500 transcript:PVH64311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSDGGSLCDELDDDHDEVAESDDETDYQTHMDGANQRSHGQNSVEGHLACLDDETLFGVVKKLVCSSLRQLISSAARSVGGSKSNHGTGHFAATKAITRLNVSYFF >PVH64427 pep chromosome:PHallii_v3.1:2:42969621:42971656:1 gene:PAHAL_2G265700 transcript:PVH64427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAAEKPDDVEIREVWADNLEAEFAVIRDIVDDYPYVAMDTEFPGVVCRPLGTYKSPAEFNYATLKANVDMLKLIQLGLTFSDEHGGLPALGPGGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFARHGADGADARRFAELLMSSGVVMNSDVRWVTFHSGYDFGYLLKLLTGTNLPDTISGFFDLIKIYFPVIYDIKHLMRFCNSLHGGLNKLAELLDVARVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGFEGGETTSAH >PVH65208 pep chromosome:PHallii_v3.1:2:54028013:54031607:-1 gene:PAHAL_2G439600 transcript:PVH65208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDFLGGFGREGGAPGVAGGSAATAESDEIELSLGLSLGGCFGADPEQDAKKPRLVRSSSIPSICSLPGGFTSGEEPAAVTAPPSDLLRTSSLPSEYMEDRLRRRAMQSQRRLEAKRKRLERRNSMNSGRSATSTSAGAGRDEALEQTVPSGFQFRRTVALQGTTSSSAPEQGGGAPAHSSPATNTSSDNTSGGQSSSRPPTASGTGRPSNGTTGREQPLRTLRSLTMRTASTGDLRNSMVEDMPMVSYKVEGPSGRKTDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVRHAGGGDVTNPLRHIVVNPQQSVFL >PAN15438 pep chromosome:PHallii_v3.1:2:56913482:56914453:1 gene:PAHAL_2G487800 transcript:PAN15438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSMASPALLFLAVTLMLAASVRAQGRSPPRAPTPAPPRASPPSTRAPTPAPMPAPTRPPTHAPTPSPTRAPTPAPTCAPMLSPTRAPTLAPTHAPTPSPTSAPTPAPIRAPTPSPIRAPTPAPTRAPTPSPTRTPTPAPILAPIHAPTPSPTRAPTPAPAHAPTPSPIRAPAPAPIHPPAPSPTRTPTPAPTRSPPPSPTPAPTPSPTPTPTPPPPPSCPTGFKNLLEYKQGLIRYAKEGIILVPAPSNLLLPTIPDILIPFVRVKCVCSVRSTFLILTSEITCKKAGT >PAN14423 pep chromosome:PHallii_v3.1:2:52182626:52183958:-1 gene:PAHAL_2G408900 transcript:PAN14423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAAAASTFLGTRLADPAPQNGRIVARFGFGKKAAAPKKVTKTSTSSDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPVEYLQFDLDSLDQNLAKNEAGAIIGTRFESSEVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRIYPGGSYFDPLGLAADPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGLSGSS >PVH64789 pep chromosome:PHallii_v3.1:2:49033251:49037037:1 gene:PAHAL_2G357800 transcript:PVH64789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSSMSFFTAAFLLLPALSASDDRLVPGKPLLLGATVISDGGDFALGFFSPSNSTPEKLYLGIWYNNIPQFTVVWVANRETPAISSSAPSLVVTNNTSDLVLSDANGRVLWTTNTTTSANSSPLPRSSNNTGSVAVLMNTGNLILRSPGGMVLWQSFDHPTDMFLPGMKIRKSHKTHEGNRFVSWNGPDDPSPGAFSFVQETLQSYILNGSLPEWRTPVWTGFTVSSHHWANTSIVVYMAYVDTDNEMSTVLTVSDGAPPIQLVMSYSGLLEGRVWNRDSSEWAGLGVWPDVQCSRYGYCGPSGYCDYTTDATPTCKCLDGFEPVDKEEWNNGRFSQGCQRKEVFRCSDGFLALPYMKVPDNFVRIGRKTFQECAAECSANCSCVAYAYATLNGSTSNGDATRCLVWIGDHQLVDTQKIGVRPYNTNTAGADSQETLYLRVASMPGKRTKKNTLKVLLPILAVVIVLTSILLVWVRKFRGRKTNTENQMKLMHGGYTTSDELGREKNTSDFELPFLKFQDILLATNNFSSTFMIGQGGFGKVYKGTLEGGQEVAIKRLSRDSDQGNREFRNEVILIAKLQHRNLVRLLGCCIEGDEKLLIYEYLPNKSLDAIIFNRERNATLDWPIRFGIIKGVARGLLYLHHDSRLTIVHRDLKASNILLDAEMRPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVVSGIKISSSDRIPSYPNLIVYAWNLWKEGKATDLVDKCIAENCVLDEASLCIHIGLLCVQENPDDRPFMSSVVLNLENGSTTLPAPNHPAYFVQRNSDMEKKREDIMNSKNTVTLTIIEGR >PAN11891 pep chromosome:PHallii_v3.1:2:38386095:38390170:1 gene:PAHAL_2G225800 transcript:PAN11891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSARGFWARHRRKILVSLGVAGAGYAAYRLYDAHRAQLLRVEHLRAREEQAAADLVKNQLQEHFEKVQSICDTTTLPLAMHQLCENITSQLDISKLTDKLRQGKAESSALTPKEKYDTWEEIKIKSFTKTVSSMWAMTLLSLYTRVQVTILGRHLYLDFARGTHGAQLQEESTFSENGHKSFLTTADYLPTGKINAYIMDMQRAATEVLKEKKLKDLMSTDEVLQTVLQILDMFMSLCEDNSWIKYLIPDDASVQAQLMAVSTSGFDDSSLLNDFRKLEQLMAETRVVLASDDFRNIMERSLRKIADMVIEDLAAQTGIPSPPSGLPLATLLPRVAHLSLPLLEEPNKNKYIQMIRSMPEVELFYTFLYANMPPET >PAN11890 pep chromosome:PHallii_v3.1:2:38387018:38389640:1 gene:PAHAL_2G225800 transcript:PAN11890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILTGQGEHMLEKDRAQFLFVSTGYNHSNRLQEHFEKVQSICDTTTLPLAMHQLCENITSQLDISKLTDKLRQGKAESSALTPKEKYDTWEEIKIKSFTKTVSSMWAMTLLSLYTRVQVTILGRHLYLDFARGTHGAQLQEESTFSENGHKSFLTTADYLPTGKINAYIMDMQRAATEVLKEKKLKDLMSTDEVLQTVLQILDMFMSLCEDNSWIKYLIPDDASVQAQLMAVSTSGFDDSSLLNDFRKLEQLMAETRVVLASDDFRNIMERSLRKIADMVIEDLAAQTGIPSPPSGLPLATLLPRVAHLSLPLLEEPNKNKYIQMIRSMPEVELFYTFLYANMPPET >PVH64831 pep chromosome:PHallii_v3.1:2:49449051:49451445:1 gene:PAHAL_2G364800 transcript:PVH64831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGRPKIGDQATSDVVVRLRTPEGRDEWLYCHSTVLAAGSTYIADRLSDAWPTCQILGSRYCVEVYCQELDLSSHVTALRLLYAAEPCSRFGVRGALGVLQAAVSLGCAQIAAACAGYIEAAPWDEADEEEILRTVPCLGAQYECILARLRPIDPAPVTRIFLSAFRHATRSSAAGPARELKSAAQEQLEYMLTEDDDAPLIALDNVNVKSQVKGCVTGLLSRFSDFLSSILTKNKETSLVGDIGELQLELHTFVCDVSWACQILSKLEMMKCIVLYWVGVSSDVVEAIDAVCPGYDCLKTRLKVVEVSAKVLEAAAFGNIVLPTEKRHHMVNVWITFARTTKSLIVQANHDDDDGDAETPKANLDNEVWQCLESAIVSIVLTLPSNSQAEILSEWLQSKHVRYPDLTEAFEAWCYRSKVAKRRLSFLSNIDRVS >PAN13801 pep chromosome:PHallii_v3.1:2:49449052:49451444:1 gene:PAHAL_2G364800 transcript:PAN13801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGRPKIGDQATSDVVVRLRTPEGRDEWLYCHSTVLAAGSTYIADRLSDAWPTCQILGSRYCVEVYCQELDLSSHVTALRLLYAAEPCSRFGVRGALGVLQAAVSLGCAQIAAACAGYIEAAPWDEADEEEILRTVPCLGAQYECILARLRPIDPAPVTRIFLSAFRHATRSSAAGPARELKSAAQEQLEYMLTEDDDAPLIALDNVNVKSQVKGCVTGLLSRFSDFLSSILTKNKETSLVGDIGELQLELHTFVCDVSWACQILSKLEMMKCIVLYWVGVSSDVVEAIDAVCPGYDCLKTRLKVVEVSAKVLEAAAFGNIVLPTEKRHHMVNVWITFARTTKSLIVQANHDDDDGDAETPKANLDNEVWQCLESAIVSIVLTLPSNSQAEILSEWLQSKHVRYPDLTEAFEAWCYRSKVAKRRLSFLSNIDRVS >PVH64957 pep chromosome:PHallii_v3.1:2:51197933:51199228:1 gene:PAHAL_2G392400 transcript:PVH64957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVSSPSFSRSVLSCSEGQAQSGESSWTDYFVDFMLSEEEEKKRQEGANSYCATEDDGDGSSHGEEEEDSMVSDAASRAPASALLPARYKGLKKLKKAFKALDHDDSLEDTASSPVNSPKCCVTAGIEP >PAN14219 pep chromosome:PHallii_v3.1:2:51197933:51199228:1 gene:PAHAL_2G392400 transcript:PAN14219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVSSPSFSRSVLSCSEGQAQSGESSWTDYFVDFMLSEEEEKKRQEGANSYCATEDDGDGSSHGEEEEDSMVSDAASRAPASALLPARYKGLKKLKKAFKALDHDDSLEDTASSPVNSPKVSAVSQLELSPKRRCNIRDLTKEAGIGDDHGREGVDCTDAAMEGVRFGDQSQTSIAPCAELKDKGICLFPLSVLLHYHGRTN >PVH64958 pep chromosome:PHallii_v3.1:2:51197933:51199228:1 gene:PAHAL_2G392400 transcript:PVH64958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVSSPSFSRSVLSCSEGQAQSGESSWTDYFVDFMLSEEEEKKRQEGANSYCATEDDGDGSSHGEEEEDSMVSDAASRAPASALLPARYKGLKKLKKAFKALDHDDSLEDTASSPVNSPKVSAVSQLELSPKRRCNIRDLTKELAMITEERGWIVQMQPWKE >PAN13711 pep chromosome:PHallii_v3.1:2:52504847:52506271:-1 gene:PAHAL_2G414800 transcript:PAN13711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNYRLLYLPPLRLSRPSPARAFLTARPGPYGDRGPPPPGCPVSFVSKHLSHHRIDLLATSPAPAPRQAPAPPPSTSPADASPASCRRARRPLRSAIRLLRPRRCRLAVLERRGLEIRPDPRRIPFAGESSLGPHVMGQSMAHSGACHFPVASDGLSLNFR >PAN12052 pep chromosome:PHallii_v3.1:2:40528134:40532705:-1 gene:PAHAL_2G239200 transcript:PAN12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKDGNRDANGGLAEATASRLRFDSDEEAGEVEMEVEESPDAEGEEEQAAAEVIGSDKTSADYYFDSYSHFGIHEEMLKDIVRTKTYQNVITQSSFLIKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMANEIVKANGYSNVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLTDDGVVLPDRASLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCRLLKTMDISKMTPGDASFTVPFKLTAQRNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVITICEGETLTGSMTVAPNKNNPRDIDIKLKYSINGHRCQVSRTQFYKMR >PVH64331 pep chromosome:PHallii_v3.1:2:40528134:40530830:-1 gene:PAHAL_2G239200 transcript:PVH64331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDIVRTKTYQNVITQSSFLIKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMANEIVKANGYSNVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLTDDGVVLPDRASLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCRLLKTMDISKMTPGDASFTVPFKLTAQRNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVITICEGETLTGSMTVAPNKNNPRDIDIKLKYSINGHRCQVSRTQFYKMR >PAN09836 pep chromosome:PHallii_v3.1:2:3923756:3924958:-1 gene:PAHAL_2G053100 transcript:PAN09836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDADNLSATELRLGLPGTSSGDDRPKKPAPSVGAKRALADTRSEASGTSPAAGDDHDAATPAKAQVVGWPPVRAYRKNTFQAAAKKAEQPGGLYVKVSMDGAPYLRKVDLRMYKGYRELREALDALFTKSFSAAGAEGGDGQHALAYEDKDGDLMLVGDVPWDMFISSCKKLRIMKGSEAR >PAN14231 pep chromosome:PHallii_v3.1:2:51268991:51273403:1 gene:PAHAL_2G393000 transcript:PAN14231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKWGLGSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSARKWHAAFSPDGCLDIASVLRRIQTGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKIITAPLITEDGRPIKDPLVLLEATADRNNSEGAPATSRNNGIEIDETAERITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEQGAVHKNKVSKSKLRGLRHFGKWDKDKDKENTKNGSEDGEDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQAKKP >PVH65287 pep chromosome:PHallii_v3.1:2:54749959:54751193:-1 gene:PAHAL_2G452700 transcript:PVH65287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARGVTCHVQCEIRSVRVTGLGCGGGTGGELFLRCLVPAGGGRAIQIDTRGADQPDGSGETTTGGAVSWRDVASLSCDGSPACVRDLVDRGAVVFEVRRWRGGRRRAVLLGRVLGSELIGRAEVPWRDAGGSGDVAVAVERRVELATPSSWRALGEEAPAILSARMSVRVSETPVPAGRGRAANSAAHPQGQSGCEWSAGDEDVFAAVACAADDAFE >PVH64783 pep chromosome:PHallii_v3.1:2:48974421:48979489:1 gene:PAHAL_2G356500 transcript:PVH64783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAAGARVAPRPATAVGFLPARDRRVGGGAIGIGWPRRAAAPKLSLPPAVARRAVSAAGGGGHLLPRCFVARPAGGSDGGFRREAPARASPPDAAEGATTARNSVSDHAEGIRRELMNLAVPAIVGQAIDPVAQLMETAYIGRLAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQPASGNISSEIGERKRLPSISSALLLAAAIGVIEALALILGSEMLLNIMGVSQASSMHNPARLFLSVRALGAPAVVVSLAIQGVFRGLKDTKTPLLYSGLGNISAVVLLPFFVYSLKLGLTGAAIATIASQYLGMFLLLWSLSRRAVLLPPKIEDLEFVGYIKSGGMLLGRTLSVLITMTLGTAMAAQQGALAMAAHQICVQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVSSYVLKTGVIVGIALALLLSASFGRLAEVFSKDPMVIQIVRSGVLFVSASQPINALAFIFDGLHYGVSDFSYSASSMMVVGALSSLYLLYAPKVFGLPGVWAGLALFMSLRMTAGFMRLGWRAGPWWFLHQKEPRYKLHSREC >PAN13675 pep chromosome:PHallii_v3.1:2:48974374:48979600:1 gene:PAHAL_2G356500 transcript:PAN13675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAAGARVAPRPATAVGFLPARDRRVGGGAIGIGWPRRAAAPKLSLPPAVARRAVSAAGGGGHLLPRCFVARPAGGSDGGFRREAPARASPPDAAEGATTARNSVSDHAEGIRRELMNLAVPAIVGQAIDPVAQLMETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQPASGNISSEIGERKRLPSISSALLLAAAIGVIEALALILGSEMLLNIMGVSQASSMHNPARLFLSVRALGAPAVVVSLAIQGVFRGLKDTKTPLLYSGLGNISAVVLLPFFVYSLKLGLTGAAIATIASQYLGMFLLLWSLSRRAVLLPPKIEDLEFVGYIKSGGMLLGRTLSVLITMTLGTAMAAQQGALAMAAHQICVQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVSSYVLKTGVIVGIALALLLSASFGRLAEVFSKDPMVIQIVRSGVLFVSASQPINALAFIFDGLHYGVSDFSYSASSMMVVGALSSLYLLYAPKVFGLPGVWAGLALFMSLRMTAGFMRLGWRAGPWWFLHQKEPRYKLHSREC >PAN10115 pep chromosome:PHallii_v3.1:2:5400936:5404050:1 gene:PAHAL_2G072500 transcript:PAN10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSSPPPGRSGRRRPPAASNTAGVLPTDVLFDVLLRLPAKELCRLRAVCRSWRSLTSDTLFIGAHAARHSDPLFLANFRDDEAHIHVVDLSGNVVKVIPNPDGHQLLTTRLDLTCAVTVSNSCRVLDPATGRVRVLPESPAPEHADRENVRKPYTSFALGWIGATGEYKVLRMFSRPILTGFHQCHLFEVFTISCTSGSCSSHAQWRVRPSHEEFFEPGSAIVVGEVVYFKVDTVCDAMICGGVNPGIPLDLIYSFDLEREEWRGILQGPIYDIFQTDEYDDDLDDYRSLWSEITLADLSGSLALVHYRKYQHVMDLWLLKDFDNGIWVKEYMIQIEPIFPTTEWCVKALFMLDDGRIVIHFPKSGLLFIYDPRTDTSAQVEMRHLDALAMYTGNLLSLQVGDMV >PAN10117 pep chromosome:PHallii_v3.1:2:5400878:5404050:1 gene:PAHAL_2G072500 transcript:PAN10117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSSPPPGRSGRRRPPAASNTAGVLPTDVLFDVLLRLPAKELCRLRAVCRSWRSLTSDTLFIGAHAARHSDPLFLANFRDDEAHIHVVDLSGNVVKVIPNPDGHQLLTTRLDLTCAVTVSNSCRVLDPATGRVRVLPESPAPEHADRENVRKPYTSFALGWIGATGEYKVLRMFSRPILTGFHQCHLFEVFTISCTSGSCSSHAQWRVRPSHEEFFEPGSAIVVGEVVYFKVDTVCDAMICGGVNPGIPLDLIYSFDLEREEWRGILQGPIYDIFQTDEYDDDLDDYRSLWSEITLADLSGSLALVHYRKYQHVMDLWLLKDFDNGIWVKEYMIQIEPIFPTTEWCVKALFMLDDGRIVIHFPKSGLLFIYDPRTDTSAQVEMRHLDALAMYTGNLLSLQVGDMV >PAN10116 pep chromosome:PHallii_v3.1:2:5400876:5402666:1 gene:PAHAL_2G072500 transcript:PAN10116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSSPPPGRSGRRRPPAASNTAGVLPTDVLFDVLLRLPAKELCRLRAVCRSWRSLTSDTLFIGAHAARHSDPLFLANFRDDEAHIHVVDLSGNVVKVIPNPDGHQLLTTRLDLTCAVTVSNSCRVLDPATGRVRVLPESPAPEHADRENVRKPYTSFALGWIGATGEYKVLRMFSRPILTGFHQCHLFEVFTISCTSGSCSSHAQWRVRPSHEEFFEPGSAIVVGEVVYFKVDTVCDAMICGGVNPGIPLDLIYSFDLEREEWRGILQGPIYDIFQTDEYDDDLDDYRSLWSEITLADLSGSLALVHYRKYQHVMDLWLLKDFDNGIWVKEYMIQIEPIFPTTEWCVKALFMLDDGRIVIHFPKSGLLFIYDPRTDTSAQVEMRHLDALAMYTGNLLSLQVGDMV >PVH64261 pep chromosome:PHallii_v3.1:2:38092445:38096676:-1 gene:PAHAL_2G224600 transcript:PVH64261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARGRRLRAAAPIISDAIGDGSKNGVGGGGGGVPAGSEASLSFSFERGRGDGCHLAHRILKKFFKKYNQVRHVRLLPPRRARVAGSPLLRRQRTVPHALQPTAAPASPTRLRLVQSAVLRPRHPHRRRPLARARDLATLRRPRGASPPTSHRFQSSHDSGCSRALATSPRSGAAISPALTAAGLSRKRAISPHSGVPAAPPRRLPTSPPSHPIPVPPRHPLPIRPNAAPPRDSRTGAARSQVPPRRPPPIRPNTAPPRDSRAGAARSQVPPRHPPPIHHNTAPPRDSRAGAARSQVPPRRPPPIHHNTAPPRDSRAGATRSQVPTRRLPPILPNAAPCRRTGDARGQYLEAWNIFVTLRRRSRLCAGYSLLMQR >PVH64262 pep chromosome:PHallii_v3.1:2:38091923:38096676:-1 gene:PAHAL_2G224600 transcript:PVH64262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARGRRLRAAAPIISDAIGDGSKNGVGGGGGGVPAGSEASLSFSFERGRGDGCHLAHRILKKFFKKYNQVRHVRLLPPRRARVAGSPLLRRQRTVPHALQPTAAPASPTRLRLVQSAVLRPRHPHRRRPLARARDLATLRRPRGASPPTSHRFQSSHDSGCSRALATSPRSGAAISPALTAAGLSRKRAISPHSGVPAAPPRRLPTSPPSHPIPVPPRHPLPIRPNAAPPRDSRTGAARSQVPPRRPPPIRPNTAPPRDSRAGAARSQVPPRHPPPIHHNTAPPRDSRAGAARSQVPPRRPPPIHHNTAPPRDSRAGATRSQVPTRRLPPILPNAAPCRRTGDARGQYLEAWNIFVTLRRRSRLCAGYSLLMQR >PVH64507 pep chromosome:PHallii_v3.1:2:44795193:44796719:1 gene:PAHAL_2G289500 transcript:PVH64507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRLYDLDASKYGTHAELKSLIAAFHAKGVQCVADIVINHRCADYKDSRGIYCIFEGGTPDGRLDWGPDMICSDDTQYSNGHGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKSDLGFDGWRLDFAKGYSAEVARVYVDNTAPTFVVAEIWSSLQYDGNGEPSNNQDRDRQELVNWAQAVGAPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPPDKVMQGYAYILTHPGTPCIFYDHVFDWNLKQEISVLSAVRSRNGIHPGSKLDILAADGDLYVAKIDDKVIVKIGSRYDVGNMIPSDFHPVAHGNNYCVWEKSGLRVPAGRHH >PVH65086 pep chromosome:PHallii_v3.1:2:52900122:52901443:-1 gene:PAHAL_2G420700 transcript:PVH65086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTLPFAGRFYCATCWGVMALNITSDQQPPRLLMVAEFSESFYFSQMMHSLHLVDNGGEMMLVHRTLRQDSNYYRKYDVYRVDLEAGILIPVKSFNGRGVFMGMNRTISVSAGVFPCVTADTIYLGRECDGQILGYNIADGSIEPCECGPRPDGWVCPDSIVDCLCNCIQCIGKRLA >PAN09592 pep chromosome:PHallii_v3.1:2:2490762:2494881:-1 gene:PAHAL_2G035800 transcript:PAN09592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWSCDVSRDSARLITGSADQTAKLWDVSTGRELFSFRFDAPARSVEFAIGDALAVITTDNFMDHVPTVQVKHIAEDLDDQTDESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSETGKLLKESDKESGHQKTISSLSKSSDWSHFITGSLDKSAKLWDARTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKM >PVH63541 pep chromosome:PHallii_v3.1:2:3715714:3716895:1 gene:PAHAL_2G050700 transcript:PVH63541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMDKQHEVLKRKLLHIMDVMIDAEEQAAAKREGAKAWLEKVRKVTYQANDVLDEFKYEALRRKAKEEGRYKDLSMDVIKLFPTHNRIVFRYRMANKLRMILQEIDDLIKEMNGFRFMFKPGSPEPINYLRQNNSVIVDPVNIAKESRAREMKDVVDRLLAQASSSDPTVIPVVGMGGLGKTTLAQLIYNDPEIKKHFQLRLWVCVSDNFEVDSVADRILKENGCKPTGCSALEKLQNAVSGKRYLLVSDDVWNRDEHKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGKTEGAYKLESLGAYFIEKIIKTRAFSSKEEEWPGELVKMVRQVAKRCAGSPLAATALGVPRPPRKNGSLY >PAN12905 pep chromosome:PHallii_v3.1:2:45443332:45447965:1 gene:PAHAL_2G299600 transcript:PAN12905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPPPQQQAPVVPRWTPSPPRRQDRGGDAHDDDADSELGASSSMRSTDGFPFGSGRSFAPPPFPLPQPSLEISAAGNGTTDGPVAREKSLRRTDEGVVISWEDLWVSASGGKGGGRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVSQKGEILINGRRQKLAYGTSAYVTQDDVLMTTLTVREAVHYSAQLQLPAAMPAAAKRERAEETLREMGLEGAADTRIGGWMHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVGRIARLARREGMTVVAAVHQPSSEVYGLFAGLCLLAYGRTVFFGPAAETSQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMNGEKITTAQAIDTLVNSYKSSAYMEKVTRQIADIREIGGAVVKKEWQPSFLTQSLVLTKRSFVNMYRDLGYYWLRFAIYIMLCLCVGTIFYDVGHSYGSIQARGSMLNFVAAFLTFMAIGGFPSFVEDMKVFGRERLNGHYGVASFTIANTVSAAPYLALISVVPGAMAYYMVGLQSSFGHFAYFALVLFAAMMVVEGLMMIVASTVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYIAFHKYANQGFYKNEFLGLTFPSNQVVAGGAATISGSEILRDYWQVQMGYNKWVDLGILCGMVVLYRLLFLAIVKLTEKVKPMIKGFRFRNAAPSVHVAEKGSGSP >PVH64285 pep chromosome:PHallii_v3.1:2:38845145:38846308:1 gene:PAHAL_2G229100 transcript:PVH64285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTVHDIPDHVLELALLRLVSPVCLVRAASACKPWCRVVADAGFLARFRLLRVPRILGLCLNCSNPPVFVPSPAPGIPVSQSFSLDFLPEVPGTWETVDSRDGLLLLRNRDRNSSWSRRSDPDLVVCEPKARRCQAISLRDRLSGRICLGVFLLRGGTTTISMSNFRVIVVLLRERMLARRHGVPEACVYQASTSTSNRHWSYVSCAWKGNIDIPCATAAFYFVGRAGGSLYWAIIGGAGAALVLDEATAAVSLEAVPAVSIGWREEALCFRVIGGEDGTLRAVHLSENNLRVFARQLKGGGGGATEWVLQKLLRLPRGTDGFLGRAMIVAEHDEYVLVAPRHGRWLFSVDLKTMTVERFRERNKYEEPAAYPYGLPWPPTLKA >PVH64308 pep chromosome:PHallii_v3.1:2:39738816:39741982:-1 gene:PAHAL_2G233900 transcript:PVH64308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLTKNDRTLPSNSSLGRVWYGKPVLLWDAATGELASFNTVFSFLIFQDTQYQKNPDGTFNAGDGMAFFLASYSDSSVLGNSGGGGGNLGLFNDTNHFNATGDSRVVAVEFDTFLNPQWDNSSQHVGIDINSIMSVASIYTDPYDSPGHKNLTSDLWMTATVKYDNKTKLLTVDLDIEGYLYHVNHTADLKLFLPEQVAVGFSATTGSSAELHRVQAWSFNSTLEKKVTPIDMPPAPSPQPFAAPEITSVPSPKLVLTVLVPALAVSVCGIVGLLLWHKCRKNVKANKATNDSSESDEQHGEADFERGVAGPRRYHYRELAAATGDFAEENMLGRGGFGRVYKGCLPSDVDDDGRMVAIKKFSSESSQSRKEFEAEVKIIGRLRHRNLVQLLGWCDSLKGLLLVYELMPEGSLDRHIYNTESVLTWAQRYNIIVGLGSALRYLHRDWEQCVVHGDIKPSNIMLDSSYNCKLGDFGLARLGDHGAGPKTTVVKGTMGYIDPEFVNTSRRCTQSDVYSFGIVLLEIVSGRPPVDRWDPSFMLMKWAWSLYSEGKILDAADARLRMGDKAAERQMERALVVGLWCTLREPEHRPSVADALYILQSEDKLPDLPLQMYMMAAAPSFAVGERGAFSSSFSSGGRSSATTGTTRSSESSAN >PAN11994 pep chromosome:PHallii_v3.1:2:39738816:39742420:-1 gene:PAHAL_2G233900 transcript:PAN11994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRKRRQRARLAAAPASEQQDSKHSPIEPVILSNQDTSKDPCANGLKCVGDAKFAGSMIDLTKNDRTLPSNSSLGRVWYGKPVLLWDAATGELASFNTVFSFLIFQDTQYQKNPDGTFNAGDGMAFFLASYSDSSVLGNSGGGGGNLGLFNDTNHFNATGDSRVVAVEFDTFLNPQWDNSSQHVGIDINSIMSVASIYTDPYDSPGHKNLTSDLWMTATVKYDNKTKLLTVDLDIEGYLYHVNHTADLKLFLPEQVAVGFSATTGSSAELHRVQAWSFNSTLEKKVTPIDMPPAPSPQPFAAPEITSVPSPKLVLTVLVPALAVSVCGIVGLLLWHKCRKNVKANKATNDSSESDEQHGEADFERGVAGPRRYHYRELAAATGDFAEENMLGRGGFGRVYKGCLPSDVDDDGRMVAIKKFSSESSQSRKEFEAEVKIIGRLRHRNLVQLLGWCDSLKGLLLVYELMPEGSLDRHIYNTESVLTWAQRYNIIVGLGSALRYLHRDWEQCVVHGDIKPSNIMLDSSYNCKLGDFGLARLGDHGAGPKTTVVKGTMGYIDPEFVNTSRRCTQSDVYSFGIVLLEIVSGRPPVDRWDPSFMLMKWAWSLYSEGKILDAADARLRMGDKAAERQMERALVVGLWCTLREPEHRPSVADALYILQSEDKLPDLPLQMYMMAAAPSFAVGERGAFSSSFSSGGRSSATTGTTRSSESSAN >PAN11995 pep chromosome:PHallii_v3.1:2:39738816:39741514:-1 gene:PAHAL_2G233900 transcript:PAN11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSGLLAFLCLCCFFMLCIHAPCASSTSFSFNFSDTSKDPCANGLKCVGDAKFAGSMIDLTKNDRTLPSNSSLGRVWYGKPVLLWDAATGELASFNTVFSFLIFQDTQYQKNPDGTFNAGDGMAFFLASYSDSSVLGNSGGGGGNLGLFNDTNHFNATGDSRVVAVEFDTFLNPQWDNSSQHVGIDINSIMSVASIYTDPYDSPGHKNLTSDLWMTATVKYDNKTKLLTVDLDIEGYLYHVNHTADLKLFLPEQVAVGFSATTGSSAELHRVQAWSFNSTLEKKVTPIDMPPAPSPQPFAAPEITSVPSPKLVLTVLVPALAVSVCGIVGLLLWHKCRKNVKANKATNDSSESDEQHGEADFERGVAGPRRYHYRELAAATGDFAEENMLGRGGFGRVYKGCLPSDVDDDGRMVAIKKFSSESSQSRKEFEAEVKIIGRLRHRNLVQLLGWCDSLKGLLLVYELMPEGSLDRHIYNTESVLTWAQRYNIIVGLGSALRYLHRDWEQCVVHGDIKPSNIMLDSSYNCKLGDFGLARLGDHGAGPKTTVVKGTMGYIDPEFVNTSRRCTQSDVYSFGIVLLEIVSGRPPVDRWDPSFMLMKWAWSLYSEGKILDAADARLRMGDKAAERQMERALVVGLWCTLREPEHRPSVADALYILQSEDKLPDLPLQMYMMAAAPSFAVGERGAFSSSFSSGGRSSATTGTTRSSESSAN >PAN10625 pep chromosome:PHallii_v3.1:2:8376464:8378077:-1 gene:PAHAL_2G106300 transcript:PAN10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEKLQVLHALDVAGTQRYHVRAVVIAGTGFFADAYDLFCITLVTKLLGRIYYHAPGRGEPGRLPPRLEAAFGGATFCGAVVGQLLFGWLGDQAGRKRFYGKTVLLMAAGSFLSGLSFGDTPGGVMATLCFFRFWLGVGVGGDYPLSATIVAEYASKRTRGALVAAVFAMEGFGVLAGCIVTLAVSATFQARFRAPAYEEDPTGSTPPQADYVWRIVLMAGAIPACLTYHWRARMPETARYTALVARDAGRAARDMSRVLEVDIAGEPDKVESLTRGRDYGVLSRRFARRHGLHLLGAAACWFVLGVVFYSQTILQEEIFSDVGWVPRARAMSALEEAYRVARAHAIIALCGTLPGYWFTVALVDVVGRKAIQFLGFAMMTGFMLAIAALYDSLASPGRRVWLVAMYTFTFFFANFGPNSTTFIVPAEIFPAHLRATCHGISSAAGKAGAIVGTFGFMYAAQKADGSEAAETGYPSGIGVRASLFVLAASNVLGILFTCLLPEPKGRSLEEVSGDGGGESVNGDDADVGDSQILPL >PAN13195 pep chromosome:PHallii_v3.1:2:46716005:46717598:1 gene:PAHAL_2G320000 transcript:PAN13195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAVQAATPADPHGGRAAPHRTQVGRLVSPGSFTHRVTTTPDPNPPSTSRGGDRDGAGAGGGGGRAFAGEVPAGGGDHDGRRGAAAGVHDLPQQALAVLLRRLRRRALFLLQADEQGAHLILICFFPNGGVTGGEGWWVDQ >PAN13197 pep chromosome:PHallii_v3.1:2:46716005:46716537:1 gene:PAHAL_2G320000 transcript:PAN13197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAVQAATPADPHGGRAAPHRTQVGRLVSPGSFTHRVTTTPDPNPPSTSRGGDRDGAGAGGGGGRAFAGEVPAGGGDHDGRRGAAAGVHDLPQQALAVLLRRLRRRALFLLQADVGTRGSSDSDLLLPQWRCDGR >PVH64637 pep chromosome:PHallii_v3.1:2:46716005:46717598:1 gene:PAHAL_2G320000 transcript:PVH64637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAVQAATPADPHGGRAAPHRTQVGRLVSPGSFTHRVTTTPDPNPPSTSRGGDRDGAGAGGGGGRAFAGEVPAGGGDHDGRRGAAAGVHDLPQQALAVLLRRLRRRALFLLQADEQGAHLILICFFPNGGVTGGEGWTRPCFC >PAN13196 pep chromosome:PHallii_v3.1:2:46716005:46717598:1 gene:PAHAL_2G320000 transcript:PAN13196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAVQAATPADPHGGRAAPHRTQVGRLVSPGSFTHRVTTTPDPNPPSTSRGGDRDGAGAGGGGGRAFAGEVPAGGGDHDGRRGAAAGVHDLPQQALAVLLRRLRRRALFLLQADVGTRGSSDSDLLLPQWRCDGR >PVH63415 pep chromosome:PHallii_v3.1:2:1630725:1631626:1 gene:PAHAL_2G023000 transcript:PVH63415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPLFATALVMALVLAGSSTSHAARRLADTAPAASPAAVPGIPAVPKPPVPTVPTVPAVALPPMPAMPTVPAVPQVPAIPAATLPPMPAVPAIPAVPTTVPNAALPPMPAVPAVPKVTLPPMPAVPKVTLPPMPTVPKVTLPPMPSVPGVPMPFLAPPPSA >PAN15380 pep chromosome:PHallii_v3.1:2:56660502:56664187:1 gene:PAHAL_2G483600 transcript:PAN15380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MDEHKLGYKGNDVLQELEILTLNAKAAQELILRKILEKNHVTEYLNQFMNGSTDISAFKSQVPVVTYDVVQPYIARIAAGEPSSILCGEQIVELLRSSGTSRGEPRLMPSISEDLYRRTYLYSLIMPIMNKYIRGLGEGKAMYLLFVKAETLTNAGIPVRSVLTSYYKSPHFLHRKHDLYNKYTGPDEVILCPDSEQSMYCQLLCGLVERQHVLRLGAVFASAFLRSISFLEQHWCDLVNDIRIGQLSSNITNSTCRLATQSFLALPNPELADEIEAICSSESWKGILGKLWLNVKYIEAVLTGTMAQYIPMLEFYSDGKIPLVCTMYASSESYFGVNLRPLCSPEDVSYTILPNMAYFEFIPLEDGLKLIEDDEVVENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRSPQFKFVCRRNVILTVDSDKTNEEDLHNSVTRAKKLLENRNYLLLEYTSCTDTSTVPGHYVLFWEIKSTTYEGAATPCVPLDAQLLESCCIAVEEALDYVYRRCRAHDKSVGPLEIRLVEAGAFDGLMDLLVSQGSSINQYKTPRCVESGPALKLLNSRVTGSFFSPRDPEWTV >PVH65085 pep chromosome:PHallii_v3.1:2:52851597:52855432:1 gene:PAHAL_2G420100 transcript:PVH65085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGANTISADCPCPEYAEVDPTGRYGRFNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEVFTSGTLRQYRQRHRRVNIWAVKHWCRQILSGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRRFVEKCLATASQRLSARELLEDPFLRVDDMTFSSEDGDYNLTTRYVRQPSYLGHTYSNGSMMSNGFSDSIDEDALTEDRWDCEDDDMKGQDGINLFNEEHEDEPLGNVDITIKGRKSEDGGIFLRLRISDNDGRVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIADMIDGEVSALVPDWRPGPGIDEAPDTSYCHNCGSNVSSCGSLYAYMSSARQGCQCAELHGRFEEITFQADGEQCDLQESAGSSDDGGGQTEHYVKSKDSTHVNGFVQIGRRDPSNQLCFSSFQEQSCSSNHYENDTNHNMNGFDMNHEVKIAKYKARKMAQLKRAIHPSLDFDNAYGVNRMKPSLNKLQSFHVGKNHNFRVPTCDRSPDKGSTDYHSNMISQGWQSKHPNPGAQRARHYEVDAAGSNPDCMFTARRYYTGAQLPPDLPRTKSVPMNAVDA >PAN14568 pep chromosome:PHallii_v3.1:2:52851944:52855432:1 gene:PAHAL_2G420100 transcript:PAN14568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGANTISADCPCPEYAEVDPTGRYGRFNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEVFTSGTLRQYRQRHRRVNIWAVKHWCRQILSGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRRFVEKCLATASQRLSARELLEDPFLRVDDMTFSSEDGDYNLTTRYVRQPSYLGHTYSNGSMMSNGFSDSIDEDALTEDRWDCEDDDMKGQDGINLFNEEHEDEPLGNVDITIKGRKSEDGGIFLRLRISDNDGRVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIADMIDGEVSALVPDWRPGPGIDEAPDTSYCHNCGSNVSSCGSLYAYMSSARQGCQCAELHGRFEEITFQADGEQCDLQESAGSSDDGGGQTEHYVKSKDSTHVNGFVQIGRRDPSNQLCFSSFQEQSCSSNHYENDTNHNMNGFDMNHEVKIAKYKARKMAQLKRAIHPSLDFDNAYGVNRMKPSLNKLQSFHVGKNHNFRVPTCDRSPDKGSTDYHSNMISQGWQSKHPNPGAQRARHYEVDAAGSNPDCMFTARRYYTGAQLPPDLPRTKSVPMNAVDA >PAN15521 pep chromosome:PHallii_v3.1:2:57399378:57404218:-1 gene:PAHAL_2G494200 transcript:PAN15521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRARAAAQLPRPSSPPSSAPATSLARFARLSPLAALAPLASAPPPPAKARAPPPASLSARWNAPTRLGGTGSSSRPTTRVFCTAASSAQREGKELLVQHLLVGEKDVRLLVDLEKSIIAGGADLSDLAVEHSLCPSKENGGMLGWVRKGQMVPEFEEAAFSAPLNKVVRCKTKFGWHLLQVLAERDQCILQDIDPEELHTKMQDPSFLEEAQLIDVREPDEVAKASLPGFKVLPLRQFGTWGPVMTDEFNPQKDTYVLCHHGMRSMQVAKWLQSQGFKKVYNVAGGIHAYAVKADSSVPTY >PAN12104 pep chromosome:PHallii_v3.1:2:40862818:40867291:1 gene:PAHAL_2G242700 transcript:PAN12104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPPAPAPPRILLAGDAHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPEGDAEGAPGDVADYLEGRAAVPIPTYFTGDYGPTAPRLLSKAAAGARGFAPGGIEICPNLFWLRGSNRFTLHGLSVVYLSGKKGPGGPGCYSQDDIDALRALAEEPGIVDLFLTNEWPTGVVNGADISNVPNQVLDPHGYDPVVAELVAEIKPRYHIAGTKGVFYSREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSADIHAKPPNSTLSPYAAPAQSVPIEEAPKRPADNTDAQYWRYDVKRQRQGEAEGGRLCFKFTSSGSCPRGSKCNFRHDEEAVEHYQRNVCFDFLNKGKCERGPECKFAHSLSGDTSIRDSRPRRRVESSCWFCLSSPDVESHLVISIGEAYYCALAKGPLVPNHVLMIPVEHCPSTLMMPSEAEVELARYKNALVEYFEKQGKTTVFFEWVSQSSRHANLQAVPVPFPKADAVNKIFHLAAKKLGFEFSVVNPDGGAKVARESLISQSESKSSLFYVELPEGKILLHNIDSNEKFPAQFGREVLAGLLSMADRADWRNCKLSKEEEIQMVDDFKQGFCEFDPAE >PVH65442 pep chromosome:PHallii_v3.1:2:57446178:57446605:-1 gene:PAHAL_2G495400 transcript:PVH65442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTSYAHGHQPTRKGNDIRLILDPRTPNRQTQPSFQNQQRQLNPQNQSLNENPSSGPCPIDP >PAN12688 pep chromosome:PHallii_v3.1:2:44366963:44368354:1 gene:PAHAL_2G284300 transcript:PAN12688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQPELQAALVKVGVFVLVQALVYLILSQSSSVFSRTKSLGLRPARSASARRMLALLADLPLAGEPSPVAPARSSSLSPALAADLIKED >PVH64405 pep chromosome:PHallii_v3.1:2:42624529:42627009:-1 gene:PAHAL_2G261000 transcript:PVH64405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDSPRRAALLLPNLPPHIPYSRALQQRLYLIAQHLSRRAGSPSAARRGLDQLHAQLLLNGFTHKRFLLAKLLSLATAAGADLPRAESLFLSASSHSPASPTLANLLLRAAAGSGATPSALLALFSRLVGRHGLRPNAFSFSTLLAALASAGAVALPHGRALHAHALAGGVLAPSGENGHVMTSLMDVYASARQLGDARKVFDEMPGKSVAAWNCMLAAYVQCRELDAALRFFGDEMPYRDTVAWTTVIGGCANSGRAAKAVELFMGMRKARVNDDVVTMVALLTACAELGDLELGRWVHARVDWEGRQRRTVLLDNSLIHMYVKCGAVEDALRLFLMMPKRSTISWTTMISGLAMHGRAQEALDLFHRMQERPDGATLLAVLRACSYAGRIDDGRRYFESMERVYGITPEIQHYGCMVDMLCRWRRLHEALEVVENMPFQSNEGAWGAILSGCRREGNLELAAKVTDRLVELQPERAAGHLVLLSNMYAGVGQWEQARMVRERVAILNAEKPAGRSWVNQSPAWW >PVH64404 pep chromosome:PHallii_v3.1:2:42624528:42627010:-1 gene:PAHAL_2G261000 transcript:PVH64404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDSPRRAALLLPNLPPHIPYSRALQQRLYLIAQHLSRRAGSPSAARRGLDQLHAQLLLNGFTHKRFLLAKLLSLATAAGADLPRAESLFLSASSHSPASPTLANLLLRAAAGSGATPSALLALFSRLVGRHGLRPNAFSFSTLLAALASAGAVALPHGRALHAHALAGGVLAPSGENGHVMTSLMDVYASARQLGDARKVFDEMPGKSVAAWNCMLAAYVQCRELDAALRFFGDEMPYRDTVAWTTVIGGCANSGRAAKAVELFMGMRKARVNDDVVTMVALLTACAELGDLELGRWVHARVDWEGRQRRTVLLDNSLIHMYVKCGAVEDALRLFLMMPKRSTISWTTMISGLAMHGRAQEALDLFHRMQERPDGATLLAVLRACSYAGRIDDGRRYFESMERVYGITPEIQHYGCMVDMLCRWRRLHEALEVVENMPFQSNEGAWGAILSGCRREGNLELAAKVTDRLVELQPERAAGHLVLLSNMYAGVGQWEQARMVRERVAILNAEKPAGRSWVNQSPAWW >PVH65194 pep chromosome:PHallii_v3.1:2:53966733:53969928:-1 gene:PAHAL_2G438600 transcript:PVH65194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MWFVLLLNYLLFGSFILTGCYDGLARLWKDGAVCTQILEGHSDAITSTRFINKGVETEGNLHVVTGSKDRSLRLYKCDASVSMDYPKRVGAYKILRGHTSSVQSIAVDPSSDMLCSGSWDSTIKLWAIEGSEEDGDAVSLKKRRMNSDSSGPEESQLEGLATSTFLGHTQCVTAVTWPEQQTIYSASWDHSVRQWDVQTVKETWNMFCGKALNCLDCGGEGSSLIAAGGSDPILRVWDPRKPGTLAPVFQFSSHSSWITACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHKDKVLCADWWKGNSVISGGADSKLCIAYGIEIV >PVH65193 pep chromosome:PHallii_v3.1:2:53966634:53971052:-1 gene:PAHAL_2G438600 transcript:PVH65193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MDADASRQVRVRFVTKLPPPLRAPPAAIAVPADLSRMGLSEIVNSLLAAAEPDHQARPFDFLVDGELVRLPLQQFLLAKGISAERVLELEYVKAVAPRKQEEPCPHDDWVSAVDGSNPSFILTGCYDGLARLWKDGAVCTQILEGHSDAITSTRFINKGVETEGNLHVVTGSKDRSLRLYKCDASVSMDYPKRVGAYKILRGHTSSVQSIAVDPSSDMLCSGSWDSTIKLWAIEGSEEDGDAVSLKKRRMNSDSSGPEESQLEGLATSTFLGHTQCVTAVTWPEQQTIYSASWDHSVRQWDVQTVKETWNMFCGKALNCLDCGGEGSSLIAAGGSDPILRVWDPRKPGTLAPVFQFSSHSSWITACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHKDKVLCADWWKGNSVISGGADSKLCIAYGIEIV >PVH65195 pep chromosome:PHallii_v3.1:2:53966733:53971052:-1 gene:PAHAL_2G438600 transcript:PVH65195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MDADASRQVRVRFVTKLPPPLRAPPAAIAVPADLSRMGLSEIVNSLLAAAEPDHQARPFDFLVDGELVRLPLQQFLLAKGISAERVLELEYVKAVAPRKQEEPCPHDDWVSAVDGSNPSFILTGCYDGLARLWKDGAVCTQILEGHSDAITSTRFINKGVETEGNLHVVTGSKDRSLRLYKCDASVSMDYPKRVGAYKILRGHTSSVQSIAVDPSSDMLCSGSWDSTIKLWAIEGSEEDGDAVSLKKRRMNSDSSGPEESQLEGLATSTFLGHTQCVTAVTWPEQQTIYSASWDHSVRQWDVQTVKETWNMFCGKALNCLDCGGEGSSLIAAGGSDPILRVWDPRKPGTLAPVFQFSSHSSWITACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHKDKVLCADWWKGNSVISGGADSKLCIAYGIEIV >PVH63996 pep chromosome:PHallii_v3.1:2:19745413:19746726:-1 gene:PAHAL_2G161400 transcript:PVH63996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTAGPALAPHLCASTLCYASTRRHQLARHAHHSLRAHSRTSRACAVPSARVCSCASARLSRISALGCAARSHHRCSACQRSPPWVTTGPPAAWATAACRSRAHTCGRTEPRLLGLRLLTPRAPACPRAAPGPAARPPVLPLASRDARCRAIPAPVRPAEPGRRRACAGSLPRGPIHAVRRSILASHAPAMRPRTPGRCSASATPCLSARPSHRSLHQPRAPRTAAARFGFRSPRAWAARSARVGRSPPGLAPLRAPPSRWSPRAPPPGARHRLPRTAALRPCARVAPLRPAGAAYAWSRAHRLASNSCARSRLGRASAPTRRRTSTWARSPSLLPRLELARPLLPGAGAPLGAALRYLCRGGEREGGAGGVKDWGQSKVSPVGEKRKGKTELDRTAAGGKRDKAPEEELRGSDAWNSCSRMWQGWSAQRRLQSRN >PVH64980 pep chromosome:PHallii_v3.1:2:51425146:51426474:-1 gene:PAHAL_2G395900 transcript:PVH64980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTATAQMASLAVLTALFCATLAASGAAGVRVELTRIHSGLTASQFVRDALRRDTHRHSARQLAASGGAATVSAPTRKNSPGGGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCGAQCFKQPAPLYNPASSTTFGVLPCDSSLSICAAALAGATPPPGCACTYNYTYGTGWTSGVQGSETFTFGSAPADQTRVPGIAFGCSNASSDDFNASAGLVGLGRGRLSLVSQLSARKFSYCLTPFQDTRSTSTLLLGPSAALDETGVRSTPFVASPAEAPMNTFYYLNLTGISLGTTALSIRPDAFSLKADGTGGLIIDSGTTITSLVDAAYQQVRAAVVSLVTLPMTDGSATTGLDLCFALPSAASAAPAMPSMTLHFDGADMVLPVDSYMILDSSLWCLAMQNTTNGAMSTLGNYQQQNMHILYDVGQETLSFAPTKCSTL >PVH64559 pep chromosome:PHallii_v3.1:2:45707383:45708998:-1 gene:PAHAL_2G303400 transcript:PVH64559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGVAERLHNKTFLITGATGFIAKLLVEKILRLQPPVKMLYLLVRAGDQASATERIRSEIMQLQIFRSLREKYQKHFSSWFWDKVYPVAGDVALKNLGIGDVALAKDILKETDIIIHMAATVNFRERYDKVLAINTMGVKHIIEIASQCANLELLLFGSTAYVNGKESGIMLEKPLHQYKSYDGQSDLDISEELGIGRGEAQGVSLQECFRRYYKMHHEDDWYTKDSGMILRNLYMMSKQGSQVWMDEYLCFHKGNE >PAN09825 pep chromosome:PHallii_v3.1:2:3871776:3875997:1 gene:PAHAL_2G052400 transcript:PAN09825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQPPPPGGAEDDFLEHFFAFPSAASAGAGGGHAGAGLHGGDHPFPLALSLDAAAEASAKQDRDPVQLAGLFPPVFGGGGSVQQPHLRGPPPPQMFHAQPKPGEGAMAPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVSDIPLSVKGEASDSGSTQQIWEKWSTDGTEKQVAKLMEDDIGAAMQFLQSKALCMMPISLAMAIYDTQHTQDGHSLKPEPNTSS >PVH63604 pep chromosome:PHallii_v3.1:2:4919064:4919975:1 gene:PAHAL_2G066700 transcript:PVH63604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSCGIYMHLCIFSVRRYVCVCTHTHVIPRATRYCCACDAGGCPMQAQNADEIFRRRLACRSWRSPSGPTMTVAGDVEDAGLSTMTPAADDEAPASPNPTAPPPWRIIERDTTRGEGSPARTMLASTGWWWWWWCSGATAAAHAAVADLGVDRVFRSRPPRSGSSQMRPDEPCRRNDTDDLCSLDIDRRRLVVTTNGRSMEDVRAQRRRADRGRRRSFARTLSASSSSLLYI >PVH64340 pep chromosome:PHallii_v3.1:2:40911902:40913088:-1 gene:PAHAL_2G243100 transcript:PVH64340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLIRLFHGGSVKENGEFENMNLFGRVTSKFACRGDEVELRGRFDCGKARPHYIMMKLNSESHWEQYKEVVERSNVICWEVVVDISRMPSTYENHPPFMFENMTQDSALSHDRPVFAPNSPQNKPSFDLAIAVDDFDNSIFEDEERNQDNDEISLGSEDEDGDFECDVQGEENIEDDGVPWEPSDIGDIDHRILGIVRADSNISFPSLVESIFAFSGYRVK >PAN10208 pep chromosome:PHallii_v3.1:2:5865040:5866796:-1 gene:PAHAL_2G078500 transcript:PAN10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYVLQNLEELNRVLESNAGDEMKELTPDIQRVPKGLARIGRDAAVCYVAPRAVPIGPYYSYRHSPELQYKTKIMKMAAIGWFLGRAGGGALRPELVVREAFEAIAAPPSPRGFYDDQFEDIDDVHFTKWMFQDGCFLLAFMMAMGGGGGGDAALQGLTTVIFQPRIDSIMRDIMLLENQIPWRVLEVLMGFLPVPVPVDKFLSLMAAKFNVRTTSGGHDQRAAAGPSIAGDERGRRQKPMHLLALFREHQVVGLHPAEDNRRLISTTPSANFSTAMELAEMGVHLAASKTGRFGDMTVVQRGRLFGKLFLAPVFLNDLTACWLVNMAAYEASAGRSGDDYAVSSYLYLVALLMNREDDVHQLRARCIVHSTFSNTQTLEFFKGLAPHLHFGRQYDRVLQDLLGYRRDRPVFVAVHKFLYNNFKTILTVLSIVGVIAPILQALIHRQN >PAN13563 pep chromosome:PHallii_v3.1:2:48337720:48341457:-1 gene:PAHAL_2G346600 transcript:PAN13563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSDALKTLMSLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGLLGYGAQWMVVSRTVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDICSALFADDPASFLVMLAVVPAAVCALAMVFLREGPAGGAAGATADEAEDGRCFAAINSLAVAIALYLLAADLTGIGGGGGVVSAVFVAVLLVLLASPGAVPALLAWKSWMKARKAAKADLEEAESLEAAAAPLLVAVKAVGRSEEDEARAPGERPRLGEEHTIAQALTSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYDDVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQVLMAVGYIVMALAMPGSLFIGSVVVGACYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAEATPVPGGGNTCVGAHCYRLVFLIMAVACVVGFGLDVLLCLRTKRVYSKIHESKKASSSAVAQRVS >PAN15028 pep chromosome:PHallii_v3.1:2:55116262:55118495:-1 gene:PAHAL_2G459100 transcript:PAN15028 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUB1 [Source:Projected from Arabidopsis thaliana (AT4G08810) UniProtKB/TrEMBL;Acc:A0A178UWI5] MSSSSDQDDGPDGGEDSPLFRRRAGGPSPGAGGVADVPVAQSLIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLVPDDSLLPTGEDFNSSAAIPPRARAEANQDDASAAVAAASAAAAANATCDPNAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYEAPVAGPKPGQCDVAWRFRNRREKSWRRYRDYRRFSLTSGDGCALDIVKVGKFRSGTNAARHLKGPKNSRVVAPPVDAEINDTIPVVGSEAEFKKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTVDGKDVDGKDFRYYFDFEHLKESVSVVEEGDFLKDWKRWDKKKGPGRITVRKVPSYKVTPMQLKRDKSNIIWRQFVGQEPANYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVVRGWKAQNKQMYPNLDADTSPDAIVNKVTKLVKPMRNLYIATNEPFYNYFDKLRSHFHVHLLDDYKQLWSNTSEWYNETTVLSGGKPVPFDEYMRVIVDTEVFYRSKTQVETFNNLTRDCKDGINTCNL >PAN10575 pep chromosome:PHallii_v3.1:2:8071672:8074629:-1 gene:PAHAL_2G102700 transcript:PAN10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MAAAASVMPPHADPPPLAAARSPTAPTSAAQRRRDAPTPSLHALFLRAVDPSRPSSWSAAVADLLSAGDPAAALAAFAAALRANPAALRLALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYSASALLHMYHHCARPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVYPALAIFRSMVASDSAGVVDEAAALVALSASARVPDRGVTGGIHALVAKVGLDGNSGVANTMLDAYAKGGGRDLGAARKLFDMMERDVVSWNTMIALYAQNGLSAEALGLYSKMLNVGGGIRCNAVTLSAVLLACAHAGAIQTGKRIHNQVVRLGLEENVYVGTSVVDMYSKCGRVEMARKAFRRIKEKNILSWSAMIAGYGMHGHGQEALEVFNEMRRSGLKPNYITFISVLAACSHTGLLNEGRHWYNAMRKEFGIEPGIEHYGCMVDLLGRAGCLDEAHGLIKEMKVKPDAAMWGALLSACRIHKNVELAEIAANRLFELDATNSGYYVLLSNIYAEAGMWKDVERMRILVKTRGVEKPPGYSSVELKGKTHLFYVGDKRHPQHKEIYAYLDKLLERMQEAGYAPNTGSVLHDLDEEEKESMLRIHSEKLAVAFALMNSVQGSVIHVIKNLRVCTDCHTAIKIITKLTGREIVVRDIKRFHHFKDGLCTCGDYW >PAN10576 pep chromosome:PHallii_v3.1:2:8068583:8074746:-1 gene:PAHAL_2G102700 transcript:PAN10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MAAAASVMPPHADPPPLAAARSPTAPTSAAQRRRDAPTPSLHALFLRAVDPSRPSSWSAAVADLLSAGDPAAALAAFAAALRANPAALRLALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYSASALLHMYHHCARPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVYPALAIFRSMVASDSAGVVDEAAALVALSASARVPDRGVTGGIHALVAKVGLDGNSGVANTMLDAYAKGGGRDLGAARKLFDMMERDVVSWNTMIALYAQNGLSAEALGLYSKMLNVGGGIRCNAVTLSAVLLACAHAGAIQTGKRIHNQVVRLGLEENVYVGTSVVDMYSKCGRVEMARKAFRRIKEKNILSWSAMIAGYGMHGHGQEALEVFNEMRRSGLKPNYITFISVLAACSHTGLLNEGRHWYNAMRKEFGIEPGIEHYGCMVDLLGRAGCLDEAHGLIKEMKVKPDAAMWGALLSACRIHKNVELAEIAANRLFELDATNSGYYVLLSNIYAEAGMWKDVERMRILVKTRGVEKPPGYSSVELKGKTHLFYVGDKRHPQHKEIYAYLDKLLERMQEAGYAPNTGSVLHDLDEEEKESMLRIHSEKLAVAFALMNSVQGSVIHVIKNLRVCTDCHTAIKIITKLTGREIVVRDIKRFHHFKDGLCTCGDYW >PAN10573 pep chromosome:PHallii_v3.1:2:8068583:8074747:-1 gene:PAHAL_2G102700 transcript:PAN10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MAAAASVMPPHADPPPLAAARSPTAPTSAAQRRRDAPTPSLHALFLRAVDPSRPSSWSAAVADLLSAGDPAAALAAFAAALRANPAALRLALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYSASALLHMYHHCARPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVYPALAIFRSMVASDSAGVVDEAAALVALSASARVPDRGVTGGIHALVAKVGLDGNSGVANTMLDAYAKGGGRDLGAARKLFDMMERDVVSWNTMIALYAQNGLSAEALGLYSKMLNVGGGIRCNAVTLSAVLLACAHAGAIQTGKRIHNQVVRLGLEENVYVGTSVVDMYSKCGRVEMARKAFRRIKEKNILSWSAMIAGYGMHGHGQEALEVFNEMRRSGLKPNYITFISVLAACSHTGLLNEGRHWYNAMRKEFGIEPGIEHYGCMVDLLGRAGCLDEAHGLIKEMKVKPDAAMWGALLSACRIHKNVELAEIAANRLFELDATNSGYYVLLSNIYAEAGMWKDVERMRILVKTRGVEKPPGYSSVELKGKTHLFYVGDKRHPQHKEIYAYLDKLLERMQEAGYAPNTGSVLHDLDEEEKESMLRIHSEKLAVAFALMNSVQGSVIHVIKNLRVCTDCHTAIKIITKLTGREIVVRDIKRFHHFKDGLCTCGDYW >PAN10574 pep chromosome:PHallii_v3.1:2:8068583:8074629:-1 gene:PAHAL_2G102700 transcript:PAN10574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MAAAASVMPPHADPPPLAAARSPTAPTSAAQRRRDAPTPSLHALFLRAVDPSRPSSWSAAVADLLSAGDPAAALAAFAAALRANPAALRLALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYSASALLHMYHHCARPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVYPALAIFRSMVASDSAGVVDEAAALVALSASARVPDRGVTGGIHALVAKVGLDGNSGVANTMLDAYAKGGGRDLGAARKLFDMMERDVVSWNTMIALYAQNGLSAEALGLYSKMLNVGGGIRCNAVTLSAVLLACAHAGAIQTGKRIHNQVVRLGLEENVYVGTSVVDMYSKCGRVEMARKAFRRIKEKNILSWSAMIAGYGMHGHGQEALEVFNEMRRSGLKPNYITFISVLAACSHTGLLNEGRHWYNAMRKEFGIEPGIEHYGCMVDLLGRAGCLDEAHGLIKEMKVKPDAAMWGALLSACRIHKNVELAEIAANRLFELDATNSGYYVLLSNIYAEAGMWKDVERMRILVKTRGVEKPPGYSSVELKGKTHLFYVGDKRHPQHKEIYAYLDKLLERMQEAGYAPNTGSVLHDLDEEEKESMLRIHSEKLAVAFALMNSVQGSVIHVIKNLRVCTDCHTAIKIITKLTGREIVVRDIKRFHHFKDGLCTCGDYW >PAN10572 pep chromosome:PHallii_v3.1:2:8068583:8074680:-1 gene:PAHAL_2G102700 transcript:PAN10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MAAAASVMPPHADPPPLAAARSPTAPTSAAQRRRDAPTPSLHALFLRAVDPSRPSSWSAAVADLLSAGDPAAALAAFAAALRANPAALRLALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYSASALLHMYHHCARPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVYPALAIFRSMVASDSAGVVDEAAALVALSASARVPDRGVTGGIHALVAKVGLDGNSGVANTMLDAYAKGGGRDLGAARKLFDMMERDVVSWNTMIALYAQNGLSAEALGLYSKMLNVGGGIRCNAVTLSAVLLACAHAGAIQTGKRIHNQVVRLGLEENVYVGTSVVDMYSKCGRVEMARKAFRRIKEKNILSWSAMIAGYGMHGHGQEALEVFNEMRRSGLKPNYITFISVLAACSHTGLLNEGRHWYNAMRKEFGIEPGIEHYGCMVDLLGRAGCLDEAHGLIKEMKVKPDAAMWGALLSACRIHKNVELAEIAANRLFELDATNSGYYVLLSNIYAEAGMWKDVERMRILVKTRGVEKPPGYSSVELKGKTHLFYVGDKRHPQHKEIYAYLDKLLERMQEAGYAPNTGSVLHDLDEEEKESMLRIHSEKLAVAFALMNSVQGSVIHVIKNLRVCTDCHTAIKIITKLTGREIVVRDIKRFHHFKDGLCTCGDYW >PVH63348 pep chromosome:PHallii_v3.1:2:647602:647814:-1 gene:PAHAL_2G010100 transcript:PVH63348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRPTASSTMTCARRRALCRLSSTASTGGFKHLLIMKFMDRVISSPRSAERKLSIWGSPWLLRKTTLQDG >PAN12656 pep chromosome:PHallii_v3.1:2:47531267:47532693:-1 gene:PAHAL_2G332600 transcript:PAN12656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPHGCARPPTLFRQWEKHGTCSYPVIQDEYSYFSTTLHLYSSYNVTAMLASKFSIGADDGSRYLVADLVATVRSSFGASPLLLCEGGSLQELRLCFDKDLKPLDCINGGTGNEYDIIEHGRSCARYISLPSYKPHGGDTSGATRRFSANIMLAFFDAF >PAN13326 pep chromosome:PHallii_v3.1:2:47314929:47317812:1 gene:PAHAL_2G328900 transcript:PAN13326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGHVKTKGTIYLSNIRMVFVANKPVGNFFAFDMPLLFVHGEKFSQPIFHCNNISGYVEPVVPDSQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAANMAPRVDPLQAAQTPVDEMMRHAYVDPNDPTTIFLQQPAPESQLRRRNYHGPADNS >PVH64880 pep chromosome:PHallii_v3.1:2:50076169:50076917:-1 gene:PAHAL_2G374900 transcript:PVH64880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLSSSSGMRSIRRELQRRRPEPLAPRSSAAKKPSAPPPHPRQGVRDKVLEPRSRPPREEVPSPLASQSRGRSGTDAPRPRPHASPRQPVVSRSTPPSRSAVSSARSPSASAHLRPGTAVGVRTRTTTLKTGEVLVFWLRAMIVSSTHGGYEVVYDGNWPPGDPYGTVRVRMVEPSPSPTTPPPSLPPSTAPGSQHRHRGHRAEGDAARAEADHGWDSGKSLRLIRRSLLPEMERQARADFHGY >PAN14396 pep chromosome:PHallii_v3.1:2:52035441:52036667:1 gene:PAHAL_2G406400 transcript:PAN14396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPRPDGRLPEAPAPPVDGLTDDLLAAILIRLPTLADFGRAAAACPAFRRVIADPAFLRRVRALHPSLLLGFLTFTGGFRPAEPPYASVPAARAVARAADFSYSFLPRPSGWVVRNARDGRVLLDWNDGGDGIFTKLAVCDPLFRRYVVLPPIPEDLAAAVQQPHLLDLERKFQVFLVPSDQEAAQTSFRVLWMAQCPTKLVSFIFSSVSGQWHAVVSPTWKDLDPAKSRVTMTRRLLYFRSYAYGCFYWMMMSITPNFLVLDMARMEFSLLKYPSHFRRLGMFSFNARFGESFELDIFSTVRPNQGEGANEWKTLRGAILPYQYRYRILGVVNTRLLIQGDLEFVDEDFLPPNVGVLSIALNTDYERVCGMIDEALHPLPLIGYPPSLSSPSI >PAN13731 pep chromosome:PHallii_v3.1:2:49204139:49208206:1 gene:PAHAL_2G360900 transcript:PAN13731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSLFLVASMPIIQVLLIGVVGAYLASGFSNVLTTSARRDMNKVVFTVFTPSLMFSSLAKTVTLEDVISWWFMPVNIAITFMIGGILGWIACNILKPPQHFRGLIMAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSICRSRGFSYSSLSMALGGLFIWTYTYSLMQKSGKLYHKMQSKSIQCPADSDEEHSAQDAQHSKGDGPAAYSDEEAPLPTSVKPDEQTDENPMEAPLLSCESEVGDKGFWTNLKDTVHQFVEELMAPPTISALTGFVVGLVPWLKSLIIGDGAPFKVLQDSLQLMGDGTIPCITLILGGNLTQGLRKSGLKRTVVITIVCIRFVILPLIGIAVVHAAYGVGFLSHDPLYRYVLMVQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLVAAIALTTWSTIFMSILS >PVH63508 pep chromosome:PHallii_v3.1:2:3126819:3129401:1 gene:PAHAL_2G044400 transcript:PVH63508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAKHHGDSYKCPNSKCGAIGVPSQRFKLSILAGDETGDTDFIVSGRQAQRFVKKTADTLVADNPAGFILDELTRLLERTFTWSVSFTDSTTDSDNITFQVNAVVGEVNDGGAVVPATSGASQTSSIMFSGGAGTSLQNTGNTLTVSSLPAAPEASLASSTTPTKTALGGSGPADTPQSKRNNAHDQDKTLAAAVDAPGNSSTKTRKSTTKKRSRPSPNKKVAKKLFTGEEAADGSGGSDSGAATSDQNSYLATFIKLLHVTDQSSIELLQ >PVH63509 pep chromosome:PHallii_v3.1:2:3127091:3129401:1 gene:PAHAL_2G044400 transcript:PVH63509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAKHHGDSYKCPNSKCGAIGVPSQRFKLSILAGDETGDTDFIVSGRQAQRFVKKTADTLVADNPAGFILDELTRLLERTFTWSVSFTDSTTDSDNITFQVNAVVGEVNDGGAVVPATSGASQTSSIMFSGGAGTSLQNTGNTLTVSSLPAAPEASLASSTTPTKTALGGSGPADTPQSKRNNAHDQDKTLAAAVDAPGNSSTKTRKSTTKKRSRPSPNKKVAKKLFTGEEAADGSGGSDSGAATSDQNSYLATFIKLLHVTDQSSIELLQ >PVH64344 pep chromosome:PHallii_v3.1:2:41122129:41122533:-1 gene:PAHAL_2G244700 transcript:PVH64344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLAMFVAILAFVAVVVHSCEPNCPTPTPPVAPSPPIVPTPPSGGGSCPIDALQLNVCANVLNLVKLNLPCCPLLDGLVDLDAAICLCTAIKANVLGISVNADVDVRILLNYCGKTCPADFTCPSN >PVH64417 pep chromosome:PHallii_v3.1:2:42757835:42758329:-1 gene:PAHAL_2G263300 transcript:PVH64417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPVSPVPPARRLCPLRSLFYSSPPSHVPYLLDGMPHHGTDHLLPVLLQEHTGSLRRRLWDERHGPCLRRAALLHCLEGQAPGDAHVCSTATMNLLAKASCRGDAFRAFEDGENWCSGVLDCGQVIISGMVRNGEQALARHCDIQLNSFTCSRPLCACTAAWS >PAN09962 pep chromosome:PHallii_v3.1:2:4589640:4590837:1 gene:PAHAL_2G062000 transcript:PAN09962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRICLRATAGGSGWFSLPGDHLEQISGHLSTDADHLRIHQVCAQWRACSSSPTALRPWILALRTDRSRPPPPARSHSAWLPRGHLLREVDIGAPPAGLPCFLGAARGWLALADDARSPTRLALWDPASGAEVRLPPLAGVIRVFLSADPLASRAGWRSRASAKTTWGQALLLASRPGDAAWGVLCNRPTASVDTLAFHGGRVYYLDWRRVLVVCDHNLGPAAVEMRNISGLVNRICGCPRSHRVRGAHMVSCAGDLLLVILREASGRRHPSSFAEIYKLGPGRWLEIGDRVTDLGDHSLFLARGESLALSAKEFPAIKRNRVHGGVAVDGNYYSRSHGRAPPDWAFVFDLGSDTVEEIPCPGELIGDDGSNWQPLFWLCLRGPFKKQQQRLTEN >PAN13515 pep chromosome:PHallii_v3.1:2:48162549:48163628:-1 gene:PAHAL_2G343400 transcript:PAN13515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILAIDGSRPGVILSPPAMSPASNPSIAGIRRIGYGRLLFEHGWSGLDSATTVCGQLQPWNGRWKLLHDCPARPLQPAGKAAAEVPGQGLRFPASSVSYPTCALY >PVH63686 pep chromosome:PHallii_v3.1:2:6223468:6225410:1 gene:PAHAL_2G085600 transcript:PVH63686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGEKGNLKPALLVLYSLALLQGVLFYYWAISASVEKTLVQQVAEAYEIDEEDEARESVSDYLRMIREGCEKDLSFARGRNLITYAVDLMASNSPDNYLSAARILDTLIRQLKPTGDRQHRRIRRMVASASSSDDITGTRFNLTVVNLPGRVVKSQHSLIKNMIGSTLSSDAVKILVQMLDSKDEDGGKISEMRLRAMRIVAHFASEIRLDKIMYGIRNICSLLEHQGSDSSQGSDSEKYQVFLVYSTGIHILSELAKDEENFKHMSNTDGITRKIVTVIVKCKELHDKEHEEWLSIARPGMQLINRLAASASSKSINVQQLSEFLGHENGTTTLQNMLDCQKCKADTAVQRSLIKTLTQIISMNTSQQNLSSENKERNKKASIETQIISAMNMSQQNNPPSENKDRNKKLRESFFKSLLLKFLDGSDRSFRKLAGESLAQLSLTSLNSCKLILNAQVGIVDALATVLLKDKKDKDKDKQYRKSAADILEHLCRHYRKDDDDFINLRVAMTRDIENVLNEVLNGDSDSRRSTNYVELHGALASLCDTVNEKLISVDSDLVSDFETKAERICKKRDLSEMSFSELVRKAKEIVEKHRKRLEDWAFSSSDDDEDCCIM >PVH64753 pep chromosome:PHallii_v3.1:2:48689309:48690502:-1 gene:PAHAL_2G352000 transcript:PVH64753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIMPIGGGDPFGDVHWVRRWLTRQLHLRAHHQPNPLTLDHPARSVLAFSVFHGSGRGTVHARAGRLTSSARATTCTGALRHPLHQHIDPFNVVPLKSFFRSVTIFPDHFFPEDERRHFRFYFRTSFHKFTFILLAG >PAN13916 pep chromosome:PHallii_v3.1:2:49904734:49906333:-1 gene:PAHAL_2G371900 transcript:PAN13916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGCIGNLSKSQSLQDSKLKGSLRKRSRYSSTGNRRRWQSGQNAMENNVSISVSLEGNISSIPNSIVNDSKISMENGVDTSFINHAAITWADMRRQWVGHQAEVPKKTPREPVISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >PAN13914 pep chromosome:PHallii_v3.1:2:49904734:49906516:-1 gene:PAHAL_2G371900 transcript:PAN13914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSLYGSSGCIGNLSKSQSLQDSKLKGSLRKRSRYSSTGNRRRWQSGQNAMENNVSISVSLEGNISSIPNSIVNDSKISMENGVDTSFINHAAITWADMRRQWVGHQAEVPKKTPREPVISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >PAN13915 pep chromosome:PHallii_v3.1:2:49904322:49908633:-1 gene:PAHAL_2G371900 transcript:PAN13915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCIGNLSKSQSLQDSKLKGSLRKRSRYSSTGNRRRWQSGQNAMENNVSISVSLEGNISSIPNSIVNDSKISMENGVDTSFINHAAITWADMRRQWVGHQAEVPKKTPREPVISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >PAN13949 pep chromosome:PHallii_v3.1:2:50045352:50048763:-1 gene:PAHAL_2G374400 transcript:PAN13949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MASSNGKAAQAEEGKAAPEGSIGGYESLHRLLEANLSPELFQEASRLLLGLNCARPLEAIALPEATMTLAETHNFDVQAFRFSADKEFLRQPRVVRVGLIQNSIAIPTTCHFSEQKKAIMDKIRPIIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVNGESTHFLQELAQKYNMVIVSPILERDVNHGETIWNTAVIIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLAISDMNLNLCRQIKDKWAFRMTARYDMYASLLSEYLKPDYKPQVIVDPLTNKRA >PVH63981 pep chromosome:PHallii_v3.1:2:16463606:16464016:-1 gene:PAHAL_2G153700 transcript:PVH63981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLHDEGIKIIKLKLSQGEAKYRCFHTDHQGVLWFNNRIVVPKDHQLRKQILDEAHLSKFSIHPDSTKMYQDLRQNFWWTRMKREIAKYVSECDTCQRVKASHLRASGTLQPLPIPSWKWEDISMDFIVGLSNTS >PAN10507 pep chromosome:PHallii_v3.1:2:7544985:7547335:1 gene:PAHAL_2G097500 transcript:PAN10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQRGDQGRQLPLRGPLKALEADIHHANAMADAIQRNYGGACVQMRLSFSSLAPFFLYLIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGKGRCKDIVSRRRMEDWKRLSGKDVERDDECGICMETCSKMVLPNCSHAMCIKCYRDWYKRSESCPFCRGSLKRIRSRDLWVLTNYNDVIDPANLERENVRHFYSYIDSLPLILPDNIFFFYYDYLI >PAN11917 pep chromosome:PHallii_v3.1:2:38714936:38720312:-1 gene:PAHAL_2G228400 transcript:PAN11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHACCDDVHEHVINVTHGETASTSTSHQDMYSDSDEPHQEDRPSTSTRTPSSQSSPSTSPTAYSSRNLSFPRRDSIYGHGRSPWNSGLWISFEIVMYIAQVVAAIVVLVFSRHEHPHAPLFAWVIGYTVGCIASLPLIYWRYVHRNRPLDQEPQQPPTTFPTSTPSQSSEGRNHRSSGTVWHLGCITITCPRLSVLAYHFKTAVDCFFAVWFVVGNVWIFGGRSISSDAQDAPNMYRLCLAFLALSCVGYAIPFIMCAAICCCFPCLISVLRLQEDLGQSRGATQELIDALPTYKFKPKRNKNWGIDHASSSENLDEGGILGPGTKKERVVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGVASSRFNV >PAN13486 pep chromosome:PHallii_v3.1:2:48030584:48033531:-1 gene:PAHAL_2G341300 transcript:PAN13486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSSCLGTAFQSCQKVPLGPSMYQSRVLPQDLINTLPEACIAEIFRHLENCKDRESCASVCWRWADILVSQRPDFWSPFSVHQAVHRCLHLSDVDDAKLVAAVVGIHSRDVVTDLDLRNGPCEAVFAGGPQLTDSAICFVTTACRNLKSVCLVNCLSLTNKAAWIIASNCPALENLMILQSSISDDDLSQVAKQCRNLKSLRIERSLSITEASLRALVQDAKRLESLALGSCPQIREDAILSLLMNQLYLDKLELKCMMAGESHWIGARQSSALNRHFRLFRQLSSLILVKCPGLQDLGMLKFARIHFRVLRHLVIDDCRGVTDRGLMWLVGDAMNPMKLKTIKLARFHFFTAAAAMKVMSLVCGTIESIILDS >PVH65377 pep chromosome:PHallii_v3.1:2:56303639:56305851:1 gene:PAHAL_2G478000 transcript:PVH65377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLFEAASGYALFHAYGIDEIGQSVDAVRASVLDLQRFGKAVKLAGFSPFSSAVNALNQCNAISEGIMTDELRNFLELNLPKVKEGKKAKYSLGVMEPKVGSHISEATGIPCQSNEFVQELLQGVWLHFNRFIDQLKESDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFYLRVSLEVSYKCCQCTCQRSPNSSCLHIYSVMEWYSWHFPELVKIVNDNYLYAKIAKFVVNKSDLAEKDIPALADLVGDEDKAKEIVEAAKASMARIFHQLI >PAN13673 pep chromosome:PHallii_v3.1:2:48979601:48982429:-1 gene:PAHAL_2G356600 transcript:PAN13673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNRVGIVGAGVSGLAACKHVLDKGFSPVVFEADGSIGGVWAHTLESTRLQAPTTAYRFSDMAWPECVTEAYPSHQKVMKYIRSYACKFQLLKYIKFNSQVLGVEYLGATVEEIMSWEQWSGNGTAFGTGKDGGWRITVKDLKVGDTQVFQVDFLILCIGRHSGTPNIPKIPASGPELFKGKILHSLDYSYMDNVAHFVKGKHVTIIGSGKSAFDIAAEVAKVNGAAQPCTIIYRTRHWLVHKSSIWGVDLSYFYLNRISQLLLHKPGEGFLRYMLTTALSPLRWAISKVIETYFKWSIPLQKHGMVPDYSFSFAMSSCSIAMLPEGFYDRVDEGSIILKKSKAFNFSNNGIILQDRKESIKSDIVILATGFRGDQKLRDIFTANWCRKIVAGSPNTPAPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVAHFLAGGFKLPSITCMENSVAEWAKYKNIYNGKYFPRSCISTINIWLNDLLCQDIGCNPKRKKGFLAEWFQPYGPADYAGLS >PAN09244 pep chromosome:PHallii_v3.1:2:649559:651052:-1 gene:PAHAL_2G010200 transcript:PAN09244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFLSAVLGDLASRSINFIINKCSKLPSLAVEDSLQRTLHRAQIIVSESMRRHITNHAMLQQLNMLRDTIHRGNYMLDTFRCQPIEEEDAKDKIVSHPLPLYSKANCVRSFFLSSGTSSTQILKEMQEVLDNVSSMIHDANELVLFLASYPPMYRQPYSMHLLLGNCMFARQMEAQLVINFLLHTQPHHGAEELEVLPIVGPFRVGKSTLVAHVCNDARVRDYFSEIVFWSDHDFRDEKLTTLSEEYAEEYQNCASNKDGRILVIVELAKDLDEGVWKMLYSASKRCMPSGSKIIVTSQSDKVVKYGTTGALTLKFLSQEAYWYFFKTLTFGSVDPKTHPRLTQLAMEISRMHNCNLNGAYVASYLLRNNFDIQFWRKVQTFLKEYIQKHLSKFSEHIFDLMNQNRPLQLGRMATPSEVIMISRQYHRSSQEEAPEIRIQDVMYGSVRPHGKFEVLVWRSQIPPYYSYAITCEIQELKTTGTKRKRSSNNCRVSFC >PAN10144 pep chromosome:PHallii_v3.1:2:5569513:5574811:-1 gene:PAHAL_2G074400 transcript:PAN10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:OsNramp1 (Integral membrane protein) [Source: Projected from Oryza sativa (Os07g0258400)] MDAVQAPAGVVVDVEALAGRRGSDAPAAPKEPAWKRFLCHVGPGFMVSLAYLDPGNLETDLQAGSNHRYELLWVILIGLVFALIIQSLSANLGVVTGWHLAELCKAEYPTWVRICLWLLAELAVIAADIPEVIGTAFAFNLLFHIPVWVGVLITGSSTLLLLGLQKYGVRKLELLVALLVFTMAACFFIEMSIVKPPSKEVIHGLFVPSLSGSGATGDTIALLGALVMPHNLFLHSALVLSRNTPSSVRGIKDACRFFLFESGIALFVALLINICIVSVSGTVCNSSKLSPDDSAKCSDITLDSSSFLLRNVLGKNSAVVYGVALLACGISSTITGTYAGQYIMQGFLDIKMKQWLRNLMTRSIAIVPSLIVAIIGGSSGAGRLIIIASMILSVELPFALIPLLKFSSSSNKMGENKNSIYIIGFSWILGFIIIGINIYFLSSKLVGWIFHNSMPIYASVLIGIVVFPLMLLYISAVIYLTFRKDTIKFALRGELQAIETDKSKVANHSSNEENKEQLV >PVH64493 pep chromosome:PHallii_v3.1:2:44407681:44412360:1 gene:PAHAL_2G284700 transcript:PVH64493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLASPTKDDYMGLYSIMFWTLTLIGVVKYAGIALNADDHGEGGTFAMYSLLCRHADIGILPSKKFYSEEEPLIHNQSAVDRRPSWLGKFFERSITARRVLLFMAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEALSAAILIGLFLLQKFGTSKVSFLFSPIMAAWTFTTPIIGVYSIVRYYPGIFKAISPHYIVHFFLRNKKEGWRMLGGTVLCITGAEAMFADLGHFSKKGIQIAFLFSIYPSLVLTYAGQTAYLINNVNDFSDGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDCFPRVKVVHTSQHKEGEVYSPETNYILMVLCVGVVLGFGAGNSIGNAFGVVVIMVMLITTIMLTLVMIIIWRTPPALVALYFIPFFIMEGAYVSAVFTKIPEGGWLPFAVSMILALIMFGWYYGRQRKTEYEMANKVTVERLAELLARPEVQRVPGLCFFYSNIQDGLTPVLAHYIKNMSSLHAATVFVTLRFLLVAKVDERERILVRRLGPGGVYACTVQYGYADSLRGDDLAAQVTSRLRRHVEADEAARLEAAWRAGVVHVRGKMRFYVGEDAGWFDKVMLGFYEFLHGICRSALPALGTPLQQRVEIGMLYKV >PAN12696 pep chromosome:PHallii_v3.1:2:44407680:44412360:1 gene:PAHAL_2G284700 transcript:PAN12696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTGAHADLEAGHVSSPRGGASAASAPATAGQEQAEAQGGSFRKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLASPTKDDYMGLYSIMFWTLTLIGVVKYAGIALNADDHGEGGTFAMYSLLCRHADIGILPSKKFYSEEEPLIHNQSAVDRRPSWLGKFFERSITARRVLLFMAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEALSAAILIGLFLLQKFGTSKVSFLFSPIMAAWTFTTPIIGVYSIVRYYPGIFKAISPHYIVHFFLRNKKEGWRMLGGTVLCITGAEAMFADLGHFSKKGIQIAFLFSIYPSLVLTYAGQTAYLINNVNDFSDGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDCFPRVKVVHTSQHKEGEVYSPETNYILMVLCVGVVLGFGAGNSIGNAFGVVVIMVMLITTIMLTLVMIIIWRTPPALVALYFIPFFIMEGAYVSAVFTKIPEGGWLPFAVSMILALIMFGWYYGRQRKTEYEMANKVTVERLAELLARPEVQRVPGLCFFYSNIQDGLTPVLAHYIKNMSSLHAATVFVTLRFLLVAKVDERERILVRRLGPGGVYACTVQYGYADSLRGDDLAAQVTSRLRRHVEADEAARLEAAWRAGVVHVRGKMRFYVGEDAGWFDKVMLGFYEFLHGICRSALPALGTPLQQRVEIGMLYKV >PAN11963 pep chromosome:PHallii_v3.1:2:39357639:39359616:1 gene:PAHAL_2G232200 transcript:PAN11963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAARYTIIFVPFPAQGHVTPTLRLARTLVDHGDVSVTVAVPDFIHCRMAQLSIPGVALVSIPSGIQDDGNGEPPGPPSFLHALEHYMPAQLEGMLTTERGIVGARRVSCLVVDLLASWAITVAARLGLPVVGFWVGMSATYRTVAVIPELMDKGLISESGTIVSPDQIDSGHCNIHQNIADLHILPAKLKLRFKDLPWLISSSAVSQKSRLAFWLQTVNRAKTIRSILVNSINGEGGDSELYDPPQGQEILPVGPLLFNDDSKKTTAMWQVDQTCIGWLDKQSVGSVIYVSFGSWAAPMEPEKISGFAHGLEASGRPFLWALKNHPSWRAGLPDRYMEKVACHGKIVSWAPQDDILKHKAVGCYITHCGWNSVLEAVRHGVRMICYPISSDHFINCAYIVHMWEVGIALDSSDQSNVKDCIGRVMEGEEGRHLQQMVNKLRKTITVGEAMCVAKRSLSLFMERIKNNLSDEDIRRNQVTPQNCG >PAN14944 pep chromosome:PHallii_v3.1:2:54839969:54843102:1 gene:PAHAL_2G454200 transcript:PAN14944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRAISSLLYIYSSAAAAGRGARQLGFAPALGRSFRVPSNSGPPAFVLDEVARAAGGARRRASTRAASWDSEKSPYETLELGRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDNERRKAYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQQREMTLRARRLSRSKVDPEEERKLFAKEKKASMEFYSTTLKRHTLVFWKRDIMRKKAEEDRNKEISRLLAAEGLELDTDEDEDKTFLG >PAN15215 pep chromosome:PHallii_v3.1:2:55925886:55929484:1 gene:PAHAL_2G472400 transcript:PAN15215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPDDGEGEGSGGRSLSYGEAEYWDARYVEEGGAPYDWYQRYDALRPFVRRFAPPASRLLMIGCGSALMSEDMVTDGYVEIVNIDISSVVIEMMRKKYFNIPQLQYLRMDVRDMSMFPDESFDCAIDKGTLDSLMCGVDAPLSAAQMVLEVDRLIKPGGVFILITYGDPSVRVPHLNQPGCNWKIVLYILPRPNFTGKIRRHVLDPVPLTEKGRLPDGFVPEDPDSHYVYVCEKMQGLTGAGSPTVERQGEE >PVH65300 pep chromosome:PHallii_v3.1:2:54983154:54983688:-1 gene:PAHAL_2G456500 transcript:PVH65300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLSDYQLWIHKWSLTDYIVELHVIYSMIRAQQQQGMARWRVTTGASIVCSSVYSIQKKNISFASLRS >PAN14868 pep chromosome:PHallii_v3.1:2:54613151:54615588:1 gene:PAHAL_2G450200 transcript:PAN14868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPEPRLVRYLATASASPAAPAPPPLQASKPPRPAAPFLAVLLRRGRAAAAAILNRRLRAAPAPEAHALLSALPSVRDAVSYNTVLAALCRRGGDLPAALSLLRDMSREPHPGARPTAVSYTTVMRGLCAARRADEAVGLLRTMQDRGVRPDVVTYGTLIQGLCDAAEVDGAVELLNEMCGSGIEPNVVLYSCLLRGYCKSGRWQDVGKVFEEMSWRGIQPDVIMFTGLIDSLCKEGKTGKAEMVKDMMVERGLEPNAVTYNVLINSLCKEGSVREAMTLKKEMVEKGVAPDVVTYNTLIAGLSGVLEMDEAMGLLDEMIQGDIVLEPDVFTYNSVIHGLCKIGRMFQAVKVREMMAERGCMCDLVTYNCLIGGFLRVHKVKMAMKLMNELTSSGLNPDSFTYSILINGFSKMWEVDRAEKFLCTMREHGLEPELAHYIPLLAAMCQQGMMERATILFNEMDKNCRLDVVAYSTMIHGACKSGDKKMVEQLIKDMLVIKGYSAEGQINKVLELISEMRAKNVALDSKIISTIVASLTNEHKKKLLEVLPDFSKELLQGNTAPGVHELTM >PAN09493 pep chromosome:PHallii_v3.1:2:1985539:1986916:1 gene:PAHAL_2G027700 transcript:PAN09493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRGAPPCSDDTSGGGARLFPCLFCCKTFLKSQALGGHQNAHKKERVAGSGWNTCGTSYAAALELAAGSVLPAATSSMFVAGAPHCGGAVAIIGAGAGEASSYRAATAAALRWELERWTGGGHAPPAALHGSAGLIDETLNWTRGTQTSAAARKATTDTPAAGVEPDLELRL >PVH64837 pep chromosome:PHallii_v3.1:2:49518492:49522695:1 gene:PAHAL_2G365800 transcript:PVH64837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLNLYGNSFNGGVPYSISQMEDLETLNLGKNHLNGQLTDMFSQLPKLSTLDISFNRFSGSLPQSFQHLRNLKTLNVESNQFSGHIDVLAKLPLEDLNLQNNKFTGWIPSKLKDINNLQIGGNQWSSGSAPPGMEKGSTLGASSGGGSGGGINGFVIGAIVVAVLLAALILLSVLKRNHSSASSHYLMDDSGHNRSFAPLVDDGRAHKESSAVNMKPLELSSSLSSRTPPAVPRKSISDNEFENKLNHSRRSTDPINLVTYSSSDLQAATGNFHSSRLLGQGTIGGVYKAKYTDGRVLVVKKFDPLSFSGSSDFVDLVNSVSKLRHPNISELVGYSSEPGHYMLVYDYHMNGSLYDFLHLSDDYSKPLTWDTRVRIAIGTASALEYLHDACSPPVIHKNIKASNVLLDADLNPHLTDCGLAYFYEDTSESLGPGYNPPECPGSSGYVMKSDVYCFGVVMLQLLTGRKPYDSSKPRTEQSLVNFVTPQLRDSNALGALADPALRGLYPPKALSRFADVLARCVQSDPESRPSISEVVQSLLQCVQRTTSSRRMGGLRSISQRSDDSDW >PAN13517 pep chromosome:PHallii_v3.1:2:48163678:48168864:-1 gene:PAHAL_2G343500 transcript:PAN13517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGADEAVKVVDLEDGEGEEAAAEGSSRETRMLPRMPVRVLLAEGDDSTRHVISALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDASKNIPVIMMSSHDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANGGPDVQHIQQEENLAERIEQKIAATKVDNLNKDGSRKNRECSEQESDAQSSCTRSELEAESKQTNNTLEYKQPTERQFSIPSHKNGELNGQTKLRSNNLIRTREDDLSPKKRACLNHNDSEKASRDIELVHIIDNQQKHDMQREVDTMRTTSIGNDEKGSIPAHQLELSLRRTDYGKLENQEKNDRRTLNHSTSSAFSLYNCRTASTLGNAGDGQLCSTSETQADVENKNGDSAAPSHDITGTNRPIRVVPFPVPVQGLTFDGQPFWNGTPVASLFYSQSAPPIWNSKTSMWQESTPQATSVQQKSQQNEPNEMGPKPVENTEAQSHISHPSSNGKQLRVEIPKDEARNVSPMTGESGTSTVLDSARNSLSVSGCDSNSNRIPAPTESSNTFKGVPETPSAEGSRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHSIQGS >PAN13516 pep chromosome:PHallii_v3.1:2:48163953:48168565:-1 gene:PAHAL_2G343500 transcript:PAN13516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGADEAVKVVDLEDGEGEEAAAEGSSRETRMLPRMPVRVLLAEGDDSTRHVISALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDASKNIPVIMMSSHDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANGGPDVQHIQQEENLAERIEQKIAATKVDNLNKDGSRKNRECSEQESDAQSSCTRSELEAESKQTNNTLEYKQPTERQFSIPSHKNGELNGQTKLRSNNLIRTREDDLSPKKRACLNHNDSEKASRDIELVHIIDNQQKHDMQREVDTMRTTSIGNDEKGSIPAHQLELSLRRTDYGKLENQEKNDRRTLNHSTSSAFSLYNCRTASTLGNAGDGQLCSTSETQADVENKNGDSAAPSHDITGTNRPIRVVPFPVPVQGLTFDGQPFWNGTPVASLFYSQSAPPIWNSKTSMWQESTPQATSVQQKSQQNEPNEMGPKPVENTEAQSHISHPSSNGKQLRVEIPKDEARNVSPMTGESGTSTVLDSARNSLSVSGCDSNSNRIPAPTESSNTFKGVPETPSAEGSRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHSIQGS >PAN15550 pep chromosome:PHallii_v3.1:2:57508880:57510160:1 gene:PAHAL_2G496700 transcript:PAN15550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLTETKSPACMPSTTSTSLPHWSNYKVDSASKFLSASSTVQIRCLPMNSAIGQKIEQNIYSFQQERFVHMHLAKLISSGSLCGEIRKTLQTT >PAN10558 pep chromosome:PHallii_v3.1:2:7994303:7998713:1 gene:PAHAL_2G101500 transcript:PAN10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAALSPRPPPPNPSAADRDGSVNSLLASLSDPSAIRLLPAPLLAFSRLRLLLPPAAAATHLLLRPIASLLHLHRPDLRLGIQLHALSLSLCLSRHPLLLPSLVSFYSYHPSLLPAASSLAAGSTCPHPYNVLISTCLSHRLPCYALAAYQEMVGKDAVAPDAFTYPSVLRACAEAGDLALGRAVHVRAADAGMDGHLFFQNALVSMYAKCGDLVAARRVFDGMGHRDVVSWNSMISGYAASGLWREAVELFNRMRAEGAEVNSVTWNTIAGGYIQMRDYKAAIGLIRDMVRGGAGVDFVTLVIGSSACSRAGWLRLGKEIHGLAVRMHCDGIESVSNAVITMYARCKDMERALMLFKMLRCPGLVTWNTMIAGFALSDDAEEASKLFREMVCSDVEPNYVTVVTYLALCARVANLQHGRELHTHIVKHGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVSLRLFNQMIDSGIVPDAIIMVTVLSACSHSGLVDEGEELFGKMVNSFGIKPQMEHYSCMVDLYARAGLLEKAEELLNQTPFPPTSTMWAALVGACHERGNIIIGERSARRLLEMKTENAGHYVLIANMYAAASCWNELATVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEVLDELTEQMRNLDDCSDLDILSTEELME >PVH63884 pep chromosome:PHallii_v3.1:2:11743508:11744457:-1 gene:PAHAL_2G130800 transcript:PVH63884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASGAKAASGLCQWTPTQSTFVLTFLTNSVADGTKTSTGFKKVHLNACAKALNDHFKLTRTGDQVSNHLKTWKKKYARINYLKNLSAALWDEDEFIVSLDHDHYKGHMADPKNKADDEYLNKPLPYYGFLATIFGNSVATGQYAKSSNDPIGTDRSEGVSHGGDATAENDGLNHDIDKSVINDDISSSARPAKRAKTIDNTGRKTDSLVEVFQCGTQTLANAIAQASSALPHGLFEAWTVSQYLVRHPNDAHAFMNLPSDWKLLWFSSFVTENF >PAN12748 pep chromosome:PHallii_v3.1:2:44703395:44704369:-1 gene:PAHAL_2G288500 transcript:PAN12748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASGEVAFECGCFLLYSDGHVERTRDMDTVPACFDADTGVTSKDVVVDAATGLAARLYLPAIQTAAPLASEPGGGGAATKLPVLVIFHGGYFVVGSPGCPDFHRYVNSLVAAARVVAVSVDYRLAPEHPLPAAYDDSWAAFSWAASGSDPWLSDHGDLGRVFVAGASAGANIAHNMAIAAGTSATPVLARIEGVILLHPSFCGEQKLEGEAEEFWRPNKKRWAVIFPDARDGSDDPRINPMAAGEPGLAKLAGKRLFVSTASEDPRAPRGRAYCEAVRASGWPGKVEWFESNGEGHAFFVFDHGSHEAVALMDRVVAFVAGH >PVH64741 pep chromosome:PHallii_v3.1:2:48524517:48527367:-1 gene:PAHAL_2G348600 transcript:PVH64741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKASYPNQPATAAGVEAIEGNKAAVVRMQLMGRGGAALSLLALVVMSPGQAAAAAGNGTAAAGGGRPRVPALLVFGDSIVDTGNNNAVLTLTKSDFSPYGKDLNGGVPTGRFSNGRIPTDLLASRLGLKDLVPAYLGTDLTDDDLLTGVSFASAGTGYDPLTSTLVAVLPMQEELNMFAEYKEKLAGIVGDEDAAGIVAESLFLVCAGTDDIANNYYLAPVRPLQYDISAYVDFLVQQACDFMKQLYQQGARRIAILGLPPIGCVPSQRTLAGGLARDCDPARNRAARMFNSRLQAAVARLQRELRCQRIGYVDIYDVLHDMIADPCKYGFDVSTRGCCGTGDLEVSLLCNQLTAATCPDDRKYVFWDSFHPTERAYDIIVDYLFPRYVEKLL >PVH64116 pep chromosome:PHallii_v3.1:2:30194062:30194811:1 gene:PAHAL_2G192100 transcript:PVH64116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWHPIEISMIGYQIVDTIEAAALEAIYAFCSQHPKEVVGQPIGLFATTNPDEAERDLGTIPESHRLEGPPEEVLQGMRRFTGVQYHYHMLLRREIGHLINAARSLHGEAARHITQVDQLRAVVIEKDGIIATQNETIHHREDQINESDHIITQRDTVIEFLQTQVQDLILAVDDAQAQIEELQQPPIPPVAPAAPEAEEEDPEEIEGVSELDSEHGDPVVSPYHSSSGSQSSVGNFDDF >PAN13212 pep chromosome:PHallii_v3.1:2:46753180:46756026:-1 gene:PAHAL_2G320600 transcript:PAN13212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDTLMIHGRPPRMRSTSPLPRSAGCTHGQIQLYKQKLFFHMDMKNYAQSGGVLGVSVFIIGSTTPRQTDILHCQIKPAARFEGTKRKRSDAACSSGITNFPPPHLGAIEPMLLWANCADRLGRTGSAQLKNGFAGANPAP >PAN13210 pep chromosome:PHallii_v3.1:2:46754315:46755894:-1 gene:PAHAL_2G320600 transcript:PAN13210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDTLMIHGRPPRMRSTSPLPRSAGCTHGQIQLYKQKLFFHMDMKNYAQSGGVLGVSVFIIGSTTPRQTDILHCQIKPAARFEGTKRKRSDAACSSGITNFPPPHLGAIEPMLLWANCADRLGRTGSAQLKNGFAGQGANPAP >PAN11432 pep chromosome:PHallii_v3.1:2:20193422:20194386:1 gene:PAHAL_2G162400 transcript:PAN11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGGKGKKLPSLLEKKDVANTARTAIRKEAEESNSMIARMEAMDNHELREYMLQKKGTLQSQMKVTIKKLLRKDKPKKKKRTMLCPILGATLKFHKDDDMDPAAAGAVGAAA >PAN09278 pep chromosome:PHallii_v3.1:2:512189:519983:1 gene:PAHAL_2G007800 transcript:PAN09278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVGVIVFSPKGKLYEYATDESMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMVESISELQKKERSLQEENKALQKELAERQKAAASRQRQQVQQWDQHTQTSSSSSSFLMRQDQQPLQPPQNICYPPVTMGERGEEAAAAAPQQPGQVQPQLRIGGLPPWMISHLNA >PAN12008 pep chromosome:PHallii_v3.1:2:39934439:39937235:1 gene:PAHAL_2G235000 transcript:PAN12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRNSTRSDGNSYHHVWPPMEFGWRIVLGSLIGIFGAASGSVGGVGGGGIFLPMLALIIGFDPKSSAAMSKCMIMGTCVSTVYYNLKLKHPSLDIPLIDYDLAMLIQPMLMLGVSIGVILNVVFPDWLVTALLIILFLVTSMKAFLKGVETWKKETIEKREVAQRMHQICQEPERATAIPTGPGDSVRAKNPSAEKSSLLKNVYWKELGLLAFVWLAFLALQIANKYSGHCSTLFWVLNSLQIPVAVGVTMYEAHGLMTGKKVLSSKGSQQNALKPRQLFVYCQLGIVAGLVGGLLGVGGGFIIGPLFLELGVPPQVSSATATFAMMFSSSMSVVEFYILHRFPVPYAAYFIAVAFIAAIIGQHYVRKLIRWLGRASLIIFILASMIFISAISLGGVGISNIIHKIESHQYMGFESMCKYGA >PVH64679 pep chromosome:PHallii_v3.1:2:47510034:47511301:1 gene:PAHAL_2G332100 transcript:PVH64679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRPYISQRFVKQESLPFPSTSPPAPPPGNIPRCRRPFTSDLPSCASHHRPPCEPPLVPMALVGGRKARGFLGKWKSKKARRTRNNGSKKRPAAKEVVWKGMSRFSIMACPKSPETSSGDTSVTPAEENALLESTGLPPGTLASSCGISYA >PVH63810 pep chromosome:PHallii_v3.1:2:9006829:9007703:1 gene:PAHAL_2G111500 transcript:PVH63810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRRAARAGAGGGDGGGGGAARTARGRAFCWLPYVVRPGGRSGNAEATSAEGACGGEVKVVAPCGWAFEEEVEEARGAGGGRERRRHFWKRRTAALLRWFRPGVPVPVPCLSPVWCHASGAGSRGCRHARLVTWRHAILFLPPKCYDFTALTEQLHQKKIFKKSLQSGVKVYACFGKQ >PVH64024 pep chromosome:PHallii_v3.1:2:21452881:21453315:-1 gene:PAHAL_2G166500 transcript:PVH64024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQFYRTAICLAMLYGAECWPTKRRHVQQLGVAEMRMLHWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHAQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWNETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN15309 pep chromosome:PHallii_v3.1:2:56367686:56369247:-1 gene:PAHAL_2G479400 transcript:PAN15309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASCLSLLVVLVALASAASAQLSSTFYDTSCPRALATIKSAVTSAVNNEPRMGASLLRLHFHDCFVDGCDASVLLNDTATFTGEQGAIPNRNSLRGFTVIDNIKAQVEAVCNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASKANAESDLPAPSFDLANLTQAFANKGLNVTDMVALSGGHTIGQAQCRFFRDHIYNDTNINSTLASSLQANCPRASGSGDATLAPLDATSPTAFDNAYFSNLVSQRGLLHSDQELFNGGGTDGTVRSFASSSSAFSSAFAAAMVKMGNISPKTGSQGQIRSTCSKVNGS >PAN13431 pep chromosome:PHallii_v3.1:2:47713763:47717232:-1 gene:PAHAL_2G335700 transcript:PAN13431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNQKVLTPLYSLPTNLFHSVLVQTPKLPTNLSHTTSREELTNHKQTLKLSSPFLRCCSSAPPTRGQMPPAGAGAAVLRRPYLAGVHLLAGRRQAGVDRVSMLPPVTPPLPPLVSWQQGSGVVARRRLWANASGSFEQNNTWEDAVLPSQVVEESKVDFLKILKSANTVIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVEDFVEAIKRPDAIAAGYIGQFIIKPLFGFLFGTLAVTVLNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGQKLPVDVKGMMSSIVQIVVAPIAAGLLLNRFLPKLCAAIQPFLPPLSVFVTALCVGSPLAINIRAVLSPFGLSIVLLLFAFHTSSFVAGYHLAGTWFHKSDDVKALQRTISFETGMQSSLLALALANRFFPDPLVGVPPAISVVLMSLLGFALVMVWSKKMKM >PAN15399 pep chromosome:PHallii_v3.1:2:56739529:56744356:1 gene:PAHAL_2G485200 transcript:PAN15399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSKLLVLLVAASSLCLYAVIAAQQTCPADLDSKCEGAASDDWEGEFFPGIPKIKYEGPTSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGGDPFGAPTKAWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDRWCFHDRDIAPDGKTLEETNANLDEIVELAKQLQSETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLANFLQAAVDYKKKIGFKGTLLIEPKPQEPTKHQYDWDVATAFAFLQKYGLIGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFMTDIAEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRNVAKLLEDGSLDELVRKRYQSFDSEIGALIEAGKGDFESLERKVLEWGEPTVPSGKQELAEMLFQSAL >PVH65064 pep chromosome:PHallii_v3.1:2:52563791:52566925:-1 gene:PAHAL_2G416000 transcript:PVH65064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLSSLAPFLPPALSTAMRSRSIVTSFLALHLFLFQNISCVSPLYFELNFTESNHNGAATIQFQEDAFYNKAIRLTKEEMDGQIAHSVGRAVFTDPVTLWDSTTGQLADFTTRFTFVIKANVTDGSYGEGLAFFLSPYPSVVPNNSTDGKLGLFGSSADQSGTSNQIVAVEFDSHKNAWDPDDNHVGIDINSIISAANVTWKSSIKDGKIANAWVTYQASSKNLSVFLTYKDNPQFSGNSTLSYSVDLREYLPDKVAIGFSAATGKLVETHRILYWEFNSTDVQLRSKKMKSALVISLATSISGIVCFMGLVWCFLRFRKTRRLRKEEEEKLEYDESIDGEFEKGRGPRRFQYNELVAATKNFALERKLGEGGFGAVYQGFLKDQNLNIAIKRVAKGSTQGKKEYISEVKIISRLRHRNLVQLVGWCHEHGEFLLVYEFMPNRSLDTHLYDNSNILTWPLRFKITISVASALLYLHEEWEQCVVHRDVKPSNVMLDSSFNAKLGDFGLARLVDHDRGSQTTVIAGTMGYLAPECVTTGKASKESDVYSFGILALEVACGRRPVVLKEDDEKIKLVQWVWDLYGRNEILNAVDGRLDGALDEREAVCLMVVGLWCAHPDYNFRPSIRQVISVLKFEAPLPSLPPKMPVAMYFAPPIHLCKFSYTSSDGTLKELEGSNIYGKTTSSSSDTNASSSPPSIHLPQIGY >PVH64690 pep chromosome:PHallii_v3.1:2:47691842:47696547:1 gene:PAHAL_2G335000 transcript:PVH64690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLINHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGTSSTGELLTDQESALLTDYIYHTLAAKASGELCMKYIFSFGAFARKPLLQCASDWKVPTTFIYGQQDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSGFHSAVLYACRNILSGDGEKGFTLPDGLISA >PVH64689 pep chromosome:PHallii_v3.1:2:47691057:47696547:1 gene:PAHAL_2G335000 transcript:PVH64689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAAVATTARMAAEEMRRASASAAAAAATAEAAPAQAGSRWARVWPPALRWIPTSTDRIIAAEKRLLSIVKTGYVQEQVNVGSAPPGSKVRWFRSASDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLINHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGTSSTGELLTDQESALLTDYIYHTLAAKASGELCMKYIFSFGAFARKPLLQCASDWKVPTTFIYGQQDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSGFHSAVLYACRNILSGDGEKGFTLPDGLISA >PAN15511 pep chromosome:PHallii_v3.1:2:57340808:57345818:-1 gene:PAHAL_2G493300 transcript:PAN15511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYMAAVGPATWWPSSALAPAGLLAATSPSSNPEPQTLPFLLPPPPAGYPLLPPRGTIVLQLHPHPGFLAEVDRRRSCSLLQLLKDEGAAPSPEDEKRREQVIRELKKIVMHWAKVVAREQSVPQRLATATVLTYGSYSLGAHGPESDVDALCVGPCIATLQYHFFVVLRQILEGRPEVSGVQTIESAKVPLMRFRFSGVAIDFTYAQLPVIDASKAINMFSPRLLQKIDKRSWRSLSGVRVNEKIVQLVPNSEKFQVLLRCVKLWARKRGLHCHHLGFFAGIHLAILAAYICQRYPNASVNGLFTVFFQTFAHWPWQVPVSLHDEPTDCLHPEGRLMPIVMPCTPPEFCVSNVTKSTFKKIREELTRGYALTKDPLRHDFEWTWLFESFPYAEKHQQFLRIALRAPTFAELRDWAGWVKSRFRFLILKLERAGIGCDPCPSEEVDHTVKEPNMVFYWGLIPEKIIHVDTSSLKEDFMKDVTNDVYGKVKCTRSDVTISVVGLSQLPKSMCTHSVHWQYLQHCMLGYQSTSEDQSAGWLGLG >PAN09340 pep chromosome:PHallii_v3.1:2:1044017:1047501:-1 gene:PAHAL_2G015200 transcript:PAN09340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVASTLAQEGVSRVSSFISTKLDDRASRAHTVARLEMALSRLEFVLEWSRKQPITYVSLIRRWGMFRCAYNEGVDLLNKHKLPALETHAEIGQVVVTSSSFLQRIIASAANFSFSSLSGLNKQCLSSSVVQIFESYANSAGEFLADVESACPLRRGTFFRYPFVRQLLQGKYLRYERVKRSQNLNLDIWPVILEGRGVEALLRYEYFDTERRDKSFTLGVALRLSESTDIVGTAIDCLRSSASLLNLAAQDAVTGELTLLSNLQDISDSYAPPWVGFEYCYALFTNFFRPDPLCCQGNGHGPSVKSTMSSELSHLFKEQTFYFVLSCYVPALEYSLPSAFDQAGRNVMTDRTPLKLDVTFAPHQSMHDSFVTEAMGSKQEERFPFGSIQDTVYMTRSRSVDCLICRSEVNNYKVSWFSKHGCAAIKVEKPGTGMVAVPKASGRYNTQSAAKRKR >PAN09229 pep chromosome:PHallii_v3.1:2:769203:771490:1 gene:PAHAL_2G011500 transcript:PAN09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDALPAAIYYLLPVTRKEMGSIRSGGESTAHSLPVAACKSDRSTRCGRRLRFGRQYLRWNRFLISLSSRSGVKKTVDRFLKLPASDPILQHWQFLNLAFSSSSFFALVRILVVHFYGENDSRAERAGSSVSHSVSISE >PAN13631 pep chromosome:PHallii_v3.1:2:48745816:48746777:-1 gene:PAHAL_2G352600 transcript:PAN13631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATPTRVFVYGTLKRGFPNHPLLVACACPFVGAASTAAPASLVIGPYSVPFLLPTPSSSSSGRVVSGELYAPSPAALAELDAFEGTHIGAYERRPITVVADGSGEVVEAEAYFAHPSYAEALWRRCGGEAAEIGEYTAEHAASYVPINERATGAAGLMEAIHRFLATAPDS >PVH64912 pep chromosome:PHallii_v3.1:2:50561765:50563986:1 gene:PAHAL_2G382700 transcript:PVH64912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRSMAAATIVFLPCWESGHFMSMVAAGKRMLDASGGALSLTVLVMQAPTAAKASEVAGHVRREAASGLDIRFQNLPAVEPPTGYAAPEEFNFRYTQLHAPHVEEAVAGLASPVAAIVVDLFCTPLLDAAGELAVPRYVYFASTGAFLALMLRLPAFREELTARPEGAVHVPGLPPVPLPYMPACLSGDKISNYEWFADYGRRFMDASGIIINSSIELERGVLAAIADGRCVPGRPVPTVHAIGPVIWFAEREQQQPHVCVRWLDTQPPASVVFLCFGSKGFVDRAQVGEVAAGLERGGHRFLWVLRGPPAAGSSHPTDADLDAMLPGGFLTRTQGRGLVWPAWAPQKEVLAHPAVGGFVTHCGWNSTLESLWFGVPMVPWPLYGEQHLNAFELVMAMGVAVPMKSMDGSKVEPFVAAAELEQAVRGLMGGTEEGRKAREKAAGLKAACRKAVAEGGSSHAALRKLVSEISSGGGAPPP >PAN12909 pep chromosome:PHallii_v3.1:2:45473642:45476738:1 gene:PAHAL_2G300100 transcript:PAN12909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLFCATRALLLPFPAPAPAPAPAPISSAAVAEAAASLLPLLPCKRRKKLLKKLNSPRVAPIEPEAARRVPELDAVLDRDTAFRFLHRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRKVARSAARHPLLFHLPVVDSVPHLALTPFMRSLLEEERRIHETLLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVRDFPDDFRVVVDPADGRHVLELVRWDPALAVSALERDYVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDAATTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTVEKRAMIHHIVEFKEEFGLTRHMYESLKKQNRAFYLAGTEMNWALFLRDAYDENGILKEKDPLVLFNEKLQRYACMTKMDSGEAMTDASGLTE >PAN12911 pep chromosome:PHallii_v3.1:2:45473637:45476760:1 gene:PAHAL_2G300100 transcript:PAN12911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLFCATRALLLPFPAPAPAPAPAPISSAAVAEAAASLLPLLPCKRRKKLLKKLNSPRVAPIEPEAARRVPELDAVLDRDTAFRFLHRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRKVARSAARHPLLFHLPVVDSVPHLALTPFMRSLLEEERRIHETLLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVRDFPDDFRVVVDPADGRHVLELVRWDPALAVSALERDYVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDAATTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTVEKRAMIHHIVEFKEEFGLTRHMYESLKKQNRAFYLAGTEMNWALFLRDAYDENGILKEKDPLVLFNEKLQRYACMTKMDSGEAMTDASGLTE >PVH64544 pep chromosome:PHallii_v3.1:2:45473636:45476761:1 gene:PAHAL_2G300100 transcript:PVH64544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLFCATRALLLPFPAPAPAPAPAPISSAAVAEAAASLLPLLPCKRRKKLLKKLNSPRVAPIEPEAARRVPELDAVLDRDTAFRFLHRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRKVARSAARHPLLFHLPVVDSVPHLALTPFMRSLLEEERRIHETLLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVRDFPDDFRVVVDPADGRHVLELVRWDPALAVSALERDYVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDAATTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTVEKRAMIHHIVEFKEEFGLTRHMYESLKKQNRAFYLAGTEMNWALFLRDAYDENGILKEKDPLVLFNEKLQRYACMTKMDSGEAMTDASGLTE >PAN12910 pep chromosome:PHallii_v3.1:2:45473636:45476761:1 gene:PAHAL_2G300100 transcript:PAN12910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLFCATRALLLPFPAPAPAPAPAPISSAAVAEAAASLLPLLPCKRRKKLLKKLNSPRVAPIEPEAARRVPELDAVLDRDTAFRFLHRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRKVARSAARHPLLFHLPVVDSVPHLALTPFMRSLLEEERRIHETLLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVRDFPDDFRVVVDPADGRHVLELVRWDPALAVSALERDYVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDAATTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTVEKRAMIHHIVEFKEEFGLTRHMYESLKKQNRAFYLAGTEMNWALFLRDAYDENGILKEKDPLVLFNEKLQRYACMTKMDSGEAMTDASGLTE >PVH64545 pep chromosome:PHallii_v3.1:2:45473635:45476758:1 gene:PAHAL_2G300100 transcript:PVH64545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLFCATRALLLPFPAPAPAPAPAPISSAAVAEAAASLLPLLPCKRRKKLLKKLNSPRVAPIEPEAARRVPELDAVLDRDTAFRFLHRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRKVARSAARHPLLFHLPVVDSVPHLALTPFMRSLLEEERRIHETLLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVRDFPDDFRVVVDPADGRHVLELVRWDPALAVSALERDYVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDAATTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTVEKRAMIHHIVEFKEEFGLTRHMYESLKKQNRAFYLAGTEMNWALFLRDAYDENGILKEKDPLVLFNEKLQRYACMTKMDSGEAMTDASGLTE >PVH64881 pep chromosome:PHallii_v3.1:2:50091955:50097430:1 gene:PAHAL_2G375200 transcript:PVH64881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAESPQWRRKATDFFSTSSFKLKQAGQSAGDNLADVAGKVGSVVKSRWAVFQEARQQQQRPPGETVQERFITAAASTGLLFRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLMLVRCADYLVISGLNNEYLFKSEGDRKVLQQLVSLYNEDSGASLPEGVNPIDVGALVKCYLASIPEPLTTIALYDELRDARVSIPDLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWRQGDAGTDLRNHLKFTLKSPPKIVDTTSNTAAWDLLDEDDEDASSQIPLDDASPPDYSSIEVIQCLIEHHNAIFTDANETVWR >PVH64847 pep chromosome:PHallii_v3.1:2:49614870:49617226:-1 gene:PAHAL_2G368000 transcript:PVH64847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MIMWCYLMVVFTDPGSVPENWRHDAEYSGDPGSSSEEQGSAPRYCSRCQNGKPPRCHHCSVCNRCVLKMDHHCIWVVNCVGARNYKYFLLFLVYTFAETVLDTLVLIPNFVEFFQDESRRSSSPGDTAILFVAFVLNLAFVLSLLCFIGMHTSLVTSNTTSIEVHERKKSVSWKYDLGWRRNLEQVFGTKKLFWFVPLYSTEDLHNIPALRGLEFPTRSEAIV >PAN13849 pep chromosome:PHallii_v3.1:2:49614626:49619489:-1 gene:PAHAL_2G368000 transcript:PAN13849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MDCCRHVNPFRACAGLRGLGYLMVALVAAIAAASYYAVVVYAWGPVLLDGGAAAAGAAAVLAAFHVLLAMIMWCYLMVVFTDPGSVPENWRHDAEYSGDPGSSSEEQGSAPRYCSRCQNGKPPRCHHCSVCNRCVLKMDHHCIWVVNCVGARNYKYFLLFLVYTFAETVLDTLVLIPNFVEFFQDESRRSSSPGDTAILFVAFVLNLAFVLSLLCFIGMHTSLVTSNTTSIEVHERKKSVSWKYDLGWRRNLEQVFGTKKLFWFVPLYSTEDLHNIPALRGLEFPTRSEAIV >PVH64846 pep chromosome:PHallii_v3.1:2:49614598:49618130:-1 gene:PAHAL_2G368000 transcript:PVH64846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MIMWCYLMVVFTDPGSVPENWRHDAEYSGDPGSSSEEQGSAPRYCSRCQNGKPPRCHHCSVCNRCVLKMDHHCIWVVNCVGARNYKYFLLFLVYTFAETVLDTLVLIPNFVEFFQDESRRSSSPGDTAILFVAFVLNLAFVLSLLCFIGMHTSLVTSNTTSIEVHERKKSVSWKYDLGWRRNLEQVFGTKKLFWFVPLYSTEDLHNIPALRGLEFPTRSEAIV >PAN14629 pep chromosome:PHallii_v3.1:2:53109479:53112641:-1 gene:PAHAL_2G425000 transcript:PAN14629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPMYGSGPLRSRNAASSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLNQLQMTLAKAQAGVKNNMRRLNKSIIRQGSNHVLHVVLFALFCFLVVYLLSKFSRR >PVH63481 pep chromosome:PHallii_v3.1:2:2792322:2793022:1 gene:PAHAL_2G039600 transcript:PVH63481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCPRLPPALTRISLPLPRQVQRVRPRSPPAAVPEAGAASGRGGGMRRWPGAATPRHVTRRRSLSSGVPGGASRPPSRRSPARRCTTSTRTSSAMSAVQVSSLSWHVRGSPRWPAMRRAQARGRSGGLPDAGRAGGCWLHRVWPCGEHAGRPHDGNLKVKGER >PAN10542 pep chromosome:PHallii_v3.1:2:7774309:7774811:-1 gene:PAHAL_2G099600 transcript:PAN10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFSSCHAARVECHQISPCSTVVCGEQCAEVLGFKNTEILCIPANPPSEYYDTCCCRERENVTRTSLSK >PAN13704 pep chromosome:PHallii_v3.1:2:49075078:49077169:1 gene:PAHAL_2G358600 transcript:PAN13704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRARSSGADSRLSVRKSKADKDPNKPKRPPSAFFVFMEDFRKDYKEKHPNVKQVSVIGKAGGDKWKSLSEAEKAPFVSKAEKLKAEYNKKMDAYNNKPTGGPTASGDSDKSKSEVNDEDEEGDE >PAN11002 pep chromosome:PHallii_v3.1:2:11953409:11955433:1 gene:PAHAL_2G133000 transcript:PAN11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-amino-6-(5-phospho-D-ribitylamino)uracil phosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11570) UniProtKB/Swiss-Prot;Acc:Q9LDD5] MVVDTVSASTSIIAPHLFDQRSRGPHRPLRRTFHVVACRPLATAFAGRRLVARVTRQPSPRLADWPVKALAMGVTKEASPRREYRGIPGDGGDMGDVGVTNPAPSWPPQNRADDPKLQNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLAQEEGKSPPPAFLLRRVEGMKNEQAISEVLCWSRDPSELRRLASRKEEIHSSLRGGSFYQMRNGSREFMSTLANYKIPIAVATTRPRKVIEEAIEAVGARSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHKIYELSAADLVVKQLDELSIVDLKNLTDIESPEFGMEPEPEMEEEEASPPSSAVGVDLFW >PVH63893 pep chromosome:PHallii_v3.1:2:11953409:11955433:1 gene:PAHAL_2G133000 transcript:PVH63893 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-amino-6-(5-phospho-D-ribitylamino)uracil phosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11570) UniProtKB/Swiss-Prot;Acc:Q9LDD5] MVVDTVSASTSIIAPHLFDQRSRGPHRPLRRTFHVVACRPLATAFAGRRLVARVTRQPSPRLADWPVKALAMGVTKEASPRREYRGIPGDGGDMGDVGVTNPAPSWPPQNRADDPKLQNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLAQEEGKSPPPAFLLRRVEGMKNEQAISEVLCWSRDPSELRRLASRKEEIHSSLRGGSFYQMRNGSREFMSTLANYKIPIAVATTRPRKVIEEAIEAVGARSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHKIYELSAADLVVKQLDELSIVDLKNLTDIESPEFGMEPEPEMEEEEASPPSSAVGVDLFW >PAN09830 pep chromosome:PHallii_v3.1:2:3894891:3897710:-1 gene:PAHAL_2G052800 transcript:PAN09830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGARRASAAATTAGPRPRPGSTALLPPGPPPRRTSARRARGGGRAGAATEALVRRSVVEPCVTCGICGGLIRDATAFSECLDAFCRKCIYDKLAKENIKCCPTCGIHLGRAPLEKLRPDHSLQHIRSVIFPSKRRKVATVKKRKEKVPAESNLSLVVDIAVEGSTVLLPHLQKVEVEVIDEALVAQESVHESSTLNLAPVGRDVLSRIRCRDFYSACSLSAETGALIVWQPPPVLEEVVALDQLAPRQDPETFGPPATSDTEYQQQELTVQMTNTSVVAGSSSHSRMTVQDDESFRGDILTLLNESNARIMGRYDAYINQFKAENSKLIEQLENERAASLEKTRILEERHQRELEDERAAAAERTRILKERLQRELENEREAAVERIRILEERLQRESQIVLGLESRSQSLEAENSRLNEELENEKADNQDLMSDISEKSDELATLKYYCDMFESDKTYLENQIEHLNKELEYRKKEHARYVRQVLDAARAVPDNLETIPTDAGFWIEAETSDLS >PAN09831 pep chromosome:PHallii_v3.1:2:3894710:3897710:-1 gene:PAHAL_2G052800 transcript:PAN09831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGARRASAAATTAGPRPRPGSTALLPPGPPPRRTSARRARGGGRAGAATEALVRRSVVEPCVTCGICGGLIRDATAFSECLDAFCRKCIYDKLAKENIKCCPTCGIHLGRAPLEKLRSVIFPSKRRKVATVKKRKEKVPAESNLSLVVDIAVEGSTVLLPHLQKVEVEVIDEALVAQESVHESSTLNLAPVGRDVLSRIRCRDFYSACSLSAETGALIVWQPPPVLEEVVALDQLAPRQDPETFGPPATSDTEYQQQELTVQMTNTSVVAGSSSHSRMTVQDDESFRGDILTLLNESNARIMGRYDAYINQFKAENSKLIEQLENERAASLEKTRILEERHQRELEDERAAAAERTRILKERLQRELENEREAAVERIRILEERLQRESQIVLGLESRSQSLEAENSRLNEELENEKADNQDLMSDISEKSDELATLKYYCDMFESDKTYLENQIEHLNKELEYRKKEHARYVRQVLDAARAVPDNLETIPTDAGFWIEAETSDLS >PVH63548 pep chromosome:PHallii_v3.1:2:3894669:3897710:-1 gene:PAHAL_2G052800 transcript:PVH63548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGARRASAAATTAGPRPRPGSTALLPPGPPPRRTSARRARGGGRAGAATEALVRRSVVEPCVTCGICGGLIRDATAFSECLDAFCRKCIYDKLAKENIKCCPTCGIHLGRAPLEKLRSVIFPSKRRKVATVKKRKEKKVEVEVIDEALVAQESVHESSTLNLAPVGRDVLSRIRCRDFYSACSLSAETGALIVWQPPPVLEEVVALDQLAPRQDPETFGPPATSDTEYQQQELTVQMTNTSVVAGSSSHSRMTVQDDESFRGDILTLLNESNARIMGRYDAYINQFKAENSKLIEQLENERAASLEKTRILEERHQRELEDERAAAAERTRILKERLQRELENEREAAVERIRILEERLQRESQIVLGLESRSQSLEAENSRLNEELENEKADNQDLMSDISEKSDELATLKYYCDMFESDKTYLENQIEHLNKELEYRKKEHARYVRQVLDAARAVPDNLETIPTDAGFWIEAETSDLS >PAN09832 pep chromosome:PHallii_v3.1:2:3894891:3897710:-1 gene:PAHAL_2G052800 transcript:PAN09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGARRASAAATTAGPRPRPGSTALLPPGPPPRRTSARRARGGGRAGAATEALVRRSVVEPCVTCGICGGLIRDATAFSECLDAFCRKCIYDKLAKENIKCCPTCGIHLGRAPLEKLRPDHSLQHIRSVIFPSKRRKVATVKKRKEKKVEVEVIDEALVAQESVHESSTLNLAPVGRDVLSRIRCRDFYSACSLSAETGALIVWQPPPVLEEVVALDQLAPRQDPETFGPPATSDTEYQQQELTVQMTNTSVVAGSSSHSRMTVQDDESFRGDILTLLNESNARIMGRYDAYINQFKAENSKLIEQLENERAASLEKTRILEERHQRELEDERAAAAERTRILKERLQRELENEREAAVERIRILEERLQRESQIVLGLESRSQSLEAENSRLNEELENEKADNQDLMSDISEKSDELATLKYYCDMFESDKTYLENQIEHLNKELEYRKKEHARYVRQVLDAARAVPDNLETIPTDAGFWIEAETSDLS >PAN13141 pep chromosome:PHallii_v3.1:2:46510510:46511409:-1 gene:PAHAL_2G316700 transcript:PAN13141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPPEALSLAGRRVAFTTPQTGGGGAYGGRLGAILRQRGARPVPVPTVAVQPHDPDRLRPFLLPGALDPFAAIAFTSRSGISAFARALPSSHRPLSGSGASALPFTVAALGSDADLLDRAFLSRLCGDAGTRVAVLVPDVATPAGLVEALGPGSGRRVLCPVPDVVGLREPPVVPDFLAGLEAAGWVAVRSPAYTTCWAGPGCAGPLVGPDAAAPDAVVFTSTAEVEGLLKGLDAAGWSWARLRARWPGMVVAAHGPVTADGARRLGVEVDVVSARFSSFHGVVDALVTAFSPQKIV >PVH65411 pep chromosome:PHallii_v3.1:2:56805166:56806830:1 gene:PAHAL_2G486300 transcript:PVH65411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGLRLRFLAISILLLCSATNAASWSIFSRRTSKEAGTPPLRLDGAGFSIDGARNDPRGARLVDNARRRIEGPAACWGQAYTRLFASCADIMADKERQSRLAWHLSSCFQEDSGRPPLPDCGDRSAMLDCRRRLSESEDKVFLEFFLETNTLCHQLQAEAFKHSTERLVNDLSRTSKSAHEKLETIEERSDHLLQESENIRGSLLSVAAQTEHLATASTAVGAQIDQVLDHSRTISEQSKEIAAAQAELRAGQAAMRDAVDAGMARVEESYKTLGDGMDRLRDDAAGVERGIRAVGDAMSSRMDGLQRTADDIGSVAGRSLENQMQLLEGQAKAMRELNELHGFQARALEESRETIQKLAHFGQQQQEELLARQEEIRRAHDHLIQNSHSILGAQEEFRAKQANIFAALDKLYGLHNATLVESRFIKAFFFYCCITFLIYMLTSAKQTLDIRGQLYFGEVQATNFRLPYHSDFQTRVLHHHNLY >PAN15418 pep chromosome:PHallii_v3.1:2:56804206:56808220:1 gene:PAHAL_2G486300 transcript:PAN15418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGLRLRFLAISILLLCSATNAASWSIFSRRTSKEAGTPPLRLDGAGFSIDGARNDPRGARLVDNARRRIEGPAACWGQAYTRLFASCADIMADKERQSRLAWHLSSCFQEDSGRPPLPDCGDRSAMLDCRRRLSESEDKVFLEFFLETNTLCHQLQAEAFKHSTERLVNDLSRTSKSAHEKLETIEERSDHLLQESENIRGSLLSVAAQTEHLATASTAVGAQIDQVLDHSRTISEQSKEIAAAQAELRAGQAAMRDAVDAGMARVEESYKTLGDGMDRLRDDAAGVERGIRAVGDAMSSRMDGLQRTADDIGSVAGRSLENQMQLLEGQAKAMRELNELHGFQARALEESRETIQKLAHFGQQQQEELLARQEEIRRAHDHLIQNSHSILGAQEEFRAKQANIFAALDKLYGLHNATLVESRFIKAFFFYCCITFLIYMLTSAKQTLDIRGQLYFGLCITIMLEIGVIKLGADDFNTQFWVLSKVLLVRSVFLAAAAFQILRSIFTYKDYDVLNHHLLQTLVEKVWTIEGNACGGGKAYNPYSSENDGSLSRYSWIFDELEDEVDSRIDPDFMPPKNGVLLEQIGENSITTSDSRRYNLRPRIRPC >PVH65410 pep chromosome:PHallii_v3.1:2:56804206:56807237:1 gene:PAHAL_2G486300 transcript:PVH65410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGLRLRFLAISILLLCSATNAASWSIFSRRTSKEAGTPPLRLDGAGFSIDGARNDPRGARLVDNARRRIEGPAACWGQAYTRLFASCADIMADKERQSRLAWHLSSCFQEDSGRPPLPDCGDRSAMLDCRRRLSESEDKVFLEFFLETNTLCHQLQAEAFKHSTERLVNDLSRTSKSAHEKLETIEERSDHLLQESENIRGSLLSVAAQTEHLATASTAVGAQIDQVLDHSRTISEQSKEIAAAQAELRAGQAAMRDAVDAGMARVEESYKTLGDGMDRLRDDAAGVERGIRAVGDAMSSRMDGLQRTADDIGSVAGRSLENQMQLLEGQAKAMRELNELHGFQARALEESRETIQKLAHFGQQQQEELLARQEEIRRAHDHLIQNSHSILGAQEEFRAKQANIFAALDKLYGLHNATLVESRFIKAFFFYCCITFLIYMLTSAKQTLDIRGQLYFGLCITIMLEIGVIKLGADDFNTQFWVLSKVLLVRSVFLAAAAFQILRSIFTYKDYDVLNHHLLQTLVEKVWTIEGNACGGEHFHTQTMQAMA >PVH64800 pep chromosome:PHallii_v3.1:2:49109342:49112757:1 gene:PAHAL_2G359100 transcript:PVH64800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRQGSPRQEEGGSDGHEDDGVLSAFVCPITMQVMRDPVVIETGHAYEREAIARWFSACRELGRGPCCPITMQEVRRADLRPVLALRAAIVEWTDRRQRDELRRACQWLTKDAAEKEAVRALDCAVRGWSGGRAGRRVVRGEGMMQMVGGMLRSGSAVVRLKALEAIQEFARETDQDREAVSEGDTIRTIIKFIDCEDCQERELAVSALCDLSKSEVVCGKISELNGAVLILGKVAGSKGDNPTVAEKAEMTLQNLDRCEKNAVQMAENGRLEPLLTLLIEGSPEQQLLMASSLEKIVLSNDLKILVAQRVGSLFAGIVEKGSLEAKEVAFKVLEHISSNAESAKVLIEENVLLPLFRVLSINRANLLPPRLQEAAAAVLANLVASGVDFGTVPLDGDRTLVSEDIVHNLLHLISNTSPPIQCKLLEFFDTLSSSTRTVLSIVSAIKSSGAITNLVQFVESDHQESRLASLKLIYKISFHMDHEIAQVFRASPTLLGCLVEVAFLSDGNTDEQHAALQILANLPKRDRHLTRELMEQGAFKIVARKVLSICRREAGSNIYDNTMLEGLVKVLARITYVLRDEPRCISLAHEYNLAALFTSLLRLIGQDEVQLISAKALMNLSLESKYLTSTPKFDGPEQKSKLALFGRKPPSFQFCRVHSGVCSTRDSFCILEGKAVERLVHCLNHGNKKVAEAALAALCTLLEDEVEIAEGVLVLHRASGIAPIFDILKENPTGSLQHRVTWAVERILRSEEISKAASTDRSLGSALVHAFQHGDSRTRRIAEAALKHVKKLPVFSQIIDKHPSIRGSSMGSMERFYKFDR >PVH64801 pep chromosome:PHallii_v3.1:2:49109342:49112757:1 gene:PAHAL_2G359100 transcript:PVH64801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVMRDPVVIETGHAYEREAIARWFSACRELGRGPCCPITMQEVRRADLRPVLALRAAIVEWTDRRQRDELRRACQWLTKDAAEKEAVRALDCAVRGWSGGRAGRRVVRGEGMMQMVGGMLRSGSAVVRLKALEAIQEFARETDQDREAVSEGDTIRTIIKFIDCEDCQERELAVSALCDLSKSEVVCGKISELNGAVLILGKVAGSKGDNPTVAEKAEMTLQNLDRCEKNAVQMAENGRLEPLLTLLIEGSPEQQLLMASSLEKIVLSNDLKILVAQRVGSLFAGIVEKGSLEAKEVAFKVLEHISSNAESAKVLIEENVLLPLFRVLSINRANLLPPRLQEAAAAVLANLVASGVDFGTVPLDGDRTLVSEDIVHNLLHLISNTSPPIQCKLLEFFDTLSSSTRTVLSIVSAIKSSGAITNLVQFVESDHQESRLASLKLIYKISFHMDHEIAQVFRASPTLLGCLVEVAFLSDGNTDEQHAALQILANLPKRDRHLTRELMEQGAFKIVARKVLSICRREAGSNIYDNTMLEGLVKVLARITYVLRDEPRCISLAHEYNLAALFTSLLRLIGQDEVQLISAKALMNLSLESKYLTSTPKFDGPEQKSKLALFGRKPPSFQFCRVHSGVCSTRDSFCILEGKAVERLVHCLNHGNKKVAEAALAALCTLLEDEVEIAEGVLVLHRASGIAPIFDILKENPTGSLQHRVTWAVERILRSEEISKAASTDRSLGSALVHAFQHGDSRTRRIAEAALKHVKKLPVFSQIIDKHPSIRGSSMGSMERFYKFDR >PVH63969 pep chromosome:PHallii_v3.1:2:14880793:14881422:1 gene:PAHAL_2G148300 transcript:PVH63969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAHGSTFESSTRPRSSDSGEFLMEKLPKVPLPIFDGENPKLWISRCEKYFVIYHVDPSMWVKVSVMQFSGAAARWFQAVEKMLAHDSWPDLCKLVLKRFGKEQFSGLVDQLLAYESSTDSPYYATRFVDGLRILEQFLFRGRVIWILLALLPFYRKRWLSRLGVVNFASLTRDSSPSLSRRVQWRCHCLPSQIVILVPHRSTTGVIH >PVH63975 pep chromosome:PHallii_v3.1:2:15199229:15199882:1 gene:PAHAL_2G149600 transcript:PVH63975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIPSCLSSPLFSPWRQRRWASSPGRARRRAGSRGSRRLQASRRPGRERRRGLARGWCGPGAQASAGASAMRRGPGGSKPARSAGAQVEARPERRAQPGGSASGWPQAQAWMLPREQRASRGRWPRGLAAARLGAARAREPTARRADAGARSGGAQGSRRRLGQARATGGRRIDVVERLGWQGPEWALGGCSAQQAWSPRSRAPTAGWRPERSARGE >PVH64276 pep chromosome:PHallii_v3.1:2:38562575:38563813:1 gene:PAHAL_2G227300 transcript:PVH64276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPISARRPCARVGPASPPPAAPSTVIGTTIISLPSWATTTSPTHLCRRGRGHHRRHRGAAAVWCSSPPRRRSTPATSPSISCTAAALGSSSTAAAPPARSSWRRRWRHGWSTSRHAMPGGIHIRGAESAHYAGRSKGYIFWAIEDDGSVLAASEGTEALSHFRLPENVRGSYHRSTFRFIDDGADDLVRVVSLIGDDLRVFVKEEPNNGGSDWVLVRSLHLPELRPPLGSNGTRRASSAEAQRSSQRTRGMWCWHQQRRHGCSPSSSEPCRWSVSTIGIGLPARCIRMNCGYNRRFVCVFYTVREAVMVHAMTFASANR >PAN12968 pep chromosome:PHallii_v3.1:2:45772572:45775996:1 gene:PAHAL_2G304300 transcript:PAN12968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQAAKAKESGKDDRQEPGAEVMKEKLLPSHQQEEYPASLMDKDSSGISSVPGDVSPVLDGGSGELKVEENMDSNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMNTRADFTESGKSSMCRVSTGSDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTVIKSANPGLDALQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKSKKEKSKPDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPVTNQKGPDNYLEFEFF >PAN12971 pep chromosome:PHallii_v3.1:2:45772060:45776000:1 gene:PAHAL_2G304300 transcript:PAN12971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQAAKAKESGKDDRQEPGAEVMKEKLLPSHQQEEYPASLMDKDSSGISSVPGDVSPVLDGGSGELKVEENMDSNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMNTRADFTESGKSSMCRVSTGSDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTVIKSANPGLDALQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKSKKEKSKPDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPVTNQKGPDNYLEFEFF >PAN12970 pep chromosome:PHallii_v3.1:2:45772572:45776000:1 gene:PAHAL_2G304300 transcript:PAN12970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQAAKAKESGKDDRQEPGAEVMKEKLLPSHQQEEYPASLMDKDSSGISSVPGDVSPVLDGGSGELKVEENMDSNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMNTRADFTESGKSSMCRVSTGSDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTVIKSANPGLDALQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKSKKEKSKPDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPVTNQKGPDNYLEFEFF >PAN12969 pep chromosome:PHallii_v3.1:2:45772572:45776000:1 gene:PAHAL_2G304300 transcript:PAN12969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQAAKAKESGKDDRQEPGAEVMKEKLLPSHQQEEYPASLMDKDSSGISSVPGDVSPVLDGGSGELKVEENMDSNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMNTRADFTESGKSSMCRVSTGSDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTVIKSANPGLDALQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKSKKEKSKPDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPVTNQKGPDNYLEFEFF >PAN09975 pep chromosome:PHallii_v3.1:2:4646983:4649030:1 gene:PAHAL_2G062700 transcript:PAN09975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSLWSGVNSGIVLSLLAVVWTMLWQGLQGLQLQHFFGRHSRRLSRRLAAALDPYLTVTIAEYDGGRMRRSDAYKEAQAYLQRATREARGGVRHLKAEPDRDPDRLVLSMGDNEEVADEFRGATVWWLAYTAQPREDAGGPSYFWGRASRAERRFYRLCFLERDRDLILGEYLPHVRREGRAVMVKNRQRKLFTNISGDSWDSDGFWSGGSSWSHVVFEHPKTFATLAMDPAKKKEIMDDLDAFRGGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKKKKESAEGDGESKDGGDKKDGAEAASKEEEKEDKSASKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCCFESFRFLARVYLDVDAHPLFDAVASLLREADMTPADVAENLTPKGPGEDADSCLAALVEALQKAKEKALAKKAKEEALAAKKARGKAKEAAAAEEADGDDE >PVH63587 pep chromosome:PHallii_v3.1:2:4646983:4649030:1 gene:PAHAL_2G062700 transcript:PVH63587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSLWSGVNSGIVLSLLAVVWTMLWQGLQGLQLQHFFGRHSRRLSRRLAAALDPYLTVTIAEYDGGRMRRSDAYKEAQAYLQRATREARGGVRHLKAEPDRDPDRLVLSMGDNEEVADEFRGATVWWLAYTAQPREDAGGPSYFWGRASRAERRFYRLCFLERDRDLILGEYLPHVRREGRAVMVKNRQRKLFTNISGDSWDSDGSWSHVVFEHPKTFATLAMDPAKKKEIMDDLDAFRGGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKKKKESAEGDGESKDGGDKKDGAEAASKEEEKEDKSASKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCCFESFRFLARVYLDVDAHPLFDAVASLLREADMTPADVAENLTPKGPGEDADSCLAALVEALQKAKEKALAKKAKEEALAAKKARGKAKEAAAAEEADGDDE >PAN10795 pep chromosome:PHallii_v3.1:2:9785167:9785987:1 gene:PAHAL_2G117100 transcript:PAN10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVARKHSGSNWNDERNMVEGPPTMWDNLVVTFPKIKKFRNNKARVSLYDALGQLYDGHLAEGTYNFASMESPQEEQPLQQIHEVPEEDDDDATTERDEDVLQRSGLRRTTTASRNTQGKEEKRPKRSAMIKEMMERFLEMREEEAARLAKQVEEESARLAKQAEEEAARLAREKEAAEIREGERHELGAARH >PAN13743 pep chromosome:PHallii_v3.1:2:49280996:49283920:-1 gene:PAHAL_2G362100 transcript:PAN13743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGHRANAVATFAVTILAAMCFAASFSDNFNTPAPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPAKEHAKFLIHTTNKYRFIDQGSNLKGKEFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >PVH63768 pep chromosome:PHallii_v3.1:2:7922982:7929561:1 gene:PAHAL_2G100400 transcript:PVH63768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MPPPRAVLPALLLAALLASAGVAGGTEVLAKSRLELCERDSGEGGRISCAQKLVLDLAVPSGSSGGEASLVTKVVDVVNGTEPSRSLRDPPVITISKSAVAAVYDLIYMMDVAYKPEEHYVETRKCEPDAGADVVGYCERLWNADGTVVPHTEPVCCPCGPNRRAPSSCGNIFDKIVKGKRNTAHCLRFSSDWFHVWGIREKSLGFSIKVQVKKGSSISEVVVGPENRTVVSNDNFLKVNLVGDYATYNSMPTFENMYLATPRKGAGSGQPQDLGDEHSKWMLLERVRFGPGCNKIGVGYEAFQYQSSFCSSSLSSCLNDQLWNFWESDKSRIDRNLVPEHIVEGRFQRINEHQNAGAHTFSVGVTEAIASNLLLELSADDIQYFYQRSPGKIMDISVSTFEALSQVGVAKINTKNIGELEASYRLTFNCVPGIGNLEEQYYVMKPGEEIIRSFDLRSSTEQGEKYRCEAILKASDFSEVDRVECQFTTTATVFNNGSQIGPTDEPKKASLGFFDTIKAFWRNLWDFVTNFITGKSCSWNKCSSLFDITCHFQYICIGWIVMIFLVLATIPIGAIVLWLLHQNGFFDPVYDLFGAETYEYDRARPRHRKGGHHHHHHHHHRRHSHHHGDHHHSHRRHHHAHQSSEPSHHHVLHRHGGEQWEAAAAEAHRPHWHDPALGVQHWAGGAGHKHRHGKAAAAAALHLEDAAEFREWRRDEARHAQHGLQGHDRRR >PAN15229 pep chromosome:PHallii_v3.1:2:55988501:55993579:1 gene:PAHAL_2G473400 transcript:PAN15229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAVTRVAVAAALPAAPPSSRRRRAALPSSCRPLPTARASKALHAAAAPAAGAVDEEAPAAPPSSDSSKGVENLVIVGSGPAGYTAAIYSARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRKQAERWGAELHQEDVEFVNVKSSPFVIRSSDREVKCHSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLNNPNITVHFNTEAMDVVSNDKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIELDSAGYILVKEGSAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVANDLLVEFHQPVQEETQKDITDKDVEMGFDISRTKHKGQYALRKIYHESPRLICVIYTSPTCGPCRTLKPILSKVIDEYDEYVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESHK >PVH63333 pep chromosome:PHallii_v3.1:2:410584:413036:1 gene:PAHAL_2G006200 transcript:PVH63333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGKEGGSNTKLANFAVEEDVNIVRSWLEISCDPIMNTGQKRDNFWDRVMKQYNGRRGSFPKRTLRSVQSRWDKIKQEATKFAGYVAKAIRDDASGTSDADKTTLAASDFAAIEGYNFQFMHCWDLMKDEPKWQDVKQRSSKTVGSNTIDLDGDEASPAGTGKRPIGRDAAKACKKKCPSGSTSSSEYASNLQDLSLQRMTMWQEENSKKVNRFDHLASIKEKRFDETREHNKSILQLEEEKIKIMRDKLNMQMQEKERERLEREKQEDERILKVDLDSCAPELRMYYEALREEILHKVSARRQRSRQV >PVH63334 pep chromosome:PHallii_v3.1:2:410483:413041:1 gene:PAHAL_2G006200 transcript:PVH63334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGKEGGSNTKLANFAVEEDVNIVRSWLEISCDPIMNTGQKRDNFWDRVMKQYNGRRGSFPKRTLRSVQSRWDKIKQEATKFAGYVAKAIRDDASGTSDADKTTLAASDFAAIEGYNFQFMHCWDLMKDEPKWQDVKQRSSKTVGSNTIDLDGDEASPAGTGKRPIGRDAAKACKKKCPSGSTSSSEYASNLQDLSLQRMTMWQEENSKKVNRFDHLASIKEKRFDETREHNKSILQLEEEKIKIMRDKLNMQMQEKERERLEREKQEDERILKVDLDSCAPELRMYYEALREEILHKVSARRQRSRQV >PAN13918 pep chromosome:PHallii_v3.1:2:49908791:49911371:-1 gene:PAHAL_2G372000 transcript:PAN13918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPRLQVVAAVMSAALAAAAAGSGQFEFQEATVDAIQLGFRSGSLTSTALVRFYLDQIARLNPLLRAVIEVNPDALAQAARADAERSASGGRCAGGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVESGWSARGGQTLNPYVLSATPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSSNSVVGIKPTVGLTSRSGVIPITPRQDTIGPMCRTVSDAVHVLDAIVGYDELDAEATGAASKYIPRGGYTQFLRIDGLRGKRIGVPAVFFEGYDDVRAAAYQKHLNTMRKHGATVIKDLDIATNFSDLYDQETLLMKAEFKLSLNAYLSDLLRSPVRSLADVIAFNNAHPVEERLKDFGQPDLIAAEETNGIGAKERAAIRRLDEISTDGLEKLMREHGLDAIVAPNSDAASVLAVGGYPGIAVPAGYDTEGVPFAICFGGLRGYEPRLIEMAYAFEQSTRVRRPPTFKR >PAN13917 pep chromosome:PHallii_v3.1:2:49909354:49911213:-1 gene:PAHAL_2G372000 transcript:PAN13917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPRLQVVAAVMSAALAAAAAGSGQFEFQEATVDAIQLGFRSGSLTSTALVRFYLDQIARLNPLLRAVIEVNPDALAQAARADAERSASGGRCAGGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVESGWSARGGQTLNPYVLSATPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSSNSVVGIKPTVGLTSRSGVIPITPRQDTIGPMCRTVSDAVHVLDAIVGYDELDAEATGAASKYIPRGGYTQFLRIDGLRGKRIGVPAVFFEGYDDVRAAAYQKHLNTMRKHGATVIKDLDIATNFSDLYDQETLLMKAEFKLSLNAYLSDLLRSPVRSLADVIAFNNAHPVEHEA >PAN14532 pep chromosome:PHallii_v3.1:2:52636305:52639463:1 gene:PAHAL_2G417100 transcript:PAN14532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 and C2H2 domain-containing stress-associated protein, Stress response, Regulation of the expression of stress-associated gene [Source: Projected from Oryza sativa (Os07g0569700)] MGTPEFPNLGKHCSVGDCNQIDFLPFTCDRCDHVFCLQHRSYTTHQCPNANMKDVTVLICPLCAQGVRLNPIEDPNITWDTHVNTDCDPSNYQKVTKKQKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRTVDSGLPFVNMLRRSQKAETRPNSSNKNSSSWWSSSLVNAATNFKSSAEAGMQKLSIATSQAFQKAKDGMSPNSSGSSGGLVEECVHCPARFSTVGALIEHVEKSHQKNQQPRRGQVTVDVCPKCSKAFRDPVLLVEHVERDHGGTSRA >PAN15342 pep chromosome:PHallii_v3.1:2:56512233:56515096:-1 gene:PAHAL_2G481600 transcript:PAN15342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKNALQSAREWVVDHKLRAVGTLWLSGIVGSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHQQGSGSKVHQYAKQYLSSDGSSQKE >PAN15483 pep chromosome:PHallii_v3.1:2:57196664:57199734:1 gene:PAHAL_2G491300 transcript:PAN15483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAHGGHQPPPHDQTLFKIFCRADEGYCVTVRRDAVVLAPTNPRDEFQHWYKDMRHSTKVKDEEGHPAFALVNKATGLAIKHSLGQSHPVKLVPYNPDYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGVRDGTTVVLWEWCKGHNQSWKILPWGDEAYAPPQQPAYGYPGGSQPGAYPPPPAHQEPGYGYGYRPPPGGPGGYAPPPPHHHQEPGYGGYRPPPPSNAPGYSNLPRALASEATVRVYCKADEGYSLTVRNGTVCLAPTNPRDDFQYWVKDMRHSASIKDEEGYPAFALVNKVTGEAIKHSLGQSHPVKLVPYNYNPEEEDASVLWTESRDVGNGFRCIRMVNNIYLNLDAFHGDKAHGGVRDGTTVVLWEWAKGDNQRWKIVPW >PAN15482 pep chromosome:PHallii_v3.1:2:57196664:57199735:1 gene:PAHAL_2G491300 transcript:PAN15482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAHGGHQPPPHDQTLFKIFCRADEGYCVTVRRDAVVLAPTNPRDEFQHWYKDMRHSTKVKDEEGHPAFALVNKATGLAIKHSLGQSHPVKLVPYNPDYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGVRDGTTVVLWEWCKGHNQSWKILPWGDEAYAPPQQPAYGYPGGSQPGAYPPPPAHQEPGYGYGYRPPPGGPGGYAPPPPHHHQEPGYGGYRPPPPSNAPGYSNLPRALASEATVRVYCKADEGYSLTVRNGTVCLAPTNPRDDFQVKLVPYNYNPEEEDASVLWTESRDVGNGFRCIRMVNNIYLNLDAFHGDKAHGGVRDGTTVVLWEWAKGDNQRWKIVPW >PVH64476 pep chromosome:PHallii_v3.1:2:44012813:44013814:-1 gene:PAHAL_2G279000 transcript:PVH64476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSPVSAAPGRGSECSFRLAAFRQIKAKEQHAVPGVRPAEDGGGAARQRGPRRPAARRQAARPREARPPAAPAPGAASEVPARARAAQGPLRGHGEGARRRRGGSGGAHEGQEDGRGRDRRDGGGSGEAGGRAGGGGRRRAAVQLALLHPLIDTRDATRVAAIGSRCVPHTHTYPG >PAN13861 pep chromosome:PHallii_v3.1:2:49673654:49677827:1 gene:PAHAL_2G369100 transcript:PAN13861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MAATAAAAAAAGGLVHLRPPQSAASRFGASGAPPLRSRRPGQHRPRRHGAARSVAVGEAAYAEPEAALLEALLGVQGRGRAVAPRQLQEVESAVQALEALGGVPDPTSSSLIEGSWQLIFTTRPGTASPIQRTFVGVDSFRIFQEVYLRTDDPRVVNVVRFSESVGDLKVEAEATIEDGKRILFRFDRAAFTFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSHAGNIRISRGNKGTTFVLQKSPDARQILLSTISAGIGVEEVIDDFISSQNGAEVDLDILVGEWQLLWGSQIEGESWSSVASAGLKDFQIIKECGQLKNSVSPFPGVSLNARGNICKTGNNNTFSVSMKEAAIQVGGVQFPLDAKGEFVMEILYIDNKIRISRLNQHMLVHLRIANAT >PVH65239 pep chromosome:PHallii_v3.1:2:54248905:54253280:1 gene:PAHAL_2G443600 transcript:PVH65239 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MRAGAPAGDEGPSSGSAAGSGAPARPRRFPGAAQPEIMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATLHGLPPTPARRILFILYQTTVPYLAERISSRIVARSIALNESQFGDHPESDNSSSGIAQSTTHSDAPSRSLSVYAMSRLRGRVHALWQWVLQKWPSMLPFAQDFIQLAIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSNISSIASSINQISSGSYASSTGRGIPVLNEDGHIISDIRGGKAADVASHSEASSGKSKCTLCLSTRQNPTATTCGHVFC >PAN14776 pep chromosome:PHallii_v3.1:2:54248905:54252876:1 gene:PAHAL_2G443600 transcript:PAN14776 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MRAGAPAGDEGPSSGSAAGSGAPARPRRFPGAAQPEIMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATLHGLPPTPARRILFILYQTTVPYLAERISSRIVARSIALNESQFGDHPESDNSSSGIAQSTTHSDAPSRSLSVYAMSRLRGRVHALWQWVLQKWPSMLPFAQDFIQLAIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSNISSIASSINQISSGSYASSTGRGIPVLNEDGHIISDIRGGKAADVASHSEASSGKSKCTLCLSTRQNPTATTCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLICIYHSDF >PAN12999 pep chromosome:PHallii_v3.1:2:45889762:45898054:1 gene:PAHAL_2G306600 transcript:PAN12999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASSSPRRNRRALLLALALLLIASALQQHPASAEKKSYVVYLGGHSHGRAGAALASSRARARRSHVELLGSVLRSEARARGAIFYSYTRYINGFAATLEEGEAAEVSRHPRVVSVFPNRGHRLHTTRSWEFLGMEEEGGRVRPGSLWAKARFGEGVVIGNLDTGVWPEAGSFSDDGMGPAPARWRGICQDQQASDDAQVRCNRKLIGARFFNKGYLATVGQEQVNPASTRDTDGHGTHTLSTAAGRFVPGANLFGFGNGTAKGGAPRAHAAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVHVLSVSLGGSPAEYFRDGVAIGSFHAARHGVTVVCSAGNSGPAAGTVSNTAPWLLTVGASTVDREFPAYLVFDNKKRIKGQSLSPTRLPGSKYYQLISSEEAKGANATATQAKLCIEGALDKAKVKGKIVVCIRGKNARVEKGEAVRRAGGVGLVLVNDEASGNEMIADAHVLPAAHITYSDGVALLTYLNSTRSSASGYITAPYTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLAFDERRVLFNAESGTSMSCPHVAGIAGLLKALHPDWSPAAIKSAIMTTARVQDNMRRPMSNSSFLRATPFGYGAGHVQPNRAADPGLVYDAGATDYLGFLCALGYNSSVIATFMAGDDGGRQAQYACPATAPRPEDLNYPSVAVPHLSPTGAAHAVTRRVRNVGAGAAAYDARVHEPRGVAVDVRPRRLEFAAPGEEKQFTVTFRAKAGFFLPGEYVFGRLIWSDGAGRHRVRSPLVVRVVDSKKKKKKPLSIA >PAN13000 pep chromosome:PHallii_v3.1:2:45892447:45898069:1 gene:PAHAL_2G306600 transcript:PAN13000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAHDRISQRSEHGQHVVCRKLIGARFFNKGYLATVGQEQVNPASTRDTDGHGTHTLSTAAGRFVPGANLFGFGNGTAKGGAPRAHAAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVHVLSVSLGGSPAEYFRDGVAIGSFHAARHGVTVVCSAGNSGPAAGTVSNTAPWLLTVGASTVDREFPAYLVFDNKKRIKGQSLSPTRLPGSKYYQLISSEEAKGANATATQAKLCIEGALDKAKVKGKIVVCIRGKNARVEKGEAVRRAGGVGLVLVNDEASGNEMIADAHVLPAAHITYSDGVALLTYLNSTRSSASGYITAPYTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLAFDERRVLFNAESGTSMSCPHVAGIAGLLKALHPDWSPAAIKSAIMTTARVQDNMRRPMSNSSFLRATPFGYGAGHVQPNRAADPGLVYDAGATDYLGFLCALGYNSSVIATFMAGDDGGRQAQYACPATAPRPEDLNYPSVAVPHLSPTGAAHAVTRRVRNVGAGAAAYDARVHEPRGVAVDVRPRRLEFAAPGEEKQFTVTFRAKAGFFLPGEYVFGRLIWSDGAGRHRVRSPLVVRVVDSKKKKKKPLSIA >PAN13308 pep chromosome:PHallii_v3.1:2:47176762:47184514:-1 gene:PAHAL_2G327600 transcript:PAN13308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSRLDDSPMFRKQMQSLEEGAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDIDLHEMKDARKRFDKATLLYDQAREKYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEEAVLLERMQEFKRQIDRESRWSPNGMNDSPNGDGIQAIGRSSHKMIEAAMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYSNQRTSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSSHHRTASESSSFSSSTELEHSISEDCMLEKNSGTGYFEHSARAAQHHRTSMMKPDKPIDLLRKVAGNGNCADCGASEPDWASLNLGVLLCIECSGVHRNMGVHISKVRSLTLDVRVWEPSVINLFQSLGNAFANTVWEEMLPSSSCADHGDISRADRLEKTSRGFALSKPKQSDPIAVKEKFIHAKYAEKDFVRKHNMDEIQLAQQMWDSVSSNNKKGVYSLIVGSNADVNFSYGHTSFNSALTLGKALLLQEQPASPSNGSSRCFDRNPLEKGSPGDSISPASTSARIDELDDYVEGLSLLHVACRVADLGMVELLLQYGVNVNSTDSRGRTPLHHCIMKGRHVYAKLLLSRGADSQVTDRDGRTALQYAIDSGTIDDEEILVLLEDPSR >PAN09225 pep chromosome:PHallii_v3.1:2:394201:396508:1 gene:PAHAL_2G005900 transcript:PAN09225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALAAAAESLPSTAPPPPGDQADASETYIVSMANQLGPLDFTDNTEWYSTKLRSVAPGAGVLYSYQTIVQGFAARMTPAQAEKLESKPGVLVVSPERRYVLHTTRTPEYLGLDRGNGLLAQASGGSDVIIGLVDSGVWPESRSFDDAGYGPVPARWRGRCEEGRNFTRSACNNKLIGARSFAESYQSSANKGAGPDWTKEARSPRDVVGHGTHTANTAAGSAVPGAGLGRMAAGTARGMAPRARVAVYKACWAGGCYSADILAAKEAAVADGVDVLSVSAGVHGGDLAAYFKDGMAAGAYSAMEKGVFVACSAGNKGPGIGTLANGAPSLTTVGAGTIDREFPAYFILGDGRNLTGVSLYGGDTLPPKPLPLVYAAAASNDTKGKGGFCLAGTLIPEKVAGKIVICDRGVDHVVQKGITVKDAGGAGMVVTSTAADGEDLIPDPHVLPSTSVGKMDGDAIKAYAASDANPTGVMSPGATLTGVQPAPVVVGFSSRGPNLVSPGVLKPDLIAPGRHPAREFNIQSGTSMATPHVSGVAALLRGARPQWSPSAIRSALMTTAVTAYPDGQAVLDLSDGRPATPFAMGAGPGSSTRAGRWSRGWCTTSATPSTWTSCAR >PVH64577 pep chromosome:PHallii_v3.1:2:45859724:45860228:1 gene:PAHAL_2G305600 transcript:PVH64577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKSLETMVLHGIDVKTMKSHSDLKNRRFDRVVFNFRHAGFKGREDQVHMIKFVHHLVMFILVFLSM >PVH65430 pep chromosome:PHallii_v3.1:2:57146478:57150009:-1 gene:PAHAL_2G490600 transcript:PVH65430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPPLINIEAGPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWSLSLMREFKTVECKSRKYDVVCVKDGCPWRVHAYKGKWKDYWECSIVTQHTCHLPGVQKSHRNLTSQYIANEMYGTIVENLSYEPKSIIRYIQEKYKYTISYSKAWSAKQKVLEMRFGPGGIPPSGRAGGIPPSGRAGGLLQGPLRE >PVH65211 pep chromosome:PHallii_v3.1:2:54040903:54046353:-1 gene:PAHAL_2G439800 transcript:PVH65211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARMRGAAAFADDARELDRQMGCMAGIFQIFDRQRLITGGGRRGGRQAQKRLPPPSAPDNTASKSSSNVPVQSSSTSKIVLEKTFSKCTTENSSLSIESSRASSSSSSCSSFSSLDGNKSVQQELPYINEELFVQRSLKSLPSLKESDMNTKSGHPNVGFRDIVKDSINRDSGCLTVKTSVQEARRNGQYKDSPRPLLLSKSTDGTCIIGIDRSTKVPATVAESNRRLQEQSRFSCDDRRLLRPAEPQESKRPSTRLKELPRLSLDSRKESLSPSSRQKNFSYKRTDDILLDTLRPQDSPSHRRANSVIAKLMGLEEATNATGVLTADNHETSRSPRPAQATQHEHPSRSPRSNCRDSRMLQLKNDSSALKTKPSPRILTEAAPWRQQERSANNTKAQQCREAEGRSRTASVYADIERRLGGLDFLECNNKDFRALRILGALNAKDAKSQNDSNARSVAVQRAGYDLTTDPGKFQPPIVVMKPARTTEKPGVSLASVAPIAGLRSLRKLQARDSSCTGTNDKIHLRMSRAQSKPEEPVSSASSPRPTGSSSPRNVQKKAESERRSRPPVSPKSPSKKSNEAVSPKGRIRSNPSQVKSHRDEVLPSTGSRISLAKQVDVSIMDCPKLPGVSSSFVQPCNVATTSSHKGPSILGSDQNIHSLDNIPSPVSVLDTSFYHKRISDSFKDGETHSSDECWNPNSLPDTPQSKASSEVNQIKPENLEVLIQKLEQLQSMNEEAADIKEVMASVTANKDHQYIYEILVASGLLHKELSFTALPGQLRSSSYLINPELFLILEQTKPDIVSEFQTVNGAKKCSKPCTGKLHRRLVFDMVNETIAQKMNICRFGSQPVKFLQSKMLSGWQLFRDVCTEIDDRLTKCSEEDENENMLVDEDIVGGTKDWMSFDTELHGMVLEIEKSIFKNLIDEVIDDGAREKMQFGQWKLRRQLSFSSIN >PVH65210 pep chromosome:PHallii_v3.1:2:54040903:54044853:-1 gene:PAHAL_2G439800 transcript:PVH65210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTYKLLMQEKTFSKCTTENSSLSIESSRASSSSSSCSSFSSLDGNKSVQQELPYINEELFVQRSLKSLPSLKESDMNTKSGHPNVGFRDIVKDSINRDSGCLTVKTSVQEARRNGQYKDSPRPLLLSKSTDGTCIIGIDRSTKVPATVAESNRRLQEQSRFSCDDRRLLRPAEPQESKRPSTRLKELPRLSLDSRKESLSPSSRQKNFSYKRTDDILLDTLRPQDSPSHRRANSVIAKLMGLEEATNATGVLTADNHETSRSPRPAQATQHEHPSRSPRSNCRDSRMLQLKNDSSALKTKPSPRILTEAAPWRQQERSANNTKAQQCREAEGRSRTASVYADIERRLGGLDFLECNNKDFRALRILGALNAKDAKSQNDSNARSVAVQRAGYDLTTDPGKFQPPIVVMKPARTTEKPGVSLASVAPIAGLRSLRKLQARDSSCTGTNDKIHLRMSRAQSKPEEPVSSASSPRPTGSSSPRNVQKKAESERRSRPPVSPKSPSKKSNEAVSPKGRIRSNPSQVKSHRDEVLPSTGSRISLAKQVDVSIMDCPKLPGVSSSFVQPCNVATTSSHKGPSILGSDQNIHSLDNIPSPVSVLDTSFYHKRISDSFKDGETHSSDECWNPNSLPDTPQSKASSEVNQIKPENLEVLIQKLEQLQSMNEEAADIKEVMASVTANKDHQYIYEILVASGLLHKELSFTALPGQLRSSSYLINPELFLILEQTKPDIVSEFQTVNGAKKCSKPCTGKLHRRLVFDMVNETIAQKMNICRFGSQPVKFLQSKMLSGWQLFRDVCTEIDDRLTKCSEEDENENMLVDEDIVGGTKDWMSFDTELHGMVLEIEKSIFKNLIDEVIDDGAREKMQFGQWKLRRQLSFSSIN >PAN12926 pep chromosome:PHallii_v3.1:2:45613219:45619067:1 gene:PAHAL_2G301700 transcript:PAN12926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTVPFFLTSTTLAPTTKKPQPQAQPPPPPPPCDAEAQAAASHASAASASYAARMRLNPHLALRLFDHLLRSGADPDPAAYALALASCAGGRDRAAAAQLHAHAAKRGVLASHRRVRGRLVHAYAVCGMLPHARRVFDGGTDNDMFAWNCLLRGYAQEGGDADALHDFFARMPSRDSVSWNTVLSWCVANGEYDEAIAVFREMLARQECQPDRVTLVSVVSATAYLGALALGLWAHAYVIRKGVEVDEKLSSALINMYSKCGFIEGAVYVFENAAVKMSLDTWNAMLAGFTANGCSTRALELFTRMESKGLVPNKITFNSILNACSHGGLVDEGIRYFERMSRVYGIEPDIAHYGCMVDLFSRAGMFEKAEEIIQIMPMEPDASMLKALLGACRTHKNLELGKKAGQRLIEAAPNDHAGYVLLSNIYALDGNWGGVHKVRKLMLDHGVLKIPGSSSVELNGVIHEFISGDKSHSGKRDIYKMLSEICQRLKNTGYTPDTSQVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRVVNNLRICGDCHHAIKLLSKIYRRSIIVRDANRFHHFREGSCSCRDYWVPVQCIAAKKRNLSEAVKHEDSLHGEQGLRDERIRCRKSYLHITWVVFFYL >PVH65339 pep chromosome:PHallii_v3.1:2:55539438:55541720:-1 gene:PAHAL_2G467000 transcript:PVH65339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSPAVISQHIRLQITESHSHEHTIVRPSSDQPAASRRCRRLGRRGEPPRRQPPPRALASRRKTRRRDPHRSTDVRCFFCTSHSFRSTRRRKQRRPRARRGAKSLTSRQTRFPEVPSQVARPTAPSHLLGGSSCTYIYLTRLTRKQSLSKEIEQSRTRQTGREERNGGQRPRSRSRSSRRHRRGQAPDAAAAAALGADPAGGAHGGDRRRGAQRRHGQPLPLRRHRPPPERAPEPAPGQVRGPLLRRDHGRVPGHLGARLRARRRRPPAPRRRLLPRRRVRALLPGHRALQRRVPPPQRGDRRRRRVRQLSPRARAPVARGLRRRRRRAPFRRRQRRPGARRRGPRRPRQLLPGRGERGRQHRAPRRQPLGGAVAGVGEARPGSRHLPRAALLRRRGAHRVGAEAGGRGARGEPQALRLLVDGVPARGRHPRPPGGARHRRERRPGGGVPARHGGGRRVRPTAGLAAPVRRRAAAQGEGGAGGGVPGHVPRLLRLPGAPRGHHGAAGHEGLRRQPQGHAQARRRVMNSTLCWLIHCHN >PAN14371 pep chromosome:PHallii_v3.1:2:51922944:51927575:-1 gene:PAHAL_2G404700 transcript:PAN14371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRPPLALLLSLPLLVTLFSSPPASAADDTIAANSSLADDETLVSAGGVFVLGFFTPAGSMARFLGIWYRGIAPQTVVWVANRDAPINGTTASLGLNATGSLVLADQSGRVFWSSAPSNANATGAPVAQLLDSGNFVLRDDGGAGAAVLWQSFDHPSDTLLPGMKLGWDMTTGLDRHLTTWRSPGDPSPGDYTFGIDIRGVPEGFIRYGGEAPVYRNGPWNGLQFSGEPEMEPNNSNFRFEFVANASDVYYTFLVDGGGVVSRFVLNQSSVQRYVWPPGGQAWTLYWSLPRDQCDRYAQCGAFGVCDTSSGSPACGCVHGFTPASPRDWALRDSSAGCRRMTPLNCTGDGFLSLRGVKLPDTTNATEDTTITVDQCRQRCLANCSCLAYSASSIKGGDSGCIIWSSLLIDIRHFAYGGEDLYVRLAASDLPSNGDGSRKKNIVVVVFVSLLVLVLFGFGGFFIWNKLFRNRGRFQSTQRFNSFGSSIPLTPVQDRKLEDESGQNKDLNVTLFDMATIAFSTNNFATWNKLGEGGFGAVYKGELEGGQTVAVKRLSKYSTQGLDEFKNEVMLIAKLQHVNLVRLLGCCIHGEERILVYEYMENKSLDNFIFDKGRSAQLNWSKRFDIILGIARGLLYLHQDSRYKVIHRDLKAGNILLDKDMNPKISDFGVARIFGDDTDSRTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEVVSGRKNRGVYSSGEQASLLNQAWRLWREGSPVALLDEAVAGAGAYRGSEVRRCVQVALLCVQERPDDRPHMAEVFLALGNPGAVLPQPRHPGFCSDRGSTSTDGEWSSTCTVNDVTVTIVEGR >PAN14686 pep chromosome:PHallii_v3.1:2:53407730:53411679:-1 gene:PAHAL_2G429800 transcript:PAN14686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAALRATEPLPLPSGLSLSPRLKLLLTFFRADLTVRPLDEWQLKSALLAFLRDPPLSLPLLPDSDLSVRRLPDLQKRRREEPVASGVLHVRDLSFLRPRKGDSEAEGMTVEQEDEKYFEWRSSLVEKLEGIELNLEGVKFRMTVEIPPSDDFRVMKKSWEDFYSSELLNSRNPVRKIAKRPDTIVVRGVPSRWFAEIRVSSKPSTLVTHTIFSALGKIRTLNIANDDDELEAKEDGSTKELISGLNCKVWVQFENYDDFHDAMKALCGRSLEKEGSRLKVDYDVTWDHEGFFRIAQYEPAHSRLGERDASASVHGRKKHYTSRIESDHRKRFRD >PVH64382 pep chromosome:PHallii_v3.1:2:41906295:41908798:-1 gene:PAHAL_2G253800 transcript:PVH64382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARPRRWKLPFHRAASGGTSAPCSPSPSSRSSAAPAPAPASPARSEAWAAEAVPEEFLCPISGALMADPVILPSGKTYERACLQACAELAFLPPGVDPGGAGTMISNAALRAAIGTWCARAGRAPPAPPSAEAAREAMLRAMPPAAAAKSVRTTARRATVMAASSSNSSYSSPASTSSYGSSSEIVPAEDDDGGSARPAKDAATQPRIVREVEVEPAPPVDPLEDDVVGKVLDADEDGIVAAAMGALREATRESAERRRALCTPRLLGALRRVLLLPRHAAARVDAAAALVNLSLEPANKVRIVRAGAVPALVEVLRSGASAPEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLDLLTSPAHPPRARRDAGMALYHLSLAAVNQSKVARFPGAPKALLAVASGAAEPGPIRRLALMVVCNVAACAEGRAALMDAGAVASVAGILDDAGTRGGTADLEEWCVSAMYAMSRGSLRFRGLARAAGADRALRRVVADEGAGVRREMARKTLRAMRGDLDEDGEYNDLTGSSLECGDGEDCGGSIVSDGLMSFRRRQRELGVLSCGNTAEF >PAN11676 pep chromosome:PHallii_v3.1:2:35077117:35081173:1 gene:PAHAL_2G209900 transcript:PAN11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGVEVKPGKPYTHTYQADHGRLRVCQATLSNCDVSGRTVLQCNVGNKIPIKLCSLNPSLAEMCHLEIELEEVDDVVFSVIGQSSIHLSGYYVRASSRSNAGDDESESYGEDIGQSDTDEEHDANEDSYESDFIDDRDVIDVSDDECSSPHRRKQASGKQTRKAERRRRLKKHQVDSTDDDDSPVMKPAVKHNARAIFDSGSDEDNVPISVALSKKDSAKVAVKHDAPSIFDSCSDEEDNDVTLSKKDSTKVSEETNLQNGQTNDGTKKNSDDRKRKSSAISEDPASSMDIEDANAPSVSKQGSDIKKKSKKKMKKQSGGKDEKQSNIRTLDDGLMVEDLSTGNIDAKVASDGCKVYIKYVGMLKEGKIVESNLSEKPYKFKLGAGKVIRGWDVGIRGMRVGEKRRLTVPPSMCSGGKSVVEVPKNSSVIYEIELVKVK >PVH63469 pep chromosome:PHallii_v3.1:2:2546940:2547794:1 gene:PAHAL_2G036300 transcript:PVH63469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLTWLFAAAAMAAAFLPVPAVAEIFLVGDTTGWNLSYPIGWPEGKTFKGQFTVTEVDSETFRECYLQGNTVHEWTSGHDVVPLENPGRRWFFSSLANHCDLGLKLFVSVAGGSASGAPAPAPEHHQPPNPAPSPVNVRPMSPAPTAVSPAPTPEAPPSPDKSSAGLNCYKIGEAVARAAVVAGAFVAAVFV >PAN15062 pep chromosome:PHallii_v3.1:2:55234972:55237608:1 gene:PAHAL_2G461200 transcript:PAN15062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRMSLKPAKSLRDAEEVQTSDYIAGDVLDDLLSKLVRSVEVAKASRGGLPEKIWMKKQFAIGVNDVTRVLERMPPAAATNSTHSSTEAQTVSGRRRAPLVPLQAVLIAADCNPKSLTKHIPTLASTRQVPVLCIKDNKGGSVRLGHVVNIRTALAIGVKAGDSVVNKTIDEVLECSKQ >PAN14286 pep chromosome:PHallii_v3.1:2:55719030:55722320:-1 gene:PAHAL_2G469700 transcript:PAN14286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDASSRPAPPEAPHDVLPRVLAFYHHWRKLYGPMHMIWFGSTPRLIVSEPELIREVLLSRAEHFDRYEAHPLIHQFEGLGLSNLHGDEWARRRKILTPAFHVENLKLLVPFVGETVQRMLEERVLSSAAGGEVEVDVAEWYQRLPQEAITLATFGRNYDEGSVVFRLQGEHASYATEAHSKVFMPGYRFLPTRKNRRVWQLDREIRRLLGKFVTGLQSGDHRGGGRDHGRAGGMKDFMSFMAPAMTADEIIEESKNFFFAGKETLTSLLTWATVALAMHSEWQDRARREVVDVCGRRGLPTKDHLPRLKTLGMIVNETLRLYPPAVAMIRKSKRDVDLGGCVVPAGTEIMMPIMAVHHDAEVWGADATEFNPARFADDGDRPRQQMAFMPFGGGGRVCIGQNLALIEAKVALALVLQQCEFRLSPAYVHAPRVLMILNPQHGAPVIFRPL >PAN11900 pep chromosome:PHallii_v3.1:2:38472209:38477331:1 gene:PAHAL_2G226400 transcript:PAN11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDSGAGDATPGTPSPTHGGRLRHRKRSSEVLSDVNKANGANLLLNDQNKYKSMLVRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMAKELFNLLRKANEDRQLPGFRMLNWHFFFTAMLFTYGRFLSRQLVNTVTSDKLLYKLVSGVIKYQMFICYFLYIAGFVWFIVTLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIFDGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTMLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPMFTPESYSLPGWMPQWFPWREVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTVEEQHDLYEQLGKLLARAN >PAN14307 pep chromosome:PHallii_v3.1:2:51654727:51655714:1 gene:PAHAL_2G399400 transcript:PAN14307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASSGALLAAVAFAAVAATAVATTYTVGGTDKWDTYIDYDKWTAGKTFMVGDTIEFKYMPYHNVLEVTEEDYAACNPGSPISTHSGGDTTFNLTAAGTRYFICGIPRHCLNGTMHVKITTVPYGAAAAPGGPAQAPLGSTPADAFAPGPAGYRVAMDAAGKSPVAAPSDAPRYRQPAAAVAGLALAALVALVA >PAN14036 pep chromosome:PHallii_v3.1:2:50375747:50383785:-1 gene:PAHAL_2G380000 transcript:PAN14036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISRNLQRSLTRDRLRLLRLLSQHPREYVTAECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGIRGLLPPNVVSSQQQIDRFMLDLKRLQKYARDGPSDTLALAKWRILNRLHDRNETMYYKVLINNIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSSDDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGDEYVSVIDEFMEAVFTRWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQRIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEDRADIDPDARPFARRKGELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPGEAFSILGEKAIFASGSPFHDVDLGDGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERLASYMKEEEVLQGIIYPPISRIRDITKEVAAAVVREAVAEDLAEGYREMDARELARLSEEETMEYVKTNMWSPVYPTIIYRKD >PAN10003 pep chromosome:PHallii_v3.1:2:4748924:4753275:-1 gene:PAHAL_2G064800 transcript:PAN10003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAAGAWGGGSFRRRVMDGLAAVLLLYALVVLALESPLVSTSLAGGAGGAMARKLYLSSGAATTRSAPARPNKEPRPAAANVTGPSARGRERELDRLSRFATGLDLRLLDTARSGALRGQIADAVAAGARVFAELEGLDTDAPSGEGEAAPARCPQSIALSAEELRARGRVVELPCGLALGSHVTVAATPRAPHEERDPAIAVLRDGEAPAMVSQFMVELQGLRAVDGEDPPRVLHFNPRLRGDWSGRPVIEQNTCYRMNWGAAQRCEGWRSRPDEETVDGLVKCEKWIRDDDERSEESKAAWWLNRLIGQKKEVNFDWPYPFVEGRLFVLTLSAGLEGYHVSVDGRHVTSFPYRTGFVLEDATGLFLNGDLDVHSVFAGSLPTTHPSFAPQNYLDFSTVWQAPPLPDEPAEIFIGIMSSANHFAERMGVRKTWMSSVVKSPNMVARFFVALHGRKKVNVELKKEAEFFGDIVFVPFLDNYDLVVMKTLAICEYGVNVVSAEYVMKCDDDTFVRLDSVVAEIKKVPSGRSLYIGSMNIQHKPLRHGKWAVTYEEWPEEVYPAYANGPGYVLSSDIAHFIMSEFTKQGLTLFKMEDVSMGLWVQQFNRTIPVEYIHSAKFCPYGCIDDYYTAHYQSPRLMLCMWEKLLEGKPGCCNVR >PAN13706 pep chromosome:PHallii_v3.1:2:52471929:52473412:1 gene:PAHAL_2G413900 transcript:PAN13706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLPKTICKRIDQTRKRFFWQESGLKKKYHLVKWEKLNRPRKKGGLGIQDIRKMNQSLLCRWWWKLEKKEGMWQKLVEKKYVMQSSITRLKYKPTNSSVWNNLLKVKDIYLKGRRIKVGNGRLAEFWEGQWCGAVSLKDKFPELGWRLTFRRWLDENLQNQFRRLRDMLTSVALSREDDSPVWAWEKSGAFSVKSTYAHLCSNQLAEPNKHIWKAKIPLKNKIFMWLAQQDAILTRDNLAKRNWQGDLRCSFCQCPETAEHLCFTCSLAKYVWSLGAFVLGASCRPCSLEQFWHWVYIYIPSHKQYHMVGLTAICWALWKARNLVCFEQKRVKTPTEIVCSASSFLSYWAGLQKTDDRAPLEAGAEALKAAALFFHLEESPNNTGVVLLR >PAN15569 pep chromosome:PHallii_v3.1:2:57621531:57623419:1 gene:PAHAL_2G498700 transcript:PAN15569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLKRALLLAVAALGLRLLYGAFLAVSSAANAWQLYPATAIATARRTYVQADVPAPEAWRTRHWRRAVDYHAAVLADHLAHGILAPASRAVCLGGAHQALAMRELGVAAAVAVQKNPSPPLVVAGDHRRLPFKSDTVDFVFAGRALDSTKRPADLAAEAARILKPQAHLVLLTSSAADAYSLRSLQALLPSLRLLRSREINAQDAPSTLRELVFQKIHAHTPAYSTDSTPTSSSSANNCSVGDHKLHLVTHAEPLIQEEPLKPWITLKRNINNIKYLPALADISFKRRYVYIDVGARSYGSSIGSWFRKHYPKQNHTFQVYAIEADPVFHSEYAAKKGVTLLPYAAWVKNETLKFEINGDPGKEDEAKANGRGMGRIRPAAGNKMSGEVRSVPAFDFAQWLKQTVTEQDYVVMKMDVEGTEFDLIPRLFDTGAICLVDELFLECHYNRWQKCCPGERSPKYDNTYEECLDLFSSLRESGVLVHQWW >PVH63492 pep chromosome:PHallii_v3.1:2:2950309:2951046:-1 gene:PAHAL_2G042100 transcript:PVH63492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAAPLRRLRVLYRHHLQRTPMGFFPPAERGGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN11561 pep chromosome:PHallii_v3.1:2:16172030:16177446:-1 gene:PAHAL_2G152800 transcript:PAN11561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPALLLALAVLLLRCCSPPALAFVAEPALSRAACGDDQLVVLDASDGLVNLSVNGVLVEDSVLACQKLRFYFRSGCLRCGVVSDAWRAAVKQYCGKGSESSHATSHQNVPRKLLRQSTENSSRNDYDPCGGLSLHENNQDTGDSSENDDHLLAVPGVILLCCGLMFPCFHAERKEASRHDTASIQRNAIESVSSYEVSMSSEKVPPTPHRIPPSPSRFAPSPQVARVGSVNLSIQQILRATQNFSPSFKLGEGGFGMVYKAVLPDGTVVAVKRAKKDQFAGPRDEFSNEVDLLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDGQHGRVLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPEERITIRWTFKKFNDGNRSRREILDPLLEDHVDDEVLEKLLSLAFQCAAPTRDDRPTMKEVGEQLWEIRKEYGKSIRKV >PAN10950 pep chromosome:PHallii_v3.1:2:11401772:11417267:1 gene:PAHAL_2G128900 transcript:PAN10950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MGSPPAAAAAVAAAVAVVAALLSASAAANPAGGPHMADLSVLLPSRMTKPVEYRLIGGDGCFSWSWDHHDLISVKPEYNDSSRCSTSARLASISPYNGRMETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEIATLRVHAFDDEENVFSSLVGLQFLWQLSPRLLDNSSHHLVHIPLKETHLSDCGGFCGDLNVRFELEDKNLGSDLFVVKGIEIGQEVVKAQLFEPQFEHVIDTITLTVAEAMSLEPPSPVLVTVGVLVKFKLKVFQQKVAQVVKLPSPYHRWHATNSSVAQVDSSLGISRALSLGFTKVVVEDTRVEGHEQVSSLHVVIPRTLFLYLVPIMDDSAHLHGITNIPSSKVWYVYPGRKYMVLAKAFAEGFDAREIYITEENELKLESSTMEFWNLSLVPDSSIGSYEVQTSRLLNPVSQGKGHLVASLTYRTEASGPAKALKIVQEVNVCSKVKVFWDEGLENSNVIHLPWVPGVYQEIELKAIGGCGKTLDDYKLFSSDEDVASVSDSRIVRAKKPGQAVIRVVSAFDFLNFDEIIVEVSIPSVLSILPVFPVEVPVGTRLHASAALKTSTGYSFSRCDHFNAFIRWSILSDNESFHILNTEEASSVEDIKRSAGSWGQNGNPCAWISLNAFAAGRSTVVAMFAFDSDSNIENLGPISLKATSKISAYYPLVVLQGGNGNQFGGYWFDLSGIHSRIEKMDNNIPKELYLVPRSAMDVFLFGGPERWDQVVDFVETVDVIGESENHITSSTAVQKLSSGIYRVSCPSKVNYKLLFSRGNMIGKDHPVPAIAKSEFSVVCDFPSEITLIANENENRLDILEAASKADRGPDRLQASPVVISNGRNIRLAAVGIHGNGRFFANSSSLCLKWEASGCEGLAYFDETKSSEMLDESTWERFLVLQNSTGVCTVRATVIGFSTKFAGQTHEEERTFHTLTDAIQLQLVSSLRVTPEYVLLVFHPDAQENLIVSGGTCSLDASTNDTHVVQIVKHPGKSLCSQLILGANGLGKAIVTIQDVGLSPRATTYSLARVANVDWIQIIAEEHISLMEGSTKDFQILAGTQDGQTFGDSQFKYMGIELHLGDEILELISPMDSMDGSKFSIKAAKTGITSLYVSTRQHSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKTGVYIEYSSLNMEIVECQNATGKLSAKTVGNSTVRAAILANGGTLICEAFGRVEVDIPVAMILNTQSDRLCIGCSMPIYPSLPKGDLFSFYETCQSYSWVIADEKVVIFQSAKSWQYRLGQGLYSEGKNNPWFSNGSSNSFINHMIGRSAGKTKVSISVTCDFLLPGTTGSVVSYNASKTILVVPDPPLALVLPMTWLFPPFYTTTSLLPRSANSLGEPDSHDLESSVGYSLLRGSGRSGSVIQDASIIDGNKIRTGESNAVDCIQAKDHSTGRTEIASCLRVAEVAQAWIAAAESSVQIAYLSVNDRVELDIKYADELGYTFHEAHGVAPVKIETNYPDVVSILMPRDFNDTYGTHERFVLQARSHGTALIRLHVNHIPNKADFIMVSVGAQMYPRDVILHSGQHLNFTIIGDSMDTRGSGHWLSSNEKIVHVNRVTGEAQARSEGVAEVIFKGSNLKLQTTVSVLKVNQIVVDAPGETLTNAAGPPDGYKFSVRFSDSTEHNTGSSASPIAPFECMVDPSFVGYVEPWTDHAAKKSYCLFHPYPPAQLLPVKLNPKEGFLHILVRANLKEDPKVTGSAHALFVKGFYIKEPGKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSVSRVDTNENKGVPSRIVYRVEVLKRQPFSDKVTIVLPATGQTEEVEISYDTGEEAEPSSSWGPTTFVVILTCIIVLVVTVVFMKSLERPSRRAPPRNAAASTPVRAPAASPAPMADPASPANGQLSPRTPQPFMEYVRRTIDDTPYYKRDSRRRFNPQNTY >PVH63878 pep chromosome:PHallii_v3.1:2:11401772:11417267:1 gene:PAHAL_2G128900 transcript:PVH63878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MGSPPAAAAAVAAAVAVVAALLSASAAANPAGGPHMADLSVLLPSRMTKPVEYRLIGGDGCFSWSWDHHDLISVKPEYNDSSRCSTSARLASISPYNGRMETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEIATLRVHAFDDEENVFSSLVGLQFLWQLSPRLLDNSSHHLVHIPLKETHLSDCGGFCGDLNVRFELEDKNLGSDLFVVKGIEIGQEVVKAQLFEPQFEHVIDTITLTVAEAMSLEPPSPVLVTVGVLVKFKLKVFQQKVAQVVKLPSPYHRWHATNSSVAQVDSSLGISRALSLGFTKVVVEDTRVEGHEQVSSLHVVIPRTLFLYLVPIMDDSAHLHGITNIPSSKVWYVYPGRKYMVLAKAFAEGFDAREIYITEENELKLESSTMEFWNLSLVPDSSIGSYEVQTSRLLNPVSQGKGHLVASLTYRTEASGPAKALKIVQEVNVCSKVKVFWDEGLENSNVIHLPWVPGVYQEIELKAIGGCGKTLDDYKLFSSDEDVASVSDSRIVRAKKPGQAVIRVVSAFDFLNFDEIIVEVSIPSVLSILPVFPVEVPVGTRLHASAALKTSTGYSFSRCDHFNAFIRWSILSDNESFHILNTEEASSVEDIKRSAGSWGQNGNPCAWISLNAFAAGRSTVVAMFAFDSDSNIENLGPISLKATSKISAYYPLVVLQGGNGNQFGGYWFDLSGIHSRIEKMDNNIPKELYLVPRSAMDVFLFGGPERWDQVVDFVETVDVIGESENHITSSTAVQKLSSGIYRVSCPSKVNYKLLFSRGNMIGKDHPVPAIAKSEFSVVCDFPSEITLIANENENRLDILEAASKADRGPDRLQASPVVISNGRNIRLAAVGIHGNGRFFANSSSLCLKWEASGCEGLAYFDETKSSEMLDESTWERFLVLQNSTGVMSSFSILNLQCTVRATVIGFSTKFAGQTHEEERTFHTLTDAIQLQLVSSLRVTPEYVLLVFHPDAQENLIVSGGTCSLDASTNDTHVVQIVKHPGKSLCSQLILGANGLGKAIVTIQDVGLSPRATTYSLARVANVDWIQIIAEEHISLMEGSTKDFQILAGTQDGQTFGDSQFKYMGIELHLGDEILELISPMDSMDGSKFSIKAAKTGITSLYVSTRQHSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKTGVYIEYSSLNMEIVECQNATGKLSAKTVGNSTVRAAILANGGTLICEAFGRVEVDIPVAMILNTQSDRLCIGCSMPIYPSLPKGDLFSFYETCQSYSWVIADEKVVIFQSAKSWQYRLGQGLYSEGKNNPWFSNGSSNSFINHMIGRSAGKTKVSISVTCDFLLPGTTGSVVSYNASKTILVVPDPPLALVLPMTWLFPPFYTTTSLLPRSANSLGEPDSHDLESSVGYSLLRGSGRSGSVIQDASIIDGNKIRTGESNAVDCIQAKDHSTGRTEIASCLRVAEVAQAWIAAAESSVQIAYLSVNDRVELDIKYADELGYTFHEAHGVAPVKIETNYPDVVSILMPRDFNDTYGTHERFVLQARSHGTALIRLHVNHIPNKADFIMVSVGAQMYPRDVILHSGQHLNFTIIGDSMDTRGSGHWLSSNEKIVHVNRVTGEAQARSEGVAEVIFKGSNLKLQTTVSVLKVNQIVVDAPGETLTNAAGPPDGYKFSVRFSDSTEHNTGSSASPIAPFECMVDPSFVGYVEPWTDHAAKKSYCLFHPYPPAQLLPVKLNPKEGFLHILVRANLKEDPKVTGSAHALFVKGFYIKEPGKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSVSRVDTNENKGVPSRIVYRVEVLKRQPFSDKVTIVLPATGQTEEVEISYDTGEEAEPSSSWGPTTFVVILTCIIVLVVTVVFMKSLERPSRRAPPRNAAASTPVRAPAASPAPMADPASPANGQLSPRTPQPFMEYVRRTIDDTPYYKRDSRRRFNPQNTY >PVH65075 pep chromosome:PHallii_v3.1:2:52652056:52653384:-1 gene:PAHAL_2G417200 transcript:PVH65075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLDFALGVNMAGVASHRQSKDGEADPSNRPKKEKKEYVTERQIRYVRNQRPTSSDLLRKYEYQYQQRLYRESEEEEYERRTGKRLRKHEDARDHWHCPFFRYCWDSGMSRLPTIKNCPECGPRRPEARDSVFQRMGPAPIRQARVRSPRKEDDEEDRYHHPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLRKARPDLAERVHYVQEKESRPPRKELRPKSTKADKKVSADTHMVFVLPAEFHAQTQGEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAED >PVH63716 pep chromosome:PHallii_v3.1:2:6823380:6830973:1 gene:PAHAL_2G091800 transcript:PVH63716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMQRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLITHNIVLSAFKNGAQYLKAIAYFEIMKSSNVAPDTFTWNIVIHCLVKVGQYGEAIELFNSMREKRTICPPDVVTYTSIMYSYSVCGQAENCKAVFDMMVAEGVRPNIVSYNALLGAYASHGMHKEALETFKLLKQNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNEMRKNACKPNKVSYNALIDAYGSTGMLKEAISLLQEMERDGIQPDVVSISTLLTACGRCRQLTKIDTILAAAKSRGIELNTVAYNSGIGSYLSLGDYEKALELYALMRTRNVKPDAVTYNVLISGSCKLGRYAESLKFFEDMMDLKIHLTKEVYSSVICSYVKQGKLSEAESTFSSMKESGCFPDVLTYTAMIKAYSDDGSWRRAWDLFKEMESNDVQPDAIVCSSLMEALNNGSQPERVLQLMKFMKQKQIPLNQKAYFEIIASCSMLREWKTASEIIEQLDSSLSSISVGTLNHLLNFLGKCGKTESMMKLFYKMVTSCSTVGLSTYTVLLRNLLVVGKWRKYIEVLQWMEDAGVRPTLYMYQSVLPYIWRDNSMDYVTLMQEKINALREKVT >PVH63717 pep chromosome:PHallii_v3.1:2:6823380:6830973:1 gene:PAHAL_2G091800 transcript:PVH63717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSSWLRRGGRASSPTPALSKPPRIRNGKAAPPMASAPAPPPSPSPSPCPDARAPRVVRAPPRRPPPRAPGPPPWAERRPAVSVDLDRGRRAARAEVEGVRAASLPARHRLRVEGTRWQRDWKVSEAAARVLALPPADAHAVDAVLNSWAGRFARRNFPLLIREITISGSLKHAVHVFRWMKNQENYCARNDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDADTYNSLIHAHARAGQWRWAINIMEDMQRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLITHNIVLSAFKNGAQYLKAIAYFEIMKSSNVAPDTFTWNIVIHCLVKVGQYGEAIELFNSMREKRTICPPDVVTYTSIMYSYSVCGQAENCKAVFDMMVAEGVRPNIVSYNALLGAYASHGMHKEALETFKLLKQNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNEMRKNACKPNKVSYNALIDAYGSTGMLKEAISLLQEMERDGIQPDVVSISTLLTACGRCRQLTKIDTILAAAKSRGIELNTVAYNSGIGSYLSLGDYEKALELYALMRTRNVKPDAVTYNVLISGSCKLGRYAESLKFFEDMMDLKIHLTKEVYSSVICSYVKQGKLSEAESTFSSMKESGCFPDVLTYTAMIKAYSDDGSWRRAWDLFKEMESNDVQPDAIVCSSLMEALNNGSQPERVLQLMKFMKQKQIPLNQKAYFEIIASCSMLREWKTASEIIEQLDSSLSSISVGTLNHLLNFLGKCGKTESMMKLFYKMVTSCSTVGLSTYTVLLRNLLVVGKWRKYIEVLQWMEDAGVRPTLYMYQSVLPYIWRDNSMDYVTLMQEKINALREKVT >PVH63718 pep chromosome:PHallii_v3.1:2:6825460:6830973:1 gene:PAHAL_2G091800 transcript:PVH63718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENGVGPDLITHNIVLSAFKNGAQYLKAIAYFEIMKSSNVAPDTFTWNIVIHCLVKVGQYGEAIELFNSMREKRTICPPDVVTYTSIMYSYSVCGQAENCKAVFDMMVAEGVRPNIVSYNALLGAYASHGMHKEALETFKLLKQNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNEMRKNACKPNKVSYNALIDAYGSTGMLKEAISLLQEMERDGIQPDVVSISTLLTACGRCRQLTKIDTILAAAKSRGIELNTVAYNSGIGSYLSLGDYEKALELYALMRTRNVKPDAVTYNVLISGSCKLGRYAESLKFFEDMMDLKIHLTKEVYSSVICSYVKQGKLSEAESTFSSMKESGCFPDVLTYTAMIKAYSDDGSWRRAWDLFKEMESNDVQPDAIVCSSLMEALNNGSQPERVLQLMKFMKQKQIPLNQKAYFEIIASCSMLREWKTASEIIEQLDSSLSSISVGTLNHLLNFLGKCGKTESMMKLFYKMVTSCSTVGLSTYTVLLRNLLVVGKWRKYIEVLQWMEDAGVRPTLYMYQSVLPYIWRDNSMDYVTLMQEKINALREKVT >PVH64762 pep chromosome:PHallii_v3.1:2:48882118:48883381:1 gene:PAHAL_2G355100 transcript:PVH64762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALHGGSSNTTRKTCWRQRLHGVTRPGMQADMSPPPAHPPDGLPCPAARIMPADRSDTARRARRAGLPNPTTRCRPPAPRPCPRPRPPHPGYNPPRRLAATSEQGSQSVTRGRTRTYVRCRVSVAEKRSMACQQLRSTSLPVRPHALVLELEDELQRLRSGAAASAPSPAALAGRLGDAYGRIEELVRLPGGRDALSSARWRRAVEAGLDASVALLDLCERARDAAASAKQHVRAARRALRRGDTALARAAVRGYARCLAKAGKQAGARKALGRRAAPADAEAPAAVKVLSEAVAVTVAVLQGVLSTLSARVVDTRKIRWCVVSKLMRSDWSLCVCRDLDGEDGVLSAQETLQELEDSVEAVESGLEHLFRQIVQSRVALLNVLTL >PVH64169 pep chromosome:PHallii_v3.1:2:34511170:34511487:-1 gene:PAHAL_2G207300 transcript:PVH64169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAHLLLRGTGVEAPDPGHAPHRHQDQPILPPAYALSRLKLLHVFVTNLFLLAHLKTPPWPAPAERHALSRHNPLPLAQPAPCILFRGPPSPGAAHHQRHPLHH >PAN12244 pep chromosome:PHallii_v3.1:2:41811488:41815926:-1 gene:PAHAL_2G252600 transcript:PAN12244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDAAYLLCAEDATAAFFGAAAAAGSACTGADDDDDDADGSCCSAGEEESAASIAELIGGEADYSPRPDYPDRLRSRAIDPAARAESVAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQVEGISRYVFEPGTIGRMELLVLTALNWRLRSVTPFTFIDFFAYKVDPGGTHTRCLIARATQVILAAMHDIEFLDHCPSSMAAAAVLCATGETPSLESVSPGTAVRWCIGLGERSAAATD >PAN12246 pep chromosome:PHallii_v3.1:2:41812751:41815662:-1 gene:PAHAL_2G252600 transcript:PAN12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDAAYLLCAEDATAAFFGAAAAAGSACTGADDDDDDADGSCCSAGEEESAASIAELIGGEADYSPRPDYPDRLRSRAIDPAARAESVAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQVEGISRYVFEPGTIGRMELLVLTALNWRLRSVTPFTFIDFFAYKVDPGGTHTRCLIARATQVILAAMHDIEFLDHCPSSMAAAAVLCATGETPSLESVSPGTAVRWCIGLGEEAISSCYRLMQQLVIGNVQTRAASTTASAANLCCSHEVLSSHSSSSSSAPPAKRRKRSPPGI >PVH64375 pep chromosome:PHallii_v3.1:2:41811488:41815202:-1 gene:PAHAL_2G252600 transcript:PVH64375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFLSLHRLPEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQVEGISRYVFEPGTIGRMELLVLTALNWRLRSVTPFTFIDFFAYKVDPGGTHTRCLIARATQVILAAMHDIEFLDHCPSSMAAAAVLCATGETPSLESVSPGTAVRWCIGLGEEAISSCYRLMQQLVIGNVQTRAASTTASAANLCCSHEVLSSHSSSSSSAPPAKRRKRSPPGI >PAN12245 pep chromosome:PHallii_v3.1:2:41811488:41815926:-1 gene:PAHAL_2G252600 transcript:PAN12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDAAYLLCAEDATAAFFGAAAAAGSACTGADDDDDDADGSCCSAGEEESAASIAELIGGEADYSPRPDYPDRLRSRAIDPAARAESVAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQVEGISRYVFEPGTIGRMELLVLTALNWRLRSVTPFTFIDFFAYKVDPGGTHTRCLIARATQVILAAMHDIEFLDHCPSSMAAAAVLCATGETPSLESVSPGTAVRWCIGLGEEAISSCYRLMQQLVIGNVQTRAASTTASAANLCCSHEVLSSHSSSSSSAPPAKRRKRSPPGI >PVH64376 pep chromosome:PHallii_v3.1:2:41812751:41815117:-1 gene:PAHAL_2G252600 transcript:PVH64376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQVEGISRYVFEPGTIGRMELLVLTALNWRLRSVTPFTFIDFFAYKVDPGGTHTRCLIARATQVILAAMHDIEFLDHCPSSMAAAAVLCATGETPSLESVSPGTAVRWCIGLGEEAISSCYRLMQQLVIGNVQTRAASTTASAANLCCSHEVLSSHSSSSSSAPPAKRRKRSPPGI >PAN09318 pep chromosome:PHallii_v3.1:2:825391:828917:-1 gene:PAHAL_2G012000 transcript:PAN09318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDVTTGEGEGESTGVEEEDLISRLPDGVLGDIVSVLPTRDAARTQVLSSRWCPIWRTAPLNLDIRGYIVAGGGRRVSAGKISRILSKHDGPGRRFCAPLECFFDLGGRYAGALDTWLRSPALTGLQELEFHLGLPRWRATPPPLPAPVHRLASTLRVASFGGCAFPDGNGGALRLPVLQKLSLLDVKISEGTLHALLAGCSVLESLLLGDNNGCSLVRIVSQSLKSIGLRPGPGEIKVKQLLIEDAPCLERLLLLGSGFSMGMVISVIRAPRLHALGQLAAYDPTLEFGTTVFQGSQIVSVATMVQNVKILAITQLDLSLDVVINFLKCFPCLEKLYIKTTAVGENNEWRRKYKNLIGTLDIRLKNLVLTNYQGNKSHVNFAMFFVLNARVLQSMRLELQLGNPSNGWIKKQHRLLQTKHKASRDAQFDFVPHVISSPFSLGQVCAEQVHDFATADPFVGFHDWI >PVH64249 pep chromosome:PHallii_v3.1:2:37670726:37672300:-1 gene:PAHAL_2G221700 transcript:PVH64249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCPPLPCPPPCPEVSIQDLCANNNCHGCCIFHCCCNAKPGVPPEAPPRDFPPVLLRQQPHHLTPPRPDSAAKGAAARGTGGVAQDVPANGYRFRPSPWRAASGLVATERDSGSGVAPPGLPGNGGRPVPSVSRLAGSGSVETGATDHGGGVVRAPPPSWRTESGLAGTAWATGRDDGWGVVRSRGSPVQPERAETWATGRDAGAAAPLGVPGNAVRPVQSLSRHAGTGSADTGATGHGVGGAPSPPPSWRTESGSGGRAWTTGRDDGWGVVGSRVSPVHPARATGRDAGATAPGNALAAPLGVPGNGDRPVPQLSRWPGPGLGFDEAGFTWHDGSVVPRHGERREPPPSRRTTESGLAETTWATGRNAGAAAPDMPVAVAVEPGQDTGMQGDSSSPAPRGRLPLPSSSFSAGRLSPADSRVPPQVPTS >PAN12664 pep chromosome:PHallii_v3.1:2:44242719:44245836:-1 gene:PAHAL_2G282000 transcript:PAN12664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRPPKKRRIRDATAVPAAASDALLSLPPEVLDEILGRLDLSDAVRTCALCRAWRHRWESLPSIDIYTPIGQQSLWTVDCVLPRFSGRVRRFDVSLDELSTRRLDDWLLVLSRRGGVEDLELSPPCPYKFFSLHSTVFSWRRLISIDLFACHIPPLPPDFEGFPNLKVLSLANVKLQQNGEYQLEEIIETSPLLEQLILCEVCIVGDDFIEWEIRATNLRHITVCSNIDYGWNFAELQCLNSAVIDLWEYVGNRDFAKFLAGLVQVRKLSLCSFYAPVNGIKILETLPCTFDNLKSLKLFMHFCELPPILLVFCFLRSTPNLEKLKIRIYDGKEQKIEANGELLNAQWTDGMCANLQILEMTGINWLPNEMSFMKLILSKAKLLHTLSISHDDDCSLSHVDPLEELVTCGRASAQAQILFQGAAGSY >PVH64963 pep chromosome:PHallii_v3.1:2:51351329:51352474:-1 gene:PAHAL_2G394400 transcript:PVH64963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLIRVGLGSVSHQIRETASIAVTAGQSLSTWPPIEMPITFPFSVCPAPAQSSRPSVQLREAEESPAARRELQLLEDHRPWEMLDNMALAIIDQTYAALLEILGHAVPPPEEGDGGHVTVSHLFDAAVPGSPPEPPPALRVEASARHCRIYVVTAGREFARHAFRRQRSWPPRHRLNRASVSASLGALCLARADGGGRGDYWKCADDDVRPDVSGRGLLGVLDAIRARLDAAVRLEGRLLEIARAHRCRGDKVREILRVRTALEDMRREVDLGVIARRRRFQKRPRAVLITYRPEADMDVDREDEAEEVTKRLKALHV >PAN13939 pep chromosome:PHallii_v3.1:2:49989634:49993593:1 gene:PAHAL_2G373500 transcript:PAN13939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSSLAAPREGAVAPSPWAEATSSSALRHYRSLPKKGKPQGRESTVLAAFLLSTPQDPQSPTVLSMGTGTKCLGASRLSGRGDLVHDAHAEVIARRALLRLLYSEIGRGASPEWLVACEDGGRWRLRDGHCLHLYITQLPCGVMPVPPSESELREQLDGGVNGCSDISFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLTTITIGQLPDSAPEGFSIENNIDKVLNARLSSLPSRLSASFKLNKPKFFEAPVPPKEFQQISGDVPPLTCGYSICWNKSGLHEVVLGTTGRKQGTSSKAACLPSTESLLCKRRLVEAFMSLEHPLETKFQSGELSYRAMKDEAHEYQHTLELLRKAPFFSCWRAKPASLDSFSVTR >PVH64100 pep chromosome:PHallii_v3.1:2:28525178:28525744:-1 gene:PAHAL_2G186400 transcript:PVH64100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFILPMEFMAPASDGEMSDTEGGMAELALDPMPATFEKPEEKKHRHLKALFLKGLVDGRPITKLLVDGGAVVNIMPYAMFRKLGRGEEDLIKTDMMLKDFEGNVSPARGALYIDLTIGSKTLPTTFFVVNGKGSYNLLLGHDWIHANCCIPSTMHQCVIQKILWRWSTLIPLSALLQSTPNSGVVRW >PAN12894 pep chromosome:PHallii_v3.1:2:45398421:45402237:-1 gene:PAHAL_2G298900 transcript:PAN12894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MAARRGSLPLVAVLLALLCRAEAAVRELQVGYYAETCPEAEGIVREVVARARAREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMLGEKDALSNINSLRSFEVVDEIKEALEERCPGVVSCADIVVMAARDAVVLTGGPNWEVRLGREDSLTASQEDSDSIMPSPRANASALIRLFAGLNLSVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPNMDSAYRRALDALCPRGGDEEVTGGMDATPRVFDNRYFKDLVALRGFLNSDQTLFSDNARTRRVVKRFSKDQDAFFRAFVEGMIKMGELQNPRKGEIRRNCRVANGSPSPATPKEVAAYRVMDF >PAN11667 pep chromosome:PHallii_v3.1:2:32358307:32361766:-1 gene:PAHAL_2G198600 transcript:PAN11667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] MGKSSAKKKKPAAAAKPAPASAEPKATTPPTTSLAVNGAGPHQVVDAGVLLRRAHALKEEGNRLFQSREYAGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHEAVAQECSLALQAEPRFPRALLRRARALEALGRHELALADALALLALDPDHRDAIDLSHRLRSRVNASTAASASSAPEPTSRPSPAALGASAVVAGIGPSLPARPFPKKQSATAPLTPSQSNPNVTSKSNPASSPKLVPFSNSPPSSAKASAANTSQKTLPVNSSLLATSAPLIDRKIVTRWRPLKLVYDHDIRLGQIPEKCSALMLREFVAKRFPSSKAVLIKYKDAEGDLVTITSTEELRLAESFVDKVGHKVTENGKEQGDNKLPLLRLHLVEVSPEQEPPLSSEEEKLEEDEELLIKGEDSISHTPSEVADTEVTKQDVENRVAEQRMETAKDCGHAECKEAEIDDWLLQFAELFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQVLFEMAASKFQEVAALALFNWGNVHMCAARKRIPLDESSPKEVMAAQLHTAYDWVRERYALAGHKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSTWDSSETVKLFDSAEQNMRAATEMWEKVEEQRMTELREPGAGEKDEVLRKKRKQHSADGQPELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLSFGDWKKNLDASVERFKLAGASESDILTVLKNHFSNAVSECEEKKIMTSGTEIYQKNDNIEDKCVVET >PAN11742 pep chromosome:PHallii_v3.1:2:35881105:35884354:-1 gene:PAHAL_2G212400 transcript:PAN11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGSSAAGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNVDPKKFSVLANWQREYTMEYILTQLKKEMASPHNRKLVQPPEGTFF >PAN11741 pep chromosome:PHallii_v3.1:2:35881105:35884353:-1 gene:PAHAL_2G212400 transcript:PAN11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGSSAAGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFSVLANWQREYTMEYILTQLKKEMASPHNRKLVQPPEGTFF >PAN11740 pep chromosome:PHallii_v3.1:2:35881503:35884217:-1 gene:PAHAL_2G212400 transcript:PAN11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGSSAAGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNQTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFSVLANWQREYTMEYILTQLKKEMASPHNRKLVQPPEGTFF >PVH64759 pep chromosome:PHallii_v3.1:2:48804877:48805738:1 gene:PAHAL_2G354000 transcript:PVH64759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTKRIPQLAKKWQRMAALGRKRLIWQKEADECCTSVASKGHCAVYTADGARFEVPLACLGTAVFAELLQMSREEFGFGGDDGRITLPCDAAVMEYAICLLRRGVSVDMEKAFLNTMVRSCHYKNSVAPYVAACC >PVH64349 pep chromosome:PHallii_v3.1:2:41234664:41235218:1 gene:PAHAL_2G246000 transcript:PVH64349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGTELFPELGAHCDEADCNQLDFLPFECDRCGGFFCAAHRTYRDHGCAKAADEGRTVVDCGDSIERTAPGQAEREILDEHARSARCDPARKRKTACPVRRCKEALTFSNRIRCKGCGLEVCLRHRLPRDHACAATASAAAFSAGRAAVGQCAATRSRRRKADGGRWRCPPGASRSVEA >PAN12205 pep chromosome:PHallii_v3.1:2:41491843:41495435:1 gene:PAHAL_2G249300 transcript:PAN12205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSGTAAGGSAPPSPFHMEDFQLEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >PVH64093 pep chromosome:PHallii_v3.1:2:27039793:27040527:-1 gene:PAHAL_2G182600 transcript:PVH64093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDGICHAELGLPKLLILSLERIGVMEPPEYAYREYTSKGILRCDMMVFVGESTHYPDVDPWFISTSGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDVLYREQAAQLKQLIHRAEEATQELEEQWIRAARAEYSLATLQAQMQEYENRREIGGWIEEEEEPEETHWDRSTQTEDEAMDRCLPIKKHPIRIGEESP >PVH63976 pep chromosome:PHallii_v3.1:2:15261010:15262437:1 gene:PAHAL_2G149700 transcript:PVH63976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHSTDHTSSDVPKVIPFHLLKEITNGFSKERIIGSGAYGQVFMGVHKDGEKIAVKMLYDMPGLEEEQFQNELNNLVRLQHQNIVQFVSYCYEIQKKLVKYNGKLVLADKIYRLLCFEYMQNGNLGKYLSDEYDGLDWHTRYAIIKGICMGLKYLHEELKPPIYHLDLKPANVLLDEKMVPKIADFGLSRLFGEEQTLITKSFMGTQGYLPPEYIESNLISKKFDIFSLGVVIIKIMTGPTGYSKCSDMSPQQFIEIVHENWRNRLQATQMCMLESSSK >PAN15256 pep chromosome:PHallii_v3.1:2:56089682:56106293:-1 gene:PAHAL_2G475300 transcript:PAN15256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPRAAPTTAAAALLPLPRAAPTLLLAGRAAAARRSRLRARGASAAARPSWIVASSARAVLGGVARREAPPAPQKPTQQAADLNDILSERGACGVGFVANLKNVPSFNIVRDALTALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFDDWANKQGLAPFDRKNTGVGMVFLPQDEKSMEEAKAATEKVFTDEGLEVLGWRPVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNPDDIERELYICRKLIERASKSSSWADELYFCSLSSRTIVYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEALMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGATLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGTWLQERTRSIKPVNFIPSTVMDNESVLRHQQAFGYSSEDVQLVIESMASQGKEPTFCMGDDIPLAVLSQKPHLLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVALSSPVLNEGELESLLKDPKLKPKVLSMYFDIRKGLDGSLDSTIKALCEEADAAVRSGCQLLVLSDRSEAPEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAIREKRDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWNPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETNQTLIQNGLRERVVLRVDGGFRSGQDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRAALAQLGYEKLDDIIGRTDLLKPKHVSLVKTQHIDLGYLLSNAGLPEWSSSKIRSQDVHTNGPVLDETILADPEIADAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFNGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDKTGFVPEDATIVGNTCLYGATGGQVFVRGKAGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGAAILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTQLSAK >PAN12845 pep chromosome:PHallii_v3.1:2:45085829:45097377:-1 gene:PAHAL_2G294600 transcript:PAN12845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSAAVALVVAVALMALGMAASGWLQRAEARREEVRRLAWQAAEEVEIAEREEAYCYGQYGGVFVRASDVPEAPPLWAAPEAAPAPKEAEDEVAVPAAAAAAAAASPPAGKGVCAMCARPTTFRCKRCKSVNYCTFKCQIDHWRKGHRDECHPPGLGATRDGTPENVVARVELKDMPSDKPSNTAEASAEHSQFTGKAESVDCSRLTTTSKASKVNDTAVHEKNYLTTHDQHTELERELEQSNKQAPGTDNHESSRNLPCISAVDKVSSTHSSEDGLSCNPSKRGDNSPGLCARPDITGVVPNNPSIEKKYARQQTTSKVVRHYPTELALFPYKHFIELYNFEKLELHPFGLCNLGNSCYANAVLQCLAFTRPLTAYLLEGYHSRNCSKKEWCFMCELEKVLTEGKRGNAPVSPTGILSHLNEIGTSFGQGREEDAHEFLRYAIDTMQSASMKEAKKNGVHKLAEESTLVQLIFGGYLQSKIICAKCQVSSAQSERILDLTVEIDGDVNTLEGALRRFTSSEVLDGDNRYHCSRCMSYERAKKKLTISEAPNILTIALKRYQSGVFGKISKDVKFPEHLNLSQFMCKTDDYSPVYSLYAVVVHHDVMNTTISGHYVCYVKDPQGKWHEMDDSKVKPVSLKKVLSKCAYMLLYARCSPRVPESVRKAMFKQDASHAKKPKQMADSGSTPLGGSYLSMHQGGELCKDHAVHNHTYTLEASDAWSYQVPGFSRSDSSSLFSNSDAGSSSTLSSNSTNSSRNLASMEYDYIFGTSDHMHPVSSSVIPEEDELSYLRQRSSCNPSSSGHDMDPAGEFARQNQHRLQVGRGVLEDGGENPSFYTDQGSVY >PAN12844 pep chromosome:PHallii_v3.1:2:45087352:45097280:-1 gene:PAHAL_2G294600 transcript:PAN12844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSAAVALVVAVALMALGMAASGWLQRAEARREEVRRLAWQAAEEVEIAEREEAYCYGQYGGVFVRASDVPEAPPLWAAPEAAPAPKEAEDEVAVPAAAAAAAAASPPAGKGVCAMCARPTTFRCKRCKSVNYCTFKCQIDHWRKGHRDECHPPGLGATRDGTPENVVARVELKDMPSDKPSNTAEASAEHSQFTGKAESVDCSRLTTTSKASKVNDTAVHEKNYLTTHDQHTELERELEQSNKQAPGTDNHESSRNLPCISAVDKVSSTHSSEDGLSCNPSKRGDNSPGLCARPDITGVVPNNPSIEKKYARQQTTSKVVRHYPTELALFPYKHFIELYNFEKLELHPFGLCNLGNSCYANAVLQCLAFTRPLTAYLLEGYHSRNCSKKEWCFMCELEKVLTEGKRGNAPVSPTGILSHLNEIGTSFGQGREEDAHEFLRYAIDTMQSASMKEAKKNGVHKLAEESTLVQLIFGGYLQSKIICAKCQVSSAQSERILDLTVEIDGDVNTLEGALRRFTSSEVLDGDNRYHCSRCMSYERAKKKLTISEAPNILTIALKRYQSGVFGKISKDVKFPEHLNLSQFMCKTDDYSPVYSLYAVVVHHDVMNTTISGHYVCYVKDPQGKWHEMDDSKVKPVSLKKVLSKCAYMLLYARCSPRVPESVRKAMFKQDASHAKKPKQMADSGSTPLGGSYLSMHQGGELCKDHAVHNHTYTLEASDAWSYQVPGFSRSDSSSLFSNSDAGSSSTLSSNSTNSSRNLASMEYDYIFGTSDHMHPVSSSVIPEEDELSYLRQRSSCNPSSSGHDMDPAGEFARQNQHRLQVGRGVLEDGGENPSFYTDQGKQHGSSSSRNRSFGRSCKLTEQRRYTGAAFSLEGQLGRGFSRHFTGRGGLGL >PAN15590 pep chromosome:PHallii_v3.1:2:57714038:57723533:1 gene:PAHAL_2G499900 transcript:PAN15590 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) UniProtKB/TrEMBL;Acc:Q9M033] MLAARNPSPSFHAHDRPPPPAHDIAERLSHLRQIRREPLPRRVARIADLHTDEASPVRKHVAEIIGEVGSKHMAYLPDIIPCLLHLLNDEAPAVVRQAIKTGTALFAKLLQHLVIQGLFSTGGIDDALKSSWEWLLKFKSAVSLMAFQTTGNEGVRLLAVKFVEKTVLMYTPDPNIPSDPPSEATKDTGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKSPKVKSLSTSMIIVFVISLSAIAQRRPSFYGRILPVLLSLDPASSIIKLRVPGAFHALKSAFSACLECTHSSAEPWRARLLEAQNIINQGDSIEDTANAGKNFGDTSNREESWPLMERSTDSSNKRSLAEDMNQMTEDDGHSSKRVRHSLDVQEHSEEASKRNVESTSVDISSGQPISIRTGNSEAVYQLIGMFAALAAQGDRAAGSLQILSSSIASDLLAEVVMVNMQHIPISRPEADQHQLPSTSSGDGIPLSSSFSLLASLLKRAGQIDQDEVPPAKESAVVLSDADDIMTVPASSPVPSSVSLPMEENSSSPAVPYMETAEVKVTSAGTNSLIDILESSETSHASTEPQGTQEHASSFISSLPADNSSAGLSLAQSSETRSPSSSILEANHSQLSSLNSLGSQYALPKLVVSNIDLSDKAKDLLQKESFLRILESDKQEASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYANNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLTVAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSDEKQDKDIQSGDRVTQGLSAVWNLIMLRPSNRDRCLGIALQSSTHHLEEVRMKAIRLVANKLFPMASISKKIEDFANEKLNSVLEVIPSGDSAATETATPEAHNDGVLENLAASVADAQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPDLLGIISDPPGDSRDLLMQVLQTLTGAAVPSKELTSSIKNLHSKTKDVEFLFAILSHLPKDEILPVFPSIVNLSMDKFQAALSRILQGSSRNGPSLDPSEILIAIHVIDPDKEGIPLKKVMDACSACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGVFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTRPQSYGVLLQLPAPQLENALSKNPTLKAPLVEHAEQPNVRSTLPRSTLVVLGLAEDQQQPAPAVSQAQSSQNQAADTSSSAADMTTEVTQESSAAS >PVH63361 pep chromosome:PHallii_v3.1:2:848819:849449:-1 gene:PAHAL_2G012500 transcript:PVH63361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKWHTAALAPPPRPSVGPPPSIPRSPPPNPRAQTQLPASCARPPEMLPRQAGSAASARWPATARDSNRRCWPLRSLRGRRRAWPRHRVTRRPAPLVDARQESAARIYPAPAYT >PVH65005 pep chromosome:PHallii_v3.1:2:51629830:51632441:-1 gene:PAHAL_2G399100 transcript:PVH65005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALARFLVTVLAAALATNVAGAGEVGVNYGRVANDLPDPGAVVNLLKQNGITMVKLYDANPKVLASLANTGIKVMVMLPNEEVAAAAADPAYALRWARASVAAYRPATQIHAVAVGNEVFDSRPDLNAKLVPAMTNVQAALAQLGLADAVKVTTPVAFSAVTDSYPPSSGRFRDDIAQTVMKPMLTFLKRTGSYLTINIYPFLAYADHPDQISLDYALGNANPGERGDATAGLLGAALLENNGVRDDDTGLVYYSLLDAQLDATYYAMDDLGFPSLRAYLGETGHPSGGRRHGGRGRRHLMAGDDDVATVANAHAYINNVINRVLAGNTGTPHRPGADMDVYIFALFNENEKGAGADDVEQHFGLFYPNMQKVYDFDFHHSSGGGGGGSSGGAKASWCAANAAVGDSRLQAALDWACGHGADCSAIQPGAACYEPNTKLAHASYAFNDYYQRKGRASGTCDFSGAAYVVYQAPANTCSAKAASWCVANTAVGDARLQAALDWACGNGADCGAIQPGATCFDPDTKAAHASYAFNSYYQRKGRAAGTCDFAGAASVVYQPPKIGSCVLPSRA >PAN15223 pep chromosome:PHallii_v3.1:2:55970629:55972635:1 gene:PAHAL_2G472800 transcript:PAN15223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQQQHRIYILQFRKGEPEQEVACKVSQAKGAGARRVMYYYQDYGGGGGGGGKSGKTVQSRALSLRRILAMLLLSLLCVGTLFVAPVSFLSFVHTDDGGAEAAAATAARGGVSGPCSAVGNDSLCCDRSSERADICFARGDLRMHSASASFQLVSGNSTAEERIRPYTRKWEANVMATIDEVRLRRVSPGDAARCDVRHDVPAVLFSTGGYTGNVYHEFNDGILPLFVTANHLRRRVVFVILEYHDWWITKYGDVVTQLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPAKTPEGKSIGDFRRLLDDAYRGRIEFLNRLERRTARKRHRRGTVPRAQLAPPRHTSHQDRPRLVIVSRIGSRVIENEADVAALAADVGFDVRVIRPDRTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFIQVVPLGTDWAAGAYYGEPAARLGLRYVGYKILPEESSLSREYPTGDPVLTDPAGVAQRGWDVTKKVYLDRQNVRLDLARFREELVRAHRYLAAGRQRRPRASV >PVH64380 pep chromosome:PHallii_v3.1:2:41876504:41877196:1 gene:PAHAL_2G253400 transcript:PVH64380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIQEIGLTVARAIQCDRGGWYPWEFSELSPDGSKLKASPVTSPVLHRGLLYLLGIDGRLAVHDDRRHEEGFLVLDKPGGFGPDRDADECYLFESDEGELRAVLVGRRGEPVGVVRLDEREMEWEEVESLGGRAVLTGTAATMMMETGVEWMRNRVFVPRLYSWPETIHANLMERGGELAFVPVSAAAVARDGGAGEKGIWSCGWDPEQSEKFWETIKFYQGIWVNFRK >PVH65355 pep chromosome:PHallii_v3.1:2:55740913:55742864:1 gene:PAHAL_2G470100 transcript:PVH65355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETTKAAASLGQVSLPRIPPSRSRRSPPSPPPATASRPSTARMTEAPFLPRERLFKQQNYFQNLTKHTYLKGRYDVITSVAIPLALAASSMFMIGRGVYNMSHGIGKKE >PAN09373 pep chromosome:PHallii_v3.1:2:1242293:1245102:1 gene:PAHAL_2G018000 transcript:PAN09373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLVGEILLRLLPAEPACLLHAALVCKPWLRILCDPAFVTSQAGPHRRPPVPRFVPTTAVPAFPYPWADRRLRSGPVALDCRHGRVLLDLLDGSAGFLVWDPVTGDRHAVPEPDILYYKYTSSAAVLCAAPGCDHLDYHGGPFRVALLCTDEWDITRASVYSSEMGAWSEPVSLLTGSHVQTTRIALVGDEIYFVVANCGADAIGVHGGARNCLSVVNQPPQVAYNGLCALMVMEDGSLGISCIEGSSLHLWSRKVGSEGAAEWVKCRVIELVTMTPMADPGDGGTAYVIGLAEGVDVIFVSTDDGVCVHIGAQVWMCEEGRRAWGLLLRLALHELLHPWYGTTTCFSLVLHLTASICLLS >PAN15210 pep chromosome:PHallii_v3.1:2:55915267:55918493:1 gene:PAHAL_2G472100 transcript:PAN15210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARASPTSASKRVVYVLLAALASAPFLLLLLYGGASPSALCPASFRAPRRLPYPSVLWSRVPPLPALPTSPHPTLRASRWIVFSASPHAPKHRPLRAVPGWQLLAVADEATLADWSHPGSVLLTLADQARLGFRSVAFLPARGPARKAAGYLFAVQRGARVIYDADARNAVLGGNLTKHFDVNLDQRQGGAVLLQYSHADPNRTVVNPFVHFGQPSIWPRGLPLEKAGEVDAEEFYTAIFSGGQFIQQGMCNGLPDIDAVFYFTRKSLEMEAFDFQFDVDAPKVALPQGMMAPVNSVNTLFHSPAFWGLALPVSVSPMASDVIRGYWAQRILWEIGGYLVVYPPTVHRIDNVHAHPFDDEKDIHVNIGRLIKFLMEWRSSKRTLFERILDLSYAMTEEGFWGEKDLDFMAAWLQDLVAIGYRQPRLLSLDIDRPRATIGHGDKKEFVPKKLPAVHLGVEEIGEVSTEIGNLIKWRKHFGDIVLIVHCSEPVDRTALEWRLLYGRIFRAVVILSEQSNSDLVVEFSNLAQAYKYLPKVFDRFAGAQGFLFLQDHVVLNYWNLLNADKDKLWITNQVKESWSDVPLQGNKIEWFVKQGDMVKKAVGSFRPHYQTNYRRSVGKNKIVHCSSEIFYIPQRHIGDFSYLVKATGSLEIHHSIAVPMLFLAMDSPSNFESKALTKLVYRADLPSNTTFLSIYTAEADAVYPLKIRNEIEFVKLIRVMASGDPFLMELV >PVH63831 pep chromosome:PHallii_v3.1:2:9764400:9764719:1 gene:PAHAL_2G116800 transcript:PVH63831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVCLSCLVLDCIAGLRWETVDADQSNEQALEWTVRWNSWKFDRNPESIKQVHPFKRAWKYPLESGSCWREGNKSWS >PAN13201 pep chromosome:PHallii_v3.1:2:46732467:46738591:1 gene:PAHAL_2G320300 transcript:PAN13201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDDDLPVSRAPPPQRRGISYSQPLSRDVASARRAALRNHSLDDEHILPVSHSLNYPLHHDPTAGGPHAGYHPPLPPHQHHPSASYSSGSRRSGGGGASEGSMTLERAMSEYGGGSGTLPEFVGAGGGEGIFRVPLRAAMHPGRPPPLEVRPHPLRETQAGSFLRTLAAEPQRRQLWAGAESGIRVWALEEVFAEWGAGARRGDEESAPFREGVPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDVAATAPAPPAAGAGDGGGSVGGSSHTGGNNNAPVFREALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADHSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESAKLETPAMTEQPMEEEVNPKAKPSKKDKSQGSLNFFQKSRDALIGAADAVRRVATKGTFVEDNRRTGAVAQVMDGTIWSGCTNGAIIQWDGNGMRVQEFQHHTSSVQCIKALGERVWVGYASGTIQVMDAEGNILAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLNSPGPLDDIIRTELSNRELSYTRMEKINIMVGSWNVAQGKASAESLRSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAVKETVGLEGSANGQWWIDNIGKALDEGTSFYRVGSRQLAALLIAAWARKSLKPYVGDAEAAAVPCGLRRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMAFNKPHGSTSSATSVQLHRTVNVNGNQVEEVRPDLAEADMVVFLGDFNYRLHSITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGIIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRSVSVAECSLECPVVASITSYVACMEVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIESNEKVRSLLQEACFVPETTVSISEITLENQENIVFQITNKCETSKAAFEILCDGQTIKKEDGTKSELLPRASFGFPLWLEVQPAIGLIKPGETVEITLHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVLRINITGSSSTETKTHTINVQHRCPPSAAPPAILNPPAAAVPPNNVLASEGHSKRSSKKSQSKHREQQQQQDYPQFGSSEVHDLCRMRCP >PAN13171 pep chromosome:PHallii_v3.1:2:46610726:46613601:-1 gene:PAHAL_2G318400 transcript:PAN13171 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) UniProtKB/TrEMBL;Acc:Q9ZQ25] MEGSAAAAGGGGAGGGSKKEDSLPPGFRFHPTDEELITYYLRHKIADGSFTARAIAEVDLNKCEPWDLPEKAKLGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIYTGQLPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSAPKSNKDEWVVCRVFAKSAGVKKYPSNNAHSRSHHHPYTLDMVPPLLPTLLQHDPFARGPHHPYMTPADLAELARFARGTPGLHPHIQPHPGTAAAYINPTAVAPPFTLSGGLSLNLGASPAMPSPPPPPLHAMSMAMSHQIAPSGAGAAGSHHQVMAGDHHQQMAPAGLGGCVIAPGADAGFGADAAGARYQSLDVEQLVERYWPAGYQV >PVH64624 pep chromosome:PHallii_v3.1:2:46609658:46613872:-1 gene:PAHAL_2G318400 transcript:PVH64624 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) UniProtKB/TrEMBL;Acc:Q9ZQ25] MEGSAAAAGGGGAGGGSKKEDSLPPGFRFHPTDEELITYYLRHKIADGSFTARAIAEVDLNKCEPWDLPEKAKLGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIYTGQLPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSAPKSNKDEWVVCRVFAKSAGVKKYPSNNAHSRSHHHPYTLDMVPPLLPTLLQHDPFARGPHHPYMTPADLAELARFARGTPGLHPHIQPHPGTAAAYINPTAVAPPFTLSGGLSLNLGASPAMPSPPPPPLHAMSMAMSHQIAPSGAGAAGSHHQVMAGDHHQQMAPAGLGGCVIAPGADAGFGADAAGARYQSLDVEQLVERYWPAGYQV >PAN13853 pep chromosome:PHallii_v3.1:2:53831900:53833456:-1 gene:PAHAL_2G436000 transcript:PAN13853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAAAAETDALETIESDYEAYTARSFRDDRTAVWSRTHGSFEDTTKLRPMRFTDEPAPRYGAFPMSTLQVFSVRVEGIRRGLRWLIDVFGIVAARDTVDFNRNVIFSRTRDNCQTLTKEDRNLALEGPTRAVVWQGHLYIEVKLTVKGATESEDKDLSFLVVPFACGNAAYSCQYYGCKTSKLSTVRLSLGLIVESVEATIFVRVRDGSWPDGFGAQFAAFTTGIRRKRAPSMDHKKIILLDSGSRKVPVTADGGVVLSRRVVSVETTGKLRVCVKAWEAARSVRMP >PAN09342 pep chromosome:PHallii_v3.1:2:1051700:1053452:-1 gene:PAHAL_2G015400 transcript:PAN09342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANKSFVDVADEVDLDLSPFLKRYKDGRIERLLMSPFIAASENPTANRGVGTRDVVIDHGTGVSARLFLPYRAAMAGRRLPLVVYIHGGSFCTESAFCRTYHGYATSLAANAGALVVSVEYRLAPEHPIPAAYDDAWSALKWVASLADPWLADYADPRRTFLAGDSAGGNIVYHTAARASREGIDIEGAIIVQPYFWGAERLPSEAASDGAGVFPADEVDLLWPFVTAGQAGNDDPRLSPPDEEIASLMCRRVLVAVAERDILRERGCRLFAGIRDYYARTGGEATLVESEGEDHGFHLYSPLRATSRRLMSSIVGFINQPPAPELNGGLHWHAWEGKKINRISTMTTAPTPKPMILGVPRRPFRDVFGYGMDMKHHCSGSSSTTCMASTIGGRGKAASSKNNYGLFSGPVWPNKAYKKGRPAAAAAALPGTHHVI >PAN11841 pep chromosome:PHallii_v3.1:2:37539119:37543549:1 gene:PAHAL_2G221100 transcript:PAN11841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREMEKARKATSSPKTSTMNAGPKSPVRNGGGSPPHKKNTTEPRGRKNEQQIVRKGGQDHDEGKRRSPTSQTSPKRSPRLDQPLSYCRLHTEERAIRRAGYNYQVASKINTQEIIRRFEEKLAQLMEEREIKLMRKEMVPKAQLMPAFDKPFHPQRSTRPLTVPKEPSFLKLKCCIGGEFHRHFCYNGSSAKAIK >PAN12288 pep chromosome:PHallii_v3.1:2:42197971:42200853:-1 gene:PAHAL_2G256200 transcript:PAN12288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGASSSPALPVLSLAAVVVVLLLAVRGEARPPHPLRGVRPLAFDEGYAQIFGSSNLALLRDGRRVRLALDESTGAGFASQDLFLHGFFSAAIRLPADYAAGVVVAFYLSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTHAGREERYDLPFDPTDDFHHYSILWTSQRIIFYVDETPIREVVRTAAMGATFPSKPMSLYATIWDGSTWATLGGRYRVNYRYAPFVAEFADLVIRGCAVDPADRDPLSAACEARAGLESLAVPAERRAAMAAFRRAHTSYSYCHDRRRYPVALVECGAGALLPGRTFGPDGMRQHGRRHRAGAGAAARGGRRGGAQDDVM >PVH64298 pep chromosome:PHallii_v3.1:2:39058102:39070234:1 gene:PAHAL_2G230800 transcript:PVH64298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVLRRKLDLSVKDSVMYSLMQPCLCDHKPSSKEPVFDGTATIGRDNDKEIIKTLLLKNDGDNLTIIPIVGLAGLGKTTLARLIFYDQAGEGWNFDLRIWIDLSRRKFDLNEIASGIISRVNQTEEIIISEVTANDQTRNNLHSIKKHLREFLHDKRCLIVLDGLFSTDENQLAELKDMLGGKRMCIKIIVTTSCETTAELLHTIPPYKLGPLSEADCWKIFSQSAFDNGDGNTNLIEIGKQIVKECEGLPAVAYSLGSLVRNKDEGAWLWASGKEIWELGSTFSDRVEVLAPFGEMYYDMPSALKLCFSYLSIFPRGSIIDKEKLIQQWVALDMVGSKHGTLPTNVQGEMYIEELLSIYFLQIQDMPSASRSTMALQVHSMVHAFAKYVAGNDLIILDGGNLSISPSAEKISYNYVLAMNDTGQTTSLKELLTKARAVSFKNSSASKLRADAFSKLNHLRVLDLASCHIVELPGSICYLKHLRYLDCSGSKIRAVLDQMSSLQKLEVLDLSESSLEELPAFVGSYQKLTYLNLQGCDKLQNLPPTLGDLKRLQYLNLSHCPGVSNKVLEYLCGLHKLRTLDLSGFTELQQFPHLFADLTNLEDLNLSGCSRLEILPESFGKLVSLRFLNLSGCSELHQLPESIIGLVNLQYLNLAQVLLELPNYLSKLERLHTLDITGYRLPVSSDAAAAFSSIIQNMPNLKLLLTDDSNIENYTSQHIQWSTNLGKQSFQIRNIVKTGESLYAPEGANLMPMQTFLYPTNLQEVNLHEEINEGTQDVYADDSINDSKEIIEVEDSFASSGGHASFCPDSSAGESSKLAGWNAIFNPRTSSSHGPAVSTLLYPRSKDEILESVNVKAFTFNELKMATRNFSPQTMLGEGSLGATYKGWIDETTLAPAKEGTGMVVAVKKLNENAYYMDHQEWLAKVKYIAQLSHPRLVKIIGYCLEDEQRLLVYEFMSCGSLDDHLFRSRFETLPWNTRMKIALGTAEGLKFLHSQDAEIILPDFKATNVLLDANYDAKISHFGLVKHGWIRDESHVSKRAVSRYTLPEYVTTGHVTAKSDVFSFGVVLLELLSGKRAWNTNRLLHQMNLVNWARPYLEKRKPRIRSIVDSSLGVHYSLPGAEKAAKLASRCLSVDPMQRPSMEQVVEVLEQLQDAKNTEKKTSLSYGSKGKTRGEPASASKNKGISRWLKGSSAQTTRHVHT >PVH64297 pep chromosome:PHallii_v3.1:2:39058243:39068950:1 gene:PAHAL_2G230800 transcript:PVH64297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAVVDRLLRRLASSDARRLELPSSKFDDVAHVGRTMSRLQDVLGSLERLYFKMPAEAQDWMRDIKQIAYDMEDLLDEFEDPCGIVRSPKSGSWIARAKSLCSAIPFLMHHSGEQMMKVLRRKLDLSVKDSVMYSLMQPCLCDHKPSSKEPVFDGTATIGRDNDKEIIKTLLLKNDGDNLTIIPIVGLAGLGKTTLARLIFYDQAGEGWNFDLRIWIDLSRRKFDLNEIASGIISRVNQTEEIIISEVTANDQTRNNLHSIKKHLREFLHDKRCLIVLDGLFSTDENQLAELKDMLGGKRMCIKIIVTTSCETTAELLHTIPPYKLGPLSEADCWKIFSQSAFDNGDGNTNLIEIGKQIVKECEGLPAVAYSLGSLVRNKDEGAWLWASGKEIWELGSTFSDRVEVLAPFGEMYYDMPSALKLCFSYLSIFPRGSIIDKEKLIQQWVALDMVGSKHGTLPTNVQGEMYIEELLSIYFLQIQDMPSASRSTMALQVHSMVHAFAKYVAGNDLIILDGGNLSISPSAEKISYNYVLAMNDTGQTTSLKELLTKARAVSFKNSSASKLRADAFSKLNHLRVLDLASCHIVELPGSICYLKHLRYLDCSGSKIRAVLDQMSSLQKLEVLDLSESSLEELPAFVGSYQKLTYLNLQGCDKLQNLPPTLGDLKRLQYLNLSHCPGVSNKVLEYLCGLHKLRTLDLSGFTELQQFPHLFADLTNLEDLNLSGCSRLEILPESFGKLVSLRFLNLSGCSELHQLPESIIGLVNLQYLNLAQVLLELPNYLSKLERLHTLDITGYRLPVSSDAAAAFSSIIQNMPNLKLLLTDDSNIENYTSQHIQWSTNLGKQSFQIRNIVKTGESLYAPEGANLMPMQTFLYPTNLQEVNLHEEINEGTQDVYADDSINDSKEIIEVEDSFASSGGHASFCPDSSAGESSKLAGWNAIFNPRTSSSHGPAVSTLLYPRSKDEILESVNVKAFTFNELKMATRNFSPQTMLGEGSLGATYKGWIDETTLAPAKEGTGMVVAVKKLNENAYYMDHQEWLAKVKYIAQLSHPRLVKIIGYCLEDEQRLLVYEFMSCGSLDDHLFRSRFETLPWNTRMKIALGTAEGLKFLHSQDAEIILPDFKATNVLLDAVNYDAKISHFGLVKHGWIRDESHVSKRAVSRYTLPEYVTTGTMYSTSFLAQSS >PVH64299 pep chromosome:PHallii_v3.1:2:39058033:39070234:1 gene:PAHAL_2G230800 transcript:PVH64299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAVVDRLLRRLASSDARRLELPSSKFDDVAHVGRTMSRLQDVLGSLERLYFKMPAEAQDWMRDIKQIAYDMEDLLDEFEDPCGIVRSPKSGSWIARAKSLCSAIPFLMHHSGEQMMKVLRRKLDLSVKDSVMYSLMQPCLCDHKPSSKEPVFDGTATIGRDNDKEIIKTLLLKNDGDNLTIIPIVGLAGLGKTTLARLIFYDQAGEGWNFDLRIWIDLSRRKFDLNEIASGIISRVNQTEEIIISEVTANDQTRNNLHSIKKHLREFLHDKRCLIVLDGLFSTDENQLAELKDMLGGKRMCIKIIVTTSCETTAELLHTIPPYKLGPLSEADCWKIFSQSAFDNGDGNTNLIEIGKQIVKECEGLPAVAYSLGSLVRNKDEGAWLWASGKEIWELGSTFSDRVEVLAPFGEMYYDMPSALKLCFSYLSIFPRGSIIDKEKLIQQWVALDMVGSKHGTLPTNVQGEMYIEELLSIYFLQIQDMPSASRSTMALQVHSMVHAFAKYVAGNDLIILDGGNLSISPSAEKISYNYVLAMNDTGQTTSLKELLTKARAVSFKNSSASKLRADAFSKLNHLRVLDLASCHIVELPGSICYLKHLRYLDCSGSKIRAVLDQMSSLQKLEVLDLSESSLEELPAFVGSYQKLTYLNLQGCDKLQNLPPTLGDLKRLQYLNLSHCPGVSNKVLEYLCGLHKLRTLDLSGFTELQQFPHLFADLTNLEDLNLSGCSRLEILPESFGKLVSLRFLNLSGCSELHQLPESIIGLVNLQYLNLAQVLLELPNYLSKLERLHTLDITGYRLPVSSDAAAAFSSIIQNMPNLKLLLTDDSNIENYTSQHIQWSTNLGKQSFQIRNIVKTGESLYAPEGANLMPMQTFLYPTNLQEVNLHEEINEGTQDVYADDSINDSKEIIEVEDSFASSGGHASFCPDSSAGESSKLAGWNAIFNPRTSSSHGPAVSTLLYPRSKDEILESVNVKAFTFNELKMATRNFSPQTMLGEGSLGATYKGWIDETTLAPAKEGTGMVVAVKKLNENAYYMDHQEWLAKVKYIAQLSHPRLVKIIGYCLEDEQRLLVYEFMSCGSLDDHLFRSRFETLPWNTRMKIALGTAEGLKFLHSQDAEIILPDFKATNVLLDANYDAKISHFGLVKHGWIRDESHVSKRAVSRYTLPEYVTTGHVTAKSDVFSFGVVLLELLSGKRAWNTNRLLHQMNLVNWARPYLEKRKPRIRSIVDSSLGVHYSLPGAEKAAKLASRCLSVDPMQRPSMEQVVEVLEQLQDAKNTEKKTSLSYGSKGKTRGEPASASKNKGISRWLKGSSAQTTRHVHT >PVH64296 pep chromosome:PHallii_v3.1:2:39058033:39070234:1 gene:PAHAL_2G230800 transcript:PVH64296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAVVDRLLRRLASSDARRLELPSSKFDDVAHVGRTMSRLQDVLGSLERLYFKMPAEAQDWMRDIKQIAYDMEDLLDEFEDPCGIVRSPKSGSWIARAKSLCSAIPFLMHHSGEQMMKVLRRKLDLSVKDSVMYSLMQPCLCDHKPSSKEPVFDGTATIGRDNDKEIIKTLLLKNDGDNLTIIPIVGLAGLGKTTLARLIFYDQAGEGWNFDLRIWIDLSRRKFDLNEIASGIISRVNQTEEIIISEVTANDQTRNNLHSIKKHLREFLHDKRCLIVLDGLFSTDENQLAELKDMLGGKRMCIKIIVTTSCETTAELLHTIPPYKLGPLSEADCWKIFSQSAFDNGDGNTNLIEIGKQIVKECEGLPAVAYSLGSLVRNKDEGAWLWASGKEIWELGSTFSDRVEVLAPFGEMYYDMPSALKLCFSYLSIFPRGSIIDKEKLIQQWVALDMVGSKHGTLPTNVQGEMYIEELLSIYFLQIQDMPSASRSTMALQVHSMVHAFAKYVAGNDLIILDGGNLSISPSAEKISYNYVLAMNDTGQTTSLKELLTKARAVSFKNSSASKLRADAFSKLNHLRVLDLASCHIVELPGSICYLKHLRYLDCSGSKIRAVLDQMSSLQKLEVLDLSESSLEELPAFVGSYQKLTYLNLQGCDKLQNLPPTLGDLKRLQYLNLSHCPGVSNKVLEYLCGLHKLRTLDLSGFTELQQFPHLFADLTNLEDLNLSGCSRLEILPESFGKLVSLRFLNLSGCSELHQLPESIIGLVNLQYLNLAQVLLELPNYLSKLERLHTLDITGYRLPVSSDAAAAFSSIIQNMPNLKLLLTDDSNIENYTSQHIQWSTNLGKQSFQIRNIVKTGESLYAPEGANLMPMQTFLYPTNLQEVNLHEEINEGTQDVYADDSINDSKEIIEVEDSFASSGGHASFCPDSSAGESSKLAGWNAIFNPRTSSSHGPAVSTLLYPRSKDEILESVNVKAFTFNELKMATRNFSPQTMLGEGSLGATYKGWIDETTLAPAKEGTGMVVAVKKLNENAYYMDHQEWLAKVKYIAQLSHPRLVKIIGYCLEDEQRLLVYEFMSCGSLDDHLFRSRFETLPWNTRMKIALGTAEGLKFLHSQDAEIILPDFKATNVLLDANYDAKISHFGLVKHGWIRDESHVSKRAVSRYTLPEYVTTGTMYSTSFLAQSS >PAN12743 pep chromosome:PHallii_v3.1:2:44666788:44668873:-1 gene:PAHAL_2G288000 transcript:PAN12743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGEHPIGFRFQPKEDELVDYYLLPRLQGRPTVPNDSVVEANVYACHPETLINEHRGAGRQDEWYFLSPRARMYSNGVRPARKTLDGRGRWKASTATKEVPQVVVRNGIKFCKSVLNYFEGTPKEEARTKWIMLEITVPEYEIKLDRPGARNLLDEYVMCKIYVSPQHKKKCDDDEEEEEEGTGSVCEEDEEACSSTQHGQVTAEPNLSEKQAGKRPMVEQPPQRGRAAPARKQARRGSLGIGSTQSSCSTSNAAAPTELYYGGGPGAHYGLQLQAPATMQRSAGAYSGQAPATPPAGAFGGRGLGQMPTLRPVIYQPFSDQTGDPFGQMATMTRPPNPAARRQCFPGSPPPRHPGTAFTPQVSLQCFYDQNYRAVPQPPGYASSSQLQRQAAFPPPQQMQQPFFNGNASRRVGGAAVPRGSPYNGHPYLQRSSLTAAVDGRGGAANAGSGAARLNVNAEQYFVDLATINPSLAGGCMQLTPAPAPLVESPAPAAAGAAEVQTETGATSGLNTQDAA >PVH64502 pep chromosome:PHallii_v3.1:2:44666788:44668847:-1 gene:PAHAL_2G288000 transcript:PVH64502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNGVRPARKTLDGRGRWKASTATKEVPQVVVRNGIKFCKSVLNYFEGTPKEEARTKWIMLEITVPEYEIKLDRPGARNLLDEYVMCKIYVSPQHKKKCDDDEEEEEEGTGSVCEEDEEACSSTQHGQVTAEPNLSEKQAGKRPMVEQPPQRGRAAPARKQARRGSLGIGSTQSSCSTSNAAAPTELYYGGGPGAHYGLQLQAPATMQRSAGAYSGQAPATPPAGAFGGRGLGQMPTLRPVIYQPFSDQTGDPFGQMATMTRPPNPAARRQCFPGSPPPRHPGTAFTPQVSLQCFYDQNYRAVPQPPGYASSSQLQRQAAFPPPQQMQQPFFNGNASRRVGGAAVPRGSPYNGHPYLQRSSLTAAVDGRGGAANAGSGAARLNVNAEQYFVDLATINPSLAGGCMQLTPAPAPLVESPAPAAAGAAEVQTETGATSGLNTQDAA >PAN10112 pep chromosome:PHallii_v3.1:2:5391338:5397711:1 gene:PAHAL_2G072300 transcript:PAN10112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSAESGVSMLLHGDLDIQIIEAKCLPNMDLMTERMRKCFTAYGACSTDCGKSDAHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWEEHFYVQVAHSVSRVEFLVKDNDVFGAELIGVASIPVEQIAPGDMVTGWFPIAGQYSNPMKPSPELHLSIQYKTIDTNPLYKDGVGADGSQSVGVPNAYFPLRKGGMVTLYQDAHVPDDFRPQIEIDGGRTYEQNKCWEDICHAIIEAHHLIYIVGWSLYHPVKLVRESSKPVPNGNPLTIGEILKRKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTIFKDDFHNPTFPVNKIGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKIDRMSWIVSPSADELNAHVCEEKDPENWHVQVFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYIKAIRSAQHFVYIENQYFIGSSYYWSAHRSAGAENLIPIELAIKIARKIKAKERFAAYIVIPMWPEGNPTTAAMQEILYWQGHTMSMMYKIVADALRKEGLHESHPQEYLNFYCLGKREVSSDVAQMNASNENSAIRLAQKFRRFMIYVHSKGMIVDDEYVLIGSANINQRSMDGSRDTEIAMGAYQPRYSWAGSGSPPRGQVYGYRMSLWAEHLGTVEECFRQPESEECVQRVNQVADDNWATYVSPQMEDMKGHLMRYPVKVEQDGRVGPLPGQESFPDVGGKVLGTHSSLPNALTT >PAN10110 pep chromosome:PHallii_v3.1:2:5391338:5397711:1 gene:PAHAL_2G072300 transcript:PAN10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSAESGVSMLLHGDLDIQIIEAKCLPNMDLMTERMRKCFTAYGACSTDCGKSDAHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWEEHFYVQVAHSVSRVEFLVKDNDVFGAELIGVASIPVEQIAPGDMVTGWFPIAGQYSNPMKPSPELHLSIQYKTIDTNPLYKDGVGADGSQSVGVPNAYFPLRKGGMVTLYQDAHVPDDFRPQIEIDGGRTYEQNKCWEDICHAIIEAHHLIYIVGWSLYHPVKLVRESSKPVPNGNPLTIGEILKRKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTIFKDDFHNPTFPVNKIGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKIDRMSWIVSPSADELNAHVCEEKDPENWHVQVFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYIKAIRSAQHFVYIENQYFIGSSYYWSAHRSAGAENLIPIELAIKIARKIKAKERFAAYIVIPMWPEGNPTTAAMQEILYWQGHTMSMMYKIVADALRKEGLHESHPQEYLNFYCLGKREVSSDVAQMNASNENSAIRLAQKFRRFMIYVHSKGMIVDDEYVLIGSANINQRSMDGSRDTEIAMGAYQPRYSWAGSGSPPRGQVYGYRMSLWAEHLGTVEECFRQPESEECVQRVNQVADDNWATYVSPQMEDMKGHLMRYPVKVEQDGRVGPLPGQESFPDVGGKVLGTHSSLPNALTT >PAN13721 pep chromosome:PHallii_v3.1:2:49164077:49167498:-1 gene:PAHAL_2G360100 transcript:PAN13721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLLPLLRSHPLLHHAARLSSPRTRLLLRRDALPLQPARSPPHPPIRMAASSGSNASSPAPSPPPAVVHKSKIQFCPACGSPTKLAVPDGDEKMRAICSSCGRVHYENPKMVVGCVVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEIVSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFALDDIPFESLAFSSIIVTLRMYTEDVKSGSIKFHYCTINKRLGASPSDLRSFDIDNHIAV >PAN15520 pep chromosome:PHallii_v3.1:2:57395412:57398316:-1 gene:PAHAL_2G494000 transcript:PAN15520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSRTDTSTVLDDNDKNQRMENGQLVAAAPSNSSDRSDRSDKPLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGNVSGALTFDIEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLTNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >PVH63664 pep chromosome:PHallii_v3.1:2:5945119:5947726:-1 gene:PAHAL_2G079800 transcript:PVH63664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAQVVQSKDGTISVASAFAGHQEAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVCNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >PVH63946 pep chromosome:PHallii_v3.1:2:13450812:13452158:1 gene:PAHAL_2G141900 transcript:PVH63946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRKPQQAHARGRRRSSLNAAVGVICQESGAPMSAGATAPLEPASQLQSMFANDVSSWTTSEPAQPVVSGPNSMFSDGNPPWMSSGYSAMNSQGGYTSLLNQGAAYGFGSDDYVSGDNEAVEIPPVNEMNSQPAKRGRSKNFSEEEDILLVSGYLNVSKDPITGRDQKDGTFWERVWKYFHKNKKFESDRNWSSLKHRWGIIQKEVNVFQAYYDAVERKNQSGKTSDDKLAEAKAKFREDHEGKAFSVFHAWVILRHELKWALRESRLKDHHEPNNGSGDAPVNADRPPGRKAEKEKARARKHGACDADGDPFFEEVKKMREARQETQKDRKARDEKFYELEKNKLELERDRQDKEIMQTDTSTMDEESKQYFKLMKREILAHVSEVVSHNTSMF >PAN12055 pep chromosome:PHallii_v3.1:2:40556976:40561042:1 gene:PAHAL_2G239700 transcript:PAN12055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLAMGAQKASFHAGERHAMEKLYEWILRQHENGLRLTVADIASHIQHEIQYGGDNASASPRSQYPSQITAPTVHIPNASNQQPSPSSFAPGNPGLVQSKNSMVFSNALSSPIRRSLQPYHLEQGGDAGYFANGASRDANPTASNDSSMDMHSDSPAHDSY >PAN12020 pep chromosome:PHallii_v3.1:2:40075910:40078303:-1 gene:PAHAL_2G236300 transcript:PAN12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MARRRVLLFLKPFDVYPPRPCAGSAASFPTSSSPPPSQPRAANPKVLSYLDDRCRVHKDTIDLCQSVLQHKSLDWTSVQRNNFSQPIRDVDLVITVGGDGTLLRASHFLDSSVPILGVNSDPTCPKEVDELTDEFDARRSTGYLCAATAGNFEQILDATLDGSRRPSELSRISMKLNGIQLPTYALNDILVSHPCPASVSRFSFRKRSNTGESSHLINCRSSGLRVSTAAGSTAAMLSAGGFVMPLSSRELQYMIREPISPTDADKPLLHGLVKQEQHMLVVWYNQEGAVYFDGSHVVHSIQHGDTLEISSYAPTLKVVLPEHLLMKPSE >PAN14485 pep chromosome:PHallii_v3.1:2:52413316:52414523:1 gene:PAHAL_2G412900 transcript:PAN14485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRPHPPRGWRRAEGCRSPAAYLPDDLVVEILSRLPARSLCRFKCVSRSWRALISDRFSFAQTLSGFFFSSRRDIAAGPPCGFAGLPPPLPRVDTALSFLPPSGGAIELLDSCCNGLLLLLCSREPGSPLPPFYVVCNPVTPEWVALPQPSHAPGTSQVLDVKRITGAAIGFDPTFSPHFYVFQLHHVAIQCQEHVEVVEIYSSGSNKWVLKESGWKRQWVCFCGRDSTFFNGSLHFAIPFDKVASVDTRGQSWRVTVVRPGEDDSYDHVFGQIVGHSQGRLLYMDADCWKNVFSIFVLEDYSRDEWTFRQSISMMDLFGPPS >PAN13175 pep chromosome:PHallii_v3.1:2:46638875:46641758:-1 gene:PAHAL_2G319200 transcript:PAN13175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNDGDAPERFDVIVVGAGIMGSCAAYAASSRGARALLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMVRLSRRLWEEAQADAGYRVLTPTPHLDLGPRDDPELRAAIGNGGATVVAGGAEPSPWPWAGVFRVPDGWAAASSELGGVMKATKAVAMFQALAVKMGAVVRDRMEVVDIAKRGEGSILVRTASGEEFHGAKCIVTVGAWTSKLVKSVVGMDLPVQPVHTLICYWKVKPGHESELTTEAGFPTFASYGDPYIYSTPSMEFPGLIKIAKHGGPPCDPDSRDWSTGAADLAEPVARWIDAVMPGHVDTAGGPVIRQSCMYSMTPDEDYVIDFLGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAMDGESRSAVEAGVELEPLRIGRFVDNPKGNLSNPGDQGQ >PVH64078 pep chromosome:PHallii_v3.1:2:26015755:26017810:-1 gene:PAHAL_2G179800 transcript:PVH64078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILVVQACGNFFLLLFHIKIVFPVLVLLNTSTLSAISAPLLSAGILLKENHAAEFDYKQVHKKFYKRFILRGQH >PAN09925 pep chromosome:PHallii_v3.1:2:4321461:4326685:1 gene:PAHAL_2G058800 transcript:PAN09925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWFGRRFRRRPPSSVLHPSPPPPHSRARLAPFPFKSLSPKFKPGSSRLPPPTPPMNSAGGEASAAGGGKVACAAWIRRRDEKAAAARVFAAYGRAGAAGSPPAIEVLGFDAKECALSPEPLARAVLGEGGAGEAPRGIAVHPAGDELVCATAKGCRLFKLIFEEFSVRIIPRDAPPLESVGPQKCLAFSTDGAKFAIGGEDGRLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGTPLVNLTRSSDEKIECCRFSRDGMKPFLFCTVAKGSKVVTVVWNISDWKRIGYKRLLGKPIATLSVSLDGKYLALGSHDGDFCAVDVKKMEVSHWSKKAHLGSPVTSIEFCPTERVVISTSNQWGAELTKLNVPADWKEWQLWLVLLALFLASAALFYMFYERSDSFWNFPMGRNQPAKPWSVLKESPPVPEDQTPW >PVH63694 pep chromosome:PHallii_v3.1:2:6307142:6312571:1 gene:PAHAL_2G086900 transcript:PVH63694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIATGAMNTLLPKLAELVVGEYKLQKGVKGEIKELEKELTYISAALRKVSEVPVDQLDEQVKVWARDARELSYDIEDAVDTFMLRGKGREQEGQDTSSLKGFIGKAANLYKKARNNHKIHNVIKDIMDQVKKVSECRDRYKVDNIAAGPSLVSVDPRLEAMYRKAAEIVGIDGPKNELVKRLMNEDISSLQQPKIISIVGVGGLGKTTLANALLQDLKAKFDCHFFVSVSFNPDIKKIFKNILVQLDEDKYGHIDEAWEINLLINKIIDFLKNRRCLCVIDDLWKELPWDTIKLALQDGTHGSKIIITTRNKAVAEHVGGGIYELKPLSNDDSRELFYKRIFDSLDDFRPDLSKATEKILKKCGGVPLAIITTASLLATKPRCSVEWEKVNNFIGSGSENSPHVDKMNMILRLSYNDLPFHLKTCLLSLSKYPEDQVIRKDVLVWSWIAEGFIARVESNLQETGEGYFNELINRSLIQPVNKESPFFMCGERDVYACQLHDMVLELIIKLSAEEGFATTSLSDGEQAGASSHQREIIRRLSLHNSSNANASITERKLLSKVRSLDVFGRADLTMPVLSRFCVLRVLQLEDCSGLDNNHLKDLSNLYLLKFLRLQGLKVTELPESIGKLESLETLDIRGAYESVIMLPLSFGKLGKLVRLLATRVELPDGVALENTKSLQELVGIRLTLHAMTEIGKLRELKVLELFIKEEPESSSTGNSNELVRTCLQMCPNLLQVLVLRAPGVLRSMDFMAPVPSGLQTFMCNIYIMAIPRWIDSSLSCLTVLFIRLLHAHARVQPEHLDKLAGLPSLRSLRIFLLPPDEQEKLVIHSSPSSFPCLTDLRIWCPLMFLKFQPGAMRKLQRFCLSFDARKTAEHFQTNAFDYGFENLPSLQHVDIELKWDKHHEAGDAISKTINDHPNHPSLNFYFLEP >PVH65019 pep chromosome:PHallii_v3.1:2:51871686:51874147:-1 gene:PAHAL_2G403700 transcript:PVH65019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLKFKWFRLPQIFHSLQLHTPRPSIPFTPSPAMASRAGRFLPCLEATEPERPPPALTSDLHEEIFLRVASPSDLARASAACVSFRRLIGDPPFLRRHRSIHPPLLLGFISSAGFQPAEAPHPSAAVARAVARAADFSFDFVPRPTSSNHWHPCDARGGLVLVDCRRFTEADGKERLSLDLAVCDPLSRRYLLLPPMADDLLASVELQNQDMFNSGASFVPSGGMEEETSFSVMCWMHSETKLVVFFFSSGSDHWIVGTSATWDDLGLHEQVDSLGSCQCAYGCFYWKVDYTNRLLKLHMGTMELCTYELPPDHDDGDVVIVEAGEGQIAMFSQLGAGTSLEYYNLLQNGTDKSHEWHMKSTIPLPAQYTSECYIRGPAGGYIFLAGTPKEQDVGDQAFFSLEIKSFKIEMVSRKTFPFRYALPYFGFPPSMSPRRIQGYEVD >PVH65018 pep chromosome:PHallii_v3.1:2:51871955:51873991:-1 gene:PAHAL_2G403700 transcript:PVH65018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLKFKWFRLPQIFHSLQLHTPRPSIPFTPSPAMASRAGRFLPCLEATEPERPPPALTSDLHEEIFLRVASPSDLARASAACVSFRRLIGDPPFLRRHRSIHPPLLLGFISSAGFQPAEAPHPSAAVARAVARAADFSFDFVPRPTSSNHWHPCDARGGLVLVDCRRFTEADGKERLSLDLAVCDPLSRRYLLLPPMADDLLASVELQNQDMFNSGASFVPSGGMEEETSFSVMCWMHSETKLVVFFFSSGSDHWIVGTSATWDDLGLHEQVDSLGSCQCAYGCFYWKVDYTNRLLKLHMGTMELCTYELPPDHDDGDVVIVEAGEGQIAMFSQLGAGTSLEYYNLLQNGTDKSHEWHMKSTIPLPAQYTSECYIRGPAGGYIFLAGTPKEQDVGDQAFFSLEIKSFKIEMVSRKTFPFRYALPYFGFPPSMSPRRIQGYEVLQD >PVH65136 pep chromosome:PHallii_v3.1:2:53629838:53631125:-1 gene:PAHAL_2G432700 transcript:PVH65136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAESRAEAGGPTTDAADDFEFCILSSGGLVPAGKAAETDMCVADKLFCQGKLLPLRPSSAAAADGASVVTLPRSESAASTVSLVSRSGSRSTSSSGSSSGCVSRSQSSKSASSEHGGAPAPPPRRSLSSSLFYAHPSPSPQLRSARPRRSTGSAPPPTSWGLFRLGVVGAPEVYPPPRPAEAKIAATAARGGGSRSARFEQVTAAVDKKLGLAGLLGDSLGCKCSPDAVGPARLPEAAKRSRKKDGAKSGQGIRRSRILDWLEELSIIKEKK >PAN15226 pep chromosome:PHallii_v3.1:2:55979459:55985666:-1 gene:PAHAL_2G473200 transcript:PAN15226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVKNSNGYLGVTEPISLSGPTEKDLKQTAEVEKYLSDAGLYESQEEAVSREEVLGKLDQTVKAWIKKATRISGYGEQFVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNEYFFTWLHDMLAEMPEVSELHPVPDAHVPVLGFKINGVSIDLLYANLAHAVIPEDLDLSQDSILHNVDEQTVRSLNGCRVTDQILKLVPNIPSFRTTLRFIRYWGKRRGVYSNVMGFLGGINWAILVGRICQLYPNASPSMLISRFFRVYSKWKWPNPVMLCHIEEGPLGLPVWDPRRNYRDRGHQMPIITPAYPCMNSSYNVSVSTRHVMIQEFTRAFEICQAIDEGKADWDALFEPYPFFESYKNYLEVNITARNEGDLRNWKGWVESRLRTLVLKIERYSHEMILAHPYPRDFSDKSRPLHCFYFMGLWRKQTAQAQEAEQFDIRGIVNEFKSNICAYQHWKEGMDIEVSHVKRRDIPLFVFPGGVRPRSSRTAHKNNRTVPACDVSAGQVGNVLGTVSISDVQPLPYKGSYTKPEPDYSGCVQLPGSTSVLPTSLPNKEALNGHANFHAESVEREHPEHHQESTFAPVQNDVCHVVKQHNSLLPNSNNGLQSYGFGSSLNSSQRECADSAANNLLSLSPAIPAASDELDELTSYHQVKDNQKAVNANQRPSLEGCSEDNLEQTSSVKPQDSNLLKRKANEELEPLELAAPSVGAVPASTTTAPRKPLRLRLTTLAKPKPPEGSS >PAN12098 pep chromosome:PHallii_v3.1:2:40821553:40825618:-1 gene:PAHAL_2G242000 transcript:PAN12098 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MATAAAQALPASMDARGWDEASYRRGILRARDFSSRTLFRAVFFDQSDDSDSDVLLAAASSDGSLASFSLSSCMSAASASSQAEAAVTLVDPVCIVQAHSGPAYDVRFYPDPQQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGSRSPIPENNAIAINKQDGSIFAAAGDACAYCWDVETGKCKMTFKGHTDYLHSVAIRESNRQVVTGSEDGTARIWDCRSGKCTQVVHPVKKKAFESSWACGTSNGISIWSLLSNECIFNLDCHAPVQDLLFDKNQILAVGAEPVLSRFTINGTVLSQIKCAPQSAFSISIHSSGMAAVAGYGGLVDVISELGSHLCTFGSRGLDK >PAN12099 pep chromosome:PHallii_v3.1:2:40821554:40825618:-1 gene:PAHAL_2G242000 transcript:PAN12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MATAAAQALPASMDARGWDEASYRRGILRARDFSSRTLFRAVFFDQSDDSDSDVLLAAASSDGSLASFSLSSCMSAASASSQAEAAVTLVDPVCIVQAHSGPAYDVRFYPDPQQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGSRSPIPENNAIAINKQDGSIFAAAGDACAYCWDVETGKCKMTFKGHTDYLHSVAIRESNRQVVTGSEDGTARIWDCRSGKCTQVVHPVKKKAFESSWVSCVAIDASESWLACGTSNGISIWSLLSNECIFNLDCHAPVQDLLFDKNQILAVGAEPVLSRFTINGTVLSQIKCAPQSAFSISIHSSGMAAVAGYGGLVDVISELGSHLCTFGSRGLDK >PAN11825 pep chromosome:PHallii_v3.1:2:37226631:37227089:1 gene:PAHAL_2G219900 transcript:PAN11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTRREKCEDPCSFFTTPGRRCSAPQRLRRPDHCSLIIVPGSRYDAPLRG >PAN13236 pep chromosome:PHallii_v3.1:2:46855527:46860054:1 gene:PAHAL_2G322400 transcript:PAN13236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHCKQLLDPEDVELVPAADRERGIMPEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMSEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASALKDKDTTSWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPDDKISPVLNKLPAKS >PAN14117 pep chromosome:PHallii_v3.1:2:50734597:50737896:-1 gene:PAHAL_2G385100 transcript:PAN14117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATASISSRPAALRDVKAARIGAVRQQVAVAPAAAARGQRARAVRPLRAAEQGRQPVSASAASAAPVAPVADEVAAPAAVDYEALARELEGASPLEVMDRALAMFGSEIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQFFDKVEKHYGIRIEYMFPDAGEVQELVRTKGLFSFYEDGHQECCRVRKVRPLRRALRGLRAWITGQRKDQSPGTRASIPVVQVDPSFEGQDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNSLHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIEKDAQAAAPKSANGNGAAGAPDIFESPAVVSLTRAGIENLLRLENRAEPWLVVLYAPWCPFCQAMEASYVELAEKLAGAGVKVAKFRADGEQKPFAQAELQLQSFPTVLLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >PAN15457 pep chromosome:PHallii_v3.1:2:57084538:57088164:-1 gene:PAHAL_2G489500 transcript:PAN15457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDARPVHRVRCPRCHCVLEEPGAPVYQCGGCGTSLRAKNRTGSAGDAPRASPSRSGLPPQGRHLDSTDVASTSRSSTPTSLDATSSRHRATDTTSLRESGDLVSARRHGSGNVASSSSTPTVTSSRRQGTHTSGDLVSARKHDSGDVASSSSIAVATSSRRQGTATTSRRESGDLVSARNRVSEQVELMEKRGHDQSAANHVISDNSEGRRQADAGSADRSATSPKENKNATSELKDDIEKRMQRQAESPDAARKKHSGEATMQPQYNHREELAPKSAQAPTAHSARDALGQEAAAAGEKAMSPSRQEIQAENLGPLRKKILKTVDELKGDLSELFSKSPELNPTPRARPPRLPKQEGYVSRAAVAPSLHSRARHAAAAADGHRGSPARVVKPGQVAAPPRGLPSRRYRQCRADPCCHNVQPRSCHHGCCRHRGKPECGSCRGHCSRPRTQEPSSAPRKTPAAKEAKRRLPPRNHCRPVLKGAPFIICSSCFKLVQVPADFAVSTKTVRKLRCGSCSTVLSYSYRDPARKKACLLDSLDQFSTDGSELLGGEGCAAQPDPFAPFIDGFGLSSYSTEDEQALHVSRNTSFDTLDGTKGVGRLHRLMGYGSASELLRHSPDLYESFSERTTPSVGHYDRKGKGVWVDDNDYDVDDSDEEDGGTLKRSAARGSGWPLPVPGMPGKGIPAPGAIRIK >PAN10419 pep chromosome:PHallii_v3.1:2:7075596:7075984:1 gene:PAHAL_2G093700 transcript:PAN10419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWAPRVAGRGGRAPPPSLALSASGMMIRWAPRPENQKKKQQLAGCAFAGLRIRRRGKRQRAPPSAMPCDARHTVTWRTVEGLRQGRSSSLVPAPFGSTH >PVH64407 pep chromosome:PHallii_v3.1:2:42645452:42646186:1 gene:PAHAL_2G261300 transcript:PVH64407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPAERRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKITQELEEQRTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRIEEESP >PAN14702 pep chromosome:PHallii_v3.1:2:53477299:53480343:1 gene:PAHAL_2G431000 transcript:PAN14702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVSLQASEQQQQSASYNSRSTTSSGSRSSSHQTNASYNYYYHSNTSSGGGGQYYYGQQHQHQQYYLEPYQQEECGNAHHLYMDEDFSSSSSSRQHFHSHGAAVQPPTSSVATAPTPPLSTSSTAAGAAHALFEAADLSFPPDLNLDFSSPASSSGGGAASSAAVGGGGGGRWASQLLLECARAVAARDSQRVQQLMWMLNELASPYGDVEQKLANYFLQGLFARLTASGPRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEAAAASSEPQRFHILDLSNTFCTQWPTLLEALATRSADDTPHLSITTVVSAAPSAPTAAVQRVMREIGQRMEKFARLMGVPFSFRAVHHAGDLAELDLDALDLRDGGATTAVAVNCVNSLRGVVPGGARRRDAFTSSLRLLDPRVVTVVEEEADLVASDPDASEEGGDTEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAASWARRMRSAGFSPVAFSEDVADDVRSLLRRYREGWSMRDACLDDSATGAGVFLAWKEQPLVWASAWRP >PAN15285 pep chromosome:PHallii_v3.1:2:56250287:56251630:-1 gene:PAHAL_2G477600 transcript:PAN15285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAMASSSLNPNAPLFIPAAYRQVEDFSPEWWELVKTTAWFRDHWFRQHQLHEAAYEAAFGLPDDADVDVAALLPDDSVDLLDTDDLFYAPDHHVAKPAGYDLDVLRAVSLGSPRAVVGAPSPRAQQQQQRHADKPAQHVGVRGAARRVIHQPR >PAN12248 pep chromosome:PHallii_v3.1:2:42742428:42743802:-1 gene:PAHAL_2G262900 transcript:PAN12248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRDWPSLLPDLLSHVGARVLAADVVDHGRWRASAPSPRDPTLRDPRLRPRGWVALCDGDGVRPADACEVALFHAATGRCLRVRLPELQGHRIVGFTDGLVILINKETTADRVPHPFTRVAVDLPPIAAIFNYMVKEQQSRAWMRAAVCASQDSPDSIAVVAWSSPSLASPAAMPFHGRIYGVMSNERQVLQIYPRCSNPCVARIPNTFSIPRTHAFFLVESAARLILVLHHFHFDNYIERYKPCQFAGFFLSRDRCLCVSQNNLPSITSNAIYFESEDLYPVVMYSVSSSACELLSTLSIIHDYRKRVQPSVRPFTLVDHLFTFCNHRCWGLMFHEYRCLPRSWKKLLKKLKTQDCEVKFQARAIHWQV >PAN12586 pep chromosome:PHallii_v3.1:2:43779517:43782690:-1 gene:PAHAL_2G275800 transcript:PAN12586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAARRLRLPMVDLASRDRRAAAKSIRQACMEYGFFYVSNHGVDRGLTERVFAESRRFFQQPMEEKMALRKNSSHRGYFAPYSEKSHAHPNSRATRSLSTSGLKEIINVSQNNINQWPSEECFPSWKKTMLSYHANALCCNGAFEIPTAVLRLLHYAGDIDASNDGNIGAGPHSDFGMPTLLATDGTPGLQEDVRHIDGSLVVNIGDLLERWTNCVFRSTLHRVVPVGKERYSVIISAAFFIDPSPNLVIRCTESCCNEAYPPRFPPIRSGDYLEEGLSSMYKLVTV >PVH64687 pep chromosome:PHallii_v3.1:2:47631379:47634140:1 gene:PAHAL_2G334300 transcript:PVH64687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQVDMRARIRGNKGY >PAN13938 pep chromosome:PHallii_v3.1:2:49985210:49989519:1 gene:PAHAL_2G373400 transcript:PAN13938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIACCLPVVECVYCLACARWAWQRCLHSGDYDSETWGVASAAEFEPVPRLCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEHTNGHAPTYLLYVDHRHSDVVLAVRGMNMAKESDYAVLLDNKLGQRRFDGGYVHNGLLKAAEWVFDAECDVLRDLLEKNPGYTLTFSGHSLGSGVVAMLALVAVHNKERLGGIERKRIRCFAMAPARCMSLNLAVRYADIINAVILQDDFLPRTDIPLEDIFKSLFCLPCLLCGRCLIDTCIPESVMLRDPRRLYAPGRLYHIVERKPFSCGRIPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALDLLLENEKTMEAPEVQRMGHEITITRAHDEEQQAALRRAVALGVADVNVPSTYGTFDENHTSEADEASPLLSDSGRRRAWDEWISRIFEKDESGQMVPRR >PVH64866 pep chromosome:PHallii_v3.1:2:49985210:49989519:1 gene:PAHAL_2G373400 transcript:PVH64866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIACCLPVVECVYCLACARWAWQRCLHSGDYDSETWGVASAAEFEPVPRLCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEHTNGHAPTYLLYVDHRHSDVVLAVRGMNMAKESDYAVLLDNKLGQRRFDGGYVHNGLLKAAEWVFDAECDVLRDLLEKNPGYTLTFSGHSLGSGVVAMLALVAVHNKERLGGIERKRIRCFAMAPARCMSLNLAVRYADIINAVILQDDFLPRTDIPLEDIFKSLFWVPDVVLYSSLYSLPCLLCGRCLIDTCIPESVMLRDPRRLYAPGRLYHIVERKPFSCGRIPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALDLLLENEKTMEAPEVQRMGHEITITRAHDEEQQAALRRAVALGVADVNVPSTYGTFDENHTSEADEASPLLSDSGRRRAWDEWISRIFEKDESGQMVPRR >PVH64864 pep chromosome:PHallii_v3.1:2:49985210:49989519:1 gene:PAHAL_2G373400 transcript:PVH64864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIACCLPVVECVYCLACARWAWQRCLHSGDYDSETWGVASAAEFEPVPRLCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEHTNGHAPTYLLYVDHRHSDVVLAVRGMNMAKESDYAVLLDNKLGQRRFDGGYVHNGLLKAAEWVFDAECDVLRDLLEKNPGYTLTFSGHSLGSGVVAMLALVAVHNKERLGGIERKRIRCFAMAPARCMSLNLAVRYADIINAVILQDDFLPRTDIPLEDIFKSLFCLPCLLCGRCLIDTCIPESVMLRDPRRLYAPGRLYHIVERKPFSCCWRMRKPWKHLKFKGWVMRSP >PVH64865 pep chromosome:PHallii_v3.1:2:49985210:49989519:1 gene:PAHAL_2G373400 transcript:PVH64865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIACCLPVVECVYCLACARWAWQRCLHSGDYDSETWGVASAAEFEPVPRLCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEHTNGHAPTYLLYVDHRHSDVVLAVRGMNMAKESDYAVLLDNKLGQRRFDGGYVHNGLLKAAEWVFDAECDVLRDLLEKNPGYTLTFSGHSLGSGVVAMLALVAVHNKERLGGIERKRIRCFAMAPARCMSLNLAVRYADIINAVILQDDFLPRTDIPLEDIFKSLFWVPDVVLYSSLYSLPCLLCGRCLIDTCIPESVMLRDPRRLYAPGRLYHIVERKPFSCCWRMRKPWKHLKFKGWVMRSP >PVH63671 pep chromosome:PHallii_v3.1:2:6064313:6064981:1 gene:PAHAL_2G082600 transcript:PVH63671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLLRHRRFGSRPSPPTQPHPPPSPSHGHRAHSLLLRGHSGGDEGGDIGSLRPLRCQAWQAVGGGLGRRRVPWPHWHRARGQWEAATEAEARAARPHGHSSGRARRERQGQRPLQCRAPRPAGGSWGGGGKGGEAPWPLQGRAQLAAGDVRGSSEGRGGDGEAPRPLWRRVWQAAGGVRGRGGGGGGDSEAPRPVQRRAWQEVPGEGRRDGEKRGKREEG >PVH63476 pep chromosome:PHallii_v3.1:2:2640756:2642778:1 gene:PAHAL_2G037300 transcript:PVH63476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDAGGGGRVRLQAPAAGAPSPLADTIFHLCMKTAIVLGSCYVAFVVYIAMTYTQSWLEKLRVLPLLIVAVTVPLLGAVLIQK >PVH63475 pep chromosome:PHallii_v3.1:2:2641409:2642778:1 gene:PAHAL_2G037300 transcript:PVH63475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDAGGGGRVRLQAPAAGAPSPLADTIFHLCMKTAIVLGSCYVAFVVYIAMTYTQSWLEKLRVLPLLIVAVTVPLLGAVLIQK >PVH64888 pep chromosome:PHallii_v3.1:2:50154213:50157394:1 gene:PAHAL_2G376400 transcript:PVH64888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAQRSGPPPSWSDIPLDLAGHLLRLLPACADRARFAAVCPQWRAAAGRAPGRHLLQPPLRQALPLPWLWLRRLQDRRLWQLACLPARRRLLLGRSLRGGDGGAACSVSRPAASPNAVAKYTTVEGAMGRLCHPYVTWMHLKDMENVPMIRKLILCSPNLVAAFVGIERPSQILVCQPGASSWSVRATSLKTWLSIRKSCTPFLIMRTSLS >PVH64692 pep chromosome:PHallii_v3.1:2:47701502:47703986:1 gene:PAHAL_2G335300 transcript:PVH64692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPGDGARGRLRARRVAAGAQRPGAPSLRAGGGPGRALAAHRPDAPGSGGGPAVVRQAGRAEPLAGAAGRSAAPGRVPGVVLPAPADAAPVLRQGAGPAPAGPPHGRPRGARRQQRRRPDTDKPGRGGHQPPVPKRALLLPVPNRRRRRGGTSQHQGSRRSAGTVATRSSSSSAPTWQAPVALVAPSGSRRDQLDAAHTLQLLHACSLAHHLDLELVMPDEMSRLLYWLGPAEGACGDLIRRVPQLPNVSALSLRIRWGFGGGIAPSLASLLSRTPGLTRLRMDASPYCFAVSVLRATSRRREDGSGGRAASAQTTTTAFERSPWSGSRGKTLKSAGSWRSCLGARRDRWRGCLSRSVTTPWRPLLTRLLPISRRASPWRRPGGGNAARRACSRGSSGREDDRGVPTQTCEQV >PVH65045 pep chromosome:PHallii_v3.1:2:52312032:52312552:1 gene:PAHAL_2G410900 transcript:PVH65045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRAAGDRRHPDTSNDSLFDQRRPFVCGRWPRDLSCCSQQPSRSRCSRYTGSHHGVNVVVGAKRLKSTSDKQGYIINKISSSSFVPLTVTIRIWTVVRDLTLCQCK >PVH65373 pep chromosome:PHallii_v3.1:2:56162691:56164665:-1 gene:PAHAL_2G476300 transcript:PVH65373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAERDANLVHEAVKKKDENYILVLIEVSCASTPDHLMAVRNIYRKLFSCSIEEDVASSPALQEPLKKMIVSLVSSYRYAGEHVDMDVAKLEAAQLSEAIREKQLHRDEVVRIISTRSKSQLRATFQQYKEDQRTDICEDINRQCSSQFGRTLKSAIWCLTSPEKHFAQVIRYSILGLGTYEDMLTRVIVSRAEIDMKQIKEEYRARYKSAVTLDVAGDTSFGYRDMLLALVGSED >PAN11204 pep chromosome:PHallii_v3.1:2:15262487:15278247:1 gene:PAHAL_2G149800 transcript:PAN11204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGPVPNAPALHKRKERTPSETANDVNSHGQKHARIRTSENSSLPRNAAPTSANGSRYSGGGVEEDVSENSSPPPAAAPVHAADICSEVYERLVQEGFEEALVPEFREQLEAHFARLPLRYQLDLDIFKAEDILIHKKVLAEAKDSDKRPAFAVRLRLEDKDVDEVTHYNGSQEGAATEVALSTGPDTLYARNYEIILSTVENPSNLTQLSVLLVDIGLKIKEAHIFSTKDGYTLYCFNVDGWQDVQGLHKALGELILRNKKIERGSWSGSTSTKLLPFQEEDCVSDTNKSLVKIVKKVASESCGNMFHGTYFGENVAINVLNSKNLNQKIWNEFKQEFYKLRELHHANVIRLIDSCTRPPCIITEWISGGNLFDFLHNEHNVLDLPMLVKFALDVCRGMSYLHQNGIIHGDLKSANLLMDKNHVVKVANFGLARFHDQEGVITAETVTYRWMAPEVINNQAYGTKADVYSFAIVLWELMTSKIPYDIMTPLQAAAGVIEGLRPQLPAKTHPGLVNLMQRCWNAMPSARPSFSDIVTELEGIQANAQGTLTSRETGQKQVDHYHKPSQLLSNESLSGSEHTTFFTATSENTTFFTALTGTIEPERQGLPRQ >PAN10264 pep chromosome:PHallii_v3.1:2:6066787:6070289:1 gene:PAHAL_2G082700 transcript:PAN10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAARNGAAAALLSPPPSFSSPSSAGLLPRARDLLGRAKESALSGTPRERAHGSVMACLFRARGFHGARGAFDGTPTRSLPAWTAIISGCARGGRHADGMRAFAEMLGGGGAAAPNAFVLAGVLRCCAGLGDVESGRRVHGWMLRSGVRQDVVLCNAVLDMYAKCGHHDRARRAFGAMAEKDAVSWNIVLSACLQGGDVLGAMRLFDQSPLRDISSWNTIISGLMRNGRAAEALDRLQLMVRAGVVFNHYTYSTAFSLAGMLSLMDLGRQLHGRVVMAALEDDAFVCSSLMDMYCKCGEMKAALSIFDRWSRSTGDVKFAWSTMVAGYVQNGREEEAIEFFRRMQREGVPAEPFILTSVAAACANAGMVEQGRQVHGLVERLGHRFDTPLASAIVDMYSKCGSLEDACRIFDSVEAKNVTLWTTMICSYASYGQGAMSIEIFNRMEEEKITPNEITLVAVLSACSHSGLVSEGDHYFKLMQEEYGIVPGTEHYNCMVDLYGRAGLLDKAKNFIEENNISHEAIVWKTLLSACRLHKHMEYAELASEKLVQLGQCDDGSYVLMSNMYATNSKWLDTLKLRSSMQKRKVRKQPGQSWIHLKNAVHTFIAGDMAHPRSVEIYAYLEKLMERLKEMGYTSRTDLVVHDVEEEQRETTLKFHSERLAIAFGIISTPIGMPLRIFKNLRVCEDCHEAIKYISRAVDREIVVRDLYRFHHFKSGSCSCEDFW >PAN10263 pep chromosome:PHallii_v3.1:2:6066787:6070289:1 gene:PAHAL_2G082700 transcript:PAN10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAARNGAAAALLSPPPSFSSPSSAGLLPRARDLLGRAKESALSGTPRERAHGSVMACLFRARGFHGARGAFDGTPTRSLPAWTAIISGCARGGRHADGMRAFAEMLGGGGAAAPNAFVLAGVLRCCAGLGDVESGRRVHGWMLRSGVRQDVVLCNAVLDMYAKCGHHDRARRAFGAMAEKDAVSWNIVLSACLQGGDVLGAMRLFDQSPLRDISSWNTIISGLMRNGRAAEALDRLQLMVRAGVVFNHYTYSTAFSLAGMLSLMDLGRQLHGRVVMAALEDDAFVCSSLMDMYCKCGEMKAALSIFDRWSRSTGDVKFAWSTMVAGYVQNGREEEAIEFFRRMQREGVPAEPFILTSVAAACANAGMVEQGRQVHGLVERLGHRFDTPLASAIVDMYSKCGSLEDACRIFDSVEAKNVTLWTTMICSYASYGQGAMSIEIFNRMEEEKITPNEITLVAVLSACSHSGLVSEGDHYFKLMQEEYGIVPGTEHYNCMVDLYGRAGLLDKAKNFIEENNISHEAIVWKTLLSACRLHKHMEYAELASEKLVQLGQCDDGSYVLMSNMYATNSKWLDTLKLRSSMQKRKVRKQPGQSWIHLKNAVHTFIAGDMAHPRSVEIYAYLEKLMERLKEMGYTSRTDLVVHDVEEEQRETTLKFHSERLAIAFGIISTPIGMPLRIFKNLRVCEDCHEAIKYISRAVDREIVVRDLYRFHHFKSGSCSCEDFW >PVH63672 pep chromosome:PHallii_v3.1:2:6066787:6070289:1 gene:PAHAL_2G082700 transcript:PVH63672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAARNGAAAALLSPPPSFSSPSSAGLLPRARDLLGRAKESALSGTPRERAHGSVMACLFRARGFHGARGAFDGTPTRSLPAWTAIISGCARGGRHADGMRAFAEMLGGGGAAAPNAFVLAGVLRCCAGLGDVESGRRVHGWMLRSGVRQDVVLCNAVLDMYAKCGHHDRARRAFGAMAEKDAVSWNIVLSACLQGGDVLGAMRLFDQSPLRDISSWNTIISGLMRNGRAAEALDRLQLMVRAGVVFNHYTYSTAFSLAGMLSLMDLGRQLHGRVVMAALEDDAFVCSSLMDMYCKCGEMKAALSIFDRWSRSTGDVKFAWSTMVAGYVQNGREEEAIEFFRRMQREGVPAEPFILTSVAAACANAGMVEQGRQVHGLVERLGHRFDTPLASAIVDMYSKCGSLEDACRIFDSVEAKNVTLWTTMICSYASYGQGAMSIEIFNRMEEEKITPNEITLVAVLSACSHSGLVSEGDHYFKLMQEEYGIVPGTEHYNCMVDLYGRAGLLDKAKNFIEENNISHEAIVWKTLLSACRLHKHMEYAELASEKLVQLGQCDDGSYVLMSNMYATNSKWLDTLKLRSSMQKRKVRKQPGQSWIHLKNAVHTFIAGDMAHPRSVEIYAYLEKLMERLKEMGYTSRTDLVVHDVEEEQRETTLKFHSERLAIAFGIISTPIGMPLRIFKNLRVCEDCHEAIKYISRAVDREIVVRDLYRFHHFKSGSCSCEDFW >PAN11730 pep chromosome:PHallii_v3.1:2:35245414:35247327:1 gene:PAHAL_2G210600 transcript:PAN11730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPSPTQTAQPDPKPKNHRRRLLFLAPPLLAVAVALLLGASTNPLPRRFLRLLLGPKTSVLRPAEPRPAADPSPDAGRPPCVLWMAPFVSGGGYCSEAWSYVAALDAHAAVGAGKNFTLAIAHHGDLESPEFWFGLPEQSKNLAYRLAATRCELARAVVVCHSEPGAWYPPMYEALPCPPTGYDDPAFVIGRTMFETDRVSPEHVRRCNQMDAVWVPTDFHVSTFVKSGVDRAKVVKVVQAVDVEFFDPAKHVALPLPIGVPVMMPEGSRLEHGDPKGRGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVVLYLLINAYHSDTNFIGKIRRFVEESSIKEPVKGWAEIRVIDEHVPQSSLPSLYKAADAFVLPTRGEGWGRPVVEAMAMALPVIVTNWSGPTEYLTEENGYPLDIDRLTEVTEGPFKGHLCAEPSVDHLRALMRYVIGDREEARSKGKKAREDMMKKFSPEIVARIVADKIQQALVNTQLTED >PAN10390 pep chromosome:PHallii_v3.1:2:6777195:6781059:-1 gene:PAHAL_2G091100 transcript:PAN10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALRPAILRRIRLSPSPPLALAAAASHPHALARWLARPMSSHDAHLTREEVVDRVLDVLKSHPKVDPSKVTPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDKEADKIDSLPLAIEYVANHPMAG >PVH64711 pep chromosome:PHallii_v3.1:2:47949510:47950229:1 gene:PAHAL_2G339400 transcript:PVH64711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNSPLINIEAGPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWSLSYARV >PAN14863 pep chromosome:PHallii_v3.1:2:54589646:54594489:1 gene:PAHAL_2G449800 transcript:PAN14863 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP4M [Source:Projected from Arabidopsis thaliana (AT4G24550) UniProtKB/TrEMBL;Acc:A0A178UX13] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVANEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLTLIPPDGEFAVMNYRMTQEFKPPFRVTALIEEAGPSRADVLLKIRADFSANVTANTITVQMPVPSYTMRASFELEAGAVGQTTDFKEGSRRLEWNLKKIVGGSEHTLRAKLTFSQESHGNITKEAGPVNMNFTIPMYNASKLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >PAN10486 pep chromosome:PHallii_v3.1:2:7410802:7415418:1 gene:PAHAL_2G096500 transcript:PAN10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGEKPIIHTLRDALLHFAVKSKKLASPLLEPFGRASEPATVDDDELTALRSKLLRIRATLRDAESLSVTDRSVQLWLAELGDLEHRAEDVLEELEYESRRSAQLEELKLDLLRAAKTGKRRREVGLLFAAAPGRRLRRKIDGIWARYEEVASDRRKLRLRPGDGAPRPAASALVPSSAQPRGCGGLHGRERDAERVAALVRAPPDNGRGYAVVPIVGMAGVGKTALVQCVCNMADVRSHFHMTFWIWVSQEFDVVGVTRKIVEAITRSRPDCDELSTLHELIVEHLAGKRCLIVLDDVWDDNPSHWDCITAPLSCCAAGSTVIVTTRSKKVAKMVNPKVYHLKCLSDDDCWLVCRRRALPNSNTNIKQELVEIGKQISKKCGGLPLAGEAAGSALSNSISWEHWKEVLENGLWAGSEAKNLVLPVLKVSYDHLSSPLKRCFAFCSLFPKGFVFDKDVLVQLWTAQGFVDAEGDCSPEVIANGYFKGLVSRCFFHPSPAQCIGEGKFVMHDLYQELAQFVSGNECKMIQHPYSMKIDESPRHLSFVDEESYSVEEMHLNAFRGHHDLRTFLFIARTEQNQEKMTFRTKIPSELITDFECLRALDLSNTNIMELPKSIGSLIHLRYLALDNTTIKTLPDSICALFHLETIKLNNCSSLTQLPQGIKLLLNLRCLEIPHSNIQMPSGIGELTRLQRLPFFAIGNEPAGCGIEELNELVNLRGHLHITGLNNLDGAKAATANLWNKLGIQKLTLEWSELTNFNKPLCDPQGNAVGGISDRQGPGFSAAKDQVLKCLKPHSDLEELSIKHYNGSFSPTWSGWLPLDRLASIELKDCHNCEELPPLGCLPSLKHILVQSLPRVKLVGPKFYGDVGDIASSSDRRVCNVFPALESLKFRNMKAWEEWCGVKSEHFPNLKYLTIARCSKLKLLPKFTSEPKLRIRHCDLLQMQLCQKYRNMVKHIPAQSEISYTRIMEGDILVLEASCSYSA >PAN10488 pep chromosome:PHallii_v3.1:2:7410802:7415418:1 gene:PAHAL_2G096500 transcript:PAN10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGEKPIIHTLRDALLHFAVKSKKLASPLLEPFGRASEPATVDDDELTALRSKLLRIRATLRDAESLSVTDRSVQLWLAELGDLEHRAEDVLEELEYESRRSAQLEELKLDLLRAAKTGKRRREVGLLFAAAPGRRLRRKIDGIWARYEEVASDRRKLRLRPGDGAPRPAASALVPSSAQPRGCGGLHGRERDAERVAALVRAPPDNGRGYAVVPIVGMAGVGKTALVQCVCNMADVRSHFHMTFWIWVSQEFDVVGVTRKIVEAITRSRPDCDELSTLHELIVEHLAGKRCLIVLDDVWDDNPSHWDCITAPLSCCAAGSTVIVTTRSKKVAKMVNPKVYHLKCLSDDDCWLVCRRRALPNSNTNIKQELVEIGKQISKKCGGLPLAGEAAGSALSNSISWEHWKEVLENGLWAGSEAKNLVLPVLKVSYDHLSSPLKRCFAFCSLFPKGFVFDKDVLVQLWTAQGFVDAEGDCSPEVIANGYFKGLVSRCFFHPSPAQCIGEGKFVMHDLYQELAQFVSGNECKMIQHPYSMKIDESPRHLSFVDEESYSVEEMHLNAFRGHHDLRTFLFIARTEQNQEKMTFRTKIPSELITDFECLRALDLSNTNIMELPKSIGSLIHLRYLALDNTTIKTLPDSICALFHLETIKLNNCSSLTQLPQGIKLLLNLRCLEIPHSNIQMPSGIGELTRLQRLPFFAIGNEPAGCGIEELNELVNLRGHLHITGLNNLDGAKAATANLWNKLGIQKLTLEWSELTNFNKPLCDPQGNAVGGISDRQGPGFSAAKDQVLKCLKPHSDLEELSIKHYNGSFSPTWSGWLPLDSWAQILW >PAN11645 pep chromosome:PHallii_v3.1:2:29660865:29667769:-1 gene:PAHAL_2G190000 transcript:PAN11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAVKEAPPPPQPAAEEDAMLSATAAMAKEAAVAFQGRRYADCAEVLAELLKKKEGDPKVHHNMAITKSFLDGCPDPERLLKILGDVKKRCEELVCASREQADSANGVGSNAASGSKGSGIVLPYSAAHNSSAYGDEFDTTIITFNTAVILYHLHDYESALTILDPLYRNIEPIDETTALHVCFLLLDITLALQDATKAADVIQYLERSFGVANTTNQNENASMAQQQSAQPKPPAKSNTPPDSDSNAYGGGCENLSSGGFPDESIEFESLYSTFDGHQNLGRPILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTTNNRTEPVMLAMFYNNLGCILHQQRSNHTSIWCFTKALKYSLSLHSENPLKLSALSQNKSCLISYNCGIQHLMCGKPLSAACCFREAMPLFYKRPLFWLRFSECSLLALEKGLLCAVGASSCNDEIEVNVVGSGQWRQLIVNPVNLRSNSDSAGVTSDEHNNLVSLRFARQCLLNAQLLLDASEQENLVTPSDTEDCNQGALQGHKSSGQKSTVSTDSKTLSGPTLANVNGEQKGTSLNATLQSSLALYDEICRKENLKIRQAILGSLAFVELCLENHLKALSYAKSLQQLTDCSRMYVFLSHVYAAEALCALKRPKDAAEQLSVYIKDGNDIELPYNVENCEKALVEKDSDGEDTVAPTVTKLTSEESQRSESLKPEEARGVLYIDLGMTAAMQGEFEQADYMVNRGLAMLPNNPRAVLASVYMDLLQGKSQEAVAKLRQCRNVRFRPSSVAASS >PAN14688 pep chromosome:PHallii_v3.1:2:53417227:53420758:-1 gene:PAHAL_2G430000 transcript:PAN14688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTGTAATGAARTAALLLLSLVLALAPRASGAAGAGGGDCHFPAIFNFGDSNSDTGGLSSLFGAAPPPNGRTFFGMPAGRYCDGRLVIDFIAESLGIPYLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSEYVYNSKGGIYRELLPRAEYFSQALYTFDIGQNDITSSYFVNNSTAEVEATIPDLMERLTSVIQSVYTRGGRYFWIHNTGPLGCLPYSLLHRPDLASPMDGAGCSVTYNKVAQLFNLRLKETVASLRKTHPDAAFTYVDVYTAKYKLITETKKLGFDDPLLTCCGHGGRYNFNLNIGCGGKKQVNGTWVVGNSCEDPSKRVSWDGVHFTEAANKFVFDQIVAGALSDPPVPLKRACQSKGR >PVH64147 pep chromosome:PHallii_v3.1:2:33465690:33468826:1 gene:PAHAL_2G202600 transcript:PVH64147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGKVAGIGLAAGSVWGALVSMLHDGPQVGSNVKYPQLIRTGKVCGHYAASFAVIGATYVGVEQALEKYRMKKDTFNSVAAGFATGAVMGFRVGSSRTAFLSGSAIALTSVMLDVSGMRTTDEEEKGHH >PAN12685 pep chromosome:PHallii_v3.1:2:44358625:44361257:-1 gene:PAHAL_2G284000 transcript:PAN12685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKEKPETTSGPPPPRLDCIKCFDALWFCYSPFHQMQSYYRYGEFDNCFGKWGDLVDCLTLKTKRAAEVEEILIAREKAKPHIWTFRRVDEASDHWWRMYKHFVMMSPPLPGAAQPRPKSDKS >PAN14236 pep chromosome:PHallii_v3.1:2:51292815:51294145:-1 gene:PAHAL_2G393400 transcript:PAN14236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDVFADSGPRHMMARIVGAGSSPVTMIRINWDSDEHRRCIAACIVNGTYIMDGDESSLHSSGKALAPAWWESFGFRRLRTLEYECECVFCKTKTKLFTLGAHRPCSIYGVVLEHAPPAGARPHPSAPRYVVAFRGTMPRHPSYLGDMHLNLRILVNRQHACGRFRHARDQVGRLLDSISSNGGGTAAVWLAGHSLGASIALDVGRDMVARKGWNNLPAFLFNPPQVSLAPSMLPQALRRVAKRVIYPASYAVKAALGATVLKPHERDMEALFEALAPWAPELYVHERDIVCQGFIDYFEQRHKMLTRLRPVAEVAMKLSLRDMLICIHSTDPENGEDQRVRPHLLPSARLWKNSSYHDPHGLEQWWRPDSELRLSSRRYSDHGAEAEVFYG >PAN10551 pep chromosome:PHallii_v3.1:2:7955476:7956416:1 gene:PAHAL_2G100800 transcript:PAN10551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMRIYHIDPSRAMALPAKCGLGPPHHAQQLLVMPCIMSVVTTTDESRHPYGLLAQYERKGVKGANNRGRDEASQKASLLSFWDFFFLFLPLHPAHDSLMYGIMCKERFN >PAN12561 pep chromosome:PHallii_v3.1:2:43619072:43623014:-1 gene:PAHAL_2G273400 transcript:PAN12561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRPCPSPRLSRTSLSLHLSPAIPSLLPTVRHRPSDSGRPNHRARIHAAATALPPTGAAPPAPESHPCAPQPGAVLRPCPPRPGAAPVPLPSPPPTEQRRCPCPSPRPEQCRCNQELELREVWNWIKKPLFLIIDEPEDAPLLEENKG >PVH64460 pep chromosome:PHallii_v3.1:2:43619202:43623010:-1 gene:PAHAL_2G273400 transcript:PVH64460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRPCPSPRLSRTSLSLHLSPAIPSLLPTVRHRPSDSGRPNHRARIHAAATALPPTGAAPPAPESHPCAPQPGAVLRPCPPRPGAAPVPLPSPPPTEQRRCPCPSPRPEQCRCNQELVHLI >PAN09565 pep chromosome:PHallii_v3.1:2:2401264:2403674:-1 gene:PAHAL_2G034200 transcript:PAN09565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLETPPPPAARRAPTFQANPAADARQLLGALLPPRPALRHVLQAHARLAVLGLATARALPQLLAALPRLPPGGSDASYSYPLSLFRGSSSTSAFASNHLLRVLPHPLPLSLFPRLPRRNPHSFTFLLASLSNYLDAGHTVGASASHFLGSHVHALAMKAGAADDLYVRNALIHFYGVCGDVAAMRRVFDELPLVRDVVTWNAVLAGYVRAGMVGYAREVFDAMPVRDEVSWSTVVGGYVKEGDLEVALGIFRNMVVHGVKANEAAIVTALSAAAQLGLLEQGRFVHEMVKRAGMPVSVNVGAALVDMYSKCGSIAAAREVFDAMPKRDVFAWNSMICGLAAHGLGHDAVELFEKFVTEGFCPTSITFVGVLNACSRTGLVDKGRRYFRLMSQKYGIESEMEHYGCMVDLLSRAGLVQEAVELIEGMRIAPDPVLWGTILSGCKRHGLVDLGITVGNKLIELEPAHDGHYVLLASIYATAKKWDEVRKVRKLMSNRGTNKSAGWSMMEAHGIVHRFLVGDMDHKDSVQIYNMLGMIDRRLAEAGYVPDVSSVLHDIGHEEKVHAIKVHSERLAIAYGFIVVEAGSPIRIVKNLSVCGDCHEFSKMVTKVFSREIIVRDGSRFHHMKDGKCSCHDYW >PAN10283 pep chromosome:PHallii_v3.1:2:6125778:6128401:1 gene:PAHAL_2G084200 transcript:PAN10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEPIAKRSTACSQGVAGAVDRLSALPDGVIHTVLSFLPAPEVVRTCLLSRRWRSLWRSVPRINLDMKDFGISMMTTRDGALEEKWARFEDFATNLLLFHDNTSSLGEFRLSSHIYNQRHVDRWIRRGIEYCPSLLKILIYPCFKLPPIVGSNFCHLKTLNLRNADLGSHFTGLLCSTCPVMEDLELENCEFSGNSSQRITSSTLKKLVLDCCVNNTGYPLLIAVPSLANLCLMYGCYQSCISLCKMDSLVKAEIHVAEYGKTLPQHSQRELLCSLYNVTSLKLLGFQVKGMLNEKSDKFPIFRNMRTLELDSCFLEDYELYEKLEALGSFLQSAPCLEKLILKYCMFYWFFGAKSGIERKNITLHCQDGKTFQCPKLKLIEVIYDQDNDHELVELLWSLGRSLPDASIKLKKI >PVH63680 pep chromosome:PHallii_v3.1:2:6125778:6128401:1 gene:PAHAL_2G084200 transcript:PVH63680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEPIAKRSTACSQGVAGAVDRLSALPDGVIHTVLSFLPAPEVVRTCLLSRRWRSLWRSVPRINLDMKDFGISMMTTRDGALEEKWARFEDFATNLLLFHDNTSSLGEFRLSSHIYNQRHVDRWIRRGIEYCPSLLKILIYPCFKLPPIVGSNFCHLKTLNLRNADLGSHFTGLLCSTCPVMEDLELENCEFSGNSSQRITSSTLKKLVLDCCVNNTGYPLLIAVPSLANLCLMYGCYQSCISLCKMDSLVKAEIHVAEYGKTLPQHSQRELLCSLYNVTSLKLLGFQVKGMLNEKSDKFPIFRNMRTLELDSCFLEDYELYEKLEALGSFLQSAPCLEKLILKYCMSGIERKNITLHCQDGKTFQCPKLKLIEVIYDQDNDHELVELLWSLGRSLPDASIKLKKI >PAN12320 pep chromosome:PHallii_v3.1:2:42450702:42457759:-1 gene:PAHAL_2G259200 transcript:PAN12320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MMKDKMKDFMKKVTSSGTPSSFKGTSHVLGSGSGSGPSPSSSHPTSRPTNPTPNPRPAPKQPSPPPPPAPADFTPFTPLISSSSSSSRRPDAKGAAAAPTVACPSCGDAFRSELAVSEHLDGCLASAGGARARAAAYLAADPPPPAAAVEVLKRLLGNLLREPGSDKFRRVRLGNPRIKEAVADREGGLELLEAVGFRVGEEGGELFAVMDEVPGDARLSGIRRAVLLLERAHPSASPAQVETDSKESRNNGVDGQQEASKTIDRQIRVFFSVPGSSVADSDVPDSFYNLSGEEIRNEARMRRERLEQSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDRVILQGVFLPGEATGSLYEFVASALKQPGLEFELICPAVPKPRVVPHFPKLGERARTLQEEDLVPSALLKFKPKETDSVVFTGLLDELLQASEPLPAA >PVH63591 pep chromosome:PHallii_v3.1:2:4660481:4662009:-1 gene:PAHAL_2G063000 transcript:PVH63591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNRRRRLYTNKKNIDYSSKVWSYIDFEHPTTFDTLAMHPEKKRKIMDDLDDFRSSREYYRRIGKAWKRGYLLYGPPGTGKSSMIAAMSNYLNYDIYDIELTMVPTNNDLRKLFIETKGKSIIVIEDIDCSLDLTGHRSGGSSAAAGAANPLAQLASAKRKRTSEMTLSGLLNFIDGIWSAHSGERIIVFTTNHAERLDPALVRRGRMDMRVEMSYCCFEAFQTLAKNYLGVDAHPLFGAVEELLRAVEITPADVAECLMMSRRTERGADVCLRRLIDELKKRAQEKEEIDMKRAEKEAAASAAAGADAKEAKGGGEDMVKGRRMVDPRTIRRLRKATEEGDDKVATKPNGNDAMAADAGAIELSDDDSSSDHGDKAKLDVDAQAEAVGDGAEVNGDPSDAGDDDMDDYDDDMDDSDDDDDLDDYDDEEDDYDEDEAIFDD >PAN12683 pep chromosome:PHallii_v3.1:2:44354190:44355410:1 gene:PAHAL_2G283800 transcript:PAN12683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATLLAAICAKVEEYAAGGSPMSGRRVAAICAMIDDRAAAAKTRRNKRRRKLCVDSIRSYKQIGEIGIGSSGAVVEARHRKTGQTVAIKTFRRRDGKSVVSELLREACFLAACGGHPNLIGLHAVARDPRTKKYSLVMEYAGPSLRRALKERLRTHGGPFPEAEVRRIMRQLLTGAEAMHAHRIVHRDIKPANVLVSKDGGAVKICDYGLAMSTAGAGPPYARAGTAWYMAPEVLTRRTDYDERVDLWSLGCVMAELLSGEVLFRVDGGTRQLDRMFDVVGTPDEQTLQTFAPPFTVGKVLRRFARLPRWPSDRGRLRELFPSETLSQDGFDVLKGLLTFNPKERLTADAALRLPWLIAADDAPASGIGATAPSFVRVLTSVLAFLRMSLELIRLPRHCSGHKAP >PAN12944 pep chromosome:PHallii_v3.1:2:45680408:45684022:1 gene:PAHAL_2G302700 transcript:PAN12944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPAGPTPRTRTRGGLASSAPSSRRLSSISYTATPNHTKKVPDPPKAVRPTRATPAKKRPQVDQAQKRREEVAALQEQVSGLQRELLEKEEALRSAENLISRTSSANEAVDGLRSQLSEKELLIQCAGSELHGTKIMLAEKQAAIEKLEWQAKVSNEKVEELQVDVASMDAEVSALMKLFRKITENDRAPSPRDRTDDLSLECEPVQLDDEVGDIDAEKMEQEISAYVSALAAAKENPTDEFLEAVTEARLRLQAFVL >PVH65242 pep chromosome:PHallii_v3.1:2:54296300:54297459:-1 gene:PAHAL_2G444400 transcript:PVH65242 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII21 [Source:Projected from Arabidopsis thaliana (AT1G54140) UniProtKB/TrEMBL;Acc:A0A178W640] MDAAVRASTPPPAAREEPRDASMVRRILRSEGLGECEYDPRVVDRFMELARRYAGDVLGEARAYADHAGRASLEADDVHLAIQAKSAFSPAPPRREVIFDLARSRNTIPLHKAAAPPGWIPLPSLEDTMLSPNYLVVRPVMLSVDQVEETEDDDEGSDPNSNTEQEHNGSTSNKQTQINAMATAAVRQRR >PAN14608 pep chromosome:PHallii_v3.1:2:53029899:53033046:-1 gene:PAHAL_2G423400 transcript:PAN14608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSCWSCVPVGTAALPIEKQFVPPAALPSWPASVSDGGFAKGSIDLGGLELRQITTFAKVWSTTQGGQDGVGATFFKPSPVPAGFSVLGHYAQPNNRHLFGHVLVGRDTSGTGALLVAPVDYKLVWSSPDGAGHFWLPKAPDGYKAVGAVVTATSDKPSPDEVRCVRADLTDACETEESVLSSDKDGFSAATLRPAVRGIDCRGVHVGTFLAQSSATPAGASTVACLKNCSASYTSSMPDLAQVNSLLAAYGPHVYLHPNEPYLPSSPTWFFENGALLYQKGSQTPTPVAADGSNLPQGGGNDGGYWLDLPVDSNQREEVKKGDLPGAKVYVQAKPMLGGTVTDLVVWIFYPFNGPARAKLGFLTIPLGEIGEHVGDWEHVTLRVSNFSGELLRMYLSQHSAGTWVEASQLEYLGGYGGNRPVAYSSRHGHALYPRAGLVLQGDPRLGVGIRNDCARGSRLDTGGAGRCEGVSAEYLGVAEPAWLGFEHGWGPREEYDVGRVINGVARILPRSVRERLAKLVEKVLVGDGPTGPKMHGNWRNDEREAN >PAN10741 pep chromosome:PHallii_v3.1:2:9498631:9502920:1 gene:PAHAL_2G114800 transcript:PAN10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWKEKVADRLSRLLADSPVSPSPGPAAVQPPQAVSLPAEHFTSPKKSSLSSYVLSLLPTSNSGPEQNSPCSGTLRPLPPESLPKRWRGSEFTWQDLPLELSEESGSESERDERNGDFSKNQVHQSYRSVGNSNGNEETSTSDCSGTLHYLTEKSMFVSPKLFAFFDSSLPGTLKGCHWVLLYSTWKHGISLRTLLRRSENIQGPCLLIVGDMKGAVFGGLLNSPLRPTEKRKYQGTNQTFVFTTIHGEPRLFRPTGANRFYYLCLNDALAFGGGGSFALRVDEDLLHGSSGSCDTFGNSCLAYTPEFELKNVELWGFTHSWSRSK >PAN14455 pep chromosome:PHallii_v3.1:2:52341588:52343049:1 gene:PAHAL_2G411400 transcript:PAN14455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGPAACVTDLQRGESALSDCNRGTQTRQLSSSGGGRGTALPKRAPLMAQGEADTPGGGTVKLKDLVPAATNTVNTTFIVLDKAAPAARPAHPHPHPHAQARGGEEVTCLALVADDTAAAHFLLWGGECGAFEPGDIVRLTGGIFSYHRGNALVLRAGRRGRAEKVGEFTMLFVETPNMSEIQWGRDPGDPRRMVQEAVVSPYSQVFKPLR >PAN09313 pep chromosome:PHallii_v3.1:2:870061:872047:-1 gene:PAHAL_2G012700 transcript:PAN09313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRFALTAWAEEGGSGEGGLSRNRRGDSEREPTRGLFEKYPSKRGPFRNIRIAIRSRGLPAKFPVRRHGSRRGRGAAGSAAKHPVLAHAKFMGQAAVTGLTRRPQQPPPYPPQFGSGRRQQRKTPSPKLARLAPLAVAPRKPAAHSRCLTFSPSLNLFIQSDFTVSRCVSCGG >PAN09312 pep chromosome:PHallii_v3.1:2:871143:871773:-1 gene:PAHAL_2G012700 transcript:PAN09312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRFALTAWAEEGGSGEGGLSRNRRGDSEREPTRGLFEKYPSKRGPFRNIRIAIRSRGLPAKFPVRRHGSRRGRGAAGSAAKHPVLAHAKFMGQAAVTGLTRRPQQPPPYPPQFGSGRRQQRKTPSPKLARLAPLAVAPRKPAAHSRCLTFSPSLNLFIQSDFTVSRCVSCGG >PAN11022 pep chromosome:PHallii_v3.1:2:12070357:12074054:1 gene:PAHAL_2G134400 transcript:PAN11022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAVLSSRSFASPPSSVKRHELKYSTSTQNKCVCSSVPGQRFHRHITWTSFFPAQSHSRSTISARHQISGRFQNPSLKSSGDLLKVSNASINKRVLSRVDCFLTSDPASGGWLKPSRWQNFTSTTWESAYVHPEYRLPIRKRADCKAGQYEITGSPFSPSDGSDAILVGDTSNISPWWQQFPKRWTIVLLCFFAFLLCNMDRVNMSIAILPMASEFSWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPLAAKIGLPCLLITRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPVLISRFGWPSVFYAFGSLGSIWFALWQFKAHSSPDDDPEVSKAEKRHILGGNALKEPITSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAIFANIGGWIADTLVQRGISITNVRKIMQSIGFLGPALFLTLLSKVQTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDGVFKVSVVLYIVGTVVWNVFSTGEKILE >PAN09564 pep chromosome:PHallii_v3.1:2:2355388:2357231:-1 gene:PAHAL_2G033700 transcript:PAN09564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEAAAPSAGEPAPRVVDECRGVLFVYSDGTVARRAAPGFATPVRDDGSVEWKDATFDEARGLGLRLYRPRDWERRAGRRLPVFFYYHGGGFCIGSRAWPNCQNYCLRLAADLGALVVAPDYRLAPEHRLPAAVDDGAAAVLWLAAQARGGGGDPWVAESADLARVFVSGDSAGGNIAHHLAVRFGSPAGRAELAPAAVRGYVQLMPFFGGAERTRSEAECPDDAFLNRPLNDRYWRLSLPEGATADHPVANPFGPGAPALEGVEIAPTVVVVGGRDILHDRAVDYAARLKAMGKPVEVRDFEGQQHGFFTIDPWSDASAELMRVIKRFVDSDGRFD >PAN14884 pep chromosome:PHallii_v3.1:2:54667237:54668713:1 gene:PAHAL_2G451200 transcript:PAN14884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGELPWLFS >PAN14883 pep chromosome:PHallii_v3.1:2:54667048:54669881:1 gene:PAHAL_2G451200 transcript:PAN14883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKYEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKASAATSA >PAN10944 pep chromosome:PHallii_v3.1:2:11273627:11276964:1 gene:PAHAL_2G127900 transcript:PAN10944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGLLLGDAAGLGAHPTVRRRRTRLYPRGWPPAPPLRPRLGLGAAACVLRSRGHVARFAASASAGGGDEAGELSEDEAQREWEAELNRRLKEAEEMEELERTAEQLQSQAAAEATEESEEEKRERVRRELQKVAQEQAERRATAKQMFELGQKAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYILEAPKLKISNDEVVSIPQIGSSWDWYAGTWSDKIKEQEDKKRKMSAASNQVQPSPNIFGDFSFLRRPNEWKKSAWVIATLWILLIGTAIYLQT >PVH64332 pep chromosome:PHallii_v3.1:2:40538011:40539580:1 gene:PAHAL_2G239400 transcript:PVH64332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFFQDTGGGGGGGGGGSLFQYQRLERRGDDGAAPRPRWRWLPAALNGKAASSPPCLFRAKKLRWGRITSALIPRKVAELSAKIRRAGGATEVDVCPAVVIFMSPWGLPVLSRPLLAGHRSRYHLGRDAF >PVH64798 pep chromosome:PHallii_v3.1:2:49096060:49104515:-1 gene:PAHAL_2G358900 transcript:PVH64798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIKRLPKSVHSSLRSSIVLSDLPRVVEELIYNSIDANASKIDIAINIRACFVKVEDDGCGITRDELVLLGEKYTTSKFHNVMEDGELSPRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCLHLGIDDKREIVGTTVVVRELFYNQPVRRKQIQSSEKRELHHVKKCVLQIALIHPQISIRLLDIDSEDELLYTAFSSSPLPLISKSFGDDVSRCLHEISASDQGWVLSGHISGPADVFRTKDFQYLYINSRFVNRNPIHNMLNNLASSFQSSIMRTNEEIDVQSRKRQNTDIYPAFLLNFCCPRSSYDLHFEPTKTIVEFKDWQTVLLFFEQTVTNYWKTNALQSPKVKLTKGLLRHHNVQNNEEYGHFKNTQQKNAVRDTNSDMSATGAPKDSCCFSFDMEPSMQHVSFSGRITNSPWLTDNVASIDNKLRYKVMQSPERINYRWLEDGPSQLDDDLSSVNPTGRKRQRTEGIFHEYAYTGNFGMLEDVPTEGFLAHKQESELIDSEVEIQEPCFGSFNRSDKMTSDLVQNQTNVKALTSGWDGSYVEFDKTNGDCLLNEATDTITDVSFPEMLQFSDGFYHNDVNTSRSFRRVLRKCSINKKLGTAAGCVEGLEADTVSQMNFPDIHAVWNSDLMDRPCIGDTFHHFSRLSSLADTPCSRARTGLILQKKSDKSFGSWNCENIDSDVRFALDRFSNVSSIICEGTKNLDYFDNAIQPLNYFNKDCGSTDQFGSEDDLIMWKSKFDTRFSVDISPERSDNGCHLSVLSSNMANANTLTPDLLNQHNLGLDQRSRPSKGSRSRSHSAPPFYRAKQKFFRLNELPSKLAIDGDKVICTNSPEDNASTPVDISRMSLTQPVPETDSSEFPDLSFSSNGFVKFEDACSDGLEDSPAQITKWRDGSVQHTASNLPHGSFGGCYDDVLSISSGTLHLSCSSLVPECVDKNCFEEARVLLQLDKKFIPVISGETILLVDQHAADERIRLEELRTKVLSEEGHVVTYLDSEEELSLPETGFQLFQKYAEQIRKWGWIISSSSNSSESFKKNMNILRRQTRLVTLVAVPCILGVNLTGKDLMEFIQQLDETDGSSAMPPAVLRILNFKACRGAIMFGDPLLPSECCLIIEELKATSLCFQCAHGRPTTVPIVNVASLHSELVRHQMLSGRQAETWHGLAHQGPSLERAQMRLKQLRKLRRGL >PVH64799 pep chromosome:PHallii_v3.1:2:49096319:49103505:-1 gene:PAHAL_2G358900 transcript:PVH64799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIKRLPKSVHSSLRSSIVLSDLPRVVEELIYNSIDANASKIDIAINIRACFVKVEDDGCGITRDELVLLGEKYTTSKFHNVMEDGELSPRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCLHLGIDDKREIVGTTVVVRELFYNQPVRRKQIQSSEKRELHHVKKCVLQIALIHPQISIRLLDIDSEDELLYTAFSSSPLPLISKSFGDDVSRCLHEISASDQGWVLSGHISGPADVFRTKDFQYLYINSRFVNRNPIHNMLNNLASSFQSSIMRTNEEIDVQSRKRQNTDIYPAFLLNFCCPRSSYDLHFEPTKTIVEFKDWQTVLLFFEQTVTNYWKTNALQSPKADRVCAGGTSVPLKNDVKLTKGLLRHHNVQNNEEYGHFKNTQQKNAVRDTNSDMSATGAPKDSCCFSFDMEPSMQHVSFSGRITNSPWLTDNVASIDNKLRYKVMQSPERINYRWLEDGPSQLDDDLSSVNPTGRKRQRTEGIFHEYAYTGNFGMLEDVPTEGFLAHKQESELIDSEVEIQEPCFGSFNRSDKMTSDLVQNQTNVKALTSGWDGSYVEFDKTNGDCLLNEATDTITDVSFPEMLQFSDGFYHNDVNTSRSFRRVLRKCSINKKLGTAAGCVEGLEADTVSQMNFPDIHAVWNSDLMDRPCIGDTFHHFSRLSSLADTPCSRARTGLILQKKSDKSFGSWNCENIDSDVRFALDRFSNVSSIICEGTKNLDYFDNAIQPLNYFNKDCGSTDQFGSEDDLIMWKSKFDTRFSVDISPERSDNGCHLSVLSSNMANANTLTPDLLNQHNLGLDQRSRPSKGSRSRSHSAPPFYRAKQKFFRLNELPSKLAIDGDKVICTNSPEDNASTPVDISRMSLTQPVPETDSSEFPDLSFSSNGFVKFEDACSDGLEDSPAQITKWRDGSVQHTASNLPHGSFGGCYDDVLSISSGTLHLSCSSLVPECVDKNCFEEARVLLQLDKKFIPVISGETILLVDQHAADERIRLEELRTKVLSEEGHVVTYLDSEEELSLPETGFQLFQKYAEQIRKWGWIISSSSNSSESFKKNMNILRRQTRLVTLVAVPCILGVNLTGKDLMEFIQQLDETDGSSAMPPAVLRILNFKACRGAIMFGDPLLPSECCLIIEELKATSLCFQCAHGRPTTVPIVNVASLHSELVRHQMLSGRQAETWHGLAHQGPSLERAQMRLKQLRKLRRGL >PVH64201 pep chromosome:PHallii_v3.1:2:36196085:36197161:1 gene:PAHAL_2G213300 transcript:PVH64201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRIPTTTPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH64321 pep chromosome:PHallii_v3.1:2:40200789:40202320:1 gene:PAHAL_2G237200 transcript:PVH64321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRARRAAEAGEAVELRELLYGYTNAVVTRAAAGASGTTAERWRQLLAHSAALVAGFQAEDVLPDAAARLLRRATGLEKKFDDMVEEWDKFLSEIVAEHKEKRPGEAGDFMDVLLQLREEEEGEGFEHTDDGIKAIAKDMIVAATETAAVALEWAMAELAGNPRVMAKVQEEIARVAGDAEQQAIAEAELSRMCYLRAVVKEVFRLHPPLPLLLPRESMSPAAVQGGRYEIPAKTTLLVNAWAIGRDPAAWDAPEEFRPERFLAAAGGGQAQAVDLRGTDYQLLPFGAGRRICPGINFALPVLELALASVLRHFDWELPGGARPAELDMVEAPGLTTPPRVPLVLVPKWKALAY >PAN15283 pep chromosome:PHallii_v3.1:2:56236198:56242442:-1 gene:PAHAL_2G477400 transcript:PAN15283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRRPAAAAARAPPTQPPPADAAAAPGEPAKEPVLRPPNLGVAAAAAVLFLAPFSYLAFVHYPLDADLRGSILKCGAMSLGGFFIVLKLIPVAARYHLRRRMFGYDINKKGLPTGEIKVPEALGLVVGIVYLVTAIIFQQFHYAEDSIWLVEYNAALASVCFMILLGFVDDVLDIPWRVKLALPTIAALPLLMAYAGGTSIIIPKPLTPYVGLTVLELGLFYKLFMLLLAVFCTNSINIHAGINGLEVGQTVVISAAVLIHNVMRIGSSTDIETQQAHEFSIYLVLPFLATSLALLAFNWYPSIVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPQVLNFLCSVPQLFHFVPCPRHRLPRFDPQTGLLTGTKDGNLVNIFLRLFGKCSEKALCIRLLIFQALCCVFCFWLRYMLTGWYK >PVH63537 pep chromosome:PHallii_v3.1:2:3696115:3696416:1 gene:PAHAL_2G050300 transcript:PVH63537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGYLVLNGVQGDPARLWIARSCAVVHRYRLSSSVSSWQCCNRNIELKRMVTAMDTREIIVFTWQLPYALYGLGHRHEK >PVH63705 pep chromosome:PHallii_v3.1:2:6545490:6546753:1 gene:PAHAL_2G089100 transcript:PVH63705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCGSPVLALASQDEDLLQRKPRPYIPSIWGDFFLKHQPGTPSQLLSMKERARSKQEEVRQILLDTAASSELVLKLKLVDTLQRIGVDYHYKKEIDELLRDVHGAQHEEAGFDDELYVVSLRFYLLRKHGYNVSSDVFVKFKDDQGNFASNDAKCLLALYDAANLRIRGEDILDNAVVFTRSRLQSMMKTLDPELAAEVGYTLDTPSYRRVQRLEARRYISLYEKKVTRNDTILEFAKLDYNILQALYCEELKALTVWWKLRSPVTSVREICTG >PAN14181 pep chromosome:PHallii_v3.1:2:50988750:50990867:1 gene:PAHAL_2G389400 transcript:PAN14181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLVLALVAVALSVLFLLVTRARKPWQRGKLPPGSLGLPVIGQSLGLLRAMRANTAERWIQDRVDRYGPVSKLSLFGIPTVLLTGPAANKFVFFSTALASQQPRSMQRILGERNILELMGADHKRVRGALLEFLKPDMLRLYVGKIEGEVRRHLDENWAGRRTVTVLPLMKRLTFDIISLLLFGLERGAVRDTLFREFVDVMEGTWAVPLNLPFTAFSRSLKASARIHRVFTGIARETQAKLERGEASRSSDLIACLLSLTDDSGAPLLSEEEIVDNAMVSLIAGHDTSSILLTFLIRQLADDPDTLAAMVHEHDEIAKSKGDGEALTWEDLAKMKLTWRAAQEMLRMVPPVFGNFRRATKDMEFDGYVIPKGWQVFWTAAATHMDGSIYPEPDKFNPSRFETQSASVAPPCSFVAFGAGPRICVGMEFARIETLVALHYLLRRFRWKLCCKDNTFVRDPGPSPLHGLPIELEHKAASP >PVH63896 pep chromosome:PHallii_v3.1:2:12037617:12039433:-1 gene:PAHAL_2G133800 transcript:PVH63896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAVGHQAALPPPIAHSAGPLPLRGTNGYEYFTRAELRAPYTAPSDAAGRPPLRRPRRGVTRRCRPPGVRR >PVH64478 pep chromosome:PHallii_v3.1:2:44056036:44057673:-1 gene:PAHAL_2G279600 transcript:PVH64478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPWAALFAVVLATALVLKAIPSRGRRRTYNLPPGPKPWPIIGNLNLLGELPHRSTRELSRLYGPLMQLWFGSMPVVVGSSLEISKFFLKTHDAAFCDPPRFAVGKYATYDYSDILWAPYGAYLRQARKICTAELFSAKRVGSLEYIRDEETCAGASGGRTVRLRDHLQMAVLGVISRMVLGKKYVETAEDAAEGGAPAAATAAEFRELVDEFSVLNSAFNIGDYVPWLDWLDLQGYVRRMKKMSRQFDRFLEHDLLIGGTDTSWMTVEWAVSELLKNPELLAKATMELDRVVSDDRLVRESDLPNLPYIDCIIKETLRVHPGAPMLVPRLAREDASADGYDIPAGTTVLVNAWAIGRDPALWDAAEEFRPERFAGSEIAAEETDLKFKMLPFGSGRRMCPAFSLGLKVITFAWRLPDGMTKEDLCMEESYKLSLPRKVPLEAIVEPKLPALVYAGA >PVH65392 pep chromosome:PHallii_v3.1:2:56518283:56521417:-1 gene:PAHAL_2G481900 transcript:PVH65392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELTSPPQPPELIRGNLIPVLPDDLAVYCIALLPRAAHPSLALVSRAFHALLCRHPEPLLAARRALRLSDSHILLSLRAPSSASLLFFLLLPHPGWPPLPLPSPPVPVSSSSSVATDGSRLFLVGGSVAGVPASSVQILDPRARSWSIGPRLSSTREFAAAVAHSGVLFVAGGCVPSSPFWAEALDLSAPNAKWKTVASPVHLREKWMHGCASLAGKVLAVGDRGGLAYDPAAPPAEAWAPVSPVLDMGWKGRAAVVGAILYSYDYLGQVKGYDPDTDSWSTVEGLERELPRFLCGATLANVGGLLYLVWEGKWKEKGKGKGKEEVSSMVVWEGKSKEKGKGKVKEEVSSMVVIEWAGIEVTRVEEGRLRGKVVSRDTALFPDMPRGSTITHCIALEL >PVH65393 pep chromosome:PHallii_v3.1:2:56518283:56521394:-1 gene:PAHAL_2G481900 transcript:PVH65393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELTSPPQPPELIRGNLIPVLPDDLAVYCIALLPRAAHPSLALVSRAFHALLCRHPEPLLAARRALRLSDSHILLSLRAPSSASLLFFLLLPHPGWPPLPLPSPPVPVSSSSSVATDGSRLFLVGGSVAGVPASSVQILDPRARSWSIGPRLSSTREFAAAVAHSGVLFVAGGCVPSSPFWAEALDLSAPNAKWKTVASPVHLREKWMHGCASLAGKVLAVGDRGGLAYDPAAPPAEAWAPVSPVLDMGWKGRAAVVGAILYSYDYLGQVKGYDPDTDSWSTVEGLERELPRFLCGATLANVGGLLYLVWEGKWKEKGKGKGKEEVSSMVVWEGKSKEKGKGKVKEEVSSMVVIEWAGIEVTRVEEGRLRGKVVSRDTALFPDMPRGSTITHCIALEL >PAN15345 pep chromosome:PHallii_v3.1:2:56518282:56521395:-1 gene:PAHAL_2G481900 transcript:PAN15345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELTSPPQPPELIRGNLIPVLPDDLAVYCIALLPRAAHPSLALVSRAFHALLCRHPEPLLAARRALRLSDSHILLSLRAPSSASLLFFLLLPHPGWPPLPLPSPPVPVSSSSSVATDGSRLFLVGGSVAGVPASSVQILDPRARSWSIGPRLSSTREFAAAVAHSGVLFVAGGCVPSSPFWAEALDLSAPNAKWKTVASPVHLREKWMHGCASLAGKVLAVGDRGGLAYDPAAPPAEAWAPVSPVLDMGWKGRAAVVGAILYSYDYLGQVKGYDPDTDSWSTVEGLERELPRFLCGATLANVGGLLYLVWEGKWKEKGKGKGKEEVSSMVVWEGKSKEKGKGKVKEEVSSMVVIEWAGIEVTRVEEGRLRGKVVSRDTALFPDMPRGSTITHCIALEL >PVH64718 pep chromosome:PHallii_v3.1:2:47986469:47987562:-1 gene:PAHAL_2G340400 transcript:PVH64718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHNRPATCLPLRSAPMPPRARPLPPVAGRHIAARAATTRDHAPVPAAFSARNDRSGAMPCRCAAPRRLPRWRHRGTYLGRVPCRGSCRQRHQGMPCPSRRTARTAVSPSTGRG >PVH64807 pep chromosome:PHallii_v3.1:2:49189354:49192344:-1 gene:PAHAL_2G360700 transcript:PVH64807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISAVCSDWIGYTLTYYLYNRGKDFFEVMDPKATQEWTSCEEEEFKVHFAELWYEKSCDRMEALAKRFPAKSIQQLRDKYAEVFADMLCGETDGEPSRDDATNDWDDWYKLLEGETHDLVMDPLVETPLFEPSKQLLFEPAGDQEEIQKSHCKSSRKRKQCWTAEEHRDFLRGVNCLGRGAWKFISDYFVPSRTPAQLASHAQKYFDRIDKNELDDTRQRHSINDVRLVNHGMNISAHSYTEPGKGKGIASSILPTILTEDIGILHGLAQGMPEFGQASNSPSNLAGQMTHNNHILESFQLEVSGIPSPREQGSVLLDQTRAENRAYPSRKRNIGAATNRRREKKRMLPDLLTAQTPHVLQLGQGSNGAANLSYEIVPIKRHNLHQNVPPF >PAN13452 pep chromosome:PHallii_v3.1:2:47857520:47860340:-1 gene:PAHAL_2G337900 transcript:PAN13452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVASSARGGRGGEGTVLGDLPESCVAEVLLRLDPPEICRMARLSRTFRGAASGDGVWESKLPRNYARLLAVAAAGDGGERQAAAAAALEAESLPKKEVYARLCRRTRFDGGKKEFWLDKGGRGICMSISSMALSITGIDDRRYWNFIPNDESRFHTVAYLSQIWWFEVRGEVEFCFPEGTYSLFYRVHLGRPFKRLGRRVCSSEHIHGWDIKPVRFQMSTSDGQQAQSKCYLTDPGVWINHHVGDFVVKDSSKPTNIRFAMIQIDCTHTKGGLCVDSVVVKPQYLRQKKLTRCYV >PAN12544 pep chromosome:PHallii_v3.1:2:43462394:43467312:-1 gene:PAHAL_2G271600 transcript:PAN12544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASQSIIFLLLIFSSTAAQNTTGNSTNEFHVGVILDLGSLVGKVARTSISLAVEDFYAACQNCTRKLVLHVRDSAGNNVQAASAAIELLENYKVQAIIGPQKSSEAAFISELGNTTRVPIVSFTATSPSVTSDAMPYFVRATLNSSVQVDSIASLIKAYGWREVVPVYDDTDYGRGILPHLIDALQQIDTRIPYRSVISLSATSENIMQELYKLRMMQTRVFIVHMSSTRASLLFTKAKEAGMMKKGYVWIITNGLANMIDSLDPSVIEAMNGVIGVRFHVPRSKELDSFSIRWNRMYHQDNPNESPFNKLSVVGLWGYDTVWALAQAAEKIRVLSNKNNRLQSTKNSTCLESLAVSTIGPQLLTEIVQNKFRGLSGNVDLTDRQLQVSALQIINVVGRSWRHIGFWTFKNGLSRQQNQNGLETTRSTSMLNLNPVIWPGESTEIPRGWELPAGGNKLRVGVHTSAYPEFIRTSKDPVTSATRASGLSIDIFEEAVKKLPFALSYEYEAFDTVDTQSTGSYNDFVYQVYLQRYDIAIGDITIRYNRTLYVDFTIPYTESGVAMIVPVKEKVNNNMWIFSKPLSKGMWFGSIMFFIYTGIVVWLLERLNGNGYLHGPFSLKQLGILMFFSIFEEKEKLECFLSRIVLLVWMFVLLVLASSYTASFASMLTVQQLSPTVTDIHELQKQGGYVGFHRGSYIEGLLEDIGFDRSRIRPYDTPEDFHSALSKEGKYGGVAALVLEVPYIKLFLAKYCKGYTMVGPIYKSAGFAFALPKRSPLLTEISRAILNITEADSIIQIEKKWTDQNSCQNEEKIADSDAITFGNFGGLFLLTGFVTTCSLSIALLTNHYKKGQQKAGINMDDQSQYRHGQQEENERIQEEDQNNKDNEGSNDIENQATIISMPHSPNTNTDQLHKITRQQHPPIMVHKSLIGETELA >PVH64107 pep chromosome:PHallii_v3.1:2:29444092:29444869:1 gene:PAHAL_2G189100 transcript:PVH64107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRWDALKADFTTWKTLLLSASGLGRDLKTGTIAASDDWWEEKIDAMSLCKKFRFAPLDNEEDVEIMFSGASCTNANAVALRAREGSAGNGNGNGNGNYNDKDNGSDDVQEVHPSPAEKQPAKRGAAYKSPKKGKKNFRDMQFKRFVDSFVEKASSSSATSSPTDHVRQEIAEILQSVIEAGACEGSDEHFYATQLLIKKEFRDVFVTLKTPEGKLAWLKRTWEERKKR >PAN10722 pep chromosome:PHallii_v3.1:2:9280234:9284796:-1 gene:PAHAL_2G113400 transcript:PAN10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVDAARYAHSPAHHAVATRDHATLRRVLDALPRGRRPEEIRTEADSVAEEARAEAVSAVIDRRDVPGRETPLHLAVRLGDVAAAEMLMAAGADWSLQNEQGWSALQEAICAREETLARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGTEDGKVPPGSLLMINHKDKEIMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERMEAVGPWKAKVYDMHHVVVSVKSRRVPGAMTDEEFFSACNDNDTESEGFDDVLTEEEKKQLEAALKMESPDAVGEDQSDSFAGPRHSCFEPREREIPIEDMSVSGNGESKHDKKGWFSNWGKRSQVSKPEGVKKMAPPRSSLCVDEKVSDLLIESPSNVQTRPGRHSVDVVRTNDNRRIRERDNRRSAENEHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSSAVQPSSSSWIQWIKAPYRQNFSTASGPSSRVEDIQDPFAIPSDYVWTTPEEKKKKTQENKNKSKKGRNGS >PAN12847 pep chromosome:PHallii_v3.1:2:45102174:45105347:1 gene:PAHAL_2G294700 transcript:PAN12847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPDRSNMTFFSQILSPIQLSPFQAKHLPVSTSRSAQPSHPWKLAPSALHQLPCSSNPFYARTREPDTRTTPTQRPAASSVLKYPEPEGGREQVQGDKLTRGLLCWGVEFTRPHRMCGVVGACRGAWHGTTAQCDSELACWGSWRSSVGIREREREPERWRGWVEL >PVH64719 pep chromosome:PHallii_v3.1:2:48001421:48002032:1 gene:PAHAL_2G340700 transcript:PVH64719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFVPSLAVRPRGLLFDLLFGRQLPGCGFYVSTHNNDKASNISNEWHVR >PAN13355 pep chromosome:PHallii_v3.1:2:47422109:47424246:-1 gene:PAHAL_2G330700 transcript:PAN13355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 7 subunit of 20S proteasome [Source: Projected from Oryza sativa (Os09g0515200)] MAWQQAMGSHAAGGSQAPPAAAGGGETQRTQYPYVTGTSVIALKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHVATGFGNHLAIPILRAEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQVALTCT >PAN13354 pep chromosome:PHallii_v3.1:2:47421708:47424428:-1 gene:PAHAL_2G330700 transcript:PAN13354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 7 subunit of 20S proteasome [Source: Projected from Oryza sativa (Os09g0515200)] MAWQQAMGSHAAGGSQAPPAAAGGGETQRTQYPYVTGTSVIALKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHVATGFGNHLAIPILRAEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYSLKTYWGFSHFENPAQGAVGSW >PVH64740 pep chromosome:PHallii_v3.1:2:48522570:48523036:-1 gene:PAHAL_2G348500 transcript:PVH64740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHDWIKFFYHMSSIYDSHSVYLLIFIKFRHSRGSIQNCSTALHEAITKFI >PAN10072 pep chromosome:PHallii_v3.1:2:5199992:5204766:-1 gene:PAHAL_2G070100 transcript:PAN10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAGALDLASGLGGKINKAEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWHGESLRESIKRHEHFLGLQLGLKPGMKVLDVGCGIGGPLREIARFSSTSVIGLNNNEYQITRGKELNRLAGVSGTCDFVKADFMKMPFGDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVVWDKDLAEDSPLPWYLPLDPSRFSLSSFRLTSVGRMVTRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLARKPLTE >PAN15343 pep chromosome:PHallii_v3.1:2:56517258:56518294:1 gene:PAHAL_2G481800 transcript:PAN15343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKSKVSPGRQPAQPSPHVTAPRHPPTPPPHPKHAFFSSSDRVLASSTHSVHPSSQSQPIRSHLPEMMRGGGGDEYHQQQYYGPRGAPHGLLMAVVLGLLVAGPLFMGDGGEAITGAVAELLGPMGLLLLPVGLIIVIRVLSSDRGAAALADVFALGGSPDSVHRLGGSPVGVALALMLIVVLLYYRTSLFGGDGGDDE >PAN11776 pep chromosome:PHallii_v3.1:2:36739234:36747761:1 gene:PAHAL_2G216000 transcript:PAN11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGTEGLFAKKTLEITDDVLLSYKNQGGFDLLGRTVDQIRTTEQVNAAMSTCCDLSLDGLIIIGGVTSNSDAAQLAETFATHNCKTKLWSHYSQTSSDPYLAIRNKFSESTQILQVIGVPVTLSGDLKNQFVETTVGFDTVCKVNSQLISNICLDAVSAGKYYYFVRLMGGKASHVAFECALQSHPNMVILGEEVALSKLTLMEITNKICEGVEARAAQGKYHGVLLIPEGLIESIPEMYALIQEINNLHSNNVPEDEIPSQLSPWAAALFKFLPPFIRRELVLHQESDNSAQLSQIDTEQLLAHLVEAQMNKRTKEGNYYKGRKFSSVCHFFGSQARGSLPSNFDCNYAYVLGHICVQIVATGLNGYMATVTNLKDSINKWRCAAVPLTAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYGVLREKASSFLLDDFYRTPGGIQFEGSGADTKPITLTIEGQDYLGDIEILQDYLEKVRIILKPGCSREILKAAISSMASVNDVLKVMSAPLNAELPLYHFN >PAN11777 pep chromosome:PHallii_v3.1:2:36739182:36745244:1 gene:PAHAL_2G216000 transcript:PAN11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNADLGSPRELTGLQQRRALYQPDLPPCLQGTRVRVELGDSTTSVDPKCADIVAQAFPHTFGQKLVHFLEPNTMVSDAQVLEEHPPIRVGVLFSGRQSPGGHNVIWGTYDALKVQNPHNVLLGFVGGTEGLFAKKTLEITDDVLLSYKNQGGFDLLGRTVDQIRTTEQVNAAMSTCCDLSLDGLIIIGGVTSNSDAAQLAETFATHNCKTKLWSHYSQTSSDPYLAIRNKFSESTQILQVIGVPVTLSGDLKNQFVETTVGFDTVCKVNSQLISNICLDAVSAGKYYYFVRLMGGKASHVAFECALQSHPNMVILGEEVALSKLTLMEITNKICEGVEARAAQGKYHGVLLIPEGLIESIPEMYALIQEINNLHSNNVPEDEIPSQLSPWAAALFKFLPPFIRRELVLHQESDNSAQLSQIDTEQLLAHLVEAQMNKRTKEGNYYKGRKFSSVCHFFGSQARGSLPSNFDCNYAYVLGHICVQIVATGLNGYMATVTNLKDSINKWRCAAVPLTAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYGVLREKASSFLLDDFYRTPGGIQFEGSGADTKPITLTIEGQDYLGDIEILQDYLEKVRIILKPGCSREILKAAISSMASVNDVLKVMSAPLNAELPLYHFN >PVH64214 pep chromosome:PHallii_v3.1:2:36739234:36747761:1 gene:PAHAL_2G216000 transcript:PVH64214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNADLGSPRELTGLQQRRALYQPDLPPCLQGTRVRVELGDSTTSVDPKCADIVAQAFPHTFGQKLVHFLEPNTMVSDAQVLEEHPPIRVGVLFSGRQSPGGHNVIWGTYDALKVQNPHNVLLGFVGGTEGLFAKKTLEITDDVLLSYKNQGGFDLLGRTVDQIRTTEQVNAAMSTCCDLSLDGLIIIGGVTSNSDAAQLAETFATHNCKTKLWSHYSQTSSDPYLAIRNKFSESTQILQVIGVPVTLSGDLKNQFVETTVGFDTVCKVNSQLISNICLDAVSAGKYYYFVRLMGGKASHVAFECALQSHPNMVILGEEVALSKLTLMEITNKICEGVEARAAQGKYHGVLLIPEGLIESIPEMYALIQEINNLHSNNVPEDEIPSQLSPWAAALFKFLPPFIRRELVLHQESDNSAQLSQIDTEQLLAHLVEAQMNKRTKEGNYYKGRKFSSVCHFFGSQARGSLPSNFDCNYAYVLGHICVQIVATGLNGYMATVTNLKDSINKWRCAAVPLTAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYGVLREKASSFLLDDFYRTPGGIQFEGSGADTKPITLTIEGQDYLGDIEILQDYLEKVRIILKPGCSREILKAAISSMASVNDVLKVMSAPLNAELPLYHFN >PAN11778 pep chromosome:PHallii_v3.1:2:36739234:36747761:1 gene:PAHAL_2G216000 transcript:PAN11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNADLGSPRELTGLQQRRALYQPDLPPCLQGTRVRVELGDSTTSVDPKCADIVAQAFPHTFGQKLVHFLEPNTMVSDAQVLEEHPPIRVGVLFSGRQSPGGHNVIWGTYDALKVQNPHNVLLGFVGGTEGLFAKKTLEITDDVLLSYKNQGGFDLLGRTVDQIRTTEQVNAAMSTCCDLSLDGLIIIGGVTSNSDAAQLAETFATHNCKTKLWSHYSQTSSDPYLAIRNKFSESTQILQVIGVPVTLSGDLKNQFVETTVGFDTVCKVNSQLISNICLDAVSAGKYYYFVRLMGGKASHVAFECALQSHPNMVILGEEVALSKLTLMEITNKICEGVEARAAQGKYHGVLLIPEGLIESIPEMYALIQEINNLHSNNVPEDEIPSQLSPWAAALFKFLPPFIRRELVLHQESDNSAQLSQIDTEQLLAHLVEAQMNKRTKEGNYYKGRKFSSVCHFFGSQARGSLPSNFDCNYAYAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYGVLREKASSFLLDDFYRTPGGIQFEGSGADTKPITLTIEGQDYLGDIEILQDYLEKVRIILKPGCSREILKAAISSMASVNDVLKVMSAPLNAELPLYHFN >PVH64555 pep chromosome:PHallii_v3.1:2:45656408:45658494:1 gene:PAHAL_2G302300 transcript:PVH64555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g12770 [Source:Projected from Arabidopsis thaliana (AT3G12770) UniProtKB/Swiss-Prot;Acc:Q9LTV8] MYFACGDAWSARQVFEGMCDRDVVSWTSLISGLVQNGHPLQGLHHFASMMHSEVCPDFVLLVTVLKAYMELDDLPGATAAHSLVVKSGFDNELDVVITLTSMYAKFGCIMSARALFDRVPIPRVNVILWNAMISGYSKNGLANEAVQLFKQMRKVARNMTPDSVTLRSVILACAQLGSVELAEWMEDYVRGSEYKDDVLVNTALIDMYSKAGSIDRAHTVFERMHVRERDVVVWSALIGGYGVHGHVKEAVTLFEDMKRAGVKPNDVTFLGLLSACNHGGAVEKGWSYFHSMKHDYGIEPRHQHYACMVDLLARAGHLDRAYHFIMDMPIKPEMSVWGALLHGCKMHGHSDMALAECAAQHIFELERSNAGHYVQLANLYASAGMWSHVAGVRLTMRERGVSKATGCSSIDINGEMHSFHAGDHSHPRAAEIFALLSLLSPTPAGGGGGQEFF >PVH64041 pep chromosome:PHallii_v3.1:2:23912241:23912989:-1 gene:PAHAL_2G172400 transcript:PVH64041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYLGWHQEGIIGLQTGGYGMAYKLQRLVDFVISKLNLLTTLYSDVLYLYRFGLRYYLDWASGT >PVH63643 pep chromosome:PHallii_v3.1:2:5701264:5708245:1 gene:PAHAL_2G075900 transcript:PVH63643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRIKNLAKSFVKSTKLSKRQDDDLFAGTSARASRRRQLLEHLPPEMQGQIAFQRDESEEETEEETSSKESDEAGPENDLGGWDRWSEGSAGGGSAGQGSRVGGSRAGETSAGDRGTGAGDEGAGAGEAGAGAGGSEAGGSGGQGRTRRRRSKIGWIPPPKPPREEEKCVITPNGDGSWFEPNFPGVGHLRQVNKILGNICRMLWPGMVELVSGERIPATSWNHYRYGVNITFGNTQKAVWAEFWKYYKLPEEGAYDDHARRVFHRNAHIVVRDMISYARIQVVASYLERTQGMRFEKKRDAGKYYLTEEQYREEMIPWMATREEAYHALCHYWTTDEFKSISQRNRGNRGTESYHTYGGDGHFRLAKRIEVRTGVAPSDIQVYLEGHRGRDPTNPDQLCSQAATERLAAYGDQMIARHGEGYDWRNAPIDPEAVYSSGGGKPHGRYPLFDKVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIATQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVDPTSNWADQFIGSGGSIQPGDGGGQT >PAN14695 pep chromosome:PHallii_v3.1:2:53442124:53445364:-1 gene:PAHAL_2G430600 transcript:PAN14695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPAPVTARLKREDCHRTKHDPLFSPWKVLVGPSDWEDHSAGKDGVQRYRIRNLPDNFPGLYELGVAGASDEGVRARRRDSRGVVVVYLGQADSVRARLQQYGRSGSHLDTGNSLGSAGKDELNVVAPGLGLFREVFFRGYSIVFRCALMDNKQEAEKTEAQLLRVFDYAWNKLQNGACRREEILLKLEQGAASHRSSLLSRVRHMKQDIFGVKAGIKIKGSGSVNTLPGIMKSMLPRVRTFIGFRPHLVNSDDSGGEAIDIPSKKISGIPCGNRQACRRRSEGYKVKKIDVAKRRTVPIQDSNSFCGVVLEDGSSCLEHPVEGRKRCSLHKGRRVKGSPKSSSTSYPCQAEIPIPRLIEALDNSDRTQEVIESIPHLTEDLDNSDRTQESEILPKNISITVEESLRQSNSIKVEEVKTREAPTEDGTHDASQDACICEEKASHAEPESQEPQPSGRMWFDLLKAQKKSTSTHAARGSGSQTRIRDRATPICGAVANNGSCKMVPIAGRKICEKDSGIEVGASFSRSSGWPCTCGARTPDGSPCINKPVEGRKRCALHKGQRASCSLTPLV >PAN15443 pep chromosome:PHallii_v3.1:2:57006758:57010334:-1 gene:PAHAL_2G488400 transcript:PAN15443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEFGVGTAPRKRASWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIYGALCFVFWTLTLIPLIKYVTIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVAQRSRVKEWMEKHKKLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSKDQHEYAVIPITCAILVFLFALQHYGTHRVGFLFAPIVLSWLLCMSAIGLYNIIHWNPHVYQALNPSYMITFLKKTRKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPSLILGYMGQAAYLSKHHNFDASYQIGFYIAVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEVNWMLMILCIAVTVGFRNTKHMGNASGLAVITVMLVTTCLMSVVIMLCWHRSPLLALAFFLFFGSIEALYFSASLIKFLEGAWVPILLSLILLAVMFLWHHVTIKKYEYDMHSKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPGHCSYRCIVRYGYRDVHQNVDSFETELVESLATFIKLDALFRCSDAGEPRDSSCYERENALTVIGSNPLRRHLGYDDSHDGVSSSAYETRADADSVNGIELAAAPAPAIKKQVRFAVESRSPGVDERVLEELRELCEAREAGTAFILGHSHVQTKPGSSVLKKLAVGVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >PAN15442 pep chromosome:PHallii_v3.1:2:57006450:57011693:-1 gene:PAHAL_2G488400 transcript:PAN15442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEFGVGTAPRKRASWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIYGALCFVFWTLTLIPLIKYVTIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVAQRSRVKEWMEKHKKLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSKDQHEYAVIPITCAILVFLFALQHYGTHRVGFLFAPIVLSWLLCMSAIGLYNIIHWNPHVYQALNPSYMITFLKKTRKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPSLILGYMGQAAYLSKHHNFDASYQIGFYIAVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEVNWMLMILCIAVTVGFRNTKHMGNASGLAVITVMLVTTCLMSVVIMLCWHRSPLLALAFFLFFGSIEALYFSASLIKFLEGAWVPILLSLILLAVMFLWHHVTIKKYEYDMHSKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPGHCSYRCIVRYGYRDVHQNVDSFETELVESLATFIKLDALFRCSDAGEPRDSSCYERENALTVIGSNPLRRHLGYDDSHDGVSSSAYETRADADSVNGIELAAAPAPAIKKQVRFAVESRSPGVDERVLEELRELCEAREAGTAFILGHSHVQTKPGSSVLKKLAVGVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >PAN14707 pep chromosome:PHallii_v3.1:2:53510957:53514556:-1 gene:PAHAL_2G431400 transcript:PAN14707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNSASGEVTIKHLNKEQEADQSNFRDPTTNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDDGLYEDSD >PAN09172 pep chromosome:PHallii_v3.1:2:144284:148738:-1 gene:PAHAL_2G001500 transcript:PAN09172 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G39760) UniProtKB/Swiss-Prot;Acc:O22286] MAIPPQTPPQPPSWSRSVTETVRGSHQFTVRGFSLAKGMGPGRYLASDIFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRARHKVHSHFDRALQAGPYTLKYRGSMWGYKRFYRRSLLETSDFLKNDCLVMNCTVGVVKNRIETPKNIQIHVPPSDMGRCFKELLSLGIGCDITFEVGDEKVRAHKWILAARSPVFKAQFFGPIGKPDLRRVVVEDVEPVVFKAMVNFIYADELPSIHELAGSVSMWTSTVVVQHLLAAADRYGLDRLRILCEAKLCDELTPETVATTLALAEQHHCAELKSSCLKFAAVRENLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDPASVNRKRGVCGNEGAAPVESVEASERRTRRRV >PVH64379 pep chromosome:PHallii_v3.1:2:41860867:41862186:-1 gene:PAHAL_2G253200 transcript:PVH64379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEACSPKMLELRRLIRAPVCHALRRGRRGGGHVDAARRTDDLAACLGPPVLLNSCFAEGCILMRSPRHCPSHGWTARVRVERGGPPAPPDVKGYCPVHGWTSYFHYEGGPSAAAPRAYGIASCQSPSPARSSSPSYSPETPPYTLTPAEERYGYVTSLGPPGKPRGTMAARHRVPPYYNDATSSGAAAPVVYSKPELVPPPSPPAPIPA >PAN15608 pep chromosome:PHallii_v3.1:2:57798515:57803594:-1 gene:PAHAL_2G501300 transcript:PAN15608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFRAASGAARLALRRSLATRAPPAAAATRCGRGFHSTALRPRSAAPAPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVGQASPSAGVSGQTIQESMQLLLLVRAYQVNGHMMAKLDPLGLDDRAVPEDLRLGLYGFTDADLDREFFLGVWRMSGFLSENRPVLTLREILSKLQQAYCGPIGYEYMHIPDRDKCNWLREKIETAKPRDYDKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGRRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDAERTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGSGEVSKEDVQRIHDKVNRILNDEFTKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEILKRVGQAITTLPENFKPHRAVKKIFELRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQETGAKYCPLDHVAMNQSAELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVTAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKQVEEGINRLILCSGKVYYELDEERKKSERSDVAICRVEQLCPFPYDLIQRELKRYPYAEIVWCQEEPMNMGAYSYISPRLYTAMKALGRGSFEDIKYIGRAPSAATATGFLSVHAQEQSELVKKALQPEPIKFP >PVH64256 pep chromosome:PHallii_v3.1:2:37890662:37891888:-1 gene:PAHAL_2G223400 transcript:PVH64256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSVGFLDPQVVTATVISHQPSTVTQAIKNAMKNDSVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDAHMEEMMKANKKKPRTKSKLTHRIDVNCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFKAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAFYL >PAN13051 pep chromosome:PHallii_v3.1:2:46070645:46072517:-1 gene:PAHAL_2G310300 transcript:PAN13051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTVRELWAESRDLLGLGSPSPDDTAAASAVPRADLPPTPLAFLRDHVSPGRPLLVSAAGTRHWPAASLWPTASYITDALRSTAVSLHLTPDGRADALAPHPHPGRPGSSSAPKCFASAHVRRVDFPTAVRLIRGSDPAAGVVAYAQQQDDCLRGEYAAVAGDVDAHVPWASEALGSLPEAVNLWIGNAHSVTSFHKDHYDNIYVVVSGEKHFLLLPPTEHHRLYVRDYPAAHYVAEEKDTDGEHQVRLKLEMEEPGRIVPWSSVDPCPGSPEEMAAQASSFPLYFDGPAPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEIDDCSSGEAGALEGDLEEKNN >PAN13227 pep chromosome:PHallii_v3.1:2:50230378:50233505:1 gene:PAHAL_2G377700 transcript:PAN13227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEININFRGLLGRGSGGAMGNSCAKGSEHKTFKRTIDGFSSLLDKGAGWTDSSVFEFMGVNWCLKLNPKDRKRNDKEHVSLKLKLTRTSVKPDTVIEASFKFLIYDQSFGKHREHLGNYSFQAASTTSGTSCMIPLKKLKTSGFLVNNSCTFGIEFIKVATVKTSAPLETLFATKMNVFNEAKVYNWEIQDYFALKNPSYSPEFEVGLSVCPTSDGNHLSLYLKMKRPNDLPKDSGNLVEFTLSIKDLENGKDKRSTGRYQFSNNVTYWGCRKFISLEDFKDASKSYLIKGKCCVEAKVAVAGPSKTE >PAN14004 pep chromosome:PHallii_v3.1:2:50231298:50232923:1 gene:PAHAL_2G377700 transcript:PAN14004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEININFRGLLGRGSGGAMGNSCAKGSEHKTFKRTIDGFSSLLDKGAGWTDSSVFEFMGVNWCLKLNPKDRKRNDKEHVSLKLKLTRTSVKPDTVIEASFKFLIYDQSFGKHREHLGNYSFQAASTTSGTSCMIPLKKLKTSGFLVNNSCTFGIEFIKVATVKTSAPLETLFATKMNVFNEAKVYNWEIQDYFALKNPSYSPEFEVGGYRWFISVSN >PVH65141 pep chromosome:PHallii_v3.1:2:53651760:53656297:1 gene:PAHAL_2G433000 transcript:PVH65141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFSRAGRFRDAVNAFRRMVDSGVRPALVTYNVVLHVYSKMTVPWKEVVALVDSMKEDGVAMDRYTYNTLISCCRRRGLYREAAHLFDEMRAAGFRPDKVTFNSLLDVYGKARRHEDAVEVLKEMENAGCPPSVVTYNSLISAYVKDGLLKEALELKTEMELKGMKPDVVTYTTLISGLDRIGKIDAAVGTYNEMVRNGCSPNLCTYNALIKMHGVRGKFIEMMAVFDKLKSAGYVPDVVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPETDTYVSLISSYSRCGLFDQAMEIYKRMMEAGIYPDISTYNAVLSALARGGRWEQAEKLFAEMEDRDCKPNELSYSSLLHAYANAKKLDKMKALSEDIYAKRIEPHNWLVKTLVLVNNKVNNLSETEKAFEELRRRRCSLDINVLNAMLSIYGKNRMVKKVEEVLSLMKENSINHSPATYNSLMHMYSRLGDCENCETILTEIKSSGMRPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCSGEC >PVH65140 pep chromosome:PHallii_v3.1:2:53651791:53656297:1 gene:PAHAL_2G433000 transcript:PVH65140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFSRAGRFRDAVNAFRRMVDSGVRPALVTYNVVLHVYSKMTVPWKEVVALVDSMKEDGVAMDRYTYNTLISCCRRRGLYREAAHLFDEMRAAGFRPDKVTFNSLLDVYGKARRHEDAVEVLKEMENAGCPPSVVTYNSLISAYVKDGLLKEALELKTEMELKGMKPDVVTYTTLISGLDRIGKIDAAVGTYNEMVRNGCSPNLCTYNALIKMHGVRGKFIEMMAVFDKLKSAGYVPDVVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPETDTYVSLISSYSRCGLFDQAMEIYKRMMEAGIYPDISTYNAVLSALARGGRWEQAEKLFAEMEDRDCKPNELSYSSLLHAYANAKKLDKMKALSEDIYAKRIEPHNWLVKTLVLVNNKVNNLSETEKAFEELRRRRCSLDINVLNAMLSIYGKNRMVKKVEEVLSLMKENSINHSPATYNSLMHMYSRLGDCENCETILTEIKSSGMRPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCSGEC >PVH65032 pep chromosome:PHallii_v3.1:2:52024831:52028755:1 gene:PAHAL_2G406200 transcript:PVH65032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPALTDDLLSDIFLHLPEPADLVRTSAACVPFRRLVTDRAFLRRFRALHPAPLLGFLDHNGFHPALPPHASAPAARAVSLAADFSFSFLPSSSTGRGWVVRDLRDGRVLLDRAPEDGGGDERSVFTEIAVCDPLHRRCVLLPAIPDDLAAAVDQPLRVEFDRWCEPFLDPSGRGDPEDETSFGVILMVQCNAKLVAFAFSSSSGQWRAPASLSWSDLMSGVGVSSRSPAFSGRQYARGCFYWVMDWRDKLLVLDTRRMEFSIADLPPGCHRRQIAIVEAGEGRVGMFALRDHAADGAVSLFYTVKQDDADGSSHWQMEKTIPLDPEFRHYIRGAMERYILLLRFPEHLSSAGVHVSSSAETEDLECFSMDVRTFQLERVCQLKHHILRAYIYTNFPPLLSPQSI >PAN09239 pep chromosome:PHallii_v3.1:2:685339:689257:1 gene:PAHAL_2G010700 transcript:PAN09239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAAAGASSSSTPQAGGGDAPRQRRAPRAGSDPLLIVCGCFSVVTAATALLCVAVNVLSAVQAFRAGSNIFGGIFRCYAVVFSLFVGVLETEWGFIIKFWKIFEYWPARGMLQIFVAVMTKAYPSIERNDLILLQEIASYMLLACGAVYVISGILCIGVLKHSRQQKATSREQAVKDLQELERRREELEALLIAERSELV >PAN13969 pep chromosome:PHallii_v3.1:2:50116990:50119262:1 gene:PAHAL_2G375900 transcript:PAN13969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAHVLVFPCPAQGHINSMLPFAAALLDTGVFVTFLHTDHNLRRASSVAGSPHLRFLSVPDGLPDDHPRSLGDMMELERSLREVGAVRYRALLASLSSAGRPSQDDSDGVDDDQSFPPVTCVVVDGLLTWAIDAAEELGVPALAFRTSSACSFLAYQTIPELVELGELPFPAGGDLDEPVRGVPGMESFLRRRDLPSLCRRSGGTGGVDLDPILHVFAEATAHSREVRALVFNTTASLEGPALAHIAPRMRNVFAVGPLHAMSSSAPAPASSLWSEDDGCIAWLDGQPDRSVVYVSLGSLAVIKHDQFAEFLSGLVAAGYPFLWVLRADTVAASKGQHTTPQGSIGAVGEDKARVVAWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPMVCWPFFGDQLINSRNVGAVWRTGLDMKDVCDRAVVERMVREAMESDEVRSSAQKLARVVRRDVSEGGSSAREFQRLVRFIKELSMEGAKSDHQNQG >PAN10360 pep chromosome:PHallii_v3.1:2:6597874:6600623:1 gene:PAHAL_2G089500 transcript:PAN10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCGSPVLALASQDEDLLQRKPRPYIPSIWGDFFLKHQPGTPSQLLSMKERARSKQEEVRQILLDTAASSELVLKLKLVDTLQRIGVDYHYKKEIDELLRDVHGAQHEEAGFDDELYVVSLRFYLLRKHGYNVSSDVFVKFKDDQGNFASNDAKCLLALYDAANLRTRGEDILDNAVVFTRSRLQSMMKTLDPELAAEVGYTLDTPSYRRVQRLEARRYISLYEKKVTRNDTILEFAKLDYNILQALYCEELKALTVWWKGLQSQAYERFARDRVVEMHFWMLGVIHEPHQSYARIALTKCFKLVSLMDDFCDNYSTTEEYEIFITALERWDEQAAEKLPAYMKDLFIFILNTINDIMGDLKLQKNKHAEFVKELFIDTVKRYGAERKWSDEHCVPAKISEHLQVSVGSSGCMHIANITFVLMGDVTTREAIEWAFSFPEMIRAVCIVGRISNDIMSHEREQVSKHVASTVQTCMKEYGMTVHQAYEKLRALIDEAWMEIVQGCLCKTEPMELLEKVVNVARVMDNMYKRDDAYTHPYSLKDTITSMYVNSV >PVH65096 pep chromosome:PHallii_v3.1:2:53009021:53011484:-1 gene:PAHAL_2G423200 transcript:PVH65096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITPAQKQKHAVNNSEFRLAVGAMLLPTFHLAALLLLFVSAGRCADAAAGGDGGRFVYNGFRAANLTLDGAATVTPNGLLMLTNGTIQMKGQAFHPSPLPFRDPKAPNATAARSFSTTFVFAIFGQYTDLSSHGLAFFVAADRAVLSTALPGQFLGLLNNTNDGNRSAHVFAVEFDTLFNADFHDLNSNHVGVDVDSLESRVAADAGYYDDATGLFRNLSLISRKAMQVWVDYDGAATQVTVTMAPIGLARPKKPLLQTTVDLSDVVQDAAYVGFTSATGVLFSRHFVLGWSFGLDGPAPALNISVLPALPPAGPKPRSKVLVIVLPIASATLVFAVGIAIYALVRRRIKYAELREDWEIAFGPHRFSYKDLFHATKGFSDKQLLGAGGFGSVYKGVLRKSNTETEVAVKKVSHESKQGMKEFITEVASMGRLRHRNLVQLIGYCRRKGELLLVYDYMPNGSLDKYLYDRSKGGLDWPQRFRIIRGVASGLLYLHEDWEQVVIHRDVKASNVLLDAEMNGRLGDFGLARLYDHGADAHTTHVVGTMGYLAPELGHTGKATPATDVFAFGAFLLEVTCGRRPIEQDEHGNRTVLVDWVTGRWRRGLIIDAADTMTPDGFNPDEVSLVLKLGLLCSHPLPNARPTIRQVMQYLDGDMVLPDLSLEHFGFTVMEQMYSREFDKNMTMPCVSSTSMGTVSDISGGR >PVH63542 pep chromosome:PHallii_v3.1:2:3718796:3721182:1 gene:PAHAL_2G050900 transcript:PVH63542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTRCDLLFSSHSNALALWTCFARLVDLRIWDCDALVYWPENVFQVLVSLRRLSIWSCSKLTVHTQASDGQSAPERGGLLPRLESLWINGCTSLVLVPNLPASLKTLHIASCSDNIKSIIFGQHEYVTLVGGEGVVQPDTSSLIPGSCGSEATASTAVLKLSSAANHRSLPCLESLSIWRCDCLSEVANLPSSIKILDIYSCGNLQSLSGKLDVVQKLNIISCSRLESLESWIGELWSLEVLMLYGCKSLVSLLDGPQAYSSLRVLQIQDCDGIKSFPPGLQSCLDCLEVKHIDACYEEPKTLIRAIRRLVDKAATRLFPCSYDEESDSD >PVH63511 pep chromosome:PHallii_v3.1:2:3149641:3150489:-1 gene:PAHAL_2G044800 transcript:PVH63511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESPQLRWAFIRKVYALVAMQLLATAAAAAAVYFVPAIRRFFAARTPAAVAAFVAIILAPIILVLPMVFLRKRHPVNLVLLALFTVSMSFAVGLGCLSRKGIIIIEAASLTLVVVRNHDFSFLGPFLAAACLILMLYWLGQPDHL >PVH65170 pep chromosome:PHallii_v3.1:2:53839727:53843011:1 gene:PAHAL_2G436200 transcript:PVH65170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEPEVFDVVIFGASGFTGKYVIREALKFLSPSSPLRSLAVAGRSRDRVAAALRWAAAPAPPPDDVAVLVADASDSASLAALASRARVVLSCAGPFRLHGHAVAAACAAAGADCLDISGEPEFMERVEAELHEPAAKSGSLIVSACGFDSVPAELGFLFHSRQWAPPSALVTVEAYVSLHYTKRIVGNIGTYESAVLGVASADQLRALRRSRPRRPRPNIPGPTPPNGSLIEAHNPLGMWAMKLPSADTTVVKRTLSTITEHPEGLPGAEETPEYAKHRKNFWSSVKPAHFGVKIASKSLVVLLRSVIMGLSIGLLANSPLGRYLLLRYPEFFSTGLFSRAGPTEEEVRSGSFKMWFVGHGYGDAARALERGGKLDKEVITEVSGPEVGYITTPIVLVQCALVLLTQRGNLPRGGVYTPGALFGPTDLQRRLQENGMSFDVHGTRSML >PAN13114 pep chromosome:PHallii_v3.1:2:46391098:46395840:-1 gene:PAHAL_2G314900 transcript:PAN13114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGALVASRLARSGRTLASAVAQAPAAQRTATPLLSRLGAVARALSTKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVSKNQNGDLLIGITASRQAVTNAQNTIRGSKRLIGRTFDDPQTQKEMKMVPYKIVRAPNGDAWVEMGGQKYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLEYLVAEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADASGAKHFNITLTRSKFESLVSNLIERTRIPCVNCLKDAGISTQEIDEVLLVGGMTRVPKVQEVVSQIFNKPPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSEGDIEKMVREAELHAQKDQEKKALIDLKNSADTTIYSIEKSVSEYKDKVPVEVTKEIESAVSDLRAAMAEDDLDKIKQKLEAANKAVSKIGEHMQQGGGGSAGSGGGSSGGDQTPEAEYQDSKEAKM >PAN13386 pep chromosome:PHallii_v3.1:2:47543554:47546133:1 gene:PAHAL_2G333000 transcript:PAN13386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNTGGDGERKASGGGSHVLLLPYPSQGHVHPMLQFGKRLALHGLRPTLAVTRFILATCAPDAAALGGVRLAAVSDGFDRGGFGECGDVTAYLARLEAAGSATLAELLRDEAAGGRPVRAVVHDAFLPWARAAARRHGAAAAAFFTQPCAVNVTYGHVWRRRVGVPADKGMVRLPGLPALEPEGLPWFLRVGPGPYPAYFELVMRQFQGLEEADDVLVNSFHELEPEEAEYMASAWRAKTIGPTVPASYLGDGRLPSDTKYGLHLFELTSAPCVAWLDAHPPRSVVYASFGSLSDLDPSEMREVARGLLDTGRPFLWVVRASEAHKLPAGYEEEARGRGGLVVSWCPQLEVLAHRAVGCFLTHCGWNSTAEALVAGVPMVAVPQWTDQPMNARYVEAAWRVGVRVRPAAADGLVRRAEVAGGIEEVMAGERSGEYRRNAAAWMEKARAASREGGSSDRNIAEFVGKYSSNSK >PAN09472 pep chromosome:PHallii_v3.1:2:1853270:1857369:-1 gene:PAHAL_2G025900 transcript:PAN09472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Pollination and drought stress responses, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os07g0150700)] MSVSVGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILEKEKVLKHKMIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQVINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIAELINNEWFKKGYQPPRFETVDVNLDDVNSIFDESGDPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQMGSVKKETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPEGSIVEGDEVRHRRPP >PVH63863 pep chromosome:PHallii_v3.1:2:10540907:10542399:-1 gene:PAHAL_2G123000 transcript:PVH63863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSKCKLRIFLLIGFAVVLQPSSAIRAEGAPAADPRAAPPSGIPPLPPQPRECRPWLMRMMPCAGFITNSSVYAPEPSCCGGFNSMFAYGTVTCLCHVVNGDVGRLLPAPMIHARMVELFSVCGHDVRVEMLAAACNLTNDVPPIDLPSPPPSTPTRHCRRQRCRRRMAMTN >PVH63864 pep chromosome:PHallii_v3.1:2:10541585:10542278:-1 gene:PAHAL_2G123000 transcript:PVH63864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSKCKLRIFLLIGFAVVLQPSSAIRAEGAPAADPRAAPPSGIPPLPPQPRECRPWLMRMMPCAGFITNSSVYAPEPSCCGGFNSMFAYGTVTCLCHVVNGDVGRLLPAPMIHARMVELFSVCGHDVRVEMLAAACNLTNDVPPIDLPSPPPSTPTRHCRRQRCRRRLCIVFLFSCLFLFASCNANISVV >PVH63862 pep chromosome:PHallii_v3.1:2:10541566:10542278:-1 gene:PAHAL_2G123000 transcript:PVH63862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSKCKLRIFLLIGFAVVLQPSSAIRAEGAPAADPRAAPPSGIPPLPPQPRECRPWLMRMMPCAGFITNSSVYAPEPSCCGGFNSMFAYGTVTCLCHVVNGDVGRLLPAPMIHARMVELFSVCGHDVRVEMLAAACNLTNDVPPIDLPSPPPSTPTRHCRRQRCRRRL >PAN12556 pep chromosome:PHallii_v3.1:2:43578113:43579003:-1 gene:PAHAL_2G272700 transcript:PAN12556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELSLELTLFHASASPEPPGYFVCMYCDRKFFSSQALGGHQNAHKYERSLAKRRREIAAALRAHGAAAAASPGAAGVVFAEDKRARVDEQLQPAAVAAPVPAQPSSRRRSSEHGYGVERADELDLTLRL >PAN14991 pep chromosome:PHallii_v3.1:2:54986679:54988067:1 gene:PAHAL_2G456600 transcript:PAN14991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAIAVVVLLSSLLAVSSAAEKFDFFYLVQQWPGSFCDTRQGCCFPDTGKPAADFGIHGLWPNYAKCGRGRQEELASAVLGEDDVAFFSAVGRRGKCWPEYCDDGNALSPWEIRDLVASLHRDWPTLSCKSGRSFEFWSYEWKKHGTCSNLAQHEYFARALALKARHNLTAILAGAGIVPSDTETYPLRSVREAIARGTGFTANLECNRDADGNAQLFQVYQCVDREGKKLIDCPLSMPTKCSRDQVKLPVF >PVH64550 pep chromosome:PHallii_v3.1:2:45506097:45506552:1 gene:PAHAL_2G300600 transcript:PVH64550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRVSILTTGIRAVLSCTLNISCSSPSHTSLSPQPGAGTPAIPAHPSSSAQTGSSSSEAASSPRSSPPLARHVRRTVSALGRLERAAPAGGRARRSRGTRASGGRNRCNGGKGVKAGGGGTGSSKGGSGSSSGGGCSACGGSGAQGSMRQ >PAN13615 pep chromosome:PHallii_v3.1:2:48622076:48623318:1 gene:PAHAL_2G351000 transcript:PAN13615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQRKHARSGSFTAAGLASFLRSTVASFSSNFPSRGRSSFNHRNAFSGPIVSIVPPEARGGGRRKRSGYRTPEPTSPKVSCIGQIKRSSSRRQKKVNPCGRNGGACPLPPRPAEGTKPRGGPRGSLVKRMSFFRRSRSRSRSSSKDGCANGSCTVAAPAPAGLGQMKRFASGRAAFQDFDWREEEEEGRRSRGSSDGEDEDEGFVAHSAPLTLGGGVVASEPRKEVNLWRRRPMAPPTPLQLP >PVH64162 pep chromosome:PHallii_v3.1:2:34151885:34154131:1 gene:PAHAL_2G205800 transcript:PVH64162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVAPRLSRSSTRYGPVSSSAASFSGPVRKWRKAWVPLAGAAAAGSGGGASRGDNKVVLFRWTPVNGGSGGGGGAASGMEPAAAGRRRYVPAAGEAENTSKKGTSSELNLNLELEDPDDDTDADMSTDEPRDVKDSNPRPESRLKRKAF >PVH63661 pep chromosome:PHallii_v3.1:2:5931104:5933010:-1 gene:PAHAL_2G079300 transcript:PVH63661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLRILQRYNLRGSYRERSVRSLQSRWDIIKAEVGKFSSFYADVIRENPSGMLDADKTTHAAANFAGILKHNFAYLHYWEIMKDEPKWQDPKPRAFAKSAGGDGFGEDTINLGDDNSSPTGSAEKRPMGRDSAKAAKKKANSSMGSASFSEYASRMQDLYLQKISILQEESVRKNDRFQQLAFIDEKRFEEMRSHNQSLLLIKQEKIRIMREKHDMDKEEKEKREDEISLGLILMVAHQLSDYITKLFRKKFSKRLQLGAGRDRAPEPWMGEETFFFSANVTLVECVILLFVECVVRTLFECNCIVNLCSWNYYLLTVICSVIIS >PVH64747 pep chromosome:PHallii_v3.1:2:48590004:48593275:-1 gene:PAHAL_2G350300 transcript:PVH64747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPREDAPIKENEIRITTQGRMRNYITYATALLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSVGYQTPLPADQVKPLVEYDNDEDAHSPGGRGRGRGGRGRGRGRGRGRGGRGNGYNDYADGGWGEEDHAPEYMGNGYPRGRGRGFRGRGRRGGYGGQPDYQQDGGYYDEAPVPAPGRGRGRGRGRGRGPSRGRGRGGNVNGVVHAAAAGA >PVH63580 pep chromosome:PHallii_v3.1:2:4352549:4353022:1 gene:PAHAL_2G059200 transcript:PVH63580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLPLCVAWICRRIVFSFFPPIRHRPPAPVPHHRLPAPAPHHRPPPRHALPAAAPRHGRARHGRGRRRPGRTRLGRAVREVDSSTALEYDRPRVRQVHRWSHRRGRQAYPHRWPSPGVRRSCLE >PAN15115 pep chromosome:PHallii_v3.1:2:55453925:55456817:1 gene:PAHAL_2G465800 transcript:PAN15115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTAPKSGDALFASVDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLHYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >PAN12667 pep chromosome:PHallii_v3.1:2:44246266:44248668:-1 gene:PAHAL_2G282100 transcript:PAN12667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVKWLMHWHPSPGVTLNSQILAEACGCAESLGGVKDGRWKTSIFFYRAMTRDGAAGPAGQQGQQHPDLPRELLGVALHERPGLYFSIVRAARLVLQADAAFPQVMEKLQSYKARVALNFEGFQYQLGDFCLRIGKCAPNNSESLRGIMMEVEYYPLSSIEKSRAIMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDQYSFQHTAVQYATCLQQLMATVRG >PAN13455 pep chromosome:PHallii_v3.1:2:47870668:47871462:-1 gene:PAHAL_2G338200 transcript:PAN13455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRFVHRWKSYFVSSLHRFLAQPSLNLMLFYYQMVEPSFRLLSF >PAN14959 pep chromosome:PHallii_v3.1:2:54884008:54888724:-1 gene:PAHAL_2G454700 transcript:PAN14959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLAFVLLLLSGGAAGDDVAALLEFKKGIADRDRDPVLGSWSPPATTEAGNGAGGCPAAWRGVVCDGGAVVGVMLDGLGLSGELKLGTLAGMRALQNLSLARNAFSGRLPPGIGSLSSLRHLDLSGNRFYGPMPGRLADLSGLVHLNLSHNNFSSGFPTDGIRQLQNLRRIDVRNNSFWGNAGDLLTELRNAEYIDLSDNLFTGSVDLELDKLTSIGNTVKYLNVSQNKLGGGFFRNETVGAFKNLAVLDLSNNGLGGTVPRLDAWFSLEIFRVAGNGLFGMMPEALLQNSMRLVEVDLSRNGFSGSLPIVNSTTLKVLNLSSNVLSGSLPATVGKCTSVDLSGNLFSGELAILRSWDGIVEVIDLSSNKLEGSYPNDAAQFQNLVSLKLRNNSLSGSLPSVLGTYQKLSVLDLSLNALGGSVLPTFFMSPALTVLNLSGNRFTGTIPLQSTHSTESILLSSQPALKIVDLSSNSLNGPLPPDISNLQKLEFLILAMNELSGEIPSEISKLQALEYLDLSHNHLTGRIPDMPQNGLKLFNVSYNNLQGSVPKSVEKFPLSCFRPGNDLLVFPDGLPAGNDDYTGVAQSRTSHGHKAGVRVALIVGCIGAILLVIFIALALYVVRSQELCGRNGFRGQITIRDLKGRISRPNLFKSPKDNVIPSKTSFSNDHLLTAAARSMSAQKELLAEAAVEYGYTDPKEVAESTSTGVAETSAAVQVRESSPRAALPTSPHFADSRFHEEPVAFEVYSPDRLVGELIFMDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHVLTVKWLRVGLVKHKKEFTKEVKRIGTIRHPNIVSWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLRIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANAAKPTPSFKSDVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDDLCSITV >PVH63792 pep chromosome:PHallii_v3.1:2:8537118:8537471:-1 gene:PAHAL_2G107800 transcript:PVH63792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLKYLLNSFLPSLHFSPLYELLGLPNPLWKLPSLQGMQKCGTRIKSIFTILSCRKKSYWVLKLHLYWPLNKVWIFLLSTQRKFGKISRREENFNERVVFYLRCPIIFAEQSTSSN >PAN14987 pep chromosome:PHallii_v3.1:2:54961187:54963160:1 gene:PAHAL_2G456000 transcript:PAN14987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKPLTALLKSATRPGHLLQLHALMLKSSHFPHHAFPTARLVASPLAPLPYALSLFAAVPRPTLFHHTALLRALSACPSAASLAASLSVLASARARLPDLDEFAFQPLLALCAKIPGDAEAASIGKQLHALVLRYGFLDVVSLRNVLCHFYCRCGNMSDARRVFDEMPERDVISWNTVIGGYVRAEDVGTAVDMFTAMRWADMDVNMTAVITLIGCGWQGESVHGFCVKAGLCSDVKVAAAMVRMYVREGGAECASKVFHETARRDLVLCNCMVDGYAKAGRIQEAMDLIDRMRQFGMRPSSGTLVGVLSGCGASGALPAGHRIHELAQEAGLELDSALGTALMDMYFKCGCPDEAVSVFNAMHNRDVKAWTAMIMGFGANGQPGAAISLFYRMEEDGVAPNEVTFLALLSTCSHGGLVQEGKEFLERMVLHHGLSPSPEHYGCVIDLLGRAGRLDEAYELIRSLASWGDATGWRALLAASRVHGNVKLGRMVQSQLDAMGHYHPSDAIQLSNTYASEGRWDEIARLRDLEAQKISVEKETGWSSIVVSC >PAN11210 pep chromosome:PHallii_v3.1:2:33062772:33063395:1 gene:PAHAL_2G201200 transcript:PAN11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAACILHHNGDCLTAVPALVAGTSADGDMRPRLLRRQLLPARLAASSTMKEHLGFLRPVHRS >PVH65471 pep chromosome:PHallii_v3.1:2:57842014:57845886:1 gene:PAHAL_2G501500 transcript:PVH65471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAINDLDKELEYLKQAFEDEKTRYEERAKQGF >PAN15545 pep chromosome:PHallii_v3.1:2:57479538:57481322:-1 gene:PAHAL_2G496000 transcript:PAN15545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCLLSMIADRNRFFFARRKDRYDDKYHRVWLICSVSSWPVSDRLVLFLPIHALSSEVEREREQQAAAASWQRAIAVRLLASNHSFILSGQVSCLFFIQALPWGVSGTGHASGHSAISAC >PAN11317 pep chromosome:PHallii_v3.1:2:14155065:14156114:-1 gene:PAHAL_2G145400 transcript:PAN11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNTGSQPPQQDAAAAGGGGAEKDPQQADTVTKTVQTVEVRSSAGQPDEEGVLKPVRVVHEIPAKDAKENPGVKQD >PAN15541 pep chromosome:PHallii_v3.1:2:57466494:57467009:-1 gene:PAHAL_2G495800 transcript:PAN15541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSKRKPDSQQGPSQPPSRWRNPRAQEAYSSKLLDALRLVRAGSAPSARGREVRDAAYRALAVAARGRSRWSRAIIARRRRALQRASLLPSAPPSSVTVSSSSSGPGQSLASRARALGRLVPGCRRLSLPALLAEVSDYIAALEMQVRTMNQLTQDVAVASGTGSSPTA >PVH65446 pep chromosome:PHallii_v3.1:2:57465772:57467459:-1 gene:PAHAL_2G495800 transcript:PVH65446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSKRKPDSQQGPSQPPSRWRNPRAQEAYSSKLLDALRLVRAGSAPSARGREVRDAAYRALAVAARGRSRWSRAIIARRRRALQRASLLPSAPPSSVTVSSSSSGPGQSLASRARALGRLVPGCRRLSLPALLAEVSDYIAALEMQVRTMNQLTQDVAVASGTGSSPTA >PAN13052 pep chromosome:PHallii_v3.1:2:46076994:46078230:1 gene:PAHAL_2G310400 transcript:PAN13052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEVQTPTVVATEEAPAVETPPAAVAAEEAPKEEAPAAAGAVAEEAAPAEAVAETKEVEPAAEPEAEAPKEPEPAAAAEAEPAAAAEAEAPKEAEAEPAAAEEVKEAAASAAEPEPAAAEPEAAAPAAEEAPAAAEEAPAAEAEKASSE >PAN11044 pep chromosome:PHallii_v3.1:2:12349180:12351634:-1 gene:PAHAL_2G135700 transcript:PAN11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVGSDQIGFDGTEELGKIISSAAFPPHPWRDASPGPASLSSFRLPRKEIRKRMQRQQDDGTVNAAAIVLAAAARTTSTGHLQLRQQLDDDDLASCAATRKTRWWSRLRARLACFRPHGHPQRIADASPEPGAAIAEHAAAGSTGLFHIARHAPQPAVAFVAPPPSPASSALTSGSPSPAVLVNANISSSSYSSPTASIFAVGPYAREPQQLVSPPAFSAGLTEPSTAPLTPPAEAACSPHLLATTPSSPEVPFARFLWHPVAAADHHQQQHFSGGGGAEGLLNAYQLQPGSPILVSPGSTSSSPPSWTVGHPVRARNEGLPLLDGGRIPITEEGGDCGSGSASRNDDTHDDEVAKTGGEFVFGSNVDAAAGGEVGGGGSLALADATEQWPFHLAHG >PVH64303 pep chromosome:PHallii_v3.1:2:39335266:39339244:1 gene:PAHAL_2G232100 transcript:PVH64303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPPCLATAAKAPEVAAKMMVATDRGDCQRLKELVNKEDATTMVVVMSRKEAPAKKHTPASMHPLLAEAACTGNWEEIIFLLEGGDLQEGHSSKSKTRSQKFLDKLAAYTSGPAATDVEEGINAVSLLKGVNVEGDTALHLVAANGEGNNSVECADLIHGKDKGLLSRQNYKGDTPLHCAARAGKSQMFSHLIVLATGDNIVKDLVRQENNSNETVLHVAIRNGAHELVEDLLKVDPNLACFPQQRTSPLYLAILLEHETIAQTLYEKSENNVLSYSGPNGQNALHAAVLRGPEVTRKLLKWNKNMDLTTGRDANGSTPLHFAAGLPGAGKEGSACAQVFDATTSVLYQPDNDGLSPIHVAAAARAAEDPIAKFVSKCPSSAGLRDAKGRTFLHVAVEKKNERVVRYACNMDRSLAWVLNMQDNQGNTALHLAVKDGSHAIFRLLFGNRQVNLNLTNEDGQTPLDIALYNIRPSFYESITANPEVWIGAALEFAGARGGASRKDHFRENYEDRHGVKTNYAEKELEMLKDSTQFQSIGPVLVATVTFGAMFALPGGYRADDSDYGGTPTLAGTLAFHAFMVTNTLAFICSTIATLASMYAGSAMLHLERRMAHFYCSIEFMHTSIMALAAAFALGVYTVLSPVAQKTAIVICVMSPLVVLYSLKDFWLNWARFAMPLYVRKGAIWTLRKYTEVVLVNMLSGNMLCVSWLIMMVALPSYYHPISKAVSPAQAPATFA >PAN14424 pep chromosome:PHallii_v3.1:2:52184391:52188602:-1 gene:PAHAL_2G409000 transcript:PAN14424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSVPFAALRGASEWRPSSTVAAVSGAVVLSARARRGSRSVVRCVATAGDVPPTVAETKLNFLKSYKRPIPSIYTTVLQELLVQQHLMRYKRTYQYDPVFALGFVTVYDQLMEGYPSNEDRDSIFKAYITALNEDPDQYRADAQKMEEWARSQNGNSLVDFSSRDGEIEAILKDISERAKGTANFSYSRFFAVGLFRLLELANATEPTVLDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVDREKKKREERSEIPKPNEAVTKFDGDLYSMRH >PAN11979 pep chromosome:PHallii_v3.1:2:39657803:39658199:-1 gene:PAHAL_2G233200 transcript:PAN11979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQDTIFDMFIRVDSTNHSKSFYMHKEATIVSAFPATAMVTIIRHNHNLDMNYRSTIAWQPAFCFFTMHLSCVRTSLQCIDLVVLSGFWDRPPGFLDLGPSPVCPFDSSILSCGSELRHM >PAN10141 pep chromosome:PHallii_v3.1:2:5561471:5566440:1 gene:PAHAL_2G074200 transcript:PAN10141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPTPTAAAVWEVELDLDDSGIRLDAPSPSPIPRSCTTTPSHEDKTPHAARHHRIPEPASAVQDSMRRRLADGSPLALGRAGARAPDADFLLPPWLCALQLLGKERAWAQPGIKEIRREEELRRAPLVAGVVTSCKPNGLGDLLIMLKDPTDTIAAAVHKKVLLEGNNGQDIAVGCVILLSKVAIFRPTRKACYLNVTKVIKVFRKDCDAPSKQVISANTTERSEDSTNSIMTRLLGHERMMASNNEMTVIEVSLQHQGRSDSNNSTSAPDIYGRCSTGNNQEGGLQMLAGGPRRKNILNCSADGHSQQNLNSRNMTSQPSLCGSTVMFGDRYSTQASDNENLRRPFDNEKMLHISKKLKSDAILPDGSGETASSRIDTGNNQVSKRNMNTELDGMSEQFNGQRASIREPIEQQQRNFTAVNAGGVQPTKEYAPSISGLLLNPKKALPVASLAEWTDDQVSELFADY >PVH64030 pep chromosome:PHallii_v3.1:2:22201354:22201744:-1 gene:PAHAL_2G168400 transcript:PVH64030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLEFLTSLVTGNACACMCAWSSSASERGCWRWPGLASLLHMLGKSSSSKTQRRDSVCGDERLYFGYS >PAN13377 pep chromosome:PHallii_v3.1:2:47515099:47516155:1 gene:PAHAL_2G332400 transcript:PAN13377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGDKAAVMAHTMPPLSTAQSTTSILKVAPKSPALKNSSNLSLGAKCDTRRALVCGLIAAGAGAMLGPDIASAASKRRPSPPAPTEEKKDPNVSGVQAKVLASKRRKEAMKEAVAKLREKGKPVDK >PAN09464 pep chromosome:PHallii_v3.1:2:1809107:1823690:1 gene:PAHAL_2G025200 transcript:PAN09464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] METPSEASNSKAADAKPVKPRPVAPTGRFALGTASSIKKHADGAASAEVAVSRSSMMKSTSSVNASSVQRSSSTGTVGKQQGSGSSVVAKKASPTLSDGAKKRKPVSATTVSSKPAVEKKSSLTERATTDSVKKPAVKASPASTLKKVQSKTESSNGSSVSTRRVASNISLQSPRSVTSTATKKSGSLTSSSVPSRRKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLSPALEFVYLRDNLLSSLEGVEILKGVKVLDLSFNDFKLPGFEPLRNCIVLQQLYLAGNQISSLASLPELPNLEFLSVAQNRLKSLCMAHQPRLQVLAASRNKISTLKGFPHLPSLEHLRVEENPLLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVSKQYPAHTAICIRDGWEFCSPELAADSTFSFLVEQWKNKLPQDYMVNKAYVDHPFEEDPCHCHFSFTNLGSEGELVLKYQWFLGGKTPTDFVPIPEALSEVYWPKHEDVGRCLKVECTSILNGAEFPAIFAVSLPVSPGTGCPKVINLTVSGELVEGNILTGVPEIAWCGGTPGKGVASWLRRRWNDNAVVIEGAEGMEYQLTVDDINSSLVFMYTPVTDEGVKGEPQCTMTDFVKAATPSVSNVHVLGDTVEDNVIIGKGKYFGGREGLSKLQWFREKENGEFLLVLSDSMEYTLTKEDVGRHLKFVYTPVNLEGQEGESACALTDVVKKAPPKVFNLKIVGEAREGSKVSASATVTGGTEGSSRVQWYKASSSEFRNEHELEALSTSKVSKTFRIPLGAVGYYIVAKFTPMAPDGEIGEPAYAISDGVVETLPPSLNFLTVTGEFCEGQILTASYGYIGGHEGNSLYSWHLHETEDDEGTPALEASGLLQYHVSKEAIGKFVSFKCIPIRDDGIVGEARAFMGKDRVTPGMPTLLSLEVIGEAIEGKTMVACKRYWGGEEGDTMFRWILASSDGTEKEIEGATGSSYTLKCDDIGFYIFVLCKPVRNDGVHGSLVSTEMIGPIIPGPPTCQSLELAGSMVEGGRLTFHAEYTGGLRGSCIQEWFRLHGDGRKEKLTADECLDLDLADVDCRIELVYTPIREDGLEGSPRSVISDTILPGEPKGVNLILPECFEDNEISPIKTYFGGKEGTGKYTWFRNKEKPDNLEFDLIAASSEVVGETLKYKLSLDDVGSYLFLYWVPTRYDGKTGDPVMAITDDPVMAAFPSVSDVHLEQKNSDVYCGLGIYYGGYEGSSLYRWYRESSDGTRIHIDDADSVTYEVTDADYSFRLLFGYTPVRSDGITGEEKLSEPSDVILPEPLKIETLVFKGNQVERETLTVIEQIPSSEVQQHIWNNYKNEMKYQWFVSSGSGENQSFEPLATQCSRSYKVRFEDIGRCLKCECFVTDVFGRSSELVSAVTAPILPGRPKIEKLEIEGRGFHTNLYAVRGIYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAIYTPVREDGVEGQPISVSTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRVLEVNRKRIKVVKPGSKTSFPTTEVRGTYAPPFHVELYRNDQHRFKIVVDGESEVDLMVQTRHMRDVIILTIRGLAQKFNSTSLNTLLKIEA >PAN09465 pep chromosome:PHallii_v3.1:2:1809146:1823690:1 gene:PAHAL_2G025200 transcript:PAN09465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] METPSEASNSKAADAKPVKPRPVAPTGRFALGTASSIKKHADGAASAEVAVSRSSMMKSTSSVNASSVQRSSSTGTVGKQQGSGSSVVAKKASPTLSDGAKKRKPVSATTVSSKPAVEKKSSLTERATTDSVKKPAVKASPASTLKKVQSKTESSNGSSVSTRRVASNISLQSPRSVTSTATKKSGSLTSSSVPSRRKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLSPALEFVYLRDNLLSSLEGVEILKGVKVLDLSFNDFKLPGFEPLRNCIVLQQLYLAGNQISSLASLPELPNLEFLSVAQNRLKSLCMAHQPRLQVLAASRNKISTLKGFPHLPSLEHLRVEENPLLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVSKQYPAHTAICIRDGWEFCSPELAADSTFSFLVEQWKNKLPQDYMVNKAYVDHPFEEDPCHCHFSFTNLGSEGELVLKYQWFLGGKTPTDFVPIPEALSEVYWPKHEDVGRCLKVECTSILNGAEFPAIFAVSLPVSPGTGCPKVINLTVSGELVEGNILTGVPEIAWCGGTPGKGVASWLRRRWNDNAVVIEGAEGMEYQLTVDDINSSLVFMYTPVTDEGVKGEPQCTMTDFVKAATPSVSNVHVLGDTVEDNVIIGKGKYFGGREGLSKLQWFREKENGEFLLVLSDSMEYTLTKEDVGRHLKFVYTPVNLEGQEGESACALTDVVKKAPPKVFNLKIVGEAREGSKVSASATVTGGTEGSSRVQWYKASSSEFRNEHELEALSTSKVSKTFRIPLGAVGYYIVAKFTPMAPDGEIGEPAYAISDGVVETLPPSLNFLTVTGEFCEGQILTASYGYIGGHEGNSLYSWHLHETEDDEGTPALEASGLLQYHVSKEAIGKFVSFKCIPIRDDGIVGEARAFMGKDRVTPGMPTLLSLEVIGEAIEGKTMVACKRYWGGEEGDTMFRWILASSDGTEKEIEGATGSSYTLKCDDIGFYIFVLCKPVRNDGVHGSLVSTEMIGPIIPGPPTCQSLELAGSMVEGGRLTFHAEYTGGLRGSCIQEWFRLHGDGRKEKLTADECLDLDLADVDCRIELVYTPIREDGLEGSPRSVISDTILPGEPKGVNLILPECFEDNEISPIKTYFGGKEGTGKYTWFRNKEKPDNLEFDLIAASSEVVGETLKYKLSLDDVGSYLFLYWVPTRYDGKTGDPVMAITDDPVMAAFPSVSDVHLEQKNSDVYCGLGIYYGGYEGSSLYRWYRESSDGTRIHIDDADSVTYEVTDADYSFRLLFGYTPVRSDGITGEEKLSEPSDVILPEPLKIETLVFKGNQVERETLTVIEQIPSSEVQQHIWNNYKNEMKYQWFVSSGSGENQSFEPLATQCSRSYKVRFEDIGRCLKCECFVTDVFGRSSELVSAVTAPILPGRPKIEKLEIEGRGFHTNLYAVRGIYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAIYTPVREDGVEGQPISVSTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRVLEVNRKRIKVVKPGSKTSFPTTEVRGTYAPPFHVELYRNDQHRFKIVVDGESEVDLMVQTRHMRDVIILTIRGLAQKFNSTSLNTLLKIEA >PVH63443 pep chromosome:PHallii_v3.1:2:2174633:2178900:1 gene:PAHAL_2G030500 transcript:PVH63443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKESLELLKSAIEKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKDDKNDGSHKISGDALKDVYKSFVSEYPIVSIEDPFDQDDWATYAKLTEEIGQNVQIVEDDLLVTNPTRVAKAISEKTCNALLLKDESFEVSANADCVVITSRGESLEGL >PAN09400 pep chromosome:PHallii_v3.1:2:1391901:1396367:-1 gene:PAHAL_2G020100 transcript:PAN09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTERHQQPAAAGKVAAFNLAEAGFGDRPDLDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPVVLVAFSVITWFCSSLLADCYRAPDPVHGKRNYTYGQAVRAYLGVSKYRLCSLAQYINLVGVTIGYTITTAISMGAIKRSNCFHRNGHSADCEASNTTNMIIFAGIQILLSQLPNFHKLWWLSIVAAVMSLAYSSIGLGLSIAKIAGGVHARTTLTGATVGVDVSATEKIWKTFQSLGDIAFAYSYSNVLIEIQDTLRSSPPENAVMKKASFIGVSTTTMFYMLCGVLGYAAFGNQAPGNFLTGFGFYDPFWLIDVGNVCIAVHLIGAYQVFCQPIYQFVEAWARDRWPDSGFLNAERVVRVPLAGDFPLSPFRLVWRTAYVVLTSLVAMIFPFFNDFLGLIGAVSFWPLTVYFPVQMYMAQAKTRRFSPTWTWMNVLSFSCLVVSLLAAAGSVQGLIKDLKGYKPFKVS >PAN14780 pep chromosome:PHallii_v3.1:2:54270993:54271760:1 gene:PAHAL_2G444000 transcript:PAN14780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSHRRHYFAHPQHPLLRTQYGGDSTHVCDICRSQLAGLAGYRCNACDIDVHEACAVYFKEAVAFFAHPWHSLTLSRIPAAGAGWACDLCEEECAPGSFVYRCARCMFDVHPLCTMLPQTIRSALHPEHDLCMVPSSGHCAACHGDLPVWQYVCGGDCLIRLHIACVSGVLSGDDSLLAGQSSAGAGASQQLGSFASQTASSGYCYSSVAPKPSSSSARVIAKFLLKASFRVAVDAANGDLASPVLNVLEAAFS >PVH63921 pep chromosome:PHallii_v3.1:2:12337075:12338772:1 gene:PAHAL_2G135600 transcript:PVH63921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGRRRTRSTARAAAKPLVVLWATSAICSALIAVLLASRSYYLQQQQQPITSTGAVEEGRHHHRRRACDDQARWVAKMASLHNASLVLTVDRRGCANFTSLQKAVDAVPDRAAARTLIAVDAGVYAEKVVVWSNKTGVTLHGRGNLETTVAWNGTANSSGGTFASATFAVLADGFVAYNLSVQNTARPADPGDAGRQAVALRVAGDQAAFYWCGFYGSQDTLLDEQGRHFFRECYIEGSIDFIFGNARSLYLGCTISSVANAAAGGAVTGSVTAQGHASPADRTGFAFVRCSVVGTGQVWLGRPWGAYATVVFARTYLAGVVAPGGWNDWNDPARQQMVFFGEYDCTGPGAAGGGAAQRVEYARKLDQRQAAPFMDASYIDGSQWAVPPLLPTVQGDDVISAE >PAN12643 pep chromosome:PHallii_v3.1:2:44119947:44125786:1 gene:PAHAL_2G280500 transcript:PAN12643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVAVVLEPPRPKSPPRYPDLCGRRRLQLELQILNREVDFLKEELQSLEGVPPVSRSCKEVNEFVGTKQDPLLPIEKKRHRSCRLFWWIRSKLCICVPWICCSCQCWPKCKRPCCFDCSCCSCPDVLCCKPSCKSCNKPCCGPNSCSCCDASCCKPDRPSCSPTCSSCCQPNCGSCCKPSCSFFRLPSCCKFQCSPNCCTCTLPSCPGCNPCGGCKGCCSCPSDCKPSCGCFSAQCCSCACPRCSGCFGCFKSFKCSNLFGGCCSCKQCFKCQSSCCKGPPSCCKCQSSCCEGEDGSSCWRPCCSVPKPSCPGCSCGCVWSCRKCTEGCRCSGCRNPCCATGCLC >PAN13347 pep chromosome:PHallii_v3.1:2:47388098:47394295:1 gene:PAHAL_2G330100 transcript:PAN13347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGGGGGGREVVELEDAVKLLVEHLVKPVLPHGTLRREEALKPENQDAVARQIHATVLLYNYYHRKQFPQLAFADPERFCVNASLTAGEALLVYLQQVHEHHDNGTGGWLSVTDKAVVDACNIAEVLDATKDSPEMIMWPISKVAVLLLNRTKKMCLVEHGSQTKGVLSILEKDITMALGGSRSSDVSVQESSNKSVALPSEPYVLQQIAYSEAELKTGIKRANLRFLEEHRVYSLSKKGTATMMFVLQYEQNINNKLKEMPLEVLAYRMSGSIFSSDPCLATTSVVECYHLLPYKEVLLNILNRSWPLNSSLSAPKERLFQNGNPSSHSEIDESLKEQEANSKSKLKKINTNVSTPKKNKQVVKAVSDSGTNKCTISKNKKNSNTNSKRKSETFKATLPTYPEHGDGKSPAKETDSLAAPDVESLKFVSAKPAKATNGGSVDLQARVQMDKDRREKHSESRNTTQDIILAPYVDPVINNHALESQKEKVTVKSGGITDNMNVQKYATLQLLQKMRDDTLREHCMLGDQSAQYEMEIQTILSEGDMTPKVTSIVKKYENNWNMIDDANPTCSGKGCQNMNLKRKKLKEAILLRNKCQELDDICRESNWILPRYKVLPSVTGDMYQASVYLMGPDFNLSADGDRKITPHEARDSAASNMLHQLQQKAKEN >PAN13533 pep chromosome:PHallii_v3.1:2:48242850:48243764:-1 gene:PAHAL_2G344900 transcript:PAN13533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFITRLLTLVLCYAYPAYDCYKTLELSTPQMEQLRFWCQYWILLAFLTALERFADCALSWLPMYGEAKLALVVYLWHPNTMGAGRVYDDFLRPFLAAHEGGIDRGLLELRARAADATASHLQAAVALGRAGLLDAVRRVSSRLQLPATGSPDGQQVQ >PAN12880 pep chromosome:PHallii_v3.1:2:45297561:45307907:-1 gene:PAHAL_2G297600 transcript:PAN12880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIGTNRTPLRSVAASATRSSALPRPHRHPALGNRLAATKLGIAARCGGSRGYFGKVQRFDAARSTAARAQSGKAGRSVIREMGHTSSDSEMPLNYSSGKAFPLGVSQADGGLNFAIFSQNASSVTLCLKLPERGTQDDAEIVEFALDRQKNKTGDIWHVSVEGLPASGVLYGYRINGPQGWQQGHRFDDSVILLDPYAKLVSGRKYFGVDKEEPSQLFGTYDFDSSPFDWGDDYRLPNLPESDLVIYEMNVRAFTADESSGLGPAVRGSYLGLIDKIPHLLELGVNAVELLPVFEFDELEFKRFPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKALHNAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLNNNPQLLNFSGCGNTLNCNHPVVKELVLDSLRHWVKEYHIDGFRFDLASVLCRGPDGSPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRFIKGDPGLKGVFATRVSGSADLYQVNNRKPYHSVNFIIAHDGFTLCDLVSYNSKHNDANGECGRDGCNDNYSWNCGVEGETNDLIVRRLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTHINNFQWGQLEERKDGHFRFFSEMIKFRHNHPMLRRDRFLSENDVTWHEDCWENQESKFLAFTIHDHNSGGDIYLAFNAHEYFVDAVIPPPPQHKSWSRVVDTNLESPNDIVPEGVPLTGSGYRIAPYSCILLKAKP >PAN13520 pep chromosome:PHallii_v3.1:2:48177591:48185275:1 gene:PAHAL_2G343700 transcript:PAN13520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLALRLGPLLPAPTHRRRVLRNRCRGRIVASNATTLVRDGGAAAVVWFKHDLRIDDHPGLAAAVAEPRRPVVPLYVFDRRILAGYSDKMLELLLFALKDLKMALKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLANVESSLSNGSFSWGSPPKIVAWSAPLYDYKNLEEVSTSHDQFLKTKLPMATPLAATALPALNLELGTGFLPTLEELKGFLKDSRTREDTWVPLKNTSAISILKRTLSQRKIKSNTTLSTSSNGENIEDIPMDSGASGRRIMNSMFASENSLEVRGGTDITLDALAAYLRYLEGTGNASWQELHDKVRLAETRDGASFYTLFGPAIQLGVISRRKAYNDTIQYEKDRNAGFLSPFGYSTPTVKAAVDAICSMEWYWLLASKSQVSVEGNCPIRIWRWKGYLVQYTFVGIEGPAVLLVHGFGAFLEHFRDNIDNIADMGHRVWAITLVGFGKSEKPNVNYSELFWSELLRDFIIDVVREPVHLVGNSIGGYICAIAAGLWPSLAKSLVLLNSAGSVVPNYPFVPLNEERKTSWLSRLQAQLLLLFLRSRVEGILKEYYPTRTGRVDKPLVDQIIRASYDPGALTVLESVFSFNLSIPLNFLFDSFGGKILVIQGMKDPLTKSEAFVTMLREHCSKVQIRELNAGHAPHDEIPDEVNTLLCEWTKQIEVKPALEKTKAV >PVH64083 pep chromosome:PHallii_v3.1:2:26406013:26406213:-1 gene:PAHAL_2G180800 transcript:PVH64083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSINTMCKSFFSSLYLSINCRTKKTASMVDLPGMNPN >PVH63658 pep chromosome:PHallii_v3.1:2:5885807:5887231:1 gene:PAHAL_2G078700 transcript:PVH63658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLDFALGINMAGLASRRQSKDGEADPSNRPQKEKKEYVTERQIRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKCLRKHEDARDHWHCPFFRYCWDSGMSRLPTIKDCPECGPSRPEARDSIFQRMGPAPIRQAWVRSPRKEDEEEDRYHHPRWCPDGLNRSQKRRVQRLHSLEEAEARYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADTHMVFVLPAEFHAQTQGEPSVAQLYLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PVH64475 pep chromosome:PHallii_v3.1:2:44009229:44010292:-1 gene:PAHAL_2G278900 transcript:PVH64475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSNKEVIFHADSWQSITNLKKEASRDIESHHHLACHRLAKCQRPVQGSIAHFTIQTS >PVH65231 pep chromosome:PHallii_v3.1:2:54133220:54136490:1 gene:PAHAL_2G441200 transcript:PVH65231 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MASASRAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIRVPEGFDYELYNRNDINRMLGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNKRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVSLPKDATTVQKCYLELAKQVRVKLGKVDGYFNKLADSMVTWIEAWDELNPPKGGVATANGPPKK >PVH63478 pep chromosome:PHallii_v3.1:2:2688248:2689549:-1 gene:PAHAL_2G038200 transcript:PVH63478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGAPAVPPIATRYASQPPPCEYDAHAFSTSAAPHAAPPSKQQQQLMMMTTMMAEAARGLAAAPQRLQFAPPPVKPDRRGDQTGSPTTAARGSAPPPEPDVMDAGSSAPSTPSDCSSMEKTPSPRGPLPASAARQMPTPPPPFPAAPRVEVRRVWAHNFDAEARLIGSLLPRFRYAAVDTEFPGTVYRPAGAAYTLTPERRYDLLRQNVDALNLIQLGLTLFDAGGRLPSLGGGGGAARYVWEFNFREFDVRRHRHAPESIAMLRAKGVDFDRTHQHGVGAAEFGPRLRKWLRAGLGRAGVVTFSGGYDLAYLVKVMFGPGYKMPMSAAQFEGVAKAALVRRRLFDVKEMARLCPRDLRGGLESVAVKLNLGRAVGEAHQAGSDSLLTCHTFMKMRESYFDDDDKLARVAGFLTDITAC >PVH64702 pep chromosome:PHallii_v3.1:2:47810169:47811447:1 gene:PAHAL_2G337400 transcript:PVH64702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDPSLRLLLIPPPVHVEDPEPPPPESILLDPYGYLSDRTNATTADGRRSRRSGRRILVTFWAATPPRVSCFTVLCAEDDLALLRVAICPEGEHLYAQNNRYFVYQAGAENRPPSLKRVRTPPGLELYGNEAVLLRCRDRDTSFLAALRSAFIYGKYAKKQFNLHLYSSRTGGVEHQVDEQVLQSPNKVIAIGGEHDSVGWVDLRRGILICDLLLDNHHSLRFIPLASPLVPEPLRGYIRYFEMHEHFRPCSDAECTGTVAEGWVAATRKMEASNVGTGSSWEGDCSVKFHEVPVDGPAYAEMLPDLQQGQDAELTLKRVHAGYPALSLHDGARLKGVADFGSGRPLGYGFTYIQSGISKHLGIWSTSR >PAN09576 pep chromosome:PHallii_v3.1:2:2426599:2440552:-1 gene:PAHAL_2G034700 transcript:PAN09576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MASDMQPLSGHEIPSCAVENCGVFDHACHGKCQSCDSDVKPSCGEVNGISSLIGSHTDGKASKENCGACTFTYDCADLDGCLIATNGSCMAVDELTQEFEREQAGATLEDLVFSNDEEDDDSDWDPASSLVTNRWFCLNCTMPNVDVVMYCLNCHELKGSGVDGYDAFKTQIAEAALVSPDTELPAVSTAIGFDERMLLHSELEVKPNPHPERPDRLRAIAASLSAAGIFPSKCALVPPREITKEELLMVHTPDHIESVEQTKNMLYSYFTSDTYANGHSACAAKLAAGLCADLASLIVSGRVQNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFEGDKSVLYVSLHRHEYGNFYPGTGAAHEVGILDGQGFSVNIPWSRGGVGDNDYIFAFKTVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPIGYSIMTSLLTACSGGRLLVILEGGYNLRSISSSATEVVKVLVGDGSSFDVATAPSKEGLETVLQVLKIQQQFWPILGPAYASLQAQQGSVFSKSTNKKRKHSGVPGPFWWKFGSKRLLYKALYEGPLLRKIKGFRQGKVIDSAEP >PAN09574 pep chromosome:PHallii_v3.1:2:2426023:2441479:-1 gene:PAHAL_2G034700 transcript:PAN09574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MASDMQPLSGHEIPSCAVENCGVFDHACHGKCQSCDSDVKPSCGEVNGISSLIGSHTDGKASKENCGACTFTYDCADLDGCLIATNGSCMAVDELTQEFEREQAGATLEDLVFSNDEEDDDSDWDPASSLVTNRWFCLNCTMPNVDVVMYCLNCHELKGSGVDGYDAFKTQIAEAALVSPDTELPAVSTAIGFDERMLLHSELEVKPNPHPERPDRLRAIAASLSAAGIFPSKCALVPPREITKEELLMVHTPDHIESVEQTKNMLYSYFTSDTYANGHSACAAKLAAGLCADLASLIVSGRVQNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFEGDKSVLYVSLHRHEYGNFYPGTGAAHEVGILDGQGFSVNIPWSRGGVGDNDYIFAFKTVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPIGYSIMTSLLTACSGGRLLVILEGGYNLRSISSSATEVVKVLVGDGSSFDVATAPSKEGLETVLQVLKIQQQFWPILGPAYASLQAQQG >PAN13438 pep chromosome:PHallii_v3.1:2:47749415:47753563:1 gene:PAHAL_2G336400 transcript:PAN13438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRKDVGILAMDIYFPPTCVQQEVLEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTAVNSLLKKYNVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCNKYEKIVGKQFSISDAEYFVFHSPYNKLVQKSFARLYYNDFMRNCSSIDDDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKHMYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHDSLDGQRIVMFSYGSGLTSTMFSLRLNNGQHPFSLSNIASVLGVTEKLQSRHETLPEKFVDTLKLMEHRYGAKDFETSRDTSLLPPGTFYLTHVDSMYRRFYDQKPVEETAGGKAKCCNGFSNGH >PAN12497 pep chromosome:PHallii_v3.1:2:43250572:43255505:1 gene:PAHAL_2G268800 transcript:PAN12497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVLIRGHEEPKPLRALSGQVCEICGDEVGLTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVAGDDDEEDIDDLEHEFNIDDEKQQQLQAGSMQNSQITEAMLHGKMSYGRGPDDGEGNNTPQIPQIITGSRSVPVSGEFPITNGYGHGELSSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGILGGADPDDMDADVPLNDEARQPLSRKVSIASSKVNPYRMVIVIRLVVLAFFLRYRILHPVPDAIGLWLVSIICEIWFAISWILDQFPKWFPIDRETYLDRLSLRYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGASMLTFEALSETAEFARKWVPFCKKFSIEPRAPEFYFSLKVDYLKDKVQPTFVQERRAMKREYEEFKVRINALVAKAMKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDAHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHAKDGLPEGAGDVGMDSDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPSISTFASLFFIALFMSIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLIINIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFIVRTKGPDVKQCGINC >PVH65169 pep chromosome:PHallii_v3.1:2:53834138:53837444:-1 gene:PAHAL_2G436100 transcript:PVH65169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGFIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTSDGNTKDDLRLPTDETLLAQIKDGFDSGKDLVVTVQSAMGEEQICALKDVGPK >PAN12118 pep chromosome:PHallii_v3.1:2:40960951:40962161:1 gene:PAHAL_2G243800 transcript:PAN12118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGKRERDCKNPMRRTTSMTEFAPPEALTAVAEDEEAQLPDNSGGQDWLSAFGSGVGGAAAQEDWLAAYRARAAPARAGLRRNSADYSVVETAAFLRACGLCRRRLGPGRDTFMYKGEAAFCSLECRERHITQEEWKDKCAVKPVNNKDAAAGAAPVTGRRAGSGKPGAGGTVAAA >PAN13097 pep chromosome:PHallii_v3.1:2:46344626:46346406:-1 gene:PAHAL_2G313800 transcript:PAN13097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRGGKAKRPTPQATKSEEADAASGDEEAVMPAYKRRGRPHKHLKAEDTDEEEDGAKVEHVEDSDGAKPVVPSKGSTENGGKKRRRRRQPNNKGGCDSAAGKDEAVKQSGFRHHGSRRKSTPRRAAEAGVECK >PAN13096 pep chromosome:PHallii_v3.1:2:46344611:46346406:-1 gene:PAHAL_2G313800 transcript:PAN13096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRGGKAKRPTPQATKSEEADAASGDEEAVMPAYKRRGRPHKHLKAEDTDEEEDGAKVEHVEDSDGAKPVVPSKGSTENGGKKRRRRRQPNNKGGCDSAAGKDEAVKQSGFRHHGSRRKSTPRRAAEAGVECK >PVH64852 pep chromosome:PHallii_v3.1:2:49684809:49690511:1 gene:PAHAL_2G369300 transcript:PVH64852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MNGNGTELWEVYNGNNVMLPWKLEEFVARSPYIRDSVVTVGSKASTVFVVDADSGEIIYKRSIPAALNELEGPGVEGAPSKLNARTSDDSDNIIVVVRTDYSLSASDLGKHLFNWTRTSFTANYYVKYNHPDMLDQSSCLRGDIPCIRTEGLPLALPDSDSATAIIVRDGNPIISRDDTDALKPLKTAKKLPKTAGKSNVVLDDAQNQTYDGARSHFISPDPEATNKSTRNTYRWLFPVFPIFLVIGSLLSLTSASKSCRQFVIQFMKPLMRDNKPVDIRGRSEGTPKRRKTRKKDGLVNGHETLSVSEKESSETGGSTEAPVRENSALTDKGVTDGLGGRQIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDIAEKETQNLIISDRDPNIVRLYGCDHDSDFVYISLERCHCSLADLIQKHSSLSSGESIASNEVSISIKSKISNGKRIDVELWTQDGLPSAQLLKLMRDVVAGLVHLHNLGIIHRDLKPQNVLISTEGPIRAKLSDMGISKFLQDDMTSVSHHGTGFGSSGWQAPEQLRRGRQTRAMDLFSLGCLIFYCITRGKHPFGEYYERDMNIVNNRFDLFVVDYIPEAVHLISQLLHPNPEMRPTAIYVMHHPLFWSPELRLLFLRDTSDRIEKTSETDLIDALENIGPVAFGGKWGDKLDAALVTDMGRYRKYNFESTRDLLRYIRNKSGHYRELSDDLKAILGSLPEGFNRYFASRFPKLLIEVYKVMWVHCKEEEAFSKYFNGSSV >PVH64851 pep chromosome:PHallii_v3.1:2:49686091:49688527:1 gene:PAHAL_2G369300 transcript:PVH64851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MNGNGTELWEVYNGNNVMLPWKLEEFVARSPYIRDSVVTVGSKASTVFVVDADSGEIIYKRSIPAALNELEGPGVEGAPSKLNARTSDDSDNIIVVVRTDYSLSASDLGKHLFNWTRTSFTANYYVKYNHPDMLDQSSCLRGDIPCIRTEGLPLALPDSDSATAIIVRDGNPIISRDDTDALKPLKTAKKLPKTAGKSNVVLDDAQNQTYDGARSHFISPDPEATNKSTRNTYRWLFPVFPIFLVIGSLLSLTSASKSCRQFVIQFMKPLMRDNKPVDIRGRSEGTPKRRKTRKKDGLVNGHETLSVSEKESSETGGSTEAPVRENSALTDKGVTDGLGGRQIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDIAEKETQNLIISDRDPNIVRLYGCDHDSDFVYISLERCHCSLADLIQKHSSLSSGESIASNEVSISIKSKISNGKRIDVELWTQDGLPSAQLLKLMRDVVAGLVHLHNLGIIHRDLKPQNVLISTEGPIRAKLSDMGISKFLQDDMTSVSHHGTVYCRIRKLWLASTRTASSWSSNSCNGSV >PAN10504 pep chromosome:PHallii_v3.1:2:7594233:7599997:1 gene:PAHAL_2G097900 transcript:PAN10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEVRCVNGRPVAQIKGEEAVVHLNKEEKEAEPLHVGRWRSNPTRHGGGGVGILSPLPANAPDPNPPGAEGNQSHRQEPHPRASGGGGGDGVDHISHLPSAILGKVISLLPTKDAASTQTLASRGESFTDIVSHILFAHGGPSCRFCIPAQQLLDHPTTMDVWLYQCHLSDDTTQTIRFPQLKKLALQQVNISEDSMHSLIAGCTVLECLMLNKSFVFRCVRINCTSLTSIGLSVERNGTVSIVKLIIQDAPCLERFLYFAPCLERFLYFQPLMGLQVSVIAAPKLEALGSLCELDVSSRLALGSVIIQPCFPRGLLAINWTTPVCTVKNLAIDIFTLSLDIKHHYLFRCLDIRLKTILLNNYQGIKSQVNFAMFFVLNAKMLESMRFQGGCYNGSKRFLVDEHRLLQLEKRASRDSRFYFASKRCHHGFMHVNHAHDLSMSPFECVETRVGVYASIE >PAN13043 pep chromosome:PHallii_v3.1:2:46038307:46043631:-1 gene:PAHAL_2G309800 transcript:PAN13043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPNPLHVSSPKGQSKSFPEKTTVPTRRELDTAASPPRVFFPLTPLPLQRPTPNPRLAASLLAAMGIPLLPLLVVLQLLIAPSPAAASPHISAVISQSGLDFAKDLLVSHAAETLTPLSVPDIEKSMSIPLVGTVRMTASGIVLHGLTVTNSTVAVGDTGVVVAASLARANLTMEWSYSYSAWVVTVSDSGNASIQVEGMEVGVSMSMKNQNGSLKLSVMECGCYMKELDITLNGGASWFYQVFIDAFSNHIRSSVENAITKKITEGALKLDSFLGNLPKKVDLDSVAAMNVTFVNDPLFKSSSVEFDIDGLFIPSDETAVPSDMLLGDIEYALPLGSSSKMLWISLDENVFNSVSALYFKAGLLQRMVDKIPDQFLLNTASWRFLVPQLYRKYPDDDMLLNISAISPPSVRINVGRIDATVDLDVTVSVLDSGKIVPVACMSVSVAVSGAAAVSGNNLGGRVELDYFSFTLKWSQVGKLHTVLVQTVLRIFLKHLFVPYVNSYLEQGFPLPIIKGFSIRDAYILTSYSKLIVSCDVIFIETEALFPVQTHGRFVL >PVH64195 pep chromosome:PHallii_v3.1:2:35899554:35901110:1 gene:PAHAL_2G212500 transcript:PVH64195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAVAPAGRRQDYPGGLTPFVFMACMVAATGGLIFGYDIGISGGVTSMDPFLLRFFPSVYRKQAEAADGGNQYCKFDSQLLTLFTSSLYVAALVASLFAASVTRAAGRKWSMFYGGLTFLAGCVLNGAAMNVTMLILGRVLLGIGVGFANQSVPVYLSEMAPARMRGMLNNGFQLMITLGILFANLINYGTDRIAGGWGWRLSLAFAAVPAAIITIGSVFLPDTPNSLLERGRPEEARRMLRRLRGTDDVSAEYDDLVAAGEVSRAVSRPWRDILHRKHRPQLVMAVAIPLFQQLTGINVIMFYAPVLFKTLGFGGSASLMSAVITGLVNLASTLVSVFTVDRVGRRALLLEGGAQMLAGQVAVGTLIGAKFGWSGVATIPAGYAAVVVVVMCAYVAGFAWSWGPLGWLVPSEVMPLEVRPAGQSITVAVNMLMTFAVAQAFLPMLCRLKFVLFFFFAACVVVMTLFVAFFLPETKGVPIEDMAGVWKAHWYWKRFVDDGEDADGKGDVEMGTVV >PAN09201 pep chromosome:PHallii_v3.1:2:283081:284176:1 gene:PAHAL_2G003600 transcript:PAN09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATYLTGLNAPPAAATSCGRAFSGSGSGSSRPSRATPVTCQASRRSASLHLGLAAATAVLLRQPDAARAAADEDEPANNGWWLTEFPLPVPKILNKEINNPETGTRSFLKNGIFMADIGPSFAAHAYRLRSTAFDLLALEDLLGKEASNYVNKYLRLKSTFMYYDFDKLITAADDKAPFVDLANRLFDSFETLQQAVTAKDDTKISDRYAETKVILQELMAKMA >PVH64301 pep chromosome:PHallii_v3.1:2:39279666:39283374:1 gene:PAHAL_2G231900 transcript:PVH64301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPPCLATAAKAPEVAAAKMMVATNRGDCQRLKELANKEDATTMVVVMSRKEAPAKKHTPASMHPLLAEAACTGNWEEINFLLEGEDLQEGHSSKSNTRSQKFLDKLAAYPSGPAATDVEEGIHAVSLLKGVTVEGDTALHLVAANGEGNNFVECADLIHGKDKGLLSRQNYKGDTPLHCAARAGMSQMVSHLIDLATGDNIVKDLDLLKVDPNLACFPQQGTSPLYLAILLEHETIAQTLYEKSENNVLSYSGPNGQNALHAAVLRGPEITRKLLKWNKNKDLTTGRDANGSTPLHFAAGLRGAENEGSACAQVFDATTSVLYQRDNDGLSPIHVAATVGAASATFFPKVPAIAQFVSKCPSSAGLRDAKGRTFLHVAVEKKNVRVVRYACHSDRPLAWVLNMQDNQGNTALHLAVKDGNLAIFRLLFGNRQVNLNLTNEDGQTPVDIARYNMRPSFYDRTADPEVWIPRALKIAGARGGATRWDHFRENYEDRHGVKTNYAEKELEMLKDSTQFQSIGPVLIATVTFGAMFALPGGYRADGSDYGGTPTLAGTFAFHAFMVTNTLAFICSTIATLASMYAGSARLNLERRKDHFDFSIAFMHNSIMALAAAFALGVYTVLSPVAHKTAIVICVMSPLVVLYNFKDFWLNWARFAMPLLARRGAIWTLWLYTQVVLGNMFSVSWLILVFVWASYGRDHPISKAVSPAQAPATFA >PAN12333 pep chromosome:PHallii_v3.1:2:42543193:42548786:1 gene:PAHAL_2G260100 transcript:PAN12333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRELLERLARPFSSRRSSSESRREKEEEADLEAIAAREQRAFPYEALAAATRGFSEKNRLGQGGFGPVYRGRLEDGREVAVKCLGAGSRQGAREFRNEATLLSRVQHRNVVNLIGYCARGAEDKLLVYEYVPNESLDKILFPPASGHSSSNSHRLRRAELTWARRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDERWVPKIADFGMARLFPEAGDGRSRVHTRVAGTNGYMAPEYLMHGDLSTKADVFSFGVVVLEIVSGRKNSAFIPPPDSEADSLLEYAWRLYKKGRSLELLDPAAKASAVPEQVELCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGMPGSRYRRRPHGLRGSHYSAGSSSGTSSPSTSATSHASASNAMTTSSTHTMRSHGGLPSHREEQG >PVH65307 pep chromosome:PHallii_v3.1:2:55119462:55121874:1 gene:PAHAL_2G459200 transcript:PVH65307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MSGLEQELGLSLGVLIDVVDEQWMRDTLPAEDIPVPPAMAVKTEDAEDPAPANPESQPAQGDVWRDFALENL >PAN11965 pep chromosome:PHallii_v3.1:2:39368838:39369640:1 gene:PAHAL_2G232400 transcript:PAN11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSLATVLLGLITVLISSTASADTPDCPYPCLPPPSSGGAINSYPPPSTGAGGGFGGSYPPPPPGSYQLTPPGVMPGFSPPYSGFPSGQTPPPPNPVLPWFPWYYQHTNPVTGTSAASSPAMDGRSRITVGVLLPLSLLLVLLLPVL >PAN12583 pep chromosome:PHallii_v3.1:2:43761899:43762884:1 gene:PAHAL_2G275500 transcript:PAN12583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGLSKLKCMIKRWHSSSRISRTPSGCSARSHDGAGVGAAFALEDSWRRGAAASSVVAIGGGGGRGSASFHGADGVPPGLHPVYVGKSRRRYLIAADLVGHPLFQNLVDRSGGAGVGAGGGTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >PAN13595 pep chromosome:PHallii_v3.1:2:48562989:48564154:-1 gene:PAHAL_2G349500 transcript:PAN13595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASLSSRAAAAILLLLVLLAEARRHGAGATEYTVGDSAGWTIGPNYLTWSQKYNFTAGDTLVFSYVAAQHDVHRVTQDAFRTCEPAANQTMGAWATGHDLVNLTVPGDYYFICNVSGHCLGGMKFAVAVAAPPPPPPPPPQVFLPPPPPPPASSAGVSSRIARRRPAWPEAVRIPCLAAIGLLVLA >PVH64097 pep chromosome:PHallii_v3.1:2:27896024:27897342:-1 gene:PAHAL_2G184600 transcript:PVH64097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKFGYAGRDYLYYKKRCGRDIANLEVIDYEDQALSMIKTNENEMKVRLVLSRDEPRERQVTITPLKRSRDQPNTDQSATDPPIDAYKVWLHNLQSNEPDTEFHDDYRDGTIKTYKEWLRLQGDLPIILTFLDQHTNDEQESHDSSPTPPTDFPSHARRQKPKQNGASQGPKKVGRGTLKGLSAAHKRIKGGSQKLQIEFSARLGGPIGPNTRSFVDEVVMFTRKRAPLIGVKRWKEIKENNRWDLLNTENAKEKIWDIAKERYKGWRSTLSSTYRAYDNYCDRMANKPEDVDIVEWHYLILYFGTEAFQVL >PAN14218 pep chromosome:PHallii_v3.1:2:51183567:51186539:-1 gene:PAHAL_2G392100 transcript:PAN14218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAASVKPTAAVAATAKPAFKPLHLPPLPAAGTRPLSLSVSARPLYRQEHVLATVAVAAAGRSDRAASPAPPAATADGARPVEIAAPGEPAETARRARIGIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPQTDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMMSLVILLPFAIAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVASIIIFQTPVQPINALGAAIAILGTFIYSQAKQ >PAN13222 pep chromosome:PHallii_v3.1:2:46781989:46784444:-1 gene:PAHAL_2G321300 transcript:PAN13222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYVASLLMGHSAAPGLDFAALDGYFLDALCGAGAGLFGAPGVAAGAGGGGSPEGSSVSDPAWARARDGGNARKRKAPPAGGASGKEACLGKAGEPKGPDGKKCRVGTGGSPVKPKVEEATASDGSVEDKGQKKGKGKSAKPPVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLHKDMYQACGPPVNSVFPLESAGAALPFCNQADLFQSFGSGAMEDQCSLSLLDMALPHTTNPQFAFQKQQRDFREDGLQNSLPIVSEQSQENGVSAPSFDGQLQADQTKIEF >PVH65078 pep chromosome:PHallii_v3.1:2:52715349:52715680:1 gene:PAHAL_2G417900 transcript:PVH65078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLASFLFSPSPPSDTLSEEHNTFRPSSAQGKLRYSRQLVQAPAGRQVTGALNSITGPYSRGRDSQTGSRGL >PAN13746 pep chromosome:PHallii_v3.1:2:49298867:49302992:1 gene:PAHAL_2G362400 transcript:PAN13746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHLLSSPAAATKLVAGLRAAPLRRCHSFAPAPHHPDRVSLATSLSAAAAARSAGPAVAAAQTKQSVSGKKQVLISLSDKTDLTYLGNGLQGLGYSIVSTGGTASSLEAAGVNVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALKDHGIGTFDVVVVNLYPFYDKVTSGTISFEDGIENIDIGGPTMIRAAAKNHKDVLIVVDHNDYPALLEYLKGNQEDQQFRRMLAWKAFQHVASYDAAVSEWLWKQSNKGEMFPPSFTVPLELKSTLRYGENPHQNAAFYADKSLSLVGAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTIIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILQGKSKTLRILEAKRSGKGMLSLRQVNGGWLAQESDDLTPEDITFTTGSERAPQENELADAKFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGIIAQPGGSIRDEDAVACCNKYGVSLVFTGVRHFKH >PAN15494 pep chromosome:PHallii_v3.1:2:57284684:57288843:-1 gene:PAHAL_2G492100 transcript:PAN15494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQQQFHGHNQHLSLRSGIPPEKQFLLQGGGDAGLVLSTDAKPRLKWTPELHERFVEAVHQLGGPDKATPKTIMRIMGIPGLTLYHLKSHLQKYRLSKNLQAQANVGSAKNGSRTGTDKPCEGNGSPASHLNIEPQINRSMHISEALQMQIEVQRQLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALAKQSVDLDAGVLGEAAAAETQQLSELISRASATKRVQLEHLHHQHLGGVGDGSVDSCLTACEGSQRDHDMLSIGLSPAPTPRGGYPFEAARSGGNDRGGASTSYEEFLFLEEPGRSSSDEQQELDLTINTRRPRHCEKIDLNGSSWN >PAN15236 pep chromosome:PHallii_v3.1:2:56006081:56007308:-1 gene:PAHAL_2G473800 transcript:PAN15236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPAVSFLAIAVALMAVLHPAATNPPPPPPPTPSATGTPTTCDSTLYRLLSCEPFLSTGSLAGPPASCCGPLRAVLTSPESICLCHLIGGEVNQFAHINIDPVRLALLPLMCLAIIPPELPYMCFVGPVPPIRTPPAPAATLPRAALKDSVAGRG >PVH64014 pep chromosome:PHallii_v3.1:2:20846794:20850503:-1 gene:PAHAL_2G163900 transcript:PVH64014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDEICFFNLIDLIEEYGYTSIDYLYYKRRDSLVALQLDTDVMEMLEENESKKNVSLFVTRWRIATTAPTKSNKEPSISAANRAKEKSGTKKKQQLNVIQSKQQYANEVDHQHDDDDIQETPVKCNQLGQPIGKEGGLLGQFLGTIPGNGGYCPIHIKDWRKVKNDNAETILQCIQTKLLYPRSCEKWILKSIGRDWRKYKATLEMQALSEKNKVSRGMKKTSHTTGTKSYARWSEDLGSPVELENLIEKQPELAQNSEGRVAWEGDALHQVLGEEKPGQVHGPKQSSDVETHMLEEIKQLKDRSRRQDKVIDELIIEKMHHESEEPTKNHVASSNRKRVRCAEPNHVDIVCQQRDELRYLSHLKSGSYPNKAVVAYATILSSSPKANVGGVERENQFYKVEMING >PAN12698 pep chromosome:PHallii_v3.1:2:44427495:44431877:1 gene:PAHAL_2G284900 transcript:PAN12698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALKPLPFLATASSTAALTTRSPLARLRTLRSTPTSCSCVGRCAAAPALAVTPRCGGACRAQFPLRSLLPHRVAASPSAAAAAEGMSDPELRLVLELATDEELMEFEEILYGTSYFSPLLKSIARRPNSDSAVVLDDIAERDLFISKLESRFLYLAADAHSIIRGWRPSYRDVLLGVRKKLGVRCSSKLSTADLEAEIFLHLVNEYSSHQKDPVSFPWDKQKSPNEISNLGVNKWKVLTDTAWRIGAKGLESTFLKGGSALTVKTIYESLASRLSGKLLREAANYEIKKELVKQGGRLAAGNLESRAGLLAARQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRTSYIEPHEE >PVH64983 pep chromosome:PHallii_v3.1:2:51453578:51456163:1 gene:PAHAL_2G396300 transcript:PVH64983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLFKMHSSMLNVSYPQVPYRSISFFAPATTAPMSLIMLLIAVLLLSSPKLLAEGQPICSNANIMYMQSSTYVSNLNSLAEALFANVTNSNSHSARDTAGMGSDMIYGAVLCRGDTTPGTDCAYRLKEVLDAARNKSANSSCSSQKDITLFDDGYLVQLRFSDQDFISNFSNSQECIVRANLNRPPLGHVSEQFGSLVSKLMAELTEGATKKTGRYETGQGWLTEKGQTVYGLVQCTEDMPPDTCRSCLNSAITKREQMVKSGQMGGAILGVHCSFWYQTEVQFFAGAPVLSLNMPTPSKFWIWVTIGSFSVVVSISWLLVNIWIKTERKRERARFELQLLSMAIQNVINLWRIEEGNSGFSLYDFSQIKEATGNFSSENKLGKGGFGPVYKGLLPGGLEVAVKRLAACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIQGDQEKMLVYEYMQNKSLDIFIFDINKGGQLNWSMRLHIIDGVAQGLLYLHKHSRFCVVHRDLKASNILLDSDMTPKISDFGIARIFSSNMTESNTTRIVGTQAPSCGCCTLTNAEFDLG >PVH64982 pep chromosome:PHallii_v3.1:2:51453168:51457076:1 gene:PAHAL_2G396300 transcript:PVH64982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSSGMGSDMIYGAVLCRGDTTPGTDCAYRLKEVLDAARNKSANSSCSSQKDITLFDDGYLVQLRFSDQDFISNFSNSQECIVRANLNRPPLGHVSEQFGSLVSKLMAELTEGATKKTGRYETGQGWLTEKGQTVYGLVQCTEDMPPDTCRSCLNSAITKREQMVKSGQMGGAILGVHCSFWYQTEVQFFAGAPVLSLNMPTPSKFWIWVTIGSFSVVVSISWLLVNIWIKTERKRERARFELQLLSMAIQNVINLWRIEEGNSGFSLYDFSQIKEATGNFSSENKLGKGGFGPVYKGLLPGGLEVAVKRLAACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIQGDQEKMLVYEYMQNKSLDIFIFDINKGGQLNWSMRLHIIDGVAQGLLYLHKHSRFCVVHRDLKASNILLDSDMTPKISDFGIARIFSSNMTESNTTRIVGTQAPSCGCCTLTNAEFDLG >PVH64985 pep chromosome:PHallii_v3.1:2:51453168:51457076:1 gene:PAHAL_2G396300 transcript:PVH64985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLFKMHSSMLNVSYPQVPYRSISFFAPATTAPMSLIMLLIAVLLLSSPKLLAEGQPICSNANIMYMQSSTYVSNLNSLAEALFANVTNSNSHSARDTAGMGSDMIYGAVLCRGDTTPGTDCAYRLKEVLDAARNKSANSSCSSQKDITLFDDGYLVQLRFSDQDFISNFSNSQECIVRANLNRPPLGHVSEQFGSLVSKLMAELTEGATKKTGRYETGQGWLTEKGQTVYGLVQCTEDMPPDTCRSCLNSAITKREQMVKSGQMGGAILGVHCSFWYQTEVQFFAGAPVLSLNMPTPSKFWIWVTIGSFSVVVSISWLLVNIWIKTERKRERARFELQLLSMAIQNVINLWRIEEGNSGFSLYDFSQIKEATGNFSSENKLGKGGFGPVYKGLLPGGLEVAVKRLAACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIQGDQEKMLVYEYMQNKSLDIFIFDINKGGQLNWSMRLHIIDGVAQGLLYLHKHSRFCVVHRDLKASNILLDSDMTPKISDFGIARIFSSNMTESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTTGFYPYDGKLYNLISYAWKLWKVGEWCQLVCCRIGENHEAIERCIQVALLCVQESAEDRPAMDLVVSMLNSANVSLPKPKQPAYFFVRSSESEASSCNINISITLAR >PVH64984 pep chromosome:PHallii_v3.1:2:51453168:51457076:1 gene:PAHAL_2G396300 transcript:PVH64984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSSGMGSDMIYGAVLCRGDTTPGTDCAYRLKEVLDAARNKSANSSCSSQKDITLFDDGYLVQLRFSDQDFISNFSNSQECIVRANLNRPPLGHVSEQFGSLVSKLMAELTEGATKKTGRYETGQGWLTEKGQTVYGLVQCTEDMPPDTCRSCLNSAITKREQMVKSGQMGGAILGVHCSFWYQTEVQFFAGAPVLSLNMPTPSKFWIWVTIGSFSVVVSISWLLVNIWIKTERKRERARFELQLLSMAIQNVINLWRIEEGNSGFSLYDFSQIKEATGNFSSENKLGKGGFGPVYKGLLPGGLEVAVKRLAACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIQGDQEKMLVYEYMQNKSLDIFIFDINKGGQLNWSMRLHIIDGVAQGLLYLHKHSRFCVVHRDLKASNILLDSDMTPKISDFGIARIFSSNMTESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTTGFYPYDGKLYNLISYAWKLWKVGEWCQLVCCRIGENHEAIERCIQVALLCVQESAEDRPAMDLVVSMLNSANVSLPKPKQPAYFFVRSSESEASSCNINISITLAR >PAN12312 pep chromosome:PHallii_v3.1:2:42370581:42372167:1 gene:PAHAL_2G258400 transcript:PAN12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLNSGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGQLSEEEEKTVIDLHAELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGVDPVTHKPLQPAPPAPAPAPQPEEEEKVAVSAAASGIVSAAGVRNEAFSINEVPMVHLLDDVVLPCDLVAGVPPASNSNNGIDTAYSPESSSSASSYSGSAAASSCGSSVADGECQEWLEWAESMLLDDVVTGPAPWGFEDPFVTYQRIALFDHQEAW >PAN13798 pep chromosome:PHallii_v3.1:2:49428597:49429981:1 gene:PAHAL_2G364200 transcript:PAN13798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGRCCTFLEILLAVILPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAIYVLVALDSDRHEREYYTLA >PAN14186 pep chromosome:PHallii_v3.1:2:51017729:51019579:-1 gene:PAHAL_2G389900 transcript:PAN14186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELLPHDLRAAASWAASLLRARLERPRAERRTLVIKRAAGSSRHDGDGGLFDEVRQYLATRIDPHSMRRLCLSGGVSGARRVLSMEHGDSMTDVFEGVEFTWASVAGEGRGGALSESLELSFDAEHTDKALGSYVPFITASVEEERRQDRALRIYMNEGSHWQGINHHHPATFDTLAMNPELKQSVVADLDRFLKRRDYYRRIGKAWQRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSKVRGNTVLQRLLNTMTNRSILVIEDIDCCFTSASREVGKDQVGDAVTDDDSEEESIPDHWGTPQPQQHNITLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFKTLARNYFLINDHPLFPEIQALLSAVEVTPAEVSEMLLRSEDDDAALQGVATFLGEKKQAIGEGN >PVH65025 pep chromosome:PHallii_v3.1:2:51917674:51922418:1 gene:PAHAL_2G404600 transcript:PVH65025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAGDDGLRRLPPGPGPGPDRISALPDELIQAILAWLPSTAAAARTSAVSRRWRHVWTGVPALSFHVEQKPLREYSSTDAALDAALDAYSDSAALSRLTIDVDGPSPAPRIAPWLRFASLRLAGELRLTLKGGAPKQPVMMGPLVHHPIAMGPFVHQPVLLAIGDLMQQLELPACERATRIDLAGINFALRLPPAGAFAALRILRISVTDLVRGDVGHLVSTQCPRLRDLELCGVTLEAANLSISSASLERLVLRRVSIKNEGRIDVAAPSMYYLALDNCGGRSVAVTIATAMLAELIWNHAYDPRLHKLEGADRQIYRLVATYGSNAALFRRFDAVDELCLRLSMLSKEINYCLRHGCTCVPPVSWTTDDVVLDSLEEVEISSFTGAVEEVELLKLLFGCNIKIRRLAIHTASGVSLSQEMQKRIWGLARPHCISLEFETTQFSR >PAN14370 pep chromosome:PHallii_v3.1:2:51917675:51922418:1 gene:PAHAL_2G404600 transcript:PAN14370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAGDDGLRRLPPGPGPGPDRISALPDELIQAILAWLPSTAAAARTSAVSRRWRHVWTGVPALSFHVEQKPLREYSSTDAALDAALDAYSDSAALSRLTIDVDGPSPAPRIAPWLRFASLRLAGELRLTLKGGAPKQPVMMGPLVHHPIAMGPFVHQPVLLAIGDLMQQLELPACERATRIDLAGINFALRLPPAGAFAALRILRISVTDLVRGDVGHLVSTQCPRLRDLELCGVTLEAANLSISSASLERLVLRRVSIKNEGRIDVAAPSMYYLALDNCGGRSVAVTIATAMLAELIWNHAYDPRLHKLEGADRQIYRLVATYGSNAALFRRFDAVDELCLRLSMLSKPAECKKFVREMDKLPKTKVLEVKGLSTKQHFEPVMLHLLRKHNRLVKIKVDLFPANLKEINYCLRHGCTCVPPVSWTTDDVVLDSLEEVEISSFTGAVEEVELLKLLFGCNIKIRRLAIHTASGVSLSQEMQKRIWGLARPHCISLEFETTQFSR >PVH65026 pep chromosome:PHallii_v3.1:2:51917675:51922418:1 gene:PAHAL_2G404600 transcript:PVH65026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAGDDGLRRLPPGPGPGPDRISALPDELIQAILAWLPSTAAAARTSAVSRRWRHVWTGVPALSFHVEQKPLREYSSTDAALDAALDAYSDSAALSRLTIDVDGPSPAPRIAPWLRFASLRLAGELRLTLKGGAPKQPVMMGPLVHHPIAMGPFVHQPVLLAIGDLMQQLELPACERATRIDLAGINFALRLPPAGAFAALRILRISVTDLVRGDVGHLVSTQCPRLRDLELCGVTLEAANLSISSASLERLVLRRVSIKNEGRIDVAAPSMYYLALDNCGGRSVAVTIATAMLAELIWNHAYDPRLHKLEGADRQIYRLVATYGSNAALFRRFDAVDELCLRLSMLSKPAECKKFVREMDKLPKTKVLEVKGLSTKQHFEPVMLHLLRKHNRLVKIKVDLFPANLEINYCLRHGCTCVPPVSWTTDDVVLDSLEEVEISSFTGAVEEVELLKLLFGCNIKIRRLAIHTASGVSLSQEMQKRIWGLARPHCISLEFETTQFSR >PAN12182 pep chromosome:PHallii_v3.1:2:41369003:41371535:1 gene:PAHAL_2G248300 transcript:PAN12182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMRAAPSDPNLPTFFRWCLGGMAAAFASGSGSGTASARDADAADRVSRLGKEVEVECGDISASQEDLLARAPFLGGGGGGGEEEAEVFSTPPLTQGQQSQQSQQDQGVEEEEDAITMCSLPFTQPSPSSPYIPSSEDKEDQALSVSKPRKPRVCTRKVRGVRIRTPTPSPSPSPDNRGTSTGSIVDPLYRAVLMIPTTPAPPTAAEDLLVLARNCGIF >PAN12568 pep chromosome:PHallii_v3.1:2:43649616:43650949:1 gene:PAHAL_2G274100 transcript:PAN12568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRPSQRSIFHLGEEGGYDDHHRGAGDEHAKSIDAAATRHDRGRRDLGRQWRKRDAAADAAGGVGLQILVRQHHHHHTRAPPPHSHIVLKQQVVLLSTAARHCRGPCGSFLRACSRCRRELSPNKDVYMYRGDQGFCSEECRWRQMLLDEAREHEALVKKERMRRGLHQPHHLHHGAPRPAAIRGAPRRLVAVAY >PAN10993 pep chromosome:PHallii_v3.1:2:11899475:11900331:-1 gene:PAHAL_2G132200 transcript:PAN10993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALGLRRLLLLFAVAAIHQLPRSARAGDPDILTDFLAPLGAEPDQLNGTFFTYARLVSGLAGDPGKFTVSKATGAEFPALLGQSVSYAALVFGPGTVNPPHIHPRASELLVVVQGPLVVGLVDAARNGTVHTAALETGDMFVFPKGMVHYQLNNGTGVARAFSAFGGATPGTISLPTALFETDIDDAALEKSFRTDEATVEELKHDLREAPGPAPSPSPSPSASPSSAAAALVGGSALAASLVCAAAAFSFVL >PVH65425 pep chromosome:PHallii_v3.1:2:57114124:57115446:1 gene:PAHAL_2G490100 transcript:PVH65425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNQSVVAVKPTLAKGTPSASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLDADRASLAATVGAYVPEGAAGLRFGDFDALHRALGDAFFGALADQDDAADGGKGADDEEEMREAFKVFDVDGDGFISAAELQEVLKKLGVPEASSMANVKEMICNVDRDRDGRVDFSEFKGMMQGITVWGA >PAN14936 pep chromosome:PHallii_v3.1:2:54822107:54822532:1 gene:PAHAL_2G453700 transcript:PAN14936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTVRRRWRVEERRREEEMKRKKDEEEKTLCFRIRRNMAVNEMEEGRRIRMAERERAARDAKAVAKGKEKKRRLEEEVRKEREKMRKEEEEKRARAEKQKLKEKERKKREEEAKKRREKEDKEKKKRKEESKKKKTRKIS >PAN13808 pep chromosome:PHallii_v3.1:2:49472020:49474345:-1 gene:PAHAL_2G365200 transcript:PAN13808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKGKGGDYYCDADDDDYYSTEEDSVCQSGSEYGGSDDGGGVSDDDDDHQQAMERNDDEDKERGEGYDFETEDDVRRCQDEVTARMSELLSVPRGFAAAFLRHCRWDAEQLQSEWFSDERRVRGAVGLAADRGDVPTALNDRPLDCGICFDRYAPGEMRSAGCPHYYCHECWRGYIGAAVRDGPRCLLLRCPDPRCPAPVVRELVDTAAADGDRARYAVFFVRSYVEEGTSKYVRWCPGPGCTLAVRSRPGSRPYEVPCACGHVFCFRCGEDAHRPASCDTARAWVAKCRSDGENTSWLLSNTKHCPRCRRAIEKNQGCNHMTCGAPCGHQFCWLCLGSWKNHAGDSYRCNRYAADRSEFSGEKARRRQGRASLERFLHYYERWTAHTASLAKARQDLDGLRGGGLDLFAGAMGVPPTELDFLAEAYAQVIEVRRVLRWTYAHVYHLDSARDNVEFCEYLQGEAEGSLERLHHCAEQERNELREDLGIYGAAYPAGYAAGKFAEFREKLSNLNLVTRNHFSKLVEGFESGMAEVVS >PVH63578 pep chromosome:PHallii_v3.1:2:4341109:4342756:1 gene:PAHAL_2G059000 transcript:PVH63578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPNPKRRAAEEAAAAAGLPDEALVEILSRLPVKPLCRSKCVAKAWRDLIADPLHRRKLPRTLVGFFYGSVGRTACWPRQRQEYVNFINLMWTSPAPFVDPPFPFLEDLPGIENVRLLGSGNGLLLFDYVPTSLDLGLVVCNPATKQWVAVPGKCTPEYPGYPVKHTSLIFDPAASSDFHVVQFWEKSCKTLVHVYSSKTGCGVAADVTGVAFT >PAN14657 pep chromosome:PHallii_v3.1:2:57439078:57440366:-1 gene:PAHAL_2G495300 transcript:PAN14657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISCLAPPAALLATSFADAAIARALHFSMSSDSASSLSAPAPPTLAAAHPAAYAPHLTICDSVLVAESPRRNHHHQQLAPAGGRAGKRRSRASKRAPTTYISTDPANFRIMVQQITGVQAEPGEVDMLLAADASAAGAYGNQQLLPSAAPGGDEAESAALHQQFQQPCFPTLDSWNVMYERSDLL >PAN10938 pep chromosome:PHallii_v3.1:2:11220291:11221803:-1 gene:PAHAL_2G127100 transcript:PAN10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPLRRLLLRIRDPPPLPFNALLSRLPPHPQQGPTSSPVPPPLSASTPARTPPASLRDALLSFHPGLQIHPCLDPIGDAPPVEEGGGEAAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >PAN11865 pep chromosome:PHallii_v3.1:2:37918390:37919861:1 gene:PAHAL_2G223800 transcript:PAN11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVLTTILSEIANRSISFLIGKWSEQKLPTNEDQMIHNLQQLLCRVNVIVEEAEARKITNQAMVHQLNMLRKEMYRGQFTMDNLRRKADEEFKTKDHDVSHPFALSKFNPAKRLFFSTGQVLYNLNEIVSDMSEFTIFLKNYPLLYRQPYSMHLILDKCMFGRQMEMDRIINFLMLVEPTSTKHVGVLPIVGQAEVGKSTLVAHVCNDERVRSNFTQIVLITQYDLKALVLNLLPPEAYWYFFKVLTFGSADPMDQPKLESMAMEISRGTNRSFIGANFISCLLRDHLNAGQYGCMVRASLRELDKTRISSCAPVIVNEKNPKYAQRIAMNEYFAIYPCPESFADDMIPRTTLFDLVYRTVKCEGKFEVLALKSRIPPYKNYMYTCEMLKSIPTKMKAASES >PAN09484 pep chromosome:PHallii_v3.1:2:1949652:1952732:1 gene:PAHAL_2G027000 transcript:PAN09484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSPPKPWERSGGEGTSGPAPFKPPSGGSTSDVVEASGTAKPGENVTAAERNVSANNVNSTVSRPMPQRPWQQTGYGNTYGGYGGSNMYSSYGGLGNTYGSGGLYGNSMYSSYGGGYGGGMYGGGMYGGGMYGGMGGYGGYGMGGMGGMGGMGGMGMGPYGNQDPNSMGPPASPPGFWVSFLRVMHGVVNFFGRISFLVEQNTQASYFFMTAMLQLFDRSGMLYGELARFVLRLLGVKRKPKKGSLQGPEAPAFKEPSQQFMEAPSKAGNNWDNVWGN >PAN13796 pep chromosome:PHallii_v3.1:2:49416581:49422164:-1 gene:PAHAL_2G364100 transcript:PAN13796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKASADGENDRVRFGLSSMQGWRTTMEDAHAALPNLDDCTSFFGVYDGHGGKAVSKFCARHLHKQVLINDANSSGDLPASVYKAFLRMDEMMKGQRGWRELTELGEKGNKISGMLEGIIWSPKGGDSDNLGDGWETEEGPNSNFPGPTSGSTACVAVIRNDQLIVANAGDSRCVISRKGQAFNLSTDHKPDLEGEKERILSAGGFVVAGRVNGSLNLSRAIGDMELKQNEVLPAERQIVTAEPELKTVKLSEDDEFIVLACDGIWDCMTSQEVVDFVHKHLNTEDKLSDVCEKLLNSCVAPTSGGEGCDNMTVIIVQFKKPLSSAATSSTEQPAATPEEMRPNELGGPDDPQK >PAN13797 pep chromosome:PHallii_v3.1:2:49416845:49421710:-1 gene:PAHAL_2G364100 transcript:PAN13797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKASADGENDRVRFGLSSMQGWRTTMEDAHAALPNLDDCTSFFGVYDGHGGKAVSKFCARHLHKQVLINDANSSGDLPASVYKAFLRMDEMMKGQRGWRELTELGEKGNKISGMLEGIIWSPKGGDSDNLGDGWETEEGPNSNFPGPTSGSTACVAVIRNDQLIVANAGDSRCVISRKGQAFNLSTDHKPDLEGEKERILSAGGFVVAGRVNGSLNLSRAIGDMELKQNEVLPAERQIVTAEPELKTVKLSEDDEFIVLACDGIWDCMTSQEVVDFVHKHLNTEDKLSDVCEKLLNSCVAPTSGGEGCDNMTVIIVQFKKPLSSAATSSTEQPAATPEEMRPKI >PAN12388 pep chromosome:PHallii_v3.1:2:43835942:43840278:1 gene:PAHAL_2G276200 transcript:PAN12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGRGWNGAAAGTGDGTSGREEKPTTTAAVAAVTPADVPTSAASVDITLPLPEMTPHIIGLCKGSVKGWSSLDSSCFSIETVSGGITNLLLKVSVKEDNGNEFSVTVRLYGPNTDLVIDRKRELQAIPYLSAAGFGARLLGIFENGVVQSFINARTLSPADMREPRIAAEIAKELHRFHQVDIPGSKEPQLWNDIFKFLKKASALKFEDNEKQKRYETISFREIQDEVKELKDLADLLHAPVVFAHNDLLSGNLMLNDSEGKLYFIDFEYGSYSYRGFDIANHFNEYAGFDCDYNMYPDKDAQYHFFRNYLQPDRPSEAQDLEALYVETNTFRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYGEYKKQRESCFSLAQSFLSELRNG >PAN12591 pep chromosome:PHallii_v3.1:2:43835942:43840278:1 gene:PAHAL_2G276200 transcript:PAN12591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGRGWNGAAAGTGDGTSGREEKPTTTAAVAAVTPADVPTSAASVDITLPLPEMTPHIIGLCKGSVKGWSSLDSSCFSIETVSGGITNLLLKVSVKEDNGNEFSVTVRLYGPNTDLVIDRKRELQAIPYLSAAGFGARLLGIFENGVVQSFINARTLSPADMREPRIAAEIAKELHRFHQVDIPGSKEPQLWNDIFKFLKKASALKFEDNEKQKRYETISFREIQDEVKELKDLADLLHAPVVFAHNDLLSGNLMLNDSEGKLYFIDFEYGSYSYRGFDIANHFNEYAGFDCDYNMYPDKDAQYHFFRNYLQPDRPSEVQAQDLEALYVETNTFRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYGEYKKQRESCFSLAQSFLSELRNG >PAN09605 pep chromosome:PHallii_v3.1:2:2607527:2612528:-1 gene:PAHAL_2G036700 transcript:PAN09605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >PVH63489 pep chromosome:PHallii_v3.1:2:2922839:2927732:-1 gene:PAHAL_2G041800 transcript:PVH63489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATIMVVEPLVSMVKGKASNYLLDQYKVMEGMEEQHRLLKRKLPAILDVITDAQEQAAATDAEEREGAKVWLKDVRKVAYQANDVLDEFNYEALRCKAEKEGHNLGIDVIKLFPTHNRIVFRHRMANKLRVILKEIDVLVAEMPAIRFKFKPRQPEPTNYLRYYNSDIVDPGNIAKESRAREKKDVVDRLLAQASSSDLTVLPIVGMGGLGKTTLAQLIYNDPEIKKHFQLRLWVCVSDNFEVDSLADRILKENGCKLTGCSALEELQNAVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGKTEGAYKLESLGAYFIEKIIKTRAFSSKEEEWPGELVKMVRQVAKRCAGSPLAATALGSVLRTKTSKQEWDAVLNRRTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFEQKKNISGRIKYMYCSKITCKIHDLMHDVAMDSMGKECANIGKKLSKFEDFPYSARHLYLSVRQPENLLNASVEKGSPAFQTLICDGYVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSKSDIEALPEDISILYHLQTLDLSYCGDLQRLPKELKYLTSLRHLYTHGCRKLKSMPGGLGHLTSLQTLTCFVAGTDYGCSNVRELQDLDLGGRLELRQLENVTGANGAQAAGLGNKKKLTELELRWTDGDQEAQNNNHEEVVEGLKPHDGLKVLRIYSCGSSTFPTWMDMLNGMVELKLSGCKKLEKLPALWQLPALEFLHLKGLESLHCLCSGATTAVTFQKLKALTLVEMPKFEAWLDTDVVQGGLIFPEVEKLEIDACGSLTALPKAASVITESSGGVDTKCRSAFPALRIMKLFSLNMFDRWEAVEGTPGDGVTFPRLVELGIFSCASLSALPKGGSLLVEQSFGGAETVCRRSAFPALRKLHFLNLSSLEKWGAADGTPGEEVTFPMLVDMTIDKCPKLTYLPEAPKLSELAIQGEGQQISLQAASRCIPSLSSLRLDVSPDDTETTLLHVKQKWDHELPLAAMRLTRCDLLFSSHPDALALWTCFARLVDLTILNCDALVYWPENVFQVLVSLRRLSIWSCSKLTGHTQASDRQSAPERGGLPPRLESLQISGCTSLVEVPNLPASLKILRIGWCGDNIRSIIFGQHEYTELYSGSEATASTAVLKLSSADNHRSLPCLESLSIQSCDRLSEVANLPPSIKTLDIFGCGNLQSLLGKLDVVQKLNITSCRRLESLESCVGELRSLEELRLLHCRSLVSLPDGPQAYSSLRVLQIQDCDGIKLLPRSLRSRLDCLEEKHLDARYEETTWKRAIRTLACSK >PAN14689 pep chromosome:PHallii_v3.1:2:53422171:53426521:1 gene:PAHAL_2G430100 transcript:PAN14689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAPLLRSHPHRRALFPSSPRYRPAAASLLGFPPRKLGSPRRLLHTAVRSSSTASPSAPVEEAAAAAASTGDAEVQERVVLPTNESSDLLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKKIKKEMDRIIRRNLPLVREEVSREEAQKRIEALNEPYKLEILESIKEEPITIYHIGEEWWDLCAGPHVESTGKIDRKAVELESVAGAYWRGDEKNQMLQRIYGTAWENEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHILEDSWKQIHLQYGYDLLYTPHVAKADLWRISGHIDFYKENMYNQMDVEDEMYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIQDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGSDDIWEKATVALKNALDDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPARFDITYVDSNSEKKRPIMIHRAILGSLERFFGVLIEHYAGDFPLWLAPTQARILPVTDNELQYCNEVASELKSRGFRVEVCHGERLPKLIRNAETQKVPLMAVVGPKEVQARTLTIRSRHNGEIGAMPVDEFITRLQLAVANKSSL >PVH63384 pep chromosome:PHallii_v3.1:2:1220167:1222342:-1 gene:PAHAL_2G017800 transcript:PVH63384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFFLSKRKKISDALDEILLRLPPSDPSGLVCASAVCKPWLRTLSDPAFLRRYRTFHGTPLALGFLRNPEDRGLGRFVPTSSFRPAAHDHRTCYVLDCRHGRALMYDYGSMEFVVWDPITGRERRIHDEVPDVYTNHAVLCAAGAGCDHSGCSGGPFLLACVGLQGKIIVKAYGCIHSSEAGAPSVDPTYLGYIHFDHHECDLEDQSAALVGGALHFFGNSGILRYDVLGGRRLSVIELPPANFLGSSTIVMTAENGGLGLATLDGHYIGLWSTETGPDGNARWAHLKFIDLEPLLPAGSLKTPALSGFAEDANVIFMSTDDGTFTITLKSMLARKVCEMGKLNPVFPYVSFYTAAACARGTLAPPVGTQ >PVH63543 pep chromosome:PHallii_v3.1:2:3716948:3718795:1 gene:PAHAL_2G050800 transcript:PVH63543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKDYEIDVEMLIQLWMANGFILEKQGERPEITGKNIFVELAARSFFQDVKGIPFQFNHTEVSRITCKIHDLMHDVTMDSMGNECATIATKLSKSEDFPYSACHLFLSVNRAETILNASLAKGSPTFQTLIFGGKKPGRGFQKLSNCSSVRALKVQQSSFLRLKYLHHLRYLDLSKSDIEALPEDISILYHLQTLDLSNCADLQRLPKELKYLTSLRHLYTHGCRKLKSMPGGLGHLTSLQTLACFIADTDSGCSNVRELQDLDLGGRLELRQLENVTGANGAQAAGLGNKKKLTKLELIWTDGDQEAQNNNHEEVVEGLKPHDGLKVLGIYSCGSSTFPTWMDILNGMVELKLSGCKKLEKLPALWQLPALEILHLKGLESLHCLCSGATTAVIFQKLKVLTLVEMPNVEAWLDTDVVQGEETIFPKVEKLEIHECGSLTALPKAASVITESSGGVDTKRRSAFPALRNRTLFSLNMFDRWEVVEGTLVEGVTFPRLEELYILSCASLATLPIGSLLVEQSFGGAETVCCRSAFPALRKLELSGLSALE >PAN09249 pep chromosome:PHallii_v3.1:2:627458:629479:1 gene:PAHAL_2G009700 transcript:PAN09249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFLSAVLGELTTRSISFFIRKCSKLQEHDVEDHLHRALVRAQVILDEAMGRQITNQAMLRQLDILRGTMHQGYYMLDTFRYQSHDEEDAKDQIVSRSLYLSKVNPLKYFCSSNRNTQILEQLQKVLDNLSSMILDMEEVVIFFTSYSRLYRQPYSMHVLLGNCMFGRHMEAELAIKFLLHTQPQGYEELEVLPIVGPNKVGKSTLVAHVCKDERVYGHFSEIVLLHDHDFTDAELAFREGCAMKHQNHMSSSNNDKRLLVVVELAGDLNDDAWDRLYYAYKSCLPRGSKIIVTSRSEKVVRFGTAQALTLKHLPAEAYWYFFKTITFGSVDPETQPRFLQLAMEISRMQNGSLNGANIISSLLRDNFDIHFWCKIATFLRGFIQKSLSKFGETPFDLLYQNKPVEFGRMATSSEKFLVSYQYECSSQEEIPKIRIQDVMFGSVKPHGKIDILLWRAQIPPYYSYVYTCSAIQEPKATGAKRKRSMKNGVTPR >PAN11679 pep chromosome:PHallii_v3.1:2:35051156:35052224:1 gene:PAHAL_2G209700 transcript:PAN11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLPRRAASAPHRRHHLPRRAAPCRLHLQRRARGRRRRASPPAQSLARSGSRSDPSPATSSRTAVLRLPTGPIYSAVLLPIGPISDDELKDGSAAPPRRPHLPHGSARGWQRRTSAPAPSPARFCLRTAPRRLPAGLMSSRMMTTLVTAENGELLQVPVTRGERLAAPTRGDGCDATSGSG >PVH64569 pep chromosome:PHallii_v3.1:2:45779168:45779544:-1 gene:PAHAL_2G304500 transcript:PVH64569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVALVSVWAITLAVLLCGDGAAETPAQRERRRARARRAVSSSQVSIRLSTDILATRPVFPANQFDLDQANCT >PAN12297 pep chromosome:PHallii_v3.1:2:42290180:42294888:1 gene:PAHAL_2G257200 transcript:PAN12297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MAIECLVLGAGQEVGKSCVVATIGGKRVMFDCGMHMGYHDRRHYPDFARALASWGAPDFTSALSCVVITHFHLDHIGALPYFTEVCGYHGPIYMTYPTKALAPFMLEDYRKVTMDQRGQEEQYSYEDILRCMKKVIPLDLKQTVQVDKDLVIRAYYAGHVLGAAMIYAKAGDAAMVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTIRDSKHAREREFLKAVHKCVSGGGKVLIPTFALGRAQELCMLLDDYWERMNLTVPIYFSAGLTIQANMYYKMLIGWTSQKIKDSHAVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEAFKKWAPSEKNLITLPGYCVAGTVGHKLMSGKPTRIDLDKDTHIDVRCQIHQLAFSPHTDSKGIMDLTEFLSPKHVILVHGEKPQMAFLKEKIESELGMPCFYPANNESVSIPTTHNLKMSTTERFITSCAAEQGKQSLRKRNLIHGTGTSEVNVTDEGEAEGVLLMERHKAPKILCEDELLQVLGMERHLVQFEAMSSSRIEAAVESELKRAEAADLGSEEK >PAN11062 pep chromosome:PHallii_v3.1:2:13005558:13006752:1 gene:PAHAL_2G138500 transcript:PAN11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-3 [Source:Projected from Arabidopsis thaliana (AT3G56070) UniProtKB/Swiss-Prot;Acc:Q38867] MAKNPKVFFDILIGKAKAGRVVMELFADKVPRTAENFRCLCTGEKGLGVSGKPLHYKGSTFHRIIPSFMCQGGDFTRGNGTGGESIYGAKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTMQTPWLDGKHVVFGQVVDGYGVVQKMEAVGSGTGATAERVLIEDCGQLADE >PAN11061 pep chromosome:PHallii_v3.1:2:13005557:13006755:1 gene:PAHAL_2G138500 transcript:PAN11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-3 [Source:Projected from Arabidopsis thaliana (AT3G56070) UniProtKB/Swiss-Prot;Acc:Q38867] MAKNPKVFFDILIGKAKAGRVVMELFADKVPRTAENFRCLCTGEKGLGVSGKPLHYKGSTFHRIIPSFMCQGGDFTRGNGTGGESIYGAKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTMQTPWLDGKHVVFGQVVDGYGVVQKMEAVGSGTGATAERVLIEDCGQLADE >PAN11060 pep chromosome:PHallii_v3.1:2:13005558:13006754:1 gene:PAHAL_2G138500 transcript:PAN11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-3 [Source:Projected from Arabidopsis thaliana (AT3G56070) UniProtKB/Swiss-Prot;Acc:Q38867] MAKNPKVFFDILIGKAKAGRVVMELFADKVPRTAENFRCLCTGEKGLGVSGKPLHYKGSTFHRIIPSFMCQGGDFTRGNGTGGESIYGAKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTMQTPWLDGKHVVFGQVVDGYGVVQKMEAVGSGTGATAERVLIEDCGQLADE >PAN13069 pep chromosome:PHallii_v3.1:2:46193502:46198887:-1 gene:PAHAL_2G312100 transcript:PAN13069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEKGEERDDHSTDVERDGKQGKEAESDYEPGRDSLSSQGEATSNEDTKAKRVSRVPKKLAKKESKENSPRSARSISSRQIHTKLQYISSNNPQNKSPKTNKMANGARTVEVKKTEAVKVPSCSSSEVSEETEEKAIEDRPTDDKTVDGGAKDGKAIEGRAADEVIEGRNKDDKAIDAMKDDKAIEDGTKDDKAIEDETKEDKAVEDGTEDDKAVEDGTKDDMDIEDGKDGKAIEGKVTDDNVIDGREADGKATEEAKEIDILDEAPKCDQSTSTDDEIADTEENIVHNGQSVSYEKNEELDSKIEKLEQELREVAALEVSLYSVVPEHGCSSHKLHTPARCLSRFYIHASKFWSSDKKASVAKNSVSGLVLVAKSCGNDVSRLTFWLSNTAVLREIIAQTFGTSRQSGPIMKSFSTNGNAKKPDGNFAPMRWKSSSNGKHARPNIMQLPDDWRETGTLLSALEKIETWIFSRIVESVWWQAMTPHMQTPVEDLSTPKIGRLLGPSLGDQQHGNFSIDLWKTAFRDAFSRICPLRASGHECGCLPVLAKLVMEHCVARLDVAMFNAILRESANEIPSDPISDPIVDSRVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDTFGMDGTGAEKDGQDAEHNGDDRRDAAETNCFKLLSELSDLLMLPKDMLLEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPGMVLEELNSESLMERFTEREVISTFPVAAAPVVYRPPSLEDVAEKVADTGRGDPELLDRRGSMVQRRGYTSDDDDLDDLDSPLASLYDRSAPPSPCSDGAAHFSTRRQGASMANARYELLREVWSERR >PAN13068 pep chromosome:PHallii_v3.1:2:46193509:46198887:-1 gene:PAHAL_2G312100 transcript:PAN13068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEKGEERDDHSTDVERDGKQGKEAESDYEPGRDSLSSQGEATSNEDTKAKRVSRVPKKLAKKESKENSPRSARSISSRQIHTKLQYISSNNPQNKSPKTNKMANGARTVEVKKTEAVKVPSCSSSEVSEETEEKAIEDRPTDDKTVDGGAKDGKAIEGRAADEVIEGRNKDDKAIDAMKDDKAIEDGTKDDKAIEDETKEDKAVEDGTEDDKAVEDGTKDDMDIEDGKDGKAIEGKVTDDNVIDGREADGKATEEAKEIDILDEAPKCDQSTSTDDEIADTEENIVHNGQSVSYEKNEELDSKIEKLEQELREVAALEVSLYSVVPEHGCSSHKLHTPARCLSRFYIHASKFWSSDKKASVAKNSVSGLVLVAKSCGNDVSRLTFWLSNTAVLREIIAQTFGTSRQSGPIMKSFSTNGNAKKPDGNFAPMRWKSSSNGKHARPNIMQLPDDWRETGTLLSALEKIETWIFSRIVESVWWQAMTPHMQTPVEDLSTPKIGRLLGPSLGDQQHGNFSIDLWKTAFRDAFSRICPLRASGHECGCLPVLAKLVMEHCVARLDVAMFNAILRESANEIPSDPISDPIVDSRVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDTFGMDGTGAEKDGQDAEHNGDDRRDAAETNCFKLLSELSDLLMLPKDMLLEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPGMVLEELNSESLMERFTEREVISTFPVAAAPVVYRPPSLEDVAEKVADTGRGDPELLDRRGSMVQRRGYTSDDDDLDDLDSPLASLYDRSAPPSPCSDGAAHFSTRRQGASMANARYELLREVWSERR >PAN11822 pep chromosome:PHallii_v3.1:2:37217915:37220025:1 gene:PAHAL_2G219800 transcript:PAN11822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPQRYLLPCTLLIFSCFLLHGGRVAAAGKVPAIIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYANGLPTGRFSNGRLATDFISEAFGLPPSIPAYLDTNCTIDQLATGVSFASAATGLDNATAGVLSVITLGQQLAYFKEYTDRLKVAKGEAAAKEIIGEALYIWSIGTNDFIENYYNLPERRMQYTVGEYEAYLLGIAEAAIRRVHALGGRKMDFTGLTPMGCLPAERIGNRDDPGECNEQYNAVARTFNTKLQELVAKLNSQLPGLQLVFADTYQLLAAVVNRPADYGFENAVQGCCGTGLFEAGYFCSFSTSMLCGNANKYVFFDAIHPTEKMYKLLADTVINATLHVFM >PVH63941 pep chromosome:PHallii_v3.1:2:13303075:13305397:1 gene:PAHAL_2G140600 transcript:PVH63941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLALGAAAAAGSSPPAAAASVMRAAWQAVRWHVVVPGLQLAVYVCATMSLMLFLERLYMAAVVAGLWLQRRRKRAGRRRLARDDARKRMRLDDDDDDLESGEDRRCPMVLVQIPMFNERQVYRLSIGAACGLSWPSDRLVIQVLDDSTDPAIRELVEVECARWARKGVRIRYENRSNRNGFKAGAMREGLRKPYARGCEFVAIFDADFQPDADFLRRAVPPLLRDPGVALVQARWRFVNAGDCILTRIQEMSLNYHFAVEQEVGSACHAFFGFNGTAGVWRAAALADAGGWKERTTVEDMDLAVRASLRGWRFVYAGDLAVRNELPSTFRAYRYQQHRWSCGPANLLRKVLPEILRSDRVSPWKKLHLLYAFFFVRKVVAHLVTFLFYCLVIPACVLVQGDVHLPKYVAVYVPAVITLLNAVCTPRSGHLLVFWILFENVMSLHRSKAVAIGLLEASRANEWVVTDKLGGGKAAAATTAAVARKEKKQLLRRREVHVLELVMGACLLYCAVYDMVFFGQDHFYLYLILQSAAAFIVGFGYVGASVPAAPS >PVH64047 pep chromosome:PHallii_v3.1:2:24192136:24192681:-1 gene:PAHAL_2G173400 transcript:PVH64047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHFLLVPLVAQGHIIPMVDLARLLAGHGARATVVTTPVNAVRNRAVVESAKRAGLDVELAERLLDGMKNIDMVVTILSHPAVGGFLTHGGWNATLDAMFHGVPVLRPKLQHIRFIKVAIPGSLVAFCPYSIPYKFDSTS >PAN13857 pep chromosome:PHallii_v3.1:2:49657200:49657783:1 gene:PAHAL_2G368800 transcript:PAN13857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLVAGLFLALALVVATTPHPSQTTRSLAEHGCCGTPSPAPPPDASPPGQEPATASCMSWFMGMTPCMDFFTDASVPAPSSACCRGLESLVDGAAVCLCHAMNGDIDNLMPANTDFTRVSDLPAACGVALPVERLSKCETELVPPLLPPSPAP >PAN12404 pep chromosome:PHallii_v3.1:2:43928138:43932801:-1 gene:PAHAL_2G277700 transcript:PAN12404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLARRLAASLLRPRGGRALHTGPPSDPAVLACRLASRAVVRFAGPEAARFLHSLLTNDLLSAFAAGGASSPQRYAPTPNAPARGPAGPAYAALLTPQGRFLYDLFLYRTPPRSQMLDRTGSAPETGEKPAQEEGEPAEVLADVDAAEVDELVACFKRYRLRSKVEIDNVSENFACWQRFGRNVVHTEPSTQEPEAQSIGWGQGIDHAGESAAQGNGHGWQWLKDPRLDYLGYRGIFPADTIPPLVESDKEADERHYQLWRIENGIAEGSTEIPKGEAIPLEYNLAALNAISFEKGCYIGQELIARTHHRGVIRKRLMPMKFVDEKGEELDQAVAPGSEVVNEASGKKIGTVNTALGSRGMGLLRLEEALKQGSFLCISDNKGVRVQPIKPDWWPAEWTQMVDQQSAVA >PVH64467 pep chromosome:PHallii_v3.1:2:43889691:43891916:1 gene:PAHAL_2G277000 transcript:PVH64467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLEDELRHVLAARALDLEIEALAGLSSLTPSGGRRNSDATRAAAEEDDDDDGSGSVSSSVGRRRTYRSLLSIREVDLYPADAISDLHAIVSCMSEAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQQLEGDALEAKVRRWIRAARAAVPVFASERRLCFNIFRDLPLCDSAAAATATAAVATHDAPFTEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDMLPDISDIFAASKAAKSIYVQAAEIRSPLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKTTLSELIISRPSATSRIFAEGNEAAPAFPDLDLPDPDSQLPLAAHLVWIIAVLEHNLESKALLYKDAALYHLFLMNNVHYIVHKVKDSAELRGLIGDEYLKRLTGKFRLAATSYQRIAWLKILNCLRDEGLHASGGFSSGVSKSALRERFKAFNSAFEAAHKIESAWYVPDTQLREELRISISEKLLPAYRSFLGRFRHHIENGRHPEMYIKYSVEDLEIAMEDFFEGAPSSPHNRRRSHG >PAN13223 pep chromosome:PHallii_v3.1:2:46790355:46792529:1 gene:PAHAL_2G321400 transcript:PAN13223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPFGLALQLLLHDIKEFLFKMKAYNHADWVKVRQKSFLQNPEDHMQWAIGQAMFQMLPEDHTSGTSSRRWGAIPKRPA >PAN13332 pep chromosome:PHallii_v3.1:2:47327135:47328952:1 gene:PAHAL_2G329200 transcript:PAN13332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVGSAVATEAVSRISSILSGDKPSHESAEGRAERLEMAVLKIRSVVAVSEDLHISHPPLLQWKAKLKRVAEEGDDLLHAQYKKRALECDCVSNDPAPAGNNSISQYLIQAARRFAPFRRKEAELDDITLRRFERFADGADSFFRLVESGGRPKGSMFLPSLTRYLLAGESREFSIRGRSGGDDLVMLWPWPDPGVEDGLVACLAVSREHEVMWEKNLKMCVVFRLSEASDILGIAMGCLELLPPQFEAAGLAIRRLLAETIGQRGDSSRLSERSMWCRRHIQSHSCNDREPSSTGDEHRGAGMLLPHPVLQLSAACFASPSIAGSYSSSPVKAGLPLKLVCHVSPYLPEKHSNQFELVEQDDARKLLPKVTDGFYDGRHPVISKRQIWCPQSSMYCEVAPAISKPLTMAQAYLTESSGAPSRRRRMAKTKSQSVSRSQKRLKNLNK >PAN09532 pep chromosome:PHallii_v3.1:2:2265580:2267973:1 gene:PAHAL_2G031800 transcript:PAN09532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEQSAPPVVVAMKGHPGSGKSTAARAIAAALRCPLLDKDDVRDCTLALEAVAASGVLNELSYAVLWCVAEKQVQLGLSVVIDSPLSRRVHLDALTRIPGALVVIVECHPSDKEEWRRRLEKRGAADSHGGGSDGWHKPKTWAELERLVEGYQGCTDYEIGDVPRIVVDTTDPTVDAEAIAAKLSVLVSRSIGESFLPEIEALRWHLLV >PAN13589 pep chromosome:PHallii_v3.1:2:48538649:48538963:1 gene:PAHAL_2G349000 transcript:PAN13589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVASIRRWEGTYLPLFNWQCTRRPRDAQIEGGRRAPAPARRGRATRAPDTNNAAAHDCAATPGHKHTTPLLYLQLGSIMRFQFNVKRKLLCILAISKLPSVY >PVH64593 pep chromosome:PHallii_v3.1:2:46092171:46093306:1 gene:PAHAL_2G310600 transcript:PVH64593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRIPTTSPRTTNRVPSCTQSCLWVRSPLELRMAQDSDDPLFVVSVVVWVFVVILAIVALHCPLPRRVVR >PAN15083 pep chromosome:PHallii_v3.1:2:55320573:55322099:-1 gene:PAHAL_2G463000 transcript:PAN15083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGALIITLLAFLGSVECQQGSGILCLGGWVRPVPILGGLICPGGLRPSPKIFKGPSPAPSGAGLRVGYYNSCPNAEDIVRKVVRDAVDKEPGMGAGLIRLFFHDCFVRGCDASVLLVNSSGSSDPSEMFGPPNRESLRGFGVIDEAKAALEAACPNVVSCADIVAFAARDASSFLSNGRVNFAMPAGRLDGRVSLASETTDPLPGPFSDLETLKNRFAAKGLNTNDMVTLSGAHTIGHARCMFVSTSRPGMNATLAGELRQRCGGGGGNSSVKLDYKTPDVLDSQYFQNVKDNAVLLDSDAALRATETAALVDTYAAGLGSRWEMEFAAAMVKMGNIEVKTSPGADAEIRKKCSIYN >PVH64304 pep chromosome:PHallii_v3.1:2:39428199:39435424:-1 gene:PAHAL_2G232600 transcript:PVH64304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTITEQGIANVLHLTPSRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLKVTGNITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLVELSRREKEAGIVPDPETDIYMKAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYSGPREHVLQFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWKHGDETYRYVPVKEFAEAFQSFHIGEAIRNELAVPFDKSTSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKAVQLTLMAIIAMTVFLRTNMQHDSLTNGRIYMGALFFGILMIMFNGLAEIGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLLMNEASSGLFRFIAGLARHQVVASTIGSFGILIFMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGDSWNKILPGSTEPLGKLVLESRGLFPEAKWYWIGVGALLGYVLLFNILYTVCLTFLKPFDSNQPTISEETLKIKQANLTGDVLEASSRGRVASNTVTTRSTVDESNDEAASNHATVNSSPVNKGMVLPFVPLSITFEDIRYSVDMPKEIRAQGVKETRLQLLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQSDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELIKYFEAIEGVTNIKDGYNPSTWMLEVTSTMQEQITGVNFSEVYKNSELYRRNRTLIKELSTPPEGSTDLSFPTEYSQTFLTQCFACLWKQSMSYWRNPPYTAVKYFYTTVIALLFGTMFWGVGRKRDNQQDLFNAMGSMYASVIFMGVQNSGSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLAYYTFYGMMMVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTRIPVWWRWFYWICPIAWTLNGLVTSQFGDVTEKFANGVRISDFVEDYFGYHHDFLWAVAVVVVAFAVLFALLFGLSLKIFNFQKR >PAN11967 pep chromosome:PHallii_v3.1:2:39428207:39437001:-1 gene:PAHAL_2G232600 transcript:PAN11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGEIHALGSLRREGSVWSAAGDNVFSLSSRGDGGGAEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQKLGPQERHALLQRLAWVGDDHQRFLSKFKDRVDRVGIELPKIEVRYDNLNVEAEAYVGSRGLPTIFNTYANVFEGIANVLHLTPSRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLKVTGNITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLVELSRREKEAGIVPDPETDIYMKAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYSGPREHVLQFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWKHGDETYRYVPVKEFAEAFQSFHIGEAIRNELAVPFDKSTSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKAVQLTLMAIIAMTVFLRTNMQHDSLTNGRIYMGALFFGILMIMFNGLAEIGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLLMNEASSGLFRFIAGLARHQVVASTIGSFGILIFMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGDSWNKILPGSTEPLGKLVLESRGLFPEAKWYWIGVGALLGYVLLFNILYTVCLTFLKPFDSNQPTISEETLKIKQANLTGDVLEASSRGRVASNTVTTRSTVDESNDEAASNHATVNSSPVNKGMVLPFVPLSITFEDIRYSVDMPKEIRAQGVKETRLQLLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQSDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELIKYFEAIEGVTNIKDGYNPSTWMLEVTSTMQEQITGVNFSEVYKNSELYRRNRTLIKELSTPPEGSTDLSFPTEYSQTFLTQCFACLWKQSMSYWRNPPYTAVKYFYTTVIALLFGTMFWGVGRKRDNQQDLFNAMGSMYASVIFMGVQNSGSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLAYYTFYGMMMVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTRIPVWWRWFYWICPIAWTLNGLVTSQFGDVTEKFANGVRISDFVEDYFGYHHDFLWAVAVVVVAFAVLFALLFGLSLKIFNFQKR >PAN11968 pep chromosome:PHallii_v3.1:2:39430164:39437001:-1 gene:PAHAL_2G232600 transcript:PAN11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGEIHALGSLRREGSVWSAAGDNVFSLSSRGDGGGAEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQKLGPQERHALLQRLAWVGDDHQRFLSKFKDRVDRVGIELPKIEVRYDNLNVEAEAYVGSRGLPTIFNTYANVFEGIANVLHLTPSRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLKVTGNITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLVELSRREKEAGIVPDPETDIYMKAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYSGPREHVLQFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWKHGDETYRYVPVKEFAEAFQSFHIGEAIRNELAVPFDKSTSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKAVQLTLMAIIAMTVFLRTNMQHDSLTNGRIYMGALFFGILMIMFNGLAEIGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLLMNEASSGLFRFIAGLARHQVVASTIGSFGILIFMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGDSWNKILPGSTEPLGKLVLESRGLFPEAKWYWIGVGALLGYVLLFNILYTVCLTFLKPFDSNQPTISEETLKIKQANLTGDVLEASSRGRVASNTVTTRSTVDESNDEAASNHATVNSSPVNKGMVLPFVPLSITFEDIRYSVDMPKEIRAQGVKETRLQLLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQSDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELIKYFEAIEGVTNIKDGYNPSTWMLEVTSTMQEQITGVNFSEVYKNSELYSI >PVH64925 pep chromosome:PHallii_v3.1:2:50869612:50875608:-1 gene:PAHAL_2G387300 transcript:PVH64925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNQDFQEERHQRRENGEETEKAADYGNALSRKEATEELLGCVVHSEEEAYRLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPVHNHQLAKPGERHMLRSAKSLAVGKSGVIDPSASTESHPINGFSDTIEGGTPENSGYTIRECYNQVGMQGITVIEAGDGQNLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFYRDGKCRNDYDCFGDAIIFDTTYRTNKYNLICAPFVGVDHHWQNVVFGCAFLLDESVASYVWVFKSFLESMGGQSPKSIFTDQDEAIMQAIEQVFPNTQHCFSYWHILKNAQSHLGSLNTSQAFQTLFTKCMQGSDSEEDFEESWTAMLREYKLQDNSWLIDLHRFRHKWCSAFNKDTFDGGINSSQWGEVSNNILSGISDENTSLTRFALLLEKVVKDLRRNESEEDFRCSQTAPVRAVKHSTVLKQAAESYTHRIYKLFEAEFLDGCGATSCHETSSGGNLLRFEITMQGRGSKVWAVALDTSTMEITCACRKFERMGLLCSHALKVLTLQNVDTIPEKYVLQRWTKDARRSMYKLTQDDSTQQECTEAELAYRNRAMQYAYNLIIKSQELEESRKIFWDSLETGEKALEVFFEMRSMRSQAAKDASNKEKKKKKPTKGPSSKKAKQAPAASSTDLELSVQTNEHQYQSSQDAQGNATIGRPYYYQQAYPTAPIQPNQMYMHPNMHTMPLCTQQDHLSAYAAVRPNSNFAGAKNV >PAN14458 pep chromosome:PHallii_v3.1:2:52349197:52353597:-1 gene:PAHAL_2G411700 transcript:PAN14458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDSNPFEEEDVNPFSEQARGKAGGQSNFGGGAFYMPNPRNVAPASNSRLSPLPPEPADFSATVDIPLDSSKDLKRREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYFAFASFLGLVCCLFWNVIAVTTAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLLSVNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRSAMRAAF >PAN12838 pep chromosome:PHallii_v3.1:2:45060457:45063996:1 gene:PAHAL_2G294200 transcript:PAN12838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGAGAGALRRKAEQWLSVAEKLLVARDLEGCKQFASQALASDPHTPGADDLHAAAAALLAAQRRRRPNGQPDPYGVLGLDPTNPASRHPDAIHAQYRSLSFLLNRSRPDRPCSLAFAEAARLVADAWAFLSDPARKSALDAELHAAAAAAARAYHSPAPNLPQPHSQSPLPSRPTPPTAAPSPRPTPPPAAPSPRPTPPPAAPSPRPTPPPAAPTQRPTQPLATPSPRPTPPPVSPPLRRTPPPVASPPRPTQRSVAPQTRPAPLTAAPAPRPSPPPPIALQTQPSPPLPSTPQTPIAATVSAVQSGAAPSSTFWTVCACCHIHQYDRQYETRKLLCPSCRQTFVAEAMADPPPIVPGTDMYYCTWGFFPVGFPGCPGFERMINSQPRGPDQLNAPWLGGTGGVKGNTQDNAQNGAPPVIATVVEVPVEVPAVTPPAKPMRVKVGAKKRGRPKGSKNKKKL >PAN13901 pep chromosome:PHallii_v3.1:2:49884354:49887939:1 gene:PAHAL_2G371400 transcript:PAN13901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAVPVLCSGITVGTLQAQSVHQITSSKSPTLTSFKLSREPSSLNVCSPRKPAIRIQSLPGPQNLEASEMSKGKRLASGMQLDTMGMNMWCFLSFPLDANILLPFLSKVLGYSIIAASTVAKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGSKTWMKALLYCGLAPTVLAGKIDPGLFEVLYASQHAIFFFARVPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVIMGSAIGIVMNGTLLGQILLYQKPTPKKQKKED >PVH64992 pep chromosome:PHallii_v3.1:2:51525430:51529538:1 gene:PAHAL_2G397400 transcript:PVH64992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRRGSLRSSSSIVFPLLLSFLVAPPAAAQLPWTNCGDSGAFEQNSTYQSNLERASAVLPRNASSSASLFAAGAVGAAPDVVYALALCRGDASAPTCAACLRVAFQDAQQLCAYSREVSIYYDLCYLRFSGRDFLAGAGADNDEMYLHKTENVSAPTAAFDAAVGALLNATAGRAAADPTRRFATGEEAPGGSVPALYALAQCTPDMTPAGCRSCLANITRMARKLFSGSPSGRFIRVRCNYRYGLQPFFSGSPLLQLPALASPPPHTMVPVPAKSTSLVNGPGRKNRATKISVGVASSLFFMLIGLASTIICWKRKTPVRNQNNPVPIIPRKIQMPKRTGRGKCAVFDLLTLQEATDNFHEKNKLGEGGFGTVYKGKLSDGQKIAVKKLSQCTRQGLNQLHNEVQVLAELQHVKLVRLLGFCSDRDEMMLVYEHIKNGSLDKFLFDNSRRAILNYEQKYNIILGIAKGILYLHEDSSIRIIHRDLKANNILLDEKMNPKIADFGLARLLGGGHTQTKTASVAGTYGYMAPEYALFGKVSPKIDVFSFGVLVLEIITGKRNTSSDDSDKAANLLTDVWNCWTKGTALQLINKPLDGHTRSKLLRCIHIGLLCVQENPDDRPSISSVVVMLTRSRIRLQKPRQPAFFFGGDSSSVLDRCIHGNYVYEKSDVIVEDNFSVNDVTNTDPDPR >PAN09306 pep chromosome:PHallii_v3.1:2:904747:907467:1 gene:PAHAL_2G013200 transcript:PAN09306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATASRTPHKEGCRLPLGPTTIAAAFHRPRPIPKSSRRRGASLRVRPSKQHGEGADGLGELVEEILLRLPPDDPASLVRATTMCSRWCRVVSAPGFRRGFAERHRAAPMLGFFANLRDGDEDDFVARLVPATPFRPRHADRRGTRALNARHGCVLLTTTPWEPNLEVWDPVTGELRELPRPNLPYSLFRWNAAVVCAAHGECDHLDCRGGPFGGDAGVSLPIRGWRLERADLRPSLLNIWGRDGSYCPCGECALFPDGCDQQHSTVRFGQAERVYASPALWFRIGLHGAHDVGGWRVGIRKCGEVQTLALVNGDRS >PAN15449 pep chromosome:PHallii_v3.1:2:57040555:57045030:-1 gene:PAHAL_2G488900 transcript:PAN15449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPPKPGDPPQRSPGRSPNLNLPCPLPPVPGGAPPPPRPGGGLPAPRVGHHRRARSEVAFRFPDDLGAGGGGGFDEIGSEDDLFSTFMDMDKIAGADRDRAAETSSPPRPAKHRHSASFDGFGMGPAAGGTGAPQDGAGGVFGEVMEAKKAMSSEQLAELAAIDPKRAKRIIANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMSKSNEPYNMGMQHVTYSPSFFQLSEQHAVQHHGNIQLPPHFQQPPPNVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGSVAVKSEAEVVVKSEGSSISAGESNSTF >PAN15448 pep chromosome:PHallii_v3.1:2:57039243:57045326:-1 gene:PAHAL_2G488900 transcript:PAN15448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPPKPGDPPQRSPGRSPNLNLPCPLPPVPGGAPPPPRPGGGLPAPRVGHHRRARSEVAFRFPDDLGAGGGGGFDEIGSEDDLFSTFMDMDKIAGADRDRAAETSSPPRPAKHRHSASFDGFGMGPAAGGTGAPQDGAGGVFGEVMEAKKAMSSEQLAELAAIDPKRAKRIIANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMSKSNEPYNMGMQHVTYSPSFFQLSEQHAVQHHGNIQLPPHFQQPPPNVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGSVAVKSEAEVVVKSEGSSISAARGGLAKRWQREELGLQST >PAN15492 pep chromosome:PHallii_v3.1:2:57262777:57266543:-1 gene:PAHAL_2G492000 transcript:PAN15492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGAASPRSPESHVAPPLPPPQPPEKDACENTGDTVITGEKPCTHQELDLGQTNSSSLNSSSECENQAPCNDEMTGSESNLETAKTEGDVPSGEKVLKKPDKILPCPRCNSMDTKFCYYNNYNIKQPRHFCKSCQRYWTAGGSMRNIPVGAGRRKSKSSSANCRSILIPGSSAATPVGESTLFPLPLPINRNQAAVNFGPDSPLCNSMASVLKIGGEQSNNANPASTAQPRNGETLTCPPSTTTSDGPRSESHKGTVSAHQNGVVGHGNGVTSMHPIPFFPGPPFVYPWSPAWNGIPAVAAPVCPAPAEAANASENGNGSSNVQWNVPPMVPVLPPGFCGPPIPVPVMPSSVWPFITPWPNGAWSTPWLGPGVSASSPTSSSTCSDSGSPVLGKHSRDSRPQGDEKAERCLWIPKTLRIDDPDEAAKSSIWTTLGIEPGDRGMFRPFRSKAENREQISSTAKVLQANPAALSRSQSFQETT >PAN12224 pep chromosome:PHallii_v3.1:2:41590352:41593239:1 gene:PAHAL_2G250300 transcript:PAN12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTPPTGEPKRRRLLALPAVCPCEGIAPAPLLASLLSLAADLASRGAGDAGAFPVLRRGVRQAVRIAGLLLAFLEEIQDATVALALPAPAVLGLTELHVAMQKLRFLLTDCARRGARLWVLVNAGLAASELRAVLGSVAASLDALPKGVVDASVEAGELARLVSEQAWRVPVRPDAGDERAARTVRSILEQFKSGVSPDAEDARRVLKHIGVRTWSECSEEIAFLEDELRTRMDGAGDDSCSDAVLINSLIAFLVYCRVVLFDQIDVNPKADAAARPARCPDWIRPDALQCPITLDLMTDPVTVSTGQTYDRASITRWIKAGCRTCPVTGERLHTADVVPNAALRGIIERMLLSNGVSLPDASSSGHRHGALGNTPAPFGRAAAGAARLAVTYIVAQLSTGSTEERRKATSEARKLCKHSVFYRACLVEANAVPWLLCLLSSTDASVQENAVASLLNLSKHPGGRTALFEAGGVGLVVDVINVGARAEARQNAAAVLFYLSSGPEQAEEIGRIPESIPTLVQLIRDGAHRGRKNAMVSLYGLLQCASNHGKAVAAGAVAALAAVLAVDLDDLAGDAVALLARLAEHPVGAQAVLARPGLVARVVEALATSASRSGKDHCVALLVSLCRHGGEKVVALLGRMPGLMASLYTLVADGSPQTCKRARALLNMIHRHYEMGDAPAAAAPASEAGERVVRVL >PVH63510 pep chromosome:PHallii_v3.1:2:3149356:3149562:-1 gene:PAHAL_2G044700 transcript:PVH63510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPMGSEATTVYGCVVALVFSGFIIYDTGNLIKRHGYDEYVMAAISLYLDTVNIFMDIVPCMSSSDP >PAN09937 pep chromosome:PHallii_v3.1:2:4375768:4384722:-1 gene:PAHAL_2G059400 transcript:PAN09937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGAGGGAGGRGPGGRGGERVGRVGGGGAGGHQQSHGSGGGAGHQQPYSRGDGGGRGAGGYPQQPLGRREGAASGRGGVGAAPVRPAAPRPVAPVAIPAAAASTSARPPAPTSGPAPAPPAPASTAAATDLARGMVRLAVAGADPAAPPAPAARSAPAQQPPAAPPVSNKGIPHPARPGFGTVGRRVVVRANHFLVEVADNDICHYNVSISPQPKARRLNRVLLSELIKVHGATSLAHKMPAYDGSMSMYTAGELPFKSMDFVVKLGHQEIEYKVTIRYAARANLYHLQQFLDGQQRDSPHDTIQALDVVMRESPSLNYVTVSRSFFSKQFGAAKDIGDGLECWRGYYQSLRPTQMGLSLNIDTCSTSFYKSIPAVQFVKECLLVTNPTQPFWDRDRLKIKKALRGVRVETTHQQGKRSIYKITGITSVPLEQLSFPCNDGTLTVVQYFKQRYKYQLQYTAWPCLQSGNDSKPIYLPMEVCEIIEGQKYSRKLSDTQVASILKATCKRPQERENNIIHMVGHNNYSADRLAQVFGITVANQMANVQARVLPPPLLKYHESERDKTVAPSIGQWNMINKKMVNGGTVHSWTCLSFSRLPRHVVDRICDGLVQMCNSIGMVFNPRSVIEVQSASPNHIEAALRDVHTRAPNLQLLIVILPDVSGHYGKIKRLRETELDIVSQCINPKPDKNKQYFENVALKVNVKVGGCNTVLERAFEPRGIPFVSDVPTIIFGADVTHPVAGEDSSASIAAPQVTTYKALVSAQAHRQEIIQNLFWTATDPEKGTPVNGGMIRELLSSFYKRTGRKPERIIFYRDGISEGQFSHVLLHEMDAIRKALTFVIVQKRHHTRLFPEVHGSRDLTDKSGNILVRIELWLAGFTTPLPNPCWLGGQCILGRSPLPAKYERTVVDTSICHPNEFDFYLCSHAGIQGTSRPAHYHVLYDENCFSADALQMLTNNLFPPAYYAHLAPFRKRYYDVQAEGTNGASVVSGGPAALRHLPQIKDKVKEVMFFC >PAN12240 pep chromosome:PHallii_v3.1:2:42668092:42668624:-1 gene:PAHAL_2G261800 transcript:PAN12240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYVAYVLCCCQLIFGVRFLPDEEPVMKRQTVQRAAQILRQPPWKMVIDDGTAPSFSFVQTAGDRSPCLSRVFDRATGGRAGRRGPCFRGFSVRNSLLPCSNRPVRRTQRSARGTRTGGGAYRGTVGAEASMYRPTRRCVHAESPGNRLSLFWLLLVIDAATVAKL >PAN12526 pep chromosome:PHallii_v3.1:2:43364723:43370759:-1 gene:PAHAL_2G270300 transcript:PAN12526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MANPAAISRRSALAPSLLLCISLLTSSVAQQGLVPAFLFDWLDGKWTFTAGDTAVITIRALDLSDDPTLRASLSFWMSVKGKKGNSTYITDVVARRDDPNSWRITFVPLRAGDFFALVAEERFSIGESMLEFKVAAAGVHPSASRAAWTFDDARVVAGSRAFVSVFPRDAFGNGIARGDDMPDYFRVSGSYANGSAVELLYFHYNGWIQEGRIGLEFVSNVAGVFLVHVYGDNRELRDSPLTLTVNPGVLDIEKSTCSWKHGTNTLQIFSKLELFIYQKDSFGNIVPEIHPFDAQVVDTASDLSIPVNLMMEAVAAGVQLISFNVVQSGEFALTVFDTQRNRRVSNTGYKFDVFVGYCNGSNSFANGSGLAHSVVGSASSFAVYLEDEYNNPSPVETSRLQVKILAKKGTAYADPIISHETEPAGHPDIIGGSSTVRASQFNVAYTPQIAGEYEIWVLCGNIVLNGGDPYSMTVVPGAINIALSSVVKFDPRVRMSVENEVDVRLVDSFMNPVLSLESKVRFQLTSTSIFGKEFVDNRDGSYTARYVINQIGSYGICVRFEDKDIAPCPFQVEVFPDQYFSDVKNDNVSVWEDGSVYFDVLSNDHIAGSKAEIANSSSPFHGSVLQFNHMYRYTPFDGFFGNDSFAYTISDDNNNVVTATVFISVICRPPQFISLPQKLHVTEDTIGPQFGGFRGIKIAYSDTTENISITVNAQSGNVFLALSPMKLQQSSADVLSVSKGGRTGKDLIFQGTIEAINRALQFLQYLGNEDFCGDDVVALRSMNRDGVEDAQFPIHVEPINDRPVILAPPSIFLAGNESSNGHQIYNKSRDTFQFSIYDPDLRSFPGNKSIFSLVLSLEVCEGTLTLRLPSSTIPSVEVKTEGVSYWQPIQTYVNIENHFVLKGTAVRFRGMVQECNNAMQQLHYQGSSNGTTLSITVDDLGNYGCYPDCSQMMGMPLSATKTVRLVKIKTKHNKTSTIDKIDNLLEWVIVTEILTMLWLGVALLCFLFKCMKALKVKRRGRVNDERCTPEQTPSHWNRCASPSQSEDVGHCCAPAVAVPSGANRSSFRQRSSRSRKQELELQPVSGIRKNGNQDGIPIANKNK >PAN11535 pep chromosome:PHallii_v3.1:2:14791374:14802183:-1 gene:PAHAL_2G148100 transcript:PAN11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGGDRSGCPSGGGDRSGGRFQRGPSRWSGGGGGGGGSPPHRYSSRSGGDGSGGGGGGGGGRFHPYRGSSDYSGGGGGGGGGGGGYRGGGGDDFGEQRHRYGGGNRGGGRGDFQDHDSRSNYIKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEAESAIRGLHNQYTLPGAMGPVQVRYADGERERHGAIEHKLFVASLNKQATPKEIEEIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVSAMNALNGTYIMRGCEQPLVIRFADPKRPRPGESRSGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWRPSSPRSMAPNQYNNFGSDNPLALSGGAVSSADTAAFRPPMFPGNGSLSTQTAAPTSSHMGMNPPMVQGHHLGGQQIPTMQKPPGPPQNFPVQLQNAQQGQPLQGPIPQIGQLQGPQSAGPVSFGQNMPSLQLAGQPPASQPLMQQNASLGALQAPSSVQSNPMQAVPGQQQLPTSVAPQMLQQSMQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQTNLNQQAPAQVAKQQVGSPAVSLTCNWTEHTSPEGFKYYYNSVTRESKWEKPEEYVLYEQQQQQQQKLLLLQQHQQNIAAQQLQSPPQGQSLPSMQPIQQLPQAQGQAQMQMKQKELNYSQLQAGGSIDPSRIQQGIQAAQEHAWKC >PAN11536 pep chromosome:PHallii_v3.1:2:14792059:14801998:-1 gene:PAHAL_2G148100 transcript:PAN11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGGDRSGCPSGGGDRSGGRFQRGPSRWSGGGGGGGGSPPHRYSSRSGGDGSGGGGGGGGGRFHPYRGSSDYSGGGGGGGGGGGGYRGGGGDDFGEQRHRYGGGNRGGGRGDFQDHDSRSNYIKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEAESAIRGLHNQYTLPGAMGPVQVRYADGERERHGAIEHKLFVASLNKQATPKEIEEIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVSAMNALNGTYIMRGCEQPLVIRFADPKRPRPGESRSGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWRPSSPRSMAPNQYNNFGSDNPLALSGGAVSSADTAAFRPPMFPGNGSLSTQTAAPTSSHMGMNPPMVQGHHLGGQQIPTMQKPPGPPQNFPVQLQNAQQGQPLQGPIPQIGQLQGPQSAGPVSFGQNMPSLQLAGQPPASQPLMQQNASLGALQAPSSVQSNPMQAVPGQQQLPTSVAPQMLQQSMQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQTNLNQQAPAQVAKQQSGQPVQSSTFGAPGAIIPTNINAIPQQVGSPAVSLTCNWTEHTSPEGFKYYYNSVTRESKWEKPEEYVLYEQQQQQQQKLLLLQQHQQNIAAQQLQSPPQGQSLPSMQPIQQLPQAQGQAQMQMKQKELNYSQLQAGGSIDPSRIQQGIQAAQEHAWKC >PVH63968 pep chromosome:PHallii_v3.1:2:14792059:14801998:-1 gene:PAHAL_2G148100 transcript:PVH63968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGGDRSGCPSGGGDRSGGRFQRGPSRWSGGGGGGGGSPPHRYSSRSGGDGSGGGGGGGGGRFHPYRGSSDYSGGGGGGGGGGGGYRGGGGDDFGEQRHRYGGGNRGGGRGDFQDHDSRSNYIKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEAESAIRGLHNQYTLPGAMGPVQVRYADGERERHGAIEHKLFVASLNKQATPKEIEEIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVSAMNALNGTYIMRGCEQPLVIRFADPKRPRPGESSGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWRPSSPRSMAPNQYNNFGSDNPLALSGGAVSSADTAAFRPPMFPGNGSLSTQTAAPTSSHMGMNPPMVQGHHLGGQQIPTMQKPPGPPQNFPVQLQNAQQGQPLQGPIPQIGQLQGPQSAGPVSFGQNMPSLQLAGQPPASQPLMQQNASLGALQAPSSVQSNPMQAVPGQQQLPTSVAPQMLQQSMQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQTNLNQQAPAQVAKQQSGQPVQSSTFGAPGAIIPTNINAIPQQVGSPAVSLTCNWTEHTSPEGFKYYYNSVTRESKWEKPEEYVLYEQQQQQQQKLLLLQQHQQNIAAQQLQSPPQGQSLPSMQPIQQLPQAQGQAQMQMKQKELNYSQLQAGGSIDPSRIQQGIQAAQEHAWKC >PVH63967 pep chromosome:PHallii_v3.1:2:14791374:14802183:-1 gene:PAHAL_2G148100 transcript:PVH63967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGGDRSGCPSGGGDRSGGRFQRGPSRWSGGGGGGGGSPPHRYSSRSGGDGSGGGGGGGGGRFHPYRGSSDYSGGGGGGGGGGGGYRGGGGDDFGEQRHRYGGGNRGGGRGDFQDHDSRSNYIKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEAESAIRGLHNQYTLPGAMGPVQVRYADGERERHGAIEHKLFVASLNKQATPKEIEEIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVSAMNALNGTYIMRGCEQPLVIRFADPKRPRPGESSGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWRPSSPRSMAPNQYNNFGSDNPLALSGGAVSSADTAAFRPPMFPGNGSLSTQTAAPTSSHMGMNPPMVQGHHLGGQQIPTMQKPPGPPQNFPVQLQNAQQGQPLQGPIPQIGQLQGPQSAGPVSFGQNMPSLQLAGQPPASQPLMQQNASLGALQAPSSVQSNPMQAVPGQQQLPTSVAPQMLQQSMQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQTNLNQQAPAQVAKQQVGSPAVSLTCNWTEHTSPEGFKYYYNSVTRESKWEKPEEYVLYEQQQQQQQKLLLLQQHQQNIAAQQLQSPPQGQSLPSMQPIQQLPQAQGQAQMQMKQKELNYSQLQAGGSIDPSRIQQGIQAAQEHAWKC >PVH63997 pep chromosome:PHallii_v3.1:2:19753797:19755110:-1 gene:PAHAL_2G161500 transcript:PVH63997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTAGPALAPHLCASTLCYASTRRHQLARHAHHSLRAHSRTSRACAVPSARVCSCASARLSRISALGCAARSHHRCSARQRSPPWVTTGPPAAWATAACRSRAHTCGRTEPRLLGLRLLTPRAPACPRAAPGPSARPPVLPLASRDARCRAIPAPVRPAEPGRRRACAGSLPRGPIHAVRRSILASHAPAMRPRTPGRRSASATPCLSARPSHRSLHQPRAPRTAAARFSFRSPRAWAARSARVGRSPPGLAPLRAPPSRWSPCAPPPGARHRLPRTAALRPCARAAPLRPAGAAYAWSRAHRLASNSCARSRLGRASAPTRRRTSAWARSPSLLPRLELARPLLPGAGAPLGAALRCLCRGGEREGGAGGVKDWGQSKVSPVGEKRKGKTELDRTAAGGKRDKAPEEELRGSDAWNSCSRMWQGWSAQRRLQSRN >PVH65413 pep chromosome:PHallii_v3.1:2:56872291:56875812:-1 gene:PAHAL_2G487100 transcript:PVH65413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEGSTSCISVHHRDKVTSPQFPLSSQAPSPHPYRHNTGSFVPIPPFPTTCSLNSEDYSWPRRVLGGLVLVRRTRKAMPFSSMIQEMKGEIGAISRRGLLRSRSHSTGRVQRVEEPDEAAMRESSWAHVPPELLREVLAKVEAVEARWPGRGAVVACAGVCRGWRGAVKEIVRAPEASGRLTFPISLKQPGPRDAPLKCFIRRKRATQSYFLCIGVTDALADDGKFLLAARKYRRPSCTEYLISFDARDTPKGNGTYIGKLRSNFLGTKFTVYDAHPPCAGAVVSKGPSAHMIGSAQVSPMKPPPAGNYPVSHISYEVNVLGSRGPRKMNCVMDSIPVSAIKGGTAPTQTEFPSSNSSSFASVPFFGSKSGRLDSSGAQLTTQNESKVALKNKSPRWHEQLQCWCLNFHGRVTVASVKNFQLVASDESDPTPSNQEEDDDVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIGCE >PAN15426 pep chromosome:PHallii_v3.1:2:56872291:56875810:-1 gene:PAHAL_2G487100 transcript:PAN15426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSMIQEMKGEIGAISRRGLLRSRSHSTGRVQRVEEPDEAAMRESSWAHVPPELLREVLAKVEAVEARWPGRGAVVACAGVCRGWRGAVKEIVRAPEASGRLTFPISLKQPGPRDAPLKCFIRRKRATQSYFLCIGVTDALADDGKFLLAARKYRRPSCTEYLISFDARDTPKGNGTYIGKLRSNFLGTKFTVYDAHPPCAGAVVSKGPSAHMIGSAQVSPMKPPPAGNYPVSHISYEVNVLGSRGPRKMNCVMDSIPVSAIKGGTAPTQTEFPSSNSSSFASVPFFGSKSGRLDSSGAQLTTQNESKVALKNKSPRWHEQLQCWCLNFHGRVTVASVKNFQLVASDESDPTPSNQEEDDDVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIGCE >PAN14481 pep chromosome:PHallii_v3.1:2:52403531:52404788:-1 gene:PAHAL_2G412500 transcript:PAN14481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADASATAPAPAVHFWGDHPATEAEYYAAHGAEGESSYFTTPDEGARRLFTRAWRPLAPARPKALVFMVHGYGNDVSWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPDLDAAVADLLAFFRAVRAREEHAGLPCFLFGESMGGAICLLIHLRTRPDEWAGAVLVAPMCRISDRIRPPWPLPEILTFVARFAPTAAIVPTADLIEKSVKVPAKRVIAARNPVRYNGRPRLGTVIELLRATDELAKRLGEVTVPFLVVHGSADEVTDPEVSRALYEAAASKDKTIKIYDGMLHSLLFGEPDENIERVRGDILAWFNERCMPPATSWHRDITVE >PVH64019 pep chromosome:PHallii_v3.1:2:21441620:21443758:-1 gene:PAHAL_2G166000 transcript:PVH64019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAEPKIWAKLIKDNPKVSKFRKKAFPLFNSLGSLYEGDLNFTSSEPAPQRTQQQVDPTPQRTQQQVDPTPQRTQQQIDPIPQRSISEQSTHNTAPSRNPFNSGLDGIESTEVQSAATNQSSEDVEGVSGKKRKQSQMATGLGDYIEFRKDQIEKTMKELNEKKKHEEDYSVQKCIDIMDAMEELTDEQKADCNERFQSEMNSQIFVGTKNQKVRLIWLKKKISQGSRPSFGHGGGSAFGSGASSMV >PAN14761 pep chromosome:PHallii_v3.1:2:54216461:54219996:1 gene:PAHAL_2G442800 transcript:PAN14761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQPLHTLRLSTPAGHAVCRCSAAPIFGKRLPAIVAFPRAGSGGAVVLCSAVQESSTSTTVSKKKDAADGEKKEATAAAAKPAAAAKPKKAPAKPLPEMMQEEIIPPLKVALEAEENVSQVQLSFQNNTLEGSFIKDDVPYYFWAFFPKGDLTGPKGFALSSYSNEVSTIEPFLVDEKRVTAQYVVFWVYKRLAGQGILPVWKEEEGGEEEGAK >PAN11691 pep chromosome:PHallii_v3.1:2:34835063:34838739:1 gene:PAHAL_2G208900 transcript:PAN11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNKVEVNLRRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKLSEYSEKIEALAARLAAPVPENEKPVVESREEEISAEKAKAESPISLSSGLRRRSAAHVEVQPSHQERKGDIGAPIKLDAEAQARIEKHRKLQEDLTDEMVELARQLKESSLMMNQSVQETEKILDSTERAVEHSLASTGRATSRAAEVYSLTSKTTCFQWLLLFVMTCMFVMVVLLIRIT >PAN11692 pep chromosome:PHallii_v3.1:2:34835546:34838739:1 gene:PAHAL_2G208900 transcript:PAN11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNKVEVNLRRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKLSEYSEKIEALAARLAAPVPENEKPVVESREEEISAEKAKAESPISLSSGLRRRSAAHVEVQPSHQERKGDIGAPIKLDAEAQARIEKHRKLQEDLTDEMVELARQLKESSLMMNQSVQETEKILDSTERAVEHSLASTGRATSRAAEVYSLTSKTTCFQWLLLFVMTCMFVMVVLLIRIT >PAN11690 pep chromosome:PHallii_v3.1:2:34835063:34838739:1 gene:PAHAL_2G208900 transcript:PAN11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNKVEVNLRRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKLSEYSEKIEALAARLAAPVPENEKPVVESREEEISAEKAKAESPISLSSGLRRRSAAHVEVQPSHQERKGDIGAPIKLDAEAQARIEKHRKLQEDLTDEMVELARQLKESSLMMNQSVQETEKILDSTERAVEHSLASTGRATSRAAEVYSLTSKTTCFQWLLLFVMTCMFVMVVLLIRIT >PAN09785 pep chromosome:PHallii_v3.1:2:3641225:3643722:-1 gene:PAHAL_2G049600 transcript:PAN09785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLQKIGVENIDVVTLMDDCNNPGCNRGFAFLELETYRDAQIAYKKLSRKDVFGKGLNIRVAWAENGSKVNMKVALAQSAQKSKKNIEDHKCCISEKRTTNIPKTGSPIQDYPHIYSGQKRPFSALGNDSSYCASRNPRAQHESSTYATLTSSYGGSPHAITGYSPPYHHDTPGDVCQILIMD >PAN09349 pep chromosome:PHallii_v3.1:2:1106087:1106659:1 gene:PAHAL_2G016300 transcript:PAN09349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCLSSGAAGEAARAAAAPRDTALVLLPSGELREYPRPATAARVLEDASGDDGGGWFLCDADRMGFEGPVAAVAPSEALRAGQIYFVLPAAARRRGLGREEVAALAVRASAALSRAAAGSGAAGRRSRGAVAPLVFAPPEEQQEEAERTLSYKAAPQRKRRPAAARPGRRLERFASDLTAIPEGEMGSE >PAN09674 pep chromosome:PHallii_v3.1:2:3076430:3080055:-1 gene:PAHAL_2G043500 transcript:PAN09674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARWSAGTRRRGHPVHRHAARPRRRGVPAPPCSMLIPGVDAGGPWRAPPRSFALLFISKILLKSCFHKRRSGGAPPLRPSLPTHGGMVRASPAGIFISASFPATGYMATAGVAVNSPFPQIHQDSGSPWRHGVFIHASSLEVGPCGGGLFPSSTATSSLALSPCPR >PAN14496 pep chromosome:PHallii_v3.1:2:52479684:52480946:-1 gene:PAHAL_2G414100 transcript:PAN14496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLPSAARPPLPSHLACSASSSVTRVRAASAYCCASLKQARPSAIAAAVAGRAAAPLLAAALLLAAAPPGLPDATSPAFAQPISEGAALFRKACIGCHDMGGNILQPGATLFLKDLERNGVATDEELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLSEDDIKLLASFVKSQAENGWPKIEGLLNLKPFTSQVL >PAN14575 pep chromosome:PHallii_v3.1:2:57061567:57063959:-1 gene:PAHAL_2G489200 transcript:PAN14575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLNWSITDHLFPTTKIIKTGPFGDKDQLGLTDSPRFGPPASLEVHLGPPATAKLNSSLPRPSPLTCRRRHAKGEARLAAAMALPASSSGLLRFLSPRSRPQSTDVVAAASWGVFAGTAGLYLVQPFDWIKKTFFEKPEPEA >PAN15510 pep chromosome:PHallii_v3.1:2:57338422:57340704:1 gene:PAHAL_2G493200 transcript:PAN15510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAHALLPFLIAAVAGLSPAPATCYVNPGGNLHLQEQDDQGNASAYRTHIVLVRPPSSGAGAAADEFTHRLWHESFLPTSLTESGEPRLVHSYTEAFTGFAARLTDAELDAVARKPGFVRAFPDRTLQLTTTHTPEFLGLRQGPGFWRDGAGYGKGAIVGLLDVGIYGAHPSFADHGLAPPPPKWKGTCAGSASRCNSKLIGVKSLVGDDARDDFGHGTHTSSTAAGNFIAGASRDGMAAGTAAGVAPGAHVAMYKVCTGKGCSDSAVLAGMDAAIKDGVDLISLPLGGNATFPFDHDPIAIGAFSAVAKGITVVCAAGNNGPKPASVVNDAPWLITVAASSVDRSLLAEVQLDKGVSVAGEAINQATNSSTKPPFPVLYSEERRNCIYRGEERKVVAGKIVICEAVDNLLPYNTSEKSILRDIKSAGAAGVVLINTKADGYTTVLYDYGSDVVQLTAADGAKVTKYAASSPSNSATAVRFNHRTVLGVRPSPTVASFSSRGPSTITPGVLKPDVLAPGLNILAAYPPKTLLGAGPFDVLSGTSMSTPHISGVVALIKSVHPDWSAAAIKSAIMTTSDAVDRNGGPILDEQHRNANAYATGAGHVNPARATDPGLVYDLGAADYASYICALLGEAALAVIARDSSLSCGKLPKTPEAELNYPTIKVPLQPAPFTVTRTVTNVGPAASTYTVKVEAPKSLTVRVSPGKLVFTKAGEEKTFSVTVSGQGGGVLEGSLSWVSGQHVVRSPIIAAAGRRPER >PVH64158 pep chromosome:PHallii_v3.1:2:33971216:33971894:1 gene:PAHAL_2G204700 transcript:PVH64158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRAKEKYAAIPADKKSKLNAKKRENYHRGKAEREAVSMVVETPVINQIDGSNVDLNTPDELGTDNLDNSWLHHNYSYARTAMDDIDATYALPGRWKD >PAN11205 pep chromosome:PHallii_v3.1:2:33137125:33140971:-1 gene:PAHAL_2G201800 transcript:PAN11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKQFIPKEQPSGLEGRTIDVGNVKVHVRDAIAEGGFSCVYAARDMVNPAKQYALKHVIVQDEESMELVRKEITVMRSLKGHPNVVMLVAHAILDMGRAREALLVMEFCEKSLVSVLEDRGAAYFEEEKVALIFRDVCNAVFAMHCQTPPLAHRDLKAENVLLGADGAWKLCDFGSVSTNHKCFNKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPELPKYSSSITTLIKDMLNSSPDARPDITQVWFRVNELLPLELQKDLPDGSPSGSAFESHTAIDEAPRRPSQRSAASSSRGDLRSTSPSHFSNLMAQDPPKAMENRGPMGAFWSTQHAQELAFADDKEPAFDQEPISQVTSKQSQTKNQNTPVHNSSRKSLSASVDSSPGDFEIRFSANGSESGLEKTKTENKASIQAMAFNSFMADFDNIKMNSQNNADNVNLLSKLKECQLEAEVTLLKEQLKIANLEKEEISLKFDKLSAICSSQRREIQELKQALATASVTPPAKQFREHSKVELSPPSTSLDTPPREKIEGTPPELRQGLFTSRPGTPSPDPKPWSGFPEEPKPQAAVKSAHPRSVRTLRASNSNKASSLGQSNVSSSADPFAFGQDSFKAAPSGTVHPKLSNMGNTAQLLNNQTAEEKKDGSYQPAGWTGF >PAN11206 pep chromosome:PHallii_v3.1:2:33136865:33141622:-1 gene:PAHAL_2G201800 transcript:PAN11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKQFIPKEQPSGLEGRTIDVGNVKVHVRDAIAEGGFSCVYAARDMVNPAKQYALKHVIVQDEESMELVRKEITVMRSLKGHPNVVMLVAHAILDMGRAREALLVMEFCEKSLVSVLEDRGAAYFEEEKVALIFRDVCNAVFAMHCQTPPLAHRDLKAENVLLGADGAWKLCDFGSVSTNHKCFNKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDDARPDITQVWFRVNELLPLELQKDLPDGSPSGSAFESHTAIDEAPRRPSQRSAASSSRGDLRSTSPSHFSNLMAQDPPKAMENRGPMGAFWSTQHAQELAFADDKEPAFDQEPISQVTSKQSQTKNQNTPVHNSSRKSLSASVDSSPGDFEIRFSANGSESGLEKTKTENKASIQAMAFNSFMADFDNIKMNSQNNADNVNLLSKLKECQLEAEVTLLKEQLKIANLEKEEISLKFDKLSAICSSQRREIQELKQALATASVTPPAKQFREHSKVELSPPSTSLDTPPREKIEGTPPELRQGLFTSRPGTPSPDPKPWSGFPEEPKPQAAVKSAHPRSVRTLRASNSNKASSLGQSNVSSSADPFAFGQDSFKAAPSGTVHPKLSNMGNTAQLLNNQTAEEKKDGSYQPAGWTGF >PAN13394 pep chromosome:PHallii_v3.1:2:47584946:47587014:1 gene:PAHAL_2G333500 transcript:PAN13394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSHTSSIHVLLLSYPAQGHINPLLQLGKRLAAHRGVRCTLAVTRYVLGQSKPQTGAVHVAAYSDGCDTGGYDEAGDAEAYLSRLESAGSASLDELLRAESAGGRPVRAVVYDSFLMWVPRMARRHGAACAVFFTQACVVNVAYAHAWAGQMDLPVAPGKALPELPGLPAGLGPADFPTFLTEPDGGCLAYLDLVLQQCQGFEVADHVLVNSFYELEIKEAEYMASRWGAKTVGPTVPSAYLDNRLTDDVSYGFHLHTPMTEESKAWLDARLPRSVVYVSFGSLAAPSAGQMAEVAEGLDNSGKDFLWVVRASETSKIPGGFVDKVKGRGLLVTWSPQLEVLAHPAVGCFVTHCGWNSTMEALGIGVPMVAMPQWSDQPTNAKYIEHVWQVGVKLQPDAEGVVTKEEVERCVRQVMEGERSDEYKKNAAGWSEKAQKAMSEGGSSDSNIVEFLSKIRFK >PAN11211 pep chromosome:PHallii_v3.1:2:33035468:33040568:-1 gene:PAHAL_2G201100 transcript:PAN11211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASVATGMQMLAVRPCIPACKQVLGSTSALSAFGRALSTRTGFASCSKPTSVGPMTSSNCKRVIVRAMSQRGSQGLPIDLTGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIAKVYPLDAVYDTPEDVPEDVKTNKRYAGASNWTVKEVAETVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLAAEVGNTAAFLVSPLASAVTGSTIYVDNGLNTMGLALDSPTLST >PAN10026 pep chromosome:PHallii_v3.1:2:4887727:4888465:1 gene:PAHAL_2G066100 transcript:PAN10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRSGAEVVTGDAICRKKSVELLEELGLPKGLLPMEDIQEFGYNRATGFIWLVQGKKKVEHTFKKIKQTVSYATEVTAFAEKGKLRKITGVKTKELMLWLSVVDVYVPEASPEKVTFKTGTGLSDSFDATAFALGE >PAN10660 pep chromosome:PHallii_v3.1:2:8575658:8593893:-1 gene:PAHAL_2G108400 transcript:PAN10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVRFTVGSQVWVEDADVAWIDGLVEEVQGDELVINCSSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLNEPGVLQNLKSRYAMNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGDLSPHPFAIADRAYRLMINYGKSQAILVSGESGAGKTESTKSLMQYLAFMGGKAQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDRERYKLGDAASFHYLNQSSCIKLDAMDDASEYITTRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGSEADSSVPKDEKSQFHLKIAAELFMCDEKGLEESLCKRVIATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDLSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHEIFAEKLYQKFKGNPHFSRPKFARSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASRCSFVSSLFPPSEGSTKSTKFTSIGSSFKQQLQALLETLSATEPHYIRCIKPNNALKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRRFDEFVDRFGVILPEVLGESYDEVTATNMLLEKANLTGYQIGKTKVFLRAGQMAELDALRTQVLGCSATKIQRKVRSYLARKNFIQLRISATHLQAICRGQIARYHYEDLRREAASLTIQACYRMHFARKNYRNLCSASTTIQSGLRGMAARKELHFRLQTKAVVIIQSFWRSYVARSRYTSLKKAAVTAQCAWRGRVARKELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRIRADMEEAKTQENRKLQQQLQELQSQSKETKDLLKREQEIAKEALERAALVPEVQVDTTLVDKLTVENENLKTLVGSLETKIGETEQKFEEMKKTREEWMKKAADAESKINELTNTMLSFQEKVTTMEAENQLLRQQALLRTPVRTIPENSSPKSKLTNGSPRSEQTTPHGTPAPREYGSFAQPRASFFERQHESVDALINCVSENIGFSEGKPVAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQNHDSNEDLAYWLSNSSTLLIMLQKSLKAAGTTGTSPLKRPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLSAFVEGLYGMICDNLKKDLSSLLSYAIQVPRTVKASMVRGRSFGSSSLPRGRSFSNQASYWQAMVDHLNELLKILQDNCVPSIFIRKIFTQVFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQLEAWCGEVKPEYAGSALDELKHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLEEMRTLMTKESNQDNLENTFLLDDEISMPISLEEIADSMDAKEFQNVTAPQELNAMPAFQFLKS >PAN10661 pep chromosome:PHallii_v3.1:2:8579333:8593893:-1 gene:PAHAL_2G108400 transcript:PAN10661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVRFTVGSQVWVEDADVAWIDGLVEEVQGDELVINCSSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLNEPGVLQNLKSRYAMNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGDLSPHPFAIADRAYRLMINYGKSQAILVSGESGAGKTESTKSLMQYLAFMGGKAQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDRERYKLGDAASFHYLNQSSCIKLDAMDDASEYITTRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGSEADSSVPKDEKSQFHLKIAAELFMCDEKGLEESLCKRVIATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDLSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHEIFAEKLYQKFKGNPHFSRPKFARSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASRCSFVSSLFPPSEGSTKSTKFTSIGSSFKQQLQALLETLSATEPHYIRCIKPNNALKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRRFDEFVDRFGVILPEVLGESYDEVTATNMLLEKANLTGYQIGKTKVFLRAGQMAELDALRTQVLGCSATKIQRKVRSYLARKNFIQLRISATHLQAICRGQIARYHYEDLRREAASLTIQACYRMHFARKNYRNLCSASTTIQSGLRGMAARKELHFRLQTKAVVIIQSFWRSYVARSRYTSLKKAAVTAQCAWRGRVARKELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRIRADMEEAKTQENRKLQQQLQELQSQSKETKDLLKREQEIAKEALERAALVPEVQVDTTLVDKLTVENENLKTLVGSLETKIGETEQKFEEMKKTREEWMKKAADAESKINELTNTMLSFQEKVTTMEAENQLLRQQALLRTPVRTIPENSSPKSKLTNGSPRSEQTTPHGTPAPREYGSFAQPRASFFERQHESVDALINCVSENIGFSEGKPVAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQNHDSNEDLAYWLSNSSTLLIMLQKSLKAAGTTGTSPLKRPQTQSSFLGRMVCFIGYSWSGVTYLSS >PAN13863 pep chromosome:PHallii_v3.1:2:49678133:49681917:-1 gene:PAHAL_2G369200 transcript:PAN13863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQAIPPPLAERAPPQVPSPEVNARLLLRRLRGRPYPATMNNIKSALLSGQRRHPLVAAAASAAAPHQQLGSAAAFHSTPVLQRKRKTQWHNRFNYYAKRRRNRENKRSMVRNMSEYAEYLFQSWRDEDERTDASSGPSWFRGHRWVRNSNNNGFRTHDFYYGNFRSRGGFEFCTSDEDEPEILFRDAFRDQNTYYWSFSSDNFQWRNSRRARSEKSRNWSSETDDEDEISTPSEVSLARQALGLSNSGPLKLEDVKSAYRACALRWHPDRHNGSSKATAEEKFKHCSAAYQTLCDSLAAA >PAN13862 pep chromosome:PHallii_v3.1:2:49678845:49681797:-1 gene:PAHAL_2G369200 transcript:PAN13862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQAIPPPLAERAPPQVPSPEVNARLLLRRLRGRPYPATMNNIKSALLSGQRRHPLVAAAASAAAPHQQLGSAAAFHSTPVLQRKRKTQWHNRFNYYAKRRRNRENKRSMVRNMSEYAEYLFQSWRDEDERTDASSGPSWFRGHRWVRNSNNNGFRTHDFYYGNFRSRGGFEFCTSDEDEPEILFRDAFRDQNTYYWSFSSDNFQWRNSRRARSEKSRNWSSETDDEDEISTPSEVSLARQALGLSNSGPLKLEDVKSAYRACALRWHPDRHNGSSKN >PAN13044 pep chromosome:PHallii_v3.1:2:46044183:46048538:1 gene:PAHAL_2G309900 transcript:PAN13044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTGVAASKVLILVGAGMTGSILLRNGRLSDVLGELQEIMKGVNQGAASAPYDIALIQAQIRNLAQEVRDLTLSRPITILNGKSDSGGGLSSYILPAAAVGAMGYCYMWWKGLSLSDVMFVTKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLENLDGKMDEQVEVSKTIRNEVNDVKDDLSQIGFDIEAIQQMVAGLEGKIELLENKQDVANTGIWYLCQVAGGIKDGINAKFFQEATEKLKLSHPTQPETKPVKGLELFSESANEPKVADSKPIMFSSDAENEKPTKPAAVKGAAVHRSIRFSFRKEGLAL >PVH63388 pep chromosome:PHallii_v3.1:2:1298198:1300883:-1 gene:PAHAL_2G018900 transcript:PVH63388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNSTISGCSDAALRQLSSQITCDAQMVSSFGKSMGEKMWRVNALQLASAILAGLIVGIGIYGQRYRHHRFTRFIFLGATTLFLPVVSTVVSMGAGSNAYAPWRYDMDKGNVVLVQLFERCEPGEHSVLVVVWASLVQIIMINTSAVVAVDDREGGSMGPPFELLVQGVWTFYLGISYIIIRLHEVPFSFDTENIIATALEVVPFALICTTILCSRTQSHLIVGYMKQQWSQETSHHGELTVAKDAPPPLLVMGEEKRHVEKQPLGYVFKDDTWTTSHNNGLVTIDRVWRLDNMLPTSTLKPQKNLCFSFALFKLLRCRFARYKFTNNAGSKDALSFFWSLLLKDDQHDRVFLVISDELSFLHDYYYSSLPIYYSKYWLPILGIFISLLCIACCVLLITMLVSLVKTEGGIPPQIRCLFFCIQEQLVSNTWIECYGSWYIDLVPVFLLLVLVMMAEVRDIASYICSNWTKVALICHLVHRASSQHSSLLKKRCIGRLLRCRCRVMNHWDEKIGQCSILEIRPSTTLLVPLRRLLHLPDQKKKVKVPAAVKVCIMEMLRSSRNGHLSIGTSCLRRRGQVGERLLWACNDKSTSRTILTWHIATSILEVRYTHLDDEEQGSSPVSSTNYKIAATRLSRYCAYLVTWCPELLPDDDEWSGSLYEDVKKDAERALAGCAAGGSLTPEAKCQHLIELLAANAKHEVLKEGARLGKQLVELMAEGDKTVVWKLMAEFWSEMILHVAPSDNLKGHKDAIARGGELITLLWVLLFHAGIISRPGEEDGAASTSAAVV >PVH63852 pep chromosome:PHallii_v3.1:2:10128653:10129714:1 gene:PAHAL_2G120900 transcript:PVH63852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARQRQQSSRSVKPLAHRARMHGRISHHSTTTACNAHMKSVESNGSSAETSTSTYPKSPTLYKAMAATGWSLVVVVGAAVAAAAVALSCSSRCAAAAAAFSKPLAYAAGSDDPSPPKASLDCGTVTSLLAGCGAFVRRGEAAPSPSVPAPGAACCEGVAELYAVAADSADNWRSVCGCMAGLVRRYSSNASAIALLPVLCGVLPPTGRAVGDTLTYCTSPP >PVH65380 pep chromosome:PHallii_v3.1:2:56332814:56334109:-1 gene:PAHAL_2G478800 transcript:PVH65380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDVPRPPAQAAPRGRRRKHQTPSLPLDIVLEIAARSDPATLVRCAATCAEARRRVAGGGGQALRLRHADSFVPSLLRGHLEARYVSNYCNMMLHLVDTTAPDTTTARVLTAADGFPPPPDGTNVRLQSPMESRDGLLLVRITDWQPRREELRVCDLATGRSQTLPPGPAFPGVAQKCWEPYVLLAGDGEPGGASGIRPFQVLKDEPRDVRPPPVPGDPDLLLGEGRVGQVHRDPGSSFPWQRSVTERRKAPGRRRRRALAVRHQQRGYVLKLHIRTAQVAVTALPVSFPCPAAHEWGIDYLLATTAAGGEVMVLVADSWKKKNISAWVQTKPTAKWTQRPQVVMENEAMLRFRNMRWSGTFHVKLHWFAERSGFVLLSSNLYGEFWLDLRSMEIVRWCPGAPDQHGARSLPYEMDLSSWVPTFSSTI >PVH64676 pep chromosome:PHallii_v3.1:2:47455373:47456232:-1 gene:PAHAL_2G331300 transcript:PVH64676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAAAAARAGYVPLGAAERQRRALFLQSYRFSVDDDDDRPQGTALRGRLLRMLREAGGAVAQAAGRARGAARWWAGAGLARAWRGWRPRAADHPLLGCFGAGHHHRKYYLHGYA >PAN13136 pep chromosome:PHallii_v3.1:2:46495754:46496482:1 gene:PAHAL_2G316300 transcript:PAN13136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSFSRSPPAASTAAGESARRQRAVATAKVVNLDGSMAQFAGPVTAREALLELAPGEGGGRRSGSSPPRFLCSSDELGFDAPARALAADEALRPGQLYFALPAPMLRRPLSGNDMAALAVRAATALAVEAGLAAGGLSPQRQTKQGGAPAGKGRRRRLSTARVAPLLVASSKDDGRSDDHSWNGDTRGGFATRNKTGHDGDRTVGKASAGRGAAHRSGGASLRRPGVQQRLSAIAEDEE >PVH63802 pep chromosome:PHallii_v3.1:2:8808152:8809770:-1 gene:PAHAL_2G109900 transcript:PVH63802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVAQQVEKDLNGSATESIAADKKLGIFDKIFSAYHDARSCICNDLASAGNAENIRDDLNGLDKAVSAVLGLRTIECNQLLASIAKSKFTKQRDEKNETNTKPEKNLFSYMICLFRCFFLVKSYSSAGKRAEAFALFCHAQTLNDFGLQQLANSPDKVLTLNRQHELQIAMFLVRSARVLRVMKFLCENDCNPSWLTNQKRRLLLDNRASLGAQFVFQKFTKSCIRFLKQATCTCLL >PAN10677 pep chromosome:PHallii_v3.1:2:8807825:8810041:-1 gene:PAHAL_2G109900 transcript:PAN10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKPKRRLLLDNRASLGAQFVFQKFTKSSSAQQVEKDLNGSATESIAADKKLGIFDKIFSAYHDARSCICNDLASAGNAENIRDDLNGLDKAVSAVLGLRTIECNQLLASIAKSKFTKQRDEKNETNTKPEKNLFSYMICLFRCFFLVKSYSSAGKRAEAFALFCHAQTLNDFGLQQLANSPDKVLTLNRQHELQIAMFLVRSARVLRVMKFLCENDCNPSWLTNQKRRLLLDNRASLGAQFVFQKFTKSCIRFLKQATCTCLL >PAN12088 pep chromosome:PHallii_v3.1:2:40764793:40771100:1 gene:PAHAL_2G241400 transcript:PAN12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPPPALSPKPPFPSAPRSARLPRVRCTRITTDTASLSSPPPASASSPSTSSPAASDVAVGGKGKKKRRPLKPSFEDQALRRWSARAPSKRVSVPWEQQSPPPPPPHQADRERGSSATLRSIVDYFAGGSSGDDSEREEKGAGDTAAVRAEAAQDQDDGPHFRPSYLLGNKPVSAPWMHGEESTNEQWVSGSVAEGEEGVDMDDISDDELGLAEGDDEELDRGDELLNESSEEEWYEDYVVPTANSSYGRDLVVDRGYNVGGSDTSMRRGSVNSIVKTLRSSMEESSPNVTIEQSNAEDFVQKLGPVLLPWEREEEDDEVFGGGKVGRHSNTELADRTIPEHELRRLRDAALRMKERIKVGPGGVTQDIVENIHRKWKVDEVVKMRFEGPPSLNMKRAHDLLEDRTGGIVIWRSGRSVVLYRGMNYNLQCVQSYAKFTEIDSDKEVADANSAVPIHGGHNSHKSRADGVKRSTSSGNFSQELEDTQAFDIDAFLDQLGPRFKDWSGRSPIPVDADLLPGVVPGYKPPFRILPYKIKSTLRDKEMTALRRLARQTAPHFALGRNREHQGLAAAMVKLWEKSAIAKIAIKRGVPNTCNDRMAEEIKKLTGGVLLSRNKEYIIFYRGNDFITPKVRQVLVEKQEQSITQQDDEELARLKASASITTIPNELKGPLVAGTLAETTEAKSRWGDSLNDKQREEEMKRLALMKHTSLLNNLKRKLILAKTKVAKAERALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGRREVFDGTVQNMHLHWKHRELVKIIVRGKSFAQVKHIAISLEAESEGVLISVDKTTKGYAIIFYRGKNYRRPEIMKPRNLLTRRQALARSIELQRREALKHHISSLQNKIWKLNTQLVQMKAAKEKEDSKLLQTVEDDLSSDDVDVEDEGEEAYLQTYSSDEEEDADGDSNEYL >PAN12089 pep chromosome:PHallii_v3.1:2:40764793:40771737:1 gene:PAHAL_2G241400 transcript:PAN12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPPPALSPKPPFPSAPRSARLPRVRCTRITTDTASLSSPPPASASSPSTSSPAASDVAVGGKGKKKRRPLKPSFEDQALRRWSARAPSKRVSVPWEQQSPPPPPPHQADRERGSSATLRSIVDYFAGGSSGDDSEREEKGAGDTAAVRAEAAQDQDDGPHFRPSYLLGNKPVSAPWMHGEESTNEQWVSGSVAEGEEGVDMDDISDDELGLAEGDDEELDRGDELLNESSEEEWYEDYVVPTANSSYGRDLVVDRGYNVGGSDTSMRRGSVNSIVKTLRSSMEESSPNVTIEQSNAEDFVQKLGPVLLPWEREEEDDEVFGGGKVGRHSNTELADRTIPEHELRRLRDAALRMKERIKVGPGGVTQDIVENIHRKWKVDEVVKMRFEGPPSLNMKRAHDLLEDRTGGIVIWRSGRSVVLYRGMNYNLQCVQSYAKFTEIDSDKEVADANSAVPIHGGHNSHKSRADGVKRSTSSGNFSQELEDTQAFDIDAFLDQLGPRFKDWSGRSPIPVDADLLPGVVPGYKPPFRILPYKIKSTLRDKEMTALRRLARQTAPHFALGRNREHQGLAAAMVKLWEKSAIAKIAIKRGVPNTCNDRMAEEIKKLTGGVLLSRNKEYIIFYRGNDFITPKVRQVLVEKQEQSITQQDDEELARLKASASITTIPNELKGPLVAGTLAETTEAKSRWGDSLNDKQREEEMKRLALMKHTSLLNNLKRKLILAKTKVAKAERALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGRREVFDGTVQNMHLHWKHRELVKIIVRGKSFAQVKHIAISLEAESEGVLISVDKTTKGYAIIFYRGKNYRRPEIMKPRNLLTRRQALARSIELQRREALKHHISSLQNKIWKLNTQLVQMKAAKEKEDSKLLQTVEDDLSSDDVDVEDEGEEAYLQTYSSDEEEDADGDSNEYL >PAN12090 pep chromosome:PHallii_v3.1:2:40764828:40769531:1 gene:PAHAL_2G241400 transcript:PAN12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPPPALSPKPPFPSAPRSARLPRVRCTRITTDTASLSSPPPASASSPSTSSPAASDVAVGGKGKKKRRPLKPSFEDQALRRWSARAPSKRVSVPWEQQSPPPPPPHQADRERGSSATLRSIVDYFAGGSSGDDSEREEKGAGDTAAVRAEAAQDQDDGPHFRPSYLLGNKPVSAPWMHGEESTNEQWVSGSVAEGEEGVDMDDISDDELGLAEGDDEELDRGDELLNESSEEEWYEDYVVPTANSSYGRDLVVDRGYNVGGSDTSMRRGSVNSIVKTLRSSMEESSPNVTIEQSNAEDFVQKLGPVLLPWEREEEDDEVFGGGKVGRHSNTELADRTIPEHELRRLRDAALRMKERIKVGPGGVTQDIVENIHRKWKVDEVVKMRFEGPPSLNMKRAHDLLEDRTGGIVIWRSGRSVVLYRGMNYNLQCVQSYAKFTEIDSDKEVADANSAVPIHGGHNSHKSRADGVKRSTSSGNFSQELEDTQAFDIDAFLDQLGPRFKDWSGRSPIPVDADLLPGVVPGYKPPFRILPYKIKSTLRDKEMTALRRLARQTAPHFALGRNREHQGLAAAMVKLWEKSAIAKIAIKRGVPNTCNDRMAEEIKKLTGGVLLSRNKEYIIFYRGNDFITPKVRQVLVEKQEQSITQQDDEELARLKASASITTIPNELKGPLVAGTLAETTEAKSRWGDSLNDKQREEEMKRLALMKHTSLLNNLKRKLILAKTKVAKAERALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGRREVFDGTVQNMHLHWKHRELVKIIVRGKSFAQVKHIAISLEAESEGVLISVDKTTKGYAIIFYRGKNYRRPEIMKPRNLLTRRQALARSIELQRREALKHHISSLQNKIWKLNTQLVQMKAAKEKEDSKLLQTVEDDLSSDDVDVEDEGEEAYLQTYSSDEEEDADGDSNEYL >PAN15478 pep chromosome:PHallii_v3.1:2:57173542:57174207:-1 gene:PAHAL_2G490800 transcript:PAN15478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIIRKMFYKTFLHVTKRKAQSCTVFRTSKLPENWIIKQPKGNLMRAMKLLRLHPVTEFNLRKWIHHSKHDLSTGKSLQLSWASLAWPNWSFYRLRNKVVRDDPGAEYNSRGSKGAN >PAN13681 pep chromosome:PHallii_v3.1:2:48993599:48999165:1 gene:PAHAL_2G356900 transcript:PAN13681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGDPQPSVSLQARTPSEGGAAVDLDLLEQLLSGDNGWFEVVSRSPNSLVSPPPAAFFSADVTATAAAATTQAASSWWIQTGGGASPSSVRERFSQALSYIRETQSDGDVLVQLWVPVNRGDGQLVLTTSGQPFTLDQRSDSLIRFREVSTKYQFSADVKSGDSPGLPGRVFIGRLPEWSPDIRYFTSYEYPRVRDAQYLDIHGTMGLPVFEKESYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSTEVSSVPRMQFSTASYKDALPEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEPKMQDFHDACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFDLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKVVLDSLSGTMRSVCQTLRVVTDKEMEDEAIWEMNELNSFTPQGKNKVEELSFGGSSADRRGEASWTSLAETSQQESELAAMQMHGMFSSGGQGPSLSGVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQIIDSVHGAETSFQLNTLYKDLTNTSISSENNLSGSNTVPPTNQSNLTNFDKHRHHKSNSIVPSTSHSHSSCSHNSDSSPSCSGGATKHAPQGIIDLMKSGNPVKDSPIQTLQTENTSLYEHFSVNEAPTNLLQDVTEKANGGLHSKRSPSSPKHNAEANMRVKATFGSEKVRFRLNPECNFQELKYEIAKRLSIVDTSSLILKYLDDDSEWVLMTCDADLQECLHVYKLADIQTIKISVHLAVSPAARVTTGHTGLS >PAN13683 pep chromosome:PHallii_v3.1:2:48993599:48999165:1 gene:PAHAL_2G356900 transcript:PAN13683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGDPQPSVSLQARTPSEGGAAVDLDLLEQLLSGDNGWFEVVSRSPNSLVSPPPAAFFSADVTATAAAATTQAASSWWIQTGGGASPSSVRERFSQALSYIRETQSDGDVLVQLWVPVNRGDGQLVLTTSGQPFTLDQRSDSLIRFREVSTKYQFSADVKSGDSPGLPGRVFIGRLPEWSPDIRYFTSYEYPRVRDAQYLDIHGTMGLPVFEKESYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSTEVSSVPRMQFSTASYKDALPEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEPKMQDFHDACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFDLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKVVLDSLSGTMRSVCQTLRVVTDKEMEDEAIWEMNELNSFTPQGKNKVEELSFGGSSADRRGEASWTSLAETSQQESELAAMQMHGMFSSGGQGPSLSGVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQIIDSVHGAETSFQLNTLYKDLTNTSISSENNLSGSNTVPPTNQSNLTNFDKHRHHKSNSIVPSTSHSHSSCSHNSDSSPSCSGGATKHAPQGIIDLMKSGNPVKDSPIQTLQTENTSLYEHFSVNEAPTNLLQDVTEKANGGLHSKRSPSSPKHNAEANMRVKATFGSEKVRFRLNPECNFQELKYEIAKRLSIVDTSSLILKYLDDDSEWVLMTCDADLQECLHVYKLADIQTIKISVHLAVSPAARVTTGHTGLS >PAN13682 pep chromosome:PHallii_v3.1:2:48993599:48999165:1 gene:PAHAL_2G356900 transcript:PAN13682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMEAWSFASRSPAGEKSNPESLTCMEGGGGDPQPSVSLQARTPSEGGAAVDLDLLEQLLSGDNGWFEVVSRSPNSLVSPPPAAFFSADVTATAAAATTQAASSWWIQTGGGASPSSVRERFSQALSYIRETQSDGDVLVQLWVPVNRGDGQLVLTTSGQPFTLDQRSDSLIRFREVSTKYQFSADVKSGDSPGLPGRVFIGRLPEWSPDIRYFTSYEYPRVRDAQYLDIHGTMGLPVFEKESYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSTEVSSVPRMQFSTASYKDALPEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEPKMQDFHDACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFDLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKVVLDSLSGTMRSVCQTLRVVTDKEMEDEAIWEMNELNSFTPQGKNKVEELSFGGSSADRRGEASWTSLAETSQQESELAAMQMHGMFSSGGQGPSLSGVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQIIDSVHGAETSFQLNTLYKDLTNTSISSENNLSGSNTVPPTNQSNLTNFDKHRHHKSNSIVPSTSHSHSSCSHNSDSSPSCSGGATKHAPQGIIDLMKSGNPVKDSPIQTLQTENTSLYEHFSVNEAPTNLLQDVTEKANGGLHSKRSPSSPKHNAEANMRVKATFGSEKVRFRLNPECNFQELKYEIAKRLSIVDTSSLILKYLDDDSEWVLMTCDADLQECLHVYKLADIQTIKISVHLAVSPAARVTTGHTGLS >PVH64785 pep chromosome:PHallii_v3.1:2:48993599:48999165:1 gene:PAHAL_2G356900 transcript:PVH64785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWFPSPRCAWRHGALPLDLQQGRNPESLTCMEGGGGDPQPSVSLQARTPSEGGAAVDLDLLEQLLSGDNGWFEVVSRSPNSLVSPPPAAFFSADVTATAAAATTQAASSWWIQTGGGASPSSVRERFSQALSYIRETQSDGDVLVQLWVPVNRGDGQLVLTTSGQPFTLDQRSDSLIRFREVSTKYQFSADVKSGDSPGLPGRVFIGRLPEWSPDIRYFTSYEYPRVRDAQYLDIHGTMGLPVFEKESYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSTEVSSVPRMQFSTASYKDALPEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEPKMQDFHDACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFDLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKVVLDSLSGTMRSVCQTLRVVTDKEMEDEAIWEMNELNSFTPQGKNKVEELSFGGSSADRRGEASWTSLAETSQQESELAAMQMHGMFSSGGQGPSLSGVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQIIDSVHGAETSFQLNTLYKDLTNTSISSENNLSGSNTVPPTNQSNLTNFDKHRHHKSNSIVPSTSHSHSSCSHNSDSSPSCSGGATKHAPQGIIDLMKSGNPVKDSPIQTLQTENTSLYEHFSVNEAPTNLLQDVTEKANGGLHSKRSPSSPKHNAEANMRVKATFGSEKVRFRLNPECNFQELKYEIAKRLSIVDTSSLILKYLDDDSEWVLMTCDADLQECLHVYKLADIQTIKISVHLAVSPAARVTTGHTGLS >PAN12663 pep chromosome:PHallii_v3.1:2:47559927:47560520:1 gene:PAHAL_2G333200 transcript:PAN12663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDNSSVHVLLLSYPILGHINPLLQLGKRLAAHRGVRCTLAVTRFVLGQEQAADRRSPRRHLLRRLRQGRLRRGRRRGGVPRAAPWTCRWRRVRRCRSCPACQRGSGRRTS >PVH64781 pep chromosome:PHallii_v3.1:2:48965783:48966150:-1 gene:PAHAL_2G356300 transcript:PVH64781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGQAPPRPQPHRPQDRKPRGSAGAERCHPVGELPFFFSPVSGAAGFSFPASGGGGRGRRWPPRRCHHGWQLLPCHVQLLLSCSAYQPIPSQGRRRWR >PAN14501 pep chromosome:PHallii_v3.1:2:52495456:52500561:1 gene:PAHAL_2G414600 transcript:PAN14501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFLLLYALFLLLAAAAPSHGLTQADVAKRLKEELSERNRENEMLKSWNGDPCSLSTWEGFSCEPKDGARVVVKLNFSSKNLQGQIPAAIGNLTDLTDIDLQVNNFTGSIPASFSALKHLRNLSVKCNPFLNSQLPDGLSTGVDFSHGACAAEEYESPPGVASQRVIVISGVACGSLACTFALGFLFVCFNKRERRSPEKDCSSTTNPIFQECGIHNTANPAVQQLSLKSIQTATSNFKTLIGEGGFGAVYRGTLPHGQEVAVKVRSSSSTQGTREFNNELRLLSAVWHENLVPLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLVYLHNFAGHCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNPSMEVRGTAGYLDPEYYSTQVLSTRSDVFSFGVVLLEIVTGREPLDVKRPRDEWSLVEWAKPYIREYKIEEMVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKLFASGSARIDPIKGHLQTMPSLPR >PAN09270 pep chromosome:PHallii_v3.1:2:559102:560305:1 gene:PAHAL_2G008500 transcript:PAN09270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLCRAAVPVKRVWRGLSARLRLRRATGLGRLRKEVRTCEYSDVHVMWEMLSSSNAKSSSSARARGGGGGAMAAAAAGKGSRRGRVGRKAAVAWSRLASYCCAL >PAN10496 pep chromosome:PHallii_v3.1:2:7444264:7445055:1 gene:PAHAL_2G096900 transcript:PAN10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAGSWSKRWIRPEVWPLFFATGTAVGICGMQLIRNITGNPEVRVLKEKRAAGVLENHDEGKRYSQHGFRKFIDGRKPEIMESINSWMADPK >PAN15117 pep chromosome:PHallii_v3.1:2:55463191:55465015:-1 gene:PAHAL_2G466000 transcript:PAN15117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALPVAHRHLALTSPFLPLPRRGRPSCPAFHGRRRRRRHGAAVVAYMEPNPNSPAAIAGRLVGALPVVGLVARILSDEGGVGGDIIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKPGDPFYVLLCCWLAAVGAGLLKTEEILEGVARLRISNDIEFEEETFIDMMRAAKEKRAKLKAPAPQIAMETRAEKALEAIYVCCFGQDMVEEEDEKLLRTILNAVFPSVGRPAVERMVASMAKQVASGERKRDGKTVSKEVQQRQLKDLEFLKQNKLDSS >PVH64471 pep chromosome:PHallii_v3.1:2:43932933:43936920:-1 gene:PAHAL_2G277800 transcript:PVH64471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGQNGRDDFFGGRDPFSGFGGFGPQRSLISGFFGGRDPFDDPFFTQPFEGRMMGGHGMFGPSLFGPMGGPFGDMRNDGFIQQAPPKSNGRRPVITELDEEGGENAEHGNEQSNQDSYVQEPDDASDVMEGGQVQLRRDLNRANSGGQSQARTFTYQSSSVTYGGINGAYYTASKTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGKVDSTQILHNLNEDELAGFEESWKGNAGHHLPGWNQNAGAPNSDNSGNRSSSGRDRRSAWGWALPGTEQGRDPRRNGKPKSRVIPIT >PAN12766 pep chromosome:PHallii_v3.1:2:44818066:44821312:1 gene:PAHAL_2G290000 transcript:PAN12766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFSLLAGALVLLVVGATDAALNGYQINCGATSEQVAGNVTWVPDGPFVSSGKVAELSSRGVMPMLSSLRYFPDASARKHCYVVPAERHARYLVRTTYYYGGFDGGRAPPVFDQVIDGTRWSAVDTEAAYARGLATYYEAAVEAAGKELSVCLARSGGTAAGRSPFISALEVVPLEGSVYSAVNFTAYALSTVARHSFGRGGSVVGYPGDRFNRYWEPYGDGSTPVVESQASVATEAFWNKPPEAVFRQGLTARRGKSLDLQWPPAPLPAASYYLALYFQDNRAPSALSWRVFDVAVNGQLFFAGLNVSTAGSMVYGAPWPLSGQTRITLTPAPDSPVGPVINAAELMMVVPLGGRTHPRDVIGMEALARGFLNPPSDWRGDPCLPKGTSWTGVTCNEDPLARVIAINLTNYRVGGSISDHIANLTAVSSIWLVGNNLTGPIPDMSPLHHLASLHLEDNGLTGPLPESLGSLTRLQELSVQNNNLQGSIPSSIRNRAMGDISFRFKYTPGNNLS >PAN13651 pep chromosome:PHallii_v3.1:2:48812992:48813974:1 gene:PAHAL_2G354300 transcript:PAN13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKRIAQLAKKWQRMAPLGRKRLTWPKEADECCTSVASKGHCTVYTADGTRFEVPLAFLGTAVFAELLRMSQEEFGFAGGDDGRITLPCDAAVMEYAMCLLRRGASAELEQAFLSTMAMPCHHASSVAPYVAACC >PVH65003 pep chromosome:PHallii_v3.1:2:51625305:51626955:-1 gene:PAHAL_2G399000 transcript:PVH65003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCAPGRRREGIESMVLARFLTVVLVSAAALPLLLFSCAEAAGGEVGVSYGRVANDLPPDQGSVVDLLRRNGITMVRIYDADPDVLKSLANTGIKVMVMLPNENLAQAARDPPYALRWVQSKVKAYYPATRIDGVAVGNEVFHARPELNPDLVPAMTNVQAALAQLNLADAIKVSTPIALDALVESSAWPPSSGRFKDEVQPVMKHMLDFLQTTRSYLSVNLFPCLTYMYQPDMNRDFALGNSNAPSQRDDVTGLVYHSLLDAQLDATYFAMEKLGFPQLRVRHTEHGCASGGGLKPGHGGRRLGDGDGGAAPSVANAQAYINNLMDRVASGRTGTPHRPDADMDVYIFALFNENQKGDGPDDIEAHYGLFYPNMQKVYEFQFPGSAAAASWCVANAAVGDARLQAALDWACGHGADCSAIQPGAACFEPNTKLAHASYAFNSYYQRNGRASGACDFAGAAYVVNQAPSGEPIFDFLVSVI >PVH65004 pep chromosome:PHallii_v3.1:2:51625380:51626916:-1 gene:PAHAL_2G399000 transcript:PVH65004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCAPGRRREGIESMVLARFLTVVLVSAAALPLLLFSCAAEAAGGEVGVSYGRVANDLPPDQGSVVDLLRRNGITMVRIYDADPDVLKSLANTGIKVMVMLPNENLAQAARDPPYALRWVQSKVKAYYPATRIDGVAVGNEVFHARPELNPDLVPAMTNVQAALAQLNLADAIKVSTPIALDALVESSAWPPSSGRFKDEVQPVMKHMLDFLQTTRSYLSVNLFPCLTYMYQPDMNRDFALGNSNAPSQRDDVTGLVYHSLLDAQLDATYFAMEKLGFPQLRVRHTEHGCASGGGLKPGHGGRRLGDGDGGAAPSVANAQAYINNLMDRVASGRTGTPHRPDADMDVYIFALFNENQKGDGPDDIEAHYGLFYPNMQKVYEFQFPGSAAAASWCVANAAVGDARLQAALDWACGHGADCSAIQPGAACFEPNTKLAHASYAFNSYYQRNGRASGACDFAGAAYVVNQAPSGEPIFDFLVSVI >PAN14235 pep chromosome:PHallii_v3.1:2:51286734:51287870:-1 gene:PAHAL_2G393300 transcript:PAN14235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNNDIHAAQEPQHMSRVFFNFRDSNEHRRCIVACMVRGAYVMESDRTKRRLGTRRARSPAWWESFGFRLRDVLDCDCDCVFCRNRFKFGAQRWSIYGAILEHVPAAAAGEFRSQAAPRYIVAFRGTMSPSHRGDTHANVELLLNRQHACRRFFDARRKVGELLDSIVYYDYDYGRSTAAAIWLAGHSLGGSIALDVGRHMMTERRCNLPTFLFNPPQVSVAPLLNALRVPDVARRFLFRISYTVKAKLGAVTALRPLERKMEELFETLAPWAPELYVHERDIICRGFIDYFEQRQNMLVGGSSSHVALHGTKLSLRDMLLFLHAENKEGNQVQPHLLPSARLWKTSVQGHRHGLQQWLEPDWILNLSPRLYSYPGA >PVH64088 pep chromosome:PHallii_v3.1:2:26686543:26688055:-1 gene:PAHAL_2G181500 transcript:PVH64088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLAFQCKGAPQEKEFITVNIDRADISFYNLLCMKSKFGYAGRDYLYYKKRCGRDIANLEVIDYEDQALSMIKTNENEMKVRLVLSRDEPRERQVTITPLKRSRDQPNTDQSATDPPIDAYKVWLHNLQSNEPDTEFHDDYRDGTIKTYKEWLRLQGDLPIILTFLDQHTNDEQESHDSSPTPPTDFPSHARRQKPKQNGASQGPKKVGRGTLKGLSAAHKRIKGGSQKLQIEFSARLGGPIGPNTRSFVDEVVMFTRKRAPLIGVKRWKEIKENNRWDLLNTENAKEKIWDIAKERYKGWRSTLSSTYRAYDKS >PVH64421 pep chromosome:PHallii_v3.1:2:42804984:42807280:1 gene:PAHAL_2G264000 transcript:PVH64421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPAPTPFYLLTHLPGRPRPESIDRCFYPALSKRAHAPTTAASCRPERKRKRKGGGGMGRPPCCDKVGVKKGPWTPEEDLMLVSYVQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAGEGGGGDDGAAGASGSDGGGAGGKTGAGAGKRPAVPKGQWERRLQTDIHTARQALRDALSLEPSATPAKVAPPPTPPGSTTYASSAENIARLLEGWLRPGGGSGGKGPEASGSTSTTATTQQRPQCSGEGAASASASHSGGAAGNTAVQTPEYSTETSKMAGSAAGAGSAPAFSMLESWLLDDGMGHGEVGLMTDVVPLGDPSEFF >PAN09737 pep chromosome:PHallii_v3.1:2:3542846:3543778:1 gene:PAHAL_2G048300 transcript:PAN09737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMESRRNTKSKRRYLCCSFHLKFTNCLFGAPMSSAADTMKLQETTEKHERIICCEKFVNYPCTPTKYLLCTH >PAN12976 pep chromosome:PHallii_v3.1:2:45789177:45791074:-1 gene:PAHAL_2G304700 transcript:PAN12976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGDAEFYLRSYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVVREARRIIQESEVTKRTTAAGPSPTTLVGRSSRSLWATSTSHSPPPRLAPLSMFRQAVTPVAYASSTTSSRISSVSCFR >PVH64167 pep chromosome:PHallii_v3.1:2:34487650:34488489:1 gene:PAHAL_2G207100 transcript:PVH64167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQSFCYRLLLLIVHFALSSSRASTGNVSAIIVFGDSTVDTGNNNFIPTIAKANFPPYGCDFDGGVATGRFSNGRLVTDFVSEMFGLPSSVPAYLDTDYTIDQLTTGLSFASAGAGLDDFTAKFTVSKFLMSLNILAPFSGVQVNVGFESRQSICHITALFLQSKPLDWMLAYSSFLFLKHPIFLSKIGRYWY >PAN12474 pep chromosome:PHallii_v3.1:2:43156456:43158651:1 gene:PAHAL_2G267700 transcript:PAN12474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGY >PVH63551 pep chromosome:PHallii_v3.1:2:3991302:3993088:-1 gene:PAHAL_2G053900 transcript:PVH63551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAWNRVQVHDFLRSPGELERLYGEIHLLRSLRHRAVMRLHASWVDAPRRAVNFVTELFTSGTLRQYRQRHRRVSPAAVRHWCRQVLDGLAYLHARGIIHRDLKCDNIFVNGSQGQVKIGDLGLAAVRRRASPYAAHCVGTPEFMAPEVYAEDYDELADVYSFGMCVLEMVTLEYPYSECAHPVQIYKKVTSGIKPAALYKVTDPAVRRFIDRCLAPASRRPTAVELLNDPFLQVEDDGFCIGEEGDYSAMYDYLHQPACLDHHHHTGGSNGSSASNGVSNGGGVDDDGDRWDSEDDDDGSMLHGIDQLFNENEDEHVAGVDITIKGKRMEDGSIFLRLRIADKDGTGRVRNIYFPFDADADTALSVATEMVAELDITDHEVTHIAEMIDGEVGALLPHWTPGPGMDDDSAADDAPDASSAPCCKNCRYSAASSGGSSLDDYMSAAARRGCRCAELHGRFEEITFQADEEQVQFQSSGCSSDDGGGQADDKKLMDINGVAQGDATECSD >PAN09849 pep chromosome:PHallii_v3.1:2:3991302:3993569:-1 gene:PAHAL_2G053900 transcript:PAN09849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGALQVQSNGGDGGGEGLFADDPGYVEVDPTGRYGRQYSEILGKGSSKTVYRAFDEHRGMEVAWNRVQVHDFLRSPGELERLYGEIHLLRSLRHRAVMRLHASWVDAPRRAVNFVTELFTSGTLRQYRQRHRRVSPAAVRHWCRQVLDGLAYLHARGIIHRDLKCDNIFVNGSQGQVKIGDLGLAAVRRRASPYAAHCVGTPEFMAPEVYAEDYDELADVYSFGMCVLEMVTLEYPYSECAHPVQIYKKVTSGIKPAALYKVTDPAVRRFIDRCLAPASRRPTAVELLNDPFLQVEDDGFCIGEEGDYSAMYDYLHQPACLDHHHHTGGSNGSSASNGVSNGGGVDDDGDRWDSEDDDDGSMLHGIDQLFNENEDEHVAGVDITIKGKRMEDGSIFLRLRIADKDGTGRVRNIYFPFDADADTALSVATEMVAELDITDHEVTHIAEMIDGEVGALLPHWTPGPGMDDDSAADDAPDASSAPCCKNCRYSAASSGGSSLDDYMSAAARRGCRCAELHGRFEEITFQADEEQVQFQSSGCSSDDGGGQADDKKLMDINGVAQGDATECSD >PAN09847 pep chromosome:PHallii_v3.1:2:3990714:3993907:-1 gene:PAHAL_2G053900 transcript:PAN09847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGALQVQSNGGDGGGEGLFADDPGYVEVDPTGRYGRYSEILGKGSSKTVYRAFDEHRGMEVAWNRVQVHDFLRSPGELERLYGEIHLLRSLRHRAVMRLHASWVDAPRRAVNFVTELFTSGTLRQYRQRHRRVSPAAVRHWCRQVLDGLAYLHARGIIHRDLKCDNIFVNGSQGQVKIGDLGLAAVRRRASPYAAHCVGTPEFMAPEVYAEDYDELADVYSFGMCVLEMVTLEYPYSECAHPVQIYKKVTSGIKPAALYKVTDPAVRRFIDRCLAPASRRPTAVELLNDPFLQVEDDGFCIGEEGDYSAMYDYLHQPACLDHHHHTGGSNGSSASNGVSNGGGVDDDGDRWDSEDDDDGSMLHGIDQLFNENEDEHVAGVDITIKGKRMEDGSIFLRLRIADKDGTGRVRNIYFPFDADADTALSVATEMVAELDITDHEVTHIAEMIDGEVGALLPHWTPGPGMDDDSAADDAPDASSAPCCKNCRYSAASSGGSSLDDYMSAAARRGCRCAELHGRFEEITFQADEEQVQFQSSGCSSDDGGGQADDKKLMDINGVAQGDATECSD >PAN09848 pep chromosome:PHallii_v3.1:2:3991302:3993088:-1 gene:PAHAL_2G053900 transcript:PAN09848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAWNRVQVHDFLRSPGELERLYGEIHLLRSLRHRAVMRLHASWVDAPRRAVNFVTELFTSGTLRQYRQRHRRVSPAAVRHWCRQVLDGLAYLHARGIIHRDLKCDNIFVNGSQGQVKIGDLGLAAVRRRASPYAAHCVGTPEFMAPEVYAEDYDELADVYSFGMCVLEMVTLEYPYSECAHPVQIYKKVTSGIKPAALYKVTDPAVRRFIDRCLAPASRRPTAVELLNDPFLQVEDDGFCIGEEGDYSAMYDYLHQPACLDHHHHTGGSNGSSASNGVSNGGGVDDDGDRWDSEDDDDGSMLHGIDQLFNENEDEHVAGVDITIKGKRMEDGSIFLRLRIADKDGTGRVRNIYFPFDADADTALSVATEMVAELDITDHEVTHIAEMIDGEVGALLPHWTPGPGMDDDSAADDAPDASSAPCCKNCRYSAASSGGSSLDDYMSAAARRGCRCAELHGRFEEITFQADEEQVQFQSSGCSSDDGGGQADDKKLMDINGVAQGDATECSD >PAN10629 pep chromosome:PHallii_v3.1:2:8411645:8414824:-1 gene:PAHAL_2G106600 transcript:PAN10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEAPGELGGAGKDEEAAEYHSHDFEWEDLREEVESDPTFSYHLSPFPGPAASTTLPPQPSSEAWRSFHRRHASGKFFKERRYLLKEFPELPNSKDCAKILEVGCGNGSTAVSILRSSESITVFACDCSKDTLEKANEIISNTKGIDIKDRFHPFLMDVSKEIFPDWLFCNACKSSHGNHHEMRKERPDFLRENQCCVGGMDFITMIFTLSAIPFAIMPRTIEQCVSVLKPGGLLLFRDYGLYDMTMLRFLAHQRVGFREYMRSDGTLSYFFTLDTVRELFHAAGLIELELEYCCVKSVNRKNGKKMQRVWVHGKFLKPPS >PVH63982 pep chromosome:PHallii_v3.1:2:16686473:16688119:-1 gene:PAHAL_2G154300 transcript:PVH63982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMELGELVGEIRAHEMSILCMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEENDHQESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHSKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNIVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH64664 pep chromosome:PHallii_v3.1:2:47156348:47156991:-1 gene:PAHAL_2G327300 transcript:PVH64664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTQAHLQRLGGLFYNVYAPTRAPDFKYFRPLPPPSSASCRCPRCRGRGPLPASTAPASQLLVRRARGARATAAGKPGALGQDRRRKGDHRPLHTALWSSFRRPLFRLGANNAGSEC >PAN11568 pep chromosome:PHallii_v3.1:2:25201133:25202330:-1 gene:PAHAL_2G177100 transcript:PAN11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSCLSLPQSPPRLVLSNLSPALATRQARALRPLFPNPWICLRPSLSSIISAPYTTAEDVAASSLPVRSQAPRLPPRCHSVEDAAASDLLGEPQHRPQLLAPPCRRRAGPRSAAPPRTWPSPAFR >PAN14635 pep chromosome:PHallii_v3.1:2:53139583:53141107:1 gene:PAHAL_2G425500 transcript:PAN14635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAKDNQRRKSLPGESCSQILGLLDSTRLSVPHVSIAASLCPSPRPFPIQGSITCAVNQGQAQASASILAAAFAAGAAFAAVERATGGWGLEADEHKAAYPGGGCRRPEGARPLLRFWVREWRVGIAAENGLAGSEGREDDGVGKRRTGASARTPMREQR >PVH64863 pep chromosome:PHallii_v3.1:2:49970747:49973114:-1 gene:PAHAL_2G373100 transcript:PVH64863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMLKKLKFTAINGTKNAFSLSLSAPLVDDLSWRCHARSTSDRFGVLWRMWNLKSKTPEHLEYTLVTNSRENTRLQPQHHSHGDILLLDIGATDNLGNVAWTFEREISRIPVRNLSVLELKIATRGHFYGAMVLDLLRLCTSIQKLKVELNRHEERKECSVNGHCDQPNNWKDQIISLTGLTEVEIDGCKGEEHEIGLLKVLLTCAEMLERVTINLSINVPRSCCILGTP >PVH64884 pep chromosome:PHallii_v3.1:2:50106889:50108211:-1 gene:PAHAL_2G375500 transcript:PVH64884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFAIDVAEELGVPGISFRAASACSFLAYLTVPELFEHADLPSPGGGGLDKPVLESFVRRRDLPRLEAAVEGVPTVCWPCNIDQKTNSAGPPAGERRVEDGAGHEGRVRQGRRGEDGEGGHGVRRDQGGGLFQQKKRSEGPCELLRSRSGGTWPRQVHLRRSSSGSLGS >PAN13846 pep chromosome:PHallii_v3.1:2:49598112:49600145:-1 gene:PAHAL_2G367600 transcript:PAN13846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MATACRFAAPLGLATLPRGRVPAGVVVAVAQCGSKVSRGVAVRATSGGEGVTEDVPEIVKAAQDAWDKVEDKYAVATIGVAAIVALWTAAGALKAIEKLPLLPGVLEIVGIGYTGWFTYRNLVFQPDREALISKVKSTYEEITGSSS >PVH64594 pep chromosome:PHallii_v3.1:2:46093531:46094265:1 gene:PAHAL_2G310700 transcript:PVH64594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERIGIMEPPEYAYREYISGGTLRCDMMIFMERSTRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHYLQRTPMGFFPPAERSGRTWIARMRRLGREEEDLEDAVSHLSIYLTVLDALCREQSAQLKKLIQGIEKITQELEEQRTRAANAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENEVDRSLPIKKRSIRTEEESP >PVH64763 pep chromosome:PHallii_v3.1:2:48890278:48891189:1 gene:PAHAL_2G355200 transcript:PVH64763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLRSISLPTRPHALVLKAEQELQRLRALASPPSPPPSAHALRALLQDLGDLHEYVEEVVRLPTNWDALRLPRHRRLVEAELEGSVALLDLCGAARDGLAAAKDQLRDLRSALRRHRRAAWNPEQLPSRGDAAVVGGRVEAYAAALKKASRAIRRGCGRRAAAETARDDSCGGAPRPVAMLAEVRELTVSLLQASVEALLRQAVVRPSTAGKWSLVSRALMYSRSMSTASGEDQEGARADADEPASGSFCIKDVASGDGQMKAQSQLQALEGCIEGLEEGLERLFRNLIKSRVCLLNCVSL >PAN13827 pep chromosome:PHallii_v3.1:2:49550314:49553283:1 gene:PAHAL_2G366500 transcript:PAN13827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALGEAALPPVAPASAAANARPVGTLDPQLFMAACRGDNNRLKELLRLDDDEGQSATSRGTPYCNEVTSNEGNSLLHVVAARAGGACGDRFVDCAKTIYRGNSGLLAARNKKRDTPLHRGAGAGSADMISCLVALKAAEVAGDDGTAVKDFLRARNDCGETALHQAVRAASMTCIDALLLEDPGLATVPQEGEEGASPFYLALSLGKLDIARYIFDKSKGDLSYSGPNGQNALHAAISRGEALAMLLNWFKDLTVDVQQAGDDRPAVSVPLVSHLAQQRDKKTGSNPLHLAASLEGWPYVGILSMWFPDVWPRPRSAVALLLEANRCAAYQPDAQGLYPIHVAAMAGSLDAVRAMLEACPDCATLRDAGGRTFLHAAVEAEAYGVVEFACRRAPPKGSFPSSVLNMQDDNGDTAVHRAVHVGNLPVFNCLIRNRHVHLSIPNKDALTPYDLSWVRIPSSFYYDSNPRGLIQLSLQFVGAPCGGSRPDLLFGEHIPKGDDDEVSAHLTNAAQMLGVVSVLVATVTFASAFTLPGGYQQQAGSDGIIGTPLLAGSYAFDAFILSTTLAFICSCMATFSLVFAGVPAMDISLRSWYFEVSALLLRSSGRSLVVAFALGLYLVLAPIAHATATAVCVIIFVSLLYGNSEAWQILRVADTARARLGARMDVAWTFGLTFYNVFVNVFVNFWSFIIIFGYPAAIRMAVHVHAK >PAN12572 pep chromosome:PHallii_v3.1:2:43670215:43670959:1 gene:PAHAL_2G274500 transcript:PAN12572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVLVALALLALSVSATSTHTCGQAPHQQAPPPLHQCPCQHQQPQQPYPQLPALTQCGELLRQQCSPMATPYCTPQCQMLRQQCCQQLRQVEPQHQYHAVYTMVLQMVQQQQPPPYGGIHGPQGQAAMVAAQVAQQLTATCGMHQQPPCSSCGAAAGGVPY >PAN13036 pep chromosome:PHallii_v3.1:2:46013954:46016824:1 gene:PAHAL_2G309300 transcript:PAN13036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATRRAPLSRGPGSCLLAAALALAVLASPARLGASAAADGSSGAPDWHVVSVSSLLPSAVCTAVGARASNSSSLSVVHRHGPCSPLLARAGGAPSHAEILDRDQDRVDSIHRRSSAAVHTARASKGVSLPARTGVSLGTGNYIVSVGLGTPRRDFSVVFDTGSDLSWVQCRPCNGCYEQQDPLFDPAQSSTYAAVPCGDPQCRGLDSWTCASSGSRCRYEVVYGDQSQTDGNLVRDTLALGPSDALQGFVFGCGDDDSGLFGRADGLVGLGRNRVSLASQAAARYGAGFSYCLPSSPSAAGYLSLGGAAPSNAQFTAMVARGDTPSFYYLNLVGIKVAGRTVRVPEAVFRAPGTVIDSGTVITRLPETAYRALRGAFARFMRKYKRAPAMSILDTCYDFTGYTTVQIPSVALVFSGGATVSLDFNGVLYVPKVSQACLAFASNGDDTSIGILGNTQQKTFAVVYDVANQKIGFAAKACT >PAN14940 pep chromosome:PHallii_v3.1:2:54827287:54830281:-1 gene:PAHAL_2G453900 transcript:PAN14940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFSKFAQLVPRLRRLSTAAANAAGEDPKLSRIADELLALSPAELDDYAALLRLKLRLSLTSSAAAGGASPAGAGDAAAGAEEAAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRTGLPKEEAEALAAKLKAAGAAVALE >PAN14939 pep chromosome:PHallii_v3.1:2:54827287:54829735:-1 gene:PAHAL_2G453900 transcript:PAN14939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFSKFAQLVPRLRRLSTAAANAAGEDPKLSRIADELLALSPAELDDYAALLRLKLRLSLTSSAAAGGASPAGAGDAAAGAEEAAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRTGLPKEEAEALAAKLKAAGAAVALE >PAN14864 pep chromosome:PHallii_v3.1:2:54594714:54597950:-1 gene:PAHAL_2G449900 transcript:PAN14864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWNHVFSKTEFHVLILGVHKAGKTTLLEKLKSIYLKGEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSSAAASFEDAKSALEKVLRHEDLQGAPILIFANKQDSPAAVSEEELARHLHLKELDERSCMFQAGSAFDGTGIKHGIDWLVEEMERSKRTELLRMRTEAAGKI >PAN13730 pep chromosome:PHallii_v3.1:2:49196764:49198066:-1 gene:PAHAL_2G360800 transcript:PAN13730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLDSVERLAAVAAATPASAGVHTDTFLILAAVLCFLLCVVGLAFVARCSRLCNPSAFSVDAGPGDGAAMPPPAAARKGVEKEALRKLPTVPFEGAGREEGGDDGGERPECAICLAEFARGDEVRVLPPCSHAFHAACVDTWLLCTSTCPSCRSALVLAPAPAASGTTDPPQCCASAQAAPAEPEYSVAVAVERGPCRTSAP >PAN11012 pep chromosome:PHallii_v3.1:2:12017155:12017965:-1 gene:PAHAL_2G133500 transcript:PAN11012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNAGLRAYREVLRLVRRLPAETRPYYAKYARENFVNYRDLSADDDLAALLRRAYTHSSWVLSKYSIDAEKAAARLKALGDGHGHGHAGR >PAN09417 pep chromosome:PHallii_v3.1:2:1526428:1534512:1 gene:PAHAL_2G021800 transcript:PAN09417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPDRANADLRRRLAVDATPPPQIAREKQGLDTEIPLSPQWLTKVAENKDPISQGVRSDVSKTLGSGEDLGYSAKKKDVFRASVLDGETGRRDRWRDDEREPNSTHRWSRWRETDKEHGDARKVEKWSDDTSKYSVDSRRAPQERWGGDSNNKEGNYDQRRENKWTARWGSNEKESENWRDRWGDSGKEGDASREKGFSHFTGHGKDGNNYEKDTERDDNVSRSWKSSYPVGRGRGDLSHYPSQTPQKSSASYGYGRGKPDNDFANFPSRGKYTSGTSAISSGSSRPFQLGLLSDRPGGASGDRSAFKYSRMKLLDIYRSCDVTDFKIPVDCFEEVSVFLQENALEPLALSAPIAEEAAILKAIDKGDIVNSGVHQASKDGSVGKAGREDQPGGMEDYKGDTFGSLRGVPGNADLPARGEPLRPGTSTYVVPQRSQFIGEHRLGPSSEFGHQIPNFLNQETKTVGVPGVDDFASLMQPHPNPESLSLFYQDPQGHVQGPFSGADIIGWFEAGYFGIDLLVRVVNAPPDIPFLMLGDVMPHLRAKARPPPGFATSRSSDMLVPETQPTGKFISSTSMQAASAGIGIFDSGPSRKDTAVEAQNRFLESLMSNNVRNPSADTMSRTGGMNELGSFGNIPVGGGESGINMNYLLAQKGLLEKQSSLKSPVPYWSGDAVPTSQAPNKDVAPEASILHSKLLPPMADPSRQSLQSQNVDLLAMLQSKEKPQVSTGNSGLPLWSNYPEARNVNPNMHGVDLAQGALNMRQDLQNSQNISIGVQQHSFMPQNRPALAHLPPEKLAEISQDPQLLNMLQQQYLLSQLQLQSQTPLTPQPQLSMLDKMLLLKQQQQQQQQLQQLQLEQQQKLLLQQQQLLSHMVPHGHPNQQIEDSYGLKHTSLPGDAMNLGLRRMQEAIEVDRKLPVHGMQVGQQPSQSNMNVRDRDGVALSQSSVTTLPVPDETAVGALSKEHFSRPQLLDGFANDDAQLKSNVVNSKLVEVADRNKDVKSHEIYAGAAHTEMSEKVLDSGSTRVPGSASNEAKDSHAAPLDPKSENVLSNISSQVQEIKLSSENTSSDIVTTVATEVRVTDVQETKKAEKKKKQKKKQAAADAGKGASKTVSAQQPRQETEVGNSDLGANKHDLPDDTEELFWGASASVQYEILPPKNLHGEYDTNQAESEFSSLSSDPHTAASQRAWKQPTQGLRPKSLLEIQAEEQQRAQKGLATESAKPAASVPSIPWNGMATSSEQHYVGSSKSLGGMETAGERNRSQLHDLLAEEVLARSSIADSENIGNANDALFPPLSPAPAQPDAPALDDNDFIEAKDKKNKKKATKTKASTVKAPSPVGSFDPSAISVPTEKGKAAKQAQQESEILPALPSGPSFGDFVLWKSDQASSVPAPAWSNDSAKVQKPLSLRDIQREQERRSAALQQQAPSPTPPKVAMNQKNHGNASSWQASGSSPSKAAAPVQMSSNAPSRSKSSAEDDLFWGPSEHSKQDKRQSEFPTLSSQSRSSMTKDQSPANRQKSQAGRVSSAAPANTSGKGKAEASNKQTEAMDFRDWCEGEWVRLTGTNDISFLEFCIKQSTLEAETLLRENIGSLDRNHQFIDKFLNYKAFLSSEVIDMAFQAPSARGTRSDGAARANPATAARGGTSADMELDGGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVE >PAN13423 pep chromosome:PHallii_v3.1:2:47698797:47699573:1 gene:PAHAL_2G335200 transcript:PAN13423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDASPAINFSKDPNAESCCICGEEEEEEEATELTCPYNYLAPASYAPRRARAAAWRESRSALSEHRWYLRRFVRVNNLPGSCRPAELAGLFAEFGPLRMWHVAMDAPGACKGFACLVFEHREHAEEAIDRLNC >PVH64746 pep chromosome:PHallii_v3.1:2:48570503:48571569:1 gene:PAHAL_2G349700 transcript:PVH64746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLQQQEQPAEAATPPGPGPEGAAASPLPPAPEEFTPPELDVAEVLTRLSGSSTSTGGGGASAASGSSSPRSVNDAPPAPAPAWELAVPRGPGAGGDGDEAEDEQEVPGSQRRTKRYRPIAEIYLATARFARFSLRNKKE >PAN15053 pep chromosome:PHallii_v3.1:2:55177137:55179383:1 gene:PAHAL_2G460300 transcript:PAN15053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGWLLSAALAAALAAWAFDALVRLVWRPRAVARRLRAQGVRGPGYGFFHGNLRDIRRLRAAGAGVRLGVADHDFTPIAQPQFREWVPRYGRVFLYWFGITPNICVADYAVAKQVLADRTGLFPKNRMNANLLRLLGEGLVLTDGDDWQRHKKVVHPAFNMDKLKMMTATMADCARSMVTGWEAQLASQQKKGRQQVPIELSDQFEELTADVISHTAFGSSYKEGKQVFQALKELQFTAFSTIFNVQIPGFRYLPTEKNRRVWKLDKEVRTTLTKIIKNRLAAKEKAGYGNDLLGLMLEACAPEHGGDQLLSMDEIIDECKTFFFAGQETTSHLLTWAMFLLSTHPDWQDKLREEVRRECGDRDRAPTHDMLNKLKLMNLFILETLRLYSPVPLIRRRTRTAVELGGIVVPEDAILTLPIATMHRDREVWGEDAGEFNPLRFDAGVTKTAPKNLGALLAFSSGPRSCIGQNFAMVEARAVVAAILQRFELTLSPEYVHAPTDVITLRPKYGLPMIVTSAGA >PAN12751 pep chromosome:PHallii_v3.1:2:44714866:44717986:1 gene:PAHAL_2G288700 transcript:PAN12751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNGGSSSQRRVAVEEGAPLARQGSVYSLTFDEFQSALGGAAAGGGGGIGKDFGSMNMDELLRNIWNAEETQAMASASGAGAGAGMPPTTLQRQGSSLTLPRTLSAKTVDEVWRNLVRDEPPQGADGNGQEQAHRQSTLGEMTLEEFLVRAGVVRENPAPAPAPAPPPMLPPRPVPVAPKSSAFWGNFPGADDAAAAAALGFAPVGMGDLALGNGLMPPRAASMGGSAMAVQTAVSQLDSGGKGYSDLSSPTEPLPYSFEGMIRGRRNGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNQELERKQAEIMEMQKNEVPEMLKDPFGRKKRVCLRRTLTGPW >PAN09266 pep chromosome:PHallii_v3.1:2:562782:566375:1 gene:PAHAL_2G008600 transcript:PAN09266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAMVDATLAAPPLDLTARRRARPAALSNANACAGTVAAAPNKLKSKTVASRYLTPSPKPTSISSSASAPAPRPASTERPRPAQPNAVATTDAAASCGRATTTTRTLAVAFQSPAYSLDTSRGRSASPAVVPAAAPEKRRSGAAGAAARAKVSDASQNAYRWPASATPPPCGHDARAPAKSPGYYSASGRKGSTAGIFGAVRAAAFHGEPRRASVDGANEYLLALSSDDTDSASSGDGVAPRRSVGSGPRPSPRTAMSSSARFSRDAMGIHSERFASGASPAPAPVKKRSLFNGLLSSPFGRSSLKQQPPSKPVASSFRRTASPSPGRRSTDGPGSAGNMQCKASSTGCGFDGADTMKLKPPAAVKAEEEHQLRLRYTQHLQWRLVNAHAGVALSLQTAAAEKTLSGAWITILRMRKSVAIRKMQLQLLRNNCKLMSVLRGQMKYLEEWSFLERDYAHSISGTTQALNATVLRLPVSNGAMADIQGIKKALSSAVDVMDTIGNSTSTRLPKLARTNVLASQLSKVFIQEHILIAQCRDLLSTLASMHVSGEVQQPTRAKDTNEPKKASVFSVVFRLHFQSPAADCRCGW >PVH63340 pep chromosome:PHallii_v3.1:2:562774:566375:1 gene:PAHAL_2G008600 transcript:PVH63340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAMVDATLAAPPLDLTARRRARPAALSNANACAGTVAAAPNKLKSKTVASRYLTPSPKPTSISSSASAPAPRPASTERPRPAQPNAVATTDAAASCGRATTTTRTLAVAFQSPAYSLDTSRGRSASPAVVPAAAPEKRRSGAAGAAARAKVSDASQNAYRWPASATPPPCGHDARAPAKSPGYYSASGRKGSTAGIFGAVRAAAFHGEPRRASVDGANEYLLALSSDDTDSASSGDGVAPRRSVGSGPRPSPRTAMSSSARFSRDAMGIHSERFASGASPAPAPVKKRSLFNGLLSSPFGRSSLKQQPPSKPVASSFRRTASPSPGRRSTDGPGSAGNMQCKASSTGCGFDGADTMKLKPPAAVKAEEEHQLRLRYTQHLQWRLVNAHAGVALSLQTAAAEKTLSGAWITILRMRKSVAIRKMQLQLLRNNCKLMSVLRGQMKYLEEWSFLERDYAHSISGTTQALNATVLRLPVSNGAMADIQGIKKALSSAVDVMDTIGNSTSTRLPKLARTNVLASQLSKVFIQEHILIAQCRDLLSTLASM >PAN09267 pep chromosome:PHallii_v3.1:2:562776:566620:1 gene:PAHAL_2G008600 transcript:PAN09267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAMVDATLAAPPLDLTARRRARPAALSNANACAGTVAAAPNKLKSKTVASRYLTPSPKPTSISSSASAPAPRPASTERPRPAQPNAVATTDAAASCGRATTTTRTLAVAFQSPAYSLDTSRGRSASPAVVPAAAPEKRRSGAAGAAARAKVSDASQNAYRWPASATPPPCGHDARAPAKSPGYYSASGRKGSTAGIFGAVRAAAFHGEPRRASVDGANEYLLALSSDDTDSASSGDGVAPRRSVGSGPRPSPRTAMSSSARFSRDAMGIHSERFASGASPAPAPVKKRSLFNGLLSSPFGRSSLKQQPPSKPVASSFRRTASPSPGRRSTDGPGSAGNMQCKASSTGCGFDGADTMKLKPPAAVKAEEEHQLRLRYTQHLQWRLVNAHAGVALSLQTAAAEKTLSGAWITILRMRKSVAIRKMQLQLLRNNCKLMSVLRGQMKYLEEWSFLERDYAHSISGTTQALNATVLRLPVSNGAMADIQGIKKALSSAVDVMDTIGNSTSTRLPKLARTNVLASQLSKVFIQEHILIAQCRDLLSTLASMHVKYSSLQGQRIQMNQRRRQYFQ >PAN09269 pep chromosome:PHallii_v3.1:2:562776:566375:1 gene:PAHAL_2G008600 transcript:PAN09269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAMVDATLAAPPLDLTARRRARPAALSNANACAGTVAAAPNKLKSKTVASRYLTPSPKPTSISSSASAPAPRPASTERPRPAQPNAVATTDAAASCGRATTTTRTLAVAFQSPAYSLDTSRGRSASPAVVPAAAPEKRRSGAAGAAARAKVSDASQNAYRWPASATPPPCGHDARAPAKSPGYYSASGRKGSTAGIFGAVRAAAFHGEPRRASVDGANEYLLALSSDDTDSASSGDGVAPRRSVGSGPRPSPRTAMSSSARFSRDAMGIHSERFASGASPAPAPVKKRSLFNGLLSSPFGRSSLKQQPPSKPVASSFRRTASPSPGRRSTDGPGSAGNMQCKASSTGCGFDGADTMKLKPPAAVKAEEEHQLRLRYTQHLQWRLVNAHAGVALSLQTAAAEKTLSGAWITILRMRKSVAIRKMQLQLLRNNCKLMSVLRGQMKYLEEWSFLERDYAHSISGTTQALNATVLRLPVSNGAMADIQGIKKALSSAVDVMDTIGNSTSTRLPKPGPMFWRPSSPKFSFKNTSL >PAN09294 pep chromosome:PHallii_v3.1:2:431021:431887:1 gene:PAHAL_2G006700 transcript:PAN09294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTARCRNPDVPRRTVRVAGCPVQTTVTARLAIVRRWLYTTLWLQRRRLHSSSGLTAGLGVQWTPPFGRRLPAGAEPRPDTLQLCVGNRCLIFQLARAASGAVPQILRRFLADARVTFAACNVESDRRKLRAHHGLQVRSALELRAAAPRGMGNASMATVAERLLGMRGLEKPGKVGASRWDAPRLSRKQVRYAAADAYVSCRLGVHFRRRAAMASDDQESEPEYYSDEDVRCTARDEVSPEPEYEHGDCWGRFVGFLERVSDDDDPHGEAAVVDDHVYDSMCSSLVY >PAN09210 pep chromosome:PHallii_v3.1:2:333545:335487:-1 gene:PAHAL_2G004800 transcript:PAN09210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKATSAAAAVFLLLLPVLVPPPAGVLAADDDGTTHLSFFMHDIVSGSNPTAVQVIKGPGSTTAPALGMSFGDTTVVDDALTETSSPTSAALGRMQGFYMLSSQSGPVLMVCANLLLTSGDHNGSTIAVLGRDDTAADVRELAVVGGTGKFRMASGYVLWKTSSMKGADATVQLDVYLTTGNGTTIDAAAPVSPADGSSGSSGSGSGSKASSGARTGGGWVRACAVAVVVAVVGSSWVW >PVH65002 pep chromosome:PHallii_v3.1:2:51595790:51597340:1 gene:PAHAL_2G398600 transcript:PVH65002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGAAVFRDACVLLFTSIRFLDFLRKDQWLASELLAVIYADPGSVINAPAAWFSAAVTGIFTALVDTAVAAAASNSTRKYFATAEIDFDPTLYGLAQCAPDLTPAQCRDCLGNLVAVVTTQFLSRRAPRTSAFVVWCSLRYSVAPVFEGRAILQLAAPPEPPPEATLAPPASESGAGTKRSTAGIYAGIACSVVLVWILSVFFFIRFRRRIKATESDHPLKKIGRAHCTIFDMPTLQEATEHFSKKNKIGEGGFGNVYKGILSDGQEVAVKILLLGGTEHGLHQLLNEVMILAELQHKNLVRLQGFCSHQNDTLLVYEYIKNGSLDNFLFVFTHI >PAN15147 pep chromosome:PHallii_v3.1:2:55582923:55587928:1 gene:PAHAL_2G468200 transcript:PAN15147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSSCDSTAVPFTLLGALLTAGPAAWPACVGGGRAFLRDYAQRGTNALLWAVLLAVTWVLLVRVAALLRLWALGSRIPGPRALLADPGLADVLRTGGDITGFLSKLHSSYGPVVRLWVGPSQLLVSVKDPTLVKEVLTKAEDKLPLTGRTYNLACGRLSLFVSSFEKVKSTRESLKIFLNEKLTIGGNLNSFKVIDAIMYRSDSIMSKDFLDSRSFSRHMAFNIIGATLFGDAFLDWSDTVTYEELLMMVAKDGCFWASYAVCPFWKPSYRRYQTLCAKLKILTESIIRKSRDQNSSVHHFDQRSYQKSEGMMAGHSLLGAAEESLSSEEEICGNIMGLMLHGISTSANLIGNILTRLVVFPKLQDQLHAEIVAACNESSELEVDDVLRMRFLLATVCESARLLPAGPLLQRCSLKHDLTLGSGITVPAGAILVVPLHLVQMDASVWGNDAGQFNPHRFLKKDIVLGDILAAPSGSNGMNLSTDCAKTESFLPFGSGSRACVGQKFVILAISMLIASLLRKYEVQPHPSLSKEVGSKVDSSHSHHLPNSKIILTKRRI >PVH65342 pep chromosome:PHallii_v3.1:2:55584986:55587928:1 gene:PAHAL_2G468200 transcript:PVH65342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFCFELLHFVGFLSKLHSSYGPVVRLWVGPSQLLVSVKDPTLVKEVLTKAEDKLPLTGRTYNLACGRLSLFVSSFEKVKSTRESLKIFLNEKLTIGGNLNSFKVIDAIMYRSDSIMSKDFLDSRSFSRHMAFNIIGATLFGDAFLDWSDTVTYEELLMMVAKDGCFWASYAVCPFWKPSYRRYQTLCAKLKILTESIIRKSRDQNSSVHHFDQRSYQKSEGMMAGHSLLGAAEESLSSEEEICGNIMGLMLHGISTSANLIGNILTRLVVFPKLQDQLHAEIVAACNESSELEVDDVLRMRFLLATVCESARLLPAGPLLQRCSLKHDLTLGSGITVPAGAILVVPLHLVQMDASVWGNDAGQFNPHRFLKKDIVLGDILAAPSGSNGMNLSTDCAKTESFLPFGSGSRACVGQKFVILAISMLIASLLRKYEVQPHPSLSKEVGSKVDSSHSHHLPNSKIILTKRRI >PAN15146 pep chromosome:PHallii_v3.1:2:55582923:55586525:1 gene:PAHAL_2G468200 transcript:PAN15146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSSCDSTAVPFTLLGALLTAGPAAWPACVGGGRAFLRDYAQRGTNALLWAVLLAVTWVLLVRVAALLRLWALGSRIPGPRALLADPGLADVLRTGGDITGFLSKLHSSYGPVVRLWVGPSQLLVSVKDPTLVKEVLTKAEDKLPLTGRTYNLACGRLSLFVSSFEKVKSTRESLKIFLNEKLTIGGNLNSFKVIDAIMYRSDSIMSKDFLDSRSFSRHMAFNIIGATLFGDAFLDWSDTVTYEELLMMVAKDGCFWASYAVCPFWKPSYRRYQTLCAKLKILTESIIRKSRDQNSSVHHFDQRSYQKSEGMMAGHSLLGAAEESLSSEEEICGNIMGLMLHGISTSANLIGNILTRLVVFPKLQDQLHAEIVAACNESSELEVDDVLRMRFLLATVCESARLLPAGPLLQRCSLKHGMQVF >PAN14192 pep chromosome:PHallii_v3.1:2:51039673:51043467:1 gene:PAHAL_2G390300 transcript:PAN14192 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRRPQRRGAAKQTRLQDADEIRLLDEWIEAGKPLPGTKPPPPSKSAGAGPAPPAAGEHPEYSACTLFDELPLSQKTKDALRKEGFTEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPVIEKLYRERWSPEDGVGCIILSPTNDLAGQIFTVMNKVGKLHNFSAGVIVGKRKGIEVEKERVNSLNILVCTPGRLVQHFNETANFNCSQLQMLVLDEADQILDHGFKSQVDAIISQIPKVRQTLLFSATQTKSVKDLARVSLRDPEYISVHEEARTATPDTLEQYAMIVPLEQKLNMLWSFIKRHLNSKTIVFLSSVKQVKFVFEIFKKLRPGIPLKCMHGRMKHVVQQAIVADFNESTSVLFSTDLTSRGLDINNVDWVVQVDCPESIDNYIHRVGRTARYNKKGKSLMFLCPEEEAMLEKLKATESKIPIHIRKPKAEQLEQISQNIASVLVKFPNLQQLGKRAFVTYLKSVYLQKDKKVFDLSRFSAEQFAAYAASLGLPVTPTIRFISHKKNVSKKDMVDLDKKQMKSSSKPEVIINPQVNSDLTMGDGDDDILYPKKPTADANMDGLDDVLCPKEPATETNTEPEKIIELGKPSKKKKLKINVHRPVGTRVKYDDEGNAIPPLASVAEEVASEPVVRKDKISQRYAEMLREMREHDKEDKLEHKKSLREKKLQKKLKLKRKKQEETEVESEEDSSSESDRGQDVARKGKKRYFNSDDEGDDTVKDGDVLAQQEALALKLLSKMHS >PAN12086 pep chromosome:PHallii_v3.1:2:41496126:41504674:-1 gene:PAHAL_2G249400 transcript:PAN12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFFPLYCRMLLLISCFRQKFTKLFSDKEIMGSQNKQGVVHAYATKTLSSKCQPQQSLIMKFCSHYCGSSRLGSKLEACKLVIPFSNFICKGESLWISSMLKFWNGPEEVDSSQGQNRFFCDGFSYPGSTKKIISSEDLDFVLMGTIKTSPLSGRLQLVDSTGCIDVVIPDLPWNGNFYGIYEISNYKLALEGPVAYLDHCDVADPLSCKAIFQKLSYRKRVHHLNMYVIVCWRELNQISPSLHIPLHINYSAKSFHLVKLSHIFPANNIQHQKISGPILYAEAVILPYGLQFIGQGECIEHAEAFRMLHSHLLGNSEASMAKLCNIPCSLSFGSTNLCGTLVSTNFFGSDGNVLNERIVCERERTSRILLEFKEGNFIKYQLLRINGYYLLQCPSGNLTSTMEGCGCLEGGKVSLDSQDKIWSIAITFDGNMSIKGMVGDQSVGVTKVKMDEPFSRNIIRDELKLVESWNDFYHNSYFHLNFSCEAISTKMEEYNIVCHVLNGLCASSSEVLSVSSCVDIMMPKETSESANLKTGELVQGDLISVRGKVENIHSHVCKGGRCMPGNEKCSLCIHVADNNHMVRIRGYLSKHSSTVGIGPGATVTFHRVLLIQHELVLTPVTYIEVTSISHPDLTEESVISPLKSNCLKDCSLSTVSPCLFFHRKHFIEDRPMQFQCRVATVLKLVLDKSTNHGKIPNVKVQLAGFILDDGSSLCCCWADDARAELLLRLQEVAHMDAFVNLKLSKGGKNTKLQHTIGCCLETMLKRHTGVIVKNCGIPPDFSCRDLDASSVLHKVLSRFEDKLLKFIILNACWKGTLNVIASNINPDDLNGFNVELPDFLARNMQMLWIKEVFPVDPLEEARRLHGILENS >PVH64361 pep chromosome:PHallii_v3.1:2:41496206:41499193:-1 gene:PAHAL_2G249400 transcript:PVH64361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQCRVATVLKLVLDKSTNHGKIPNVKVQLAGFILDDGSSLCCCWADDARAELLLRLQEVAHMDAFVNLKLSKGGKNTKLQHTIGCCLETMLKRHTGVIVKNCGIPPDFSCRDLDASSVLHKVLSRFEDKLLKFIILNACWKGTLNVIASNINPDDLNGFNVELPDFLARNMQMLWIKEVFPVDPLEEARRLHGILENS >PAN14652 pep chromosome:PHallii_v3.1:2:53251136:53253422:-1 gene:PAHAL_2G427000 transcript:PAN14652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRLAEAVEPRKKSNVKYASFCAILASMASIILGYDIGVMSGAAIYIKKDLQITDVQLEILMGILNVYSLIGSFAAGRTSDWIGRRFTVVFAAAIFFVGALLMGFAVDYAMLMAGRFVAGVGVGYAIMIAPVYTAEIAPAASRGFLTSFPEVFINIGILLGYVSNYAFARLPLHLGWRVMLGIGAVPSALLALMVFGMPESPRWLVMKGRLADARAVLHKTSDTPEEAAERLADIKAAAGIPKDLDGDVVTVPKERNGESQVWKELILSPTPAIRRILLSAVGLHFFQQASGIDSVVLYSPRVFRSAGISDDNKLLGTTCAVGVTKTLFILVATFLLDRAGRRPLLLTSTGGMIVSLVGLGTGLTVVGQHPGAKIPWAVALCILSILAYVSFFSIGLGPMASVYTSEIFPLRVRALGYAVGVASNRVTSGVISMTFLSLSKAITIGGSFFLYSGIAGLAWAFFFTYLPETRGRTLEEMGRLFGMEDTDMAGEESAAAKQKVVEMRTS >PVH63630 pep chromosome:PHallii_v3.1:2:5430342:5432063:-1 gene:PAHAL_2G072900 transcript:PVH63630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSSAPAIRSTRRLAPAAPNAAGVLPPDLLFDVLLRLPAKELCRLRAVCRSWRSLTSDPLFAGAHAARHPGPLLLAKFRDDEARVHIVDLSGSVVKRIAGPDGHELLCTRLHLACVASKGNSCHVLDPATGAAYALPESPAPEHVNHENSRDPYTFFASGRVASTGECKVLRVFNRTEFDAFDQQQLFEVFTINGGVSNAQWRARQSHYLFVEANNAAVVGGVVYFLTDCAYDLMLFFGVNTGIHPDCIASFDLGTEEWRRDIQGPISSGLSMDGANATEEYRSIWHKLTLSELKGSLVLAYHRRHQSLDLWLLTDFERGLWVKEYSIQTESAIPADEYFVKPLLVSDDGRLVIFLASTGLLLIYDPRTNSFSEVEMRRLDAVGLYTGNLLSLQGGDIV >PAN10124 pep chromosome:PHallii_v3.1:2:5430342:5432062:-1 gene:PAHAL_2G072900 transcript:PAN10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSSAPAIRSTRRLAPAAPNAAGVLPPDLLFDVLLRLPAKELCRLRAVCRSWRSLTSDPLFAGAHAARHPGPLLLAKFRDDEARVHIVDLSGSVVKRIAGPDGHELLCTRLHLACVASKGNSCHVLDPATGAAYALPESPAPEHVNHENSRDPYTFFASGRVASTGECKVLRVFNRTEFDAFDQQQLFEVFTINGGVSNAQWRARQSHYLFVEANNAAVVGGVVYFLTDCAYDLMLFFGVNTGIHPDCIASFDLGTEEWRRDIQGPISSGLSMDGANATEEYRSIWHKLTLSELKGSLVLAYHRRHQSLDLWLLTDFERGLWVKEYSIQTESAIPADEYFVKPLLVSDDGRLVIFLASTGLLLIYDPRTNSFSEVEMRRLDAVGLYTGNLLSLQGGDIV >PVH65082 pep chromosome:PHallii_v3.1:2:52764442:52767743:-1 gene:PAHAL_2G418900 transcript:PVH65082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFLQKMLLPCGLPPERDED >PVH64228 pep chromosome:PHallii_v3.1:2:36971009:36974158:1 gene:PAHAL_2G218300 transcript:PVH64228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFSDSNPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALRGDVLHSFEHKHIVRACAFSEDTPMLLTGGFEKILRIYDLNRLDAAPSEIEKSPGSVRTVTWLHSDQSILSSCTDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRFYHDN >PAN13352 pep chromosome:PHallii_v3.1:2:47408211:47412743:1 gene:PAHAL_2G330500 transcript:PAN13352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARLLRRVLQEREAAPQDPEVADDEQPEEEEASPPRSAARNLFDLLGGGDDDEEDKEDEAEISQPLSYTEQKHTVQKKPTNAVPETNKKSKKKKKKNKGEPSSMKSKAEQSLDSILEDLSIEKKPMQQRGHQSDRAAGKEIETNETTHGTTSILEIDPTHLKGENEMRRIFGSKVVDSFENQRNMPSSSRQVRGVRRVAHNPRKTLLVSPPSYWPPWDKSLAMDLLETKNGLNYFRYAFDPSVSHVQELFEAAKAANDLNAIAAILGKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLQGNYQLKYSHDTNKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYLERDATSEASDHADKSTSVDLMKQALMLHPLVLHKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMINIYVERHYIMWRFPELQNLLKEAALSVIESLKQDNREAQDWACVRKEAFSSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMVHEMPPVEQEAAGPERLRAPREVAGRNPALVFLESLLPWVDYGDNHHDANGNNNGD >PAN13579 pep chromosome:PHallii_v3.1:2:48487471:48493522:1 gene:PAHAL_2G347800 transcript:PAN13579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVRAAAVLVAAAALAILLPGWAAAAWTLTKKGSIVTYDARSLMIDGRRDLFFSGAIHYPRSPPELWPKLIERAKEGGLNTIETYVFWNAHEPEKGKYNFEGRLDLIKYLKMIQDHDMYAVVRIGPFIQAEWNHGGLPYWLREIDRIIFRANNDAYKKEMERFVRFIVQKLKDAELFASQGGPVILTQIENEYGNIKKDHKTDGDKYLEWAAQMALSTQTGVPWIMCKQSSAPGEVIPTCNGRHCGDTWTLRDKDKPMLWTENWTAQFRAYGDQVAMRSAEDIAYAVLRFFAKGGSMVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIRSYQKAFLMGEHSSEMLGHGYEAHTFELPEDKLCLSFLSNNNTGEDGTVIFRGEKYYVPSRSVSILVGCKNVVYNTKRVFVQHSERSFHTSEVTSKNNLWEMFSEAVPKYHTTKIRAKEPLEQYNQTKDATDYLWYTTSFRLESDDLPFRGDIRPVLQVKSSAHSMIGFANDAFVGSGRGSKQVKGFMFERPVDLKVGVNHITLLSTAMGMKDSGGELAEVKGGIQECLIQGLNTGTLDLQVNGWGHKAALEGEEKEIYSEKGMGKVQWKPAQNDKPTTWYKRYFDEPDGDDIVVLDMSSMGKGMIFVNGEGMGRYWVSYRTLAGTPSQALYHIPRPFLKPKDNLLVVFEEELGKPGGILVQTVTRDDICVLLSEHNPAQIKTWDTQGDKIKLIAEDHNTRGTLTCPPKKTIQEVVFASFGNPEGMCGNFTVGTCHTPNAKQVVEKECLGKPSCVLTVDHTVYGADINCQSTTATLGVQVRCSSEKKGA >PAN13578 pep chromosome:PHallii_v3.1:2:48487471:48492881:1 gene:PAHAL_2G347800 transcript:PAN13578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVRAAAVLVAAAALAILLPGWAAAAWTLTKKGSIVTYDARSLMIDGRRDLFFSGAIHYPRSPPELWPKLIERAKEGGLNTIETYVFWNAHEPEKGKYNFEGRLDLIKYLKMIQDHDMYAVVRIGPFIQAEWNHGGLPYWLREIDRIIFRANNDAYKKEMERFVRFIVQKLKDAELFASQGGPVILTQIENEYGNIKKDHKTDGDKYLEWAAQMALSTQTGVPWIMCKQSSAPGEVIPTCNGRHCGDTWTLRDKDKPMLWTENWTAQFRAYGDQVAMRSAEDIAYAVLRFFAKGGSMVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIRSYQKAFLMGEHSSEMLGHGYEAHTFELPEDKLCLSFLSNNNTGEDGTVIFRGEKYYVPSRSVSILVGCKNVVYNTKRVFVQHSERSFHTSEVTSKNNLWEMFSEAVPKYHTTKIRAKEPLEQYNQTKDATDYLWYTTSFRLESDDLPFRGDIRPVLQVKSSAHSMIGFANDAFVGSGRGSKQVKGFMFERPVDLKVGVNHITLLSTAMGMKDSGGELAEVKGGIQECLIQGLNTGTLDLQVNGWGHKAALEGEEKEIYSEKGMGKVQWKPAQNDKPTTWYKRYFDEPDGDDIVVLDMSSMGKGMIFVNGEGMGRYWVSYRTLAGTPSQALYHIPRPFLKPKDNLLVVFEEELGKPGGILVQTVTRDDICVLLSEHNPAQIKTWDTQGDKIKLIAEDHNTRGTLTCPPKKTIQEVVFASFGNPEGMCGNFTVGTCHTPNAKQVVEKITFSTLQS >PAN10255 pep chromosome:PHallii_v3.1:2:6033510:6037743:-1 gene:PAHAL_2G081900 transcript:PAN10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVPGREARRRALVFPLPFQGHIDPMMHLAGALHARGLAVTVLHTRFNALRPARHPEFRFVEVPDGVPADVAAAGRIIDVILAMNAAMEASPAGVRAALASAMLAGGEEEQEAERRPRGAAACLFIDGNLLAVQRVAAALGLPTLVLRTGSAACLRCFLAYPMLHEKGFLPPQESNLYTPVPELPPLRVKDLFFSPVSNHEKVREVLARITESVRNSSGVVINTSEALEAAEMEQLRGELAGDGLPLLLAAGPLHKLSSRSAGSSLLDQDRSCIAWLDTRPPGSVLYASFGSLAAMDPGEFLEVAWGLADSGHPFLWVVRPKLVRGCDSVQLPDGFEDAVKGRGMVIRWAPQQEVLAHRAVGGFWTHGGWNSTLEGVGEGVPMICRPDAVDQMMNARYVQDVWGVGFELEGELERGRIRDAVRRLMEGEEGAEMRERAKVLRRKVADCLESSGSSQIAMDKLQLQAFKPASRPAIENGRRRRRSGRQARPRRAVPAAVPGPHQPDAAAGRRAPRAGARRHRPPHGVQRAGPRAPPGARLRRGARRHPGGRRRRRGQRHPQDPRPQRGHGGVRARPRRARVAHGGGGGGCRCCQGLSLEIN >PVH63667 pep chromosome:PHallii_v3.1:2:6006217:6010210:-1 gene:PAHAL_2G081400 transcript:PVH63667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGVLSLLPSLMAVLMIPMVSGSDEAALLAFKAQIIDGGSGTLASWNSSSSFCSWEGVTCSRRRPTRVVVALNLYSCGLSGVLSPAIGNLTFLQTLNLSSNGLYGEIPASLGRLRRLQTLVLSDNSLSGKFPANLSSCISMTVMVLHDNKLGGRIPAELGERMTSLEAISLSNNSLTGPIPASLTNLSRLEYLSLASNQLHGSIPPGLGSIQSMLFLDLYANNLSGEIPLSIYNLSSLISFQVGGNQLHGSIPADIGNKFPGMQTLNLNNNHFTGIIPSSVSNLSDIKTLLLDGNRLSGYVPATLGRLQALQLLYLSVNMLEANDKVGWEFISSLVNCSQLQHLVLSHNSFRGHLPGSIANLSSTLQKLYLNDNKISGSIPAEIGNLVGLNSLVILNTSMSGVIPESIVKLENLVELALYSSGLSGLIPPSIGNLTKLTSFLAYDNNLEGPIPESLGNLKNLYTLDLSKNYYLNGSIPKEILKLPSLSYYLDLSYNSLSGPLPSEVGIMTNLNQLILSGNQLSGQIPHSIGNCIVFEKLLLDKNSFEGSIPQSLRNIKGLIVLNLTMNNLSGGIPDAIGNIGALQQLYLAHNNLSGPIPEILQNLTSLSILDISFNNLQGKVPDGGAFRNLSYKSVAGNTELCSGAPQLHLAPCSTRPTRKGRKKKFKSLIISLTTTVTVSLSVSIILLVWMLRKKLKQKQKERAQSPIAGEKFERIPYLALSKGTDGFSESNLLGSGRYGTVYKCVLDNEDKPLAVKVFNICQSGSSKSFEVECEAMRRIRHRRLIKIITCCSSIDLQGQEFKALVFEFMPNGSLDVWLHPKIHKSSTSNTLNLDQRLDIAADIIAAVEYLHNSCQPPVIHCDLKPSNILLAEDMSARVGDFGISKFLPENASKRMQNSYSTTGIRGSIGYVAPEYGEGSAITSSGDIYSLGILLLEIFTGRSPTDDMFRDSLGLHKFIEDALPDKALEMVDSTIWLHEEPKDNITRSRVQECLISVFRLGLSCSKQQPRERPSIRDVALEMHAIRDAFLMFGS >PAN09580 pep chromosome:PHallii_v3.1:2:2450195:2452676:1 gene:PAHAL_2G034900 transcript:PAN09580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDKESAMQKEEEKKSKDEIHLKIKSKDKSSGDEDEKKEVEIEIQAKFVEKEEVKDLGDSAGSAGKVKEVKKDKEKEKKKSEKHEDEHNDDEDGEKVKKKDKKEKDKKKKEDDEVSGKTEKDKKSESKEKDKKDKKAKDKEKEKDGSESKVSSDEKQEKTKDKKKKKDDEDAGDEEKHKKSESKDKDKDKKTKDKDESESKGSSVEKQEKGKDKENKNKKNEETKHKEGQLKNKSGEGAAYSAPREIKLTNDEPLKEDIRNEDGEVEKKNKEKKDKTDKKEDGKKKEKDGADDDDEGNKKDKEKKEKKKDKGDKKEDGKKKEKDGGEDEEGKKKDKEKKDKGAKEKITDPEKLKAKLEKVDAKLQDLQAKREDIMRQLKELEEGGKGKTNEEEPALVQEEKGKNTEGVTAHVLEQGVESKVKEENPVASA >PVH64282 pep chromosome:PHallii_v3.1:2:38756444:38759092:1 gene:PAHAL_2G228600 transcript:PVH64282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQVRLVAQTGQTGADHECLETLRLERPEINGGKDSYKHKGKKPKLSFDELLAKYLKENEAKLSYASHPTSFHPYSSWGWNDPWAHTPSYFRPYHVEYAAPREPSRARQPYSRVQNKKGVVKQVYRVKQDGHKDKSSDLNSIDEKPINALKTSAINSKEKEKLVVDPPSAKSEQKELNKPRIRNEMLLSKTEKLSAQELKERNMAWIPKGSVQVQRKDGIQGKGAMEMIKKIKIKRQSRTLRFAPNHQNYRSSYHPYALQMPSMPQSWSSSLDMFGYPSIFILTSSIT >PAN13019 pep chromosome:PHallii_v3.1:2:45947600:45951023:-1 gene:PAHAL_2G308000 transcript:PAN13019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGANSVGESVGESPAPEPEPEARPAEPVTKGRGLRRWRRIPREQQHHEGSPASPGTAGAGNGAGAGVGEDLAAQLHKRRLGPGADAPKGKQDAAVEEVESSVASVESSFVPLDASPPPAPTMLDPNLGLLIATAGFSLGAGGADSDNSDDRTSKFSTAASAPRHDFSSGGFGRERDRARSRAPGGAAHGKNLRAARGRGASARAAASPVEAENSRSSVESNLRSSSAAHARRSGTGITSNGVHKVLFPDDHQSDDEPPCEGVLYATGGFYKENGSVVGRLGNCDSDANNHIFDDVSVSKFENGGTHSGLDPYVESIALLQSAQEALENEIQKFVEIRKETGENSTTHHSETEWSNSPHPDESVEELSEKIKILESKLEEATMLINDRDSKILKLDALSQIQPLDTVECNSDLLSLQSDVDQLLLEKMEAEIQCFILTRASQDWKPPIKDQFALYEAQKSLTGDRKSLETKLRHTENRAMMLEEMVDKLESQCKELSETSEVLKLQARASRASLFCSIQFVLLCIAMGTLLIRFLPSSPEIVPT >PAN10183 pep chromosome:PHallii_v3.1:2:5778089:5778766:-1 gene:PAHAL_2G076900 transcript:PAN10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLDDCCCCCAGCYDSFCDRCCPCVSYDARETIFGCCVCLLLLGAAALLAVLLAAYGFIRHPEVAVEAASLTRFALLTSPATALAYNLSLTLTVRNRNWAMSVKNTKPLEAAFKFDDQAFDRFELAGEGDRHPPGKTRVYHLAAGADKFAALGNAGEAEFRKENATGLFEVEVALAGEVRYTAHFTKCKLAATCPLKLQLAPPGTPAVVFQKVKCKLAKADKNC >PAN09632 pep chromosome:PHallii_v3.1:2:2701187:2705722:-1 gene:PAHAL_2G038600 transcript:PAN09632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATAAADQATDLLQKLALDTTGDAGDVLATKEKAPAKGAVAAVGSLQQGVETLQVQDYKDASMYYGAYPAYAYGAYGGWGDYSTYLNHDGAQTPTSGAYADMYYGYAPYGVATLGHDGQIYGSQNYQYPSTYNKQQNSTDKLSSNVKSEKVTPSPQGDVSTNGIDGVKSLKNSNSSLKSDRPVSNGSYGRSSGRSGSFQNQTNWSAYPYYSSEMFSDKQQKLPSNRNSTASNAKTKGQSRNQNTRQYPHLMTPTSPMGSPSIYSANGIYGYDSYGPGLWYGSHMYSSGLYGGWNGLYDGKYRPRGRDNGYYAYGNGSLDGFNELKRGPRSGLYKSQQGLGATTELPAKEQDLSASNGSHAAVNNQYNLADFAETYSEAKFFIIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAKEKSSESPVFLLFSVNASGQFVGLAEMVGRVDFNKTVEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNSRDTHEVKLEQGLQVLKIFKGHVCKTSILDDFGFYDNREKMMQERKAKQQQSLKKVIDVKLPNAADAEKKSLEGETVSTELTKVEVEVEVLSKEPSLDKGEKNDAKGNGVAPEDLKSPAEKLAGANGC >PVH65401 pep chromosome:PHallii_v3.1:2:56694138:56695826:1 gene:PAHAL_2G484500 transcript:PVH65401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDGGARPDRISVCSVIPACGGVGKLELGRQIHSFAVKLGVEGHDSIGNVLVAMYYKCGTPDCARKLFKFMDERDVISWTTVMSMDGEDAVSLFNGMRRDGVAPNEVTFVAMLSAMPGDCSAREGQMIHAVCLKTGLSDKAAAANSLITMYAKLQRMDDAKMVFNLMPHLEIIAWNALISGYAQNEKCEDALEVFSSMVKSIKPNETTFASILSAVTSVETVPMAYGQMYHCQTLKLGLGTSEYVSGALIDLYAKRGSLEESWKAFGETVHRSLIAWTAIISANSKHGNYDAVISLFNDMARSGVAPDGVVLLSVLTACRYSGFVSLGWEIFDWMAAKHGADLWPEHYACVVDMLGRAGRLDEAEELMLRMPSGPSVSALQSLLGACRIHGNTDVGERVAGVLTETEPTESGAYVLLSNIYAEKGDWGAVARVRRQMRERGVKKEVGFSWVDAGAVGDSLHLHKFSSDDTSHPRTEEIYRVAEWLGWEMKNLKNPLQVEMEYLV >PAN13339 pep chromosome:PHallii_v3.1:2:47364113:47367122:1 gene:PAHAL_2G329600 transcript:PAN13339 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MSGGSARDVFYADKYHPIQAGSIDGTDVAPHDNAVLRALICSQAGLYDPFGDPKATGDPYCTLFVGRLSRQTDDDTLRKEMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYQDAHHSIIDGTEVIVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLQPIPHESYDKLKKLGIPPPPEGRYMARYQVPPPPRRKNSNTDMEDSPPRRRSKDKAGDSAYRSQRSSTDDDETPRRRKSSHDVREESQSRRSGRSSITREDSSQRKNTGHGDGHRKRRRSREPGEVSPSEEDGSYKRERTSTEAGHSPDQYTQHHRHHREGTYESSHSLHRDRRRHGDWHHSKRSESRDYSH >PAN10808 pep chromosome:PHallii_v3.1:2:9885022:9892867:1 gene:PAHAL_2G118000 transcript:PAN10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLNKSVPLRLLLSRRPLKPFFLPRPSRPRRLPLLPRAAMGSVAGDAARLAYPPARRDDSVVDDYHGVQIPDPYRWLEDPDSEETKEFVAKQAELAETVLAGCPDRENLRREVTRLFDHPRYAAPFHRGNKYFHFHNSGLQAQSVLYMQDELDGKAEVLLDPNTLSKDGTVALSTYSISEDGNYIAYGLSESGSDWVSIHVMNVTNKQPMPDKLSWVKFSSISWTHDGKGFFYGRYPAPREGEELDAGTETNINLNHQIYYHVLGTNQSEDILCWKDPEHPKYSFGASVTEDGKYIILIVYEGCDPVNKLYYCEISSLPQGIEGFRERQEMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKSAPRNKLVRVNIKNPELWTDVLPEHEKDVLESADAVNNNQLLVCYMSDVKHILQLRDLRTGNLIHQLPLEIGSVSEISCRREDKEVFIGFTSFLSPGIIYRCNLAPAIPEMKMFREISVPGFDRTSFQVKQVFVPSKDGTKIPMFIMSKKDINLDGSHPTLLYGYGGFNISLTPSFSVGRLVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISNGYTSSNRLCIEGGSNGGLLIAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHNVRRPWEQSSVSQCQYPATMLLTADHDDRVVPLHSLKLLATLQHVLCTEDSPQTNPIIGRIDRKSGHGAGRPTQKLIDEAAERYSFMAKMLGARWTE >PAN10809 pep chromosome:PHallii_v3.1:2:9886333:9892867:1 gene:PAHAL_2G118000 transcript:PAN10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTNKQPMPDKLSWVKFSSISWTHDGKGFFYGRYPAPREGEELDAGTETNINLNHQIYYHVLGTNQSEDILCWKDPEHPKYSFGASVTEDGKYIILIVYEGCDPVNKLYYCEISSLPQGIEGFRERQEMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKSAPRNKLVRVNIKNPELWTDVLPEHEKDVLESADAVNNNQLLVCYMSDVKHILQLRDLRTGNLIHQLPLEIGSVSEISCRREDKEVFIGFTSFLSPGIIYRCNLAPAIPEMKMFREISVPGFDRTSFQVKQVFVPSKDGTKIPMFIMSKKDINLDGSHPTLLYGYGGFNISLTPSFSVGRLVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISNGYTSSNRLCIEGGSNGGLLIAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHNVRRPWEQSSVSQCQYPATMLLTADHDDRVVPLHSLKLLATLQHVLCTEDSPQTNPIIGRIDRKSGHGAGRPTQKLIDEAAERYSFMAKMLGARWTE >PVH63549 pep chromosome:PHallii_v3.1:2:3908671:3909923:-1 gene:PAHAL_2G052900 transcript:PVH63549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSFITARLELSASRAPHVSHGGRRGGARRPGPLLPAAIGALAPAGLLPASLLAGQQPGRRGRRGGQGQRRRQGKDSWVVAAAAATSAELALTASAAISSPVMRRRCISAGEHEVDLPRLELLSRDDRRDGDGSASTIPSASPLTASSRAIAAVAGGAYSRSSPFGSTTAAFCRGVILEFF >PVH65028 pep chromosome:PHallii_v3.1:2:51947810:51953673:-1 gene:PAHAL_2G405100 transcript:PVH65028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPATPSSVDLPLAAPPPVKAPTPRPPPPASLQPESPGVFFSAAAAALPSGSAHRRIAIAVDLSDESAYAVSWAVANYLRPGDAVVLLHVRPTSVLYGADWGAVDVSLPNPGDGAEDDDDSEAAARRIEDDYDAFTASKADDLARPLKDAGIPYKIHIVRDHDMKERLCLEVERLGLSAVIMGSKGFGAARRTSKGRLGSVSDYCVHHCVCPVVVVRFPDEGSAEDGEAGGLSSAVGAEDVLHPVPEEDAEYHDATEEHKDT >PVH65362 pep chromosome:PHallii_v3.1:2:56030571:56031113:1 gene:PAHAL_2G474400 transcript:PVH65362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIPFLPTLGFEQSFSSVILFCFCNLLYVMRRGQGRVGGQRGKGRRWPRGSGGIASGAGEGNKDVAERNRLAHVLFAEQAWCTGLGGVRFFFEKKCWQVGELRRKIVPSLTPSIGLVSCGSDSKF >PAN12997 pep chromosome:PHallii_v3.1:2:45880498:45885649:-1 gene:PAHAL_2G306400 transcript:PAN12997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMVEVLVPFVIKKIGIAVACETLKLAKPLLGSNSEMRMALPVNMKLIKGELEIINAFLKEIGMNGCNSEIIETWTRQVRRLAYDMEDIVDQFMHVVGEYQQKGSWACVRKVFKKRQYLFSLDEIAAKADMINKELMELSNRMGRWTQLIPGVSYNPAMNYDSELLYQFGHDHSINDNELVGIDLNREILIKSLHLQDTSLRVIAIWGMGGLGKSTLVNNVYKNETIASKFSCHAWVSVSQSNKMIDIWRNMLKEIYGNDNRTFDSGSMNSAELRVELMKILDKKRYLIILDDVWTAETLFKIREVLVDNGLGSRVIITTRLEEVASVAEDGCKIKVEPLNDHDAWLLFCMKAFPKTKNHICPPELHQCGKDIVEKCDGLPLAIVAIGSLLSLKTRNIKEWRLFYYQLISEIHNNENLNRVEKILNLSYKYLPNYLKNCFVYCAMFPEDYLIHRKRLVRLWIAEGFIEQKGGCSLEDVAEVYLTELVQRSMLQVVARNSFDRIQCLRMHDLVRELAIFQSKKENFCTIYDDTHGLVQVGSDPRRMSVLQCNNDIQSSIDPSRLRTFIAFETCITSCSWYSFIPSESKYLAVLDLSGFSIETIPYSVGLLFNLRLLCLNDTNVKELPKSITKLHNLQTLSLERTQVLNFPQGFSKLKKLRHLLAWKLLDATYRSLNNWDSMEPFEGFWNLKELQSLNEVRATKVFVAKLGNLSQLRALCITRIRSSHCSQLCNSLSKMHHLTRLHIRAINKDELLFMENLTLQNPLEKLDLVGRLSEGTLESPFFSAHGSQLLQIELAWCQFIGSPVAQLSKLSNLTELRLTRVHTCQQLNFHGKLFQKLKKIVLWDLPEVNQICIHEGALVSLECLHIDSLKKLRDVPIGIGFLSSVKEAYFTRMHSEFVRNLQTGKLNHIPKVYWSTEGVSSVETEVTNLPGPSSTSPQWRILGGSGWVFI >PAN13372 pep chromosome:PHallii_v3.1:2:47489182:47495368:-1 gene:PAHAL_2G331800 transcript:PAN13372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVVTSSSSFHGSKKTQPTNGLKDASSLSLEKETSKLEKINASRKPTGAENKKPMLTKSNSSLSKQALNGIGGSKKESVKSKVKPTITRSTPSSPTSVYSLPASFDRFSDDLKQRNKVKGAEKASSSRLSLLEKAASVLKVTTAGRKSSASNPISSSVLSIRSGPKALRRSWEGNVDIKGKGNSESRTLKPDRKSDNKIPVTPRQKSPVDEKVSHKDDSLIQKAGRKSTASAPSDDADKTAKKHTPTVKRTSGVLGNSNVTNLVKIPPNSKKLTDASTSWTSLPPSLAKLGKELLRYRESAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQPSVEQFLTLHAALSRATMITDTLTKPTTSAASPDLSAASDAGTVASATDEEAAAVAAERRRRATSWVSAALATDLSAFGLYNLKPAPATVSSPLAVVVVDESSKPAAAAATPTKSSPSPKSRMSPAKGKARTGPGATAASAALTTTPAPPEWERGGGAEERGELARRLGEESRGWFLGFVERFLDADVAAAAPWDRERAARMLPQLKRVNDWLGEIGKRSEAPTPPQPDADGEATAASSAPVAANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMLGGGGGPTAPANGKKG >PAN13371 pep chromosome:PHallii_v3.1:2:47489090:47495368:-1 gene:PAHAL_2G331800 transcript:PAN13371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVVTSSSSFHGSKKTQPTNGLKDASSLSLEKETSKLEKINASRKPTGAENKKPMLTKSNSSLSKQALNGIGGSKKESVKSKVKPTITRSTPSSPTSVYSLPASFDRFSDDLKQRNKVKGAEKASSSRLSLLEKAASVLKVTTAGRKSSASNPISSSVLSIRSGPKALRRSWEGNVDIKGKGNSESRTLKPDRKSDNKIPVTPRQKSPVDEKVSHKDDSLIQKAGRKSTASAPSDDADKTAKKHTPTVKRTSGVLGNSNVTNLVKIPPNSKKLTDASTSWTSLPPSLAKLGKELLRYRESAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQPSVEQFLTLHAALSRATMITDTLTKPTTSAASPDLSAASDAGTVASATDEEAAAVAAERRRRATSWVSAALATDLSAFGLYNLKPAPATVSSPLAVVVVDESSKPAAAAATPTKSSPSPKSRMSPAKGKARTGPGATAASAALTTTPAPPEWERGGGAEERGELARRLGEESRGWFLGFVERFLDADVAAAAPWDRERAARMLPQLKRVNDWLGEIGKRSEAPTPPQPDADGEATAASSAPVAANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMLGGGGGPTAPANGKKG >PAN14640 pep chromosome:PHallii_v3.1:2:53172142:53175213:-1 gene:PAHAL_2G426000 transcript:PAN14640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGLPFHGVLLLCLCALSSCSPFEPSQGRNGDRAHGYRRFADVQRQCQPVLSTAVELRYYPEREPFFRRELERKLSFEKGDWRQQDAGHAPLLPFDGSDVATGGRVPPDPLRLATFVLTHVDADRVGPTAVNVSGVLVLSVARESAGDEIRPGARVPAASPEFEILPGSTKLKIPFEGVYTERVEGGGGGDGERVLCMVGSAVIPTRSTNDGAAGSSNWGQVSARTNLRPPVIADNNIVLVIRYPKWHTLTTRAVHGEMWSTNAVSDAAYFDTVRLMSKISAYNMGYQFQSDELVATACSPWPASDAMAVENHSGELHRGISMCEVLNRFATGATTWQCSSKDQPCRWPGPFEAGTAADGAGEALAVALQDVRCHPSSDADGSKYSARVSAVFRALSPREHRLTAVKRTSLDSKTLAVEGVWKATAGQACMVGCLGGGRNACHYRVCLYVPTTFSITRRSIILGRITSISAGGGEEETRPPLLLEQRVPSVRLWGVSDVFPFRMAYNYTKVEQAGEFLRRSGSAFSARDIVAKSLSLSYPKKGTTGDDEVTSLFRLGDELMLRFTALPDLFPSEWTERPALFLEMLSVEQAVGPITPPSFWHGSSMVPGDSGQPDEEPASSVRRSLWNVSAELRIVGKPFGWMTALSLEGVYNPEDGRMFLIGCWDVRLPGRNVSMSRDLEEGMDCSVEVKVEYPPTTTYRLIGSTAKVHITSTRSAGDPLYFGAVKLEALPLMYQKQWRDAGSGGVINGALCIVVLSVAIAASLSQLRYLKSHADVAPYVSDAMLAVQFLGYGLPLITGSEALLEKVTFGSQATKPPPSSSYAAAAGTDDEVYRAIGQMSRALLLAALLLTLRIGHKVRRSRARMLARSPLEPWRVPADRKVLAYSSGAPLAAFSLAVALNGQAMSVEQLVALTQDLFLLPQMIGNAVWRVNCKPLAGSYYLGITAARVLPHAYDYLRPPAVDPYSDQYSNEYSQMSRPVDLVVPLVAIVLALVVYVQQRWNYAIVSRMCKAEQKKLQHIF >PVH64334 pep chromosome:PHallii_v3.1:2:40554996:40555157:-1 gene:PAHAL_2G239600 transcript:PVH64334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRNPKLLTQLYLQRVQPGLLLEWRSLAPRITRNGRLKLKRVKASWKNMIN >PAN14571 pep chromosome:PHallii_v3.1:2:52872580:52877406:1 gene:PAHAL_2G420400 transcript:PAN14571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MDAPRAARLAVAARPPSPRAPARFPFASSTAPRRAGWWWCLRDPRADSSGGGSTFPSPGRCTRLALAHRNRKSPAANLGLPPPRPPRSPAAGIGRVTGDGGCEGRPLVECAGDRQTQEPAGVVEEKASEMSSTVPKSSNIFWHDCAVGKADRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRVENIRRVGEVAKLFADAGLVCIASLISPYRRDREACRALLSDGSFVEVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCEIEIKEVDGVCPTPSDMAGQVVTYLEEKGFLHE >PAN14572 pep chromosome:PHallii_v3.1:2:52872580:52877406:1 gene:PAHAL_2G420400 transcript:PAN14572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MDAPRAARLAVAARPPSPRAPARFPFASSTAPRRAGWWWCLRDPRADSSGGGSTFPSPGRCTRLALAHRNRKSPAANLGLPPPRPPRSPAAGIGRVTGDGGCEGRPLVECAGDRQTQEPAGVEEKASEMSSTVPKSSNIFWHDCAVGKADRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRVENIRRVGEVAKLFADAGLVCIASLISPYRRDREACRALLSDGSFVEVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCEIEIKEVDGVCPTPSDMAGQVVTYLEEKGFLHE >PVH63582 pep chromosome:PHallii_v3.1:2:4472191:4472547:1 gene:PAHAL_2G060500 transcript:PVH63582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGILGEIPGGARHIGREPPPAPQPYQAFTPGRLVAGGGEGGGGEGQEDLLSAVPGDVLPLVLLHLPSAAAAARISVLSWRWRRLWAHLPDLRFPHSADLARSRAAPAAHSPPPAARA >PVH65139 pep chromosome:PHallii_v3.1:2:53640491:53646490:-1 gene:PAHAL_2G432900 transcript:PVH65139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTNNGESSISDVPPATRQPPNVIVQYVSVRARRCNIYPSLRSETKQYARLLAGDMAKDDGPAETVEEKAAAPVLRSFASVFVHADAADVALMVLGLVGAMGDGMSTPVMLAITSRVFDDTGSGPDHLQQFRSKMNENVRNTLFLAAAHWMAAFLEAFCWTRTAERQSSRMRARYLRAVLRQDVEYFDLNVGSTSEVVTGVSGDTLVVQDALSEKVPNFVMNVTMFVASYAVAFALLWRLTLVSLPSVLLLIVPGFLYGRVQVGLARRMRELYARPGAIAEQAMSSVRTVHSFVAERATAARFSAALEESVRLGLRQGLAKGLAVGSGGIRIAIFAFNVWYGSRLVMYHGYKGGTVYIVSVIIVVGGGALGSALSNIKYLTEASSAAERIMELIRRVPKIDSESGAGEVLENVAGEVDFRNVAFCYPSRPKSPVFVNFSLHVPAGRSVALVGASGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLQLKWLRAQMGLVSQEPALFATSIRENIKFGKEDATEEEITAAAKAANAHSFISQLPRGYDTQVGERGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDSSSERVVQEALELASMGRTTIVVAHRLSTIRNADMIVVMQSGEVKELGSHYELNAQENGIYSSLVRLQQTTDVEMTEQVGKIGNTNILQQSSNQIMSKGFSVASRSSSTRSMGDAKDDGNSENSKLPVPSFRRLLMLNAPELKQASIGIFSAIVSGGIQPMFAYAMGSVTSIFFSTDHQEIKKETRTYTLVSAGLAVLTFLISIGQYYNFAAMGEYLTKRIREQMLAKFLTFEIGWFDRDENSSGSICSQLTHDANIVRSLVGDRMSLVTQTVSAVLTAYIMGLVIAWRLALVMIAVQPLDITCFYTRHVLLKRMSKKSTQAQSECSKLAAGAVSNLRAITAFSSQGRILHLLDQAQDGPRKESIRQSWFAGLGLGTSMSLLRCTWALTF >PVH65138 pep chromosome:PHallii_v3.1:2:53640380:53646490:-1 gene:PAHAL_2G432900 transcript:PVH65138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTNNGESSISDVPPATRQPPNVIVQYVSVRARRCNIYPSLRSETKQYARLLAGDMAKDDGPAETVEEKAAAPVLRSFASVFVHADAADVALMVLGLVGAMGDGMSTPVMLAITSRVFDDTGSGPDHLQQFRSKMNENVRNTLFLAAAHWMAAFLEAFCWTRTAERQSSRMRARYLRAVLRQDVEYFDLNVGSTSEVVTGVSGDTLVVQDALSEKVPNFVMNVTMFVASYAVAFALLWRLTLVSLPSVLLLIVPGFLYGRVQVGLARRMRELYARPGAIAEQAMSSVRTVHSFVAERATAARFSAALEESVRLGLRQGLAKGLAVGSGGIRIAIFAFNVWYGSRLVMYHGYKGGTVYIVSVIIVVGGGALGSALSNIKYLTEASSAAERIMELIRRVPKIDSESGAGEVLENVAGEVDFRNVAFCYPSRPKSPVFVNFSLHVPAGRSVALVGASGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLQLKWLRAQMGLVSQEPALFATSIRENIKFGKEDATEEEITAAAKAANAHSFISQLPRGYDTQVGERGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDSSSERVVQEALELASMGRTTIVVAHRLSTIRNADMIVVMQSGEVKELGSHYELNAQENGIYSSLVRLQQTTDVEMTEQVGKIGNTNILQQSSNQIMSKGFSVASRSSSTRSMGDAKDDGNSENSKLPVPSFRRLLMLNAPELKQASIGIFSAIVSGGIQPMFAYAMGSVTSIFFSTDHQEIKKETRTYTLVSAGLAVLTFLISIGQYYNFAAMGEYLTKRIREQMLAKFLTFEIGWFDRDENSSGSICSQLTHDANIVRSLVGDRMSLVTQTVSAVLTAYIMGLVIAWRLALVMIAVQPLDITCFYTRHVLLKRMSKKSTQAQSECSKLAAGAVSNLRAITAFSSQGRILHLLDQAQDGPRKESIRQSWFAGLGLGTSMSLLRCTWALTFWYSGILIAGHHITAKAFFQTFLILVSTSLVIADAGSVTADLAKGANAVASVFAVLDRETLIDPDNPVGYKPEKLKGEVNIRGVDFAYPSRPDVIIFKGFSLSIQQGKSTALVGQSGSGKSTIIGFIERFYDPINGVVEIDGKDIKTYNLRALRQHIGLVSQEPTLFAGTIRENIMYGRETASVEEIEDAARSANAHDFISNLKDGYNTRCGDQGVLLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALSRMLVGRTSVVVAHRLSTIQNCNMIVVLEKGIVVETGSHESLIAKGPAGTYFGLVNMQQGSNHTSSSVIGNKNP >PAN14696 pep chromosome:PHallii_v3.1:2:53448407:53449981:-1 gene:PAHAL_2G430800 transcript:PAN14696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAQQASLSCSTATWRELTNTSWRDDDYRRMVMAYLIEAVYLLELERQERRDAAAVAQQWWKPFQYRLAHELVDERDGSVFGAIFERDHHVDAAGRPSPSGAPSAVVAFRGTLLRAPTIRRDVEDELRLLARNSLRGSARLSRALQALRATIDRFGSENVCVCGHSLGAGFARQVGRMLMASSPRHPRQQHQQQQAAAAAAASLEFHLFNAPYLSLPKGVRSVVKTADCLLKALRSGAATVGRWHGKALRNVAYANCILGYTRLESSRKL >PVH64944 pep chromosome:PHallii_v3.1:2:51047489:51051893:-1 gene:PAHAL_2G390400 transcript:PVH64944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPHQPPMNGQQGPAPPQGSGAPAPAPPPQQQQAPPAPYYQQQQQYYQPGPPPQPWGQQQQYPPPPQQYAPPPQQYAPPPQQYAPPPPQQYAPPPPQQYTPPPQQYAPQQQYGTAPGSGEIRSLWIGDLQYWMDENYLSYQAFAAVAPQIANVKIIRNKQSGQSEGYGFIEFHSRAAAEYTLMNFNGRMMPNVDMAFKLNWASASAGDKRGDSGSDHTIFVGDLAADVTDSMLEEVFRASYPSVRGAKVVIDRVTGRPKGYGFVRFEDLNEQTRAMTEMNGMMLSTRHMRIGPAASKKNMDAQQTYTTNGAYQSSQGNLSENDPNNTTVFVGGLDSNVNEEYLRQTFTPYGEIAYVKIPVGKRCGFVQFTSRSSAEEAIRMLNGSLIGGQNVRLSWGRSPQNKQAPQQDATSQYNGNSYYGYQQQGYEGYGYSAPNAQDSSVQNYYGYPGYGNYEQQQAAPQQQPPPAQPAQEEEQQQQQQQQQPPQQ >PVH63317 pep chromosome:PHallii_v3.1:2:271778:273019:1 gene:PAHAL_2G003200 transcript:PVH63317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLSLLVLLLVVAAASATLTSTAAQLQYGYYNATCPGVEDLVREELLAMFAADMTLPAGLLRLHFHDCFGAGCDATLLLKSHNGTAQRDADPNSTVRGYEAIEAVKAKVEAACPLVVSCADLLAMAARDAVNFTQGPAYQVETGRRDGNVSRKEDAERFLPPADGNVTVLTQYFAAQNLSAKDMIVLSAAHTIGAAHCPSFSKRLYNSSGAGDQDPAMDPAYAKNLTAACPPGNVASVQPLDPVSPNRFDLDFYKSVANGTALLGSDAALLEDSLSFAYVQLMTNDSYLDTFFADFAASMINMGRIGVRTGADGEIRDTCAIYVD >PVH65263 pep chromosome:PHallii_v3.1:2:54617435:54619650:-1 gene:PAHAL_2G450300 transcript:PVH65263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSARRRRLSAQGGVGGGLDLIGGLHDDLLLQILGRLRCAAAASRTSALCRRWWGSGLWRHLPELSFRGIAHGAIEAALAQVALLKLSLLDIEITDRLPAEAVASLLRAAARLDPADLSIVVAWVVQTDEMVPIEVPSFPRATSITLRLHKLHLALPAQGVEFPVLERLSVTSGRFDTGALISRCPHLRVLELIHCWGIETITVHSPTIEELLVISGQLRGVDIVAPMLKKFTLRSDVSVDFGMSLLAPEVENLSWKCWSHGQFLLPGESLAVGIDGIWRLVRLELGTGRSGFILGLDIGRSYCVLQERNLQEMFPFPKLSILELCLDTRGHVYGGVVLNLLRICNAIQRLRLVINRDMWKDEVCPPDCPCDQPQNWRSQNISLMGLEVVEIKNFKGSGHEVDFLKLLFRCAPLTKVTVKLAPKVVPNSRGCKNVLKVFRGNPSADCHVYLKRGNEVIYRPASRCSRR >PVH63526 pep chromosome:PHallii_v3.1:2:3526415:3527702:-1 gene:PAHAL_2G047900 transcript:PVH63526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPERILPCGSNDGEGREDNRIQNHRLPLRGAIADRSSPPSYSGFLCPHCPSTSYHGTCLILVNLPSYVQV >PAN14392 pep chromosome:PHallii_v3.1:2:52019663:52023157:-1 gene:PAHAL_2G406100 transcript:PAN14392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEAAEVSTAARSSCSSPAAAEEGERRRGESESEGEEAGVAAVLDFDMLCASVALSAERRKGAAAAEAAGDCGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQGMVYFILLAAILVSLIAFSVTRHHIYLYMGLGSVLLIAIYTGYFRRRIRKQFNIRGTESSLDDCVLHLICPCCTLCQEGRTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFAALKKASLVPIKSPGLCGMDRTSNAADEHEPLVPPDQLDQV >PAN09471 pep chromosome:PHallii_v3.1:2:1846305:1852517:-1 gene:PAHAL_2G025800 transcript:PAN09471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVRSSSAAPPDPPPDPPPARSASPPPTPVASSAGASSPPSQANIASIDWLGSDQVSKAGSSHVAPPASQPALSTNADGAAADFFQSSCRPWERGDLLRRLATFKHSTWASKPKAASSLACAQRGWVNIDVDKIECESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWKGNSCADGLVQFHLTPSALVGGFKDRCDGLLQFVSLPVIASSAIESMKLTRNVQIDRVLSQSVTILSGELGYRTDSTTGIDISQQDETCCYSKAQKLISVCGWEPRWLPNVQDWEENSTRSARNAGSAEPDGQFHSQFAEHRQSSYSASVKKEKGKGKMRVKDSGCSMRSPLLDCSLCGATVRIWDFKSVPRPSHFSLNNIDMPDTGRKPVLTRGISATSGINGLVAEGAEKENVEGRDEAGTDERKSVSNAQVDLNLTMAGGLPSNHSALPPMPGHFNYGGMGRDLIIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDGDEVDDAAQDSGARSKRPRGFNLFDINRPSSSGAGPSRNLSFDLDIDVNRFDTSNAEGPSALHNPFPKDSMRASSVIAMDTVHSGEGNSMESVEYHPCDGDDVNKPSSALRSGGMSEALDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAEATPASARDQFSLGVSGGSVGMGASHEAEIHGTDISEHKTGSVVGDADPIPELIETMGHTGESAPGPALMDEFAPEEVGREDPHGDSQDMASRLAVRADSGSKICGSTKADSVESGEKMSHAIGPENSAHPSLSCNARVFSGIDASKEEVTGIMLTNDDYDPGNGLGTTNGENDYETDLPDFDPIKHHNNYCPWVNGNVAAACCINTGSSTALSGWQLTVDAIETLQSVGQAQNQTMQSDSAASLYKDDHAPPSRKLLKRANHSRS >PVH65053 pep chromosome:PHallii_v3.1:2:52467754:52470713:1 gene:PAHAL_2G413800 transcript:PVH65053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTVYRTRRRAIPSMIMDLPATTWLAFAVFFMVAAAIKITRRQRSAASPTMTRPLPPVSPGVPLLGDLPALLIKGPLALIRDHYTRLGSVFTVRLFHLKLTFLVGPDVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPVKLRTYAQLMVREVESHFARWGQSGTVNLKQELEHVVTLMTSRCLLGAAVREKMFGEVGTLLRELNDGMRLVTILLPHLPIPAHRRRDAARARLGEIFIEIVRSHMNRNDGRADDCHDMLQCLIDSRYKDGRCTTETEVVGMLVSALFAGQHNSSSAATWAGARLLTHTKHLRAAVEEQARVVARHGGRVDYDVLQEMDTLHRCVKETLRLHPPALMLLRHARRSFAVRTGDGREYEVPKGHAVASPLVIHNRLPHLYEEPDKYDPDRFGTRRAEDKTGGALAYVSFGAGRHLCVGEAFAYMQIKVIWSHLLRNFELELVSPFPQTDWNVVMPGPKGKVMVSYKRRQVPTAA >PVH65056 pep chromosome:PHallii_v3.1:2:52467754:52471059:1 gene:PAHAL_2G413800 transcript:PVH65056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTVYRTRRRAIPSMIMDLPATTWLAFAVFFMVAAAIKITRRQRSAASPTMTRPLPPVSPGVPLLGDLPALLIKGPLALIRDHYTRLGSVFTVRLFHLKLTFLVGPDVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPVKLRTYAQLMVREVESHFARWGQSGTVNLKQELEHVVTLMTSRCLLGAAVREKMFGEVGTLLRELNDGMRLVTILLPHLPIPAHRRRDAARARLGEIFIEIVRSHMNRNDGRADDCHDMLQCLIDSRYKDGRCTTETEVVGMLVSALFAGQHNSSSAATWAGARLLTHTKHLRAAVEEQARVVARHGGRVDYDVLQEMDTLHRCVKETLRLHPPALMLLRHARRSFAVRTGDGREYEVPKGHAVASPLVIHNRLPHLYEEPDKYDPDRFGTRRAEDKTGGALAYVSFGAGRHLCVGEAFAYMQIKVIWSHLLRNFELELVSPFPQTDWNVVMPGPKGKVMVSYKRRQVPTAA >PVH65055 pep chromosome:PHallii_v3.1:2:52467754:52470713:1 gene:PAHAL_2G413800 transcript:PVH65055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDLPATTWLAFAVFFMVAAAIKITRRQRSAASPTMTRPLPPVSPGVPLLGDLPALLIKGPLALIRDHYTRLGSVFTVRLFHLKLTFLVGPDVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPVKLRTYAQLMVREVESHFARWGQSGTVNLKQELEHVVTLMTSRCLLGAAVREKMFGEVGTLLRELNDGMRLVTILLPHLPIPAHRRRDAARARLGEIFIEIVRSHMNRNDGRADDCHDMLQCLIDSRYKDGRCTTETEVVGMLVSALFAGQHNSSSAATWAGARLLTHTKHLRAAVEEQARVVARHGGRVDYDVLQEMDTLHRCVKETLRLHPPALMLLRHARRSFAVRTGDGREYEVPKGHAVASPLVIHNRLPHLYEEPDKYDPDRFGTRRAEDKTGGALAYVSFGAGRHLCVGEAFAYMQIKVIWSHLLRNFELELVSPFPQTDWNVVMPGPKGKVMVSYKRRQVPTAA >PVH65057 pep chromosome:PHallii_v3.1:2:52467754:52470713:1 gene:PAHAL_2G413800 transcript:PVH65057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTVYRTRRRAIPSMIMDLPATTWLAFAVFFMVAAAIKITRRQRSAASPTMTRPLPPVSPGVPLLGDLPALLIKGPLALIRDHYTRLGSVFTVRLFHLKLTFLVGPDVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPVKLRTYAQLMVREVESHFARWGQSGTVNLKQELEHVVTLMTSRCLLGAAVREKMFGEVGTLLRELNDGMRLVTILLPHLPIPAHRRRDAARARLGEIFIEIVRSHMNRNDGRADDCHDMLQCLIDSRYKDGRCTTETEVVGMLVSALFAGQHNSSSAATWAGARLLTHTKHLRAAVEEQARVVARHGGRVDYDVLQEMDTLHRCVKETLRLHPPALMLLRHARRSFAVRTGDGREYEVPKGHAVASPLVIHNRLPHLYEEPDKYDPDRFGTRRAEDKTGGALAYVSFGAGRHLCVGEAFAYMQIKVIWSHLLRNFELELVSPFPQTDWNVVMPGPKGKVMVSYKRRQVPTAA >PVH65052 pep chromosome:PHallii_v3.1:2:52467754:52470713:1 gene:PAHAL_2G413800 transcript:PVH65052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDLPATTWLAFAVFFMVAAAIKITRRQRSAASPTMTRPLPPVSPGVPLLGDLPALLIKGPLALIRDHYTRLGSVFTVRLFHLKLTFLVGPDVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPVKLRTYAQLMVREVESHFARWGQSGTVNLKQELEHVVTLMTSRCLLGAAVREKMFGEVGTLLRELNDGMRLVTILLPHLPIPAHRRRDAARARLGEIFIEIVRSHMNRNDGRADDCHDMLQCLIDSRYKDGRCTTETEVVGMLVSALFAGQHNSSSAATWAGARLLTHTKHLRAAVEEQARVVARHGGRVDYDVLQEMDTLHRCVKETLRLHPPALMLLRHARRSFAVRTGDGREYEVPKGHAVASPLVIHNRLPHLYEEPDKYDPDRFGTRRAEDKTGGALAYVSFGAGRHLCVGEAFAYMQIKVIWSHLLRNFELELVSPFPQTDWNVVMPGPKGKVMVSYKRRQVPTAA >PVH65054 pep chromosome:PHallii_v3.1:2:52467496:52471061:1 gene:PAHAL_2G413800 transcript:PVH65054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDLPATTWLAFAVFFMVAAAIKITRRQRSAASPTMTRPLPPVSPGVPLLGDLPALLIKGPLALIRDHYTRLGSVFTVRLFHLKLTFLVGPDVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPVKLRTYAQLMVREVESHFARWGQSGTVNLKQELEHVVTLMTSRCLLGAAVREKMFGEVGTLLRELNDGMRLVTILLPHLPIPAHRRRDAARARLGEIFIEIVRSHMNRNDGRADDCHDMLQCLIDSRYKDGRCTTETEVVGMLVSALFAGQHNSSSAATWAGARLLTHTKHLRAAVEEQARVVARHGGRVDYDVLQEMDTLHRCVKETLRLHPPALMLLRHARRSFAVRTGDGREYEVPKGHAVASPLVIHNRLPHLYEEPDKYDPDRFGTRRAEDKTGGALAYVSFGAGRHLCVGEAFAYMQIKVIWSHLLRNFELELVSPFPQTDWNVVMPGPKGKVMVSYKRRQVPTAA >PVH65162 pep chromosome:PHallii_v3.1:2:53768925:53770717:1 gene:PAHAL_2G435300 transcript:PVH65162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRGAGGGVRLPPMNALEILRETVRVLRGDPHAFTSILFLLLCPASGCLLLSAAALDGTVVLPLARRLLVAAAASGLPLTHFVRQLAHHLAATLVSAVVSFPALLTLLLGARAAVAYSVAAVYAGKPLAAGDITLLARRAWPRLAATYALGCAAVAAGLVAFFALLVTACSTLKAMLYPPDIVVCAGLFTVLAYSVVYAHTIIICNLGGVIAVLEEVAGVNALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSAVFYFTCRSSSLDLLDKEGASVEELEMMRADNSDAVR >PAN10296 pep chromosome:PHallii_v3.1:2:6179076:6179701:1 gene:PAHAL_2G085100 transcript:PAN10296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVIGPLLGRIQQLVVSEGRKLAAVSEDIQSLRDKLILIQAFLWDADLRRRAMSDEFTRAWVQQIRDAVFDAQDSIDQYFFRVDLSRYVSYISFFHAHKTLLATCLF >PAN10443 pep chromosome:PHallii_v3.1:2:7261221:7263556:-1 gene:PAHAL_2G095500 transcript:PAN10443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGLQNASSIAQLAGVDAFVLITTIVRAAQTTRRNKKTCRELAEQLHETLRRACAVVESCRRGGYVRGLCAGGSRATSLRDLQSRIAFFLQLFPIISHLDSTRLLVQVIDSAAARAPTAGGEAGEGLQRSVANHSDPQDDDRVQNFSFSQLMNATNSFSFENQTEQGPLATLYKLFKNEVKILPKLQHNNIVKLKGFCAERSERVSRTVRMRGGFIVDWPSRFRIIEGVAQGAVYLHHHSRLRIIHRDLKPSNILLDSDMNPKIPNFDLAKVLSPGMIQDTAACVVGSETNYRDLLTWAFNRTPYGAKLVQRLKGFLPPSLHGISFCSRALPKCLSFPARRRTLSQQREMRRCVRKPERRPEMLEVTRMLSPRKARVPFPRRPGYAREGPIYAGDRSTTP >PVH63890 pep chromosome:PHallii_v3.1:2:11925310:11926628:-1 gene:PAHAL_2G132600 transcript:PVH63890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVAVHSSQQIYTRATNT >PVH65229 pep chromosome:PHallii_v3.1:2:54125348:54126922:1 gene:PAHAL_2G441000 transcript:PVH65229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLTAQGASKSSKSLFHTIKVRRGNDPSSDRKKRFKFFCGSRTEGAFVWVLCTDTSLPFNKYAFLTTHNSFSIRGPVAHREWCQGADAGRVQLPRRRLAVPLQRRQMLRLHRIRAGHRHDEGGRGVPVVEPVGDRDADPGGLRAFGARPAEAVPGRRPGEVPVPRLADAAARRGLAARPRHGGAGPPPPGVHLGPVEAGRGGHRVTVGPHGGEPVWRRRDGARRLLQPVGVGGARGQDEVAGARELLPHGPARGDGVRGALAGVGGDTLRTCHDAAGGRWANFVAVDYYRVLLALIFS >PVH65227 pep chromosome:PHallii_v3.1:2:54125348:54126922:1 gene:PAHAL_2G441000 transcript:PVH65227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADIFSAEWCQGADAGRVQLPRRRLAVPLQRRQMLRLHRIRAGHRHDEGGRGVPVVEPVGDRDADPGGLRAFGARPAEAVPGRRPGEVPVPRLADAAARRGLAARPRHGGAGPPPPGVHLGPVEAGRGGHRVTVGPHGGEPVWRRRDGARRLLQPVGVGGARGQDEVAGARELLPHGPARGDGVRGALAGVGGDTLRTCHDAAGGRWANFVAVDYYRVLLALIFS >PVH65228 pep chromosome:PHallii_v3.1:2:54126005:54126922:1 gene:PAHAL_2G441000 transcript:PVH65228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADIFSAEWCQGADAGRVQLPRRRLAVPLQRRQMLRLHRIRAGHRHDEGGRGVPVVEPVGDRDADPGGLRAFGARPAEAVPGRRPGEVPVPRLADAAARRGLAARPRHGGAGPPPPGVHLGPVEAGRGGHRVTVGPHGGEPVWRRRDGARRLLQPVGVGGARGQDEVAGARELLPHGPARGDGVRGALAGVGGDTLRTCHDAAGGRWANFVAVDYYRVLLALIFS >PAN11966 pep chromosome:PHallii_v3.1:2:39373141:39374991:-1 gene:PAHAL_2G232500 transcript:PAN11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVHAPHVVVLTSPGVGHVAPVAELATRLAALHGVTSTIVTYTNLSSPASSPALASLPPGISTAALPEVPLDDLPGGAHIVTRIITVVQRTLPHLRALLCSLLGSPAGGVTAFLADMLCPAALAVARELGVPHYVFYTSSLMSLATLLYTPELARTTTCECRDLPGPVVLPGCLPLHGADLVDPVQERTDPVYGLLVDMGLDYLHSDGFIVNTFDALERETLEAFKELSDKGVYAPAYAVGPFVRSFSDEAAKHRCMPWLDEQPDGSVLYVCFGSGGTLSTLQTAELAAGLEASGQRFLWVVRFPNDKDSSASYFGGKTTDHGDADDPLSYLPEGFAERTRPVGLVVPEWAPQVEILGHRAVGGFLTHCGWNSALETMAAGVPALAWPLFAEQRMNAVKLASEHVGLALRVSARREDGLVSREEVAAAVRELMVGEKGAVARERARELRAEARKASVPGGPAHQALAAVVDMWKCAAASPEVAAAAGGGL >PAN09177 pep chromosome:PHallii_v3.1:2:162256:163649:1 gene:PAHAL_2G001700 transcript:PAN09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMAKYYWATLGARTAAAAATSSSLRSWPPPAHHAGEPSWEELAFAQDAAGHLGGCVWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLRQCASPDHEVIIQDAQNKQQQYPSIAAAPPADHHQLLQPQPKAVLISAPKSTTCCDHQAACNKAEGAVISTTTTTSPSYISTIIKESKNKVVISIPAAATAGSKQALDQIDEEEEEEEEEIVADRRKRRRVVHQQPEAFFFLRPLASSKGVEQHDAKVQKVIRSPSPNSSLHQLAGRQEVDLELRLGSSSPKLK >PAN09877 pep chromosome:PHallii_v3.1:2:4102996:4106124:-1 gene:PAHAL_2G055800 transcript:PAN09877 gene_biotype:protein_coding transcript_biotype:protein_coding description:H-type thioredoxin, Regulation of the apoplastic reactive oxygen species, Stress respons [Source: Projected from Oryza sativa (Os07g0186000)] MAAEEGVVIACHTKEEFDSQLSKAKEVGKLVIIDFTASWCGPCRVIAPVFVEYAKKFPGAVFLKVDVDELKDVAAEYNIEAMPTFLFLKDGQKIDTVVGARKDTLQESIEKHVAAASASA >PAN11680 pep chromosome:PHallii_v3.1:2:35045759:35049107:1 gene:PAHAL_2G209600 transcript:PAN11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRIQKELMDLQKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >PAN11681 pep chromosome:PHallii_v3.1:2:35045185:35050662:1 gene:PAHAL_2G209600 transcript:PAN11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRIQKELMDLQKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >PAN12111 pep chromosome:PHallii_v3.1:2:40922402:40925474:1 gene:PAHAL_2G243300 transcript:PAN12111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRARRLHPALRRLLLPTAPAPSRAPPHPPHHPAAAQTSKPFQIPFRRHLGSPPPRTPPPASQPPAVISSELPAVSANSVCPGCGITMQSSDPALPGFFSLPSPKSPDYRARLAPITADDTLISASLKSGQLREGLEPSRGGEPAAAAAETAEAKGEGKVLVCARCHSLRHYGRVKHPDAERLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAAAGEAHSADWKHGAPANLPRALLVVTKLDLLPTPSLSPDDVHAWAHSRARAGAGADLRLAGVHLVSAARGWGVRDLLDHVRELAGARGNVWAVGARNVGKSTLLNAIARCSGVVGRPTLTEAPVPGTTLDVIKVDGVLGAQAKLFDTPGLLHGHQLTSRLTSEEMKLVQVRKEMRPRTYRVKTGQSIHIGGLVRLDIEELTVGSIYVTVWASPLVPLHMGKTENAETMIKEHFGLQLQPPIGRGRVKELGKWVRKEFKVSGNSWDTNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVVSRSSLVHERASIFEEAGFTVSQIVSQADSITNKLKGTKKTNKKKESRTSTSPITAPEAVKSASTIDA >PAN13795 pep chromosome:PHallii_v3.1:2:49415509:49416369:1 gene:PAHAL_2G364000 transcript:PAN13795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSPTAAMSRLLVVAVLAGFTVDLSAATDHIVGANHGWNPNINYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNETGYDNCTMDGVAGNWTSGKDFIPLPEARRYYFICGNGFCRQGMKVAITVHPLRHNVSSSNGSSGSTGAQGEASAAALRTRSALWLAAIAVAAAAVGAFC >PVH64469 pep chromosome:PHallii_v3.1:2:43915450:43916449:-1 gene:PAHAL_2G277400 transcript:PVH64469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVIPAPTLPCLVFDYDGEQQRTTLFSISDGAHRACEIEELRAELAHVARLGMLASDPATAATFLWNPPRAPGTAADRIAVPPLAQPPPLRSVCARSGDPCTAAGGRCTVLLAEPPQSTILWYCQAGGTAWTRHEYDLGSASIRVPEGNAWCKRTVNRLASCQDRFYYPHSSTQCGVIGFSPAGLPELSTVPMKMGGLMAAAATYIVEIGGDLHTVYVFRHGIDFTAVADVGVYRMDFARQDHVRVESIGDRAILAGSGSCFGGWCPATEFGLLPNTVYWMSSVDDRLHVFDIEVGAEEVHEPCKGVAVPSRKPFWIIPAHP >PAN10652 pep chromosome:PHallii_v3.1:2:8556814:8560039:-1 gene:PAHAL_2G108100 transcript:PAN10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDSKVRASHSTYSVLSNSNIRTVQPRAHYDIISRRSRSPRLGRYVDNEASYRSNVNNGQNPDGISRSEPEFANYQEYENPALSIEGNIVIGECHDAMQSSAEVKCPLCRGSVSGWIPAGDVRQYLDRKLRTCSHDSCKFVGTYEQLREHARNTHLLTKPAHVDLSRKRTWDRIEREQEIGDVISAIRSQIPGAIIVGDYVIETRDDMSPDIDSGDESSEEWWSDRGDVESPGSRLDSPSVWPNDTLGSPSIWQDERRNLPRHLPRNNRVSPRLSFSNRRSFHSDWQGIRQPRTQSLLRRGFSNSHPGHGSNYRGYRRTLLDRSYTGTREPGRSIDPSMEPRRRQRLRYTHRSHY >PAN10649 pep chromosome:PHallii_v3.1:2:8557086:8558360:-1 gene:PAHAL_2G108100 transcript:PAN10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKKSNRSTVTSCTALHMEWDRISCPICMEQPHNAVLLICSSYKNGCRCYICNTSHRHSNCLDRFRKMNGDSKVRASHSTYSVLSNSNIRTVQPRAHYDIISRRSRSPRLGRYVDNEASYRSNVNNGQNPDGISRSEPEFANYQEYENPALSIEGNIVIGECHDAMQSSAEVKCPLCRGSVSGWIPAGDVRQYLDRKLRTCSHDSCKFVGTYEQLREHARNTHLLTKPAHVDLSRKRTWDRIEREQEIGDVISAIRSQIPGAIIVGDYVIETRDDMSPDIDSGDESSEEWWSDRGDVESPGSRLDSPSVWPNDTLGSPSIWQDERRNLPRHLPRNNRVSPRLSFSNRRSFHSDWQGIRQPRTQSLLRRGFSNSHPGHGSNYRGYRRTLLDRSYTGTREPGRSIDPSMEPRRRQRLRYTHRSHY >PAN10648 pep chromosome:PHallii_v3.1:2:8557086:8558152:-1 gene:PAHAL_2G108100 transcript:PAN10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVRRSRSPRLGRYVDNEASYRSNVNNGQNPDGISRSEPEFANYQEYENPALSIEGNIVIGECHDAMQSSAEVKCPLCRGSVSGWIPAGDVRQYLDRKLRTCSHDSCKFVGTYEQLREHARNTHLLTKPAHVDLSRKRTWDRIEREQEIGDVISAIRSQIPGAIIVGDYVIETRDDMSPDIDSGDESSEEWWSDRGDVESPGSRLDSPSVWPNDTLGSPSIWQDERRNLPRHLPRNNRVSPRLSFSNRRSFHSDWQGIRQPRTQSLLRRGFSNSHPGHGSNYRGYRRTLLDRSYTGTREPGRSIDPSMEPRRRQRLRYTHRSHY >PAN10647 pep chromosome:PHallii_v3.1:2:8556733:8560241:-1 gene:PAHAL_2G108100 transcript:PAN10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVRRSRSPRLGRYVDNEASYRSNVNNGQNPDGISRSEPEFANYQEYENPALSIEGNIVIGECHDAMQSSAEVKCPLCRGSVSGWIPAGDVRQYLDRKLRTCSHDSCKFVGTYEQLREHARNTHLLTKPAHVDLSRKRTWDRIEREQEIGDVISAIRSQIPGAIIVGDYVIETRDDMSPDIDSGDESSEEWWSDRGDVESPGSRLDSPSVWPNDTLGSPSIWQDERRNLPRHLPRNNRVSPRLSFSNRRSFHSDWQGIRQPRTQSLLRRGFSNSHPGHGSNYRGYRRTLLDRSYTGTREPGRSIDPSMEPRRRQRLRYTHRSHY >PAN15412 pep chromosome:PHallii_v3.1:2:56792434:56795780:1 gene:PAHAL_2G486000 transcript:PAN15412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVVHRHFLLLAVALVALAMTLLLPAGAEDTILGHKCGMPAAGNASSDAYRSNLNALAAILVAGARANGSAVGAVGAPPDAAYGVALCRGDFTGDACARGLGDALRSAVNDSESAFGCGRQVRDVTLFYDRYQLRLSGVDFLSGDEPRWAGNNTNFVAPADAAQRFDGLVKELVTTIAAIAAERPDRYATGRSRFEEQRLTLYGLVQCTVDMSPERCRACLDGLISAFPATFRPSGQHGGRILVPRCTVRYETDDTFFNTANLSVDLHKPKPSKSWLWATIAVVSVLVLAASFLLHRWRKIRRKRELARLELRRLSLAVKSVINLWRMEEGNSGFSLYDLSQMKGATNGFSIENKLGQGGFGAVYKGLLPDGLEIAVKRLGPRSLQGLLEFKNEIQLIAKLQHRSLVRLLGCCIEGEHEKILVYEYMPNKSLDLIIFDSKKGVSLDWPKRLNIINGISQGLLYLHVHSRLCVVHRDLKATNILLDSEMNPKISDFGMARIFSSSVAESNTTRIVGTHSRVCLGWSLLCQI >PVH65409 pep chromosome:PHallii_v3.1:2:56792434:56795780:1 gene:PAHAL_2G486000 transcript:PVH65409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVVHRHFLLLAVALVALAMTLLLPAGAEDTILGHKCGMPAAGNASSDAYRSNLNALAAILVAGARANGSAVGAVGAPPDAAYGVALCRGDFTGDACARGLGDALRSAVNDSESAFGCGRQVRDVTLFYDRYQLRLSGVDFLSGDEPRWAGNNTNFVAPADAAQRFDGLVKELVTTIAAIAAERPDRYATGRSRFEEQRLTLYGLVQCTVDMSPERCRACLDGLISAFPATFRPSGQHGGRILVPRCTVRYETDDTFFNTANLSVDLHKPKPSKSWLWATIAVVSVLVLAASFLLHRWRKIRRKRELARLELRRLSLAVKSVINLWRMEEGNSGFSLYDLSQMKGATNGFSIENKLGQGGFGAVYKGLLPDGLEIAVKRLGPRSLQGLLEFKNEIQLIAKLQHRSLVRLLGCCIEGEHEKILVYEYMPNKSLDLIIFDSKKGVSLDWPKRLNIINGISQGLLYLHVHSRLCVVHRDLKATNILLDSEMNPKISDFGMARIFSSSVAESNTTRIVGTHGYIAPEYASDGVCSVKSDVFSFGVLLLEIISGTMTTGSYRYDGKLYKLIAYAWLLWKAGQWQELVDRCLIGNQEYHFTMERYVHVALLCVQESADDRPAMDEVVKMLSSGEGAVLPEPKRPAYFNVRPVGTEMSASCDMSISITLSR >PAN15413 pep chromosome:PHallii_v3.1:2:56792569:56794918:1 gene:PAHAL_2G486000 transcript:PAN15413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVVHRHFLLLAVALVALAMTLLLPAGAEDTILGHKCGMPAAGNASSDAYRSNLNALAAILVAGARANGSAVGAVGAPPDAAYGVALCRGDFTGDACARGLGDALRSAVNDSESAFGCGRQVRDVTLFYDRYQLRLSGVDFLSGDEPRWAGNNTNFVAPADAAQRFDGLVKELVTTIAAIAAERPDRYATGRSRFEEQRLTLYGLVQCTVDMSPERCRACLDGLISAFPATFRPSGQHGGRILVPRCTVRYETDDTFFNTANLSVDLHKPKPSKSWLWATIAVVSVLVLAASFLLHRWRKIRRKRELARLELRRLSLAVKSVINLWRMEEGNSGFSLYDLSQMKGATNGFSIENKLGQGGFGAVYKGLLPDGLEIAVKRLGPRSLQGLLEFKNEIQLIAKLQHRSLVRLLGCCIEGEHEKILVYEYMPNKSLDLIIFDSKKGVSLDWPKRLNIINGISQGLLYLHVHSRLCVVHRDLKATNILLDSEMNPKISDFGMARIFSSSVAESNTTRIVGTQ >PVH64570 pep chromosome:PHallii_v3.1:2:45778232:45779295:-1 gene:PAHAL_2G304400 transcript:PVH64570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALASASGDAAAAAGFVQPWLAVLGAALLSVWAVTLAVLLCGDGSGGKRRPRYSTAAGAGAGAASGGGAGCGASGGGGGCGGGGGGGCGGGGGGGGGGGGGGC >PAN14052 pep chromosome:PHallii_v3.1:2:50440351:50452644:1 gene:PAHAL_2G380900 transcript:PAN14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MSRWKEDTSPLPSCPRGAPNPSPLLPCKRPLQSPFPCPPPRRPLADVTGNALEQRGGGEPGGYGYSTPLTKAPRPCEFLLGDDDDMDEAFLREVDAICEEHARSTTRKEKEKKLAEENNGTKEGPPAAVAAMIDDAGPEIATLEDAFWEEVGAICEECDAQSDAKSHEEVKEEEEKEEEEEEESLVLSCGDASLPPAISITAEGGEFEDSFWKINAISKEHYTKSHAKCQEGMAELDKKDGLIALCGDASVSPVISIAKGAEELVDAFYGEVDATIHQGHADISAAKGEEEMKYMELEMEEDEGCAPKKYYEYLHSLNDKQREAACSDVAVPLMIVAGPGSGKTSTMVGRVLTLLKEGIPPSNILAMTFTTAAASEMRDRIGTVVGKAVAREIAISTFHSFCLHLCRTHAEKLGRTSEFIIYGHGQQRRAVIEAERLLENDKRSGADDTTKQYDGDIKISFKDKAKKWQKFIAQAKASGRTPEEYEKKGDLAGASVLRHYNEILRSCNALDYHDFINSSITLLTKFPEVYKECQDMWQAIVVDEFQDTSAMQYCLLKILASHNYITIVGDEDQSIFSFNGADVSGFDSFRRDFPYHKEIRLSKNYRSTRAIVEAATALIHNNTKRHHHKLVETDNPSGCKITVKECHSEDSQCAFVIDKIIEITSSSAESCDFGNIAVLYRRQITGKVFQVAFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKALLPSDKEEKKKMIDYVEKISLARKCSFVSAATDIFNAKVSGTFKRAQITQGRKVLSTLDSLSKLVEREQSVSVIISSAGDMLPQKYLLEKRAVVDFDNGKLLNEDSDIRSVLQFLMDDVSDFLSIHFSSSMEGSKNEERGCTSTLQAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTVDSNRQLLQPSRFLREIPVHLLEVQGEETLRKIPEQPSMDVSFDGPEGDTSIGKPIMEQNETSPCPELAQACLANDFLRRFDIDDRSVISHIFHHWGKKQAFQNPKRLLDKDVLRKLKSFLSGEEAFGYAQYVIKWEQIPIEKRSHLTRERQEHFLKQRIENSMGSSEPTAKQISYLRNLGCTITPTSRLHASHLIEKYKSL >PAN14051 pep chromosome:PHallii_v3.1:2:50440351:50452644:1 gene:PAHAL_2G380900 transcript:PAN14051 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MSRWKEDTSPLPSCPRGAPNPSPLLPCKRPLQSPFPCPPPRRPLADVTGNALEQRGGGEPGGYGYSTPLTKAPRPCEFLLGDDDDMDEAFLREVDAICEEHARSTTRKEKEKKLAEENNGTKEGPPAAVAAMIDDAGPEIATLEDAFWEEVGAICEECDAQSDAKSHEEVKEEEEKEEEEEEESLVLSCGDASLPPAISITAEGGEFEDSFWKINAISKEHYTKSHAKCQEGMAELDKKDGLIALCGDASVSPVISIAKGAEELVDAFYGEVDATIHQGHADISAAKGEEEMKYMELEMEEDEGCAPKKYYEYLHSLNDKQREAACSDVAVPLMIVAGPGSGKTSTMVGRVLTLLKEGIPPSNILAMTFTTAAASEMRDRIGTVVGKAVAREIAISTFHSFCLHLCRTHAEKLGRTSEFIIYGHGQQRRAVIEAERLLENDKRSGADDTTKQYDGDIKISFKDKAKKWQKFIAQAKASGRTPEEYEKKGDLAGASVLRHYNEILRSCNALDYHDFINSSITLLTKFPEVYKECQDMWQAIVVDEFQDTSAMQYCLLKILASHNYITIVGDEDQSIFSFNGADVSGFDSFRRDFPYHKEIRLSKNYRSTRAIVEAATALIHNNTKRHHHKLVETDNPSGCKITVKECHSEDSQCAFVIDKIIEITSSSAESCDFGNIAVLYRRQITGKVFQVAFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKALLPSDKEEKKKMIDYVEKISLARKCSFVSAATDIFNAKVSGTFKRAQITQGRKVLSTLDSLSKLVEREQSVSVIISSAGDMLPQKYLLEKRAVVDFDNGKLLNEDSDIRSVLQFLMDDVSDFLSIHFSSSMEGSKNEERGCTSTLQAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTVDSNRQLLQPSRFLREIPVHLLEVQGEETLRKIPEQPSMDVSFDGPEGDTSIGKPIMEQNETSPCPELAQACLANDFLRRFDIDDRSVISHIFHHWGKKQAFQNPKRLLDKISFVIDERLRGKGYKRKDVLRKLKSFLSGEEAFGYAQYVIKWEQIPIEKRSHLTRERQEHFLKQRIENSMGSSEPTAKQISYLRNLGCTITPTSRLHASHLIEKYKSL >PAN15445 pep chromosome:PHallii_v3.1:2:57016350:57017512:1 gene:PAHAL_2G488600 transcript:PAN15445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAWFYKLRRKRGGAIAGGDPDDGADKPVAPAPSPCSPNRASYYVPSRDRALPQRPRPAREDNPKLQDTQFPRSPHPSDIVFDVVARRDDRFKAMPELKLRPILTKPAARADAGAASDSSSAAASPTARVRPRFHARPPQSRRRKAEEEDEACRQKSRRRRRRRASRLRSWMYESLVVVKDSADPEEDFLESMAEMIAANGVRSPRGLEELLACYLALNAPDHHRAIVAAFRRAWVHLHRVPPTPRCMHESRLD >PVH64979 pep chromosome:PHallii_v3.1:2:51421945:51423992:-1 gene:PAHAL_2G395800 transcript:PVH64979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLHNHIPAATAITSWGSDQMSSTSQMASLAVLVFLICATLASGAASVLVGLTRIHADPGIPASQLVRDALRRDMHRHRHRSAGRALAAADGAAATTVSARTRKDLPNGGEYLMTLAIGTPPVAYPAIADTGSDLIWTQCAPCGGQCFRQQAPLYNPASSTTFSVLPCNSSLSMCVGALAGAAAPPGCACMYNQTYGTGWTAGIQGSETFTFGAAAADQARVPGIAFGCSNASSDDWNGSAGLVGLGRGALSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGPSASLDGTGVRSTPFVASPGKAPMSTYYYLNLTGISLGTTALPISPNAFSLNADGSGGLIIDSGTTITSLANAAYQQVRAAVKSLVTLPAIDGSDSTGLDLCFALPSPTSAPPTMPSMTLHFDGADMVLPAESYMISGSGVWCLAMRNQMDGAMSTLGNFQQQNMHILYDVRKETLSFAPAKCSTLRPDLFAANYGGPVGSQPEI >PAN14783 pep chromosome:PHallii_v3.1:2:54280349:54283982:1 gene:PAHAL_2G444100 transcript:PAN14783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGRGRGGRGRGGGFGYDHPAKHVPHEDFPDITLPEMTCAKASDEEKALLLSTLKLEEFWRTSCYHLEEDAPKKKNEDKEIERFSDRKRKTHNKREALASYLKLTPSNFPAELVQGSRRGQVSNKKLRWDKDSDEHAFEVFEKLEEKHKDGSKVEKEGDDEEEQEEEEAQEEEENSDDDYNQNIEFDDDDDDWNQQEEAHEDFYD >PAN11072 pep chromosome:PHallii_v3.1:2:13139597:13141154:1 gene:PAHAL_2G139700 transcript:PAN11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKKGPWSPEEDSKLKEYIHRNGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDRIICNLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMHGLQPYNHHSSKQQQLLFVTASPAAAAPPEAARPLSLQHLSSPHDYSHHYSGSYGSNNSTMSVLSAAAGSRGFLLNGEHHTTITSCLDSGGGGLGLYFDELCATTSSSVHGQGLTAESFVFGGFQQLLEEDHHKALLLAAGAPNQLDQQYSAAASSCYDEAKPPLVSLTDGGNGAGGTSTSNSFFYDSSYSNNES >PVH64216 pep chromosome:PHallii_v3.1:2:36758956:36759671:-1 gene:PAHAL_2G216300 transcript:PVH64216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRLREQAPPVSSAPTNLSGNCREQAPPQPNRPSPSLNRPLPPPEERRGRLQQRSQTISLQLEESCYSLHRRRGGVPLPPANLLHPLRFLQRAKWVLKMKR >PAN15439 pep chromosome:PHallii_v3.1:2:56936064:56938429:1 gene:PAHAL_2G488000 transcript:PAN15439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINPILAPPCSLPLASIPLARSLRRHPPKPPQNPRKMRNITSFPPARVPMNGEPEPQDLAPPSAPGGLEARAEVDTSAPFKSVREAVDHFGGSTAWSSHVIRRMFAPPKKQEIEELTNLEEQTAQLEKELSIKERETLDVLKELESTKKVIADLKLKVQNEEACTFSISEETDQVEVPTEEGPAEKQSENVLEPEVRMPDQDAQPQQAPCSSVLKELEQAKANLNRTTSDLAAIRASIESLQNDIAKEKVLVERSREKVRANTTLISSLEDELDQTTQKLQTLRDLQRRRQDPSDIFIEIKKMTSELEQLRNTANASKSEAVMLAAEIEQMRASIGTAEVRCLAAKKIEEAARAAEALALAEIKILLSNEASSAEGLQGADGMDLSLEEYSELAAKAQEAEELSRKKIEAAMVQVDEANQSESILLKKLEEAQLQVDECKKALQVAHKRVDAANRGKIALEEALHRCRSATGQKRRSFHDHPKFKHAAPRCKVSHSLDIMDVSNGSLKPTLSIGQILSMKLMGPDGYDKSVWEDTSETSNVSLGQILNRRRTIVYSSDAAPHKKFSGKRKKFAFTGLSVLLARQAKSKKKKESH >PAN11098 pep chromosome:PHallii_v3.1:2:14676636:14680632:-1 gene:PAHAL_2G147300 transcript:PAN11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRHKLSDGQFTLTHVDEFIDELLTKDYSCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEEEQPMEIDEPNGCEKDNHRGRSPARERDRDRDRKHERHHRDRDYDRDRGYDRDYGRGRERDRDRDRERDRNRDRDRDRHRLRDDDYSRDRDRDRERDGRERERRDRDRGRHRSRSRSRDRRDRDREDGEYRRRRGRGSVSPRGRGEDGITREEPKRKKEKKEKKGEGNAPDPNDPEIIEMNKLRASLGLKPLK >PAN13740 pep chromosome:PHallii_v3.1:2:49246385:49251857:-1 gene:PAHAL_2G361800 transcript:PAN13740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSAPFFGLGDTQMQPQGPSLQQNSAAAAPGAAAAPPKKKRNQPGNPNPDAEVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALARESAQMPPLGAGLYVGTGSMSLGLSGGAAQMHGFADQAGQSSSAAAAAQFDHIMPPSSGPSLFRSQASASSSSFFLGGGAPTPAQDFSNDPQGGQGSSLLHSKSSFQGLMQLPEQQHQSGSSNANGNNLLNLGFFSGNGGGGSAGGQDARLVVQDQFNGSGGGGGNADHGSVMASMGSHLSCSSFPYSSPSAGLAQNSATALLMKAAQMGSTSSAQNGPSALLRAAGFSSASGQGASRAAGEGTSSHEAHFHDLIMNSLAGGGGGGGGGFSSGAGFGAVDDGKLSTRDFLGVGRGSMAPPGLHIGALDPAQMK >PAN13276 pep chromosome:PHallii_v3.1:2:47029368:47030651:-1 gene:PAHAL_2G325100 transcript:PAN13276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAITPVGGGRDDAAPHLDDGVVSEFLHRLPTRDAYRLTAVCPRWRALISSPAFLSRHLSPRPLPLLDGLPDAIVLQPKKLGYTHLMLVATDPAVHVALNMARLPKYTVWDRYRPPRTKLLPPSTPVMELGDHAAVFFERTVPMLDFTIEASHGRLLLGRGRTRYFVCDPAANRWLALPPPAAIPPTRDTACGFCYDVDAETGRVSFTVVLLARARFRRVLVETFSSATGSWATTMLDAKGVARCLGTASPGIHVGTCFYWLSRQRGRVLSYDAARGRARVVREPPDAEGSVERTARSLGSTGGRLRLCGFDIRDDNPSALPHYGLEGVHGVWVMDDAGAWRRVHEAVVENIQAWYFKRLLGIEKPLDFAGAPGGYIVLDKDSILLRYDLESGEKVDLVRFYRDDGCIGALYHRSHAFPFYRSG >PAN10178 pep chromosome:PHallii_v3.1:2:5749153:5755531:-1 gene:PAHAL_2G076400 transcript:PAN10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGGVGVGGGRAKVTPNLAVDGEGTRTLNLTVLQRLDPAVEDILITAAHVTLYDFDTDVNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNSAQEVIGIWFYNSQECQEVANLFSRILNAFSKVPPKPKIPSVQSEFEELEAAPALVEGPLEPQTSNIISATTHVQEDPLSAFFSAAANAGGTASVAVAGQSSQSFGAIPLSHAPTSTITSQSPGLHHLLPSQASSVSGIPTDVHGGTGPIVRSTSLVNPSHFSPLTSAQTAMVRSNSAVPTAPPQHPRTAQQPQSAPLLQPFPLPTTSPSPPYGTPLLQPFPPPNPSPSLASAPVYNPALSRDKVRDALLRLVENEEFIDLVYREIVNRQ >PAN12566 pep chromosome:PHallii_v3.1:2:43642705:43643085:1 gene:PAHAL_2G273900 transcript:PAN12566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRIDGLLELQAAAVAVNYLLPGGQRPVLPLLGSYEQSECPAVSLVAVGTDSATRPRWPFPPPPATPPPCRCSRRAAGVAAVLWKKEAAERDRSIRTAAAATFFPLPPPISIDRLPCWSMNRVRD >PVH64489 pep chromosome:PHallii_v3.1:2:44326505:44327811:-1 gene:PAHAL_2G283200 transcript:PVH64489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLIGHVAPGAGFLLIGLWQLFSHIRLFLLRPSSYSAPVWFPVRGVRHLDLILIIVGTVISILMELAIGPEKHQPFDDDGTIPSNHLHNFEHASISLALLVFAAVTIHLDRVRAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTLLGIPYPRSFTVSLVRSASLAFQGVWFIVMGIMLWTPALIPKGCFLNLEEGHDVVRCRTDEALDRAKSLVNLQFSWYLTGTVVFVIIVYLQLTKLYPEEPRYVPLVKAGSGSGSDSDTGRFSIGDDHDDEDDLEAAKRGFGQVVRGTRHMEIER >PVH64670 pep chromosome:PHallii_v3.1:2:47367281:47371227:1 gene:PAHAL_2G329700 transcript:PVH64670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSMQVWAIRLCGPGATPRRRLLVNCEAVDSSLPPPPPPTTLSSSSSAHRTHHQITWPAAASLRPPLPMDAAIAFTSARNPSPARFSSSSASPSSRVPQRLCVCIPWLGRERRLRGLRPQSQVQPPGAMSADASHHDVVVVGAGIVGLTIARHLLLHTPLSVAVADAAVPCSGATGAGQGYIWMSHRTPGSDMWELAARSKQLWEELAAEVDGQGGGGAREKLGWMRTGSLLVGRTSEELATLEERTKALSKAGIHAEFLSASSLHLLEPALSVGKDGGAMFLPQDCQIDAFQAVSLIEKTNNSYSSEGRYMEIYNDPAISLIRSEVTGTVEAVQTSRNILYGRKAIVIASGAWTRSLLHSFLEPALTLDIPVKPRKGHLLVVENFDKVKLNHALMEVGYVDHQVAKSNHTHMASESSEDEPGALSISMTATVDAKGNLVLGSSREFKGFSREVDKSVVKCIWERAGEFFPAMKNVPLDIDHNTQIRIGHRPYMPDGKPVIGFIPDLPNVLIATGHEGNGLTMALGTAEMVTDMILGNPGKVDCSPFSIKHRFS >PAN14044 pep chromosome:PHallii_v3.1:2:50413490:50418940:-1 gene:PAHAL_2G380500 transcript:PAN14044 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MASTQIRHLRPLFLLVLVASLRCGAVAGDGDGGEGFCSAEPSSECSGGQPLYWKVTHPTLAPAHLQDLPGFTRSIFKRDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYMANMQDGSTSALPLKDVERLIFVLQGSISLSVETGTTHSLLVDSYAYLPANIKHSMISDESTTLVIFERRYTATEDPPPDLIVGSTDKQPLLETPGEVFQLRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDTIWMAPFVPQWYAALGKTRTRYLLYKDVNRNPLI >PVH64948 pep chromosome:PHallii_v3.1:2:51084159:51084608:-1 gene:PAHAL_2G390900 transcript:PVH64948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVKRLRILGEEGSYRSGVHELKHNIRVPLRDPYDEGKFEHEDPKTPASSPPYQDGQDWSDAVLEDDGDIRHWPPEEDSQLKYEELKDLACEDNMEQEEQAEDIKVRKAEMNLTKDLLSVVRSSIPRFSIAVYW >PAN15087 pep chromosome:PHallii_v3.1:2:55343825:55345374:1 gene:PAHAL_2G463500 transcript:PAN15087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLGVGVLILAALLGPATAQAGSGYVEYTAAAPVMTPEGAPTSTPVPPTQTPPPPGPGLRFGFYRRSCPPAEYFVREVVAKAIRKNPGIGAGLIRMAFHDCFVQGCDGSVLLDATPANPRPEKLGPPNFPSLRGFEVIDTAKALLEKFCPGVVSCADVVQFAARDAAFFLSGYRVSYRLPAGRFDGRVSFENETLAFLPPPSFNLSELVENFRVKGLDVDDLVVLSGSHSIGRSHCSSFSDRISTPPSDMDPGLATVLRGQCPSNPNFTNDPTVVQDIVTANRLDNQYYKNVLRRKVLFNSDAALLTSTQTASKVQENAVIRGRWERKFARAMVKMSLIEIKNFANGEIRKNCRVVN >PVH63466 pep chromosome:PHallii_v3.1:2:2461774:2462007:1 gene:PAHAL_2G035100 transcript:PVH63466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKALHLQISTQRKFRRFDDPQEKSTSLLTYYRYSIPCFCDLDWIDLHTPVSLPSLQ >PVH64274 pep chromosome:PHallii_v3.1:2:38547946:38548332:-1 gene:PAHAL_2G227100 transcript:PVH64274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKVSASFHPCLSLSSRAHGGRSSMSAGARASLVTSISSNSKVTLSFSLTHCPYGSSQWRHALIAFLFFLQKKPLSGDLLEHLSSRMDEASQTLKDVLQRFLDALVDSTFKFTDQPLDPSEVLYFIQ >PAN11759 pep chromosome:PHallii_v3.1:2:36665862:36666558:-1 gene:PAHAL_2G215100 transcript:PAN11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAAVVVALAATAAVAGAAETYYASVENQLPAQGMKLVCQAIGGMFLTELSVVPRGRVPHGKAGRRIAELMVEDGRNGWVRCNWAYAGNYVAGLTLLDSRWPDAKKCQDPAGQGLCRVVFEHDAMILKTPDGGERVIGDLPVKRCRRHWLLFSTECSYPDHPHPYVGRRLGNAFQYFAI >PVH64484 pep chromosome:PHallii_v3.1:2:44234871:44242373:-1 gene:PAHAL_2G281900 transcript:PVH64484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQRRRRRAAPAADRLTSLPEPLIDGILTRLDFRDAVRTSALSRAWRRRWESLPTLSLSLLDGLSTLPTTVDSVLIRYAGRIRDVSIRINNAYSVCRIDDWLIALSRRGVRSMDLRVDCGLSINSSIYSFSNLVTLKLHGCYVPSTPVGFAGFPVLQELKIADVQFSVNLELEAIIGRSPLLAVLELSDVYIPSDEPCMIAAPNLRSLTIVSVADYYAWEFGELPRLDNATIDFDTYVNGNDFGVFVAGVAHARKLTLSTFYQPYFGDILLETLPYTFANLRSLFLSTHFEMYAILSTFCLLRNAPNLEELEIAIINNQEQETEANAEFQNTQWTDGMCASLQVVKINDISCFSNEMCFIELVLSKATALRKMSISLGDQCSMSEENALSKLYTYRRASPSAQVFFKGITELIDWVRIV >PVH65340 pep chromosome:PHallii_v3.1:2:55553099:55556882:-1 gene:PAHAL_2G467600 transcript:PVH65340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLGGTDAVFLSLIHAFSWNPAAFLHAYTCLPLSQSTRQAASAVLQDVADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYVTLLTTRSDAFYDLKDSRSLIQNVLLKSNVLIEVQRSLHESALHVEDLPIDPSSQSASQPPQSSQDMSSQSLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPSSISNQKQQKRLYKAYQKLYASMHDKATGPHKTQFKRDEDYVLFCWITQDFELYAAFNPLADKTQAIKMCNRVCQWVRDLENEIFVYGESALSW >PVH65031 pep chromosome:PHallii_v3.1:2:52012622:52013757:1 gene:PAHAL_2G405900 transcript:PVH65031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFMCFGGSAAVADDDAAGGIEAAAVAARRRRHQLGSFRRKFLSGSSKGKTKNPSPGPEPAPPPEAKGRGVDAVACGLIIRASAASSLVPSSALLSSEASLGSDPCSASSRSSAFSASSSVSSSASVSGVLFPPPAAKRQAGKGSSTSPAAGAAAVVLCLLMVVFCGRVGATVLTSTALYLFPRRWPARPTHKEDGVELMECDAEEETANRKGGYGNTEGFLVTNRNS >PAN14552 pep chromosome:PHallii_v3.1:2:52784160:52787087:1 gene:PAHAL_2G419300 transcript:PAN14552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQPAAPPPYRPYRQVRAATPHTRAVSCVRFSPCGRLLATASLDGTVALLSPSSLAVIAVLRGHTDGISDLSWSTESFYLCTASDDRTLRIWDIRPVLAGGAQADPGADRCVRVLKGHTNFVFSANFNPQTSSQIASGGFDCTVRIWDVKSGRCTRAIDAHSEPVTSVHFIRDGSIIVSGSHDGSCKIWDAKTGACLKTVIDDKKPAVPFSMFSPNGKFILVATLDDSLKLCNFATGKFLKVYSGHVNRVYCIQSAFSITNGKYIVSGSEDNCVYIWDLQGRNILQKLEGHTDTVISVSCHPTENKIASGGLDNDRTVRLWVQDS >PVH64666 pep chromosome:PHallii_v3.1:2:47243221:47243992:1 gene:PAHAL_2G328200 transcript:PVH64666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSPCRSLEIILGKHLHHQLSSMSKMRMCKAPELLKKAATVFRSKTEALRTKLVVLASLRRKLAVVRAISHRIHALVSSDRGKQARLEYGDGTLVLRKVATGILHQEQADLGHDDGVIDLSEVAMFEEDDRGYPDWTHSLFNDDEDDEGHDDNGDLDVLGEPSVIDVIRSNREVEGLEFNMDDEIDQACDMFIRRFRKRTNRSF >PVH65319 pep chromosome:PHallii_v3.1:2:55279830:55280214:1 gene:PAHAL_2G462100 transcript:PVH65319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPVRIHSLKSMSQATMTSRRNFWNPWLNLSSKIAIAGGREKEKRFQSCI >PVH63313 pep chromosome:PHallii_v3.1:2:185177:189499:1 gene:PAHAL_2G002100 transcript:PVH63313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPHPLSWADAPPYHYHGTTRHPAPTKGDGAGAGAAEDGARALWIGGLLHWMNEDYLYCCFTRSPELLSIVVMRSKHTGQSEGYGFLNFTAHTAATHILKSYNGQKMPNADQDFSLNWAAQQPAPVELPHQESKLDCATQQDGHAGGTAASEHAIFVGDLAYDVTEYMLHHLFKSRYPSVKSAKVIFDKLTGRSKCYGFVHFGDVNEHAQAMTEMNGAYCSTRPMRIAPAPNKKDFVHSTQGTDSYHDPNNSRLFVGCLDKSITDDDVLRAFSPYGELVSVKVLQGKGCGFVTYSNRASAEEALRMLNGSQLGGNAMRLSWGRPSANKQVPDAYAQKGHPGYGYYQQQLPTVQ >PVH63312 pep chromosome:PHallii_v3.1:2:185177:189499:1 gene:PAHAL_2G002100 transcript:PVH63312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPHPLSWADAPPYHYHGTTRHPAPTKGDGAGAGAAEDGARALWIGGLLHWMNEDYLYCCFTRSPELLSIVVMRSKHTGQSEGYGFLNFTAHTAATHILKSYNGQKMPNADQDFSLNWAAQQPAPVELPHQESKLDCATQQDGHAGGTAASEHAIFVGDLAYDVTEYMLHHLFKSRYPSVKSAKVIFDKLTGRSKCYGFVHFGDVNEHAQAMTEMNGAYCSTRPMRIAPAPNKKDFVHSTQGTDSYHDPNNSRLFVGCLDKSITDDDVLRAFSPYGELVSVKVLQGKGCGFVTYSNRASAEEALRMLNGSQLGGNAMRLSWGRPSANKQDQQNGGQYGRPKAFDPSGFGWSPQVPDAYAQKGHPGYGYYQQQLPTVQ >PAN14085 pep chromosome:PHallii_v3.1:2:50600844:50601988:1 gene:PAHAL_2G383200 transcript:PAN14085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPHPTDRQAPSPPAPSMFAPAPLPTPHPTRSTYPPLLELGRGGLDSQCRQPDLLTSRPDSRLLRRISPTPAMIRPAPATPHSRQPPMAPLEHGGTQGLRADGVAQALPSLCPSVPTACIRCKRLGHCHLEKVGHTPHTSYMRSSHLAMGGSARDQEEGERLGKRGGPRETGQEEDDGDGCDWF >PAN10046 pep chromosome:PHallii_v3.1:2:5041108:5046023:1 gene:PAHAL_2G068700 transcript:PAN10046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGAGVPMDAGQDLWDWEVLPDKRSFSMGHGSMHLNDQETEEHVLPPSGDVAVADEPDVECKDIGVVLDETKPVPSVADLMVSDKDEEEKAFESSDAKEADDDKFAEEEEEDVKTENKKARPECVVFSVGKLKVNGIGALCSFGFAAATVCIFLIGGRLQHHHRQQQQKIQLQFYGDDKRIQQVVQQTSRLNQAMSSVMGAGGASTRANISFGGFYDGF >PAN13172 pep chromosome:PHallii_v3.1:2:46622627:46624603:1 gene:PAHAL_2G318800 transcript:PAN13172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPLLAMARKGGPRDRRPIHPMTGKPLDLEGVTIVDDSNAPEGDAEETKDEVAS >PAN13967 pep chromosome:PHallii_v3.1:2:50111625:50114009:1 gene:PAHAL_2G375700 transcript:PAN13967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTMQLTGLLGLSSPPLAQSHCYSCSGARKQSCSLRAPRRQGRRLRAVEMGAPGGEPPAGAPEDPSVDFAFVSPRLLPDGTPDVHYRTARGGQKLRDIMLEGYIDLYGPYDKLLLNCSGGGVCGTCIVEVVQGKEMLSPKTEVEKEMLKRKPKTWRLACQATVGNADSTGQMVIQQLPEWKIHEWDK >PAN15311 pep chromosome:PHallii_v3.1:2:56382210:56384246:-1 gene:PAHAL_2G479700 transcript:PAN15311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVPVVVLLLLCMAAAAAAQLSPTFYDTSCPNALSTIKSAVTAAVNKENRMGASLLRLHFHDCFVQGCDASVLLADIPGSFTGEQGALPNVRSLRGFDVIANIKAQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTASQTLANNDLPPPFFNLSDLIGSFGNKGFTVTDMVALSGAHTIGQAQCLNFRDHIYNDTNINPGFASSLKANCPRPTGSGDSNLAPLDTSTPYAFDNAYYSNLLSQKGLLHSDQELFNGGSTDNTVRNFASNKAAFSSAFAAAMVKMANLSPLTGSQGQIRLTCSKVN >PAN15600 pep chromosome:PHallii_v3.1:2:57754822:57758383:-1 gene:PAHAL_2G500900 transcript:PAN15600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTTQSKWLDANLQDMENRVKIMLKLLGQEADSFGKRAEMYYRTRPEVISHVEQVYRAYRALVERYDHISKELHKANHTIATACPEEVQYAMLQEEDEDGDLPKAITPINSNKKHKSTVEEILNRKRQGPSGQNKLASAPHMTTEEAQEEISRLQKAILVLQTEKEYVKSSYESGIARYWEIEKQIADTQEEICLIQDKFNAHAAIHDDEARALMTIAALRSCQGTISRLVRHFEELIRIAAMEMEKTKSLQAQLYAMNGNTDTSPKDTSSTEALVSTRAYPVTQRILELQPIYEKIDKFFTNGSESSVEEIADNVDELVDKVVNLELKFPKQSAQINQLKQENERLKNKLDELQDEMALRDDQSDLNAQLKPLEDELNGAQILERSIVEEEVSVSVCFSEVFSCIINISKALGSVDPEDLYNLSTDVGDGAMVSTDMNLEYFTEESKSGEFIDIEAPTLSDRLGQDREDVSEVVNDNGNDGIHGSKNGDGEKFPTENCILVRNKMSMYSDNHIDQFVSSGNENGVDNSGEGNADISSEEVCQGSSENEIGSGNFAQGNIFKGEYPLRIISQTHLLHSGSIDTLDKKYDSNEQGSSTEQIGPVASSDSNTLKEKDPLEESSLQEATCFSGLDKSLDSQHTNEARSVEELPNQGGHLNSPLNIESLNKCSQVVAPKGDGCILLGHVDSIQYTKNRINADAYSSDVRDETSLCVPAGDSEETEGSHRQVLEDLTDSENVASDIRYSQLEKKSSNGRELASKATTSSDHGGKSHGEKVAMMGEECVPSWQEFLLDGLEGREAILLADYTSILRNYKETKRRLTELEKKNQEHLEETKSVIRELRNANSMKYVEIQSLRNLLDSSEMPPSKAGSNLTAFSSMRSFREINRSNCTLDREVSTVEESSFSNIEAAENTSAFEARFRNDIDTLVEENLQFLVRYSMASHHMQEFDRRYQEVQKEMEDTEDKKTGESDIAPEPEPAEKKLRELRTELDVWFEQNALLDQELQLKSASLCRLQEEIAEALRGSSEMVGARFTPYEAAKFQGEVLNMQQSNSKIESELQAASERMRGLQAKVNDALHGSFEISSRRLSQPETESSHEKQFKHFPSRTRVPLRNFLFGTKRKKKSIFACINPTLQKQFSDL >PAN15599 pep chromosome:PHallii_v3.1:2:57754822:57758383:-1 gene:PAHAL_2G500900 transcript:PAN15599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTTQSKWLDANLQDMENRVKIMLKLLGQEADSFGKRAEMYYRTRPEVISHVEQVYRAYRALVERYDHISKELHKANHTIATACPEEVQYAMLQEEDEDGDLPKAITPINSNKKHKSTVEEILNRKRQGPSGQNKLASAPHMTTEEAQEEISRLQKAILVLQTEKEYVKSSYESGIARYWEIEKQIADTQEEICLIQDKFNAHAAIHDDEARALMTIAALRSCQGTISRLVRHFEELIRIAAMEMEKTKSLQAQLYAMNGNTDTSPKDTSSTEALVSTRAYPVTQRILELQPIYEKIDKFFTNGSESSVEEIADNVDELVDKVVNLELKFPKQSAQINQLKQENERLKNKLDELQDEMALRDDQSDLNAQLKPLEDELNGAQILERSIVEEEVSVSVCFSEVFSCIINISKALGSVDPEDLYNLSTDVGDGAMVSTDMNLEYFTEESKSGEFIDIEAPTLSDRLGQDREDVSEVVNDNGNDGIHGSKNGDGEKFPTENCILVRNKMSMYSDNHIDQFVSSGNENGVDNSGEGNADISSEEVCQGSSENEIGSGNFAQGNIFKGEYPLRIISQTHLLHSGSIDTLDKKYDSNEQGSSTEQIGPVASSDSNTLKEKDPLEESSLQEATCFSGLDKSLDSQHTNEARSVEELPNQGGHLNSPLNIESLNKCSQVVAPKGDGCILLGHVDSIQYTKNRINADAYSSDVRDETSLCVPAGDSEETEGSHRQVLEDLTDSENVASDIRYSQLEKKSSNGRELASKATTSSDHGGKSHGEKVAMMGEECVPSWQEFLLDGLEGREAILLADYTSILRNYKETKRRLTELEKKNQEHLEETKSVIRELRNANSMKYVEIQSLRNLLDSSEMPPSKAGSNLTAFSSMRSFREINRSNCTLDREVSTVEESSFSNIEAAENTSAFEARFRNDIDTLVEENLQFLVRYSMASHHMQEFDRRYQEVQKEMEDTEDKKTGESDIAPEPEPAEKKLRELRTELDVWFEQNALLDQELQLKSASLCRLQEEIAEALRGSSEMVGARFTPYEAAKFQGEVLNMQQSNSKIESELQAASERMRGLQAKVNDALHGSFEISSRRLSQPETESSHEKQFKHFPSRTRVPLRNFLFGTKRKKKSIFACINPTLQKQFSDL >PVH65470 pep chromosome:PHallii_v3.1:2:57753798:57758703:-1 gene:PAHAL_2G500900 transcript:PVH65470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTTQSKWLDANLQDMENRVKIMLKLLGQEADSFGKRAEMYYRTRPEVISHVEQVYRAYRALVERYDHISKELHKANHTIATACPEEVQYAMLQEEDEDGDLPKAITPINSNKKHKSTVEEILNRKRQGPSGQNKLASAPHMTTEEAQEEISRLQKAILVLQTEKEYVKSSYESGIARYWEIEKQIADTQEEICLIQDKFNAHAAIHDDEARALMTIAALRSCQGTISRLVRHFEELIRIAAMEMEKTKSLQAQLYAMNGNTDTSPKDTSSTEALVSTRAYPVTQRILELQPIYEKIDKFFTNGSESSVEEIADNVDELVDKVVNLELKFPKQSAQINQLKQENERLKNKLDELQDEMALRDDQSDLNAQLKPLEDELNGAQILERSIVEEEVSVSVCFSEVFSCIINISKALGSVDPEDLYNLSTDVGDGAMVSTDMNLEYFTEESKSGEFIDIEAPTLSDRLGQDREDVSEVVNDNGNDGIHGSKNGDGEKFPTENCILVRNKMSMYSDNHIDQFVSSGNENGVDNSGEGNADISSEEVCQGSSENEIGSGNFAQGNIFKGEYPLRIISQTHLLHSGSIDTLDKKYDSNEQGSSTEQIGPVASSDSNTLKEKDPLEESSLQEATCFSGLDKSLDSQHTNEARSVEELPNQGGHLNSPLNIESLNKCSQVVAPKGDGCILLGHVDSIQYTKNRINADAYSSDVRDETSLCVPAGDSEETEGSHRQVLEDLTDSENVASDIRYSQLEKKSSNGREAILLADYTSILRNYKETKRRLTELEKKNQEHLEETKSVIRELRNANSMKYVEIQSLRNLLDSSEMPPSKAGSNLTAFSSMRSFREINRSNCTLDREVSTVEESSFSNIEAAENTSAFEARFRNDIDTLVEENLQFLVRYSMASHHMQEFDRRYQEVQKEMEDTEDKKTGESDIAPEPEPAEKKLRELRTELDVWFEQNALLDQELQLKSASLCRLQEEIAEALRGSSEMVGARFTPYEAAKFQGEVLNMQQSNSKIESELQAASERMRGLQAKVNDALHGSFEISSRRLSQPETESSHEKQFKHFPSRTRVPLRNFLFGTKRKKKSIFACINPTLQKQFSDL >PAN09309 pep chromosome:PHallii_v3.1:2:891322:893097:1 gene:PAHAL_2G013000 transcript:PAN09309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLAAEAWTPARRRRALLAVGLMALLAFFVLGQESASAVWEIASAKLVAMNNGGAAGTATMADGELLGELLAPGMDRGSCRSRYQLSRYYKHFPYAPSPHLLRKLRAYEARHRRCAPGTPLYARSVEQLRSGRSADGVECRYVVWLPFDGLGNRMLSMFSGFLYALLTGRVFLVAMPPDAADLFCEPFQGATWLLPLEGFPVANLFRLGPRPEETYTHLLRKKKIVVDGHNNPASNATAAAPVPAYVYLSLGWQMTDRPFFCGEHQVALGKVNWLLLYSDLYFAPSLHTIAGFQDELRRLFPARESTSHLLLRYLLHPGNPVWGLVTRYYHSYLAPAARRIGMQIRMAAAGSDTVPADDKYNQILACSRQSAYCRKPTTTATGGNITTTSGEGGNNGSSTAILVASLYADYYERLRSRYYEHAAKGGGGAWVGVFQPTHEQRQATEKLAHKRKALAEIYLLSFSEELLTSGLSTFGSVSSSLAGVRPTILLTAFHHKVPAPPCRRAAVDGEDLARHLKVCEDWQKGLKLFD >PAN10553 pep chromosome:PHallii_v3.1:2:7963786:7964522:1 gene:PAHAL_2G101000 transcript:PAN10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQALAALLLAMVVALAAMEGAHAICGMANEDFKLCQAAASVNDPTDSPSAECCAALGKADLGCICRYRGVAGIWMRIYHIDPNRAMALPGKCGLTMPSNCS >PVH65383 pep chromosome:PHallii_v3.1:2:56440697:56446051:1 gene:PAHAL_2G480300 transcript:PVH65383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVVGTSSCTPAPCPSSSTTSSTRAPPRRDPSRSWRPHRRTRCGGRSRTCSARTTACTSKCSRVRGGVRGAGARDAVPVGALDVVRRLARLLTSMSELTLPATELRRLWHAAMRAKVQNQGRRRWGYARGRRPSRKPRTPPARHLAHPPGHARCTSPARHTSLEKPRKLFSPRHNCCLQDVGQNALMPTWQHLKLRKAGGVRPWWCKFIAEQRPPGTQGESQRWRKCATQVHCREQRPLALRYDDSQRNKYNYAASNFRKYWIPVQPVEPTPLLGSAELKDMVKWLHNGTEQTHTVLRLPFSIDI >PVH63487 pep chromosome:PHallii_v3.1:2:2921509:2921829:-1 gene:PAHAL_2G041600 transcript:PVH63487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSRATDEELAIDVNTDKSASFPFLQNPEAMAVAVLTFSSRPPPLRLVVERPCAAPRTRLASRRDPRPRWSGAARARGMRRSAASSSTAFPWPRLLRRRGQGVLH >PVH64068 pep chromosome:PHallii_v3.1:2:25172219:25173145:1 gene:PAHAL_2G177000 transcript:PVH64068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPANAFWDQEGHFHTNTLHWEGFPRLLWESLSLFHYTEPPLYDGVEYREGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTFETAVLEAIKLFCNQHPMEVAAYPIGLFPTIDAGNLEWDFWTDHLGHMLGDLAEETIRIITRFMDVQHHYQMLLRHGMNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHQEDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPIHPAIPIMPEAEEEDPEEIEGVSEIDSEHGDPVLNPYHSPSGSQSSVGNFDDF >PVH64134 pep chromosome:PHallii_v3.1:2:31381204:31382276:-1 gene:PAHAL_2G196500 transcript:PVH64134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGDWITARYLLSSILGRNPLVVDYVDEESFLVDPPPAAAGGRLAEAPPPAVSAPPAERASAGVAGTVCAVCTEEIVVADAVVRLPCAHWYHDHCIAPWLGIRGTCPMCRTELPPRDGGEEEAGPAGRAKPARARAGTSDVASASTRARRDAAYEYLAGGVLSG >PAN13193 pep chromosome:PHallii_v3.1:2:46712386:46715510:-1 gene:PAHAL_2G319900 transcript:PAN13193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRLVSRLLGMFQSRTQVGVDKAGNRYFTRVEEVDGAMKERRWVEFKGADQDSTTVPVEWICWLNGQRKKAPTPEELAELEARRERVRQNIEVLKNKEEEERKAGVRPVKKIGKSESPNLQSFVKQQFPGTLDQQKGPEEVPRPKDATDTEDSTTDNDRSSEPTGTGATFKPGTWQPPT >PAN13194 pep chromosome:PHallii_v3.1:2:46712019:46715846:-1 gene:PAHAL_2G319900 transcript:PAN13194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRLVSRLLGMFQSRTQVGVDKAGNRYFTRVEEVDGAMKERRWVEFKGADQDSTTVPVEWICWLNGQRKKAPTPEELAELEARRERVRQNIEVLKNKEEEERKAGVRPVKKIGKSESPNLQSFVKQQFPGPEEVPRPKDATDTEDSTTDNDRSSEPTGTGATFKPGTWQPPT >PAN14574 pep chromosome:PHallii_v3.1:2:52892417:52899468:1 gene:PAHAL_2G420600 transcript:PAN14574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGSGDYSASGPAGHYFTHQYAPPGSSPYPASTDAPAPGAGGYASAPPYSVGGGYPDQPPYSVGGGYSDQPPSAPAYSQPPTTQPQYGAAYPPYNTNPTPYPPEPYYTYTPPPTQPAAPPAAEPNPPPLPYDAPYYGGGYQPPAAGCDNEDYLNEGAYAYSGGGGGSEPYGARGTAPARSGSALFDDYGRSISVPSGGEEQPWSGGGGGGGGSGGGSFGPIARALPKADTHEDSSGGAQKFRVKLLPEGAGNPTDVLCQIGLDGIRMLDPNTSRTLKIYPLDSLTRWEVLDSTIFAFWTKTSVDIEPKRIRLKSNSYTCNTMLDTLTAATVQFKEIGGDARSKGTVSAGKPAVQPNEKKKGFDWMFAKPVDEVKDHWVPDEVAKKCHSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRIALTAEDNAPLVRVCDRCMAEVTQRLSMAKEAASRSSTVQSHEDLARKLKEEMERNRKSSGWASGGGASGTRMREVACPTCTVHLQVQIPTSGSETVECGVCQHAFLVSAN >PAN14750 pep chromosome:PHallii_v3.1:2:54177235:54177876:-1 gene:PAHAL_2G442000 transcript:PAN14750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDNESGGPSNAEFSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIEPLKLYLHKFRELEGEKVASGAAGSSGSGSQPQRETTPSAHNGAVGYGMYGAGAGAGGGSGMIMMMGQPMYDSPPGASGYPQPPHHQMVMGAKGGAYGHGGGSSSPSGLGRQDRL >PAN14313 pep chromosome:PHallii_v3.1:2:51663808:51667670:1 gene:PAHAL_2G399700 transcript:PAN14313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNMLDNYVQVYVMLPLDVINVDNTFEKTDATRAQLQKLVEAGADGVMIDVWWGLVEGKAPGVYDWSAYKQVFKLVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGEANPDIFYTNRRKMRNIEYLTLGVDDQPLFQGRTAIQLYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVYPGVGEFICYDKYLEADFKAAATKAGHPEWELPDDAGEYNDTPEKTQFFADNGTYQTEKGKFFLTWYSNKLIKHGDKILDEANKVFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDDRDGYRTIAHMLTRHHASMNFTCAEMRDNEQSSEAKSAPEELVQQVLSAGWREGLHIACENALSRYDATAYNTILRNARPQGINKNGPPEHKLYGFTYLRVSNELLEGQNYATFKTFVRRMHANLDYNANIDPVAPLERSKPEIPIEEILEVAQPKLEPFPFIENTDLPV >PVH65041 pep chromosome:PHallii_v3.1:2:52138250:52143702:1 gene:PAHAL_2G408300 transcript:PVH65041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRGRGKGRGRGKPRAKAAEPEPVEEAVESEVEAGVAKMEEERAAAESEDSKEEKSGSDAESMDAEAKEEAGENGAEEMDAEPEAKAGEAKAEAPAAETGAKADASDASDDEGDDTGSEHEADAKGAAPKAKAEESDGSDEEEGAETDGVSGEEAAETGGENEDVGDDSETEGDAAEESPPPASPPSRGRRRKRAATPDPAPDDDEAEEETSAPSRRRRRRKSGERGDSPPPLPDHLRCRRSDGKKWRCMGRALPTVSFCEYHYAKANKSKKLPADGEILAVALERQKNKRKGRKSINPPASPQATTSDRQTRDLPNGLMTISPGSSGPAALSSPVTTKVVPRAMSMTKSVPRTCHRCGLTKAARVANCKNCDKNFCNSCINKWYSGISRKDIKTRCPVCRGLCNCNKCALGKTKGAMRKESPGGEGKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISDVRVQVAENGQSESLYCNNCKLPASQFLRCCPTCPFKLCLSCCHKIREGNMSDSTPEDKFKNRLLQQESVHEDGSITCPSIELGGCGDALLNLIYASPSGQSEELSSEDELDAPGNHSGVKDAQVHSSPVPESNGRLSAAQTETVST >PAN14416 pep chromosome:PHallii_v3.1:2:52138250:52143702:1 gene:PAHAL_2G408300 transcript:PAN14416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRGRGKGRGRGKPRAKAAEPEPVEEAVESEVEAGVAKMEEERAAAESEDSKEEKSGSDAESMDAEAKEEAGENGAEEMDAEPEAKAGEAKAEAPAAETGAKADASDASDDEGDDTGSEHEADAKGAAPKAKAEESDGSDEEEGAETDGVSGEEAAETGGENEDVGDDSETEGDAAEESPPPASPPSRGRRRKRAATPDPAPDDDEAEEETSAPSRRRRRRKSGERGDSPPPLPDHLRCRRSDGKKWRCMGRALPTVSFCEYHYAKANKSKKLPADGEILAVALERQKNKRKGRKSINPPASPQATTSDRQTRDLPNGLMTISPGSSGPAALSSPVTTKVGVEIPAPIRRCYRSKNAEPLPVGPVKVVPRAMSMTKSVPRTCHRCGLTKAARVANCKNCDKNFCNSCINKWYSGISRKDIKTRCPVCRGLCNCNKCALGKTKGAMRKESPGGEGKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISDVRVQVAENGQSESLYCNNCKLPASQFLRCCPTCPFKLCLSCCHKIREGNMSDSTPEDKFKNRLLQQESVHEDGSITCPSIELGGCGDALLNLIYASPSGQSEELSSEDELDAPGNHSGVKDAQVHSSPVPESNGRLSAAQTETVST >PAN12947 pep chromosome:PHallii_v3.1:2:45699842:45700483:1 gene:PAHAL_2G303000 transcript:PAN12947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALFLVGMLLLAAFVVAPLAVEAAESADAPAADGPDSSSPAAAPGPEGIDGLGDSDDSSS >PVH63684 pep chromosome:PHallii_v3.1:2:6170976:6173088:-1 gene:PAHAL_2G084900 transcript:PVH63684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGITWAIPWPSPRRVAGLKKNLPARLARCPSARTPRCPHAASARPSRHHQCWPPSPPPPDPHLPSSQIRRYPVPGWPDPHLPADRLASSWWPGRRLAASPPQAQGRHPTPPPPEAPRQLCGLPVYPSARPCPETTRTRGEELAKEPEGFTAVVKVDQAPMLVDQALMLVDKSWLLTVEECVHGISKICWWA >PVH63685 pep chromosome:PHallii_v3.1:2:6170744:6173088:-1 gene:PAHAL_2G084900 transcript:PVH63685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGITWAIPWPSPRRVAGLKKNLPARLARCPSARTPRCPHAASARPSRHHQCWPPSPPPPDPHLPSSQIRRYPVPGWPDPHLPADRLASSWWPGRRLAASPPQAQGRHPTPPPPEAPRQLCGLPVYPSARPCPETTRTRGEELAKEPEGFTAVVKVDQAPMLVDQALMLVDKSWLLTVEECVHGISKICWWA >PVH64588 pep chromosome:PHallii_v3.1:2:45968413:45970823:1 gene:PAHAL_2G308100 transcript:PVH64588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFLKRKSPPSATYQDEGSSQRNKGASNISAPTRTSLILSPKDVNLDELPYDPADRKRIIEYPGFKLQEEIRRRYLIRGPHRPQPGFSYPQTIIGKKPRRFNPDWFEQYDWLEYSEKVDKVFCLYYYLFRDCIDGQAGNDAFVTKGFSGWNNKPRLDTHVGGVTSYHNAAVKRCNNLLKPSQSIEFALKKQQDVAKEEYFIRLSTSINAIRYLLHQGLAFRGHNESEDYANRGNFLELVKLLAEQNEKIKRVVLRNAPENHQMVSPEIQKDIANCFVEIIVNSIIEEIGGDVFCLLVDESADVSDKEQMAVVLRYVNNRGELKERLIGVVHVAETTSACLKSNIDALFFKYKLSWKQVRGQGYDGASNMRGEINGLRALILRENGSAHYVHCFAHQLQLVIVAVAKKNDDISDFFDMISLLLNVARASCKRKDMIRQSQQERVKRAIGSGQISTRTGLNQEKTLQRPRDTRWCSHYKTLKSLNSLFPSVIEVLQYVEKDGPNDKKRRQARGLLDYLKDFDFVFHLHMMLMILGHANSLSLCLQRKDQDILEAIPEVKLTKQKFQQIRDDGWESLLQTIYFFCEEHSIPKLDMEEEYIDRHKPRKNLLTYMAALSPKDSFGDFKLESLMELAKLYPDDFNQEQLKDLAHELPIYIDNIKADERFSNLNSITALAKLMVDTNKHQAFPLVYKLLKLVLILPVATASVERCFSAMKIVKTILRNRIGNTSMNDCIICFVEPAFVATISDNAVIDRFQKRKIVTVGCFYER >PAN10671 pep chromosome:PHallii_v3.1:2:8786259:8801560:1 gene:PAHAL_2G109700 transcript:PAN10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLTHETRDGQLKDAAEIATLKPCTLTLVEDDYSEESAVEHVRRLLDIVACTTSFGPAPPPPPPPSPKDADAAKEPSSSSATSKGAASGSSGGRRTASPPPASPSKESSAAKEAAAKESAAAAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVSQPRQEEQPSDDHLFFLEAKLCSGKFVVVEARRKGFFSLGKQHVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPAEDENWGGSGGGWGRDGRSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMESTGISASTKIDEVLYSETVGNFSITVTRDSSDASCKLDTKIDGSQATGMDSKDLAERNLLKGITADENTAAHDVDSLGIINLRYCGYVAVAKVTDIDKAKVTSSIKPINIADQPEGGAHALNINSLRMLLNEANSTGEKKISSLSQNHRHEELTTAQTFVEKLLKESLQKLEEEENEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKDKKKTVDKSMKETKIEGLGKPLKALKNSKNAGTADTGSSLGTKSSAESQKDKPIELPQGESNASENENLLKDLLPESAFTRLKESETGLHQKSPSELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSEKLSHVQSLCVHEMIVRAFKHIIRSVIAAISDTRQLALTIAAALNLLLGVPESDVSGSCPSVHPLVWRWLVTFLKKRYKFELTEKHYNDVRKYAILRGLCHKVGIELAPRDFVMDSAFAFHKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALSKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDSESGKRRYSSIKVLSHSNESSNGASPEISPRDSTPIIDEEQQVKELSKDDGTDVISEAEVKQSPKSFEQPAPSELPLEIPKVNINVPKEVLQDETAEPEDGWLPVQRPKSAGGPGKQIKHYRPTTRKVYDPDSHDPTYTSQYKARNSYPNNRYYFLRKRTVVPTTYTDPQQHVKVQTSGARFGRKIYKAVTYRVKPGTAPTDVQDTKSAEQVSGKAESQVTYSQAHNLTSIDHKESELHGASVASSGNAPSYKDVALARPGTIAKTQIQKSRDDVPQNQPSLGQIIAQEMKDSLVDSLQVEQRPVSSNANNPKEVANVAEQIQQSEETKFSGREPEIENIGKDGSQNLLMSVAGSESGNSKEDANVFSNTSQEPSSGGNDGAAIEFSESTGSAKAEQSGKSDMEIFEALPSSIEPISDSASTTNTGSLVGVASEKSKPNLLLSNIDLREMPNKKLSAAAPPFNPSPPAVLSPLAGNVGLPPTGTMPGVAPWPVNVSLHPGHSTMVPSGPPMCTSPHHLYPPAPRSPNLMHPVPFIYPPYSQPQVVPSTTFPMNTIFRPNHYGWQPYMGSAPSEFAPVSAWSSGHTIDFTPPPHVVDPISQSLADKHIQSDAAVVSIGPSLDSNAVAAKGEMETPAVVGSENFISNKHDDQDKQLKDAVRIELNPDMNAENSHDIGVTNQSQSNMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSNVSFAEVVSSGN >PVH65452 pep chromosome:PHallii_v3.1:2:57509968:57511750:-1 gene:PAHAL_2G496800 transcript:PVH65452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFMSANKIKKPILLIHGEDDSKVTTAMQSRQLYDALKGHGVPCRLVILPFEQHQYAARESIMHIIWETDRWLQKYCATNPGNIKDLGTNVGASQSPTDAVLDHEALTLNFTKISSLIKLVRLTFAQIVFRKSKNSIR >PVH64366 pep chromosome:PHallii_v3.1:2:41600487:41601622:1 gene:PAHAL_2G250400 transcript:PVH64366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLLLVKSKRLLASSPIQTLGSRASHDWRNRDVQNSDVYYQNIWNISYWKMSTSRLTVLDFWLNSIDAVHG >PAN10007 pep chromosome:PHallii_v3.1:2:4793697:4794683:-1 gene:PAHAL_2G065300 transcript:PAN10007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNFSICYNGHTVFAAYAHERRQPLEHVETLYSLPAAASYVDGRIDTTYSDTSSAAVFTYAGGYYHHSSTATLAGSSSGDPPSHQLQQLHSGGGIDQFYSHRQDGIGIGMVDMDQFSALMGAASISTSSSSGAPATYHTPAACWPQPHGVVVPPPSHALPAVMQPAMVNGSAAEAEAPPPLIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGAAAVLNFPVERVQESLHALGLTGGSAAGDSLVLALKRRHCIRKRCPKNKQKAAAGREQTAARTIHGHGKQKQEASCVLELEDLGADYLEELLALSDQ >PAN10580 pep chromosome:PHallii_v3.1:2:8076785:8081760:1 gene:PAHAL_2G102800 transcript:PAN10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALHTPARLGLDGAAAGEQWRRQRHRGAPAPVAVPCRKKAAAAAAVRCQRAAGAAIVEKKDASVASAQERGSDGGAEPELTVVMKFGGSSVASAERMREVADLVLSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGAANVSELDELSFIKELHLGTVDQLGLDRSIVVGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHGDWSNDPAIPIVTGFLGKGWRSGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSESILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSCELVQQKNELDDVIEELEKIAVVHLLQNRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKLCVQALHSAFFENSFSSEVDKMDVLHNDFTSHSNGTVRSH >PAN13353 pep chromosome:PHallii_v3.1:2:47414781:47421085:1 gene:PAHAL_2G330600 transcript:PAN13353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMEGKGDASVTPLRTSDRLRQRPKYYARGYMYYKPAMRKKVKSKKRTAASQIAKKLLRKPAARPPPADSIAANLRRSTRKRRISVNLEGYDTDSSSMEDDDLMRPRYRSSKSKGENNAAHDEVSARPKRQKLSNSIPRREGLRPRRSLRGQRLHAYQESEDEEESSEEQGAEDQRENGNEIEEDVGDDDEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRPQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWLMGGLDMHSPAAWGLNVGASGWGHQGDSSTSLMPGVQTAGPSSKGGADIQPLQVDESVSFKDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWKDPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVSVEKYHFLEAMSTITPAAHRGSIVHSRPLSSVIAPCLKRHLDKIMERISDIFPFLSSVDFSKFSALSYVSSIPLVYRPRLLICGGESVGLDHLGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEAKRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFTDLEEECASIFSSRNMYQVDQPSYDDRLRYFNTLFESLLSFQTEESRNKSKKQKSAIDLPKAPKEVEGPKVSELKARAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSVIHKPMDMATILQQVDSGQYLTRAAFMKDIDLIVSNAKTYNGDDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIASQGGPLQVVDDEDSSILQAAPVAQLVSGTRISARLRNVQPEVNLSQSYEVLKRQKKIAENEQGMTKDVAARDERSPEDVDLSKPISPEEAPKEPDSNGTLKEADNSPVEAAEVPARPEPMETDSSEVATTVSTGDDLLGQLETLKQRFMEHTAGYGVPQLERLYSRIMKGAIELTSKESNEDHRWLVVRYLLTFVENIGNF >PVH65435 pep chromosome:PHallii_v3.1:2:57336229:57338226:1 gene:PAHAL_2G493100 transcript:PVH65435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRQEQRVASAEGEAQAERAGEQLARAEAHAREAAREIAHERTERARVVGAGGHEERHRAGILETVQQGARSLVNAVGRTLGVARDTTKDKVGEYKDSSADKASQAGDATAQKASETAEATKDKLGEYKDYAADKASQAGDTTAQKSSEPAGATKDKLGEYKDYAADKATGAKDAAVQKASEAAEATEGKLGECKDYAANKATGMKDTAAQKASETAEVAKDKAVAMRDAAAQKAGETAEATKDKAVAMRDAAAQKAGETAEATKDKAVAMKDAAAQKASETAEATKDKAVAMKDATAQKASETAEATKDKAAAMKDATAQKAGETAEAATNRLGEYRDSAAQPAKETKDVTAEKASQAAGAGKAKVGEYRDAAAEKAKNAKGTTAEKARETKEAAASKTKQSSSSEELSKAARDDETLEGTTRPPPEEKLEEYKQSPADAARKAMEYLILRTEEVDEQSGASKASTDATEELSRQELEATRERTEQVVAEGERRGKDGK >PAN14612 pep chromosome:PHallii_v3.1:2:53050431:53056073:1 gene:PAHAL_2G423800 transcript:PAN14612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLASPPLPSRAPAFLAAPALRSGRPPRLLSPSRSAPPLVSSGPAGCFPRAPRAPCTAACERRRDTVRTFSQADAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENSHLINWWLVFKAFYGLVALICGNGYIVGINQIYDVAIDKVNKPYLPIAAGDLSVQSAWLLVVLFAIAGFSIVVSNFGTFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLVANYIAAIVVAFLMPQAFRRTVMVPVHVALAAGLIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >PVH65070 pep chromosome:PHallii_v3.1:2:52585601:52587539:1 gene:PAHAL_2G416600 transcript:PVH65070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVYLYIPNIIGYFRIIINFIAFVVCYSNKALFAILYFIIFVLDGVDGWFAWKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKHTGIWLLKLYYGY >PVH64385 pep chromosome:PHallii_v3.1:2:41978148:41993777:-1 gene:PAHAL_2G254600 transcript:PVH64385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVGLPIEPLGGWRMGGAGEEDEGGRGARGEEEEDSKDEDWAVGQERRSRGKRKRPAPRGRTGAGLGPNKRRRAAAAVAPEPPRPETAEPSPEEGASAAAAAAAAEDGVGKADGEYVAPSASGRGGRGGRSCHQCKRVRQSPGEMIRCGRCDQKVYCATCVRNRYPTMSEAEVREQCPFCRGVCICTRCAAKDKQVGPESSVLKKCNSNGSATRKKRPASAGVKSPRARNVARRTKGIDHSFARTNRMNNALAMLDEVDTSDVTTDEVDPETKRKYASYLLHYLLPCLTQINKDQMEEREVEARIQGLELSELSVEKADTWSDERVFCDNCRTSIFDLHRSCPNCSYDLCIVCCKELRERHLQGSCQEAPVNYPERESDYMHGGDSDPLPNSNLIKETGLPSHQSECIKSEADPDRTIHCPPSELGVCGNDYMHDGDPDPPPDSNLINYKKTGLSNHHSQSIKWEADPDGIIHCPPSELGGCGNGVLNLREIFEKDKLSELEILALQMSKQLQPSDIISKDTCECSCSANHESSRKAATRENSTDNCIYCPISDDKKPDDLKHFQKHWVKGEPVIVQGVLKKMSHFSWEPPDMWSAIHGTNTGSEMKMVKAIDCLSCCEVEICTNDFFKGYYEGRMYHNLWPEMLKLKDWPTSNHFENILPSHGRKYINSLPFQPYTNLKSGLLNVSALLPGDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTCKVNPSEEQQQEIRNLKRRHTEQDKKENVAIDGNDTSTEHADISPVYCTDDGEGALWDIFRREDVGKLKEYLIKHSKEFRHIYCSPVEKTFNPVHDETFYLTNKHKRKLKEEYGIEPWTFVQRLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENIQHCLSLTEDFRRLPKKHTAKEDKLEVKKMIVYAVEHALQVLKEPCSSPEPEAASHCPRDSKGGDESCALENVQ >PVH63978 pep chromosome:PHallii_v3.1:2:15670533:15671105:1 gene:PAHAL_2G151400 transcript:PVH63978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDTFSRSGSIELAIHKISAKVSKSDSNLEVTRRLQIGWVKLFGIPSMARSEEVVRLVAKLAGEVVCVDEVSLIKEGHVRVKINAREISKIRGYVDVFIEIVGYEIRFLPKKSSGKSTAPKDIPPRKLDGDSEEEDEDGNKDSELERERMRKEFKKEEHKDQLGNQKTHSKSTGKQVVNSSEGKEAEDK >PVH64185 pep chromosome:PHallii_v3.1:2:35466455:35468565:-1 gene:PAHAL_2G210900 transcript:PVH64185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASKKAYVIAIVLQVIYTGFSVLSKVALDNGMSIFVFNFYRQATGSLILLPLALLFQRKNVTSMPLMLLLKLFLCALFGNTLSLNVYNVSLKLTSATLVAAAGNAVPAVTLCMALLLRMEVVNLRSSSGITKVSGAALCLAGVLVMAFYAGPGLSPVSRHRAFAAHAPGSGAHSSTSKEAWIVGTFLMVINNMAWSLSAVWQVDRCIVFTSVLVHPSMSYFHLQARILKEFPNRMLVAVSLCVFSALQSLVVAAVAERDFSRWKQRLDVSLVAIAYNGFVVTEECRTTCKRGVWR >PVH64186 pep chromosome:PHallii_v3.1:2:35466719:35468470:-1 gene:PAHAL_2G210900 transcript:PVH64186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASKKAYVIAIVLQVIYTGFSVLSKVALDNGMSIFVFNFYRQATGSLILLPLALLFQRKNVTSMPLMLLLKLFLCALFGNTLSLNVYNVSLKLTSATLVAAAGNAVPAVTLCMALLLRMEVVNLRSSSGITKVSGAALCLAGVLVMAFYAGPGLSPVSRHRAFAAHAPGSGAHSSTSKEAWIVGTFLMVINNMAWSLSAVWQARILKEFPNRMLVAVSLCVFSALQSLVVAAVAERDFSRWKQRLDVSLVAIAYNLMLKLLGGVSYYLQAWCVEMKGPVFCAAWLPLYSVFTMFCSSFFLGEIVHLGSILGEILLIGGLYSVLWGKSKESEFASCNDMNRIDGAQDEQEHNKPDANEEAKSEAAGGQV >PVH64981 pep chromosome:PHallii_v3.1:2:51447046:51450233:1 gene:PAHAL_2G396200 transcript:PVH64981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGNTADTLVGIGGFLMVIFISCLFYHVWIKTQQQREHSILKLRQMSLAIQSVISLWKMEGSNLGFSQYDYSHIKEATNNFSVGNKLGQGGFGHVYKGRLSSGIKIAVKRLETCSLQGLLEFQNEIQLISKLQHKNLVKLLGYCTKGEQEKMLVYEYMENKSLDCFIFDNVKGAQLNWSKRMHIIDGVAQGLLYLHNYSRLCVVHRDLKANNILLDGEMNPKISDFGMARIFCSNVKESNTTRIVGTHGYIPPEYAFHGVCSIKTDVFSFGVLTLEIISSKRTAQFYDYNGKLYNLISYAWLLWRDEKLGELIYSPSCNGYHEIEKCIHVALLCVQENADHRPDMERVVTMLNTKDVSLPKPMQPAYFHVNPCEEEVSSCGAIMAMSITLERRHVQQLSVAKMCMLHWFCEHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDDVKRGRGRPRLTWDETVKRDLKEWNIAKELVMDRSAWRLAINVPEL >PAN14487 pep chromosome:PHallii_v3.1:2:52425074:52426425:-1 gene:PAHAL_2G413100 transcript:PAN14487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSRAVSAAAGGGERRLQHVGVACGGLPRPVRPVPSAAGPRPGTPRPGCPAGGAAASGRAECNWWGAGARQAAPPRRQRSCRGARPTARVPPTGKGNRELVRRALAPPAARGRGRGGGGPVLMRRWSFRPSPSRLRNASSLPSPRPRPS >PAN12644 pep chromosome:PHallii_v3.1:2:44126077:44127237:1 gene:PAHAL_2G280600 transcript:PAN12644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRRTGGDVAGREAKRRGQKKQRPWTTGTRGTASTRTTPTPSRRLLLSLSLCSLLPNFAWRPPGEAKTVLFGATGSKILALWQPRNRTTRAVVYDTATGSVLGDGPAHPVGLHPMRFVASGSGGGRLHALHAGGLHCLDLNEAKAEDPYSYAAAYEADARLWCWTRACSPLRLPFLTKDGTPAPSGPIAIASYAVHPEGRTVFVSAFRTYHRYFGTFSLDTGAARAEWTRHGEWLLPFRGQGHYDSTLDAWVGLHSPGYVCACDVPPPLPAGSGERPRPEWKLVKAERLSDTDPEDGVLRQSLMTLASMGDAEFLILEPLTTEVRELNVTLDMNPHFEYMLRVTRFRLKHNRQGQLRESSRDRVARCYKLRKYDDSFAPQAFWL >PAN12349 pep chromosome:PHallii_v3.1:2:42622771:42625080:1 gene:PAHAL_2G260900 transcript:PAN12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALNGRNTSAALDGLYGVQLWRPLPQSAQISEDEAPRTTTVESSTCELQKGGRTQQRLLIRRLWQHRPSCLKPIHCSITCDKHAGETIANVVTSLPFIVLGLQTPRKNLNTAIYANSVVGVGIASSLYHSSKGEIRNFLRWADYTMIATTTLCLSRALRNENPRLLMAASALLLPFQPLMVSAVHTGLMEVSFARRASIEPELRMAHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAAIGIGTCNKLLE >PAN12350 pep chromosome:PHallii_v3.1:2:42622771:42625080:1 gene:PAHAL_2G260900 transcript:PAN12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALNGRNTSAALDGLYGVQLWRPLPQSAQISEDEAPRTTTVESSTCELQKGGRTQQRLLIRRLWQHRPSCLKPIHCSITCDKHAGETIANVVTSLPFIVLGLQTPRKNLNTAIYANSVVGVGIASSLYHSSKGEIRNFLRWADYTMIATTTLCLSRALRNENPRLLMAASALLLPFQPLMVSAVHTGLMEVSFARRASIEPELRMAHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAAIGIGTCNKLLE >PAN14942 pep chromosome:PHallii_v3.1:2:54831187:54835290:1 gene:PAHAL_2G454000 transcript:PAN14942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYPMLNDRPIDQWMVTELKDELLRRNLPVTGLKNDLVKRLFEAIQDNILDGGEKTSGGTPEDLKGGETPGSVDTSVNQASIGEHIDEVASEVMEQGADLVISVTEAYDESILATSEVTQEAVAGTAEASQRSLDAVAEFESSLVDTAATDETNGDVLESASSGNTRVDEANPCSEDHGDTIEKTPEDDTNKKMAVDDVPSDVTGGDIKLGLNMHNKILETEDVPAPPDDIVLHGDHEDADAAAAAEPEDDTSKKMAIDDVVSDVSHTTIKLGVKVDGKTEQEEVLALPDAIKLHADPKDADVLAAAENMIPKDYFSVNTLMYGNGHGDPKCSNGDAKPFLCREKDKVSEVKTDLDFQINCMSIFNDNISTNEKNDVKGNLNADDCDLELEAKQEIFKPSSTIPSPGDHLQVLDVDKELHKTGTSLQELGSTSNIDLDREEESPDRSSREKLNLDRSSGDESMDEDVMESRHADSNIKPDDLGGKTVVTSEHVLKEVSLLESAAESSSAHTKEVVAEEKPPSPAEKRKPEDREVIADNEPIKRKRQWKVDAVDISDQQAPKLIGTGTPKEVFHSTLKRSFGRPCTAVSRDYPKERIVPPAQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDHIKTHCYVTYSSVEEAMATRNAVYNLQWPPNNHSYLVAEFVDPQEVKLKLEAPPPSKVPISPSTATASQAAPFQRPNANQTLPPHPATGLLPTPAPLAATALLPTPAPLAKLPPTSGPGPARDMLPPPPRKLEHARTLDDLFKKTQAYPRIYYMPLSEEEVSAKLAARSNAKSG >PAN09281 pep chromosome:PHallii_v3.1:2:496813:499818:1 gene:PAHAL_2G007700 transcript:PAN09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRRSTVCTTTTVLFVLHLLTASCAPSLPQEQDRAALLELKNALSSGSGDPLRQWALESGVHHCSWPGVTCDARSRRVVALSPPSRPGRRLAGQLSPAVACLTELKALSFPAAGLRGEIPREVWRLRHLEVLNLAGNSLRGGLPATCSEGLKSLDLAGNRLSGRIPPGMGSCAALRRLRLSSNSLDGSIPLQIGKLAKLRVLELSGNRLTGGVPQELLYCRALVKMDLSRNLLSGQLPLGLKELKKLKFLSLSGNNFSGEIPSDLGRLRVLKFLDLSNNSLSGSVPIDLVAVRNRTVLLLDNKLSGEITAAANPPMPSVSVVNISSVTDATSSVNPSRQHSELFTVSTTSGMRVLIEKSTGTPDDGRSSSSSGGDGLGTKEIAAIASASAVFVVLLVAVIMCICTRKCALRPSRHSFRRREVKVFDNVDIGAPLTYEMVVRATGNFNASNCIGNGGFGPTYKAEIAPGVLVAIKRLAIGKQHGDKEFQAEVRILGQCRHPNLVTLLGYHISDSEMFLIYNYLPGGNLEKFIKERTKRPISWRRLHKIALDVAHALAYMHEECIPRILHRDVKPNNILLDNECNAYLSDFGLARLLRNSETHATTDVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVSWAQKLIQRSRVREFFIEGLWDKAPHDDLVEFLNVAVQCTQESVAARPTMKHVVRRLRDLRPPSY >PAN13451 pep chromosome:PHallii_v3.1:2:47854011:47857316:1 gene:PAHAL_2G337800 transcript:PAN13451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPNRRRPGASTSSAIASGKRDTEEDSARASEESGDDEEVSSSSGSEFGSESDGEDAERERELERALADVPFGELQRARADGSLAARAASAAKAAAEKKACRASKKRPMEISTKVRPPKLREVIQVPKKVVRDPRFEPVYGSVDKEGFRKRYNFLFDENFPAEKERLQKMIKKSKDPNAIEEMKSRITWIDKQVKSHSQKNVESEILREHIKKEREAAKAGKRPYYLKKSELRERKLMNKYNELKEAGKLDAFMERRRKKNASKDHRFMPYRRNGDGA >PVH65341 pep chromosome:PHallii_v3.1:2:55558208:55560414:1 gene:PAHAL_2G467700 transcript:PVH65341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIRVKRNKSTYFIQCEPTETALSVKQKLHSLIDQPPSNQQLVLLGTNDVLEDSKTLADQKVENDSVVALALRKDDNAFEEIFIARPEDFMSSS >PAN15139 pep chromosome:PHallii_v3.1:2:55558173:55560414:1 gene:PAHAL_2G467700 transcript:PAN15139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIRVKRNKSTYFIQCEPTETALSVKQKLHSLIDQPPSNQQLVLLGTNDVLEDSKTLADQKVENDSVVALALRKDDNAFEEIFIARPEDFMSSS >PVH63501 pep chromosome:PHallii_v3.1:2:3089477:3091281:-1 gene:PAHAL_2G043700 transcript:PVH63501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIHPWPPAAKRRTSNLPPSTCAHHPGWLQLTYTGTLHSDYCSTAAYLDANVLVTHTTHQPSLINKTGHIFTYIIYMDTYKKD >PAN12642 pep chromosome:PHallii_v3.1:2:44115030:44115409:-1 gene:PAHAL_2G280400 transcript:PAN12642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFDPWPVFFRREWSRNWPFLTGFAVTGYLIAKMTANFTEEDLRNSQVL >PVH63892 pep chromosome:PHallii_v3.1:2:11940411:11940862:1 gene:PAHAL_2G132900 transcript:PVH63892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQQVPEPPPVVVTSVFQPGKLSVEMIPVDHDALSMPPIPILIAAPKDAGTYPVAFHTSLVSNGDADDIAAAARVTDWLPGGLPAVLPAGVEADLSRLALAGHSPGGRTAFVINDDE >PVH63931 pep chromosome:PHallii_v3.1:2:13024586:13024950:1 gene:PAHAL_2G138700 transcript:PVH63931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLMERLKLSAAEKKCIRIGASASARARLVDPQAIRKVLADKLVNADGLTQALGRIWCPIKGGIASISRRALEDGPWMFGKDLPVMVDFDEAKSIEEMEFKVIPIW >PAN14712 pep chromosome:PHallii_v3.1:2:53545914:53552798:1 gene:PAHAL_2G431800 transcript:PAN14712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGREEVVSALLAVVVVAGLSLLSAGAEADGGSLRRRSLHQPFFPIDSTPPPGSDDSILPPPPPPDASAAAAAKGGGRSSPSVTNAIAIALATGLVALAVAFYSCFLLWRRRSDGGDGGGGGGLRAAKPARSGAGAAAVRVASDVGSSARHQRSPPPSSTASDAIYLDPLTTMVEVGRHRPQSPDLRPLALVKQPSPDLRPLPPLKRPAPQPPPPPASTPPMTTTGDSSDEDQVTFYTAPKTAKSSFSRSTSQRSALEQTAPQPPAPVPVPAPAPAPTPPPPPQSNPPRPVRPPPPPPPPRQRLLRPMPTESPPPAVLASLALTNSPESSVQDRGGENPDGHGGRARPPKPPILKPLHWDKLRAISGRTTVWDQVNSSDSFRVDEAAMESLFLNNTGGAGNSDQAARRGGAGKQESRLLDPKRLQNVAIMLKALNVTSDDVIGALMHGSGDLGSEFYETLAKMAPTKEEELRLKDYTGDISKLDPAESFLKDVLDVPFAFKRVDALLYRANFDTEMDFLKNSFGTLEAACADLRSSKLFMKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGKTTVLHFVVQEIIRSEGLSSDQTAVVNPGITSKEQFKKDGLKVLAGLSSELSNVKRAATLDMDTLIGNVSRLKTDLEKVKLVMQLKETCPDQDSSEKFFDAMDAFLGRSRVEIESVKAAGESAQQRVKETTEYFHGDATKEEPHPLRIFMVVSDFLSTLDRVCRDVGRTPERVMMGSGKSFHISAGTSFPPRRHEQRREPSSSDEDSSSS >PAN10637 pep chromosome:PHallii_v3.1:2:8494803:8496429:1 gene:PAHAL_2G107000 transcript:PAN10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSKTILLLFYLVSVFAAGAMAATFVFRNNCNETIYPGVQTNPGRPAFPTTGFQLQPGAEAQYRGVAGTWAGRIWPRHRCSPGGASGGGGLSCASGDCAGRLECAGAGNQPPSTLAELALGGSGGNDFYDISNVDGFNVPLQIGPAGAGAGCATVTCGADINAACPLELAVRAADGGTVGCKSACLAFDTDEHCCRGEYGTPGRCRPSRYSEFFKHKCPQAYSYAYDDRTSTFTCATGAGYNIVFCP >PVH63947 pep chromosome:PHallii_v3.1:2:13508770:13510395:-1 gene:PAHAL_2G142100 transcript:PVH63947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQYDVLERRASARASVCNHLKCTGQSLEFRPKERLGLVIDRIRRWIKSEFDVELHGTDDDRKLMARCKLRRETSGMVEIDRSVSRVGAAVHEASVNRSCE >PVH64924 pep chromosome:PHallii_v3.1:2:50855195:50857007:-1 gene:PAHAL_2G386900 transcript:PVH64924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSADQERGMQEHHHQGHRRSAGAGAPNGSPSSATCNPIRSACGTLRSLTPSCFSRGPPLPPSPPARVHQVWPGRNVFFLDGRVICCPDPRGLILSAMALLLSEWIFLARVIDSSSAHRILIPASSLILLAAATASLLLAATSDPGIIPRNQASPSSEEDGTSAARFVVVNGVEVRLKFCKTCKIHRPPRSSHCAVCDNCVDKFDHHCPWISQCIGLRNYRFYMLLLCSALAFYTFMFAFTVRAIRIKMEITNAGVFSLVRTLPEPFVLAALSFMSICALGCLLAFHAFLVAKNTTSHEMEKGRYHSSPNPYDKGALANIRESLLEKLPPPRVDFRAAAEPTWGPAGGESEGDLPTPSDDAGGQAMRDARC >PAN11689 pep chromosome:PHallii_v3.1:2:34839217:34839590:-1 gene:PAHAL_2G209000 transcript:PAN11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIRSMRALLDRCLLSSKKGGRGREPPPEGCLAVYVGAARERFVVRAECVNHRLFRALLEEAEEARGPYCYAPDGPLELPCDAAAFARAVASGRWSRRGRPSGAAARGQGTRRRVGRWRRR >PVH63540 pep chromosome:PHallii_v3.1:2:3711673:3711974:1 gene:PAHAL_2G050600 transcript:PVH63540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGYLILNGVQGDPARLWIARSCAVVHRYRLSSSVFSWQCCNGNIELKRMVTAMDTREIIVLTWQLPYALYGLGHRHEK >PVH64566 pep chromosome:PHallii_v3.1:2:45756040:45758181:-1 gene:PAHAL_2G304000 transcript:PVH64566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERIDSAVRSASVPEEIRENHKGFSEWNTGITSKDHQPIVQVLIDGKDRDAVDNEGNVLPTLVYVAREKRPQYHHNFKAGAMNALIRVSSVISNSPIILNVDCDMYSNNSDAIRDALCFFLDEEMGHKIAFVQHPQNYTNIAKNNMYGNSFNVLNQVELRGFDGVGGPLYIGTGCFHRREILCGRRFTNDYKEVWDRGMKEKRELSIYQTEEKAKSLTTCTYEHNTQWGNEIGVKYGFPVEDVITGLAIHCRGWKSVCNNPPRAAFMGVGPTTLAQTILQHKRWSEGNFSIFLSKYCPFIFGNGSISLQHQMAYSVYGLWAPNSLPTLYYAVIPSLGLLRGTPCSLRYAKRSIMSPWIMPFIYVAIAKNVYSAYEALFYGETLRGWWNGQRMWMDRRTTSYLYGTIDTVRKLLGLSEMGFAVSPKVSDEEESKRYEQGVMEFGASSPEYVIIATVALLNLACLVGGLCKILTSGEASRGFFLQVVLCGLLVIINVPVYEAMFIRKDGGSMPFSVTLVSIGFVMLALSVPLF >PVH63971 pep chromosome:PHallii_v3.1:2:14905885:14906817:-1 gene:PAHAL_2G148500 transcript:PVH63971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPKFFWDSAGYAYTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYDEEGVPRCRVKMTVPPHPTLSLWQPIEVNVIGHRLADTFEAAAMEAIHIFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRVTYYDHLLGNLAGETLRTAVRFMNAQYHYQTLQQHGIYRLTNIAQGYRNQVGRQNTQIEGLQATGTAKEEVITQREETIQHQEEQIVESDALVAQRDTVIDFLQEQVHELNLNLGQAIDHIYMLHEQPVHPDVDEFESEGEEEEPEEVEGVSEIDSEHGDPVLSPYHSSSGSQSYMGNLDDF >PVH64172 pep chromosome:PHallii_v3.1:2:34703435:34711405:1 gene:PAHAL_2G207900 transcript:PVH64172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELARVLLRRGLDVTMVLIERPFGSSDSGAAATRRVMAANPAITFHVLPPDPSSPDFAGSTKLPFFHTLQLLRRYNGELGRFLRSIPRCRLHSVVTGMFSTYAAEVAVELGVPAYAFFASGAGILAVVAQLPALLAGRQEGLKVLGDAPLEFLGVPPIPASHMVKELLKHPEEDMCNAMVDVLKRIADTDGVLVNTFEALESRTVQALKDPRCVPARQCPRCTASGPWSTTARRIGRCRPRGGTSTSACRLGWTRSWSAASCTSASAARARSRRSSSGRSPSAWRGPGTGSSGSCARRPPKKFLEQRPKPDLAALLPAGFLERTRGRGLVVTSWAPQVAVLCHRSTGAFVMHCGWNSVLEAVAAGVPMLCWPLYSEQMLNKVLMTDLDMGVAWEMEGYAAGRVGADEVEAKLRLEGRELRARVAARREEAVAALEDGGTSQVALARFLADAEKLRGQLGD >PAN15475 pep chromosome:PHallii_v3.1:2:57154102:57158436:-1 gene:PAHAL_2G490700 transcript:PAN15475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLQTVMAKARKDLGLLPASGPATPTSAAAAHSPFLLSRQNSGRCGGGAGTAPSPLSVSSPSSWAPPPVFSRSNSVSNGAAEEMAGVGEDLMSPANGNGPPSPFFGAPAGDPLLDELQLQEQLAFLNDAGAGAGHQLPLFDGTECRSPSAGDGGFFPYGGLGWANGGPGHRRSSSVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDATALAGAKMDPATMEQQCQGILLRSKSQRLAAAAFHYSPTGSLPGSPSAASKCLSLLLQQQNENQRAAAAAAAAALMLGGDEAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQLQGERVDFSSGLDARDSFDLHQLGARMLQHSNSANEMLLRRKLEEQQQQAAELQQAMELQSRRLMGLQLLDLKSRSSPSPIGMPFSPTRVVASPTVESPPDSVDQGKGSSFLLPQRRAVNGGDKEESSGEASPNADSDQSAEHNLPDSPFASPTKSTAAFAHDPFAPTESEIAAAASPGRNAASFAGISNGGLTSHLRPSALDIPSPKPYFFPMSRLSSDHGAGAIGM >PAN15476 pep chromosome:PHallii_v3.1:2:57155167:57157957:-1 gene:PAHAL_2G490700 transcript:PAN15476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLQTVMAKARKDLGLLPASGPATPTSAAAAHSPFLLSRQNSGRCGGGAGTAPSPLSVSSPSSWAPPPVFSRSNSVSNGAAEEMAGVGEDLMSPANGNGPPSPFFGAPAGDPLLDELQLQEQLAFLNDAGAGAGHQLPLFDGTECRSPSAGDGGFFPYGGLGWANGGPGHRRSSSVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDATALAGAKMDPATMEQQCQGILLRSKSQRLAAAAFHYSPTGSLPGSPSAASKCLSLLLQQQNENQRAAAAAAAAALMLGGDEAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQLQGERVDFSSGLDARDSFDLHQLGARMLQHSNSANEMLLRRKLEEQQQQAAELQQAMELQSRRLMGLQLLDLKSRSSPSPIGMPFSPTRVVASPTVESPPDSVDQGKGSSFLLPQRRAVNGGDKEESSGEASPNADSDQSSAEHNLPDSPFASPTKSTAAFAHDPFAPTESEIAAAASPGRNAASFAGISNGGLTSHLRPSALDIPSPKPYFFPMSRLSSDHGAGAIGM >PVH64132 pep chromosome:PHallii_v3.1:2:31272810:31295625:-1 gene:PAHAL_2G196000 transcript:PVH64132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMATPSNVSWDHAGHLHTNTLHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSQWHPIEVDVVGYRLVDTIETAALEAIHIFCNQHPMEVAGYPIGLFPSIDSSDPEWNFRIAHCGHLWGDLAEETIHSITKFMNVQHHYQILLRRSLGQLTGVAQGHYRNANCQVTQIVEL >PVH65191 pep chromosome:PHallii_v3.1:2:53964103:53965344:1 gene:PAHAL_2G438400 transcript:PVH65191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSALGRDERAATVAGPDPAPAPAPAPAPASNVAELPVDVLYEILLRIPAKALCRLRLVCRSWRSLTSDPCFAGAHSSRHPLIAGLRRHDDEIHVVDVYSGGVAKTIRGLGLTCLDVNLSVQGNLVCFLAAPCKYDMQPQARILDLTTGATTVLPDGDMDTHLSACVLGHVPSTGEHKVLRVGSLYATNPQSCDVVTLATGDGGAQHWRATPHPPISVSLDFRQIAVVGAVVYFLLDGFSIHDGPDVKPDSVASFDLVAEEWTPTTIRGPLSTHHLVDAFLVHGRRWLLNYIQLVKLNGCLAMIHHDDQCCSMDLWFLQLQVKMCKGSWTKRYSIQHASLSKCLLFSLPYPLVVLDDGRILVWFRRARGLRSYDPITRTWEDLAVLEDYLPVSVYEGSLLRSGVQGLSSPGNT >PVH64543 pep chromosome:PHallii_v3.1:2:45454525:45455037:1 gene:PAHAL_2G299800 transcript:PVH64543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPKATASVALAMAVGLVAMVSLVAGTPGFATFYTPSYTPSACYGYQEQGVMIAAASEAFWNGGEACGHQYVVSCTGATNEGVPHPCTGRSVTVKIVDLCPAGCRGTIDLSQEAFAVIADPNAGKVQIEYRR >PVH64512 pep chromosome:PHallii_v3.1:2:44872229:44881835:1 gene:PAHAL_2G290800 transcript:PVH64512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALCIALARKGVFVTVVDFSEENGREVVSIVQKENKLIHEYARVPSAIFIKCDVTNGDALAAAFSKHVDTFGGLDICINCAGFVNKSLVYEDKSDGISTWRHAVNVNLVAVIDGTRIATQVMRSQKKPGVIINIGSVAGLYPMSYEPVYSGTKGGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMGEQVNRVLVDALGGFLKVEDVVNGAFELIEDESKAGACLWISKRRGMVYWPISEKEKSYLVYSSKSKRKLVKNGFPSIQTPEYFQKMVVHTLSHNFRNATRLEHVRLQLPIEPHSTIVKIIYAGVNASDVNFSSGRYFSSNAKEAAAHLPFDAGFEAVGIVASIGDSVKHIKVGTPVALMTFGSYAEFMLIPAKRLIPVPRPDPEVVAMLTSGLTASVALEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKSTFLASLGVDRVVNYRNENIKDVLKKEFPRGVDIIYESVGGETFDVCLNALAVYGRLIVIGMISQYQGEEGWKPQNYTGLCEKILGKSQTVAGFFLIQYAHLWQDHLEKLFNLYASGKLKVFLDPKKFLGVASVADAVEYLHSGRSVGKVVVCMDPSYGQTLAKL >PVH63371 pep chromosome:PHallii_v3.1:2:1059394:1060428:1 gene:PAHAL_2G015600 transcript:PVH63371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAMDLEAGAPRHPTKAMLNSGAAGRPDSVMPVLHPGKPASTCSSPLHCSLLHLDGKVLIIEAKRWMDSSCISCCTSSQLLPANPCAPMHNF >PVH63769 pep chromosome:PHallii_v3.1:2:7973599:7974492:-1 gene:PAHAL_2G101200 transcript:PVH63769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSSLVQLLLIAVAVAQIVGSHAGAISVYWGQNGGEGKLADTCATGNYKFVNLAFLAAFGNGQPPVLNLAGHCDPTNGGCTSLSADIKSCQSNGVKVMLSIGGGAGSYYLSSAEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYSSGSTTDLADAWKQWLSIPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDGYSSSVKSDV >PVH64064 pep chromosome:PHallii_v3.1:2:24660865:24661638:1 gene:PAHAL_2G175700 transcript:PVH64064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRADAGGVAPPHGGGGRQATSKRLCCAATGGEWATAGLIFLPHHEGRTVPSLLSLSSVGRLLQLWRPLQCLNPAACNLFEQMPEWYG >PVH64063 pep chromosome:PHallii_v3.1:2:24660558:24662019:1 gene:PAHAL_2G175700 transcript:PVH64063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRADAGGVAPPHGGGGRQATSKRLCCAATGGEWATAGLIFLPHHEGRTVPSLLSLSSVGRLLQLWRPLQCLNPAACNLFEQMPE >PVH64065 pep chromosome:PHallii_v3.1:2:24660558:24662019:1 gene:PAHAL_2G175700 transcript:PVH64065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRADAGGVAPPHGGGGRQATSKRLCCAATGGEWATAGLIFLPHHEGRTVPSLLSLSSVGRLLQLWRPLQCLNPAACNLFEQMPEWLRSRCRKEQAVRRDWLRIRC >PAN10565 pep chromosome:PHallii_v3.1:2:8014023:8019592:1 gene:PAHAL_2G101900 transcript:PAN10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEDGGSCNLLQVLFEGAVAGGAAGAVVETALYPIDTIKTRLQAARGGGKIQWKGLYAGLGGNIVGVLPASAIFVGVYEPAKRKLLELLPENLSAIAHLTAGAIGGAASSLIRVPTEVVKQRIQMSEFKTAPDAVRLIVAKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLQIGYRVAAKRDLKDAENAIIGAFAGAITGALTTPLDVMKTRLMIQGHANQYRGFVDCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAQRSSRRNARLKL >PAN15366 pep chromosome:PHallii_v3.1:2:56634884:56637067:-1 gene:PAHAL_2G483200 transcript:PAN15366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPNPQPQVEKEVVVEHQQEAKRGPKLRYLDFVHVAAAQAAVCLAGLYGLAKGHAGPLRPGVDAVESAVKGVVGPVYDRFHGVPLDVLAFVDRKVDDTVHELDKHLPGALKAASAQAYSVARGIPEVARELTAEAQQSGVKGAARAALAKVEPVAKDVYGRVEPVARDLYVRYEPAAEHLAVSTWRSLNGLPVFPQVAQIVVPTAAYWAEKYNKVIAAAANQGYTGAKYLPAIPTERIAKVFSSSTPESEPLAETK >PAN09409 pep chromosome:PHallii_v3.1:2:1488742:1491733:1 gene:PAHAL_2G021100 transcript:PAN09409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLRDVASAAARSARGHRVLDPSGAFSAPGSRGTAPSAQGLRHYAAPSSEAVGNLEMSLELDAPKAKRNRSGISKKSGTAMMLPLHFHYEDVLRQDLLLKQNHANIMQVPGLYEIRLAPKAGSDLKVPIGKLAMEILSGQRFKEAQSDPFAKARKSARTNPFIGAGKDSGSAFARQSVLRGHAMYNFLVRMLTVMSMLDSRAEIRENTIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSADTKDETSLLWSGFMLNDEGETK >PVH63406 pep chromosome:PHallii_v3.1:2:1488717:1491900:1 gene:PAHAL_2G021100 transcript:PVH63406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLRDVASAAARSARGHRVLDPSGAFSAPGSRGTAPSAQGLRHYAAPSSEAVGNLEMSLELDAPKAKRNRSGISKKSGTAMMLPLHFHYEDVLRQDLLLKQNHANIMQVPGLYEIRLAPKAGSDLKVPIGKLAMEILSGQRFKEAQSDPFAKARKSARTNPFIGAGKDSGSAFARQSVLRGHAMYNFLVRMLTVMSMLDSRAEIRENTIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSADTKDETSLLWSGFMLNDEGETK >PAN11694 pep chromosome:PHallii_v3.1:2:34809380:34811139:-1 gene:PAHAL_2G208700 transcript:PAN11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGGKAPVPAKKKTVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLEKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKLLRQRNQLL >PVH64319 pep chromosome:PHallii_v3.1:2:40081407:40081845:-1 gene:PAHAL_2G236500 transcript:PVH64319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFRIIIVLSIICVELDPDAYIKSIRFLFKTGYGTYLCGLISLHRILEFSSIGREINDL >PAN10513 pep chromosome:PHallii_v3.1:2:7601310:7603532:1 gene:PAHAL_2G098000 transcript:PAN10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPGRSSKRRRSDSGELEAGESSPVAAEATEVPNPHPATPPSPSSPPSDEGGGGGGGVDYISGLPDAILGEIIALVSTRDAARVQTLASRWRHIWRAAPLNLDSRELLDADEALSGAVSRILADHPGPGLRFCIPSHIVRDRPAAVDAWLRSPALDSLQELDFWLGQSCWSRRELPASTFRFAATLRVATFSELDLLDAKVEALHFPQLTHLALQDVSMAKGSLHTMISSSSCPVLECLLLDNCYGCRRLRISSNSLRSIAVGVDMHIGKPRLKEVTIVNAPCLERFLHIKIDEPVNVSVIVAPKLETLGCLNDEIDSSRLVFGTTVLQGFLVVSLTTVVRSVKILALKSLYIKLDTVIGLMKCFPCLEKLYIKSCISGRAMNRWRRKHRDFIKCSDIHLKTIVLEQYRGIRSQVNFASFFLLNARELELMTLELESQDYSEEFVAEQHGMLQMEKRASRGARLHFTGKGCQRFLMHVKHIRDLSITDPFECRCYN >PVH63751 pep chromosome:PHallii_v3.1:2:7601310:7603532:1 gene:PAHAL_2G098000 transcript:PVH63751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPGRSSKRRRSDSGELEAGESSPVAAEATEVPNPHPATPPSPSSPPSDEGGGGGGGVDYISGLPDAILGEIIALVSTRDAARVQTLASRWRHIWRAAPLNLDSRELLDADEALSGAVSRILADHPGPGLRFCIPSHIVRDRPAAVDAWLRSPALDSLQELDFWLGQSCWSRRELPASTFRFAATLRVATFSELDLLDAKVEALHFPQLTHLALQDVSMAKGSLHTMISSSSCPVLECLLLDNCYGCRRLRISSNSLRSIAVGVDMHIGKPRLKEVTIVNAPCLERFLHIKIDEPVNVSVIVAPKLETLGCLNDEIDSSRLVFGTTVLQGFLVVSLTTVVRSVKILALKSLYIKLDTVIGLMKCFPCLEKLYIKAEQ >PAN12024 pep chromosome:PHallii_v3.1:2:40085880:40091379:1 gene:PAHAL_2G236600 transcript:PAN12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEVVGAETAPAEVKVSDGEVNLFQEKESKATAKEREEAAVFGSETTTNVADMAPPKDAKDEWPEPKQTYAFYFVKIRSFEDPKLRAKLEQADKEFQKKIQARSKLIEALRAKKSERSSIISELKPLSAENKQYNEVVNEKIKEMEPLRNSLGRFREENNAMRAQGAGLCSSIEELEQTIKMLNDRIVHESISLPEEKRLVKEIKDLEKTRSKVISNAANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKVVDAEIASLQEDLDAATARKDKAYESLQELRAARDAKNASFLQNRTVLNKARDYSSRNMLTELQELHKTEVDKFMTQWCESKAFREDYEKRILASLNSRLLSRDGRMRNPDEKPIFIESQAPAPAAEMDPVPVKLPAKQAKEAPAPPADEAPKVEVRSKGPVKSLKAKAALDADDDYEAEPPKEKAKPTEADVAKLKEKKRQEEIEKNRLALERKKRQAEKQAAKAAARAQKDAEKKLKKEEKKAKKKSGAADTDEPSESDAKSDEAMEAQAEEEAAPVSTTGKKEQKENARYRSGVSRSKAPPPKAILKRKKAQSYWSWAGPAAAVAAAVLVALLAVLGYYQYYLPASASN >PAN12026 pep chromosome:PHallii_v3.1:2:40085879:40091379:1 gene:PAHAL_2G236600 transcript:PAN12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEVVGAETAPAEVKVSDGEVNLFQEKESKATAKEREEAAVFGSETTTNVADMAPPKDAKDEWPEPKQTYAFYFVKIRSFEDPKLRAKLEQADKEFQKKIQARSKLIEALRAKKSERSSIISELKPLSAENKQYNEVVNEKIKEMEPLRNSLGRFREENNAMRAQGAGLCSSIEELEQTIKMLNDRIVHESISLPEEKRLVKEIKDLEKTRSKVISNAANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKVVDAEIASLQEDLDAATARKDKAYESLQELRAARDAKNASFLQNRTVLNKARDYSSRNMLTELQELHKTEVDKFMTQWCESKAFREDYEKRILASLNSRLLSRDGRMRNPDEKPIFIESQAPAPAAEMDPVPVKLPAKQAKEAPAPPADEAPKVEVRSKGPVKSLKAKAALDADDDYEAEPPKEKAKPTEADVAKLKEKKRQEEIEKNRLALERKKRQAEKQAAKAAARAQKDAEKKLKKEEKKAKKKSGAADTDEPSESDAKSDEAMEAQAEEEAAPVSTTGKKEQKENARYRSGVSRSKAPPPKAILKRKKAQSYWSWAGPAAAVAAAVLVALLAVLGYYQYYLPASASN >PAN12025 pep chromosome:PHallii_v3.1:2:40087435:40091379:1 gene:PAHAL_2G236600 transcript:PAN12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEVVGAETAPAEVKVSDGEVNLFQEKESKATAKEREEAAVFGSETTTNVADMAPPKDAKDEWPEPKQTYAFYFVKIRSFEDPKLRAKLEQADKEFQKKIQARSKLIEALRAKKSERSSIISELKPLSAENKQYNEVVNEKIKEMEPLRNSLGRFREENNAMRAQGAGLCSSIEELEQTIKMLNDRIVHESISLPEEKRLVKEIKDLEKTRSKVISNAANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKVVDAEIASLQEDLDAATARKDKAYESLQELRAARDAKNASFLQNRTVLNKARDYSSRNMLTELQELHKTEVDKFMTQWCESKAFREDYEKRILASLNSRLLSRDGRMRNPDEKPIFIESQAPAPAAEMDPVPVKLPAKQAKEAPAPPADEAPKVEVRSKGPVKSLKAKAALDADDDYEAEPPKEKAKPTEADVAKLKEKKRQEEIEKNRLALERKKRQAEKQAAKAAARAQKDAEKKLKKEEKKAKKKSGAADTDEPSESDAKSDEAMEAQAEEEAAPVSTTGKKEQKENARYRSGVSRSKAPPPKAILKRKKAQSYWSWAGPAAAVAAAVLVALLAVLGYYQYYLPASASN >PVH63774 pep chromosome:PHallii_v3.1:2:8084994:8089233:1 gene:PAHAL_2G102900 transcript:PVH63774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSHLLVLVLALLSALALPSSAASGSTLTQSGALTGDQTLASPGDTFRLGLFPACNRSRWFLGIWFTVSPDTVVWVANRDRPLTSPSGVVEVSGGGALVLRGGASKNYTVWSSSNSSAAAVVVAGAVAELRDTGNLVLTDAAGAVLWQSFEHPTNTFLPEMRVGRSLRTGAEWSLSSWRDADDPSPGDFRYVMDARGAPELHVWSRGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFRFTATADEVSYMFRNRDSSPMSRVLLNESGVMQRMVWDRASGSWSNFWSGPRDQCDSYGRCGAFGVCNVVGAVVCGCIAGFAPRLAAEWYMRNTSSGCARRTPLQCGGGDGFYALRGVKLPDTHSSAVDAGATLQECARRCLADCSCTAYSAADIRGGGSGCIQWFGDLVDTRFVDGGQDLYVRLAKSELDAPKNTRKFAAVIALVIAGFLLLLLSLAFLMWRKARRSKKVSTMLDEAAELMSGECPTYPLGTIRTATNGFCKENIIGRGGFGVVYKGQLPDGQQVAVKKLSAESTASQGLNNEFLNEVVLIAKLQHRNLVRLLGCCVHCSERMLVYEYMSNKSLDAFIFDERRRASLGWKTRLGIILGVARGVLYLHRDSRLNIIHRDLKAANVLLDADMVAKISDFGIARLFSSSADRQETITRTIIGT >PAN10581 pep chromosome:PHallii_v3.1:2:8084899:8090727:1 gene:PAHAL_2G102900 transcript:PAN10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSHLLVLVLALLSALALPSSAASGSTLTQSGALTGDQTLASPGDTFRLGLFPACNRSRWFLGIWFTVSPDTVVWVANRDRPLTSPSGVVEVSGGGALVLRGGASKNYTVWSSSNSSAAAVVVAGAVAELRDTGNLVLTDAAGAVLWQSFEHPTNTFLPEMRVGRSLRTGAEWSLSSWRDADDPSPGDFRYVMDARGAPELHVWSRGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFRFTATADEVSYMFRNRDSSPMSRVLLNESGVMQRMVWDRASGSWSNFWSGPRDQCDSYGRCGAFGVCNVVGAVVCGCIAGFAPRLAAEWYMRNTSSGCARRTPLQCGGGDGFYALRGVKLPDTHSSAVDAGATLQECARRCLADCSCTAYSAADIRGGGSGCIQWFGDLVDTRFVDGGQDLYVRLAKSELDAPKNTRKFAAVIALVIAGFLLLLLSLAFLMWRKARRSKKVSTMLDEAAELMSGECPTYPLGTIRTATNGFCKENIIGRGGFGVVYKGQLPDGQQVAVKKLSAESTASQGLNNEFLNEVVLIAKLQHRNLVRLLGCCVHCSERMLVYEYMSNKSLDAFIFDERRRASLGWKTRLGIILGVARGVLYLHRDSRLNIIHRDLKAANVLLDADMVAKISDFGIARLFSSSADRQETITRTIIGTYGYMAPEYAMDGTVSFMQDVYSFGVLLLEIVSGLKNQRSFNLIAHAWELWEGGRSHDLVDPAIRGGCTAGELGQAATCVQVALLCVQECPGQRPPMADVIPMLLQQKAPARPQRPVVCTPTRSHPAAAALAAQAEITGGNELAITSLEGR >PAN09447 pep chromosome:PHallii_v3.1:2:1757830:1761447:-1 gene:PAHAL_2G024500 transcript:PAN09447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFPHLLLIPVVFLILGNARAQPKPLLINCGSDASTDVDGRTWVGDSRPDGANFTVSSPGAIAPAPKAAGGEDVYGDLYRTARVFNASSSYRFSVAAGSYILRLHFSQLFSNLSSKESVFDVTANGLKLISKLSVPGEVYWRNSKVNSTSNVIVKEYLLNVTSGKLEVEFAPDAGSFAFINAMEVVPVPGSSVFDSVNKVGGVGLKGPFSLGDSGIETMYRVCVGGGKIERKEDPRLWRKWDSDEHYIFSLNAAHAIKNTSNISYVSGDDSTSAPLRLYETARVTEETFVVDKKFNVSWRFNIDPGFDYLVRLHFCELEYEKAEQRKFKIYINSKIAAENYDVFAKAGGKNKAFHEDFLDDASLQTDTLWVQLGSESSATSAAASDALLNGMEIFKVSRDGNLGHPTIRIGGMSGGMVKPKRSPKWVLIGAAAGLVIFISTAGAVYFCFYLRRKKNTPANKTKDNPPATPMATNARASSTLRTTGTFGSCRMGRQFSIAEIKAATMNFDESLVIGVGGFGKVYKGETENGTPVAIKRGHAQSQQGVKEFETEIEMLSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGSDLPALTWKQRLEICIGAARGLHYLHTGLERGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYFMRQQLTQSSDVYSFGVVLFEVLCARPVINPTLPRDQINLPEWALKWKRQNLLETIIDPRLDGNYTLESVRQFSEIAEKCLADEGRSRPSMGEVLWHLESALQLHQAHLQSATADGLSGHELKLSNSSTNMRRMKEEEESAQTVRMQMGKQLI >PAN11495 pep chromosome:PHallii_v3.1:2:24455820:24457045:-1 gene:PAHAL_2G174800 transcript:PAN11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHHLAVAAAAAVLFLLSPPPCAADPDDERCLSHLHQSLSDPSGGLRNWTKAAFSAPCEGFYSHLQGVTCNNGRVYKLALPGLSLGGTIPPELSNCTNLQSLDLSANTLSGAIPPELSALLNLAVLNLSSNALSGAIPRELASCAYLNVIDLHGNQLSGPIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRTSGGTAAVGTARFNASSFAGNKDLYGYPLPPLRTRGLSVLAIVSIGLGSGLLSLVLSFSAVCLWLRATDRTATTPGEEGKISQLMPDY >PVH64508 pep chromosome:PHallii_v3.1:2:44800636:44802429:1 gene:PAHAL_2G289600 transcript:PVH64508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMQGFNWESWKKQGGWYNYLRGRVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLIAAFHAKGVQCVADIVINHRCADYKDSRGIYCIFEGGTPDGRLDWGPDMICSDDTQYSNGHGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKSDLGFDGWRLDFAKGYSAEVARVYVDNTAPTFVVAEIWSSLQYDGNGEPSNNQDRDRQELVNWAQAVGAPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPPTRSCKATLTSSRTQELPASSTTTFSIGT >PVH64315 pep chromosome:PHallii_v3.1:2:40047864:40049105:1 gene:PAHAL_2G235900 transcript:PVH64315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREPGHQLQQLLLLGSIQLKVRYLIVLPFLLHAPLTTSRTAPHCSSDPTPLSLSCAARVLRPIAAKLGMTHWDWAAGPCGPAGGVDCQCSNYYSNQTVICHVVRMYVIKRGFSKLADDPIQGCAVMPVVQWVTQQIALIVFAESSRAATSPASFRPTAPTSHICNISVTPSVSRCLLNLTTPKQQIRASNLR >PVH63420 pep chromosome:PHallii_v3.1:2:1742977:1744620:1 gene:PAHAL_2G024200 transcript:PVH63420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQYLSEESFENLKQTIEDDIQQAKNDQARLRRELDELNMEMRRRELQDLARRVEMIADITCAVILVAQLILVIGH >PVH63421 pep chromosome:PHallii_v3.1:2:1742977:1744620:1 gene:PAHAL_2G024200 transcript:PVH63421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQYLSEESFENLKQTIEDDIQQAKNDQARLRRELDELNMEMRRRELQDLARRVEMIADITCAVILVAQLILVIGH >PAN13747 pep chromosome:PHallii_v3.1:2:49304730:49305166:1 gene:PAHAL_2G362500 transcript:PAN13747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVFTKFAVTSMVMWMAPVVIMYGFYYQVFPGVSQMSPSARTLASGFLAVISVNLVIGLFIFMAMKETPHQEPQPDPTFLANAKARINQPAFYQVSDDSKGKGKVE >PAN15306 pep chromosome:PHallii_v3.1:2:56360089:56361886:1 gene:PAHAL_2G479200 transcript:PAN15306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFRVAQQVLRQGKSRPGPALPGVARHFSAAADSQRLAGKVAVITGAASGIGKATAAEFVRNGAKVVLADVQDDLGRALAADLGADSASYTRCDVTDEAQVAAAVNLAVARHGKLDVMFNNAGVVGSLARPALGALDLADFDRVLAVNTRGVVAGVKHAARVMVPRRRGSIICTASIAGVLGMLTPHPYSVSKAAVVGLVRAVAGEVARSGVRVNAVSPNYIATPLVMRILADWYPGMSDEERRLIVERDMNEMEGVVLEPEDIARAALYLASDESKYVNGHNLVVDGGFTVGKAPNMPAPTQ >PVH64113 pep chromosome:PHallii_v3.1:2:30081536:30084525:-1 gene:PAHAL_2G191100 transcript:PVH64113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGAMDATLLLSTSDATLAARRRPSTPARRPGRVAPGRRPASCRSMRALAAAAAAPVAGGVAGQSNGVYTVGDFMTRKENLLVVKTTTSVDEALELLVQHRISGFPVVDDNWKLVGVVSDYDLLALDSMSGNGLADTNKNMFPDVDSTWKTFREIQRLLSKTNGKVIGDVMTSSPIAVRENTNLDAATRLLLETKYRRLPVVDSTGKLVGMISRGNVVKAALKMKKKSEEGA >PAN14760 pep chromosome:PHallii_v3.1:2:54211922:54216417:1 gene:PAHAL_2G442700 transcript:PAN14760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEAWERAVEAALHAGGEGSSSPARSLTLDGAVKCMYGRLPAAEILERHQSLEHLSIAGVGVTSLEGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLSSLRDLDLSNNRIQDVDDLAPLAGLRLVSLDLYECPVTRVKDYRSRVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEGDGEGDGDGDGDGDEDDDDEEDEDDPGSGEVANGGVSHSRGGVASHPVEVNGVIDVDEEESDADEVVPNGGIEHHHGANGFRVAAAGEAPEEEDEDVEDDDEDDDEDFEEEEEEEDLGEEIDEDGDDEDAVVEVHDVPSSDDEEDGVEVEDDEEDDEEEEVEDEGEEAEPQSSGRVAMMTGEDGGEEIDGHEHGEGDDEDENGEIGEEDDEGLEADRGFEEGNEDEDADDEDEDTEYLVQPIAQPQPVPIGSDFDAADPDDADEDREEVDDDDEGAADQPSSSQGTKRKRDDDDPSGSGDDSEDDGVEDLRPFKHH >PAN13828 pep chromosome:PHallii_v3.1:2:49556358:49558845:-1 gene:PAHAL_2G366600 transcript:PAN13828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDHGGGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >PVH63983 pep chromosome:PHallii_v3.1:2:17774355:17775894:-1 gene:PAHAL_2G156800 transcript:PVH63983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex subunit 4 [Source:Projected from Arabidopsis thaliana (ATCG00730) UniProtKB/Swiss-Prot;Acc:P56774] MMKNSHVRFLWGMDPKEFTYPNNKEPDLNDPILRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRGPVATTVFLIDIAVALWLGIRATLPIDKSLTLGLF >PAN10982 pep chromosome:PHallii_v3.1:2:11765965:11770135:-1 gene:PAHAL_2G131200 transcript:PAN10982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPRRAHPSSRPSLPASGSPSPPAQRREMGARGLPPALPAAMPALLLLCLVVVPSALLRPAAEAARGHRDDGAPSGGTGGSCSNSTAPRLWVFGDSYADTGNLGDLGRELTHAWYDPYGDTFPGRPTGRFSDGRVLTDFIASAMGMPTPVAYKLRRGAGPGLLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQRPAAGGGARGCDAGVALVVVSGNDYSYAADKDNGTSAAIAYIPTVVRQLREQLRRLRDEAGMRRVVVTSLHPLGCTPLFTRALNYSGCDPLANAGAAQHNAALRSVLAGLDPTNRTFLLLDLNAPFAALVDAPAAAPGRFAEPRRPCCETLDAGGYCGQQDDDGKRMYTLCDDPTKHFYWDDAHPTQAAWAAVAEAFRPKIREFLST >PAN10983 pep chromosome:PHallii_v3.1:2:11766764:11769488:-1 gene:PAHAL_2G131200 transcript:PAN10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLIIPSSLLARVDEGLVVVPSALLRPAAEAARGHRDDGAPSGGTGGSCSNSTAPRLWVFGDSYADTGNLGDLGRELTHAWYDPYGDTFPGRPTGRFSDGRVLTDFIASAMGMPTPVAYKLRRGAGPGLLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQRPAAGGGARGCDAGVALVVVSGNDYSYAADKDNGTSAAIAYIPTVVRQLREQLRRLRDEAGMRRVVVTSLHPLGCTPLFTRALNYSGCDPLANAGAAQHNAALRSVLAGLDPTNRTFLLLDLNAPFAALVDAPAAAPGRFAEPRRPCCETLDAGGYCGQQDDDGKRMYTLCDDPTKHFYWDDAHPTQAAWAAVAEAFRPKIREFLST >PAN11246 pep chromosome:PHallii_v3.1:2:30784110:30787069:1 gene:PAHAL_2G193700 transcript:PAN11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSALSLVKDRAKVGALKRLTLSSSKTAGRNSSGRITSFHRGGGAKRLQRKVDVKRSTSSLGIVERIEYDPNRSSSIALVRWVQGVHFRRHKIPQELSTESQIPESTTADISARFSLAPLSGRVHKAKEASSALYSSASSALYSSLGNGDIPSVNSCASMSLPRIALAGAKPTFFTQARGNEEGKQTFSLSGIQKWATDDALWAQRMKRQAALSWQNDLKKKPLPQAQANFSTSTTKSMGTSKGPNGKVDCVPVSYILASHQCLPGSTVMNYDSSKPSKSSASSLSANQFDIIDLNSKVGNCIPLANARIGTWVHDIECRPGQGGKMVRAAGTFAKVVQEPGAQCVLRLPSGAEKTVDSKCRATIGIVSNPSHGTRKLRKAGNSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPSAASRRA >PAN13600 pep chromosome:PHallii_v3.1:2:48574129:48576344:-1 gene:PAHAL_2G349800 transcript:PAN13600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVAVVGHQNNPLYLQSFTEADDALKLHHIVHCSLDVIDERVNNPKRSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTG >PAN09956 pep chromosome:PHallii_v3.1:2:4534394:4540189:1 gene:PAHAL_2G061300 transcript:PAN09956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKAANLDAVLKEAVDLENIPIEEVFENLRCSPQGLTTAQAEQRLTLFGPNKLEEKQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEESAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQIGHFQKVLTAIGNFCICSIAVGMLVEIVVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGAHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFQRGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGVQEIHFLPFNPTDKRTALTYLDREGRMHRVSKGAPEQILHLAHNKTDIERRVRAVIDKFAERGLRALGVAYQEVPDGRKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDGSIATLPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFATGVVLGGYLAMMTVIFFWAAYKTNFFPRVFGVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWAFAAIKGIGWGWAGVIWLYNIVFYFPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGREDRELKWAHAQRTLHGLQAPEASIFENKTTFNELNQLAEEARRRAEMARLREVTTLKGRMESVVKQKGLDIETIQQSYTV >PVH64701 pep chromosome:PHallii_v3.1:2:47786019:47787041:-1 gene:PAHAL_2G337100 transcript:PVH64701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPIKREMSAEPSGSPRSWASASTSASSEHHQTVWTSPPKRPSGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEGAARAHDAAMLAIAGPGACLNFADSAWLLAVPASYASLAEVRRAVAEAVEEFQRREALPEEEDARSATSSTPSSPASVEDEASTDGEESSPFELDAFGDMSWDLYYASLAQAMLMESPSTAAAFCDEGVADVPLWSY >PVH64474 pep chromosome:PHallii_v3.1:2:43965806:43973226:-1 gene:PAHAL_2G278100 transcript:PVH64474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFGAPADDPKVFRNICRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMGYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFTIDMQGFVTDHDSALNDLYGPSEQNSKMFNDTISTMATRIATTFASLKEFPCVRYRAPKGDASATTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGTKYIYEVSKAGSEPEQKEAVLEDHDPLWLELRHAHIADASERLYEKMNNFVSKNKAAQLHSRDGGEISTRDLQKIVQALPQYSDQVEKLTLHIEIAGKINRFIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDDMDVIKCLRYLEGVDTKKSSRTGTFSLKFDAQKKKNAARVEKQDGEETWALSRFFPLIEELIEKLSKGELPLKEYPSMGEPSSAPQGATQTASTAGPPQNPQPMSMRSRRTPQWAKSRTSGDSQSSDSSVLRHSSGEFKRLGNRIFIFMIGGATRSELRTVHKLTMKMKREIVLGSSSIDDPPQFISKLKSIGSAANK >PAN13942 pep chromosome:PHallii_v3.1:2:50001826:50002080:-1 gene:PAHAL_2G373700 transcript:PAN13942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLINLLRRCSITLS >PVH63319 pep chromosome:PHallii_v3.1:2:290993:292705:1 gene:PAHAL_2G003800 transcript:PVH63319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNPPVPQQDSDWEIRVAVQVSLLLQILLIFLGPVRKRSSHRFPRFTVWSCYLLADWVADLALGLLLNNMGTIGGGNSSSSSSSSFGLKRGGGGGATAGNNASDSSSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSASVIFFCSLRGNPMVHATVLMFVAGIIKYAERTYSLYSGSVDGFRTSILDPPEPGPNYAKLMTEFDSKEKAGLNVEITIADGEASKAQKDMETQETTRLVVTVDKSVEARAYDFFLIFRRLFVNLILSFKERRLSQAFFLEREGMKPGEAFEVIEVELNFIYDMVYTKAPVAHRWTGWVLRSICSGCLAIFFLLDKPRHGIRRVDVGITYALLLGGLALDAAALLILLFSNRVTVYLEESRRFRWLARLTRAAKRWQRRTRRWSGKTSQLNLVGYCLRKPEQRRLWLRAADKFCLGEIVDDLVFIRRMPLITSVEGSSRLLDFVFDGLRDAAIELNKAKPVAGSNVGFQKIMEVCGCRGERVIRSHEDAVKLAVGEDKFELIMDSVAKSDFDESLLLWHVATDLCRLNDKHRADVS >PAN09566 pep chromosome:PHallii_v3.1:2:2365735:2384392:1 gene:PAHAL_2G033900 transcript:PAN09566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT5G16715) UniProtKB/Swiss-Prot;Acc:F4KE63] MALAGPSSALLSSSSSACLRRLNPLLLSAACRRPAGGQRRAARRFCAAIASEADVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPALWIPGTDHAGIATQLVVEKMLAAEGVKRTDLTREEFTKKVWEWKEKYGGTITNQIRRLGASCDWSRERFTLDEQLSRAVIEAFVRLHDKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGTRDDFLTIATTRPETLFGDVAIAVNPEDKRYAQYVGRLAIVPLTCGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALRAVENGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARTEEEALTKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPNLSKDDYKHFYPSTVLETGHDILFFWVARMVMMGIEFTGSVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKDYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDVSAWDALLANKFDTEASLQELPLPECWVVTGLHGLIDKVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSADKLAAARAQSVLLYVFENILKLLHPFMPFVTEELWQAFPYRKQALMVTPWPTTDLPKDLRSIKRFQNLQALIRGIRNVRAEYTVEPAKRISASVVATADVLEYVSKEKQVLALLSKLDVQNVHFTESAPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDALVARLNSQSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVST >PAN09826 pep chromosome:PHallii_v3.1:2:3876229:3878650:-1 gene:PAHAL_2G052500 transcript:PAN09826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPIKKRKVDEISPLHPALVSQPSPSPGTGGEDYATKATRKTGASLMDEPMNSETETCEKLGMEQPASPTKLSTSSLDRFRHFPLPIMTILRRDEEEEINPNVFMKPRIREPVLATSEKRDSGKRMVVSQNVFGLSTCGITYMTPSTFLSPSPAISGPPSTNARISTAMASIPSSKAHEKEVEVEVVKDSTSQGLAHDFSMPMEALALDQTDPRQSQAAPVTPVPDQIRKKIPTKSAPSVPPATTVVATSDISHIQTQFQYDKNLRTDLYNICNQNSESLLQRIEAYQSQVYKDVARLKEELENARSEKIAAFGRVGILEERLKEQTEKLQSECKRSQEIMASQRELFQENETLKSEMAKKDKDMMILKHNNTIVEKRNIDVEQRNTVLEDRIGLCLQIIKSSQMEFQKAK >PVH63394 pep chromosome:PHallii_v3.1:2:1414963:1416832:1 gene:PAHAL_2G020300 transcript:PVH63394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKCLHVLWPCVQSEADDQRRQVLGRCWWSGRDGVRTSRGQRLIRHDELTSFGCCRLPRGRPPGRLVPGRSGLGRRLPGRQQAGS >PVH63327 pep chromosome:PHallii_v3.1:2:367121:370892:1 gene:PAHAL_2G005200 transcript:PVH63327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G53890) UniProtKB/TrEMBL;Acc:C0LGV8] MPRLRARPKSMAAKCALLLPLLLLARAAAASGPPCHPDDLRVLRAFAGNLTGAGAAALRAAWSSSSSTAAAACCAWDGVACEAAGRVASLRLPARGLAGPLPALALALAGLARLRDLDLSRNALVGPLSALLAAAPPGLRDANLSSNLLDGALPDLAALPALQALDASNNSLSGALAPDLCAGAPALRLLDLSANRLAGALPSSSANATTPLCAATLRDLSLASNAFTGALPAALFDLVGLRSLSLASNGLTGQVTSRLADLKNLTFLDLSGNRFSGHLPDVFGDVPSLENLAAHSNGFSGPLPPSLSQLSSLRVLDLRNNSLSGPIARVNFSGMPFLASVDLATNNLNGTLPVSLAGCQELKSLSLAKNRLTGQLPQDYSRLTSLSMLSLSNNSLHNISGALAVLGACKNLTTLILTKNFIGEELPGHGIGGFGSMEVLALGDCALRGRVPEWLTQCKKLEVLDLSWNQLVGTIPSWIGDFEYLSYLDLSNNTLAGEIPKSLTQLKSLVASRPSPGMAFTSMPLYVKHNRSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLRELHVLDLSNNFISGSIPDALSRMENLEVLDLSSNNLSGSIPSSLTELTFLSKFSVAHNHLVGQIPNGGQFFTFSNSSFEGNPGLCRSGSCNLNLSVETPHDKEIQPASSTRNKKNKILGVAICIGLALAVFLAVILVNMSKREVSTIDYEDTEGSCHELYDSYSKPVLFFQNSAVKELTVSDLVRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKSLVTLRGYCRYGNDRLLIYSYMENGSLDYWLHERSDGGYMLKWESRLRIAQGSARGLAYLHKVCEPNIIHRDVKSSNILLNENFEACLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSVIATPKGDVFSFGVVLLELLTGKRPVDVSKSKGSRDLISWVLQMKSEKKEEQIFDRLIWSKAHEKQLLSVLEIACKCTSADPRQRSSIEEVVSCLDNV >PVH64353 pep chromosome:PHallii_v3.1:2:41285128:41285820:-1 gene:PAHAL_2G247000 transcript:PVH64353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMKGLRINQETHTVSVQCVINRTTHALIWELMPLASNENWLTYLQNVSHWQWPLVLLVSVHQNPPLINIEAGPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVK >PAN14031 pep chromosome:PHallii_v3.1:2:50365616:50368377:1 gene:PAHAL_2G379600 transcript:PAN14031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLFQACYHYRRLASFPRAAEAASPANGYEPWRRYISTSTSKVLPWEASSRETLLRKIDSAMKDGNVEEALQSFGNYKKLHGLPEPQVLNSVIVSLSYMSSRRWLQRAFDMVLSVHQINSNLLNCDSLMKLALALARDQMPVPACTVLRIILESGKLPDVDMLILVFLHMLKSQVGSYLVTDVLIDTCESFLDQVADRREMKKLDPIKNSATLFNMVLESCMNFKCIIKAQKIMELMSLVGVVADVNTVVIASRVFEMVGQRDELIQMKRSIDSLRSLPFLQYYQHFYDSLLSLHFKYNDMDAAAKFLINLHQQRKPSVFFCNGLQKQGVIQIGSGNLKTGYRIMFDPGKVDRGFILGTESQFGLVVLTDGNLLHTEKALAKLIVGCVNSRNMHTLSNIFIILHKEDIDVISLPDVVNACIQMGWLHAAHDILDGLESAGIQVGIASYISLLRAYEKENKSEEFNGLLQQIQKIASTVDDIRTNSPFTIKNIAKIVKDEIPLTHSSLFAALAEEMKHYNPGEHLTLEFNNSILFFCKAKMMEDALCTYKRMREQNIRPTSYTFCHILCGYSSMDMHREITMLWGEIKRRLEYGELDVDRDLLDCLILNFLKGGYFSRVMEVIDYMSKHNVFCDKWKYRHVFLKLHRNLYRNLNSLHDKTEAQNKRIEDVRAFRSWAGIR >PAN14032 pep chromosome:PHallii_v3.1:2:50365609:50368377:1 gene:PAHAL_2G379600 transcript:PAN14032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLFQACYHYRRLASFPRAAEAASPANGYEPWRRYISTSTSKVLPWEASSRETLLRKIDSAMKDGNVEEALQSFGNYKKLHGLPEPQVLNSVIVSLSYMSSRRWLQRAFDMVLSVHQINSNLLNCDSLMKLALALARDQMPVPACTVLRIILESGKLPDVDMLILVFLHMLKSQVGSYLVTDVLIDTCESFLDQVADRREMKKLDPIKNSATLFNMVLESCMNFKCIIKAQKIMELMSLVGVVADVNTVVIASRVFEMVGQRDELIQMKRSIDSLRSLPFLQYYQHFYDSLLSLHFKYNDMDAAAKFLINLHQQRKPSVFFCNGLQKQGVIQIGSGNLKTGYRIMFDPGKVDRGFILGTESQFGLVVLTDGNLLHTEKALAKLIVGCVNSRNMHTLSNIFIILHKEDIDVISLPDVVNACIQMGWLHAAHDILDGLESAGIQVGIASYISLLRAYEKENKSEEFNGLLQQIQKIASTVDDIRTNSPFTIKNIAKIVKDEIPLTHSSLFAALAEEMKHYNPGEHLTLEFNNSILFFCKAKMMEDALCTYKRMREQNIRPTSYTFCHILCGYSSMDMHREITMLWGEIKRRLEYGELDVDRDLLDCLILNFLKGGYFSRVMEVIDYMSKHNVFCDKWKYRHVFLKLHRNLYRNLNSLHDKTEAQNKRIEDVRAFRSWAGIR >PAN14422 pep chromosome:PHallii_v3.1:2:52177372:52181846:-1 gene:PAHAL_2G408800 transcript:PAN14422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLHLSLPPHRLLVAGRGRLYFPPAPRHTRLSVRAAAGDAAMSVAAEAYGFPFPSERASHHRELAAAAAVVERACRLCVDVKRSLFSGGKSILEKNDQTPVTIADFGVQALVSLELQRLFPSTPLVAEEDSASLRSSNADDDSSDVLVESIFSAVSDKVSKSSSLLTQDDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLRGDDALYVVGLALVVNGKVTVGVMGCPNWTNDTIPNEKDGSAAACNGRGILMVSHLGCGTWSRRLSPEIGQSTTAPDIWKRCFVDTCSVVHMARYCIPDSQTWDMIPLSVLFNSTTGESDPRNENEILLLSVFCGSLCKYLTVASGRTSVFVLRARPTTQIKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTSRRIIYPSGGVLVTNGALHDKLVEMISANYK >PVH63339 pep chromosome:PHallii_v3.1:2:548853:550849:1 gene:PAHAL_2G008400 transcript:PVH63339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSMGAGSVRLAAALLALALAAAGSAPPCAAQPLRRNYYAGVCPSVESIVRGVVAKKVQQTGTTIGATVRLFFHDCFVQGCDASVIVASAGNNTAEKDHPNNLSLAGDGFDTVIRAKAAVDAVPQCRNRVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTKSSVDGKLPAPFFNLDQLNKIFAANGLSQADMIALSAGHTVGLAHCGTFSGRLRGPSAPDPTLDRGYAAQLQAECPANVDPRAAVSMDPVTPVAFDNQYFRNLQGGKGLLASDQVLYTDPRSKPTVDAWARSAAAFNRAFVEAITKLGRVAVKTGAQGNIRRNCAVLN >PAN14718 pep chromosome:PHallii_v3.1:2:53567760:53568875:1 gene:PAHAL_2G432000 transcript:PAN14718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLSRDERATLEGGVLPADVMHEILLRVPAKALCRLRLICRSWRSLTSDPRFAREHLPRHPLFAARHVLWVQTHVVDMSGDIVKQIRSFEDLRFFDLSMQPDLICDAETASGRNKRACLLNPATGEINALPDGSMSAAQSTCILGHIPSTGEYKVLRIGGVIDPVQPCEVITLGGGDRKWRERSDPPIHVLTGFTFVAVVGGVAYFLADYYYYAADDTKQDSIASFDLVTEEWRPTALQGPVSTNPVDTKKVVYDPHTSYPQLAKLNGCLVMAFHSYQHCSLDLWFLIDVDRCIWNRGHSIRCPPSWGLRAPYSLVVLDDGKVVVWLKCSQVLKAYDPRIGTWADLAALENYSFFGMLEGSLLCSGLQN >PAN12623 pep chromosome:PHallii_v3.1:2:44000029:44006317:-1 gene:PAHAL_2G278700 transcript:PAN12623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFAKEEHQFLAELGLAPRNPGSFACGAWGGSGPVVTSTSPTNNQVIAEVVEASVNDYEEGMRASFDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLEKNNLPGAIFTAFCGGTEIGQAISLDTRIPLVSFTGSTRAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGDPLEKGTLLGPLHTPASKENFLKGVRTIKSQGGKILFGGSAIESEGNFVQPTIVEISASAPVVKEELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTKKPEIIFKWLGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGSELPLAQGINFG >PAN11861 pep chromosome:PHallii_v3.1:2:37867261:37868878:1 gene:PAHAL_2G223100 transcript:PAN11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSRKMPPMRKVRIFCSDPDATDSSGDEDDQNPKTEKKIIGVVLVPIKNCKTSKPQKTIMPSGMKDLDGPEKKVSSSKYRGVRLRESGRWQAEIRNPLTKKREYSLHNTEEEAAAAYQAKWNKFRAEMLALKAQPPVSEHAALSSLSLVSCISSSVSCKQKAQKAQNREGSLMEVHCDPIDESLRNFSLKPSEIPENVMLNLKDEHPVSDSVRPADGLPPDDFTKPEDMFKASDFIGATYKPLDDDYIGLADISHLPLPINDPEFDLDAELDWSGFDFTSMEHELELL >PAN14643 pep chromosome:PHallii_v3.1:2:53194397:53197525:1 gene:PAHAL_2G426300 transcript:PAN14643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSMEARDASEGMVGQLPARRAAGLILQLIRQGKIAGRAVLIAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPLASSGAGSSSAAPSGATAAGKSGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYKEDEIRKILDIRCDEEDVEMSADAKVLLTKIGIETSLRYAIHLINAAALACQKRKGKVVEMDDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVSGEADGEDAMQS >PVH63635 pep chromosome:PHallii_v3.1:2:5506007:5506939:1 gene:PAHAL_2G073800 transcript:PVH63635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAHLHCSLHGCARAERLRQTRHAESLPRAATWLMMPWRLASLPGRRTPPSWGDPGRCLPAPPADASKCRSCSSLSCSQGYSSPANLDNESSMICSGARMWSLLVSSRIFSIGMESATAETEAKAVVSFMVG >PVH63430 pep chromosome:PHallii_v3.1:2:2028077:2028373:-1 gene:PAHAL_2G028200 transcript:PVH63430 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 2A [Source:Projected from Arabidopsis thaliana (ATMG00285) TAIR;Acc:ATMG00285] MCFDSFEKERFDAFEFIVLIPLPTRSMLLMIPAHDLIAMYLAIELQSLCFYVIAASKRKSEFSTEAGSKYLILGAFPSGILLFGCDRTTTDQFLETSL >PAN14139 pep chromosome:PHallii_v3.1:2:50849969:50852104:-1 gene:PAHAL_2G386700 transcript:PAN14139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTCFKMEHELEKRQSESAKIRDKYPDRIPVIVEKAGKTDVPEIDKKKYLVPADLTVGQFIYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >PAN14972 pep chromosome:PHallii_v3.1:2:54935151:54936023:-1 gene:PAHAL_2G455400 transcript:PAN14972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHRHQGVAAPPDEAPSPRPSAAGCYAFLTRSHRAAGGYRRLDSASARALDDEAAAVRVEVGTTRERAKSVFHVDPAVLEAGPVRRLLAAAGRRAPGGGVAVAVDVLLFEHLLWLATKAGAGSGEGVDGEDDSGAADDLSEIVEFYSQDDDDHHHHGS >PAN13002 pep chromosome:PHallii_v3.1:2:45902592:45903261:-1 gene:PAHAL_2G306700 transcript:PAN13002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCCPSKACCICTLIVLVLVAVGLVFGFGIYTRGFHKLTSNIHLDDRHPGGSFRAYGHFAPPPPY >PAN14798 pep chromosome:PHallii_v3.1:2:54341714:54349034:1 gene:PAHAL_2G445300 transcript:PAN14798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFARAVEDGLKLSKRLVLPGGLPPPRPPSGMDRTVSAAAAAGPDPRLLPTAPMAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPAMIPLQMKEVDLAIDCALDAAHVTLRARWWLHCITRSRECDVRLVVPMGEQGSILGAEVTIGRRSYNTQVIEVEDRTVENHVNIESGGLLKPQLFLLTISQVEGGADIYATFRWSQKLLYDNGRFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGDKLSFMHEAVVENWSSKDFNFSYSVYSADLSGAVLVQPATLRDYDDRDMFCIFLLPGSGNRKVFRKAVVFIVDTSGSMQGKPLDNVKHAVSTALSELAQEDYFNIITFNDELHSFSSCLEQVNEKTIASATDWMNSNFVAEGGTDIMHPLSEAMALLSNVHDALPQIYLITDGSVDDEHNICQTMKTEITNRGSKSPRISTFGLGLHCNHYFLRMLASIGKGHYDAALETASIESRILKWFGRASSTIVANISIDDIKHLDDFEVDSEYIPDISAKSPLCVSGKYHGKFPDTVIVKGYLADMKEISIELKVQHLKEIPLDKVLTTQQINLLTSKAWLSADKQLERKVIKLSIQNGVPSEYTKMVLRETYLDKVDATQKVKQKLKGKKGPDERHIPLYGLKLGFGDKDATRENLITGFGDVRPAEKSVIRRKASGCCSRLADCFCCMCCIKACNRMNDQCAIVMAQVCAALSCLGCYECCAEVCCGGSES >PAN12631 pep chromosome:PHallii_v3.1:2:47395387:47396108:-1 gene:PAHAL_2G330200 transcript:PAN12631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVWPLFTIIFNVTLWPHLLHFNGMLMLSYIFCKGCLICRIINILQGMPDLQDLFFAVVFVSTASLLVVVTGTTKTSTLHLY >PVH65144 pep chromosome:PHallii_v3.1:2:53674104:53675283:1 gene:PAHAL_2G433400 transcript:PVH65144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKIMGAVPKMNEEEPLLAAAALKGRKEQEEAAEKLLSAVRKYTIFLYVGFVFTTLAPRVAVKAAPAAVASTQRFLAAGYDLTVTVAFFATATLLLQALLARVLKPSTRARMTPLAAWPLAAVTWCCITSFFVNCLTFGDENVGGYGEWAAAAGASVANLDMSARTVRCYCVRSSQAARSYKWPCQWPCGAFACLL >PVH63427 pep chromosome:PHallii_v3.1:2:1910279:1910950:1 gene:PAHAL_2G026400 transcript:PVH63427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAAAGSAPAEHKQQQQQQQQQHQSSAPWQAMAVPATADYIGFAQPRKAAGHAMAHSFASPAPHLGNIASIAMAPAQHFGLASGAVTIASGGGGGGEPHAEMTQFSFLQDHFMPVHAAPASGPAGDYNLNFSMSSGLVGVNSRGTLQSNSQPHISGHHHHHHHHNQQLQRLSTPLDAPNIPFLFSPAAAVTTPTTAESQFAAFQLWNGFQHADMKEKGKN >PAN15103 pep chromosome:PHallii_v3.1:2:55391812:55393927:-1 gene:PAHAL_2G464500 transcript:PAN15103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFMEKRGLPKNLVLSSCTVLETAGQGALGTLHKVLESAITDRENGSSAQGQVIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGSISRTRETTLPVNELTKSLRKIGYDVVPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLELLACSN >PAN13446 pep chromosome:PHallii_v3.1:2:47804421:47805496:-1 gene:PAHAL_2G337300 transcript:PAN13446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIKKEMSAESGSPCSWASPSTSSSEHHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAIAGAGACLNFADSARLLAVPASYASLADVRHAVAEAVEVFQRREAQAEDDARSATSSTPSSPANDDDGEESSPATEDSPFEMDVLGDMSWDLYYASLAQAMLMEPPSTVTAFCDDAVAEVPLWSY >PVH63721 pep chromosome:PHallii_v3.1:2:6909290:6910541:-1 gene:PAHAL_2G092200 transcript:PVH63721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRAREKELELESKMKGRSKDCDDSRGEKRKSEMRNHSSSSRVEQEGTTYSTSYSDQEDGLKEDEIERFLHSRVKRGRGAIGSRMDEPGPYLDSSSRCHENGPSPDIRLEEKWERRVQGPEKPLFLRSKSPDDHWCKETLDGRGSSSEPQSKKEKKRKSEKKREEG >PAN14387 pep chromosome:PHallii_v3.1:2:51984372:51987708:-1 gene:PAHAL_2G405500 transcript:PAN14387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPAAAAAYAANGGLTDPLLVSANGHGADAKRAGHGARGKYWVASDKAERRAAKESGGEDGRPLLFRRYKVKGALLHPYRLLIIVRLIAVLLFFAWRIRHNKSDIMWFWTMSIVGDVWFGFSWLLNQLPKFNPVKTIPDLAALRRHFDLADGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILAVDYPVDRLACYLSDDSGALVLYEALVEVGKFAPLWVPFCRKYCVEPRAPESYFDMVAPPQAGRASQEFLNDYRRVQMEYDEFKVRLDKLPDAIRKRSDMYNSMRTAKATWMANGTQWPGTWIDPTENHRKGHHAPIAKVVLDHPSQGHSQPNAEGDLSIGATDEHLPMLVYVSREKNPSYDHNKKAGALNAQLRASALLTNAQLIINFDCDHYINNSQALRSAVCFMLDQRDGDNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHCRAENVPAEASRFGNSTLFLESVSKALKQERSTTPPSLDDTFVAELERVVTCSFDKGTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGIAPINLTERLHQIVRWSGGSLEMFFSHNNPLVGGQR >PAN14386 pep chromosome:PHallii_v3.1:2:51984372:51987707:-1 gene:PAHAL_2G405500 transcript:PAN14386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPAAAAAYAANGGLTDPLLVSANGHGADAKRAGHGARGKYWVASDKAERRAAKESGGEDGRPLLFRRYKVKGALLHPYRLLIIVRLIAVLLFFAWRIRHNKSDIMWFWTMSIVGDVWFGFSWLLNQLPKFNPVKTIPDLAALRRHFDLADGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILAVDYPVDRLACYLSDDSGALVLYEALVEVGKFAPLWVPFCRKYCVEPRAPESYFDMVAPPQAGRASQEFLNDYRRVQMEYDEFKVRLDKLPDAIRKRSDMYNSMRTAKATWMANGTQWPGTWIDPTENHRKGHHAPIAKVVLDHPSQGHSQPNAEGDLSIGATDEHLPMLVYVSREKNPSYDHNKKAGALNAQLRASALLTNAQLIINFDCDHYINNSQALRSAVCFMLDQRDGDNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHCRAENVPAEASRFGNSTLFLESVSKALKQERSTTPPSLDDTFVAELERVVTCSFDKGTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGIAPINLTERLHQIVRWSGGSLEMFFSHNNPLVGGQRVRLLQRVSYLNMTIYPVTSIFIMIYSLSPVMWLIPDEVYIQRPFTRYVLYLLVIIVMIHMIGWLEIKWAGIAWLDYWRNEQFFMIGSTSAYPAAVLHMAVNLLTKKGIHFRVTSKQTTADTNDKYADLYDFRWVPMLIPTMVVLICNVGAIGVALGKTVVYIGAWTAAKKMHAALGLLFNLWIMFLLVPFALAIMGRWAKRPIILVVLLPVIFVLVALLYVGLHILLSGVIPF >PVH63309 pep chromosome:PHallii_v3.1:2:36914:37873:-1 gene:PAHAL_2G000300 transcript:PVH63309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGNGSGVVTVYGNNGAALLEPSKQSKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRALRNMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPNILADVSAGLGEAMVGINLADPKVERFAARSE >PAN14471 pep chromosome:PHallii_v3.1:2:52380101:52386173:-1 gene:PAHAL_2G412200 transcript:PAN14471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPARVQRASPPPPLVVSAAILLLLSALPRCQPYTYEQDVFAINGLYTALRAPQLPNWTTNGGDPCNEGWQGVSCVASNITSIILSGANLGGQLGNTLANFTSLITLDLSNNNIGGTIPDGLPVTMQKFFLSANQLSGSLPSTLSSLTLLTSMSLNNNQLSGDIPDVFLALTGLANLDFSSNNLTGPLPPSMGNLTALTSLHIQNNQLTGTLDVLQDLPFQDLNVENNLFSGPVPVKLLNLPNFKKDGNPFNTSIAPSAQPPAAPTPLPSVSPPARHVPSKQPSTSSSVPGGSTPGSGKHTVSTIKLVGYILIGVVSAVVIVLMAMYCLSKCKERKSRDDIYTKNKMGRVPQKLGEPKIKEMAEIKEPPVKLENNVGKASHVVSDAKEDHKLKMPTSASNVVCDARDGQKSDSLLAATPRVVSMKQKEHVIDMEKADNFVEEQLHSTQPVAQRSEKVIVNPSVRTRRRVPSVGKVDLTTTVKSFSIASLQQYTNSFSEENLIRDSRFGKVYLAELPDGELLEVLKIDAFNSKVPVDAFLELVVSISELRHPNILGLAGYCAEFEQRLLVYEHCSKMTLHDELHYVDDSSKPLSWNARLQVAVGAAKALQHLHDGCQPPIVHQNFEPSVVLLNSTLVVHISESGLASLASKSASQLSGRTLFHYEAPEVHESGSISDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAIAKMVDPSIQGQCSEKALSRFADIISRCIQHEPEFRPPMSEVVQDLTRMISNASKASM >PAN14472 pep chromosome:PHallii_v3.1:2:52380575:52385136:-1 gene:PAHAL_2G412200 transcript:PAN14472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPARVQRASPPPPLVVSAAILLLLSALPRCQPYTYEQDVFAINGLYTALRAPQLPNWTTNGGDPCNEGWQGVSCVASNITSIILSGANLGGQLGNTLANFTSLITLDLSNNNIGGTIPDGLPVTMQKFFLSANQLSGSLPSTLSSLTLLTSMSLNNNQLSGDIPDVFLALTGLANLDFSSNNLTGPLPPSMGNLTALTSLHIQNNQLTGTLDVLQDLPFQDLNVENNLFSGPVPVKLLNLPNFKKDGNPFNTSIAPSAQPPAAPTPLPSVSPPARHVPSKQPSTSSSVPGGSTPGSGKHTVSTIKLVGYILIGVVSAVVIVLMAMYCLSKCKERKSRDDIYTKNKMGRVPQKLGEPKIKEMAEIKEPPVKLENNVGKASHVVSDAKEDHKLKMPTSAASNVVCDARDGQKSDSLLAATPRVVSMKQKEHVIDMEKADNFVEEQLHSTQPVAQRSEKVIVNPSVRTRRRVPSVGKVDLTTTVKSFSIASLQQYTNSFSEENLIRDSRFGKVYLAELPDGELLEVLKIDAFNSKVPVDAFLELVVSISELRHPNILGLAGYCAEFEQRLLVYEHCSKMTLHDELHYVDDSSKPLSWNARLQVAVGAAKALQHLHDGCQPPIVHQNFEPSVVLLNSTLVVHISESGLASLASKSASQLSGRTLFHYEAPEVHESGSISDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAIAKMVDPSIQGQCSEKALSRFADIISRCIQHEPEFRPPMSEVVQDLTRMISNASKASM >PAN12056 pep chromosome:PHallii_v3.1:2:40561425:40562285:-1 gene:PAHAL_2G239800 transcript:PAN12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHRLRRRRCRRTRTRLLQEKVIPRHRAWIREVRMAAGSGKAKGRHRAPPSPPSCSLLRNTAQCSPLGRATSPRVLTPISAPAPGSLPPTPQRPPPALR >PAN14337 pep chromosome:PHallii_v3.1:2:51783147:51784729:-1 gene:PAHAL_2G401700 transcript:PAN14337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSLSINKRAKALGAVVGPDPARGAHSPATMGPWRALARRTPLPSIPSLPRSRRHTLYSDACGCLNGECGKARIERRPVVQSKSGASTSMGLPVAAAIGQPCITFQRYSITHSFTVYYCPARPVPFDLTSNKYSTDKGVCVSVQTHGHHEQEQEGRRRVFGRALDEGCLAELSQPLSAEFSKSSAKQFF >PAN10091 pep chromosome:PHallii_v3.1:2:5308974:5312120:-1 gene:PAHAL_2G071400 transcript:PAN10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARLAARLTHSPAHQLSRTAAAAAGAAAAWRRRRDSVSLPRGSFSKMACSVGCSGDRKVTRVLFCGPYFPASTIYTKEYLQSYPFVEVDEVGLEQVPDVIQKYHICVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSMTGNAVSCAEMAIYLTLGVLRKQKLMDNAVNHKDLGSPTGETIFGKTVLILGFGAIGVEIAKRLKPFGVKVLATKRNWSSGSLPCDIEGLVDKKGGPEDMYELAGEADIVITCLLQTNETVGIVDNMFLSAMKKGSCLVNIARGRLLDYKAVFDHLESGHLGGLGIDVAWTEPFDPNDPILKFSNVILTPHVAGVTEYSYRTMAKSLQYVVAPRA >PAN10090 pep chromosome:PHallii_v3.1:2:5307930:5312241:-1 gene:PAHAL_2G071400 transcript:PAN10090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARLAARLTHSPAHQLSRTAAAAAGAAAAWRRRRDSVSLPRGSFSKMACSVGCSGDRKVTRVLFCGPYFPASTIYTKEYLQSYPFVEVDEVGLEQVPDVIQKYHICVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSMTGNAVSCAEMAIYLTLGVLRKQKLMDNAVNHKDLGSPTGETIFGKTVLILGFGAIGVEIAKRLKPFGVKVLATKRNWSSGSLPCDIEGLVDKKGGPEDMYELAGEADIVITCLLQTNETVGIVDNMFLSAMKKGSCLVNIARGRLLDYKAVFDHLESGHLGGLGIDVAWTEPFDPNDPILKFSNVILTPHVAGVTEYSYRTMAKVVGDVALQLHSGEPFTGIEFVN >PAN12475 pep chromosome:PHallii_v3.1:2:43158663:43163801:-1 gene:PAHAL_2G267800 transcript:PAN12475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQSDAADVKYVVSDLATDVVVHVGGVKFYLHKFPLLSKSSKLQRLVLKATEEGTDEVHIDDLPGGAKTFEICVKFCYGMVVTLSPHNVVASRCAAEYLEMTEDMEKGNLIFKIEVFINSSILRSWKDSIIVLQSTKAMLPWSEELKVVGRCIDAIASKTSVDPANVTWSYSHNSKKGVASAEIVESTGKESLAPKDWWVEDLCELDVDLYKRAMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIVWLLPSDKSSGCSCRFLLKLLKVVLLVGAGEHVKEELMRRISFQLHKATVKDLLLPAASPNNGTYDAQLVHNLVQRFVARTALSHSGGFVEKSDEKMIELNFEQESTLSVGELVDSYLSEVASDPDLSLSTFVELATAVPEAARPVHDDLYYAVDAYLKEHQDISKADKKKICSLVDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSKELALTDNGAHDCIRPMTDQCDPCERRIPKHPNSLNKQVTSLSARESEHHRISEHRGSRNSFKDQLGGLLLQSRSRRIFDKLWSTKGQGEHGGKGSETSGSSQSPPLSAKPTEVKPSPLPPLRSRRYSVS >PVH63320 pep chromosome:PHallii_v3.1:2:308514:309438:-1 gene:PAHAL_2G004100 transcript:PVH63320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDKQSGSPRPLLGTLKMGRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIIFISLWYVGLVARMAGTRPGIWTIVQNCTVISIACCVFYSHCGNLAVHKSKSFVER >PAN10230 pep chromosome:PHallii_v3.1:2:5956243:5961156:-1 gene:PAHAL_2G080300 transcript:PAN10230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWATAAAYTAAALASAAAATVVALRLVHRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLVLPHKAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGTVVVSLNGQSLKPSWFLMTCCLPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEEAAYLQNFVLCVEMLIAAIGHRFAFSYKEYAGSNARPFGGFRGSLLHAMKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSPSTIVPTGQHIVELAEVTVVSSKAPAMSSLLLNEADQAETSPTQSMEIKTATSTEPYELSNFLSVDLSDYPAEVPAIPDVREQ >PAN10229 pep chromosome:PHallii_v3.1:2:5955886:5961381:-1 gene:PAHAL_2G080300 transcript:PAN10229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWATAAAYTAAALASAAAATVVALRLVHRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLVLPHKAIYFNSIREMRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEEAAYLQNFVLCVEMLIAAIGHRFAFSYKEYAGSNARPFGGFRGSLLHAMKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSPSTIVPTGQHIVELAEVTVVSSKAPAMSSLLLNEADQAETSPTQSMEIKTATSTEPYELSNFLSVDLSDYPAEVPAIPDVREQ >PAN15092 pep chromosome:PHallii_v3.1:2:55358655:55361705:-1 gene:PAHAL_2G463900 transcript:PAN15092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-4b [Source: Projected from Oryza sativa (Os07g0640900)] MAFLVERCGEMVVSMESSPHAKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSAQPLPTPLPPHQPYHHHHHHLHHHHLNPFSPPPPPPTQPVYHFQEEPATAHGVHGGNNGDGSGGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSSAAHASQLPSAGAASSCRLMELDPGSPSPPPRPEAADDDDGTVKLFGVALQGKKKKRAHREDGDEDHEQGSSEV >PAN10945 pep chromosome:PHallii_v3.1:2:11277635:11285583:-1 gene:PAHAL_2G128000 transcript:PAN10945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRMSASKQKKRQINCTNSEQYRPGKKTKFDSSNCLVSLKPHIGLKWDQYLRRVVPEKEQVGILWSDLAPFIESQEHCSGLADVTYVPPETFSLESLRGVLSYEVWSTCLTEAERKFLKQFLPSETDAEENVHLLLTGKNHHFGNPFLSWSSSLCYGDIHPDAVLDKEKHIKRDEKAYRINLLNYHSNMVDTLKKWRKRWLSGGDIETLFRDNPGNQKQVVMQLKATKGAMAMKVAQRIDVSKFMSYIKVSKTQLNHIKRLKQSGDGIQTKHVSRVIGGLDKSDVKPYGALLEDEQRRLHEHWLNMSCNDIPAAFEVLKDRKVQMEKARKLLSLELEEKNVSVSRKAEQLTDIMKELGQPGASENNGSRILQNDQVELSPQSMLQGGDDQNTALQDCNDEPTKYMETSIYRIGSPNVEDHDLMVVRGTDITSQGKQNSDVQYHNGVSCVDKGISCCGNNPEEQDDDLADIKLCKDGLGVNSENIEEISYKGATNNNYSSENQEIKSIDYTNTHIDTLDCENLQLEDLEGPSVNAREQDQDLESISHDGLNHNCGHSANISSKMSFPKTHNVIVDQVETQNVMMIPSNSSSLLLKSSGEQMHVEDFLHLNGQVAKGEKNRWQLAGPLQSHYHHPENINNGSGNLQITQPYLSSGQQSSSGYLDNGVLSQQQDQLATSAFIVDNPSSVIEPFSNLQSNGTLHMAKDIGAVSYSLQHANSIEPGLHSLVNNRLAQSAPFPRSLQEQQQLTDQSDNCLYGQLHKDYCADVSFPTKVNPPISEQHSYAAFGSMDHRYNWFPDGCQLHNNNNMPGLESGNCLTQALPSGSNTDGTLFSAISQYKQPSVHLGHGGSSRSQVLEPRNQVRPLQNFLPRSQDTNPPFSDMYGYTQDMASHTSSQIAPVSSMDGSHWTNFIQQNPAMAPDFTNRPFRGPWTR >PAN12540 pep chromosome:PHallii_v3.1:2:43434106:43437677:1 gene:PAHAL_2G271300 transcript:PAN12540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIGTVQSCFSTRNGTPRQPLVVTLARATVAFDPGRVPAAALEGLASYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGKKMGVLATRSPHRPNPIGLSVAKVEAVDGHAVLLSGVDLVDGTPVLDIKPYLPYSDSVKGAAVPGWLEVDGALAVESIHFSEHFISALPLCWVHAQKQSLYASADEFQDLIKQVLSWDIRSLSQQIRPHQVNMETETNHHYGEEADENQGDGACPGVIYHLHLEGIDVSYRIDQGSNIVVEDATLLPGAGNQNRHGYLTWRDKLGSSGL >PAN12539 pep chromosome:PHallii_v3.1:2:43434106:43437677:1 gene:PAHAL_2G271300 transcript:PAN12539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQSLAAAALAATAAAVVFSSLLYRRKCGHLATRVRELEATLAAAVEKAASERRGRVRAQQSLRRALALSEKEPRADEARPAKAAAAPASYPMEPIGTVQSCFSTRNGTPRQPLVVTLARATVAFDPGRVPAAALEGLASYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGKKMGVLATRSPHRPNPIGLSVAKVEAVDGHAVLLSGVDLVDGTPVLDIKPYLPYSDSVKGAAVPGWLEVDGALAVESIHFSEHFISALPLCWVHAQKQSLYASADEFQDLIKQVLSWDIRSLSQQIRPHQVNMETETNHHYGEEADENQGDGACPGVIYHLHLEGIDVSYRIDQGSNIVVEDATLLPGAGNQNRHGYLTWRDKLGSSGL >PAN13858 pep chromosome:PHallii_v3.1:2:49659261:49660538:1 gene:PAHAL_2G368900 transcript:PAN13858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKCSIAFLLVFAAVAAILRPSSAARVQLEAEEAAPQPPSFWRPRIPLPPIPCIPGLPRPRFLPPCDGSGAPLPPLPPLPPLPPAGRVPLPPIPCIPGLPRPRFLPPCNDSGAPALPLPLPPLPCIPGLPRPSFLPPCDGSSGAAASPQPAECSTSLSGLAPCADFLTANATNFLAAPAAACCDGVRSLVKDAPVCLCHVMSGDLGEILPAPELRLRAVALPRACGVAVPFGTLRQCFRGPVPPMDAPAPPS >PAN10737 pep chromosome:PHallii_v3.1:2:9478282:9491199:1 gene:PAHAL_2G114600 transcript:PAN10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKDDVRSAAQGSVHGSSSSACTSYPVPEYPVAGNVKPVLNYSIQTGEEFSLEFMRAIPKKHLVPGMPHNQNVTSGAGHKDSRVSLGTNRTGPETRFDASIFLTSDTHQTNEIERKPFSENENRRRHMSGTSVSRVLSGGGSSQGLSRGYASSEASETSRTIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNVSWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGDGSQKLRIFLVSSIEYDDMSFSLGSMDSDSGIQYVAAINGMDGIAANSSSGQGLVNQSINEFDQFINLNIDSRPVNSSRDSSNLHAVNTSTFVPSEMLSRPMPSAVSGDNSANLNSYYSHGMQNLKGNYANTATSEGFYDIEGQISIPLSTPSDYRYTSQYAPFSGTASQRSFDQQAYQDAEKETSTKEEKRVSGNMPHQNNELDYFQSLENLSGHTAHNDSSASGVPPSASVQEGVISSLQPSDSVKSLETCTAPRARSTTQGSDISEDDRHSGGAFASGCSDFQVDMIDHSYKNPPPHPGRVFHSERIPREQAGFLNRLSKSEDSLNPEFLIRQSQSGVASEYIAENTNSAFEGTEKPNLAAHAINLNDPAVDDSLIQFEKEFTNTVQQTSPFSEQLLGEERSSDDTSSRNVEKVAHAAEQILGGRHNEETSQDVEAPNQPGSHSAMPHHNSRDAPKQTLPTDVECDHVVPSTSSVDVSHKEPIISSTQNRDVPGGTQRTSPDILSDFFANANSAAQSSSPFIDPVHSLNMPNYEPQRWSFFRNLAQNEFPQKMETQDLAKIEEGAYPFDRLEHDTTNVKNLAPQNDIHVEVPPVASRTNVDSNISPTGFISSQIDNPTTMKNAEGFQVDNPFTNMHEMMPSHPAFEEQKTEEAKAVGPVMDASFKDTDFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPAQRPAFTEIAGRLRAMSVAANQAKANK >PAN10736 pep chromosome:PHallii_v3.1:2:9479168:9491199:1 gene:PAHAL_2G114600 transcript:PAN10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKDDVRSAAQGSVHGSSSSACTSYPVPEYPVAGNVKPVLNYSIQTGEEFSLEFMRAIPKKHLVPGMPHNQNVTSGAGHKDSRVSLGTNRTGPETRFDASIFLTSDTHQTNEIERKPFSENENRRRHMSGTSVSRVLSGGGSSQGLSRGYASSEASETSRTIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNVSWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGDGSQKLRIFLVSSIEYDDMSFSLGSMDSDSGIQYVAAINGMDGIAANSSSGQGLVNQSINEFDQFINLNIDSRPVNSSRDSSNLHAVNTSTFVPSEMLSRPMPSAVSGDNSANLNSYYSHGMQNLKGNYANTATSEGFYDIEGQISIPLSTPSDYRYTSQYAPFSGTASQRSFDQQAYQDAEKETSTKEEKRVSGNMPHQNNELDYFQSLENLSGHTAHNDSSASGVPPSASVQEGVISSLQPSDSVKSLETCTAPRARSTTQGSDISEDDRHSGGAFASGCSDFQVDMIDHSYKNPPPHPGRVFHSERIPREQAGFLNRLSKSEDSLNPEFLIRQSQSGVASEYIAENTNSAFEGTEKPNLAAHAINLNDPAVDDSLIQFEKEFTNTVQQTSPFSEQLLGEERSSDDTSSRNVEKVAHAAEQILGGRHNEETSQDVEAPNQPGSHSAMPHHNSRDAPKQTLPTDVECDHVVPSTSSVDVSHKEPIISSTQNRDVPGGTQRTSPDILSDFFANANSAAQSSSPFIDPVHSLNMPNYEPQRWSFFRNLAQNEFPQKMETQDLAKIEEGAYPFDRLEHDTTNVKNLAPQNDIHVEVPPVASRTNVDSNISPTGFISSQIDNPTTMKNAEGFQVDNPFTNMHEMMPSHPAFEEQKTEEAKAVGPVMDASFKDTDFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPAQRPAFTEIAGRLRAMSVAANQAKANK >PVH63824 pep chromosome:PHallii_v3.1:2:9478912:9491199:1 gene:PAHAL_2G114600 transcript:PVH63824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKDDVRSAAQGSVHGSSSSACTSYPVPEYPVAGNVKPVLNYSIQTGEEFSLEFMRAIPKKHLVPGMPHNQNVTSGAGHKDSRVSLGTNRTGPETRFDASIFLTSDTHQTNEIERKPFSENENRRRHMSGTSVSRVLSGGGSSQGLSRGYASSEASETSRTIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNVSWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGDGSQKLRIFLVSSIEYDDMSFSLGSMDSDSGIQYVAAINGMDGIAANSSSGQGLVNQSINEFDQFINLNIDSRPVNSSRDSSNLHAVNTSTFVPSEMLSRPMPSAVSGDNSANLNSYYSHGMQNLKGNYANTATSEGFYDIEGQISIPLSTPSDYRYTSQYAPFSGTASQRSFDQQAYQDAEKETSTKEEKRVSGNMPHQNNELDYFQSLENLSGHTAHNDSSASGVPPSASVQEGVISSLQPSDSVKSLETCTAPRARSTTQGSDISEDDRHSGGAFASGCSDFQVDMIDHSYKNPPPHPGRVFHSERIPREQAGFLNRLSKSEDSLNPEFLIRQSQSGVASEYIAENTNSAFEGTEKPNLAAHAINLNDPAVDDSLIQFEKEFTNTVQQTSPFSEQLLGEERSSDDTSSRNVEKVAHAAEQILGGRHNEETSQDVEAPNQPGSHSAMPHHNSRDAPKQTLPTDVECDHVVPSTSSVDVSHKEPIISSTQNRDVPGGTQRTSPDILSDFFANANSAAQSSSPFIDPVHSLNMPNYEPQRWSFFRNLAQNEFPQKMETQDLAKIEEGAYPFDRLEHDTTNVKNLAPQNDIHVEVPPVASRTNVDSNISPTGFISSQIDNPTTMKNAEGFQVDNPFTNMHEMMPSHPAFEEQKTEEAKAVGPVMDASFKDTDFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPAQRPAFTEIAGRLRAMSVAANQAKANK >PAN13820 pep chromosome:PHallii_v3.1:2:49522289:49528230:-1 gene:PAHAL_2G365900 transcript:PAN13820 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G07170) UniProtKB/Swiss-Prot;Acc:F4IK92] MMKSSAVTSKGKAVFELKHRLVQAVNKIADRDTYQIGLDELEKMADTLAPDMIAPFLSCVVDTDAEQKSAVRKECIKVIGTLARLHGNLLAPHMAKMVSSVVKRLKDTDSVVRDACVDTCGTLAMCARSYGDGGAALVALVRPLFESLGEQNRYVQAGSALCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAAIELIRSIIQAEGASTEQVLSSALTSIMDALKSSDWNTRKAASLALSSIAVSSGYLVASFRTSCLRSLERSKFDKVKPVRDAIIHAIQLWKAIPGSHTPEPSEAGSSTKENFFGDRHDARSIHDGGSRDTSFRRTDHGPSVSVISGSSINSAKRRSPLSINKIALNNAAKVHHLKSGDWRVEVSVPKQNMMPLADIDEKGCSKVCILKDAKRNTYEIVDEDSKFDYDIEDDKQECSSVSEVASRSCETKHVTTAQECVEDCESTQVTERCPRGRESKSIDSTVTDVTAHGTHSCCLNAMNELALIRKQLQEMERKQANLFDLLQEFMSNSVENTSVLNLKVHNLENAVDKTVYTITQSESRYHLPGSKFFKNQSVSSSPRLSTSTSRSSVDANYKPPPISHLKHEKKWMHDLPSKGSNMCTKEGAEFPKDHSRSRFRKPGPVSSESNLGRYGPSSVRSQASMVKGTLPVSFTSSCEQPEMQNALCASNQSGEFQGTGDMEPAYMEALNCGDYDELIDLMDRTGPVLEKLSCETANELLRVIVGQFLNKKFFDLALPWLQQLADLSTIYKPSQLFASVRAQKEFLSALEEAATSGSTEPAIRIAIAQLAFKLTKAFEVSPCRKISARVCRGNETIMATAM >PVH64202 pep chromosome:PHallii_v3.1:2:36205936:36207012:1 gene:PAHAL_2G213600 transcript:PVH64202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRIPTTTPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH64292 pep chromosome:PHallii_v3.1:2:39014975:39016258:1 gene:PAHAL_2G230200 transcript:PVH64292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQIKRARQEDHKNPEIDSHKLKLIRGVEGTGEMMLGKSSADLFLVPSALAIMVGYHLLLLHRIIRRPHTTVIGYENHNKLAWVQRMAQTTEPEEAALALSVISDGVSASTTLASLCIALASLIGAWVSSSTPAAVTSGGSGTSDAAAAAKYAWLLACFLASFTCFVQSAGCYVHASFLISALGSDAPVSHLQRAVLRGGSFWAAGLRSLYLATALLVWVVFGPAAMLACAMLTVAVLYLLDCNSVSLHRHQFTPARPIIVAN >PAN15559 pep chromosome:PHallii_v3.1:2:57562211:57565010:1 gene:PAHAL_2G497600 transcript:PAN15559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSPSSAPAKKQKKWLRGNNKLIHRYLGEARAALAAAAQDTEDGDDAAAAALGLVSLALEMSPRAEAALELRARALLTLRRYRDVADMLRDYIPSCGKSCSGDDATTSSSSSSCSSGSSGSGDLAAASRVELLSPDRDRSDAGAGAARFLCFDVSELKRRLVAGFSRNSNTEAQWRYLVLGQACFHLGLMEDAVVLLQTGRRLASAAFRRESVCWSEDSFSPSNTTSDVVSVTGNRRTSKSGSASVAPASEAESVSQLLAHVKLLLRRRAAAVAALDAGLPAEAVRHFNKVLDTRRSVLPHPFATACLVGRASAFRSAGRPADAIADCNRALALDPAFIPALRARADLLESVGALPDCLRDLDHLKLLYDAALRDGKLPGPSWRPQGGIRFGEIAGAHRTLTARIQQLRGRVAAGEGCSVDYYALLGVARGCTRSELERAHLLLTLKLKPDRSVSFAERVELVDEHRDLEAVRDQARMSALFLYRMLQKGYSFIMSAVLDEEAAARQRAKDAAALAASVPKQEDEAAKAEATAPVIPMPRTVVTAAATAATPMSMSPRLFCRDMAVVGSLLSRGGFDRAIPVKCEAMSC >PAN12516 pep chromosome:PHallii_v3.1:2:43337435:43337693:-1 gene:PAHAL_2G270000 transcript:PAN12516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKKSSSSNLMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCVRKPS >PAN13330 pep chromosome:PHallii_v3.1:2:47322571:47326868:1 gene:PAHAL_2G329100 transcript:PAN13330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMAGLRTKLETAYKTSRGKKVNIISHSMGGLLVRCFMSMNHDVFSKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNLNFNWTEKPTIQVWRKNPEKDGMVELVQYEASDCVSLFEEALRNNELTYNGKKVALPFNMSVFKWATETRRILDNAELPDTVSFYNIYGRAYDTPYDVCYGSESSPIGDLSEVCHTVPAYTYVDGDCTVPIESAMADGFAAKERVGVGADHRGLLSNENVFELLKKWLGASEKAPRCRVSKKPRVVDLGS >PAN13329 pep chromosome:PHallii_v3.1:2:47324080:47326594:1 gene:PAHAL_2G329100 transcript:PAN13329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLQFVELVHLTMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMAGLRTKLETAYKTSRGKKVNIISHSMGGLLVRCFMSMNHDVFSKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNLNFNWTEKPTIQVWRKNPEKDGMVELVQYEASDCVSLFEEALRNNELTYNGKKVALPFNMSVFKWATETRRILDNAELPDTVSFYNIYGRAYDTPYDVCYGSESSPIGDLSEVCHTVPAYTYVDGDCTVPIESAMADGFAAKERVGVGADHRGLLSNENVFELLKKWLGASEKAPRCRVSKKPRVVDLGS >PVH64668 pep chromosome:PHallii_v3.1:2:47322571:47326869:1 gene:PAHAL_2G329100 transcript:PVH64668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLGAALRLRLRVLRRRLRGQRRRRRSRGGRAAVEDAGREPVLLVSGMGGSVLHARRRSNPKFDLRVWVRILFANLDFKKYLWSLYNADSGYVEPLDDDVEIVVPEDDHGLYAIDILDPSWFVELVHLTMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMAGLRTKLETAYKTSRGKKVFSKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNLNFNWTEKPTIQVWRKNPEKDGMVELVQYEASDCVSLFEEALRNNELTYNGKKVALPFNMSVFKWATETRRILDNAELPDTVSFYNIYGRAYDTPYDVCYGSESSPIGDLSEVCHTVPAYTYVDGDCTVPIESAMADGFAAKERVGVGADHRGLLSNENVFELLKKWLGASEKAPRCRVSKKPRVVDLGS >PAN13331 pep chromosome:PHallii_v3.1:2:47322571:47326868:1 gene:PAHAL_2G329100 transcript:PAN13331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MVMIFVKATDKAMAGLRTKLETAYKTSRGKKVNIISHSMGGLLVRCFMSMNHDVFSKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNLNFNWTEKPTIQVWRKNPEKDGMVELVQYEASDCVSLFEEALRNNELTYNGKKVALPFNMSVFKWATETRRILDNAELPDTVSFYNIYGRAYDTPYDVCYGSESSPIGDLSEVCHTVPAYTYVDGDCTVPIESAMADGFAAKERVGVGADHRGLLSNENVFELLKKWLGASEKAPRCRVSKKPRVVDLGS >PVH64669 pep chromosome:PHallii_v3.1:2:47324080:47326594:1 gene:PAHAL_2G329100 transcript:PVH64669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLQFVELVHLTMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMAGLRTKLETAYKTSRGKKVFSKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNLNFNWTEKPTIQVWRKNPEKDGMVELVQYEASDCVSLFEEALRNNELTYNGKKVALPFNMSVFKWATETRRILDNAELPDTVSFYNIYGRAYDTPYDVCYGSESSPIGDLSEVCHTVPAYTYVDGDCTVPIESAMADGFAAKERVGVGADHRGLLSNENVFELLKKWLGASEKAPRCRVSKKPRVVDLGS >PAN13328 pep chromosome:PHallii_v3.1:2:47322571:47326869:1 gene:PAHAL_2G329100 transcript:PAN13328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLGAALRLRLRVLRRRLRGQRRRRRSRGGRAAVEDAGREPVLLVSGMGGSVLHARRRSNPKFDLRVWVRILFANLDFKKYLWSLYNADSGYVEPLDDDVEIVVPEDDHGLYAIDILDPSWFVELVHLTMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMAGLRTKLETAYKTSRGKKVNIISHSMGGLLVRCFMSMNHDVFSKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNLNFNWTEKPTIQVWRKNPEKDGMVELVQYEASDCVSLFEEALRNNELTYNGKKVALPFNMSVFKWATETRRILDNAELPDTVSFYNIYGRAYDTPYDVCYGSESSPIGDLSEVCHTVPAYTYVDGDCTVPIESAMADGFAAKERVGVGADHRGLLSNENVFELLKKWLGASEKAPRCRVSKKPRVVDLGS >PVH64482 pep chromosome:PHallii_v3.1:2:44201369:44202838:-1 gene:PAHAL_2G281500 transcript:PVH64482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLAVAIHNYIPLCVSEGYLFFLSMKQIHVKIYPSIGFPMRTQLKGTTYVVFHSLMTNLQPLNFALATSLSFNCP >PAN11125 pep chromosome:PHallii_v3.1:2:34351407:34351968:1 gene:PAHAL_2G206900 transcript:PAN11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHRSSPSGRKNPMMRKRGIELLCAVARPPQECWSIRERINFRIALARFGKDWPRVAQFIATKTSDQICIYAHDYFQKQCLTANPMKNLPSQLVLSTT >PAN14080 pep chromosome:PHallii_v3.1:2:50582378:50584115:-1 gene:PAHAL_2G383000 transcript:PAN14080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKDKSRRGSSSSAAAAASMAALAAAAAAGEGSSSGSGSADGALPPLGEEEDQKPPKLAAVAGASSSSPVPARRGAAAGAGGGPRCQAERCNADLNEAGQYNRRHKVCQTHSKAPVVLVAGLRQRFCQQCSRASEHKLQVASTCSIWMCHAGLDFPDRIKSLHC >PAN14081 pep chromosome:PHallii_v3.1:2:50580060:50584270:-1 gene:PAHAL_2G383000 transcript:PAN14081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKDKSRRGSSSSAAAAASMAALAAAAAAGEGSSSGSGSADGALPPLGEEEDQKPPKLAAVAGASSSSPVPARRGAAAGAGGGPRCQAERCNADLNEAGQYNRRHKVCQTHSKAPVVLVAGLRQRFCQQCSRFHELSEFDEARRSCRLRLAGHNERRRKSSADTHGHGGGGGGGGSSNGGAGGSSSSNGGAGDGCRHADQDGRGHQGNPPPNHFQIR >PVH65398 pep chromosome:PHallii_v3.1:2:56651742:56653634:1 gene:PAHAL_2G483500 transcript:PVH65398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGLYDGRFTTFALSGFVRAQGDADSSLDRLWQKKRAEIKQ >PAN15378 pep chromosome:PHallii_v3.1:2:56651778:56653630:1 gene:PAHAL_2G483500 transcript:PAN15378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGLYDGRFTTFALSGFVRAQGDADSSLDRLWQKKRAEIKQ >PAN09178 pep chromosome:PHallii_v3.1:2:168316:174692:1 gene:PAHAL_2G001800 transcript:PAN09178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQVQVQAAAATMEMMLKKPQASSCCSDDMADLERALALEVAAPTTVPRQDSLYRDATRAAGGGNHEGQDGWARTLRLAFQCVGVLYGDIGTSPLYVYSSTFTGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNHQAEDDINGGGDEAVLAAKPSLRGSVRRRTVQLASSREQRAQWVKQLLETSKPVRISLFLLTVLATAMVISDACLTPAISVLSAVGGLKEKAPNLTTDQIVWITVAILVLLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLLRHDVGVLRAFNPKYILDYFRRNGRDAWVSLGGVLLCFTGTEALFADLGYFSVRSIQLSFAFGLVPAVLLAYIGQAAFLRRYPDQVANTFYQSTPEALFWPTFVLALAASVIGSQAMISCAFATISHSQALGCFPRVKVLHTSRQYQGQLYIPEVNFLLALAACVVTLAAKTTTVIAEAHGICVVLVMLITTLLLTLVMLLVWRVNAAWVALFFFVFAAAESAYLSSVLYRFAHGGYIPVAMSAVLMAVMVLWHYVHVRRYEYELERTVSHDTVRELLARRDLVRVPGVGLFYTELVQGIPPVFPHLVEKIPSIHAVLLFVSVKHLPVPHVDAAERFLFRQVVSESGGGGRVFRCVARYGYRDPLEEAKDFAASLVERLQYYVRDVNLYGLDHQQAGARVSYPSSRCDSMAMARQRSVGNMNMMRPSASYTESLALSRARSSGMTTTMMLGMHSASCTDQQRACRPTAAGVFAEEMLTPAESFSELSRMGSVQAAVKMSLEEMARIEEEQRFIEREMEKGVVYILGEAEVVARPHSSLLNKVMVNYAYAFLRKNCRQGEKMLAIPKSQLLKVGMTYEI >PAN09902 pep chromosome:PHallii_v3.1:2:4196781:4199928:-1 gene:PAHAL_2G056700 transcript:PAN09902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAAAVLVCNGAVSPRAPPSAASFLEATLGAYTTARGSLLWWPRHLRRLAESAALLARSHPHRLGLPRPRSLDSLFSEMPIHALVNPSVRLAIHEMRSRLPVIKEDNLALTALIRGGDSVSRDGLDVFVHVGTYAPPVFGDLGAKVAVAGMGRDAAAAKYASWARMRKSMEKMRPPGVTELLLTNDGDHILEGAVTNFFVVCQKEEHKSKGPFAVQTLANKFEVQTAPISDGVLPGIIRQIVIEVCHDIGIPVREISPSWSKHELWQEAFVTSSLRLIQHVESVQVPLLWEDVQSKTWSDVSWVVKQFQGPGCITTQIQTEILKRARSEEYDINNLL >PVH63874 pep chromosome:PHallii_v3.1:2:11206875:11207343:1 gene:PAHAL_2G126600 transcript:PVH63874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLASASTSLLFPQASSSRSRARLSTSLGSSTQPARLRGRAAAAAAGGQRRGRLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVRASIGGSTPKKYDEIDAAPKERADVIPV >PVH64573 pep chromosome:PHallii_v3.1:2:45810746:45811234:-1 gene:PAHAL_2G305000 transcript:PVH64573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSMPEPRDSLLGFLVYNAVISLAALAGLVRAALVFLDLGDWEADGAGSGDRLVSAAGPGSAERMQRPLLRPARLGPIPGVTTTCGAAAAAAGDDCSVCLAGFGAEAVVNRLPCGHLFHRGCLETWLRYERATCPLCRAHVPVPAVPVDDTPGLRYPECE >PVH63805 pep chromosome:PHallii_v3.1:2:8917871:8918035:1 gene:PAHAL_2G110700 transcript:PVH63805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGGDGTVLLYLQHPITASPRNKPTMLVLCFTIDFLLLVPNPEKTYFYIGKRC >PAN11152 pep chromosome:PHallii_v3.1:2:33906825:33920683:1 gene:PAHAL_2G204400 transcript:PAN11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAMLMSDDDDAEPQVSAVENYHLVDSQNHPACFSTLPLGHDETKDVPECKKRLVLWGTSDPGVIVYREVVAWRLVLEGRQPEIAVLAVDRNGWIRLVKPKNSYEETIRNVLITAQMLHFLRRKPDEPEKNLWSHLRKVFDKFHVRPSVVDFRNHRSLMKHFAEKDPVLAKSEILRVFVEGRSRQNISEVGAVNIEIKQPFIADDDDIDEIVTEDANNESDEEDEDLFDSICAICDNGGDILCCDGPCMRSFHAKKGSGEDSYCDTLGYTEAQVEAMKIFLCKNCEYKQHQCFICGVLEPSDGDAIKVFLCNNATCGHFYHPKCVAKRLHPNNRNEATELENKISEGFSFTCPIHWCFRCKGLEDRTQEPLQFAVCRRCPKSYHRKCLPREISFQDIEEEDIITRAWELSKRILIYCLDHEIDSEIDTPVRDHIKFPKVEKPAQFFNKGDKLLVKKKKRTFNEAVLDQPSEDTGKMKCMVHVQKSELTEQSRREVSMKSYAKDLVSKPEKKKAKLLKEKIQPEPRVAKDPSVSSPKPVKEQEQELVVSPSSATRKIPLSSFPIVDSEAEKRVIAILGNEASKLTLKDVTRKCSVPSTHVYSGRQVDKIAQGKIERSVQAVGAALKKLENGGNINDAKAVCEPDVLRQLAKWHSKFRVYISPFIHGTRYSSFGRHFTKVEKLVEIVDKLHWYVEPGDTIVDFCCGANDFCQLMKEKLDEVLKMCHFKNYDLIQPKNHFGFEKRDWMTVKPNELPCGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLVVLIVPKETKRLDQKRIPYDLIWEDSECLAGKAFYLPGSVDHNDKTVEGWNASAPPLYLWSRPDWTKKHMKIAKEHSHTSKGKIACHADYPSDDRAREEAESSDIIKARSGKGKDITGKESCYTKEDNLSEDLVVRKQAEATNKRESRSGKERETDKTACHDREANLPDDHTGKKQDRSEEKRRPLGKIAVNVKEANMSDNLPVKKQTEPTSKVIPGKDRENGSYESRPDNRRKWPPDYVESLPPEKQVEGAYEETKVAIPRKDSIQNEHRGACYGNRRNSRGEEIKSARHNYEQTAAGMLNIKSMDGGDSDMSISSPDSNNARSKSRSYSPALPTEHPSHRIVHPDSYCPSKDDPMLNRPTYKESYSANNDEYFDALKFADIDNSSRMRGSSIDEVTRPYFPAPARLYSMQSRDDGSLYRRPSSEYLNTTTSGRSLVADVAGRSLVADVTTQGQGMRYDGQIGDSRQASRIPPTTGSRSYLSMHDGTGADCLSATYSHGSFGARFSQPASSTPSFGLSGAGLQRDSVMDKYAHGLSGPSGPQRSVMDKYAPSLDGRNNTRPESSLPQQYPFGRPGSYGGGWPQN >PAN11151 pep chromosome:PHallii_v3.1:2:33906825:33920683:1 gene:PAHAL_2G204400 transcript:PAN11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAMLMSDDDDAEPQVSAVENYHLVDSQNHPACFSTLPLGHDETKDVPECKKRLVLWGTSDPGVIVYREVVAWRLVLEGRQPEIAVLAVDRNGWIRLVKPKNSYEETIRNVLITAQMLHFLRRKPDEPEKNLWSHLRKVFDKFHVRPSVVDFRNHRSLMKHFAEKDPVLAKSEILRVFVEGRSRQNISEVGAVNIEIKQPFIADDDDIDEIVTEDANNESDEEDEDLFDSICAICDNGGDILCCDGPCMRSFHAKKGSGEDSYCDTLGYTEAQVEAMKIFLCKNCEYKQHQCFICGVLEPSDGDAIKVFLCNNATCGHFYHPKCVAKRLHPNNRNEATELENKISEGFSFTCPIHWCFRCKGLEDRTQEPLQFAVCRRCPKSYHRKCLPREISFQDIEEEDIITRAWELSKRILIYCLDHEIDSEIDTPVRDHIKFPKVEKPAQFFNKGDKLLVKKKKRTFNEAVLDQPSEDTGKMKCMVHVQKSELTEQSRREVSMKSYAKDLVSKPEKKKAKLLKEKIQPEPRVAKDPSVSSPKPVKEQEQELVVSPSSATRKIPLSSFPIVDSEAEKRVIAILGNEASKLTLKDVTRKCSVPSTHVYSGRQVDKIAQGKIERSVQAVGAALKKLENGGNINDAKAVCEPDVLRQLAKWHSKFRVYISPFIHGTRYSSFGRHFTKVEKLVEIVDKLHWYVEPGDTIVDFCCGANDFCQLMKEKLDEVLKMCHFKNYDLIQPKNHFGFEKRDWMTVKPNELPCGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLVVLIVPKETKRLDQKRIPYDLIWEDSECLAGKAFYLPGSVDHNDKTVEGWNASAPPLYLWSRPDWTKKHMKIAKEHSHTSKGKIACHADYPSDDRAREEAESSDIIKARSGKGKDITGKESCYTKEDNLSEDLVVRKQAEATNKRESRSGKERETDKTACHDREANLPDDHTGKKQDRSEEKRRPLGKIAVNVKEANMSDNLPVKKQTEPTSKVIPGKDRENGSYESRPDNRRKWPPDYVESLPPEKQVEGAYEETKVAIPRKDSIQNEHRGACYGNRRNSRGEEIKSARHNYEQTAAGMLNIKSMDGGDSDMSISSPDSNNARSKSRSYSPALPTEHPSHRIVHPDSYCPSKDDPMLNRPTYKESYSANNDEYFDALKFADIDNSSRMRGSSIDEVTRPYFPAPARLYSMQSRDDGSLYRRPSSEYLNTTTSGRSLVADVAGRSLVADVTTQGQGMRYDGQIGDSRQASRIPPTTGSRSYLSMHDGTGADCLSATYSHGSFGARFSQPASSTPSFGLSGAGLQRDSVMDKYAHGLSGPSGPQRSVMDKYAPSLDGRNNTRPESSLPQQYPFGRPGSYGGGWPQN >PAN11153 pep chromosome:PHallii_v3.1:2:33906824:33920683:1 gene:PAHAL_2G204400 transcript:PAN11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFAEKDPVLAKSEILRVFVEGRSRQNISEVGAVNIEIKQPFIADDDDIDEIVTEDANNESDEEDEDLFDSICAICDNGGDILCCDGPCMRSFHAKKGSGEDSYCDTLGYTEAQVEAMKIFLCKNCEYKQHQCFICGVLEPSDGDAIKVFLCNNATCGHFYHPKCVAKRLHPNNRNEATELENKISEGFSFTCPIHWCFRCKGLEDRTQEPLQFAVCRRCPKSYHRKCLPREISFQDIEEEDIITRAWELSKRILIYCLDHEIDSEIDTPVRDHIKFPKVEKPAQFFNKGDKLLVKKKKRTFNEAVLDQPSEDTGKMKCMVHVQKSELTEQSRREVSMKSYAKDLVSKPEKKKAKLLKEKIQPEPRVAKDPSVSSPKPVKEQEQELVVSPSSATRKIPLSSFPIVDSEAEKRVIAILGNEASKLTLKDVTRKCSVPSTHVYSGRQVDKIAQGKIERSVQAVGAALKKLENGGNINDAKAVCEPDVLRQLAKWHSKFRVYISPFIHGTRYSSFGRHFTKVEKLVEIVDKLHWYVEPGDTIVDFCCGANDFCQLMKEKLDEVLKMCHFKNYDLIQPKNHFGFEKRDWMTVKPNELPCGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLVVLIVPKETKRLDQKRIPYDLIWEDSECLAGKAFYLPGSVDHNDKTVEGWNASAPPLYLWSRPDWTKKHMKIAKEHSHTSKGKIACHADYPSDDRAREEAESSDIIKARSGKGKDITGKESCYTKEDNLSEDLVVRKQAEATNKRESRSGKERETDKTACHDREANLPDDHTGKKQDRSEEKRRPLGKIAVNVKEANMSDNLPVKKQTEPTSKVIPGKDRENGSYESRPDNRRKWPPDYVESLPPEKQVEGAYEETKVAIPRKDSIQNEHRGACYGNRRNSRGEEIKSARHNYEQTAAGMLNIKSMDGGDSDMSISSPDSNNARSKSRSYSPALPTEHPSHRIVHPDSYCPSKDDPMLNRPTYKESYSANNDEYFDALKFADIDNSSRMRGSSIDEVTRPYFPAPARLYSMQSRDDGSLYRRPSSEYLNTTTSGRSLVADVAGRSLVADVTTQGQGMRYDGQIGDSRQASRIPPTTGSRSYLSMHDGTGADCLSATYSHGSFGARFSQPASSTPSFGLSGAGLQRDSVMDKYAHGLSGPSGPQRSVMDKYAPSLDGRNNTRPESSLPQQYPFGRPGSYGGGWPQN >PVH64155 pep chromosome:PHallii_v3.1:2:33910933:33920684:1 gene:PAHAL_2G204400 transcript:PVH64155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESVRKVFLCNNATCGHFYHPKCVAKRLHPNNRNEATELENKISEGFSFTCPIHWCFRCKGLEDRTQEPLQFAVCRRCPKSYHRKCLPREISFQDIEEEDIITRAWELSKRILIYCLDHEIDSEIDTPVRDHIKFPKVEKPAQFFNKGDKLLVKKKKRTFNEAVLDQPSEDTGKMKCMVHVQKSELTEQSRREVSMKSYAKDLVSKPEKKKAKLLKEKIQPEPRVAKDPSVSSPKPVKEQEQELVVSPSSATRKIPLSSFPIVDSEAEKRVIAILGNEASKLTLKDVTRKCSVPSTHVYSGRQVDKIAQGKIERSVQAVGAALKKLENGGNINDAKAVCEPDVLRQLAKWHSKFRVYISPFIHGTRYSSFGRHFTKVEKLVEIVDKLHWYVEPGDTIVDFCCGANDFCQLMKEKLDEVLKMCHFKNYDLIQPKNHFGFEKRDWMTVKPNELPCGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLVVLIVPKETKRLDQKRIPYDLIWEDSECLAGKAFYLPGSVDHNDKTVEGWNASAPPLYLWSRPDWTKKHMKIAKEHSHTSKGKIACHADYPSDDRAREEAESSDIIKARSGKGKDITGKESCYTKEDNLSEDLVVRKQAEATNKRESRSGKERETDKTACHDREANLPDDHTGKKQDRSEEKRRPLGKIAVNVKEANMSDNLPVKKQTEPTSKVIPGKDRENGSYESRPDNRRKWPPDYVESLPPEKQVEGAYEETKVAIPRKDSIQNEHRGACYGNRRNSRGEEIKSARHNYEQTAAGMLNIKSMDGGDSDMSISSPDSNNARSKSRSYSPALPTEHPSHRIVHPDSYCPSKDDPMLNRPTYKESYSANNDEYFDALKFADIDNSSRMRGSSIDEVTRPYFPAPARLYSMQSRDDGSLYRRPSSEYLNTTTSGRSLVADVAGRSLVADVTTQGQGMRYDGQIGDSRQASRIPPTTGSRSYLSMHDGTGADCLSATYSHGSFGARFSQPASSTPSFGLSGAGLQRDSVMDKYAHGLSGPSGPQRSVMDKYAPSLDGRNNTRPESSLPQQYPFGRPGSYGGGWPQN >PAN14298 pep chromosome:PHallii_v3.1:2:51597493:51598643:1 gene:PAHAL_2G398700 transcript:PAN14298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYLHEESSLRIIHRNLKANNILLDNNMEPKIADSGMAELLGEGNATARTTRVVGTHGYMAPEYAMHGSVSPKIDVFSFGVMALEIVTGRSSSSSDDHGTENLLTDVWDHWTKGTMSRMLHGSLDGHARRQALRCVHIGLLCVQPEPDDRPDMSAVVFMLTRDSMELQPPSQPVLRPSSGENHLQLHWQSWGRSSCS >PAN14430 pep chromosome:PHallii_v3.1:2:52219546:52221830:-1 gene:PAHAL_2G409600 transcript:PAN14430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAGEEEAAAPAAAGSQEVEADEQGMLAEALDAVSSLASASLSATLFPLKWQLIRDRLNRLHAGLADITATDADDENGEGRCDAFVSLLRDVAAAAREALELVPRSQGRHYGGGKLRLRSDLDLLAAALDANVARLDDVYASGALARARALVVPRPGAGATRDDVRFYVRDLFARLRVGGAEMRREAAAALAEVLRDDEKCVRVVASDVPDGVGILVALLECPDARVQEEALEAVSVIAGSDAHRGDLVVGGVIAPVVRVLDAGACSAAAKERAARVLCRLTQNSDNAWAVAAHGGVTALLNVCTDHGASGGELVCAACRVLRSLAGVDEIRKYMVADAGAVPALVSLSQGAATDDAARIQSMELLAAIASGGDGSAREAVIQEGAVESLVRALDPSSPTRSSKAREVALRAIDAVCLSPPTSTDRLLAAGFLDRVLSLLRNGDTTLQHCALKAAHRLCQVSEEIRKAMGDAGFMPEMVSVLRAAKSPETRDMAAEALCALVSVHRNRKRFVQDDRSVAQLLQLLGLDEEKPTPAKRFLLSTLMHLTDSSSGRRKIMSSEHVRNLEKLAETDVPDAKRIVKKLGGSKLRSIFHGIWSL >PAN10732 pep chromosome:PHallii_v3.1:2:9433084:9434979:1 gene:PAHAL_2G114300 transcript:PAN10732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLPSSVASRLAAVLADPAGVPLPIFNSLLSALAASADPSHAHLPLHLFRRRLLPRRLPDAFTLSALTSSLPSAASAAADALHALALRLGLLHADPVLANSLLRLYLRPPNPRPGLARRLFDEMPARTASSYNTLISHSHSPGAAVEGVWGLVRRMVADGCAPDRFTVSAVLPTCVSTRLGRELHCYAVRSGMCGADDFHVSSGLVSMYCRVGNPELAHAVLDGMEQRNVVSWTAMVGGYTENEMFEGAVDAFRAMWLIDGILPNTIALISVLSAVEALSALAEGKQVHCFAVRMAMYGEVSLRNALIDTYAKCGALHYARRVFDGTSWCKDVISWGAMILGYGIHGMGVEAVALFDQMLASGVKPDKIVGLGVLSACCRARLVLKGLDIYNSLVKDHGVQPTEEMCSCVVDLLGRSGHVDHALDFIKSMSVEPGPSVWGALLDASVTHSNKEIQDLASKSLLRLGEGKPSNLVAVSNIDASLERWNVVERVRNTINQGPLKKKTGRSWVNPT >PAN13534 pep chromosome:PHallii_v3.1:2:48244535:48248904:-1 gene:PAHAL_2G345000 transcript:PAN13534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKYTRGCCGWLIVALIAALVATAAMFAIMKRKPGGRGRHMKPLPVPGPPGAIDSKYGDALGVALQFFQVQKSGKLENNQIPWRGDSALTDGKEAGLDLSKGMYDAGDHMKFTFPMAFTATVLAWSVLEYGDQMSAAKQLDPALDALKWITDFLIAAHPSDNVLYIQVGDPDLDHNCWERPETMTEKRPLTQISKKSPGSDVAAEAAAAMAAASMVFKSSDTTYSDVLLQHAQKLFTFADTYRGLSSESYPKLQDFYNSTGYVDELLWAASWLYHATGDQTYLSYVTVQNGKTYADWGRPTWFSWDDKNPGTQVLLSRLNFFGSKQISNAENEGLKSYRDTAEAVICGLIPDSPQATASRTGGGLIWISGWNSLQHATNAAFLALVYSDYMLSTRTAEVQCSGKYYSPTDIRNFAASQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFEYLHSPNPNPNVATGALVGGPFQNDTFVDSRDNAVQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >PAN09487 pep chromosome:PHallii_v3.1:2:1953235:1956451:1 gene:PAHAL_2G027100 transcript:PAN09487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glycolate oxidase (EC 1.1.3.15) (Fragment) [Source: Projected from Oryza sativa (Os07g0152900)] MGEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLQENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPDGEYATARAASAANTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFVGRPVVFALAAAGEAGVSNVLKMLRDEFELTMALSGCTCLADITRNHIITESDRLLVMPSRL >PAN09486 pep chromosome:PHallii_v3.1:2:1953235:1956451:1 gene:PAHAL_2G027100 transcript:PAN09486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glycolate oxidase (EC 1.1.3.15) (Fragment) [Source: Projected from Oryza sativa (Os07g0152900)] MGEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLQENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPDGEYATARAASAANTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFVGRPVVFALAAAGEAGVSNVLKMLRDEFELTMALSGCTCLADITRNHIITESDRLLVMPSRL >PAN14255 pep chromosome:PHallii_v3.1:2:51377760:51378104:-1 gene:PAHAL_2G394900 transcript:PAN14255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSCLERARVTAWDDDEDWGLPAAERSGGVQGVVLPGSGGGGMRVKIRMTKGQLRRLLESDGRGGASDEDVVAEIMRMGTVRVDVAELWQAAERHHRPPPKLETIQEDDVDE >PVH65094 pep chromosome:PHallii_v3.1:2:52965665:52966105:1 gene:PAHAL_2G422300 transcript:PVH65094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDEERRMITRTMRATDRLQELMDCYYGMVPVVPGGEGVFLYQGKRVEGKRTLADYKMKNGDQIDLCSEMKPNMFVTLQVKDPLRAQTLHLVRTMRRTDRLKDLLDFCCAILDVPAIRGRFAFRGKQITVEETPKRLPMEGLGYR >PAN14136 pep chromosome:PHallii_v3.1:2:50829287:50833890:-1 gene:PAHAL_2G386400 transcript:PAN14136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSLIDVSAEDDLLLDLATPPPAQAPPRHPDPPHAGSLVGAEATSYLDPAGGSTDAAGLTADTDGVMEDQAAPERAESPKQRKAKTGANLRKSLAWNSAFFTSEGVLDTEELAIVNSTFRKTQGSRLPGIVEEMSKSGESTTSTLESETWVTESLETELFDNVRASIQRSLGKPNKVPGGPAASSKPPKATANVLRITARKGVDRMPQSKIRTPVSTSQGGVGAKQRPQVNVKDSATARVNLPGPAEEKISSKPPRALPRVAMMRSSTNTAITSATSDKRSSTGGAVNRQAAGKTANTSASVRPGGGTKSNSISKSGAFTSASSSRGVPMDTRSEARTKSTLSNKIITAQRVPVRSSSKSDIAVQRVPVRSSSKSDISKTVPSRSSGNKFPARGHAGRASPSISPCSSVDSMSSVISGASTASTVGKMSHTSESLNTLSPSLRKSNDLPLTPKLRHPIVTEEDSLGTAACRDNSKATSDTTNMGKGFKPSGLRRPTPKIGYFDAEKSIDQNIGAQVQLQPVKMQCLLPATPKSQPPTQNLNAASSTFAQQESNPDAVPLPHEESDPSKSKAVKALPLKVAQVEVEPFKVAEPESCTVQTDPIVAEHEADKSVDQNVGPQLKLQSLKIQCSLPATPNSTFVEQESKPIAGPHHEASVSKSKVMPLQAAQMEAEPSKLAEPEVCMHQSSPVVAKQEPEKSIDQNIGASVQLPLKEIQCLHPATPTSQASSTFCQQKSKPVTAPHEEISACKSKAAKAVPLKTVQVEVDCLKVAEPEVCLHKTDSVVAADTPKENIPAVHQNIKANVDSNSLVDMLTQKLSSISLGEATPDMAS >PVH64922 pep chromosome:PHallii_v3.1:2:50829287:50833890:-1 gene:PAHAL_2G386400 transcript:PVH64922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSLIDVSAEDDLLLDLATPPPAQAPPRHPDPPHAGSLVGAEATSYLDPAGGSTDAAGLTADTDGVMEDQAAPERAESPKQRKAKTGANLRKSLAWNSAFFTSEGVLDTEELAIVNSTFRKTQGSRLPGIVEEMSKSGESTTSTLESETWVTESLETELFDNVRASIQRSLGKPNKVPGGPAASSKPPKATANVLRITARKGVDRMPQSKIRTPVSTSQGGVGAKQRPQVNVKDSATARVNLPGPAEEKISSKPPRALPRVAMMRSSTNTAITSATSDKRSSTGGAVNRQAAGKTANTSASVRPGGGTKSNSISKSGAFTSASSSRGVPMDTRSEARTKSTLSNKIITAQRVPVRSSSKSDIAVQRVPVRSSSKSDISKTVPSRSSGNKFPARGHAGRASPSISPCSSVDSMSSVISGASTASTVGKMSHTSESLNTLSPSLRKSNDLPLTPKLRHPIVTEEDSLGTAACRDNSKATSDTTNMGKGFKPSGLRRPTPKIGYFDAEKSIDQNIGAQVQLQPVKMQCLLPATPKSQPPTQNLNAASSTFAQQESNPDAVPLPHEESDPSKSKAVKALPLKVAQVEVEPFKVAEPESCTVQTDPIVAEHEAVNPLTKMLVHS >PVH65163 pep chromosome:PHallii_v3.1:2:53771466:53776683:-1 gene:PAHAL_2G435400 transcript:PVH65163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQYVVMGLYTPLVLCVFFLYIWCAAANPADPGVFKSKKYMSLYGSGKHKYLKESRKGVSDTRLQLEGTGGKQEHEVAVSSEKSMTQQKEKNPSCCSSTFSAFLLIFYPLSSVFSCCQSHECPSEQQASEEGMFFCSLCEVQVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMSTALVLLILQSAIGVLVLVLCFVERKEFSVQIVSKLGSSFSLVPFVIVVASCSILAMVASLPIAQLLFFHILLIKKGISTYDYIIALREQDQEDVSGQQSPQMSRVSSYTGLSSASSFGPLRRGSWCTPPRLFLEDQYDVIPSEAGSSHNSATKRKGDEVRRKKGSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDDYSRGHETDSSYGGMSSGRIDLGPDSKRRTNRRGRQPSDLSLKPVAKISTDAIDSTGSEMPPEALSSLAPLQLEARSAFHPSRAASSANVGGSSPDSSLDSPDLHLYRVSAVSSSGAEDLQLTALTAPGCTPQQGIELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILNSDQSASSSGILVPKNRLS >PAN14021 pep chromosome:PHallii_v3.1:2:50318097:50326538:-1 gene:PAHAL_2G378800 transcript:PAN14021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARRFVVRQGPAAGGSGKGEAEAEEHEVEYDTDHGIDVLRFQIFSLTSVPPDLQKIVVEVDGSVVDDGTDLESLSERLRVVAIGEDEGEDAAAADAAVEASRAQEKSDEELARMLQEEEEALLLQQYSVRNDGGEVFRQRVEPYMNRVLMYEDPVRQEAARKTVPIHELEEKALVSLAKEGNFHPSKAEENHAFLLQLLFWFKQSFRWVNAAPCDSCGRETSNVGMGNPLPSEIEFGASRVELYRCNHCSSITRFPRYNDPHKLLQTRRGRCGEWANCFTFYCRAFGYEARLILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISKDGVRDVTKRYTRKLHEVLSRRTITSEETISAVLSSITRKYRTGLSTGALSVIENRDKEESEELSKAAYLEVETTLSLPGRLSGSVEWRKARSELGQADSLSCSSCPVRKCVDAHVSNLYDALSALLSHFCDGKVPKERIIEVFHALKTLMLNLKDANFKSRRAALDKKTQQLFEEICSFIERLFAAVSLKAELGTDGHQSVTVVGNPINSSLALPVALDAVDEILSNYKNNIFCTEGNQFPRGNRLSSGSVLASREQLPIGIATAAFDGIHSSKWEEPDGAKGCWLIYKMPDGQTCELDSYDLMSANDSPERDPMDWVLEGSVDGGSTWNTIDTRSSEMFETRFFRKTFTVDKRCKANAFRFRFLCVRESHSNPRFQIGSIDLYGRTM >PAN14287 pep chromosome:PHallii_v3.1:2:51530067:51536338:1 gene:PAHAL_2G397500 transcript:PAN14287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLNSQNVSSPVKAFDAAVTVLLNATGDYAAANSTRLFATGEEAFNPTAPTIYGLTQCTPDMSPADCRSCLGGIISMMPQYLSGRQGGRVIRMRCNFRYEVYSFFSGGPSLRLPAPSTPAPAPSPINVTPPAAPPGRSKNKTGVAVAIALPIVAAVLAISTVCICFLWRRRRPSREQTPSYSTNAEDIESIDSLLLDISTLRSATDNFAESNRLGEGGFGAVYKGILPDGQEIAVKRLSQSSGQGMQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPEKSKELDWGKRVKIINGIARGLQYLHEDSQLKIIHRDLKPSNVLLDSDYTPKISDFGLARLFGGDRSREITSRVVGTYGYMSPEYAMRGHYSIKSDVFSFGVLILEILTGRRSSGSINIEQSVDLLSLVWEHWTTGTIVEIMDPSLRGKAPAEQMLKCVHIGLLCVQDNPVDRPMMSTVNVMLSSGTVSLQAPLKPVFFIPKSGYYSTVYSESYPTASQSTGNGKSGAMSPNEVSITELEPR >PAN13565 pep chromosome:PHallii_v3.1:2:48352551:48354638:-1 gene:PAHAL_2G346800 transcript:PAN13565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTIVLGLILGLLAAANAVPFDFYYLILMWPGAYCEDSDNGCCVPKYGYPAEDFFVKSLQTFDLSINKPIVRCRNGKPFEANKLDKIENSINHYWSNLKCPPTDGVNTWKSAWNSYGVCSGLEQLDYFKAALNLRKQADILGALAEQDIKPDYKLYSTEKIRRAVEQKLGVAPGLQCRDGPFGQKQLYQVYLCVDTDARTFIKCPKLPATLSCPASVVFHPFYTWMLNSTAASGAGAAFESKLLLLTETMLK >PAN15501 pep chromosome:PHallii_v3.1:2:57316319:57322487:1 gene:PAHAL_2G492700 transcript:PAN15501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLSILRLEIVCSVDGTTETTPILDSDERAVYQEALQPVGEVVDLVMAESTHGSGRHVFGDLTNVLCKRPAPSDLEKSMGGIKIRRIEKDAGTWEEFDEYAKNSSRGKGIVYGHLFDGVAKENFEGPSIFRNSKVQHMAAEAAGLLSKEDLDLRNRRASIYPCDLSDKEQDSSLESEGDYDEDDDEMDGELPGHFISSELANKTAANDSECLTQEEIVGSSGNQKPLCSLDFTTGGDMPSSSVQHASMRTSGSEAAVPTKSCACSFCLKAASMWTDLHYQDARSRLSVLKKSIKFARSLEAKSKGNEYAANVAGYKSKRAVEMEFELSQQRRSLFLYTENALVRESTQLHSAFVKLKELRENCKKDLEMISSSSLGK >PAN13285 pep chromosome:PHallii_v3.1:2:47051142:47053760:-1 gene:PAHAL_2G325700 transcript:PAN13285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGGKAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLRYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >PAN12715 pep chromosome:PHallii_v3.1:2:44514174:44516151:1 gene:PAHAL_2G285900 transcript:PAN12715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRPAAPAAAPSGSASEASDAEADAPLHHPSSPSPSKTPPPPNPNPKSSAAAPTLVAEDSFAAGSDSGAAYDSDADHRPALRKAGAAASPSRKPRSPMPRSRSRSRSPDAASESDGAASDADPAAGDADGNASPLPPQRQSRGEAAAIKPLSSRPMDPPRRSTVPSFAEPRPKRPRSVAVPSSVEHLKRPSRLWSLADELVILRGLAAYRARRGVLPGSMHDIAKLQGLIQSELSVQVTPTQVSDKVRRLKQKYNLLASRAKNGRDPDFPTPHDRSVYELGKRVWGPTTSAAGGNAAGDGYEIAGVGGGGESEEELEIGESDEDVESEGDERARKNRRLKPIPMANGNVTGFGAVNANSRGKFDFEKGKDAYPYLWETVEDLSKEHPNGVAFKKAFELIEGPKARGMEEKLRKFRLTEIRHQLRRMELMKETVKMVLDALEG >PAN11799 pep chromosome:PHallii_v3.1:2:36930273:36936155:-1 gene:PAHAL_2G217700 transcript:PAN11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEPLLSAQMLRSLPEHSEVSHFSAPPSSPASSYKERIIFGAHPPPPPPPPPPPPPHGAHYRRGSIDGLRDLDLPSCSSAPPSPPPDPEDPSSASAASPSLFDFIAVATGGRTNLHRSRTAPAMAPLSAAAFAAAAAAGDQPPPKRPAIVLHAFLFLLAYLALGVTFYAAAPANFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLVGFGFVDILLSGMVSYVLDLQEHLLITALKDPSSARKHRHNYIFDVKKGRMRIRMKVALALGVVAICVGVGAAVLRKVESLGWLDSVYLSVMSVTTVGYGDHAFRTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVIYKLKEMGKISEKDIMMVCDQFQRLDTGNCGKITLSDLLESHHLVAEPRDKKKGKKS >PAN12222 pep chromosome:PHallii_v3.1:2:41565528:41569647:-1 gene:PAHAL_2G250200 transcript:PAN12222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MDDGGIQEEPPSARFLTPTRSGGTRWVDGSEVDSSESAALSLGDERSGETVSAEGSAAATGPASRVSSGTFRRRLGKRPRRVDSLDVEAMNVRGAHGHSSKELTMLSTIAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERAMFVKDCLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVPGFDTDAVVIVSIIVLLLLFSVQRFGTGKVGFMFAPILGLWFINLSSIGIYNIVKYDISVVRAFNPAYIYLFFQTNGMKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAFLMKNPLAVERIFYDSVPGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKIMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTVLVTLVMLLIWQTNLFLVLCFPILFGAVEFAYLTAVLSKIREGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYIPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHGFFEQLLVESLEKFLRREAQEIALETSTMEAERDDISVVSEVPQSPACEGDLRTPLLSDQRSGDDNRMGTRDDSAPVLPSSSMSAEEDPALEYELEALREAMASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >PVH64365 pep chromosome:PHallii_v3.1:2:41565087:41569868:-1 gene:PAHAL_2G250200 transcript:PVH64365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MAFSLRQFMSAVSGLQGQVPGFDTDAVVIVSIIVLLLLFSVQRFGTGKVGFMFAPILGLWFINLSSIGIYNIVKYDISVVRAFNPAYIYLFFQTNGMKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAFLMKNPLAVERIFYDSVPGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKIMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTVLVTLVMLLIWQTNLFLVLCFPILFGAVEFAYLTAVLSKIREGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYIPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHGFFEQLLVESLEKFLRREAQEIALETSTMEAERDDISVVSEVPQSPACEGDLRTPLLSDQRSGDDNRMGTRDDSAPVLPSSSMSAEEDPALEYELEALREAMASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >PAN14289 pep chromosome:PHallii_v3.1:2:51546854:51551660:1 gene:PAHAL_2G397800 transcript:PAN14289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAGAQHRVSSRLAAGLLLASLLAPLASAQLAWWFCGNNGSYAENSAYQSNLSQLSATLPTNASQGLFAAATVGVAPAIVYALALCRGDSNASTCESCVSMAFPEAQQLCGFDEDVAVFYDGCSLRFSKQNFLVDGSKANLTVIMNRQNVSSPVEVFDAAVGILLNATSNYAATNSSRRFATGVEEGFGGSYSTIYGLVQCTPDMSPADCRSCLDDIIALTPQYLSGRRGGRIIGARCNFRYELNKFFTGEPTLRLQTPLAPAPAPNDVMPTVATGDSTKPEDIEGIGSLLLNLSTIRAATDNFADSNWVGEGGFGAVYKGVLPGGQEIAVKRLSESSGQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYIPNRSIDTILFDPEKSKELDWGRRFNIINGIARGLNYLHDDSQLKIIHRDLKASNVLLGSDYTPKISDFGLARLFGGDQSREATNSVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEILTGRRSSGSYSFDESADLISLVWEHWNTGTIMEIMDSSMRGNAPGDQMLKCIHIGLLCVQDNPADRPAMSKVNVMLSSSTVSLQAPLKPEFFIPKSSTYSTLFSESYPGASKSTSNSVSQK >PVH64995 pep chromosome:PHallii_v3.1:2:51547131:51551660:1 gene:PAHAL_2G397800 transcript:PVH64995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAGAQHRVSSRLAAGLLLASLLAPLASAQLAWWFCGNNGSYAENSAYQSNLSQLSATLPTNASQGLFAAATVGVAPAIVYALALCRGDSNASTCESCVSMAFPEAQQLCGFDEDVAVFYDGCSLRFSKQNFLVDGSKANLTVIMNRQNVSSPVEVFDAAVGILLNATSNYAATNSSRRFATGVEEGFGGSYSTIYGLVQCTPDMSPADCRSCLDDIIALTPQYLSGRRGGRIIGARCNFRYELNKFFTGEPTLRLQTPLAPAPAPNDVMPTVATGGRTSNKMKMVLAITLPVVALMLAITIFCLCFLRRRLAREDAPSYSTKPEDIEGIGSLLLNLSTIRAATDNFADSNWVGEGGFGAVYKGVLPGGQEIAVKRLSESSGQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYIPNRSIDTILFDPEKSKELDWGRRFNIINGIARGLNYLHDDSQLKIIHRDLKASNVLLGSDYTPKISDFGLARLFGGDQSREATNSVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEILTGRRSSGSYSFDESADLISLVWEHWNTGTIMEIMDSSMRGNAPGDQMLKCIHIGLLCVQDNPADRPAMSKVNVMLSSSTVSLQAPLKPEFFIPKSSTYSTLFSESYPGASKSTSNSVSQK >PAN13590 pep chromosome:PHallii_v3.1:2:48540462:48541867:1 gene:PAHAL_2G349100 transcript:PAN13590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDLEDDEDIWGNTVSSPSASPPQPLAAAAAVSPCGAFISTQLSLNSRLHLLSTAGGSSPVGAGIYAADGGRHHMDLGGGFRNAAASPAPFFSAYNLDPGAGGVAPIDAGAARSVLEDEMCLGPGAAAASTWAGAVVGGSDRRKKRMIKNRESAARSRARKQAYVRELEREVQLLQQENESLRVKYEQLRVSVEVAVPVKKTLQRMPSAPF >PAN10162 pep chromosome:PHallii_v3.1:2:5628148:5631774:-1 gene:PAHAL_2G074800 transcript:PAN10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRSDLFPSSTSAAIPGRVLCAKEIPASKNQAAEASKDTSARKIGQPGNKIRIRLPPRKRLAEGVQTASTVVPQDTKNLPAKEVSEHTDDNTPSTTFVDNKVKAEEVCSKDIGEGQCQGANNNSLITTMSNGTLPEEPNLIVSSLNLTTATEVQVKEEYSYPVRKELCEEGNKLIMNKGLPLEDSSNAPRKKSYIGAINNTPSKNQSIISVHGEVEKNNSSDMIPSKRLLYETDINSSSKGVTAEARINNSRKNVTTSAVKGVEANDNSLGNNLFEEAGKNIATAKLSIEAINCAPSRRPADPANDKKSRKKLRTSPVHATGTSHNPSGIKLSTSAGPDVEQSTSAAFLEAAKEYKEFEEKVKRTVYLDNLSLLATDAVITMALNQFGNVKNVNFLTNYTVPFDIPQSALVEMETEKDAEYVVNMLDEFPFMMCGMPRPVRVKRATAEMFNDRPWKPGRKLEFCWVGPTDPDCQDVRRFKLMAKRHEVENLALIKNQLHEEALLAKHQQENLNCNYRKLESIDGVIMTGWVNRLTRIYNLNFDEVY >PVH65337 pep chromosome:PHallii_v3.1:2:55484443:55485980:1 gene:PAHAL_2G466400 transcript:PVH65337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPENTLEFAIDCMADASNQLSNLDNELLVAVDLDYFDVHQMREALQFIAEGLLRDALQCKSFWMRGKLHLSTAALLASVHQIGVRISAQHRRHLVEATALTLATTPASPHGATTLHLTAMPRFSSILGEA >PVH63346 pep chromosome:PHallii_v3.1:2:629897:632334:1 gene:PAHAL_2G009800 transcript:PVH63346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSCFQPHTGICSYLQFDSYMQENGHCYELHPVDHPFEAIGDYRSGSILPSATFKDLQHFAERETKKPIFDQASSVSHRFDSQLHPLTPKTEVSHLIENGLGSYKAYDEMNGRFVPRKKTNSISLKKANVVKKQWTPEEDRKLVKLVEQFGLRKWSYIAQMLPGRVGKQCRERWHNHLRPNIKKDIWSDEEDMVLIQAHKEVGNKWAEIAKRLPVRTENSIKNHWNATKRRQFARRRSRTSSKQGPKSGTLLQNYIKGLGIGPSKNVIAPLAQPTLSPSLEHNNPSNILDSQGILSIHEQNYSKAESCEELAVAPICDDFSIDMLCDSLFDTKEDTCFQVYTVDDDMDMNCIFNHVDHAIKVGCEIDMEMMWDDEDGLGCVEEPAGSAQIEAVNVKEEMDLIEMVAVTQKYGEAEKN >PAN12110 pep chromosome:PHallii_v3.1:2:40920556:40921674:1 gene:PAHAL_2G243200 transcript:PAN12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAVWRWLPLPAWLGSTALWFVFLNAVVAAVAVLSRSRPSLASPRRGAGITRRASSAVLQRLRSFSIFSFPSATFTTAPLLQPDAAAHAQESEDPATARAVIRQSPRSLPLAPSPKAELVQVAEEGEGGDPNGMSMDEAYALALAARRRPEREREEEARRSEVDAKAEEFIRGFKEDLRQQRLNSIYNYTQMLKRRAFGGGGRQPDARPDQL >PVH64227 pep chromosome:PHallii_v3.1:2:36954068:36954199:1 gene:PAHAL_2G218000 transcript:PVH64227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLVLSEHPLSGFMIGSTITRLVQSFSVVFLYWFYVFSVASL >PAN13178 pep chromosome:PHallii_v3.1:2:46643802:46648502:1 gene:PAHAL_2G319300 transcript:PAN13178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVHTPAGAGGAASSSSSSSTPSPSTKRPTTTLRVLCPSSRAAALRPSRDLHVDHPPVGDEVVLTISGPDAPAAAVRAWERVVGHRVEGDEAMGGEEEEREVTGAVGCRMLAAGGQVGCVLGKGGKTVERMRQETGAQIRVFRNREQLPPCAGPGDELIHISGSFSQVRKGLLAVSTCLQDNPRPDTSSIPMGRPFGPPVSGTGCPPGMDPHSQRNYLPPPMPDYHTRNYQSNAGALAPRFFFEQEIVFRMIILNDMVGSIIGKGGSTIRALQSETGASIKILEPIADSDERVVAISARENSDMMHSPAQDAVVRVYSRISEASIDRNSPTPARLLVPAQHIGCLLGKGGSIITEMRKLTGAGIRILGNEQIPRCAQRNDEMVQVTGSFQSIHDALIHITGRIRDVIIPKPHPSGGMPPYPPAGNIPLHQPRQEPPPPHPHHSGGMPPYPMHSFRADAPMGPFETGGHRPPLAHSMEHMGPDRMPYSYGCEQGGPRPFLEQPSPRTWAPEAPNTNNEAPRNMPDAVASTDFRKGPVAGENQVATPTSTTTEVVIPCKYIGFVCGTNGCDLAEIKKMSGASITVHDPKPGDTNSIVVICGDPEQTKKAQSLIHAFIFCGLCQT >PVH64633 pep chromosome:PHallii_v3.1:2:46643802:46648502:1 gene:PAHAL_2G319300 transcript:PVH64633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSIGSFSQVRKGLLAVSTCLQDNPRPDTSSIPMGRPFGPPVSGTGCPPGMDPHSQRNYLPPPMPDYHTRNYQSNAGALAPRFFFEQEIVFRMIILNDMVGSIIGKGGSTIRALQSETGASIKILEPIADSDERVVAISARENSDMMHSPAQDAVVRVYSRISEASIDRNSPTPARLLVPAQHIGCLLGKGGSIITEMRKLTGAGIRILGNEQIPRCAQRNDEMVQVTGSFQSIHDALIHITGRIRDVIIPKPHPSGGMPPYPPAGNIPLHQPRQEPPPPHPHHSGGMPPYPMHSFRADAPMGPFETGGHRPPLAHSMEHMGPDRMPYSYGCEQGGPRPFLEQPSPRTWAPEAPNTNNEAPRNMPDAVASTDFRKGPVAGENQVATPTSTTTEVVIPCKYIGFVCGTNGCDLAEIKKMSGASITVHDPKPGDTNSIVVICGDPEQTKKAQSLIHAFIFCGLCQT >PAN09558 pep chromosome:PHallii_v3.1:2:2332232:2333561:1 gene:PAHAL_2G033200 transcript:PAN09558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPPFLYAASPLVAALTGACAAAVGFLALSEFRGGNLAYSKFVRARAAGARLLLPSRAGMLVIYAPALAAALASFAVPGAVQGARAGPLCAAVAAHFLKRVLEVLLVHRYSGSMPLGTALLISSCYLFNAVAMIYVQRLSRGLPEPAVDLLYPGVLAFAVGAAGNFYHHLLLSRLRAGAGAGGDKGYKIPRGGLFELVACPHYLFEIVAFFGFAMIAQTVYALVVAVGSAAYLAGRSSATRKWYASKFEEFPARVKALVPYVW >PAN12689 pep chromosome:PHallii_v3.1:2:44368589:44372296:1 gene:PAHAL_2G284400 transcript:PAN12689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPAPPTNYPKTLTSLILCRRRPDAGAARRQEAINNCASRRLPRPIVSPPRGPSVRHHSLLQPAPPGPASDRVVERSSAVVAQPPLPPPLLLPEMPSPTIRRLDVASPVPADIDIANSVEPLPIADIAAELGLGPEHYDLYGRYKAKVLLSVLDDLKAQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHENSQSDKALFNRLCPTNKEGKRRFADVMLKRLTKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLVPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHIANTRSYGVNVVVAINKFASDTEAEMNAVRNASMAAGAFDAVVCTHHAHGGKGAVELGLAVQRACESQLEPLKFLYPLESSIKEKIESIAKFYGASGVEYSEQAEKQIDMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPTGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDVDTATGKVMGLS >PAN09311 pep chromosome:PHallii_v3.1:2:872653:874150:-1 gene:PAHAL_2G012800 transcript:PAN09311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFLSAVLGDLTSRSINFIINKRSKLPAHTMEESLQRALIRAQVIVDEAMWRKITNQPMLLQLVMLRDAMHRGYYMLDTFRYQPHDDEEAKDQAVSRSSSLSIVNFVKHLCFPSRDALTLKEMQDALDNLSSMILDVNELVLFLTRYPSLYSQPYSMHLQLANCMFGRQMEAQLVINFLLHAQPHGAEELESSLVAHVCKDERVVFTDDELATFRDECELKHQNCVSELKLEGRLLVVIELIGDLNEDAWSRLYSASRRYAPRGSKIIVTSQFDNIVKFGTTRALTLKYLSHEAYWYFFKTLTFGSVDPEMHPRLTNLAMDIAKMLRRCHIVANTFACLLRDNFDVHFWCKILALLRRMPTSSEDIVLYRRYEHPSGEEVPKIKFQDVICGSVKPHGRFEVLAWRSGIPPYYSYVYTCEILGLKPRAVKRKRSMKKRSQKLLVS >PAN12247 pep chromosome:PHallii_v3.1:2:41817946:41820041:-1 gene:PAHAL_2G252800 transcript:PAN12247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAWATATAALNPPLLTRSSCSRTLSASPARRSAAAGLRLRSRRPRLAKFECRRAKNSGYEDYKFPDPIPEFAEQETSKFREHMAWRLEQKKDDYFGEHVEEIVDICTQILGSFLENDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >PVH63977 pep chromosome:PHallii_v3.1:2:15279180:15280453:1 gene:PAHAL_2G150000 transcript:PVH63977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCLSCRTHQECQCEVRIEGLETLDYLDNLSHKEEQGDAITFESEVDRVCVSSPNVVAILDHEKKRSFLIRKEGLPDVVVWNPWENKSKTMVDLVMRSTSRCFALMRPWWRERSSLSHGRSGQGSWSYLQFHPPTAVIILITPSASSV >PVH64098 pep chromosome:PHallii_v3.1:2:28225490:28225708:1 gene:PAHAL_2G186000 transcript:PVH64098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN10494 pep chromosome:PHallii_v3.1:2:7423509:7426743:-1 gene:PAHAL_2G096700 transcript:PAN10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGEFSATSVMHMIVRQLFTRLVFNLQFESSLSMEIISFWMWLEGIGHADFLASIDSLDNYRLHSIASGAKMFIEALRLRSIQQSNHRSVEGGYFRKEAVKGIVFYLNNVCYRVLEDILEVATSKENIYRRANQAQQQYVKGKAPMTMTTKDLLSKIKASFISARSHQEGSSSRSIVVPSPKTHILKDIENPIEQCLSSTYPLASLFEALNIREEEEVEEEEEEEEEEEEPADAIQIQQQPNVPRDERTLFVTFSNGYPFTADELYEFFIGNFGDVEVISVQEPVAPKPPLYAHITFYTQDTLFRVLAGHPRVKFVIRGKHLWARKFVPKRKKAHNF >PAN09393 pep chromosome:PHallii_v3.1:2:1354216:1357387:-1 gene:PAHAL_2G019600 transcript:PAN09393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESPTGTCSNAALQEQLRCPSLAVAAFYDTMERQIWLGNALLLTGAAMTGVMVGIGSYGRRYRHHRFTRFIFLGANTLFLPIISYVVSTLGDNSNDYVNLHKDRMTTLAALCDSVFHPCMIITWAFLFQIAAINTTSVVAIDSREGRKVRPPPELLLRGIWTFYLGASITEKRFFHGLFRFSPTEDHGPLTLICSKIMFTPFALLCAKIWLKCYSFGKARKSFALGRNPSLVFGYTQQLLQQQGRSRNGEDALPPALLVMGEDSRKVEKQPWGYVFSETWTPPTDSTGLVTLDTVWQSDQTMLPTSTPRPKDLCLSFALFKLLRCRFARYDLANVGLKFFWSLLLKDSEHDRVFRVIADELSFLNDYYYSSLPISYSKCWLPILSVLISVLSIGYCVVAAYFIVVFAAQVHKQGRNQIHCAFWCNKLQVVSEPRSKRFGSLYFDVVPEFMLLVLVLIAEVRDLSSYICSNWTKVALICHCVKSATLQHPLGVPKWIASLLLQCRCKITDRWDEKIGQCSVLVLQPTARTTLVGLLSRLFHLPDEKRRVELPVAVKVCIVDALRTAASSNGCRLGNGRTSLNRSQVGKGFLWACNGKSTSDIILTWHIGTSILEVRHPFRHDDQEQGSSPVSDRHKIAATHLSRYCAYLMTWSPELLPDEEAWSKGLYEAVKEDTERVLADRITMSGPPLTPEAEYQELVKLLSAGSKHSVVMNGVWLGKRLVELVEGEETAWAILAGFWAEMILYVAPSNNLKGHRKAVARGGELITLLWALLFHAGIVSRPGETGGAASAGGVV >PVH63679 pep chromosome:PHallii_v3.1:2:6097060:6097878:1 gene:PAHAL_2G083800 transcript:PVH63679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLESQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWLIKRRHVQQLSIAEMRMLRWFCRHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRSRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLEINVPEP >PAN09346 pep chromosome:PHallii_v3.1:2:1090516:1092278:-1 gene:PAHAL_2G016000 transcript:PAN09346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLAAVREIRRAQRADGPAAMLGIGTANPAHYVLQDEFPDYYFRVTRKEHLTDLKDTFSKLCRIVGLERRFFHHTEQLLNANPAFLHGTPSALDARLDIVAKAAPVLAASAAAKAIARWGRPATDITHLVVSTSSEARSPGADLGLATLLGLRPDVCRTVLQLNGCSAGCAALRLAKDLAENNRGARVLVACVELTITSFRGPDEGDTFDTLIPQALFGDGAGAVVVGADAVHPAERPLFEMVSASQALIPGSEQLLNMRLGEGGIDGDISFNLPRFAAQNLERCLLDAFRPLAVNGAEWKWNDLFWAVHPGSRGILDHIDSALGLEPRKLAASRTVVRDYGNMLSATVIFVLEELRRRMDEEGDEADEWGMMVGFGPGFTVETMVLHATKS >PVH63545 pep chromosome:PHallii_v3.1:2:3744855:3748265:1 gene:PAHAL_2G051200 transcript:PVH63545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRYGIHAVTLWLYLIRSVYSMVSGASTSGAGRKKRSQTTWPSDVKSCGRLNSEAAPEDPSILVRLARVCGLTARQRVPLTLEHFDDLSWDDKKRIFENNIQPYVEYPIELHDKATKHAMKIISKAWRSYKNKLLKCWKKKENPFDKYADLTKEAWDELVQKWNTPEFQQSTGYAGKQRKWEQENEMLAERGIENPYESFEGRLAPFMRARSKLTEDGNINFYSTSAEEVAQRALMESSQGSNEGVREFDALTRALGTREQRGRVRGVSSRYRKQTRDSSSKVDIDEIKKQVKMEMFGELKTSIESQVKMQMFGELKTIFESQGLSFPDLPGSTMSEERRDSFACTAAGASQSRGTERAIVPTSVEPDTIDGLARPTRCSLLVQLVGDSSRLEVGNGLVYPGMSQLEGVQVRADCAVVKIDYLHEFAKNIKLDVPPDDMTTTLRDAVARRVQWRRAGIHIDPADADSVPTTEPQPQSPAVPQTFSEPWPQLSDTWEALPDPHPPVPTQPQITPPAPVPTEPATAPKKPSKANPVRKKQSRPRRSGRSQRNPKYKVGKSLLSVPELRKAGQYCLDLHNYYMRNVNRHEEIMVSYEERHFLQLEGSRNIFIVAWSDLFDLFNLDALDLSLIRCFALHMQQDTRRRTGKKCGYIDPQMMTVTVMNSYRDSLVRYMVKCMRVHADKEHIVVPYNPGNHWVTLIINVRSKQVFYLDSSIPSDESGAPQIRDYSLVISILDESLDRHLRAEEGYKEQRQVAFTHHTAWTCTRQPSGNSCGFYVCHNMLLVAEKPDFTDEDDYFNQTTLGNVKDIRERLAGFLMMEVINPKGEFHLL >PAN10435 pep chromosome:PHallii_v3.1:2:7102150:7108376:1 gene:PAHAL_2G094100 transcript:PAN10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAEAVKSGRRGGGQVCQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDDTDADDASDFNYPASGNDDQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGLSKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSSNPSREFSGSIGNVAWKERVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPIPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFCQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKRASKSKKKGSDKKKSQKHVDSSVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLVYCVLPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >PAN14403 pep chromosome:PHallii_v3.1:2:52066636:52069988:1 gene:PAHAL_2G407000 transcript:PAN14403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEQPSAKRIAAPALLPPLLRLNDDLLAEIFLRLPALADVGRAATACAAFRRVVADRAFLRRLRSVHASPLLGLLVFSSIHPAEPPHSNASFARALQRAANLSFSFVPSAGRWIPVDARDGRVLLEREAMSGDFAVCDPLSRRYLFLPQIPGRPAAQRRGRLEPFLVPASEEDAETSFRVVCVVECKPGRLVAFVFSSATGQWESLTVDASVQPSFKFSWSSYACGCFYWNVAGTNKFLVLDPRSMEFSSVSIPSGHGQQDSVIVEAGEGSIGMFTVYNSIISAASYLVYTVRKIDEEGNSMWQFKKRVRLPSQYIFSFADAMDRHLLLRGIPWNLHLGYSNDEVDIGYFSVEFQSMQIEKMCDLKHLLYAKLYTGFPPSLCVPSI >PAN09186 pep chromosome:PHallii_v3.1:2:197421:200438:-1 gene:PAHAL_2G002400 transcript:PAN09186 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1 [Source:Projected from Arabidopsis thaliana (AT4G21790) UniProtKB/TrEMBL;Acc:A0A178UT97] MRELASSFAAGAAATSGAYSSSASAALRGWWNDVNESRQWQDGAFFSLAAAYALVSAVALIQLIRIQRRVPELGWTTQKIFHLMNFLVNGVRALVFGFHVHVFLLRTKVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYIAVNSIIYVVQVCIWIYLGINDNAAVELASKIFIVAVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICVTCFLIRCVVVALSAFDPDVSLEVLDHPILDLFYYTLTEILPSALVLFVLRKLPPKRVSAQYHPIN >PVH63331 pep chromosome:PHallii_v3.1:2:376643:380925:1 gene:PAHAL_2G005500 transcript:PVH63331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLGSGESGGSVYIMDLSGTIDSAMGSWTACRVASLDRTVWTADCSSDGTHAAFGMDHGAGLLDLETRGLSWLCRSKSDILSQKFVHSGNVVLCGLRNGSIAPVDVRQKHHNHPTGVPSASTARRTVPMLRSKHQGRWRNQADKDKCPRYISMSSAVCSLVTLSSDENYFLGSSMDGCIKLFDLRLIQKGGIQSYEGHVNSHTHLPLVVDPSETLLMSGGEDCTVRIWSIKTGELIFAQSVCDTPFTALCWPESSRDLCGSSLFDVNHSWGAWLGSRNGLFYMHGT >PVH65439 pep chromosome:PHallii_v3.1:2:57410853:57413921:1 gene:PAHAL_2G494600 transcript:PVH65439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKKAKVLCLHGFRTSGNFLKKQISKWHPSIFQQFEMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKEFTEYTNLDECISYLCDYMVKNGPFEGLLGFSQGATLSALLIGYQSQGKVLSDHPPIKFMVSISGSKFRDPSICTIAYKDPIKVKSVHFIGEKDWLKIPSEELASAFDDPLIIRHPQGHTVPRLVDASVEQLSEWSSNILEDLKNEYVTVPKVLDSDESTDVELAENNMVEQVAA >PVH65438 pep chromosome:PHallii_v3.1:2:57410853:57413921:1 gene:PAHAL_2G494600 transcript:PVH65438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKKAKVLCLHGFRTSGNFLKKQISKWHPSIFQQFEMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKGATLSALLIGYQSQGKVLSDHPPIKFMVSISGSKFRDPSICTIAYKDPIKVKSVHFIGEKDWLKIPSEELASAFDDPLIIRHPQGHTVPRLVDASVEQLSEWSSNILEDLKNEYVTVPKVLDSDESTDVELAENNMVEQVAA >PAN09200 pep chromosome:PHallii_v3.1:2:284177:289348:-1 gene:PAHAL_2G003700 transcript:PAN09200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARGVRPGMLRHKENNPADAQAGKRQRTATGAGRQPLSAAAQPPPRPPPEEEPMVFVGREDVEALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDANLIEIGNISARLEAEGKEHSETVAELKNSIDEARSINEELQKQYTSLQETLKKVETEKMDALRALEEEKDARISVESVKNELLEDLKRNKLEEKRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNAETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSLQNEAAKQKNDLLKEVEGLRMELQRVREDRDNKSAEVDSLMAEIGTYKEMTGKTAMELDGAMTRTTALEETCSSQRETIKTLEIKLAAASERLKMSDLTALETMTEYENQKKMLESLQSRLEEAEQQILDGEKLRKKLHNTILELKGNIRVFCRVRPLLPNESGAVSYPKSGENMGRGIELMHNAQAYSFTFDKVFDHSASQEHVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELDDQKGMIPRSLEQIFQASQTLNSQGWKYKMQASMLEIYNETIRDLLAANRMAAQDGGSSKYTIKHDANGNTHVSDLTVVDVTSISEVSSLLRRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQMRSSQ >PAN12816 pep chromosome:PHallii_v3.1:2:44981312:44983468:-1 gene:PAHAL_2G293300 transcript:PAN12816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTTAFFLLSLAFLLGTSLAITHVSQEAQLIKFMSSRALKRLENRPTAANEPEESDPWADPGGTFAHLPERCKGPPSGSKEADRVLGLPGQPPRVNFRQYSGYVTVNEEHGRELFYYFVESPYDAASKPLILWLNGGPGCSSLGYGAMEELGPFRVNPDGTLRRNKHSWNNLANVIFLESPAGVGFSFSRNTTDYNTVGDRRTAEDAYVFLVKWLERFPEYKGRDFYISGESYGGHYVPQLATVIMSMNRYPALLPRINLQGIFFGNPLLDDYLNGKGNLEFLWSHGVISDEAWARILANCTFTESDDWPCFVAAHSFQKGNIDRYNIYAPVCLQARNGTYYSSSHSLPGYDPCSYHYIEPYLNNHAVKKALHARVDRNWTGCSEDLAWNDAPEFMVPIIKRLINNGLKVWIYSGDFDSVCSLTATRFSVNDLNLTITNKWRPWYTPDSEVGGYVQQYQGGFTFASVRAAGHLVPTFQPKRSLVLLYAFLKNMLPPADIPK >PAN15024 pep chromosome:PHallii_v3.1:2:55088755:55091881:1 gene:PAHAL_2G458800 transcript:PAN15024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGYSYSPSPPRGYRRRARSPSPHDHYSGRGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDIYLPRDYYTGEPRGFGFVQYYDPEDAADAKYYMDGQLVLGRQIAVVFAEENRKRPQEMRARDRVRGRSYDRRYSRSRSPPYSRGRSPSRSYSRLRERSYSRSPVDSRSRSGSPYEEGYRRSSRRERSLSVTG >PAN15025 pep chromosome:PHallii_v3.1:2:55088755:55091881:1 gene:PAHAL_2G458800 transcript:PAN15025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGYSYSPSPPRGYRRRARSPSPHDHYSGRGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDIYLPRDYYTGEPRGFGFVQYYDPEDAADAKYYMDGQLVLGRQIAVVFAEENRKRPQEMRARDRVRGRSYDRRYSRSRSPPYSRGRSPSRSYSRSPSPPNPKHRLRERSYSRSPVDSRSRSGSPYEEGYRRSSRRERSLSVTG >PVH63657 pep chromosome:PHallii_v3.1:2:5861046:5861363:-1 gene:PAHAL_2G078400 transcript:PVH63657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHCPFSKEIWWRTTSWARCGCHFNVDVDSIQEWWEEQQKLQPGPKRKGFNTLFMLTGWHIWKERNARLFNRQAAGASEIVQRIKDEVDLWIAAGARKLGCLFGE >PVH64206 pep chromosome:PHallii_v3.1:2:36679685:36681925:-1 gene:PAHAL_2G215300 transcript:PVH64206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGPTQPSSHIFPRGEDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPRHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAEKKYETRVDTSLAIVSYIALQGEPFCGHDESETSLNKGNFLEFLDWYKLRNEEKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLDIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNDVQKLIRDENPYAFYIHCFAHQLQLVVVSGSKCCSSIEDFFDYVNMIVSSTSTSCKRKDLLIDSHHTIVLNKLESGDIPSGRGQRQETSLPRPGDTRRGSHYRTLLRIETMWDSTIEVLQVVHDEERNPSRARGLVPTMESFSFVFTMKMMLQILRITNELYHLLQKKDQIIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDIPIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFSEVSSELLTCFAYLDPRDSFSNFDVNKLARLTDIYLDNFSFDDRKRIRDQLETFIIHIRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKI >PAN12309 pep chromosome:PHallii_v3.1:2:42343153:42345821:-1 gene:PAHAL_2G258100 transcript:PAN12309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPFHAIIHSPFLPVPFRPGAAAAPSLGVNVAWPSRALRLPRARASADKREQAMEEQGGAAALGWAARDATGVLSPYNFSRRVPRDDDVTIKVLYCGICHTDLHVIKNYWGNAMYPVVPGHEIVGVVAGVGGGVTRFKPGDTVGVGYFVGSCRSCDSCGKGHENYCAGVVLTSNGVDRAHGGAATKGGFSDVIVVSEHYVLRVPDGLSLDRTAPLLCAGVTVYSPMMRHGLNEPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSPSKRQEAVEHLGADEFVVSRDPEQMKAATGTMDGIIDTVSAWHPIAPLLALLKPLGQMVLVGVPNKPLELPVYAIVPGGKGVAGNTVGSVGDCQAMLEFAGKHGIGAEVEVIKMDYVNTAMERLEKNDVRYRFVVDVAGSLGSAA >PVH63385 pep chromosome:PHallii_v3.1:2:1225097:1228445:1 gene:PAHAL_2G017900 transcript:PVH63385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRCRRLEGKVAIVTASTQGIGLAIAERLGLEGAAASSFCGSAWESHENVDEAVEGLRGKGIAAVGANFGHIDVLVSNAAANPTVDGIPQMKEAVLDKLWNINVKASILLLQEAAPHLRKGSSVIIISSIGERYNVHLFLLSFLILFALFRRCQNHLIALAVEMGPNTRVNCIAPGFVPTRFTNFLTTNETIRKELTDRTPLKRLGSVEDMAAAAAFLASEDASFITAETIVAAGGMQSRL >PAN10284 pep chromosome:PHallii_v3.1:2:6129958:6133936:1 gene:PAHAL_2G084300 transcript:PAN10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAPVSSAPRTVGEIYRDYTARRAGLVRALTSDVDEFYGLCDPEKENLCLYGLPNGGWQVALPAEEVPPEMPEPALGINFARDGMKRRDWLSLVAVHSDAWLVSVAYFYAARLNGNDRKRLFNMINDHPSVYETMVDRKQRENKSGVVNSGKSRHSTKRSNDGKIKSSRSAAVEDGYEDDEEHSETLCGTCSGLYNSNEFWIGCDICERWFHGKCVRITPAKADQIKQYKCPDCSKKSR >PAN10344 pep chromosome:PHallii_v3.1:2:6389782:6390519:-1 gene:PAHAL_2G088000 transcript:PAN10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGQKSKGRQKIEIKPIQNEEARQVCFSKRRQGLFKKASELSILCGAMVGTIVFSAAGRSFSFGHPSIDEIISRFLNSVNPNGPASGGPSHDNGGSVTDAVSKLNMELLELEQSLESEKKRKERLQEATEKEMGGRMMQWLNANVFELGLDELQEFQKKLEEIQAIVKEKINEVMAEARHTPGSLPQPPMDVASTSQSANPLASTAPSSSAAPIDGSEINYALLSGGVHGVGGLGNFPSNQNHG >PAN10002 pep chromosome:PHallii_v3.1:2:4742230:4746749:-1 gene:PAHAL_2G064700 transcript:PAN10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPSRSRGDYDHLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDAKRVVSTAQGQKLADEYGIKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRPDPDQPNPASRWSSCCNT >PAN12900 pep chromosome:PHallii_v3.1:2:48803188:48804244:-1 gene:PAHAL_2G353900 transcript:PAN12900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAKRLVQMAKKWQRMAAVARKRLTSTPVKEAEGSCSTSSSMASKGHCVVYSADGRRFEVPLAYLGTAVFGELLMLSQEEFGFASDDGKITQPCDAAVVEYVLCLLRRDASEEVVRAFLSSMVRPCHNVSGGVPCNQPLAVCV >PAN09474 pep chromosome:PHallii_v3.1:2:1877865:1878761:1 gene:PAHAL_2G026100 transcript:PAN09474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMEVAESGSGGVAAAVAESASPAPSEAAMAVYERVARMASGNAVVVFSASGCCMCHVVKRLLLGLGVGPTVYELDQLGGGSGGREIQAALAQLLPPGQPAVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >PAN09616 pep chromosome:PHallii_v3.1:2:2642908:2657079:-1 gene:PAHAL_2G037400 transcript:PAN09616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGPAALHLLLPAPPPHRRHLAFALPQHPAPPLHARAACSRRARRRAPPQRHGVARASAAVAEEASSSGPAKFSVRIPVGDREILVETGHIGRQASASVMVTDGETILYCSVCLSDTPNDPSDFFPLSVHYQERLSAAGRTSGGFFKREGKAKDHEVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAITAAGIAVALSEIPNKQTIAGVRIGLINGQFVINPTTEQMENSELDLMMAGTDSAILMIEGYCDFLTEEKLLEAVEAGQVAIREICKAIDVLVQSCGKKKMVDAINLPPPELYRHVEDISGDELVKALQIKEKIPRRKALSALEDKVITILSEQGYVSKDSSLGVTESLDDIVEDEDEDEVIVDGEVDEGDVHIKPVSRKPPRQLFAEVDVKLVFKEVSSKYLRRRIVEGGKRSDGRSPWELRPINSQCGLLPRAHGSALFTRGETQSLAVVTLGGYQMAQRIDNLVDTEESKSFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEQILPPEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGSPLILSDITGAEDASGDMDLKVAGNESGITAFQMDIKVVGITLPVMEQALLQARDGRQHILNEMSKSSPPPSKALSRHAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKTIIANLTMVPKVGEIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSGWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDADSESNSKQQSSGSTKEKAPQKDDLIKMTTRRPKRKKQSEPSGAENATTKTLEKSAAAPATSQGSETAT >PVH64372 pep chromosome:PHallii_v3.1:2:41659654:41660388:1 gene:PAHAL_2G251400 transcript:PVH64372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDSYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRAATAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTDNEMDRFLPIKKRSIRTEEESP >PAN15361 pep chromosome:PHallii_v3.1:2:56617169:56618157:1 gene:PAHAL_2G483000 transcript:PAN15361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRVHSSSPASSPSPAACTGEQRKVFTVWMKSLVLNGHGCTVYDSGGGIVYRVDNYGSRCSGSVCLMDLDGAVVLNVVKKKLAVGRWEGYKWRGQRQETRPWFTVTRPVRPFRGWSHGRPVSSSSCEFRCDSTGRVTRYTIADECRAGSGKQGCSIVDEATGLVIAEVKRKVTASGVALGEDVLSLVVQPGTDLALVVGLVLLVCGLMNRSM >PAN13101 pep chromosome:PHallii_v3.1:2:46358296:46361972:-1 gene:PAHAL_2G314100 transcript:PAN13101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGSDGAGVSPESEATAAAEKGGEIWGTLEELLLACAVTRHGTASWDSVAMEVQTRSPLAARPGLTPHSCRLRFRLLHRRFSTAGSGEEDDPDASAAEGWVDELRRLRVAELRRDVERCDLSIGTLQSKVKRLREERERSVSGEEKPDEANGNDRLSGEEPGRSCRESNSTDLKPPTHPGHQGGGAKEEEVAKQEASGESAAASKESSDVRSSASLCRRRRGKAEEEEEEEEASALGPPTAGSPPLAALLDAVAAKLGSVLQRLHEHEGEEAGAYRGTIRRHVDLETVRRRLDTSAGSREEDDDHYPAHELYRDLLLLCTNAVVFFPRSTAEHAAAAEARALVTGHASAPAKQDRAAAPAPAPAGADIVGSLIEKGKPLIVCRKRSSIAKAAAAARKEESAAKAEPEPETEPEPEPEPAEEEESEDEKKAAAAAVATKDKARGLRTKKGRGGAARNPASLGRNPAKGANDDADTTTTDGAKKGGKKGAGGAAAGGPAKKRNAVDFLKRLNQSPSRKRGSPLGTTRKRSASAAATAAERQNTTTRKRGAGRKEGTGRGGSRRGGRGAGTKRGVGRPLKRGSAPATPPASKRAKTK >PVH65234 pep chromosome:PHallii_v3.1:2:54145711:54147219:1 gene:PAHAL_2G441400 transcript:PVH65234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSASSMAIDGTAARKLLHGGAIARGAADLGGVSFGLWELVTGFFADILAYLFAALAGAAHLLVLPLELLWQWLVAAAAGAISSGIDGLWQHVTGLFAGIFGALAGAAHQLVLPLETLWRWLATIVTDTAGVISGGLDGLWQHVTGFFAALAGAAHQFVLPLETLWQWLATSTADAAGAISSGLDGLWQLVTGVFPKISAYIFAALAGAAHELPQKLEELWRWLKAAAAVALPFALGVAAVLLLLALIWFCGPTLCHVTVGVCRALVSAVCYFGHGLYYVAVAVLQVLAGFLPRCVLCLKDCALFVTMKAPGAADMLISRAAFEAAPALYFQILRTAGPVVAAAVFCAKTVAVIVAPPVAALFRVPVGA >PAN11547 pep chromosome:PHallii_v3.1:2:29923814:29928608:1 gene:PAHAL_2G190500 transcript:PAN11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLPSSVLFLLHIALLPLVPCSAQVGGSCSSARDCGTGLYCGSCPAAGRTKPSCIRNLAIQPTSIVKGLPFNRYSWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGVRGLMLDMYDFNDDVWLCHSLQGQCYNFTAFVPAVETLKEVEAFLSENPSEIVTIFIEDYVRSPMGLSKVFTAADLMKYWYPISEMPTNGKDWPSVTDMVAKNHRVLVFTSDASKEASEGIAYQWSYLLENESGDPGIVPGSCPNRKESQPLNSRSASLFLQNYFPTMPVQNEACKENSALPQMAQACYAAAGNRIPNFIAVNFYMRSDGGGVFDVQDRINGLTLCGCNTIAACQAGAPMGACKDTGAPNQTPWSSSSSTSSVNGNVYSGTIEFKTHPTAGASNTSTCSFALLLSLLLTVKLFASFMH >PAN11546 pep chromosome:PHallii_v3.1:2:29923758:29928728:1 gene:PAHAL_2G190500 transcript:PAN11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLPSSVLFLLHIALLPLVPCSAQVGGSCSSARDCGTGLYCGSCPAAGRTKPSCIRNLAIQPTSIVKGLPFNRYSWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGVRGLMLDMYDFNDDVWLCHSLQGQCYNFTAFVPAVETLKEVEAFLSENPSEIVTIFIEDYVRSPMGLSKVFTAADLMKYWYPISEMPTNGKDWPSVTDMVAKNHRVLVFTSDASKEASEGIAYQWSYLLENESGDPGIVPGSCPNRKESQPLNSRSASLFLQNYFPTMPVQNEACKENSALPQMAQACYAAAGNRIPNFIAVNFYMRSDGGGVFDVQDRINGLTLCGCNTIAACQAGAPMGACKDTGAPNQTPWSSSSSTSSVNGNVYSGTIEFKTHPTAGASNTSTCSFALLLSLLLTVKLFASFMH >PAN11548 pep chromosome:PHallii_v3.1:2:29924272:29928608:1 gene:PAHAL_2G190500 transcript:PAN11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMYDFNDDVWLCHSLQGQCYNFTAFVPAVETLKEVEAFLSENPSEIVTIFIEDYVRSPMGLSKVFTAADLMKYWYPISEMPTNGKDWPSVTDMVAKNHRVLVFTSDASKEASEGIAYQWSYLLENESGDPGIVPGSCPNRKESQPLNSRSASLFLQNYFPTMPVQNEACKENSALPQMAQACYAAAGNRIPNFIAVNFYMRSDGGGVFDVQDRINGLTLCGCNTIAACQAGAPMGACKDTGAPNQTPWSSSSSTSSVNGNVYSGTIEFKTHPTAGASNTSTCSFALLLSLLLTVKLFASFMH >PVH63546 pep chromosome:PHallii_v3.1:2:3774438:3775379:-1 gene:PAHAL_2G051300 transcript:PVH63546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPNIRWDREGHAHTNALHWEGFPRLLWESLQIFGYDAPPRYDGYEFVEAGVPRCWVKMTIPQHPSRYLWQPVTICTIGHRLVDTFESAALEAIHVFCDKHPEEVAAYPIGLFPATDSRDPEWTFRISCCSHLLGDLSLETLQTLIRFMNVQHHYQLLQRRGMNQLSTLAQAHHGTITQQLDELNELHTIQNAQVDLLAQRDVIINNLENQIQERETVITQRNTIVEFLQDQDQDLTIELDDAVNHINELHEQPVPPVVLEENESEEEEEDPEEIEGESSLDSEHGDPAPNPQSNHSSSGSLPSVGNLDDY >PAN13007 pep chromosome:PHallii_v3.1:2:45914922:45915765:1 gene:PAHAL_2G307100 transcript:PAN13007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSANKAPLSLCLALAVVSATAAHVATAAPHRRLQKLPSFDDGDDDPKEAPVVPPTAAACCPSWRRRAAWTTSSARWPGCRCASAGCAARCWRGSATGASSTPSPASPSTRPTRRSSSASAASPSEVERSRTHANHMVGGGDGAACSRRSCSLQLYQQLHGRNTPPIKQFF >PVH64066 pep chromosome:PHallii_v3.1:2:24788781:24789081:1 gene:PAHAL_2G176000 transcript:PVH64066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEADGEDDVDSLVMGVEDMNVARTQDELTVWTRSDIEANDADVDLLADGEHDTDNTYIDDGHVAPVNSIAQGDEDDIFV >PVH64217 pep chromosome:PHallii_v3.1:2:36832303:36832596:-1 gene:PAHAL_2G216700 transcript:PVH64217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNVRILLFHFLIISFCSLDWFTKLTNWCFGSRGCDGMAFMSNIVMQLHIDACSYRGISAALSSGIALFVVCFCLLLLERLMMPFVATFVPELLS >PAN14852 pep chromosome:PHallii_v3.1:2:54551450:54551728:1 gene:PAHAL_2G448900 transcript:PAN14852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGVASSREDMTLEEFKEWLKQFDADGDGRISRNELREALRRRGGWFTTWRSGRALRQADKNNSGFLDDSEIENLVAFAQKDLGMKVSTW >PAN09742 pep chromosome:PHallii_v3.1:2:3557279:3558846:1 gene:PAHAL_2G048600 transcript:PAN09742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRETVNHMLKGYVPPDSTIYMFVQQYNQLQSDLESKESFEESRSKEKSRVLSKGVPIEEHAAKVYTRAMFEKFGEIIFESGSYVVDEKEKGKAYVARHIRSDRRETWSQVEFEVMIRAEDGTVVCECGLGEHMGMPWTCLL >PAN11706 pep chromosome:PHallii_v3.1:2:34582599:34591342:-1 gene:PAHAL_2G207500 transcript:PAN11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKRLPILKSVREFEDEEPGVAPVASARAGVLLRHSGTELTASAANNATEGEGNQAPSKKNIQEIPTPQFDIVDTYERDYTRTFAQPTSYIRGRGARAEIGEFVEYDLDNEDEDWLDDFNNERKNINPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDTAMEALQYLSVRYAVFQAVYNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLEQAKALMDALIKREETKREVMECQVNLQRIQMKYKHEAQLVEDGITLSGFQQTSSRFESSDDDYADSDDTTTEQQYIRPAVFHPRFPANKLSVIPPLRIKRERELKRRPQQNGWVFKRDPEEPVFLFTRPLDPEKLVAAGIKPPPDPPIENGATTPPFRCRGRIGRGGRIIFDRWNPLLRTPIGQETSYYVPYGHRPPSPEG >PAN11707 pep chromosome:PHallii_v3.1:2:34579830:34591342:-1 gene:PAHAL_2G207500 transcript:PAN11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKRLPILKSVREFEDEEPGVAPVASARAGVLLRHSGTELTASAANNATEGEGNQAPSKKNIQEIPTPQFDIVDTYERDYTRTFAQPTSYIRGRGARAEIGEFVEYDLDNEDEDWLDDFNNERKNINPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDTAMEALQYLSVRYAVFQAVYNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLEQAKALMDALIKREETKREVMECQVNLQRIQMKYKHEAQLVEDGITLSGFQQTSSRFESSDDDYADSDDTTTEQQYIRPAVFHPRFPANKLSVIPPLRIKRERELKRRPQQNGWVFKRDPEEPVFLFTRPLDPEKLVAAGIKPPPDPPIENGATTPPFRCRGRIGRGGRIIFDRWNPLLRTPIGQETSYYVPYGHRPPSPEG >PAN09337 pep chromosome:PHallii_v3.1:2:983356:986604:1 gene:PAHAL_2G014500 transcript:PAN09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSTAIVQETVSQILSGIVQKYEEKEESNVNRNMERLEMAHIRLEAALETSEKWQITDASLLRWRRKLKRAAQECDDTLHKCKQRILEDEQMEREVKNSSLPNRIVHVTKSFVFSVLNWSNNKLSRSIAQRYEWYADGASEFLRFIELGGTPRHHMPFNSLVQNLFAGKELHHKIVRGDKYPLCQLWLGPTRASEHGTNAFLIFIQYDGTRDINIHVSLTVQLSESTDIVGIAVKCLQFFAPHFNCTFESIRNEITQLLTQDYSWAPSIHSYHREHWDKLVSLASQWTRPNPFCCKQHGQHDVRRFSNQDMSGLSDVLLEPVIEFSLHCERLSFSICSKQKASLSKDIISLEDYPYLKAGITFAPHGSSEDILPANRSSEIAATVRKEQDCLHTDVALEQLEEIILPKAIDYFRQNAKAMVYQIIWKSKHGFALIYVEKPCISPRRSSMRTRSTFGGAGKKKLLHGQDEEVRNWKCVSHWIDLLVSLGMPVRLQRLLVNWWRKEKEFQLTGTAATPIKL >PAN10036 pep chromosome:PHallii_v3.1:2:4920318:4922511:-1 gene:PAHAL_2G066800 transcript:PAN10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKKDEASPLHVVVFPWLAFGHIIPFLELSEQLAKRGHFVTFVSAPRNLARLRPVVQEVRPRIRLAPLPLPRVEGLPAGAESTADVPPEKVELLKVAFDGLAAPFAAFLAVACAGVGTGEGHGRKPDWIVLDFAQHWLPPIADEHRVPCAAFFIFTAAGVAFNGPKALNDAHPRSAAADFTVPPPWIPSPLSHLAYRGHEAEWIAGAWRPNASGVSDIARFWETTERCPLLVCRCSREVDGPLCALLGDLYGKPVLPSGLLAPHAAATRASSAAGADGRNDDDEETAGLMRWLDAQPGRSVLYVAFGSEAPLAPEHVRALALGLELAGVRFLWALRRPIGEQRPELPDGFEARARAAGRGLVRVDWVPQVRVLAHAAVGAFLTHAGWSSLVESFLFGHPLVMLPLFADQGLTARLMAARRVGLEVPWDGRAFGGEDVAATVRRVMVEEEGKALARNAREMQGVFWDTARQGRYIDELVEHLQRRRRREQDAPCT >PVH64371 pep chromosome:PHallii_v3.1:2:41658914:41659431:1 gene:PAHAL_2G251300 transcript:PVH64371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLWRIPTTSPRTTSRVPSCTQSCLWVRSPLELRMAQNSDDPLFVVSVVVWVFVVILAIVAFHCPLPRIVVR >PAN11550 pep chromosome:PHallii_v3.1:2:29929982:29933640:1 gene:PAHAL_2G190600 transcript:PAN11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATLLLSAVDATFAARRRPCTPARRPGRVVPGLRQASRRSVRARAAAAAAPAAVQSNAVYTVGDFMTRKENLHVVKPTTSVDEALELLVQHRISGFPVVDDNWKLVGVVSDYDLLALDSMLGNGLADTNTNMFPDVDSTWKTFREIQRLLSKTNGKVIGDVMTSSPLVVRENTNLDAATRLLLETKYRRLPVVDPTGRLVGMITRGNIVGAALEIKKKSEEGA >PAN11305 pep chromosome:PHallii_v3.1:2:14921684:14925153:1 gene:PAHAL_2G148600 transcript:PAN11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDQPIKRKRGRPAGSRHPNTQMEQKMALVKQRMALLDSGGGDSDKDDDYHPMDDELSVVVAHQPVVIPCGDTDDDGVDDNWESAMSRGQEIQANLPVGHPSSVKRMLQSHVVRGFWLGLPKDFSQKHLPKKEAGIVLEDEHGEDHHTTYFYYKQGLSAGWRGFAIDHDIKVGDVVVFELVKPTKFKVYIVRANEFTTTDVDLNLLNLGASKKEKQSKEESSEDVITDEDAKVGTPYREVPPSDGSTTDGIGLDSDIDFDDVTSFSNVNLILDCLATDCGFHDHLRRIYYELCCSRRSLLHKNLLRQLHPTLVAGVIVETVSIAEGIRACKAQSSSREDLLNRKKTLESFELLGMNVAFLLKRVNELLATRSGESSEWQEKYKELKLERACAGEKMKVLELQLSNVKDVMQKVDVEMVELESSLKKSDEALQELASAPW >PAN12758 pep chromosome:PHallii_v3.1:2:44777559:44780721:-1 gene:PAHAL_2G289300 transcript:PAN12758 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A4 [Source:Projected from Arabidopsis thaliana (AT3G19270) UniProtKB/TrEMBL;Acc:A0A178VA28] MAFFFVLLCSFIFVAIASYVHYARRRKGEGQGGCGGHEKASLKLPPGSMGWPYLGETLQLYSQDPNVFFTSKQKRYGEIFKTHLLGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGTSALFFHQGEYHLRLRKLVQGALGPDALRALVPEVEAAVRSTLAAWDGRVMSTFHAMKRLSFDVGIATIFGGRLDERRKAELRRNYSIVEKGYNCFPNSLPGTLYHKAMQARRRLHGVLSDIMRERRERGELGSDLLGCLMQSRGDDGAALLTDEQVADNIIGVLFAAQDTTASVLTWIVKYLRDHPKLLEAVRAEQAAVREATDGGRRPLTWAHSRSMALTHRVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHSPDYFQDPHKFDPSRFQVAPRPNTFLPFGSGVHACPGNELAKLEMLVLIHHLVTGYRWEVVGSSDEVEYSPFPVPKHGLPVRLWRENRTTVDRKGGCETMMLRT >PAN15086 pep chromosome:PHallii_v3.1:2:55340543:55342031:1 gene:PAHAL_2G463400 transcript:PAN15086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGVLILVALLGAAAVAAQAPGSSSSYGYPPSPTPPSPSPPAPTAILPAQTPPPPASSRLRFDFYRRSCPRAEDIVREAVRNGTSVNPGLGAGLIRMAFHDCFVQGCDASVLLDPTAANPRPEKLGPPNFPSLRGFDVVDAAKDALEKACPGVVSCADVVQFAARDAAFFLSGYRVYYQLPAGRFDGRISFENETLAFLPPPSFNLTQLVDSFKVKGMNVDDLVVLSGSHTIGRSHCSSFSDRISTPPSDMEPGLATILKGQCPANPNFTNDPTVVQDIVTPDMLDNQYYKNVLNHKVLFNSDAALLTSRVTTRKVQENALIRGSWERKFARAMVKMSLIEIKTAANGEIRKNCHVVN >PAN10630 pep chromosome:PHallii_v3.1:2:8421111:8421422:1 gene:PAHAL_2G106700 transcript:PAN10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGFNKAGSPEASGEGGTRRAPAGAYYECSFCKRGFTNAQALGGHMNIHRKDRGGKPGAAPPQQDDAGGSRTYGGGDVHLGLSLGRKEDVDLELRLGGYPYN >PAN12466 pep chromosome:PHallii_v3.1:2:43124811:43128834:1 gene:PAHAL_2G267200 transcript:PAN12466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLIYKLACDLVLAEDPQAEEVLRFSTHPERFCAVCKLVIPSLEASWKPDNCDHIICIACLCQYAPETEATGQPRCAVASCESFCKSETHQRVDLDHCTLISMEDMDSGKGKEPSDIMRQELGQCSRGTNAMASGEFYCAKCMETVHVREVFAVPGCTHLFCISCLSQYIAEKVEDNVVSIGCPQPGCKDGTLCPEACQDVIPLQLFQRWGIALCDLALGAFMFYCPFKDCSALLVDEHGQREAAIRKAECPRCSRMFCVQCKVAWHYDVTCEDFRRLGNDKGRLDDLLLRKVRQESMAQPDPVGSCESLQKLETHQGINVGHTTLISIGDMDNCKGKKPFVAMLQELGQCSQGANVMARSEYYCTICMETVDLKDLFPIAGCTHLFCVGCMNQYITTKVEDNVFSIGCPEPGCKDGLLDPESCRDIIPLQLFQRWGTALCDSALGAFKFYCPFMDCSVLLVNECGPRDAAIRKAECPHCSRMFCAQCKVAWHYGVTCEDFQRLRNDEQGSDDLLLKKAVRENKWQKCLQRVVSTCESLCKSRANPNIDVGNSTLISTEDMDSRKRKEPLDDMLMELGQSFPGVNAMADSEFYCTICMEAVHVRELFPISGCKHLFCINCVSQYITAKVEDNVLSIGCPEPGCKYGALDPEECRDVIPPQLFQRWGAALCDSALGSFKLYCPFNNCSALLVHERGHGEAAITKAKCPHCRRMFCAQCKVAWHDGIACAEFQRLGKDERGKNDLLLRKVARESRWQRCPKCKMYVERAEGCVYIVCRCQHRFCYLCASPMSRGIHHCSKCKRTW >PAN14823 pep chromosome:PHallii_v3.1:2:54448739:54451406:-1 gene:PAHAL_2G446800 transcript:PAN14823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAPAGGAARALSEREQDVQMMLAADVHLGTKNCDFQMERYTHKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEETKEEEEALVAPDYGAVAEYAAPGTDNWGGDWGAGDAAATPAGAPAPAGADWTAAPAPPAEGVWDAAAAPPAAAPGWEQGAAPVPAPTPDWK >PVH63839 pep chromosome:PHallii_v3.1:2:9928012:9928942:1 gene:PAHAL_2G118600 transcript:PVH63839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHWKRVQCCFMDNWKSANARKMPSHFDVSFLLSFSLLNRKTSCWDMLSPCTYSLLVRCIPASFLGQRRYYSVCYDRVHLHLRCAPFKPMSY >PAN10163 pep chromosome:PHallii_v3.1:2:5632242:5633989:-1 gene:PAHAL_2G074900 transcript:PAN10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKKPSLFVSLLLLSLVVSAVHGAQPADAGDTAAAGGGERVTVRSSGHGHGYSSSHSGGHTSGGTPKQGGAGSVDPRNLNARSHHRSGAASRAGLGCSSLSVAWGLVGATLAVVVLP >PAN12675 pep chromosome:PHallii_v3.1:2:47646951:47648575:-1 gene:PAHAL_2G334600 transcript:PAN12675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSLCRATPSCRRSSRSCSTARAPTRASPLRGPRRCCSAAPGEGAPARVGLDGGRVRGAHRGGAPGGDRGAGRLPGRVGAAHGGRVPEPLAVPGHPLHRRLPRVAGVPRAVPARRPAAAARGRACCRCPSPRRPRWPRCAGGACTRTSSRWTPGTTSTRRGRTSTWPGPCSDPAASCSATTTSPSRAGAAR >PAN10049 pep chromosome:PHallii_v3.1:2:5061420:5062945:1 gene:PAHAL_2G068900 transcript:PAN10049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLHSLFSPLRRMWVRAHSERRNRRGMHLLYKDVQSCQDEDVQVLWSILIDSHRHPALRKLKL >PVH64631 pep chromosome:PHallii_v3.1:2:46622014:46622300:1 gene:PAHAL_2G318700 transcript:PVH64631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKTALCSCIVSLKLMLVGVSSLLTDSSSLSCWSQQEMHVLAGSFAFRCS >PAN11801 pep chromosome:PHallii_v3.1:2:36938316:36942870:-1 gene:PAHAL_2G217800 transcript:PAN11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MIPRSGRYVMCVETIITLGLGGPPGRRLPLPCPALPCVLGFVSFVAPTTVSRRRQRQGKMAGYMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVDWFNNKDFHGSIIQVHIAESKSKDTFDSSTNLAITADLGGQDELDNGVGRGRGRGDGPGKAWQQDGDWMCPNTSCGNVNFAFRGVCNRCGAARPAGVGGAGGGGGGRGRGRGGADARGSSRAGAGAAAATAVGGPPGLFGPNDWPCPMCGNINWAKRTKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKKRRKEAEEDDGEIYDEFGNLKKKFRAKAQHTESAQTLPGSGSAGWDVEHRGSSEREGRERSRDRGRDDYDGKEIRSRDHARERRRSRSRSRDRDRERGRDRGRDHDYERSRERDRDRRHR >PVH64977 pep chromosome:PHallii_v3.1:2:51398660:51399673:-1 gene:PAHAL_2G395400 transcript:PVH64977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASGIVGLGRGTLSLVSQLGVGRFSYCLRSDADAGASPILFGSLANVTGGKVQSTPLLQNPVARRGPYYYVNLTGITVGATDLPVAFGFTASGAGGVIVDSGTTFTYLADAGYAMVRQAFLSQMADVQTVSGAPYDFDLCFAAVAAGADVPVPRLVLRFAGGAEYAVPRRSYFDAVDERGSVACLLVLPTRGVSVIGNVMQMDLHVFYDLDGGAFSFAPADCATL >PAN13996 pep chromosome:PHallii_v3.1:2:50200354:50207271:1 gene:PAHAL_2G377200 transcript:PAN13996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTVASQSPSRASPADTPTTAPSPASPPRRLLSAPPAVDASGGSSPASAHSGDQLSAHDASSPMLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTEVRKAKTAAIFPNAIEIVAGSKRHFFGSFLSRDEAYRIIVDGFEQHVSDARLLLERQETKSASSSEENGYVLLEGTKESKQDEEPSPQDRSVNGTAVSSSSADGGDSNVNISKRFSKVEENGTEDNIIALNTFNFRPVDEAAPSVPESYTMIAESKFQVPVEVLFNFLLSDGAFDFLDDFHKKCGDKDFSCSKWRSDEQGGLVRDVSFLHPIKFYLGAKCGTCQEVQKLRVYKNRHLMIQTSQSIGDAPYGDHFTVEGIWDVEQDSLDGNCCFLRIYINVAFSKKTIFRGKIDQSTKDECREVFGLWIKLGHDLLKQENNRPKGASSSTNAGLPLGATDNVENAVENAVPMASSAQDESGVRSLTTPIQDHQHRTGRDSSTTSTSQELWGSLTSYMRSSQLGPVLAVALVAFIILMQVTIIVLLTRSPQVQMAPHGISTGSLGYSKESIEWVQKRLSLLSEEMQLAEVHMETMRHEFAWLRSHLERLERLRGST >PVH63632 pep chromosome:PHallii_v3.1:2:5435720:5436503:1 gene:PAHAL_2G073100 transcript:PVH63632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFKRSLLSILDVSVSTPTSQSMTDHTNKHVILGYENYELTSLTSSWPIPLLTSSMLWYIFLHQQTCLHATFMLLDQSKNEKKCLNSFLWKVN >PVH63360 pep chromosome:PHallii_v3.1:2:844737:845409:-1 gene:PAHAL_2G012400 transcript:PVH63360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRAGAEVVTGDAVCRKKSVELLEELGLPKGLLPMEDLQEFGYNRATGFMWLVQGKKNVEHTFKKIKQTVSYAAEVMAFAEKGMLRKITGVKTKELMLWLSVVEVYVLDTSPEKVTFKTGTGLSDSFEATAFALGE >PVH64414 pep chromosome:PHallii_v3.1:2:42728289:42728708:-1 gene:PAHAL_2G262500 transcript:PVH64414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRRFDNLPVLIAQDKIPNTSYQQDKLNNTASATQATSATKRINQPKTWQAGAEPV >PVH63885 pep chromosome:PHallii_v3.1:2:11747608:11751890:1 gene:PAHAL_2G130900 transcript:PVH63885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os09g0567400)] MAAAALREQLNALLASMFASGLVDEQFQQLQMLQDDGSTPGFVAEVVTLFCDDADRIISELAALLEQPVVDFDKVDAYVHQLKGSSASIGAQKVKFTCMQFRQLCQDKNRDGCIMALAVVRNEFYDLRNKFQTMLQLEQQIQAQQ >PAN10122 pep chromosome:PHallii_v3.1:2:5426550:5428414:-1 gene:PAHAL_2G072800 transcript:PAN10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPRSPPTTRAMRARAAASSDRIPPDVLFDVLLRLPARDLCRLRAVSRSWRALASDPLFVAAHAARHGGPLFLAMFQDDKTSVYAVDLSGDVVKRVAAGAGGGPYHRLLCTRLDLGCLATDWNRVAATGEHKVFRMFNRLGFHNGGQQIFEVFTINGGTDHARWRGRQGPGLFIDECSGVAVNGVVYFLTSRVYEGACCGIRPDYIVSFDLGREEWMRDLRGPISSNAGYAKVSLYTRHQLALAELKGSLVLADHHHQPFTMDLWFLSDFRSGLWVKQYHIRIESLNLRSADEYHLKPLLVLDDGRLVLYLAPTGQVVHM >PAN10121 pep chromosome:PHallii_v3.1:2:5426550:5428414:-1 gene:PAHAL_2G072800 transcript:PAN10121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPRSPPTTRAMRARAAASSDRIPPDVLFDVLLRLPARDLCRLRAVSRSWRALASDPLFVAAHAARHGGPLFLAMFQDDKTSVYAVDLSGDVVKRVAAGAGGGPYHRLLCTRLDLGCLATDWNRVAATGEHKVFRMFNRLGFHNGGQQIFEVFTINGGTDHARWRGRQGPGLFIDECSGVAVNGVVYFLTSRVYEGACCGIRPDYIVSFDLGREEWMRDLRGPISSNAGYAKVSLYTRHQLALAELKGSLVLADHHHQPFTMDLWFLSDFRSGLWVKQYHIRIESLNLRSADEYHLKPLLVLDDGRLVLYLAPTGQVVHM >PAN10123 pep chromosome:PHallii_v3.1:2:5427080:5428168:-1 gene:PAHAL_2G072800 transcript:PAN10123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPRSPPTTRAMRARAAASSDRIPPDVLFDVLLRLPARDLCRLRAVSRSWRALASDPLFVAAHAARHGGPLFLAMFQDDKTSVYAVDLSGDVVKRVAAGAGGGPYHRLLCTRLDLGCLATDWNRCSVLDPATGAVHVLPERPAEEHANCVNLSNPYTFFALGRVAATGEHKVFRMFNRLGFHNGGQQIFEVFTINGGTDHARWRGRQGPGLFIDECSGVAVNGVVYFLTSRVYEGACCGIRPDYIVSFDLGREEWMRDLRGPISSNAGYAKVSLYTRHQLALAELKGSLVLADHHHQPFTMDLWFLSDFRSGLWVKQYHIRIESLNLRSADEYHLKPLLVLDDGRLVLYLAPTGQVVHM >PAN10120 pep chromosome:PHallii_v3.1:2:5427080:5428168:-1 gene:PAHAL_2G072800 transcript:PAN10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPRSPPTTRAMRARAAASSDRIPPDVLFDVLLRLPARDLCRLRAVSRSWRALASDPLFVAAHAARHGGPLFLAMFQDDKTSVYAVDLSGDVVKRVAAGAGGGPYHRLLCTRLDLGCLATDWNRCSVLDPATGAVHVLPERPAEEHANCVNLSNPYTFFALGRVAATGEHKVFRMFNRLGFHNGGQQIFEVFTINGGTDHARWRGRQGPGLFIDECSGVAVNGVVYFLTSRVYEGACCGIRPDYIVSFDLGREEWMRDLRGPISSNAGYAKVSLYTRHQLALAELKGSLVLADHHHQPFTMDLWFLSDFRSGLWVKQYHIRIESLNLRSADEYHLKPLLVLDDGRLVLYLAPTGQVVHM >PAN14418 pep chromosome:PHallii_v3.1:2:52147197:52149738:-1 gene:PAHAL_2G408500 transcript:PAN14418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHLAVALLLALLTSTAHTSDVPSFPLSQAQSPSNSSSPSNASSPPCHLDLSAELFGGVAAACGAGGGTGSLDRGRCCPVLAAWLFAAHARTALSVPPAPPPSSLSGEEGLGPGDDGPMVPYDNQRCVDALGAALEKRGVALPTPNKTCDTVLCFCGIRLHQIGSLRCPAAFAVGAAAKNATPTAAVKDLEKSCRNASYSGCSRCVQSLQKLKGNVSREVSGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYAAHPTESGSGSGGGAAPRCSPDQENMPLAVDSLQFERTGSASAAAASSTPQAVPAVLLGLALCLMVMISRRDAFSL >PVH65334 pep chromosome:PHallii_v3.1:2:55428375:55432220:-1 gene:PAHAL_2G465300 transcript:PVH65334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGSPRKREEEEEEDDIVCLDPSFFVDRSYEMTTFTFGSHELRLLCLRAASTDYDLTGQLVWPGAVLMNNYLSEHPENVKGCSVIELGSGIGITGILCSRFCERVVLTDHNDEVLEIIKKNIELQSCSENAHAVLTAEKLEWGNSDHISSIIEKHPGGFDVVLGADICFQQSSISCLFDTVERIIRTQTGNCRFILAYVSRAKVMDGLVLKEAEKHGMCVREVDGTRATISNLEGVIFDISLK >PAN10129 pep chromosome:PHallii_v3.1:2:5439196:5443748:1 gene:PAHAL_2G073300 transcript:PAN10129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAGRGPRGQRKRGGGGGGAARVRPRAWCCSFAGAPDSPDLRPLPPSAAAAASPAAGRKLPPKSPSAPSFHGSPTSSRLAGLGGLIDPRRILSPGRVSPIDPDGAVPPPLPLPLPAPPAAPAAATEDPSAVVPAEQPAAVPALASVSVAPLVAVREEGPGAGGGALDLRLLLRGRDGRCVHMELDSRVLCGCSAFFATMAPGVDAVAGGGGGGGGKRIEVDGVENLDAFRAAVELMYEPDPMRWLAAAGVSRAIDVLEVCSSIVFNRGIKSCLAYIEAVPWSENEEEKLKNLFARLTFDEEISQDILARLRPHSWKSSDDLMVQLIQSVTSSTKNGARKDMQSLVNGLLSKSSVYQKDSSGLNKESLYQICYSCLESLVDLFEEAIESTDHTGQAVVLRGSRPLIERVSSQTENLNWLLDILVNNDMAEEFVELWAKHERLIRMHEQASPMIRYELSRISACVFIVLGKGKVQCRGDIRSLLFQAWFSTMLLDFGWLQRCSKGLDIRSLEENLGRGLLTLPLRQQQSLFEEWFQFYATKGAECPNLIRAFQVWWRRSFVRSSVEPRS >PAN09817 pep chromosome:PHallii_v3.1:2:3846100:3848154:-1 gene:PAHAL_2G051800 transcript:PAN09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPPHPHPRPAATSTAGATSWPELLAPFDLSRLRATLSSRPLTPRRLARLLALPLSPATSLLLLDWYAASHPALSPSSLPLRPVLAAADPDRALALLDSVPPARLPPLRESLLIPLLRSLPPGRALHLLDQMPRRFAVAPSFRSFNVVLSTLARADCHADALALYRRMLRDGVPPTTFTFGVAARALCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCDQGGVAEAATLLDEMFLMGCPADVNTFDDVVRGLCGLGRVRDAARLVERMMMKGCTPSVLTYGFLLEGLCRARQVDEALAMLGRVPEVNVVLFNTVIGGCLAEGKLAKATELYEMMASKGCPPDVHTYNILIHGLCKLGRIGSAMRILGVMEEKGYAPNVVTYTTLLHSFCRNGMWEDTRAMLDQMLVKGLIMNSQGYNGMIYAICKDGRFDDAMRLVQEMKNQGCKPDICTYNTIIYHLCNNDRMEEAEHLFGNLLEEGVVANGITYNTLIHALLRNGRWQQGLRLANEMVLHGCSLDVISYNGLIKALCKEGNVDRSMGLLQEMMEKGIKPNNFSYNILISELCKARKVRDALELSKEMLNQGLTPDIVTYNTLINGLCKMGWTHAALNLLEKLPNENVHPDIITYNILISWHCKVRLIDDAAMLLNKAVSGGIVPNERTWGMMVQNLVRQPANLEVY >PVH63547 pep chromosome:PHallii_v3.1:2:3841544:3848463:-1 gene:PAHAL_2G051800 transcript:PVH63547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPPHPHPRPAATSTAGATSWPELLAPFDLSRLRATLSSRPLTPRRLARLLALPLSPATSLLLLDWYAASHPALSPSSLPLRPVLAAADPDRALALLDSVPPARLPPLRESLLIPLLRSLPPGRALHLLDQMPRRFAVAPSFRSFNVVLSTLARADCHADALALYRRMLRDGVPPTTFTFGVAARALCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCDQGGVAEAATLLDEMFLMGCPADVNTFDDVVRGLCGLGRVRDAARLVERMMMKGCTPSVLTYGFLLEGLCRARQVDEALAMLGRVPEVNVVLFNTVIGGCLAEGKLAKATELYEMMASKGCPPDVHTYNILIHGLCKLGRIGSAMRILGVMEEKGYAPNVVTYTTLLHSFCRNGMWEDTRAMLDQMLVKGLIMNSQGYNGMIYAICKDGRFDDAMRLVQEMKNQGCKPDICTYNTIIYHLCNNDRMEEAEHLFGNLLEEGVVANGITYNTLIHALLRNGRWQQGLRLANEMVLHGCSLDVISYNGLIKALCKEGNVDRSMGLLQEMMEKGIKPNNFSYNILISELCKARKVRDALELSKEMLNQGLTPDIVTYNTLINGLCKMGWTHAALNLLEKLPNENVHPDIITYNILISWHCKVRLIDDAAMLLNKAVSGGIVPNERTWGMMVQNLVRQPANLEVY >PAN09818 pep chromosome:PHallii_v3.1:2:3842571:3848154:-1 gene:PAHAL_2G051800 transcript:PAN09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPPHPHPRPAATSTAGATSWPELLAPFDLSRLRATLSSRPLTPRRLARLLALPLSPATSLLLLDWYAASHPALSPSSLPLRPVLAAADPDRALALLDSVPPARLPPLRESLLIPLLRSLPPGRALHLLDQMPRRFAVAPSFRSFNVVLSTLARADCHADALALYRRMLRDGVPPTTFTFGVAARALCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCDQGGVAEAATLLDEMFLMGCPADVNTFDDVVRGLCGLGRVRDAARLVERMMMKGCTPSVLTYGFLLEGLCRARQVDEALAMLGRVPEVNVVLFNTVIGGCLAEGKLAKATELYEMMASKGCPPDVHTYNILIHGLCKLGRIGSAMRILGVMEEKGYAPNVVTYTTLLHSFCRNGMWEDTRAMLDQMLVKGLIMNSQGYNGMIYAICKDGRFDDAMRLVQEMKNQGCKPDICTYNTIIYHLCNNDRMEEAEHLFGNLLEEGVVANGITYNTLIHALLRNGRWQQGLRLANEMVLHGCSLDVISYNGLIKALCKEGNVDRSMGLLQEMMEKGIKPNNFSYNILISELCKARKVRDALELSKEMLNQGLTPDIVTYNTLINGLCKMGWTHAALNLLEKLPNENVHPDIITYNILISWHCKVRLIDDAAMLLNKAVSGGIVPNERTWGMMVQNLVRQPANLEVY >PVH65125 pep chromosome:PHallii_v3.1:2:53395091:53395468:1 gene:PAHAL_2G429400 transcript:PVH65125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLAAPTRPRHQWPARQPASQCQRPSQRNAPRGTRRPRQRGMANATGEPKRPSDPHAEPLASPRHPVASSPPPPTGTHPTRARAPATRLRLRVGRVPARSPTYPAPPSHVPLPLRASDWPPRPS >PAN15057 pep chromosome:PHallii_v3.1:2:55191796:55192095:1 gene:PAHAL_2G460700 transcript:PAN15057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGTATCIDIILAIILPPLGVFFKFGCGVEFWICLVLTFFGYLPGIIYAVWAITK >PVH64073 pep chromosome:PHallii_v3.1:2:25626864:25633163:1 gene:PAHAL_2G178700 transcript:PVH64073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAGEIQRVVSMRRDSGGSVWRSRNNVFSRSSREADHVDDEEALRWAALEKLPTRDRVRRAILVPPAGDAAAGAQGVVDVDVLSLGPGERRALLERLVRVADEDHERFLIKLRERLERVGIDMPTIEVRFEHLDVEAEVRVGSSGLPTVPNSITNTLEEAATALHLLRSRKRKMPVLHDVSGVIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLRVSGRVTYNGHGMEEFVPERTAAYISQHDLHIAEMTVRETLAFSARCQGVGSRFDMLMELTRREKAANIKPDADIDAFMKASAVGGHEANVVTDYILKILGLELCADTMVGDELLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHVLGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREDVVGFFESMGFRCPERKGVADFLQETSPRFVPAKEFATAFKSYHTGMALAKVLYVPFDKSKSHPAALTTTRYGVSAKALLKANIDREILLMKRNSFIYMFRTFQLTLMSLIAMTVFFRTKMNHDSVTSGGIYMGAMFFGILMIMYNGFSELALTVLRLPVFFKQRDLLFYPAWVYTLPSWILKFPITLMEVCGYVFLTYYVIGYDPNVGRFFKQYLIMLAINQVAASLFRLIGGAARNMIVANVFAMLIMMTFMVVNGFILIRGKISSYYQKKWLNSSFISPCSIVTVSNETLGVQVLKSHGVFPEAKWYWIGFGALLGFTLLFNALFTLSLTFLRPYGNPTSSISEEELAQKQSNVKNGILDANPLMSRRTVQPIGENTETNLEMLEDDSGPAQKGMVLPFLPLSLTFDDIRYSVDMPQEMKAQGVVDDRLALLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKNQETFARVSGYCEQNDIHSPQLTVSESLLFSAWLRLPKDVDSNKRKMFIEEVMELVELKPLRDALIGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSTELIKYFEGIQGVSKIKDGYNPATWMLEVSTISQEQVLGVDFSDLYKKSKLYQRNKALIKELSQPAPGSSDLYFPTKYSQPSLTQCMACLWKQNLSYWRNPPYNAVRFIFATVTALLFGTVFWDLGGKTERSRDLFNALGSMYAAVLFLGVSNSISVQPVVAVERTVFYRERAAGMYSPFPYAFGQVIIELPYALVQATVYGVIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTYYGMMCVGLTPNYNIASIVSTAFYNIWNLFSGFFIPRPRTPIWWRWYCWVCPVAWTLYGLVVSQYGDITTPMEDYRPVKVFLEDYFDFKQRWLGWAAAVVVAFSVLFAALFAFAIMKLNFQKR >PAN15411 pep chromosome:PHallii_v3.1:2:56788985:56790876:-1 gene:PAHAL_2G485900 transcript:PAN15411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPLYLLVIPLLLAIPLLYLRRRSTRGHGSSALRLPPSPWALPVIGHIHHLAGGALPHHAMRDLARRLGPLMLLRLGELPVLVASSADAARDIMRSNDLTFATRPITPTAKILLGEGSYGLVFAPYGDGWRQLRRICTMELFSARRVRSFRAVREEEVRRLLQSVAASTSPVNMSEMVSAYVADASVRSIIGSRFRDRETFLRLLERRLKNVPAQSLPDLFPSLRLAMLLSPTPRRMRSQREEMMAFVGTIIQEHQENRATSVDEEDLLDVLLRIQREDELDPPLTTENIKAVIIDIFGGSSETSATTLQWIMAELMKNPRVMRKAQDEVRRVLAGQEIVTEDSLGDLHYLPLVIKEALRLHPPAPLLIPRECRSPCQVLGFDVPAGAMVLVNAWAIGRDPRHWDAPEEFVPERFEDSGVDFKGMDFEFIPFGAGRRMCPGIGFGLANMELALACLLYHFDWELPDRIEPDELDMTERQGITTRRLSDLLLVPTVRVPL >PAN14405 pep chromosome:PHallii_v3.1:2:52079067:52081658:-1 gene:PAHAL_2G407100 transcript:PAN14405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-D6-1 [Source:Projected from Arabidopsis thaliana (AT4G03270) UniProtKB/Swiss-Prot;Acc:Q9ZR04] MATGEWELREEDDYEFDLDNPFTSPADEPIASLLDAEGHHAPSVSAAASAARRDAAAFISKVRFGGELAVHPRVAYLALNYVDRFLSKRQLPCEQQPWAPRLLAISCLSLASKMQRVAAVSIADIQRDEEFMFDEASVRRMERVVVGALEWRTRSVTPLAFLGFFLSACYPAPSHPTQVAAVKARAVDLLVRAQPEVKMAEFSPSVSAAAALLAAAGEVAAANLQAFQAGVAACPFVNSDKLRECGEVLAAACGVGPVRAAASADTPVTVLGHHRSASSASESDWTVGSAANGGGGEAKKRCMGPPSHWG >PAN14582 pep chromosome:PHallii_v3.1:2:52934124:52934815:1 gene:PAHAL_2G421400 transcript:PAN14582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRMKFVAAAAMAAALVASAAAATEAPAPAPASDAAAAVPLAAASLAAAAFGYLFC >PAN10999 pep chromosome:PHallii_v3.1:2:11931550:11934011:1 gene:PAHAL_2G132800 transcript:PAN10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTQIMNPSTEVLETAVTSVFQPGKLAVEVIPVDHDTNPTPPIPILIASPKDAGTYPVAILLHGFCLQNHFYEQVLRHIASFGFIMVAPQFHISIMAKGDTEDITAAAEVTDWLTKGLPSVLPKGVKPNLSKIALAGHSRGGHTAFSLVLGHGKTNLKFSALIGLDPVAGTGKYLQISPKILTYEPSSFDITMPVLVIGTGLGEEKKNILFPPCAPKDVNHREFYNECKAPCYYFVTKDYGHLDMLDDDAPKFMTCMCKDGNNCKDMMRRTIAGIMVAFLKAVLNEEDGDLRVILKDPKLAPTTLDPVEHRLA >PAN13249 pep chromosome:PHallii_v3.1:2:46898226:46909687:1 gene:PAHAL_2G323300 transcript:PAN13249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSSAVSVDRGHISPAFAKLQGKDFVYFIRKYSIILGRSTKTCKVDLDLSELGGARGPRVSRHHARIFYDFDRHHFALEVLGKNGCSIENFSYLPGSDPIKLESQYLIEIAGKKFYFLLAIRSVSATLAAWGAHASSVPQSSSLMLPDHPGHSNADGYGRSNGENGVRQSRRFSGELDISDSDGIIAAPAGTHGESENDTEDQQLLEEEKDVVSSLVLLIPDICGPGEWVPMEKLHSELLERFGNNWPSARVRRYLSQQDGSVSSTETERRPWCSLLPLLRKYPDDFVLSSVTRGEVTTEYVGLVSLVSLVKGP >PAN13250 pep chromosome:PHallii_v3.1:2:46898226:46909687:1 gene:PAHAL_2G323300 transcript:PAN13250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSSAVSVDRGHISPAFAKLQVLGKNGCSIENFSYLPGSDPIKLESQYLIEIAGKKFYFLLAIRSVSATLAAWGAHASSVPQSSSLMLPDHPGHSNADGYGRSNGENGVRQSRRFSGELDISDSDGIIAAPAGTHGESENDTEDQQLLEEEKDVVSSLVLLIPDICGPGEWVPMEKLHSELLERFGNNWPSARVRRYLSQQDGSVSSTETERRPWCSLLPLLRKYPDDFVLSSVTRGEVTTEYVGLVSLVSLVKGP >PAN09552 pep chromosome:PHallii_v3.1:2:2319817:2321240:-1 gene:PAHAL_2G032900 transcript:PAN09552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSTWLPFLYPPPASVYVAAMSAVSLVSMANAGLAELRGNHMAYSKFWHVVAAGAGGDKQRRQGGGGGALLKSRDGMLVAYAPALVAAAASFAVPGAVEGARAQILSAALAVHFLKRVLEVLFVHRYSGSMPLDTAVTIATSYLLSTVTMIYAQHLSRGLPDPPVDLLYPGVVVFAVGIAGNFYHHYLLSTLRDGGGGGDKGYKIPRGGLFDLVTCPHYLFEITGFFGFAMIAQTVYALAVATGTAAYLAGRSCATRRWYESKFEEFPARIKALVPFVL >PAN10038 pep chromosome:PHallii_v3.1:2:4984199:4985354:-1 gene:PAHAL_2G068000 transcript:PAN10038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSCSAAAPLPVAWLQGNVLAEYLRFLPSPPPAHVPVAWLHGDALAEYLRFLEEAAPSPPPAHVPVAWLQGDVLAEYLRFPEEAAPPQMRVPVAWLEGEVRDEFLRFLEESRAAAAAAVEPERQEARDGGGGAYDDGGLAVDDDHESFVDDGGEDGDGDGASASAMEEDDDESGVGGACMEKDDQDAEAVLELLLPHILNLPAFRARAAAAAAAPVKQEPALGFGFGGWLQRGVSLIEADSSSSSSSSDEEMSSVEEEIEEGAMRAAAA >PAN10130 pep chromosome:PHallii_v3.1:2:5443821:5446223:-1 gene:PAHAL_2G073400 transcript:PAN10130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCQSAAESRRKYGPIMPQLQTLQARAISPKSREGSNELGVACQFVSVDPEPKFVRRVLEFTREPVMESKLRGMFWNGGMAPFAEEHGVPSVSWPHGLCMFLFSFHKQRIRKAGKSSRTNSSFQFELLV >PAN12799 pep chromosome:PHallii_v3.1:2:44923347:44924297:1 gene:PAHAL_2G291900 transcript:PAN12799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTRLRFYGTEATLPGSDTVTRVASKDVVVDGATGVFVRFYIPDHLLTAEHKKVPILVYFHGGGFVVDSAVSPAYHRYLNSVASKAGVLAVSVNYRLAPEHPLPAAYEDSWAALRCAASGAADPWLSDHGDTRRVFLAGDSGGANIVHNIAIMAEEPVDGESRETREMTEKLWPLISPQSTEGLDDPRLNPMADGVPSLQNLACRKLLVCSAEGDYYARARATAYYQAVKESGWRDSMEWLESVGEEHVFFLHKPECEESLALMDRLVAFLGED >PAN14835 pep chromosome:PHallii_v3.1:2:54506667:54508172:-1 gene:PAHAL_2G448000 transcript:PAN14835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTATTVAAATTMLAAVAAVFLTFVLCFYIFLCAKRYGGGGRAAPPPAGRGVAAWLRFMFGGGGGGVADGGAEAWCYEGGLDDKSMAKLPRREVGKGEVLDDCAVCITELKAGDTARVLPRCGHGFHVDCVDMWLRSHSTCPLCRCPAVDEPPVHPVLPAVPTPEADPESPNFPTNVLFFGSEDGVSTGRSQQQSPALALPSPPQEHAAAAAEAARPCGLRRLIGCGGATPPTQPPHHYHHQQHDDADGDIEMGLAAGEGSASRPVKPPQPGS >PVH63871 pep chromosome:PHallii_v3.1:2:10967226:10967918:-1 gene:PAHAL_2G124800 transcript:PVH63871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASTEDWLTYLQNASHWQWPLVLLVSVHQNPLINIEAAPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEVMNADSSDDDDDVPEDWVSSDFSYLVVNDGSSWPSDCKENEIVQGARYHSIEEVKEAVKC >PAN09596 pep chromosome:PHallii_v3.1:2:2513556:2521681:-1 gene:PAHAL_2G036000 transcript:PAN09596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSDVQMQHCPEDSETTIEIKIKTLDFQTYNLRVNKCVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGHLAPSGNAGTEANQSNSGRRRGPTMARSVVLEAVNVDPGSSELPAFVAQILQSVLGTISAQSSGVPASSDTRPSEPTQSSIPNTVRVEFDQNQQAPLLFPSEPAHESSQPNVIPDALTTMSQYIEFMRVSFRREGFNHDGQAEGNVENRTAGSTSVGGTQNQESQPESASTLGLHTASLLAETMHSTRQIVVEQAGAMLSQLSAQLGGLQNVTDPATRRDLQSSAFRLGSLLQNLGSLLLELGRTTMLLRINPVSSEVVVNSGPALYISPSGPNPLMVQPVPFFPGRSVQMGTLFSSLSSQGSVLHPRDVDIHVRTGGSVPVASTNPSEPVGAQVQQHANRTGDASHANIGEASAGLAGGSPFSVESGVRLLPLRTVVAMPAGISRAPSGSSSGGVGIIYPLITRVRQRANTSGGDERNGQSPNEPARSNTHPNQQSFPQSSQAHEAGNLGSPTDVNVGNGSETSPGQQNGLVTLSHIMDILGSMLPGENVRGNSSNQQAPMASTEQGDGRNHATTHVSGASEEALRFSSMVRQIMPFISQVETQNQSAPPDSGSTRSQAASGNANRARDGPSDEPNSKRQRTSD >PAN09595 pep chromosome:PHallii_v3.1:2:2513017:2525289:-1 gene:PAHAL_2G036000 transcript:PAN09595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSDVQMQHCPEDSETTIEIKIKTLDFQTYNLRVNKCVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGHLAPSGNAGTEANQSNSGRRRGPTMARSVVLEAVNVDPGSSELPAFVAQILQSVLGTISAQSSVIPDALTTMSQYIEFMRVSFRREGFNHDGQAEGNVENRTAGSTSVGGTQNQESQPESASTLGLHTASLLAETMHSTRQIVVEQAGAMLSQLSAQLGGLQNVTDPATRRDLQSSAFRLGSLLQNLGSLLLELGRTTMLLRINPVSSEVVVNSGPALYISPSGPNPLMVQPVPFFPGRSVQMGTLFSSLSSQGSVLHPRDVDIHVRTGGSVPVASTNPSEPVGAQVQQHANRTGDASHANIGEASAGLAGGSPFSVESGVRLLPLRTVVAMPAGISRAPSGSSSGGVGIIYPLITRVRQRANTSGGDERNGQSPNEPARSNTHPNQQSFPQSSQAHEAGNLGSPTDVNVGNGSETSPGQQNGLVTLSHIMDILGSMLPGENVRGNSSNQQAPMASTEQGDGRNHATTHVSGASEEALRFSSMVRQIMPFISQVETQNQSAPPDSGSTRSQAASGNANRARDGPSDEPNSKRQRTSD >PVH63806 pep chromosome:PHallii_v3.1:2:8918215:8920307:-1 gene:PAHAL_2G110800 transcript:PVH63806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVAYRCYNSTGGTVAGFDGHVDVNTHRVYRISDARNAFVVLGCNTGAYTSNSVSNGTGRYNYQYYMGCFAYCSGPGSAMDGRCASVGCCRVVIAPGLTDNIVSFEDWPHDGMDVERTSMPVWLDWAIRDESALTCAAARNNTRYGCVSANSECVDSANVPGYFCNASKGSRATRTKSPILTNAHTLRCILATAFARTLKELTIASAPQVT >PAN10895 pep chromosome:PHallii_v3.1:2:10186151:10189168:1 gene:PAHAL_2G121000 transcript:PAN10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARNIMFRRLKTLTISPAPASGATTQHHQLQQRAPVSGTAKGKGKLKAGQPLKRSTIGAKKGTPSTGGGGGGGGRGRREAMERITQISESCLNASTPLRHLPPKERLREAKREELGLVSKERQRELDIAKVKAKAKSKGTGADDGDRVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLQAAASIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKHSVKEKLR >PAN11947 pep chromosome:PHallii_v3.1:2:39051362:39057196:-1 gene:PAHAL_2G230700 transcript:PAN11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPEMLVAAAVNQVARKINEVIGAAQGEVKLCCSFSDDLESIKDTLQYLEGLLKNAENNSFGSERANLRHWLGQIKCLAYDIEDIVDGYYSSKEQYEGSSYAQKLSLGPLFLNNCTKTSSLCFASHTVIANLQSNVVVSFQGSLLCSLSNPMLSKVSMVYKMKSKRELLQTRKDLPTQYHFISHINSVVNFDEKQTTSYRNNDIQIVGRDHELERIMGMLMQINEKELTIISIVGPVGLGKTSLAQLIFNDTRAETFKFRIWVHVSMGNINLERIGRDIVLQTTERIEGSMQMQSIKNAVQDILNRYSCLIVLDSLWGKDEEVNELKQMLLTGRKTESKIIVTTHSSKVAELISTVPPYKLSVLSEDDCSSIFSQRVMTGHNDPLFREYGEEIVRRCKGIPVVANFLGSVVNAQRQRREIWKAAKDEDMWKIEEDYPKNKILPLFPSFKIIYYSMPHELRLCFVYCSIFPKGYAIDKKKLIQQWIALDMIESRHGTLPLDVTAEKYIDELKDIYFLQVVERPQINEGISNNSDEMLCMDNLAHDLARSVAGEDILVILDAKNERCHRNYDYRYAQVSASSLQSVDSKAWPSKARSLIFKTSGAELQHVSEVLSVNKYLRVLDLSGCSVKELPAPVFQLKQLRYLDASTLSITDLPPQISGFHKLQTLDLSETEVTELPAFIGNLKMLKYLNLQGCQKLQQLNNLDLLHELQYLNLSCCPEVRRFPASLENLRKLRFLNLSECSKLPTLPDELLQSFSSFSSIVDLNLSGFEFRVLPDFFGNICSLQFLNLSKCSKLELLPQSFGQLAYLKGLNLSSCCDLKLPESFEYLTSLQSLNLSHCPSLEYLPSSFDKLSNLEYLNLSQCVGLKALPKSLSNHKKLQIEVFGCQDCIVRSCSQSFGSWQSHQWSQQVEEVGTSSAISDITPEEPANRDKEEGISASDVDEVDYPRNNMKKKLAFAYHMDEQKSEEPEFINKPNSNGERVQVIPEQQFSSSPSRLSSIASSSSAVFASGSSSDVSIADHPLSNDETAGLHPEKKCKEPQVPAEDDRISEHQASSSHMPAHPHEATAAKRSIDNHITDYSGERHFSVQCNGSNQGVV >PAN10785 pep chromosome:PHallii_v3.1:2:9632775:9635112:1 gene:PAHAL_2G115900 transcript:PAN10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLWQWRTRAMVSQVAVVRMSAQDTLFRHCGAASRGAVGVRNAAAGGVPGERRPEGRHVHGPGKAGDLRHQYFRNLQAGKGLLALDQVLYTDPRFRSRPTVDAWAQSGAAFNRAFVTATGRVGVKTAAHGNICRA >PVH63841 pep chromosome:PHallii_v3.1:2:9966803:9967258:-1 gene:PAHAL_2G119300 transcript:PVH63841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGADGTRDVFFQPEPYSPDEGFDFYSDDAARTPVSRLGMEGLALNSQPKGWPYLARYQRLVQSDGGSGSDGRKRPVRSPPPPRGTGGPIRTVSLRKPRGPGLSSSRGRGGGLPPASVAPGDPSSPRYGASNGTELEDAEDVQEIVQVS >PAN12867 pep chromosome:PHallii_v3.1:2:45235648:45238876:1 gene:PAHAL_2G296400 transcript:PAN12867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSDVPLISELPEQRGGGRIPGLAKDVWVESKKLWVVAGPAAFTRLTFYGMTVVSQAFAGHIGDLELAAFSIAATVISGLSFGFFVGMASAMETLCGQAYGARQYHMMGIYLQRSWLVLLGVAVLLTPTYIFSGPLLAALGQPAELSRQAGSVALYLLPLHFVYAIILPLNKFLQCQRKNWVAAVTTAAVFPVHVAATWLLVRHFRLGVFGAATALAVSWGLATAGLLSYAFGGGCPETWRGFSASAFVDLKDFVKLSAASGVMLCLENWYYRILVFLTGYVKNAELAVDALSICISYAGWEMMIHLGFLAGTGVRVANELGAANGLGAKFATIVSMTTSFLISLFISSLVLIFHDKLAMVFSSSEAVIRAVDSISILLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGFLLGWGFHHGVQGIWVGMIVGTMVQTLILACIVLQCDWNDEALKASSRMRRWSRHK >PAN10126 pep chromosome:PHallii_v3.1:2:5438051:5438343:1 gene:PAHAL_2G073200 transcript:PAN10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLIHAGTQKHHFHVQLPKTTTMLGAPQLPSVVLLVFLMCSLDHDVAAFRANGGDHKRQFHKASSPKRRKIG >PAN12199 pep chromosome:PHallii_v3.1:2:41474868:41476709:1 gene:PAHAL_2G248800 transcript:PAN12199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTPRHHSSSSTLPRSLLAKRIVTFALYALIPLALLHYLISLPSPAHQTAAAATSSSPSPPRGTKVAAVKEKAVAAGAKRTRAAPRCDYSDGAWVRSAAAPLYNGTSCGGTIKAGQNCEAHGRPDAGYLRWRWRPRGCALPPFDPAEFLRLVRGRHVAFVGDSLARNQCESLVCLLSSAFPAHLVRGAGGGDGDGDGDELRKFRRWAFPSHDATVSVFWSPFLVNGTEKAKGGAAGLDHNRLFLDQPDERWAAELPGIDVVVLSAGHWFLHPAMFYDRGAVVGCHHCPEPNRTETGFFGAFRLAVRGALREVVLRGARAQQQEWHGRRPKLAVVTTFSPAHFEGDWDSPTACARTEPYAPGERGMEYMDGEMLRAEAEEVTAAAADARARGAGVTVEALRVTRMAALRADGHPGAYMHPFPFAGGARERVPNDCVHWCLPGPIDTWNEILLQLVKRWADGAGADAAAESSSSSP >PVH64556 pep chromosome:PHallii_v3.1:2:45666325:45675664:-1 gene:PAHAL_2G302500 transcript:PVH64556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRPRPAAFLRLFATSCRRSSLHPSQPQLPNPAALPPPPVAKKVPFTVSAHGRSWSDPYHWMRDTSDPDLAALLAAENAYADAFVVSAGGGGLRARLAAEMRARLPPSAATPPQPWGPWLYYQYIPEGKEYPVLSRKLKPSRGIAQALLDYLSGSEKEQVLLDWNEVAEKNGYVHIGSCRISPDHRFLAYTVDTSGGELFSLEVKDLQSEHVIFSSPDKGIVSLAWARNSGSLFYTVCDETLRPNQVFCKKLQSDEAGFLVFTEEDVNCCVDITSTKDFKYMTVNSNTRTSSEVFVMESDNVREGLWPIRKRADKVQYFLEHHNGFFYILTNAPVNDTEMTTEGYYLARCQAEKSLVDRWQIVMFPGSDCTIQDMDIFHGNLVLFLQKNGTPLFCSINMPIDVDVQEPKELNDLNPWYLPIPSNMCSIIPGSNNDFMSSTYRLVVSSPVIPDLTVDYDLRKRTFTILHQEEVTSLSANLGTVGKSNVSSIQQNLQLVETSQSWSDLSKLYSCKRIEVISHDGVLIPLVILYSREAHRYGESPGILYGYGAYGEDLDKSWCSERLSLLSRGWVLAFADVRGGGDLSWHQAGTKANKINSIQDFAACGMHLIKEGLVQQNRLCAIGSSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMLDPTLPLTLLDYEEFGDPNIPAEFEAICSYSPYDNLSPGVCYPPVLVTASFNDTRVGIWEAAKWVAKVRDITCTSCSQSVILKTNMQSGHFGEGGRFMHCDETAYDYAFLMKALQLDNITTA >PAN12942 pep chromosome:PHallii_v3.1:2:45669158:45675664:-1 gene:PAHAL_2G302500 transcript:PAN12942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRPRPAAFLRLFATSCRRSSLHPSQPQLPNPAALPPPPVAKKVPFTVSAHGRSWSDPYHWMRDTSDPDLAALLAAENAYADAFVVSAGGGGLRARLAAEMRARLPPSAATPPQPWGPWLYYQYIPEGKEYPVLSRKLKPSRGIAQALLDYLSGSEKEQVLLDWNEVAEKNGYVHIGSCRISPDHRFLAYTVDTSGGELFSLEVKDLQSEHVIFSSPDKGIVSLAWARNSGSLFYTVCDETLRPNQVFCKKLQSDEAGFLVFTEEDVNCCVDITSTKDFKYMTVNSNTRTSSEVFVMESDNVREGLWPIRKRADKVQYFLEHHNGFFYILTNAPVNDTEMTTEGYYLARCQAEKSLVDRWQIVMFPGSDCTIQDMDIFHGNLVLFLQKNGTPLFCSINMPIDVDVQEPKELNDLNPWYLPIPSNMCSIIPGSNNDFMSSTYRLVVSSPVIPDLTVDYDLRKRTFTILHQEEVTSLSANLGTVGKSNVSSIQQNLQLVETSQSWSDLSKLYSCKRIEVISHDGVLIPLVILYSREAHRYGESPGILYGYGAYGEDLDKSWCSERLSLLSRGWVLAFADVRGGGDLSWHQAGTKANKINSIQDFAACGMHLIKEGLVQQNRLCAIGSSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMLDPTLPLTLLDYEEFGDPNIPAEFEAICSYSPYDNLSPGVCYPPVLVTASFNDTRVGIWEAAKWVAKVRDITCTSCSQSVILKTNMQSGHFGEGGRFMHCDETAYDYAFLMKALQLDNITTA >PVH63922 pep chromosome:PHallii_v3.1:2:12353535:12353771:-1 gene:PAHAL_2G135800 transcript:PVH63922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVLQQLLPQLVQVGLPPANCPAMVPRPAVCGAAQDPVAAADASHAAS >PAN14647 pep chromosome:PHallii_v3.1:2:53227058:53228578:1 gene:PAHAL_2G426600 transcript:PAN14647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYDELFPSAYVDSSSNLLVPNGAAQGERPRARRRRRRAARCGDGGGELDGGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKQAHDAAILHKCHLENEVMRLKERLEVTEEELARVRSAAGSHAVSGDGGDAMGRVVCSGSPSSSFSTGTCQQHPGVGGGDHLGDDDLLYVPDYAYADTSVVEWFSLYGGMM >PAN09586 pep chromosome:PHallii_v3.1:2:2462008:2465371:-1 gene:PAHAL_2G035200 transcript:PAN09586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAAGLVSGKEEGRKLGLNMGFQVGEVLGFYQSCLDIWIAAAHINHDAFSDRMKKNLEQMAALLSSYPIDDPENEQIQEIMGKVRLKFRIITASLGVKLEHGSQSKSLKQSFEDL >PAN09665 pep chromosome:PHallii_v3.1:2:2887276:2888281:1 gene:PAHAL_2G041300 transcript:PAN09665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYAASRICLRAAKASQESSSWFIVHGGHEKRPRPVLVPPGRDAVDRSPPAKAAAVTWTGDPTAASVGWTQLLMDREEGRFDASSAPAIHLSLP >PVH63566 pep chromosome:PHallii_v3.1:2:4153426:4161887:1 gene:PAHAL_2G056300 transcript:PVH63566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSQSQLLLLHHILAVLLAVAAAGALLVQATAITEQHEPPPPPITRPGCPDKCGNISISFPFGMKPGCFLEGFQVTCNNTFQPPRAFLAYNRSAQVTIVSSYSRTPGNHSIVDQKNSSTDGPPLVLPVELMDISLAESAARAYGGVASVCSTNATAGFVRSAFTTLAFEIDGPKGPFLLSLARNVLVGVGLQALPLVSRFDRTPVDQEKDYLVSCRSALMGNLQLASNGSCSGRGCCQASLPQAQPLNGVWVSMGPMSVNDTMWATNPCSFAMVVEDSWYNFSTADLYGNTSNRFPRGVPYVIDFAIRNAKCPAKGQQPPPDYACVSGNSSCADVTNGYVCKCLEHYEGNPYIPNGCQDIDECKRPDLYPCSSDGICKNRLLGYDCPCKPGMKGDGKEGTCQPIFSLAAKMAVGVIGGFFVVAALLFLILLRKERRKMREFYEKNGGPILEKAKIIKLFKKEELKEILKSKNLIGKGYFGEVYKGLLDNKLVAVKKPISGSMLENEQFANEVIIQSQIIHKNIVRLIGCCLEVDTPMLVYEFIPKGSLEDILHSNKAIPLNIDVRLIIAAQSADGLAYMHSKTSNKILHGDVKPANILLDENFMPKISDFGISRLIARDTQHTDEVIGDMSYMDPVYMQTGLLTEKSDVYSFGVMILELITRKKATYSDNNSLVRNFLEVHKEGKRVTELFDNEIALTSNLEILHSMARIIMECLNLDVDQRPSMIEVAERLLLLNQTCKL >PAN11785 pep chromosome:PHallii_v3.1:2:36760242:36765333:-1 gene:PAHAL_2G216400 transcript:PAN11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGCAGGDGAAEGTLARWRKAAAKRIGLSCASFFSYTTTPSSPSSKTISCSALNAPADSSDGEQQKMEEPTSTRVADKNLCAICLELLSTSSSDVDNVESPAIFTAQCSHSFHFLCIASNIRHGNITCPICRAQWSELPRDLKVPPLLHNQSDPILRILDDSIASSRVNRRSSIRAARYNDDDPVEPYTLTEHVDPCLRFALIPAPVAAHHHLLGHYPCGHMLPLQQHCQYSSSFMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALVVFSMRAIDRLAIVTNATTATRAFPLRRMTSHGKRMALQVIEHLCCVGGTDPVGAIHKGLKILEDRAHQNPSNCILHLSDHPVRSCVGVDMNHSSIPVHQFHVGLGFGVQSGFIMHEFEELLARLLGGVIGDTQLRIGEHGGMVRLGELRGGEERRIPLDLVADCGFILVGYSYLEGGREDQLRTGETAVGFEEKGDNRYCGTRDMGLSIGGERRSCCAERRDYHDPFMARRWAKHFNVYRA >PAN12857 pep chromosome:PHallii_v3.1:2:45197758:45201839:-1 gene:PAHAL_2G295500 transcript:PAN12857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPRKRKGARAACSLAGSLHDAPAAAARKRTCREPKPRPEKKKPSPSGGAAGEAGASASARGGVVMTAPPASGRAAPDSPGRGLKRKLGCIESATRMGRKKRLESEYELGAEIGQGKFGSVRICRAKAGGEEFACKALPKNGEETVHREVEIMQHLSGHPGVVTLKAVFEDADKFYLVMELCGGGRLLDEIARQGKFSEQRAAILIKDLMAVLKYCHDMGVVHRDIKPENILLTKAGKMKLADFGLAARVTNGQKLSGVAGSPAYVAPEVLSGSYSEKVDIWGAGVLLHVLLLGSLPFQGGSLDAVFESIKTVELDFSSGPWESISGLGKDLISRMLNRDVSSRMTADEVLSHPWVLFYTECPLKVVTANLCVANKILTPRIPWDRLRSECESLSDFSQRSEDQDECGIVDALTAAITRVRISEPKRSRLCSPAITIQQECSSNLKSNLCTAF >PVH64727 pep chromosome:PHallii_v3.1:2:48158957:48159487:1 gene:PAHAL_2G343200 transcript:PVH64727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGPVSLSPSPCICTAVNDAGTRTECRTALSVQELGRRSASDAWRTSSSPRPARRAGGRDRYWELAAGCEPDPGAGRCSRPASPTTVQERDLRREGPRCGGRAAALGSVEGAATRGRGAAGGASTCGEGVAGAQLEGRRRMAWPAGRRVRSSWCELRWERGDEDWERSLVSGKTD >PAN15314 pep chromosome:PHallii_v3.1:2:56433511:56433882:1 gene:PAHAL_2G480100 transcript:PAN15314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGVLLLLHYISSRIFCLYNEDATIAEVAATSPSSSPRAPSASLPIPQPRHTVPMSLLPVFVYVASSPGEETADCAVCLVFREREAGRLLPRCGHGFHEECIVTWLQVNTTCPLCRTPVDTK >PVH64209 pep chromosome:PHallii_v3.1:2:36693675:36694066:-1 gene:PAHAL_2G215600 transcript:PVH64209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLIFTRFCDEKTILDRQLIRAEPKGPSLYQRKKGIETYSSSEEESHGALTHRSRQRTGTGARWAWLPDWPGPGALSCC >PVH65189 pep chromosome:PHallii_v3.1:2:53953443:53954553:-1 gene:PAHAL_2G438100 transcript:PVH65189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIALLLSRMSLSPCLTHLSLSLSLTHVSLPASRISPSLTRISPSLFLYLFPSLAAQQIPISSLLLIQQGRRRPHPLHGQWSTWPPLAPWAADLGIHDLKDELRRLWAGWSHGARAIGGGAANRLTDGGGGAMELAHGGGAAMGLAHG >PVH65188 pep chromosome:PHallii_v3.1:2:53951860:53952837:-1 gene:PAHAL_2G438000 transcript:PVH65188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKKTMSTVAFLVALLLACSSMSSAARYLEETKPEYPPHPTVPEIPKPELPPHPTVPEIPKPELPPHPTVPEIPKPELPPHPIEPEHPKPELPPHPTVPELPKPELPPHPTVPEHPMPEVPHPMPEVPKTELPHPAVPELPKPELPHPAVPEVPHPVPEVPKPELPHPAVPEVPKPELPPHPEVPELPKPEAPHVPEVPKHELPPLPEPELPKPESHYPVPEAKP >PAN12873 pep chromosome:PHallii_v3.1:2:45268646:45270457:1 gene:PAHAL_2G296800 transcript:PAN12873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVLSQSQQQGSSRRFGCGAMAGGPSNGLFGGAEGALELSGAGLGSWDAPACSSSMLLREFQEPAGNIPAAAVPPVESGVSVGQEEATAVAPVARRKRRRMRTVKNKEEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPPAYAQRGDQASIVGGAINFVKELEQLLQSLEARRQRSAQRPGTGVGGDDAAPFAGFFTFPQYSMRAAAAPEHATADATTHRDGGAEAEDASGSKPSAVADVEATMVESHANLRVLSRRRPRQLLRLVVGLQGHRLTVLHLNMSSAGQMVLYSFSLKVEDDCQLTSVDEIAAATHQIVEMIHQEQGCSLEQHQA >PVH65043 pep chromosome:PHallii_v3.1:2:52199657:52200977:1 gene:PAHAL_2G409200 transcript:PVH65043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRRQAPVTAVHIGITSQKQYHGREAGHELPVWRNQWSAAHLFPEVLAKPLAADEVAPTHTTSTHVCIYIARSAQSSTNTDDVLEELFKFKPHSRKGNGVDSERRRTGRMRFAVANKAYMAVTLGAAIELKEQVAKPCSSAAKRRAAPVLAAEAGRVDGGAEESLRMVMYLSCWGPS >PAN11696 pep chromosome:PHallii_v3.1:2:34788098:34793663:-1 gene:PAHAL_2G208500 transcript:PAN11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPGAPPFAPRATSGGLCGGGGSPPARCCVPFRQAACFLRPTKENSGRDLSYQRKNCRWRPAFALETGGPSNTDGQDFDEDSGFLGRTRLGRLIQAAGRELLEKLNSARTKSPTKIFLILLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPIARPPGRLQSWISMVNYWKAGVCLGLFVDAFKLGS >PAN09953 pep chromosome:PHallii_v3.1:2:4505037:4508531:1 gene:PAHAL_2G061000 transcript:PAN09953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQASTPSVFRAVPATAHAPCRRQFQVRASAAAQSAGGSSSSSVGADGKMVVPKEPRPSSTPWKVDFSGEKPETPLLDTVNYPVHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGAGHSSTSISAGLGMAVARDLLGRKNHVISVIGDGAMTAGQAYEAMNNSGYLDANMIVVLNDNKQVSLPTATLDGPSKPVGALSRALTKLQSSTKFRRLREAAKTVTKQIGGPTHEVAAKVDEYARGMISASGSSLFEELGLYYIGPVDGHNVEDLVTIFEKVKSMPAPGPVLIHIVTEKGKGYPPAEAAADRMHGVVRFDPSTGKQFKSKSPTLSYTQYFAESLIREAEADDKVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPADEAELMHMIATAAAIDDRPSCFRFPRGNGIGAALPPGNKGTPLEVGKGRVLVGGTRVALLGYGTMVQACLKAAEALKEHDVYVTVADARFCKPLDTQLVRELAAEHEVLITAEEGSIGGFGSHVAHYLSLAGLLDGNLKLRSMFLPDRYIDHGAPQDQIEEAGLTPRHIAATVLSLLGRPLEAMQLK >PAN09499 pep chromosome:PHallii_v3.1:2:2080952:2084045:-1 gene:PAHAL_2G029600 transcript:PAN09499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKVFEPCPASSRGGGPPCRKIIVSTNIAETSLTIDGIVYVIDPGFTKRKVYNPRISVESLLLSPISKASAHQRAGRAGRTRPGKCFRLYTEKSFNEELQPQTYPASMLSNLAYTVLTLKKLGVDDLVHFDFMDPPAPETIMRAFEVLSFLGALDDEGNLTPLGEMMSEFPLDPQMSKMLVISAKCNCSNEIATIAAMLSAPNCFLQPREARKAAGEAKARFGHIDGDHLAHLNVYHAYKNNNEDPQWCTDYFINAGALKYADNVRQQLVGIMTRLGLKMCSQDFNSRTYYANIRKALVAGYFMQVAYLEQNGHYMTVKNNQIVHLHPSNSMDHRPQWVIFDEFVLTARGVSSAPSLTCPGNG >PAN12485 pep chromosome:PHallii_v3.1:2:43212622:43215728:-1 gene:PAHAL_2G268300 transcript:PAN12485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFARRGPAAAAADGDVSDCRRSRDPLSHGYSTLVIRDALQAQLQKDRLRQEIIEAELAKIDRALDRISLADVQQGIPYSCAKEFMPHLGFSGVVKDPKEKDGSSGELKSWKPVTEYRLTECSTDGKAGQEGKMQESNETNQPRPRSQTWKLTEITLPVKQPKSPERWGCNVCQVEATSEHNLQQHFAGQKHRSNVASLESRNNGGRHQTTTRALHQEGSKSMAMNYGHLGPPSAWGKLPLNGSSSNSVVSSEMARHMMSLYFCKVCNVQCSNEFMFEEHRRGKKHRGKVCKKKVMTFCKVCNLQCNSEKMLANHLIGKKHQKNASLMGLI >PVH64657 pep chromosome:PHallii_v3.1:2:47093517:47096691:-1 gene:PAHAL_2G326400 transcript:PVH64657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASASASASRALRLASLSAPLPGGGGAVSSRPLRPLAAAAGSLHPAAAQRPSPSAPARLFSSQPATSSLRDSSPNWSNRPPKESILLDGCDFEHWLVLMDSPPGDPGNPDVPRDGIIDSYIKTLAKVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKIKELPNVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWMRNDERANNRNRRNDRPRNTDRSRSFDRRENMQNFQNRDVPPGQGFNSPRPGQNPRPPCDAPPMHPAQGNMPPPPPPNAGAPPSYQPHAPNYQQGGAPGYQGGLPGYQGSPGGNMHGGLGPAYPSNNPGYQGGGPGFQGSNPSPSQGGGQPPYQGSNPPPPFQGGDQPPYQGGNPSYGRGGAPGYPGQAGNANYQQNSSNYNAGAPGYGTGFHCMNTCH >PVH63634 pep chromosome:PHallii_v3.1:2:5464307:5467551:1 gene:PAHAL_2G073700 transcript:PVH63634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLTGFFSLPLANSSRRLLGSATRQQRLRKSGPRLPARRQRPVEMEQTRIVVQVGLLSPDLCLAAPGFLLLCPPLGRALRRCKGLLHSPPTRGLRC >PAN09193 pep chromosome:PHallii_v3.1:2:251898:254410:1 gene:PAHAL_2G002900 transcript:PAN09193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPLSSSRMINMGVAAALVLSAAAMAAGLEMDFYSSTCPHVEQIVKEEMVEILKEAPTLAGPLLRLHFHDCFVRGCDGSVLLDSTPTSTAEKDATPNLTLRGFGSVQRIKDRLEKACPGTVSCADILALMARDAVVFARGPSWPVALGRRDGRVSIANETNQLPPPTANFTTLVQMFAAKGLGVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNLADVDPALDATYLARLRSRCRSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAALLTDPATRAYVQRQATGLFADEFFRDFANSMVKMSTIDVLTGAQGEIRNKCYLVN >PAN13736 pep chromosome:PHallii_v3.1:2:49215864:49217243:-1 gene:PAHAL_2G361400 transcript:PAN13736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYPKGIEPSLRELAQKYNEKKMICRKCYARLPNRATNCRKKKCGHTNELRAKKRFMSKIGGA >PAN13780 pep chromosome:PHallii_v3.1:2:49368329:49370363:-1 gene:PAHAL_2G363200 transcript:PAN13780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTYTPTYYSSLQDTIASLCKSILPSFRVGRRLTADQAAARRHAEQLKWQQESFHRILHLAALHREGIVPASDVAAFRSPMLAALVAPPQHPEQPAVLRDKLLFLQELLYAKCISAAEYNASKAPLVQRLAALGVVVDCPDAEVSAEEWSEIDLRDPPPPAPAAASDKPKHKAYITPWKSRSKKEQDANSAARPPLAPVDQNNAKNASVLMAESSPSEAAPSGKAEKGKRRHLAAMFNSGSNGSENKYPAGEEVIDEKETVKGKKKSSWGFDGLKKWKKGGCSNGEAAATGEQPERALPRSSSSECRLEASPMAASGPDAKRAKTKMHSETGDDSASELLHDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTKLPVDKSDLKPFFPKAWCDQHGDGVITAAKKEFKEHVDEMEKQRDMTGNEGWATFEDIDLDDNFNPRAFSQHQPGSAVKANKVNESLTSSFTNPFYNDKNPFLNTNYN >PVH63589 pep chromosome:PHallii_v3.1:2:4654782:4656648:1 gene:PAHAL_2G062900 transcript:PVH63589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSTAMASDGAPESTKKVCVVGAGMSGLAAARELRREGHAVTVMEQGGDVGGQWVYDPRADGDDPLGARAPVRVHGSMYASVRLLSPRECMGFSDFQFVPRPGRDARRFPGHREMRCYLRDFCAAFGLADAIRLNTRVVRVAMAPPPPPPREARGGGTCSDVKWQVRSVHVGPDGGEEGSPTEEVFDAVVVANGHYSQPRLPSIEGMEVWRRRQLHSHSYRVPDPYRDEVVVIVGCGDSGLDIALDLLGVAREVHLAAKSMELATTPAVSKLLAKHANLHLHPHVARLCADGRVAFADGSCVAADAVVYCTGYVYSFPFLDTGGAVTVKDNRVAPLFEHTFPPSLAPSLSFVGVPMRVFVPWFLVAQARWIARVLAGRAALPPEEDMLRAVREDYRAREMAGLPARYSHDIGLFKSSEIRGFVRKYTDLPDMEDWKMELFLTAFGNMNDDRETFQDRDDYGVNVREGFQRWLASAGAQYQAAIDAAQVPAPSHV >PAN14638 pep chromosome:PHallii_v3.1:2:53156779:53157477:-1 gene:PAHAL_2G425800 transcript:PAN14638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAPSHPVTPAPVCGASRVRVINPACVAGREDQLAGKQDASGCRRPRDEELRRTPPPPPSAMMRRRRRDGDRGASSPDSKRRESESGSRAGYSRKDDDAAHRRDRDVAHRAPKRKEGRRERARTHSGIRRSSSSRSEERRASRAYPSAADDRKRPPEEETEKAGDADDEEAKRRQDELQRKREEFRRELDKVVRTVWFNDPYISPLCALNTMPRWSLRTSSEVEEGEVV >PAN11006 pep chromosome:PHallii_v3.1:2:11972351:11974503:1 gene:PAHAL_2G133400 transcript:PAN11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAATAAGGSGEPAANGSKSEEQQFDPSRMVGIIKRKALIKDLAAAYHAKCIASCKELLQLQKQWEEEQYVEAKMPEEPRLITMKTSTHRKK >PVH63894 pep chromosome:PHallii_v3.1:2:11972351:11974503:1 gene:PAHAL_2G133400 transcript:PVH63894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAATAAGGSGEPAANGSKSEEQQFDPSRMVGIIKRKALIKDLAAAYHAKCIASCKELLQLQKQWEEEQYVEAKMPEEPRLITMKTSTHRKK >PVH63895 pep chromosome:PHallii_v3.1:2:11972351:11974503:1 gene:PAHAL_2G133400 transcript:PVH63895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLPMDSAATAAGGSGEPAANGSKSEEQQFDPSRMVGIIKRKALIKDLAAAYHAKCIASCKELLQLQKQWEEEQYVEAKMPEEPRLITMKTSTHRKK >PAN14792 pep chromosome:PHallii_v3.1:2:54318399:54323024:1 gene:PAHAL_2G444800 transcript:PAN14792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRKEKASAWRSLLGGCLGGGGGGGGAKGDRQRKVRPGGGRLSFTDLSGAADQDLSVSLVGSNLHVFSVAELREATRGFVSGNFLGEGGFGPVYKGFVADGVKKGLKPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDYGRPCLKDPLKLARIMDPAMEGQYSARAAQSAALVAYRCLSGSPKNRPDMSAVVEALEPLLSLKDDVPLGPVGPVGPLVLFVAATETPAPEEKKERAPRKDVRRRRPMSPKASPRKRAGAGAGPKEEFWVWHLPAERMA >PVH65243 pep chromosome:PHallii_v3.1:2:54320121:54323024:1 gene:PAHAL_2G444800 transcript:PVH65243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGSQRRGGRVVERSRRFRVPAAAADKAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDYGRPCLKDPLKLARIMDPAMEGQYSARAAQSAALVAYRCLSGSPKNRPDMSAVVEALEPLLSLKDDVPLGPVGPVGPLVLFVAATETPAPEEKKERAPRKDVRRRRPMSPKASPRKRAGAGAGPKEEFWVWHLPAERMA >PVH64682 pep chromosome:PHallii_v3.1:2:47578126:47579588:-1 gene:PAHAL_2G333400 transcript:PVH64682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDLKSTIATFCNTMGESSSGAPQRRAPGVRRRPRRRWLWRRPAGRGCGSSMTPTGWCSRIRRRRGGRPGRGAPAGADLLCAPPPRCSVAASPAMRSPRSRSGPAPRSPPQPRPLPSCPPPAAAAVLVHRGARVGRAAGQRGEAPEGGQPARELRLITEPAIIPAQFSQLNRISVI >PVH64135 pep chromosome:PHallii_v3.1:2:31692011:31697915:1 gene:PAHAL_2G197100 transcript:PVH64135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGSPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNSQGQDEQVKSEQQPSGQGFNAPKKKWLCCFQPSAAES >PVH64347 pep chromosome:PHallii_v3.1:2:41181556:41182331:1 gene:PAHAL_2G245200 transcript:PVH64347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTIVLFALLALSVSTTTAVVFPPYYSPLSAIAAINTPLYFPHPFAVGSANPWARYYAQQEALTASIAASSVGIVQQPWATSHQHYQAHQAVQSIIALQQQQQLLRYVASPATYLQQQFLPFELNQLAVANPATYWQQQQVLRNVFNQFAVANPAAAYAQTQQLLPNVFHQFATVNPVAYLQLQQVVTDVFSQVALANPAAYWQQPFIGGGIY >PVH64582 pep chromosome:PHallii_v3.1:2:45877399:45878799:-1 gene:PAHAL_2G306200 transcript:PVH64582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYGTATLPINSILLCSIFQQTGAALVWPSSLLFCTIGFSCEAPLQLKRVHSTLTRGQRQIDQTCKDVM >PAN12776 pep chromosome:PHallii_v3.1:2:44880766:44883227:-1 gene:PAHAL_2G290900 transcript:PAN12776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATVTAVSSGGKRERDIVDAGMPKKAKRGRPPPPPEEVETFLAAAESTLARRFAAKYNYDVVKDAPMDGRYEWVRVGP >PAN10460 pep chromosome:PHallii_v3.1:2:7289763:7295129:-1 gene:PAHAL_2G095900 transcript:PAN10460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGDQTPPAQIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQDYKENDPSTHKGYDLTKLTTKQLISKYGLDDNTIDFIGHAVALHRDDTYLSEPALDTVKRMKLYAESVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDSEGKVCGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVFRAIAIMSHPIPNTAESHSVQIILPQKQLGRKSDMYVFCCSYSHNVASKGKFIAFVSAQAETDNLQTELKPGIDLLGAVDELFFDTYDRYEPTNDSSSDNCYISTSYDATTHFESTVMDVLSLYTKITGKMVDLSVDLSAASASEDDM >PAN13802 pep chromosome:PHallii_v3.1:2:49441541:49449031:1 gene:PAHAL_2G364700 transcript:PAN13802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSRVQDEVEMQRRPNNRIFPDERQNQSKPLYQAVRADRFGANRLDVKNPEKLKVLNEGNKPWHQRILDPGSNIVLRWNRVYLMACLFALFIDPFFYYLPLVRKYDNGSSCVAKDQGLSIGITIVRSLADLFYMLNIAIKFHTAYVDPKSRVLGKGELVVDIKKIRRRYIRTDFFVDVLAAVPLPQVTVWLIMPVIKRSDDNVRNTTFALIIVIQYVIRMYLIVPLSNQIIKAVGVVAKSAWGGAAYNLLLYMLASHITGAIYYLLSVERQITCWDQQCLLEFKNTSCSLRFISCENIDSNGYHEWQKNTGIFKKCDANNNNISFNYGMFYSALNKGAVSSPLAEKYFFCLWWGLLQLSSSGNPLVTSAFIVENTFAIAIGAISLILFAQLIGNMQTYLQSVSKRLEEWRLRQRDMDEWMRHHQLPAHLQERVRRFVQVKWLSTRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDDQLLDAICERLVSFLCPENTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRWESYSWWSEDHPVANKPRQEGTSSGGRTIAEGAIAHMHKLASASRRFRAEDTAIRRLQKPDEPDFSADHFD >PAN14096 pep chromosome:PHallii_v3.1:2:50643334:50651301:-1 gene:PAHAL_2G383900 transcript:PAN14096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSASMAAAIEARFSSRDLIGRGSFGDVYKGFDKELNKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEMSIACILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLRKNPAERPSAKDLLKHRFIKNARKTSKLLERIRERPKFAAKRMDATQNGQTHVEEDDVGTGTIKVDRAKDAAPPLSQGTVRKATGWDLPDRSEGTGTIRVVSRPPQTASTKDSRFDVPQSPNTPRRTADRENQWRSSYTGSEESLNDRGRLESSTDDNDQSVSGSGTVVLRTSRTSQLYPAAASNHSSKPPSRFSSYEDTSSSGTVVRSQIEDPETPRSSRSRLGIQEKASSASLEDSAVNLAEAKAALQAGLRKGNARERPVVNRHEKESHAHRSSGVNSQDVQSESLDMQKGRKSRQLPDGQSASRASSSVASPTISSLIIPCLKEATGDKFERPAVHAFLDSLMDLEHEIPGSCEVLIGRLLHRLGSSKESSLQGLQETAASVFAKKPEPPSEQASYKKLASTPPLAAPTVSPLARFLLTRWQNQVSQDLNSV >PVH65023 pep chromosome:PHallii_v3.1:2:51905288:51907329:1 gene:PAHAL_2G404400 transcript:PVH65023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEGSDGGGVDRISRLPDDLLHVILIRLRDAAAAARTSVLSRRWRRVWAHLPELSFSYRYSAAAGGGWAHSLVDAALAAYAGTTVRLLEIALRWAPSAHGVCPLMQFASQRVAGELRLSLQEGWGDFVLPPCKRATAITLCVKARTLRFPPQPALGTFAALASLRIIRALVDGRELGDVLNSHCPRLKELFLKQVVVLGSRDLAPPVLSIRSYSLERLEMDMDVEFDAGFQVIAPKLKVFSSPKIFGEAHIVAPKLLEVCWHGPYDPDLHHVQEAGRHLQRLEIARNCWNSRHAAKLMHRFNAADELQLTVQLCQGIQGRNECLELINSLSKCEVLVVEFVARQHAIKPVMIHLLNKCAGVKKIVVRCLAYRKDQCKCKSWECQCDWSKQSHHKIDDAALGSLELVEIKENMEACHKVEFVKLLCEYSATFQKRVTIEVTENRRTEYMRETMRSIHVPNDKIVVNVRCTYASWGT >PAN09651 pep chromosome:PHallii_v3.1:2:2810516:2814118:-1 gene:PAHAL_2G040000 transcript:PAN09651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAAAAAEAEAGEGAVTGDMVSAGFAELERQQQLLATCTRLYQQLADHFGSLERGLAARSDALRVRRRAFDARTHRALDSLHRREASIDGSVSRALDHLGSLSAASDKGAAPAAADAEEAGLAEGLRALCARMDSAAFLGFVVARRKEADALRAEMPLALKLCVDPAKFVMDAVADVFPVDRREVRSPADLAWACVLILEAAVPALADPDPEIGSARPLVPRAARERARGMAREWKEAAERKGGVEGAKPPDAHAFLQHVATFAVAEREDRPLYRRIVVSFSWRRQMPRLALTLGLEEEMADIIEELIAKRQQLDAVNFAYEAGLQDKFSPVPLLKSYLEDSKKTPSVASDNSSTSSGQSGSNVNKKEQSALRAVIKCVEDRKLEAEFPLEDLRKQLEELEKAKTEKKKAASSASSGGSSGPATKRIRASNGGPMPPAKAGRLTNNASVSSFPAPTTFARSPSHTSYATTSPSHTSYATASPSHASYATASPSHASYATTSPSHASYATISPSHASYATASPYPYDRPAGHGLYCNRSPPAIREAYVYPAEEVASVNVGIALPYSTPPMSYPAPYGGYGNGMAAYNNGMAPAFHQAYYR >PVH64343 pep chromosome:PHallii_v3.1:2:40979246:40980617:1 gene:PAHAL_2G244000 transcript:PVH64343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRNEPSAGAHEALVPPSTTATNVSTATTGQKQKKNKKTAARSPSVDAQEVLPQGSSQEHNIQGGKQLITIKLHEAIVNSKADDPPRTGRLSEAAVPQYFVERLGPSNVEWVNQDRESGLPYDIVITEHGFREYVKVKEAMAPDKKGFRHIIQTWKWQFLSEKGDSSSIAHISFPSPDEAAIVMLRNPRMLCKKGQGQGLALVMSKEFKECFTENMSKISVVLKPDCYLTGTNWRFFLSVHMKLK >PVH64330 pep chromosome:PHallii_v3.1:2:40435432:40446749:1 gene:PAHAL_2G238700 transcript:PVH64330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVLLAAVLLVLSAQAVHHVAGQPGFLSIDCGLDDEYSGYKDPDSGIVYVSDGAYADAGENLKVAPEYESQFGRRHQTVRSFPSGVRNCYALPTVAGAKYLARLVARYGNHDGTNDSSAMEFDVHLGANYWDTVLVRDDVAYEVLFVAWAGWAPVCLLNTGHGAPFLSILELRRLGDALYPALMANQTMSMYGRSNIGGKFIRFPDDPYDRYWWMGFNPQWRNLSTAQNMQPDPSFVEPVAVLQTAATATGNNTALSYKWQAENWPAYSFMVLLHFADFQSAQLREFDIYFNGNRLGPSDKPYRPQYLASSTVCSSGWYRASDANYNITLVASAVSELPPMLNAIEIYTLLAFDTPTTFPDDFDAIMAIKFEYGVKKNWTGDPCFPTIYAWDGVECSNTSGKTTRITSLDLSKSNLRGVLSTNFSKLTALENLDLSYNNLSGPIPDSLSSLPSLRVLNVSGNNLSGDPLCTNYNGSLIFRYDSDTSACDKRTSPSRKNAAVLITSVVVPVLVVAALFLACFIWRAKRKSNVSVENRTGKLQLESAPISINAQGDHLQDSESRRFTYKDLENITDNFERLIGQGGFGFVYYGRLEDGTMVAAKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKNHLALVYEYMSQGNLYDHLRGKHAAVEILNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLGRNLQAKIADMGLSRSYLSDTQTHISVTAAGTAGYMDPEYFLTGRLTESSDVYSFGIVLLEAATGEPPLLPGLCHIVQRVKQRIAAGDIGSIADSRLRGAYDVSSMWKVVDIAMACAADDGTARPTMADVVAQLKDSMALEDARVNDCRVPARKVQRDDAALMPSFGPSLR >PAN12134 pep chromosome:PHallii_v3.1:2:41200587:41202619:1 gene:PAHAL_2G245500 transcript:PAN12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSVGVSEVGVSAAPAQAACPCPGTLFPYPPPRGAGIAASVRRKCLQVELGAGAAGLLGGAWGVESMRASSPTHAKAAAALAAGVDDERAAWMVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCLDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKQAKGGVLFQPASEFLPMIEEVHERLVETTRSIPGAKVENNKFCVSVHFRCVDEKMWGELSEAVKGVLRGYPKLRLTQGRMVLEVRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASYSLQEPAEVMEFLLRLVEWKRLSRARLRLRLQ >PAN12135 pep chromosome:PHallii_v3.1:2:41200587:41202619:1 gene:PAHAL_2G245500 transcript:PAN12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSVGVSEVGVSAAPAQAACPCPGTLFPYPPPRGAGIAASVRRKCLQVELGAGAAGLLGGAWGVESMRASSPTHAKAAAALAAGVDDERAAWMVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCLDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGGVLFQPASEFLPMIEEVHERLVETTRSIPGAKVENNKFCVSVHFRCVDEKMWGELSEAVKGVLRGYPKLRLTQGRMVLEVRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASYSLQEPAEVMEFLLRLVEWKRLSRARLRLRLQ >PVH65063 pep chromosome:PHallii_v3.1:2:52561479:52563374:1 gene:PAHAL_2G415900 transcript:PVH65063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEAAGAFPSTASSNSDSEDLLLLPNLLPSAAAPSSLSHAQLHHFHVPSIPSPITVRALPSRGLSFQLWPSASTLLRVLPASPHLLPRAPAPGAPPLSVLELGSGTGAAGLALAAALPARAVLSDLPGALPNLRHNAGLNAPLLASAGAAASVVPLPWGDAAAMREVAAARAEAPFDLVVASDVVYYEALVDPLIETLRFFVKGEVVFLMAHMRRWKRTDKKFFGKARKLFNIEVVHEDPPLEGWRHGPVVYRFTAKKQHGKK >PVH63897 pep chromosome:PHallii_v3.1:2:12045104:12050448:1 gene:PAHAL_2G133900 transcript:PVH63897 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGTGAQKWQAGPRQAKQPPAGRLGQPRQQAKRTRRSSCSLQRTGMATAATAGSSSPPTPHADDSPEPPLPGEKVYVAVGREVAESRATLLWALHKFPRGAGAGAASFVLLHVYSPPKLLPFLGARIPAAQVGEQELAAYKEMELQRVNDSLDQYLHLCAQGRIHAEKLVVESDDVAQGLVELISEHHVTALVMGAASDKHYTKKMKIPKSRKARFVELQADPSCKIWFICKGTLVCHRKAVQLSHEEMQECRQSSGVTHYSVDKSASLSEMWCVANTWLCKSIGEQRIERTSSDPFYISEKGNVEETYESYDNFQHILRELESVRQEAYEEKCRREKAERELFEALQKAQASENLYLREMKQKNELEEKLTTIMEEIESLTVRTDELCAKLQGEREQRMVLEKRGAHSDRIIKDLMLQRDKALRETEMLRAKKGESSATAEGMMHITELSYSEIKEATNDFDHSMKIGESVYGSVYKGFLRHTNVAIKKLNPETTPTQSQFSQEVEILSRVRHPNLVTLIGACKDAQALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVSQILTDQFKATTTLYRYTHPKGSFVYIDPEYLISGDLTPQSDVYSFGIVLLRLLTGRSGFGLLKEVQEAMEKGCLQAILDSSAGEWPAMHAEQLAELGLRCCKIRRKNRPDLQTEAWTVLEPMFKSASTMLCSLSFKSVSEDLGGVPSYFICPILQDVMRDPLIAADGFTYEADAIREWLDSGHQTSPMTNLELPHRDLLPNHALRSAIQEWLQTNGD >PVH64152 pep chromosome:PHallii_v3.1:2:33616036:33617097:-1 gene:PAHAL_2G203600 transcript:PVH64152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSRSRGPHLRQLPRGRGRGDGRRAPGRRGAGWQARGRGGAGRWAARAREGAGRGRGAAGGAAGERRPAQEAEEGGARGRRGGRGGAEEEGRGAAGGRRARRRRGRRARRGGGGGGGAGCRGARRGRGRRAAEEDGRAEEGGRRRRRGGRRRRGGRRRGGRRRRGGGGAEEGRAEAEARAEVEEGGGGGRRKGGGGRRGGGGRRGRGRRARRGGGGGGGGGEGAAGAEEEGAEEEGRGGGGGGGRRRRMGGRRRRGAAEEEGRAEEGRAAEEGGGGAEEGRAEAEARAEAEEGGGGGRRKGGGGGGGRRGGGGGGGGGRRRRRGGGAEEEGRGGGAREARRLGVGERAVGR >PAN13504 pep chromosome:PHallii_v3.1:2:48109941:48111597:-1 gene:PAHAL_2G342600 transcript:PAN13504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSQPLCSEAKPYVPLATCVGPPPVALLPSPGIFPQPVPYPYQAPPPQAGFLGSLPGCWGIPLGPGGVGIQGAFPHPAWAPPMPPPHGAIATPAGTPCTMARAMSQGVGAQPSRSVRTGRVPGARPPPPRLDVPPRLQRPAAPRPGAPTASRFAKHAAAGGHAAAKEDPASRGAKDPVAGEEAVANEPSPRSVLVATSPPISPTTSLPSSFPLPCLPPATAALAPPTEPPKSAEHGTGSMPAGPPKMRRRRGPRRAQPAPRDEVRRGVMKPRLLFDPASKRTTLMIRHLPNDFTKQRLMSIIDEHCFIENEKIAPGGVKSEYDFLYVPIDFRTLANKGYAFVNLTSPDAARRLWEHLHSHRWEVRRCGKTCAVDYGAVQGLDRLVDHFARSSFECDSEELLPVRFEPPRDGTRPAQGVAHVVGGLRRRS >PAN09359 pep chromosome:PHallii_v3.1:2:1129081:1133508:-1 gene:PAHAL_2G016700 transcript:PAN09359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFKIGRLDGQPPRIRNVPIAVTPEGFWCCPSQAALQKTAKSPNQQGRPRGGASPAPSKASSVQRAPTISSEKRAQSTPTRSRTNSDEQICPPADAIAAPDPPKVAPAPAHEKRPKQHKISVGFGQLDSSDLKVVLYGKEGVAVKMIVHKNILAENSTFFADRISRQSPVSCIEVPDCEDVEIYVETVGLMYCKDVKQRLIRQNVPRVLRILKVAESLGFRACIMSCLDYLEAVPWVGDEEENVVTSIRQLHDEDHRADPLLKRVTSDVLTNPPNDTLAHIIDLVLKSSEDRGRREMKSLVLKLFKENNSICTTNGSSADSSCVTTLYSCFQNCLDSLLALFRQASDPEVLAEQSSDDKEQMFRKITLEADNLLWLAEILSDRHAADELTVIWASQGELAELHPKIPVMHRHLVSCVSARLLVAVGKGEALPSKETRRRLLDVWLQPLMDDYRWLQHGCRWFDRTVVEDGVGQTILTLPLEDQQAVLLAWLGRFLKVGDGCPNLQRAFEVWWRRTFVRPYAEQPGSSSGRH >PVH64754 pep chromosome:PHallii_v3.1:2:48727348:48739496:1 gene:PAHAL_2G352400 transcript:PVH64754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGVTGVVAKLGELAAAEATALLRVDAEIRALRRKLAYLQALVRGADRQRRGRASELLLLWLRETREVAFEVEDAVDEFHLRVEAFHLRRRRASWWGWGWHRDAVCLVQGLVTQIFVRHGLSNQVYKINERIDELNQNKETYQIESSPSEIWSSSSVEVDPDWYEDKYVMGSRQDEFETLKNRIMNKDGNISHRAVISILGERGIGKTTLAKKLYNDPDIMKHFEVHAWVCLPPHIRFRDYVEIMYMQVSSQIPEAPGDDEFTDKELKLSQNLHNRTYLVVLDGLISISDWNSLVDVLPDTNGSRILLTTHLNMKEISHIDPQIAPMELPYLDMKHGEQLFCQRVFGAKEPPQIYWSKGYYEKVHNISTGLPLAIAVLAGVLRSKVIPMEWDDVFEQLESNGQPKPVRSIWSLAFDDLPHYLKSCFLYFASVSENVILYPDRLVRLWIAEGFVVPKKAESLEDVGFDYLKELVSRGLVQVMEKDAGGCIKLVSIHNLLHAFMESEAQDSSFLEIHHHANVANPNAVRRLAIQNYVDAYVYIPDAFPKLRSLLCDFAEDQRSSSSFGELQPQSLWGNLAGLCSRACSISENVGSNTLHGLHFLQGSRFLRVIDLNGLKMQKLPDEIGSIIHLRYLGIRNSNLEELPSSMYKLDNLQTLDVRRTNVGRTVDEFWEIEALRHVLAEKMLLPNCSVPLNNLMTLSGVVPSDLWDEKKCPLNNMIYLRSLSLSGISARHTTALSAALRKMEFLAYLNLSGEVLPSNMFTTTSMRRLQVLILYGKLEGINDLLGDRYVLPNLTILHLNKLELSQQFVDKLALLPCLAEMELSVVSFSETTMFFHDGFPSLTKLKLKEVSTIQELVIGKGAMPMLSILAMYDCDSLNTLKVLNGSEHLQEVAIYKMPGIIDNIKLEDEKLFDKIKRLTTPMMVTDRGVVPGHFVRRAGIPHEQHITVASESCFSSMEDAGPGAAKAADDIQVIV >PVH63439 pep chromosome:PHallii_v3.1:2:2050313:2051019:1 gene:PAHAL_2G029100 transcript:PVH63439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFQFCFAFISLIMGLPGRFLILYYLYSPPFLIILFNARVRIDVLFFSVEIE >PAN15587 pep chromosome:PHallii_v3.1:2:57701063:57710427:1 gene:PAHAL_2G499600 transcript:PAN15587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLGRSVGHQHLRPARSPKPSSRLPRHRRRRFHFDGNQSDDLAVAARPAMFAKRLFHKALHHHHQQGGGGAPPAAPGGVPQMDAQIALHYGVPYTASILAFDPVQRLLAVGTLDGRIKIFGGDNIEGILISPKSVPYKFLQFIINQGLLVAVSNENEIQVWNLEFRQLFYSSQWDVNITAFAAIEWSFLMYLGDENGLLSVLKYEVDDGKLQIMPYNVPIQSLAERAGISLQDPQPIVGILIQPDTFGARVLIAYEKGLLVLWDVSDNNAVSVRGYGDLHMKGQITGAQRDADEDQLNDAIDGSEEEREICSLCWASRRGSIVAVGYITGDILLWDMNTRTPRQDKQIDVSSNVVKLQLASGSRRLPVIVLHWSAGSAIHSNKGGHLFVYGGDDMGSEEVLTVLSLESTAGLESVRCMSRMDLKLDGSFADMILIPDTGVPDKSRTSALFILTNPGQLNFYADGSLFSVQNTKEGNDLPEAQKFPVAVPTIDPNITVTNLCSLTERELSNISLKKFCARQNAGPFILGNMKWPLTGGVPSVMSLNEDHAVERLYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADIDLAGANASVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVHHEKGFHCYVAFLASNFPVRSLLFAASGEALATGYQNGQVAMLDLSQLSILFTVDCASGTNSPVVSSGIYSVTASDTKANESEKESPQKAKLLKDFLLSLTKDGRVTVLDCTAGVMMNSHILDQKQSSAISMYVIDGASDGKQTQLSEDPIGSQGHTGKEGNDVDNKQAPGVGKHLKNASHLSQNGGSDSLLLVCCEDVLLFLSLASLIQGNNKYLHKVKLAKPCCWSAVLKNMDGKICGLILAYQTGTIELRSVPDLAIVAESSLMSILRWSYKAGMDKSMSSSNGQITLVNGSEFAIISLMASENDFRIPESLPCLHDKVLAAAAEAAISFSTEQRKKQNPAAGILGGIIKGMKGKAEESAKMRENFTAQTPSEHLESFFLKDSFVEPSMPILDDPIEELSIEIDDEVPAPASASSSTSHRNKRATEEEREKLFEGSSDVGKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >PAN15584 pep chromosome:PHallii_v3.1:2:57701063:57710427:1 gene:PAHAL_2G499600 transcript:PAN15584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLGRSVGHQHLRPARSPKPSSRLPRHRRRRFHFDGNQSDDLAVAARPAMFAKRLFHKALHHHHQQGGGGAPPAAPGGVPQMDAQIALHYGVPYTASILAFDPVQRLLAVGTLDGRIKIFGGDNIEGILISPKSVPYKFLQFIINQGLLVAVSNENEIQVWNLEFRQLFYSSQWDVNITAFAAIEWSFLMYLGDENGLLSVLKYEVDDGKLQIMPYNVPIQSLAERAGISLQDPQPIVGILIQPDTFGARVLIAYEKGLLVLWDVSDNNAVSVRGYGDLHMKGQITGAQRDADEDQLNDAIDGSEEEREICSLCWASRRGSIVAVGYITGDILLWDMNTRTPRQDKQIDVSSNVVKLQLASGSRRLPVIVLHWSAGSAIHSNKGGHLFVYGGDDMGSEEVLTVLSLESTAGLESVRCMSRMDLKLDGSFADMILIPDTGVPDKSRTSALFILTNPGQLNFYADGSLFSVQNTKEGNDLPEAQKFPVAVPTIDPNITVTNLCSLTERELSNISLKKFCARQNAGPFILGNMKWPLTGGVPSVMSLNEDHAVERLYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADIDLAGANASVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVHHEKGFHCYVAFLASNFPVRSLLFAASGEALATGYQNGQVAMLDLSQLSILFTVDCASGTNSPVVSSGIYSVTASDTKANESEKESPQKAKLLKDFLLSLTKDGRVTVLDCTAGVMMNSHILDQKQSSAISMYVIDGKQTQLSEDPIGSQGHTGKEGNDVDNKQAPGVGKHLKNASHLSQNGGSDSLLLVCCEDVLLFLSLASLIQGNNKYLHKVKLAKPCCWSAVLKNMDGKICGLILAYQTGTIELRSVPDLAIVAESSLMSILRWSYKAGMDKSMSSSNGQITLVNGSEFAIISLMASENDFRIPESLPCLHDKVLAAAAEAAISFSTEQRKKQNPAAGILGGIIKGMKGKAEESAKMRENFTAQTPSEHLESFFLKDSFVEPSMPILDDPIEELSIDDIEIDDEVPAPASASSSTSHRNKRATEEEREKLFEGSSDVGKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >PAN15586 pep chromosome:PHallii_v3.1:2:57701063:57710427:1 gene:PAHAL_2G499600 transcript:PAN15586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLGRSVGHQHLRPARSPKPSSRLPRHRRRRFHFDGNQSDDLAVAARPAMFAKRLFHKALHHHHQQGGGGAPPAAPGGVPQMDAQIALHYGVPYTASILAFDPVQRLLAVGTLDGRIKIFGGDNIEGILISPKSVPYKFLQFIINQGLLVAVSNENEIQVWNLEFRQLFYSSQWDVNITAFAAIEWSFLMYLGDENGLLSVLKYEVDDGKLQIMPYNVPIQSLAERAGISLQDPQPIVGILIQPDTFGARVLIAYEKGLLVLWDVSDNNAVSVRGYGDLHMKGQITGAQRDADEDQLNDAIDGSEEEREICSLCWASRRGSIVAVGYITGDILLWDMNTRTPRQDKQIDVSSNVVKLQLASGSRRLPVIVLHWSAGSAIHSNKGGHLFVYGGDDMGSEEVLTVLSLESTAGLESVRCMSRMDLKLDGSFADMILIPDTGVPDKSRTSALFILTNPGQLNFYADGSLFSVQNTKEGNDLPEAQKFPVAVPTIDPNITVTNLCSLTERELSNISLKKFCARQNAGPFILGNMKWPLTGGVPSVMSLNEDHAVERLYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADIDLAGANASVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVHHEKGFHCYVAFLASNFPVRSLLFAASGEALATGYQNGQVAMLDLSQLSILFTVDCASGTNSPVVSSGIYSVTASDTKANESEKESPQKAKLLKDFLLSLTKDGRVTVLDCTAGVMMNSHILDQKQSSAISMYVIDGKQTQLSEDPIGSQGHTGKEGNDVDNKQAPGVGKHLKNASHLSQNGGSDSLLLVCCEDVLLFLSLASLIQGNNKYLHKVKLAKPCCWSAVLKNMDGKICGLILAYQTGTIELRSVPDLAIVAESSLMSILRWSYKAGMDKSMSSSNGQITLVNGSEFAIISLMASENDFRIPESLPCLHDKVLAAAAEAAISFSTEQRKKQNPAAGILGGIIKGMKGKAEESAKMRENFTAQTPSEHLESFFLKDSFVEPSMPILDDPIEELSIEIDDEVPAPASASSSTSHRNKRATEEEREKLFEGSSDVGKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >PAN15585 pep chromosome:PHallii_v3.1:2:57701063:57710427:1 gene:PAHAL_2G499600 transcript:PAN15585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLGRSVGHQHLRPARSPKPSSRLPRHRRRRFHFDGNQSDDLAVAARPAMFAKRLFHKALHHHHQQGGGGAPPAAPGGVPQMDAQIALHYGVPYTASILAFDPVQRLLAVGTLDGRIKIFGGDNIEGILISPKSVPYKFLQFIINQGLLVAVSNENEIQVWNLEFRQLFYSSQWDVNITAFAAIEWSFLMYLGDENGLLSVLKYEVDDGKLQIMPYNVPIQSLAERAGISLQDPQPIVGILIQPDTFGARVLIAYEKGLLVLWDVSDNNAVSVRGYGDLHMKGQITGAQRDADEDQLNDAIDGSEEEREICSLCWASRRGSIVAVGYITGDILLWDMNTRTPRQDKQIDVSSNVVKLQLASGSRRLPVIVLHWSAGSAIHSNKGGHLFVYGGDDMGSEEVLTVLSLESTAGLESVRCMSRMDLKLDGSFADMILIPDTGVPDKSRTSALFILTNPGQLNFYADGSLFSVQNTKEGNDLPEAQKFPVAVPTIDPNITVTNLCSLTERELSNISLKKFCARQNAGPFILGNMKWPLTGGVPSVMSLNEDHAVERLYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADIDLAGANASVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVHHEKGFHCYVAFLASNFPVRSLLFAASGEALATGYQNGQVAMLDLSQLSILFTVDCASGTNSPVVSSGIYSVTASDTKANESEKESPQKAKLLKDFLLSLTKDGRVTVLDCTAGVMMNSHILDQKQSSAISMYVIDGASDGKQTQLSEDPIGSQGHTGKEGNDVDNKQAPGVGKHLKNASHLSQNGGSDSLLLVCCEDVLLFLSLASLIQGNNKYLHKVKLAKPCCWSAVLKNMDGKICGLILAYQTGTIELRSVPDLAIVAESSLMSILRWSYKAGMDKSMSSSNGQITLVNGSEFAIISLMASENDFRIPESLPCLHDKVLAAAAEAAISFSTEQRKKQNPAAGILGGIIKGMKGKAEESAKMRENFTAQTPSEHLESFFLKDSFVEPSMPILDDPIEELSIDDIEIDDEVPAPASASSSTSHRNKRATEEEREKLFEGSSDVGKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >PAN09475 pep chromosome:PHallii_v3.1:2:1884455:1887321:1 gene:PAHAL_2G026200 transcript:PAN09475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVHELDGSTFKECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDRNTWLQEMIVSMAVAGAIIGAAIGGWTTDRFGRRTSILVADFLFFAGAVVMASATGPAQLVVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLAYLINLAFTKAPGTWRWMLGVAAVPAVVQFGLMLFLPESPRWLYRKGRAEEAEAILRRIYSAEEVEREIEELKESVAAEARERGSSEKVSLAVLLRTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGYASNQTALALSLVTSGLNALGSIVSIYFIDRTGRKKLLVVSLVGVILSLGVLTAVFHETTSHSPAVSASETGRFDGSLTCPDYRLQPSSSSASSGGGGFWDCTRCLKGRSAECGFCASGAGKLLPGACLVSNATSRDACRGEGGRLWYTRGCPSRFGWLALAGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGACGGAAATANWVSNLAVAQSFLSLTEAIGVAWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLERRELRLRFWAPRAGDADGKETGKTAGV >PAN13056 pep chromosome:PHallii_v3.1:2:46126213:46128733:1 gene:PAHAL_2G310900 transcript:PAN13056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPRQVVRKFLARPQHEGVGAVVRRSVGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSANKMVEPGYQEIQSKDIACTSADGVTVRVIAGNAMGARSPVRTRTPTMYLDFTVRPRAAVRQPVPPSWNAFVYVLEGEGVFGAERCAPAGAHHLLLLGQGDGLEAWNKSDRPLRFLLIGGEPIGEPVAQLGPFVMNTEEEIDMTVDDFERYANGFEKARHWKSQAMVALGVE >PAN11616 pep chromosome:PHallii_v3.1:2:38821262:38822434:1 gene:PAHAL_2G228900 transcript:PAN11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHAIPDDVLELIFLRLGSQLSLLRAASTCKQWRRVVTGAGFLHRFRSLHGPPSFAGVYYDTPCRPRQRPDFVSSPWVDIDARFFSLDFLPDSELTPWAWRIQDSRGSLLLFDRLRHPRGSASYGDFVICETLTKRYEMVDPLSWFKGFSISEAYLIDGESGGIGMENFRVVFKLHTRGVHSTAAMLTMRSGRRQSWHRISIDELFRNAGPSDQLVPSSVYWFNAGRSVAALDRSTGEFSSVLLPQVEDWNFHKEMYGLTVIAGHDGTARLVVLSWSCGDLMVFARLPQGGGCGEWALAKRIRLWEVCRDLPGYAYDPLLFMSESALIHTDGTPVILISPWRMKRWWFHLDVETVEVAPAPDPDVATAYPCELPWPPTLRACTSCLGDV >PAN13078 pep chromosome:PHallii_v3.1:2:46245190:46248838:1 gene:PAHAL_2G312700 transcript:PAN13078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSRFWGQGDSESEEEEEEVESEQGSDSEDDVVHGAGGRGVQNRYLKTQEDDSDESDSGHRVIRSLRDKRNEEMRSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVVRVNESTEIPKMYIKALVLLEDFLAEAFANKDAKRKMSSSNSKALNVMKQKLKKNNKQYEEQIQKCREHPESFEDEAAGKGEDEDDEDEDSDAEIEDPEKIVTSESEADAEDEGDNDGWIQNKSKKDKMLDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVNNMLLVLDILQQYPNIVVDTSLEPDEKETQKGADFNGTIHVTGDLVAFLERLDTEFFKTLQCSDPYTKDYVQRLREEPLFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDSMRKLAEQPEDSVEEGDAETGDEHQAMDANRGPPPFVVIPEVVPRKPTFPESGRALMDGLMSLIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLINEAHGCLTELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRPMSKTFRRLLEVSERQTFVGPPENVRDHVMAATRALNKGDHQKAFSVISSLEIWKLLRNREHVLEMLKLKIKEEALRTYLFSYSSCYESLSLNQLTTMFDLSEQHAHSIVSKMMMHEELHASWDQPTKCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGVPPRRRGDGQDSSNLGKWQDNFVSSQGRQGGGNRSGYAGRGGGGQGGGYQRDRGNQGSRGGYSGGSRFQDGRGRNQSGSSARGGDGGARMVSLNRAGRV >PVH64302 pep chromosome:PHallii_v3.1:2:39328025:39329254:1 gene:PAHAL_2G232000 transcript:PVH64302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLAWVLNMQDNQGNTALHLAVKDASLDIFLPLFGNRQVNLNLTNEDGQTPLDIARYNIRPSFYDHEANTEVWIHRALKIAGARGGASREDHFDENYEDRHGVKTNYAEKELEMLKDSTQFQSIGPVLIATVTFGAMFALPGGYRADGSDYGGTPTLAGTFAFHAFMVTNTLAFICSTIATLASMYAGSARLNLERRKAHFNNSIRYMHNSIMALAATFALGVYTVLSPVDQKTAIGICVMSPLVVLYNFKDFWLNWARFAMPLFVRKGAIWILRVYTRVILQHMLTVSWFIIVFVLAFNVRDHPISKAVSPAQAPATFA >PVH64435 pep chromosome:PHallii_v3.1:2:43111787:43112328:1 gene:PAHAL_2G266900 transcript:PVH64435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGGALDMVVLWLTEESEHAAAQTASEYGCFLRDERRRVDGWMPRRSCCCVLTSLSVSSLSSSGLVPPHPSPPSHFHHPSLIGARRCVVVQTFSHRSPWPCRLWRYCAAGLAVSPPAILSCLLLGFLRPALWNKVLLNFRQPPRACTPWILAPAAFLFSARLSLLSLWLPIMDY >PAN14493 pep chromosome:PHallii_v3.1:2:52460513:52464497:1 gene:PAHAL_2G413600 transcript:PAN14493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWERGRPPRKPRPSPIIVPPPPPSPPPRLNLLLPRSLLALAARAMPSRRPSPVLLLLLALALALLFLLLSPSSPSASRLSRSLASGSASAFSSPASPAAPPAPVKIYMYDLPPKFTYGVVRSYTAARAPSGSADAAAVLPDEQLRYPGHQHSAEWWLFKDLLRRGPRDRPVARVDDPRDADLFYVPFFSSLSLVVNPIRPPVAANASGVAAAYSDEAMQKELLEWLERQPYWRRHRGRDHVFICQDPNALYSVIDRISNAILLVSDFGRLRSDQASLVKDVILPYSHRINSFKGDVGVDGRPSLLFFMGNRYRKEGGKVRDALFQILENEEDVTIKHGAQSRESRRAATRGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYIELPFEDVIDYSSISIFVGTSKAVQPGYLTSMLRRVSSERILEYQREIKKVKRFFEYEDPNGPVNEIWRQVSLKVPLVKLLTNRNKRLVERGTNGTDCSCICSATPTDMTTAG >PAN15010 pep chromosome:PHallii_v3.1:2:55038303:55041989:1 gene:PAHAL_2G457700 transcript:PAN15010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPNEVLMREALGKRNCRDPEAESHQFRADEGENKEVLARLAWEEAFWDDLEQSYGSRPVRRDTEHAETKASWRKMDLATASQKAAAAAAAAAWSEDEEDDDDPDREALRFRKRWNYIWSEHFGSFEDTTLIPPMRFTDEPPVERLYPSTTLQIFSAKVAGIGGGFQWPLDVFGLVAIRDSVDENRNIIFQRSRDECQTITGEDPYLELTGPTRAVVLWDPLTIEVYLRVKGPSELEDKTLCFLAEGMICLDRVNSCLLHETWTSKYSTMEFTLGHINASVEATISVRVVDGSWPDGFHGQFVACLVGTHWFDEVPAFYSSTSVDDKEIVLLSFGDEKVPAVVDGVIELSRRVVSVETTSKLKVSVNAWQDDNNAVEAWEEFSAKERGRSFGTLDVGFCKMDVIVGWSLVTDYPEPRR >PAN13385 pep chromosome:PHallii_v3.1:2:47538908:47542211:-1 gene:PAHAL_2G332900 transcript:PAN13385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLPATSLLSRTLRRRATSRIPPRPFAPLGLEPSAGAPRSQSAPSYSTASGDPGQEPPPGMDSPIKVVSHLGNGGGGSGEGGGAAIDAGKSARRPLSLWPGMYHSPVTNALWEARSSIFERMMDAGNGAAAAADAGEQSAPTELLVKTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLVVTASVDKMELKKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDGDNQSDPIALTANFTFVARDSKTGKSAPVNRLSPETEREKQLFSEREARDKMRKRKREVQKGGLEDGIHKLRAEAERLNALLAEGRVFSDLPALADRDSILLKDTRLENSLVCQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNPEQPLVNVEVVAHVTRPELRKSEISNTFHFTFTVCSDLLKKGLKIRNVVPSTEEEARRILERMEAEGLCN >PAN12902 pep chromosome:PHallii_v3.1:2:45427086:45429891:-1 gene:PAHAL_2G299400 transcript:PAN12902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKFSWLLLLGLPAVWMLAAAADVPVARAPGCSTRCGEIDVPYPYGLDPQCAIHEDFLLNCTTVDRTTKLLLGGLEVIKFSIPDGKVWVKTWMARQCYNQTTNSTDIINAWLNLPSTYVLSSDDNKIIVIGCKSLAYMRSNSYVIGCSSTCDDGKHAPKNGSCSGAGCCQADLPKGVQSYQSSFNENNSSQQHWRGPCNYFAMVETASFNFSTTYLTSTAFYDMDNARQPAVLEWGIAQINTCKEARTNKTTPYACLSSNSDCIITSYVGYRCKCSPGYKGNPYVPDGCTDIDECQDNVTYPCAGICKNTPGSSICSCLPGQDKINGLCVKAHKSIWVAPVVGGSVGLVVLLIVTTCAYLIRERRKLHHIKQKYFRQHGGLILFEEMKSQQGVAFRIFSEEELQQATHRFDEQQVIGHGGHGKVYKGVLKTEVEVAVKRCMTIDEQQKKEFGKEMLILSQINHKNIVKILGCCLEVEVPMLVYEFIPNGTLFDLIHGNHGRHISLGTRLRIAYESAEALAYLHSCASPPILHGDVKSTNILLDGDYAAKVSDFGASILAPSDKSQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLEGPEHDKSLSMRFLSVMKENKLEDILDDQIKNNENVEYLEEIAELARQCLDMSGVNRPSMKEVADNLGRLRKVMQHPWAHENSEELDNLLGETSMSMVSSAVTTEAFSIEKKVAMGFESGR >PVH64541 pep chromosome:PHallii_v3.1:2:45426951:45429369:-1 gene:PAHAL_2G299400 transcript:PVH64541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETASFNFSTTYLTSTAFYDMDNARQPAVLEWGIAQINTCKEARTNKTTPYACLSSNSDCIITSYVGYRCKCSPGYKGNPYVPDGCTDIDECQDNVTYPCAGICKNTPGSSICSCLPGQDKINGLCVKAHKSIWVAPVVGGSVGLVVLLIVTTCAYLIRERRKLHHIKQKYFRQHGGLILFEEMKSQQGVAFRIFSEEELQQATHRFDEQQVIGHGGHGKVYKGVLKTEVEVAVKRCMTIDEQQKKEFGKEMLILSQINHKNIVKILGCCLEVEVPMLVYEFIPNGTLFDLIHGNHGRHISLGTRLRIAYESAEALAYLHSCASPPILHGDVKSTNILLDGDYAAKVSDFGASILAPSDKSQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLEGPEHDKSLSMRFLSVMKENKLEDILDDQIKNNENVEYLEEIAELARQCLDMSGVNRPSMKEVADNLGRLRKVMQHPWAHENSEELDNLLGETSMSMVSSAVTTEAFSIEKKVAMGFESGR >PAN12903 pep chromosome:PHallii_v3.1:2:45427309:45429862:-1 gene:PAHAL_2G299400 transcript:PAN12903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKFSWLLLLGLPAVWMLAAAADVPVARAPGCSTRCGEIDVPYPYGLDPQCAIHEDFLLNCTTVDRTTKLLLGGLEVIKFSIPDGKVWVKTWMARQCYNQTTNSTDIINAWLNLPSTYVLSSDDNKIIVIGCKSLAYMRSNSYVIGCSSTCDDGKHAPKNGSCSGAGCCQADLPKGVQSYQSSFNENNSSQQHWRGPCNYFAMVETASFNFSTTYLTSTAFYDMDNARQPAVLEWGIAQINTCKEARTNKTTPYACLSSNSDCIITSYVGYRCKCSPGYKGNPYVPDGCTGSSLASCPLFSCSLFPPVFLLFAEILKRNGMILTKISAAPFSDIDECQDNVTYPCAGICKNTPGSSICSCLPGQDKINGLCVKAHKSIWVAPVVGGSVGLVVLLIVTTCAYLIRERRKLHHIKQKYFRQHGGLILFEEMKSQQGVAFRIFSEEELQQATHRFDEQQVIGHGGHGKVYKGVLKTEVEVAVKRCMTIDEQQKKEFGKEMLILSQINHKNIVKILGCCLEVEVPMLVYEFIPNGTLFDLIHGNHGRHISLGTRLRIAYESAEALAYLHSCASPPILHGDVKSTNILLDGDYAAKVSDFGASILAPSDKSQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLEGPEHDKSLSMRFLSVMKENKLEDILDDQIKNNENVEYLEEIAELARQCLDMSGVNRPSMKEVADNLGRLRKVMQHPWAHENSEELDNLLGETSMSMVSSAVTTEAFSIEKKVAMGFESGR >PVH64756 pep chromosome:PHallii_v3.1:2:48739796:48744501:-1 gene:PAHAL_2G352500 transcript:PVH64756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPQQQGQGAQGGSGGGGWSPEQFWSLLDKADRRFARVRDLPLLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFVDADHHLTPAKHFRFLARFLLVALLLARRAHTVPRLAADIRALLEDSKKSFQEAEYKEWKHVVQEIFRFLRADSPFMNLRPLRYSYAFDLPPDKLPMVPPTVKKRGLVLSDAILCSYYPNEVKFTDLSIDVFRMLQCLEWEPCGSFALNNGYSAHDESGQNQPNLLKDLKDAALPPNPLKTILNRPSVTHFLTVLATKCEELPSNGIMLIYLSLAGETGTSGCCPDTGEKIMSNFSKFDISSTSHTSSKEDKEPCLWLGCRETEGSNCIYPGDLIPFTRKPLFLVIDSCISYAFKSIHGIERGETAAMLLSPSSRSSAAGFSGDSTRHTGSQFSMFLTAPLQAFCLLIGNSGTDINRDAYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRATLSLFKPTSGKAEFLPTCMPPLPESVDAESMLSQSCMMRLASYFGAASQFAFAEITTWPDADTEEAGVTSSYGSANKDVPETARDSDISHSATSF >PVH64755 pep chromosome:PHallii_v3.1:2:48739618:48744501:-1 gene:PAHAL_2G352500 transcript:PVH64755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPQQQGQGAQGGSGGGGWSPEQFWSLLDKADRRFARVRDLPLLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFVDADHHLTPAKHFRFLARFLLVALLLARRAHTVPRLAADIRALLEDSKKSFQEAEYKEWKHVVQEIFRFLRADSPFMNLRPLRYSYAFDLPPDKLPMVPPTVKKRGLVLSDAILCSYYPNEVKFTDLSIDVFRMLQCLEWEPCGSFALNNGYSAHDESGQNQPNLLKDLKDAALPPNPLKTILNRPSVTHFLTVLATKCEELPSNGIMLIYLSLAGETGTSGCCPDTGEKIMSNFSKFDISSTSHTSSKEDKEPCLWLGCRETEGSNCIYPGDLIPFTRKPLFLVIDSCISYAFKSIHGIERGETAAMLLSPSSRSSAAGFSGDSTRHTGSQFSMFLTAPLQAFCLLIGNSGTDINRDAYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRATLSLFKPTSGKAEFLPTCMPPLPESVDAESMLSQSCMMRLASYFGAASQFAFAEITTWPDADTEEAGVTSSYGSANKDVPETARDSDISHSATSF >PAN11695 pep chromosome:PHallii_v3.1:2:34794410:34796760:-1 gene:PAHAL_2G208600 transcript:PAN11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRGAPPCAPPAPSGGLCGGGGSPPARCCVPFRQAACFLRPTKENSGRDLSYRRKNCRWRPAFALETGGPSNTDGQDFDEDSGFLGRTRLGRLIQAAGRELLEKLNSARTKTPTKIFLILLGFYTANALATILGQTGDWDVLIAGVVVAAIEGIGMLMYRKPIARPPGRLQSLISMVNYWKAGVCLGLFVDAFKVGS >PAN09412 pep chromosome:PHallii_v3.1:2:1503840:1507671:1 gene:PAHAL_2G021500 transcript:PAN09412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MAAALPHSHLRLHVPLRTPDPSSRRRCHRLRLPSLVAASRLQNPTTATHPVLPPPAPAPSAALLAAEGASLAPRREHRFPGSVSTPTSSSAACGLAEAEDAVLRRALVVRRAVAAEALVAALSGGKVGGLTYIKNLMARMGPFMDRVVVEAAAMRRDRPDFAHMSFNARAKAYIQESGLVELVKWFKHNSMTYPQIAKVVCACSGDLGKVRMMIKWLRSIYVKGDFLGRVLANGGSFLNRRFEELEEIIDYLESCGVRRDWIGYVVSRCPQLLNLSMDELETRVRFYTDMGMDEKDFGTMVYDYPRVLGFLSLEEMNSKVQYLKEFGLSTEELGRLLAFKPQLMACGIEERWKPLVKYLYHLNVSRDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDIGVRSDAMGNVLVKFPPVLTYSLYKKIRPVVIFLLTKGGVKQDDIGKVIALDPQLLGCSIPHKLEVSVKYFRSLGIYHFVLGQMVADFPALLRYNVDILRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEDRIEPRHQTLVANRINMKLRYMLTGSDEEFAQRVREAVERRARFEAGKGVVETFSDAAETSKEEETTAAAAACQNSIEVD >PVH64058 pep chromosome:PHallii_v3.1:2:24458574:24459339:-1 gene:PAHAL_2G175000 transcript:PVH64058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKHMSSKCCCMSIAYHKPNADPPEIPLWDNVEIPCTLSMSAPSYVEPSKGTQPGTVTEQDNDMVEEVDNYLMNPELENEYMGVDEEGLYIEIAPTSHANVDTTKKKDEDYDPSSDSESDSMSDTDMDAEVDDIVKDRLPSHIPEVAYNKDDPPMEVGSIYPNISKFKLALATHAIKMEGKSLPHVITKLKEQSRNLNIDIVTRSPDGIAEVAARGGSSYRFVLNL >PAN14655 pep chromosome:PHallii_v3.1:2:53259605:53262517:-1 gene:PAHAL_2G427300 transcript:PAN14655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKIARFEKNITKRGAVPETIKKANDYPFGPILLGFFVFVVVGSSLFQIIRTASNAGLF >PAN11242 pep chromosome:PHallii_v3.1:2:30618422:30630920:-1 gene:PAHAL_2G193400 transcript:PAN11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVAVVPGKPQVQIGSHSFTFDHVYGSTGTPSAAMFDQCVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAALFDKIESLKNQVEFQIRISFIEILKEEVRDLLDPSAATVGKIENGNGHAKLSVPGKPPVQIREASNGVITLAGSTEVHVSTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKSDPIMTADGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQIEYLQAELVSARGGVGSDDVQGLRERISWLEHINEDLCRELYDIRNRSQTDPCEPEMQKTLNGFTKSEGLKRSLQSTDPFDVPMTDSVRANPKDIEDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKSLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKEILEARKSSARDNSAGTNGASPGSNMGERSLQKWLDQELEVMVHVHEVRNEYEKQSQLRSALGEELAILKQEDIRAGASSPQRGKNGNSRPNTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRSRWNQLRSMGDAKSLLQYIFNVAADARCQVREKEVEIKEMKEQMTELVGILRHSESRRREMEKQLKQREQTAPMATTPPRSGNGTAKHSADDPSTPLSPVAVPAQKQLKYSAGIVNSPSKGIAALNKEQLKMVPIAQLSAGKKISIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRTRPRPQLLPPKTHRVM >PAN11241 pep chromosome:PHallii_v3.1:2:30618422:30631800:-1 gene:PAHAL_2G193400 transcript:PAN11241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVAVVPGKPQVQIGSHSFTFDHVYGSTGTPSAAMFDQCVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAALFDKIESLKNQVEFQIRISFIEILKEEVRDLLDPSAATVGKIENGNGHAKLSVPGKPPVQIREASNGVITLAGSTEVHVSTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKSDPIMTADGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQIEYLQAELVSARGGVGSDDVQGLRERISWLEHINEDLCRELYDIRNRSQTDPCEPEMQKTLNGFTKSEGLKRSLQSTDPFDVPMTDSVRANPKDIEDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKSLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKEILEARKSSARDNSAGTNGASPGSNMGERSLQKWLDQELEVMVHVHEVRNEYEKQSQLRSALGEELAILKQEDIRAGASSPQRGKNGNSRPNTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRSRWNQLRSMGDAKSLLQYIFNVAADARCQVREKEVEIKEMKEQMTELVGILRHSESRRREMEKQLKQREQTAPMATTPPRSGNGTAKHSADDPSTPLSPVAVPAQKQLKYSAGIVNSPSKGIAALNKEQLKMVPIAQLSAGKKISIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRTRPRPQLLPPKTHRVM >PAN13878 pep chromosome:PHallii_v3.1:2:49745211:49755763:1 gene:PAHAL_2G370100 transcript:PAN13878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MDPEESAAPVLNQGEEAAEAAEPAPAEEERAPPAQEVPAGEGSPASGGEAAARAHPEEEEELARQVMEVGLQNEYLRSQIGGARPAGGADEGSELVRGLKEQVEKLTREVQEQRLTREATEKALEHVNVAYAEADGKVQVLTAKLSQAEQKMEKELKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEVNQKAEQAASLQLAAQQELERARQQASEALRSMDVERQQLRTVNNKLRANLDETRLALEARNNSLEKLQQSVLEKEQLLEKVQGSLQSAEDKRMAIISELTAKHQKQLESLQAQLAEVSAERMKASETIQSLQAVLTEKDSEIAEIEAASTGEAARLRASLEEVKGELAHLKDEHEKERQSWEATCESLRSKLEASENTCLKSEIESAKVKSQLELELSTQNQLLQTKDSDLMAAKQEISRLESEFSAYKVRAHALLQKKDAELNAAKSSDLVKEHEEAIREAEKEVAVALAERDKAIHDLQEAQSRHCDEIEARDIALADAEKKLKNVMKKLDSVSSNFITEKESWEKNMASVEENWRLKCESLKAQSNGHVDDELQKNLGELTLKYEKLKEEHQSFRDIADRMIEDKEQEIAKLLKENRDLHRSLEAKPPVSNNYCQNQGPVKDTMSVELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPDELKKCQQGVFSSVASSQAAALSDGTTTPNSFFSRFSF >PVH64970 pep chromosome:PHallii_v3.1:2:51354000:51356270:1 gene:PAHAL_2G394600 transcript:PVH64970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGAKPLAAPLLGATPPAGRRLATAACAPDPSPALATAAAQSPGQSDRAPPPRPPDESAASSTALRGTSQLSRWSRARALRSGRRLGLDRAAVSLAPPTMPPPTPSLVPDVAAGAAEDDDDDLCDAERDAVAGKAIYMVSDGTGWTAEHSVNAALGQFEHCLVDRECSVNTHLFSGIDDMDRLLEVIKQAAKEGALVLYTLADPSMAEATKKACDFWGVPCTDVLRPTVEAIAAHIGVAPSGIPRSSPSRKGQLTEDYFRRIEAIDFTIKQDDGAQPQNLNRADIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVNLPKALFEINQDKIFGLTINPVILQAIRKTRAKTLGFDGYTSNYAEMAHVRQELDHANQIFAQNPMWPVIGVTGKAIEETAAVVVRVYHDRKQKCSMPRISKRY >PVH64971 pep chromosome:PHallii_v3.1:2:51353481:51357898:1 gene:PAHAL_2G394600 transcript:PVH64971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGAKPLAAPLLGATPPAGRRLATAACAPDPSPALATAAAQSPGQSDRAPPPRPPDESAASSTALRGTSQLSRWSRARALRSGRRLGLDRAAVSLAPPTMPPPTPSLVPDVAAGAAEDDDDDLCDAERDAVAGKAIYMVSDGTGWTAEHSVNAALGQFEHCLVDRECSVNTHLFSGIDDMDRLLEVIKQAAKEGALVLYTLADPSMAEATKKACDFWGVPCTDVLRPTVEAIAAHIGVAPSGIPRSSPSRKGQLTEDYFRRIEAIDFTIKQDDGAQPQNLNRADIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVNLPKALFEINQDKIFGLTINPVILQAIRKTRAKTLGFDGYTSNYAEMAHVRQELDHANQIFAQNPMWPVIGVTGKAIEETAAVVVRVYHDRKQKCSMPRISKRVAPVLVYDYRSGG >PAN14860 pep chromosome:PHallii_v3.1:2:54584558:54585427:1 gene:PAHAL_2G449600 transcript:PAN14860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNDADEHLSTAASVLKELSGTREEVERAREAAVQAWLASMPLAEELERLRAELAAAKSRLAATASEIPPLKSLIESTNGAVAERQAEAARKQAAAEELRRRVDSARAELRRLRAEIAATRDAKDALEQRVLIRRQAARAMQLAELAVTAETHALAWAAGAVAEQAARAPVGGGGEDAHHDVVALPARKLEELRRRVEAEERKAAERVEEAEAMRRAAKASRAAAVARLDAARAKRREAAAAAEVRRRDGDDIYGKRARSAPPPKSRSRRSCFSVKKLRSCLCARAKA >PVH65124 pep chromosome:PHallii_v3.1:2:53383423:53385370:1 gene:PAHAL_2G429200 transcript:PVH65124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGQQPPVGVPPQQGYPGKDGYPPAGYPPPGQGYPPQGYPQQGYPPPYAQPPPQQQQSSGPSFMEGCLAALCCCCLLDACF >PAN12515 pep chromosome:PHallii_v3.1:2:43335781:43337347:-1 gene:PAHAL_2G269900 transcript:PAN12515 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase [Source: Projected from Oryza sativa (Os09g0424300)] MPSADSWGSSPASPIGFEGYEKRLEITFSDAPVFEDPCGRGLRALSREQIDSFLDLARCTIVSQLSDKHFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLHVLSVKYSRGTFIFPGAQPAPHRSFSEEVSVLNGFFGGLKSGGNAYVIGDTFKPNKKWHVYYATEEPEQPMVTLEMCMTGLDVKKAAVFFKNSADGRCSSAKEMTKLSGISEIIPEMEICDFEFDPCGYSMNGVFGPAASTIHVTPEEGFSYASYEAMNFDPSSLVYSDVIKRVLAGFSPSDFSVAVTIFGGHGFAKSWAKGADVDSYMCDDLVEQELPGGGRLMYQSFTAIAPGAVSPRSTLEMDGWSSDGMETSVNSDDMCICWDAGKKVVKKDVDA >PAN13389 pep chromosome:PHallii_v3.1:2:47568485:47570295:1 gene:PAHAL_2G333300 transcript:PAN13389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSEQSVHVLLLPYPSQGHINPILQFGKRLAARRGVRCTLATTRFALSQSQPATGDAVRIAAISDGCDRSGFSEAGGVDAYLSRLESAGSETLDALLRSEAEQGRPVRVLVYDAVLPWAPRVARRRGAATAAFFTQPCAVDVAYAHAFAGRIRPPLADEETVADELHGLPAGLRPGDLPSFLAEPTRDHPAYLDLLVNQFDGLDTADHVLVNSFHELQPQESDYMASTWRAKTVGPTVPSAYLDNRLPDDTSYGFHLYTPLTATTKAWLDGRPPRSVVYTSFGSVSAPGALQMTEVAEGLYNCGKPFLWVVRASETAKIPESFAGRAKERGLIVTWSPQLEVLAHPAVGCFVTHCGWNSTTEALSAGVPMVAMPQWSDQPMNAKYIEDLWRVGVRVRPDEEGVVRKEEVERCVREVMDGEKSTEYRRNAAGWKEKAKRAVSEGGSSDNNIVEFLGKLGLEV >PAN10627 pep chromosome:PHallii_v3.1:2:8399514:8411623:1 gene:PAHAL_2G106500 transcript:PAN10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MAAPPKAWKAEYAKSGRASCKSCRSPIAKDQLRLGKMVQATQFDGVMPMWNHAKCIFSKKNQIKSVDDVEGIDALRWDDQEKIRNYVGSASATTSSTAAVPDKCTIDVAPSARTSCRRCSEKITKGSVRVSAKLEGQASKGIPWYHVNCFFEVSPSATVEKFSGWDTLSDEDKRTVLDLVKKDVGHNESTKGSKRKKGESDMQSCKVPKLDGSTSEGTVQNKGKLVDPRDSNASSADMQQKLKEQSDTLWKLKDELKKHVSTAELRDMLEANEQDTSGPERHLLDRCADGMLFGALGPCPVCSNGLEYYNGQYQCSGNVSEWSKCTYSTTKPVRIKKKWQIPDGTDNDYLMKWFKSQKVKKPERVLPPMSPEKSGSKATQGTRLLSPEGLDKLRFSIVGQSKEAVDESIQKLKHAGANFHARVAKDIDCLISCGELDNENAEVRKARRLKIPIVREEYIGECIRKNRKLPVDLYKVENTLESSKGGTVTVKVKGRSAVHESSGLQDTAHILEDGKSIYNTTLNMSDLARGVNSYYILQIIEEDNGSECYVFRKWGRVGNEQIGSQKLEEMSKADAIQEFQRLFLEKTGNPWEAWERKTNFQKQPGKFYPLDIDYGVKQAPKRKDISEMKSSLAPQLLQLMKMLFNVETYRAAMMEFEINMSEMPLGKLSKENIQKGFEALTEIQNLLKNTADQALAVRESLIVAASNRFFTLIPSIHPHIIRDEDELMIKAKMLEALQDIEIASKLVGFDSDSDESLDDKYMKLRCNITPLPHDSEDYKLVERYLLNTHAPTHKDWSLELEEVFSLDRDGELNKYSRYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRDNPVGLMLLSEVALGDMYELKKAMSMDKPPRGKHSTKGLGKTVPLESEFVNWRDDVVVPCGKPVPSSVRSSELLYNEYIVYNTSQVKMQFLLKVRFRHKR >PAN14785 pep chromosome:PHallii_v3.1:2:54292441:54295742:-1 gene:PAHAL_2G444300 transcript:PAN14785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLGIAPLLDAYFRRRFAAAGLVEASVPLDGGATTVHCWRFPPGADGGTNARPVLVLLHGFGPPATWQWRRQVGPLSRRFRLVVPDLLFFGGSSTSSAARVSEAHQAEAVAKLVAAVVPPGTARVSVAGTSYGGFVAYHAARLLGPGAVERVVIASSDLLKADADDRALLRRGGAERVEDVMLPRSPERMRRLLQLAYHRPRRFTPGFVLRDLVQYLYSDKVEEKKELIKGITLGNKDKFQLTPLAQEVLVLWGEHDQIFPIEKAFEVARKLGPNARLEVLKDTGHMPQEEDPKRFNEAILNFLLPAPKSL >PVH63688 pep chromosome:PHallii_v3.1:2:6276484:6278855:1 gene:PAHAL_2G086400 transcript:PVH63688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQESPAAVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHSAQLCVLAEDCDQPDYVKLVKALCGEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >PVH64794 pep chromosome:PHallii_v3.1:2:49070406:49072510:-1 gene:PAHAL_2G358500 transcript:PVH64794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRVRYRSRDGERLVSWRGPDDPSPGSFTYGMDPDTFLQAFIWNGTRQVARTAPWTGYLTISGQLRVNAGVVIYMAVVDTEEEMYITYRLSDGAAPTRFVLTYSGEYQLQSWEPSEWAIVGNWPADECDLYGHCGPYGYCDGTATVPTCKCLDGFEPAIPEDWSSGRFAHGCRRKEALRCGDDGFVALPGIKPPDKFVLVGNRTSEECAMECTKNCSCVAYAYANLSSSGSGTKDGTRCLVWAGELIDTEKVTDVAGTGSDTLYLRIAGLDAGTRPKTSSAVKIVLPAVLLSGILILAGASLAWFKFKGKKQKGENTIRYSPWKAEYAGALWRSKSYDTGGFEFPVASFQDIAAVTNNFHESFMIGQGDGREVAIKRLSRDSEQGIAEFRNEVILIAKLQHRNLVRFISCCIEGDEKLLIFEYMPNKSLDALLFSSTRKIELDWPTRFNIIKGVAKGLLYLHQDSRLKIIHGDLKASNVLLDEEMKPKIADFGIARMFSDNQQSGNTKRVAGTYGYMAPEYALRGIFF >PVH64795 pep chromosome:PHallii_v3.1:2:49069803:49073134:-1 gene:PAHAL_2G358500 transcript:PVH64795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRVRYRSRDGERLVSWRGPDDPSPGSFTYGMDPDTFLQAFIWNGTRQVARTAPWTGYLTISGQLRVNAGVVIYMAVVDTEEEMYITYRLSDGAAPTRFVLTYSGEYQLQSWEPSEWAIVGNWPADECDLYGHCGPYGYCDGTATVPTCKCLDGFEPAIPEDWSSGRFAHGCRRKEALRCGDDGFVALPGIKPPDKFVLVGNRTSEECAMECTKNCSCVAYAYANLSSSGSGTKDGTRCLVWAGELIDTEKVTDVAGTGSDTLYLRIAGLDAGTRPKTSSAVKIVLPAVLLSGILILAGASLAWFKFKGKKQKGENTIRYSPWKAEYAGALWRSKSYDTGGFEFPVASFQDIAAVTNNFHESFMIGQGDGREVAIKRLSRDSEQGIAEFRNEVILIAKLQHRNLVRFISCCIEGDEKLLIFEYMPNKSLDALLFSSTRKIELDWPTRFNIIKGVAKGLLYLHQDSRLKIIHGDLKASNVLLDEEMKPKIADFGIARMFSDNQQSGNTKRVAGTYFGVLTLEVVSGVKISSTDRIMEYENLIVYAWNLWKEGHAKDLVDSSIAESCIPDEALLCIHIGLQCVQDNPNDRPLMSSVVFILENGSTSLPAPNRPMYFAHTNSKVEQRRGNTQNSKNSVTFSSRGQIGPFFCFKM >PVH64956 pep chromosome:PHallii_v3.1:2:51178492:51182366:1 gene:PAHAL_2G392000 transcript:PVH64956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monomeric G-protein [Source:Projected from Arabidopsis thaliana (AT3G21700) UniProtKB/TrEMBL;Acc:Q9LSZ4] MTTTTASAAVNAAAVAQLCAGGRRRKAAQGPRVDLRWARLLRVAVVSRVLRVVRDQLLACSSCGGGGAAGGGGRGGRYRRLGPPAHAGSAALAPVDRDDGCVSGADDADDAAPCDAAADGENVVSLKVSLLGDCQIGKTSFMVKYVGDDGEEQNGLQMTGLNLMDKTMAVRGARIAYSIWDVAGDIQSIDHIPIACKDAVAILYMFDLTSRCTLNNILDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWAIVNQARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTIGEPIIDF >PAN14217 pep chromosome:PHallii_v3.1:2:51178563:51182366:1 gene:PAHAL_2G392000 transcript:PAN14217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monomeric G-protein [Source:Projected from Arabidopsis thaliana (AT3G21700) UniProtKB/TrEMBL;Acc:Q9LSZ4] MTTTTASAAVNAAAVAQLCAGGRRRKAAQGPRVDLRWARLLRVAVVSRVLRVVRDQLLACSSCGGGGAAGGGGRGGRYRRLGPPAHAGSAALAPVDRDDGCVSGADDADDAAPCDAAADGENVVSLKVSLLGDCQIGKTSFMVKYVGDDGEEQNGLQMTGLNLMDKTMAVRGARIAYSIWDVAGDIQSIDHIPIACKDAVAILYMFDLTSRCTLNNILDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWAIVNQARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTIGEPIIDF >PVH63677 pep chromosome:PHallii_v3.1:2:6086174:6086448:-1 gene:PAHAL_2G083400 transcript:PVH63677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDEPRTPRPLPPPNTGSGGVWCSSRLPLAQDHKCSSLPSPDRPRLSSVLLTYLKFPWDDLVHEIVV >PAN11508 pep chromosome:PHallii_v3.1:2:25494648:25497057:-1 gene:PAHAL_2G178400 transcript:PAN11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNHQNYNNGLMGPCFLQGPSVQISASAGGSQHVGKGQVDDRRQAKELFVNGIAKLGCRSSYIYMYIYIYVYMYICIYMYIYTYIYMCVCKHDYMMYNTDWNTSPRSPIRTTGPS >PAN12581 pep chromosome:PHallii_v3.1:2:43733103:43739823:1 gene:PAHAL_2G275300 transcript:PAN12581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAHLLCPAPAASPAKPRQQLPTRGRRTHGAKPSRCFSCRASLGPDGSLAMLGAPGPRPAPPTRRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFDHEAAAREVFDRFHACYDALLASGLPVAGLSAPDIAELPLYSVGHSNGALLQLLVGSYFAEKIPKANAIVSFNNRPASEAVPYFEQIGPLFSQLMPMMEASPVYSVARNASGDAWKALFDLAGVFIREYDQEAMVSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSVDAIDDTDIVEDVLRPRVDSIGGQIKKVILSGTHLTPCIQDVKWQVGSEYTPADAVAQGLKSLALNETRVLSRTIADWLRSL >PAN13473 pep chromosome:PHallii_v3.1:2:47960567:47961601:-1 gene:PAHAL_2G339800 transcript:PAN13473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASLSHLLLAPRRRTPPNPSHHPSRPITTALPRRSRPAPAISAAASDLLSPAPSLKSRLAAGDTLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGIPEALACLRALDAARTPAVLRLPEASAVWAKKALDLGPAGLMLPAVESPAAAAEAVSHCRYPPRGVRGAAHPIIRASAYGLDDSYLSRCEDDTLIICQVETAAGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRAALREAERKVLEARKKKVAAAAAGNAAYLGGFAMQNDPPEQLKLRGYHMVAGAVDIGMFRKAALDDVKRFREAVMEIGEEGDEEEEKEEKENDGYWSE >PAN09714 pep chromosome:PHallii_v3.1:2:3351320:3351955:1 gene:PAHAL_2G046600 transcript:PAN09714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKERLHSLMHLFIACLLKLSTAAPCLPDQASSLLQLKASFIGDNLPSWQAGTDCCHHWEGVTCGMALGRVISLDLGEFDLMSSRLDPALFNLTSLRNLSLAFNDFSGALLPASGFEQLTDIIHLNLSDTNFGFQIPIGIACLKKLVTLDLSGNYDFYFEWPSFKTFIANMSNPRELYTDQVVWVLYIRSYPPFILKASVTYDDQLGIQ >PAN09715 pep chromosome:PHallii_v3.1:2:3351304:3354166:1 gene:PAHAL_2G046600 transcript:PAN09715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKERLHSLMHLFIACLLKLSTAAPCLPDQASSLLQLKASFIGDNLPSWQAGTDCCHHWEGVTCGMALGRVISLDLGEFDLMSSRLDPALFNLTSLRNLSLAFNDFSGALLPASGFEQLTDIIHLNLSDTNFGFQIPIGIACLKKLVTLDLSDPIHPSFSRLRSLTTINLGYNKFEGHFPTKIFQLKSLRTLDLSDNHMLSVRLTHFPAENNLETLNLAMTTAVQNSTSGANSVSSTLRLNPIAC >PVH63603 pep chromosome:PHallii_v3.1:2:4911544:4918950:1 gene:PAHAL_2G066600 transcript:PVH63603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYASFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSGPEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVAADAKRKQTLTAAEVSALRRSVSDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHDQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVDVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSSVLLKQFKPSLEQALDANLRRIEESTAALAAADNWILTYPSTGIRPLARLSAANLALQPKLSNSAHRFNSMVQDFFEDVAPLLSLQLGGSTMDGITQIFNSYVNLLISALPGSMDDEANLDGLGNKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSINQSSTDDLRKRGTDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYINMDNTVEEPEWVPSPIFQELYAKLNRMASIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVAQEVVSMISGKGRAANGDREVNSPTASISAHSISSFKSHGSS >PAN11089 pep chromosome:PHallii_v3.1:2:13313471:13315367:1 gene:PAHAL_2G140900 transcript:PAN11089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILCCFQSHAGGGGGHDQAVPSSSAASPSSATSSSGNKDRPLSERNNSVDYSNLVALVNEIVGDSVSYRHKRVADEILKMGKAGKVTARAFTYAELSEATGGFRPESLLGEGGFGPVYRGRLPPKSTGPEVAVKQLDRNGMQGTREFLVEALMLSLLKHPHLVTLLGFCTDANHRMLVYEYMPLGSLEDHLLDLPPGRAPLEWATRMRVAQGAARGLEYLHDTAQPPVIYRDFKASNILLDTGFRARLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGRRAIDTTRPPDQHNLVLWAGPRFKNKRRFAEMADPMLQGDYPTKGLHQALAIAAMCLQEDATMRPGISDVVTALDYLTVAGGGTTDIDDDDQGPDPDEQQQTDDDAQA >PAN09242 pep chromosome:PHallii_v3.1:2:651164:655387:-1 gene:PAHAL_2G010300 transcript:PAN09242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MGPPAYTASLSPGSTLFSNSRMPPPRLLRPGLGVPGRADVGVSSAAGRYGGGRLTPPQEKRRPSPPQEKRRPSESSQLTERKRHWKAGEFPGAAGGRDGGPSIPPQEKRHWKAGEFPAGAAAGRDVGRAASPQEKRHWKAGEFPGTAAPPDSKASRTPLKNVKKRLDARADAKAWACTVTEALADSINSKNWREALQVFEMLKEQPFYHPKEGTYMKLIVLLGRSGQAAQAHQLFDEMLQQGCQPTPELYTALIGAYCRSGLLDESLQLLTNMKASPLCQPDVYTYSTIIKACVDATRFDLVEAMYKDMAERSISPNTVTQNIVLSGYGKAGRLDDMERVLSDMLDSTTCKPDVWTMNIILSLFGNRGQVEAMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATYNNVIEAFAEVGDAKNMEHTFNQMRSEGMKPDTKTFCCLINGFSKAGFFHKVVGMVKLAERLGVPTDTSFHNAILGACAKADDLMEMERVFMHMKHKQCDPDAMTYSILVEAYRKEGMTDKIYALHQENPTLVPTDLVMV >PAN12114 pep chromosome:PHallii_v3.1:2:40947602:40951437:-1 gene:PAHAL_2G243700 transcript:PAN12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAHYGMQRSAGLNGLIIVAAAPGGRDAEPFAYDGEHDVLLNDWWHNSTYEQATGLASVPIRWVGEPHSLLINGRGTFNCSAAVAGTCDATLSECAAPVFDVVPGKTYRFRIASLTSLSALNFEIEGHPMTVVEADGHYVKPFIVDSLSIYSGETYSVLIKADHQDPTRNYWLTSNVVSRQPGTPTGTAVLSYLGAPRGPPPTPPPAGRAWNDTMYRFQQSVATVAHPAHVEPPPPRADRTILLLNTQNKIDGRIKWALNNVSFTLPHTPYLVAMKNGLLGAFDQRPPPETYAHQTYDIYAVQKNPNTTTSNGLYRLRFGSVVDVVLQNANMLDANKSETHPWHLHGHDFWVLGYGIGRFDPAVHPATYNLRDPILKNTVAVHPYGWTALRFKADNPGVWAFHCHIEAHFFMGMGVVFEEGIERVAELPLEIMGCGKTKGGH >PAN12719 pep chromosome:PHallii_v3.1:2:44527628:44531393:1 gene:PAHAL_2G286200 transcript:PAN12719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os09g0452200)] MRPPPSSWLHHLLLLFLAAVPSALSKSTLESCASSTACPALLSYTLYADLKLAEVAALFAADPLAILAANAIDFAVPDPANRILPAGLPLRVPVPCACSDGIRKATSVRYVARAGDTLASVAGSVYGGLTTADWIRDSNGMPEGAALDAGTTLFVPLHCACFGGVDSGVPAVYLTYVVAEGDTVPAIARRFRTTGNDLMSVNDMAAADVAAGDIIVVPLPACASSFPAYTSDAGLSVANGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCSNSSMMLGNFTLQMTSAGCSVTSCSYGGYVNGTILTTLTTSLKPQCPGPHQFPPLMPPPTTSFFETYLGPSPTPMPSEGGIGPQMAGMAPTSSPPVSSRSPAPADRRAGDVLALFALSLAAANLLW >PVH64281 pep chromosome:PHallii_v3.1:2:38702727:38708892:-1 gene:PAHAL_2G228300 transcript:PVH64281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKEAGPSGCSGRAVLVTGGAGYIGSHAVLQLLTAGFRVVVVDSLANSSEIALRRVRALAGEHAKNLAFHKADIRDKDGLEKVFASARFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEIMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLIPHNPYGRTKLMAEEICRDIYHSDPEWSIILLRYFNPVGAHPSGYVGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFDSSSNIGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVMGQRRPGDAEVLFSSPAKAERELHWKAKYGITEMCRDLWNWASKHPYGYAASESPKLNGSSR >PAN12904 pep chromosome:PHallii_v3.1:2:45430475:45437675:1 gene:PAHAL_2G299500 transcript:PAN12904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAGEGGEGREGNPSWQPFATENPAFEEYYKEQQIIPEEEWDDFMSMLRKPLPATFRINASCQFFQDICSQLENDFRKSLETEVSDEHEQDAIRPLPWYPGNLAWHLNFSRMQLRRNQALESFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGLLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFCSETCMDEAKLQRVEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGMGLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSKELPELARRPGLSTWKVRDRGSWFGVHEDVPRYRKSVISPSMFPSGKGSKDVEVNTDVDADMKDSTDMGEGEQERNIAVADSNNGDSPKTEEKTEVDCESGEAPTRYKKLNSTSTRTEHSDYPLHRCMRIVPHDQNSGAFFIAVLHKHSSLNENQVVDGVKSEQNISKDNTGKLEKDLGSDKVSSEENIVPQHVVDDTNVLDVEQNGDMDSKSSKDKSSEDAKVIINEAEKGQAGTRDRRRQQNQGRWRGVDPVIFFKDDVTVKSIVSFYGIKDSFPLEGHLVTRNPDTSHVKRIYYVSKSVQDVLELNIKIGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTVKFPDFVDAKFGEEASALLPGCCVVVLREGHQDIGSIVTDPSAIAIVCWKGKTNLCVMVSPLDGKELLERISLRFGLKIPELVKEKPNQEVTGSDEQPDCATEADDQPESKASDMEIPDAEAE >PVH64731 pep chromosome:PHallii_v3.1:2:48288375:48289605:1 gene:PAHAL_2G345700 transcript:PVH64731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQGDFGGNLAHPSNPLGHFTASFPTPATIGAQAIQAPFQANGSEPFSDARFPWSGHPGANELVPPAVPQTFQPESHPHRRWTMPEAGVLNGSPFQHFGGAAVQPLPHGEELAARVAAININILASQPFQPNNYTHGWPPPPPQQAPPAPRFPALRPVGPGDGAVWGVPLPPPQDAHFHQWPTVDMAPNGSVQQPDGQTMSWAAPAQQQHGGEPSWAPQEQRPGVPEAPPASGSAQAHAPVTGKTFEELLMEAGIVDDMLVDDLIDDLLGPEAVRGGPVVSLGGGGGLPLPPPGAAGSSSGRSRDQVCPSHGARLGGGGGGAEAAAEARARRGRRMAKRKQAIARARPGN >PAN12108 pep chromosome:PHallii_v3.1:2:40880142:40881206:1 gene:PAHAL_2G242900 transcript:PAN12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSAASKPPPQPLLRLHVDTTAATTTNGSAASPGSASSSHSSRSPRPSAAGPGGGQNQNQACAACKYQRRKCNPDCPLAPYFPADQQRRFLNAHRLFGVKKIQKTLRWIDPERGPDAMRALIFQSEARAADPVGGCVTIIEQLQRQIERTELELAYVKQQIAIYRQAAAVDPLGDPAMILPAAAAVAGQDHQDNAAGAVGALYAGQEPIPPGAGIVFHDQQGYHVVKVDDQQNHRPPPQQLYNYFCHDGTADDTSSSQDGSVQQYGGFADTGGLKIGSPVALGEQLEQQCRLEAAPPFVDAFDVKPQGLRATTERRGPADLVYPEDQHMEEAATAAAAPCHLELGFSSF >PVH64579 pep chromosome:PHallii_v3.1:2:45869547:45869986:-1 gene:PAHAL_2G305900 transcript:PVH64579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQLIKLHIRAINEAELLLLGDLTMKNPLEKLELVGRLSEGTLESPLFSTHGNQLQQIELSWCQLIESPAAELSGLSNLTELSDTEGSPS >PAN14014 pep chromosome:PHallii_v3.1:2:50276824:50278463:-1 gene:PAHAL_2G378300 transcript:PAN14014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 7 [Source:Projected from Arabidopsis thaliana (AT1G30870) UniProtKB/Swiss-Prot;Acc:Q9SY33] MRTPAAAVSPFLALSALLLLVVTSVAEKGYGGGDAPAGANGYGGRDDASPGPKGDGGYQVPAYQKAVDGLDAGYYRKSCPDMEGIVQKAVRKALRDDYTLAASLIRLFFHDFAVRGTDASVLIDVPHQSERYAYASRTLRGFDLIEEIKKELEAKCHATVSCADILTAAARDAATAVGVPYWSLKYGRKDGKDSIADEADRLVPMGGQSVTDLIRFFESNGLTIKDLVVLSGAHTIGRATCGAVRPGLCKRAGTLERQYGDFLRRKCGAGGDGEYMELDGETPTKFDNQYYKNLMRRRAVLDTDQKMLPDSRTGGYVRAFASQPSQMFVHEFAQSMRRLGEAQVLTGNEGEVRRKCSAVNY >PAN14140 pep chromosome:PHallii_v3.1:2:50852991:50853434:-1 gene:PAHAL_2G386800 transcript:PAN14140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREEEFDEGDVWDVLQGDHHQVGSTEAAAAAAFVVTSPRNRRARSGKDKKPAVAKSDGMVMSRPRPSAPVAIPAGSGSSRLGGGGEEDEEDGGEMLPPHEWLARKMGRMGVASPPDQACRGRSKSRELTKIRDALLPKTAFSEQ >PAN14448 pep chromosome:PHallii_v3.1:2:52283721:52288148:1 gene:PAHAL_2G410500 transcript:PAN14448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKPQAEALAGPARINGAASEATLSGGELAWRPAGGGEGQERRLELESEVLGCRVEGRKLRVATFSASGGGDGERPSALACGGGGKEGGGDGSRRRGEVVMEMESDDAAERWGDAIRDRLASLGRPKRLFIIVNPYGGKRSGRSIFQNNVLPLIEAAGILYTMQETKHRLHAQEIAHSLDLRKYDGIVCVSGDGVLVEVVNGFLQREDWETAIKVPLGIIPAGTGNGMAQSLLHAAGEPFSISNAVFAIIRGHKRALDVTSVVQGKTRFFSVLMLTWGLVADVDIESEKYRWMGSARLEFYFLLRVMNLRRYNGRVLFVPAPGYEEVGEPVEQTTSCKQNGVTTGSQEDKANDRNGETIGYPGPSIEEADLEWRSLSGPFVSVWLGNVPFASEDAMAAPKAEFSDGYLDAAIIRDCPRWDVLGLMFQMKDGAYVNSPYVEYFKVKAIRIEPGLRVGGSGKGGIIDSDGEVIARGDGARAGEAEHLMAYGPPIQLTVDQGLATIFSPRSR >PVH64012 pep chromosome:PHallii_v3.1:2:20296518:20297930:1 gene:PAHAL_2G162900 transcript:PVH64012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSQPLSRPLLPPCHLYCKPRMHHCLASLPSRVPESPYPSHQGSPALSLDPSPLTTSGHTDATIRTTARGGYSTTLLSHFSCTFLCAPASPSPTAASPRCRPPPTPPRAP >PAN15151 pep chromosome:PHallii_v3.1:2:55599940:55601959:-1 gene:PAHAL_2G468600 transcript:PAN15151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRISLLCFLFLAASLLHCARSDSNDAQLLKGINSYRSSLKVPALTENKNAACLAEQLAKQFKGQQCTNTTGANTVIGTEQQFPDYPKYLDHCHLNASVTEDGQVMPACVPGLVPAVVLTNYTKSQYNRFLNDSQFSGVGIANEGDWVVVVLSTSTGSGDYSPAPPGSNWAAPVQPFSHMILLLVGCVILLMK >PAN11160 pep chromosome:PHallii_v3.1:2:33619383:33623904:1 gene:PAHAL_2G203700 transcript:PAN11160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSHGELGQRIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLNIDKRTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLDELRGHADNNIVIMLIGNKSDLGTLRAVPTEDAKEFAEREKLFFMETSALEAVNVESAFTTILTEIYRIVSKKNLVANEESDSSGSSSLLKGTKIIVPGQEPPPASKATCCMSS >PAN09364 pep chromosome:PHallii_v3.1:2:1190688:1191557:-1 gene:PAHAL_2G017200 transcript:PAN09364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTKTGVAVLLALLALSAPLASWAAGQGRPNPGGGPWFGGGGGGIPWFGGGPGPGAAFFGGWGEGGLGYRRGAVVPPSMVCAEQGPCHGKRLTCPARCFRSFSYKGKNGGGGGGGGGCSFDCTSRCVATC >PVH65077 pep chromosome:PHallii_v3.1:2:52667526:52668080:-1 gene:PAHAL_2G417400 transcript:PVH65077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQPCLWLRPPLDSAWRKTLMILCS >PAN11088 pep chromosome:PHallii_v3.1:2:13311460:13313148:1 gene:PAHAL_2G140800 transcript:PAN11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAAAARKLAGISQLRAPPASTGGLLYRSYGGGSSGSRKGSLPRRVLSIGAISLAGGLVLSAVNDLAIFHGCTTKAIEKAADNQKVIEAIGVPLVRGPWYEASLEVGHRRRSVSCAFPVSGPHGSGFFQIEATRNGEDGLLSFLRHHDWEIITLEAHLHVPSDDEQQKTIVKLNLESNGGGQCGEPERES >PAN11130 pep chromosome:PHallii_v3.1:2:34110041:34116131:1 gene:PAHAL_2G205600 transcript:PAN11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKKFCVFDAAPHESRGVPSKRPAPDYFL >PAN15225 pep chromosome:PHallii_v3.1:2:55974163:55977004:1 gene:PAHAL_2G473000 transcript:PAN15225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADSDPAITSSTCAHCQREIPSSNIALHSVHCARNLQKCEHCGDMVPRKLMDEHYDENHAPMNCSLCKCAIERELWDLHTSIQCPQRMLACQYCEFELPAVDLLEHQDVCGNRTEYCQSCRKYIRLREWIGHELQFHTSSNTATELSSDGAPAAAEQPVPNPTRPAAHGSQRKHLLLTIAIAGFAVLIGSMLYQRKGQ >PAN14793 pep chromosome:PHallii_v3.1:2:54323215:54324387:1 gene:PAHAL_2G444900 transcript:PAN14793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDGSCSRGGTEMALQQLQLLPWLDQGLKAAAADGGSATAKTKTAKKRRSAAQAGVARGMKALLSGVVEMVGKRFECSVPAAKFGHVAYIR >PAN11905 pep chromosome:PHallii_v3.1:2:38488529:38490948:-1 gene:PAHAL_2G226700 transcript:PAN11905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIQKLYEVCKGSLSEKGPISSEAIDKVRVVLDKITPCDVGLECEAQAARVWRSTQTLSRRRVFPSSPAIRYRHIYECKSFSIGIFCIPASSNIPLHNHPGMTVLSKVLYGTVHVKAYDWIDKAEPLSLLKVRHAKVVRDGEMSAPCGAMILHPEEGGNIHAFKAITPCAILDILTPPYSSEGGRHCSYFRSCPKSDTSGVLLNHTKGSEFVWLEEYQPRDSFVIRRDLYTGPALKL >PAN14809 pep chromosome:PHallii_v3.1:2:54397509:54399475:-1 gene:PAHAL_2G446000 transcript:PAN14809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKQISLDRKKQKRPVIKGASMRECARWCVHVCVILVIEKTHTGVQSRAPLSVPPALYKPPPSQQSPTHQLAPRSRARGEQDNYWSNEAATMLGSRVSTIRDLLALAEPGWSPPSRPGGARVPERSLFAAGCAPRRRPRRPVLQKRKRTGAPSSTTGVPSCNPETRVGLMAAVAEPASARLLRVAEPARAGASGIVMSAVTGMSDNDTASYGGGRRVNGSLLACELCRRRLDDRTIHIYMDKGFCNPECRYEYFLEELYEQRRKLAAVARASKRAWRTHHDGKADLHAEAADRSSRRIFLAPDDERGDDDDSGSFP >PAN12557 pep chromosome:PHallii_v3.1:2:43593185:43593715:-1 gene:PAHAL_2G273000 transcript:PAN12557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHNSTLIIPPSRSETTAPPILSPPWGRLQLCPAVLSLHAMDPNGEGGGGGGEGGDLDLNLSLQPSLAPEPEPPGYFSCTYCDKKFYSSQALGGHQNAHKFERSVAKRARELAAARRPAGHGRERGGAADEEVGRGKELGIAAQGSAASSSTQQIGAAPEARRDLTDEIDLSLKL >PVH64480 pep chromosome:PHallii_v3.1:2:44093613:44095560:1 gene:PAHAL_2G280100 transcript:PVH64480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPWWASVFAVVPATVVFLRAVFGRRRRPCRTLPGPKPWPIIGNFNLLGALPHRSLDALSKRYGPLMRVQFGSFPVVVASSVDMAKFFLKTHDSVFIDRPKMAAGSPYAAYWRQARKICADELFSARRLESSECVRREEAHALLRDRRGAAGQVVPLKERLSTMSLNMIARMVLGRKAVDKEVIASGGGSVTTWREFRWMLDELFLLNGVLNIGDWIPWLSWLDLQRYVRRMKRVGKMFNQFMENVVEEHNERRRREGDAFVAKDMVDRLLQLADDPNLEVKLTRDSVKAFTQDLVAGGTESAAVIVEWAISELLKNPEVFTKATEELDGVIGRGRWVTEKDITHLPYIDAIVKETMRLHMVVPLLSPRLSREDTSVGGYDIPAGTRVLVNAWTISRDPSLWDSPEEFRPERFVGSKIDVKGQDFELLPLGPAGGCAPATASG >PAN15013 pep chromosome:PHallii_v3.1:2:55053656:55055790:1 gene:PAHAL_2G458100 transcript:PAN15013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALFSTSISPAFLALSSPKPAATAASAFLPFRLPLRSVSAPGRRVFEPVAVAVSSEYETEGAEQEEEGAEEFSEDLKLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDSAPRALRGGGGGGSFADSANKVYVGNLAWGVDNSTLENLFSEQGQVLDAKVIYDRESGRSRGFGFVTYGSSEEVNNAISNLDGIDLDGRQIRVTVAESKPRRQF >PAN14488 pep chromosome:PHallii_v3.1:2:52428949:52433418:-1 gene:PAHAL_2G413200 transcript:PAN14488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVASGGTTTVTAAGAGGEDGRRRGRRWKAPREEQLGSVPGRIFSNDGRSRTAAVFTQQGRKGINQDAMLVWDGFGGEEDIVLCGVFDGHGPHGHLVARRVRDALPLRLMSAVRASKAGLDMPTAAWRKAFARAYKAMDKDLRSHATLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSRDGAAGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQQAVDIVSSSPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASMDNISVDEGSVADLNEAPEQEPALTRNFTVRTVAGSAHEKVLAGATDAVVAGAAHDQNWSGLDGVTRVNSLVQLPRFSEEKAIG >PAN13105 pep chromosome:PHallii_v3.1:2:46368363:46372303:-1 gene:PAHAL_2G314500 transcript:PAN13105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MMEVRPSSEQGVMPGREPFGLPKSPPTPPSSGGPQSLRMAFTTDGTPVFAPVSSAPPATATYQPLGGAAAPSLAAAGGNGGAPVHPAPAGAGEPVAKKKRGRPRKYGPDGSMSLALVPASMAAAPGSTAPGAPGPFSPEGARTPNTAPSASPDGAKKRGRPKGSTNKKHVPALGSAGAGFTPHVIFVKTGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGSVAGLLTAASPVQIVAGSFNADGKKGPPKQQQQQQQQLAPSPSDASPAPLKVAPAAPSSPPSRGTTSLSESSGGPPSPPHAGGSTGGIHGQQQSGGFSGVSWK >PAN13106 pep chromosome:PHallii_v3.1:2:46368796:46371454:-1 gene:PAHAL_2G314500 transcript:PAN13106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MMEVRPSSEQGVMPGREPFGLPKSPPTPPSSGGPQSLRMAFTTDGTPVFAPVSSAPPATATYQPLGGAAAPSLAAAGGNGGAPVHPAPAGAGEPVAKKKRGRPRKYGPDGSMSLALVPASMAAAPGSTAPGAPGPFSPEGARTPNTAPSASPDGAKKRGRPKGSTNKKHVPALGNIGSAGAGFTPHVIFVKTGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGSVAGLLTAASPVQIVAGSFNADGKKGPPKQQQQQQQQLAPSPSDASPAPLKVAPAAPSSPPSRGTTSLSESSGGPPSPPHAGGSTGGIHGQQQSGGFSGVSWK >PAN14632 pep chromosome:PHallii_v3.1:2:53124819:53127299:1 gene:PAHAL_2G425200 transcript:PAN14632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITTKLLHHLALFLFLVQLTGPVLASKIKSHAALKPQPPSTYIVHANHLAKPPHFATLEDWYHSIVAAHSPRPTNTSRILYTYDTVMQGFAVQLTGDEARRMSGTPGVAAVYEDEMFYLQTTRSPGFVGLDPKNGAWNETNFGDGVIIGFIDTGIWPESSSFSDNGLGPVRASWKGKCVDADDFNASLCNNKLVGAKAFRAGRAGSKICGGVPSPRDKDGHGTHVSSTAAGAEVPDAGLHMFSRGTAWGLAPKARIAMYKACDIDGCSGADIIAAVDAAVKDGVDIISMSLGGQPRSFHSDAIAIATFGAERNGVFVVLAGGNAGPGASTVINTAPWMATVGAATVDRLFPSNLTLGNGAVLAGQSLYAMQAKGTAMMPLVFSGSPGDWTPDTVMGKIVVCMDGATDAHGILLQNAGGAGIVGVDPREWSRDGTTAYAFTLPGLALSYTAGEKLRAYMASEPNPVGSFSFGCETVISKNRAPVVAGFSSRGPNQIVPELLKPDVVAPGVSILAAWSGDASVSGDFVDGRRTAYNIISGTSMACPHVAGIAALIKKKYPSWTPAMLRSALMTTAWTIDNRGRRIRDNGATADLNDGVRVATPLVAGAGYVHPDLALDPGLVYDAGKRDYVDFLCALNYTAEQLRLFVPDFVRCTRTLAGGPAGLNYPSFSVVFDNGTAIRTLTRTLTKVSEEAETYNVIVKTPKHVKVTVTPTTLVFNEPNERKSYSVEFRNEARGNRKTEWGFGHISWENENHRVRSPVACHWQN >PAN10027 pep chromosome:PHallii_v3.1:2:4890239:4891168:1 gene:PAHAL_2G066200 transcript:PAN10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRSGAEVVTGDAICKKKSIELLEELGLPKGLLPMEDVQEFGYNRATGFMWLVQRKKKVEHTFKKIKQTVSYATEVTAFAEKGKLRKITGVKTKELMLWLSVVDVYVPEASPEKVTFKTGTGLSDSFDATAFALGE >PAN12023 pep chromosome:PHallii_v3.1:2:40078886:40085262:1 gene:PAHAL_2G236400 transcript:PAN12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRNRVKECPESTYAFYFIKIRPFEDPELREKLVLADHEFQKKVQARNKIIEAAKAKKEERSIIISELKTLTAENKEYNVVVETLQNYLGMFRDGNNTMQAQSTVLRSVVEELNPWSTHLSLLYYKWVFSPLLFNRSKC >PVH65224 pep chromosome:PHallii_v3.1:2:54118939:54122405:-1 gene:PAHAL_2G440800 transcript:PVH65224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDVGGAFGMGAVGGSVFHFLKGTYNSPNGMRLSGGAQAVRMNAPRVGGSFAVWGGLFSTFDCAMVYARQKEDPWNSIVAGAATGGFLSMRQGAGAAGRSALMGGILLALIEGAGLMLNRVLVNPPLPAEDPNLTAAMGPGGFPGLPQAPPVVAPPEAASSSGGGGWFGGLFGKKEEEKKASSGGGKSEILESFDTPSTPIPSFEYK >PVH65225 pep chromosome:PHallii_v3.1:2:54119777:54122396:-1 gene:PAHAL_2G440800 transcript:PVH65225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDVGGAFGMGAVGGSVFHFLKGTYNSPNGMRLSGGAQAVRMNAPRVGGSFAVWGGLFSTFDCAMVYARQKEDPWNSIVAGAATGGFLSMRQGAGAAGRSALMGGILLALIEGAGLMLNRVLVNPPLPAEDPNLTAAMGPGGFPGLPQAPPVVAPPEAASSSGGGGWFGGLFGKKEEEKKASSGGGKSEILESFDTPSTPIPSFEYK >PAN09647 pep chromosome:PHallii_v3.1:2:2794992:2797033:1 gene:PAHAL_2G039700 transcript:PAN09647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSYCESNCAPPLCYLPCLPKSKDDAGGDLESESPSPAAVAEDKPPVVQKIEEVASATGGDDDDDEKGCKEVAVASKSCLKRADCVYSSKNVVKGNVKWKDLLGKDLTQVKEFEPSESGDSDDDDDAGACTCVIQ >PAN14470 pep chromosome:PHallii_v3.1:2:52375179:52379673:-1 gene:PAHAL_2G412100 transcript:PAN14470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAVGGGGGGGGGWGTWEELVLGGAVLRHGGAAWAAVADELRTRSPCFFSPEECEAKFAEIQLRYSACNAWFEELRKQRVAELKRELEKSENSIGSLQSVIQSLSNSKHGDGSSECRTSHTESCSHSENTADTSSGKEASRDRSSAASFTEEASNSQKTQQVQQCDTDSVQANNPSPDESYPQDQVEKVCPKDSLLWGSRKQRGRRVRRTPIKGDDSSRDGEPTSTACIEREGSSEGFLKDSKTPKAESVVMQKGLKTPKAESGVPNKDFKSPNAGFGLMKKGLKTTNGESDAMKKGLKNPKAESDILKVLKTPKAESDVMKKGLKTPKVEPDIVKKGLKAPKAECGQLVSERVKQKLAEILKTISTQGDCLMLQRQLDTQRKRVRYKKMIRRHMDFRTLHSKIKNGAISSTKELLRDILIFVNNVIAFYPKATLEHMAAVELRDLSCKIVKQGASLLLKIRGETGTAGASAVKKNARAQQLGRPGPGDARGGKVSSREATAKEGEGKSSRNDAALAANQKTTQRNELAKKRGVGRPPKSGQRTAGAQEDNPSKGRKRGAGAQVDSPSKGRKRNAAAQEDSLSKGGKKTRR >PAN12662 pep chromosome:PHallii_v3.1:2:44222023:44228399:1 gene:PAHAL_2G281800 transcript:PAN12662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQPMHGGAAGAGTSGGGGGSGGGGGADHLHSHHHHHQRLHSPRVAGGGSSMTRRANSFKRAGAGEIELQIGSPRSPRCDGLGSPPGDAAEPSAAGLHHHQSNNLRFRLFKRPGSGAGAADAGLGLGLGIRERRKLGNMLFLAFCGVCLLLGVGKIWAGGWFALPADDKHADLQDLSVSFSSDKGHQVDHHSGYMGAKESDRTLMTVESNIGGREDSMAEAFDVWSQPSSTNFRQCIVSNSHKKQDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRYFIESLKEDIDIVETLPPEYSNIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYISLKYSHTIEDLGTTLVSRMRQDGSPYLALHLRYEKDMLAFTGCSHSLTPLEDEELRKMRYEVSHWKEKEINATERRSLGGCPLTPRETSLLLKGLGFSQSTRIYLVAGEAFGNGSMKALVDDFPNIYSHSTLATEKELAPFKNHQNMLAGLDYIVALKSDAFIYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLVDEFDEGRVPWDIFSSEVKRLHKDRIGAPYFREPGESPKLEESFFANPLPGCICEKHSEE >PVH64871 pep chromosome:PHallii_v3.1:2:50043109:50046301:1 gene:PAHAL_2G374300 transcript:PVH64871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYANGSTCANEDPPSAEEMKEPAFPPKPMPLHANGWLNDMKISSPTAVRVNIGNPGAFDPIYRAWTKKYPSAMNAFEKIVAYGKGKKTVLFLDYDGTLSPIVDEPDNAIMSDQMREVVRNAALHLPTAIISGRSCDKVFDFVKLTELYYAGSHGMDIIGPMGKTGSVTDNRSCTNSGAKQDKEVKIFQAASEFKPMIDEVFGLLIEKIRGIDGAKVENNKFCVSVHYRNVNEKDWPIVAQCTDDVLKAYPRLRLSHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADSDNVLPIYIGDDRTDEDAFKVLREDKQGFGILVSSVPKESHAVYSLVDPSEVMDFLKRLVKWKEEEALK >PVH64870 pep chromosome:PHallii_v3.1:2:50043112:50046301:1 gene:PAHAL_2G374300 transcript:PVH64870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYANGSTCANEDPPSAEEMKEPAFPPKPMPLHANGWLNDMKISSPTAVRVNIGNPGAFDPIYRAWTKKYPSAMNAFEKIVAYGKGKKTVLFLDYDGTLSPIVDEPDNAIMSDQMREVVRNAALHLPTAIISGRSCDKVFDFVKLTELYYAGSHGMDIIGPMGKTGSVTDNRSCTNSGAKQDKEVKIFQAASEFKPMIDEVFGLLIEKIRGIDGAKVENNKFCVSVHYRNVNEKDWPIVAQCTDDVLKAYPRLRLSHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADSDNVLPIYIGDDRTDEDAFKVLREDKQGFGILVSSVPKESHAVYSLVDPSEVMDFLKRLVKWKEEEALK >PAN15047 pep chromosome:PHallii_v3.1:2:55142958:55145425:-1 gene:PAHAL_2G459800 transcript:PAN15047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYADGAKFDCLLFDMDDTLYPLSLGINLACRKNIQDYMLHKLQIEESQVPKMCLDLYKEYGTTMAGLKLLGYDFDYDDFHACVHGTLPYEKLKPDPVLRQLLLSLPQRKIIFTNSDKAHAARVLEKLGLEDCFEGIICFETLNPPTEQDANEQKNADEADTDAASEAGSPDPPRRGTILCKPSLESMEAVIEIAKLDAKRTVFFDDSARNIAAGKAAGFHTVIVGTSALVAGADVALESIHNIKEALPELWDAAGEHVQVVLRAAAVETTVLA >PVH63857 pep chromosome:PHallii_v3.1:2:10521591:10521884:-1 gene:PAHAL_2G122600 transcript:PVH63857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN12878 pep chromosome:PHallii_v3.1:2:45291572:45292242:1 gene:PAHAL_2G297400 transcript:PAN12878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSTHCSSPPAHGRHGRGRPPLPSVLYTSSPYSSASRRSKGDSTRLRCARAGARGKTAQIGRGDGGRRWQPAAPLPCHDGSQSGVKVRGGKLCGRRSQALRASAGAAGPQPSELGLGHDASVLL >PVH64117 pep chromosome:PHallii_v3.1:2:30615554:30617558:-1 gene:PAHAL_2G193300 transcript:PVH64117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRTLLTYFSSSSSSTPLENARQPKTQRVEFCASDIISDPGTRKPIDEYPFEIRDQVKRAYALRGPTQQSVGFTFPRTWQSGEWRSFQRHWFDTYDWLEYSESKDVAFCFYCYLFFESGKSDKWGSNVFAKVGIGKWKKALEKFDKHGSSHSHCNTRLKCEDFMNQRTSVSQKFVKHSKEEEIRYKIRLSSSLDVARFLIMQGL >PAN09699 pep chromosome:PHallii_v3.1:2:3102088:3104146:1 gene:PAHAL_2G043900 transcript:PAN09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLLEGFLLLANALAILNEDRFLAPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLVSG >PAN09231 pep chromosome:PHallii_v3.1:2:754894:757044:1 gene:PAHAL_2G011300 transcript:PAN09231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGDGESAAKRAKLSSGDDGEDRLSALPDDVLVLILLRLDTTAATRTSVLSRRCRRVWALLPELCIPVAPEPHRFRDALDAHEMPLRDLLVVAGGAESLAVWLPAAARREEEEAAQGGAFEFPCFEKATSISLILGFHGLAMPPTGVFARLTGIYLSRVRFHGPCALGDAVSSPRCPCLQKLTVSDSRGLGDLTINSDSLLRMDTEIHRLPIITVEIFSLVLLCNIAEHTQSSLFRYILVIQEEIENYEYLMEDMTVLPNTTFLCLVVIANGHAFGASSFHVLRLCTGIRRLTLHFAAPTGFEAQTVCPSGCICDQPGEWETEELSLSHLEEFEIRDLRGSAHEVAFVKRFFSWATVLKQMKVTFYYKITEIKAKELYQMLWSFSSPGIRMTFYIYRKFRKVVYVPED >PVH64591 pep chromosome:PHallii_v3.1:2:45992510:45993299:-1 gene:PAHAL_2G308900 transcript:PVH64591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGQNLMLPPLVMSGKPLKMSEEPTPRLGMASTSPPLRRRTLEPQQHRKMRPRRSVGTVKPNPNLPNPRLVE >PAN15404 pep chromosome:PHallii_v3.1:2:56761351:56765993:1 gene:PAHAL_2G485500 transcript:PAN15404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLPCSGSSGKDAKSLEALSPSPRPAAKSAPVRSNSRASGSRKEDSVPVRRGGNTSHGPAQIFTFRELAIATKNFRKDCLLGEGGFGRVYKGRMENGQVIAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMALGSLENHLYDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQPSGEQNLVAWARPLFRDRRKFCQLADPLLQGRYPKRGLYQALAVAAMCLQEQAASRPLIGDVVTALSYLASHPYDPNAPSTKDSRTCPSTPRAKTHRRTTSVPDAQHAAESLMLNFPDLRKDTIRGGEFEQDRTEGSGSSSSSGRNDGLDVPQLLAAVKVDAREK >PVH64463 pep chromosome:PHallii_v3.1:2:43700961:43703631:-1 gene:PAHAL_2G274900 transcript:PVH64463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEKPNPLVDHKKQPEEAVVHHAVVMEIEEANMQDAHNHTQELVAIPNLKEEVTIRDAIAIVDIVETSTLDATMEEEEEDIGEKPLLLPMNALVQRLRPLHEDLPRNTDITTTAPHVAEVEQGVNRFDGFFNDEDEDYVDYIAIMVQAERNARTVPLERRTQAPAMARIFLFASADSQAIYPSMKERDNNGSYDFILYDTIKIEHMVDPGIDGIPPPLELSRSEETKEELGDHHPSGEEDNAELSLQYIKKIWGHHPSELNPDEPGPSIRRQLKVAPLTDDEVAKFDCGICLETLLIFDIFRGMPCPHKFCVRCLGTYIEGRIHAGEVLIPCPDPTCNKEGNGILHPEDCKKSIDFAVFCNWSDKLTENAIPPNKRVYCPNPECRIMLESTCTNTTPSKASCPMCNCQMCTTCGIDWSTDGSGQHDCAEGPEAMLMKKLATERRWKQCPQCRILVERNGGCNVMTCRCLAVFCYTCGRLKRPVLEEGVEMCRCRNRY >PAN13190 pep chromosome:PHallii_v3.1:2:46687226:46688735:-1 gene:PAHAL_2G319700 transcript:PAN13190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVAEVRPAYGFPGSGKRSAGEQAAVLAAGKRTSDGFFIEDDEAEEVLTDTSSIGAPSPSGSSIGENSSSEAGGEDGEEEEVESKLKEGDALGCLDALEDSLPIKKGLSSFYSGKSKSFTSLAEATSTVAAAKELAKPENPFNKRRRILANWSRRASCSSLATATYLPPLLAPDHAVAEGDEGEEDDSDDDEEECDQLPHRGKNVRDAPALPLPPPRLLGVGMQRRNGLGSFRSPRSFSLSDLQNSRTDGSD >PVH64636 pep chromosome:PHallii_v3.1:2:46697253:46698070:1 gene:PAHAL_2G319800 transcript:PVH64636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPADEALPALPPIKTAPLPPPPCTSASASPSAPAPAPAGDGAKAAAEEEEEEDREPSTPTSEESRLRPAAVCPPAPRKPPAPRMPVKRKPPLPSPARVFVAVPRDLSTVFRSLPPKKRIRVS >PAN12034 pep chromosome:PHallii_v3.1:2:40211869:40216391:-1 gene:PAHAL_2G237400 transcript:PAN12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSSVRFTPSEIARMEKLAAERKEQVLDNKFCQKLAEEFNCSAGRAGSKVLQATQVQGWFLNKFPVSATKPTCVPTFSQEKASTAEVNVSVSEKKSAASEEKLFPLDTSISNNEDEVSPVFPLETRDKIPDLEELEFEAKSSKDSAWYDIALFLAHRRNRAGEIEVRVRFEGFGADEDEWVNVEKCIRQRSIPLESSQCRSIVEGDLVLCFREGNEEALHFDAHVLEVQRKQHDIRGCRCIFLVEYDHDRSQERVSLRRLSRRPKYS >PVH64322 pep chromosome:PHallii_v3.1:2:40212626:40216226:-1 gene:PAHAL_2G237400 transcript:PVH64322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSSVRFTPSEIARMEKLAAERKEQVLDNKFCQKLAEEFNCSAGRAGSKVLQATQVQGWFLNKFPVSATKPTCVPTFSQEKASTAEVNVSVSEKKSAASEEKLFPLDTSISNNEDEVSPVFPLETRDKIPDLEELEFEAKSSKDSAWYDIALFLAHRRNRAGEIEVRVRFEGFGADEDEWVNVEKCIRQRSIPLESSQCRSIVEGDLVLCFREGNEEALHFDAHVLEVQRKQHDIRGCRCIFLVEYDHDRSQVFSTNQSRWSQ >PAN14628 pep chromosome:PHallii_v3.1:2:53106372:53108690:-1 gene:PAHAL_2G424900 transcript:PAN14628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFITTRLLHHLALFLFLTQLTHSALVPKTNNQPALKPQASNTYIVHANHLAKPPHFASLEHWYHSMVAAHSPRPVNTGRILYTYDTVMHGFAVQLTDDEARGMSSAPGVTGLYKDRLINLHTTRSPGFIGLDPGNAAWNETNYGDGVIIGFIDTGIWPESVSFDDSGLGPVRPSWRGECVDAHDFNSSLCNNKLVGAKAFDAAAKAMAGSTSGGGVPSPRDRIGHGTHVSSTAAGAQVPDASLYMFSRGTAWGMAPKARIAMYKACGPGGCSNADMVAAVDAAVKDGVDIISMSIGGPPQPFHDDVIAIATFGAERKGIFVALSAGNSGPMASTVSNSAPWMTTVGAATVDRLFPSNLTLGNGVVLAGKSLYTMQAKGTSMVELVSTDCSVPENFTPDKIMGKIVVCTTGAGIPHGIKAQNAGGSGLVDVDTTSWLRDGIMATAFPLPALTLSYTGGEKLRAYMASEPKPVASFSFGCETVTGKNRAPVVVSFSSRGPNFGAPELLKPDVIAPGVNILAAWSGAASLLGDSSHFDDGRRSDYNIISGTSMACPHVAGIAALIKKEYPSWTPAMIRSALMTTAGTLDNRGRDIRDNGVTVGSNNDTAATPLVAGAGHIRPRLALDPGLVYDAGERDYVDFLCAMNYSAGQIRSFVPDFITCTRTLAGGPAGLNYPSFAVVFDNRTATRTLTRTLTKVSEEAETYTVIVKAPEHVKVIVTPPTLEFKEPKEMKSYTVEFRNEAPGNRKTEWAFGHIIWQNENHHVRSPVACHW >PAN13636 pep chromosome:PHallii_v3.1:2:48757446:48763536:-1 gene:PAHAL_2G352900 transcript:PAN13636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQFAWDARPASDTKSDTSTRRLLPPSPPPSTNWCLGLCPFRYPFHVTSVPHGSHSASLLRFLQVAAAPAPPATAESDEAQRTTSAADMATSSKPAAAVDPEVALAHRFPEVSFDYDEREVALYALGIGACGDDAVDGKELHFVYHRDGQPHIKVLPTFVSLFPNKNSNGLGFVDVPGLNFDASLLLHGQQYIEIYRPIPSSASVVNKVKVAGLHDKGKATILELETTTSLRESGEILCMNRSTIYLRGAGGFSDSSQPYTYATYPANQVHRISIPNSAPSAVYDDQTKQSQALLYRLSGDYNPLHSDPDIAQVAGFTRPILHGLCTLGFAARAVIKSFCNGEPAAVKSIFGRFLLHVYPGETLSTEMWLDGQKVHYQTKVKERNRAVLSGYVLLQHIPSSL >PAN13635 pep chromosome:PHallii_v3.1:2:48756163:48763536:-1 gene:PAHAL_2G352900 transcript:PAN13635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQFAWDARPASDTKSDTSTRRLLPPSPPPSTNWCLGLCPFRYPFHVTSVPHGSHSASLLRFLQVAAAPAPPATAESDEAQRTTSAADMATSSKPAAAVDPEVALAHRFPEVSFDYDEREVALYALGIGACGDDAVDGKELHFVYHRDGQPHIKVLPTFVSLFPNKNSNGLGFVDVPGLNFDASLLLHGQQYIEIYRPIPSSASVVNKVKVAGLHDKGKATILELETTTSLRESGEILCMNRSTIYLRGAGGFSDSSQPYTYATYPANQVHRISIPNSAPSAVYDDQTKQSQALLYRLSGDYNPLHSDPDIAQVAGFTRPILHGLCTLGFAARAVIKSFCNGEPAAVKSIFGRFLLHVYPGETLSTEMWLDGQKVHYQTKVKERNRAVLSGYVLLQHIPSSLAETEGRRSVGHIHDDAGCGAKADGETGVVVG >PVH64317 pep chromosome:PHallii_v3.1:2:40068932:40072340:1 gene:PAHAL_2G236100 transcript:PVH64317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRYGIHAVTLWFMMSSGPDSVQQAAGGEDSVQQPTDDRASSGRPARSQSGASTSGAGRKKRSQTTWPSDVKSCGRLNSEAAPEDPSILVRLARVCGLTARQRVPLTLEHFDDLSWDDKKRIFKNNIQPYVEYPIELHDKATKHAMKIISKAWRSYKNKLLKCWKKKENPFDKYADLTKEAWDELVQKWNTPEFQQSSEYFRGLRARNELDHHLGSAGYAGKQRKWEQEDEMLAERGIENPYESSKLTEDGNINYYSTSAEEVAQRALMESSQGSNEGVREFDALTRALGTREQRGHVRGVSSQLTWKEGFPEHKGRYRKRTRDSSSKVDIDEIKRQVKMEMFGELKTIFESQGLPFPDLPGSTMSEERSDRVASTAAGASQGRGTERAIVPISVEPDTIDGLARPTRCSLLVQLQLVGDSSRLEVRADCAVVKIDLIHEFAKNIKLEFPPDDTTTTLRDAVARRVQWRRAGIHIDPADADSVPTSQPQPQSPAVPPTFSEPCPQLPDTWEALPDPHPPVPTQPQITPPPPVPIEPATAHKKPSKANPVRKKQSRLMAAKREISEGKKKVDRIKQPVTRAYTSENPKYRVGKSLLSVPELRAAGQYCVELHNYYMSKVNQAEEIMVSYEERHFLQLEGSRNIFIVAWSDLFDLFNLDALDLSLIRCFALHMQQETRRRTGKKCGYIDPQMMTVTFMNSDRDSLVRYMVKCMGVHADKEHIVVPYNLGDHWVTLIINVRSKQVFYLDSSIPSDESGAPQIRDYSLVISILDESLDRHLRASEGYKEQRQVAFTHHTAWTCTRQPSGNSCGFYVCHNMLLVAEKPDFMDEDDYFNRTTLGNVQDIRERPAGFLMMEVINKKGEFHF >PAN10371 pep chromosome:PHallii_v3.1:2:6708201:6718180:-1 gene:PAHAL_2G090200 transcript:PAN10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGARQQPGVDGPSLRDARLLGNGAVGVAGGYGEDDLRSSDRPDGLLSGPTAGPQQGSDMENHQQQVCWERFLQKKTIRVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWSYLEDMQNNIDFVLAEVFMPGISGISLLSRIMSHSICKNIPVIMMSSNDAMDTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCQSSSGSGSESGIQTQKCAKSKGGDESDNNIGSNENDHDDDASMGLNARDGSDNGSGTQDLNDLMGLGGNQRMAQSSWTKRAVEIDSPQDMSPDQLADPPDSTCAQVIHPKSEICSNRLLPGTANRNFKKQKDTNDEGKNLEIGAPRNLNMDHQSSPNERPIKPADGRCEYPPENNSKESMIENLEEPTVQAADLIGSMAKNMDAHQATRAADAPNCSSKVPEGKDMNRDNVLPLLELSLKRSRSSVGCANTGQDEQRNILRRSDLSAFTRYHTSVASNQGGTGFVGSSSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKPTTNKERVMSHSAVKANAHTSAFHPVQHWTVPANAAGKAKADEMANNATKNGHPGEVQSNLVQHPRPILYVHFDVPRENGGSGAQQCGSSSVFDPPLEGQAANYGVNGSNSGSNNGTNGQNGSNAGTSTAAANDERTNTEIDNGAIDKSGPGGGNGSGSGSGNDTYVKRFAPAITPREARLMKYWEKKKDRDFGKKVRYQSRKRLADQRPRVRGQFVKQAVQDQGGREGAGDR >PAN10373 pep chromosome:PHallii_v3.1:2:6708601:6717411:-1 gene:PAHAL_2G090200 transcript:PAN10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGARQQPGVDGPSLRDARLLGNGAVGVAGGYGEDDLRSSDRPDGLLSGPTAGPQQGSDMENHQQQVCWERFLQKKTIRVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWSYLEDMQNNIDFVLAEVFMPGISGISLLSRIMSHSICKNIPVIMMSSNDAMDTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCQSSSGSGSESGIQTQKCAKSKGGDESDNNIGSNENDHDDDASMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQDMSPDQLADPPDSTCAQVIHPKSEICSNRLLPGTANRNFKKQKDTNDEGKNLEIGAPRNLNMDHQSSPNERPIKPADGRCEYPPENNSKESMIENLEEPTVQAADLIGSMAKNMDAHQATRAADAPNCSSKVPEGKDMNRDNVLPLLELSLKRSRSSVGCANTGQDEQRNILRRSDLSAFTRYHTSVASNQGGTGFVGSSSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKPTTNKERVMSHSAVKANAHTSAFHPVQHWTVPANAAGKAKADEMANNATKNGHPGEVQSNLVQHPRPILYVHFDVPRENGGSGAQQCGSSSVFDPPLEGQAANYGVNGSNSGSNNGTNGQNGSNAGTSTAAANDERTNTEIDNGAIDKSGPGGGNGSGSGSGNDTYVKRFAPAITPREARLMKYWEKKKDRDFGKKVRYQSRKRLADQRPRVRGQFVKQAVQDQGGREGAGDR >PAN10372 pep chromosome:PHallii_v3.1:2:6708197:6718180:-1 gene:PAHAL_2G090200 transcript:PAN10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGARQQPGVDGPSLRDARLLGNGAVGVAGGYGEDDLRSSDRPDGLLSGPTAGPQQGSDMENHQQQVCWERFLQKKTIRVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWSYLEDMQNNIDFVLAEVFMPGISGISLLSRIMSHSICKNIPVIMMSSNDAMDTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCQSSSGSGSESGIQTQKCAKSKGGDESDNNIGSNENDHDDDASMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQDMSPDQLADPPDSTCAQVIHPKSEICSNRLLPGTANRNFKKQKDTNDEGKNLEIGAPRNLNMDHQSSPNERPIKPADGRCEYPPENNSKESMIENLEEPTVQAADLIGSMAKNMDAHQATRAADAPNCSSKVPEGKDMNRDNVLPLLELSLKRSRSSVGCANTGQDEQRNILRRSDLSAFTRYHTSVASNQGGTGFVGSSSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKPTTNKERVMSHSAVKANAHTSAFHPVQHWTVPANAAGKAKADEMANNATKNGHPGEVQSNLVQHPRPILYVHFDVPRENGGSGAQQCGSSSVFDPPLEGQAANYGVNGSNSGSNNGTNGQNGSNAGTSTAAANDERTNTEIDNGAIDKSGPGGGNGSGSGSGNDTYVKRFAPAITPREARLMKYWEKKKDRDFGKKVRYQSRKRLADQRPRVRGQFVKQAVQDQGGREGAGDR >PAN13397 pep chromosome:PHallii_v3.1:2:47603317:47607063:1 gene:PAHAL_2G333700 transcript:PAN13397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSSTRHGPLEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTALHLAARAGSVAHVQKILAECDPELVVELAARQNQDGETALYVSCEKGHVEVVCEILKASDVQSAGLKASNSFDAFHIAAKQGHLDVLKELLQAFPSLAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDSKGNRPLHVATRKGNTIMVQTLISVEGIEINAVNRAGETAFAIAEKQGNEELINILKEVGGVTSKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLEDMKDASDPDMTLGQAFIASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVSHRMEEKNMRKIRRTSTSQSWSVSIDSETELMNSEYKKMYAL >PVH64683 pep chromosome:PHallii_v3.1:2:47602974:47607172:1 gene:PAHAL_2G333700 transcript:PVH64683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSSTRHGPLEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTALHLAARAGSVAHVQKILAECDPELVVELAARQNQDGETALYVSCEKGHVEVVCEILKASDVQSAGLKASNSFDAFHIAAKQGHLVQFVDLADVLKELLQAFPSLAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDSKGNRPLHVATRKGNTIMVQTLISVEGIEINAVNRAGETAFAIAEKQGNEELINILKEVGGVTSKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLEDMKDASDPDMTLGQAFIASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVSHRMEEKNMRKIRRTSTSQSWSVSIDSETELMNSEYKKMYAL >PAN13396 pep chromosome:PHallii_v3.1:2:47602974:47607171:1 gene:PAHAL_2G333700 transcript:PAN13396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSSTRHGPLEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTALHLAARAGSVAHVQKILAECDPELVVELAARQNQDGETALYVSCEKGHVEVVCEILKASDVQSAGLKASNSFDAFHIAAKQGHLDVLKELLQAFPSLAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDSKGNRPLHVATRKGNTIMVQTLISVEGIEINAVNRAGETAFAIAEKQGNEELINILKEVGGVTSKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLEDMKDASDPDMTLGQAFIASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVSHRMEEKNMRKIRRTSTSQSWSVSIDSETELMNSEYKKMYAL >PVH64994 pep chromosome:PHallii_v3.1:2:51540132:51546780:1 gene:PAHAL_2G397700 transcript:PVH64994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWARRPRRRSWCSAPAATLSGCSARPAATATRSRAGSSTRDAPAPTLPSPAPRRSAAASTLAAATSAAGHASARWPMMTGQSPRGTWPPRRSPSPRGARVPRVAAERLPGLGRGRLSLPT >PVH64993 pep chromosome:PHallii_v3.1:2:51540132:51546780:1 gene:PAHAL_2G397700 transcript:PVH64993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWARRPRRRSWCSAPAATLSGCSARPAATATRSRAGSSTRDAPAPTLPSPAPRRSAAASTLAAATSAAGHASARWPMMTGQSPRGTWPPRRSPSPRGARVPRVAAERLPGLGRGRLSLPT >PAN11970 pep chromosome:PHallii_v3.1:2:39546121:39548326:1 gene:PAHAL_2G232800 transcript:PAN11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGGGGRVVVELSQIKDLVRQLEGHLGGSQTQEHCRLLASQISSLTEHSISLITSYCSLDGGWKRPAADAAAPSPLSDASDAPFKATKKRKMTEKLKERVSSAAGSDVPADDGHSWRKYGQKEILGAKHPRGYYRCTHRHSQGCAATKQVQRADEDPALFDVFYIGTHTCVQSGGAAAAAGQAAAAAQAPEHNPGVHTLLQSLSSSLTVKTEGLIAAPDQEAPQGWAATAPFCLSSTAASGWCPAPERSPFCAPSTSENWGAAPATSDSNQHASCSFPPFELIAGDVQFEFSEVMSALVDVPSEFHDDFDVASFFS >PVH63920 pep chromosome:PHallii_v3.1:2:12335660:12336058:1 gene:PAHAL_2G135500 transcript:PVH63920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASAALLPLLPSPSLPLPLLRAIRSLPRPSGRLRPCRRPPLAGVASNAHEDRLPLPLPLPLPLLLLPPPPTSSLFPDSLPRPKCHRRRRIPLPLAASNRHLLPHARRRHRRRPCCPQPTTTAGPAASTRFF >PVH64802 pep chromosome:PHallii_v3.1:2:49139423:49143733:-1 gene:PAHAL_2G359500 transcript:PVH64802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWDQHCKTESLGKVKRKGKVHVAMRPSSVNELALLSIYPSRSDKTFSFGF >PAN14372 pep chromosome:PHallii_v3.1:2:51928359:51928835:1 gene:PAHAL_2G404800 transcript:PAN14372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGSGDEKAATVTLISSDNEHFEVPEAVATLSQTIRHMIEDGCTDGGVPLPNVTGRILAKVLEYCNKHAAASDKDELEKFDKAFVDIDDQATLFDLVMAANYLDIRGMLDLTCQTIADMIKGKSVEEIRETFNIKNDFTPEEEAEIRRENQWAFDD >PVH64200 pep chromosome:PHallii_v3.1:2:36123409:36124559:-1 gene:PAHAL_2G213100 transcript:PVH64200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGASLNFSSFSLLLQGVMDLGFGSWGHWLLGCGLHRRSPAQIAGLKITDKFSKTAIAGLLLWFNTPVAALLLLFSKW >PAN15357 pep chromosome:PHallii_v3.1:2:56599897:56602540:1 gene:PAHAL_2G482600 transcript:PAN15357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDHIELHQKRHGRRLDYEERKRKREAREVHKRSKDARKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKADDNVQEGALPPYLLDRDQTQRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGAGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >PAN14570 pep chromosome:PHallii_v3.1:2:52866625:52868144:1 gene:PAHAL_2G420300 transcript:PAN14570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVHQASMPARAMAACDEELVPQGFSCFGRSLSRASSSSRLEYRGLQQQQPEQGEERRAAQDARSARAKLRWKAVAQEIMAKGGGSGARRRRQQQQQLAAFSYDSRSYALNFDQGAAE >PAN12180 pep chromosome:PHallii_v3.1:2:41364899:41367568:1 gene:PAHAL_2G248100 transcript:PAN12180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKCCPATLLLPLLLLLVHSPATMVTCRAEMESVYVGQRVLPVRLGRPAFGPESLAFDHRGDGPYTGVSNGRVLRWRGPLRGWTEFAHNHKHETVAACAAKKRAVAPESACGRPLGLQFHRASGDLYFADAYLGLMRVGRGGGRAEAVATEAGGAALNFANGVDVDQETGHVYFTDSSATFHRSDYIMIILTGDATGRLLRYDPATGGVAVLATGLAFPNGVALSADGTHLVVAETARCRLLRHWLRGPRAGATEPFADLPGYPDNVRLAAGDGGDDTSAYYWVALNRDKAWMEEGTTPRSVAAVRVRAADGAVDRALRGLGNATVSEVVERPGGALWLGSVDTPYVGLFRTGGGL >PAN12745 pep chromosome:PHallii_v3.1:2:44691743:44693102:1 gene:PAHAL_2G288200 transcript:PAN12745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLLNYSPEAQLELMNTMLQLEQLTKLDGGHHSLTAPVSPPVSPVQTHAAHCFSPPAHMSATATAGYQDQYTPPAAYAGSTVGLEHLQVDYVLSPCADGGAPQPAGSPSPTSSADAMREVIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRTLQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATHRAAYHPALLHAPWHQYAVPHGDM >PAN13327 pep chromosome:PHallii_v3.1:2:47318143:47321878:1 gene:PAHAL_2G329000 transcript:PAN13327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGSKTSKPEKLSGAAGAGLPNPFDFSSMSGLLNDPAIREMAEQIASDPVFNQMAEQLQKSAQGAGEQGIPALDPQQYMETMQQVMQNPQFVSMAERLGNALMQDPTMSSMLENLSSPAHKEQFEERMARIKEDPSLKPILDEIENGGPSAMMKYWNDPEVLKKIGHAMGINLPGDSSASTELSGPEETEEEGGYDDESIVHHTASVGDAEGLKKALDGGADKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLNHGAAVTLQNLDGKTSIEVARLNNQDEVLKLLEKDAFL >PAN13107 pep chromosome:PHallii_v3.1:2:46376778:46380397:-1 gene:PAHAL_2G314600 transcript:PAN13107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLELFTTACVPVFNMLLVTGFGSFLATDFAGLLSKEARKHLNNIVFYVFNPSLVAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGEPDVCQTYGLAYSSLSMAIGAVFLWSIVYNIVRVTSNVTKGDGGAQTKVLISGSATENTAEQNCSASNDHTDECTLPLISTNIPPIKYKVPLLERTWKFLSLISGKVDLKKLFAPSTIAVIVGFIIGGTPFIRNAIIGDTAPLRVLQESAELIGGGAIPSVTLIMGANLLNGVRGGASVQPSVIAGVVVVRYVLLPLLGTALVKGAVRLGLVQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLSP >PAN13108 pep chromosome:PHallii_v3.1:2:46376975:46379865:-1 gene:PAHAL_2G314600 transcript:PAN13108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLELFTTACVPVFNMLLVTGFGSFLATDFAGLLSKEARKHLNNIVFYVFNPSLVAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGEPDVCQTYGLAYSSLSMAIGAVFLWSIVYNIVRVTSNVTKGDGGAQTKVLISGSATENTAEQNCSASNDHTDECTLPLISTNIPPIKYKVPLLERTWKFLSLISGKVDLKKLFAPSTIAVIVGFIIGGTPFIRNAIIGDTAPLRVLQESAELIGCFLTQRRGNSIGHVDNGSKPSQRSTRWSKRPAVRDSRRRGCQIRPAPSAGHRAGEGSRPAGPRSAGPSVPVHPPPAVRRAARDEHRDYNAAVRRGGERVLRDLRVGVRARVRGRHRLVRLLHVDAVAVTRASESVSGVARQRRRRPRP >PAN13109 pep chromosome:PHallii_v3.1:2:46375729:46381080:-1 gene:PAHAL_2G314600 transcript:PAN13109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLELFTTACVPVFNMLLVTGFGSFLATDFAGLLSKEARKHLNNIVFYVFNPSLVAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGEPDVCQTYGLAYSSLSMAIGAVFLWSIVYNIVRVTSNVTKGDGGAQTKVLISGSATENTAEQNCSASNDHTDECTLPLISTNIPPIKYKVPLLERTWKFLSLISGKVDLKKLFAPSTIAVIVGFIIGGTPFIRNAIIGDTAPLRVLQESAELIGGGAIPSVTLIMGANLLNGVRGGASVQPSVIAGVVVVRYVLLPLLGTALVKGAVRLGLVQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLSP >PAN13110 pep chromosome:PHallii_v3.1:2:46376975:46379865:-1 gene:PAHAL_2G314600 transcript:PAN13110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLELFTTACVPVFNMLLVTGFGSFLATDFAGLLSKEARKHLNNIVFYVFNPSLVAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGEPDVCQTYGLAYSSLSMAIGAVFLWSIVYNIVRVTSNVTKGDGGAQTKVLISGSATENTAEQNCSASNDHTDECTLPLISTNIPPIKYKVPLLERTWKFLSLISGKVDLKKLFAPSTIAVIVGFIIGGTPFIRNAIIGDTAPLRVLQESAELIGCFLTQRRGNSIGHVDNGSKPSQRSTRWSKRPAVRDSRRRGCQIRPAPSAGHRAGEGSRPAGPRSAGPSVPVHPPPAVRRAARDEHRDYNAAVRRGGERVLRDLRVGVRARVRGRHRLVRLLHVDAVAVTRASESVSGVARQRRRRPRP >PAN14365 pep chromosome:PHallii_v3.1:2:56079802:56084606:-1 gene:PAHAL_2G475200 transcript:PAN14365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSTVALAAAALLVLLPMLLLVSLPAADLLPSADPYEQESRRVFVEWKAKNGKTYTYAGEEECRYALFKDTRRRVAWNRAAWDRAAGPTSSRLNGFAANSIEEMTLGLLGPEVAKKEEYEQETRRMFVLWKAKYGKTYRDVGEERCRYWLFKGNRRVIIRLNAATGQDVYGLNQFGDLTNEDVRQRCYPKADRELSARCQAAILDPGSSTVRDRERLISYMLSCDRPDPPHAQHQLDERAEVW >PAN14537 pep chromosome:PHallii_v3.1:2:52716847:52717727:-1 gene:PAHAL_2G418100 transcript:PAN14537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSLSSAGRPVEAFTPWRLAWRAWTRWAGRSWQDLVGGRALARRSLSIWEIIAVDWEGDGSVLCIFLAAGAQFIQAAPGCCGG >PAN14326 pep chromosome:PHallii_v3.1:2:51729040:51731187:1 gene:PAHAL_2G401000 transcript:PAN14326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAGDDARMDAVQKRLMFEDECILVDEQDNVVGHESKYKCHLMEKNLLHRAFSVFLFNSKHELLLQQRSATKVTFPLLWSNSCCSHPLYRDSELIQENDLGVRNAAQRKLLDELGIPAEDAPVDQFTPVGRMLYMAPSDGKWSEHELTHILFIVRDVKLRPNPDEVAGVRYVSREQLEELIRKADAGEDDGVKLSPWFRLIVDNLLMGWWDHLEKGTLSEAADMETIHNLKE >PAN10642 pep chromosome:PHallii_v3.1:2:8527431:8529490:1 gene:PAHAL_2G107600 transcript:PAN10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVAKLLPPLARSSGRHLLSGTMTTARMATGRHAPAPDRWLLARRGGHRRRRVRQLQPVLRRRPLVVAPLLPQRHRLRPPLLRLRRALARLGAGLQGHGVVPGEHPGPRGPPGAPAAPWARAASGAATAARRRRGASRRPRRRSSWGRPPSSGWSRRRSSGRRRRCSSGTTCSASSATSPWRRRRPRLLADGSCECVVVSSVSF >PAN09724 pep chromosome:PHallii_v3.1:2:3484670:3489690:1 gene:PAHAL_2G047200 transcript:PAN09724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKERLHSLMHLFIACLLKLSTAVPCLPDQASSLLQLKASFIGDNLPSWQAGTDCCHHWEGVTCDMALGRLISLDLGEFDLKSSRLDPALFNLTSLKNLSLAFNYFSGASLPASGFERLTDIMHLNLSCTNVLDHIPVGIACLKNLVTIDLSGNGLYFERPSFKAFMANMSNLRELYLDGVDLSSSGSTWSTVLADSVPQLQVLSLSGCSMSGSIHSSFSKLRSLTTIDLGYNFELTGKVPEYFSKLSSLTVLDISSNKFEGYFPTKIFQLKSLRMLDLSDNHMLSVRLTHFPTGNNLETLNLIGTNFSYDMPSSFAKLEHLKKLELNVMDIDDKLPALISKLPSLDDLQLMGPDTKNPILSWVSNITQLTHLRFGGYDFSESVSTWIGKLTRLESLTIVDCSFSMPIPYQIGNLTKLVKLKFWSCDFPEQRMPSWIGNLTKLVSFTIDDCNFSGPIPSTIGNLIQLEELEVWSSHISGKMPKPLFALPALQRLLLIDNQLIGSLEDIPAPLSSPLREIDLRSNQLTGPIPKSFFQLPNLQVLGLGSNKLTGTVELGSIWRLRNLTYLSLGNNMMSLIEKEGDTIFSHSLKIQGLCLASCNLTKFPASLEYIDTIQDLDLSNNQIEGAIPSWVWENCLVSLNLSHNMFTTLEKSPIVEMTHLMALDLSFNGLQGSIRIPSTPSKLFFLDYSNNEFSSIEPNFVGKYLRNAVIINLSKNKLSGHIPLSVCSLNKLEFLDLSYNNFCGPIPSCLMEKADLTSILKLRENKLHGVLPENIREGCKLQTIDLNGNRIEGVVPRSLANCQDLEVLDVGNNQIVDSFPSWMGTLPNLRILVLRSNQLYGTIRDLHSVYQHFRSLQILDLASNHFSGDLHSKWFDNFISMMNISSDVGQILEHHTNSSWKEVYQDTVIVTFKDAALSITKIQTSFKLIDLSNNSFEGSIPDSIGRLVSLHGLNMSHNNFTGQIPSQLHNLTRLESMDLSCNNLSGEIPQEFTSLTYLSWLNLSYNNLIGRIPQGNQFLSFPSSSFEGNAGLCGIQLYKQCDNPGPDSTTRSTSVPEPNTLWQDRLDAITFFLFAGLGFGLGFALAIIFRSFYHIEVWLCNRMY >PAN14600 pep chromosome:PHallii_v3.1:2:52985723:52986202:-1 gene:PAHAL_2G422800 transcript:PAN14600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTCLYRLCRYWSLLQPTVPFFLKAVASFVSTLSAYEWHREICGGIEDSRGWGLDLVVQPNLPSNLISISRFMFFQLQGACIFMLFCL >PAN14812 pep chromosome:PHallii_v3.1:2:54414226:54423591:1 gene:PAHAL_2G446300 transcript:PAN14812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAPLDFALFQLSPRRQRCELVVSGNGRTEKIASGSVKPFVAHLRAAEEQASAQPPPPAIRLQLERRAPWFSKGTLERFVRFVSTPEVLELANTYDLEMSQLEGARKIYAQGGTGDATSGAAAENVTASAAAVADVTKKELLRAIDVRLSALKQDLAAACSRASSAGFNPNSISELLLFANHFGANRLSEACTKFMSLCQRHPDIIPQNASPAVLSHWKGFDDGNVRDSSSSDMSIDEPQVDLGESNNKSTVGKSDSQIHRLSNSEGSVQVASEPAADQQHKPTIQQAADKQETEKDASTAPSVGVSRRLSVKDRISMFESQKKEQTPSSGNSTSAGTARVVPGKGEHRRVPSGASMEKLVRRWSSVSDMSIDLSNNDSGNLNEKKENGTPVATPTSRDLEANSKARVDEDSNGMKDSVTSESWPCQKDNISMDSATKNSCPAPNLSNTPAPHNESIYHAEDDMVINSSIESESSFGKEQGVIQGHTRMSNNAASNVSTRIRLNTSAKPVEETLLKDKDILTSPSSEEHFRMIDKEIEGVAHEVPVASEQIPQNDIRGPRLHTKDIHTEAEVVRRKDRPSRTFEKVSVGLKSKASSNSRANVRGSSSRDEVASTETEVHDVSLQRNQRNRLARKAEDVGRKVTAGSDSDCSGRQGTNLSRQSSITEQELNLQARVRPGKGNQDRHGELQMKANELEKLYAAHKLTSSRRVKPTDVQVDSTPMVSEVKPIAVLPETIYTKQVVEESITTNDFDANELLKMVNNQGYNISTPQKLGILSLEESRGKFYEQYMQKRDAKLKEDWKLQREEKEAMLKAMHESLERSKAEMLAKFSRSADIPGSTYVSHCSQKIPPLQSTRKNKDQGVDSFLVEEELNSDYLSGDGSSRSADSRKHFSNKVASTQKKSVAPIHKRSSRTVSSGYANRRNPPENPLAQSVPNFSDLRKENTRPSPGLSRATARVQQKSFARSKSIIEESKSILKEDQSRMSQSMRKSQIPDELKDIPSVNEDVYNWAPSRISNNQSEGAFAYNTGRTGPPKAFLRKGNGTHPVVGIAGFQAAAAMMANALQHNESSGDFEDQQEDSPDDDKEEEEHESIEENLRESDFPADSDSENPRASHEFGNSDDPGSENGDVNFPSEASGLGGTKFTAFTGNMHNPTGDLPAPWSSRLPQLFPYANDNSDGDAFADSPYGSPSPWNSHSLDEITDADVSRMRKKWGSAQMPFAGVNASQQPRKDVSKGLKKLWKFGRKNRGGDGLVNDWVSASTASECDDDMEDGRDLVVGSSDDFRKSRMGYLASYDGFVENEVYAEQEQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRNKGGDARLR >PAN14811 pep chromosome:PHallii_v3.1:2:54414226:54423591:1 gene:PAHAL_2G446300 transcript:PAN14811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAPLDFALFQLSPRRQRCELVVSGNGRTEKIASGSVKPFVAHLRAAEEQASAQPPPPAIRLQLERRAPWFSKGTLERFVRFVSTPEVLELANTYDLEMSQLEGARKIYAQGGTGDATSGAAAENVTASAAAVADVTKKELLRAIDVRLSALKQDLAAACSRASSAGFNPNSISELLLFANHFGANRLSEACTKFMSLCQRHPDIIPQNASPAVLSHWKGFDDGNVRDSSSSDMSIDEPQVDLGESNNKSTVGKSDSQIHRLSNSEGSVQVASEPAADQQHKPTIQQAADKQETEKDASTAPSVGVSRRLSVKDRISMFESQKKEQTPSSGNSTSAGTARVVPGKGEHRRVPSGASMEKLVRRWSSVSDMSIDLSNNDSGNLNEKKENGTPVATPTSRDLEANSKARVDEDSNGMKDSVTSESWPCQKDNISMDSATKNSCPAPNLSNTPAPHNESIYHAEDDMVINSSIESESSFGKEQGVIQGHTRMSNNAASNVSTRIRLNTSAKPVEETLLKDKDILTSPSSEEHFRMIDKEIEGVAHEVPVASEQIPQNDIRGPRLHTKDIHTEAEVVRRKDRPSRTFEKVSVGLKSKASSNSRANVRGSSSRDEVASTETEVHDVSLQRNQRNRLARKAEDVGRKVTAGSDSDCSGRQGTNLSRQSSITEQELNLQARVRPGKGNQDRHGELQMKANELEKLYAAHKLTSSRRVKPTDVQVDSTPMVSEVKPIAVLPETIYTKQVVEESITTNDFDANELLKMVNNQGYNISTPQKLGILSLEESRGKFYEQYMQKRDAKLKEDWKLQREEKEAMLKAMHESLERSKAEMLAKFSRSADIPGSTYVSHCSQKIPPLQSTRKNKDQGVDSFLVEEELNSDYLSGDGSSRSADSRKHFSNKVASTQKKSVAPIHKRSSRTVSSGYANRRNPPENPLAQSVPNFSDLRKENTRPSPGLSRATARVQQKSFARSKSIIEESKSILKEDQSRMSQSMRKSQIPDELKDIPSVNEDVYNWAPSRISNNQSEGAFAYNTGRTGPPKAFLRKGNGTHPVVGIAGFQAAAAMMANALQHNESSGDFEDQQEDSPDDDKEEEEHESIEENLRESDFPADSDSENPRASHEFGNSDDPGSENGDVNFPSEASGLGGTKFTAFTGNMHNPTGDLPAPWSSRLPQLFPYANDNSDGDAFADSPYGSPSPWNSHSLDEITDADVSRMRKKWGSAQMPFAGVNASQQPRKDVSKGLKKLWKFGRKNRGGDGLVNDWVSASTASECDDDMEDGRDLVVGSSDDFRKSRMGYLASYDGFVENEVYAEQEQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRNKGGDARLR >PAN10011 pep chromosome:PHallii_v3.1:2:4812525:4815877:1 gene:PAHAL_2G065400 transcript:PAN10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESADEEQPLLLDPQHLPPARPAAATDANTHESPSSKNVARPAGGWKAPMAMVLVQLFQTGLVLLSKVVIGHGLCVFALVTYRSAFGTAFLLPFALICERDKWREMMNWRVSRWIIFNGFIGYAVPINLYYNGLRDTTSSYAIIFLNMIPLITFILSLAFKMERLKFATVAGSLKIVGVLASVGGTMVINLYKGNELHLWSSLQYHKNEQTEVASHHVRGTIFLVASSFAYACWYLIQSEVHKVYPYKYWSSMATCLVGGFMTAFAGVVVRRDWDAWKLGWNLKLLTVVYSGGLATAGKYSLNSWVVGKQGPAYPPMFSPLSVVFTVVLGSILLGDRITVGSLLGTTMVIAGLYVFLWAKSQDLPGK >PAN10020 pep chromosome:PHallii_v3.1:2:4812832:4814421:1 gene:PAHAL_2G065400 transcript:PAN10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESADEEQPLLLDPQHLPPARPAAATDANTHESPSSKNVARPAGGWKAPMAMVLVQLFQTGLVLLSKVVIGHGLCVFALVTYRSAFGTAFLLPFALICERDKWREMMNWRVSRWIIFNGFIGYAVPINLYYNGLRDTTSSYAIIFLNMIPLITFILSLAFKMERLKFATVAGSLKIVGVLASVGGTMVINLYKGNELHLWSSLQYHKNEQTEVASHHVRGTIFLVASSFAYACWYLIQRSQRFTKYTHTSIGHPWRHAW >PAN10010 pep chromosome:PHallii_v3.1:2:4812525:4815877:1 gene:PAHAL_2G065400 transcript:PAN10010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESADEEQPLLLDPQHLPPARPAAATDANTHESPSSKNVARPAGGWKAPMAMVLVQLFQTGLVLLSKVVIGHGLCVFALVTYRSAFGTAFLLPFALICERDKWREMMNWRVSRWIIFNGFIGYAVPINLYYNGLRDTTSSYAIIFLNMIPLITFILSLAFKMERLKFATVAGSLKIVGVLASVGGTMVINLYKGNELHLWSSLQYHKNEQTEVASHHVRGTIFLVASSFAYACWYLIQSEVHKVYPYKYWSSMATCLVGGFMTAFAGVVVRRDWDAWKLGWNLKLLTVVYSPPWNNNGDCWTIRISLG >PVH64661 pep chromosome:PHallii_v3.1:2:47140294:47141049:1 gene:PAHAL_2G327000 transcript:PVH64661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLQHSSETFKRVEHTLATCTKTYPAMDAARSSTVGQHGGSRGERRPVAGHAASPPRLRHAGAAREPLRPAPGDLRLQARRSTLLAHRRELLCPHLRTPVPLHPAHATASHPARALRAAGGWSARARLGLEPSR >PAN14353 pep chromosome:PHallii_v3.1:2:51835584:51839597:-1 gene:PAHAL_2G402700 transcript:PAN14353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPAALADPPPSGEEEVGIDGWNSMENCYAFLYSKEEKGKKKRVLVKCLVIGELLAIDVLDLEAQDKGPYNIQINVKDFFSEEQHKNYGDMYKNYAGLIETVNSNVLCKLDGKDDGAVAGKNPDADAKNPNAESSSSLHSSENPGPRTADPSSLIYPPIAPLGSDDLFPGPGAGFYPHSGIGSGGSMHVGPNDPRFFPSNPFPSPFGGPGSVPPGGRYDPIGPPGVPGFEPSNFVRRPRRPPGGSTHPDLEFFQQGPDF >PAN14352 pep chromosome:PHallii_v3.1:2:51835286:51839810:-1 gene:PAHAL_2G402700 transcript:PAN14352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPAALADPPPSGEEEVGIDGWNSMENCYAFLYSKEEKGKKKRVLVKCLVIGELLAIDVLDLEAQDKGPYNIQINVKDFFSEEQHKNYGDMYKNYAGLIETVNSNVLCKLDGKDDGAVAGKNPDADAKNPNAESSSSLHSSENPGPRTADPSSLIYPPIAPLGSDDLFPGPGAGFYPHSGIGSGGSMHVGPNDPRFFPSNPFPSPFGGPGRPRRPPGGSTHPDLEFFQQGPDF >PAN10244 pep chromosome:PHallii_v3.1:2:5990951:5993596:1 gene:PAHAL_2G081000 transcript:PAN10244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGMLPIFLMLHLVLPWLCLASPALASENGDAVVALKDYPQVKFSTASATPETYRSFIASVRAALVSKSKGNKSNGIPVLLSEDDPLALQTFLNITLTNTAGHSVSLKMDVTGAYFSAYDALKYSCLLKRSGRTFSSAICYNDPWSSGSVGNKLLQSSSSDSLPAAAGGVASDDLEAATWRAKDLDDAVSSLFLFATGKATKEDLSRGVATCDMMIAGAAMSPYVERRMSAGVRSSNGVPDDPSLHGLQARWPALSAAVQGSHQGVFAAPVSVQLRDGNWMPVDNVRRVVPLLSFLLHDSCKKASSPKPVIRSVAQEPDMGGGGAPACAEAEPTVRIAGTEGRCVTVPNGWYYNGNQVQVWPCKSNGDADQLWTFKRDGTVRSNGMCLTSTGTSPGDKVVVWDCPRAPTDGVVWEARVDGAIALRASGSSGLVLAAAASTIFTGLTVQRDDRSSVQSWTPTNYTAPLATAVVGPGDLCLQAASGIRGPASVAACHDGAWWFLYPDGSVRSRTRFFLRQWWCLTADAAGRAVVSFCATAGSPRQRWAFRNDGSVLNAGAGSVLDVRASGGGGQSGGWEVVVSPATGSPTQEWAIML >PAN10243 pep chromosome:PHallii_v3.1:2:5990813:5993180:1 gene:PAHAL_2G081000 transcript:PAN10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGMLPIFLMLHLVLPWLCLASPALASENGDAVVALKDYPQVKFSTASATPETYRSFIASVRAALVSKSKGNKSNGIPVLLSEDDPLALQTFLNITLTNTAGHSVSLKMDVTGAYFSAYDALKYSCLLKRSGRTFSSAICYNDPWSSGSVGNKLLQSSSSDSLPAAAGGVASDDLEAATWRAKDLDDAVSSLFLFATGKATKEDLSRGVATCDMMIAGAAMSPYVERRMSAGVRSSNGVPDDPSLHGLQARWPALSAAVQGSHQGVFAAPVSVQLRDGNWMPVDNVRRVVPLLSFLLHDSCKKASSPKPVIRSVAQEPDMGGGGAPACAEAEPTVRIAGTEGRCVTVPNGWYYNGNQVQVWPCKSNGDADQLWTFKRDGTVRSNGMCLTSTGTSPGDKVVVWDCPRAPTDGVVWEARVDGAIALRASGSSGLVLAAAASTIFTGLTVQRDDRSSVQSWTPTNYTAPLATAVVGPGDLCLQAASGIRGPASVAACHDGAWWFLYPDGSVRSRTRFFLRQWWCLTADAAGRAVVSFCATAGSPRQRWAFRNDGSVLNAGAGSVLDVRASGGGGQSGGWEVVVSPATGSPTQEWAIML >PAN10241 pep chromosome:PHallii_v3.1:2:5990663:5993554:1 gene:PAHAL_2G081000 transcript:PAN10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGMLPIFLMLHLVLPWLCLASPALASENGDAVVALKDYPQVKFSTASATPETYRSFIASVRAALVSKSKGNKSNGIPVLLSEDDPLALQTFLNITLTNTAGHSVSLKMDVTGAYFSAYDALKYSCLLKRSGRTFSSAICYNDPWSSGSVGNKLLQSSSSDSLPAAAGGVASDDLEAATWRAKDLDDAVSSLFLFATGKATKEDLSRGVATCDMMIAGAAMSPYVERRMSAGVRSSNGVPDDPSLHGLQARWPALSAAVQGSHQGVFAAPVSVQLRDGNWMPVDNVRRVVPLLSFLLHDSCKKASSPKPVIRSVAQEPDMGGGGAPACAEAEPTVRIAGTEGRCVTVPNGWYYNGNQVQVWPCKSNGDADQLWTFKRDGTVRSNGMCLTSTGTSPGDKVVVWDCPRAPTDGVVWEARVDGAIALRASGSSGLVLAAAASTIFTGLTVQRDDRSSVQSWTPTNYTAPLATAVVGPGDLCLQAASGIRGPASVAACHDGAWWFLYPDGSVRSRTRFFLRQWWCLTADAAGRAVVSFCATAGSPRQRWAFRNDGSVLNAGAGSVLDVRASGGGGQSGGWEVVVSPATGSPTQEWAIML >PAN10240 pep chromosome:PHallii_v3.1:2:5990663:5993554:1 gene:PAHAL_2G081000 transcript:PAN10240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGMLPIFLMLHLVLPWLCLASPALASENGDAVVALKDYPQVKFSTASATPETYRSFIASVRAALVSKSKGNKSNGIPVLLSEDDPLALQTFLNITLTNTAGHSVSLKMDVTGAYFSAYDALKYSCLLKRSGRTFSSAICYNDPWSSGSVGNKLLQSSSSDSLPAAAGGVASDDLEAATWRAKDLDDAVSSLFLFATGKATKEDLSRGVATCDMMIAGAAMSPYVERRMSAGVRSSNGVPDDPSLHGLQARWPALSAAVQGSHQGVFAAPVSVQLRDGNWMPVDNVRRVVPLLSFLLHDSCKKASSPKPVIRSVAQEPDMGGGGAPACAEAEPTVRIAGTEGRCVTVPNGWYYNGNQVQVWPCKSNGDADQLWTFKRDGTVRSNGMCLTSTGTSPGDKVVVWDCPRAPTDGVVWEARVDGAIALRASGSSGLVLAAAASTIFTGLTVQRDDRSSVQSWTPTNYTAPLATAVVGPGDLCLQAASGIRGPASVAACHDGAWWFLYPDGSVRSRTRFFLRQWWCLTADAAGRAVVSFCATAGSPRQRWAFRNDGSVLNAGAGSVLDVRASGGGGQSGGWEVVVSPATGSPTQEWAIML >PVH63517 pep chromosome:PHallii_v3.1:2:3223529:3225087:1 gene:PAHAL_2G045800 transcript:PVH63517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPNLQILVLRSNQLYGTIRDLHSVYQQFRSLQILDLASNHFFGDLHSKWFDNFISMMNISSDVGQILEHSTNATWASVYQASVYQDSVTITFKDATLSVTKIETSLKLIDLSNNSFEGSIPSSIGRLVSLHGLNMSHNNFTGQISSQLHNLTRLESMDLSCNNLSGEIPQEFTSLTSLSWLNLSYNNLIGRIPQGNQFLSFPSSSFEGNAGLCGIQLYKQCDNPGPDSTTRSTLVPEPYTLWQDRLDAITFFLFAGLGFGMGFALAIIFRSFYHIEVWLCNHI >PVH64218 pep chromosome:PHallii_v3.1:2:36874878:36880139:1 gene:PAHAL_2G216900 transcript:PVH64218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMALLTNQTFKSDVIFHQQCQKRTLLCTNKHHYGNNVMRGFQLQPPELHNSRERERERGREGERKSLPVESGSGSREARTSKKGKRRERKPRDFFLGFDPSLLGKEEKKALSLLFLLLRRAAGRAEEEEMFPPGLIHHRPDGPAPGDGAPRSGPVGGPGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEGSEQSKDASYLLDAQTGMSVSPRVPAQDVKESQEVKEALRAQMEVQRRLHEQVEVQKRVQIRMEALQKYIDSILESACKMVTEQFASSGFSISDPDLPEISPGGVLCGPTDTLSSSVFNQLSVSSIDSHSPGGKPSPSGIEGPPMLLQKSPELKRRSS >PVH64436 pep chromosome:PHallii_v3.1:2:43114842:43115094:-1 gene:PAHAL_2G267000 transcript:PVH64436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVFLWSEANNIYFYITQAWIHLRVTGILGRCYSTATVTDIMSAKVKSKFTTSSSCQQLPIT >PAN13147 pep chromosome:PHallii_v3.1:2:46529270:46534904:-1 gene:PAHAL_2G317100 transcript:PAN13147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETGYYDVLGVTPAATEAEIKKAYYVKARQVHPDKNPNDPLAAEKFQELGEAYQVLSDPTQRQAYDSYGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMAAMASLDGFSEDEQIDARKLQEQMRVVQKEREEKLAEALKNRLHLYVQGNKEEFIQHAEAEVTRLSNAAYGVDMLSTIGYVYSRQAAKELGKKALYLGVPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEQLEAYMQTHKSVMVDSLWKLNVADIEATLSHVCQMVLQDSSVRKEELRARAKGLKTLGKVFQRVKLATEGEPAAMKNTINNSDDNDGSSPDSSPKSPRDQMFDANPPYAQSPYVEAPRFGDYFFPMPTAPPGAQRDPIP >PAN10666 pep chromosome:PHallii_v3.1:2:8721282:8733213:1 gene:PAHAL_2G109300 transcript:PAN10666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 [Source:Projected from Arabidopsis thaliana (AT3G23640) UniProtKB/TrEMBL;Acc:A0A1I9LTS6] MLATPTHTRSPASLRLVPHYPRFRFRFRFRHPREPRGGSGGPRRSPLRCRGGTPPAAAAAGAAEEGRMAAAATGEMVWARVLEEGVFRFDASEAARAAAGPSLSFADPRRREAPREGADAPAVVPAFEAAPGGSQRVVLKLPSGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTRRCEIDLRQESTIKFSALSAYPVITFGPFNSPADVMTSLSHAIGTVSMPPKWSLGYHQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDGNRFPDPKSMVDNLHSIGCKAIWMLDPGIKKEEGYFVYDSGSTNDVWIQKADGSPFVGEVWPGDCVFPDYTSEQTRAWWASLVKDFISNGVDGIWNDMNEPAVFKTTTKTMPENNIHRGDADIGGVQNHSYYHNVYGMLMARSTYEGMAMGNAAKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSLPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHTETGSVDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYRSHTTGIPVATPVFFADPQDPELRKVETSFLLGPLLVCASTLPNKGAHECAHKLPKGIWLPFDFADSHPDLPVLYLRGGAILPVGLPIRHVGEASLEDDLSLIIALDGNGKAEGVLFEDAGDGYAFTQGDYLLTYYGAELHSSVVTVKVLKSEGSWKRPKRNLKLNILLGGGAMISADGVDGEEIHLTMPSESQVSSLVATSELEHKKRLEMIQPIPDIDEPSGQEGAELSKIPVDLKNGDWLLKIVPWIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYKVIRRYLEQSGEEESICMEGDIGGGLVLQRQISILKDNPKIVQIDSSIQARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSKQEFSPESGEITFEGDLRPNGEWMLVDKCVGLSLVNRFDPSEVSKCLVHWGTGDVNMELWSEERPVSKDTPMRICHQYEVRQTN >PVH64932 pep chromosome:PHallii_v3.1:2:50941168:50943923:1 gene:PAHAL_2G388500 transcript:PVH64932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAAAVSRFAAASPAAAPVPARLGAAASSLSFAGARGRRFGPVAASLSTSAAALKEAVQTEKAPAALGPYSQAIKANNLVFVSGVLGLNPETGKFVSENVEEQTEQIMKNMGEILKASGASYASVVKTTIMLADLQDFKKVNEIYGKYFPAPAPARSTYQVAALPLNARIEIECIAAL >PVH63429 pep chromosome:PHallii_v3.1:2:2026846:2027016:-1 gene:PAHAL_2G028100 transcript:PVH63429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRWGLNLVSLPDSSHVSTIGFSLFSGWRNKEGVRDYQLDNVGSIMFILAGGSTA >PAN09901 pep chromosome:PHallii_v3.1:2:4192718:4195391:-1 gene:PAHAL_2G056600 transcript:PAN09901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKVGRIFVGGLSWDTTEGTLTRTFSQYGKVIDAQVVVERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRNISVNKAQPRNSDDGYGYGGGGGGGYSSGARGGYRSGGDAVPAASDDCFKCGRPGHWARECPYSDGGGRTGRYSPASRYGGGTGGRGDRFGGSDRFAHYDDDRYDGGRYMDSRDTYYGAGRDRYASDRYAPAADRYSGDRYSGADRYASSGFARERSYERDGGRSSGGYYRDDPRGTGGYGRGGSRVGGGAGGPARFGASYRDRPAPYDRPSRGAGARTYDDRY >PVH65290 pep chromosome:PHallii_v3.1:2:54805855:54806676:1 gene:PAHAL_2G453200 transcript:PVH65290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNGCAGGNIPLPNVTARALVTVIKYCDKHAAAAAAKPDADHGAAEGSNSSTSVNTAASEKTLAEWDSKLVDNLTLDALYDLLLASNFLDIKGLLGAASQKVADMIKSKTPAQVHTIFGITNDFTPEEEEEIRMRTPGLTRTRSLDSKPLLRQHLIGCADEPI >PAN09363 pep chromosome:PHallii_v3.1:2:1183646:1184095:-1 gene:PAHAL_2G017100 transcript:PAN09363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGGVGKHLQHVRWLWRAPRRALCAARDLYVRSLTGCAGHLPGEAAFGYPSFAGAPGFRVDSFASSRRSSDAGDEDLRELIRAASQRRAAEAAAAAHPAAVPRSQSVAMARIDEDRPCDFGGPLVFPRSRSCAVGAARRGRVAALAA >PAN09746 pep chromosome:PHallii_v3.1:2:3558934:3561973:1 gene:PAHAL_2G048700 transcript:PAN09746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGMISRKRQAKSRLPASDLVHSQESHEEQGNKCSQKRCDINMRSSPKCVVDAIAALSLDQKNKIKELGFGELLKFSLDGFGDRYMLEFLMDHTDPENMEIRVGGGDKNLPINEHVVQCVLGVPTGKGRDTPDYPNMESELNNLRTELGVKTDKIKSSDLIAKINGGGTDYLTIRCFFILLCYKLLLPSSQNHVTEREVALTKSPKDIAEVNWAKAVVDNLRLAARKWHSNKLAVSKKKRTLSGCVACLLLYYLDHLRSPHSIPCIITPRTSVYTTEIIKKIIKADKRSHSGHIYGLLDFRSMVGTCYSMGSRQLVPNISIEPLHSNFFQDLSPRKREIATSYFNTVDTLMGQILKERNLFMISMGVQDAQNSSRAAEPQGGDSGIQDDPRNKHFEAYHEVAADQQIRIVDGSPTAPPPAPAAEDGSSVPPPPAADGSLTALAAAAAEDGGGGSLPPAASLKHARKRTVRKETSKMTLNASKGDKDEDKNPGLRRSKRRMNHPTPSNY >PVH63531 pep chromosome:PHallii_v3.1:2:3558934:3561973:1 gene:PAHAL_2G048700 transcript:PVH63531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGMISRKRQAKSRLPASDLVHSQESHEEQGNKCSQKRCDINMRSSPKCVVDAIAALSLDQKNKIKELGFGELLKFSLDGFGDRYMLEFLMDHTDPENMEIRVGGGDKNLPINEHVVQCVLGVPTGKGRDTPDYPNMESELNNLRTELGVKTDKIKSSDLIAKINGGGTDYLTIRCFFILLCYKLLLPSSQNHVTEREVALTKSPKDIAEVNWAKAVVDNLRLAARKWHSNKLAVSKKKRTLSGCVACLLLYYLDHLRSPHSIPCIITPRTSVYTTEIIKKIIKADKRSHSGHIYGLLDFRSMVGTCYSMGSRQLVPNISIEPLHSNFFQDLSPRKREIATSYFNTVDTLMGQILKERNLFMISMGVQDAQNSSRAAEPQGGDSGIQDDPRNKHFEAYHEVAADQQIRIVDGSPTAPPPAPAAEDGSSVPPPPAADGSLTALAAAAAEDGGGGSLPPAASLKHARKRTVRKETSKMTLNASKGDKDEDKNPGLRRSKRRMNHPTPSNY >PVH63530 pep chromosome:PHallii_v3.1:2:3558934:3561973:1 gene:PAHAL_2G048700 transcript:PVH63530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGMISRKRQAKSRLPASDLVHSQESHEEQGNKCSQKRCDINMRSSPKCVVDAIAALSLDQKNKIKELGFGELLKFSLDGFGDRYMLEFLMDHTDPENMEIRVGGGDKNLPINEHVVQCVLGVPTGKGRDTPDYPNMESELNNLRTELGVKTDKIKSSDLIAKINGGGTDYLTIRCFFILLCYKLLLPSSQNHVTEREVALTKSPKDIAEVNWAKAVVDNLRLAARKWHSNKLAVSKKKRTLSGCVACLLLYYLDHLRSPHSIPCIITPRTSVYTTEIIKKIIKADKRSHSGHIYGLLDFRSMVGTCYSMGSRQLVPNISIEPLHSNFFQDLSPRKREIATSYFNTVDTLMGQILKERNLFMISMGVQDAQNSSRAAEPQGGDSGIQDDPRNKHFEAYHEVAADQQIRIVDGSPTAPPPAPAAEDGSSVPPPPAADGSLTALAAAAAEDGGGGSLPPAASLKHARKRTVRKETSKMTLNASKGDKDEDKNPGLRRSKRRMNHPTPSNY >PVH64087 pep chromosome:PHallii_v3.1:2:26630522:26636069:-1 gene:PAHAL_2G181300 transcript:PVH64087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKENDIVDWFIPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILEAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLIPILDQSTVRPCLVELSEDPDVDVRYYANQALQVCDQMMVSS >PAN11602 pep chromosome:PHallii_v3.1:2:26631031:26635209:-1 gene:PAHAL_2G181300 transcript:PAN11602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKENDIVDWFIPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILEAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLIPILDQSVVEKTVRPCLVELSEDPDVDVRYYANQALQVCDQMMVSS >PVH64466 pep chromosome:PHallii_v3.1:2:43845986:43847351:-1 gene:PAHAL_2G276400 transcript:PVH64466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASHHHDALWAKLHELEVQLAAYKLLRAARCGEDAGAPGAAGTTPGSSACRGRQYDAYMRRRNARRVAAASAEQQLQLQRAAKARQLRAARAAPVSPRALRCAQEAGAATPRLATAQAKRTPAAVSIPSTPRRDAAALPRSKTVTGGGAAGSPARPSHHQRRNSLGALAEFGECATPRPFLKRGTGTGGAAAPARLRTPRVHDIPAIDVTSSTPRPPPQEPAYAHAPRHARSVSELPLEAAALASPQAQARARKRWGSPERPAAIFSAASAGDSHRDLSKGLRKLLSFVRKGGGRSGGAGDQQPFPAPSPRGGGKSVSKGWAGCSPVDVPMGDRASLEGHRLPMTRAVGISG >PAN15459 pep chromosome:PHallii_v3.1:2:57090046:57094014:1 gene:PAHAL_2G489600 transcript:PAN15459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQPSTLTETLAQGPNLCPVKILLPMGPPDVVSSESVEYDFSDVFGSTPVQTPTNLCGHGPDSPALTAESNEEVYNDPVVIIKRSHSLVGPTSLVSRSLRLSKLSLGKTAGSSELAKCLSEEKEGEQGQLSDEEFDNATTEYEGVGLDDFEILKLVGQGAFGKVFQVRKKNTSEIYAMKVMRKDKILENNHAEYMKAEREILTKVDHSFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFCENTRSNSMCGTLEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGLLHKEPNKRLGSGPRGSDEIKNHKWFKPINWRKLEARQIQPSFRPNVAGLTCIANFDECWTKTPVLDSPVATPAGGGHNNFAGFTYVRPAPILEEVQPSSSRLED >PAN13280 pep chromosome:PHallii_v3.1:2:47045844:47047900:-1 gene:PAHAL_2G325500 transcript:PAN13280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVAAAWLPPAAARRSSLSSPRSPFAAPISIHVPRRAPPPCPSPLPQRSRLVVASAQFDFARAVQTAWRVGTDVVEAGSNFVPGSVPRPIARIAVTFAAVSVAIFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEASGSRVDETGGNPSEDPVEEARRIMEKYK >PVH65059 pep chromosome:PHallii_v3.1:2:52482313:52483115:1 gene:PAHAL_2G414200 transcript:PVH65059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLEAEGEVDPRPLALSALELGAGAAAEGADGLGLVGAARGHDALRQRPVEVPAQHLRLQRTAGGAAVALGERPMRRSAGPRDRQRRRGVRVGLAVPSPAAAAGHGVWWENRRRRSVPA >PAN15049 pep chromosome:PHallii_v3.1:2:55163148:55165061:1 gene:PAHAL_2G460100 transcript:PAN15049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTSGPKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYVKKKLRQRGIDPATHKPLAEASSGRRGAAAASRTAVFSDAELILSSSAGQQHMPPPPVTKAESYVYSRSISADGGASDGSLQSLSGYNQAGDFAAAAYLQDPDALQPCGPSGVPAVVLPSASSSSTLNSMGGLSPAATTTTTGTDEQCNNNSSSGGNWSFELSTQQSCSASHLPWLELGTSGYANGTAAAAVDHYGAALDELKWSDYVFDGYGGGGQYQPQGQCIYGDSKDAVQFADASGLGSSWCLN >PAN13028 pep chromosome:PHallii_v3.1:2:45983348:45985456:-1 gene:PAHAL_2G308600 transcript:PAN13028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPARGSSSSSAGESLRNSCNDFARTLARLPASIMEGLSRSIPRRRSHHHPVPHRLQPPPQAPPLLPPPFVPEELFFFSVFEQQYGAHHPFFYGCRFADALRAARREGKLVFVYLHDPGHPYTEPFCRRTLCSDVVVEFLDANFVSWGAVSGSGEGPGMVASLQPGSFPFCAVVAPVSDESIAVLQQVEGPVSQSELVEILQRTIDEQGAAFRASRPDEQAAAVRSARTAEEEERRRSALRLRQEQDAAYLESLRRDQEKERSRKSLQEGAAKPRAGIQLRPRHPPGQAARQPTKPTQIRAPPQKETAASPRTEPNTKIMIRFPNGERRLQSFRHTDTIRDVYRYVDSLGIPGIGSYQLVRSYPRKTYGQQQLGMTLGDAGFYPSVTLYIEQLS >PAN09431 pep chromosome:PHallii_v3.1:2:1674279:1678461:-1 gene:PAHAL_2G023800 transcript:PAN09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKDMIGRARTGTGKTLAFGIPIMDRIISYNEKNGSSRNPLAIVLAPTRELARQVEKEFRESAPLDTLCVYGGVPINQQMRVLNYGVDIVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMEQLPQNRQSMLFSATIPSWIRKISNKYLKDPVIIDLVGDSDQKLPEGISLYSIASDNFGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYVMGRSYPCQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVVHYEIPNTSELFVHRSGRTARAGKKGSAILIYTYEQTRAVRVIEQDIGCRFTELPKMPVADEAADMFNVMRDTRSRSVGSRRTGGSFGRESFGGFGDRRSSGSGDFDSIGGSFDRGGGFRDSGSRNRGGSGGFRRPSNEFGRSSFSHSDRFGDFGQGDFSRRGSPDFGRSRSSDDSGSSRYGRQSGGFGTSDFGSFKDSKR >PAN13634 pep chromosome:PHallii_v3.1:2:48749176:48753682:-1 gene:PAHAL_2G352800 transcript:PAN13634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASEDEAASERCCGSYSPSADVSESETSSDGSAPTTRRFASSSSASATVSRLASSSSSLPTPASAAAFYLSKPASDLSEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAAARKAMWTREMEWLLSVADSIVELTPSIQELPEGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETDFWYVDRGIVVEDSGGPFPSSSSSSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQSRDCANQILKAAMAINSDVLAEMEIPEVYLETLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKLTPQAKSKKSWGGKVKGLVADKEKSHVLSERADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAAESVSIFNRGVGVPVQKRISPSPFSIQNTPYASPFATPTFCSSTPVTGSPGRVHPPLNKNSLGKQEIKVEKLFSGDLEKVWTYAGNLSARKDAGDAPERD >PAN13633 pep chromosome:PHallii_v3.1:2:48749176:48753063:-1 gene:PAHAL_2G352800 transcript:PAN13633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAAARKAMWTREMEWLLSVADSIVELTPSIQELPEGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETDFWYVDRGIVVEDSGGPFPSSSSSSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQSRDCANQILKAAMAINSDVLAEMEIPEVYLETLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKLTPQAKSKKSWGGKVKGLVADKEKSHVLSERADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAAESVSIFNRGVGVPVQKRISPSPFSIQNTPYASPFATPTFCSSTPVTGSPGRVHPPLNKNSLGKQEIKVEKLFSGDLEKVWTYAGNLSARKDAGDAPERD >PAN14642 pep chromosome:PHallii_v3.1:2:53186192:53190151:-1 gene:PAHAL_2G426200 transcript:PAN14642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKKPPAVSDVGAWAMNVVSSVGIIMANKQLMSSSGYAFAFATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFLCACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAISLIILGPFVDYYLNGRSLLNYNFSGGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNIMGMLLAVMGMVVYSWAVEAEKKAATPIPRNKSDMLDGEDVPLKARVSGLPTVDLEEGEMKS >PAN14818 pep chromosome:PHallii_v3.1:2:54430412:54431451:1 gene:PAHAL_2G446500 transcript:PAN14818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGLEEGEDSDFRPDLQVWPLRPPRRSTWLRLTSLPWTLSSQPQIVGAWKELCLPEQWKESKVPQFVILGSGRFCIARFFFFPTTNLDDLSH >PVH63801 pep chromosome:PHallii_v3.1:2:8785070:8785836:-1 gene:PAHAL_2G109600 transcript:PVH63801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLACCLPLPIPLHHHHLSFGKLGDPLSIFILIHEFGDDGERWNAHAGAIGRASLLGLIITRIQD >PVH65174 pep chromosome:PHallii_v3.1:2:53888354:53891201:1 gene:PAHAL_2G436800 transcript:PVH65174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVKQHRCTHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAILSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPLPCKSDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKKMLIEKRARFHPKELCPYCKAKLWNMFQENMIPRSASARLGAYDDSVEYFVCLNGHVIGISTLLPLSDSEEAADE >PAN13852 pep chromosome:PHallii_v3.1:2:49632476:49635069:1 gene:PAHAL_2G368300 transcript:PAN13852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFCAAACRFPVAAPPSAQAPRPRRGMVAVRAEAGAGGGINPAIRKEEDKVVDTVLTGELAKPLTAYCRCWRSGTFPLCDGTHVKHNKATGDNVGPLLVKK >PAN09560 pep chromosome:PHallii_v3.1:2:2336076:2337764:-1 gene:PAHAL_2G033300 transcript:PAN09560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTSPASGVPAAEMPPPRVVEDLLGLVQLLNDGTVTRAQAPLVLPDDAPAPSADAPASVRWKDVVYDETYNLGLRVYVPSAEGKKFPVLVYFHGGGFCVGSFARPDFHAACLRLAAELPAVVLSADYRLAPEHRLPAALDDAESLFSWLRAQAAATTADPWLAESADFGRVFVSGDSAGANIAHHVAVRVGSGTLATAPARVAGCVLLYPYFGGERRTASEAACPADVFLTLPLYDQMWRLALPAGASRDHPLANPFGPDSPALPAVLPPVLVVAGDRDMLVDRIRDYVAWLNATGSKRAELAEFAGQGHGFSVFEPDGEAAGELLRVVLRRFVHGGAAAPAS >PAN13725 pep chromosome:PHallii_v3.1:2:49181599:49184616:1 gene:PAHAL_2G360500 transcript:PAN13725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGKSDDQILNELDALSHTLYQPHSKRRTASLALPRAGGDGNAGGADAVRAEARPLSRRLSMSPFRSRPKLDKTLNDDDDDYDAAGVALPSKSQSFAAVTTRLSVAGEKKGIWGWRPIRALSRIGMQRMGCLFSVEVVAAQGLPPSMNGLRLAVAVRKKETRDGAVQTMPSRVQQGGADFEEMLFVRCNLYCSGGGATGKPLRFEPRPFLISAVAVEAPGLDLGRNAVDLSLLVKESLEKSQQGERVRQWDMAFPLAGKAKGGELVVKLSFQIMDDGGVGLYSQPAVAGRTSSSASSSLLARKHSKSSFSITSPKVARPEPALIPSKGAPSPDLLSIDDFKLDEPSPVVAEVKQEEQKEPEREAEDEKADDSEFPEFDIVDKGVEGQEEKDEPKEEAEDKKEAEEEDASAAGGDEVVKEVVVHDSAHTWRLNELEAITNQIKALENMMHSDVPDAGAESPERQEEAAGLDADEEEVTREFLMLLEQGEDGDGTGKLTARQQVSSLKSGAKPGSGDDATCYISDLGKGLGPVVQTRDGGYLTSMNPFDIPVERKELPKLAMQLSKPFILHDQKLPGGGAEVFQRLCAGGSEALCAKLGALISMDDVVGKTAEQIAFEGMASAIITARSKEELVASSTAAQSVSLLRTMSMAMNAGRQDRIATGIWHVQEAPVTVDEILAFALQKIETMAIEALKVQADMIDDQAPFEVSPDKTQAGHLLDTAAPPEEWASACAGADAVTLLVVVQLRDPQRRYEAVGAPSVVIIQAARAAAGGDDEPRFKVANLHLGGLRLKSPDRRNMWDGEKQRLTAMHWLVAYGLGKASRKSRAAAAGKAGSEVLWSMSSRVMADMWLKPLRNPDVKIPQK >PVH65218 pep chromosome:PHallii_v3.1:2:54095808:54096247:-1 gene:PAHAL_2G440400 transcript:PVH65218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNQSIFHMFNTKDVSHPNMMVKLVRSTSLDGEKPGLLSQAHDMIQYWKLDLHVVVGLSTL >PAN15319 pep chromosome:PHallii_v3.1:2:56461486:56462656:1 gene:PAHAL_2G480600 transcript:PAN15319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF071 [Source:Projected from Arabidopsis thaliana (AT2G47520) UniProtKB/Swiss-Prot;Acc:O22259] MCGGAIISEFIPQRDARGRAGKRGLCAEDLWPQPGVGFDDVPAADGYELTGAASFPHDQEPARKRERKTMYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGSKAKVNFPNEDPPPDDDQAQGTMLPVSLVTDYDAAVIGYFHQQHPYVPNAVPVMAAPPEDAVAYVHHHQLQQDAGMEMWTFDGINTGVPL >PAN14319 pep chromosome:PHallii_v3.1:2:51696817:51698018:1 gene:PAHAL_2G400400 transcript:PAN14319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAASSKASSHDDDD >PVH65364 pep chromosome:PHallii_v3.1:2:56043123:56049422:1 gene:PAHAL_2G474600 transcript:PVH65364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNGIFRNDTTDVYEGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPEEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKEARINSMRAAVSETFPEPNRRLLQRILRMMHTIASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDFDMNGDSAAQLIAAANAANSAQGIVTTLLEEYDNIFNDEHLRCSLSPDSQTGDSGSEESTDDETVDIRDNGFHDAENDVDQDLDDAERILSGKLSETSACTRADLYGYKEVNGNDSDAEPSVEDNALESNIGPNDAPLSHLTETGSMRVQQSLNEKEPSNPVSSHETPLSMGEILLSLDAGIPLPSPGAEYPKDRHSNKPNGTQQHVKRSNLWGRNNARKGQQSELIDPSGEEELAIQRLEVTKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQLSSSRSMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSSVDANDRYQHLPSHISQNIVQPGFDRSIAFCNQEKKQRNEVPVFSSLAEAGEKEMVTLAMAKAHCHGGRQCSTTRTR >PAN14587 pep chromosome:PHallii_v3.1:2:52950769:52952010:-1 gene:PAHAL_2G421900 transcript:PAN14587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLAAVCALLLLLNAGHAESRRHGDSDSERQYKLFVFGDEYADTGNYPAADLTKTTRAWYYPYGSNDKDHGATPSGRFSNGLVLPDFVARILGLDESPPAERKREQDGVDPSGMNFAVGGAGVVEGTGEAPKLGRQVEKFKRMVRHGIIDDDLTDSVALIAFSGRRDYERFHDMTNTDVKAMAQDVTDKIADAVEQLMDLGVEKVVVTTLPPLGCTPGLSKSKDGVYDAKCDSQKVTSIHNSYLEEKVFQNKDVFNLDLKAAFNRHAGPSSRSKQFKYKLEPCCDSFDQGGYCGQTEDGEPQYNLGSKPSKFFYWDDINPTHAGWKAVVKEFEESIKNFLHI >PVH64112 pep chromosome:PHallii_v3.1:2:29989922:29990782:-1 gene:PAHAL_2G190900 transcript:PVH64112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPSFPSLLINALESLGVTERPRYYIREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALHYLCRIFEGHLAATPVRFFLSAIRTPVWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTRSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPENPESSAAVVERDVAAQPLTDGNPENDKQGLLTLPAPEEGMPRE >PAN11055 pep chromosome:PHallii_v3.1:2:12541297:12542744:-1 gene:PAHAL_2G136800 transcript:PAN11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAACKDGEQSQSGIGGRDDDRKNVNGEPKECAVVPVNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADIAESIAHFARRRQRGVCVLSGAGTVADVALRQPAAPGAVVALRGRFDILSLTGTFLPGPSPPGATGLTVYLAGGQGQVIGGIVVGSLTAAGPVMVMASTFANATYERLPLDEEAEEAMEGWPGGGVPPMMGGGLPDPSAMPMYGGVPHNLLLPGGGQLGHGAEGSGWPQARPLY >PVH63926 pep chromosome:PHallii_v3.1:2:12540545:12542745:-1 gene:PAHAL_2G136800 transcript:PVH63926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAACKDGEQSQSGIGGRDDDRKNVNGEPKECAVVPVNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADIAESIAHFARRRQRGVCVLSGAGTVADVALRQPAAPGAVVALRGRFDILSLTGTFLPGPSPPGATGLTVYLAGGQGQVIGGIVVGSLTAAGPVMVMASTFANATYERLPLDEEAEEAMEGWPGGGVPPMMGGGLPDPSAMPMYGGVPHNLLLPGGGQLGHGAEGSGWPQARPLY >PAN11972 pep chromosome:PHallii_v3.1:2:39577847:39580132:1 gene:PAHAL_2G232900 transcript:PAN11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESADGSNGGSGGLVVTELSHIKELVRQLDVHLGRSHDLCRHLTTQIFSITERSISIITASSGLDAGARKRCAAGDAGLASPFTATPTSDVADGPFKSTKKRKVMEKRKHQVRVSSPRGGAGENPVDDGHSWRKYGQKEILGTKHPRGYYRCTHRHSQGCLATKQVQRTDEDPTLFDVIYHGDHTCVQRPPAAAPAAAGQPEHNPDANSFLSLTAGLTVKTEGLPALAADREGWSATAPFYFSSTTPASVCPATAERSPFSAPSTSENWGVSPATSDSNHVASYLPFEDAEWRGQNELQEVVSALVAAGAPPAPAVDGLDELLDIDIASFFA >PVH64351 pep chromosome:PHallii_v3.1:2:41265248:41266727:-1 gene:PAHAL_2G246500 transcript:PVH64351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGWLAVAGKAGTVAGTSLGLLMAPTSFLGLPGWRLAFLLLGILGAAVGVSIRAFAASDAAGGRVVTAATVKPVRQELQEFAREAKAVMRVPSFQVIIAQGLTGSFPWSALLFTAMWLELVGFSHGETAALMTLFKVATSLGALFGGKMGDALARRFKNSGRIVLSQISSGSAIPLAGVLLLGLPNDPSTTVKHGAALFILGIMASWNGTATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGMLAERLYGYKLARSTAGGGGVDERAAVDADVEMERHNATSLARAIYTSIAVPMALCCAIYSFLYCTYPKDREVARAEAARDRGGHGGDGSDSEDEGDGEKKLLPQ >PAN12774 pep chromosome:PHallii_v3.1:2:44850330:44851737:-1 gene:PAHAL_2G290500 transcript:PAN12774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPRLRSPRPPQLLSRPPTPPLPPPPPPLSAATSPFRDDLSFPFPSPFGGHHLLPPLPMSRTPVGRVGSDLNHARTGHRHATTSDDSESSKDRDRDNRARSKASPFLSGLAAAWRKESTGSSDAAGKQQAEDRRKDKAKRALDVGQWLKRHMASMVEQLRASFSRQAERDRQEQRRRPHSFSGHGPGAAREMRERERWRRRRGQLSSAPASLRVSPANSGHLSVGGSVKVSTSSEESTMEELQSAIQAAIAHCKNSIATKQTAAAGDDSKLQ >PVH63791 pep chromosome:PHallii_v3.1:2:8525326:8525989:1 gene:PAHAL_2G107500 transcript:PVH63791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVVADRPCTLSCRWVRSNPKHLLAQACGYPTRPVKKKARMPDHVEHDERYCWHELEDQDKPMILGEIHSSKPSLEGSAILTDPWTKLWKHIATVHEVHEEDQQVGQVGIVNYNLWASTNAMAQISGSLFVNKVKYYLETKDGVAVGFKNTSSLMLRVKVSVAKCISTLLSNIVLNYKIREGVRANIHCLY >PVH64388 pep chromosome:PHallii_v3.1:2:42083945:42085292:-1 gene:PAHAL_2G255700 transcript:PVH64388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGEPVDDGAAASSSSSSFSSLPGADEDAFQEPLRGHGARAPPAAGVGQRTLRRLWAAARAGAPRLRQACENAARDLVAWARQGGAARALLVVTVGSVALAALTGLLMVVVFVAAAATNAVAFSVLVPMTAAGGLLAVLLALLAAAYVCALSVAVFVISSTTIVTVIAITIATGWAAFFWVVWFGAIECLNLTTKRWTTGATIP >PAN14927 pep chromosome:PHallii_v3.1:2:54799177:54804692:-1 gene:PAHAL_2G453100 transcript:PAN14927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRAGRTGDARRRLELRVAPTHPHRWRAAPETTRRTAGPSRPRRTATDMTETAPVVTPPTSIINAVRTPTLLSRASTAAPAVSAFPAAEPLVPIRFESASLSRASHRNSGSLHPRISRATTASANPNQFIRRVPETMDMDLVDDLELLDDYDDLEFDRFGVAAGDTSRQECKQVDKKGTFYDFCFNTRLARSTVVHFQLRDLVWATSKHDVYMAQNYSVMHWSSLLQKGEEVLNAIDNVFPKQKVQGARPLFRMQTCSMAVRDNFMVAGGFRGELVCKYVDQPGVAFCTSVAEDDDNITNTVDLYESPNGSTRVIAGNNDCVVRVFDTERFRLLSHFAFPWSVNDTSVSPDGKLVAVLGDSSDCLIADLQSGKEIASLKGHLDYSFSSAWHPDGRVLATGNQDTTCRLWDVRNLSRSLAVLRGRIGAVRGLRFSSDGRFLAAAEAADFVHVYDARAGYSREQEIDIFGEVAGVSFSPDAEALFVGVADRTYGSLIEFRRRHRYEYLDSYL >PVH65288 pep chromosome:PHallii_v3.1:2:54799430:54804655:-1 gene:PAHAL_2G453100 transcript:PVH65288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRAGRTGDARRRLELRVAPTHPHRWRAAPETTRRTAGPSRPRRTATDMTETAPVVTPPTSIINAVRTPTLLSRASTAAPAVSAFPAAEPLVPIRFESASLSRASHRNSGSLHPRISRATTASANPNQFIRRVPETMDMDLVDDLELLDDYDDLEFDRFGVAAGDTSRQNKNKYDTTSAAEYRNGKDMQGIPWERLHYSRDDYRGRRLNEYMNYESLFRSHELLDSECKQVDKKGTFYDFCFNTRLARSTVVHFQLRDLVWATSKHDVYMAQNYSVMHWSSLLQKGEEVLNAIDNVFPKQKVQGARPLFRMQTCSMAVRDNFMVAGGFRGELVCKYVDQPGVAFCTSVAEDDDNITNTVDLYESPNGSTRVIAGNNDCVVRVFDTERFRLLSHFAFPWSVNDTSVSPDGKLVAVLGDSSDCLIADLQSGKSAGNREPQGALGLLVLVGVAPRRARPRHREPGHHLPAVGRPQPLAVPGGAEGADRRRPGPQVLVGRPLPGGGGGRGLRPRVRRARRLLEGAGDRHLRGGRGRVVQPGRGGPVRRRRGPHIRQPHRVPQEASLRILGLLSMRLCT >PAN14926 pep chromosome:PHallii_v3.1:2:54799177:54804691:-1 gene:PAHAL_2G453100 transcript:PAN14926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRAGRTGDARRRLELRVAPTHPHRWRAAPETTRRTAGPSRPRRTATDMTETAPVVTPPTSIINAVRTPTLLSRASTAAPAVSAFPAAEPLVPIRFESASLSRASHRNSGSLHPRISRATTASANPNQFIRRVPETMDMDLVDDLELLDDYDDLEFDRFGVAAGDTSRQNKNKYDTTSAAEYRNGKDMQGIPWERLHYSRDDYRGRRLNEYMNYESLFRSHELLDSECKQVDKKGTFYDFCFNTRLARSTVVHFQLRDLVWATSKHDVYMAQNYSVMHWSSLLQKGEEVLNAIDNVFPKQKVQGARPLFRMQTCSMAVRDNFMVAGGFRGELVCKYVDQPGVAFCTSVAEDDDNITNTVDLYESPNGSTRVIAGNNDCVVRVFDTERFRLLSHFAFPWSVNDTSVSPDGKLVAVLGDSSDCLIADLQSGKEIASLKGHLDYSFSSAWHPDGRVLATGNQDTTCRLWDVRNLSRSLAVLRGRIGAVRGLRFSSDGRFLAAAEAADFVHVYDARAGYSREQEIDIFGEVAGVSFSPDAEALFVGVADRTYGSLIEFRRRHRYEYLDSYL >PVH65289 pep chromosome:PHallii_v3.1:2:54799430:54804655:-1 gene:PAHAL_2G453100 transcript:PVH65289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRAGRTGDARRRLELRVAPTHPHRWRAAPETTRRTAGPSRPRRTATDMTETAPVVTPPTSIINAVRTPTLLSRASTAAPAVSAFPAAEPLVPIRFESASLSRASHRNSGSLHPRISRATTASANPNQFIRRVPETMDMDLVDDLELLDDYDDLEFDRFGVAAGDTSRQECKQVDKKGTFYDFCFNTRLARSTVVHFQLRDLVWATSKHDVYMAQNYSVMHWSSLLQKGEEVLNAIDNVFPKQKVQGARPLFRMQTCSMAVRDNFMVAGGFRGELVCKYVDQPGVAFCTSVAEDDDNITNTVDLYESPNGSTRVIAGNNDCVVRVFDTERFRLLSHFAFPWSVNDTSVSPDGKLVAVLGDSSDCLIADLQSGKSAGNREPQGALGLLVLVGVAPRRARPRHREPGHHLPAVGRPQPLAVPGGAEGADRRRPGPQVLVGRPLPGGGGGRGLRPRVRRARRLLEGAGDRHLRGGRGRVVQPGRGGPVRRRRGPHIRQPHRVPQEASLRILGLLSMRLCT >PAN12400 pep chromosome:PHallii_v3.1:2:43906945:43914365:1 gene:PAHAL_2G277300 transcript:PAN12400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFGAPADDPKVFRNICRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMGYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFTIDMQGFVTDHDSALNDLYGPSEQNSKMFNDTISTMATRIATTFASLKEFPCVRYRAPKGDASATTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGTKYIYEVSKAGSEPEQKEAVLEDHDPLWLELRHAHIADASERLYEKMNNFVSKNKAAQLHSRDGGEISTRDLQKIVQALPQYSDQVEKLTLHIEIAGKINRFIREYGLRDIGQLEQDLVFGDAGAKEVISMLRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDDMDVIKCLRYLEGVDTKKSSRTGTFSLKFDAQKKKNAARVEKQDGEETWALSRFFPLIEELIEKLSKGELPLKEYPSMGEPSSAPQGATQTASTAGPPQNPQPMSMRSRRTPQWAKSRTSGDSQSSDSSVLRHSSGEFKRLGNRIFVFMIGGATRSELRTVHKLTMKMKREIVLGSSSIDDPPQFISKLKSIGSAANK >PVH64793 pep chromosome:PHallii_v3.1:2:49063368:49066955:-1 gene:PAHAL_2G358400 transcript:PVH64793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSAIRYAAVLLLLLVKPCASDDRLVPGKPLFPSATIVSDSGSFALGFFSLTNSTPAKLYLGIWYNDVPRLTVVWVANRETPITNSTSPPPALSLTNSSDLALSDASGRVLWTTNVTGVSPSPTAAAGLAAVLLDTGNLVVRSPNGTTLWQSFEHPTDTFLPGMKIWIKYKYNRERRGERIVSWKGPDDPSPGSFAYGADLVTFLQVFVWNGTRPMLRSAPWTGFMVDGQYQANSSAYIYVAIVNTEEDTYISYSLSDGAAHTRYVLTYSGEYQLQSWNGSSSAWAVLGKWPTWECNRYGHCGPYGYCDSTMAAPTCRCLDGFEPSNSDEWSSGRFSRGCRRKEALRCGDGFLALPGMKSPDKFVLVQNRTAGECTAECARNCSCVAYAYADLSSRGTKGGVTRCLVWAGELIDTEKMGDMAGGETLYLRSAGSRSRAKTNAMKIVLPTVLVSSIAILAGIILACFKLQARKRNREDHKKLGLILGGTNTSDGLGEENTAQDFEFPFVRFEDIVAATDNFSEACKIGQGGFGKVYKAMLNGKEVAIKRMSKDSEQGTKEFRNEVVLIARLQHRNLVRLFGCSVQGDEKTLIYEYLPNKSLDATLFDNSRKMLLDWPIRFNIIKGVARGLLYLHQDSRLTIIHRDLKAANVLLDGEMRPKIADFGMARIFNDNQTKANTRRVVGTYGYMAPEYAMEGIFSIKSDVYSFGVLLVEIVTGVRRSSIDDIMGFPNLMIYAWNMWKEGKTGYLADSSIMDTCLPDEILLCIQVALLCVQENPDDRPHMSYVVLSLENRSTALPKPNQPAYFAQRSTEIEQIRYNTYNSVNTLTLTNIEAR >PAN13701 pep chromosome:PHallii_v3.1:2:49063796:49066880:-1 gene:PAHAL_2G358400 transcript:PAN13701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSAIRYAAVLLLLLVKPCASDDRLVPGKPLFPSATIVSDSGSFALGFFSLTNSTPAKLYLGIWYNDVPRLTVVWVANRETPITNSTSPPPALSLTNSSDLALSDASGRVLWTTNVTGVSPSPTAAAGLAAVLLDTGNLVVRSPNGTTLWQSFEHPTDTFLPGMKIWIKYKYNRERRGERIVSWKGPDDPSPGSFAYGADLVTFLQVFVWNGTRPMLRSAPWTGFMVDGQYQANSSAYIYVAIVNTEEDTYISYSLSDGAAHTRYVLTYSGEYQLQSWNGSSSAWAVLGKWPTWECNRYGHCGPYGYCDSTMAAPTCRCLDGFEPSNSDEWSSGRFSRGCRRKEALRCGDGFLALPGMKSPDKFVLVQNRTAGECTAECARNCSCVAYAYADLSSRGTKGGVTRCLVWAGELIDTEKMGDMAGGETLYLRSAGLDDTGSRSRAKTNAMKIVLPTVLVSSIAILAGIILACFKLQARKRNREDHKKLGLILGGTNTSDGLGEENTAQDFEFPFVRFEDIVAATDNFSEACKIGQGGFGKVYKAMLNGKEVAIKRMSKDSEQGTKEFRNEVVLIARLQHRNLVRLFGCSVQGDEKTLIYEYLPNKSLDATLFDNSRKMLLDWPIRFNIIKGVARGLLYLHQDSRLTIIHRDLKAANVLLDGEMRPKIADFGMARIFNDNQTKANTRRVVGTYGYMAPEYAMEGIFSIKSDVYSFGVLLVEIVTGVRRSSIDDIMGFPNLMIYAWNMWKEGKTGYLADSSIMDTCLPDEILLCIQVALLCVQENPDDRPHMSYVVLSLENRSTALPKPNQPAYFAQRSTEIEQIRYNTYNSVNTLTLTNIEAR >PAN14832 pep chromosome:PHallii_v3.1:2:54489290:54491235:1 gene:PAHAL_2G447500 transcript:PAN14832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGRSGGGCGKKRKRVAAGLGDLHDDMLERVLAHLPPASYIRLRGVSRRWRAAADSNTFRAACARVPARDPWFLMLEDSGHQGQEDQQRLPARPAAVFDSAERSWAQWRGAPGPAPVAAAGGLVLYRDAATGELTVVNPLTGASRALPPPPPSSAAGALQAVAMYGSPYSVVLILGELPDLSIASYDSTKDAWEGAVALTRKAEDDEASSRERVAEEGEGGDDTLYFLSKSGDVVATTMQRSASRQYSSAVACRGSGGDAVAYFLSRSGTVVACDLARRAFAELPRILPVYHEYSIDVVACGGAAYAVVLSELLDTASLRVWEHAGGAWRQVAAMPPAMSHALRGAKADVNCVGHGDGLMVCVSSGDAAARGCFLCDVRTNRWEELPRRAGEDGEAAAGFVAALSFEPRMEAAV >PAN09185 pep chromosome:PHallii_v3.1:2:194262:197045:-1 gene:PAHAL_2G002300 transcript:PAN09185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALLAVSVVFLVPGVLPQQQQDPPNLCGTKANGRYACPDCSTSAATSIRGAAFEANLLRFRDSLRDMAAANASFLNATFAAAGAGEDAVYGLATCLADAEMSDCAACLAAAAAELPGTRCASRRDMVLWYPQCLVRYDNASFFGAADMSPDRRFLVPNPNNFSDPAALDTARERLNGRMLAAAAESPARVAFGDEQVSANATLHGLAQCTVDLTLEECSRCLAAHMVWLGVCCADMDGARLSGPSCYLRFELMAFAPGTPPTMAPLVEPPPPSAAAPGGSSSGTGGSSSSSRKKARTYILAGALGGAALLLVGACLILTWWRWRKKEKERKQSSSSGGSRMKRMESLLQQQHPRRYSYAQVKRMTGSFAHKLGQGGNGAVYKGRLPDGREVAVKMLKEAKQVDGEEFINEVASISRTSHVNVVTLLGFCVEGKSKRGLVYEYMPNGSLERYTAGGDLSWERLLDIAVGIARGLEYLHRGCNAHIVHFDIKPHNILLDAAFRPKISDFGLAKLRPQKESTIAVSIAGARGTVGYIAPEVFSRQAGAVTSKSDVYSYGMMVLEMVGARRSGIVVDGSGSTGASSSYFPECLYQDLDGFCASSACSGPEEEEVVRKMVVVGLWCIRMSPSDRPSISRVLEMLEKMTVAELQLPLEAQAQAAAAAGSSLTD >PAN11015 pep chromosome:PHallii_v3.1:2:12032361:12038779:1 gene:PAHAL_2G133700 transcript:PAN11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSARGSPHSFPSSPLPPASPPQPGAERMFMRGGSGRSTGTSSSRSASLREIAEEAAVVADDGGGKLYVAVGKDFKDGKSSLSAAQSLGLLGGDLNLVLLHVHQPADRIMNGLCKVPASQLEEKELKAYRKIEQDEMNTLLNQYMTYCRLYLKVQAETLVIEKNNVANGIVELINQHSITKLVMGMSSFSTKRKVPKSKVAAIVHQQAKPYCQIFFICKGSLACTRDANLDSIKADSPRSSSASTLSDETELPARSVSLPPGHPGYMGSPDQPFLPRRSNSVSYPSPVLIANNVERMLHIAQHSIHVKPRNLSPNSSHPSNEGSSSSSLKDLDSMDGSPLPASIVSSEEQQMPMVETGMQNEVFEQLQQVRNELERSRKEASEGRQKAERDLFEASRKFKARENSLLKEKREVEERLAKEKAFLEKENFQIFNDLQKANEQRAEMENKLLQTNSLLEQLKQLQGQLQREKEDALREAEEMRKLYGNSNFISAGAGEVSLTEFSYSDIQEATNNFDESREIGHGGCASVYMGFLRHTTVAIKKFNREGIVGEKEFNDEVEILCRMRHPNLVTLIGVCKDPKVLVYEFLPNGSLEDRLQCKQHTEPLPWRMRIRIAADICTALIFLHSNKPKSIAHGDLKPDNVLLDANFVGKLGDFGISRSLNLTNTTVTPYHRTDQIKGTLGYMDPGYITSGELTAQYDVYSFGVVLLRLLTGKSPLGLQSEVEAALNSGVLHEILDTSAGGWPPEFAEELASLALKCCRYERKERPDLAKEAWGVLQATMNEPTPSSSLPPEAPSYFICPMTQEVMRDPHIAADGFTYEGEAIKDWNQRGHKMSPMTYLNFAHHQLIPNNALRFAIQEWQTKQQQ >PVH65020 pep chromosome:PHallii_v3.1:2:51886887:51888842:1 gene:PAHAL_2G404000 transcript:PVH65020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGHDRISGLCDDLLVTILVGLHSAAEAARTSVLSRRWRRVWTKIPELIFLDDSSSPDAVDSALAAYSAPEMDRFAVGLTDMSRPVCAARAASWLRFAAARAPREVHVLLPCKEPPPLPEDDVEEELEVPILERTLWLNAKFVYCFRIRLPPAGAGAFAELRILSVTLCRVSGGDLSRVVSMQCPCLLALELAMLDVDGDVSIRSGTLERLALRAVGVGNRLYVAAPSLEWLQVEWDDGYDPARHRFIGTGRCLDRLAVTQSSQMPPLLERFDAADELSLHLFIPPFPNAYPIFLENTRKLPKCKALTVGLKVVAHSIKSSLLHLLKQCGSITKLEIELIHHSAPKVSLCEYLRCPCVQQEMMKMTDNVALDLLEEVEFHFFTGSDEDVDLVKLLFMCKKTLKKMVINVADDVAISDEVHEKIKSFSHLSTTLEIGGPSSHKRDVCLCKEHDWY >PAN14550 pep chromosome:PHallii_v3.1:2:52779439:52780822:1 gene:PAHAL_2G419100 transcript:PAN14550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARHPCFGGLLPERLGCVQCNFCATILLVGVPCCGGGLQLKTVAVQCGSCGGILSVTLPPSPPAASVELPLQESGVDPPPRHSDESSGEDRETAAAVAENHAFPAVIKPPERKQRTPSAYNCFIKEEIRRIKATDPNITHKEAFSAASKNWAHLPRIQQKEN >PVH64359 pep chromosome:PHallii_v3.1:2:41470123:41470455:-1 gene:PAHAL_2G248700 transcript:PVH64359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRQWSWSSGGVAVAAVLGLLFVLCAGHPVPGGHGGGGFPLQPHLLRAHVPAGAGHRGEGARGGPAHGGVVLAADELPRLLRAGVRRLRAARRRRQQQVCHGEAVQHQQ >PAN10023 pep chromosome:PHallii_v3.1:2:4870678:4873994:-1 gene:PAHAL_2G066000 transcript:PAN10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALMDELVEEILLRLPPGDPSSLARAAVVCRRWCRIISDRGFRRRFVRRHPAPPVLGLLANLRDGDIDDHNDFDFVARFVPATPFCPRFPDHRDRCALDARHGRVLLTTTPWGSDLEVWDPVTDVLWELAPHPRYLGDPFSWNATVLCADHGVCDHLDCHLGRFVVVFLDSDTGTMRVHLYLSEAAAWSPPMYGPQSTVHGVETLPTALVGNALYFLIDATQSILECDLATMNMSVIPLPPEHFVDFAVLMTMEDGQLGFARIVGSRLLLWSMKTDPEGHAGWSQVRVLELETLLPADAFPISDDYVGFAHGVGVFFVPTEDRQSIFSIDLNSGWVRNEDCGDGQTHGVVPYTSFYTPDFHQYSVAPV >PAN14651 pep chromosome:PHallii_v3.1:2:53249601:53250652:-1 gene:PAHAL_2G426900 transcript:PAN14651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRRQEQCQGQPARGPIDADNPHLGEPPVLRLVAPERRHATSFAALGSNILAASNQHPGTLVYDTETAGLATGPCLPDPLLGGVNIFVVAGGTLPASDWSWRSVPFPPPFMPEEVIVSYAVHPDGRTIFLSAAHGYLSNRTFSFNTRRREWRCHGDWALPFRGQGYFDSQLDAWVGLHKDGGVCSCQVASRSSTSAVQPDWEMVEDKLWSHDNVAFRPTLTYMGGARFCIVECVVREGLKYTHAFGDRDGCMLHITTFGLKYSRKGELQTIGRTTKSHIVSKQSSPFSPVAFWM >PAN13475 pep chromosome:PHallii_v3.1:2:47971766:47973346:1 gene:PAHAL_2G340100 transcript:PAN13475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKRHRRQSPPAATSGASPTSSSNPESAVLRSPSPPPDVLPDIASRLTSLEDFFALRASCRAYRALLPPSRGVLASQSPLLLVALFPSFSEALFHLSLRRLHRFRLPWGHHLPPSRHTLLYAHGCLVTATTASSHYPPRLLLLHLFSGVQIRLPKVPAPFTRIILSEDLAVVLFLPGRPTVQHCHLGDALWRVVCADAPDVVDDMLFVGGTLYALVNGLRLAIVELSENSLELSYLGGDLDDESRPAGELFRLGECGGDVLLISEDHEMMDYRVFQWVSEEGKWASVTSLGGRTLFLGYHGFASCLGPDYPGIRGDCLYAAGLRLGEWHEYSLTDGICDVRYAEYPGAPPLNNSSPARPPVWVFPSLC >PAN12897 pep chromosome:PHallii_v3.1:2:48794808:48795577:1 gene:PAHAL_2G353600 transcript:PAN12897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAKRFAQLAKKWQRMAALRRNRKQLSWEAAKGADECCTSVAGKGHCVVYTVDGRWFEVPLAYLSNVVFIELLQMSHEEFGFASDGRITIPCDAAVMEYVMCLLRRSVSAEIERSFLSTMAVPCH >PAN14030 pep chromosome:PHallii_v3.1:2:50357110:50358890:-1 gene:PAHAL_2G379400 transcript:PAN14030 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXL7 [Source:Projected from Arabidopsis thaliana (AT2G35150) UniProtKB/TrEMBL;Acc:A0A178VYH7] MGRLLPRHPSLLLAAAIAAICISSSNLAAVRCAPVYRPDYLVDGNQLVDMQYHMGPVVSSSPTNLYLIWYGRWEPAAQAVLRDFLASLSAPAPFPAVSDWWARTPRMYADQTGANVTGAFTVAGEHSDAGYSHGASLRRIDMQSVIRSAVYAYPDPLPLDPYSGAYLVLTSPDVQVDEFCRAVCGFHYFTFASVVGVTVPYAWVGNSGTQCPGKCAYPFAAAEYGAGAGAGGGGGGQAVLRPPNGDAGVDGMVIVLGHELAEMSTNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGLVGNVSRAPDGSAYNVNGVNGRRFLVQWLWNPVRGACYGPNSSY >PVH63924 pep chromosome:PHallii_v3.1:2:12484400:12485646:1 gene:PAHAL_2G136300 transcript:PVH63924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWKIQTTSPKTSSRVSSCTQSCLWLRPPLEPRMAQDSDDPLFVVSVVVWVLVVILAIVALHCPLPRRVVR >PAN14275 pep chromosome:PHallii_v3.1:2:51463933:51469845:1 gene:PAHAL_2G396500 transcript:PAN14275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLPLLLLLAVASTPAPAAGDGGAGALPVINPISCLCNSTSARRTYQPDSAFAANLASLSRELPRNASASGFSAGAFGAGPGTAYGLALCRGDFTGGRCASCLEAGFRYAEQNCLSSSDVAVYYDQCQLRFSDQDFLAGGGNAPESAATNMNNVSDGNAAAFDALVTRLVGAVSDAASKASRRYATGRAGFPPQKMNIYALAQCTPDLTPPQCRGCLAGLIREMPTWFPGRIGGRILGVRCNMRYEDTVFMATSGDMVTLTPLVNSSKGSSTTLWVVATVVPVTLLLACFLACFLWIRKRRRRVTSMPGTVSVPTMSMEMEQVLKLWRIEESDSEFSLYDFDQIADATDNFSDDHKLGQGGFGPVYKGELPGGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQAEEKMLIYEYMHNKSLDFFIFDAEKGKILTWERRFRIIDGVAQGLLYLHKHSRLRVIHRDLKASNILLDRDMSPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIITGKRTAGFYQYGKFFNLTGYAYQLWQDGRWNEVLDPALGGDVPVPEVMKCLQVALLCVQDSADDRPNMSEVVAMLGSEGITMPEPQQPAYYNVRISSLAVSSDSFGESSCRISNITLTDHEEGR >PVH65069 pep chromosome:PHallii_v3.1:2:52583967:52584344:-1 gene:PAHAL_2G416500 transcript:PVH65069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEVFTGRRPTGPMFVWEMSIRQWVHQALRTELASVLESGQAATARCFLLCLQSERVSLFQQYLRWVCSVQVTHLTKGCR >PAN13937 pep chromosome:PHallii_v3.1:2:49979240:49981835:-1 gene:PAHAL_2G373300 transcript:PAN13937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSGFPGFHSHSYDRDYSRPLFRVASFSDSGDEQERHAPSPRGRSQNMSRTASSKAAAPSRLSSSVSKMSMKKLQQVVDEKSMEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLEPLPPEKRSMWNREMDCLLSICEYIVEFSPTVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLGILDSFDKREFWYADQRNQSFNESKKSFQRSEDKWWLPEPCVPDSGLSDRMHRELQQKRDQASQIHKMAMEINSSILSEMQVPSSYLETLPKSGRVGVGDAIYRYMSSGDQFSPEHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASTTHVVSKWENVTELNADGDKNLVLASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAHNIVSWIDNILIADENAKKGHKIRMQKQVFTQISPQR >PVH63464 pep chromosome:PHallii_v3.1:2:2424891:2425442:1 gene:PAHAL_2G034600 transcript:PVH63464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVYAVAELNAKTKTTIPVHPLASFLPSPVVSCNKKIDVEEREQTSYCVNWAVSLSLNDLSWL >PVH64433 pep chromosome:PHallii_v3.1:2:43065738:43066860:1 gene:PAHAL_2G266600 transcript:PVH64433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVTRGDVGLGGAVWARAGRHTGLRKSLAPVGSLICSGVMGRARRANGRRAGSGRWGPAPVEPGEEGTGRLVARCDLRSGGRERPRAHHRRGWCGSRFGRMSRMIGPFASFSVQPSTLYYHGRGSVLVSWNVLFAGEVGFV >PVH63411 pep chromosome:PHallii_v3.1:2:1536897:1537802:-1 gene:PAHAL_2G021900 transcript:PVH63411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDEWIYVLSRQEEVKEAEAEVEVEVEAAADAAATAGPEEKPSKDASDGGAEESNDDGGRGSRSRDDDAAATDEDDGATTDGEEDARSDGVSYGSTEVNEYLDYIEEEEADAAATVDGGGDAIAAAEALGALQIDEASGAAGGRFSGGDRGKEVVVEYPYYDCYGGKQPDAEDYYGYGYEYGSGSGTVSRGSGSGYDDRYGSGYTAPGYGYADVYGHGYGFGGYYGEPPYAPPVVYRTPYPAPVPYVYCQYSAPAPARRYGPAYAPPLRRHPLRAGWPPAVAPPRPDHLASSSRY >PVH63357 pep chromosome:PHallii_v3.1:2:799408:802313:1 gene:PAHAL_2G011700 transcript:PVH63357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAALLVLLLAVAAPLAGADDPYRYFTWTVTYGPISPLGTTQQGILINGQFPGPRIDCVTNDNLIVNVVNNLDEPFLLTWNGIKQRKNSWQDGVAGTNCPIPPSANYTYKFQAKDQIGTFTYFPSLALHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYKAGYRQLRQSLDAGGALPPPDGLLINGVQSPPAFVGARGGTYLFRVSNVGLRASVNIRIQGHRLRLVEVEGTHPVQNVYDSLDVHAGQSLAFLVTLDQPPLDYAVVVSTRFAPANLTAVGTLHYAGATARAPGPLPAGPPGQYDWSVNQARSFRWNLTASAARPNPQGSFHYGAIPTSRTLVLASSSPALAGRRRCAVNGVSFVVPDTPLKLADNYNIANVIEWDSLPARPAGGAPPRAGTPVVRLNLHEFVEVVFQNTENELQSWHLDGYDFWVVGYGDGQWTEMQRQTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSAIWDRQYLGQQLYLRVWTPQQSFSNEYSIPTNAILCGRAAGLPH >PAN14440 pep chromosome:PHallii_v3.1:2:52249694:52251812:1 gene:PAHAL_2G410000 transcript:PAN14440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTATTAPLLTSHKPPKAPTIDDTIENYIGTTGVMQLFKAILLAFAWAFDAQQVFISVFTDAEPQWSCTGASASCSPAAPPASPCGLPPGAWAWDRPAETTMVSEWALKCAGPALVSLPASAFFAGCLAGGFLLTTLADSLLGRRKMLLTSLVSMSVAGVLTAFAPNVWAYAALRFVSGFGRSMVGTCTLVLSTELVGKRWRDTVSVAGFFFFTVGFLSLPALGYTFREASWRNMYLWTSVPSLCYSVLVYFLAQESPRWLLVRGRKQDAMDTLQQIASLNGNSITSSFSMLHACTMHADDGAGAGGAFATMRSMWERPWALRRLAAIMTTSFGVGMVYYGMPLNVGSLGTNLYLSVTYNALAELPSAVLSLAFIGRVNRRTTVVALTATAGAFSLMCVAIPEGSTARMVAELLAFFATITAFNLILIYSIELFPTSVRNSAVGLVRQALVLGGVAAPVLVALGRERSFWSFGVFGLCIGCLGLFAACLPETRGRGMSDTMEEEEHKEAAAAATACTATDIATKDKSDAV >PVH63610 pep chromosome:PHallii_v3.1:2:5020007:5023947:-1 gene:PAHAL_2G068300 transcript:PVH63610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLARPYAAAMPKEARSSVGAIRNLDPLAFDTCWFHEYCMRSTEVAEFFVYYNFVSQKKNLQFTGYLFS >PAN10462 pep chromosome:PHallii_v3.1:2:7363772:7367040:-1 gene:PAHAL_2G096100 transcript:PAN10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGSPKVTERKVDKDKGGDNDDEKGGFFDKVKDFIQDVGEKIEEAVGVRKPTADVTGIHIPHVSLEKIELVADVMIANPNPVPMPLVDIEYSIESEGRKLVSGTIPDAGTIHAHGSETVKIPLLLIYDDIRSTYKEIKPGSIIPYKVKVVLHIDIPVLGRVSLPLEKNGEIPVPYRPDVDIDRIKFEQFSFEESTATLHLNLDNKNDFDLGLNSMDYEVWLANVSIASAELKESTNIKKQEITTMNLPISFRPKDFGSAMWDMIRGKGTGYSIKGHIDVNTRFGHMKIPISKEGGTTRLKKGDDDDDDKD >PAN15237 pep chromosome:PHallii_v3.1:2:56008216:56010937:-1 gene:PAHAL_2G473900 transcript:PAN15237 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MLLRRLLLSSRHFRHGLQTVAPATSASSSASSSPLPFRRLRDLLPSRVLSPRHLSTSGRDDDGNKPWSFAADSGDPDPFAHEDAAADAGEALPVGPAAVGDEPWTKDFGVEGENGDVFEGIYKEAASAVPTSGEAAPAGDEEQWTLSGDEKDPFADAVLGEGIDGIQREDGGLDELDAGEDPEAELKRQKNVEREKELMEILKGPNRAFGDLIANSGITEGMIDSLILLKDVRDVPGLPPLSEIEDEGIQKLSATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIEPGDGKFIVNEKEFDAYFPILDHRAELLRPFTVTKTLGLWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >PAN15238 pep chromosome:PHallii_v3.1:2:56007615:56011030:-1 gene:PAHAL_2G473900 transcript:PAN15238 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MLLRRLLLSSRHFRHGLQTVAPATSASSSASSSPLPFRRLRDLLPSRVLSPRHLSTSGRDDDGNKPWSFAADSGDPDPFAHEDAAADAGEALPVGPAAVGDEPWTKDFGVEGENGDVFEGIYKEAASAVPTSGEAAPAGDEEQWTLSGDEKDPFADAVLGEGIDGIQREDGGLDELDAGEDPEAELKRQKNVEREKELMEILKGPNRAFGDLIANSGITEGMIDSLILLKDVRDVPGLPPLSEIEDEGIQKLSATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIEPGDGKFIVNEKEFDAYFPILDHRAELLRPFTVTKTLGLWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >PAN09965 pep chromosome:PHallii_v3.1:2:4610574:4612226:1 gene:PAHAL_2G062300 transcript:PAN09965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFERARTVRLRSHHDKYLYADEDEAHVIQDRNAASPNARWVVEPVPHSPGVLRLRSRYGRYLSASNEPFLLGVTGRKVLQTLPHRLDSSVEWVPVRDDGGGRERAHGARLRTRYGNFLRANAGLPPWRNSVTHDTPHRHAGWVVWDIEIVQALLTGPDSSASASNAGADSSPTPSYKPPSRSSSPSPAPVPTSALRPPPPPPHHREEYSAPFRAQPPPPPPGYIAPPAPGLYRLESTESFSVPLHKVEGRAIHYHIGDDDGDVSPDEEPNFLTFNGTSLEELLEMLKEETGLQDVIMCSRSPINGKLLPLRLQLPPNNAAMHIVLLRESSKGSNKSSGRFPVKEVGGRGAGLLCD >PAN09966 pep chromosome:PHallii_v3.1:2:4610575:4614188:1 gene:PAHAL_2G062300 transcript:PAN09966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFERARTVRLRSHHDKYLYADEDEAHVIQDRNAASPNARWVVEPVPHSPGVLRLRSRYGRYLSASNEPFLLGVTGRKVLQTLPHRLDSSVEWVPVRDDGGGRERAHGARLRTRYGNFLRANAGLPPWRNSVTHDTPHRHAGWVVWDIEIVQALLTGPDSSASASNAGADSSPTPSYKPPSRSSSPSPAPVPTSALRPPPPPPHHREEYSAPFRAQPPPPPPGYIAPPAPGLYRLESTESFSVPLHKVEGRAIHYHIGDDDGDVSPDEEPNFLTFNGTSLEELLEMLKEETGLQDVIMCSRSPINGKLLPLRLQLPPNNAAMHIVLLRESSKVAKAFA >PAN12383 pep chromosome:PHallii_v3.1:2:42886939:42890716:1 gene:PAHAL_2G264400 transcript:PAN12383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKASSVDNRTRSTVSLCIVIGICCFFYILGAWQKSGFGKGDSIALEITKRTDCTVLPNLSFDTHHSKSGSSSSDLVSPVKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWNVNYKGWQRTKKDLEAEQNRIEEIANLLCWEKVSEKGEMAIWRKRVNTESCPSRQDESTVQMCESTNPDDVWYKKMKACVTPLPDVKDDTELAGGAIKPFPGRLHAVPPRIANGFIPGVSSEAFQKDNKMWKKHVKDYSTVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTIAKMPTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIMRDDVDVLIKVNSLAQGMRWNTKMVDHEDGPLMREKILYAVKQYWVGGNQTAVA >PAN12382 pep chromosome:PHallii_v3.1:2:42886875:42890716:1 gene:PAHAL_2G264400 transcript:PAN12382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKASSVDNRTRSTVSLCIVIGICCFFYILGAWQKSGFGKGDSIALEITKRTDCTVLPNLSFDTHHSKSGSSSSDLVSPVKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWNVNYKGWQRTKKDLEAEQNRIEEIANLLCWEKVSEKGEMAIWRKRVNTESCPSRQDESTVQMCESTNPDDVWYKKMKACVTPLPDVKDDTELAGGAIKPFPGRLHAVPPRIANGFIPGVSSEAFQKDNKMWKKHVKDYSTVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTIAKMPTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIMRDDVDVLIKVNSLAQGMRWNTKMVDHEDGPLMREKILYAVKQYWVGGNQTAVA >PVH65226 pep chromosome:PHallii_v3.1:2:54124097:54125131:1 gene:PAHAL_2G440900 transcript:PVH65226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWLKPDVYPLIAAMSFVTGMCVFQLTRNVFMNPDVRVSKSNRQSAVLDNADEGQRYSQHAFRRFLSTQRPEVFPALNRFFSESSSAGDK >PAN13053 pep chromosome:PHallii_v3.1:2:46078321:46084815:-1 gene:PAHAL_2G310500 transcript:PAN13053 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL5 [Source:Projected from Arabidopsis thaliana (AT4G12570) UniProtKB/Swiss-Prot;Acc:Q9SU29] MSAALACHRRNPKRRRDAPDHALPPSSKQPLMAHAGAAEPSSSASASASGADGASAAAAASSPPASPREAHFFVRATDSKTIAMHAGWDDTVGAVLDHLGACGYGRDLRLLYAGRQLPPEVTLAELRLPQDSTLHLAARLRSTQHPHAWQLAAHIAATAAGAESGAASVPAAAFSLDELVKEFILRAHRANLGLSRHDRGAPGGEDRATGDHAAEYLDIFLQAGAALALVRLYLSKSAFRSYAERAIKCFIATDPSSLPMDVLVLTAPVLLEFCRLLSLAAGKKEVLYRSCRRSLASVLSSRPRLPPSMNSPTRLIEQILPFARETVDVVLEGLASMSMMVSPIDLDEFSNFFKVMCQQARHWISGEGSMPRNLYSRESEHGNTWMWRFHNMSMDMLKRLDECLKRLEMDLSLSSDSIGVTESQTIWAARSHILVVLTELDFISAIYEDVGHNLQLVLMAHRAPLNALVRCSKRNERLHWLVKHKNLLCFEARRNLVFMMLPEGKDDFGELHEMLIDRSHLLDESFNYIAQAKHSELRGGLFMEFKNEEATGPGVLREWFCLVCQALFSPKQVLFSPCPEDKRRFYLNETSAVDPLHLKYFTFAGRIIGLALMHKVQVGIVLDRTLFLHLAGRSITLEDISVADPVKYASCKRILEMNASEIDDLYLTFSRGAHELGTREIIDLCPGGQDISVNIRNRDQYIDLLIKNTFVDSISAQLTHFTQGFTDILVNPDRRKEFFECLDLEDLDRLLGGSNDTINLQDWKSHTQYNGYKEKDRLITWFWKAVERMPIEQQRQLLFFWTSVKYLPSDGFGGLSSKLYIYKTSDSPDRLPSSQTCFYRLCLPPYTSLKMMENQLQKITQEHVSCSFGTW >PAN13689 pep chromosome:PHallii_v3.1:2:49003953:49004684:-1 gene:PAHAL_2G357200 transcript:PAN13689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAKRYVLRLFISLKYVTANVVDRQSGRIVTTASTAERPLREGLECGRACNAKAAAAVGEVLAMRLKVDGLAREPIHADAAKEVAKKGFKNQTKVWAILNALRNHGVNLHIHDDGDHRRHV >PVH64885 pep chromosome:PHallii_v3.1:2:50142262:50142693:-1 gene:PAHAL_2G376100 transcript:PVH64885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKRIAQLSKKWQRMAALGRKRLTWGAAAAAATEADECCTSMASKGHCAVYTADGARFEVPLACLGTAVFTELLRMSREEFGFGDGDDGRITLPCDAVVMEYAMCLLRRGASAELEQAFLSTVAMPCHYASRVAPCVAACC >PAN14209 pep chromosome:PHallii_v3.1:2:51123819:51132671:-1 gene:PAHAL_2G391600 transcript:PAN14209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRSSEIFHLTLDYGDYADTDDRQPSSPSAPASSSPSFSASSTLACSSSPTAATRNGDLGFRIDLDWSAGDDEDQVALRLQSQLMVALPPPHDAVSVDLTPMDGGEEGVRIEMRVVRRREALRSVRVSRAPGSALGSGDGGGVLARLIRSNLAPAPAVDGPTAAAGVPVLADHWRPVTMLSLCNCGLSVLPVELTRLPLLEKLYLDNNKLSVLPPEVGALKNMKVLSVNNNMLISVPVELRQCVMLEELSLEHNRLVRPLLDFRSMPKLRILRLFGNPLEFLPEILPLHNLRHLTLANIRIEAVESLKSVTVQIETENSSYFIATRHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNHVAISKEENAVRQLISMISSDNRHVVEQACLAISSLASDITSAMQLIKCDIMKPIEAVLKSSDEEELVSVLQVVVTLTFVSDHVAQKMLTKDVLKSLKALCAHKNSEVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTLSQERRVAKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMTLDQCEEIYTKLGKLVFAEPIPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTLEVSPGIVESPSIGAVGTTVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFSVDANRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPIKSRRGGWRYLDTGQVLIESACSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAIWLKLEAATEEYIQKNFLAFKNLCELLVPRYQEEEKSSDIYKSLSFSRLTSLNQGFSESNPTLGWRRVVLLVEASFSPDFGKKINHARSLESFCSQNGIRLALINSASGFGKPATALPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGHPITKSSPPTSPLKSRQPSAHVRSLYDKLQNMTQVGVIHLALQNDSTGSVLSWQNDVFVVAEPGELADRFLQSVKTSLSNLLRGRNSKGAYSLSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAVHMAPEDVRWMVGAWRERIIVCSGKYGLAHGLVKAFMDSGAKAVISSSIEPPDSQAIAYYGMDVNGSLENGKFVIGDEEADESEPEPVSPISDWEDSDAEKSGNHDMDDEEYLAQFMCLLYDKLFREGVTVDTALQQALRLHPKLKYSCHLPGVL >PVH64534 pep chromosome:PHallii_v3.1:2:45343069:45345540:1 gene:PAHAL_2G298400 transcript:PVH64534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGMEVPLPREKISIEPSRDGGIRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRIRFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGIYSSLKVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDVGNLIAGSDILQECRDNIISVDEIVPNQISSARVREHKLFMEAEGGDTRL >PVH64535 pep chromosome:PHallii_v3.1:2:45343069:45345540:1 gene:PAHAL_2G298400 transcript:PVH64535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGMEVPLPREKISIEPSRDGGIRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRIRFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGIYSSLKVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDVGNLIAGSDILQECRDNIISVDEIVPNQISSARVRDCIKRCLSIKYLTCDELIEYIREHKLFMEAEGGDTRL >PAN12889 pep chromosome:PHallii_v3.1:2:45343069:45345540:1 gene:PAHAL_2G298400 transcript:PAN12889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGMEVPLPREKISIEPSRDGGIRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRIRFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGSLKVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDVGNLIAGSDILQECRDNIISVDEIVPNQISSARVREHKLFMEAEGGDTRL >PAN12890 pep chromosome:PHallii_v3.1:2:45343069:45345540:1 gene:PAHAL_2G298400 transcript:PAN12890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGMEVPLPREKISIEPSRDGGIRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYYVLGGYMSPVNDAYKKKDLLPAAHRIRFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCRDGLADQGSLKVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDVGNLIAGSDILQECRDNIISVDEIVPNQISSARVRDCIKRCLSIKYLTCDELIEYIREHKLFMEAEGGDTRL >PAN15450 pep chromosome:PHallii_v3.1:2:57045543:57052527:-1 gene:PAHAL_2G489000 transcript:PAN15450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAAVAKRCMNPACGAPATGGGGDWRKGWPLRSGGFALLCDKCGLAYEQFIFCDIFHQKESGWRDCSFCGKRLHCGCVASKNSYDLLDSGGVQCVTCMKNSAAQSASGQVVPKFFQCQNNLRIFGKSDELLSGRKFEQSPSLVLDSRNDDLSIVNKSNHPFMVKSIEVGQSSSILRQKEIENGSRQIKWEQPTLSIGDMGKPFLTRSQSALESPQCTRRDDNKDPTTDSTTSESISEACLSMSLGISNNGSKMEATSTVERPILSPTTAIAEGRELTTALSPFQHAQRARHFLTRPPRIGEGSVFDPTRDIFPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDARGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSLLSETLFSSANDNLGVVSGYPGFLQSIKGAADLHPSSLYDHHVNSADGDVSWLKADKFGSRPDEGSLQFLQKRSRNIGSKSRRFLMDAEDAMELKLTWEEAQELLRPAPSAKSTIVMIEDYEFEEYDEPPVFAKRSIFTIRATGEQDQWIQCDDCSKWRRLPLTVIIASKWTCADNSWDPKSCSCSAPEELTPKELQSVLQQYEEMRRGKGSYGLKLNVAEMDASNLDALAAAAVFGDVGNQGTASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPSCTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWVNRDEPEGSNLSRSPQTLDTTRDSSDVTMFDKAADMNKGHIDLNFHPAVRDDHQGQHGTQQPRPVAVSMMGLMEVASRPLDNYMKQNGLTSLGGEQGGGSSSTATVPPAPVESEERTSNEVRVASVEREREPADAMAVDQQEKAADDAAAT >PAN13273 pep chromosome:PHallii_v3.1:2:47014888:47020936:1 gene:PAHAL_2G324800 transcript:PAN13273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSITKTLERYQKSSYGGPDTVIQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGTLGIKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREHMLCEANKCLRRKLEETSSQVHGQQVWEHGANLLGYERQSPQQGPSHVSNGFFHPLEVAPEPTLQIGFAPEHMNNFMPTWLP >PAN13272 pep chromosome:PHallii_v3.1:2:47014888:47020936:1 gene:PAHAL_2G324800 transcript:PAN13272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSSITKTLERYQKSSYGGPDTVIQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGTLGIKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREHMLCEANKCLRRKLEETSSQVHGQQVWEHGANLLGYERQSPQQGPSHVSNGFFHPLEVAPEPTLQIGFAPEHMNNFMPTWLP >PAN13349 pep chromosome:PHallii_v3.1:2:50862428:50863189:1 gene:PAHAL_2G387100 transcript:PAN13349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMTIPSATMRRLKQVAAAARASQAAAPAPVIRPPPAGGDGGSGARGPGCVHRTAPPSGKRQATTQGGRDQVRVIRPAPPVPDSSNSASSVGKRRATAPPASGRAVRDPPPKPASAPSAAAGGSAAAVAVGDRVLVRTPVTPTLAGQHVVITLGAEVVSAVEEDGESYFDVVFDGEFPPHDPSATVRITRDEIVMTTPAAATNPSASSGATSTPVPAPARPSKREAVGSAASLRGAEQQRSLQIARCKRSRH >PAN12927 pep chromosome:PHallii_v3.1:2:45619068:45623761:1 gene:PAHAL_2G301800 transcript:PAN12927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNESCEKGMEGNASSAGAGIPVEWQTQFSAAAFACPPAQQQQGHMMDSSFASAGLWASTSQAMALSDVGGAMSAARGGGGFLAPVPGFLPQGLGHFPVDSGFIERAARASCFGGGGGGVMGAGAGFGAADQNMNSAFSGSSEALLDHHRKDGNEKGEPELGRNGHDAMPSSEAAGGDCSSKGTSDSKKRRRPNEVMGGDQVQSSNLPADSANESVQSKDKGEESSPATTTGKSKGKGAKETSESQKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSLGFSPEMMHPQLQLSQPGLIQGGAAGMANPDVFRRIIQAQLNAKDGSQMPHALNGSFSDVAQMGYPSPLGPPDLSIRPSQDGFQM >PAN12232 pep chromosome:PHallii_v3.1:2:41678449:41679411:1 gene:PAHAL_2G251700 transcript:PAN12232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTFPRRRRRLRCSSTDLVSRGLRSSADPVVFDLALVQESERNRVMGKLARLVDGIKEKLAGAGCGGKASKAVCYDKVDKTESMRVEIRSRRARQLIAKNLAAADDIAGCRPRAGAGGGKKNRKKRFFGF >PAN14244 pep chromosome:PHallii_v3.1:2:51335985:51338650:-1 gene:PAHAL_2G394100 transcript:PAN14244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translation factor SUI1 homolog (GOS2 protein) [Source: Projected from Oryza sativa (Os07g0529800)] MSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >PVH63488 pep chromosome:PHallii_v3.1:2:2922259:2922585:-1 gene:PAHAL_2G041700 transcript:PVH63488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFFYMTAEDNCQINSRTCSCCCSTGCCLSCCLICTESAELCPNSMLCGYLILNGVQGDPARLWIARSCAVVHRYRLSSSVFSWQCCNGNIELKRMVTAMDAREIIVL >PAN13216 pep chromosome:PHallii_v3.1:2:46758819:46760519:1 gene:PAHAL_2G320700 transcript:PAN13216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTQQHRRAMAVVLAVLLTAMASPMAMRAAAAAATAAGGCEGDKFPAGRSYAHCAALPKLGARLHWTHDSKTGSLSVAFVAKPGGAGGAGWVSWAINPTGDGMKGAQALVAFRASPAAPYAVNTYNITGYKPFGASSTAIAFRATDLAAEAAAAGEVRLYGRLQLAPGTEVVNHIWQVGSAVTAGAPAKHAFDKDNLEAKGKLALSGAALAPAPAASAGGASAGKGGSAGGEAAPSGAKPSAAATAAAAPVLMLLALAAGFMATV >PAN13809 pep chromosome:PHallii_v3.1:2:49474506:49476340:-1 gene:PAHAL_2G365300 transcript:PAN13809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKPPAPAPAVASTGCSGLMSCLSIHRRGPPQPAPRGGADALPRSSDADGGKAADAAERYWKRMRLLEEEIRRLSEWLGQEERPAPPGAEAREEGTGSVVTEWSRNGAKAREVGCSASKMCASVGHGASGVQDTVRLEDGSYLREVRRVRVGRPWERLAVQVSRPVVPVDAASASEVLDKMAAMRAEDLCKFLIQMMPLKDITGQQNPGEPVRRTARLSSGDDLLEALVFKAMGKLESLVLEGLKIQMSPPATEPAAVAADRRRDEAVSKDCMVHVVLVQVRDPNERYAAIGDPMVGLIEASLQRRDGAVKQEVRGLHAAGISLISRKPSDGRCMMWSASLKQCRGSHDGAGAGGLDGDGCRCSCVRNPNRVFQR >PVH64946 pep chromosome:PHallii_v3.1:2:51073488:51073934:-1 gene:PAHAL_2G390700 transcript:PVH64946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVKRLRILGEEGSYRSDVQELRHNIRVSLRDPYDEGKFEHEDPKTPASSPPYQDGQDWSDAVLEDDGDIRHWPPEEDSQLKYEELKDLACEDNMEQEEQTEDIKARKTEMNLTKDLLSVVRSSIPRFSIAVYWVNPPCQIKNVYP >PAN13202 pep chromosome:PHallii_v3.1:2:46739434:46748701:1 gene:PAHAL_2G320400 transcript:PAN13202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVLMVAEKPSIALSIASALSGGRMSTRKGSTDVHEFDGMFQGSYANFKVTSVIGHVLSVDFPPAYQNWEGTDPMDLFEAPVLRSECNPKAHIRRHLAQEARGCTYLILWLDCDREGENICYEVIECTGIPENEAGRRIFRARFSSVTEKDILSAMNNLVLPNKDEALSVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYIIKDGDEIQLEWDRKKLFDFDVTVMFQKMVASDGTLKIADVSVKEECKTRPSGLNTVNMLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPASFDFRSALAVLVHNPLWSNEVRTLLDAGFVKPRQGHDAGDHPPITPMRSATEEGLGTDAWRLYQYICQHFIGTVSPDCRYTRTAIEFTSGGETFHCVGYRITHKGFTSIMPWLVVSENSLPAFKKGDSVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHLQVIQHVIQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKYISTQPMRLYCITCEDVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYTNPPFEGIDKLFGALKIDDIGKVGKGAGMPCSLCPHPTCKQSMITQGVCACPECSGTLILDPVSAPKWRLYCNMCNCIVLLPHAAHRITTTDKKCLACESTIIEVDFNKKTTPLQDGATLHEGCILCDDLLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGSNRGRGRRGNSRYDDPKMSFRDF >PAN14242 pep chromosome:PHallii_v3.1:2:51316902:51319470:-1 gene:PAHAL_2G393900 transcript:PAN14242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRLCAEAFQAYTADYKYGRIPGRRSNQGTPALATPHRLRVRVLVRSTCSPLPAMASPFSVPSLIMEEEGRFEAEVAEVESWWGTERFRLTKRPYAARDVVLLRGTLRQSYASGEMARKLWRTLRAHQAAGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSLPRAERARTPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLKGRSLAAVLSDGMAAGKNGRELQAIEDEWLAAAQLKTFSDCIRDAIAGLSATDQEKQRRLQEWDSATSYDKCVSNEEARDIAARLGVTSVFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNVAECTAFAEGVKAAHPEAMLAYNLSPSFNWDASGMTDGEMSAFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQRVERINGVETLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGVTEEQFKDSYPATGTGGSGSLQVVAKSRI >PAN09952 pep chromosome:PHallii_v3.1:2:4491486:4493123:1 gene:PAHAL_2G060900 transcript:PAN09952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPSPLMLLPLLHLAAGSFAAGNAKATPPSAAAAAGAGAPAPVPTPWPEQFHAVVFTNLTESGGRLQLIDLYYDWPKGRNLNLIHSQLSGDPTYDVEWTNGTSYYFDSGSCRTVRFPVGLLPPDWLADGAVYLGREHVDGFDCHLWTKVDFIWYYEEVATGRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPAYCFNGDTTNVAAGGVDVMNSLMRFAGAPAAAIAASFDQ >PAN13038 pep chromosome:PHallii_v3.1:2:46025133:46030761:1 gene:PAHAL_2G309500 transcript:PAN13038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVAAAPPVVASATGSPGTVDEQKAAGVGILLQISMLVLSFVLGHILRRRKFYYLPEASGSLLIGMIVGGLANISNTQKSTRRWFNFREDFFLLFLLPPIIFQSGFNLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIIYRLPLVECMMFGALVSATDPVTVLSIFQELGTDTNLYALVFGESVLNDAVAISLYRTMATMRTHPSGQNFFLVILRFLENFVGSMSSGIGVGLISALLFKYAALGVENLHNLESCLFVLFPYMLAEGIGLSGIVSILFTGIVMKRYTFSNLSEDSQRFTARFFHLLSSLAEAFVFIYMGFDIAMERQSWSHVGFIFFSIIFILLARAANVFSCAYILNLARPPHCQIPRQYQQALWYSGLRGAMAFALALQSVHDLPDGHGETIFTATTSIVVLTVLLIGGSTGTMLEALQVVGDSNRYHQLHEENSDVNDTGYMGQNYDEGPSTSSKFKSKLRDIRRSTSSFALLDKNYLTPIFTSQNGDRNDDTPDNSPIADKELTVSRGPSDLEGHD >PAN13039 pep chromosome:PHallii_v3.1:2:46025133:46030761:1 gene:PAHAL_2G309500 transcript:PAN13039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVAAAPPVVASATGSPGTVDEQKAAGVGILLQISMLVLSFVLGHILRRRKFYYLPEASGSLLIGMIVGGLANISNTQKSTRRWFNFREDFFLLFLLPPIIFQSGFNLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIIYRLPLVECMMFGALVSATDPVTVLSIFQELGTDTNLYALVFGESVLNDAVAISLYRTMATMRTHPSGQNFFLVILRFLENFVGSMSSGIGVGLISALLFKYAALGVENLHNLESCLFVLFPYFSYMLAEGIGLSGIVSILFTGIVMKRYTFSNLSEDSQRFTARFFHLLSSLAEAFVFIYMGFDIAMERQSWSHVGFIFFSIIFILLARAANVFSCAYILNLARPPHCQIPRQYQQALWYSGLRGAMAFALALQSVHDLPDGHGETIFTATTSIVVLTVLLIGGSTGTMLEALQVVGDSNRYHQLHEENSDVNDTGYMGQNYDEGPSTSSKFKSKLRDIRRSTSSFALLDKNYLTPIFTSQNGDRNDDTPDNSPIADKELTVSRGPSDLEGHD >PAN14728 pep chromosome:PHallii_v3.1:2:57785793:57789342:-1 gene:PAHAL_2G501100 transcript:PAN14728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGSEAGSSRKQGAARILPDSLFGGDKLCSSISVGSTPMKMLVDDEVSGDVRHASPSIVGRLMGLDTMPSFGDRSHSTCRICSQFMSAGSYCDKYGFSGDVPHKRSTDQIPEFKDVFEVMESARMKTQSLSSGCTNICSGPNKVNSAEMNFVRQKFMDAKRLSTKKSCKRSKEFDGALEALVLNKDLLLEFLQKSNHFPTKDSTDISCSPFSAVNRITVLKPSRRNKFVDADVIYPSEDTKRCCRAPKGVKHSPTNPCANHSSQPPQENTSSFRQKFPRSSFKERTDTHCSPTRIVVLKPCLGKTENMEGAFPLTHEMFQSSYRKSKATLDYGSAAQSRHTEEYMYQMPTGKFDVLGRGGQGSIEIACEVTEEMTRAVKGGISGNRIFSPDIGPFSCDAQASLLSSMAKLKSSEACQRSNRCHDAWDGPNSGHSPAYSTKTSIRKEAKRRLSYRWKITNQYQHPSQDANSFRTLGDMLTLSDNEVSRFTSGSSICCKCPKGELHRDGMPGLCGYPLGISSNDGWKDENVCNSTRLKSLPSSSITQKSLKMTRRKEKSRLGEFSVLKDQFIVAHNNSEDELVHGRPMRSLVRTSTHCNESDLPSLDGDESMVTECEINVNFEEPACSVSVPDSSEERLVQPANSKHFLSAECYLDSSYVVPEWQDEDQPSVSGNLVMHQESTWVLDDHIVSPSPNDSANEAEEHVLGQCVGHAFTSNPTEESVSHVSSREDDQPPVSVFESSLGAEDGCSGGFEKISADLQELRMQLRLLKIEATYNDDETELALSSNDGISASCKPRNEAGQMSDTFWDADERDFAYVLDILTCLGIQCDEQDFLLNACYLWEYPAPAGSDVYESLEKKYGKYILWPQSDRRLLFDLTNDALMGVVTSLTDSGMRKKWQSKRMGKEGVSDHVWERVCQQRREAECFQEERLMGVGWLDCEDVTYQIAGELESMLGADLLEEVAELLL >PAN15603 pep chromosome:PHallii_v3.1:2:57784995:57790964:-1 gene:PAHAL_2G501100 transcript:PAN15603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGHTRHRGRSQGSDDHGLHPSLVADPHLANEAGSSRKQGAARILPDSLFGGDKLCSSISVGSTPMKMLVDDEVSGDVRHASPSIVGRLMGLDTMPSFGDRSHSTCRICSQFMSAGSYCDKYGFSGDVPHKRSTDQIPEFKDVFEVMESARMKTQSLSSGCTNICSGPNKVNSAEMNFVRQKFMDAKRLSTKKSCKRSKEFDGALEALVLNKDLLLEFLQKSNHFPTKDSTDISCSPFSAVNRITVLKPSRRNKFVDADVIYPSEDTKRCCRAPKGVKHSPTNPCANHSSQPPQENTSSFRQKFPRSSFKERTDTHCSPTRIVVLKPCLGKTENMEGAFPLTHEMFQSSYRKSKATLDYGSAAQSRHTEEYMYQMPTGKFDVLGRGGQGSIEIACEVTEEMTRAVKGGISGNRIFSPDIGPFSCDAQASLLSSMAKLKSSEACQRSNRCHDAWDGPNSGHSPAYSTKTSIRKEAKRRLSYRWKITNQYQHPSQDANSFRTLGDMLTLSDNEVSRFTSGSSICCKCPKGELHRDGMPGLCGYPLGISSNDGWKDENVCNSTRLKSLPSSSITQKSLKMTRRKEKSRLGEFSVLKDQFIVAHNNSEDELVHGRPMRSLVRTSTHCNESDLPSLDGDESMVTECEINVNFEEPACSVSVPDSSEERLVQPANSKHFLSAECYLDSSYVVPEWQDEDQPSVSGNLVMHQESTWVLDDHIVSPSPNDSANEAEEHVLGQCVGHAFTSNPTEESVSHVSSREDDQPPVSVFESSLGAEDGCSGGFEKISADLQELRMQLRLLKIEATYNDDETELALSSNDGISASCKPRNEAGQMSDTFWDADERDFAYVLDILTCLGIQCDEQDFLLNACYLWEYPAPAGSDVYESLEKKYGKYILWPQSDRRLLFDLTNDALMGVVTSLTDSGMRKKWQSKRMGKEGVSDHVWERVCQQRREAECFQEERLMGVGWLDCEDVTYQIAGELESMLGADLLEEVAELLL >PVH63624 pep chromosome:PHallii_v3.1:2:5335736:5338246:-1 gene:PAHAL_2G072000 transcript:PVH63624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRIRKYESGHQKRQKRQRREEFRQSQRGSLGKFVIKGPQVTPSDNQIQETGNHPNIVEIEIESENNSEQVFDNTNDLDSSPAAANIDDATTSNIGDSSFQPDIFDPRYWDSLNSKQIDILAEKGSKRDLLIQKGPKDRFLRRFSARFYTRILSNGEECDRDWLVYSKELDRVYCFSCKVFAKGHRKGQLANDGFNDWTHLSERLKEHETSVDHVINMTTWYELQKKHWRKVLFRIVAIVKFLGKHNLAFHDHNCKLYEDSNGNFLGLIEMLAEFDPVIEEHVRRITNNETQVHYLGPQVQNELIYLLGSAINSKIIKKIKQAKYFSVILDCTPDASHQEQMSLIIRYVDSSSNHVCIEGSFMRFLEKLLDINPRAFYSACGCHSLNLTLCDMAKSCAKAKDFFGIIQRIYMTFAKSTKKWQILKDNLTGLTLKSVSATRWESRIDSVKTIRFQCANIREALLQVSDSDNDPVASSEAKSLANNELGDFKFLVAIVIWYEILYAVNVVSKDLQLKDMLIDVVIEKVQDLISFFKQYRETDQAIASLTRRFEQYQGYEKIFGFLFTSSALRSLDKKSHYLETALKRDGQSDIDANDLFVELSFLQNFIPQENMGPLDILNFLKQHDYFLNATIAYRVLLTIPVTVASAERSFSKLKLLKSYLRSTMTQERLNSLATIAIESEILEKIDYEYIIEDFISKNIHKIMLFK >PVH63533 pep chromosome:PHallii_v3.1:2:3578917:3579367:1 gene:PAHAL_2G049100 transcript:PVH63533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLESKNPRNLLQQSHLKQTNQTNSPCSPRNSKNQNELLLMDAQFWLFLQPIWNGLRGQNPRTAAKKQRTIPPTSKLLK >PVH64496 pep chromosome:PHallii_v3.1:2:44523059:44526142:1 gene:PAHAL_2G286100 transcript:PVH64496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLVCSWSTACIPRLKVRLRGLLSGSRSPPQAGKPISPNMQCICMHGCIHLLVISDQASIPLTSICIHHLAFTLIRQISCSSNLPLDSWSSLLPDPEAKLSNYWQVQFL >PAN14755 pep chromosome:PHallii_v3.1:2:54198576:54202046:-1 gene:PAHAL_2G442500 transcript:PAN14755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGNERRCVRAAVAVLLLVAASVPTAAAAEDGFITWDDLSIPGAAAVAAPRGVSDGAAGGRGGVKARARARHDLETIVVSQDGTGHSRTVQGAVDMVPAGNPRRVKILVRPGVYREKVTVPITKPFVSLIGMGTGRTVITWNARAADIDPSGHQVGTFYSASVAIEADYFCASHITFENSAPAAPPGAVGQQAVALRLSGDKTMLYRCKILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQDGAVRGVQLHGPRGEHEAAGALVPGAHLRGGAAVPRPELHQRRAVAQAVEPSSSAVPRSELRRRRAMAQAVDPASSSSHSSLFGLVQCR >PAN14756 pep chromosome:PHallii_v3.1:2:54199078:54201721:-1 gene:PAHAL_2G442500 transcript:PAN14756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGNERRCVRAAVAVLLLVAASVPTAAAAEDGFITWDDLSIPGAAAVAAPRGVSDGAAGGRGGVKARARARHDLETIVVSQDGTGHSRTVQGAVDMVPAGNPRRVKILVRPGVYREKVTVPITKPFVSLIGMGTGRTVITWNARAADIDPSGHQVGTFYSASVAIEADYFCASHITFENSAPAAPPGAVGQQAVALRLSGDKTMLYRCKILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATGYGAIAASQRSSPAEDSGFSFVGCRLTGSGTLYLGRAWGRYARVVYSYCDLSGIVLPQGWSDWGDRSRTKTVQFGEYSCTGPGASTRQRVPWSRALTYEEARPFLGRSFINGERWLRL >PAN11272 pep chromosome:PHallii_v3.1:2:15554878:15558144:-1 gene:PAHAL_2G150900 transcript:PAN11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAPLLLLPLLLLLPPAAVAAGGESAAHPGYAHGTEEACGVAVLPAPERREEFDGGRIVDISHYYRADMPAWESAEGSGEFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNADVMASLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTDIKLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLEHVTPGIYALHCLPLRLRGAEGSPARCILIK >PAN11274 pep chromosome:PHallii_v3.1:2:15555104:15558073:-1 gene:PAHAL_2G150900 transcript:PAN11274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAPLLLLPLLLLLPPAAVAAGGESAAHPGYAHGTEEACGVAVLPAPERREEFDGGRIVDISHYYRADMPAWESAEGSGEFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGPALMVDVPRDKNITADVMASLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTDIKLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLEHVTPGIYALHCLPLRLRGAEGSPARCILIK >PAN10988 pep chromosome:PHallii_v3.1:2:11888189:11890717:1 gene:PAHAL_2G131800 transcript:PAN10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQTEVLKDAISQVVSEAREKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >PVH64057 pep chromosome:PHallii_v3.1:2:24457456:24458165:-1 gene:PAHAL_2G174900 transcript:PVH64057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPVLPTVADLSKKRKNKSFSSTGEKKQKNTSDTSTAEASDPAVRGFATGSNQLDLLAITYPYRSSEQHMADKSTRQQ >PAN10306 pep chromosome:PHallii_v3.1:2:6237021:6238162:-1 gene:PAHAL_2G086000 transcript:PAN10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKQEGPKLFSSRILSRDRSNVANASFRVYYSLGAGTVPFLWESKPGTPKSSVTPASAANAMPPISPPPSYQSMSQSKARNCRRRSASWPARGWITWLNLNIRRRSPPSSPTDHQQRWLARDHGGGDERRSWRSTLCF >PVH65118 pep chromosome:PHallii_v3.1:2:53285636:53287795:1 gene:PAHAL_2G427700 transcript:PVH65118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLSAAKYPTRLLLVTNRSPSTATGIPAYGYSGDAYSESLAPRCSAASGSGQRAPVCPRGAGAWRSQWWCTAVPPCTRGLNTGARRGPRRASRLAPGNRGRRARAATRAAGRNTRRHSENSPLPAPPPSAETSHSPGHHHLPARPPSPPPLLFSPASYLAPSPSYCPVLPVPAQPAGLGYLAARSPSTASARGSGASARAGRRDRFCLI >PVH63473 pep chromosome:PHallii_v3.1:2:2624387:2624824:-1 gene:PAHAL_2G037000 transcript:PVH63473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKLGLGEAGSRTCVGWPLVIPPKLQQQCRGGRHTRLIFLFFTPFMGATYMTLTLSMFSANLSK >PAN14084 pep chromosome:PHallii_v3.1:2:50595983:50599006:-1 gene:PAHAL_2G383100 transcript:PAN14084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYYVSRSLQIHRTIMPGPADLCFFDKDTSKNGRVYRHTHTPSAQAQVYEHTCSYTNPLYVVRGPHVRPASTHTAPTFISLSTSPRHHPSCHRPPLMRAKQASKRGSRAPPPPRQLSSIASSPDGAAMDRKGPSSSAPASMAALAAAAAASQPSSGHANGALSPHAEEDEKPATLAAAGGGGASSSSDPVAARRGAAGGGPSCQVERCAADLNDARRYYRRHKVCEPHSKAPAVLVAGLRQRFCQQCSRFHELLEFDGDKHSCRRRLAGHNARRRKSSADRHGGGGGDQDGRSHPGNPSRNHFQIR >PVH63970 pep chromosome:PHallii_v3.1:2:14890981:14891886:1 gene:PAHAL_2G148400 transcript:PVH63970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCMNGTEQLFQGSIPPKQIYPIPVSCLLSDPHSYSLLLSTLPLLTVRGEGNHGGCTQERDGRRRRQCVAPVMMEDEGSAIKIPLPFHLYEVTLGHSRCWPRRRLRRSRCRWYWDGLTCVVGRAGARLGHGCSAPQECWWQICRGSLFMLQVIIPEKTSFVQRTEKRMNLLQRVEEQQNLSLKLEGVTY >PVH63644 pep chromosome:PHallii_v3.1:2:5699373:5710439:1 gene:PAHAL_2G075800 transcript:PVH63644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSASHHVVALALVLLVPHLAGAASSPATAAHVAEDLLGAARAPGFAAWMRGLRRRIHRHPELAFQEHRTSELVRAELDALGVPYAWPVARTGVVATIAGGGGAGPVVALRADMDALPVQEMVDWEHKSQEDGKMHACGHDAHVTMLLGAAKLLQSWKDDLKGTIKLVFQPAEEGFAGAYFVLKEGLLDDVSAIFALHVIPDLPVGVVASRPGPLLSAAARFAAAFTGKGGHAGGPHDTIDPVIAASSAILSLQQLVSRETDPLEAAVVSVTLLKGGEAYNVIPESVTIGGTFRSMTDQGLSYLMKRVKEIIEAQATVNRCAATVDFLEEDLRPYPTTVNDERMYAHAKEVAEGMLGEANVKIAPQTMGGEDFAFYAQRAAGAFFMIGVGNETTMERVRPVHSPYFVMDEDALPIGAAFHAAVAIEYLNKNQCASTSK >PVH64360 pep chromosome:PHallii_v3.1:2:41486426:41489906:-1 gene:PAHAL_2G249200 transcript:PVH64360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGFKMFNIVSSWNKRRRSRSLDQLNPWVYKPAELWQAKEQSPPPKKRSCTMVFTLKEMEEATNMFSDRNLIGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKHADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVKMDWPLRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMQQDQDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQAVGDRKKLRRVVDRDMAKGSYTVESVSMFAGLAARCVSFDGAARPSMPDCVKELQFIMYANMKI >PAN12624 pep chromosome:PHallii_v3.1:2:44008308:44009335:1 gene:PAHAL_2G278800 transcript:PAN12624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMTFYWGTSATILFDGWRTSTWPGYLLSLAALLLAAAFYQYLEALRIRVKLAAGGGAAASKSPPSIPPPASSDPSRAPLLAPALAAAGRWPARVAVAAMFGVNSGLGYLLMLAVMSFNGGVFIAVVVGLALGYLAFRSSDGEDLVVVDNPCACA >PVH65166 pep chromosome:PHallii_v3.1:2:53803213:53810677:-1 gene:PAHAL_2G435700 transcript:PVH65166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASKNSVSVTPAADSSGALRDRSQPRAPVPSGPVPLPVPAAAVPSLRSSSSAARRSEKVKDDAEETGKAVVAVPAVSRSFRLRSLRKSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLETGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLCSSPDIKFTEAQLKCYMNQLLSGLEHCHSRRVVHRDIKGANLLVNNEGILKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSVGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADDYWKKSKLPHATIFKPHHPYPSTLRDVFKEVPENALGLLETLLSVEPCKRGTASGALSSEFFRTKPYACEPSSLPKYAPNKEMDAKLREDVLRRKASRGHGTEASKKSSRLSRAAREPSAVPKQIINTEESKTNVNGTKDGTIQDRVKLNGDARLFADIQPMPVHVRERTRHVKNDSREEVPFSGPLSVSSSSGFAWAKRPQDDRSFARSRTRSTSRGQFPADADQDSKSQAKENIGLKELPSRDVPISISRVNSKVRDRENHDVAKRAVLKKWSQLERPESFDSCDTYHSQNFSNAIYLGGTLSSKSSFKGDHDQEEKVEYSGPLLSQSHKVDELLQKHERHIRQVVRTSWFRRGRKLDK >PAN13566 pep chromosome:PHallii_v3.1:2:48360161:48361986:-1 gene:PAHAL_2G347000 transcript:PAN13566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRMALLCLLGLLVASPAIAASGIYYQLALMWPGAYCEQTSAGCCKPTTGVSPARDFYVSGFTVYNATTDAPVTGCNNAAPFDPNEIIGIQGLQQYWSSIRCPSNNGKSNWKNAWKKFGACSGLEEKDYFETALAFRSRINPLVRLKAKGIEPDFGLYGVKAIKKVFQAGIAATPVIQCSKGPFDKYQLYQLYFCAAEDGKFIDCPAPPQYTCSKEILFHPFKKWMLKQLQNEGADPFVLPGVAMEI >PVH64860 pep chromosome:PHallii_v3.1:2:49912499:49914815:1 gene:PAHAL_2G372100 transcript:PVH64860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSDLTLRSEPPLESRRLCRPRTGPYGNTDGVDNISGLPDDLLLQVLFHLRCARAVAHTSLLSRRLRGLWRHLPELSFRDLALGALETALAQVSRTELCLLDIDVPEHHRYSAAGVGSLLRTAARLAPVVLSLVFRGDMVGRDIPFELPIFHRATSINLNVINRVTWLRQFSVLERLSISGCHLDIDIGVLVLQCPRLRVLELRRFWHFGAGMVHLHSTTIEELVVAPDWWIGGIDIVAPALKKFTMDTYMSKDFNVIFSTYGEGYPLALKVCLQECSNQ >PAN11213 pep chromosome:PHallii_v3.1:2:33008830:33018194:1 gene:PAHAL_2G200900 transcript:PAN11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDIDLRTARVSLDKAFPKDDDADIPAKDDRRLRRLAQTRENKEELRADHRRIRQAEIVSTVEEENERQEADIDEEDEEAQEERRRRIRERQLLREQEELLPQEEEEPVEDESEEESEYETDSEDEQLGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIKKEEHIEKALNEEANIEDIDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERQEWERKNPKQLRQTKQKWKFMQKYYHKGAFFQESADDVIQSAGKDDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNSKMAGMNAPIAKPKGSKKLKDWDAK >PVH64145 pep chromosome:PHallii_v3.1:2:33008830:33018194:1 gene:PAHAL_2G200900 transcript:PVH64145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDIDLRTARVSLDKAFPKDDDADIPAKDDRRLRRLAQTRENKEELRADHRRIRQAEIVSTVEEENERQEADIDEEDEEAQEERRRRIRERQLLREQEELLPQEEEEPVEDESEEESEYETDSEDEQLGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIKKEEHIEKALNEEANIEDIDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERQEWERKNPKQLRQTKQKWKFMQKYYHKGAFFQESADDVIQSAGKDDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNSKMAGMNAPIAKPKGSKKLKDWDAK >PVH64144 pep chromosome:PHallii_v3.1:2:33008830:33011675:1 gene:PAHAL_2G200900 transcript:PVH64144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDIDLRTARVSLDKAFPKDDDADIPAKDDRRLRRLAQTRENKEELRADHRRIRQAEIVSTVEEENERQEADIDEEDEEAQEERRRRIRERQLLREQEELLPQEEEEPVEDESEEESEYETDSEDEQLGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIKKEEHIEKALNEEANIEDIDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERQEWERKNPKQLRQTKQKWKFMQKYYHKGAFFQESADDVIQSAGKDDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNSKMAGMNAPIAKPKGSKKLKDWDAK >PVH63602 pep chromosome:PHallii_v3.1:2:4867272:4867736:-1 gene:PAHAL_2G065900 transcript:PVH63602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSRRPPLLLSTDPRSRPLPRLLHVDNRSRRRPRLFHVENHLAGGLNRLLSVTKYPTAGSLVSIDGARPRLFHVENHLSVRKYPTAGSLVFIDGVNDGGQLSGYLVSPEQVGYERSDPPLAVDSVPTPS >PAN14787 pep chromosome:PHallii_v3.1:2:54298024:54301652:-1 gene:PAHAL_2G444500 transcript:PAN14787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSITLPVKCRPHPRLAPQPPAAALELLAARGAPAAAELRAAYTRCRTPTYLSLSLSADPAKPRGMALSLSRSADSAEPRAPCRGSPSARRARAAVAAGGDEGGGGLPALIIAGALSQYAIFRDDLVRRAFAAAEAAHRGQVRASGDPYLEHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLDYGFISEQFGADVADLVKGVSNLSHFSKLARRNDTASRMDEADRLRTVFLATEDARAVLIKLADRLHNMRTLNSLPRIKRQCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLHTDKFDELSSNLLEFYNRDMITAATRRLEQALEVRGLSYYAVYGRHKSIYSIHCKMARKKLAMDEIYDIHGVRVIVENRSDCFAALELVHHLWPRIPGKFKDYISSPKTNGYQSLHTVVLTKEMLPLEIQIRTRGMHLQAEFGIAAHWRYKEGVRSCSSSVPEMVEWVRRVVTYQCETLHIDHPSSLAPDTSPSNIHTIRSHSDVCPFSYSKQCGHSGPVLVILLENEKMSVQELPQNSTILDLLMRSSKHPMPFRLRLNCQAVHNWNQELKMGDVLELVPSTPCKPGGYTREFQQMFDHRLDSRRLMPCKYMT >PAN14363 pep chromosome:PHallii_v3.1:2:51876456:51876976:1 gene:PAHAL_2G403800 transcript:PAN14363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFQHHHKDVDKFERFASSPLLQTCRKEKGTKENTRLSQHDPLKGGYLLIINEITKNFMWLLQNCPKIEV >PAN13430 pep chromosome:PHallii_v3.1:2:47712153:47713355:-1 gene:PAHAL_2G335600 transcript:PAN13430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRLVAALAPSPPRRPPPPRRREPRRPPPSAVRLTSGVALATAAAAVAAAASPPALAALSEPANALSLPTWAVHVSSVAEWVTAMWLVWDYGERTGIKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNLTMCIAAYRIFKASQEGSKTS >PAN14009 pep chromosome:PHallii_v3.1:2:50238453:50240657:-1 gene:PAHAL_2G377900 transcript:PAN14009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASKEEVIGKLNVRVLRGNNLIIADPLTHTSDPYVVLQYGAQKVKTSVQKKNPNPVWNEVLQLSVTNPTKPVHLEVFDEDKFTADDSMGVAEINITDIYDAAKLDLSHATNGTRIKTIYPVGVNYLGGESHVQWKDGKVVQDLILKLKKVESGLIVVQLEWVHVPGVKL >PAN14667 pep chromosome:PHallii_v3.1:2:53307278:53310506:1 gene:PAHAL_2G428200 transcript:PAN14667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDRVVEGEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGMVDRLHAEVRLLRSLHHDHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRQRHRHVSVKALKKWARQILEGLNHLHTHDPCIIHRDLNCSNVFINGNNGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREVPYSECGSVVQIFHNVTRGVPPAALKRLKDPELRGFIERCIGQPRNRPSAAELLEDPFFNGICSGDDDALADAATVVAGTPVPRPRSYVDDLAGLRLD >PVH63833 pep chromosome:PHallii_v3.1:2:9787528:9788185:1 gene:PAHAL_2G117200 transcript:PVH63833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIDVFIWLADVVNSLGGMPPQVQTMERQQFKTLTISFDIPAREDLGTMIPIIASGKESPIEMTYERNAMEACLVELERHDYLIPDLSWFKIRALQQNEHDIISLCERLAQENQNDMRRPVSRLLLRFDLPRLLLRNQCSPSMAKHFLVDVKLRTAHLSVL >PVH64356 pep chromosome:PHallii_v3.1:2:41338465:41342106:-1 gene:PAHAL_2G247700 transcript:PVH64356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLSSLISNIGSRNLKVTVRVDVADLRNHRTNRQPHQEFRTLGDARRWSSPAARSRGGGRIRRMGCSISGLNALYESATGGGDVWINERRFRVLRQIGEGGFAFVYLVREQQPASDAAPARRHAAHVSEDGIYAMKKVLIQSKEQLDLVREEIRVSSLFNHPNLLPLIDHAIIAVKGDWSHEAYLLFPVHLDGTLFDNANIMLSRKEFYSTADVLQIFRQMCEGLKHMHSFDPPYAHNDVKPGNVLITRRKGQAPVATLMDFGSARPARKQIRSRSEALQLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMFNVSPFEYALGESGGSLQLAIVNGQLKWPAGPSPPYPDELRQFVIWMLQPQPAMRPHIGDVVLHVDKLITKYLS >PVH64357 pep chromosome:PHallii_v3.1:2:41338836:41342092:-1 gene:PAHAL_2G247700 transcript:PVH64357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLSSLISNIGSRNLKVTVRVDVADLRNHRTNRQPHQEFRTLGDARRWSSPAARSRGGGRIRRMGCSISGLNALYESATGGGDVWINERRFRVLRQIGEGGFAFVYLVREQQPASDAAPARRHAAHVSEDGIYAMKKVLIQSKEQLDLVREEIRVSSLFNHPNLLPLIDHAIIAVKSTQGDWSHEAYLLFPVHLDGTLFDNANIMLSRKEFYSTADVLQIFRQMCEGLKHMHSFDPPYAHNDVKPGNVLITRRKGQAPVATLMDFGSARPARKQIRSRSEALQLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMFNVSPFEYALGESGGSLQLAIVNGQLKWPAGPSPPYPDELRQFVIWMLQPQPAMRPHIGDVVLHVDKLITKYLS >PAN13279 pep chromosome:PHallii_v3.1:2:47044384:47046291:1 gene:PAHAL_2G325400 transcript:PAN13279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTAMMALAWAPSSSANLRFGGGGGRKSASAMPLVKKSSSSSSSAHLLGRSRAWAATTAATAACDEQQPQTMELLRRLGEDGWVEEHMLSLLTPVAEAWQPADLLPAFAATAEEQRSQVAELQARAAGVPDDLLVCLVGNMVTEEGLPTYMTMANRVRGIDDATGCDGHGWARWLRGWTAEENRHGDALNRYLYLCGRVDMRQVERTVHHLLRGGMRTLEPFCPCHGFIYVAFQERATFVSHARTARRAALHGDACLAKLCGAVAADEKRHEAAYTRAVARCFEADPDAAVRALAAVMRAKVTMPGELMTDGRDENLFDHFAAVAQRVGVYTAADYGDMVEHFVRRWGVAELGGLSGEGRRAQDYVCGLPRKIRRMEQLAHDRTAQKEAQSVSFSWVFDRPVRLH >PAN10977 pep chromosome:PHallii_v3.1:2:11737937:11741835:1 gene:PAHAL_2G130700 transcript:PAN10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSCLVLSASLTPAARSRRRCWHRRRQVPRCAAAAAADGRTATVQSKAGDALEVCRVVNGMWQVSGASWGRAEPAAAVDAMLRYADGGLATFDMADIYGPAEDLYGMFINRVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWWDDSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENEIPVVSNQVQHSIVDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLKKVSLKHGVPIATIAVRYILNQTSVAGSMVGVRLGLSEHIKDTNSIFSLELDEEDMNSITEVSKKGRNLMDIIGDCGDEYRA >PAN10978 pep chromosome:PHallii_v3.1:2:11738312:11741842:1 gene:PAHAL_2G130700 transcript:PAN10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPNPMRGPRANCALSLLLLRGARARTHARPMSSAAARAVSPAAAAPPPLPRRLRPVRCTGGAAATETVTAGPARVATVSNSGDSLAICRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLTTFDMADHYGPAEDLYGMFINRVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWWDDSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENEIPVVSNQVQHSIVDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLKKVSLKHGVPIATIAVRYILNQTSVAGSMVGVRLGLSEHIKDTNSIFSLELDEEDMNSITEVSKKGRNLMDIIGDCGDEYRA >PAN14613 pep chromosome:PHallii_v3.1:2:53058037:53061947:-1 gene:PAHAL_2G424000 transcript:PAN14613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKPKALLAQSKVKKGPSQISVATIFTYLVLGAVVVSSVYAAYKYWVGKGPAVTAAGVEGN >PVH64023 pep chromosome:PHallii_v3.1:2:21449793:21451740:-1 gene:PAHAL_2G166400 transcript:PVH64023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAWSSAIILRHARGHLDSVIFDYRVPEFHKDSGLVERDSPQGSRPFPRNGLPLVESWPGPNYWAFGSPRRGAGAPVPIDFPGAGAPPCGSRAPPPPRHDSPSRRRRRRSAPPPPRPSRAALPVQVAPPRPTAASSASPSCRSSASRSRSGTAPPSPSRSRRPSAFPSRPRRPGNERGGRQVVGASDKTARHLAPAAADRISRG >PAN14535 pep chromosome:PHallii_v3.1:2:52696718:52703177:-1 gene:PAHAL_2G417600 transcript:PAN14535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAARRVAVAALALGVLAGVASAAVYEVGDKAGWTIMGNPDYRLWANSKKFHVNDVVVFTYNKQFHNVLAVSKADYKNCNASKPIATWSTGNDSVVLNTTGSHYFLCGFPGHCAAGQKVDIHIAASSAAPSAAPAPEPSAAAGSKPSGGATAGPAPHPNAAPKALPAGRSVAATVAASLLSLAAAVLA >PAN10292 pep chromosome:PHallii_v3.1:2:6162984:6166978:-1 gene:PAHAL_2G084800 transcript:PAN10292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-transporting P1B-ATPase, Root-to-shoot cadmium (Cd) translocatio [Source: Projected from Oryza sativa (Os07g0232900)] MMGGAGRPAGAPEAAELEERLLPLAAPGIGDVDGGGGRRRGKWEKTYLDVLGVCCSAEVALVERLLAPIDGVRAVTVVVPSRTVIVEHDPAAVSQSRIVKALNKAGLEASVRAYGSSGVVGRWPSPYILASGALLLASFLAPLLPPLRWLALAAACAGAPPMLLRAFAAATRLALDINVLMVVAVAGAAALGDYAEAGAIVFLFTTAEWLETLACAKAGAGMSSLMSTIPPRVVLAGTGEVVSLRDVKVGAVVAVRAGEVVPIDGVVVDGQSEVDESSLTGESFPVPKQPPSEVWAGTINLDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCSKYYTPAVVALAASVALVPLLLGAQDMKRWFQLALVLLVSACPCALVLSTPVATFCALLRAARMGVLIKGGDILESLGGIRVAAFDKTGTITKGEFSIDGFHVVGDKAEMSQLLYWVSSIESKSSHPMAAALVEYAQSKSVQPNPEKVTEFRIYPGEGIYGEINGRHVYIGNRRIMARSSCQTVSEIDGVNVASIGYVVCDGDLVGSFSLSDDCRTGAAEAIRELRSMGIKSVMLTGDSRSAAMRAQEQLGGAMEELHSELLPADKVGLVGDLKGRDGPTLMVGDGLNDAPALAMADVGVTMGLSGSAAAMETSHATLMSSDILRVPAAVRLGRRARRTIAANLAASVAAKAAVAALAVAWRPVLWAAVLADVGTCLLVVLHSMTLLREPGTARRGSRKEDEACRATARSLAMRSQLAGASSTATASAGAPGGGTNGCHCCQKPTSRSFEQAHSVVIDIPAASSAGHQELQVPRTAAANGNAGGCCSTGRACGASTVTSGTRAPRKCCARKEDGSRVSVNTACCSNGGGSRDSPKKTGQGCRDARCCSGGT >PVH63683 pep chromosome:PHallii_v3.1:2:6163334:6166654:-1 gene:PAHAL_2G084800 transcript:PVH63683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-transporting P1B-ATPase, Root-to-shoot cadmium (Cd) translocatio [Source: Projected from Oryza sativa (Os07g0232900)] MMGGAGRPAGAPEAAELEERLLPLAAPGIGDVDGGGGRRRGKWEKTYLDVLGVCCSAEVALVERLLAPIDGVRAVTVVVPSRTVIVEHDPAAVSQSRIGNRPLLRLTPQKSPCLWADPASSCPESVKALNKAGLEASVRAYGSSGVVGRWPSPYILASGALLLASFLAPLLPPLRWLALAAACAGAPPMLLRAFAAATRLALDINVLMVVAVAGAAALGDYAEAGAIVFLFTTAEWLETLACAKAGAGMSSLMSTIPPRVVLAGTGEVVSLRDVKVGAVVAVRAGEVVPIDGVVVDGQSEVDESSLTGESFPVPKQPPSEVWAGTINLDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCSKYYTPAVVALAASVALVPLLLGAQDMKRWFQLALVLLVSACPCALVLSTPVATFCALLRAARMGVLIKGGDILESLGGIRVAAFDKTGTITKGEFSIDGFHVVGDKAEMSQLLYWVSSIESKSSHPMAAALVEYAQSKSVQPNPEKVTEFRIYPGEGIYGEINGRHVYIGNRRIMARSSCQTVSEIDGVNVASIGYVVCDGDLVGSFSLSDDCRTGAAEAIRELRSMGIKSVMLTGDSRSAAMRAQEQLGGAMEELHSELLPADKVGLVGDLKGRDGPTLMVGDGLNDAPALAMADVGVTMGLSGSAAAMETSHATLMSSDILRVPAAVRLGRRARRTIAANLAASVAAKAAVAALAVAWRPVLWAAVLADVGTCLLVVLHSMTLLREPGTARRGSRKEDEACRATARSLAMRSQLAGASSTATASAGAPGGGTNGCHCCQKPTSRSFEQAHSVVIDIPAASSAGHQELQVPRTAAANGNAGGCCSTGRACGASTVTSGTRAPRKCCARKEDGSRVSVNTACCSNGGGSRDSPKKTGQGCRDARCCSGGT >PAN14933 pep chromosome:PHallii_v3.1:2:54814035:54814727:1 gene:PAHAL_2G453400 transcript:PAN14933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEKRGAMEAEGAVEKGGDVAATSEKGEAASAKGKGVVEAKEVAGGKMVILRSSQPEKKRYEVPEAAARLSMLIGEMLDGDCANDDGIVIPVTARTLDTVVEYCSKHFEATKSNSDPFVAAATKSDPNPSAEASVGGGVNTAASENLEDWDRKLVDRLSMDDLYDVIHAANFLDIKGLLDVVYQRVADMIKGKTPEEIRATFNIANDFTLEEEAELCQQCAWIFDAE >PVH64082 pep chromosome:PHallii_v3.1:2:26156903:26157763:-1 gene:PAHAL_2G180600 transcript:PVH64082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPGLLINTLEDLGVTERPRYYSREYEHHGTLRCRVIMAIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFYEQANFLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPENPESSAAAIEVDVAARPLTDRNPEDGEQGSLTLPAPEEGLPRE >PAN14512 pep chromosome:PHallii_v3.1:2:52526980:52531012:-1 gene:PAHAL_2G415400 transcript:PAN14512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVTPGGAAGDGGGKKPKEPKQKKGKKPNPFSIEYNRSAPPGAAPRLLVLREPTGRDIAARYELGGELGRGEFGVTYLCTDRDSGEALACKSISKKKLRTPVDIEDVRREVEIMRHLPKHPNVVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVKGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEAADIKDMFEKMDLNKDQMLNFDELKLGLHKFGHQMPDADVQILMEAADADGNGSLDYGEFVTLSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQMTSTR >PVH65062 pep chromosome:PHallii_v3.1:2:52526459:52530886:-1 gene:PAHAL_2G415400 transcript:PVH65062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVTPGGAAGDGGGKKPKEPKQKKGKKPNPFSIEYNRSAPPGAAPRLLVLREPTGRDIAARYELGGELGRGEFGVTYLCTDRDSGEALACKSISKKKLRTPVDIEDVRREVEIMRHLPKHPNVVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVKGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEAADIKDMFEKMDLNKDQMLNFDELKLGLHKFGHQMPDADVQILMEAADADGNGSLDYGEFVTLSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQMTSTR >PAN14207 pep chromosome:PHallii_v3.1:2:51117547:51120793:1 gene:PAHAL_2G391400 transcript:PAN14207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCATELVRGEGTIGGAIKVGTTGTIGSLMTRELDAIKVEPQATATPRLRRQGCPVSVSCGATPRKVVLRKSSSDITSSSNGNRTDRVSAEEACKSRRVSRRNTFNSPMLRSDCALVDRSPNTGKTKKKGSGYGVEVVDVKCGNPMSSRLRKLGFSKLSETFA >PAN12702 pep chromosome:PHallii_v3.1:2:47777221:47778304:1 gene:PAHAL_2G336900 transcript:PAN12702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGGRGRRRLHEQPLREAGVVRVQVHAAEPVGLERAAVQRSRLISLRGYVLKRRRRRAGNVLQRRRSRRRARRHLVDRGGAAGGTGAALEVRDGLECRAAGVVQARERGRRRQREPPRGVREVEAGPRAAAAQGEHRGVVRPRRGLGGDVGAEPQARAAVPRDAHLAHPPARGAPAAHAAEHRVPRLAELGAPRGALLGRLQGGRGRRRRLVLARRGRGRRGGGGGGGRVLEAAHVHGCFLLRLLFSSGGCLSLVWGVE >PAN13220 pep chromosome:PHallii_v3.1:2:46775959:46777892:1 gene:PAHAL_2G321200 transcript:PAN13220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSDLELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >PAN15481 pep chromosome:PHallii_v3.1:2:57188096:57190424:-1 gene:PAHAL_2G491200 transcript:PAN15481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMISAAQAATSQLPPGFRFHPTDEELILHYLRNRAASAPCPVPIIADVDIYKFDPWDLPSKALYGDGEYYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDSATGESVGVKKALVFYKGRPPRGTKTNWIMHEYRLGLAAANPLAAAYRPPSKFRNVSMRLDDWVLCRIYRKSGQASPMVPPLADYEHLDHDDPSSGGFDDICSFYAPTSSSGATTSSTTASSAFIQQQPPALLLPRLPKIPSISELLDEYAFAQIFDTAGPAEQDPLAVHPSLNQLLAVGDSAHSDLTIYSPPPVAGGKRKATSSMGPDECGGMITAGRHQHPPAKSSRLNGSCLDAPQPASSLPATSSVLGGGLKHHMLPQF >PVH63825 pep chromosome:PHallii_v3.1:2:9509778:9510485:1 gene:PAHAL_2G114900 transcript:PVH63825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDATMRGELPYSAAIKSAIKYHRNLTSRGYRALVYSGDHDLVVPHLGTQAWVRSLNFFSIVDDWRAWHLDGQSAGWGAGHTAPEYEPERCFAMFSRWILNRPL >PAN12112 pep chromosome:PHallii_v3.1:2:40929699:40931463:1 gene:PAHAL_2G243400 transcript:PAN12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLEFLSDLLGGGGGSRRRYKKRKQFQTVELRVRMDCDGCEMKVKNALSSMKGVQSVEINRKQYKVTVQGYVEPHKVVKRVQATGKKAEIWPYVPYSHVAHPYAAPAYDKKAPPGYVRRVDAVMPVSSYGGPTAAGPQEERLVTMFSDDNPNACSIM >PAN13065 pep chromosome:PHallii_v3.1:2:46172134:46173617:1 gene:PAHAL_2G311800 transcript:PAN13065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSYTQEHVYRHPWHRVTAAAWRKFTDPAARAASGALAHILDVHTLSRDVDRRSGRLRAVRAIAGRTPPLPLLLRGLLAPAPSAGAGGDVVVLCVERTDVDAPARDMRVASRNATLRGLVDVEERCSYAPHPERPDEWTLFRQETTIRCAPLAAVAARVAELVERRCAERFTRNADRGREVVERICADLAAEQDATHEPR >PVH63445 pep chromosome:PHallii_v3.1:2:2189483:2190137:1 gene:PAHAL_2G030700 transcript:PVH63445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGHTADRVSVPVVVLLLLMAVILLPAAAPLAMALSESEPQGSGDGTSRAVVPASGRTTRRLLECTETWGLCVYSDECCPGTFCDLNAGQGGGFYGACVPPCWWKEGGCNGSS >PVH63356 pep chromosome:PHallii_v3.1:2:788406:790545:1 gene:PAHAL_2G011600 transcript:PVH63356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDVLEIGMGSDLSLDLRYFASKAVRQARDAPASDVDACIRRLEEERGKIEMFRRELPLCARLLAEVIDVMKAEAGTKTKSDRKAAVAAEEDGAAGDKSKWMSTAQLWTGDSGREDAESEKQDKGRSSPEAKSRGGGGAFLPFKAVGSGAPAFAPLCLRVDDKAADAGTPDLSLLSPPAIKSSPAAAGAAEENRRQVVGFAQAAARAVAMAPSGPSLTLQSQPQQTAQQQQQQQQAARKARRTWSPELHRQFVAALSQLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRAPGSAVVSQPIVLVGGLWIPQEQSSSQSGSPQGPLHFSTSGIAVSSAATVSCEEEDGLSESCGWK >PVH64736 pep chromosome:PHallii_v3.1:2:48494321:48497299:1 gene:PAHAL_2G347900 transcript:PVH64736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAADDLDLLLSLGEAVPETPPSSPCAADGPESGGAFTPPRTRRPGGTDMSVFRDAVKDYLEAPPESTSPLPERPKRPKATETLVDKYSGLRIKHLTLSPLEISNRFADIRFVRITAIKNSLGSERFSGCWATAGVLLDKGVPRVSAKGTSYSIWKMGALDETEVSLFLFGDAHVHYSGAAVGSVFAIFNGNVRMDNGGKGFSVSVASVGQMLKMGVAADFGLCKGKRKDGVACTMAINKSKGAYCKFHSSKTSQKYTTGRVELKGGNFQFASKLRSQGIYMVNPSSERPNPRKPCQPVKVMSIDGLKRALSNADRVTTKNQSQGIRFLSHVAANTDNTKATVKVSGSTNQQKSKYSLNRRD >PAN13581 pep chromosome:PHallii_v3.1:2:48494321:48497299:1 gene:PAHAL_2G347900 transcript:PAN13581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAADDLDLLLSLGEAVPETPPSSPCAADGPESGGAFTPPRTRRPGGTDMSVFRDAVKDYLEAPPESTSPLPERPKRPKATETLVDKYSGLRIKHLTLSPLEISNRFADIRFVRITAIKNSLGSERFSGCWATAGVLLDKGVPRVSAKGTSYSIWKMGALDETEVSLFLFGDAHVHYSGAAVGSVFAIFNGNVRMDNGGKGFSVSVASVGQMLKMGVAADFGLCKGKRKDGVACTMAINKSKGAYCKFHSSKTSQKYTTGRVELKGGNFQFASKLRSQGIYMVNPSSERPNPRKPCQPVKVMSIDGLKRALSNADRVTTKNQSQGIRFLSHVAANTDNTKATVKVSGSTNQQKSKYSLNRSSASSGAKAPPKQGLGKPEQDFKRRKVNNPPGNIVELDAVSSDDDEISIVLQR >PVH63855 pep chromosome:PHallii_v3.1:2:10245540:10246665:1 gene:PAHAL_2G121300 transcript:PVH63855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSAPGARAPCTPATVPAPRGRRRRPAPLCPTGPRAALPLTPRPPRPPPPPSHAAPRGPTAPAAAPRPSAPWAPAQLCLCPHGPRGGRRRPARPLAAPRPPPLPPPPRAPLPRGPPRGSASAPAAPVAHPLFTGHPGIDLQ >PAN13658 pep chromosome:PHallii_v3.1:2:48872611:48875535:1 gene:PAHAL_2G355000 transcript:PAN13658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT4G35190) UniProtKB/TrEMBL;Acc:A0A178UWQ5] MEGAVERSGGGGGGKGSGSRFRRVCVFCGSSSGKRSSYRDAAVELGRELVARKVDLVYGGGSLGLMGEVSEAVHKGGGHVIGVIPTTLMGKEITGETVGEVRAVAGMHQRKAEMARNSDAFIALPGGYGTLDELLEVIAWAQLGIHSKPVGLLNVNGYYNFLLAFIDKAVDDGFIKPAQRHIFVSAPDARGLVQKLEEYEAVADEDPAMPKLRWEIEQVGYNAALPAEVAR >PVH64960 pep chromosome:PHallii_v3.1:2:51302972:51305438:-1 gene:PAHAL_2G393500 transcript:PVH64960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKGFAFTGPRHMMPKAEAGSSPTNTTEIDWDNEEHRRCITACLIKGTYVLESERTKLREAGDDDTCEEALALAPAWWESFHFRRFRVLACRSPRFTYGVIFEYVPPDGPRRHPSAPSYVVAFRGTMRRDATNLGDMRLDLRILLNACGRFSHAREEVGKFLDSAMPSGGAAGSSCMVWLAGHSLGASSIALDVGRHMMTQRGLNIPTFLFNPPQVSLPAVIDNKLPMAEVATRGLRTTSYILKHGLGKVLKPHKNNMEEQFEQLSPWVPNLYVHQRDIICKGFIDYFEQRERMQERLPRVAASGTTLSYRDMCRSAFGMQNERPHLLPSAMLWKNQSSAGNPHELRQWWQQKARNWC >PVH63674 pep chromosome:PHallii_v3.1:2:6078094:6078261:1 gene:PAHAL_2G082900 transcript:PVH63674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRGSFTLLVGMGCGVYIAQNYNVPNVKKLFNTYVFLAKHIEETYRKPKKDDD >PAN12713 pep chromosome:PHallii_v3.1:2:44506121:44508245:1 gene:PAHAL_2G285700 transcript:PAN12713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASSFPIIDMGLLAGEERPAAMDMLRDACENWGFFQILNHGISTELMDEVERLTKGHYKRVREQRFLEFASKALQDGGGGARGVKAENLDWESTFFVRHLPESNLAEIPDLDDGYRRAMRRFAGELEALAERLLDLLCENLGLERGYLARAFRGPSKGAPTFATKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDDRVGGLQLLKDGAWVDVPPTRHSIVVNLGDQLEVITNGTYKSVVHRVVAQSDGNRMSIASFYNPGGDAVIFPAPALVKAEEAAAAYPRFVFEDYMKLYVRHKFEAKEPRFEAFKSMETGGSNRGIAIA >PAN09277 pep chromosome:PHallii_v3.1:2:520548:522025:1 gene:PAHAL_2G007900 transcript:PAN09277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPGFLSVKVLRGVNLVSRDANGSDPYVVLDLDGQKLKTGVMKKTVNPVWNEDLTLAVKNASTPIKLEVFDKDTFSKDDQMGDAEFDIEALMQIIQMDLEDIRSGTVVRTVRPGSHCCLADESHIMWENGQVVQDLLLKLRNVETGVVHLQLRWVNIPG >PAN12641 pep chromosome:PHallii_v3.1:2:44111668:44114343:1 gene:PAHAL_2G280300 transcript:PAN12641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPWVSFLGVVLATVMLLKAVLGRRGHRAYNLPPGPKPWPIIGNLDLMGALPHRSIHELSRKYGPLMQLQFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRNLHAASGSGRAVMLKDYLSTVSLNVITRMVLGKKYLDKEVVAGRSSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHNQRRLREGKSFLAKDMVDVLLQIADDPTLEVELDRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVIAKATEELDHVIGRGRWVTEKDIPQLPYVDAIVKETMRLHPVAPLLVPRLSREDATVAGYDIPAGTRVLVSVWSIGRDPALWDAPEEFMPERFLGSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFAWSLPDGVTKEELSMEEIFGLSTPRKFPLEAVVEPKLPAHLYSAEA >PVH65213 pep chromosome:PHallii_v3.1:2:54071049:54074822:1 gene:PAHAL_2G439900 transcript:PVH65213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVSCLSDPCPSASPPPQAKRRSSTSSRGRGGGRDSAKATMAIDEEALAAAAALVLGQRGAVGAFERSASVRCAAKRQGQGPPLPRSCSTRPRSLADPELQPQQLLAKDLNTKYLETNIIVLVHGGGFGAWCWYKTISLLEDSGFKVNAIDLTGSGIHSYDTNKISSLSEYSEPLTSYLKGLGVAEKVILVGHDFGGACISYAMEMFPSKIAKAVFLCAAMLTNGHSALDMFQQQMDTNGTLQKAQEFVYSNGKDRPPTAINIDKALLRDLLFNQSPSKFFTPPTLCS >PVH65212 pep chromosome:PHallii_v3.1:2:54070904:54075623:1 gene:PAHAL_2G439900 transcript:PVH65212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVSCLSDPCPSASPPPQAKRRSSTSSRGRGGGRDSAKATMAIDEEALAAAAALVLGQRGAVGAFERSASVRCAAKRQGQGPPLPRSCSTRPRSLADPELQPQQLLAKDLNTKYLETNIIVLVHGGGFGAWCWYKTISLLEDSGFKVNAIDLTGSGIHSYDTNKISSLSEYSEPLTSYLKGLGVAEKVILVGHDFGGACISYAMEMFPSKIAKAVFLCAAMLTNGHSALDMFQQQMDTNGTLQKAQEFVYSNGKDRPPTAINIDKALLRDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTAENYGSVRRFYVETTEDNAIPLPLQQSMCGTNPPEKVLRLKGADHAPFFSKPQALHKTLVEIATMPPVQAS >PAN15490 pep chromosome:PHallii_v3.1:2:57236484:57238921:1 gene:PAHAL_2G491800 transcript:PAN15490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALCNGVVASPCAGDVGVAARVRGAAAALAESVPIGGYSTKSSFSAGRMAMTDRKARQGPRSVEAAPGQMNLSFPKAMRWWQKGLQPNMREIESAQDLADSLLNSGDKLVVVDFFSPGCGGCRALHPKIAQFAERNPDVMFLQVNYETHKSMCYSLHVHVLPFFRFYRGAEGRVSSFSCTNATIKKFKDALAKHGPERCSLGPARGLEESELMALAANKDLQFTYEKPGLVPLAEAIAKEAAAPGGPWFPLPVSATQLLTQGSENSLLSSGR >PVH63753 pep chromosome:PHallii_v3.1:2:7636043:7637130:-1 gene:PAHAL_2G098600 transcript:PVH63753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQPTHLSRPIRIYGHPHPTSPPRSTSRSRAATPPPLHPPRGVTPTFAAAALQYSPAVPPHCTAAAATTILISMPSPPRFAHALEVRRCAPPPHSDPALA >PAN14058 pep chromosome:PHallii_v3.1:2:50498838:50505568:-1 gene:PAHAL_2G381700 transcript:PAN14058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MRQRSPAVLQLRLRLLAISVWPAFLGVLAASKEPFTIRISCGSFDDVRTSPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRYFPLSDGPENCYDINNVPNGHYQVRLFFGLLDNPSLDSEPIFDVSVEATLFSSLLLGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSILSIEVLQIDDNSYNFSPSLGKGTVLRTAKRLKCGSGKPAFDEDLRGIRWGGDRFWLGLQTLSSSSDDQSISTENVIAETLLAPNFYPQSLYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKDVDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVKGTRAIISAIEVFEVIPAEKKTLPQEVSALRTLKGSLGLPLRFGWNGDPCVPQQHPWSGVDCQFDSTKGNWIIDGLGLDNQGLRGVIPGDISKLQHLQSINLSGNSIKGNIPTSVGTISALQVLDLSYNELNGSIPESLGQLMSLQILNLNGNRLSGRVPANLGGRPLHRARFNFTDNAGLCGIPGLRECGPHLSVAAKIGMAFGMLLAILFLVVFAACWWKRRQNILRAQKLAAAREAPYAKSRTQFTRDVQMTKHQRPSESSRSSNNESSPHLLS >PAN12264 pep chromosome:PHallii_v3.1:2:41940554:41944784:-1 gene:PAHAL_2G254000 transcript:PAN12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAAYLSMGEAHRRIGDYLSRVVDAISSSDGAALASLFAVSAPGSTLLSDALAAFPDFPRLAGDRFPHLADLLVPLLRAIHSHSLQRFADAYSSFEKAANAFLQEFRNWETPWAMEAMHTVALEIRLLAEKADRELAMTGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALMHCNPQSESNLRRILKFLIPVKLSIGVLPKRTLLERYNLLEYADVMTSLKRGDLRLLRQALDRHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLGVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >PVH63419 pep chromosome:PHallii_v3.1:2:1663626:1665435:-1 gene:PAHAL_2G023600 transcript:PVH63419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQCIISRLFIRWIDLLTNFLRMRERERAYSHRAREVARWQSNPNVVQVCSVAQNKDARWPSAGLLTFPPSWPYGGNKTCSSADNAHATMTKRTKNFGCIGLNLLRSLLEEEAGRRNLLPVILSSFLCFSFDVQ >PVH63574 pep chromosome:PHallii_v3.1:2:4291583:4299463:-1 gene:PAHAL_2G058300 transcript:PVH63574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPNRSASAVGDLPNGPLVEILSRVPAKSVCRFKCVSKAWLGLIDDPHNRKRLPQAMQRLFCRTHEIPEGGIDIFNFEDGNVGSFGFSFVDLAARSVPLDIDPCFSFLTELPGIQNLVLRNSCNGLVLFENRQKPYSGTLASIMCNPTTKQWVAVPTCGSPGMFIFTYTYLAFDAAVSSHFHLVHFKVASGEKLISVNAYSAETGTWNQSNTEGPRCAFVNGFLHLMIWDSDQLKIVAVDVQGKARRMIAVQHVTDATRCYLGQSQGRLHCTTQEILDAHPAGYKLCIWVLQDYDTQEWVLKDTVSSLELFGENCTGNMSDFMVVEIHQDCNVEVSVIATFENRKWVEDAARYVPYFSESPLLTNKQ >PAN13542 pep chromosome:PHallii_v3.1:2:48277732:48280271:-1 gene:PAHAL_2G345500 transcript:PAN13542 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRVKKRSKNRKAVKFYSTCFGFREPYKVLIDGTFVHHLITQRLLPADEALRDLLSASRTPALFTSKCIVAELRRLGKSHAESFDSAQLLATTKCEHDKVVSAVNCVLSLIGDKNPEHFFVATQDADLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLMKAASEGKTTASENGSDGEQHERPISSLVKNALGVADKSKFKRNRAKGPNPLSCKKKKPKPQPSAIQNQGATVDGEAKRKRVRKRKRSQKDNKQAETTS >PAN15348 pep chromosome:PHallii_v3.1:2:56522345:56524282:-1 gene:PAHAL_2G482100 transcript:PAN15348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKTEPAPAAAAEGGSGENHQQQKTVVVVGVDDSDHSYHALEWTVRHVAAGMAGAADLVIVHAKPSPSSVVSFGGPGAGEAMRYVEADLRKMAEAVVDRARRVCIANSVHALIEVVEGEPRYVLCNAAEKHHADLLVVGSHGYGAIKRAFLGSVSDYCAHHTHCSVMIVKSPRPKC >PAN15084 pep chromosome:PHallii_v3.1:2:55323748:55325190:-1 gene:PAHAL_2G463100 transcript:PAN15084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKKLAVLVALLALLVGPSAGLLGSGPGCSVYVGWPVPVFLRSLICGILGQPYTPPIWRAEPTGAGLSVGYYNNPNNTNSYCPGAEAAVRKAVENAIYQQGRGIGAGLIRLFFHDAFVRGCDASVLLRSTALAGSATEMEGPPNKDSLRGFEVIDAAKEATKAACGGRNVVSCADILAFAARDASDILSGGKISFPVMAGRYDGRESFANETVQLPGPDSSLQELQMMFAAQGLSSADMVTLSGAHSIGRARCLFFTGRLSAMDPDYARRLNENCNGTGSPNNRVDQDPETSDVLDNQYYKNIDKFVLFRSDAVLVSSPATKQQVDVNVANPSRWASDFAAAMVKMGNIGVKTARIPNETEIRDLCWRVNA >PAN12603 pep chromosome:PHallii_v3.1:2:43880292:43884766:1 gene:PAHAL_2G276800 transcript:PAN12603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETAAASSPRAAAAAAAAGAAAAAAAASAVSSPRRGGGAAHHHRRWAPAPFRACLVALWLVGFALVFLWQSTSVGRVRLYTRPPMPKRPVAAMGQWVASPPVYDLREFGAVGDGRTVNTVAFEAAVAAIAERGGGRLTVPAGRWLTAPFNLTSRMTLFLAAGAEILGIQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVTITGHNGTINGQGQSWWVKFRRKLLNHTRGPLVQLMRSSNIIISNITLRDSPFWTLHTYDCKNVTISETTILAPITGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSANIIIQNVIIRSMVSAGVSIGSEMSGGVSNVLVENVHVWDSRRGVRIKTAPGRGAYVSNIVYRNISLENVRVGIVIKTDYNEHPDEGFDPKAVPIIENISYTSIHGQGVRVPVRIQGSAEIPVKNVTFRDMSVGIADRKHHVFQCSFVQGQVIGYVFPVPCKNLDMYNERRELVKQSTFQNISDIDYSF >PAN12137 pep chromosome:PHallii_v3.1:2:41223963:41225541:1 gene:PAHAL_2G245800 transcript:PAN12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAVAVGGGGKGGTAPTDLLVCFPARQHLALMPKPICSPSRTTMDKAAAARRRQLQLPGASAAAGGGKARGSSPMFRGSKAKQRAEDEEEPQSPKVTCVGQIKVGRPKKVKPGSAAATKHGKDGARSWLTVVEEIERLHGRRKKVGWLETLGIRRDALPFLGGALRGLRHKARCFGSLHGAAVDSTTDSDEDDGDAEERGGEHSGASGSAAASVFSKWLMVLEGGQEPLEQDCGGDEEERDEEDDDEGQQPQSEETDESANAPSAPPPNALLLMRCRSAPAKGLSMSRRGTSGLLPGDVEQEKETADAALGDGETEEGEEELVFMSTAPGFLKLSLDIAKETWIVGGMDPLARSRSWKR >PAN09207 pep chromosome:PHallii_v3.1:2:318659:323083:-1 gene:PAHAL_2G004500 transcript:PAN09207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAIVNTAGGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLKKFFPEVFRKKQEAKTNQYCKYDNQLLQTFTSSLYLAALVASFFAATVTRVMGRKWSMFGGGLTFLIGAALNGAAENVAMLIVGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTNKIKAGYGWRVSLALAAVPAGIITLGSLFLPDTPNSLLERGHADEARRMLRRIRGTEEIGEEYADLVAASEEARQVRHPWRNILKRRYRAQLTMAIMIPFFQQLTGINVIMFYAPVLFETLGFKGDASLMSSVITGLVNVFATVVSIFTVDRLGRRMLFLQGGTQMIICQVVVGTLIAAKFGTSGIGEMPKGYAAVVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMFFTFCIAQAFLTMLCHFKFGLFYFFGGWVVIMTIFIALFLPETKNVPIEEMVLVWKSHWFWKKFIADEDVHVGGNHLQMASSNNGKQGADA >PAN09255 pep chromosome:PHallii_v3.1:2:614827:616959:1 gene:PAHAL_2G009200 transcript:PAN09255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFVSAVLGELASRSINFFISKSSKPTVLGVADRLQRALLRAQVIVDEAMEREITNQAVLQHLDMLRDAMHRGYYILDTFRYQSHSEEEAKGQVMSNSLSLLKVNPLRGFCLSNRNTLILEQLQKSLDDLSSMILDVKELVVFLASYPRLYRQPYSMHLLLGNCMFGRQMESELVINFLLHTQPHGSEELEVLPIVGPGKVGKSTLVAHVCKNESIRDYFSEILFLRDHDFIDDDLSTFRRFEMIDQNRVSNSNKDRGWLVVVDLVGDLNEDAWKMLYFSCKQRLPSSSKIIITSRSDKITKLGTTQALNLEYLSHEAFWYFFKTVTFGSIDPDMHPKFTHVAMEIAKKLGRALIGANVLACLLRDNFDIHFWCKVLAFMRGLIQRHVSEFGVEPFFLINQNKPVHLGRLATPHEDFVYYYDYESSSREEVPKIRFQDVMYGSVKALGKFEVLGWISPIPPYYSYVVTCEVRELKSRAGAKRKRSVEN >PVH63518 pep chromosome:PHallii_v3.1:2:3219852:3223528:1 gene:PAHAL_2G045700 transcript:PVH63518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKERLHSLMRLFIACLLKLSTAAPCLPDQASSLLQLKASFIGDSLPSWQAGTDCCHHWEGVTCDVALGRVISLDLGEFDLTSSRLDPALFNLTSLRNLSLAFNDFSGALLPASGFERLMDIIHLNLSGPNFGFQIPIGIACLKNLVTLDLSGNYDLYFERPSFKTFMANMSNLRELYLDRVDLSSSGSTWSTVLADSVPQLQVLSLFGCYISGPIHPSFSRLRSLTTINLGYNKFEGHFPTKIFQLKSLRTLDLSDNHMLSVRLTHFPAGNNLETLNLAGTNFSCDMPSSFGNLEYVKTLGLNMMRIDDELLYLISKLPLLDDLRLRLMGSDLENPGLSWVSNLTQLTDLMLDSYDFSKSVPTWIGKLTRLESLTIVDCSFSMPIPYQIGNLTKLVELEFLSCDFPEQRMPSWIGNLTKLVSFTIDDCNFSGPIPSTIGNLIQLEELVVFSSHISGKIPKSLFALPALQSLWLIDNQLIGWLEDIPAPLSSPLREIDLSSNQLTGPIPKSFFQLTNLRRLDLDSNKLTGTVELGSIWRLRNLTYLNLGNNMISITEKEGDMIFSHSLKIQYIYLASCNLTKFPASLEYIDTIQDLDLSSNQIEGAIPSWVWENRLVSLNLSHNMFTTLEKSPIVQMTHLIDLDLSFNGLQGSIPIPSTPSELFFLDYSNNEFSSIEPNFVGRYLRNAISINLSKNKLSGHIPLSVCSLNKLEFLDLSYNNFCGLIPSCLMEKADLMSILKLREKNYMACFLKILEKDVSFRQLI >PAN14338 pep chromosome:PHallii_v3.1:2:51785195:51787322:1 gene:PAHAL_2G401800 transcript:PAN14338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRNRKVAVGGDDRLSDLPEGILQHVLSFLPAEDAVRSSVLSRRWLRAWAHAPKLNLSDELLRDRFLGFAREVLERYGAPDVPELDVTLGCESNLGPATAAWLRDAMGRVVESISVSVTAPGALYQLTLPRGLRAKSISLRLSGTFEYGPLVLPEPGAPTSFGALEELSLSRVRLRERVRPLGEFLSSCCPGLRKLRLTKVSGELRRAPLVLHLDMLEELVVDHVERFNKLQVVSANLRVLGVLSCFESMSQWRMDTVVEISAPRLEAVGWSGFLPKHLSFLHGSHCIRRLSGLRFYFSWNLSTSAVRLLEMCSSADHLSVCIDIPDVTPSMLIREKLENVPHLPNIRVLSLQLATILRFIAIGCSIAPIIFSLLRLCPNLTRLHIDLSMLNPFLYLMVPDIDDDTEVKKPSQSSDSNPWKACGDQQQLGMLREIRISGFMGTDLEMELVDILFGVVAARPAIERISLSLFPQLRQGIDDSPMKDRFPLVGGYWETVPRKELTWIRAR >PAN09303 pep chromosome:PHallii_v3.1:2:830779:833614:-1 gene:PAHAL_2G012100 transcript:PAN09303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAFLWLLERFPVIHNLQIKLTYPKDIGNFLYLMEGLTVLPRTKILMLHLHNRGHNFGASLFHILSLCTCLGGLSLVLNSHSDLKAQSSCPLGCVCNQPTDWKTEELTLNHLQEVVLIDMKGSESEVVIVKRLPSWAMKLKRLRIIYRSTTVTKAMEVCQMLLSFAMPETHVTKNFHVKSKSCTFLR >PVH64250 pep chromosome:PHallii_v3.1:2:37697542:37698447:-1 gene:PAHAL_2G221800 transcript:PVH64250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPQPPSCRPRVRTGLHCHARRAIHSLHHAALESASDFGAASAAVQFRWLVAAGAARGERRCHRRGRPRIPRSRYNQGAIVGDGGRFTAAGAGRGERDAVIRDGHGFISYQI >PAN14878 pep chromosome:PHallii_v3.1:2:54641869:54645538:-1 gene:PAHAL_2G450800 transcript:PAN14878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSTAKPIRCRAAVSKAPGQPLEMVEVEVAPPRAHEVRVKIVCTSLCHTDLTFWRMKDFPAMYPSILGHEAVGVVESVGDHVEEVAPGDTVVPVFLPQCSECADCLSPRSNICSALSYRPGLMPRDGTTRFSLAATGEPVHGFLSVSSFAEYTVVDVAHVVRLGGSALPPEKACLLSCGVSTGVGAAWKVAAVEPGSTVAVFGMGTVGLAVAQGSKMRGAKRIIGVDLNPDKFEIGKRLGVTDFINPNDIGEKTVSQVIKEMTGGGVDYSFECIGSTSVMAEAFESSRTGWGKTIILGTDTAAAPVSISSSAIKRGRSVTGALLGGIKPKDDIPVLAQKCLDKELELDEFVTHRMGFDDINRAFDLLAQGKCLRCIIWMDGAEQKKAGA >PVH63837 pep chromosome:PHallii_v3.1:2:9865919:9868125:1 gene:PAHAL_2G117900 transcript:PVH63837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGWPSPEKDRIQEVTTRLETAVFSSWWYRALKTVNKQHKKGLNSLIILVAWELWKHPNGCVQWSHPMLVWF >PAN14986 pep chromosome:PHallii_v3.1:2:54957240:54961000:-1 gene:PAHAL_2G455900 transcript:PAN14986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYVLSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLSEGQNKLDYVLALTVENFLQRRLQTIIFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGDDGEEDEE >PAN12473 pep chromosome:PHallii_v3.1:2:43149226:43152248:-1 gene:PAHAL_2G267500 transcript:PAN12473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPDVKAETMKLMDRRGALEAEMDAIIARLTAPGGPGITGGLVDAEGFPRSDIDIPNVLAQRRRLAELRNDHKDVTNKIDKNLEILHLSKLSRNEQTTSRGSDTLASSHIGLSRSEPMEEDPVTRLPFAIIDEITDGSPAAVDGLQLGDEIVKFGNVEAGDRLQERLMSEAISNEGSQVSLAIIRQGSAVNLTITPRRWHGRGLLGSSSYSYPHVLEIHVWQLQVFCL >PAN12472 pep chromosome:PHallii_v3.1:2:43149226:43152266:-1 gene:PAHAL_2G267500 transcript:PAN12472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPDVKAETMKLMDRRGALEAEMDAIIARLTAPGGPGITGGLVDAEGFPRSDIDIPNVLAQRRRLAELRNDHKDVTNKIDKNLEILHLSKLSRNEQTTSRGSDTLASSHIGLSRSEPMEEDPVTRLPFAIIDEITDGSPAAVDGLQLGDEIVKFGNVEAGDRLQERLMSEAISNEGSQVSLAIIRQGSAVNLTITPRRWHGRGLLGYSYPHVLEIHVWQLQVFCL >PAN12470 pep chromosome:PHallii_v3.1:2:43147868:43152385:-1 gene:PAHAL_2G267500 transcript:PAN12470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPDVKAETMKLMDRRGALEAEMDAIIARLTAPGGPGITGGLVDAEGFPRSDIDIPNVLAQRRRLAELRNDHKDVTNKIDKNLEILHLSKLSRNEQTTSRGSDTLASSHIGLSRSEPMEEDPVTRLPFAIIDEITDGSPAAVDGLQLGDEIVKFGNVEAGDRLQERLMSEAISNEGSQVSLAIIRQGSAVNLTITPRRWHGRGLLGCHFRML >PAN14625 pep chromosome:PHallii_v3.1:2:53086085:53092117:1 gene:PAHAL_2G424700 transcript:PAN14625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSRRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMVE >PVH63739 pep chromosome:PHallii_v3.1:2:7275890:7277922:1 gene:PAHAL_2G095600 transcript:PVH63739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWECLGKVANIAGPVGVDMFKLIGMIVKGVETVRRNHEECQQLSHFASATDNLLHELANLKVIEHPKMWKPIQGLRGTLLQAYMLIKSCQHRSYAHHFCKGGNLAAQLQSVQKEMDFNIRYLSALLNAITYSEITTVSGTADEIRNAVQKDGGRVCPHHGETASSPSIKGLPKRGLIEFALSQLADATNYFSLENKIGFGSTSTVYKGVLQDGLEVAVKRASYDGKIPCSYFENEIKLIPKLQHTNIVTLLGYCTQKSERILVLEYMPNRSLDSFIYGKRATESPLDWPKRCEIVQGIAQGTLYLHKLCRPRIIHGDLKPGNILLDSDLSPKICDFGISTTLKPGADEDCTSIVAGSRGFIAPEYKRGGCLSVKSDVYSFGVTMLQLISGKKGPPPPLALSDESRDYGPLNKWLCSGLGLVGSTKADGVHRSLTAS >PAN10455 pep chromosome:PHallii_v3.1:2:7275700:7278400:1 gene:PAHAL_2G095600 transcript:PAN10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWECLGKVANIAGPVGVDMFKLIGMIVKGVETVRRNHEECQQLSHFASATDNLLHELANLKVIEHPKMWKPIQGLRGTLLQAYMLIKSCQHRSYAHHFCKGGNLAAQLQSVQKEMDFNIRYLSALLNAITYSEITTVSGTADEIRNAVQKDGGRVCPHHGETASSPSIKGLPKRGLIEFALSQLADATNYFSLENKIGFGSTSTVYKGVLQDGLEVAVKRASYDGKIPCSYFENEIKLIPKLQHTNIVTLLGYCTQKSERILVLEYMPNRSLDSFIYGKRATESPLDWPKRCEIVQGIAQGTLYLHKLCRPRIIHGDLKPGNILLDSDLSPKICDFGISTTLKPGADEDCTSIVAGSRGFIAPEYKRGGCLSVKSDVYSFGVTMLQLISGKKGPPPPLALSDESRDYGPLNKWAWDLWAARRLTEFIDPSLHREPRKAEIMRWVQIGLLCVQQHPEDRPSMWDVVLMLGCENAILREPGLPAYY >PAN09544 pep chromosome:PHallii_v3.1:2:2304729:2308999:-1 gene:PAHAL_2G032600 transcript:PAN09544 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR7 [Source:Projected from Arabidopsis thaliana (AT5G21990) UniProtKB/TrEMBL;Acc:A0A178UNB9] MMDPEMLRLAQEQMRRMSPDDLARMQQQLMSNPDLLRMASESMKNMKAEDLRRAAEQMNQTRPEDMRDMTEKLANTTPEEFAAMKAQADAQMSYAISGAKMLKKQGNELHNQGQYADAASKYKLAKDNLKNIPSSAAHTLQLQCTLNLMACYLKTGQFDECISQGSEVLTYDSSNVKAYYRRGQAYKELGKLEAAVSDLSKAHEISPEDETIAEVLRDTEEKLAQEGGGVNMRKGVVIEEVVEDDTSQPSSSQRSSPGYTVSQPPESHQAVRPSQNYVSKSGTEGLSKLGVEGMSPELVKTATNMIGTMKPEELQKMFEVASSMNGTSSVGPNMGSNMPEMSPDMLKMASDMIGKMSPDELQNMMNFASQMGGPGGAPRSSENNFQPSSRATTSNSPLGSSSQTISESPDELSNDQRMGQSSSSLPPSTADMQETMRNSMKDPATRQMMANMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMRWMERAQRGVEVAKKTKNWLLGRRGLILAIVMLILAFILHQLGFIGT >PAN12655 pep chromosome:PHallii_v3.1:2:44196784:44200581:1 gene:PAHAL_2G281400 transcript:PAN12655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSPPSSAHFATGLRPAPAGVRSYTIGSAAYFSAVSLRGLLSHPSASRVPSVSFRQRPSPLAERSRLPSAAQSSGDPGEINVDNAEGTREPLGSSTGSGKNGKESTKSFSSKELLEKLKRYGAAGVLSYGLLNTVYYVTAFLLVWFYFAPAPGRMGYGAAVERFVKLMAMVWAGSQVTKILRAGGALALAPFVDRGLRWFTVKFNFKSEGRAFATVVGFCFAIAAALFFGLTILWA >PAN09468 pep chromosome:PHallii_v3.1:2:1830148:1832143:-1 gene:PAHAL_2G025500 transcript:PAN09468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKELMIMHGLLILGWFIASAAGRIPPQEKAESVTPIPTLSPPEGNTTFINGVTWCVARPGASQEDLQNALDWACGPGGADCSQLQPGGRCYQPNTLLTHASYAFNIFYQQNGNSDIACNFGGAGALVKRDPSFGSCKFLASETSAAASSAILGRAWTAMVAASLIALRLIV >PAN14324 pep chromosome:PHallii_v3.1:2:51721232:51723501:1 gene:PAHAL_2G400800 transcript:PAN14324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0545800)] MDLHQLLKYRLTGANVFYEFPTENNLPNTPWPGSPLKSEFSNSPYTPLSAQLECDNLSAISNTPDNQSSTETISAQPISPLEVDSSYRQAGILRENTQVRPDPLYATSRHNMQHALREIETVLMAPDADDAANNTKHEFEEHKPTQLMRQRSRTWSHESRQPSPGVVRTQFGSGYPTASYEFRPEKRQRELREDPQNMVKQLLTKCAEALSEERIDEFLKLVQQARGVVSITGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESNELLSYMKILYNICPYFKFGYMAANGAIAEALRNEDKIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLDLVGKMLKSMSEEFRIPLEFTPLPGVYATHVTKEILDIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFSETMDYYSAMFESIDANLPRDRKERINVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFKPYPLSSYVNSVIRKLLACYSDKYTLEEKDGAMLLGWKNRKLISASAWH >PAN10937 pep chromosome:PHallii_v3.1:2:11216308:11220162:1 gene:PAHAL_2G127000 transcript:PAN10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MASPSIHAALCHPHLPAGGSLLSHPSGDPTSRAALSFRISDMPLRRLQTGLGPVLAARSPGLGNVDNLHESSNLSRNWDLNGQIDDDHDVLIECRDVHKSFGDKHVLRGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKGEVIICGKKRHGLVSDEDIEGLRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEDRIGTLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIFDDTKDVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTGRDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWEGMTHEFTTSTNPIVQQFASGSLDGPIQYF >PVH64724 pep chromosome:PHallii_v3.1:2:48089804:48091220:-1 gene:PAHAL_2G342400 transcript:PVH64724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPAAALPPAGFYYPRPVLVAPPAPVFPPGASPGCWVFPQGPCVGVPGAFPSPGWAPLAMGVPAAPTGMQGAPQPQLPAATATRRGGRDRPSRGVRAGHAPRARPLPRLDVPPRMMQRAAGRAAPPSFRSAKVEPGNDHPSPRSVLVQTSPPDTPPALPTCFPYPEVGSAAPRASEGSPSSVPVPAAGSQPDIPPRRRRLERAPRRFRQAAGVTVRRSDPKPRRLFDPSCSSTTLMIRNIPNDFRRTRLMQIIDQHCSIENDKITSGGVKSEYDFLYLPIDFRTGANKGYAFVNLTTPEAARRLHDHLNGHRWKVNGSGKTCEIDHADIEGLEKLVKHFWESRFDCGDEEFLPVWFEPARDGTRTTLPHLVGRMLRRS >PVH64283 pep chromosome:PHallii_v3.1:2:38790341:38790526:-1 gene:PAHAL_2G228700 transcript:PVH64283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLPLRLPPFLRGGLTEPSPPEPVPPAGTKLAPLTSSTESSYCGCRRRHRPPHRCCAGPE >PAN14772 pep chromosome:PHallii_v3.1:2:54237194:54241958:1 gene:PAHAL_2G443200 transcript:PAN14772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGYNPRTVEEVFRDFKGRRAGIIRALTTDVEDFFQQCDPEKENLCLYGFPNENWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDRNDRKRLFGMINELPTTFEVISGKSKIKAPPSNNNHSNSKSKSNNKTKSSEPKVKQPKPPPPPQVKAEDPAPAEEGPAADEEEGGGGGVSEGEHGETLCGACGESYGPDEFWICCDICEKWFHGKCVKITAAKAEHIKQYKCPSCTGGGGGSGGSNKRARPS >PVH64553 pep chromosome:PHallii_v3.1:2:45562294:45564017:-1 gene:PAHAL_2G301200 transcript:PVH64553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISMCDKHQTNLERSLSSCRDNVAKFWSMLVEIKTAASVGKDAAAEEEDAAALRRKMQCARRKTLSPPPRTMTLLPRRMHDTPAEEEDAAADEKDAATKEKDVTSAAAAAMAAAKQEADNMAA >PAN14124 pep chromosome:PHallii_v3.1:2:50754265:50759976:1 gene:PAHAL_2G385400 transcript:PAN14124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWSRGGSGCGLLTPLLFLLSLHCSPVLVSAAGNGEPYVGVTIGTAVTNLLSPSDLADFLRAQHITRVRLYDADPRLLSALASAGVRAIVGVPNDELLALGSSPATATAWVARRVLPFAGVNSSTPDVISAIAVGDEVPTALPSALPVLLPAIRSLAAALAAANLSSIPVSTPLPFSVVEDPFPPSQAFFNQSLAKSFVAPLLAHLANTSAPLMLNLYPYYSLMQSNGVIPLDNALFRPLPPSLEMVDPNTLLHYTNVFDAMLDAVRVAVRNLNVSGGGVPILVTETGWPSYGDRRAEPYATKDNADAYNSNLIKHVLEDKPGTPMAPGAAAQSSAYIYELFNEDLRPGPVSEANWGLFYGNGTPVYLLHVSGAGGLLANDTTDRSFCVAADDADEKAVQAAMDWACGPGRADCTAIQPGQGCYLPNDVRSHASYAFDAYYQSQGRAAGSCYFQGAGMVTTTDPSHDNCLFPGSKQLGNDTKSGSANNTTTPTSDAMGSAIWRLRTGREKGFSLFLRLLLSIAVVIVTDSNFWT >PVH64067 pep chromosome:PHallii_v3.1:2:24839506:24841407:-1 gene:PAHAL_2G176100 transcript:PVH64067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQPPMASPGAQTRWRGFGSPGTATIPIWFATTGGLAATAGGGSWWPPFARCGLYADLLFGPARHSVTLVMGGFYGQFEVVIHSCRGSIGAYSHLWRLLCRCLMLLRLMLCRRCCFGLRFTAGGCFAVLIVLVEDLPPSLLLQ >PVH63631 pep chromosome:PHallii_v3.1:2:5433361:5435489:1 gene:PAHAL_2G073000 transcript:PVH63631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELLRILRGLKSPRHLLQAHAQLLARGLAKSPRLLPALVSAALSASSSPARHAAAAAILRAAGAAASTVAHNTLIERLAGRRGGGGSAEGALAAYATMRAAGVAPNGFTFTFLLRACESLRRLPPCRCVHGQIVRCGFGPDVVVQNALLNVYYKCGDLGDVGAARGVFDGMPDRDVVSWNSIVGVYMSSGDAAGAMELFEAMPERNVVSWNTVVAGFTRAGDMVSARSVFDRMPIRNTISWNLMISGYATSGDVEAARSLFDEMDRKDVVSWTAMVSAYAKIGDIDSAKVLFDLMPVKNLVSWNAMITAYNHNSRYDEALRTFQRMMIEGGFMPDEATLVSVVSACAQLGSAEYCNWVSSYISKSNTHITVALGNALIDMFAKCGDVGRARSIFDRMNTRCIITWTTMISGFAYNGQIREALLIYNEMCREGVELDDTVFIAALAACAHGGLLQEGWSIFKQMVEHYGIVPRMEHYGCMVDLLGRAGMLQEAVQFIESMPLKPGAIIWVTLLSSCIAHGDAELTEYVSTKVVEIEPFNSSYQVLVSNCSALEGRWGSVLDARKTMRDWGIEKTPGSSLIQVGSEVHEFLAKDTRHQKREQIYETLDGLIAIIRHSEHTPWISYCSAL >PAN14402 pep chromosome:PHallii_v3.1:2:52063063:52065045:1 gene:PAHAL_2G406900 transcript:PAN14402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAQPNAEDEDSPPPILLLTDDLLTKIFLLLPALADVGRTATACPAFRRNVADRSFLRRLRRAHRAPFVGFLFCRFHPSERAADLSFSYIHSPGSFSRWFPLDAHDGRVVLGHQSSKSFVVCDPLFRRCLLLPPITVLGAVWQYPLLFPGDEAKTSFKLGCWNIWPGLMLGLVFSSSTGQWINLQSDGLLSERKPFYACGCFYWNVTPDMLLLLDTRAMETGFNLVKIPSTYGERDFVVAEAGEGRTGIFSLRHSNARAASSLICAVKQDDGDGAGVSWQYKRRLTLPSQFRYAFAAARDRHLLLHGSPWNLQGTPSHENS >PAN13305 pep chromosome:PHallii_v3.1:2:47163703:47166799:1 gene:PAHAL_2G327400 transcript:PAN13305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGANVQSTYITCPADPSATLGIKLPFLVIIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDDGWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPIQKS >PAN09336 pep chromosome:PHallii_v3.1:2:978428:983095:1 gene:PAHAL_2G014400 transcript:PAN09336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVCSAVVQVTVSQILSGLVQKYEVKEQSNSRRNLERLEMAHIKLEAALETSEKWQITDGSLLRWRRKLKRAAQECDDTLHRYKHRVLEKEQMEQEVRNSPIPNRIVHATKSFALSIFNRDNGMLSRSIVQRFEWFADGATEFLRFIELGGTPHRHVTFHSLVKHLFAGEELRHKIVRGKEYPSFLLWLVPFRTAEHGIEVSLIFIHKDSNAPENNFFLGVMLQISESTDIVGIVVKCLQLFLPHFQPIVEAIRKELTRLPTQDFSWVPYVDLWHRKHWDNLHRFSTQWFRPDPLCCKLHDRHELQHISSQDMARLSDVSLEPVIVVNLQCQVSLSVYSKQKTSLSANSILLQDSPFLKAGIVFAPHGSSEDLLHVNKTSSMVAIVGEEQNSLHTDITLEQVESIMLPKAMDYFCQNSEETIYQMIWKSKHGSALIQVEKASIGTRRRNMRTRRTFGGARKTKVFQGQDQELRSRTRMVSRLLDLWGAHVPVRLQSLLMNWMQQEKEGHLAAPKQHLKF >PAN11087 pep chromosome:PHallii_v3.1:2:13305905:13311366:-1 gene:PAHAL_2G140700 transcript:PAN11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSSSPSLRHLLLLRPRLPLGPTCLAGSFSRRHIHSHSRRRLFSSASSSTLTHGDEASTHVDAGGVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLQAIVLLFQRLGITSSDVGIRVSSRKVLQAVLNMYSIPEHLFTEVCIIVDKLGKLTREEIEKELMSTGLSSEAVQGIIEVLSLKSLSKLEEVLGSGVEAVADLKKLFSFSEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRIKQAAWCKK >PVH63942 pep chromosome:PHallii_v3.1:2:13306983:13311237:-1 gene:PAHAL_2G140700 transcript:PVH63942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSSSPSLRHLLLLRPRLPLGPTCLAGSFSRRHIHSHSRRRLFSSASSSTLTHGDEASTHVDAGGVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLQAIVLLFQRLGITSSDVGIRVSSRKVLQAVLNMYSIPEHLFTEVCIIVDKLGKLTREEIEKELMSTGLSSEAVQGIIEVLSLKSLSKLEEVLGSGVEAVADLKKLFSFSEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVEVCHVLTHLWFLSLQNQTSCLV >PAN11086 pep chromosome:PHallii_v3.1:2:13305905:13311366:-1 gene:PAHAL_2G140700 transcript:PAN11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSSSPSLRHLLLLRPRLPLGPTCLAGSFSRRHIHSHSRRRLFSSASSSTLTHGDEASTHVDAGGVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLQAIVLLFQRLGITSSDVGIRVSSRKVLQAVLNMYSIPEHLFTEVCIIVDKLGKLTREEIEKELMSTGLSSEAVQGIIEVLSLKSLSKLEEVLGSGVEAVADLKKLFSFSEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDMSRQIDDIVFPLDEELEGPASSIASSLRKKGRAVDLVADKRLKWVFKHAERINASRLILVGNSEWERGMIRVKILSTREEFEVKAGDLE >PAN12982 pep chromosome:PHallii_v3.1:2:45827319:45831459:1 gene:PAHAL_2G305300 transcript:PAN12982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPLQSQCLREAPPHQASHPPHCRPPRLSSRHPPASDSPPRRAPPMPPRSRAAALRPALLVPLACALLLLVPPCHCVNEQGQALLRWKDTLRPASGALASWRAADASPCRWFGVSCDARGDVVGLGITSVDLQGPLPANLQPLAASLKTLVLSGTNLTGAIPKEIGGYGELTTLDLSKNQLTGAIPPELCRLANLESLALNSNSLRGAIPDDIGNLASLTYLTLYDNELSGPIPASIGKLKKLQVLRAGGNQGMKGPLPPEIGGCTDLTMLGLAETGVSGSLPETIGQLKKIQTIAIYTTLLSGRIPESIGNCTELTSLYLYQNSLSGPIPAQIGQLKKLQTLLLWQNQLVGAIPPELGQCKELTLIDLSLNSLTGSIPASLGGLPNLQQLQLSTNQLTGVIPPELSNCTSLTDIEVDNNALSGEIRLDFPSLRNLTLFYAWKNRLTGGVPASLADAPSLQAVDLSYNNLTGPIPKELFGLQNLTKLLLLNNELSGFIPPEIGNCTSLYRLRLNGNRLSGTIPAEIGNLKNLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPETLPRSLQLIDVSDNQLAGPLSSSIGSMPELTKLYLGKNRLTGGIPPELGSSEKLQLLDLGGNAFTGGIPAELGKLPSLEISLNLSCNRLSGEIPSQFAGLDKLGSLDLSHNELSGTLEPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDLAGNRHLVVGDGSDESSRRGAISSLKVAMSVLAAVSALLLVAATYMLARTHRRGGGRIIHGEGTWEVTLYQKLDITMDDVLRGLTSANVIGTGSSGVVYKVDTPNGYTLAVKKMWSSDEATSAAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYSYLPNGSLSGLLHGGLAAKGAPADEWGARFDVALGVAHAIAYLHHDCVPAILHGDVKSMNVLLGPAYEPYLADFGLARVLSAASSKLDTGKQTRIAGSYGYMAPEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGAHLVQWVREHAQATRDASELVDARLRAGASEADTHEMRQVLSVAALCVSRRADDRPAMKDVVALLEEIRHPAAAGDDAKQQQPAAAAAAATPVPVSPVRGARSGGPSSSCSFAASEYSA >PAN10511 pep chromosome:PHallii_v3.1:2:7680543:7681546:1 gene:PAHAL_2G098900 transcript:PAN10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQSRRSLLACRAGRQARRRRRARRRSYWQANIGIAAHARLGLEESDHPAARRMNEMGGKKYSRHRPDADACPAVRSSRPPAGAIRRVKGSGGLRYSGEVGN >PVH63438 pep chromosome:PHallii_v3.1:2:2043571:2043732:1 gene:PAHAL_2G029000 transcript:PVH63438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSHMPRPCFILCSYTLGLAFSTHYLTHYLTCLPYCPRPKLHLPMLCMPTLI >PAN14314 pep chromosome:PHallii_v3.1:2:51670570:51674032:-1 gene:PAHAL_2G399900 transcript:PAN14314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRAREMYKKVVRRVGGDGKLPAELMKSVKDMLPDSKVVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRSWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKMYSELAEMEVGFFPPEEEAKIEQGFDEVRAAKRDFRREARRALAKQRQLEAGKDQTTEVTDKKEEVSATAAAV >PAN10463 pep chromosome:PHallii_v3.1:2:7367576:7371458:-1 gene:PAHAL_2G096200 transcript:PAN10463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARREVVSYHPLPAPGAVNSLKDEIQSKVVETVGNAINSFDPKSLPQHIERGLETAGNIINSFEPKWSGQREFDFGGEADFHDGYQCPDEYWGSAPVKVQKPVNIKNLLGGVISIIGRNLKTTEGEQPKDNKTSVSFLGSSDDGNTFLHSSVYMPSAPPVLDEEALNYNIYRAVLEAEPPEWLPDSYASSCMQCAAPFTVVTRGRHHCRFCGGIFCRACSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLLINSVSNASQTAKHDVMDWTCARGWLNLPIGLTMEHEIYKAANTLMSYSQVARINPEKSIPHAVLSGASGLAILTVVKAGAILTYKLGTGLVVARRSDGSWSAPSAILSGGFGWGAQVGGELMDFIIVLRGPETVQTFCSRMHFSLGAGVSAAAGPVGRVLEADMRAGDKGSGVCYTYSCSKGAFIGISLEGNLVATRMDANLRFYGDPYLTTSDILMGNVERPNAAKILYAALDDLYSGLDC >PAN14375 pep chromosome:PHallii_v3.1:2:51937468:51943795:-1 gene:PAHAL_2G405000 transcript:PAN14375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGVFRFSSMASSPRLLVLLLAWLCCAAAEAADTIQQGKSLSAAETLVSTPEGVFEVGFFPPDPKQPSRLYLGIWYRGIVPRTVVWVANRAAPATSAAPSLTLTETGELQVLDGTAANGTAAPSLLWSSNTSRAAPRGGYYASIQDSGSLQVRSDDGTLSWDSFWHPTDTILSGMQIAVRAPGPPGRGGTNERMLFTSWASETDPAPGRYALGLDPAGSGQAYIWRDGNDIYWRSGQWTGVNFIGIPWRPLYLSGFSMSNDRDLGLHYTYTATNTSLQRFVLQPNGTDICYMVKKSSQEWETVWFQPSNECEYYAACGPNAKCTVGQDGKAKCTCLKGFQPKLWDQWNAGNWSEGCTRNPPLGCQVNQPGDGFLPIGNIKWPDFSYWMSTVADETGCKNACLNNCSCGAYVHTTTTGCLVWGDKLIDIHELPTGAYTLNLKLPASELRVHHTVWRIATIVSAVVLFVLLACLVLWWRRGRNIKDAVHRSWRSRQSSTWSQQNSAMLDISQSIRFDDEVEDGKSHELKVYSLDRLKAATCNFNDSNKLGEGGFGPVYMGTLPGGEEVAVKRLCRNSGQGLEEFKNEVILIAKLQHRNLVRLLGCCLQREEKILVYEYMPNKSLDAFLFNPEKQGLLDWSKRFDIIEGIARGLLYLHRDSRLRIVHRDLKASNILLDADMNPKISDFGMARMFGGDQNQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLILEIITGKRAVSFHYHQDSLNIAGYAWRQWNEDKATELIDPLIRASCSVRQVLRCIHIALLCVQDHADERPDIPTVIIMLSSDSLSLPNPRPPTLMLRGRELESSKSSENERSHSIGTVSMTQLHGR >PVH64843 pep chromosome:PHallii_v3.1:2:49602895:49603188:1 gene:PAHAL_2G367800 transcript:PVH64843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN14410 pep chromosome:PHallii_v3.1:2:52115895:52117962:1 gene:PAHAL_2G407800 transcript:PAN14410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional monothiol glutaredoxin-S16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38270) UniProtKB/Swiss-Prot;Acc:Q8H7F6] MASSTAAALRVAFPPLSAPAAAASSFSSSTTLRFPLHRAPRPLAVAAFKKLSEASPLPIPPEPTQPLVEEDALPPKPGVYGVYDASGELQFVGISRNVRASLEGHRRKVPADLCASVKVAVADEEIPDRTVLTNAWKSWMEEHIESTGKAPPGNVAGNYTWVSAPQRPPDLRLTPGRHVQLAVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGVDFVTVDVLDEEHNHGLRDTLKTYSNWPTFPQVFVGGELVGGCDIVSSMAEKGELSALFQK >PAN09655 pep chromosome:PHallii_v3.1:2:2831011:2837787:-1 gene:PAHAL_2G040400 transcript:PAN09655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAQTIFSNVGQLLAEEYRQLSGVGGEVLELRDDLATMNALIRMQSEAEDGAVDHFVREWMKQLRELAYDAEDCIDHYKLRIRARPGDGVRARLERLLGTLLPRRRLAREIGALRARAVAISERHARYGVSRDALRRSPTLLPAAPVAAPASAPAPANDADRHLFVGFGFAYQAATLAARVMKARAGEKGDLKAVFSVVGFGGLGKTTLAMEVCRQLKAEFQRQAMVSVSQAFEPIRDLKPLLKRILQQVAKTRNDDEGALDDIDRLDDNELAAKLEESLKESRYLIVIDDVWNVRAWYAIESILPENKCGSRVIVTTRSETIAKVCSAASVGEDDIYHMKPLNLEDSEKLFLSRAFGSIDATCPNELKEVMDNILKKCGGLPLAIISIASVLARYTSSESIVKWETICRSIGSQMESNPTLEGMRQIVTLSYNNLPHELKLCMLYLSIFPEDYAIGKERLLRRWIAEGLVQEQRGLTTLEVAESYLEELLSRNMVEASHFNYNKMEHSYKVHDILLEVMVSKSLESNFVSLLGGQYEEMSYSIIRHLSIHGTGQGRDSRHGVEKVNPRHVRSLTLFKCKLEGRMLLNHLGKFTLLRVLDLEDCEGVTNKHVRYACQLRLLKFLSLRGTNVSKVPPQIGKLEHLQTFDARETCLDGLPETITSLSRLERLQFSNRTMWSGSSGIMWSLPRGLSKMKALQEVGVARLGNNAKVAQEMGELERLQLLSLYVGPDSGGPDGEVLQQLALSLSKKSCSLRWLIIGDTSDGKALNFLHRIPSPPRLLEFLKIDGAIDGLPSWVGSLSYLNCINVSFTALVDDQLFGVLCNLSNLKIIWVERKSYNGHALVARTRHNFPALRDLEVTADDELPKVFRFEQGSMVKLEKLNVNFADKEKSIHGIEHLTNLKVVHLMGKKDNPALKCALDQLKADSKRRPESNQFQVVATYY >PAN09656 pep chromosome:PHallii_v3.1:2:2832290:2837625:-1 gene:PAHAL_2G040400 transcript:PAN09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAQTIFSNVGQLLAEEYRQLSGVGGEVLELRDDLATMNALIRMQSEAEDGAVDHFVREWMKQLRELAYDAEDCIDHYKLRIRARPGDGVRARLERLLGTLLPRRRLAREIGALRARAVAISERHARYGVSRDALRRSPTLLPAAPVAAPASAPAPANDADRHLFVGFGFAYQAATLAARVMKARAGEKGDLKAVFSVVGFGGLGKTTLAMEVCRQLKAEFQRQAMVSVSQAFEPIRDLKPLLKRILQQVAKTRNDDEGALDDIDRLDDNELAAKLEESLKESRYLIVIDDVWNVRAWYAIESILPENKCGSRVIVTTRSETIAKVCSAASVGEDDIYHMKPLNLEDSEKLFLSRAFGSIDATCPNELKEVMDNILKKCGGLPLAIISIASVLARYTSSESIVKWETICRSIGSQMESNPTLEGMRQIVTLSYNNLPHELKLCMLYLSIFPEDYAIGKERLLRRWIAEGLVQEQRGLTTLEVAESYLEELLSRNMVEASHFNYNKMEHSYKVHDILLEVMVSKSLESNFVSLLGGQYEEMSYSIIRHLSIHGTGQGRDSRHGVEKVNPRHVRSLTLFKCKLEGRMLLNHLGKFTLLRVLDLEDCEGVTNKHVRYACQLRLLKFLSLRGTNVSKVPPQIGKLEHLQTFDARETCLDGLPETITSLSRLERLQFSNRTMWSGSSGIMWSLPRGLSKMKALQEVGVARLGNNAKVAQEMGELERLQLLSLYVGPDSGGPDGEVLQQLALSLSKKSCSLRWLIIGDTSDGKALNFLHRIPSPPRLLEFLKIDGAIDGLPSWVGSLSYLNCINVSFTALVDDQLFGVLCNLSNLKIIWVERKSYNGHALVARTRHNFPALRDLEVTADDELPKVFRFEQGSMVKLEKLNVNFADKEKSIHGIEHLTNLKVVHLMGKKDNPALKCALDQLKADSKRRPESNQFQVVATYY >PAN15597 pep chromosome:PHallii_v3.1:2:57745945:57748556:1 gene:PAHAL_2G500600 transcript:PAN15597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase, ROS homeostasis, Chloroplast protection, Carbohydrate metabolism, Plant architecture, Fertility maintenanc [Source: Projected from Oryza sativa (Os07g0694700)] MVKAYPTVSEDYLKAVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVATKTGGPFGTMKNPTEQAHGANAGLDIAIRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSTQMGLSDQDIVALSGGHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPSFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEA >PVH64730 pep chromosome:PHallii_v3.1:2:48199985:48210624:1 gene:PAHAL_2G344200 transcript:PVH64730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNSDPIQEELGEGGAGVKHEAEEGEGKSSSAAQATATTMLPRSSSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAELSTEDKRKISTKRRLGMFNGGRRSYPILGGRLHFVKFETEKLNECLDFISSKQLHRGGVDSPSWRSGAQPDDIVIKATGGGAYKYADIFKERLGVSLEKEDEMDCLVSGANFLLKAIRHEAFTHMDGHKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISENKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRVHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQIIAPVPMGVPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRKKMQRPWRIDDFDMFKKRMLTDRKDQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIIAEASKHCGILRKAAEAGGLLVDAMAGIQDDLKDAQESVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRALHTNLNARFKCDALKLAMVKNQRLAEKLFNGNIYDCICKFEPIS >PAN13524 pep chromosome:PHallii_v3.1:2:48199985:48210624:1 gene:PAHAL_2G344200 transcript:PAN13524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNSDPIQEELGEGGAGVKHEAEEGEGKSSSAAQATATTMLPRSSSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAELSTEDKRKISTKRRLGMFNGGRRSYPILGGRLHFVKFETEKLNECLDFISSKQLHRGGVDSPSWRSGAQPDDIVIKATGGGAYKYADIFKERLGVSLEKEDEMDCLVSGANFLLKAIRHEAFTHMDGHKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISENKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRVHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQIIAPVPMGVPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRKKMQRPWRIDDFDMFKKRMLTDRKDQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIIAEASKHCGILRKAAEAGGLLVDAMAGIQDDLKDAQESVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRALHTNLNARFKCDALKLAMVKNQRLAEKLFNGNIYDCICKFEPIS >PVH63588 pep chromosome:PHallii_v3.1:2:4650755:4651955:-1 gene:PAHAL_2G062800 transcript:PVH63588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREAGGGGGPGCSDVKWLVRSVRLDPDGGEEGSPTEEVFDAVVVANGHYSQPRLPSIEGMEVWPRRQLHSHSYRVPDPFSGEAVVVVGCGESGLDIATELCGVAKEVHLVAKSVEDATTPSPMMSKLLANHAGIRLHPPVDRLREDGTVAFADGSVAVADTVIYCTGYTYSIPFLDTAGLVTVDGSRVGPLYEHTFPPSLAPSLSFIGVPMRVFAPWFFEAQARWVALALSGRAAQPPEEEMLRAVLEDYHTHAIPAVDRNEVFQFVYRHSDLPRMEEWKLELFLTGIMNAMDDRVAFRDRDDDDYSQSVREGLRRWRRVAGAQYEAALAAAASGDRARADADAAPVHEPPLLPAPCQVSQL >PAN14029 pep chromosome:PHallii_v3.1:2:50352878:50356045:1 gene:PAHAL_2G379300 transcript:PAN14029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTVTLEVESSDTVANVKAKIQGEEGIPPDQQRLIFVGKQLEDDRTLADYSIQKESTLHLVLRLRGGGRGGYPTMTVPWDLINLARKHNEKKMICRSCYARLPFRSTNCRKKKCGHTNELRSKKKFISKFNNL >PAN13841 pep chromosome:PHallii_v3.1:2:49587381:49590396:1 gene:PAHAL_2G367300 transcript:PAN13841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEKAASFLSSLLGGGGGDGEPAATVKSILIYPIKSCRGIAVPQAPIVSTGFRWDRQWVVVNAKGRAYTQRVEPKLALVQVELPPEAFAEDWQATADDHMVIRARGMEPLKIPLAAERTTIDDVSVWEWSGSAYDEGAEAAEWFSTYFEKPSRLVRFKEASETRPTDPDYAQGYKIMFTDCFPFLIASQGSLDALNEILKEPIPMNRFRPNILVDGCQPYSEDLWKTIKINNLTFQGVKLCNRCKVPTIDQDNGIPGTEPTETLMTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSGNSKGKIIKVGDPVYVQQAFTSSNEAPA >PAN11693 pep chromosome:PHallii_v3.1:2:34823651:34833546:1 gene:PAHAL_2G208800 transcript:PAN11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRGGGPEGKDNSVKSCISKPALNGSKCANKEENAPSACPDAGTNGNEAGNADVEYIDSENLVYLPDVDATFSTLVKRLDSKDWVMTCETLNNVRQLAIYHKERLQELLEPLVPLIVKSVKNPRSAVCKTALMTCSDIFKAYGDLIVDSIDPLVVQLFLKASQDKRFVCEAAEAALISMTSWISPSVLLPRMQPYLKNRNPRIWAKASVCFSKSAPRLDVEGIKEYGMDKLIQIAATQLSDQLPESREAARNLALELQVFYEKSQASTSGENEGEPSASPDAESWEAFCQSKLSALSAQAILRVTSTTPKEGVTAGVTSAPKEGVDPQC >PAN15171 pep chromosome:PHallii_v3.1:2:55712861:55719259:1 gene:PAHAL_2G469600 transcript:PAN15171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFFRGGSRQRPTPQEIVRSIKDSLVALDNKTGTKALDDAEKNILTLRHTLSGYGEVEPNQEQVLQIALEICKEGVLPLFVQNLPSLGWEGRKDLVHCWSILLRQKVDESYCCVQYIENHVDLLDFLVVCYKNLEIALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELPNFDIASDALNTFKDLLTKHEDAVSEFLSSHYEQFFGLYTRLLSSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVHYLNIMIGLLKDSSKNIRICAFHIFKVFVANPNKPREIVQVLVDNHRELLKLLHNLPTSKGEDEQLDEERDLIIKEIEKLVRLSV >PAN13134 pep chromosome:PHallii_v3.1:2:46485706:46492500:1 gene:PAHAL_2G316100 transcript:PAN13134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEGTACNGAGEAPKAEFPPGKAVPAPPEEKAAAEREGEDVGGPFVIVNGGDSDGHSDRGSDLGRAPDEDSPSEEDDVHASNAAPDAAAGGDHGAAGGEVGDPGAAPVASSADGADRAADGSEAGADEGRGELSLDFVTEVPRQKAAVEEHGGAAAPASSGSEPAVTGADSEAPAVDSEVEGKEETVDESAATDAAESVAHEAASNEQDREDAAAESCGHDDALTSAKFGSAVVESEVNGEDSKEEQSPADVAEPVVQGTGGSIALVENGHHCPDMRADSFEAAIESESQEDAAVESLVHDEAPLPAKSSSVSMESEANGEDSKEEQSSADVVEPVDGTDDACALMANGHVCANMRSDSFEASTEPDNHANDSKPEQNATEIAESAEENAVCDCEDGMDASQTNGHISVALSSDSSESEVHAIETGGQETNQQEEGAQPTQVEVLEGLLEAADRNCEGSVEELIEEKVNADGHSNAEGGADASRESENVDKQVEGEATCGILQLEEKLGNGVDSSCDDCISAVVSYNEGVELPVGKAINEAVPDMCELEEVTENTSQETVQGEVKDGVSICTLHSLKPEESDPSVKSSLEHNVQAEVATVDETAAESDLKADNVVEVETAAREVEETEVKDEADLAPFLSQQNCESSTETVEHEKIEAPSAGQPNVTENDVAEVEPTKEHEREVVDAVPLQEASTFHNEPRSIDLVDSDNINHSSSVTELESCDHVHTEESRSREISETAVEKLVSGASLEHGTMVRDEAEITPETENGSQEEPSDAAVDHGEPVELKGELEVDDAQLGSTTGYDSLAFDTAGSISEKDESSETVGGSKSQEKQSEICNTSTTSDELSATIGNEVPSPINEVDETCNGTCPENVNVSIKSSEEVETKCLEALEPSSTDIAVPAEDKENDQHAPGGLHGDHAEVIGPQKVHMIKIPRFAGEDIWAKTQAAQAHLDQLTQERDAINRRKQEQKAVCDQYREKLEAARREEREARAAHGDKKNDLNSVRSVIGKMHQAKSIEEIDELIVMKERTMQHETISLNVEKQLLKEINDLKAQRKQLSSNMGSKAEINEAFDRKDHIHERHKALKKDSDVLFTNLKSLEENTRKILKSFDDEKIALRKLTEEYRAANELRQKAYSEWSELKAEPWKKNQYFFMYRDDRKAAEVYKTSGDMYGLLSYCNDQIERVMKMWNENEDFRKQYVEANKFSTLRRLGTLDGRRLGPDEDPPVIPTRRPMNASPLTASSPDVPTLTSVPPPVLAAPAPVPAKEDSFPVLPSPQISKRTKSKASGSSAQNENNAVSTSEAEDIKQTEKEKARLKEEQLELARKAEELARKEEELRKERAAAEKERLRLEQKAKAKEAEERKRRKAEKEKERAEFKARKEAEEREKKKAKKDKKKGTTPADSSAIGDSNAAALATADSDSNASDNPREVEASQATAPKRLSRPAAAIKQLNRVQPMPAPLRNRGRRKMRQYLLITAAVLSVLALFVAGNYIPKLKSLHQ >PVH64211 pep chromosome:PHallii_v3.1:2:36712320:36715391:-1 gene:PAHAL_2G215700 transcript:PVH64211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISKEYHMLGCHHLLVGGLPVKEKSVEISSK >PVH64213 pep chromosome:PHallii_v3.1:2:36713183:36715229:-1 gene:PAHAL_2G215700 transcript:PVH64213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALAREGIPYVGMSPFACGWSTSQRKECRD >PVH64212 pep chromosome:PHallii_v3.1:2:36713183:36715229:-1 gene:PAHAL_2G215700 transcript:PVH64212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALAREGIPYVGMSPFACGWSTSQRKECRD >PVH64210 pep chromosome:PHallii_v3.1:2:36712320:36715391:-1 gene:PAHAL_2G215700 transcript:PVH64210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVQEQPSPTTPHRPLHRQARWSGDDEQGRAGEHQRGEPAVGVRAAAAGHVRIRGRWRPGEGSGDGLEQEARRSGRLSCGRGVDRRDGCAGARHQFHRTPAKLKSIPHDGPYFCSGIWSFGHFPASRSGVHKGGLHWTLVKASFVATRISVTSLNQEIVRALARGV >PAN09469 pep chromosome:PHallii_v3.1:2:1832144:1834903:-1 gene:PAHAL_2G025600 transcript:PAN09469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21065 [Source:Projected from Arabidopsis thaliana (AT4G21065) UniProtKB/Swiss-Prot;Acc:A8MQA3] MLDAAGGGTPSTHPALRHCVALLRLHLASPSLAAAKQLHARALRAGVAPSHPLLAKHLLFHLAALRAPPLRYAVAILTRILPDPDPFSLNTVLRIAASSPRPRVALALHARRLAPPDTHTYPPLLQACARLLSLRDGERIHAEAAKNGFATLVFVKNSLVHLYGTCGLFESAHRVFDEIPVRERNLVSWNSMLNGFAANGRPNEVLTVFREMINVDFAPDGFTMVSVLTACAEIGALALGRRVHIYLAKVGLVGNSHVGNALIDLYAKCGSVDDARKVFEEMGMGRTVVSWTSLIVGLAVNGFGKEALELFGVMEKEKLVPTEITMVGVLYACSHCGLVDDGFMYFDRMKEEYGITPRIEHLGCMVDLLGRAGKVEKAYDYIVTMPLEPNAVVWRTLLGACAMHKKMELGEAAWARLVELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMVKDGVRKNPGRSLVELRNSVYEFVMGDRSHPESEQIYKMLAQIAERLRREGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPSTPIRIVKNLRVCGDCHTAIKLISKIYDREIIVRDRSRFHHFKCGSCSCKDYW >PVH63730 pep chromosome:PHallii_v3.1:2:7042076:7049664:-1 gene:PAHAL_2G093500 transcript:PVH63730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAPPPASSWLEWTAEYTKAAQAESRPPPEWAARVAAASAAAGEGGDVPWSAGLAEVLARALISGGAAAPAAAAAAAWKYAEAALAARLASPALLLAILSTRVIPQRFSRPMEYRLYLELLKRHGFSFHYQMKAANFRKIMDLIDENLSLSKIFGISTCQPGVFVVHFVLCILWQLVDVVLDDEGLLELTPEKKAQWPTRPEDVSTFEGTFTEQRTEKIEKLQKMNTVTTMELIEHLLRNKVITRILSLARENMQSHWGAFTNRLHLLATNSSTLQNSAISLEPFQQLILGDCNVYGETKHNMRKRFHPIVASNPLSSPNGRCLGASYSALWIPIDMYLEDCLDGSIAATNSIEILSGLVKALQSVNRSTWHDAFLALWVASLRLVQREREPIEGPVPHLDTRLCMLLSITTLAVADIIEEADSLCNETELNSHSKEKKSIGNLRNELMLSLQILGDYESLLVPPPCVVPAANQAATKAAVFISGISINNGYMENVNGMNYSGNMRHLIVESCISRNLLDTSAYYWPGYIINHANSVSLTLPSQLAGWSSFMKGAPLTQSLVNMLISTPAPSLAEVEKLFEVAVNGSDDDNVSAATVLCGATLLRGWNFQEHTVRLVVKLLSPSDPIDYSGRESQLIKLGPMLNVILSGISAVDYAPIFSFHGLIPELAAALMAICEVFGCLSPSVSWTLRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVLSSSSLAKQRNVQRQLQVPTSHPSCEHPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVRNNVDSLLNLMFRKANKGGTSIGSISGSSSISNSSGLGGDDLHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASLATIVSYFSAEVTRGVWKPASMNGSDWPSPSVNLSMVEEHIKKIVAATGVDVPRLVTGGSSSGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVIQLLRSCFAATLGMSSTSVCSCGGVASLLGHGYCPGGFSPVAPGILYLRIFRCIKDCSILTEDILYLLMLSVKDIAETTVPRQRSDKLKMTKYGMRHGRVSLSAAMTQVKVAASLGATLVWLSGGTALVQSLIQEMLPSWFLSVQNLDQGGTSGGMVYKLGGHALAYLAVYSGMFAWGIDPTPVSRRRERVTRSHLEFLASALDGKISLGCDPSLWRAYVAGFLGLVVECTPCWVQEVELRVLKRLSSGLRQWGEDELAVALLRRAGPEAMGTAAELILGSEW >PVH64990 pep chromosome:PHallii_v3.1:2:51484650:51487404:1 gene:PAHAL_2G397000 transcript:PVH64990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSLLSLIVLVAASPLAATAWSRARVSCGGGGSYAANSTYEANRHHLAAVLPAEAAASRRRYAYRALGYWPNRLQADWSCQSSDGRDCAACIADAFERVERECPFSREAFFFGRNCTLRLGEYSILGSDVFGFLHHISGRITMTPLAIGMMFQAFGLACLFLIFLQAWRHEIKK >PAN14280 pep chromosome:PHallii_v3.1:2:51484650:51487404:1 gene:PAHAL_2G397000 transcript:PAN14280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSLLSLIVLVAASPLAATAWSRARVSCGGGGSYAANSTYEANRHHLAAVLPAEAAASRRRYAYRALGYWPNRLQADWSCQSSDGRDCAACIADAFERVERECPFSREAFFFGRNCTLRLGEYSILGSDVFGRITMTPLAIGMMFQAFGLACLFLIFLQAWRHEIKK >PAN13883 pep chromosome:PHallii_v3.1:2:49788906:49789870:1 gene:PAHAL_2G370500 transcript:PAN13883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLKLTEIVSKKLSGGKVTSPSAAPCPRGHFAAYTRDGRRFFIPIAYLGSDTFRELLNTAEEEFGAPGGRPIVLPCSADRLEQILDAFRSGGGGGAKKKCAGAAGRISKIW >PAN15423 pep chromosome:PHallii_v3.1:2:56825912:56828869:1 gene:PAHAL_2G486700 transcript:PAN15423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAGAADKEMPPVAAAACDFCAGLPAVVYCRADSARLCLPCDRHVHGANTVSSRHARAPLCDACRAAAAAFRRGATGFLCANCDFEEERQRDGDPRPLHDRGAVEGYAGCPSIAELAAILGVGGCEKAAAAAGDGWWPAWEDPQVLRLEDVIVPTTSCHGLQPLLTPSSPKLGELAKSEAAAMAFSEAEPADGEQLPSWASSEYGIGDGDFGAFDTDACHEAASMAVPSCEEAWIATDGNGACREAHEQAPAPASSLAEPCLLSSFVDMSEICPSMTLGGSVDVDNGSNKRDAAEAAPQQQASPAPAKKGGYDVAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKSGEA >PVH64165 pep chromosome:PHallii_v3.1:2:34197989:34198861:1 gene:PAHAL_2G206100 transcript:PVH64165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEHLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIKKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTSGGTPVPAIGETQVLIGTPIAGWGGLFRTPQAPPKGTERTAATVAGGAVGQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PAN11531 pep chromosome:PHallii_v3.1:2:33111097:33112849:1 gene:PAHAL_2G201400 transcript:PAN11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKIVLKLPLDDERKKRKAFKAAVGMNGVTSATMEGDKIIVVGDGVDPITLTTMLRRSLGYAELLSVSSGDDKKKGDGYGYGYGYGGGGMGYGGGFGGGKEGKEGKEGKESGGGGKGSGGGGGYGQYQAVAPVSYPAYQQYNAMPSYPVYSYPAYPQQEQDPGCSIM >PVH63799 pep chromosome:PHallii_v3.1:2:8608332:8609734:-1 gene:PAHAL_2G109000 transcript:PVH63799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKCSACAEAADAQLLGGRDPGAAAWLQLFRLMRAVRLSVEFRCVRTLVLEMVEPQVKPVADFLRCFPCLEMLYVTSHMVVPQSMEILNYEMDNHTE >PAN09427 pep chromosome:PHallii_v3.1:2:1589710:1597206:-1 gene:PAHAL_2G022500 transcript:PAN09427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYSDDSDPDIDEDLREDLDALRRSCILSGADPDAAVAQVSSACLAGPSTPALAAAGGNDGLSSDDDEDLALVRSIRENLHRLNRASPGDAAGGGDASSSPRPICTWPPSDTDEDEDDLETLRAIQRRFSHYHSSTSTTSPKTMKPEASQGVHSELFADRNDDEFAAHKQNTKAPNRTGFPKAALLLVDALKKNRACQKFIRRKLINIEAKIEENKDLGDRVKCLLGYQLSCRRSASRTLSQKEDPRVRLISSRKPTRLSEKNNNRKMPALFLGPAENPHVSKYKMVLEQFPMSFKKQPWSDVEKDKLARGIKQQYQETLILDSLNNGSAIGDFSAVDMAYALTNAAGNFEVTPESLRSVLPLINWDKISAMYLPGRSGAECESRWLNCDDPLINREAWTAQEETKLLLIVQEKGMYNWINIAVTLGTYRTPFQCLVRYQRSLNPHIMNKAWTKEEDLQLQAAVETFGEKWQLVSASLDGRTGNQCSNRWRKTLHPTRTRVGRWHMDEDKRLMVSVKLIGSGSWSRIAPFIPGRTQTQCHERWCNILDPNIDLGKWRPEEDSKLLAAVSEFGPCWSKIAMMIPGRNDNMCSRRWNKLCKHQLPAVKAAIQLKKSVFQTNFVDRAKERPAIAPSDLIALVQSKDDGSGENTRDRSRKQTKENLAVSNIVTSSTAPDFVAPDTVSNTISRRPRRKSTGQKSKKQTEENVAVPDGLNGLSSGCSRSRKRKSTTGSNAAVQKRMRGSISLDNEAVPIELRGTDSANNAVGTNRIMDPVSVGEEGVVQKRTSPSKPARGNSAEQKIMTGSIPVGIEAVPIELRGTVSTNNEVGTNTMMDPVSIGDGGVVKKRTTRSKPAGSEGATRKRRCSISADNEAGTNMMRDPVSGEEGVVKKRMRRSKPVGNYGAARKTMRASVPVGDEGVVKKRTGSVTTENHGGVTKRKRAPSRRKSAGDNLTAEDVAKASPELGLPSTRSEERVVDAGNIDKGRRKSTPRPKQIDMSEGDADKHSPSTRLANCLSFARMKGTDRNRR >PAN09426 pep chromosome:PHallii_v3.1:2:1580478:1597206:-1 gene:PAHAL_2G022500 transcript:PAN09426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYSDDSDPDIDEDLREDLDALRRSCILSGADPDAAVAQVSSACLAGPSTPALAAAGGNDGLSSDDDEDLALVRSIRENLHRLNRASPGDAAGGGDASSSPRPICTWPPSDTDEDEDDLETLRAIQRRFSHYHSSTSTTSPKTMKPEASQGVHSELFADRNDDEFAAHKQNTKAPNRTGFPKAALLLVDALKKNRACQKFIRRKLINIEAKIEENKDLGDRVKCLLGYQLSCRRSASRTLSQKEDPRVRLISSRKPTRLSEKNNNRKMPALFLGPAENPHVSKYKMVLEQFPMSFKKQPWSDVEKDKLARGIKQQYQETLILDSLNNGSAIGDFSAVDMAYALTNAAGNFEVTPESLRSVLPLINWDKISAMYLPGRSGAECESRWLNCDDPLINREAWTAQEETKLLLIVQEKGMYNWINIAVTLGTYRTPFQCLVRYQRSLNPHIMNKAWTKEEDLQLQAAVETFGEKWQLVSASLDGRTGNQCSNRWRKTLHPTRTRVGRWHMDEDKRLMVSVKLIGSGSWSRIAPFIPGRTQTQCHERWCNILDPNIDLGKWRPEEDSKLLAAVSEFGPCWSKIAMMIPGRNDNMCSRRWNKLCKHQLPAVKAAIQLKKSVFQTNFVDRAKERPAIAPSDLIALVQSKDDGSGENTRDRSRKQTKENLAVSNIVTSSTAPDFVAPDTVSNTISRRPRRKSTGQKSKKQTEENVAVPDGLNGLSSGCSRSRKRKSTTGSNAAVQKRMRGSISLDNEAVPIELRGTDSANNAVGTNRIMDPVSVGEEGVVQKRTSPSKPARGNSAEQKIMTGSIPVGIEAVPIELRGTVSTNNEVGTNTMMDPVSIGDGGVVKKRTTRSKPAGSEGATRKRRCSISADNEAGTNMMRDPVSGEEGVVKKRMRRSKPVGNYGAARKTMRASVPVGDEGVVKKRTGSVTTENHGGVTKRKRAPSRRKSAGDNLTAEDVAKASPELGLPSTRSEERVVDAGNIDKGRRKSTPRPKQIDMSEGDADKHSPSTRLANCLSFARMKGTDRNRR >PAN12004 pep chromosome:PHallii_v3.1:2:39896297:39897301:-1 gene:PAHAL_2G234700 transcript:PAN12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSVLPIAPHLAGCLPHQMMMRVPAAPAPTPAPAPAPAPEELRLSDLDWIGDLGAGGFARVSKARHRRTGAVFALKMSFDADPDVEEEAEVLRRAAGSPHVVDCHALLRGPAGEPAFALEFMDAGSLGRVLCRRHRGSRGVPELALAEAAAHCVVGLAQLHSRGVAHLDVKPDNFLASSRGEIKISDFNTSRILYGSAGERLQVPITAGTLAYFSPERFAPRARAGPHGAMAADVWGLGVTVLELFLGRFAVVPDADKASAAELELAICHGEPLRVPEEAEASAELRGFVAACLQWEPTRRATVPQLLRHPFLTRRDVEASRRALRDLIVETL >PAN10176 pep chromosome:PHallii_v3.1:2:5737845:5739181:-1 gene:PAHAL_2G076300 transcript:PAN10176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPSSLRLQVTPSAAPTECSELSFQGLYLFHTPLGPKANQALIIDGKGPIGATAVNNWTVCDGPGPDAKVVARAQGLHIQAGNWLNSFSLVFENEGFSGSTLQVMGITVEAGEWAIVGGTGQFAMATGVITKKFHEQRSDGNIIELAIRAFCPLLKGTRVQHPVTKIGTWGGSGGNPMDITEAPKRLESITVRSGPGVVAAIAFSYVDHAGQKHAAGPWGGSGGQPHTVQLGESEVVTQLSGTVAGFGGIAAVVTSIKFVTNLGTHGPWGEEKGTPFAVPVQPGSGVVGFFARSGIYLDAIGVYVRPSEL >PAN12529 pep chromosome:PHallii_v3.1:2:46749040:46751395:-1 gene:PAHAL_2G320500 transcript:PAN12529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAAPAAPGGWVAWALNPSGDGMPGAQALVAGPFPDDGGGAASAWSVRTYNVSGYALGDPGPIAFPASDLAAELGADGRARVYGRLRLGPYGAGVLNQVWQVGAAVTGGAPAPHAMGADNLAAKAKLDLLRSTTAAAGADSATQKRNIHGVLNAVSWGVLLPTGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGINLGKESKGVTYTDHRNIGIAVFALGTLQVLALFLRPKKEHKYRAYWNMYHHSVGYTVIVLGVVNIFKGMGILGVEQRWRTAYIAAVCVLAVAAAALEAVTWGVVVRRRKAEGKTFGGASANGHLPH >PVH64346 pep chromosome:PHallii_v3.1:2:41127463:41127867:-1 gene:PAHAL_2G244900 transcript:PVH64346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLAMFVAILAFVAVVVHSCEPNCPTPTPPVAPSPPIVPTPPSGGGSCPIDALQLNVCANVLNLVKLNLPCCPLLDGLVDLDAAICLCTAIKANVLGISVNADVDVRILLNYCGKTCPADFTCPSN >PAN14293 pep chromosome:PHallii_v3.1:2:55765745:55769975:1 gene:PAHAL_2G470500 transcript:PAN14293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTGGEHHVRMSAHGGGQAGTGDTPLEKQLNGFVRAVALIERLGNAFGTLAFTWATVVLLGGYPTVLRQDCDFWFATTIVFLEAARMFSRNNKLDYQLFFYTRGALKPLGWNGLTVVAIFTGVLLLPIYSNVQVVAKLVVLVTLAVVQLFPGLARSLVRGNLLRRAISLLSPFVPILFLGLTLFRVITKEGIDTRQMAVLVAYVLLFLVLLLLTISRRRFPCIIKLVDRALGSKQEFWHRIILNSCMLTTGVLLAFAFPDDAALGIIIFELVAAATVSLGNLQIPAVIVRVVLALICLVSQDYYGDNDKKIDNSDPGDGAKINLAPSLNIFYGMLFSFIPRRSLARRGGFGGQWGVESVNLYYAYAFEKYMQGDVLAPKNINLNNFVVDSVNSETPKMQLHGIRMMHCLLQKQPARRCFILKLNGSMETMARLVNMLDWTSPQDTTVRLFAAKVTVELATSIRAVTVPGIIQVVSALLECGNQRKRGNPLLDTKVGHEKILDSVLNADDNQEERLDAVPDTGILLETQDRSTQQVGTAEHKSRIFRCCQGISKFWSIPQEEPLTQQDLLPALAMLILDGLASCDQGNCMEISKASGLIPKIIAFTSCRRSGTTYTDAQQKVLVKSSAKLLHRLTSVEGEIGITLRHMVFKYPFLLRNLAEILGDGTSSQEVRTLVEGIIRNLAINENARQAIGRIQVIITLLMQAFLKPDRPSSTEADKLLREVAGQALAMLAMDGVNNCLAMLGEKGMSSLGYRCVAASLLRNICHHVRPELKEPDLMELSYCLRQALEIILVADEEAELEIFIGLSSQICKVIPGDFARELEDGHLKDRFVKRLVDALNANMEPSAHCPGIRRVILEQAINMMEHDSRYANYFIDRRMAEALSMVEETASEAENYSLFLGDVGLMEARMPLSSLVATAKQLLAIRQS >PVH63387 pep chromosome:PHallii_v3.1:2:1248325:1250130:1 gene:PAHAL_2G018300 transcript:PVH63387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGYYSHTPYVQPGRGAVIGDEVYFTLRHFNAIVKYDWSRNCLSMINPRSPSVLSNFIALMVMEDMSLGFAGIVDSSLYLWSRKANSGAAEWVQCRVIELETIIPVANSKDKPLVVGSAEGVGVIFVSTGVGLFAIELSSGKVRKVDEPRVYFSVLPYMSFYTPDHCRLSSLARID >PAN10508 pep chromosome:PHallii_v3.1:2:7549065:7553496:1 gene:PAHAL_2G097600 transcript:PAN10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAPRPKSTGKPQWPPPSLGAGEEGGCCGGIDRISGLPDAILGEIISLLPIKDAARTQALASRWRHLWRSAPLSLDGGDLPAEGEVSRAGLISRILAAHPGPARRFSVSALQLLLCPSAVDSWICLPALDGLRELEFHIGDLINLQSPELWLPDSAFFRFSATLRLATISKCHILKGTTGALRFPQLRELALESVRISEDSLQSMIAGSPVLECLLLSRSVGFRRVRINSQSLVSISVRNFSGELIIENAPSLERLLQLGLFRGLHVSVIAAPKLETLGWISDRDHYFKLAFGTTAVQNLRSVSTTAVVRSVKILAVDVHTLSLDTVLDLMRCFPCLEKLYIELFMTVDRNSWRRKHHDVIGCLDIRLKTVVLKNYCGIKSHVNFASFFVLNAKILESMTFEGGACHNQKFIAEQHSLLELEKRVSRSAQFYFTVCRSWDKNLTHIKHVHDLSITDPFEYRC >PAN14623 pep chromosome:PHallii_v3.1:2:53081097:53083128:1 gene:PAHAL_2G424500 transcript:PAN14623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELDVSKSRRFDIAMSRRTRRPTSLVACFQDQYGPSLAQHRHQELKAFLECQDAGLKALQHYEDAEHLAPCLDEDEERKFPHAPLQYEDGELKIPQAPLQYEDDEQKTPEQYQDEQEKKYQQYSGEEQKKPEHYQGEKEKKPEQHKDEEEKTPEQYQGEVLMTPNQFEDDEDTAAERYQDPEQEAPQQRQEADEKASEQSEYDEEQQKAQQECCNTEQKETEQFQGLKKLGTPLRAVDSVPRFSLQELIQQKQLQTGEAKPASKQAGHGESVLPDHKVSGSGAAAGGTTLAMVIKRPEGGKKSMGMIRRCVKALNQMIKTKHGSKKNLHL >PAN10701 pep chromosome:PHallii_v3.1:2:9026143:9033004:-1 gene:PAHAL_2G111700 transcript:PAN10701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWLCCNCHFDDEEDGRDKEQSKAQSNKIDPKQKSSKPPVSQPEPEISPPTIDVPELSLDDLKEKTDNFGSSALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSLASKLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKVADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPAVSEPAPEPAS >PAN14312 pep chromosome:PHallii_v3.1:2:51669421:51670871:1 gene:PAHAL_2G399800 transcript:PAN14312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMTGLSQGVLPSRRAAGRARTAVVRASAEGEAAAQAGRRAVLGLVATGIVGGVFTQAVRADEAVKTIKIGPPPPPSGGLPGTLNSDQARDFDLALKERFYLQPLPPAEAAARAKSSAQDILNLKPLIDKKAWPYVMNDLRLRASYLRYDLNTVIASKPKEEKKSLKELTGKLFSTIDDLDHAAKIKSTPEAEKYYAATKSALDDVLAKLG >PAN13855 pep chromosome:PHallii_v3.1:2:49651941:49652291:1 gene:PAHAL_2G368600 transcript:PAN13855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRSLHARRGRRPLLPRPRRQDQQRAEAEQLPAVAAGVLGARSSLTSCGLILGRTSTSGLRSVALLLPKQRASQPCPAEMIFPLSSNINTHLFTFKLGYLIFISDNIFLIKLGHC >PVH65132 pep chromosome:PHallii_v3.1:2:53562661:53565038:1 gene:PAHAL_2G431900 transcript:PVH65132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPTLPSSRSTPSLPSSPHRPALRPGSLQRLLRPDPSDDDDPAAPTQRSRPRARDRVLLQVTNITPALSGADPFSGHHGFYLRLSDSSRSCYVSLHADHDDLILANGLHIGQVIEVDSLMPSVPAPVLRNFRVLPGRYPCVQQQDSGDDEVKEVVSERPRRPSPTPPLPERRARQGGSPASISHRHRSKSITNLSDAGSPASSAPRRKESVLWCLDPPRSLRKISVPSVYGNSSDDDDTSDVSSSYSLSTARRNWDFTGSSKDVRPVAPRRRSNSVSPGKSGPKPTAHQNDVANDPLESVRRKAEKAFKVLSRRNSMTPRDSSCAAAMPQSASSSGIKWCENNVMWSSLSSSLVRHGKDDDPKELIDRFLKFSQELDRAIFIAQSQARFTRAKACRCSTSSASPKAATKAALDRKQSAISWVRAAIEADLSPFSSHTRASFESSKPSVSEPKPVSPLFCSKPKCNCNSRPSKKTADASTEGSNLNAALDLAIAMRSDSNRWFLKYIDKFLDDIESETPYTTCDSQVAGLLQQLKKVDDWLNHVVRHGRMFPIDRGSKDSVLSEEEESDACERVRRKIYGALLRHVQYAAMALEGISSVTDEDKEQE >PAN09445 pep chromosome:PHallii_v3.1:2:1745235:1751420:-1 gene:PAHAL_2G024300 transcript:PAN09445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGGFFVLLLLASPVLGQLPSQDILALLAFKKGITHDPSGYITDSWNDESIDFNGCPASWNGIVCNGASVAGVVLDSHGISGIADISVFANLTMLVKLTMANNNLSGSLPSNVGSLKSLKFMDISNNQFSGPIPEGIGNLRSLQNLSLAGNNFSGPLPDSIDGLMSLQSLDVSGNSLSGPLPAGLKGLKSLVALNLSRNAFTKGIPAGLGLLVNLQFLDLSWNQLDGGVDWKFLIESAVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNGFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQILNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWTSELEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNALSDTIPEAVVQYPKLTVLDLSSNQLRGPIPADLLTSSMLQELYIQDNMLFGGLSFPGSSSKNLSLQVLDISGNHFNGSLPDDIASLSGLRVLDISTNNFSGSLPATVSKLGALTDLDMSTNQFTGPLPEDLPDKLLSFNASYNDLSGVVPENLRKFPESSFHPGNSKLEYPASSSGSGNSPSGSGGGKSLSTGAKIGIVAASIVILVILILVAIICHYKRISRQFPSSEKVSDKNLHRVTKDSASMKGKDNKGGLISADELVTPRKGSTSEALSQEEKSAVGGFSPSKGSRYSWSPDSGEAYGQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDSAMASDSENPQAVKGMKEALGIALRCIRPVSERPGIKSVYEDLSSI >PVH63558 pep chromosome:PHallii_v3.1:2:4065189:4070339:-1 gene:PAHAL_2G055300 transcript:PVH63558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAVSAATGALKPLLEKLATELCRELKRFNGVPDEVRSLTKELGAMEAYLQEMSELEDPDAQDRDCMKEVRELSYDMEDSVDEFLLRVAGGGEEAADGPGGPIARLKNALVDKPRTRHKIARVIEGLKAQVREMGERNARYRAHETVSKASNAGVDGRALAMFEEASRLIGLDGPRNELVEVSGKTKKSGSAPSLPAKVLSIVGCGGSGKTTLANQVYRVLKGEFECHAFVSVSRNPIMEHVLQNILCQVDDTPHHNIQAWNMQTLIIQINGFLKDKRYLIVIDDIWTKDAWKTINCALYKNDQDNRIITTTRKYDVAEACCSSDGDFVYEMKPLGPADSRRLFLERLFGSEDKFPTNLTRIANKILEKCDGLPLAIISIAGLLSSKAPTEDEWVEVQSYIGRGIAKDPGVKTMMQILSLSYFDLPHHLKTCLLYLSMFPEDHIIDKKHLVRRWIAEGFIPREPGQTLYELGERCFNELINRSLIQARNMDMYGEVRACQVHDTVLDFIVSRSEEENFVTVFRDDGHMPGPDSKVRRLSLHACSKAKASTLTELNLAHVRSVTVFAFEELPSWSKFRFLRVLDLQGCKQVEGGHLAGIGNLFQLKYLSLRETGVSELPEQIWKLKSLETLDLKKSKVKRLPAGISLLTRLVYLVVDKGVKLPDGTERMKALEDLGCVDVFKQSVDFPREFGQLENLRNVRLFLSSGKRSTPAEGTRYKEYLSNMASSLCKLGRLRSLSVKVDPESSEDFSLDSAGDVPASLRRFQVTGHFLSKVPNWAPSLVNLQCLTLHVKAFEAQDLMALGRLPILVFLRLVAHESFQGTTSRVTISGADGFPKLRRFDYGCATPVRFEAGAMPEIKKLILLFSYFKASWAVRNTDFFLYPVGIQHLTSLDSVCCLLYCKVQEVVDWIAEKRTLMADMSCKQVKATVGEMGATAEQMFMKSESLMETAARAHLKCSELTIRITTQWGMRNEGSRFKSPFTDRLVSMLRTSEASLMLARQVREMMSNCPLKGKGNCQCQGVPEAIEQAMKQLTTLVLRRFTGIKLRERRPHNAFVRT >PVH63951 pep chromosome:PHallii_v3.1:2:13891292:13892110:1 gene:PAHAL_2G144200 transcript:PVH63951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFWYLGSMLQKDGDIDEDVRHRISVGWLKWRQASGVLCDKKVPQSLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRKDRVRKEEFRDRVRVASIKDKLIQYRLRWFGHVQRRPPEAPMCSGVLKRGDNVKRGRGRPRLTWDETVKKDLKEWNIAKELAMDRSAWRLAISIPEP >PVH64021 pep chromosome:PHallii_v3.1:2:21448186:21448452:-1 gene:PAHAL_2G166200 transcript:PVH64021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWEDQVRRFMSQEEEEDDELFLVMVPALQLCLYDEKMLEHTSSLSGAESLRNLEGHGRWCKVEFRMESEIFRVIAHFLAVLRLRSS >PAN15269 pep chromosome:PHallii_v3.1:2:56174583:56176674:-1 gene:PAHAL_2G476600 transcript:PAN15269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCSGVAVGVVGGGSCGKKPFQLARSLTYHHHQGHRPAAASTARWRRHQLADEPRAQRPQAVVLYTTSLRGVRRTFTDCSAVRAILRGFRVAVDERDVSMDAAFRRELQALLAVRCRAFSLPQLLIGGRLVGGADEVRHLHETGQLRRLLEGAAGQDPAFVCDACGGVRFVPCTGCGGSRKVFVEEEDCVVRCGECNENGLVRCANCCS >PVH63556 pep chromosome:PHallii_v3.1:2:4032871:4033996:-1 gene:PAHAL_2G054800 transcript:PVH63556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEREAIPSGSASEIAFPPRIANGDLPSAMAGAARPGAARAGVARAGRGPTVGRWPVQEREGGGARSRSEREDDASRTRR >PVH65050 pep chromosome:PHallii_v3.1:2:52424081:52425242:1 gene:PAHAL_2G413000 transcript:PVH65050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATERRVAYLPDDLVVEILSRLPAKSLCRFRCVSKSWRALMSDPAHRHRFAHTATCFFFCRDYATSRPGVDSALPQVDSALSFLPASSCPEIELLDPCNGLLLLRCSPGTGGAGAAVQPPPPLAFYAVCNLATGEWVALPQPSLEPGFDDFNTRARSAALGFDPSISPHFHVFQLEEEAHRYDHYVSAVEIYSTESGAWVKKESGWCPGGLELTGHTTYLNGFLHLTTWRHVIAEVDTKGQAWRTIGAPSWRSTDNGFISHSQGRLIYVDVHRRRTGALLVIYDLEDHDGEEWNLRHKVPYGLDSLVVGFHPNCDRCFFYDRHLEKRLMPYDMNEGRVHVICTLGDVADEVHPFFLYVPLYSAGTLDRQMSSRSVTISGSDLTS >PAN15498 pep chromosome:PHallii_v3.1:2:57304020:57306127:1 gene:PAHAL_2G492400 transcript:PAN15498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFASPRRWSTRAAGAASTALAAAVFALLDVVDVLLCLVYALLDGILEESPVRCYCHRSHGGGAADADEEEVSDTLYARRSAVRGALLGLLGLVVRRRAAPDKGPCKWRSPRWSDCGCKSCVAWRGSQGGGRLHVVVKEPKDTAGATDGTENATFVHGFTSSSSFWAETVFRESSILNGRLFAVDLLGFGQSPKPANCMYRLKDHVEAIETSLIEPQNLSSFHLVAHSMGCIIALALAAKHPTRVKSITLVAPPYFLPCEQKASQVALSRLAEKKLWPPLLFGSAVMSWYEHIGRTVCFLLCRNHLLWEWLVRLLTSGNRDVDFRLRDLTRHTHHSAWHTMHNVICGGARLQDRNLEAVEAAGIPVMVIHGGRDPVVPVECSRHLKAKLPHAELRLMAGCDHATVVSGRERGFAEELAAFWRVHGGC >PAN13528 pep chromosome:PHallii_v3.1:2:48217518:48218704:-1 gene:PAHAL_2G344600 transcript:PAN13528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSGAGGSGKVKKGAAGRKAGGPRKKSVSRSMKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAAGAAAKEAKSPKKAAKSPKKA >PAN10684 pep chromosome:PHallii_v3.1:2:8852726:8859289:-1 gene:PAHAL_2G110200 transcript:PAN10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAVVGAGLSGLAAAHELARSGGARVTVYEKESHLGGRGNKAVAVDDDGAGGRVLVDLGCMAFNTMTCPNLMKWFEGLGVEVEPSDMSFSACMRLGKGVGFEWGSRNGVSGALAQKSNLLSPRFWLVVREIFKFKNHALRYLEDHGRDSDRNETLGQFIQSHRYSQLFQDAYLIPMCACIWSCPPDGVLGFPALLVLSFFRDNHLLELFGRPQWLTVKGGSGSYVNKVREELESMGCQVKTGCEVKSISRFNEGYRVSDVDGSEEMYDRIIFCLHAPDALKVLGAEATHDELRVLGAFKYINSDVYFHCDESLMPQNSYAWSSRNFLGTTSSDVCVTYWLNILQNIESPRPFLVTFNPPRVPDHVLLKWHTSHPIPSMAAAKATLELNNIQGKRGIWFCGPYQGYRFHEDSVKAGKVAASELLQWKCDLLVNPKPMVPSWTEAGARRLVARNFERYMTIGNVSILEQGGTTFSFGRACERCPVKSVILVHDPQFYWKVVTEADLGFAYSYINGYISFVDKREGLLNLVLISLANRGERKRLSSASKSSYVRKGWWTPFLGITGVAFAKYILRHASRKNSVSKAAKNISKHYDLSNDFFALYLDPSMTYSSGIFKAEDESLEAAQLRKLDSLINKAKVESGHHVLDIGSGWGTLAIRLVKKTGCKYTGITLSEEQLKYSERKVKEAGLEDRITFLLCDYRRIPTCHKFDRIISCEMIEHVGHEYMDDFFGCCEYHLADRGLFVLQFIAMPEELYDRMRLRPEFMKEYIFPGGCLPSLARVVSAMTNASRLCVQHLENIGDHYYPTLMHWRDNFVANRKKVSALGFDEKFIRTWEYYLSYCAAMFKSRTILDYQMVFSRPGNAKLPSYLTIE >PAN10683 pep chromosome:PHallii_v3.1:2:8852096:8859358:-1 gene:PAHAL_2G110200 transcript:PAN10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAVVGAGLSGLAAAHELARSGGARVTVYEKESHLGGRGNKAVAVDDDGAGGRVLVDLGCMAFNTMTCPNLMKWFEGLGVEVEPSDMSFSACMRLGKGVGFEWGSRNGVSGALAQKSNLLSPRFWLVVREIFKFKNHALRYLEDHGRDSDRNETLGQFIQSHRYSQLFQDAYLIPMCACIWSCPPDGVLGFPALLVLSFFRDNHLLELFGRPQWLTVKGGSGSYVNKVREELESMGCQVKTGCEVKSISRFNEGYRVSDVDGSEEMYDRIIFCLHAPDALKVLGAEATHDELRVLGAFKYINSDVYFHCDESLMPQNSYAWSSRNFLGTTSSDVCVTYWLNILQNIESPRPFLVTFNPPRVPDHVLLKWHTSHPIPSMAAAKATLELNNIQGKRGIWFCGPYQGYRFHEDSVKAGKVAASELLQWKCDLLVNPKPMVPSWTEAGARRLVARNFERYMTIGNVSILEQGGTTFSFGRACERCPVKSVILVHDPQFYWKVVTEADLGFAYSYINGYISFVDKREGLLNLVLISLANRGERKRLSSASKSYVRKGWWTPFLGITGVAFAKYILRHASRKNSVSKAAKNISKHYDLSNDFFALYLDPSMTYSSGIFKAEDESLEAAQLRKLDSLINKAKVESGHHVLDIGSGWGTLAIRLVKKTGCKYTGITLSEEQLKYSERKVKEAGLEDRITFLLCDYRRIPTCHKFDRIISCEMIEHVGHEYMDDFFGCCEYHLADRGLFVLQFIAMPEELYDRMRLRPEFMKEYIFPGGCLPSLARVVSAMTNASRLCVQHLENIGDHYYPTLMHWRDNFVANRKKVSALGFDEKFIRTWEYYLSYCAAMFKSRTILDYQMVFSRPGNAKLPSYLTIE >PAN15231 pep chromosome:PHallii_v3.1:2:55993870:55995549:1 gene:PAHAL_2G473500 transcript:PAN15231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASSSSSPSPSAPPPASSKPALWLNPAAVLLRRLPTPTLTTATPVTSPASPAQAGGGAANPLAAFLSSLIPWREQRAPKQQPDHPAASASASSSAAAAAARRAAKAAAEEEAEARQLVGCAVPLFRPYVAQLPWHGGARAWLSKLFPRYGHYCGPNWSSGKEAGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLEGSRQTPARDGIAAAVIYRAMCIFGLKTILIPYRTNLVRLQTGPNYADFFADFVKRVASSSGRPTGGVKQRL >PVH65051 pep chromosome:PHallii_v3.1:2:52466850:52467262:1 gene:PAHAL_2G413700 transcript:PVH65051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLYMDVATLERERERERERERERERERESCSKVCRKFCHEDDPSFLPWLA >PVH64675 pep chromosome:PHallii_v3.1:2:47425436:47426030:-1 gene:PAHAL_2G330800 transcript:PVH64675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAKFTRRFVPVSNLPPGFTLLDFQELLAPFGPLPMWDVSRFRNGICGCSSQIRLRFGVRETFRCR >PAN13947 pep chromosome:PHallii_v3.1:2:50025767:50034742:-1 gene:PAHAL_2G374100 transcript:PAN13947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNCLYVAAASTAASAAALQWWASSLLDGEAGAGGDGDWLGAVLRSRVTIALLANLAANAFLVIILALKTLFFVQLTSLETRKVLEHIINYVIYKGTFLPLVVPPSSQQIILWSTWLVILCSLKMFQLLARERLEQLNASPSATPSKYFRVYSALLLVLSADLLWMKFCVGFCSSCNSKLFWLLFFEPLSIAFDTLQSIMVHGFQFFDISQRHLMESGTDFLDFQKSYKQAAGSFSEWRGKLTRNFGFAIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIVSFLKRIKTYIKLRKALSSLDGALPDATYDEICEYDDECAICRGPMARAKKLSCNHLFHLACLRSWLDQGLMEGYSCPTCRRPLSVSSEGHTRPTTAEVANVQRIAEQLTMGLNQHRVPGNEHPVEQQNPSDAVWRGAGLDASWVPPWSSPVMDNPSSSSAVRSVGLTGVQMMMRQLASVTDNYGHADGTWNLWPETMAGSSLVPSTSSVPDNAAAAGLRLRGAAGTTRNGSLSEVLTMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >PAN14229 pep chromosome:PHallii_v3.1:2:51258116:51261073:-1 gene:PAHAL_2G392900 transcript:PAN14229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLHTGTRHMLLRGSRAGASAFCDAVCIYIYRSRGVASRLVATYPAMFAKMGGSAKAYAAVLLIRLMYSGMHVMSKVALDQGMNPLVFVFYRHTTAALVLIPITFVLERRKAKPVTFTIGWKMFVHALYGVTACGVLFNLGLNYASATSSSALYNVQPVITFILAVIFGMETLKLTRFHGKVKFAGILFCIAGVTVLAFYEGPMFRSFNHHHLFQNGGSSSPAGAAETHSKKQWVLGIFLMTLSNVLAGLWTVLQGPLIEDTSKLMNTALQISCASVQAFLVAVAAERDFSKWKLGWNVGLAAIVYSGVIVTALSYYMQMWTIAKRGPVFLAMSTPLTFVFTIVISSFIIGDAVSLGSIFAGVLLVGGLYNVFWGKSIEERDDLTKISAAAAGKPGLELPPPQKNKADLQAPQVPDDDDGAEAKV >PAN10396 pep chromosome:PHallii_v3.1:2:6796080:6798047:-1 gene:PAHAL_2G091300 transcript:PAN10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLRYHRFIPHCCCQLMCCIVQLEVVMSTPGVLEVVEDLCRSYPSLTFGVGTVLNAADARKAIGAGAQFLMSPGTVMEILHDLEESKVLYIPGVLTPTEVLSACSAGAEVVKVYPVSVMGGEVYMSALKKPFPHVPMIASQGIQIGSIKGYVEAGASAVVLSDAIFDKELMRKGKFSEISELASLATFEALQSIK >PAN10394 pep chromosome:PHallii_v3.1:2:6794899:6798614:-1 gene:PAHAL_2G091300 transcript:PAN10394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAATQLPLLAISPPRRWRRAQHAPAAAAPRAPPGALAAILRSRVIACLRAEDGEAALQAAHAAVSGGVTVLEVVMSTPGVLEVVEDLCRSYPSLTFGVGTVLNAADARKAIGAGAQFLMSPGTVMEILHDLEESKVLYIPGVLTPTEVLSACSAGAEVVKVYPVSVMGGEVYMSALKKPFPHVPMIASQGIQIGSIKGYVEAGASAVVLSDAIFDKELMRKGKFSEISELASLATFEALQSIK >PVH63712 pep chromosome:PHallii_v3.1:2:6795382:6798358:-1 gene:PAHAL_2G091300 transcript:PVH63712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLRYHRFIPHCCCQLMCCIVQLEVVMSTPGVLEVVEDLCRSYPSLTFGVGTVLNAADARKAIGAGAQFLMSPGTVMEILHDLEESKVLYIPGVLTPTEVLSACSAGAEVVKVYPVSVMGGEVYMSALKKPFPHVPMIASQGIQIGSIKGYVEAGASAVVLSDAIFDKELMRKGKFSEISELASLATFEALQSIK >PVH63959 pep chromosome:PHallii_v3.1:2:14330057:14330912:-1 gene:PAHAL_2G146100 transcript:PVH63959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDPITYEELSAEHKQRYDEIKTQFEDDLIGSFERTRNKGVRWKGFSPEGALDGVDLSIPSEDRTRALRQEVNYAVAHSWHRHSKSLVNAFKRVAFRVVQEIMKHQHSPTRPTLGSHKGELPFQTRPPLPYALAATESHGAPAYVVYKVGGDPMDHQFFSEPLKEIPHGYMCAYILDSNNPVHSAQKAIGGVSEADAYKQAWLATYATGPSHDSMHSAPGLQTAEQISAILRDQFGILPKRRAIGYTKPLPNSVGQKVLVLLNT >PAN12742 pep chromosome:PHallii_v3.1:2:44662973:44666155:-1 gene:PAHAL_2G287900 transcript:PAN12742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPPRALAFLGLVLAAAALFARGAEAGPLATELVRPSFVASNILYVDTGGAFLESRSGAFRAAVVNPGKQQDRFYLAVLHAPSATLVWSANRGAPTTSSGSVKLTAQGLTVSDPNGTVLWSTPAQLGAPVAALRLQDSGNLQLLGAGNATLWQSFDAATDTLLPGQQLRAGAYLAAAAGATELAEGDYRLAVTAADVVLTWQGSTYWRLSNDLWSYKDRNVAVASVSVNASGLFAVAADGGLVFRVDLAAGAFPVLKLGNDGRLRIRVYPLVNSSASLGGDFVAPANDCDLPLQCPPLGLCSPAGNSSTCTCPPLFAASASTPGSCIPGDGSALASPASCQSTNSTAPVSYLALKSQAAYFATKFDPPTMAGVNYTACRGLCTGICACLAYFYDSSSRSCYLVREKQLGSLYLSSSASALGYIKTVPQPQNGTRSNSKSSANRTVPIVLPSIAAFLLVAVIAWYACWRKMRKNGKKKKGKSTGVKQMNTGRKKPPSWETGNADADDDENDDDVVVPGMPTRFSYAEIATMTGNFETKIGSGGFGSVYKGELPGGEGLVAVKKLEAVGVQAKREFCTEITVIGSIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPVLEWGERMEVALGAARGLAYLHSGCDQKIVHCDVKPENILLADGGQVKIADFGLAKLMAPEQSALFTTMRGTRGYLAPEWLSNAAISDRADVYSFGMVLLELIHGRKNRGEQTDNNVAIVGSGEEMSDWPSGWSSAAAASTPSGASGSGEEYFPMVALELHEQGRHLDIVDARLEGRVDEAEAARAVRIALSCLHEDPAQRPSMAAVVRMLEGTVSPPEPRVEALGFLRLYGRGYAAPTSSLVAMAGTSGSAGTPSSTAGASQLTDSLQDVSAPR >PAN13140 pep chromosome:PHallii_v3.1:2:46508135:46510235:-1 gene:PAHAL_2G316600 transcript:PAN13140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSKGKVCVTGASGFIASWLIKQLLESGYHVVGTVRDPGNRQKVAHLWKLPGAKERLQIVRADLLEEGSFDEAVMACDGVFHTASPVLAKSDSSSKEATLVPAVSGTLNVLRSCKKNPFLKRVVLTSSSSAVRIRDDAQPNISLDETIWSSVPLCEKMQLWYGLAKVFAEKAAWEFAKENGIDLVTVLPSFVIGPSLSHELCVTASDVLGLFQGDTARFSCYGRMGYVHIDDVASSHILVYETPEATGRYLCSSVVLDNDELVSFLTKRYPVFPVPRRLDNPYGKQTYQLNTSKLQALGFKFRGLQEMFDDCVQSLKDQGHLLECPL >PVH64757 pep chromosome:PHallii_v3.1:2:48768412:48771455:-1 gene:PAHAL_2G353100 transcript:PVH64757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPIKCDSTVKNVALDTLFLIVLQAAVVIALGKFIHLGLRRHNLPSATSQILAGIIVGSLGLHDMIVHVDVANAEDTYGGYVSEARIFYMFYVGLEADVAALWNDIRRATIFTYASVATCLLLAAFVSGGMYGSMMHTPVRSPELLAAVLMLSIADTASVDVSRMASEMRLTATPSGRLVVATAIATNIICIVGEGVFSCMKLASTRILDYSAPQRLGLGILSLVKVGVAVLLLQPVVALINRRNAGRHRVGNWELVLLLVAVHFIGNFPQRVGFDGMPVSLLLGLAFPREGPVARSIMDALEYPLHALVLPFYFGAMGMRLNFSAMSGAILVPAILLTLLGLIGKCAGTMGAARFLKIPATDALRFGVLLNMKGHVNMIDMNLASSEGIWAEQALMAMVVGSMISTIIAGPVFAVVFRKEKEAYECSHQALEDMAPDQELRMLACVHGPHGAPGMLSLLELLGSKPREQPAIHVIHFYDVARKNAAPRQYHRRVQDSEHKLMDRRKEATTQVNWAVDVFTCATGLVIRQVDAGDRGSAANAKTVRRWTEDVRPGILLLPYHREQHYDGTMVCRREERRHLNVKVLERAPCTAAILADRPFRRCGTSLQLPTKISTSTEAAGNQQGDEKVTIHIAAVFLGGPDDREAVALACRLAKNDSVRLTVVRFVLCGNTREHDRLATTSPDIDGEVSVVVQDTDEECVSAFHREYVARERAAYAEKAVTGPMDVVEALRGMAGAFALVVAGRGGRQPAELVVGLEGWTECAEVGPIGEILASDPSLEMGSVLVVQQKTAPPFHLDLPEPAPTPAT >PAN15242 pep chromosome:PHallii_v3.1:2:56017053:56019925:1 gene:PAHAL_2G474200 transcript:PAN15242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVGSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPTGLTTEVKSVEMHHESMQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELESAPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PVH63980 pep chromosome:PHallii_v3.1:2:16447325:16451880:-1 gene:PAHAL_2G153600 transcript:PVH63980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTPKKKKLSESGSKNNIRSPKVFSRSNSRTSGCSGEQSPKAAAVDEDDAVFIGLASELKEEGTRLFQKRDYEGAAFKFDKAVKLLPEGHNDVAFLHCNIAACYMHMNPEEYHRAIDECNSALEASPKYTKALLKRARCFEALDRLDLACVDVEKVLSLEPNNVTALELHESIKEVMEQDVLLDKRVASPDEPAINIIKERIQRRVSRKFRNSIVEEEVWEMIHDEEDHENVEDDDKDSSKENHTVNDLTPEGNDAGKMQEKHNQDKHEKHPEETKSRNGHYKPGETNEGLQQQHSSRVMEEMSPKQRYGQDNHEKHLKEILVKGIQLEKGKHTKLQNQMSSVGRRKKHFEVGSHSKQEKHTEEKYERYTSVNQGKHYLEEKYERYMPEKTITIKTANHGRDKHTKYTRENHEDVREGVEKKFKFVHGDDIRIVLIPENCSLLQVMDIARYKYNPHLKSFLLKFMDKEGDLVTITSTEDLRWVEDLYPQVPVRLHIKEVSPEREITRDLIMPMSSAAREQNHYSTSECGSSRKEDERNSCSDDWMVQFARLFKNHAGFDSDACVDLRDVGIRLYYEAMEETITSEEAQEIFQAAEAKFQEMAALALFNWGNVHMSRARKRLILSEDASKESILAQVKSAYEWACTEYVKAGKKFEDSVDVKPDFYEGLIALGQQQFEQAKLSWRYAHTCKVEMGTEVLELFNHAEDNMEKGMEMWEGIEYLRVKGLAKSRKGKIAVDKLGLNEQGKDLSPDEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLQEAIEKFKIGGASVADISVMVKNHCVNGNNQEGLSFNIDEIVQAWNEMYDAKKLKNGSSSFRLEPIFRRRPSKLHNILEHIHYT >PAN14957 pep chromosome:PHallii_v3.1:2:54867603:54869104:-1 gene:PAHAL_2G454600 transcript:PAN14957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLQEIKIAAAAAATAAAAAVGATAQEAAAAGQAAAEGVGAAGAAAAAEGVGAAGAAAAGADAAVSVRAAAVAQRVREEFSFAAAQTKSVLNPPLVIMLLLYPSVIGFTITERYTKYSLLIPIPNVPGAPPPPSALGGPQDEWLTASPRALWYTALSFTVVVAIHLFLVVHLTLKGPPGSPMMAGAFAWIAPVVFWLSTSTYFVMYISLARYGVAMIEWVIAGTCSGALLLVTLMLICRAVSLSKVVDQRPGAPV >PAN15143 pep chromosome:PHallii_v3.1:2:55564639:55569724:-1 gene:PAHAL_2G467900 transcript:PAN15143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAAGPVAVASAGSAAKAAPSPAVGISPELYPSEDDLPYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPIDHPAYSSLNNTFERALATMHKMPRIWVLYLTSLLDQRLLTRSRRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLISANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGVSSVVTVKEFSVVFEAFTQFEQSMLAAKLEAAEEEGAEDEDERGGRKNGMDKLSKKFLEDFWLNDEDDTDLRMARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWLAFAKMYEKHNRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRASAEGNEPVQMKVHKSLKLWSFYVDLEESLGTLDSTRAVYERILDLRIATPQIILNYAYLLEEHKYFEDAFKVYERGVKIFKYPHVKAIWVTYLTKFVQRYKRSKLERARELFHEAVQQAPPDEKKPLYLQWAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMAMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNNSDFWKKWNDFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMAALERQLASGPTTAPPAAQNTAPASANRMMNFVSAGVEAQADSSRQQAGNNEDIELPDESDDEEPDVQIAEKSVPAAVFGELGKRAAESQDGSSGAHENEQLGALERIKRRRQ >PAN09730 pep chromosome:PHallii_v3.1:2:3511790:3512686:-1 gene:PAHAL_2G047600 transcript:PAN09730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVMASAASSLAFAATGARAGRFPARLPAAGLAPRRRALLVRSQAKDPETKRAEEKPAASQGLWDALAFSGPAPERINGRLAMVGFVSALAVEAARGDGLLAQVGNGAGLAWFAYTAVVLSAASLAPLLQGESAEDRSGGFMTADAELWNGRLAMLGLVALAATEYLTGAPLVNV >PAN13933 pep chromosome:PHallii_v3.1:2:49972565:49979434:1 gene:PAHAL_2G373200 transcript:PAN13933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAAKGLAAEPCAGGVADRRCGSCGAVAYCSRAHQIIHWRVHKEECERFAEQMRRVNLLSQFPFTFLEPPALNHEFPSARCFFLQMFKLHQKGLWKSECICGSDVASAKDLSIAAEWNLQSSLCPCTEPENPVPAVLASWEDYYQWRSLPLHSPVAVLLHWPLTLYHCLQLYRLQTSKYDGQDTLCIHYLGPEKELLQLATFGELRALFPGVQIHIELVGPEVPKSRDGEVVNISRYARCSDESCCCKSSIGSEDSSCTAVRLKLWKGFYHERCSDIMKDSNPHLIVAPNAGVAAYPSWMPTIEIIRQTGIPAIFTDFCEEAAYLASCCISSITGQPLKIPIQVNPFRQPVAADNSALYLPCYSNCFIFGM >PVH64585 pep chromosome:PHallii_v3.1:2:45915766:45916473:1 gene:PAHAL_2G307200 transcript:PVH64585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSIWIVLFAVFICGRSSHGDDRLAKKKPSAALKAKPSGRDGTARSSWTVADRTSMNTAAYMGGAAAAGACGCSAGHGGGGGSGGCGGGGGC >PVH64909 pep chromosome:PHallii_v3.1:2:50534887:50537154:-1 gene:PAHAL_2G382100 transcript:PVH64909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVLLLPEPGSGHLMSLIEAGKRLLGHGGRHAQEFTVTVLVVRPPTPETTSEVDAHVRRVEASGIGVRFHHLPAEEPPTDCGGNLQEFKSRYLELYKPHVRAAARELRASALVIDFFATTVLDVARELAVPAYIYFTSTAGLLALMLRLPALEREVDVDFEAYEGTVDVPGLPPVPAGSTPGFMGRKSSPNFTWFVYHGRRFMDADGIIVNTVAELEPGLLAAIAEGRCAPGRRPAPPLYPIGPVLDLGARDGASDEACVRWLDAQPRASVVFLCFGSLGWFDAAKAREVAAGLERSGHRFLWALRGPPAAGSRHPSDADLDALLPKGFLERTEGRGLVWPRWAPQKAVLAHPAVGGFVTHCGWNSTLESLWHGVPLAPWPLYAEQHLNAFELVADMGVAVPLQVDRKRDNFVEAAELERTVRSLLGGGSEEARRAREKAAEMKAACRKAVAEGGSSHAALERLREAIREGARAGTATTA >PAN12067 pep chromosome:PHallii_v3.1:2:40673989:40677241:1 gene:PAHAL_2G240600 transcript:PAN12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTPPSSSLYCPGRRLLPARPASAATVGRWARVSCSLSMNGCAPGAGDRGAVCVREARALPAMPALHDAVGQLRAAVDALGAGAPPPAPSGIIRIEVPIRQRVDAVEWLHAQSALPRCFFSARAPLPDAPALAGGNGNGGLGDRWKEPVSVAGVGSAVFFRGTEPFSLADWRAIKRFLSRDCPLIRAYGAIRFDATSDASVEWEDYGSFYFIVPQVEFNELEESSVLAATIAWDDSLSWTWHNAVDDLQSLLQKISPCSVEVNTSSLHTTIMSLNHVPTKASWDLAVTKALQMIKERQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPLNAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDTEFTIVRDSIKKKLEMICDEVVVHPSKALRKLPRVQHLSAQLAARIRNEDDEFEILNTLHPSPAVCGLPTEEARHFIRDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQFAKLLRYQEQHIRYQEAENMGTVI >PVH63386 pep chromosome:PHallii_v3.1:2:1247762:1248220:1 gene:PAHAL_2G018200 transcript:PVH63386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPELMPELVGEILLRLPPEEPANLLRAALVCKPWLRTLCDPAFRRRYGAFHRTPPLLGLLHRLMAIPGDPTLTAAARAPSTAATAASSSTWRTGAGISSSGTRHGRPAAPAGARHPVVHPLRRGVLRGGRLRLPRLPRRPLPTGLHRH >PVH65048 pep chromosome:PHallii_v3.1:2:52322561:52329741:1 gene:PAHAL_2G411100 transcript:PVH65048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAPGEKNPQDAPGPATAPPLVQAFRPVDGTGSPAIDPRLAQQSWPGHVVLLRPCVPWPPQVPVPLLLNPANPQQNADAMVDVAAADVNPAIGSCDENMVPKVNMLFDGESGAYEFYNAYAEKVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPASLTIRLTANGKYRLTEFVPNHNHQLATESTIHMLKAKKIRRKARAVRENLVDDTVSTPEFENEDEAYEFYSMYAGKIGFNVRRASMTVNTENVITRRMFVCSKEGFREKKRGAKRVKKPRPETRTGCPACMVIRLGTNGKYQVTEFVTFHNHQLGAAAASDLVMASQSTENDQDDGVHLADRSPDDSVHKQNLINESVTINFLEGRSCKRYKCTKTPHYGDVGATLEYLQRMQHDNPSFFYAVKSDENGNFTNFFWADSKSIVDFVHFGDVVCFDSGYALQSYGRPLALFTGLNHHKQTVIFGVALLYDESNEAFRWLLDTFKMAMNGTHPKTLLTDRSAAISEAVAATLPATAHRYCVWQIYQNALQQLSQAFHGSKTLECNFKRCLFDCEDEDEFLTAWKEMLEKYDLEDNQWLADLFSIKEKWALPYGRDAFYADMKSVQQKESLTSELKKHLSLEYDLLNFFEQFERLLCDRRSAELEVDVNANQSTKKPPSMRMLRQAANVYTPAAFKMFEREFELYMDCMLYSCGEMGTIFEYRISVEDNPKDHFVKFDSHNSMMNCTCKTFEFIGIPCRHMLKVLDTRNIKDLPVQYIVKRWRKDAKSGSSNSGCTFSFDGDPESAQMKRYNLLCRIFSIAAARAATSAESFTYMEHQSNILMDQVEQVIQSRPPDIVDLIGANCDRTQSSVDNIVTESIHSHTNFLNGSADGSLTFPFTLGAGALDYR >PVH65047 pep chromosome:PHallii_v3.1:2:52322558:52327010:1 gene:PAHAL_2G411100 transcript:PVH65047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAPGEKNPQDAPGPATAPPLVQAFRPVDGTGSPAIDPRLAQQSWPGHVVLLRPCVPWPPQVPVPLLLNPANPQQNADAMVDVAAADVNPAIGSCDENMVPKVNMLFDGESGAYEFYNAYAEKVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPASLTIRLTANGKYRLTEFVPNHNHQLATESTIHMLKAKKIRRKARAVRENLVDDTVSTPEFENEDEAYEFYSMYAGKIGFNVRRASMTVNTENVITRRMFVCSKEGFREKKRGAKRVKKPRPETRTGCPACMVIRLGTNGKYQVTEFVTFHNHQLGAAAASDLVMASQSTENDQDDGVHLADRSPDDSVHKQNLINESVTINFLEGRSCKRYKCTKTPHYGDVGATLEYLQRMQHDNPSFFYAVKSDENGNFTNFFWADSKSIVDFVHFGDVVCFDSGYALQSYGRPLALFTGLNHHKQTVIFGVALLYDESNEAFRWLLDTFKMAMNGTHPKTLLTDRSAAISEAVAATLPATAHRYCVWQIYQNALQQLSQAFHGSKTLECNFKRCLFDCEDEDEFLTAWKEMLEKYDLEDNQWLADLFSIKEKWALPYGRDAFYADMKSVQQKESLTSELKKHLSLEYDLLNFFEQFERLLCDRRSAELEVDVNANQSTKKPPSMRMLRQAANVYTPAAFKMFEREFELYMDCMLYSCGEMGTIFEYRISVEDNPKDHFVKFDSHNSMMNCTCKTFEFIGIPCRHMLKVLDTRNIKDLPVQYIVKRWRKDAKSGSSNSGCTFSFDGDPESAQMKRYNLLCRIFSIAAARAATSAESFTYMEHQSNILMDQVEQVIQSRPPDIVDLIGANCDRTQSSVDNIVTESIHSHTNFLNGSADGSLTFPFTLGAGALDYR >PAN15247 pep chromosome:PHallii_v3.1:2:56032816:56037952:1 gene:PAHAL_2G474500 transcript:PAN15247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGLAYILDEDDTLVPKVNKEIVKMQRVNARMQLKGLIEAYVEKTGSEKGAAILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTQLSAK >PAN12065 pep chromosome:PHallii_v3.1:2:40663053:40664876:-1 gene:PAHAL_2G240400 transcript:PAN12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLREEEGGDSAAALRAPAHVMARVFSQLDCVDLLSCSLVCKQWYHDSAELREEWRKEYLDAWNQFGLSVTREPQPLCPTCSLRSLRSLCP >PAN13481 pep chromosome:PHallii_v3.1:2:48005212:48007891:-1 gene:PAHAL_2G340800 transcript:PAN13481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDDCGSWLLYLSLAAKCGGDQPYRLAGFLALCVVTCLLHWCFPGGPAWGRWWWTRRVSGAEAVPGPRGLPVIGSMWLMTGLAHRKLAAAAERLRARRLMAFSVGETRMVVAAHPDVAREILNSPDFADRPVKESAYGLLFHRAIGFAPHGAYWRALRRVASTHLFSPWQVAASGAQRAVIARQMVAAVRDMSAAGRGVEVRRVLRRGSLHNVMWSVFGRRYNLELDPAKESPETRELRSLVDEGYDLLGVLNWSDHLPWLARFDLQGTRGRCDRLVPRVNRFVGGIIDEHRARNGHSSAPPAVVDFTDVLLSLQGDDSLADSDMIAVLWEMVFRGTDTVAVLIEWVLARLVLHPDVQARVHEELDRVVGRDRAVTESDSASLVYLHAVIKEVLRLHPPGPLLSWARLATSDVHVDGHLIPAGTTAMVNMWAITHDPDVWAEPAEFQPERFAAGAAELSVMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLAALLHEFELLPSARGVDLSEVLRLSCEMAAPLAVTARPRRAV >PVH64501 pep chromosome:PHallii_v3.1:2:44585751:44593039:-1 gene:PAHAL_2G287200 transcript:PVH64501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLNLLPRLLLRRYAHRRSKPSSPPPPPPPPNPPRPPQKPDPVSIHGETWHDNYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAACGADRLARKLQLEMASRLASDSCTPPVRWGPWLYYRRADEGKQYPVLCRRSAKLHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEISPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYVVTNEERRPYRLFCSMIGSNKDDILILEEPDENIFLNIRHTKDFRYITLNVFSDIHSKVYLIKSSDPLSQMKLVWEGESQVHCIVEHHRGHLYLFTDAAREGVPVNSHYVMQSDVESPGPKSWKNVFIEEPGVILEDVDFCDTHMVLTLRQGSKLRLCAVNLPLTEGINVPAHLSDFHPFDLPLPNDVCQIVSGPNYDYCSSTMRFTISSPVMPDAVVDYNLLNGKWQIVQQQNMLHERTKALYGTAFAANTGRLSSDTADFPTEDFEDCGWNELSEYYACEYYDVPSNDGVLVPLTLVYSRKHKQDGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAYADVRGGGGYGKKWHQEGSRTKKMNSACDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINTRPDLFRAAVLKVPFLDICNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQRDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREVTQYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMVNNV >PVH64500 pep chromosome:PHallii_v3.1:2:44585750:44593175:-1 gene:PAHAL_2G287200 transcript:PVH64500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLNLLPRLLLRRYAHRRSKPSSPPPPPPPPNPPRPPQKPDPVSIHGETWHDNYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAACGADRLARKLQLEMASRLASDSCTPPVRWGPWLYYRRADEGKQYPVLCRRSAKLHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEISPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYVVTNEERRPYRLFCSMIGSNKDDILILEEPDENIFLNIRHTKDFRYITLNVFSDIHSKVYLIKSSDPLSQMKLVWEGESQVHCIVEHHRGHLYLFTDAAREGVPVNSHYVMQSDVESPGPKSWKNVFIEEPGVILEDVDFCDTHMVLTLRQGSKLRLCAVNLPLTEGINVPAHLSDFHPFDLPLPNDVCQIVSGPNYDYCSSTMRFTISSPVMPDAVVDYNLLNGKWQIVQQQNMLHERTKALYGTAFAANTGRLSSDTADFPTEDFEDCGWNELSEYYACEYYDVPSNDGVLVPLTLVYSRKHKQDGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAYADVRGGGGYGKKWHQEGSRTKKMNSACDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINTRPDLFRAAVLKVPFLDICNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQRDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREVTQYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMVNNV >PAN10086 pep chromosome:PHallii_v3.1:2:5279297:5284790:-1 gene:PAHAL_2G071200 transcript:PAN10086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVNEKASSYLLDQYKVMEGMEKQHELLKRKLLHILDVMIDAEEQAAAKREGAKVWLEEVRKVAYQANDVLDEFKYEALRRKAKEEGRYKDLSMDVIKLFPTRNRIVFRYRMANKLRMILQEIDDLIKEMNDFRFMFKPGPPEPTNYLRQNISHIIDPVNIAKESRAQEKKDVVDRLLAQASSSDLTALPIVGMGGLGKTTLAQLIYNDPEIKKHFQLRLWVCVSDNFEVDSLADRILKENGCKATGCSALEKLQNAVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGNGSSVLTTTRDEAVAKLMMGKTEGAYKLESLGAYFIEKIIKTRAFSSKEEEWPGELVKMVGQVAKRCSGSPLAATALGSVLRTKTSKQEWDAVLNRSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFEQKYDTIGQIRYTYCSKITCKIHDLMHDVAESSMGKECAAIATHPSQSEYALHSARHLYLSVRQPENLLNASVEKGSPAFQTLICDGYVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSESEIEALPEDISILYHLQTLKLSYCRKLERLPKELKYLTSLRHLYTHGCRKLKSMPGGLGHLTSLQTLTCFVAGTDSGCSNVRELQDLDLGGRLELRQLENVTGANGAQAAGLGNKKNLTELELRWTDGDQEAQNSNHEEVVEGLKPHDGLKVLRIYSCGSSTFPTWMDMLNGMVELKLSGCKRLEKLPALWQLPALEILHLKGLESLHCLCSGATTAVTFQKLKVLFLFKMPKFEAWLDTDVVQGEGLIFPMVEELEIRECGSLTALPKAASVITESSGGVDTKCRSAFPALRKLFLFNLSALERWGAAEGTPGEEVTFPLLEDLEIIVCPKLTGLPETPKLGELVILGEDMSLQAVSRCIPSLSSLRLNVSPDDTETTLLHVKQKWNHELPLAAMTLIRCDLLFSSHPGALALWTCFARLVDLTIRNCNALVYWPENVFQVLVSLRRLSIWRCSKLTGHTQASDGQSAPERGGLLPHLESLSIGGCTSLVEVPNLPASLKTLLIGGCGDNIKSIIFGQHESVMPVGGEGVVQPDTSSLISGSNGSEATASTAVLKLSSAANHRSLPCLEFLLISDCAHLSEVANLPPSIKILEILSCDNLQSLSGKLDAVQELTIRFCGRLESLESCVGELRSLELLKLDGCRSLVSLPDGSQAYSSLRYLEIQDCDSIKLLPRSLRSRLDCLKEKDLDARYEETTWKRAIRTLVRARNSRLRT >PAN09276 pep chromosome:PHallii_v3.1:2:689400:702123:-1 gene:PAHAL_2G010800 transcript:PAN09276 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein [Source:Projected from Arabidopsis thaliana (AT1G17690) UniProtKB/TrEMBL;Acc:Q8H1E7] MGKRKPMPSAAARGAKSRPKRPRSAKQGAEIREENPQLPEHDDAETVDTEPRAAETVQHEKKSNGGFQGVAQSDEDDTEDELDAPSGDEPGDTINKGNHCFDETETSCSFHRHVSRVITNEEVKALIKQNSKFKWEMPAVDIPRSKWVGTGGKMQEASDDHLHDVKGKLREHWQHTLSDHLNSRMSFFSLCNSYRDIMHCNKKPFYLKRNGVDSSTMDAYIMHALNHVHRTRDVVIRNDTKLRNDADREISDDNTYLDQGFTRPKVLFLLPLKSFARRIVKRLIQLSPLQQKNAMGPFKKEFGESDDEMEVPEHSTKPADFDLLFAGDIDDHFLFGIKLTKKSIKLYSNFYSSDIIVASPLALKRKIDGEHGKEKDFDFLSSIEIVVVDHADVISMQNWAHLEAVFEHLNHLPSKEHGTNVMRIRPWYLDQHAQYYRQTILLSSYLTPEINALFNGLCFNYEGKIKMVTEYAGVLPKIQLEVRQVYERFDASSIAEADEARFDYFCNKVYPKIQELDEDGLLLFASSYFEYIRISNFLKSKEASFCRIGEATSQQDISRSRLWFFEGKKKILLYSERSHFYHRYKIRGTKHLLIYSLPGRKEFYPELVNMLGESENRKCNVLFSRLDLLKLERIVGTSSARRLISSDKSMFVFC >PAN09663 pep chromosome:PHallii_v3.1:2:2875395:2877706:1 gene:PAHAL_2G041000 transcript:PAN09663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAARTMGTVLAMASLVVALAFSQVAAQGNGCSSVMMTLSPCMDFISSKAPEPGISCCSVLAGVVQTDPRCLCMVLDGTATSFGISINQTRALELPGVCKVQAPPISQCTGIPTAPAPAPSSNSEATEEEEAEAAADAPSGNGTSSSTNSKNAASLAATMLIPVCALLHVF >PAN15382 pep chromosome:PHallii_v3.1:2:56674914:56676772:1 gene:PAHAL_2G483800 transcript:PAN15382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASFSAAPPLAVFASLLTARRFAKAKSMLSSLLTPDFLAVPFPELAAASLPPGAPPHAVAAFHDMLFRAYADAGAADRAAEALDLTVSRLGRLDQRSLTSSLLSLRRAGQLAAAADLLRRALTSCPESLSPLSASVVVDGLCKAGRVADARQLLDEMPRHGYKPNALCYNSLLDSYKRQKDGNQVAEVLRIMENEGIEATVGTFTILVDALSAANDIDKVEALINEMKTKNVPGDVYFYTAVINAYCRAGKVRKASEVFDECVGNGIEPNERTFGVLIHGFCKMGQMEAAEMLLEDMQGRGVGHNQIIFNTVIDGYCRKGMVDSALKIKVVMEKMGIELDIYTYNTLACGLCRVNRMDEAKTLLHIMIEKGVAPNYVSYTTLISIYCKEGDMVEARRLFREMAGKGTMPSVVTYNVMMDGYIKKGSIREAERFRKEMEKKGFVPDVYTYASLVHGHCVTGKVDVALKLFEEMRQRGTQPNVVAYTALISGLAKEGRSEAAFQLYDDMLKAGLTPDESVYSALVGSLHTDKQNDILPQTK >PAN10050 pep chromosome:PHallii_v3.1:2:5063144:5068110:-1 gene:PAHAL_2G069000 transcript:PAN10050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAAAAAAQAPVLLVTNDDGIDAPGLRFLVDQLVAARRYRVLVCAPDTDKSGVSHCITWRPALRCKRVDISGATAFGVSGTPADCASLGISGKLFDGVVPDLVLSGINIGNNCGYHVIYSGTVAGAREAFLYGIPAIAMSYDWVAGQSSVNDLKVSAEVCMPLINTIVTEIKNGTYPQGSFLNVDVPTDAAHHKGYKITKQGKYMARISWEQTVYKKPAVESYQTANMDVDGEKDSELVTPAENDLLFKRVIVGRSSDEVEGDDMDHKSLVDGYITVTPLGALSRTDPDAIPYFKACVSRL >PAN11625 pep chromosome:PHallii_v3.1:2:27489910:27494657:1 gene:PAHAL_2G183900 transcript:PAN11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDRSSRRARDDDGHHRSRDRDDNHHRRRSRHDTDDHHKHDGGDDDRRRRHREKDGGGDDEDRRSHRHHRDKDDRRSHRHRDGGDDGDDRRRDSRRSVSSSDSPPPSAKRDRSLSRPRESIERRDSADREPLSSSRKRKGHEGGGGGDEADRDVGKRARASVDPPPPKEERPRRERRRFEDVDANGKNGDVRSKVSKEISSHDQKKGEFAVNGDLQSGAVHNDGSQQPLNAAPAVVSSSVPVSSKVSSITTNNENEGVSVRSDEVTGKSSTDGSATSAAGKNSNLSLDALAKAKKALQLKKELSEKLKKLPMLNKLGTTGQVSKKEDAKTAVETQTVSKGEAKHTGPVSGLPTSSVSGTPAAAGAIGIPGLTNIPNLDAVKRAQELAAKMGFRQDPQFAPLINMFPGTSTELTVPQRPPKAPVLRLDAQGREIDEQGKVISMTKPTNLSTLKVNINKQKKEAFQIIKPDLDTLAKSSAHFDERMGINQNKLLRPKRPGFQFVEEGKLSRQAELHRIKSQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAFGMRAPKQKQKEAIPDIEPWDAKILLSATYEDFTVEKLNMDRITIYVEHPEPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGSEAVQDPTRLEMEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPTNTVETIVCVYKIRDLSHPQTRFKVDVNAQENRLTGAAVITDGISVVVVEGGKKSIKRYNKLMLNRIDWAAAVSGEDDADEEPDKPVNSCALVWQGSVAKPAFHRFTVHNCRSEAAGKKVFADASVPHYWDLAVNFSEDSS >PAN12731 pep chromosome:PHallii_v3.1:2:44599049:44603469:1 gene:PAHAL_2G287300 transcript:PAN12731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAAFPASPATPAALPCNEMPLNPSSAFSSSLLRRSLRASLRRISPMASAAAPTSAPAAATENGAAKVAEQRPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGFGVPELNSAIAERFLKDSGLQVDPDKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRAPDFAVPLEELKAAVSKNTKAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFSDEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGLRQAHSFLTFATCTPMQAAAAAALRAPDSYFEELKRDYSAKKAILLEGLKAAGFIVYPSSGTYFIMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDEDTLRAAVKRMKTKLRKK >PAN14677 pep chromosome:PHallii_v3.1:2:57511988:57514128:-1 gene:PAHAL_2G496900 transcript:PAN14677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKEMLKDLHEEELFDFYATSQLVLVTLGGTVKMFVPPAIYISLNPSPDEKYLMLTSVHRPYSSIVSYKRFPKKVELWTIEGKFVREVCDLPLAEDIPVAANSVRKGKRLIRWRPAMPSTLYWVETQDGGDANIEVSPRDIVYMEPAEPLDGEKPQVLLKLDFRYRKSYWCYGSFALVYEYWYKTRITRTWVILPDLKDHKPRLLFERSSEDAYSNPGSPVMCRTLAGTLVIARIKRN >PVH63875 pep chromosome:PHallii_v3.1:2:11207695:11210881:1 gene:PAHAL_2G126700 transcript:PVH63875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILPRTIRRAAEAALSLRSVPLRGAGHLARSLGGGSAACSDAGTASVEFVPWHNGGGILHRAASVDPTAVVEAGAVVHSGAVLGREVVVGSGAVVGPLVSVGQSTRIGYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVDEDRQVKKKPQMLYARIGDHVEIGANTCIDRGSWRETLIGDHTKIDNLVQIGHNVVTGKCCMICGQVGIAGSVTLGDYVTLGGRVAIRDHVSIVSKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRLFSKKDGVKR >PAN10934 pep chromosome:PHallii_v3.1:2:11207695:11210881:1 gene:PAHAL_2G126700 transcript:PAN10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILPRTIRRAAEAALSLRSVPLRGAGHLARSLGGGSAACSDAGTASVEFVPWHNGGGILHRAASVDPTAVVEAGAVVHSGAVLGREVVVGSGAVVGPLVSVGQSTRIGYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVDEDRQVKKKPQMLYARIGDHVEIGANTCIDRGSWRETLIGDHTKIDNLVQIGHNVVTGKCCMICGQVGIAGSVTLGDYVTLGGRVAIRDHVSIVSKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRLFSKKDGVKR >PAN09238 pep chromosome:PHallii_v3.1:2:523624:525360:1 gene:PAHAL_2G008000 transcript:PAN09238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSKMARERNAEKNKGAKGSQLEANKKAMNIQCKICMQTFICTTSEAKCKEHAEARHPKNDLYQCFPHLKN >PAN09338 pep chromosome:PHallii_v3.1:2:1076924:1080455:-1 gene:PAHAL_2G015800 transcript:PAN09338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDTGDFELEPAKKTWGEGIETRDARGAPNVDYCDLEAGKQAVVEEAEIMAQRDLWSGTVPISKVPERHRAGDESSFTPHDVAIGPYHAISSSFPRIEKQKLCCVGFLHSLSEQRTEGGLMGLAEKLEPLVRACYDPDAVSHMTPEQLSTMLLRDGCYLLACMVNYLDIMAAAAAAANNNKNADKLAHRCSSSSGKDVDSSSTGGGDSTVVRDTVFLVENQIPLFVLQRIHERVTGETTSSALERIALFVRKQLQVQLYISKKQQRPAPPQTSHLLHLVHAYIQPTNSSLPQASMKENTRAWQRTGRWHRAVEYRTHGNVRFKRRVFKEDDVWTILDVRLQGGTLWIPRLRVDGNTWTILRNLMALEEQIPRRPVTAYCLFMSQVAGTPEDVKLLVRSGTVEHFLASDEQVAQGFAGLCRGVVMDVDNIDRNYLKPLWHEMEERCDSRVHRFMGWFCQLKNIWSAIALLVALIVVACQLTQTFYAVVSYSRGGQQPKP >PAN09345 pep chromosome:PHallii_v3.1:2:1077272:1080410:-1 gene:PAHAL_2G015800 transcript:PAN09345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDTGDFELEPAKKTWGEGIETRGEDSWTKTVTIEVVDASMPSKYELDARGAPNVDYCDLEAGKQAVVEEAEIMAQRDLWSGTVPISKVPERHRAGDESSFTPHDVAIGPYHAISSSFPRIEKQKLCCVGFLHSLSEQRTEGGLMGLAEKLEPLVRACYDPDAVSHMTPEQLSTMLLRDGCYLLACMVNYLDIMAAAAAAANNNKNADKLAHRCSSSSGKDVDSSSTGGGDSTVVRDTVFLVENQIPLFVLQRIHERVTGETTSSALERIALFVRKQLQVQLYISKKQQRPAPPQTSHLLHLVHAYIQPTNSSLPQASMKENTRAWQRTGRWHRAVEYRTHGNVRFKRRVFKEDDVWTILDVRLQGGTLWIPRLRVDGNTWTILRNLMALEEQIPRRPVTAYCLFMSQVAGTPEDVKLLVRSGTVEHFLASDEQVAQGFAGLCRGVVMDVDNIDRNYLKPLWHEMEERCDSRVHRFMGWFCQLKNIWSAIALLVALIVVACQLTQTFYAVVSYSRGGQQPKP >PVH64077 pep chromosome:PHallii_v3.1:2:25986029:25986699:-1 gene:PAHAL_2G179600 transcript:PVH64077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHKEKYKKDTKEKERLKTKSAIVLRGGENKEVR >PVH63692 pep chromosome:PHallii_v3.1:2:6299829:6306991:1 gene:PAHAL_2G086800 transcript:PVH63692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIATGAMNTLLLKLAELVVGEYNLQKGVKEEIKELEKELTSMCAALRRVSEVPPDQLDEQVKIWASDVRELSYDIEDAVDTFMLQGIGHEPNMPFSLKGFIDMVNNLFKKAVTNYQIHNVIKDIMHQVKMVNERRKRYKVDEVPNRPIVETIDPRLEGMYRKATELVGIGGPKNELAKRLLEQEGLSRQQSIIISIVGSGGLGKTTLANSLLQDLKAQFDCHFFVSVSFSPDIKKIFKNILVQLDENKYGHIDESWEIKLLIDRIIEFLKNRRCLCVIDDLWKELPWDAIKLALQDGNRGSKIIITTRNKAIAEHVGGAIYELKPLSSDDSRELFYKRIFVSVDDCPADFSNVTEKILKKCGGVPLAIITTASLLATKPRCSVDWEKVNNFIGSGSENSPHVDKMNTILRLSYNDLPFHLKICLLSMSKYPEDQVIGKDVLVWSWIAEGFITPAGSSLQETAEGYFNELINRSLIQPVHRKDPFYVFGEMEVYACQLHDMVLELIIKLSAEEGFITTLLSHGEEAGASSLHQREIIRRLSLHNSSNTNASINERKLLSKVRSLYVFGHADLVMPSLSGFRALRVLQLEGCSDLDNNHLQDLNKLCLLKFLRLQGLKVTELPESIGKLESLETLDIRGFIKKPRDIRGNWGVIMLPLSFGKLGKLVRLHAESVELPDGVALENMKSLRELVDIRPTLHAMAEIGKLRELKVLELFIKEEPESSSTGNSNELVRTCLQKCPSSLQVLVLRTSISYSLDFMAQIPPGLQTYKSDGYFMAFPRWIDPTLSYLTILSIVLWCVRVQPEHLDKLAGLPSLRFLRIHALLPPDEQEKLVIHSSPSSFPCLTDLRISCPLMFLKFQPGAMRKLHRLCLSFHAGVTNDHFLTNTFDYGFENLPSLQHVVVELVGDEHPEADDAIRTTINDHPNHPSLDFSYA >PVH63693 pep chromosome:PHallii_v3.1:2:6304036:6306973:1 gene:PAHAL_2G086800 transcript:PVH63693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTILRLSYNDLPFHLKICLLSMSKYPEDQVIGKDVLVWSWIAEGFITPAGSSLQETAEGYFNELINRSLIQPVHRKDPFYVFGEMEVYACQLHDMVLELIIKLSAEEGFITTLLSHGEEAGASSLHQREIIRRLSLHNSSNTNASINERKLLSKVRSLYVFGHADLVMPSLSGFRALRVLQLEGCSDLDNNHLQDLNKLCLLKFLRLQGLKVTELPESIGKLESLETLDIRGFIKKPRDIRGNWGVIMLPLSFGKLGKLVRLHAESVELPDGVALENMKSLRELVDIRPTLHAMAEIGKLRELKVLELFIKEEPESSSTGNSNELVRTCLQKCPSSLQVLVLRTSISYSLDFMAQIPPGLQTYKSDGYFMAFPRWIDPTLSYLTILSIVLWCVRVQPEHLDKLAGLPSLRFLRIHALLPPDEQEKLVIHSSPSSFPCLTDLRISCPLMFLKFQPGAMRKLHRLCLSFHAGVTNDHFLTNTFDYGFENLPSLQHVVVELVGDEHPEADDAIRTTINDHPNHPSLDFSYA >PAN15315 pep chromosome:PHallii_v3.1:2:56439002:56439742:-1 gene:PAHAL_2G480200 transcript:PAN15315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARALRLVASRPSATHRSLLAVHRRLLSASTEAGGAGDAAVHSGDARSEDYTERPPRFSGAEDATKGGGHGKHAPTPPAPGVKERVPPFASSGKLGSRQELADPAGASSFTQKRRLSAGAGLARDSREEATPGGEESAARKVREEDRVYYRTHKPSPLAEVEFADTRKPITRATDGGAADRYADVPARVVEDTADDSLARAEAMFREAASRGNPEWPHSRALAEMLARHEQAGDDAGSRAAWGS >PAN10550 pep chromosome:PHallii_v3.1:2:7950791:7951547:1 gene:PAHAL_2G100700 transcript:PAN10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQAMGALLMTVLVVLATSAEVAHGICNLSSDGIRACQPAAAIRNPTNQPSAACCAALAGADLPCLCRYKSAAGVWVRFYRIDINRAMGLPGKCGLAMPANC >PVH63972 pep chromosome:PHallii_v3.1:2:14938725:14939512:1 gene:PAHAL_2G148800 transcript:PVH63972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKDGGGGGGGVNGSLYLYAVSPAFFLTKARRGTFTLAASDPSHVVIHHARYLLDRRDSAPTHSSRTTARTSSSTARRGCSSSPLLLLVAAASSHPSRPPSTRWPHRRCVSSPPAPAAGLAPVTTGLYCIGRYVLDIGVRRDVVKVPVKTLVAQATPPAMEGEVAEQSKLPRLLAEKPSHPLQ >PVH65365 pep chromosome:PHallii_v3.1:2:56059479:56060094:-1 gene:PAHAL_2G474800 transcript:PVH65365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSATQSRGSGASRMVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSFPKLCGFYNFQRQYLDKLEELGIVAIHKFPLAVDIGDEAEEVADASSGRMVMNMRAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PAN10209 pep chromosome:PHallii_v3.1:2:5868302:5869078:-1 gene:PAHAL_2G078600 transcript:PAN10209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMARPVVAHLFFLLLLVSTAPAVRTTPEAGTIHEACNKTLFPKVCLQALKDNPECQTATPTPRRLAELSVYVTAEVGMTVTAFAHHELNGIKDNVLYKCLDTCSEDIEEAVAHLSALTRDLTDAKFLEVKTWLASTLGGTSTCEDACKDAPVSDIKNACITKSFEFEKLLRVTLDLITEASGSMSAAVALPPSESGAMAGAPSYGAAAPFGGHGSSAGAPSYSAPSPGAPPYGAGGPSAGAPAPSSGAGASDADATA >PVH64574 pep chromosome:PHallii_v3.1:2:45816015:45817261:1 gene:PAHAL_2G305100 transcript:PVH64574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEHTVACSAATRIQLDVIEEILLRLPISSLLRLRRVCKQWRDMISDPHFIKEHAYHAPKHLLLYLPKLHIPYPKTVYPCHATIIDEKWSPSTWATSHMDPDDHLFASCNGLLCFYKKFTLKVVNPATSQWLHLSKPDGILLHDFHYLYSFGFHPTTGEYKLVHFQCEPQCCKSGQPFHFNTIQVYTLGDGKWRNIKAPRPCCIVNLGVVNVDGAFMSFDLKEETFTTIQLPSLEVKETDSCANPRIAYYIITEIDQKVCIVTIPYQSHVLRWCYYNAELSGRVDIWALESQVEHKGFLKYSIQSPWLPRYVPQPCFIHREKILLQDCKGNHQNEVKLLHLGPHRFYETQSYFYKETLVPLSLYAEASTVRTSPRLLAPAVASH >PAN10991 pep chromosome:PHallii_v3.1:2:11893577:11895435:-1 gene:PAHAL_2G132000 transcript:PAN10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MPRKATKEAEPQPAAAAQKEPAPAPAAPAAMTEAEVEELPKAIVRRLVKDKLAHVAGGGEGAEVIVNKDAMAAFAESARIFIHYLSATANDMCMESKRQTINADDVLKALDEMEFSEFLEPLRTSLQEFRNKNADRKSEASKKQKEKRRKLNEESLPQKENDPADDAEENDD >PVH64491 pep chromosome:PHallii_v3.1:2:44359672:44360029:1 gene:PAHAL_2G284100 transcript:PVH64491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPNEFMNKGKRMTTEQKHSASIARKLRALQPGLVCQHQMLLSNTTAIQQFSIVESVVRRNATFI >PVH63496 pep chromosome:PHallii_v3.1:2:2967208:2972394:-1 gene:PAHAL_2G042300 transcript:PVH63496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRHSPLQFPARRPPLPLVLRRRSVVVNVGPYAEQRQVGLLRIQLFPSLLLAIDVNTHKSASFPFLQNPEAMAIAVLTFSSRPPPLRLVVERPCAAPRTRLASRRDPRQGNAALGRIEQHRVPLASSTSPARTGCTPLTSSTSSAAQGKSTVTCQSS >PVH64260 pep chromosome:PHallii_v3.1:2:38080965:38082318:-1 gene:PAHAL_2G224500 transcript:PVH64260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASRTLEYHVEKELGRLFWQERKNTQMYGEKELKKYWIQSKLCLNLQVSPSSMHEEWINSDGGVRWGLLSLVCASQYKLILLDFMRQGRCP >PAN09391 pep chromosome:PHallii_v3.1:2:1351305:1352928:1 gene:PAHAL_2G019500 transcript:PAN09391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSLQCSSAHTPLRPLFFSILLLILTSSMEAASSDARRLPLRLLEVGASKDEEVAIRGEMRGRRALIGSRPPRCERVCMSCGHCEAVQVPIVPQDRRQKRAAAVGAAMFSYRVDGITNYKPLSWKCRCGGTILDP >PAN13333 pep chromosome:PHallii_v3.1:2:47329112:47336077:-1 gene:PAHAL_2G329300 transcript:PAN13333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPWWLRREVLVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYILLALVYGGTLIYKRRHLTVKWYYYLLLGIIDVEANYIVVKAYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFIGVGVCVAGLVLVVFSDVHASDRAKGPNPLKGDLLVIGGSMLYAISNVTEEYFVKKSNRVEVMAMLGVFGAIISGIQISILERQELRSTHWNASAILPFIGFAVAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAIGLVIYSYKGSKQIAEGTAQVTGAGDEEAAMANRTAQVPCVGDDGPSSNKEFASAATASR >PAN13695 pep chromosome:PHallii_v3.1:2:49038596:49046395:1 gene:PAHAL_2G357900 transcript:PAN13695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPPGAQGAGKVAEEKQLDEKELERKLKKDQKAKEKEEKKLKAKQKEAARLQAQATSDGTKKTEKKQRKKAVEDENPEDFIDPDTPNGRKKLLAPQMAKQYSPNAVEKSWYAWWESSGYFGADSASTKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERKLTRHDIGRENFVSEVLKWKDQYGGTILNQLRRLGASLDWSREAFTMDEQRSKAVTEAFVRLHKEGLIYRDYRLVNWDCTLRTAISDIEVDHIDLKEETMLKVPGYANEVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYKHLHGRYAVHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLDFINIFTDDGKINSNGGAQFEGMPRFTARVAVIEALKEKGLYKDTKKNEMSLGVCSRTNDVVEPMLKPQWFVNCNSMAKAGLDAVRSKKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDQDKNLGSDNNRWIVARNESDANLEAQKKYPGKKLWLDQDPDVLDTWFSSGLFPLTVLGWPSDTADLRAFYPTSVLETGLDILFFWVARMVMMGLQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLEGLLKRLEEGNLDPNELSIAIEGKKKDFPDGIAECGTDALRFALIAYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYTPPATVDVSCMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSTIYSWWQYQLCDVFIEAIKPYFFSDSQEFESARAASRDTLWICLETGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSLVEEWTNDRLENEMDIVLDAVNRIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLILSLSSISHLKILTENDETPADCATAVVNKDLSVYLQLQGALNADAEREKLRKKKDEIQKLQHALAQKMDASGYREKAPQNVQEEDMRKLTALLEQLEVISEAEKKLDAKIGNN >PVH63362 pep chromosome:PHallii_v3.1:2:851829:853758:-1 gene:PAHAL_2G012600 transcript:PVH63362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIISAVLGELTTRSINFFTSKIFKPTPADLEDRLRRVLLRGQVITDESMGRQITNQAMLIQLDMVRDAMYRGQFMLDTFSCQSHDEDRKDKVMRHPSSSLSKVNYLKRLSLSSRNTLVLKQLRETLDDLSSMILDVEELVVYLMSCPRLRRQPYSMHLQLANSMFGRQTEAQLIINFLLHTQPHDGAEELEVLPIVGPSQVGKSTLVAHVCKDERVCGHFSDILFFRIHGLTNDELTTFRDSCEMRYQNCLANYNKEGSRLLVVIELSGDLNEDAWNRIYSASKLCLPTGSKIIVTSRSDKIVRFRTTKALTLKYLSHEAYWYFFKTLTFGSTDAKMHPSLMYLAMEIARTMNSSFIGANVTARLLRDNFDAHFWCKVLVFLRGSFQKHVTRFGEHPFDLLNQNRPANLGRMATPAEDFVLYHQYQRSPEDEVPKIRIQDVMYGSVKPHGKFEVLAWSSQIPPYYSYVFACEIRELETTATKRKRSAKKGVTLS >PAN09297 pep chromosome:PHallii_v3.1:2:940533:941882:1 gene:PAHAL_2G013800 transcript:PAN09297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDFQRLLRWVNVIIEEAEAGKITNQAMVHQLDMLRKEMYKGYFTMDNLRRKADEEYKTTDHDVSHPFALSKFNPAKRLFFSTGVTHGEKELQQVLYNLNEIISDMSEFTIFLKNYPPLYRQPYRMHLILNKCMFGRQMEMDRIMNFLMLVEPTSTKHVGVLPVVGPAEVGKMRNNFTRIVLITQYDLKDKGLTTLEDAGVVYYQHNSMNENERTLSIIEFSEDIDEAAWKCYLASATFHSSVVKIIITSLSNKIINFGTTQALVLNLLPPEAYWYFFKVLTFGSADPKDQPKLESMAMEISRGTNRLFVGADFISCLLRDQLNGQYGCMVRASLKELNKTRISSCEPVIVNKKSPKYARRIATSEYFAIYPCPESFPGDMIPRTTLFDLVYGTVKCEWKFEVLALKSHIPPYKNHMYTCEMLKSVPTKMKAASES >PAN15148 pep chromosome:PHallii_v3.1:2:55588377:55589732:1 gene:PAHAL_2G468300 transcript:PAN15148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHYAGRVIQIHCRDATCRCCWTEKAAGPDLSLGELELVDAAKATCPAGHRLLPRRRGARHPRRRLVPVRAAASPTRRTPPGCRTRSLSSRYSGTPPPGAASAWPTSPTSRSPTRTCFVSPRLCAFRGNGAVDPFIDPGYAQEFDAAVPDHGVYEQGGHDPQHWRRVHLRHQLLMPPSRSTASSSRTPC >PVH63514 pep chromosome:PHallii_v3.1:2:3176772:3178170:1 gene:PAHAL_2G045400 transcript:PVH63514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMASRRRPRPAEAVDSAAPPGGHSTKRLRAAAAAASSPWPSMPEDLGSRIAERVLAGDLLDYVRFRAVCPGWRSCTADPHGRGVSDPRFHPRGWTMLPEGHGLHPGHARLRARVRFFNRGTGAFVGAHLPLLADHCVLDSPEGLLLLQRDADSAVRLLHPFTGDVCELPPLTSLIPQLDRLTGHRPRLDADEHKVQSFGRVSAAVAVAPTTGTVTVVLAHEHICRFAHASPGDRRWELTAWSTDRVARTLGFHGSLYLACWGHEESSIMRLDPPLLEVIATLPSKLMILPQLVECDSEILVVGSTDVSCSRLVVVRLADLLLGEPAAAPLTSIGDNCLFGMRSLAVSSKGLPSVSGNSIVLCDSIEEDRLMQYSLSSGTLSPACDGDIVESPPPSPHSIVHHLVTCCYRYFWNKGLIYCSRTKPSWGKKRMWRLGFEPSRIVGATG >PAN12183 pep chromosome:PHallii_v3.1:2:41371873:41376453:-1 gene:PAHAL_2G248400 transcript:PAN12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGLTIDDQQQQGGKPLDDKRAPPATCGSSPPPTPASFKIYSRKPLATSPPPPTQQPRRPPPRRRRHRRHINKTPPPPTPRLGILRLGRMAHPHLLLPSPKPLLPAAANPSRRAVAVRAALSTASTPAKAAAGAEAVRSIRARQIVDSRGNPTVEVDLVSGDGRLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLQAVRNINEIIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLYKHVQELAGIKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGATSFAEAFRMGSEVYHALKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLGELYRDFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRIAEAIDRKACNALLLKVNQIGSVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >PVH65091 pep chromosome:PHallii_v3.1:2:52927510:52932579:-1 gene:PAHAL_2G421300 transcript:PVH65091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCCRRFKKPVTAHHRTNQAGPLLSSIWPTPLTGHSPPWRARGCRAERPPSGQRGSPGVSALLACPQTARQADSLTVARVHNMRPFLLTPGARAAPSPSTLSRLLLPLHLQINGRRNHLRRHVHASSIAPSPISPRLRNRRGRFFASSSSQMAAPADAPGGSADAFEVIRAHQAKTARLSPVEEIRTILDRSVRGVLATHSQEHAGYPSGSMVDFACDQDGSPILAVSSLAVHSKNLSGNPKCSLVVAKDPEDRTDTVITVYGDAVPVSDEQKDLVRSAYLRRHPDAFWVDFGDFSFLHIKPKAVRYVSGVATALLGSGEFSPAEYKEAKVDPISQFSTPITSHMNKDHTDDTKLIVQYSTTVKVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRQAQDRKDVKTLIVEMLQAAKAASSHSD >PVH65090 pep chromosome:PHallii_v3.1:2:52927846:52932533:-1 gene:PAHAL_2G421300 transcript:PVH65090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCCRRFKKPVTAHHRTNQAGPLLSSIWPTPLTGHSPPWRARGCRAERPPSGQRGSPGVSALLACPQTARQADSLTVARVHNMRPFLLTPGARAAPSPSTLSRLLLPLHLQINGRRNHLRRHVHASSIAPSPISPRLRNRRGRFFASSSSQMAAPADAPGGSADAFEVIRAHQAKTARLSPVEEIRTILDRSVRGVLATHSQEHAGYPSGSMVDFACDQDGSPILAVSSLAVHSKNLSGNPKCSLVVAKDPEDRTDTVITVYGDAVPVSDEQKDLVRSAYLRRHPDAFWVDFGDFSFLHIKPKAVRYVSGVATALLGSGEFSPAEYKEAKVDPISQFSTPITSHMNKDHTDDTKLIVQYSTTVKMKNAKLFQVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRQAQDRKDVKTLIVEMLQAAKAASSHSD >PAN09365 pep chromosome:PHallii_v3.1:2:1246990:1247316:1 gene:PAHAL_2G018100 transcript:PAN09365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDRAASTHRRLASESESLVARAPSYSSSARVAHPATTPLNPKCAVSLATASSTRKRKSEACLAGTCHGRSWGSTTVPDAPVMAVDHAGRGDGERGDVDLELVATSE >PAN14189 pep chromosome:PHallii_v3.1:2:51033369:51035645:-1 gene:PAHAL_2G390100 transcript:PAN14189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRVGRQTVDAYRKALATAASAAAYAVMARGMARELLPPELRAAARWAASALSARLGMGGRERRTLVVRSQQAGGGVGREENLLFDAARTYLASRLDPRAMHRLGLTLARARDGHGGAGWRRVLFLEPGDSTVDDFEGVRFTWACVEAPSAGGGKKKARKGEPGTGGDRDFVLQLSFDAEHTDVAMDRYVPFVMGAAEEVEQRDRELKICMNEGRSWYMVSHHHPATFDTLAMDPELKRSIIADLDLFASRRDHYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANHLRYDLFDLDLAHVHMNTSLQWLLIGMSNRSILVIEDIDCCCNAMSREDGKAPARTGDSGGDDEFGNGNASDSDAPLPPAKPKIKPKSKNDQVPAAEGITLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFRTLARNYFLVDDHELFPEIQALLAEVEVTPAAVSEMLLRSDDAGVALRGLKEFLEEKKQQAMPEAKQSEEEAEAGKA >PVH63424 pep chromosome:PHallii_v3.1:2:1792435:1793383:-1 gene:PAHAL_2G025100 transcript:PVH63424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTAKAGGRTLVTIRRKHISWPASRFLARAKREREFLDGGLLSWTLQRPSSNNALIHPTCIRKYRMV >PAN14321 pep chromosome:PHallii_v3.1:2:51704299:51709981:-1 gene:PAHAL_2G400600 transcript:PAN14321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCKREWLLCMCLISIHLIGPLVFEGLLVGAQGSSPPALTPLLVKQVDEMVEHIWLKCGLDKGSLEDVRKHFNYNHVLDILRTVSGKDTKDNSPETEDASKALSPEIKKTLLNCLSKQPLVVLAQESANKLPIDFIKMLLAFIRRDVAQGPPGAVVDPAPPADVKSTPSPSLAELASPIPEEQTDPSLGASPKEKTVPPTKESVAKTENNRGMPTIAIVGLCVSAIALLALLCLCCCMCRANKASSSNARDDKPLLDLKQSDLSAASCMPSEGNPIDVNKLGTLPVKSETVQNGNVKLSSSEVPDTNVHPTVYNSWVEPMAASTSSSAPASEPSPPPVVPPAAPTVPQALSSTSHGPVPPLKPAQVLHAESSPPPAPVLHAESSPTPAPNIAPPPPKAAPSPNAAPPPPSAAPPPPSAAPPPPPPKSSGPPGAPGPPPPALPGSKTRPPPPMKKLGNKADDGAGSQEAKTKLKPFFWDKVTANANQSMVWDHLKSGSFQFNEEMIETLFGYNSTDKTGSDGKKDLSSKDVPQFVRILDPKKGQNLAISLRALSVSPVEVCTAVKEGNELPTDLIDTILKWIPSSDEELRLRLYTGELTQLGPAEQFLKAIIDIPYVFQRLDALLFISNLPEEASNVKQSFATLEVACQELTKSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVLEIIRSEGIRATRTAKEQSASVSSMDTNNVTDDNKEQTEDDYKQLGLKVVSNLGDELQNVRKAAILDADQLAMSVASLGHKLVRTKEFLNTSMKSLDEDSGFHHKLKHFTEQTQTDVTFLLEEEKKIRSMVRSTVDYFHGSTGKDEGLRLFVVVRDFLTMLEKVCKELKEESKVAPKKTKTHQPPQTSHPSFNDPRRNLFPAIQDRRADSSSSSSDEDD >PAN13547 pep chromosome:PHallii_v3.1:2:48299735:48301310:-1 gene:PAHAL_2G346000 transcript:PAN13547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACGGGGAWEHYSSSGRSLLGAALAPPVEAPSFQAQRAHLERALGAATTVLFLASVSYVALTTVFGCLCAGGGSRRRDREQPAAAAEETKRALEKIPVVVVAVPARDPGRGGGGDDGGDEPEREECAVCLAEYAGGEEVRVLPACRHGFHRECVDRWLLTRAPTCPVCRATVAARAEGNDAKACARAGGAALPAAGP >PAN10043 pep chromosome:PHallii_v3.1:2:4971141:4972728:1 gene:PAHAL_2G067800 transcript:PAN10043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGTVTSPSRQDGRGGGDGDGHNRLSNLPDHILLLVLERLRGDVRSLASTCVLSRRWRMLPLMLSDLTISVRTFVPADRGRTTAQVRRQATGSFTGALRLFLATPTAAGGSGGRSGP >PAN10942 pep chromosome:PHallii_v3.1:2:11264598:11270876:-1 gene:PAHAL_2G127700 transcript:PAN10942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKCAAAAADLATGRPRKRARLGWDVAPAAEAQIGTFCGQEVGDVARLLLSANPSNHTCSSLLPKGVARNASPPWREDDKDGHYIFAVGENLTSRYKIYRKMGEGTFGQVLECWDRERKEMVAIKIVRSVKKYSDAAMIEIDVLQKLARNDAAGKHCVQIRNWFDYRSHICIVCEKLGPSLYDFLRKTGYRPFPIDLVRQIGEQLLESVAFMHRLQLIHTDLKPENILLVSSDYVKLPDPKDDGSFSRKLPKSSAIKLIDFGSTAYHHQDRSYIVSTRHYRAPEVILGHGWSYPCDIWSVGCILVELCSGETLFQTHENLEHLAMMERVLGPLPWHMLERADQHSEKYVRRGRLNWPEGATRESIRAVLKLPRLQNLVMQHVDHSAGDFIDLLKRLLAYEPSARLTAEEALSHGFFHKTWQ >PVH64640 pep chromosome:PHallii_v3.1:2:46798943:46800343:-1 gene:PAHAL_2G321700 transcript:PVH64640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSPVVQEAISRVSSFISSKREEEASREHNIERLEMASTELELAIERSGKLPITDVSLLRRRKILKRALEECSDILHRCKQRALQDQETEQGRTVRHSSFPKRIACAAKSSVAYFLTARKDYLSCSDVRRFQWFADCAGKFVRDVEHGCSLRQYTFCNPLVRHLLEGRTLRYEMVHGSHLRDIYMWPLCLENRGVEAELSYQYENYKMPERNFHLRLWLRLSESTDIVGAAVRCLQHLALQFMLAAECAIGELTLLPDLHDISHSYGAPWLGIQDSYTRLTRICRPDPTCCGSNGHESCPDNTVSSELSSKTGEQVISVNFQYYTSAQEHNFQSSIDEQGRNALIDWSPPLKATAAYLEVDERRGENIEQVIEMVRWKTMDRLIRQPGLPCHMTMWQYAHGFAIFQVRNALKPYRPHHDEDRGHDLERCKRRRRYIIASYDRGVSKSCHDS >PAN12328 pep chromosome:PHallii_v3.1:2:42513313:42517708:1 gene:PAHAL_2G259600 transcript:PAN12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSVKTLKGSSFQIEVNPADKVADVKKVIESTQGQNVYPADQQVLIHQGKVLKDDSTLEENQVLEDNFLVIMLRQNKGSSSAAPAKASANQAPPTQTVPATPAPQIPASPAAPAPTVPVSAPAPTATASPAPAVAVSTEADTYGQAAASNLVAGSSLEGTIQSILEMGGGIWDRDTVLRALRAAYNNPERAVEYLYSGIPEQMDVPAPPPSSQAANPVQPSQPAQASVPSSGPNANPLDLFPQALPNASANAGAGNLDVLRNNTQFQNLLSLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLEGDEENEMNMLDQIADAAETIAVTPEENEAILRLEGMGFDRALVLEVFFACNKNEQLAANYLLDHMHEFDNDDALGGGPPL >PVH63866 pep chromosome:PHallii_v3.1:2:10587294:10588483:1 gene:PAHAL_2G123100 transcript:PVH63866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNKCTLVALLVAFAVVAPMLPPSAAARDGGAAKAAPAPAPSASGDVRLHPMGLIDDIIGFHIPDLPLPPILPCPPAFPIKIPFIPCRNVTPSPPPVTECRPGLAKYMPPCAGFLTSNDSSVSSPPSRCCDVIGPLFQDKSTSPLCLCHVVNGDAGKLLPAPVNHMRATSLLQQCGSEFTADNVTDICANRDNVFIIPPMDADPSPPQRRH >PAN12579 pep chromosome:PHallii_v3.1:2:43719801:43720852:1 gene:PAHAL_2G275100 transcript:PAN12579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGASRSSTRGYSSYKESNSHGHHWHNPPYSARCLCLYLLLSVTLLVAVAAVLLVVFVARLKKPAFYLQSIQMDRSFSLRLPNRGANGAAACAVASLLFAARNLNGVGIRYGTTVLGVAYANETVGAMDVPAFYQPPRSGNVTVPMHAVLAERNVTRLLVSELSAQRSYMEIRVTGSIDARTHVMNFPLPKVQFWLDCRFGTNYTDIVLREGIKSMMTRKALLVSSLPHISQKCSIKIDLRSRRKRASLDDLGC >PAN10655 pep chromosome:PHallii_v3.1:2:8561342:8574277:-1 gene:PAHAL_2G108300 transcript:PAN10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVMLIVGTRGDVQPFIAIGKRLQNYGHRVRLATHANFKDFVMATGLEFYPLGGDPKVLAGYMVKNKGFLPATPSEIPIQRKQIRDIIFSLLPACKDPDIDTGISFSADAIIANPAAYGHVHVAEALNIPIHIIFTMPWTPTCEFPHPFSRVKQSAGYRLSYQIVDSFVWLGIRDMINDLRKRKLKLRPVTYLSGTHAYSNNIPHAYIWSPYLVPKPKDWGPKIDIVGFCFLDLASNYEPPEPLLRWLGSGEKPIYIGFGSLPIPEPDKLTKIIVEALEITGQRGIINKGWGGLGNLEESKDFVYVLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIIPFFGDQFFWGSMVHARGLGAPPVPVEQLQLHSLVDAIKFMIDPKVKERAVELAKAIESDDGVDGAVKSFLKHLPQQRNSETMPTAPQSTFMHPLLLPVKRCFGIAS >PAN10657 pep chromosome:PHallii_v3.1:2:8562294:8574021:-1 gene:PAHAL_2G108300 transcript:PAN10657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASGAGAGAEGVVSSSVSNNCFPQDEGTSSSEMDNTECFETSSELSNSERSRPEGSKKTSSILDKKISVKKKLKLFSRMATLKDDGTVVVDIPTNLEAASLDLPSADNHSVAFGGEPLDSSDLQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQNYGHRVRLATHANFKDFVMATGLEFYPLGGDPKVLAGYMVKNKGFLPATPSEIPIQRKQIRDIIFSLLPACKDPDIDTGISFSADAIIANPAAYGHVHVAEALNIPIHIIFTMPWTPTCEFPHPFSRVKQSAGYRLSYQIVDSFVWLGIRDMINDLRKRKLKLRPVTYLSGTHAYSNNIPHAYIWSPYLVPKPKDWGPKIDIVGFCFLDLASNYEPPEPLLRWLGSGEKPIYIGFGSLPIPEPDKLTKIIVEALEITGQRGIINKGWGGLGNLEESKDFVYVLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIIPFFGDQFFWGSMVHARGLGAPPVPVEQLQLHSLVDAIKFMIDPKVKERAVELAKAIESDDGVDGAVKSFLKHLPQQRNSETMPTAPQSTFMHPLLLPVKRCFGIAS >PAN10656 pep chromosome:PHallii_v3.1:2:8561342:8574254:-1 gene:PAHAL_2G108300 transcript:PAN10656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASGAGAGAEGVVSSSVSNNCFPQDEGTSSSEMDNTECFETSSELSNSERSRPEGSKKTSSILDKKISVKKKLKLFSRMATLKDDGTVVVDIPTNLEAASLDLPSADNHSVAFGGEPLDSSDLQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQNYGHRVRLATHANFKDFVMATGLEFYPLGGDPKVLAGYMVKNKGFLPATPSEIPIQRKQIRDIIFSLLPACKDPDIDTGISFSADAIIANPAAYGHVHVAEALNIPIHIIFTMPWTPTCEFPHPFSRVKQSAGYRLSYQIVDSFVWLGIRDMINDLRKRKLKLRPVTYLSDWGPKIDIVGFCFLDLASNYEPPEPLLRWLGSGEKPIYIGFGSLPIPEPDKLTKIIVEALEITGQRGIINKGWGGLGNLEESKDFVYVLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIIPFFGDQFFWGSMVHARGLGAPPVPVEQLQLHSLVDAIKFMIDPKVKERAVELAKAIESDDGVDGAVKSFLKHLPQQRNSETMPTAPQSTFMHPLLLPVKRCFGIAS >PAN11112 pep chromosome:PHallii_v3.1:2:13510421:13513822:1 gene:PAHAL_2G142200 transcript:PAN11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFVVCIISSLLIISISSYVFQLLADRRRHLPPGPTPLPFIGNLLDVASELPHRSLARLARRHGPLMTVRLGTLVAVVASSPSTAREVLQTHNGSLTGRSPPDAWLALGHAANSVFVLPPGRMWRALRRIGTEHLLSARQLDGTRLRPLLRNAVLDLVRRVSGMAAAGRPVEVGRAAFAAMMDLQWRAMFSAGLDDAAARALHDAAREAVALSLKPNVSDFFPALAAADLQGLRRRFARRVAMVYQMIDEKIERRMRGRREAGGGSLPGEKDLLDAMLDMSEQGKDDGVVSVNRDVIRTFLTDMFLATVDTISSTIEWAMAELLRHPDTMSKLQEELRRVLGSQALVEHPDVDRLPYLRAAIRETLRLHPVVPLVPNEAEETVQIQGRAVPKGCTVLVNLWAVHRDAAAWPEPDRFKPERFLLLRPEEAGFLGTTEFELIPFSAGRRFCLGFPLATRMVHAMLGSLLHRFEWTLPREVKENGVDMAESLGLTMIMATPLQAIAKSV >PAN13927 pep chromosome:PHallii_v3.1:2:49956729:49958238:-1 gene:PAHAL_2G372900 transcript:PAN13927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATPRSRQPPSWADIPRDLAGMVLRLLPACADRARFAAVCPQWRAAARERPLPPPLPLLALPDGTFYSLPHGKPFRFPGFGYAGYKSACGGRWLVFPRDDGCFLVDPFAGATVALPPLSRVRLRPPNAVAKYVQLPGVRMFHPYATWMHIQDPEKMPVINKMILCSPNLVAAFAGSTLVGAGNNSQIIVCQPGASSWSVRANDKCELFEDMAFYQGKLYALANDENLLVVNISQDPTTGDPQISRIGRVIMGDLSYSTNMSDDAKGKKKLYLVELGGALLMIHRKVCCRRAGETLVAGQSEFEVFRADLEHSQWVNVTTLGDDHMLFLGRPCSRAMSASQYGMPGDQIFFLDDVMENCKQYSYDEETTSVSVYNMRSGVVSSPLRMIWKHEMMLATWLFPWD >PVH64767 pep chromosome:PHallii_v3.1:2:48952296:48953491:-1 gene:PAHAL_2G356000 transcript:PVH64767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVPTGSSGGNQLEAHMTDTLANDYPVVLDGIDQNSNRFEAELSEFGLDFNEDMNLGRTDDGFLLGSTGPTDPLMLEKLILPSNFEGSSLLDQTPIHHFATAAQVASKIGNDPPSWVHKLEAFALLANPMLFCVNGINDLNHRRHGSCALVRSSEYTDFFQGSGYNQSDSSAIIMTMEKTKKQYKVFAKILQCVYFILFLLIGVNGNLWARRRLELSNDNTFSFIQWLETKSKKKV >PAN09190 pep chromosome:PHallii_v3.1:2:215683:221245:1 gene:PAHAL_2G002600 transcript:PAN09190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRLLRVLCLCSALAVALPARPPSVTIGALFTFDSVIGSSAATAIRLAVDDINRDATLLRGTNLSVLMQDTKCSGFVGTIQALELMEKQVVAVVGPQSSGIAHVVSHVVNQLRVPLVSFAATDPALTSTQYPFFVRAAHDDAFQMAAVADIVAHFGWREVTAVYVDNDYGRGGVDALGDALEAARARITYKAAFPPGADRAALGDLLVRANMMESRVFVVHAGPDSGLDIFAVAHTLNMMDSGYVWIATEWLAAAIDDDKESMGRIQGVLTLRQYTPDSDAKRSLETRFIAASRQSRNNATAEAGSMNAYGLFAYDSVWMAARAIDQFLGDGGNISFSADPSIHDASGSALRLSSLRVFDQGEQLLRKVMLANFTGVTGQVRFDAGSRALINPAYEVLNVGGTGVRRVGYWSNYTRLSVAAPRLLFDGRAPNGTQQKQKLYSVIWPGDTTSPPRGWVFPNNGRPLQIGVPYRTTYKQFVSKDSGPDGVSGYCIDVFKAAVALLPYPVPVSFILFGDGVKNPSYSELVQRVADNYFDAAVGDISIVTNRTRVVDFTQPYVESGLVIVSPVKATSSNEWAFLKPFTPGMWAVTGAFFLFVGAVVWILEHRFNPEFRGSPRKQMVTIFWFSFSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDSLLSSNDPIGYQVGSFARSYMMEELGVPASRLRELAIDEYADSLQRGPGNGGVAAIVDELAYVELFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLNPGTCSSQSTDVGADRLNLSSFWGLFLISGVACFVALLIYFARILCQFCEYHDGGGNEGEGGVFPDPERSLRRPARLSSIRDLMSFVDMKEAEVKRAIRSRSGERRLDRSMGGSSISEGPSLSRPSSIMSPV >PVH63315 pep chromosome:PHallii_v3.1:2:215683:220455:1 gene:PAHAL_2G002600 transcript:PVH63315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRLLRVLCLCSALAVALPARPPSVTIGALFTFDSVIGSSAATAIRLAVDDINRDATLLRGTNLSVLMQDTKCSGFVGTIQALELMEKQVVAVVGPQSSGIAHVVSHVVNQLRVPLVSFAATDPALTSTQYPFFVRAAHDDAFQMAAVADIVAHFGWREVTAVYVDNDYGRGGVDALGDALEAARARITYKAAFPPGADRAALGDLLVRANMMESRVFVVHAGPDSGLDIFAVAHTLNMMDSGYVWIATEWLAAAIDDDKESMGRIQGVLTLRQYTPDSDAKRSLETRFIAASRQSRNNATAEAGSMNAYGLFAYDSVWMAARAIDQFLGDGGNISFSADPSIHDASGSALRLSSLRVFDQGEQLLRKVMLANFTGVTGQVRFDAGSRALINPAYEVLNVGGTGVRRVGYWSNYTRLSVAAPRLLFDGRAPNGTQQKQKLYSVIWPGDTTSPPRGWVFPNNGRPLQIGVPYRTTYKQFVSKDSGPDGVSGYCIDVFKAAVALLPYPVPVSFILFGDGVKNPSYSELVQRVADNYFDAAVGDISIVTNRTRVVDFTQPYVESGLVIVSPVKATSSNEWAFLKPFTPGMWAVTGAFFLFVGAVVWILEHRFNPEFRGSPRKQMVTIFWFSFSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDSLLSSNDPIGYQVGSFARSYMMEELGVPASRLRELAIDEYADSLQRGPGNGGVAAIVDELAYVELFLSTNCQFRTVGQEFTKSGWGFVSRSGAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLNPGTCSSQSTDVGADRLNLSSFWGLFLISGVACFVALLIYFARILCQFCEYHDGGGNEGEGGVFPDPERSLRRPARLSSIRDLMSFVDMKEAEVKRAIRSRSGERRLDRSMGGSSISEGPSLSRPSSIMSPV >PAN10914 pep chromosome:PHallii_v3.1:2:10764162:10765181:-1 gene:PAHAL_2G124100 transcript:PAN10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVAIVAALLEEYTAAVARAVERLLSAAAPRRILPRRVRFLVLRSLPFAAPPAAAPPPPPPHAVVLAG >PAN09861 pep chromosome:PHallii_v3.1:2:4026077:4030235:1 gene:PAHAL_2G054600 transcript:PAN09861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVVDMSTDEESDCVVICPPNGNTDHKEVVSGTHDEDSPERHETPYAIDSHMDSNAQEDVPVNQDSPKLIDEQESSLTNSPAKPAIAGQQGSRHSVPEPCTVAAERRSSTAGTCAPISHPTSSGEKLSDKSSSSPRSMAKKSPSVTPRKPLQSDNTSHSLEDDSYSVTSSTVTSARAGKTKKTTVAVAPTFVCDNRAEKRGEFYTKLEEKRKALEEERLQAEARKKEEEEEALRQLRKNLVVRAKPMPSFYQEGPPPKVELKKVPPTRARSPKLTRRKSCSDTPHTPEGGNGSAVCCRLHRQSIGSSKDGNSKAQCSLKSSPKTGSATKSRATKSREDLNGTVKKVGKPSAANVAVQT >PAN15477 pep chromosome:PHallii_v3.1:2:57175602:57179005:-1 gene:PAHAL_2G490900 transcript:PAN15477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAEHLDDDEFDDFNPHPYGGGYDISATYGSPLPPSPATCYPVSSRASVPAPTAPQPRSPLPQPPPPLPQRPAPAPSLPSPPAQPRPSPPQPPVAEPYYWPKPYDYGDAPRYQPVYATPEVFRGWPFMPGAPCRSTCGRDYWRQCMRGLDYLFGHSDGYGERRIGVDCLGVPVYANRKGGVEDAVVVEVAPPATGTVEWHDAGEEQYQSNRLSWYGNAEEETYAYAQPTYTSYDRSYEQSYGVSDETTWFPNQSYQEVCKEEEYQHQEFLSYNDDSNISSQPIFSYNKHFGEQPLHFHVKPPETVSPHNLEYYEEFLSYNEDSKISPQPILSYNQHFGEQPLHFHVEPQETVSSHKLEYYENFSTYNDQNNVDNLESLGQSYEIQPYTHMPHDQLEPYRPSWSLNPGYYEACTEGTQYDNHTLSSSECWDLSSLFMSPFYPQEMQVYEQSYGDENV >PAN13084 pep chromosome:PHallii_v3.1:2:46260046:46262336:-1 gene:PAHAL_2G313100 transcript:PAN13084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGAGTECFDPAAPSPSRAPASRQDVQAAIAKAVELRQLHAALLQRGAPNARAGPGASRSPAVIRLPPVASPARSRTADEEYPVFTPVYDDEECAAAAALNHICQDNRSRSENWAGVALDHGGCNDAALSDYDGLNAFSSSNSEVLFPSSNDPCLRNRGAAYKIHPAFMHSAPSADRFLLSTGRAGHTSELKLPPATCNNAIRPATISSSRMPPPSAHSRTKNRAPQILSWLFPKSRKKAKPPEMTSPTAIERGNMSQLLTEWGALSLESLKKELAEANAHRDAALREAAEVRSSLGELATKLVSVEAYCTELKKALRQATNSPSVSRRSTRSIEASRELPMPVSHDVMVEGFLQIASEARLSVKQLCKALIQQVNEEAGDGLSDKLNQLLRPYQLALAGGVGKHCSKVVLYHLEAIMNQAMYQDFENPAFQRNGAPRCLDPAEDRRQSFAAFVALRNLSWNEVLRKGTKYYSEDFSRFCDRKMSGVVATLSWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFGPPLTILRIEDGRAFDQMYMEDILQDRQQVQSPCQVKVMVMPGFYVQDRVLKCRVLTT >PAN09442 pep chromosome:PHallii_v3.1:2:1682786:1684888:-1 gene:PAHAL_2G023900 transcript:PAN09442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAADLAAGAEEGAKGDDAAGRLTYEIFSLLEAKFLFGRADVAAAGPPRAPGKVCVLSIDGGARASDGLLAGAALVRLEAALRRRAGSPAARLPDFFDVAAGSGAGGVLAALLFARGPRGRPLYAAEDALAFLLRRVRRGRSWPAGLVRRGGAGSAFGRALGELTLRDTVRPVLVPCYDLGTRAPFLFSRADAAESPAHDFRLRDVCAATCAGAAAVEVLSVDGGTRIRAVGGGAALGNPTAAAITHVLNNRREFPAAATVDNLLVMSIGTGEAAGRGEVARIAAEGVSDMVDQAVAMAFGHSRTTNYIRIQGTGSRRGGGGGGRAPRACGGETGEQAVWKAEAMLLQRSVESVLFQGRKVAGETNAEKLERFARELAKEHARRKHQQQAIEQASTDDDVPPPAVVVTPAAPKQTPTPPHKAITASSSSSSASAAGSTEAAAPSEAPPPPRRRRPRIAKSVLD >PAN09437 pep chromosome:PHallii_v3.1:2:1649531:1658312:1 gene:PAHAL_2G023400 transcript:PAN09437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDLIASCRDSICQIGDEIADAIVYVDAGTLEAFQFIGAFPLLLELGARAVCSLENASPLDAVADWHSTFSHPVRKIVVLTSRLLSDAHRYILRCLGNHGTVSHCMVLTAISEIGHSAYVDSPLGPDAFQEYETLLVQDHEELFKKCEKSNKHKDSISYTGSDFTLDVDKDSEWGSGVHYGSNSESSPTKRNLFDGDLGQLEASRKRLSVTVSHFPMIFSPISSRAFVLPSEGIIADSCLSNEHGDSLGPGLPSISTGKPFDSDEVPPGVTLTAQFLYHLANKMDLKLDIFSLGDTSKIIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLPHKERMSSPYSVAKNPQTPSKHSHAAVRRVPLDIKVPFETVFKKEEPKSRTSMVSEGIMSFMSGWNSAEVDTEVTWLPDYSDKAHDDRLGSELGTLSGSLLSNYAGVRYLEALLDRGAKDGLMLIKKWLIEALQHEKLSSASKGRQGASSVSEIHSMVQILSRDQMSLLRNRGVIQLALAAEMTLLEPQSSHWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVDSHKQATTMESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLHGLDNELEAKARSKDVERNNKDSTEPASNTDDFDDEWGNWDDNDNADDQKEEAYGDMQLKLEVRDRVDQLFKFFHKLSSMRLRNHALGEGLAALSRFETDSYSKKGLLYKLLLALLSRYDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIIFVVGGINTLEVREVMKAISESSRPDVELVLGGTTLLTPDDMFELMLGS >PVH63417 pep chromosome:PHallii_v3.1:2:1649541:1658276:1 gene:PAHAL_2G023400 transcript:PVH63417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDLIASCRDSICQIGDEIADAIVYVDAGTLEAFQFIGAFPLLLELGARAVCSLENASPLDAVADWHSTFSHPVRKIVVLTSRLLSDAHRYILRCLGNHGTVSHCMVLTAISEIGHSAYVDSPLGPDAFQEYETLLVQDHEELFKKCEKSNKHKDSISYTGSDFTLDVDKDSEWGSGVHYGSNSESSPTKRNLFDGDLGQLEASRKRLSVTVSHFPMIFSPISSRAFVLPSEGIIADSCLSNEHGDSLGPGLPSISTGKPFDSDEVPPGVTLTAQFLYHLANKMDLKLDIFSLGDTSKIIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLPHKERMSSPYSVAKNPQTPSKHSHAAVRRVPLDIKVPFETVFKKEEPKSRTSMVSEGIMSFMSGWNSAEVDTEVTWLPDYSDKAHDDRLGSELGTLSGSLLSNYAGVRYLEALLDRGAKDGLMLIKKWLIEALQHEKLSSASKGRQGASSVSEIHSMVQILSRDQMSLLRNRGVIQLALAAEMTLLEPQSSHWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVDSHKQATTMESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLHGLDNELEAKARSKDVERNNKDSTEPASNTDDFDDEWGNWDDNDNADDQKEEAYGDMQLKLEVRDRVDQLFKFFHKLSSMRLRNHALGEGLAALSRFETDSYSKKGLLYKLLLALLSRYDIPGLEYHSSAVGRLFKSGLGRFGLGQVREVMKAISESSRPDVELVLGGTTLLTPDDMFELMLGS >PVH63418 pep chromosome:PHallii_v3.1:2:1649541:1658276:1 gene:PAHAL_2G023400 transcript:PVH63418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDLIASCRDSICQIGDEIADAIVYVDAGTLEAFQFIGAFPLLLELGARAVCSLENASPLDAVADWHSTFSHPVRKIVVLTSRLLSDAHRYILRCLGNHGTVSHCMVLTAISEIGHSAYVDSPLGPDAFQEYETLLVQDHEELFKKCEKSNKHKDSISYTGSDFTLDVDKDSEWGSGVHYGSNSESSPTKRNLFDGDLGQLEASRKRLSVTVSHFPMIFSPISSRAFVLPSEGIIADSCLSNEHGDSLGPGLPSISTGKPFDSDEVPPGVTLTAQFLYHLANKMDLKLDIFSLGDTSKIIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLPHKERMSSPYSVAKNPQTPSKHSHAAVRRVPLDIKVPFETVFKKEEPKSRTSMVSEGIMSFMSGWNSAEVDTEVTWLPDYSDKAHDDRLGSELGTLSGSLLSNYAGVRYLEALLDRGAKDGLMLIKKWLIEALQHEKLSSASKGRQGASSVSEIHSMVQILSRDQMSLLRNRGVIQLALAAEMTLLEPQSSHWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVDSHKQATTMESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLHGLDNELEAKARSKDVERNNKDSTEPASNTDDFDDEWGNWDDNDNADDQKEEAYGDMQLKLEVRDRVDQLFKFFHKLSSMRLRNHALGEGLAALSRFETDSYSKKGLLYKLLLALLSRYDIPGLEYHSSAVGRLFKSGLGRFGLGQVREVMKAISESSRPDVELVLGGTTLLTPDDMFELMLGS >PAN12292 pep chromosome:PHallii_v3.1:2:42280054:42280482:-1 gene:PAHAL_2G256900 transcript:PAN12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAVVVHSGGCHCRRVRWQVEAAASVVAWICNCSDCSMRGNTHFIVPAAKFKLQAGAEESITTYTYGTHTAKHTFCKVCGITSFYSPRSNPDGVAVTVACVDPGTLKHVEYRKFDGRNWEDFFRHSGISQFSKEKAEAAE >PAN14379 pep chromosome:PHallii_v3.1:2:51956328:51961582:-1 gene:PAHAL_2G405200 transcript:PAN14379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPATGNDAGLADPLLPRGDGTGRAGASSKNKYRYWVPAADDKEVLAAEERGGEDGRPLLYRTFKVRGILLHPYRLINLARLIVIIQFFIWRMRHRDSDSIALWWITVVGDFWFGVSWLLNQASKVNPIRRVPNLALLNQQFDPPGGGGGGSSSSQLPGVDVFINTVDPVDEPVLCTMNSVLSILATDYPVDRHATYFSDDGGSLVHYEALLETARFAALWAPFCRKHRVEPRAPESYFAATADGPYAGDAPGQFVDDRRHVRREYEEFRERLAALFTIIPQRSEAYERESTKRDGARATYMADGSHWPGTWMEPAENHKKGQHAAIVQVMLNHPGDEPQLGAPASSDSPLDLSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYINNSAAFRAAMCFMLDGRHGEDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATALGLNGLQGPSYVGTGCMFRRAALYGADPPRWQPDDFSKLLDNPWRQFGNSMPFINSVPLATHQERPVAPPASLDGRLTAELTDVSTCAYEDGTEWGYGVGWVYNIATEDVVTGFRIHRKGWRSMYCAMEPDAFRGTAPINLTERLYQILRWSGGSLDMFFSRNCPLLAGRRLHPMQRVAYTNMTVYPISAAFIFVYDLLPLMWLSGAEFYIQKPFPTYVVYLFAGIAMMEVSGMVEIRWAGLTLLDWCRNEQFYMIGATGVYPAAVLHSLLRLVGLKGLPFKLTSKPQSASGGEAKERFAELYEVQWAPLLVPTVVVIAVNVAAIGAAAGRVATGGWSLAQIAGAAGGLLFNAWVLLLLYPFALGIMGRWSKRPYLLFVLLVAALAAIASAYVALLAVLAPGFVPPFRLGRWSGVGAIISPASWRF >PVH63341 pep chromosome:PHallii_v3.1:2:581007:582769:1 gene:PAHAL_2G008700 transcript:PVH63341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAPEDDGRAPVAVREFDAHSERDRAAVERLERACEVGPSGGKLCLFTDLLGDPLCRVRHSPAYLMLVAEAAGGPLGGAEVVGVVRGCIKTVACGRGSNLFSKVAYLLGLRVSPGHRRRGIGRSLVERMEEWFRQMGAEYAYVATDRDNEPSVRLFTARCGYAKFRTPSVLVHPVFRHDLAPSRSRRIAVVELAPRDAERLYRERLGDVEFFPRDIDAVLSNALSLGTFLAVPDAAARQWRGVDAFLAAPPESWAVVSVWNCKDAFLLEVRGAPRLWRAAAHATRAADRALSPWLRVPSVPNLFEPFGMHFLYGLGSAGPAAPRMARALCRHAHNLARAAGACVVATEVGACDPLRAGVPHWPRLGAEDLWCIKRLADGYGDGALGDWTKAPPGASIFVDPREF >PVH64026 pep chromosome:PHallii_v3.1:2:21526569:21527814:1 gene:PAHAL_2G167100 transcript:PVH64026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWKIQTTSPKTSSRVSSCTQSCLWLRPPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRAVR >PVH64318 pep chromosome:PHallii_v3.1:2:40074880:40075811:1 gene:PAHAL_2G236200 transcript:PVH64318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKYAMRGYLTDKADEYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWERGSLLELVDSDLGSNYSTEEALLVLNVALLCTTATPTLRPKMSEVVSLLEGKASLQPLLSDLSLAENSLSSSAVRMNFWQILSKAQSLTAQALCNDTNGVMDHQS >PVH65412 pep chromosome:PHallii_v3.1:2:56851770:56852630:1 gene:PAHAL_2G487000 transcript:PVH65412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSNEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSAAAVERDDEAQPLAGGNPEDGEQGSLALSTPEEGLPRE >PVH64368 pep chromosome:PHallii_v3.1:2:41605565:41608468:1 gene:PAHAL_2G250500 transcript:PVH64368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAAHCQDLHGCELPHVAVFPLMAKGHTIPLLDLACLLRRRGLAAVTLLTTPGNAAFARTALADGGAGDAAVIELPFPAGHAPAGGESAEGVAGASSFAAFAEATSPLRPRFEYALAAMRPPPGLLVADGFLYWAHASAAALGVPSVSFLGTSAFAHVVREACVRDKPGASAQGDDASTGTYTVPEFPHLRFSLADLVPPPLPLMELDAKMAVAVAASHGVIMNTFHDLEGRYIEHWNRQAPMDALVGREGSCWEGCIVHLAWDTGSHP >PVH63432 pep chromosome:PHallii_v3.1:2:2030467:2030979:-1 gene:PAHAL_2G028400 transcript:PVH63432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLDMLEGAKSIGAGAATIALAGAAVGIGIIRTIFPSVIPLFIANLKKRILNVSSFFDFLQLKYPYWIFFFKISIFVFLVFLRIILPLGLLFSHLACSSAFFLITLPPEIQNPQALAHLEGLNFYLSLTSRIRVGCVHSAGAESQHPSGGHTWAAKALPNGRKDIKPQT >PVH64677 pep chromosome:PHallii_v3.1:2:47498375:47501415:-1 gene:PAHAL_2G331900 transcript:PVH64677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAGHFRKPQYPRLGFLSTRINRLSLSARRRHGNPRRSAAATTSPRGKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGLSVQEV >PVH65262 pep chromosome:PHallii_v3.1:2:54603760:54604790:1 gene:PAHAL_2G450000 transcript:PVH65262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGRSANMLLLTVLVLSIASLSLALANGGHLQLPILLAELKDHGYLRHGGRAVFLGDAASWLPFLERNHIARSLRSVQLRAIPDGSVDFVVRHGDGVEFGLLDRVLKVGGVAAVFAASESARQLPDNYRVVCAPRSENEGALAFAAEKNGASTGSGSRGGGRSGRPRGRPPRAPAEAARRTAAAPKVPPGADRRLAGTVPTPGVHRHHAGSRRRRGVVVQEALPEGEARVRGRAPHGRRGRAEHGGGRGDRGLAGREHEGGGLRGGEGRRGSGRGDAPAGRRRRAPRRRALLGLRGGRQRHQQFGAATLLGTGNAWPFTDAYEIRASPCTVHQWWSGMLK >PAN11051 pep chromosome:PHallii_v3.1:2:12372273:12374434:-1 gene:PAHAL_2G135900 transcript:PAN11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRYEVEVTVGSARDLKNVNWRHGDLRPYAVAWVDTGARCSTRVDLDGGESPTWDEKILVPLPPASRLDDAVLHIDVVHANADEGVKPLVGSARLPLRDVLDEAGLGGKAARNLRLKRPSGRPQGRLDVRVAVKEPARYYDPYPAAGGYAQTGTRDPYGPGAGAGGYYGGSGGGGYGGGYGSGAAYGSAGYGAAQPYAAAPPAGYPSAYGGSAPPPPQPAYGAAATAAPAYGAAPVAVAADGKKGNKMGMGTGLAVGAAAGVLGGLALAGGASYLENKMEERVAERVEDNLEREDSYGGGGGGYGGGYDDFGGDDDY >PVH63321 pep chromosome:PHallii_v3.1:2:309504:310709:-1 gene:PAHAL_2G004200 transcript:PVH63321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAKQSGAEVDGYSLRCSLLLLLPPSLPRAPPPPPARRPATSNELSPPGAPLPSPSPPALDSRRLKIRLGSADSILLLRLAWLTSVPLEV >PVH63912 pep chromosome:PHallii_v3.1:2:12088305:12089222:1 gene:PAHAL_2G134600 transcript:PVH63912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPFCTCARLFTLFLFQMAEEGWTQGNCQDAPGFPSLLINALGSLGVTERSRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKVLRYLCRIFEEHLAPTPVRFFSPAIRTPVWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQVELAVRLQQIRATQAEARAAAAVSSEAVTQESLRQARDRRMQEWTHSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPENPESSAAAVERDAAAQPLTDGNPENGEQGLLTPPAPEEGTPRE >PVH64972 pep chromosome:PHallii_v3.1:2:51373936:51375929:-1 gene:PAHAL_2G394800 transcript:PVH64972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSAEMVRALLRRSPDGTPSRASSAGPEGRTALHAAAAKSKEIVQEILEWKQGPALLSKADSFGKTPLHYAVSHRQHDVISLLLHAEASLAHVSDNEGLFPLHVAAMMGNIRDVVELVERCPDYAELVDCRGRNFLHCAIEHGRKNVVRFICRNDRFAVLLNSMDYEGNTPLHLAVKYGHPRMVSSLLQTIGVEIGITNRDGLTAADLAYSHLEPGLQYFLNPRVVVKKCFYWIRAPVTLGAGGDHVHLHSRMSNTAPATDEDPKDINGITATTTIASVLIATVTFAAAFTVPGGYVADDHPRAGTAVLARRFAFRAFVASDAMAFLCSIVATCFLVYGGAVQVPRGQRLLYQRSASVFLPPAAQLMVAAFAFGIHAVLGEANRWLVTLVYVLALGAVLLCFPGIWARFYLGKAIWRRAGWRGLVNVHRHPESLHEFFWLFITSFLFKNLVRSLFAVLISVAFVVSIALSIALPEY >PAN10142 pep chromosome:PHallii_v3.1:2:5566780:5569261:-1 gene:PAHAL_2G074300 transcript:PAN10142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLLFLRLPSPTLDAASAPHSDLLLHAIPSCSSSGAGGDGDAARTPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQMASDLLVLAEKYEVKHLKTYCEKFITSKVNNENAISHYAFAHRHSAKQLLEASLSVLMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKDTDCSGRK >PVH64074 pep chromosome:PHallii_v3.1:2:25640360:25641362:1 gene:PAHAL_2G178800 transcript:PVH64074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYEVTIGKNGVLIMLLPGHPDGGGNIGRGAHTMSSHRFSSTRSNLSPLVPTHSHPHQSLSPLQARARGRRYSSRCSPARSWPRRPSCGLKCRGAALRRPPLPRTFPRPGHCFPARRAWWAASGGCRGA >PVH64092 pep chromosome:PHallii_v3.1:2:26818013:26820431:-1 gene:PAHAL_2G182000 transcript:PVH64092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTSPPHRRRLLAAATAALLLLLAPSSIAAETPVSFSFPSFSLRNLTLLGGASLRADSVSLPPPSSHALFPLPLPFPPNASFSASFLFAASASARLVSRLSFLLIPDPLTAGATVKNRSLPLEVTLDASKGRVSASSSGAELAGNSTGAVNLRNGNRVGSWVIYDARRARLEVFLSHASVRPRTPTLVFDAAGLAARFAEFMFVGLEVSSDNNAISDGGFVIENWTFLMSGLPTVDPVSRPAHSASDSVSSVPAKLVIVLGLAIPLPIVFLVAVTVFVVMCLKKWRWDTAALNEGVGAKTTVRPRQFIGGFGTVYKAVCPHSGVTYAVKRSKQSRESYNEFNAELSIIADLKHPNLVQLQGWCTERDEMLLVYEFMSNGSLDDALHCCSGRELYVTLNWSQRYNVAVGIASAVAYLHEEHDKQVIHRDIKSSNILHDSWFSPRLGDFGLARLKDHDTSPRSTLAAGTVGYLAPEYLQMGKATEKSDIYSYGVVLLEICTGKRPIETETPDSMNMLNVVDWVWNLHSKGRLLDAADPNLSGQYDNEQMSRLLLLGLSCVNPFLEERPVMRTVLGILEGQNEQLPVPRKKPLLVFVSNAPIDLDGIISECNQSTVSSDLYELKIDLNPRYGLTSTSL >PAN15045 pep chromosome:PHallii_v3.1:2:55135866:55138897:-1 gene:PAHAL_2G459600 transcript:PAN15045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRNRKPRNFATFRLCPRPGAADASDRVFVRVDDNPYSVPGFTDDGGGPSSSTAVGGDDEPSSSSADDGGGALPEHVRHEILELGLPDDGYDYLAHLRELRPSLSSTGGGGSSAVFLPSRRRPTRSGPPVDVKAYDLSRAPLGSGEVAVAMRRVEEAIDLDVAKLLDGSDLPAVESGDEDLEEDFVILANQSDEEQQIEEEEKNIGGGKEKAQQQHREQFDSLALEESGGGEDAVKYCATTVSRSYLSIHPGKILVPENAKKKLPKFSTGETSMKKAIIKKGIENLPAEHLPQRKTWSDETSNQGPYKEPKVEAKES >PAN11134 pep chromosome:PHallii_v3.1:2:34104202:34105092:-1 gene:PAHAL_2G205300 transcript:PAN11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAATVRSKLLHGRMPHSANLLILYLHSPPSLVHCLDWQEARQNQVTSDQVDGCLFFNLHGASHLQSKACLKEVMA >PAN10342 pep chromosome:PHallii_v3.1:2:6364256:6370033:-1 gene:PAHAL_2G087800 transcript:PAN10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEHQKLGPFMQVLPICSRLLNQEIMRVSSMVHDHGFSDFDRRRFRSPSPMSSPIVRPNLHGNGFGPWNGIPQERLGFPPPGTSMDWQGAPPSPGSYIVKKIIRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDTEKEEKLKGKPGYEHLNEPLHILIEAELPANIIDTRLRQAQEVMEELLKPVDESQDFYKRQQLRELAMLNSSLREDSPHPGSVSPFSNGGMKRAKPSQ >PAN13626 pep chromosome:PHallii_v3.1:2:48701724:48708759:1 gene:PAHAL_2G352200 transcript:PAN13626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEAAESCGSHAAAAAASGGGAAGPATSSSSAAAAAQARKQQQQQRHKLEVYTEVLRRLHESGLPEARAPGFDDELWNHFNRLPARYAMDVNVERAEDVLTHRRLLEQARDPAQRPAFAVRAVQVSPILDGNQTDADSNTVGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNGRSMYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLVEAVQKEIGRIEETQAWSSSHSWSTPVENMQVAENSAADRVEIPTDGASEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINVDMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALIGVAMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKHTHAKLSELLQKCWQQDPALRPDFSEILETLQRIAEEVGDEHEGKNKDKLLGGFFSALRGRGH >PAN14291 pep chromosome:PHallii_v3.1:2:51556567:51559984:-1 gene:PAHAL_2G398000 transcript:PAN14291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHHLPLAVAAAFAFAVAAAALLAPRAASAYPWPVCGDSSSFKPNSTFQANLDLLAATLPRNASASPSLYATVVAGAVPEQVWAMALCRGDTNATSCLSCLAQAFRDLPNDCTYDKDATIYYDPCTLHYSDVHTLPGDDTGPALNTYTVNNNANVTSDPARFVSLLAALVNATAERAANDSARRFATGEADFDREFPKVYALAQCVPDLTAAQCRKCLAGIVARNLRGFQSNIGGRVLGINCTYRYETAPFFNGPATVRLATPGFGAPAPVPAVLPAAAGGGGRKYSVFGVVLAVVLPTLAALNLVFCFCFWRRRRPVAQTKQSYPVYSAEAEDMETVDSMMIDVSTLRAATGDFDESNKLGEGGFGAVYKGVLPDGDEIAVKRLSRSSTQGVEELKNELALVAKLKHKNLVRLVGVCLEQQERLLVYEFVPNRSLDLILFDSENEKREQLNWEQRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTNRVVGTYGYMAPEYLMRGNYSVKSDAFSFGVMVLEIVTGRKNNDGYDSQKSGDLLTTVWEHWEAGTATELVDPSIGGSFREGDVLRCIHIGLLCVQGDPASRPVMSSVVMMLGTDTVTLQAPSKPAFFARKSGTNTTVSTDLSV >PAN15484 pep chromosome:PHallii_v3.1:2:57204986:57206676:1 gene:PAHAL_2G491400 transcript:PAN15484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFGHHHHNQPAPPASGPNQVFKIFCRANENYCLAVRDGAVVLAPANPKDVHQHWYKDMRFSTRVKDQEGMPAFALVNKATGLAIKHSLGQSHPVKLAPFNPEEEEASVLWTESKDVGKGFRCIRMVNNTRLGFDAFHGDKDHGGVHDGTTVVLWEFCKGENQSWKILPWGPEADSPAAGPGNASLGGVPVHTVRVFCKAGEADYSLTARNGTACLAPSNPHDEYQHWIKDMRHGNRVRDEEGYPAFALVNKVTGEAIKHSAGQGHPVKLVPYNPDYQDESVLWTESRDVGNGFRCVRMVNNIYLNFDAFHGDKAHGGVHDGTEIVLWKWCEGDNQRWKILPW >PVH65293 pep chromosome:PHallii_v3.1:2:54936541:54938044:1 gene:PAHAL_2G455500 transcript:PVH65293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVGQASPSDCASRPATWMLHRLVGHFFTKQQPTWRDTYARSGGGGLLGPTRVAGRVRPHEESSRPSPMGRWGFQRAPPDQSPAAAIGVLGAMGGGKKKRDAVRGADAAARPAASCMAATIVAVVVLLVVASALLFLLSPPTAPGGGGAEGPPREPVELAIGIAGHEGWLDALRAWAKLACFNLRPAEQRYDVLRSPASVKAAAKESLEMGKEAVKHSAESAARATEEALERTTEKVKRKVSLSRSPSARRRDGDL >PVH64823 pep chromosome:PHallii_v3.1:2:49252251:49253628:-1 gene:PAHAL_2G361900 transcript:PVH64823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPKQQHQPDSSNATGNLLNLGCFSDIGNDGGSAGGQDARLVIQDQFNGGGGNAEHGGVMASVGSHGHLSGGFPSLYSSSPSAGLPQNSATALLMKAAQMGSTSSADNGPSALLRAAGFGAASSGQGTSRTAAGEATSSHAAHFHDLIMNSLAGGGGGAFSGAAAGFGAMDDDGMAT >PAN14703 pep chromosome:PHallii_v3.1:2:57642428:57647545:1 gene:PAHAL_2G498900 transcript:PAN14703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRSAGADGGQPKRLKVGESPPPVAAASNGSRNGAPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVRSVTVHDVKDVDMWDLSGNFFLSEQDIGKNRALACVSKLQELNNAVLVSALTEELTKEHLSKFQAVVFTDISLDKAYEFDDYCHSHQPPISFIKAEVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMAELNDGKPRKVKNARPFSFSIEEDTGSYGVYTKGGIVTQVKEPKLLHFKSLRDAMKDPGDFLLSDFSKFERSPVIHLAFQALDNFRKEHGRYPTAGCEQDAQCFLKFAADINEASIDSKLEKIDEKLLRHFSSGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYQLDPQDLKPSNSRYDAQISVFGSKLLKKLQDANIFIVGSGALGCEFLKNLALMGVSCSSKSKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAARAINPSLQIDALQNRACPDTESVFHDTFWDGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPTQYAAAMRKAGDAQARELLERVSECLGKERCITFEDCITWARLRHSFLVCSKAFSSASAIFSHRLISHSLHYVCFNIESRVIWNCHT >PAN15572 pep chromosome:PHallii_v3.1:2:57642428:57647544:1 gene:PAHAL_2G498900 transcript:PAN15572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRSAGADGGQPKRLKVGESPPPVAAASNGSRNGAPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVRSVTVHDVKDVDMWDLSGNFFLSEQDIGKNRALACVSKLQELNNAVLVSALTEELTKEHLSKFQAVVFTDISLDKAYEFDDYCHSHQPPISFIKAEVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMAELNDGKPRKVKNARPFSFSIEEDTGSYGVYTKGGIVTQVKEPKLLHFKSLRDAMKDPGDFLLSDFSKFERSPVIHLAFQALDNFRKEHGRYPTAGCEQDAQCFLKFAADINEASIDSKLEKIDEKLLRHFSSGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYQLDPQDLKPSNSRYDAQISVFGSKLLKKLQDANIFIVGSGALGCEFLKNLALMGVSCSSKSKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAARAINPSLQIDALQNRACPDTESVFHDTFWDGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPTQYAAAMRKAGDAQARELLERVSECLGKERCITFEDCITWARLRFEDYFSNRVKQLTFTFPEDASTSTGTPFWSAPKRFPRPLQFSATDSSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVNKVAVPEFEPKKGVNIVTDEKATNLSSASVDDVAVIDDLLSKLEECAKNLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFTNLALPLFSMAEPVPAKVMKHQDLSWTVWDRWLIKGDLTVAELLQWFSDKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKVELPEYRRHIDIVVACEDNDGNDIDIPLVSVYFR >PAN15573 pep chromosome:PHallii_v3.1:2:57642428:57647544:1 gene:PAHAL_2G498900 transcript:PAN15573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRSAGADGGQPKRLKVGESPPPVAAASNGSRNGAPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVRSVTVHDVKDVDMWDLSGNFFLSEQDIGKNRALACVSKLQELNNAVLVSALTEELTKEHLSKFQAVVFTDISLDKAYEFDDYCHSHQPPISFIKAEVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMAELNDGKPRKVKNARPFSFSIEEDTGSYGVYTKGGIVTQVKEPKLLHFKSLRDAMKDPGDFLLSDFSKFERSPVIHLAFQALDNFRKEHGRYPTAGCEQDAQCFLKFAADINEASIDSKLEKIDEKLLRHFSSGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYQLDPQDLKPSNSRYDAQISVFGSKLLKKLQDANIFIVGSGALGCEFLKNLALMGVSCSSKSKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAARAINPSLQIDALQNRACPDTESVFHDTFWDGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPTQYAAAMRKAGDAQARELLERVSECLGKERCITFEDCITWARLRFEDYFSNRVKQLTFTFPEDASTSTGTPFWSAPKRFPRPLQFSATDSSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVNKVAVPEFEPKKGVNIVTDEKATNLSSASVDDVAVIDDLLSKLEECAKNLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFTNLALPLFSMAEPVPAKVMKHQDLSWTVWDRWLIKGDLTVAELLQWFSDKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKVELPEYRRHIDIVVACEDNDGNDIDIPLVSVYFR >PAN12389 pep chromosome:PHallii_v3.1:2:42934374:42939224:1 gene:PAHAL_2G264800 transcript:PAN12389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVAALGVHTERAAQYKGRMTLAVAMTSLVAAVGGAIFGYDIGISGGVTSMDPFLEKFFPAVFRRKNSGSQNNYCKYDNQGLAAFTSSLYLAGLVASLAASPVTRNYGRKASIVCGGVSFLVGATLNVAAVNLAMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAAPALLMTLGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMVEASELANTIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGNASLYSSVLTGAVLFSSTLISIGTVDRLGRRKLLISGGIQMIVCQVIVAVILGVKFGVDKQLSRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFAIAQAFLSMLCAFKFGIFLFFAGWITVMTVFVYVFLPETKGVPIEEMVLLWRKHWFWKKVMPEMPLEDGWGAAEGNAAPASKNHK >PAN10523 pep chromosome:PHallii_v3.1:2:7765749:7767303:1 gene:PAHAL_2G099500 transcript:PAN10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKDTGSKQRRRGQGVPPGGGAGDGGPDDDLISRLPDEVLGCVITLLPTKNGARTQILSRRWRPLWRFAPLNLEAAPTRPPIRRFSLTCPGGRGLPHVVERLLQSPRLQDAQEFELEFYLTRELPPCVFRFSPALRVLYLSSWHMELPALTTPTLCFPSLKQLSLLDLIISESALHGVLSRCPVLETLSLDGISGARRVWISSLTLRSVGVSECGYCREARLIQCVRMIRIIQAPRLRTLAYDDHIPILQLGTMHFEKTLLVGPSDAMRSVKILGLLTAPDLGYVTGFLKCFPCVEKLYIVLDTWMIFKNDVKCSAPLECLDRHLKKVQIINYDEKRLDVNFIKFFVLNARVLESMKFVVRRDKCGTKWIARQHKKLQVNDRASEGVVFDFEADCSRLSQSKVDKHGQ >PVH63965 pep chromosome:PHallii_v3.1:2:14751744:14752262:1 gene:PAHAL_2G147900 transcript:PVH63965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGQGANWGGAQAPRCQCSCSSVSCPNSSPSPSTAATSSPTTMTSLTSSPPTASTSPSSRARPPGPVASSPSSATSSSPSSPSRGSSSSSAARRVDSFCCIYNGRLIGNTQRGSVPTSANTPCRACMFIILEKNAEKAVYFNSARWLVTCSINII >PVH63425 pep chromosome:PHallii_v3.1:2:1829255:1829952:-1 gene:PAHAL_2G025400 transcript:PVH63425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGLSLALPLNVFFTISSSSFDPGTFLFAVRPLLLTLSYRCIRYVFVSTIGCCPLHQACHQGVSFLPLRHDSVHNLILGCFLEAGATIICCYLHLSPRSGGSSASQLAIPFW >PVH63599 pep chromosome:PHallii_v3.1:2:4819062:4819586:-1 gene:PAHAL_2G065600 transcript:PVH63599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEDEEVCGSELTLLAARRQVSPFVMRVRVALNLTGLRYAYVHEDLSAKRALLLASNPVHKAVPVLLHAGRPVCESAVILHYLDDTFRGTPSLLRADPYARAAQRFWAPRSTGGPRRQRRWPSFWTGWSATSSGTGRGAGSSAATLSGTSTSCSGRSSAGSRRCAPWPAWR >PAN10298 pep chromosome:PHallii_v3.1:2:6187249:6189727:-1 gene:PAHAL_2G085200 transcript:PAN10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKAADGSASAAPLLLAQEDGSPRRGGATPAQTLGNVVVSIVGTGVLGLPYAFRAAGWLAGSVGVAAAGSATLYCMLLLVDCRDKLEEDEPEECCQGHYTYGDLGERCFGAIGRCLTEILILVSQAGGSVAYLIFIGQNLHSIFSQLMSPAGFIFAILLPVQIALSFIHSLPSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAVPFTFGVAVFCFEGFSMTLALEASMAERRKFRWVLSQAVAAIITVYVCFGVCGYLAYGDATKDIITLNLPNNWSSVAVKVGLCIALAFTFPVMMHPIHEIVETRFRSSGCFQKLSHNGAEWLGLPSSRILVVAILTVVASFIPAFGSFISFVGSTMCALLSFVLPALFHLSIVGSSMSLWRRVLDYVILLFGLAFAGYGLVSALSSH >PAN11601 pep chromosome:PHallii_v3.1:2:26781468:26785419:-1 gene:PAHAL_2G181700 transcript:PAN11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MEEAGRGTGCGLLKVVVARGRSLAVRDFTSSDPYVIVRVADTTAKTKVINSCLNPVWNEEMTFSMKEPVGVIKFEVFDWDRFKYDDKMGHAFLDLQPVAAATKLRRALRLTAGETKLRKVAPDVDNCLLSDSFVMYSNGEVVLDAWLRLRDVESGELFITVKWIEAENTK >PAN10005 pep chromosome:PHallii_v3.1:2:4773077:4774171:1 gene:PAHAL_2G065100 transcript:PAN10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPRFAAPPAGLMAPPAPRFAPAPAHATTRRPTGDAVLVAAVHDAADISRVEVKLVDVASGAVVGRLDGQSTGHAIAATGGLICLVPTRGNDRAAPVRVLNPATGAVTDIPVATAADGKTSRSSYVFGQVPVTGEYKVLRIHTSTSRDKPSQSCEILTLGGRGQRWRPAPSPPALVGTEIPRHRAVTPGFAHFFTTSKMADHDGIASFDLAKEQWRPSLLQGPLPSEDLHCCRSSLSLVALNGCLVFVHHDYRSCCIDMWVLAELEKGRWLRVQSLPMGSVLRGWEEETQPAPLIPVQRRREIFAQPLMVLDDGRIAFWVGVPNGVVRVYDPKTRKCKDVVGMGRSCSIVGLCKGSHQLGFA >PAN10073 pep chromosome:PHallii_v3.1:2:5211655:5213418:1 gene:PAHAL_2G070200 transcript:PAN10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRKQMEAEFERKVAESSGDSGANVKRLEQETAAKIEQLSQQAASISPEVIQMLLRHVTTVKN >PAN11265 pep chromosome:PHallii_v3.1:2:30880429:30892637:1 gene:PAHAL_2G194900 transcript:PAN11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAALARAATRLLRGGLPPAAAAAAVPALSLRIHRQLCGLPAADEPSAAGEADPWPEAEAEILRDVEPVMELVKDILHTRRYGNGGFLSPNDEKVVVEKLLSYHPRADEKIGCGIDGIMVDRHHEFRFSRCLFVVRTNGDWEDFSYRKCLQAYIKEKYPSYADRFLQKHLVNRSELFRVRK >PAN13100 pep chromosome:PHallii_v3.1:2:46352443:46356566:1 gene:PAHAL_2G314000 transcript:PAN13100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGYGPYDSSGTDDDLPPAQNRGLRGRSFSANGRGSAGAFPYMRANNDLESEIHRVEQDAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDEEHRELLNRVNEDGAIRRMRELRQAGGTPSALHRGSRALYDAEPGPTAKRQRTSHSIPSQSAGLQSPVMPSHSVPSAKWGPLSARGKKPKTPMPLALPSADPNSLINHKVYTRWPEDNNFYEATITRYNPVTGEHALVYDMGTQAETWEMVRLCDMPPEDIRWEFDGHLSNRDGWGPSGPMLTRHLSSNGAMAGPIRGRGRLSINEPMKDYAPPQNGTNRNFNNIDIPNTENVVTEVERVLSNPNTREIEKARKLLKDQEQSLLDAIARLDEASDSESEDMATEGRTGPAGEGNGIAT >PVH65087 pep chromosome:PHallii_v3.1:2:52907179:52907334:1 gene:PAHAL_2G420800 transcript:PVH65087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRRSRHAAGMIGALGPGKQRRPWRKLPRVRRRREHHHSAPESASITKQA >PAN10192 pep chromosome:PHallii_v3.1:2:5802952:5807634:1 gene:PAHAL_2G077500 transcript:PAN10192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAAAAAAAAELREAHRLAGHADRVWALAWNPAPGAGPVLASCGGDKTVRIWKRAPDGAWHCSDVLEDTHNRTVRSCAWSPDGRLLATASFDATTAVWEYNGGDFECVATLEGHENEVKSVSWSPSGSLLATCSRDKMAWIWEVQPGNEYECVSVLQGHTQDVKMVQWHPLLDILVSVSYDNTIRVWADDGDDEWHCVQTLTEANNCGHSSTVWAVSFNHKGDRMVTCSDDRTLKIWDTSADLSQPKTGEGHESWRHLSTLTGYHDRTIFSAHWSSEDIIASGAGDDAICLFAEEKSSMVEGPSYKLILKKEKAHDMDINCVRWCPQDPRLLASASDDGTVKLWELRGSVLD >PAN13545 pep chromosome:PHallii_v3.1:2:48291235:48295101:1 gene:PAHAL_2G345800 transcript:PAN13545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein XAP5 CIRCADIAN TIMEKEEPER [Source:Projected from Arabidopsis thaliana (AT2G21150) UniProtKB/Swiss-Prot;Acc:Q8H110] MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVKGDPRLSFCDDIENGSDEDDFENHETQKKNGPIKFGKDPTVETSFLPDREREAEEQAERERLKKQWLCEQELIKNEPLSITYSYWDGTGHRRVIQVRKGDTIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >PVH63966 pep chromosome:PHallii_v3.1:2:14753066:14753728:-1 gene:PAHAL_2G148000 transcript:PVH63966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIQQLDETDGSSAMPPAVLRILNFKACGVCSWAADHTVPIVNVASLHGELARHQMLSGRQAETWYGLAHQEPSLERA >PAN12888 pep chromosome:PHallii_v3.1:2:45341332:45341614:-1 gene:PAHAL_2G298200 transcript:PAN12888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEFFLLYFYVPELRGKRCLSMLFHILDVNPSVLNVESGLIVICHPIYRINSTAACVMLSYVYCCDFCDADGVSVA >PAN15155 pep chromosome:PHallii_v3.1:2:55627920:55631707:1 gene:PAHAL_2G468900 transcript:PAN15155 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G08530) UniProtKB/TrEMBL;Acc:A0A178UJ45] MALRRALLRSAEISPDRKAAVEYFHSLSRAQPARSFNGAGLCPASRSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTPWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIHERAERELVAASA >PVH65447 pep chromosome:PHallii_v3.1:2:57478504:57479427:-1 gene:PAHAL_2G495900 transcript:PVH65447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIIAIVIAVVSACELAVVSTYDWNHESAGKDRIGSDRILLKIARLSVVSCHTVRAACYVHPASQELLSSMHACCLFVSFRFQINRDRIRRRPR >PAN15128 pep chromosome:PHallii_v3.1:2:55528280:55529765:1 gene:PAHAL_2G466600 transcript:PAN15128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDDDRAGRRTPPLPWTVRVQLAALALAHRPDGSIRRLIVSLGDLKSGASTRPDASGVRSADVTIDASRGLWARVFSPSLADAATDAPVPVIVYFHGGGFVLFSAASRPYDAFCRRLCRELRAVVMSVNYRLAPEHRFPAAYDDGVAALRYLDANPLPADVVTVPVDLSSCFLAGDSAGGNITHHVVQRWASMSATSPAASLRVAGAVLIQPFFGGEERTGAEVALDRVSALSVAGTDHYWREFLPEGAIRDHEAARVCGDGVELAEAFPPAMVVVGGFDLLKDWQARYVEALRGKGKPVRVVEYPDAVHGFHAFPELADSGKLVEEMKLFVKEHRSKRAV >PAN12747 pep chromosome:PHallii_v3.1:2:44700268:44701670:-1 gene:PAHAL_2G288400 transcript:PAN12747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSKEIAFDCSSFRLYMDGRVDRNPHRMETMPAGFDAATGVDSKDVVIDAATGATVRLYLPPLQGAATTKLPILVFFHGGYFIVGSDAEPMYHRYVNSLVARARVVAVSVEYRLAPEHPLPAAYDDSWAALEWAVSRADPWLSDHGDLGRVFLAGVSAGGNIVHNMAAAVGASGLPAVEPARIGGVIELHPSFSGEQKMEVEDEAFFQANNDRWKIIFPGATGGVDDPRINPTADGAPSLTKLAGQRLLVCTASEDPRAQRARAYCDAVRASGWRGKAEWFESEGEGHGFFVLNPGTSTAVALMDRVVAFLAGH >PVH64571 pep chromosome:PHallii_v3.1:2:45801609:45802281:-1 gene:PAHAL_2G304800 transcript:PVH64571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRLAVLLLAASASRPPAVYGVGDETGWAVPPARSTNFKYGDDDSVLLGDYERCSAANPLRRFADGGGTRFALGRPRFFYFISSAPARCEAGQRMVVRVVDAPRRPSLTSGAPTPAPGTQPSDTPPCGHRRLSLAQKQFAAAAIGLGAGFILVFSIVWLCVCCNNN >PAN09331 pep chromosome:PHallii_v3.1:2:1008789:1009737:1 gene:PAHAL_2G014600 transcript:PAN09331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSGSWWSAVLAERTRPRGREAILHAIGSVPAASSAAAQAPPRHASSEDGVSPAAAAAGPGSSGPEHAAAGDAAAGASPGLSAETALAILADCFGHC >PAN15377 pep chromosome:PHallii_v3.1:2:56650427:56651180:-1 gene:PAHAL_2G483400 transcript:PAN15377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHAPLLFTIAAVLAVAAASASAYVRAAENELMIIGGDDDVFGLGGVIGGRRRLDDLNGTSPDANATSADANSTSADGAAAKEHISYLALSRDSVPCSQRGASYYNCQPGAEANPYSRGCSALAQCRG >PAN09470 pep chromosome:PHallii_v3.1:2:1835117:1839291:-1 gene:PAHAL_2G025700 transcript:PAN09470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MDLASFLTSVLTSFVIFVVLVLVFTFLSRRPGNAPVYYPSLLLRGLDPWEGRRRGTRSPFGWIRDALSASETDVIAAGGVDAAVYLVFLSSVLSILVYSGIVLLPVLLPVAGTDRGLELTAAAGIRPKNDDKNNSAPELPEIQRLALSNIQEGSMRLWAFLLSVYWVSFVTYFVLWKSYKHVSNLRATARSTPDVKPEEFAVLVRDVPRSYPDETIKDSVDSYFRALHPNTFYRSMVVTDHTKADKIYLEIEEHKKKIARAEVVYANSTTESNPEGIKPTHRTGFLGLIGKKVDTIEYCSEQIKELLPKLEAEQKTTLRDKQQRAAIVFFNSRSAAVSASQTLHAQVFDKWTVMEAPEPRDIIWPNLSRNIYERQIRQVVVYSIVFLAVVFYMVPITAVSAISTLENLRKVLPFLKVVVDPPVIKTVLQAYLPQIALIVFLALLPAFLMFLSKGEGIPSQSHVVRATSGKYFYFIVFNVFLVYTLGKTLFASLKTILDNANINVIINMLATSLPGGATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVKTAWAPGDLGYSTRVPNDLLIATVVLCYSVMAPLIVLFGVAYFALGWLIAKNQVLRVYVPCYESNGRMWPHMHTRIIAALLLYQATMIGVIGLKKFYYSPILLPLLPISFIFAYICHMRFYPAFAKTPLEVAQHELKETPNMDAIYTAYIPQCLKPDKLEDLDAFEDAQSHTTSRAPSI >PAN13921 pep chromosome:PHallii_v3.1:2:49926253:49928527:1 gene:PAHAL_2G372300 transcript:PAN13921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPLPPPSSSSVSRRAAAVPALLLLVAMAMAAFPGAGAQPSPGYYPSSRFRPIPFNRGYTNKWGPQHQTLSGDHSALTIWLDKTCGSGFKSKHAYRNGYFSTRIKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAAFHTYAILWNPDAITFFVDDVPVRRYERRTELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVARLDRFVIAGCSTAAPASCRPVPASPRGAGLTPQQYAAMRWAQQGHMVYYYCNDFRRDHSLTPEC >PAN10562 pep chromosome:PHallii_v3.1:2:7999238:8002987:1 gene:PAHAL_2G101600 transcript:PAN10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPQAGLLLRSLGAGGARPAAMRSATSLLLGAALATAFFLLYTSLCRDLGDSPGSPPRWEQRAREAGAAGGGGGGGQGAALLNPSKQRQDAASKEQEEGRKEVVTRGDGDGGHGARKDQGKGSGTTDNKQQQPQIVMPGTSTSTKQQQEQQSQDDLADLLRRAATADKTVLMTAINEAWAAPGSFLDLFLESFRHGEGTADLPRHLLIVAMDGKAFRRCLAVHPFCYWFRVAGMDFAGEQKYMKGDYLEMMWRRNRLQQRVLELGYSFLFTDVDILWFRPPFPRLPAGAQVVMSSDFFVGDPSSPGNYPNGGLLYVQSSPAAVAFYEHWQASRARFPGKHEQYVFDMIVKEGVPASIGAAVRFLDTAVFGGFCQHGKDLGRVATMHANCCVGLENKLFDLRNVLQDWKAYRARVAAGGDARGFSWRVPGRCIH >PAN10037 pep chromosome:PHallii_v3.1:2:4977575:4983402:1 gene:PAHAL_2G067900 transcript:PAN10037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPLLRRAAAAASSGGARATALPDPPAALASLLLASRSYAKAKGGAKPASSTSNRGKVRAKDPRGGASADDADGDEFAAGGAGDDLDAEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFGSFAHRNANVYVDFTLDEWNAMLPEGLPAGMMKEFQETRRCAVMVRKSFLDLRDNFRRIVDPAVTINLKDIKKQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWTHGGFFYRNTYSDFFDTPIQAAKILQDFLKYNETRLLQLPCQIFEPIPLGEGAGVGKMKGADTVEMPEGSTLYDLIQTGITHTHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFSDFQEPVTVRSCRPIHAKELTMVNAYRSMLHNDMMVGAFSHSTAVGKLRQDLPDVPSDARLMFPRYTLEEAETVCHYYMRQKIIRRESFSEEKWKKIYYLSNGNGSEMRWLAAFV >PAN13879 pep chromosome:PHallii_v3.1:2:49756348:49757885:1 gene:PAHAL_2G370200 transcript:PAN13879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRPPLAVSPRRLRPRPHRVPRPPLVPASSVKTPPGSMKKAAAPMRASICAIPSPIRLEPSPMRASISALPSPARFEPSPARASTAAALPPPTRAKLDFPATASPLAKAPGGKENVLPAAAPSTPPAVTGSAEKENLLPAGADAHNELVALNLAAVARAAGTPAAGPLFVRGRLYDLYSARRDERLKRKHGFPYYEDSASAALDPEAMAEDPCVAVELSKRRVAKKAYATTGAESVRRSMPAADFAAGRSGGLGPRSSLRSSKEMKKASAASGTVSQAVKERRVNPRSSARRI >PAN11203 pep chromosome:PHallii_v3.1:2:33167317:33168588:1 gene:PAHAL_2G201900 transcript:PAN11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVRKSSPVVVRPSPEPVTMRGTIKLSSFDKGLNNAPNTSLLLFEHPIHNAAGTIQAALSRALAHYYPIAGRIVARGGDDGDDAYIECNDEGVVFVAASTSHALKEVICFDWSPDARKLLDELVVYYPAMTICGPGDPLLMVQVTEFSCGGFVLGVIWNHGVADGAGMSQFLQAVGELAGGLPSPSVAPVRWDGSLPSLSPSVLEEQEHMLSLDPLNGDLATLDITIPLESIDQIRSDFSGRFHDQPCTTFEAILATPVLLMFVADVRKHVGAKKGYYGNCIIDQFVVATSGAVADGDVKDVIMAIKHAKDQIPGRLKMMAQQELCKLKVPTYHMMMASSWRNLGFDRVDFGSGRPARVTSSGKDMPPAPAVQGFLSSGRDSVSVLAALVTEEHADALLAELAKLV >PAN14311 pep chromosome:PHallii_v3.1:2:55852430:55853854:-1 gene:PAHAL_2G471100 transcript:PAN14311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFWFSRSSSSSLPLLLVLLLRLSTPSLARAPVSRTITVHRQGRGDFRTVQSAVDSVPDGNRNWIKIHVNAGSYWEKVTIPPKKRYILLEGAGSSRTDISFNANAHAGIDQIMRRPNVSVDELSPTFRSATFTVLADNFVARDISFKDTLCDFRGRHYFRRCLVRGGVDFVFGYGQSIYEDCVLVSAMPPGPQPGWLTAHARLDAGSPGGLVFKGGAVTGTGRIYLGRAWNGYATVVFYGARMDHVVVPQGWQAWNCHAHDMIWAKYLFKTEF >PAN10081 pep chromosome:PHallii_v3.1:2:5252043:5256577:-1 gene:PAHAL_2G070900 transcript:PAN10081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNSLGQAATIAQLSGLDAFGLTSMIMQAVHTIRHNKKACKQLEHRVQMIADLLQSMEGSEMMQRPETRRPLNGLEHTLRCAYVLVKSCQNSRNIYLFFYGKKLANKFREVQNSIDFYLQLFPILSHIDTTRLLVRILNGVHPPHNEDTAKLIRSFTSHSNPDARTEMSGAFERTRAVTEPFKVTESQVAGPQNVLETFPTRSRRIKFPWMKKDVGANIIHRCIVPKVRGFKDFSFLELVDATNNFSPGSKIGLGGFSIVYKGLLLDGREVAIKRRLDVPTSLDFQELDFDNEIRSITQLQHMNIVKPLGYCYHGREKILVYEYMLNGNLDSFIFGKTGKSIDWPVRYKIIEGVAQGVAYLHKQCGLHIIHRDLKPSNILLDSDMTPKISDFGLSKILNPDVDEVLEENVFGTPGFVAPEYREKGLFSMKSDVYSFGALLLQIISGKRFCPLSSGPRDYGPLNTWVWDLWNRGRLIEFVDPLLQGESRAAEILRCIQIALLCVEENREDRPTMWDVVLMLSCEGAALPLPKQPAYCKRDVTAIIPGQTAAATSNVPEPGPESESLAGCVMGTTLSLLLLDRQ >PAN10082 pep chromosome:PHallii_v3.1:2:5251697:5257662:-1 gene:PAHAL_2G070900 transcript:PAN10082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNSLGQAATIAQLSGLDAFGLTSMIMQAVHTIRHNKKACKQLEHRVQMIADLLQSMEGSEMMQRPETRRPLNGLEHTLRCAYVLVKSCQNSRNIYLFFYGKKLANKFREVQNSIDFYLQLFPILSHIDTTRLLVRILNGVHPPHNEDTAKLIRSFTSHSNPDARTEMSGAFERTRAVTEPFKVTESQVAGPQNVLETFPTRSRRIKFPWMKKDVGANIIHRCIVPKVRGFKDFSFLELVDATNNFSPGSKIGLGGFSIVYKGLLLDGREVAIKRRLDVPTSLDFQELDFDNEIRSITQLQHMNIVKPLGYCYHGREKILVYEYMLNGNLDSFIFGKTGKSIDWPVRYKIIEGVAQGVAYLHKQCGLHIIHRDLKPSNILLDSDMTPKISDFGLSKILNPDVDEVLEENVFGTPGFVAPEYREKGLFSMKSDVYSFGALLLQIISGKRFCPLSSGPRDYGPLNTWVWDLWNRGRLIEFVDPLLQGESRAAEILRCIQIALLCVEENREDRPTMWDVVLMLSCEGAALPLPKQPAYCKRDVTAIIPGQTAAATSNVPEPGPESESLAGCVMGTTLSLLLLDRQ >PAN10079 pep chromosome:PHallii_v3.1:2:5251697:5258542:-1 gene:PAHAL_2G070900 transcript:PAN10079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNSLGQAATIAQLSGLDAFGLTSMIMQAVHTIRHNKKACKQLEHRVQMIADLLQSMEGSEMMQRPETRRPLNGLEHTLRCAYVLVKSCQNSRNIYLFFYGKKLANKFREVQNSIDFYLQLFPILSHIDTTRLLVRILNGVHPPHNEDTAKLIRSFTSHSNPDARTEMSGAFERTRAVTEPFKVTESQVAGPQNVLETFPTRSRRIKFPWMKKDVGANIIHRCIVPKVRGFKDFSFLELVDATNNFSPGSKIGLGGFSIVYKGLLLDGREVAIKRRLDVPTSLDFQELDFDNEIRSITQLQHMNIVKPLGYCYHGREKILVYEYMLNGNLDSFIFGKTGKSIDWPVRYKIIEGVAQGVAYLHKQCGLHIIHRDLKPSNILLDSDMTPKISDFGLSKILNPDVDEVLEENVFGTPGFVAPEYREKGLFSMKSDVYSFGALLLQIISGKRFCPLSSGPRDYGPLNTWGESRAAEILRCIQIALLCVEENREDRPTMWDVVLMLSCEGAALPLPKQPAYCKRDVTAIIPGQTAAATSNVPEPGPESESLAGCVMGTTLSLLLLDRQ >PVH64109 pep chromosome:PHallii_v3.1:2:29547535:29549391:-1 gene:PAHAL_2G189400 transcript:PVH64109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPGLVLNRAGWVFQSRQESWRDSIDYYWTDTSPEPRHQPIIDKLPIMDAAFQKVSNNKFPQFDKWSTPIIHVPKQAGPSDCMFFLWKYMEFWDGGCPNIDINPVSFFFFLFLL >PAN11993 pep chromosome:PHallii_v3.1:2:39726537:39729976:1 gene:PAHAL_2G233800 transcript:PAN11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPHLSSLLRPCYSLITIILFIHAPLAASVSFNLDFSTSDYASELNYSNDSYWAKPVIELTKDAGGSVGRVWYARPVPLWDRATRELASFNTTFSFQIKSVNETRAPGDGMAFFLSYFPSVTPPDSAGGTLGLIGGRFNNATVSGDERFVAVEFDTHSNNRDWESDNHVGIDVNSIVSKASKDTYQAGRDLSSGLPMEARVTYRSDTLLLSVDLQIGDTPYHVSTDVDLRESLPEAVAVGFSAATGSFVELHQLLSWSFSSDLEASSPPPRTVNASAAPSQSPGGGGAKAPNKNKLRVRAETLALATVTGLLCLLLLLILARKLNMVSLWCEKRARKRLGHGPRRYQYSELAKATNKFDEQRKLGVGGSSEVYLGDECGRRFAVKKLISAVRMTDAEAQRRRIEFEAEVDIISRLRHKNLVRLLGWCDSSNGLLLVYELISGGSLDKHLYSTETSLSWNDRFRIIIGLGKALVYLHGEHSGTKYVVHGDIKPSNIMVDEGLNAKLGDFGLARRLDHGAAAQTTETVMGTKGYIEPEFMETGKRCVESDVYSFGIVLLEMVTGFGPWRRPLPSWVWELYAGQNTRVLEAASATLRSESNDRQMERVLVVGLWCTQPARSERPSMAHAMWVLEHAEAPLPVLRPSHGHLTLLGGLLGESPEHRRVTAPLLGELSRVQSQMYSNTAPAAIATGEQGLVRQCCDRSHSIEQGSYIVGG >PAN12859 pep chromosome:PHallii_v3.1:2:45208997:45210884:1 gene:PAHAL_2G295700 transcript:PAN12859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGVKVFGMWASPMVIRVEWALRLKGVEYEYVDEDLANKSADLLRYNPVTKKVPVLVHGGKPIAESTIIVEYIDEAWKGGHPIMPADPYERAQARFWARYAEDKCNAALYPIFTTTGEAQREVVREAQRCLKTLEAALEGRRFFGGDAVGYLDIVVGWLAHWLPVIEEVSGASVVTDEELPLMKAWFGRFLAVDAVRAALPDRDRLLAANKARREQLLSSA >PVH64721 pep chromosome:PHallii_v3.1:2:48029587:48030583:-1 gene:PAHAL_2G341200 transcript:PVH64721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLHLDGAVAWWCPKLKVIRMEHCERIMYLFLSWVNKACRGLKEIRLIGSPAPVHDHGIASLLSSILHLNRIAKIELRSCHDTDMHVCIIARSSVEALQELILDECPKISGNFTVFLRAHCPCLIKLGLNRVQINYGDIESLMVAGFEHLEELNLIGCPLITDNILRILATSSLPELRRVNLSDCPNVTQETVDSYQSCCRWEMEN >PAN15339 pep chromosome:PHallii_v3.1:2:56492992:56494417:-1 gene:PAHAL_2G481200 transcript:PAN15339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVVAAKGGAAGGKKKGSVTFTIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGSLGDSVTVSREKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRSVYELRYFNIAENEGEEED >PAN10246 pep chromosome:PHallii_v3.1:2:5996786:5999362:1 gene:PAHAL_2G081100 transcript:PAN10246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGTLQTILMLNMAVPLLCLALLLLASGSAGAQALKDYPEVKFSTASATPEKYSSFIASVRAALVSKAGGQSNGIPVLLNQDDPLALETYLNITLTNKAGYSVSLKMDVTGAYFTGYVAGKYSCLLKRSGRAFSSAICYTDPWSSSSVATLLQSSISRERSYPAGQHDLGAATWRAKDLDQAVSSLFLFPTGNATKEDLSRAVAACDMMIASAATFPYIQRRMSAGMRDGNGVSDDPSLHVLQERWLALSAAVQQSFQGAFPAPVTVQRSNGKWLRVDNVRTAVPLVSFLEHDTCKSSAAAASQQLPIVIRSVVEEADMGGGAPVCSQAEPTTRIVGPEGRCVDVPYNRYYSGRQVQLWSCKSNGDVNQLWTFKRDGTVRSNGMCLTAAAAAQAGARVVVDECPPRVPAERAVWEARADGTVALRSSGLVLSVTSSSAFAGVTVRRDDRGTGQSWTPTNDTAPLTAPVVGFGDLCLQVDFAGAVSLAACGGAGMAWSLYPDGSVRPPAWLFLQWRCLAADASGRVAVKFCDGSGSACERWVFRSDGTILNTGTGMVLDARPSASKSGCYDVVVSPATGSATQQWALML >PAN12840 pep chromosome:PHallii_v3.1:2:45066626:45072110:-1 gene:PAHAL_2G294400 transcript:PAN12840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGLLLRAASPGLRPAVAGAAGSSADSGQGHGLVTIGDSRPRGVALRVGGSSRREFSFITTAARDHSVLTRQLLDSRHDTIDEVGAEHDPFSELKARFMDFKQRNYVENFSNYQSLAQQQTPKFMVVACADSRVCPTRILGFQPGEAFTVRNVANLVPPYEHGGSETSAALEFAVNTLQVENVLVVGHSRCGGIQALMSMKDDSTSGSFIKNWVSIGKSARLSTKAAAGNLNFEMQCTHCEKESINSSLLNLLTYPWIEKRVNEGTLNLHGGYYNFVDCTFEKWTLVYRKGLEGGSKYAIKNRSSWS >PAN14622 pep chromosome:PHallii_v3.1:2:53078079:53080657:1 gene:PAHAL_2G424400 transcript:PAN14622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSSSSFPASRFPFAAGGGAPGGGGGGGGGSSLRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGIFFLDIVFPPDYPFKPPMVTFKTRIYHCNVDATGKVHLDILKDGWSPAFTISKVLLAIKDIISNPDPYTPLVMNISRQYLTDRTKHDEIAAEWTMRFAR >PAN10131 pep chromosome:PHallii_v3.1:2:5447951:5449963:1 gene:PAHAL_2G073500 transcript:PAN10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTKPMVMVLQLLFLISLILATFNSGDDHFMYSGFSGVDLVIDGATTVTPGGLLELTNGTVQQKGHAFYPTPLRFVRSPGGIVQSFSTSFVFAILSVYTDLSAHGMAFVITPSKNFLSALPEQYLGLANIQSNGNASNHLFAIEFDTIQNKEFSDIDANHVGANINGLRSLRSHHTGYYADEDGNFRNMSLFSREAMHVWIDYDHKLTDISVTVAPLKVTRPMKPLFRVTYNLTEVLTDVAFIGFSSATGTINVRHCVLGWSFSMNGPAPAIDIARLPKLPRMGPKPPSKVLDIVLPITTSAFVLIVGTIVFLLIRKHMRYAELRDDWEVEFGPHRFTYKDLYTATEGFKEKNLLGIGGFGRVYKGILPVSKLEVAVKRVSHDSKQGMKEFIAEIVSIGRIQHRNLVQLHGYCRRRGELFLVYEYMANGSLDNYLYCKEGKPILSWGNRWCIIKGIASCLLYLHEEWEKVVIHRDIKPSNVLLDSDMNGRLGDFGLARLYDHDTDPQTTHVVGTIGYLAPELGHTSKATPLTDVFAFGMFLLEVTCGQRPINQSSRDSQCMLVDWVLEQWNNGSLPLTVDSRLQGNYNDNEACLSLKLGLLCSHPFCNARPTMRHVMQYLNGDMQLPDIRPTDLSFHMMTIMQNEGFEHYKIGSTTSIDTASAISVGR >PAN11898 pep chromosome:PHallii_v3.1:2:38459860:38465804:-1 gene:PAHAL_2G226300 transcript:PAN11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGAKLERLSSIDAQLRMLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGDDLKEMVQECYEVAAEYETKHDLQKLDELGQMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNDELRIRADELHRSTKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDVPEEATLTSVEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWPEERRQEWLLSELNGKRPLFGPDLPKTEEVADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPNAPKPEWRALLDEMAVVATEEYRSIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRTNYEETEKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVALRPHLSKEIMDSSKPAAELVKLNPASEYAPGLEDTLILTMKGIAAGLQNTG >PAN09454 pep chromosome:PHallii_v3.1:2:1775590:1779900:-1 gene:PAHAL_2G024800 transcript:PAN09454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALGAQVAAAALAPVGSDGFRKGSSLKGNGSLNFRRKPWVGKSLAWEKTQHSRHLNKVFCMSVQQASKSKVAVKPVELENAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPTFKFSGLAWLFLGVANSDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDSGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >PAN09455 pep chromosome:PHallii_v3.1:2:1776056:1779900:-1 gene:PAHAL_2G024800 transcript:PAN09455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALGAQVAAAALAPVGSDGFRKGSSLKGNGSLNFRRKPWVGKSLAWEKTQHSRHLNKVFCMSVQQASKSKVAVKPVELENAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPTFKFSGLAWLFLGVANSDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDSGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >PVH65420 pep chromosome:PHallii_v3.1:2:57019685:57024538:1 gene:PAHAL_2G488700 transcript:PVH65420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPAATCLIPYQSDKIKSSRKAQHNNKITIYSEDEQPPTGGPIVSLSFCVAPTKLSRPVVPPDQPASLEASRVREKHREAEMEAAARLPLPIVSALLLLLILSPAATAAARVAPPRMQPLATAALRRLYDNSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRETADALVSTGLAELGYSYVNIDDCWSYVKRGKKDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGKFTCQVRPGSLYHENDDAALFASWGVDYLKYDNCFNLGIKPQKRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDITDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRSHFSIWALMKAPLLIGCDVRNMTSETMKILSNKEVIQVNQDPLGVQGRKILGEGQYGCHEVWAGPLSGNRLAVALWNRCSETANITMKLPAVGLDGSAAYSVRDLWKHETLSENIVGTFGAQVDVHDTKMYIFSPAISVASI >PVH64720 pep chromosome:PHallii_v3.1:2:48008091:48008366:-1 gene:PAHAL_2G340900 transcript:PVH64720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRPSAVSRSAALAPPARIPREARGARVHCPLRRPRLAITRPVCAPATPHGSHICRYPPPTRRGASVCMAITCPPRPLAATRALLPPPP >PAN10646 pep chromosome:PHallii_v3.1:2:8552921:8555461:1 gene:PAHAL_2G108000 transcript:PAN10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGGVYDCLRLITLFAFLLNAHGAAAVTFTFRNNCPETVWPATLTSSGPAFPTTGFALAPGASVSFPGVGATWSGRVWGRYRCTATAPGGARFSCESGDCGTGQVACGGAGGAPPATLAEFTLGGGARDFYDVSNVDGFNLPVDIEPAAGGRCPRASCPADINRVCPSELAAWKGGGGGGAVVGCKSACLAFGTDEYCCRGRFASPATCKPSGYSRLFKAQCPQAYSYAYDDGSSTFTCNGGWTTRSPSARAPGRARGATPCPCCRSITGRTCKRWVRVFMSKCPLGSEIS >PAN10029 pep chromosome:PHallii_v3.1:2:4893646:4895741:-1 gene:PAHAL_2G066400 transcript:PAN10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKPPCGAGRVVADRRADAALAAAGACNNSGVLPPDAVYEILLRLPGKDLCRLRAVCRPWRSLLSDDRAFSAAHAARHQEPLVVAGYAKHTSDGVLYDVLDLSGRVVKRARAAVGAGASDTENEWVVSARLDLVCVARGAGVSCRLLSPAAGVVARALPQGLSEQHAAHEREISHHTAMVALGQVAATGERKVLRVLHLFPGTGRQLYEITTLDGGGGRSQWRRIKDPACPVALGTWAVIDGTVFFFSSEFVHGQDVMPDRVASFDLETEEWRPTIRGPLSSSSLGDDGVAAAGHPDDDHMDWGEFSLADMNGCLVVTHRTFPSSMDLWFLMDVEKGSWVKKHSIQLNISYQHADHTVRPLLVLNDGRIVLAHIGNRGSLKIYDPRTSTSTDVAEIGPCVAVGLYNGSVLSLANGSS >PVH65325 pep chromosome:PHallii_v3.1:2:55372505:55373314:-1 gene:PAHAL_2G464100 transcript:PVH65325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNDDKSQAAADKIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAILGTVKPKAPEASSGGAYTQCQKCFQHGHWTYECKNERVYMSRPSRTQQLKNPKLKKSVPVSYQFKNPDLIKEREAAKKLMKEKRKKEKSERRKGKSKRKHRSPSDSDSNSSDASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRQHRRKEKKRRHRRDSTSSASSESESASDSDSDDKRSRRKSKRRSRTR >PVH65326 pep chromosome:PHallii_v3.1:2:55372081:55374626:-1 gene:PAHAL_2G464100 transcript:PVH65326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNDDKSQAAADKIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAILGTVKPKAPEASSGGAYTQCQKCFQHGHWTYECKNERVYMSRPSRTQQLKNPKLKKSVPVSYQFKNPDLIKEREAAKKLMKEKRKKEKSERRKGKSKRKHRSPSDSDSNSSDASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRQHRRKEKKRRHRRDSTSSASSESESASDSDSDDKRSRRKSKRRSRTR >PVH63343 pep chromosome:PHallii_v3.1:2:617571:618314:1 gene:PAHAL_2G009300 transcript:PVH63343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVMSFSWQSPSSSRSSGRLCRGWSGVLALCSEWKQKKLCCYGLSGVELRAYGQDGSRRRNRRGGGRANPGGKLIISYLNRGLCVNILGS >PVH64222 pep chromosome:PHallii_v3.1:2:36920724:36921937:-1 gene:PAHAL_2G217500 transcript:PVH64222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGLDFTNAKTLNECLLSKWIFKLERGDENICMNMLRKKYLGDKGLQAVKEKCLRGLKYVVRNGKKARFWLDVWLGECPLKICFPILYDACLQQTWSAYQVLHEGVVDLTFRRAFGERELLEWEQLLGMVEGFVLTHEADSVNWTLEKTGVFTTASLYRELFFPGVINRELMDVWGASLPLKIKRWAILCKAEEKLMVDEAVQRLKDQLSLLRSEAGD >PVH64409 pep chromosome:PHallii_v3.1:2:42668783:42670324:-1 gene:PAHAL_2G261900 transcript:PVH64409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIYTADGAFPNPRPAPPTSSVAAAPSPTFSSALLLFAPARVEQRLWFSFPPLDVSLDFSPHLAFRLILFLGDLDGNRWEQVMRTRQGHQNPLLGMID >PAN09537 pep chromosome:PHallii_v3.1:2:2268823:2271748:-1 gene:PAHAL_2G032000 transcript:PAN09537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRALFLLFSLAAAALAAEQQRPALPPPSAGGAGAPGVSSNSVLVALLDSRYTELAELVEKALLLQALEDAVGRGSVTILAPRNEALDRDLDPEFRRFLLEPRNLRALQSLLLFHVLPARVHLSPSASISHQTLAGEPLELAAAAVTRPDAVVRPDGVIHGIERLLVPRSVQEAFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPLGAPPALPVWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPEDILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGAGEGSAYLFDPDIYTDGRISVQGIDAVLLPPAEDGGQSPAAAAAPARRAPAVTGATAKPKLRRGKLLEGACQFMGVFVRRSRFASCQ >PVH63365 pep chromosome:PHallii_v3.1:2:945708:947228:1 gene:PAHAL_2G013900 transcript:PVH63365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVTSAVAGETVNRIISSIISKDDDKSTENMESLEMAHIKMESVLHVTDKWQITDVPLLRWRSKLKRAAQECGDALQRCKQRVIEEQEIRQRVSQLSYPKQIAYATNNDESRSSSTDVVQRFERFAHGANDLKFVEFSGTPRGKSLRYQAFQGSRFYYIGIRPMSSPDRGVEAIVGFVCHDFKEPTKGFSLGFVLRLSESTDVFSVIIKCMQSVAPHFKFAAEGVKRELIQLPAQDFSWVTQSHGESAYWFNVHNTLTPWLRPNLLCCNQHEQNLSVSSRTNKIEASSSRLLSTIFPEEVISVLLQCHVSLSDDHKYIQSSGVEHKGSSSANSDMPPLKLGVLFLPHDSPEDIESETESYALEVIGEEVQEMVHRNACLQDIDEKLLPKAIDYLYQNKESRMYQMCLKSRHGTANLCVEKTREHRSKASRSRIWDKRVVQQRDSYSIEGWKVVSKDLLKLWVVRSSDKMEGSIRSCAGHT >PVH63701 pep chromosome:PHallii_v3.1:2:6535337:6536205:-1 gene:PAHAL_2G088800 transcript:PVH63701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGYRQFCPKYHCATGLMHDTKQLSGRIRTLKQMYGFIKDMHTDSGLGPIGCPEFKKLKWGPPEYFDLLEHCFHDVAVDGSSAFVPGQEEDEVLYEDEAQGYEEEEEELQGTENSPMSSSGHKRASSTSTRSTADSPIKKSKSPMLKVMKQYLHMSARQSAERNLFLKKLGSKQENAEAKLEDAIKKAQQLAKQAGLDESSPEFYAVSHICKDEALMKFFINMETSEGRVAFLRRYCKEKNLD >PVH64333 pep chromosome:PHallii_v3.1:2:40534260:40538010:1 gene:PAHAL_2G239300 transcript:PVH64333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTEAAKNTTTSAPTFASPLFSFSNPGSSSFGFGSGAPPPPPPPAVEVQLSEESPVASASLEPVVVDDSLTIYKGRVSTSDVFGVKDSDLVPGKYEGGLKLWEGSLDLVNTLNSDIKEGRLLLEGKHVLELGCGHGLPGIFAGLKGAGLIHFQDFNAEVLKCLTIPNVKVNLFKESPEGTCTSRSVGFFAGDWSEMDKLLLCGDAEQDKTTSGVTEDKTYNGYDIILMAETVYALSSLPNLYRLIKKCLRYPGGVVYMAGKKHYFGVGGGTRPFLRLIEEDGTMQTERLNDVADGSSNVREVWKFSFK >PVH65335 pep chromosome:PHallii_v3.1:2:55456923:55461578:1 gene:PAHAL_2G465900 transcript:PVH65335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTTIGLVTRENKKGVFWGALTPLETSNPARLANGKKQKGSRENFPILSSPTSAPRSKAAATALHHSPRIPPPIQTPSPSPKSKPFSPFPPSRSSATTMAAASSSGGDGEPYLLGFIVTKIVGMRYYSARVAGRESVGLVREPLNIHDGNAIAVKNARHEQVGHLPAPVAKALAPLLDSHLLTAAHGIVPRSDSKINRNAYSLPCQVHLFAGPAAAAVVEAALEEAGLDLIHADHPEFALSQSAAVMERTKKAGRDVDKLFSLVGGKEGKARILPMEAPGDVVLSELFDHQKGALGWLVHREESEDLPPFWQETEDGRFENVLTNQMTVERPPPLKGGIFADDMGLGKTLTLLSLIGRTKARNVGVKKGGGAKRRKVEDAGEGPRPTLVVCPPSVFSSWVTQLEEHLEPGSLKVYMYHGERTRDKKELLKYDLVLTTYSILGTEFEQEDSPVKQIEWFRVILDEAHVIKNFTARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQSLIQRPLENGNKTGLSRLQILLGAISLRRIKETDIGTKSMIELPPKTVLECYIDLSAEEREIYDQMELQGKNKMQEFGDRDSFLRNYSTVLYFILRLRQLCDDVSLCPLDVKSWLPSNSLEDVSKNPELLKKLASLVDDGDDFDCPICLSPPTKTVITSCTHIYCHTCIMKILKSSSSRCPICRHSLSKEDLFLAPEVNHSDEDGSGNPGSDKPLSSKVQALLKLLKTSQNEDPLSKSVVFSQFKQMLILLEAPLKNAGFNILRLDGSMSMKKRLQVIKQFAHGGPDTPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQKKEVKVVRLIVKGSIEERILSLQEKKKRLISSAFGKKKGGKDDKEMRVEELRMMLGLDKGRPVAGCRPSEACHVQ >PAN10924 pep chromosome:PHallii_v3.1:2:10986804:10987256:1 gene:PAHAL_2G125100 transcript:PAN10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIGGVFQPALKWEHYKLQSDDQGVTTAARVWNEFWERYRLPEGEEQALQARAHSVFDKTATKVVRDMMSNARIQCVCLYYKKIKLQDMNKKLGAFEIYLREDEYLQVDISGLPWLRKCPDA >PAN10643 pep chromosome:PHallii_v3.1:2:8531195:8534130:1 gene:PAHAL_2G107700 transcript:PAN10643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAVALNVGGELFQTTAATLSQAGAASPLASLGPSSPSAPHFLDGDPRLFAQLLSFLRHGRLLAPAPPSAALLAEARRFALDGALLASLSPASAFAPLSLRPSALLPLAGRVPPSAVAVCPSPPHPASLVAAHGGVVTCFDAALATRASVLTPLPAVDSLVAVSPALALAGARDFPGVHLCRFSDDDPAAAAVPEVLSWPGSPSATVLSMAATVPSGAPSAPWLFASFESARRNSSAMVAFDLNSLSPVAEIGRKEVFGADVEAAIPATKLGWLCEHNLLLAAGSHSSPGGVVGDIRLWDIRASATVPVWEVREKEDCFADVAASDTLSALFKVGAASGEVFMADLRRLGNGGGIGLEPWVCIGDGQRAAAAASSRRKEGNGCMIECYCNWVFVTRGADVEVWSQVELAPEAGGKKVMKRNWVGSGPYMDAGAGEEAVKEKAKIVSWAFGGSRMALARADKRSVEVWDSALAKICANP >PAN14724 pep chromosome:PHallii_v3.1:2:57749029:57751979:1 gene:PAHAL_2G500700 transcript:PAN14724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSTSSTASSPPIPKGGGGGRRQPPRVLSIPVRSSRRRSLSASGGPDRSGVPVPASRDPISLPRPLTSADLMEPSGDGLKVAYQGCPGAYSEAAAKKAYPSCHTVPCEYFETAFQAVEGCLADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANQGVKIENLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTAAVASSLAAELYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKRPLRIADDNCSAPLKHFDYLFYVDLEASMADPNAQNALGNLKEFATFLRVLGSYPTDVSEA >PAN15437 pep chromosome:PHallii_v3.1:2:56905515:56907432:1 gene:PAHAL_2G487600 transcript:PAN15437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSCLSLLVLVVLASAASAQLSTTFYDTSCPRALATIKSLVNAAVAKEARMGASLLRLHFHDCFVQGCDASILLAGNEQNDPPNLTLRGFDVIANIKAQVEAICKNTVSCADILAVAARDSVVALGGPSWSVPLGRRDSPKAASTSMVLQFLIPATSSLAELIEGYDKLGLNPTDLVALSGGHTIGQAHCTSYQDHIYNDTNINQAFASSLRASCPRTGGGSVMAPLDTATPTAFDNAYFKNLLSQKGLLHSDQELFNGGSTDNIVRNFASNPSAFTSAFVTAMVKMGNIRPLTGSQGQIRTTCSAANS >PVH63708 pep chromosome:PHallii_v3.1:2:6608078:6608724:1 gene:PAHAL_2G089700 transcript:PVH63708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVRNSPPRAPWRCPMARPRACGHQLPAQGAFSYGLELRTKLEPPDEDGAIIISGAGEGFPQPISSSQLGSQSFSDFFATDLFFEDELCAYWMDSREAAPVPGTSISCRQTTFLCDDASPLRRGLPSPLDFSKATI >PVH63803 pep chromosome:PHallii_v3.1:2:8877907:8878767:-1 gene:PAHAL_2G110400 transcript:PVH63803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPSLLINVLESLGVTERPRYYIREYEHHGTFRCRVILVIARSNRYPDIQPWRVTATGKALRYLCRIFERHLAPTPVRFFPPAIRTPVWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARASAAVSSEAVAQESLRQARDRRMQEWTRSGTPVPAIGEDHVLLGTPVIGWGPLFGNSQAPSENPESSATAVERDGAAQPLANGNPENGEQGLLTLPAPKEGTPRE >PVH64656 pep chromosome:PHallii_v3.1:2:47085877:47086350:-1 gene:PAHAL_2G326200 transcript:PVH64656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCQVGPAPKNKRPGPTAGDTVARRPLLRARRRAPRLHSQSQAARVLASRSRISLASARGLVPSLTLFSPRAPPLASAVSARHSARAFASAAAGRATPSPRFPPRSSSPAAAGAGGAAPGRQVRARARFGRSRGLLVARSWRGGGRGERCVGGEAS >PAN09835 pep chromosome:PHallii_v3.1:2:3923097:3923387:1 gene:PAHAL_2G053000 transcript:PAN09835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRRYPRILPACRCTARHGSGSAISWPREGDSRKVCTNRIIMFSEPPLLVDSVRGVLCVWILLWASV >PAN13137 pep chromosome:PHallii_v3.1:2:46498953:46500172:1 gene:PAHAL_2G316400 transcript:PAN13137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRSGAVSSEAAAESVRALTAKVVGLDGSLAQFAAPVTAHEALAATAAGTAPSSPRTRFLCCSDELDFDAPVRALGARDALQPGQLYFALPVAMLGRPLSAQDMAELAARAAAALGTAPVVVATAGSGRVAAGVPSRGRSKAGAASSKLQRRQTTGRRVAPLVDVIVTAHADGGWKSDHVRGGSYGEAAKAESGDRTVGKTRWGVGHRGAAPRPVAAVQRLSAIAEAASE >PAN15196 pep chromosome:PHallii_v3.1:2:55850623:55851579:-1 gene:PAHAL_2G471000 transcript:PAN15196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALHVAILAAVLLLPFLSVPAEAQTKKFCLTQFAIASQACAILPPTSPEHRHHDHDDDEDEDEDDDDEDEDEDEDDDDSGGGGGGGGGGGGGDDAGGGGDSGGGGDSGGGGGDSGGGGGGERNQNKKSDVVSKPAASSGVVSKASSPSGGVTGKPASSMITVVETEVDAAEEDVAVARRNGTRRSVGNHTRSGGGDGVTRHRRSRSSRRRRRRHRRGRLRDGEDGGDEDEDEDEDEDEDEDEDDDDDDEDDDDDDDDEDNDHRAYRDCCRWLKEVEPDCVCEALLRLPPFLVKPQHKYTVKVGDSCKFTYRCGGT >PVH65440 pep chromosome:PHallii_v3.1:2:57422648:57423118:-1 gene:PAHAL_2G494800 transcript:PVH65440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTANALALLQEEEVEAARRKPTVKTEHKDFSKSGSWGFSTSEKTKASYKQEDSKAGDKSASHEKWAALKAYRKAHNLCYICGEKWTGHNHKCPDQVPLHMIQELLEMFQLEDCSDCDGEPYDSADEIIMALKADQSGSVIKKKRRTMRFRGFIGK >PAN14327 pep chromosome:PHallii_v3.1:2:51733931:51736936:1 gene:PAHAL_2G401100 transcript:PAN14327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGDDAGMDAVQRRLMFEDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDAPVDQFTPVGRMLYKAPSDGKWGEHELDSILIIVRDVKLQPNPDEVADVKYVNREQLKELIQKADAGEDGVKLSPWFRLIVDNFLMRWWDHVEKGTLTEVVDMETIHKLKE >PVH64204 pep chromosome:PHallii_v3.1:2:36550024:36550611:-1 gene:PAHAL_2G214400 transcript:PVH64204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQSTGQPLPMPPPLTPEQFVQLQMQMMATLNNTVQALQHIHAQPPPPPPPQPRNRCADFLRGHPPTFSHATDPLQADDWLRSVERQLVVAQS >PAN13384 pep chromosome:PHallii_v3.1:2:47534999:47538791:1 gene:PAHAL_2G332800 transcript:PAN13384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARVLLVHLLLLFLPASSAADQRHRHYLSRRALHEPLFPLESTPALPPPPPAPFFPFLPGAAAPPAPVLTPEVGSASTPADAGAGDASSSSSSPHPTAPANISSLAALPVSHSAPLRSFLSSHRLLTVVVAVAAVAAAVLAAALVYILARRRRRPSPKEEPAVYTKPSSLPPANPVLYDGADQHGRGSTATASSTSSPELRPMPPLPRQFQQTRMNLPSSSKAVLDSATGGKRAPEGAPPPPPPPPPPPPPPMPPVKGNGGAQAAAAPPAPPPPLPRAGNGSGWLPRRLSERPVTTVIRASAGAVHPEESPGRAPSEKDADSDAVALPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRSSKSGVKGPDSSLCSQENKVLDPKKSQNIAIMLRALNATKEEVCKALLDGQAESLGTELLEMLLKMAPTREEEMKLKEFREDALCKLGSAESFLKAVLAIPFAFKRVEAMLYITNFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLKLADVKGADGKTTLLHFVVEEIIKSEGANILATGQPTDQVSVLADDLQCKKVGLKIVASLGGELNSVKKAAAMDSDALASCVAKLSSGVSKISEVLHLSQRLSSEDSCRRFRASIGEFLRKAEAEITTVQAQEGRALALVRETTEFFHGDSAKEEGHPLRIFMVVRDFLAALDHVCKDVGKMNERERAVTGGSSQRVENAPVPPRFNTVQSTSSDESSSSSP >PVH63722 pep chromosome:PHallii_v3.1:2:6910856:6912904:-1 gene:PAHAL_2G092300 transcript:PVH63722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFFEPSNGPASCSSDQRAGSGPALAHVSPTVPPNSSGRDPAVPNQPSPLPLLSPHGGGDAAARKERVRLFLHDPPPPPRPARAPHRARSAGQGRLRASFRRSGARRLPGPPTAKGFRLSRPPPSSSSPSSSALEDRRGEGIHPGLDRPLLHLLLNSELDNGSGDRESSGLFTT >PVH64146 pep chromosome:PHallii_v3.1:2:33034336:33035467:-1 gene:PAHAL_2G201000 transcript:PVH64146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRSSSAVEAAANVGASAWAGKEKTKAVVEEKVAKARARDPAAKAAADARMEERVRGVEAAKQDAMRHNAAAKERASAAEHHPTPLGVGSATAAPPVSAGPGVHVLDRSSAAPAPAASATDGGHSATGGVPPASGTA >PVH63707 pep chromosome:PHallii_v3.1:2:6608725:6610836:-1 gene:PAHAL_2G089800 transcript:PVH63707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFGRINQPFLLSGRLRSRHHERRLQVSSPLAWPRGSPSGGGGVGSSSAAYRCELPKDDAITVDTIWEHCDREDAAFASLSISSSRIRGACLSYSLSHLLKRRSFRLDCAEAGLAETRRFVLDGLLSEDNTEEHTEAFRVIEVELGFLHDFFYTKWSSIFEVETSFFVTAVLKIILTFMLGAVVILKHIPVTESTTRIVDVVATVLVLGALVAVEASQTAMYLGSDWAMVSLACCRLTAGTSRFLPFALRKPFGFLCRRALFSYWHNSMGLYSVIEGSRFLMRSKAPLSPLKLNSSPC >PVH63519 pep chromosome:PHallii_v3.1:2:3281853:3283791:-1 gene:PAHAL_2G046100 transcript:PVH63519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMGSLDFAIAGAHAGVLPVRVPAAALAPRRRALVVRAQAGDTEPREETSAASSSAPPSTPLAAPATPTPKPKAASPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPVLRGESAEGRGGGVMSADAELWNGRFAMLGLVALAVTEYITDAPFVNV >PVH64889 pep chromosome:PHallii_v3.1:2:50174935:50179157:1 gene:PAHAL_2G376700 transcript:PVH64889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMPSSRSVLSSCNRMVVLAPSRRVSASAKRKEKGVVCILGDEKNVEEGKIRRRAAFSLLLASPVLSVAFSAYAKSKSMNPYDERRLLQQNKKIQEANRAPEDFPNFIREGFEVKVVTSDNYITRDSGLMYEDIKVGTGNSPKDGQQIIFHYVGYNEAGRRIDSTYIQGSPAKIRLGNGTLVPGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLAVQDCQRRTIAFYSDVVCS >PAN15074 pep chromosome:PHallii_v3.1:2:55286969:55290816:1 gene:PAHAL_2G462300 transcript:PAN15074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKDEEKKNPPDVNKQLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDTPAQMASEMSNQELISAGRKQMDQTDQAIERSKMVVAQTVEVGAQTAASLAQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKSIRDIPGLAPPAMNRKLLSIDPLGGL >PVH64383 pep chromosome:PHallii_v3.1:2:41966296:41968421:1 gene:PAHAL_2G254400 transcript:PVH64383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQVKGWQMSKHRRRSRFFWSGLEAQRREQREDATEEEPQPQPWWLRMNHGSSSTNSITSTWETRSMEEDGGAGSREWLVAGAGTGSDGGGTGGVGGGPNAGGGAKPPQALPKSIGEVRGILEHNRVLIQEISQNQETHDTDGLTRNVSLIRELNTNIARVVDLYGELSSYYAHAVAAKKAAAAAGATAAAAGDKGAPKRPRSSSAGQQH >PAN12536 pep chromosome:PHallii_v3.1:2:43409175:43412339:-1 gene:PAHAL_2G271100 transcript:PAN12536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYKPVAAMVVTQCIYAALALWSKAAFTGGMSPLVFVVYRQAVATVVLVPIVIATNRKKMKEMTTGLGMVGFVLVFVASLVGATVNQCLYYQGVHLGSSSMATAMTNLIPAITFAMAASVGLEKVEVRRPRSLAKIFGTAVCVGGAMAVAFFKGPKLLGGLHALLHSPSSGSRWVTGALFLVGSSSCWSLWLILQVPICKSYVEPLALSAWMCLLSTLQSALLVSFLQPDPGAWRIRSLFELSCCLFSGVFGSGVTFYLQSWCISMRGPLYSAMFNPLCTVITTVFAAIVLREELHVGSLLGAIAVIAGLYVVLWGKAGDGGKRGREPEHADDLEKTMTRSDSMLDAGNGISEPFLRAEGDLTEK >PAN09719 pep chromosome:PHallii_v3.1:2:3322007:3322867:1 gene:PAHAL_2G046300 transcript:PAN09719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDDPITYEELSAEHKQRYDEIKTQFEADLIGSFERTRSHGVRWKGFSPEGALDGVDLSVPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPTLGSHRGELPFQTRPPLPYTLAAAESHGAPAYVVYKEGGDPMDHQFFSEPPKEIPHGYMCAYIPDSNNPVHSIQKAIGGVSGADADKQAWLATYATGPSHYSMHSAPGSQTAEQISTILRDQFGMLPKRRAIGYTKPYPGDYDLIPLPPKYRLPEFTKFSGAEGSSSIEHVS >PAN09423 pep chromosome:PHallii_v3.1:2:1568898:1573472:1 gene:PAHAL_2G022200 transcript:PAN09423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHASGGRHVLHDRSPINERALSLPVAIAIRPPLTSAGPIHLNREPCKNPNPPMAGGSGLADDGFPAARLFSQGVSYTYDDVIVLPGYIHFPADAVDLSTRLSRRVPLAAPCVASPMDTVSEAPMAAAMASVGGAAVVHSNADPATQASILRAAKARRVPFVSGTPFLAPSSVPSAADFAGCTYALVTERGDALSRLLGVAAAADRKPGVPVSEYMTPVPRTASAAFDFEQAAAFLADEGLDFAPLVSDEGAGEVVDLITAQDVERIRSYPKLGKPSLGADGRFVVAAAIGTREEDKRRLELLVKEGANAIVIDSSQGNSVYQLDMIKYAKRMYPEVDLIGGNVVTIAQAQNLIGAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVTSYAKDHDVPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSLEAPGVYEYKDGHRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGSVADKGSVLRFIPYTMQAVKQGFQDLGASSLRSAHDLLQSESLRLEVRTGAAQVEGGIHGLVSYEKKAF >PVH65312 pep chromosome:PHallii_v3.1:2:55188631:55189455:1 gene:PAHAL_2G460500 transcript:PVH65312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWTTCHPAPIRTPLRTGYRPTPSRHAAPTGHRRPSEFTAMARFAISLHHPEGSVYSSCSMQDKRRAWVLAWSDDSLAGPAIAAQWCLIAAYRGGCGPVHSSSFMGNGQWRGRWVPTCPRSTGHSVIFIV >PVH64530 pep chromosome:PHallii_v3.1:2:45213960:45215603:-1 gene:PAHAL_2G295900 transcript:PVH64530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQARHAARAGAAAVTYTLVIEMQLVIGLTATIFTAVGMLVNNDFHAIPEEAREFGFGRTGYYLLLAGSAATYQCFFLGTIGAIFFGSALLAGVVMTVLIPVTEVLAVLLFSEPFNGTKGVALALSLWGFVSYFYGEVQTAKANRQPDNNPPNAEHLDP >PAN11157 pep chromosome:PHallii_v3.1:2:33643152:33651918:-1 gene:PAHAL_2G203900 transcript:PAN11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDLEKLRAPMFDVPSATEVMLTGGYQRLPRCIEDIGSQNKLSPDEQKRALRKLDASVRYKVLVTPRPKEVSNVSVTDGIAVFCVDGEFKVLLTLGYRGNVDLWRILHMELLVGEKKGPIKLDESRRFALGDDIERRMAASDNPFTVLYAILHEFCISLAMDTIIRQANVLRQGRWKDAIRSELISDSGTGQTGNASLMQLVQDGEFDSSGFKIPGLKVNYWLDGKSTSTAEPDSSPFIKIEAGQEMQIKCQHSSFVLDPFTDKEANLSLDLSCIDVEQLILWAIACNRHTRLLTIQRQLCKNVQISQSPKDVILKRDVAAAKDTNKNAGKKGFSDCFGNEVLQVRAYGQAYISLGINIRSGRFLLQSPENILPPAALTDCEETLNKGSTSATEVFSSLRTRSILHLFAAAGRFFGLKVFQQSQGTLKIPETILHGSDLMVMGFPHCANAYYLLMQLDKDFRPVFHLLESQCDASDKTKTNANADAKEVIRCNKINVGQMQVLKNESNTNPFDVKLQALQSIMSSTDLMESDIPVQNGIEPLPLFPACSPSFSSIVDEVFEYECGSTAAHNHSVPPSSLPSTSHLNSLSVGIQGINPRAASPMHDGGGSCTQAINTLKVHPSVSLHSYLPSNFRHIQDINKSLQLVPSSNNNSNQIPVQSSHSGILGNSVSNHLVRPSTTTGGLEKLITAGSDGASRKRSLSDFLPSIPSLQGLQPSEPRKRRKISQSVQSPLPLPAYSSNLQSRASLTCGDVHEERNNYVPATIYASVLLHVIRHCSLCIKHAQLTAQMDSLAIPYVEEVGLRTPSSTLWLRLPFAQDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELNGGSTMTQWGVGIRIANTSEMDSHISFDSDGVVLTYNTVEADSVPKLVSDLRRLSNARSFACGMRRLIGVKVDDKVDDNQLSTEMKPQSVNKSNSDASEKLSEQMRKMFRIEAVGLMSLWFSYGTMPMVHIVVEWEIAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYSTMPKQANIPTQGPLANGSSSSTIHHAPVPSNAAAAHLGSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNATQASVHLNNNAGAPQTAPGASRLSATPGVSMSRPTSGVANHVAASLSRAGNAMLASSALASGIGGASVRLTPGAGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELVAAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIELCLENHSGSVSADNTESSLAKSNIHHDRAHSSVEFALTFVLDHALIPHMNVAGGAAWLPYCISVRLRYSFGDNNHIAFLAMDGSHGGRACWLQLEEWERCKQKVARAVETVNIGSAVAGEVGQGRLRMVAEMIQKQLQLCLQQLRDGPLSAGSTAS >PAN11158 pep chromosome:PHallii_v3.1:2:33642428:33654170:-1 gene:PAHAL_2G203900 transcript:PAN11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELGQQTVELGAVVRRAAEESYLALRELVEKSQAEAEGKGIGAGANGGWQRSDTEKKIDLLKFITRTRQRMLRLHVLAKWCQQVPLVHYCQQLGSTLNSHETCFTQTADWLFFMRDDLEKLRAPMFDVPSATEVMLTGGYQRLPRCIEDIGSQNKLSPDEQKRALRKLDASVRYKVLVTPRPKEVSNVSVTDGIAVFCVDGEFKVLLTLGYRGNVDLWRILHMELLVGEKKGPIKLDESRRFALGDDIERRMAASDNPFTVLYAILHEFCISLAMDTIIRQANVLRQGRWKDAIRSELISDSGTGQTGNASLMQLVQDGEFDSSGFKIPGLKVNYWLDGKSTSTAEPDSSPFIKIEAGQEMQIKCQHSSFVLDPFTDKEANLSLDLSCIDVEQLILWAIACNRHTRLLTIQRQLCKNVQISQSPKDVILKRDVAAAKDTNKNAGKKGFSDCFGNEVLQVRAYGQAYISLGINIRSGRFLLQSPENILPPAALTDCEETLNKGSTSATEVFSSLRTRSILHLFAAAGRFFGLKVFQQSQGTLKIPETILHGSDLMVMGFPHCANAYYLLMQLDKDFRPVFHLLESQCDASDKTKTNANADAKEVIRCNKINVGQMQVLKNESNTNPFDVKLQALQSIMSSTDLMESDIPVQNGIEPLPLFPACSPSFSSIVDEVFEYECGSTAAHNHSVPPSSLPSTSHLNSLSVGIQGINPRAASPMHDGGGSCTQAINTLKVHPSVSLHSYLPSNFRHIQDINKSLQLVPSSNNNSNQIPVQSSHSGILGNSVSNHLVRPSTTTGGLEKLITAGSDGASRKRSLSDFLPSIPSLQGLQPSEPRKRRKISQSVQSPLPLPAYSSNLQSRASLTCGDVHEERNNYVPATIYASVLLHVIRHCSLCIKHAQLTAQMDSLAIPYVEEVGLRTPSSTLWLRLPFAQDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELNGGSTMTQWGVGIRIANTSEMDSHISFDSDGVVLTYNTVEADSVPKLVSDLRRLSNARSFACGMRRLIGVKVDDKVDDNQLSTEMKPQSVNKSNSDASEKLSEQMRKMFRIEAVGLMSLWFSYGTMPMVHIVVEWEIAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYSTMPKQANIPTQGPLANGSSSSTIHHAPVPSNAAAAHLGSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNATQASVHLNNNAGAPQTAPGASRLSATPGVSMSRPTSGVANHVAASLSRAGNAMLASSALASGIGGASVRLTPGAGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELVAAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIELCLENHSGSVSADNTESSLAKSNIHHDRAHSSVEFALTFVLDHALIPHMNVAGGAAWLPYCISVRLRYSFGDNNHIAFLAMDGSHGGRACWLQLEEWERCKQKVARAVETVNIGSAVAGEVGQGRLRMVAEMIQKQLQLCLQQLRDGPLSAGSTAS >PVH64578 pep chromosome:PHallii_v3.1:2:45861118:45862647:1 gene:PAHAL_2G305700 transcript:PVH64578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKEKFQIADYPGYNQKRGDGARSDQPFPLGPCTFKFQIGDCNKWKESSKNKATSTSFLGGSNAHPSGKLETDTRVLHRLPPVQARPWLHFTPPANTGCMPIPPQPYIVAQRQQPDPPQVSLDGIVRALFHAQPMFSITGPSLNAFCAPGSISSPMSRIACPNLLAPQEQPWHQQRNIAWPLGGDDCSYFEHQRCLQRDCEAQWKAVMPGAAGLSYSSAFLKQCYRESATVQMEEGLRECEVQRKAMMPGAAGLSYSSAFLEECYRDRQLFSGRRD >PVH64488 pep chromosome:PHallii_v3.1:2:44320955:44322089:-1 gene:PAHAL_2G283100 transcript:PVH64488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTPRSSIGHILPGAGFLAVGLWHLFSHIRLFSLSPGAYVAPVWFPAPRVRHLELILVIAGSAVEFAMEMFVDHSTFLPFDADGSIPSDRLHNHEHAIICLALLVYAASALHLDRVRARCRGTLSLLLVAAVFAQELLVFHFHSTDHAGLEGQFHWLLQLVVAACLATALLGIAFPRSFAVSLVRSACITFHGVWFMVIGAMVWVPSRVPKGCSLVQEDGRDTVRCHSKASLHRAKALANLQFGWYLTFMTVFVVALYLYVCKRYPAEATYLRVPEASEEEENLEQRKCGALRGGDDVRHGFTPLEIEV >PAN13261 pep chromosome:PHallii_v3.1:2:46963614:46966485:-1 gene:PAHAL_2G324000 transcript:PAN13261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYSILTRDHSKICDLADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSSLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIDLRKD >PAN13523 pep chromosome:PHallii_v3.1:2:48193378:48193716:-1 gene:PAHAL_2G344000 transcript:PAN13523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEGAGRGCLSALRAKAWRHLGPEPGCAVRARRAPARACNRGARPQPGGAWGSSCRVSRATRWWSCGRMTEWLMESLRKDATGRGARGRQGPPWETGAAKPRGTPNCSF >PAN15349 pep chromosome:PHallii_v3.1:2:56550360:56556040:1 gene:PAHAL_2G482200 transcript:PAN15349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGTATIGSSGMDRRLLQAAVAGYTAEMESIVRDDPDMLLGTTPQGNTCLHIASAHGHQGFCKYIIEVQTQSRLLNTVNTDGETPLITAVTSGHVSLASYLLKCCLDDGHLTEAILNQDKHGCNALHHAIRCGHKKLALELIEVEPDLSKAVNKYDESPMFIAAMRNFTDVVEKLLEVPDSAHGGTSRFNALHAAVRNGNSVIAKMLVERRPVLVRQENSAASTPMRFAIIENKISVLRVLLEHDFSLGYEVYTNGTILLHYAAERGNVDAARELLKHCPDAPSIVRDGTSCLHTAVHCDQTEFVEFVVQSQQLRKLINMRDKDGCTALHRAILKCNPKIVAALLCHQDKDVTMLNRSGNPAIWVPSDAVDHAKTLNWNEVSMLMLKADPEAAGAIYNLQRSIKAVVIEKSKKDIRSLTQTYTGNTSLVAILITTITFAAAFTLPGGYSSDAGSQGLPVMGRSLAFKAFLISDTLAMCSSLIVAFVCIIARWEDLEFLLYYRSFTKKLMWFAYMATATAFATGLYTVLAPHLKVLAIAICVVSILLPIITKLVGEWPTLKLKIQLGKTFKSDLLDMV >PAN13057 pep chromosome:PHallii_v3.1:2:46131257:46132938:-1 gene:PAHAL_2G311000 transcript:PAN13057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNDCYVKVRRIYTSGYSEDMWLEKAHKMYVEDSQGSHFVLMNVWNMVRNQAKWICYNSPVKDTETANIVEGGGVEDFDLPRPMGQKKAKKVVAANKGKSKESAINVDELDRFEKVQNGVHANRLKLLEMQEKLNNDKMEVSKIDLERAKEEKAAKLIEKETQMMETYSRLLTQDTSGMSDDTKAEHVIAIRCLRMKLFPGSS >PVH65381 pep chromosome:PHallii_v3.1:2:56431537:56432612:-1 gene:PAHAL_2G480000 transcript:PVH65381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPTLLQASSGGPIRYITASASNMLSYRLQCHRMGRIVAQLAPKQAQLTLGNWKKNSMRRCRKPLLCDTASDCCRVDLYSHLTDGETNLPISRLRLARHRLASGFPATAPQPRTPPHKLGWKPKSDSPSVLHAPLTGLFAILHRCQFQLSISSAVACSQTPSIYRNSQEELLR >PVH65382 pep chromosome:PHallii_v3.1:2:56431538:56432612:-1 gene:PAHAL_2G480000 transcript:PVH65382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPTLLQASSGGPIRYITASASNMLSYRLQCHRMGRIVAQLAPKQAQLTLGNWKKNSMRRCRKPLLCDTASDCCRVDLYSHLTDGETNLPISRLRLARHRLASGFPATAPQPRTPPHKLGWKPKSDSPSVLHAPLTGLFAILHRCQFQLSISSAVACSQTRKCSMKCQLQYIETLRRNCLDDGPRSVDFKSCCESDVRMEQDVLIKSPCVSLV >PAN11268 pep chromosome:PHallii_v3.1:2:15792685:15795152:-1 gene:PAHAL_2G151800 transcript:PAN11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFAALFDPLYCPEEHLDLYHEEPGEDSEEQWPAALDDELPALFEAHRAKEGVVLAAEGEEDGYGGAAGREAAVGWACRAAARLGFSALTAALAAAYLDRCFLSGGALRLGDRPWMARLAAVACVALAAKVEETRVPLLLDLQLCAAAGPDADPADAYVFEAKTVRRMELLVLSALGWRMHPVTPFSYLQPLLADAAMRLHNCDGVLLAVMADWRWPRCRPSAWAAAALLATAGCGDDDSDLLALINAPEDEAAECARIISEATGMSFLAGAGGAGAGNKRKHATARMYSPPLSPSGVIGALSCFSCESSSSATADSRPASTAAAAAGAWVASVSSSPEPAGRDPKRAAAALPVPHPLPPDEESRDAWPSTCAA >PAN11762 pep chromosome:PHallii_v3.1:2:36683191:36690084:1 gene:PAHAL_2G215400 transcript:PAN11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQAEELFQKVLEGGSKTKVARLLGLDVGSKYVGLAVSDEKNRIALPLSVLSRTKTNINLMADDFKTLAVQVRLLAGELCKTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTITDKFAAVCILQGYLDNMNRKFRPADKSEA >PVH64364 pep chromosome:PHallii_v3.1:2:41549595:41552368:-1 gene:PAHAL_2G250000 transcript:PVH64364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPRVCARRVLRRRRPRQAVVGAEAGGETLAAAPLPDLGHGEAVTAREHLLALLLVAAAAARCGARAAGIAVPHVDGDQEVLVGEHVLVGAHGVAPPPVDAAAVAGARLGVAVGVREPAEPDGEHDAARGGRVWHLILLLLLLLLLLDVRPDGRRVRLGGGGEVARRELGAAERAGGAGAEPDVDAGDVERVAAGREQAEAVMVGELAEADGAVERPGRLLLPLPGGGGGGAGELAVRELRHRVDGGLVEPAAAGAGAVLVAREEEVLQLALPAPRAGVVGLEEEPAQDVEQARDEQHHGEHHRDEEHRGRDPRRHRRGGRGRLPPRRRRSVHRRRRRLLQNVRKSTAAGTEEVPIGVAGLGLPRAKPAARPPHHRGHRHLALPPPAPAPSVAANAAALIHDEGTGRQPPLPPAARPEPHAPTTYIDGDDRPVRRRVS >PVH63752 pep chromosome:PHallii_v3.1:2:7603576:7605565:-1 gene:PAHAL_2G098100 transcript:PVH63752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFSAVVVITTLLLSPLLALVVQMLRQQIVHNKPTPPEPTPIPLVGHLHLLLKKPLHRTLADLAARHGDVFSLRFGSSRVAVVSSAPVAHVCLGALDVTFANRPRLPSGRVLSYDWSTMGHANYGPYWRHLRRITTTEISSADRVRHFADVQMQEARAMARRISRVPLGPGGRALVDLKPRLFEMIMNIMLNMICTRTCPPSDEQDGTRMEVSEEARWFMATAEETIELTSTVWDFLPAPARWLDVGRVGRQLQHLQANRKRFLQRLVEGHRETEKGEEVTRRRVVGVLLELQKEDSEACTDQLIHSLCISALEAGTLSSAYTIEWAMSLLLNHPEVMKKARDELDACAGKPERLIEAADLPNLPYLRCIILETLRLYPVVPLLVPRESSADCTVSGFHVPRGTMLLVNTFVINRDPGTWDEPETFLPERFEDGRSEGKMAISFGLGRRRCPAEKLGMQMVGLALGTMVQCFD >PAN13606 pep chromosome:PHallii_v3.1:2:48586248:48589444:-1 gene:PAHAL_2G350200 transcript:PAN13606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVEMNDSQAAPAAVSDPPAPAQSTLHHLKEIASVIEAGSLSKEVRRISRAVRLTIALRRRLAARDVAAFLAFALPPSSEAFARLSALVPKEDGSEMDVDTAAPTAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKTCASAGIARLKSLNRRTVDVLASRLYSYYSYVHELTNTLAEIRGTLLALHRMATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKAALIPYFELTNAVRVGDLELFRSVADKFSSTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGCMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERFQQEEELAKHMAEEDDDDF >PAN14794 pep chromosome:PHallii_v3.1:2:54326898:54328967:1 gene:PAHAL_2G445000 transcript:PAN14794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGLPAGDGGADARRQTQAWRSSASAGEMGGDARPSSSPTASPHRPQIHRPPYRARTPAMYGAVHSSVKPQHSHATSSSSSSTSAISLSMSALVAGRSRPQLRERAPRSRSAAPPPQWLPLQRRRRAPGQWLPLQRRRRAPGRGRGRLGLLLFPSASSAPPRAPLPSSLSRSSARRPAF >PAN14428 pep chromosome:PHallii_v3.1:2:52204249:52206062:-1 gene:PAHAL_2G409400 transcript:PAN14428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLLACFGRRGGGVTAAAGDEAAEDPQQQAAPGPVLVELFASQGCGASPEADAVASRLAQDSAEQEGGGGGPAVVVLAFHVDYWDHSGWKDPFASSAWTVRQKAYVEALRLDTLFTPQVVVQGRAHCVGTDQDALAQAVRDAPRFPAPAMKVTFQRPSPTTLNASFTGALRSRAEGAGGASVLAALYESGLVTDCGRGENKGKSLLNDHVVRRLEKVAAVREGASARKTVSGTVQFALWDGFRAAKCGVVLFVQNAALQVLGVQHFDLPDNV >PVH64059 pep chromosome:PHallii_v3.1:2:24459360:24459569:-1 gene:PAHAL_2G175100 transcript:PVH64059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFICRNDIETSFNLEIRIISPTMRGPWFGITKVVDSYTTNFKDPVHEIVDKLPCGYGDVVKLFYFYTDS >PAN09485 pep chromosome:PHallii_v3.1:2:1956452:1959162:-1 gene:PAHAL_2G027200 transcript:PAN09485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRGDVAAGVVPAAAQGRRWRRASGGGGDSGEESDGSSGGVELSLRLSTGDSSGTPRAAAVVAEEEERQAAAARRRRNMTIFYGGRVCAVDVTEVQARAIISMANEEMMIMAAADSNRQQCHQRDRQLQDSGSSSCSSAAVARCARDAHVRPAAVAASLAAGSSRQGFAAVAAASVIDQAASGLSMKRSLQRFLQKRKARTAGAVAPPYAGGWHAQAMRH >PVH63571 pep chromosome:PHallii_v3.1:2:4267617:4269932:-1 gene:PAHAL_2G057700 transcript:PVH63571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPPPPFPEKEPIYDINQLPLDPGERQSIANYPINDQDTVRRAYIIKGPYQPYAHDFDNDSRKIGGKDRKFNPLWFYKYPWIEYNVKKEASFCFVCYLFGKGRSKTSAFVDGGWNNWNRTDALDIHVGGVTSAHNVAQERYNLFMTPHGAIDDKIVKVDSEERRLYKMRLTYSLRCLRFLLQQGLAFRGHDESEESSNRGNFIELLKWLAANNEEVDKYVLKNAPYNCTLTSPDIQKEIIQCCAMETRDQIIKEIGDDHFTILADESSDVSHKEQLALCVRYIDKSGRPCERYLGIVHVDDTTSSSLKEAIQSLLVNNGLTMTQIRGQGYDGDSNMKGEIKGLKTLIMKESPSAYYVHCFAHQLQLVLVAVAKDNDDCVWFFDRVSLLLNIIGSSCKRHRMIRDHQYDNVMKALQCGILESGSGLNQEMGLPRPGETRWGSHYKIVVNLIAMYPTIRDVLIALGRDTSARGDWPKIHTMVGVLESFDFIFNAHLMLDILGHTNELSECLQRKDQDILNAMSLVHLAKSKIQQMRSDGWVSFLQRVTIFCNKYGIQVPEMEHNYVPYGRSSRFAPDQTNDDHFRREVYIGVIDKISQELDSRFDEVNMELLTCMAALNPADSFTSFDANKVHRLAEFYPNKFSSSDLLRLDLQLETFIDDMRKDELFKGINNLVDLSVKLVETKRAKVYHWVYLLIKLVLLLPVATASVERIFSAMTFIKNKLRNKMGDSLLDHCLMTFIERDIFLKLSEEEIINTFMAIKRRRPDKKKK >PAN13436 pep chromosome:PHallii_v3.1:2:47743665:47745474:1 gene:PAHAL_2G336200 transcript:PAN13436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDTAHRDHLLAALHGVLGAATLLLCLLAELVVFALRRHAAFYLAPAAAMLLVGRLRRRAAAAEIGLVDFACLKPPRRLRIPVAGLLEHFRLIGCFDGGSVEFMTKVIEASGMGNETYFPPSLHYIPPAATHAGAVQEAHMLFFPTLDDLFAKTGVPPSAVGALVVNCSGFCPAPSLAAIIASRYRMRSDVRTFNLSGMGCAAGVVGVDVARGVLLTHAIPYAVVVSAEIVTVGWYSGKDQGKLLLNCYFRTGCSAALVTSRRGVAARVPVKYRLARLTRTNQIANDRSYRSGYREEDGEGVTGFTLGQGVGRMVSELLRAHLVTLSLSILPWREKLRYARALLLLLSSRRRRDDGSNKLARGGGSGSRPAVPLPDFRAAADHFCLPSSGRPMIWRLGQGLGLGEREMEAALMTFHRFGNQSAASLWYQLAYLEAKGRVRVGDTVWQLGVGSGLKANSLVWKRAAGSDGERELGPWADCIHRYPVAET >PAN12003 pep chromosome:PHallii_v3.1:2:39879286:39892327:-1 gene:PAHAL_2G234600 transcript:PAN12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAVARLIRSSSSTSANTSHLSSSPVLLNTGNAFFSSAIPSDPKHIEEPFKVEEAETVKVKPPSPDKLLVLGGSGFVGSHVCKEALDKGFVVSSLNRSGKPSLSESWADKVIWNQGNLLEPSSLKDAMDDVSAVISCVGGFGSNSFMYKINGTANINAIRAAAEKGVKRFVYVSAADFGLVNYLLKGYYDGKRAAEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGVVGAPMEMVLQNVKPLTRLPLVGPLLTPPVSVTSVAKVAVRAATDPVFPPGIVDVHGIMRYSEQK >PVH63794 pep chromosome:PHallii_v3.1:2:8595004:8597381:1 gene:PAHAL_2G108500 transcript:PVH63794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRAEQEVFKTITPRVATLIYTSGRSGTPKGVMLTHQNLLHQDQQRLGAIIVPNNDEVLAEAKKESPSFMRMAK >PVH63459 pep chromosome:PHallii_v3.1:2:2317367:2317879:1 gene:PAHAL_2G032800 transcript:PVH63459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAKVMKRSGVSGGLQGPRPQPLSLPAAAASSARPSKRPRGDDGVGGGPGPVIVYEHTPRVIHARPDEFKALVQRLTGQQQRTTGDQEPVPLLPAAEPAPSSSHQEEETRTAAGDPLVLTLGQQAPRLHDDRTSVGLLSPGAGFLLSPGSLLFSPATMQAIQEFISS >PVH65220 pep chromosome:PHallii_v3.1:2:54092851:54098010:1 gene:PAHAL_2G440300 transcript:PVH65220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRHLLRRLLPSPATGAAAATPPSPFLRHLSTATTPAPTTSLASSLAAALAALSTTPPPATTPDAYFSLHFSDVRPTNALLVEALALSPPASSRAAADLFRFLVRRRSLHPSDGALAPVVRHLARRRDFPAVRALIQEFPTALGPATLDAYLHQLARAGRPTDAVKVFDELPEQLRNREALTSLVSSLSAEGFPSHAERATKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDGYNAVLDCVCRLCRKKDPLRMSVEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIRSLYQAARISEGDEMMTWMRSAGFGDKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGVKSYSLLIENLTRHNLGDRANALFREAVARGVTVAPGEYKTEKRFVKAKKEKKVKKRLTLPEKMRLKSKRLYKLRRSFVKEPIRRIGV >PAN13324 pep chromosome:PHallii_v3.1:2:50763138:50765103:-1 gene:PAHAL_2G385500 transcript:PAN13324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQENGSSLVLNYPRSPDFTEHLQLHLGQVSLRSWSNSSGGWVSRWTLPSDCKSGAFFCGRFGACKSDGKCDCVDGFEPSNPSEWQLGYFVSGCSRSLLLSCEINNGQTLHDDSFVPLDNLQGLPYNSQNHSARSEQDCREACVSRCYCVAYAYDSGCKLWYHSLYNVSFASRPPYSKVYLRLGSKLRVRKGLQTIGVVSMVVGLAATTCVILTLALLWRYRRGLLTCRKFQAEGPLAVYPYAEVKRATRNFSDKLGEGGFGCVFRGTMPGSTLVAVKRLKGLGHVDKQFRAEVRTLGVIQHTNLVRLLGFCVKGSTRLLVYEYMANGSLDSHLFSEDSCLLTWDLRYRIALGIAKGLAYLHEGCEDCIIHCDIKPENILLDAEFCAKIADFGMAKLLGREFNSALTTIRGTMGYLAPEWVSGQRITTKADVYSFGIVLLEVVSGRRSTVRLESGSHRYFPLYAAAQLREGNVLRLLDRRLGGDASVEELGVACRVACWCIQDEESDRPSMGQVVRMLEGVVNTEIPPVPSSFMDLVEGENSSVY >PAN15233 pep chromosome:PHallii_v3.1:2:56001718:56005949:-1 gene:PAHAL_2G473700 transcript:PAN15233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPADGAAYWLRWQVFVCGALIALPAAAAAALLPRLRRAAPPLRATDLWVPCWARLHPGWLLGYRAFALAAAVALLARLLIGHGLTVFYFYTQWTFLLVTIYFAFATAISAHGCWVYAKKISRKADESHGFLNDDVENRGLSTSISVELKKDETNKLPSYYEQIVNEKRAGFSGRCMQIIYQTSAGATMLTDVTFWGLLVPFFYRDKFGLSLVTDGMHSLNAVFLLIDTVLNNMPFPWYRMAFFVFWSCAYVTFQWVLHACGGLSWWPYPFLDLSSSGAPLWYFGMAIAHIPCFFLYWLIVKAKHTYFPRMFPHAYARTV >PAN12033 pep chromosome:PHallii_v3.1:2:40202584:40208372:-1 gene:PAHAL_2G237300 transcript:PAN12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFRESRRDSSHSSSSNGFLPPAAAASSSSALPSPFPDLGVPLTAADLREAAYEVLVAASRTTGGKPLTYIPQSASGAAAPASPASSASSVSSASLQRSLTSAAASKMKKALGLRSSASSKGVGSPGSGGKAAGPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDFPDPQEYEAWRSRNLKLLEAGLLLHPLVPLNKSDSSAQRLRQIIRGAYDRPLETGKNSESMQSLRTSVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNDEGTVVDEIDEVMELLKKTWVILGINEMLHNLCFTWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARSRVETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKKLYSPILKTWHPLASGVAVATLHSCYRNELKQFVAGLTELTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERVDRLKGWVDRNLKQETWNPGANRENFAPSSVEMLRVIGETLDAFFELPIPMHPALLPDLTTGLDRSLQLYVSKAKSGCGTRNSFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRVSQNGTTNGNDPLGLPQLCVRLNTLQYVRGELENLEKKIKTCLRNVESAQADITDGLDIKFELCQAACQEGIQQICETTAYKVTFYDLGHVLWDTLYIGDTATNRVEVLLRELDPVLETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFRALRDLYLADGDGLPEELVDKASSQVKNVLPLFRADSESLIERFKRMMVESNRSASKNRLPLPPTTGHWSPNEPNTVLRVLCYRSDETATKFLKKTYNLPKKI >PVH64596 pep chromosome:PHallii_v3.1:2:46137457:46138050:1 gene:PAHAL_2G311300 transcript:PVH64596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTRGARPVKGMAHAAAGPCHIASPRVRPRTWTDHAKSKSRSTRPHRRAAAASRGGAACCVRAAVAAAHSSRTEPPSCRRSPDRPRPLAALVGDLKSPCRGPGNVDRPVRIRPDSCRRDAGRAGAGRPVHQPQPPLRPAKGCASEATPPERGGERPPDTSASAAGLIRFQAGGPCLSGRQVAAARPGPDDNSKPGT >PAN10709 pep chromosome:PHallii_v3.1:2:9080108:9082967:-1 gene:PAHAL_2G112000 transcript:PAN10709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVEHDFHMAEGEGDWSYSKNSRRQEIVIRETKPIIRSAIIEVYTALLPKTMVIVDLGCSSGPNTLLFISDVIRVIADQCKTSEGDPVELQFFLNDLPGNDFNELFRALEKFKKSNIADQPGHAPPFYYVSGLPESYYNRLFPRQSVHLFHSSYCLHWRSQVPKGIDASRETYLNEDNIYITNTTTPDEVKQFQEQFHKDFSLFLKLRHEELVYGGKMVLVFLGRKDEDVYNGDLNQLFGLVARSLQSLVLKGLVEKAKLESFHLPVYGPSVAEVKEVVMQSKIFNMDQITLFEANWDPFDDSEGCDVHDSTRSSLNVAKCIRSVLKSLIVSYFGEAILDALFVEFRCLVAKHLEEEKTKFAVIATSLKKI >PVH65305 pep chromosome:PHallii_v3.1:2:55048096:55049929:1 gene:PAHAL_2G457900 transcript:PVH65305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADATMQVTFLPVLPAKAVAPRNIPTPLALPRGSYKARLPPPPFLQQEPRRTIAASCSIRSKGSLRSTSSTLQTDHRAIKLEDLSMAERNATVRPLLRRMLSFREPLLLIPYLLTFLGAAASAFVRSHSSLLHALARSLVPSKCAYSASPASGDLPAPRRDGDEAEEEEAAAAEDAALSKEEVEAIMGAIGLAASGRGEGLAAAMGRDEVSRLFDAEEPSFAEVRSAFAVFDGDRDGFIGAADLQGALARLGVREDAAACRAMIAAAGGGRDGRMSLFQFVGFLENGLC >PAN11964 pep chromosome:PHallii_v3.1:2:39361578:39363965:-1 gene:PAHAL_2G232300 transcript:PAN11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSKDDQFIVDECKDQKMIGTKPLKESGSNEDYAALMAALNANREWLQAKVSINNALVMEGASQSQSQPSDFFSVGECQGQKTIDGEQMPLVVGPSTADEDHTSHEALVAALRANREWLEAKVVANSAVLLRGFDVRDAAEFDAVVEAMGWSDIRYVGPAPRTHVHGRVWTANEGPLEQFVYFHHEMVLIKEFPEKIILFCEVPPPEGGETPFVPSFRVTERALEEFPETVEELDAKGLRYTLTALSKNDTKSMRGRGWEDAFGTSDKAEAEKRAKALGMDLEWLPDGSVKTILGPRTLTRVFPGRRGRRMWFNTVVGMHGKDESSATAADGSEIPANFVQRIGEIIEEESVQFRWRRGDILILDNLATLHARRPSLPPRRILVATCK >PAN09510 pep chromosome:PHallii_v3.1:2:2163749:2165757:-1 gene:PAHAL_2G030200 transcript:PAN09510 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein CP33, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52380) UniProtKB/Swiss-Prot;Acc:Q39061] MAAAAVAAAAATFRSLHQPAANGVPVPLPSVRLQGLQRHRVGLGLFASPRGRPVLLPPSAAAAGEAFSSDGEEFDGEEEEYFDEGESEQEEEQVEAPRAYSSPRSRPPRGEEPGRLFVGNLPYTFTSEELSEAFAEAGRVDDAQIIYDKVTNRSRGFAFVTMATAEEAAKAIQMFDGALLGGRTARVNYPEVPRGGERRTVTTAGRRRDDGTYKIYAGNLGWGVRADALRAVFEGQAGLLDSRVIFERDTGRSRGFGFVSFRTAEDAQAALEALDGVELEGRPLRLSLAEQNPPPGSPPSAVQAQQEETASDISDAETEATSSSELSEAEFDESNLQTSATYS >PVH64410 pep chromosome:PHallii_v3.1:2:42670539:42674089:-1 gene:PAHAL_2G262000 transcript:PVH64410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIGPRSPDHSREKSHSSVHACGLLFSFSSTFPTEKRSREEMRRASPSPSPARGPAVFAAAFVVLLPALFPRMFSPLGHAFPSLFSEWNAPKPMHPSLLNEALRWAIPAEQKRELWSPLPYQGWKPCLKSSISHALPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFEEIFDVDHFINSLKDDVSIIKMLPKEFSWSTREYYGTGIRATRIKTAPLHASANWYLENVSPILQSYGIAAIAPFSHRLAFDDLPADLQRLRCNVNFQALVFRPYIISLGETLVKRLRSPVQGHSDESVHQAVDQSTNQDGKYAVLHLRFDKDMAAHSSCDFGGGRAEKLALAKYRQVIWQGRVLNSQLTDEELRNTGRCPLTPEEIGLLLVTLGFDSRTRLYLASHKVYGGEARISSLRKLFPLMEDKRSLASEEELADVEGKASVLAALDYYISIHSDIFISASPGNMHNALLAHRTYENLKTIRPNMSLLGRIFVNKSMEWSEFQHAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >PVH63725 pep chromosome:PHallii_v3.1:2:6980471:6981897:-1 gene:PAHAL_2G093000 transcript:PVH63725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYLAPTAAIVAAATTLPRQVAPPIDVRRLERGMALPMPPAPPPPPLVSATRYVGARHKAVVVMGATGTGKSRLAVDLALQFGGEVINADKIQVHAGLDVATNKVTEEECAGVPHHLLGVARPDDDFTAADFRREASRAAGAVAASGRLPIIAGGSNSYIEELVDGDCRAFRERYDCCFLWVDVQLPVLHGYVARRVDDMCARGLVGEIAAAFDPRRTDYSRGVWRAIGAPELDAYLRWSGDDEDERARLLAAAIEDIKSNTRRLACRQRAKIQRLAKLWRVRRVDATEVFRRRGDAADEAWQRLVAAPCIDVVRSFLHSGDAAADDLPPEVPAFAPTPAAAVAV >PVH64061 pep chromosome:PHallii_v3.1:2:24600997:24601887:-1 gene:PAHAL_2G175400 transcript:PVH64061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQLRCIARIHVPYLKRVDLCASLMVQSSSCGAPFLLGPQRKNVELVPTVHHGRKNSQSRDRYLIE >PVH63366 pep chromosome:PHallii_v3.1:2:953483:955756:1 gene:PAHAL_2G014000 transcript:PVH63366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGYAVVQPTYVWSNTSHFRLPVPVGGDEITTLGEALGTRIQWSKHRILIPPRTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQQQEKQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PAN14376 pep chromosome:PHallii_v3.1:2:56139399:56140664:1 gene:PAHAL_2G475800 transcript:PAN14376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGLLRWLCVRPGRRWCRRGRRETRLVLWGGEARAADPGRAAGELMAEHAGCVVCRADGFRIGRPVPVLDIEDRLEAGRTYLVVPVDRLPCGGADGVVTAASLAALSHAGGGKGAAGGKSSSSAPTTSLAGGSRSPFEYVKDEDGRTVIRVTEEFIVRAVTGGGKPRDRDDDAGGCGALCSTPELRKHYEQLVGAARGRPWSPRLDTIKERKGRRLADVVSPGSLSPVRLLGMDKGLSW >PVH63383 pep chromosome:PHallii_v3.1:2:1217785:1218933:-1 gene:PAHAL_2G017700 transcript:PVH63383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSAQDRWWRGRRCHADLDDEDELEEGEVDAGCHSDTDTEEYYNRHSPIETDETTSDGCETGSVVVPPDDGDASPCPSTVARGCSGAAASPTPSAEPEPDQPMGTVRPAEPKVFLQPVPLAFAAPNNLSPVPMASARASLSGQSSSAQPVRGDAMEVSPPTEAVVHQQPVAPPPAAAAAAGEQSAPVVHHQPPPAAAAGAGRQNPNGYTCKKCGMWFESHQGLGGHMVGHKNRELAAGDGAAPAGRGNPRPERPHVCDQCGAEFRTGVQLGGHKRKHWNGPPIVPKKKPRAVSQPLSPPAEAAADLKRALSSVIPDEASPAVEAARPAAERTPESAARPPAAGRTPEAHEGPPAPATESSASTGGKQ >PAN14591 pep chromosome:PHallii_v3.1:2:52954825:52959402:1 gene:PAHAL_2G422000 transcript:PAN14591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSSSRREPGSAEPSDDAPSPPSQRRSSQPHGYSLRISSSDPNPHPQSSRNRALASAIAAAATSASSACDLGGGCGASGGGGLLSWIFSARAARADEGKPAGADWDAHGLPVTHTPVPLSRLDGRKRYKVSELDFLDRRARDRSSTAGKDPFFDDVAALRPGGVYTRSQLLGWLEAMTSSGLFEQLSLQGKPNPDGTLALTVSYAETIWPGAAERLKCVNVGLMAPPDAGPDEDMTEREKMDYNRRQDRDYQQRVRSAKRCILPESVREEVLGMVKKQGKLTAGVLQKIRGRIEKWYHDEGFVCALVQHFGNLDTEEIVCEVVEGDITRVEYQFHDKLENIVEGNTHIAVIDRELPQQLRPGHIYSTGAGKQALKRINSLALFSSIEVNPRPDETQEGGIVVEIKLKEHEQKSAEVTTEWSIVPGHQGRPTLASIQPGGTVSFEHRNISGLNRSLTSYVKSSNLLNPQDDLSFKLEYAHPYLDGVEDSSRNRTFKASCFNVRKLSPVFVAGPNMDEAPPVWVDRVGFKATITESFTRQSKFTYGLVVEEIKTRGQDNYISTHGSRVLPSGALSMDGPPTTFSGTGVDRMAFLQANITRDNTEFVNGATIGDRYIFQLDQGVGIGSKNPFFNRHQLSVTKFINLNKKDNGAGKPPPAVLAIHGRYAGCVGDLPSYDAFAIGGPHSVRGYGMGELGASRNLLEVATELRVPITMKNRQTQVYAFAEHGTDLGSSKDVKGNPTEFFRRAGHGSSYGLGVKLGTVRAEYAVDHNAGTGAFFLRFGERF >PAN09624 pep chromosome:PHallii_v3.1:2:2681299:2683913:-1 gene:PAHAL_2G038000 transcript:PAN09624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESWKQESEETGVHAPEAPILCINNCGFFGSSMTNNMCSKCYRDFIKLMEAPLVEKKVITSASSSAAPLETKRDDAPAAPATEAAAEKHAEQEPPKPPSNRCLTCRKKVGLTGFLCRCGGTFCSTHRYTDSHQCTFDYKKVAREQIAKQNPVVMAEKINKI >PAN10030 pep chromosome:PHallii_v3.1:2:4898241:4901090:1 gene:PAHAL_2G066500 transcript:PAN10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRALVLVLVATAAMSAAVVGGDFAADRAECSDKLVGLATCLTYVQDEASAPTPDCCAGLKTVLQTSRKCLCVLVKDKDDPNLGLKLNVTKALGLPAVCKASANISDCPRLLNLAPNSKEAQVFEQYAKQAAAQGTAPSGTGGGSSAATAGAQKSGAAAGGGPAGRWLGVGKVGEGGARAVVLIPLLAAAAPLAVPLLILLG >PVH64738 pep chromosome:PHallii_v3.1:2:48509160:48512094:-1 gene:PAHAL_2G348300 transcript:PVH64738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVGSALSLAMPVKAINIKSVSFSGLRKDNVAFRLQPVPQRFTVCCPAKKETVDQVCDIVKKQLALADGTEVSGSSKFQDLGADSLDTVEIVMGLEEAFGISVEESSAHSIATVEDAANLIDDLVAAKSS >PAN09980 pep chromosome:PHallii_v3.1:2:4666196:4669117:-1 gene:PAHAL_2G063300 transcript:PAN09980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGKVVVRWQRWAFANLGSVFTNFGSLWLILAPLVSLVATYAPRRLFHTYFNLFLRRRSRRILNVVDPYVTIDVSEPGSDVRYSRYGPVSDCDSTYEEVKAYLSGACWQDDARELRAEGAREGDGLVISMRDGQDVADEFRGVPLWWSSVVEKDVQGQRQGKRRFQRLTFHLRHRRLVVDEYLPHVRRRGREILFSNRRRRLYTNSKSRDVYSYEYKSWSYIDFDHPTTFDTLAMEPAKKKEIMDDLDAFRKNRDFYRRTGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDIYDVELTVVNTNTDLRRLLIETTSKSIIVIEDIDCSLDLTGDRAKQRRRPGPSTKAAADDADDRPRNAVTLSGLLNFIDGLWSACGCERIVVFTTNHVDKLDPALIRRGRMDMHIEMSYCGFEAFRTLAKNYLGIDEHELFGSVEEVLREVELTPADVAECLMTARRAGAGEPSPCLEILVDELKKRAEEKEKAEAEARAKAEAEAKAAAEAEAEANAAEMARGSPR >PVH64882 pep chromosome:PHallii_v3.1:2:50098923:50101424:1 gene:PAHAL_2G375300 transcript:PVH64882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLADHPTLLDAVAALAHFGFPWSRLGLLFPAVLLDVPPDLISARLTALEARLHRLPRAAIIAACLTFPSLLERDLSDCDPLVKDLGATFRGLGPDLGASNDIDAFSGVCRRMRMFYDAGAEIGSIGGLAGSSWRVFLELREKRIAERLWFFKDLGMPGKELGRFLLSNAKLFDHDFSDVVISVPEYLLRVGLVEDEVNAAIEKHPYVVGKNQLENLPRVLRAMKLEHRFLEKISVGGENLRYLSPYFALEVDSYDAEVERAFLDGMAKVKADKKAQHVDSKLEFLKSIGYGENEIATKVIPVLHSTKDFLQERFDYLLERGVEYTMLCRILTVFPKVLNQGKDMLNEKLNYLTEELGYSIEYLDCFPAFLCFDLENRVKPRYTMLRWLREHGLLRKQLAPATVLANSEKRFITTLHLVHPAVPKLWLESFSSRMHMECYLKNIYHQHSDNK >PVH64899 pep chromosome:PHallii_v3.1:2:50328349:50328997:1 gene:PAHAL_2G378900 transcript:PVH64899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPLRPAIPVSPARGRAWSGGPRAATPPPPPRRLHATAGSAAPTRARCAPSPTCPPATRGPRLSPTVPGGGQPKATREALCLACRLPAALSARPSSRAPSTTPDAMGAPAPR >PVH64049 pep chromosome:PHallii_v3.1:2:24214404:24216050:-1 gene:PAHAL_2G173600 transcript:PVH64049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSITLKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFKFDSRKEMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKIKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMYLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLRSSVEKLSKGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEDGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIGKESKKELPKQLWVPRALVTHVQGPKLVWVPKTQK >PAN13925 pep chromosome:PHallii_v3.1:2:49945661:49947825:-1 gene:PAHAL_2G372600 transcript:PAN13925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATPPAGQPPSWSEIPWDLAGMVLRLLPAYEDRARFAAVCPQWRAAARRFHLPPPLPLLALPDGTFYSLPYGKPFRFPGFGCVGYKGAAFGSWLVFPRDNGCFLVDPFAGATVKLPALSSVRLRPPNAADKYVKYQDHVTPNGKHYLTWLDIRDSNNVPTLNKLIVCSPNLVAAFVGTGHISQILMCQPGASSWSVRAYDPCYGFEDMAFYQGKLYALAIDENLLVVNISQDPNTGDPQVSRIGQVIKGDPDPLFEAWLPDDTTARKKLYLVESRGALLMVRRKVCCRVVGDTIVAGGISEFEVLEADFEHSRWVNVTTLGDDQMLFLGRPCSKAVSASQYGIFSDQIFFLDDVMENAVIYAFDEENTSVNVYDMRDGEVSSPLLMAWKHEILATWLFPQD >PAN14935 pep chromosome:PHallii_v3.1:2:54818561:54820833:-1 gene:PAHAL_2G453600 transcript:PAN14935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGLGMPCLLAAAAVAVLALASGAASQGPAPAPASSVDCSSAVTGLIGCLSYVQQRSTQARPAKQCCAGVKDALKSPATVTCLCAALGQNNGATINFTRAATLPAACGENPAALSKCNIKMPGAPTEGPAPSSGSAPAANSPGTSKSAAARSPVSAFAIGAAVAAPLLSYYFL >PVH63938 pep chromosome:PHallii_v3.1:2:13115989:13116477:-1 gene:PAHAL_2G139600 transcript:PVH63938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQITSKPGNNSTHAAGVQRGRDVLPSIASFALVGSQNASSTSGEGIRGDAAREAEAARRRPDLAASLHPRLSRLLLHRIWQLYSELLI >PAN09381 pep chromosome:PHallii_v3.1:2:1287476:1288353:1 gene:PAHAL_2G018800 transcript:PAN09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLACLTLVAFAAAAVLATPCAAQDSPQDFVDPHNAARSEVGVGAVSWDDTVAAYARSYAAQRQGDCALVHSGGPYGENIFWGSAGADWSASDAVASWVSEKQWYDHGSNSCSAPEGQSCGHYTQVVWRDSTAIGCARVVCDNGGGVFITCNYNPPGNFVGQSPY >PAN10512 pep chromosome:PHallii_v3.1:2:7590439:7592438:-1 gene:PAHAL_2G097800 transcript:PAN10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPKKRSRASGPSAAPDGQLAAEIGGISAILPLPATDAPDPKPAGAGGDGSRWQEPPVQARGGGRGNAGVNSIGVGDLPDAVLQDIISLLPTKEAGRTPILSSRWRHLWRTAPLILDCLQLPADLPALSGAVSRILAAHRGPGRCFCVDTRLFHSSPATLDAWLQSQALDGLQELDMCGFRRQNELAALPPLPPSTFRFSRCLRVAALSECTISDGAARAFYFSQMKELELERVSISEASLHRAIAGCPILECLLLGHSVGSRRVRIKSATLRSIGVCSPLLDGVGASTGIVEIIIQDAPSLERLVFPEVHQGLRVSVIAGAAPKLETLGCIYDVDPSSRFVFGSMVIQDLRVVSSSRSVVSSIKVLAINFYRLSLDLVIDLLMCFPCLEKLYIQSYRSAGNNLWRRKHRNLIRSFDIRLKTIVFLRYRGIKSQVSFATFFLLNAKLLETMRFEGGPYMEDEDFIARQHELLQVEKRASIGAKIDFATDKCDHYLTHVKHVHDLSITDPFECTCPESLHVRPNH >PVH63696 pep chromosome:PHallii_v3.1:2:6340966:6342017:-1 gene:PAHAL_2G087500 transcript:PVH63696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSGHPRGPNSQPPPRLPARPPPSAPIRPAAGPALRHPPPRPGPRLRPPPPERRPPPPGRRSPLPPRRTGSPSPPPRGIRPRAPPHPPHPPAPAPAPGSVPRRRSPLPLRPAGSGPALRPPPPAPAPGSVPRRRIAPAPPPPPPPTPPGRRSPPPPPPLRGPFPSAPRDPAPRSAPQHPPRPPAPSPAAARASSAAGEPTLRRRIRPRPQHPPPRASSAAGERPRQAGKGNRALNLERPAWLKPRPP >PAN10641 pep chromosome:PHallii_v3.1:2:8510247:8511849:1 gene:PAHAL_2G107400 transcript:PAN10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATRKRPAPDGTHAATAAGGESKLARITLGSIYDYEKLEVLGEGSFGVVVKARHRATGEAVAVKRARASDLRAVLREAGCLAACRGHPSVVGIRDVVEDATTGDVFLVMEFVGASLRRLQRAEARPRLPEAGTRALMRQLLRGAERMHRARIIHRDIKPDNILVGPGGAVKICDLGLATPARPEGTAYPERRVGTLLYRSPEQLAGRRDYGPGVDIWALGCVMAELLTGQFMFDEDTEEKMMDKVMDLRRALAERGLQAFDEWPTFQGLPELSPGAREVLAGLLAVQPSDRLTATAALQHPWFAEEEEERPAATCCGAGRAARSSTSASVVTVVV >PVH63622 pep chromosome:PHallii_v3.1:2:5320914:5322994:1 gene:PAHAL_2G071700 transcript:PVH63622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKARVEAPKGDFFQPTSSLSGPSLIRFESFQNEKKMTNLVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPDDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDLAIFSLHLSGVSSILGSINFITTIFNMRGPGMTMHRLPLFVWSVLVTAFLLLLSLPVLAGAITMLLTDRNFNTTFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGIISHIVSTFSRKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSSGKNKRCAESPWTVEQNPTTLEWLVQSPPAFHTFGELPTIKETENKIEK >PAN10917 pep chromosome:PHallii_v3.1:2:10783463:10785586:-1 gene:PAHAL_2G124300 transcript:PAN10917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMASSSKRALLLLLAVLLHGCCGLPAATVHGGRAAAAGSPVMEERFRRWKAEYNRSYATAAEERRRFRVYARNVAYIEATNAEAAAAGLTYELGETAFTDLTNQEFAAMYTAPPPLYADEDDEDAPAVITTRAGPVDAGRRLPAYANVSDGAPASVDWRDSGAVTPVKNQGRCGSCWAFSTVAVVESIYQIRTGKLVSLSEQELVDCDTLDEGCDGGISYRALRWIADNGGITTEDDYPYTAATGDCDRAKLSHNAVSIAGLRRVATRSEAALANAVAAQPVAVSIEAGGANFQHYRKGVYNGPCGTKLNHGVTVVGYGQEPGGGDRYWIVKNSWGEGWGDGGYIRMRKDVAGKPEGLCGIAIRPSYPLM >PAN10259 pep chromosome:PHallii_v3.1:2:6052581:6053900:1 gene:PAHAL_2G082300 transcript:PAN10259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDIAAGGGGGGGSGGGGGTSIHVTALDGIVNVNSLFTLAAFVGLAWRPSSDGPGLAGGADRTGNPCAAGDRAESDLVSFHVLAFACFLFSSLVALCLKQLVRTFPPPYRRGTPRSGSSSVLGRTARINRAALRVGILASAVGSVAGCGFLMMALVNVVQVKLGRLGCGAGGSAAWAAVVPLVTLVPAAMLIYVGIVFYAFTR >PVH63480 pep chromosome:PHallii_v3.1:2:2787796:2790104:-1 gene:PAHAL_2G039500 transcript:PVH63480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MERRDVSSWNAVLAGLVELGRHGEAFELFGEMRADGVRADGFSLSALLAAAGEGFGLPEGEAVHALSLKSGLETDLSVGNALIGFYAEHGCSVEDVVSVFQGMPVKDVISWTGLLNAYMEFGLVDMALDVFDRMPQRNFITYNAVLTGFCQNKEGVRVTFAKKAGLRGLRLFRQMVEDGLEISDVTVTGALNACAIAADRKMSEQVHAFVIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEQWRHQESFHIAWNSLLLASVRDGEYEKALSTFLQMFRSSGVGFIDEFMLTAALGVCGALGFAELGKQMHSFSAKSGLLDACGVGNAIISMYGKCGELDDAVSFFERMTCRDLVSWNAMITAHLLHGQGEEILDIWGQMERLGMRPDSITFLLVISSCSHTSSDSTDQCRELFVSMSSKYGIEPAMEHYAAFVNVLGCWGHFDEAEQLIGGMPFKPGALVWRSLLDSCSKRSNTTVRRWAMKHLLALEPQDPSTYVLASNLFSESARWHSSENTRLEMREKGMRKIPARSWTFHDNVVHSFFARDRSHPQSRDIFAGLDVLILECIKAGYEPDTTFVLHDVEEYQKRHFLMYHSAKLAAMYGLLTARPGRTVRVVKNIRMCGDCHSFLEHASAATGKVLSVRDSSGFHVFRGGRCSCRE >PAN14934 pep chromosome:PHallii_v3.1:2:54816736:54817371:1 gene:PAHAL_2G453500 transcript:PAN14934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKGAPVEENGKGAEGAAGSDEEMGEVASEKGKAVEEAKEVAGVKTITLQSADGVERCVSAVAAELSMVLSGTNACADTVIPLPNVATGKTLDTVIEYCIKHAAEPIIGYSDPSAAAGSSSVGTLVSEDLEKWDRKLVEGLSADDLHDLLMAANYLGINGLLDVVCQKAADMIKGKTTQQIRDTFNLTNDLTPADEAELRQLYAWAFDE >PAN14010 pep chromosome:PHallii_v3.1:2:50243578:50244669:1 gene:PAHAL_2G378000 transcript:PAN14010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSIYGASWEEAFQWERPQRPDEPEVRDVAVDPFSLRQFSRLNIDRPLPIPSVSVDDRGAHVSTARFGDDASVPATAVAASPRASIAGRLKALASPIGLGVDDALPAAHSPPSAALPRSKLSAGWEKELADAGFDVALTPPSDRKASEPQRWGSDVPLIAAADAEEYSFGGAKDGRGKQAKHAGKAPFTCCMYVPVPGLTRRIKPPTSTTAAAAARPYPTTVAAVEPDDPGTCSARRSTMSLAVSLERFDCGSLSTSSSSRGLRLEDGEAASSSSCFELPLELILGCDDDEESDLPVCAAFLFDSDGVRKSVLKRRLEAGAGMEPRRPSLGKVSTDSPDRISTHHVLVSLKSRSPAASTSP >PAN09974 pep chromosome:PHallii_v3.1:2:4625907:4639790:1 gene:PAHAL_2G062600 transcript:PAN09974 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSPASSLLSPHAPRRILPLLLPLRFSSSSAAAVSAPALAMPPRRDGTKPPPRKWKPKASDASFSSSSASAAAGIAESVGKMTLAPQEPPRAGAAQLWVPRGYATSAAEGPGPGAASTSTSAAVTAEQGGAASQKLSSLFKSAPNFEVDNSTFTEAQIRATFYPKFENEKSDQETRTRMIEMVSHGLANLEVTLKHSGSLFMYAGHHGGAYAKNSFGNVYTAVGVFVLGRLFREAWGKEAPKMQAEFNDFLERNRISISMELVTAVLGDHGQRPKDDYAVVTAVTELGRGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATPVCKALDEIADISVPGSKDHVKVQGEILEGLVARIVIRESSVQMEEVLRNFPQPPLDGVDSDLGPSLREICAANRSDEKQQIKALLDNVGASMCPDHSDWFGNSGLDAQSRNADRSVVTKFLQAHPTDYATKKLQEMIRLMKQRHFSAAFKCYWNYQKIDSLSNDNLYYKMVIHVYNDSVFRRYQQEMRKNQGLWPLYRGFFVDVNLFKATNKKAAELAKDGDALLKNISGALDSNSSAVDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPSAYRTYYLRQMKNWGTSPSKQKELSKMLDEWAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPSNQALIGAAGNLVQTENFLAILDAERDEEGDLRVEHGAAPSSPVSTSVDVVPKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGDNRPLHSLMGDLIKGKYWQKVADERKKKPARITLADKNAPNEEVWRQIEDMCGSTKAAAVPVVPDSEGTDSNPFSLDALAVFMYRVLQRVNHPGNLDKASPNAGYVLLMFYHLYHGKSRREFENELYERFGSLVKMPLLKPDRAPLPDTVKTVLDEGISLFKLHQNRHGRAEPSKGSYAKEWAQWEQRLRVTLFGNADYLNSIQVPFESAVKEVLEQLKAVAKGDLKTPDTGKRKFGNIIFAAVRLTPADIVGLLRKVSEKDTAVNTFLNETKLVDSLTKAHVTLAHKRGHGVAAVASYGVYQHQEVPVSFNALYYTDTMAALEAQLGAVNGEQIKSRNEWPHATLWTAPGVTPKEANMLPQLASEGKATRVPIEPPITVSGVLDFY >PVH63594 pep chromosome:PHallii_v3.1:2:4698954:4705080:-1 gene:PAHAL_2G063800 transcript:PVH63594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASASPSPASPGSHPNPNPSPDADPRSDHPMPDAGGASDGASPASPEKREEEEEGAEAPPGKAGRRAAPPVEEEQPAPRKTRLPRACNSKPKPPPPPPPERPRRRAAAAAAGADDTPQCRVVTPLVSEPEAPAELPRWRLRCMWELGSVLNFLHVFRPLLNITAEFTAEDLEAALITPNEILDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLKDWWHWVAEGDLPIVASHGTEIETYKTLEPATRLVILKAICDIRVEQEDIRNFIDSSLKNGHDLSTFRKERIGGDSLGISYWYEDDEILGHRLYREIRRVEQVKKEPGKRSRGKGGSSAISVMSYQWETVASSFDEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERLLKKQQREALLLDSYLTSDGLTTGRSLRDRKPVTYTFDDFDRSINEAIKITKKREENSAEPVTTTTTTNRRVLPLRSEAASNGKLNGPSPTANDSFDGNSSKSDDYRDSEGEQENEALDRSNRRRKRSQRYTQDFVEAVSDIDPNFDSDDDIMGEAVYDEEYLRSRKQQKASSASEEDEEFRLEEDADDDDEEEEEYSLSTSEDIEEPQRHKKLEARGRRGTKLRSVDEIQSGLRRSKRSSRPRINYRQYDFSDSDTEAGKARKSDASDPDAGSDAENDMELSTSSQEQEEEEEDDSPDEQNGNNVNDKMEEDHAVAENKVEPDEEQQEQQQQQPIEKMDAPSRESESVGRTFLDLNELAPGGGFDDGPSLTVKDDMDNS >PVH63595 pep chromosome:PHallii_v3.1:2:4697996:4705158:-1 gene:PAHAL_2G063800 transcript:PVH63595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASASPSPASPGSHPNPNPSPDADPRSDHPMPDAGGASDGASPASPEKREEEEEGAEAPPGKAGRRAAPPVEEEQPAPRKTRLPRACNSKPKPPPPPPPERPRRRAAAAAAGADDTPQCRVVTPLVSEPEAPAELPRWRLRCMWELGSVLNFLHVFRPLLNITAEFTAEDLEAALITPNEILDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLKDWWHWVAEGDLPIVASHGTEIETYKTLEPATRLVILKAICDIRVEQEDIRNFIDSSLKNGHDLSTFRKERIGGDSLGISYWYEDDEILGHRLYREIRRVEQVKKEPGKRSRGKGGSSAISVMSYQWETVASSFDEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERLLKKQQREALLLDSYLTSDGLTTGRSLRDRKPVTYTFDDFDRSINEAIKITKKREENSAEPVTTTTTTNRRVLPLRSEAASNGKLNGPSPTANDSFDGNSSKSDDYRDSEGEQENEALDRSNRRRKRSQRYTQDFVEAVSDIDPNFDSDDDIMGEAVYDEEYLRSRKQQKASSASEEDEEFRLEEDADDDDEEEEEYSLSTSEDIEEPQRHKKLEARGRRGTKLRSVDEIQSGLRRSKRSSRPRINYRQYDFSDSDTEAGKARKSDASDPDAGSDAENDMELSTSSQEQEEEEEDDSPDEQNGNNVNDKMEEDHAVAENKVEPDEEQQEQQQQQPIEKMDAPSRESESVGRTFLDLNELAPGGGFDDGPSLTVKDDMDNS >PAN13664 pep chromosome:PHallii_v3.1:2:48946935:48948605:1 gene:PAHAL_2G355800 transcript:PAN13664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGRKRQCGAFATSVACKRRAHGRDWTSLPSDITNVIAERLLAEDVVDYMSLRSVCAPWRASTASPRDPTLRDVRFRPRGWVALCDGDGVRPADACQVNFFHTSTSRRLRVRLPELHDHRIVGFTDGLLILLNKSTTAVRVLHPFTRVFLDLPPLAPVFHLLVKDIWSRAWMEAAVCWSCTSIAVVAWFPNVPVVVHAEPTRPRWCVIYRGLQLWTALPFQGRLFGIRKDTRQIIQLYPHLPYPVVACIPNSFGRPNMCDYYLVDFGGRMLLAVQHRIIDQCLEGWQPFAFAFFLVNVHQRELVPVDSLGDRAIFLNKDRCLCVSAKDLPSISGNSVYFSLHTTDPVAVHSLSKRTCERTSTFSLIHNFKERIRPSVRPFTLADHLLSYCHHVQWSKGFMFHEYFSYLHLGRRW >PVH63682 pep chromosome:PHallii_v3.1:2:6152747:6155469:1 gene:PAHAL_2G084600 transcript:PVH63682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPCAALVLAAVAALLLLLLAPAARADDGGCGADGAAAARGGDLARARALKIAAFFSILVCGALGCCLPVLGRRVPALRTDGDVFFLVKAFTAGVILATGFIHILPDAFEKLTSECLPAGGPWKDFPFAGFGAMVGAIGTLVVDTVATGYFTRLHFKDSAAAAATAAVSAAAVGDDVEKQQQATAAAPHAGGSDDHEGHVHMHTHATHGHAHGSTAMHKEHALRHRVIAQVLELGIVVHSVIIGISLGASKDPSTIKPLVVALSFHQMFEGMGLGGCIVQAKFKLWSIVTMVLFFCLTTPVGILVGIGISSVYNEDSPTALVMEGILNSVAAGILVYMALVDLLAEDFMNPKVQSRGKLQLGINVSMLVGVGLMSMLAKWA >PAN09591 pep chromosome:PHallii_v3.1:2:2479135:2487053:-1 gene:PAHAL_2G035700 transcript:PAN09591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSISPPGTSKQSGVRKPSPGSSLKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLSALHLATWRNHLPIVRRLLEAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLTLEDTKGRTPGDLISCPVSQANGDSPDAVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDTLHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVISTEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKQRIIAVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVVARCLKKGGNTNLKFHRMERLQVISVAAGTMHSTALTADGALFYWVSSDPDLKCQQIFSMCGRNIVSISAGKYWTAAATSTGDVFMWDAKKRKDETPLFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQVIKSMSEWNSGMEELDEDILFNDVQPDSGLSGSSGEMSKGVPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSVMNASPEILANLEKLLDEKSSEPWSQRRLPTVTATYPAVIDSDIEEDEAREFLRPRKCGKSATRPSGMSNQENFLENNCTAEQAVSKQIRALRKKLQQIEILEAKQLAGHQLDNQQQAKLESRAALESELAELGVPSEAYSQTSSVCPAEGRTNKKPEVSKKQKRKNKQAPQSSTPSVKSESGQQIPIKNLQEVLPTNVSAEKQVCGVDPIKHTEDAAFSNTKGIASPLEKKPSQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPATVVPVTPKHEGPAWGGAKITKGPASLRDIQSEQRKTNEPVMAKAKDRFENSPDSAGRVRLASFIPDARSNPIAVTPARSVPSSEGDKSTPPWSSSATSPNVSRPSLRDIQMQQEKRYYSISHSPKTRTSGFAIPSQGGSPDVGSAKDNVPNRWFKPETDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQAQ >PAN09170 pep chromosome:PHallii_v3.1:2:110815:113304:-1 gene:PAHAL_2G001200 transcript:PAN09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPFLFFHLAFALCFFLLIRYLLLADAAVVEHTFHVGNLTVERLGQSQVITAVNGQFPGPKIEARDGDTVVVHVVNLSPYNITIHWHGILQRLSSWADGPNMVSQCPIRPAGGRYTYRFNVTGQEGTLWWHAHVSFLRATVYGALLLRPAPGARYPFPMPHREATILLGEWWNASVVDIERQALLAGGAPNNSVALTINGLMGGYQLTVERGRTYLLRIINAALNYQLFFKVAGHSFTVVAADACYTDPYDTDVIVIAPGQTVDALMRADAHPGRYYMAAQVYQSLANATYSATTTALVTYQRRRQPPSLETPPPPVMMPSMPVFNDSATAQGFYASLTGLLQDGTPTVPLHVDTRMLVTFGLGVTPCAPAQTLCNRTLGSVAGSMNNVSFQFPTAMSLLEARMRGAPEGVYTRDFPDRPPVMFDFTSEAASADRAVMATSKGTKVKALRYKETVEVVLQNTAILGAENHPLHLHGFNFYVLAQGAGNFNAHRHVRTYNLINPHQRNTVAVPAGGWAAIRFTADNPGMWIMHCHLDAHLPFGLAMAFEVDDGPTPDAVLPPPPPDYPRC >PVH64629 pep chromosome:PHallii_v3.1:2:46616654:46616950:1 gene:PAHAL_2G318500 transcript:PVH64629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGHGDTAARPHPNLVQPDRFARTSSAPNRPGTAPHRTAPRVSMARAAAAARRARPPDAAWGARRSGGRGGGLLRRPLQRALVDCNGGRCGLLCYCP >PVH64886 pep chromosome:PHallii_v3.1:2:50143438:50145193:-1 gene:PAHAL_2G376200 transcript:PVH64886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIDGATPLTLWWQGLTMELKNALITSSEASLLSKHIAYCTTAASQGSEILPSSPANPNSSCAMLSSSPKTAVPRYASGTSNRLPSEEYTTQWPLPATDDVDAPHEPSACFPGADVVFSYQVWCLPCRWNGEVLGAKLMDL >PAN13416 pep chromosome:PHallii_v3.1:2:47656196:47657008:-1 gene:PAHAL_2G334800 transcript:PAN13416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHLSPSSSSRPALLSFSEAASCTILELPSFEVPEQWLLADVMAAGENENDDVGGTPRPAGGVHVLSPADSELSKLPLPSPSAPAPAKRRGRKPGPRAAAGAAAASHVESERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAARYIAELRARVAQLEADARHHAPVARWEPDSAGGDAAAAVGGELYVRKVGRDAAVVRVTSGARHAPAWLMGALRSLELQVQHACVSRVHGVTTQDVLVNLPAGATALQDHDGLRGALLQRLEDSG >PAN11229 pep chromosome:PHallii_v3.1:2:23915624:23916397:-1 gene:PAHAL_2G172600 transcript:PAN11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVDDSSRLLPTGRSSHRREFFLAKTLLPRRSSPPVPRPGAPPSDGVPSRSCRCSSPATDQIPIGVLRPRARCSVSLLS >PAN12851 pep chromosome:PHallii_v3.1:2:45124883:45127601:1 gene:PAHAL_2G295100 transcript:PAN12851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSYEMAASILLCAEDSSSILGLGAEGDEELLLRSRTRGEPSVEFPVPSEECVAGFLEAEAAHVPREDYAERLRAGGLDLRVRTDAVDWIWKVHAYYNFRPLTACLAVNYLDRFLSLYQLPEDKAWMTQLLSVACLSLAAKMEETYVPPSLDLQVGDARYVFEAKTIQRMELLLLSTLKWRMQAVTPFSYIDYFLHRLNGGDAPSRRAALRSAELILCITRGTHCLDFRPSEIAAAVAAAVAGEERAVDIDKACTHRMHKERVSRCLEAIKAAVVPLPPKTEGRSGGGRASSSVSVPRSPTGVLDAGCLSYRSDDTTAASSQASSFCDENDDSSPAVCSKRRKIRR >PVH64529 pep chromosome:PHallii_v3.1:2:45125412:45126716:1 gene:PAHAL_2G295100 transcript:PVH64529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHAYYNFRPLTACLAVNYLDRFLSLYQLPEDKAWMTQLLSVACLSLAAKMEETYVPPSLDLQVGDARYVFEAKTIQRMELLLLSTLKWRMQAVTPFSYIDYFLHRLNGGDAPSRRAALRSAELILCITRGTHCLDFRPSEIAAAVAAAVAGEERAVDIDKACTHRMHKERVSRCLEAIKAAVVPLPPKTEGRSGGGRASSSVSVPRSPTGVLDAGCLSYRSDDTTAASSQASSFCDENDDSSPAVCSKRRKIRR >PAN15260 pep chromosome:PHallii_v3.1:2:56135306:56137263:-1 gene:PAHAL_2G475700 transcript:PAN15260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARSQPKNADLGLGRGPRCAWYRSRIEEAEGSWKKHDRRRRRIPRRPQHQPSGAAGSRPSPPHRLLFIILSFWRRVLMGVEPAQATPVAAAATTAEQAQDLIDAARYDDLEDVVALFSAGVSLDSTDSQGRTALHMASANGHLAVVEYLIQNGANVNATNLEKNTPLHWACLNGHIEVIKALISAGASVSALNSHEKTPMDEAVTKGKMDVIDAIGAAVAQAELDGVTVS >PAN11675 pep chromosome:PHallii_v3.1:2:22788169:22789243:1 gene:PAHAL_2G169500 transcript:PAN11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLCHEFWTDLPAINVWEVYGSLTLAQLVPQLLPQVLSKVELVEGDGGVGTILLVTFPPSGTSGLASYKEKFTMVDKEKYIKEAEVIEGGFLDLGFQKYLVRFEIVGQKDGPTIIRSTIKYKVDAEHASNASLVSTGALAAIADAMTKYIKEQKSPKQDSEQTSEEEAPKQKSGEHGV >PAN14075 pep chromosome:PHallii_v3.1:2:50549571:50551754:1 gene:PAHAL_2G382500 transcript:PAN14075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPADESSGQQQQQLHVAIFPWLAFGHLLPCHELAERLASRGQRVSFVSTPRILARLRPVAPAAASLLDFVALPFPRVDGLPEGVEATSDVPAGMAELHREALDRLAPAFSAFLDAADASGNKVDWVLLDSFHASIADVAHEHKVPCILNMPYSAASSIDYGVPDPKDLDNPLTPAVIRRFVQTFEKCKLIAYRSSFEVEPESMPLLAKIFGKPVIPVGVLPPLAAADAAHDGDASLSWLDEQPSKSVVFVGFGSEYPMTLRQLHEIAAGLELAGTRFLWALKRPGGVAPEEDLLPPGFEERTRGRGSVVTGWVPQTSILGHAAVGAFMMHSGWGSTIEGLQYGQPMVMMPVLGDHLSTSRVMHERKIGVRVHKEKGDEAFLGDNIARAIRAVMVDEESKGIYAANAKKMQEIVEDEKCHHRYIDDFIQCLRAHKN >PVH65129 pep chromosome:PHallii_v3.1:2:53446353:53446807:-1 gene:PAHAL_2G430700 transcript:PVH65129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRNTSYRDGAQGEGPSSSPSASRSPSASSRSAGPMTPPAAAAAEPRDPPEPPAVKRGFMVGFVMAVCCCCLADEMIM >PAN10237 pep chromosome:PHallii_v3.1:2:5983536:5984946:-1 gene:PAHAL_2G080800 transcript:PAN10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPARKKPWFAPGDGADHLTALPLELRVQIVASLPFRQIVQLSALSRPWRHIHHHAPVVKLRLYEFVYLEDYVFGTDRSLPGVLDEGSILGLRLALGRRARDGSASRVDTLALDYSVADPRMPRHADRLIALADAREIRVAYHIAPAIAGPGAAALRRLRLDKVSLDEWPRLPSLRSLALLSVTVEAPFTPAAWCPLLEHLAVSFSTVEQARVDIRLPLLKSLDMEDVDVRPHDDSFEPFGQVTIDAPELEELVLTCTSGGTAEYRSFTLRAPRLRYLGYHNLFAGRVDVDVGRPGSVRAGSISFESNEEIACPEMKLCRMQMMRMLEGLLPELSPEDVADAARPHLTLDKYSVESFDSGKMIPEEKLTCDLSALMTTLKSLNAFCATASSGIDLTEVGSPEHCLPFYDLLRRL >PAN12611 pep chromosome:PHallii_v3.1:2:43918224:43925818:1 gene:PAHAL_2G277500 transcript:PAN12611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGQNGRDDFFGGRDPFSGFGGFGPQRSLISGFFGGRDPFDDPFFTQPFEGRMMGGHGMFGPSLFGPMGGPFGDMRNDGFIQQAPPKSNGRRPVITELDEEGGENAEHGNEQSNQDSYVQEPDDASDVMEGGQVQLRRDLNRANSGGQSQARTFTYQSSSVTYGGINGAYYTASKTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGKVDSTQILHNLNEDELAGFEESWKGNAGHHLPGWNQNAGAPNSDNSDSTTLADGNYQLIPEQEEVYSEDPGNLVADFSEAPNQGSEENSLTNPNPTSEGKPRT >PAN14711 pep chromosome:PHallii_v3.1:2:53535394:53542462:-1 gene:PAHAL_2G431700 transcript:PAN14711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPAAASPPYQCSVLLRRLAASCSLASSSPSSFLRALRCLHARLLTSGLLHAPSHPHLTLRLIHLYTLSHDLPAAALLFRSNPCPIAATSLVAAHAAAGRLPAAVSFFDAVPPARRDTVLHNAVISAYARASRAAPAVAVFRSQLASGSLRPDDYSFTALLSAAAHLPNLSVYHCAQLHCSVLKSGAGGALSVCNALIALYMKCDAPEVTRDARKVLDEMPAKDELTWTTMVVGYVRRGDVGAARSVFEEVDGKFDVVWNAMISGYVQSGMATEAFELFRRMVLGRVSLDEFTFTSVLSACANAGFFLLGKSVHGQIIRLQPDFVPEAALPVNNALVTLYSKGGKIAVAKRIFDSMKSKDVVSWNTILSGYVESSCLDKAVEVFKEMPYKNELSWMVMVSGYVHGGRAEDALKLFNWMRAEDVKPCDYTYAGAIAACGELGALKHGKQLHGHLVQLGFEGSNSAGNALITMYAKCGAVKEAHLVFLVMPNVDSVSWNAMISALGQHGHGREALDLFDQMVAEGMYPDRISYLTVLTACNHAGLVDEGFHYFESMKRDFGIIPGEDHYARMIDLLGRAGRIAEARDLIKTIPFEPTPSIWEAILSGCRNNGDMELGAYAADQLFKMTPQHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGNKVHVFLVGDTKHPEAHEVYHFLEMVGAKMRKLGYLPDTKVVLHDMEPHEKEHILFAHSERLAVGFGLLKLPPGATVTVLKNLKICADCHAAIMFMSKAVGREIVVRDVRRFHHFKDGECSCGNYW >PAN12833 pep chromosome:PHallii_v3.1:2:45041274:45045749:1 gene:PAHAL_2G294000 transcript:PAN12833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAVCASRARGIHSPSSSPAQSKHKVMEKDPRKAATPVKGPAANAGTRGAMNRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPTQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIFSSSAKNNHLPGGEVPAPVQLIPSNPVPNSVVSPAAARQGSDHPPARPSPHNGAANGKQTPRKPVPDSASHDDRPMVGKENQSCSNTWSTSRNCRQTPLQQKAPKSRASPAVAPDRRRATPAVAPDRRRATPAQTTSAAAPDRKRPANASASNCDKATSQDGSSVPNKLSEELLRCLLTIFSRMGSATGGRRGDEDRQAPSPSVSGSSESSGSEDAYPQDPYGIQELGARDIGPYKRFHVVDAASFDRNALAGGDGDAFHARRLKALLRRLSSVDLAGLSHQQKLAFWINVYNSCMMNAFLEQGIPTTPQMLVAMMPKAIINVGGRAYSAMSIEHFILRLPYSVKQVNAEGAKGGDDVTARGALGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEAATREYLQAAVGVSSPGRLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPAGLRQEAVRAVEGGRRAGAEPRRIQVLPYEFRFRYLLAS >PAN12834 pep chromosome:PHallii_v3.1:2:45041663:45045749:1 gene:PAHAL_2G294000 transcript:PAN12834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDPRKAATPVKGPAANAGTRGAMNRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPTQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIFSSSAKNNHLPGGEVPAPVQLIPSNPVPNSVVSPAAARQGSDHPPARPSPHNGAANGKQTPRKPVPDSASHDDRPMVGKENQSCSNTWSTSRNCRQTPLQQKAPKSRASPAVAPDRRRATPAVAPDRRRATPAQTTSAAAPDRKRPANASASNCDKATSQDGSSVPNKLSEELLRCLLTIFSRMGSATGGRRGDEDRQAPSPSVSGSSESSGSEDAYPQDPYGIQELGARDIGPYKRFHVVDAASFDRNALAGGDGDAFHARRLKALLRRLSSVDLAGLSHQQKLAFWINVYNSCMMNAFLEQGIPTTPQMLVAMMPKAIINVGGRAYSAMSIEHFILRLPYSVKQVNAEGAKGGDDVTARGALGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEAATREYLQAAVGVSSPGRLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPAGLRQEAVRAVEGGRRAGAEPRRIQVLPYEFRFRYLLAS >PVH63925 pep chromosome:PHallii_v3.1:2:12531693:12536707:-1 gene:PAHAL_2G136700 transcript:PVH63925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKILTTLPKTSSRVSSCTQSCLWLRPPLELRMAQDSDDPLFVASIVVWVLVVILAIVALHCPLPRRVVR >PAN13408 pep chromosome:PHallii_v3.1:2:47629822:47630196:-1 gene:PAHAL_2G334200 transcript:PAN13408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEGLIPFVFGAIKKRRATRRTAHYDLVSSPGACPPPQTTRGRPAERLTGGGANYYRNQSQSCRFVVPRSPADELHLLRDDDGRDRAEGLPPAADGRGLSRSRRFSSMRVFGRGSGVPETVIL >PAN13632 pep chromosome:PHallii_v3.1:2:48747597:48748802:-1 gene:PAHAL_2G352700 transcript:PAN13632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATAAKDATPALAPAAGGPQLKLLVDKRSRRVLYAEARKDAVDFLIGLLRVPAGLAARALAKHGERAPGSLGSLYAGARDLDDAFFASAPPDRDALLSPALPSAAVTLLLGEDALPPPPPPPPPPRYFRCSAYVTPCRANPTNVTDVSGTPCPACRQPMTVEMRWAPGDAHGRPAPAQAREAGGYVKEVVTYLVMDDLTVAPMSTISAIMLLKKFSVKDCSALEEMTVELGTKEAVMLLKSSLQSSTALTDVFSGGVSIDRIDG >PAN10302 pep chromosome:PHallii_v3.1:2:6229435:6229855:1 gene:PAHAL_2G085700 transcript:PAN10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSGYEPLLPQAPTPVVVVSPQFCAPDVVPLTVTKKDASLSGGDFTVTDPNGAVVLQFKGSVWSVRNRRVLLDAAGQPILSMHEKILSMHNT >PAN11701 pep chromosome:PHallii_v3.1:2:34767546:34775340:-1 gene:PAHAL_2G208200 transcript:PAN11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLASASPPAGGLAQPPPLAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPLVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSSVRSHAAASENFFMMILQFLETFVGSMSSGVGVGFISALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYTYSNLSDNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIIFIVVARAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSVHELPEGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVVGDENPSIENYEDNNGYMPPTYDEGTSSGGGLRMKLKQFHKSTTSFTALDKNYLTPFFTSQTDDDDDDDDFSGQPQNRRVRFYDQ >PAN14577 pep chromosome:PHallii_v3.1:2:52917850:52919379:-1 gene:PAHAL_2G421100 transcript:PAN14577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSWLLLAGALLLSLLVLRRHARNRRLPPGPPAVPLFGNLLWLHHSAADVEPLLLRLFERYGPVVTLRMGSRLAVFVADRRLAHAALVGAGAVTIANRPKPATSALLGVGGNIITNADYGPHWRLLRRNLVAETLHPSRVRLFAPARAWVRGVLLYKLRAGEGGAPGDAMEAFRYTMFCLLVLMCFGERLSEPAVRAIEDAERAWLLYISQQMSVFFFFPSITKHIFRGRLQAARALRRRQMELFAPLINARREYKRQVKEGQAPTSETTFQHSYVDTLLDITLPEEGHRPLTGDEIVTLCSEFLTAGTDTTSTGLQWIMAELVKNPAVQERLHAEIRATCGDDAEEVSEEAVHHMPYLKAVILEGLRKHPPGHFVLPHKAADDMDVGGYLIPKGATVNFMVAEMGRDGREWERPMEFAPERFLEGGDGAGVDMTGTKGIRMMPFGAGRRICAGLSIAMLHLEYFVANMVREFEWREAPGHEVEFEEKREFTTVMKKPLRPRLVPRRR >PVH65012 pep chromosome:PHallii_v3.1:2:51807498:51809398:-1 gene:PAHAL_2G402100 transcript:PVH65012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLVEAVRTCVLSRRWRVAWMRLPRLAFDDDAAPRVSRFGNLVDGVLRGYADDVDMPDVLISVRRRSSAGDAVRLATSAARLAAGRVTARFHLYLSYAAVNLYNDVEDENAAATTLQLPCFPRATEFALTFMGVDLWMPNAGTFAKLTKMFIRGVRFTDDGEGISTAVSRCCPCLEVLLLHRVRGVKVLALLAQSLLYLRVSLVMQLQRLQVVAGSLREMQVHRCFSLTTAPTSMLLNVPVLEELHWEDHYPHDDAGVSLCGLPSYLQKLVIVDLSRFTKILQHFHRADTLRLEIPIALADHAELKVELPYHSELELIVDTNQHKIGPTIVNLLKKSSCVRKLSLQIYHGEIDYTPCMSDCNCRRPSNWRRQNISLVSLKWVVINGFGGTSDEESLLCSIMKNAKGLSKVSIASSTGVNPSEKLLRKLRGLSTASCTFDY >PAN12647 pep chromosome:PHallii_v3.1:2:44170407:44175636:1 gene:PAHAL_2G280900 transcript:PAN12647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRLLVLAVLALAAAAAAADEPGFAESNPIRAVTDRAASALESTVLAALGRTRDALRFARYAVRYGKSYESVAEVHKRFRIFSESLELVRSTNRKGLPYRLGINRFADMSWEEFRATRLGAAQNCSATLAGNHRMRADAALPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPVSLSEQQLVDCAGAYNNFGCHGGLPSQAFEYIKYNGGLDTEESYPYQGVNGVCHFKASNVGVKVLDSVNITLGAEDELKDAVGLVRPVSVAFEVINGFRLYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDDGYFKMEMGKNMCGVATCASYPVVAA >PVH65333 pep chromosome:PHallii_v3.1:2:55427053:55427331:1 gene:PAHAL_2G465200 transcript:PVH65333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPARILLMKTSPAQMYAFWWPGLDQAVRIAGMVPPAPHLFLTLPHKLTTKQKDLACADEISQLVFGHLCLVPVTSSSYWLLRVCAWIFCA >PVH65016 pep chromosome:PHallii_v3.1:2:51856105:51858746:1 gene:PAHAL_2G403400 transcript:PVH65016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAELTTEQVLKRDIPWEHYMSTKLISGTCLQLLRRYDHKPESQRAPLLEEDGPAYVRVFLNILRSISKEETVEYVLALIDEMLATNPKRAALFYDQSLSGEDIYEPFLS >PAN13155 pep chromosome:PHallii_v3.1:2:46560676:46565714:-1 gene:PAHAL_2G317600 transcript:PAN13155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEARLGFYHHPPQLLDGLEARARELGIDLSSIDLDAITLPAGEDLDTPSDDDEILQNEDPPEVEMGFANTIVVDNLPVVPPEKFEKLGNIIRKIFSHASAIKEGGFWMPVNADTNVTYGYCFIEYNTPQEAQLAREMGNGYKLDKSHILVVNIFDDFERYMKVPDEWTAAETKPYTPGENLHKWLTDENARDQFVMRAGTYTEVYWNDARQLSSELIYQHQFWTDCFIQWSPLGTYLATVHRQGSQVWGGEDKFVRLMRFAHPQVELIDFSPGERFLVTYSSHKPSNPRDTHKVVLNIFDVRTGKLMRDFKGSADEFTTAENIGFSGVPWPCFRWGGGKDDKYFARLGKNTISIYETHTFSLIDKKSLKIENVVDFCWSPTDPMVALFVPEMGGGNHPARVSLMQIPGKEELRQKNLFSVSDCKMYWQSNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDDPNPDISFYCMQAANTSRVSKLTTLKSKEANALYWCPTGHFILIAGLKGFTGKLEFYNVDELETMATGEHFMATDIKWDPTGRYVATVVTAVHEMENGFQIWSFSGKFLYKLSKDHLYQFSWRPRPPALLAPEKEEEIMRNLKKYSKKYEQEDRDASNQMTEQERVKRTQLEEEWAAWVAKWKQLHEEDHSHRMELRDGDASDDEEEYEAKEVEVEEVVGIIEEVVAFELDH >PVH63426 pep chromosome:PHallii_v3.1:2:1887414:1889774:1 gene:PAHAL_2G026300 transcript:PVH63426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEELAQIDISKEEKDKLVAEVMRYVLFKTHQTSGCPIKREELTGIVTKNYRQRALPALVINEARDRLAATFGYEMRELQRTRAPSTRSGRASQPQPNAEAKSYVLVSQLDPEVYSKYVENKESAPLSGFSFAVISLIHLAGGKSSEEDLWHQLKRLGLKENDENHPVLGNNKQALELLVQQRYLLKEKLAGPEGHVVMYELAERALDESISGKLKDYISQIVGTSTVAEENSS >PAN12945 pep chromosome:PHallii_v3.1:2:45684808:45686085:1 gene:PAHAL_2G302800 transcript:PAN12945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPALAAILLAVIATAVGVHVQAPGPSPEASSESSSPSPSKAPAGAPDNAGSLHTMEMDTLWRLSPAKAPAPYGANSPATASPEEEGAEGPTMAPAPGANAPAEASLEEEEEEEEEKEEEDEEEEEEGEAPAMAPAFSPFGIDESPEEDPEEYPEFEGPSIAAPPLDYAPTMAPAYAPFFAISESPEEAPAGAPEAEATSPSSEEMAAAPSSGDYDVLDEEVLAPEGAAGSEASSAGPDIEESPASTATAVSKAPAPAAGPSADVEDSGASTIAPTRRSLVAAVVFATVCAVAAS >PAN15271 pep chromosome:PHallii_v3.1:2:56180094:56182848:-1 gene:PAHAL_2G476700 transcript:PAN15271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGFTRRRPSSPPPAPLPDNDDLLQEILLRLSTSPSSLPRASLVCKRWRRLLSDPGFLRRFRVFHHREPPLLGLFTYYFFHGNTYFTPALDPPNRIHSTRFSLALPRGWMPHDCRRGLVLFYNRPLQEFIVWDPVARDQRHVAVPHSSAKKKPGVIHRAGLFCDDHHAGLTPLEAFKVVSLWFDDPFIVAEPQVSASLYESKTGVWSNIISTSITAKINVKPSILVGNSLCWLLRNGSILEFDLDRQSLAVIHCPVYTRDIPFLRGQILRMEDDRLGLAILFDRRLQLWERKADSNGVARWMLQKRLELDKFLSVRSPLHELPVIQGYDEDGHVMFISVETEVFTIQLRSMQFSCLFKTIHYSDLSYFPFRSFYTAGTSAVEVLELKL >PAN15270 pep chromosome:PHallii_v3.1:2:56181478:56182803:-1 gene:PAHAL_2G476700 transcript:PAN15270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGFTRRRPSSPPPAPLPDNDDLLQEILLRLSTSPSSLPRASLVCKRWRRLLSDPGFLRRFRVFHHREPPLLGLFTYYFFHGNTYFTPALDPPNRIHSTRFSLALPRGWMPHDCRRGLVLFYNRPLQEFIVWDPVARDQRHVAVPHSSAKKKPGVIHRAGLFCDDHHAGLTPLEAFKVVSLWFDDPFIVAEPQVSASLYESKTGVWSNIISTSITAKINVKPSILVGNSLCWLLRNGSILEFDLDRQSLAVIHCPVYTRDIPFLRGQILRMEDDRLGLAILFDRRLQLWERKADSNGVARWMLQKRLELDKFLSVRSPLHELPVIQGYDEDGHVMFISVETEVFTIQLRSMQFSCLFKTIHYSDLSYFPFRSFYTAGRDFGSGGVGAETLNNT >PVH64896 pep chromosome:PHallii_v3.1:2:50273826:50277195:1 gene:PAHAL_2G378200 transcript:PVH64896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARPFLDSIRSLRHGGAAPADLHEMVFLLGPWPRRDPAAGAKRSLLRVVGVRFGAEAEAASVPRSVPVRVPYEPHQAGHRYLDVRTEAEFSAGYQEFLLLLLTLCKNTLV >PAN14569 pep chromosome:PHallii_v3.1:2:52863738:52864635:1 gene:PAHAL_2G420200 transcript:PAN14569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMYGPGKGMSSSVLPYARSAPGWVRSSAAEVEDAIVRAAKKGQLPSQIGTLLRDAHGVPLVHGVTGRKILRVLRARGLAPEVPEDLYFLIKKAVAIRKHLDRNRTDVDAKFRLILVESRVHRLTRYYRRAKKIPASWKYESITASTLVA >PVH64485 pep chromosome:PHallii_v3.1:2:44256300:44258104:-1 gene:PAHAL_2G282300 transcript:PVH64485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHAQPRHDQHRGLRGRLAGLFSSPPPQPPNHQSNEQIAKLMEELGRQRDLKETYKARLESTQGYLRFCLEVAQERGFMHLISDSAQPQQHSPHRDADSETAPTAAGDDVDDEDEPAETPPPCDPYLAATRGLAVEHGWSVAPEEIELHEVVGRGTTADIHRATWRGLEVAVKWVRPELFVSNPSAEAFFAQEADLLSRQRHPHVLRLMGACLRPPGSCFLVTELLSGATLGEWLHGGRERRTRASSSPPPPPLVDRVSRALEIALAMRHLHEQTPRVVHRDLKPSNVLLDAELRARVTDFGHARFLPDGKEALTGETGTYVYMAPEVIRCEPYTEKCDVYSFGILLNELITADHPYIDTSYGPSKIALGVADGKLRPKLPESDGYPTVLIDLICRTWDAEPSRRPSFAAVTSALREIRQQIAQHGE >PVH63826 pep chromosome:PHallii_v3.1:2:9639856:9640953:1 gene:PAHAL_2G116000 transcript:PVH63826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSYTLLLGAMVVVLSLLLSPIACFRKLTKPTPTPPTNYNFKLAASSQPKSISHERPQPTTVPKPRSNHAASPSPPAAAAGCGGAGATYYGAPNGDGSEGGACGYGTAVGKRPFSSMIAAGSTPLYRGGQGCGACYEVKCASNAACSGQPVTVVITDDPPAGCSPAAHFDMSGAAMGAMTRRGMADRLRAGGVLRVQYMRVMPCRYPGMSVAFRVDQGANPFYFDVLVEFEGGDGDLKPMAHNWGATWRLNNGRKLRAPFGLRLTSGSGRILVVNDAIPAAWKAGKTYRSQVNYP >PAN13428 pep chromosome:PHallii_v3.1:2:47705462:47708907:1 gene:PAHAL_2G335400 transcript:PAN13428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDQGADPARRARLLSPPSGQPQAPRAPALPMDLSSQYQRRFAPSLFLPPMAPRLAPGSGFSAFSNYQGPPALAPPAAGGSHLARSLPKAPLFSTDSLAPLPYSADPAAGVGAGAGAAVPRSPPSLGSEQQGPSASGLPPRGAGHRRSRSDFLVGFSLQNQLPLPVLPAAEGFSKSADAAALEELFRSYRDPKSLSALGSSVDGPSERNSHLGNQMSSRRAWSPADSSDNEAESWGTGGGGGTTTSHPRHCRSLSVDSIMGNLNFGALGQVSPTLPPPSPASGAGGSVPHTGSGPSGSAAAVATSELANGEFTESEMKKIMANDRLAELALADPKRVKRILANRISAAKSKERKVKYMGELERKVHVLQMETSTLSSKATLSQRECEALKVQNSEMRIRLQALEQQAHLKDALNQALSAEVQRLKQAAGEASDAHAPNGSHHHMHRQILEQQLLQLQKQPSEAQKAEQQQPQESEQFKAQQKQWNH >PAN09582 pep chromosome:PHallii_v3.1:2:2452669:2460641:-1 gene:PAHAL_2G035000 transcript:PAN09582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASPAPAPSSAAAVFAVNGERVELREGDVDPGATLLEFLRTRTRFTGPKLGCGEGGCGACVVLLSTYDAASGAVSHAAASSCLTLLHGLHHRAVTTTEGLGRGRGRAGLHAVHERLAGFHATQCGFCTPGVCMSLAAALAGAEAKGVGRPAPPEGSARLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNTFWRKGDAHASKLPRYDEGAIGVFPEFLKAEIRASSGIGGCTPALVESGSTWHRPRSVEEYYNMVGSELFGKSRTKVIVGNTASGVYREAEVYDRYIDLRCIRELNSVSKEAKGIEIGAAVSISKAIEALREAGGCNDVIFCKIADHMEKVASPFVRNTASLGGNLIMAQRDQFASDMATILLAAGSSVCIQVSSKRLTVTLEEFLQMPPCDYKTLLLSIYIPHWTPAGALSDDRTMDKAVSTRGTSVLFETYRASPRPLGNAVAYLNAAFLAQVSSDGTSSSLILRELCLAFGAYGTQHAIRASNVEKLLVGKPITASVLLEACTLLKKTIVPKEGTRHAAYRSSLAVAFLFSFLYPVNKDTLKPVKAVHLNCSVPSGTNGNPNCGPDAHVDASLKINNMKSGSYSNDRILEYSNQIIEINKDYLPVGIPAKKVGAELQASGEAVFVDDIPSPKDCLYGAFVYSTKPLAYVRSIELDPSLKQLNTLGVVTVKDIPESGGNVGASTIFGPEPLFGDPVTQCAGEPLAIVIAETQRFANIAAKRAVVDYSTENLDAPILSIEDAVRRCSYFETPPFLLPQKIGDFSKGMAEADQKIYSAEVKLNSQYYFYMETQTALAIPDEDNCMVVYSSSQCPETTQNVIAKCLGLPFHNVRVITRRVGGGFGGKAVRSLPVATACALAAFKLRRPVRMYLDRKTDMIVSGGRHPMKICYSVGFKSDGKITALHIDLFINAGMTKDVSLIIPHNFIEALKKYNWGAFSYEAKVCKTNTATKSAMRGPGEVQGSYVAEAIVEHVASALSTDANLVRHRNLHTVESLSLFHSECSEDGMGYTLPSICGQLTASENYQHHLEIVQSFNRNNRWKKRGLAFVPIVHKVLSRPTPGKVSILNDGSIAVEVGGIELGQGLWTKVKQMAAFGLGQLWTDGSQEFFDRIRIIQADTLSNVQGGWTTGSTTSESSCEAVRLACNILVDRLKPVKEQFQEKQSSLSWDELISKAKMVGVDLSAREYYIPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMSEEYVTNSDGLVISDGTWTYKIPTVDTIPKQFNVKLINSGFHKKRVLSSKASGEPPLLLAASVHCATREAIRAARKQTRFSDSVPSSPSHFDLEVPAIMPVVKELCGLDNVERYLESLLSSK >PAN13379 pep chromosome:PHallii_v3.1:2:47523999:47528843:-1 gene:PAHAL_2G332500 transcript:PAN13379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPVECKMEATALSLARSVLHGILSSARAAVFDEVARLIGVPNEVDFVRSELEMMQAFLGVVYAHPDAAGRTETVRTWVKQVRDLAYDVEDCLLDFALYAARASSSRAGSLLPGAIAERHRIAERIRDLKARVEQLNQRNLRYRIVVDSPAAGAAAEQAASSLPEHDANSAELAFQESDIIGRLDEKAKLTELISGAEPGEAAGRRRRMPLRGLVPSFWFNHVVEFFCVPTIGGPTGEAAVIPTSPRRPAGTLRVVSVWGMGGMGKSSLVWAVHNDPVLLDEFDCGAWVTVPHPLDNPEVFRRRLRKELGVARNQDLGQYLREKRYRVIVDDVHTNEEWDAICQVFQFRNSKGSRIIVTTRREDVARHCTKHVYELKPLGDAESMDLLCQKVYKTTEYTLPEDMAEQAKHILRRCRGLPLAISTIGGLLANRPKTSIEWRNLHEHLGAELESDLRNIPKVIVSSYDGLPYDLKSIFLYLSIFPENHEIRRTRLLRRWMAEGYIAKKRDMPVEDVAARSYTKLINRSMIQPSKVSPGETDRCRIHSMVLQIILSRFIEENQLFLVEEHSNEARQSKIRHLVVSRWKSRDEKLQNINMSYIRSLTIFGEYPSSLISPKMRLLRVLDLEDTVNMKNDDLKHIGELQHLRYLSLRGTDISKLPSSLQNLRYLETLDIQDTRVRQLPSSIVKLEDLRYLVAGVNFTKDLLQKMRDSRAENHEARLFGDIEAYLGCNRGECCEVSNVDHLCVRVPEGIEKLKNLHMLGAVNVARVNGVSGRFKKLMNLTTLRRLGVTGLTEEEGQDLCKSIGGLSQLQRLELRCVSIRFPARMDEPEIPRHLTSMRLCGKLIGMPEWISSLNNLATVKLLGTRLNQEDIMRLQNLRNLAFLGLWEDSYIEESLRFSASTFTKLKVLDIDGLENIRTMTIREGAMPQLELLWLNKCHSLHDNSFGVSGVQYLQSLKELLLKNCGEKQNLIDILQEQVNRHTRRPKFLIGKSRVVL >PVH63569 pep chromosome:PHallii_v3.1:2:4260067:4261096:-1 gene:PAHAL_2G057500 transcript:PVH63569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGGWRRWKKGGEWALHRTISSMKGSTLFNKLLHLIVSPSSVGPELIAAIDGKGRTRKVLHWEQNHGFPAFVGQSKGLLHCLSVSGHPDGNSCHMTELSVWVLEDYDAEEWKLKHTVSFSELFGKKTCQFGSDYNVTTIHPDQNLVFFVQHWDYKLISYDMDHKEKCALCTVGCYYGVITPYAPYFSETPVLSKKRTESAAC >PAN12861 pep chromosome:PHallii_v3.1:2:45212331:45213870:1 gene:PAHAL_2G295800 transcript:PAN12861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGSTTAAAVPEVALPSGDAKPMPVIGLGTAVMFHVRPEDTQDAVLAAIELGFRCFDTAYLYGTERQLGDAVAEAVRRGLVRSREEVFVTSKLWCTQCHPDLVVPSLRETLQNLQMEYLDLYLIHQPICQKPGPPVFPAKREDARPFDFKGVWQAMEECQRLGLARAIGVSNFTTKHLDKTLPFATITPAVNQVEVNPVCQQQKLRTYCAEKGIHVQAFSPLGGQSWNGEANAVLESEVLAEIAKARGKSVAQVSLRWVYEQGVSIVVKTYNKERLRQNLELFDWELTDEDRLKISQIPQKKLQGGAFMFYPEGEFTSVDISEINFAEE >PAN12706 pep chromosome:PHallii_v3.1:2:44476082:44477660:1 gene:PAHAL_2G285200 transcript:PAN12706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSVRQWWRRAAAALKDRRSLLLVRLRPRRPGPWAWHHRELEAAVIRATSHEDRWMDYGSAARVFAWARTSPSSLRPAMWALARRARRTRCWVVALKALMVAHGLLLRSGLAPPAARNGRVPFELADFRDRSSSSSSARSQAFSAFVRAYFRFLDYRSLFAAQEDTDDGVDAECSGYQMTLLDRIAKRQFLLELLLQIRPYADGMEVPLILEAMDCALVEIFQVFGEISTGIARFLVSGVTGPAKPPLRKAATAAGVKMLWRAAEQSAQLSSYFELCRGLGVVNARKLPAAFVGLKDDDVRHLEGILMGDAQDDGSDEAEVEGTAPADVTKDAAGSASTITTVVTTEWVAFEEEKSSTGAVTCGGGSTGHVGNHWNPIVAAPLDLRESGNLIELF >PVH63597 pep chromosome:PHallii_v3.1:2:4755895:4756989:-1 gene:PAHAL_2G064900 transcript:PVH63597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPRFAAPPAGLMAPPAPRFAPAPAHATTRRPTGDAVLVAAVHDAADISRVDVKLVDVASGAVVGRLDGQSTGHAIAATGGLICLVPTRGNDRAAPVRVLNPATGAVTDIPVATAADGKTSRSSYVFGQVPVTGEYKVLRIHTSTSRDKPSQSCEILTLGGRGQRWRPAPSPPALVGTEIPRHRAVTPGFAHFFTTSKMADHDGIASFDLAKEQWRPSLLQGPLPSEDLHCCRSSLSLVALNGCLVFVHHDYRSCCIDMWVLAELEKGRWLRVQSLPMGSVLRGWEEETQPAPLIPVQRRREIFAQPLMVLDDGRIAFWVGVPNGVVRVYDPKTRKCKDVVGMGRSCSIVGLCKGSHQLGFA >PVH64072 pep chromosome:PHallii_v3.1:2:25571555:25572171:-1 gene:PAHAL_2G178600 transcript:PVH64072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFIPVCAGKHYFVYCINLIHNRINILDSTDYFWADTSLEPRHQLIFDKMPIINGAFQMVNKNKFRQFDNRSRLFIDVPKQAGPSDCMFFLWKYMKFWGGERLNIETNPFKGMIYRIEMMHYLAFHPLNQVGIPDKIDNYMLCGRKIEGMNRNEDLKLLCVLP >PVH63957 pep chromosome:PHallii_v3.1:2:14180472:14181096:-1 gene:PAHAL_2G145600 transcript:PVH63957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQTDLIQRLSLPSKLTILHFPVWALPPTSSNSLTCCFPAARALSQAGPARAQRGSLGGGATSTARTTSSTRATRMPSSRSSSAAPARAARSTPGGGEDDFFGQFNLMPTRKVRDENTNILISLNLVMSS >PVH63811 pep chromosome:PHallii_v3.1:2:9045554:9046522:-1 gene:PAHAL_2G111800 transcript:PVH63811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAPARPPLAPGAPLEPRALDPATAGAPLVGPLELSIALRINRYLTPQIKLRWPHINLRHRESISTGRESTSGASNRAPPAAARPPAAWSSRTGAWRGASGHQACGRG >PVH64906 pep chromosome:PHallii_v3.1:2:50453022:50461847:-1 gene:PAHAL_2G381000 transcript:PVH64906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPATVGEIRRAQRADGPAAVLGIGTANPPTCVAQDDYPDYYFRVTSSEHLTDLKAKLTRICKKSGIKQRFMHLNEELLAANPDFTDRALPSLDARVDIASAAVPELAASAAAKAIAEWGRPATEITHLIFSTYSGARAPSADRRLASLLGLSPTVSRTMLNLHGCYGGGRSLQLAKELAENNRGARVLVACSEITLIAFYGPEGGCPDNILGQALFGDGAGAVIIGADPVSPVERPLFEMAFASQTTIPETEDAISMEITKGGMEYHISNQVPRLLGSNVERCLIDAFDALGVSAKWNDLFWAIHPGGRAILDHIEGVLGLDDGKLAASRHVLSQFGNMSGTTVIFVLDELRRRRAAKQEGEAPEEWGVVMAFGPGITIETMVLHAPGSLEGN >PAN11942 pep chromosome:PHallii_v3.1:2:39092142:39093335:1 gene:PAHAL_2G231100 transcript:PAN11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKNKGLQLLDFWVSPFAQRCRIALAEKGLPYEPLEQDLSNKGELLLRANPVHGKVPVLLHGGRPICESLVILHYLDEAFPEAAPALLPSDPRARAHARFWADIADRKVFECGTRLWKLKDGEARARARGEMVEALRALDAELGDKPYLAGEAFGFADLAVVPFAAWFPGYARLGEFSLEEVCPRLAAWAERCGERESVARNMHLPEKVCEFIAYLKDKYGDK >PAN12157 pep chromosome:PHallii_v3.1:2:41271315:41273228:-1 gene:PAHAL_2G246700 transcript:PAN12157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAAQEPRRTLLLVSLASIMERADEALLPAVYREVGTELHATPAGLGALTLCRSIVQAACYPLAVYAAVAISRGLNGIGLAPVVPSIQSLVADSTDEGTRGSAFGWLQLRRTKVLGVAGWRVAFHLVAAISVAVGALNWFLAVDPNFPTSESGGRRPVGKQPATAREVVVEMIEDAKFMMRIPTFQIFVAQGVSGSFPWSALSFASMWLELIGFSHGDTAVLMTIFWVASCLGGLLGGKMGDVLAVRYPDAGRIVLSQISAGLAVPLAAVLLRGLPEDPSAGIAYGVVLFVMGVFISWNGPATNLPINARSSQRNQEQASIYALDGTFESMLSSFAPAIVGLLAQRVFGYKPNGKGKSVQRDRENAASLAKALYTSIAIPFTVCTAIYSFLYCSYPRDRDRALMQSLAESELQQMEHGSSCKDNGDPGGERAVIGVTCDHEELREAEMDTVRLLPANHESG >PAN13274 pep chromosome:PHallii_v3.1:2:47022824:47025139:1 gene:PAHAL_2G324900 transcript:PAN13274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAAAAAEADAHQSRLLYELCALLLTVLRASPEGGGVAAARPLLPRQVTPAGVASMLLGASMALMLCGSVTFMLGFFLMPWVVGLGCVFLFVGFVTNLSGIWRAILLWPAAACAASTKEASSSPWQIFSKPPFIPM >PAN15508 pep chromosome:PHallii_v3.1:2:57332525:57335883:1 gene:PAHAL_2G493000 transcript:PAN15508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLGASPSSPSRVRPCAAAPSPPARLQPRVTFPAASMVHARAAAGATRASPPHHRLEAVKRDHETILERLAVVTGGNRGIGLEVCRQLAVHGVTVILTARNEKRGKDAVEYLRHECNLSRVIYHPLDILDGDSVALLAKHIESRYGKLDILVNNAGVGGVVVDQDALRALNIDPDTWLSGKAATLLERVVVQTYDEAVKCLNTNYYGIKWVTEGLLPLLKRSTSGARIVNTTSLRSELKRMPNEKLREELRDANAWDEKQIEAMLDEFLEALKHGRLEEEGWPTMLPAYSMSKMVVNLYTRILARRHREMRINCVHPGFVKTEINWNTGVIPPEEGARGAVKLALLPDDGPTGCYYDQTELGVAW >PVH65434 pep chromosome:PHallii_v3.1:2:57332525:57335884:1 gene:PAHAL_2G493000 transcript:PVH65434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLGASPSSPSRVRPCAAAPSPPARLQPRVTFPAASMVHARAAAGATRASPPHHRLEAVKRDHETILERGIGLEVCRQLAVHGVTVILTARNEKRGKDAVEYLRHECNLSRVIYHPLDILDGDSVALLAKHIESRYGKLDILVNNAGVGGVVVDQDALRALNIDPDTWLSGKAATLLERVVVQTYDEAVKCLNTNYYGIKWVTEGLLPLLKRSTSGARIVNTTSLRSELKRMPNEKLREELRDANAWDEKQIEAMLDEFLEALKHGRLEEEGWPTMLPAYSMSKMVVNLYTRILARRHREMRINCVHPGFVKTEINWNTGVIPPEEGARGAVKLALLPDDGPTGCYYDQTELGVAW >PVH64115 pep chromosome:PHallii_v3.1:2:30154810:30155739:1 gene:PAHAL_2G191900 transcript:PVH64115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLCLFHYTEPPQYDGVEYHEEGVPRCRVKMIIPQHPFRSSWHSIEVEVVGYRLVDTLETAALEAIKLFCTQHPMEVAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETVRIITRFMDVQHHYQMLLRHGVSQLTGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILAADDAQAHIEELQQQPILHAIPIMPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSVGNFDDF >PAN13405 pep chromosome:PHallii_v3.1:2:47616774:47620895:-1 gene:PAHAL_2G334000 transcript:PAN13405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MAMGRPVRLVLDASLLLEPSATGEAAAALRPGVEALLRRLRYSNLTVAICYVESMSTNESGFLEKVASSHSFGYMPLLAKSGNCSPNESILEWSRTSSCFYVTSRADKGLISELQNHNWRVVSVGKEYNIEVPGVLNVGMLQELLLTLATLIKREIGGSSVLVIGYVMKQSREEDFARRGAFPIYPSKDGLIFVPLSFELPLSSQLQEVDMVLHKITDEIVKIDPNCSIDFPKGILFSAGMSEIIRFVEERPDFCIIDPFKNIYPLLDRLQIQNILVRLKELGTEGKPKLRAPYSLKVDNFHDGELDKHLTEANLSFPLIVKPQVACGVADAHNMALVFQIEELSNLGVPLPAVLQEYVDHGSKIFKFYVIGDKVFHAVRNSMPNASFLKSSSGGEPLTFNSLKTLPVATKEQQLQTRVQDSKSVDADLVEEAAKFLKGLLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDGIRQTYELKRAKVQT >PAN13406 pep chromosome:PHallii_v3.1:2:47616029:47621116:-1 gene:PAHAL_2G334000 transcript:PAN13406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MPLLAKSGNCSPNESILEWSRTSSCFYVTSRADKGLISELQNHNWRVVSVGKEYNIEVPGVLNVGMLQELLLTLATLIKREIGGSSVLVIGYVMKQSREEDFARRGAFPIYPSKDGLIFVPLSFELPLSSQLQEVDMVLHKITDEIVKIDPNCSIDFPKGILFSAGMSEIIRFVEERPDFCIIDPFKNIYPLLDRLQIQNILVRLKELGTEGKPKLRAPYSLKVDNFHDGELDKHLTEANLSFPLIVKPQVACGVADAHNMALVFQIEELSNLGVPLPAVLQEYVDHGSKIFKFYVIGDKVFHAVRNSMPNASFLKSSSGGEPLTFNSLKTLPVATKEQQLQTRVQDSKSVDADLVEEAAKFLKGLLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDGIRQTYELKRAKVQT >PAN10782 pep chromosome:PHallii_v3.1:2:9593382:9594859:-1 gene:PAHAL_2G115700 transcript:PAN10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEVDVSTLEAGGARDYADPPPAPLVDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDASASGPDAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMAAQCLGAICGVALVKGFQSGFYARYGGGANEVSAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNNNKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >PAN13234 pep chromosome:PHallii_v3.1:2:46837010:46839165:-1 gene:PAHAL_2G322300 transcript:PAN13234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSGITAVDAAAKGMKLERYASGGALLLRRATSAKLVSASSHLLFRATVLATLALVFLFTLHYPSLLSRSFHLSAGGAHPSSASHRSLLMSSSSASAAVSYGGAAWEKEMRRSARPSRDGGISVLVTGAAGFVGTHCSLALKARGDGVVGLDNFNSYYDPSLKRARQALLASRGVVVLDADINDGLLLEKLFDVAAFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVSVFEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEDHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFARSIVAGEPITLFRAADGSDARRDFTYIDDIVKGCLGALDTAGKSTGSKSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVTMPSNGDVPFTHANVSHAAHDFGYRPTTSLEAGLRHFVDWFVEYYKLDTKIAKGARSAAAKPAKKKKAAAAMSASA >PAN15090 pep chromosome:PHallii_v3.1:2:55353228:55354418:1 gene:PAHAL_2G463700 transcript:PAN15090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKLAVPALLVLLGSVACQADYGYPGSGSGSGSGFHIPVQIPFPFPPRTPTPAPSPSAGGLANGPNAENIVRDVVEKAVRANPGVGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLAVPPNFPSLHGFEVIDAAKAALEAACPGNVSCADVLAFAARDASAVLSSGNVNFTVPAGRRDGRVSNSSDALQFLPPPSFNLSELTASFAAKGLDVDDLVVLSGAHTVGRSHCSPFVSDGRLNASMSDMNPGLAAQLRQVCPPNPNATNDPTVAQDVVTPVRLNNQYYRNVLNHSVLHVGRGAPQVGADDGVGGDERPGLWEQKFAKAMVKMAGIEVKTGANGEIRRNCRVVN >PAN13022 pep chromosome:PHallii_v3.1:2:45975483:45976164:1 gene:PAHAL_2G308400 transcript:PAN13022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVKHGSRTVEKSTRTNGTLYKFSSKFWPQTYIAIQNGESNLPLKQANCKQSCKLSPTKHSIPATESKQMQFRCDLERRKLK >PVH63391 pep chromosome:PHallii_v3.1:2:1358737:1360996:-1 gene:PAHAL_2G019700 transcript:PVH63391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGHCIANATSRGQLQCSNVAINTFSNTMRWQIWMGNALLLTSPGIGGVLVGIGGYGQRYRYHPFTRFIFLGANTLFLPILSYVVSTLGDSSNGYVSSVDNECTTLILAALCHSNFHSCIVITWAFLVQIATINTTPVLAINPREGRNVGPSLELLVKVIWTFYLGGSISRSRFLNAIFGVLHGKDRTPSSSVSICARIMFAPFVLICAKIVCKYFAFEKARLSFELGPNPGLIFGYMKQLERQERSQLGESVVGEDAPPPLLVMGEDRRQVVNQPCGYVFTNGSGTMGIASTGLVTLDKFWQLDNVHPMMPSLKDLCLSFALFKLLRCRFSRYKITNVRSVRMLKFFCSLLLKDGGHDRVFRVVADELSFVHDYYYSSLSISYAKCWLPILVVFLSLLSISYCIVAACFIVLLAAKENKNGYSRQIHCKFWCTQVQEVSIWRPENFGSLYFDVVPVFFLLALVLISDARVMASYICSSWTKVALICHHVNSTSFWTLSGIFSDCPPDQERKVKVLAAVKICIFDVLRNSHSNECQLSNGLHLCAGAKLVKASSGPAAAWVMGTSDIILTWRIATSILEVRHPYRRDQEEAYLVTWCPELLPDEDEWSKSLYNAVKEDATRVLAVRAATGLLTPEVEYQDLVQLLSEDSKHEVLKNGVRLGKQLVELVEGEEAAWAMLASFWAEKILYVAPSKNLRGHSITLLWALLFHVGIVSRPGEPDFTAATAGVV >PAN09542 pep chromosome:PHallii_v3.1:2:2299913:2303565:-1 gene:PAHAL_2G032500 transcript:PAN09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGMEATAARMEELSSAAAFVEGGVQDACDDACSICLEAFSDSDPSAVTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPMSQELLEAVEEERNVQENHARTTIFHHPLLGDFEVPVDADDAEIEERIIQHLAAAAAIRRSHRHARREGRRSRSAAHGHPQTLFFPTAEATSGGSMSSNSRQEGVHEHAPAVIFARPFPTVDSTEETGADTSVPGTSLANNGPVVSNNRVSKDQSSPVGQDEAGPSDVQSFSDSLKSRLQSVSTKYKDSITNSTRGWKERWFTQKNTISNLGSEVRREVNAGIAAVSRMMERLETRDGTGPSSTSATNVHSALDTNSQGASPPKVATVGNDASSSAT >PAN09543 pep chromosome:PHallii_v3.1:2:2300584:2303565:-1 gene:PAHAL_2G032500 transcript:PAN09543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGMEATAARMEELSSAAAFVEGGVQDACDDACSICLEAFSDSDPSAVTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPMSQELLEAVEEERNVQENHARTTIFHHPLLGDFEVPVDADDAEIEERIIQHLAAAAAIRRSHRHARREGRRSRSAAHGHPQTLFFPTAEATSGGSMSSNSRQEGVHEHAPAVIFARPFPTVDSTEETGADTSVPGTSLANNGPVVSNNRVSKDQSSPVGQDEAGPSDVQSFSDSLKSRLQSVSTKYKDSITNSTRGWKERWFTQKNTISNLGSEVRREVNAGIAAVSRMMERLETRDGTGPSSTSATNVHSALDTNSQGASPPKVATVGNDASSSAT >PAN13678 pep chromosome:PHallii_v3.1:2:48986462:48989816:1 gene:PAHAL_2G356800 transcript:PAN13678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGDNPHSISEKKAALRESPKQSKIVVNEQPRTSFSKDKVAATVSLKRQQPYGPLSPSNHHTLGNPGANGHLVYVRRRPDTDQSKGGTSARAESVSSMSTKKPIAGGLQSQEQSLKHQDNVSHTQFAPRFSSPALQSTVLPSQHSFEKQSPGKVAVRPTNDVITSLPPSNVASSTPVVQSAAAANLATSSVLATSVAATLAPDQADPPRSSNQDWSDRFIQLQAFLRNNEQSGKEEYIRSKQSTGKIAAQPTNDLTTSPPPRNVMFSTTVLQSSVAANLAPRGVSANNTASRAAISAANLVSSSVSPTNTASTDAISATTQAPNRAHPPRSSNQDRSDRFLRLQAFLRNNEQSGQEEYIRMLRSLSSVGRSKHAIELEKRAANLLIEEGKELQKMKVLNVLGKLSPTDAPSAQPATVKHLPFPAQR >PAN14294 pep chromosome:PHallii_v3.1:2:51571689:51573750:1 gene:PAHAL_2G398200 transcript:PAN14294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMCCSIRSSPLQGRMAPTRLFFVVLGTTFPLLFFFSRADAGEVGVSYGRLGSNLPSTASVLKLLKQSGITTVRLYDANSTVLEALANTGITVMVMLPNDHLAAAAADPSSARRWVRRNVAAHYPATRIHGVAVGNEVFEEASNLTRQLVPAMANVHAALVRLGLDGAVKVSTPIAFTALQASWPPSAGRFRDDIARPVMKPMIDFLERTGSYLTINAYPFFAYVEQPDKISLDYALGNSKAGVRDPVTGLVYHSLLDAQLDATYFAMEKLGSSASPRQGNSLGLGGRPRARAYVSESGWPSGGRRRPGRRLEEAGDGAGAPAATIANAKAYNNYLINRVLSGDTGTPYRPDADMDVYIFALFNENQKGCGADDIEQHFGLFYPNQTKVYEFDFRGGALPSWCVANASAGDARLQAALDYACGHGADCGDIHPGAPCFEPDTVAAHASHAFNSYYQRSGRDRAACDFAGAASVVHHAPKIGNCVLPSKAWIQEAAAKSEGYAAI >PVH64996 pep chromosome:PHallii_v3.1:2:51571852:51573750:1 gene:PAHAL_2G398200 transcript:PVH64996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLPNDHLAAAAADPSSARRWVRRNVAAHYPATRIHGVAVGNEVFEEASNLTRQLVPAMANVHAALVRLGLDGAVKVSTPIAFTALQASWPPSAGRFRDDIARPVMKPMIDFLERTGSYLTINAYPFFAYVEQPDKISLDYALGNSKAGVRDPVTGLVYHSLLDAQLDATYFAMEKLGSSASPRQGNSLGLGGRPRARAYVSESGWPSGGRRRPGRRLEEAGDGAGAPAATIANAKAYNNYLINRVLSGDTGTPYRPDADMDVYIFALFNENQKGCGADDIEQHFGLFYPNQTKVYEFDFRGGALPSWCVANASAGDARLQAALDYACGHGADCGDIHPGAPCFEPDTVAAHASHAFNSYYQRSGRDRAACDFAGAASVVHHAPKIGNCVLPSKAWIQEAAAKSEGYAAI >PAN13594 pep chromosome:PHallii_v3.1:2:48560078:48562075:1 gene:PAHAL_2G349400 transcript:PAN13594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKDVSIETAEPAAAEKVPYWDPPPAPVLDTSELKKWSLYRALIAEFVATLIFLYVSIATVIGYKAQSNNLQTCTGVGFLGVAWSFGATIFILVYCTGGVSGGHINPAVTFGLFVGRKLSLVRTVLYIVAQCLGAICGAGMVKGVAGGDLYDALGGGANAVAGGFSVGSALGAEIAGTFVLVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVMYNMAWKNHWIFWIGPAIGSTAAALYHKLVLRGEAVKALGSFRSTSATV >PVH63819 pep chromosome:PHallii_v3.1:2:9268047:9268907:1 gene:PAHAL_2G113300 transcript:PVH63819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTKRPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSARAPPENPEGSAAAVGGDAAAQPLTSGNPEDGEQGSLTLSAPEEGLPRE >PAN10986 pep chromosome:PHallii_v3.1:2:11879358:11883735:1 gene:PAHAL_2G131700 transcript:PAN10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAEDAAAAAAPDSWETADIDGPMSRLILTARRVSSSPDLAEEQQDQPPPHPAPPQTQGPAPARDDLVAQVDQFLREALEKPRERLSVLRMEQDILKFISDPRQTQFEFTGLPTSYLRLAAHRLAQHYFLQSIAIPDNSLPDGTGSRIVLRKTSSECQQPAVRLADIPVSLPQEESSTVAKVAIKQRPQKNFHSINSSSSHSSRDNLQKSVEERKEEYNRARARIFNNSSSGNAADGRPVEEVTLPNTLHRSSSLELNSSNRMGQGAEITLERSLTTTSASSRSNRSKIEKEPGVSRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDPGFGFNGGPYTIQPLYAPAVNYNTEFPQLGSAHRSPVAVEQQPRPMAQHMPGSWSAGQAPNAIGYGPPDGVMAPYSPGHAGPPVRSSVFMHASQQYAIPSRPGVPFVHPPESMGPFAQTHQQQPEASLRFARPR >PAN14668 pep chromosome:PHallii_v3.1:2:53312189:53315520:1 gene:PAHAL_2G428300 transcript:PAN14668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVMAGVDLETEVELSPVAKAEAAAFSPYSSPSTALLLQRRVVAWAKETGSPATVRVRVADRSFDLHKDPLASKCGYFSEAWLESGDVELPASFPGGCEAFEVIALFCYGDAVALDPFNVAAVRCAAEFLGVGGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLIVSRCVESLAFMACMEILDPEQRRDQPGAVGARALVGRRWDAELVKELAARDLWIKDLIALPFEFFERIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFWATTDEAVDGETDANRRAAAIMEGVIALLPVEAASVAASGSIPVAFYFALLSRSLTLELSDESQTRLREQVASNLQFARVDDLPLPEQETDRPIADSREVRAVESIVSNHAVSVRRKGAEAIAELWDRYLLHIASDPKLRPERLSELIGVIPAGDRKNHNHLYEAINTYLVEHRGLSGEDKATLCGHLDCRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFRECSDSFRCAHSGELVPGAGAYTPSPGCPAIPTSQPLSGGSPYESHHAPRDARLRARDDASDYETASFRIQALEQEIISLKRTLQRHNTLKGSARRGGGNKEPSFRVATDADAPAAIKRRAAVSGSCIGSMRWGSQRRCASRILRVFARLAVFGRGRSRGKQSKCRAATEQLNCM >PAN10561 pep chromosome:PHallii_v3.1:2:8003100:8007968:-1 gene:PAHAL_2G101700 transcript:PAN10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MFGTPSSSPLFGTPSSTPAFGTPSATPAFGTPSSTPAFGAPSSTPAFGTPSSTPAFGAPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGAASSAPAFGGLSAFGTPSSTPAFGAPSSTPAFGASPSPSPFGFQQQATPSPSPFGMLGGGAGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSHRLKLQDEVIVSDTDRLSMTNSNVKKLQRHFQAHTYPWIQRLKQQELVIQRRLLRFVRIVETLENRGYRSPLTKEEADLYERLVAILKQLKGPNADLSKRVNTLLSTSHILASTGGAGGPVYIPNSAKVDERSVTDLLETLQQHTEAVAKLGNVLKRDIRDLEIIQSKDMDMAEDSLGRRALKI >PAN10992 pep chromosome:PHallii_v3.1:2:11896480:11898759:1 gene:PAHAL_2G132100 transcript:PAN10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >PVH64377 pep chromosome:PHallii_v3.1:2:41816926:41817821:-1 gene:PAHAL_2G252700 transcript:PVH64377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQATLSATTIPLGRFPSHVFLAESCRWGTHKPRSMPQRKHQKPQTNSRQPADQVNARLRWLSKLRKGASCSQFIQWRWRFETYSIHFNVRATQGKGGRCWVAGSMPFKGPGAGRCERASQSMALWSAESGGGYRPRQLWRRRGAAREQWYGEDHGMVHEVNLYSSYIRGWIARCRVVINWRAYLNLDRYLCPSIWWILHSHMYSSTEYRLLLQSVSW >PAN10859 pep chromosome:PHallii_v3.1:2:9929685:9934674:1 gene:PAHAL_2G118700 transcript:PAN10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEAQRAKDIAKKKFEMRDLPGAMKFALKARALFPDLEGIAQMIATFDIYLASEVKVAGEKDWYSILSVATSADDEVIKKQYRRLVLQLHPDKNKEVGAEAAFHMVQEAYTLLSDKVKRAVYDQKRNVRVFQQRTAQSSKASVAPGVSNGFYNFAANAAATSKPTVKKQTAGPTAPAVRPCPRPPQPPPPSAAPPHASSPPTPAPGSKPPTFWTSCNKCKMNYEYLRVYLNHHLRCPSCREPFLAKEVPIPPTKTVGQDSNIGGAKQNTSTNRNMQWGPFSRAAGAASATASSAAAAQAANVVHQTYEKVKREREEAQAAARREEALRRKYNRLKSHASMSEDVNVGTGDAASGKKMKTMVKDAGVGSSSVISGPGANCFRVPGVNISFSSNIGPYEFQGVNGGPNWKPRPPIRISLTKSFSQLDVRGLLLEKAKSELKNKLTEMRSKTSQVAASGKTTKKHVVKETGGDNEAAASDDPTTNKDVHADPNAIGSNTSSDAENDVDDPLSYNVPDPDFHDFDKDRTEESFQSDQIWATYDDEDGMPRYYAFIQKVFSLKPFKLKISYLETKTNSEFGPLNWVSSGFTKTCGDFRTGKSETCDIVNMFSHQMKWEKGLRGVIKIYPQKGDIWAIYRNWSPEWDEDTPDNVLHAYDVVEVLDGYDEDHGISVIPLVKVSGFRTVFERHQDVNAAMKIPKEEMFRFSHQVPFYRMSGKEAPNVPKDSYELDPAAISKELLQETTETVEANGTS >PAN11864 pep chromosome:PHallii_v3.1:2:37914990:37916405:1 gene:PAHAL_2G223700 transcript:PAN11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFFKAIMGDLAFLIGKYSKTTTPTMEEKRLHDLERLLLRVRVVIEEAEARHITNKAMVHQLNLLRKEMYRGYFTMDNFRCQGIEDKDHDVSHSFALSKFNPSKRLFFSNLDKSNRDKDLQQVTGNLKNLMEDVNELIIFLKNYPPLYRQPYSMHLIVGMCMFGRQMEMERIMNFLMQKEHPAGTESARVLPIVGPTFVGKSTLVAHVYNDPRVRNYFSQIMVIRGDDINNANLVSLKDAGVIIHRNNAMGENERVLAIIEFSGDVDEVAWNNLYSCSAVYLGRGSRMIITSRSNKVMKFGTTQALVLNHLPPEAYWYFFKILTFGSTYSNDHPRLESIAMEIARRLHGSFMWANVTSSFLRNNFSFRYWLMFLAQLKEYIKRNIALFGENPFDLTRKSKPFMWYISDDEFVVWDKHHACPTEENAPAITLEDVVFRRVKYEGEFEVLQWKSHIQPYSSYIVSCSIQRPR >PAN09479 pep chromosome:PHallii_v3.1:2:1916406:1920976:-1 gene:PAHAL_2G026500 transcript:PAN09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLLPAAVLFLAVLGSAEAGAEEAAALLEFKRALLDVDGRLSGWGGAGADPCGWAGIACSAAGEVTGVKLHGLNLHGGLSAAVCALPRLAVLNVSRNALAGAVPPGLAACAALEVLDLSTNGLHGAVPPELCALRALRRLFLSENLLAGEIPAAIGNLTALEELEIYSNNLTGKIPTSIRALRRLRVIRAGLNDISGPIPVELTECASLEVLGLAQNNLAGELPRELSRLKNLTTLILWQNALSGEVPPELGSCTNLQMLALNDNAFTGGVPRELAALPSLLKLYIYRNQLDGTIPPELGNLQSVLEIDLSENKLTGIIPGELGRIPTLRLLYLFENRLQGSIPPELGQLSSIRKIDLSINNLTGKIPMAFQNLSSLEYLELFDNQLHGTIPPLLGANSNLSVLDLSDNQLTGRIPPHLCKYQKLMFLSLGSNRLIGNIPPGLKACRTLTQLRLGGNMLTGSLPVELWQLQNLTSLEMNQNRFSGPIPPEIGKFRSIERLILSNNYFVGQIPAAIGNLTELVAFNISSNQLSGPIPRELAQCKKLQRLDLSRNSLTGVIPQEIGGLVNLELLKLSDNSLNGTIPRSFGGLSRLIELEMGGNRLSGQVPVELGELTALQIALNVSHNMLSGEIPTQLGNLHMLQYLFLDNNELEGQVPSSFSDLSSLLECNLSYNNLVGPLPSTPLFEHLDSSNFLGNNGLCGIKGKACPDSSVSSYSSKEQEAQKKRFLREKIISIASIVIALVSLVLIAVVCWALRAKIPELVSSDERKTGFSGPHYCMKERVTYQELMKATEDFSESAVIGRGACGTVYKAVMSDGRKLAVKKLKSQGEGSNIDRSFRAEITTLGNVRHRNIVKLYGFCSHQDSNLILYEYMANGSLGELLHGSKDAYLLDWDTRYRIALGAAEGLRYLHSDCKPQVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAVAGSYGYIAPEYAFTMKVTEKCDVYSFGVVLLELLTGQSPIQPLEKGGDLVNLVRRMMNKMTPNTVFDSRLDLSSRRVVEEMSLVLKIAMFCTNESPFDRPSMREVISMLIDARASSYDSFSSPASEAPIEDDSSPKV >PAN09377 pep chromosome:PHallii_v3.1:2:1267623:1272202:1 gene:PAHAL_2G018500 transcript:PAN09377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNSSNSNGNGTNAAASGWLGFSLSPHMASAMDDHHHHHVQQQQQQQQHGLFFPSATAAAAAAAAYGLGAGDAVAASASPYYTPQLASMPLKSDGSLCIMEALRRSDQDHHGPKLEDFLGAAQSQAMALSLDNAASSFYYYGGAGAGGGHHHGSSFLQPCADLYGGPSAASLVADEEAAAAATAMASWVAARAGAAESAVLSAAAAGQHQHHHALALSMSSGSLSSCVTAHPGEYGMVAGAAAAMDGGRKRGGAAGGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPGELARRKKDDEAPVADGGVTAAALVQAGNGSATAADTWKVAAALAAAPRAAEHGHVHHQHDVLSGAEAFSVLHHLVTAADGGGHHSAGGAQHMPMSSASSLVTSLGNSREASPDRGGGLSMLFSKPPAQQQQAASKPMSPLMPLGSWASPASARAAASVSIAHMPVFAAWTDA >PAN09842 pep chromosome:PHallii_v3.1:2:3950988:3952874:1 gene:PAHAL_2G053400 transcript:PAN09842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSYDGPMLLAALKRERERERESTGTSEARLRRQEEQAADASDCSPSAPSPKSKFRCYSCTSCFANLQPHPSANQLLAGRIVSSRIGSMLACARSVSLLACATLCSIC >PAN12075 pep chromosome:PHallii_v3.1:2:40687564:40697002:1 gene:PAHAL_2G241000 transcript:PAN12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGAAAMAATTGTLLLLLVAAPGTTVAAGDSRGGVPGPEEAHSRRSTSTEGANVEAAPPPRRTPAPPAAGSPPAAGSPAQFRGQARLPRFAAPRRYELRLRPDLVACTFTGAAAVTVAVSAPTRFLVLNAADLTVDRASIRFRDLAPKEVVFFPDDEILVLGFANNLPLGEGVLSIKFNGTLNDQMRGFYRSKYHYKGQMKNMAVTQFESVDARRCFPCWDEPAFKAKFKLTLEVPPGMVALSNMPIANQTVTGPIKTISYQESPLMSTYLVAIVVGLLEYIEGVTPEGTRVRVYTQVGKSNHGKFALDVGVKSLHLYKDYFGTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVALLFDEESSAESSKQNIAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSHLAVDSFFPQWNIWTQFLDATTAGLKLDSLEESHPIEVEIHHANEVDEIFDAISYDKGASVIRMLQNYLGAERFQKALASYIQKFAYSNAKTEDLWAVLEEKSGEPIKNLMTTWTKQQGYPVVNAKLNGNYLELEQAQFLSDGSPGHGMWIVPVTAGCGSYDMQKKFLLKGKSDRLDIRDIASQCGNQEKGGNFWIKLNINQTGFYRVQYDDKLAAALQTALQSKKLSLMDKIGIVEDSHALSMACKQTLTTLLRLLYAYREEADYSVLSHINTVSLSVAKISVDATPGLVGDIKQLLIKLLLPPAVKLGWDPKNSENHLDALLRPVLLVALVKLGHDKSINEGIRRFGIFVHDRNTSLLPPDTRKAAYLAAMQNVTTSYRSAYNDLLKVYRESDEAEEKGRVLSTLCHCKDKNIVLESLNLLFTDEVRSQDAYYVLQGLGVETRETTWLWLKGNWDRITKKYGGTQQGGFIRYVLTLFASNEKAAEFSRFFATHKNPEFERTLKQSLENVRINARWIQGIRSEPRLAQTVQELLRGP >PVH64883 pep chromosome:PHallii_v3.1:2:50101793:50102851:1 gene:PAHAL_2G375400 transcript:PVH64883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDAAQPQGLGKRDYESKCACVKRRPMLPRSARTPASSRQRHLSSSLPPGSWSRRHQVAL >PAN10781 pep chromosome:PHallii_v3.1:2:9590130:9591672:-1 gene:PAHAL_2G115600 transcript:PAN10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEVDVSTLEAGGARDYADPPPAPLVDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDASASGPDAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMAAQCLGAICGVALVKGFQSGFYARYGGGANEVSAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNNNKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >PAN11304 pep chromosome:PHallii_v3.1:2:14934518:14937644:1 gene:PAHAL_2G148700 transcript:PAN11304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHQHDSPVTSTAMAGNGAPRLLRLPTAATSLPLLRLAVLLQLLCLASALNQDGTLLLSFKLSLADDPLGSLSGWGYTDATPCAWNGVVCSPDSRVVSVVLPNAQLVGPVAKELGLIEHLRHLDLSGNALNGTIPPELLRAPELRVLSLAGNGITGDLLEQVGQLRSLRALNLAGNALSGAVPQNLTLLPNLTAVSLANNFFSGSLPGGGFPALQILDVSANMLNGTLPSDFGGAALRYVNLSSNRIAGAIPPEMASNLPVNVTIDLSYNNLTGVIPAVPPFSVQRPTAFEGNVELCGKPLDSLCAFTSSSAVEPPNGPAKSPPAIAAIPRDPTEALPGDDTSSAAGASASGEQRGRMRLATIVAIAAGDVAGIAVLFVVVLYVYQVRKRRQRQEVAKQRMGVVFKKPEPDESPDTVCRSLSCCLRKKAGDDSDDTEEITDEASFATKEGITEKNSKEGVEAASKKMGGDGAVLVTVDGGAELELETLLKASAYILGAAGGSIVYKAVLADGAALAVRRIGSDDAGVRRFSELDAQMRAVAKLRHGNILRLRGFYWGPDEMLIIHEFAVNGNLANLSVKRKPGSSPINLGWSKRLRIARGVARGLAYLHDKKWVHGNVKPSNILLDADMEPLLADLGVDRLVRGAGAGHKPSSAAASALAGRFGSKRSAKSLPDLSPPPSHAGGPPASPLPSGAPAAGDTAAHYRAPEAVRSPKPSAKWDVYSFGVLVLELVAGRALTSVELCQCAADEKAQAQALRLADPALRDEVEGREEAVASCLRLGAACCAMAPGKRPSIRDALQAIERIPALAAASSSSSCSTAAHR >PAN12725 pep chromosome:PHallii_v3.1:2:44570684:44573050:1 gene:PAHAL_2G286800 transcript:PAN12725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGAWMAAAAAVWWMAAGAGAVWLEIAPSVTKCVSEEIQSNVVVIGDYSVLYEHHHAHPTVAVKVTSPFGDIVHKKENVSMDQFAFTTAEAGNYLACFWADGEDRGLVVKLNLDWKIGIAAKDWDSLAKKEKIEGVELELLKLEIAVQSIHENLLLLRSKEANMRDVSEKTNARVTWLSVLSLSVCVAVSVLQLWHLQEYFRKKKLI >PAN09648 pep chromosome:PHallii_v3.1:2:2797427:2805020:1 gene:PAHAL_2G039800 transcript:PAN09648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVSAGRDPYDEEAAGRRPLELDGRDAAAAASSSDHRPGSVIPRYQVGSMKGDTSSRYAEGSRLLSTDDVRKSKPGSRYYTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVSGFSSLPWLIKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATVVSSKYSAASSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSSYFSGSFVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRIPPGERTILLSGSGFVESSKQHVRQLWTSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKEIPLRKIFLVTTIIGSVLGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGSLVGAGLTRVFGVTRDSFGNLPLLIVICNLSSLLPLPLLGLLPEESGGADNGEMKHN >PAN12757 pep chromosome:PHallii_v3.1:2:44769064:44774259:1 gene:PAHAL_2G289200 transcript:PAN12757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os09g0456800)] MEAEAAAAGQPASSKQRATGGPAPFLTKTHQMVEEASTDEVISWAEQGRSFVVWKPVELARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANDNFRRGEQGLLSGIRRRKSTTPQPSKSGGSAGANAAFPPPLPPASGTTSGGGNERSSSSASSPPRADLTSENEQLKKDNRTLATELAQARRQCEELLGFLSRFLDVRQLDLGLLMQEDVRAAAGDAHQQQQRRAAADQLERGGEEGKSVKLFGVLLKDAARKRGRCEEAAASERPIKMIRVGEPWVGVPSSGPGRFGGEN >PVH65179 pep chromosome:PHallii_v3.1:2:53904489:53907186:-1 gene:PAHAL_2G437000 transcript:PVH65179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYNLYTRCTFILAMAFACIILNGLRFLLLQMSSSSNGHYPANGAKVLHMRDRNKEKVQLDRNAASRACQKDRQYIEKLETELMNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPEKVRVMDNELMRSDSQCWLLMEEVRCKEEELQKASSQIEKLESTVLDSQCEIESLKLDLTNLEQRLFDAESFAQHAAEQKARFDKLFGEHELQLHEAQKTIDQLILENKQLKELSPVKGPKQSPSTSEEQLDKPLENGGHAEYESDNLILERMAKQNEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLDEEYKRRSCIEQAAIQHIQELETQVSKEKTKLSGALRRLQESCELAHKQAMEIKKLKDSLERFNSAVNLGTVCKSCSCGFCAMLIELSNCSIEGPSDARSPKSNHIDELQNQALIEWHPDEASDGDGG >PAN13487 pep chromosome:PHallii_v3.1:2:51480465:51481824:1 gene:PAHAL_2G396800 transcript:PAN13487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSCSVATLFVRPSVKQTGSSRSTTQPCLALAPRLALLPALAAAATAADYRRPPPSPRHKCGAQGTYAPGRAYEANLRRLAAFVPAQANASTCRCSPGNHAGVRPDHVAASAYCYWRPDAGWPSDCAACIARAFEEAQRLCPYHRQAMVVVDGGECSISFHDVQQREESMGLGSAGEFVADWDHASHLDLLQYMNKKELARYMSEDEVLESKNFTERASKLPGCLTM >PAN10543 pep chromosome:PHallii_v3.1:2:7777333:7779679:1 gene:PAHAL_2G099700 transcript:PAN10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAKPIPAAAGSCGGDDPDLISLLPDCILTTILSLLPLPAAARTQILSRRWRRLWPSAPLHLLDSHLQVPTSSLPAVVSRILASHRGNAARFDILLARPSAADLDSWLRSLAAKHLQELVLRPPSDEPLRLPPSFLSCRSLRSAELTNCRLPEDAAAVAEVYFPHLAELTLRLSRVPAAAALHGLLAGCPELASLSLDRVFGCRTLRVRSRSLRSLTVSVSLTRRRVLEGAEELEHLIVEDAPALERLLAHDINWGPSINVMHAPRLQMLGYLGVGIPELQLGSALFRSMRAVRLSAEFRCVRTLALEMADPQVKPVAVFLRCFPCLETLYVTSHMVVPQSMEILNYEMDDPLECLNYRLKTVVLKGYRGRKHELQLAMFLVRSARVLRVMKFLCENDCNLSWLTNQKRRLLLDNRASLGAQFVFQKITKSYIRFLKQASNISLVDPFDT >PAN13467 pep chromosome:PHallii_v3.1:2:47934369:47936641:-1 gene:PAHAL_2G339100 transcript:PAN13467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAEAGNGGGGGGAAGGRARSVLVTGGAGFIGTHTVLRLLERGYGVTVVDNFHNSVPEALDRVRLIAGPALSARLDFVLGDLRSVDDLEKVFAAKRYDAVVHFAGLKAVGESVAHPEMYYENNLVGTINLYKTMKKHGCMKMVFSSSATVYGWPEEIPCVEDAKLQAANPYGRTKLILEDMARDYHRADPGWSIVLLRYFNPIGAHSSGEIGEDPKGIPNNLLPYIQQVAVGRLPELNVYGHDYPTRDGTAIRDYIHVIDLADGHIAALNKLFDTPDIGCVAYNLGTGRGTSVVEMVAAFKKASGKEIPTKFCPRRPGDATEVYASTEKAERELGWRAQYGVDEMCRDQWHWAKKNPFGYCGSAEKK >PVH64651 pep chromosome:PHallii_v3.1:2:47002534:47003388:1 gene:PAHAL_2G324500 transcript:PVH64651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDPTLLLLLHPHESPHTTSRSTAALRVVPRSFAAAPLVCCHSIAPTGRSCCRHAFLFTVTTRQGKRTTGCCRCSEDFCPIITATRS >PAN15212 pep chromosome:PHallii_v3.1:2:55920511:55920884:-1 gene:PAHAL_2G472200 transcript:PAN15212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLAVAGDPKYLQSLIWVGFLLPRHFAAGYRSPLHGLSQPQAQDPSRSYQAIQPAPRPAARRQGVEEAPLIGRIETSEQPRR >PVH63436 pep chromosome:PHallii_v3.1:2:2038057:2038706:1 gene:PAHAL_2G028800 transcript:PVH63436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACASVRKKLADIMTILEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLLKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLRVIR >PAN11989 pep chromosome:PHallii_v3.1:2:39688606:39689748:1 gene:PAHAL_2G233500 transcript:PAN11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQKASNVVFHAREAKSFNLRVNNSATKHLPGVQCVETDVPAPAAAGFRITTKYWPHWDSRALTIKISVVITRTHNQRFKVVTGHIDLPSRTGLPVPHVVHRRELVPATGECEGGISLLAKRADVEANCVVNGHFTAVCTVAVARGWPPLLLPTPTRLDYDISMIPDLADVSFQVEGETFRAHRLVLAARSPVFKAELYGQMAESKASSITIHDMRAPTFKFMLEYMYHGLLPAVAADMDDAALKMEFQHLYVAADRYGLDTLREMCEEVLCATISVSTVLSNLVFAEERTCGKCHKLKSRCLEFLAVGENFKEVGVTNEKVEIMKDAPSLLAEVQNWFTLLRRFVAAPRFLQSRVKIYLFLQKKWGYCSSRKCIFRVG >PAN13532 pep chromosome:PHallii_v3.1:2:48235038:48239967:-1 gene:PAHAL_2G344800 transcript:PAN13532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLGWFKRRSRSGGSSSGQRGASAPPSSGPATTTTTTVSGVSTSRSDDSGAVRPVSKSAGSAASSQSQRSISSLYEERGHGQLRVFDYEELQAATADFGRAQKLGEGGFGSVYKGFVRAADGKGDRIPVAVKKLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDSERGAQRLLVYEFMPNKSLEDHLFRRANPPLSWNKRLQVILGAAEGLAYLHEGVEVQVIYRDFKTSNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTQGYAAPEYIDSGHLTAKSDVFSFGVVLYEILTGRRSLDRNKPAAEQKMLEWVAQFPPDSRNFRVIMDPRLRGEYSVKAAREIAKLADSCLLKNAKERPTMGEVVEVLRRAVQAAEPDSRSPGAGAGAVKGRRADAAAPSRR >PAN12746 pep chromosome:PHallii_v3.1:2:44697460:44699422:1 gene:PAHAL_2G288300 transcript:PAN12746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSERRLASAVVRLPGRSRVSASPSPRRRSASPSPRRDRRRDRSPSPYRDRRRDRSPGPNRDRRRDDRSPIPYRDRRRQWSPYHNDRGRDRDRVPPVRGGGGAWSASDDDNDTELQGLSYFEYRRIKRQKLRRSKKRCIWNITPSPPRAEGDEENYGYSDVEEEKKESPKKKGSPEASEEDSKDASGSESGESDSLSESSESEGSMRKRKGRKSSRRSKRSRRRHRRRSYNSESDEESESNDDSEGSFDSEDSRDRRSKKRSRRHKKSRRGRSSRRKKKSQDTASEQSSEEAEHSDHSPMDSSKKSKSSKRKRSKRSDSEESLPSDANPDVKEDEEIKEPEIDPEAIKFKEMLEAQKKAALENDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRTIGNDVGPSHDPFATTDS >PVH64157 pep chromosome:PHallii_v3.1:2:33951744:33952500:1 gene:PAHAL_2G204600 transcript:PVH64157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRIQMVQPVPRGPCRAAAPLGPCRGEPHLRRRLPRGGVACVPPRSRGGQQGIFFKKGLKKFKFEKSAGLEQFNGREATFRPSNGGGRKIFLRPRKAPLREIKLFLFTKRPLTWHPARPTGGRSPEGHPALPTGGRFSRGASWPPNGRDVTPTI >PAN14041 pep chromosome:PHallii_v3.1:2:50405443:50408121:1 gene:PAHAL_2G380300 transcript:PAN14041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHAARRLASRAAVAPTARRALATAEVPAEAAEDSTFVEAWKKVAPNLEPPKTPISLMQPRPPTPAAIPTKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSSGFAFVHANSIADIVAVEAVPVDQIDPALVQKGLADFTAKLGSASTDLEKAEAQIGVDVHSALNAALTS >PAN14684 pep chromosome:PHallii_v3.1:2:53396762:53402448:-1 gene:PAHAL_2G429600 transcript:PAN14684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLRRSLPSLGRALLSPAPVRMLSADASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDPYANVGEAGLTFDSAESAKAFAEKHGWNYVVRKRHTPLLKPKAYAENFKWRGPPKPEQA >PVH64166 pep chromosome:PHallii_v3.1:2:34341010:34341348:1 gene:PAHAL_2G206800 transcript:PVH64166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNIEDLTELRLWSLEKIKENKAKVARAYNKKVKPKEFKVGDLVWEVVLPLRTKDAAYGKWSPNWHGPYRVDQVLPENAYMLEELDGVKFPVAVNGQHLKKYFPSMWDDGK >PVH63435 pep chromosome:PHallii_v3.1:2:2035048:2035453:1 gene:PAHAL_2G028600 transcript:PVH63435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCIFGICNNAMGERRELNPRMVDSQSTALIHLATSAPYPAKGFSLFSIHHYSIYSDLHTSIEIVDIGCHSLK >PVH64416 pep chromosome:PHallii_v3.1:2:42755025:42756708:1 gene:PAHAL_2G263200 transcript:PVH64416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHEYRMPSLNDPSLPKTPKDKNIPANDAWAICRIFKKPSSVAQRVLSHSWSPQSMATTEPELLSALQSIQASHFALESSSCSPNQFNSQHCLQGRQQQKLNSSQDGSSCKIINFNRSPSSTQLSEKDNHSSTIILPFETQSLQKSSAVTSVLLSMAPGIVNSMNEALPNSEMEQLEPSYGYTADWGIDTNGGVENKDDDPYSRKPSNGFSSGIECGSQRKIKFPFDLEVDSPDDWTSSMPCDSIPCPPPPTEMSNSSSTEKYYA >PVH64470 pep chromosome:PHallii_v3.1:2:43922915:43923844:-1 gene:PAHAL_2G277600 transcript:PVH64470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTNTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYHLVDTLETAALEAIKLLCNQHPIEVAAYPIGLFPTIDPGNLDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHWVSQITGVAQSHYRNADHQVTQIEELQALVTQKNEIIAARDETILHREDQINESDHIITQRDAVIEFLQEQIHDLILEADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSLTGSQSSVGNFDDF >PAN13005 pep chromosome:PHallii_v3.1:2:45910627:45914651:1 gene:PAHAL_2G307000 transcript:PAN13005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRGRRRCRGAVLLLLLASVLAPLVLYGGSPVSVAHLPDSTAASGAFDREDAPNLVWPQIAASGVSLAKDLTIERLGEHKNRVLSATDHWQAVEAASRSRASGKLDAIVLREEPESRNADDEDAPVVEGNGNPGLGQGGVIREVVGSADRFGEPGDGKDAVVRNGKEVGIKLPHSIDAEHKDGSDGAGENIISGMHATGSLNSSSSQTRDATTSRDHQTRLSNSSSAHHTTNRSTGQSTTSPDATVHIIKDQLAIAKTYLGFFTSRGNHGFARELRARMRDIQCALGDATSDRQLPRNVHSKIRAMEQTLVKVRKIHDSCSGAVNRLRTVLHSTEEQLDSNKRQANYLAQVAAKSLPKGLHCLTLRLTNEYYFANSKNKHFPYMEKLEDPKLYHYALFSDNVLAAAVVVNSTLFHAKKPEDHVFHIVTDKLNYAAMKMWFLANPLGKAAIQVQNIEEFTWLNSSYSPVLKQLESRFMIDYYFRSGHARPDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQRDLTALWLVDLRGKVNGAVETCRETFHRFDKYLNFSNPLIAKNFDPHACGWAYGMNMFDLSEWRKQNITEVYHTWQKLNENRLLWKLGTLPAGLVTFWNRTFPLDRFWHQLGLGYNPNVNERDIRRAAVIHYNGNLKPWLEIGLPKYRKYWSTHVNFNQVFLRECNINP >PVH63523 pep chromosome:PHallii_v3.1:2:3440776:3442026:1 gene:PAHAL_2G047000 transcript:PVH63523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVTASATSPSMAKRPFQSSASALMTPPPRPSALSPLRTGTSDATESTAAVAANQASPDPLPACERRPPPRDASTASADTKPTMARRPLMRSGAGPLNASASHSPGLAALGFGVGVAGSANGVLGGAEEDAALVSSLGSVSPAWALTTRARRRGARAAAGTRTGSTPACAPAMVKAREPMEAITVAAVEVVVGGGEGDQEVACCGTRVSIALSLLAPLLLLWMVACDLLARGGQLYRRSWES >PAN10810 pep chromosome:PHallii_v3.1:2:9894704:9895211:1 gene:PAHAL_2G118100 transcript:PAN10810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVLARGSGGGALAGVVLGRRAMETVAKGTTRAAVHGSRSPTNTAVEGREEAEEAIERGRRRSRR >PAN12919 pep chromosome:PHallii_v3.1:2:45557700:45558225:-1 gene:PAHAL_2G301000 transcript:PAN12919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLSIHISEGNKRPEVPMQAAKLATEAGIVLRRHIPVLPHWNKLQHEQDHLSNYINKVAGQFSMDTTSKPVISACADMLKSGQRHMRYKLKKKYFDNVPVSQLITTSSVSSMDDNQWVELLKMWSSPQH >PAN12360 pep chromosome:PHallii_v3.1:2:42723832:42725067:1 gene:PAHAL_2G262400 transcript:PAN12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAPALCLLITLLAFYLLVPSSAVPLPRLQKMPMQEAGPMPSVKGSTLEPKMEMKRFVPEDDESVISERMAFETQDYGPPGPNNHHKPPGWR >PVH64095 pep chromosome:PHallii_v3.1:2:27584816:27585434:1 gene:PAHAL_2G184100 transcript:PVH64095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGIYHGKKCHATDIPAVLARAWAAGVDHIIVTRGSLKESREALEIAETDGRLFCTVGMHPMRCGVIGIFGLVFAIGHLWFCYLILCHSIHAF >PVH64842 pep chromosome:PHallii_v3.1:2:49534794:49546398:-1 gene:PAHAL_2G366200 transcript:PVH64842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLLTSHEVEDHTRSHQTPGMNQMMTTWQQPTLWVDAIPICQYQLPMTCLINCLARFVKPKSVAWVHGAFWTVVATPQSQAYIGRITSQNVATTLFEALVRMAGDSTFTSLLNETLGNNGQEWNGLGSPPEEQQDTPFYTTPSTQGSKKARNKNFCESEDIVLVRAWLGTSTDAIPCTNQKKSAFWTGVHNFYHSEKEIIVHRSSNSLSHRWSTIQESVNKFCGCFTAIEGRNQSGKTFENKLMDACVLYKEEDKEKKSFQLLHCWNILRHEPKWHQKMSQMAEIKCSQKKNKAPDDSILDLTGNENDDLPNASNNDIATPEGDAPKRPMGRKKAKQLLRRGGGDACIEALDQMWEKKKKADAEKEAKRKKLIRCSQILSFKNFNNSYDKPSHTLDSCTFSNTNVLICAIRCYSIT >PAN13782 pep chromosome:PHallii_v3.1:2:49371472:49372369:-1 gene:PAHAL_2G363300 transcript:PAN13782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAILRRALSLSAAAASVSAPATPLVAAAFASTSSSSIVVPLRSPLDDRLHRLLRSEITYLAERRPPYPPPRSFKSFAVEDRPGEQWVRLRSRAGADEEVKIEATMFDGAAEPLPEDAPLFSRVESLERGPRLRLSLIVEITRGDRVLGFICSAWPDELAVRHVLALRAGSGSSSSDGARGARSFVKLGAGEREAVTKFLKEREVDEELAEFLHNYMANKEKMELLRWLKTIESFLDK >PAN13781 pep chromosome:PHallii_v3.1:2:49370693:49372441:-1 gene:PAHAL_2G363300 transcript:PAN13781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAILRRALSLSAAAASVSAPATPLVAAAFASTSSSSIVVPLRSPLDDRLHRLLRSEITYLAERRPPYPPPRSFKSFAVEDRPGEQWVRLRSRAGADEEVKIEATMFDGAAEPLPEDAPLFSRVESLERGPRLRLSLIVEITRGDRVLGFICSAWPDELAVRHVLALRAGSGSSSSDGARGARSFVKLGAGEREAVTKFLKEREVDEELAEFLHNYMANKEKMELLRWLKTIESFLDK >PAN12254 pep chromosome:PHallii_v3.1:2:41862187:41867610:-1 gene:PAHAL_2G253300 transcript:PAN12254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDDAGGGSGFHDLFDSVRRSISFRPAAAAAGPEPPAGPFGAGSGGIGLRISSCIRKSRGMGLLGLVTKNTSPPRRMLPPMPDEAVGGSGGGGGGCGGGGEENPPIRWRKGELIGAGAFGQVYLGMNLDSGELLAVKQVLIGTSNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEPVIKKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPHWMAPEVIVGSGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGEPNELQPLNRAAHKDSFNEIPANDMPNGLGWNQSSNWSTMNSNKSSKIKPLWEGSCDVDDVMCEFADKDDYPAVGSSYNPMSEPFDNWESKFDMSPEKNSHQSMEFGGLAKHAESSITENDFTFPVEGSCEDDDVLTESKIKAFLEEKALDLKKLQTPLYEEFYNTTNAGSCQEADQTSKGKIPASPKLPPRGKSPPSKTRGGASPTCDNLNNGSPESCSKQFPRSSVVKSSRILREIASPQLNELGDKMHLDAQDSPSISFAERQRKWKEELDQELERERVMRLAGCGKTPSPSRGPNVKRERHADH >PAN09206 pep chromosome:PHallii_v3.1:2:315249:318658:1 gene:PAHAL_2G004400 transcript:PAN09206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFFCFSSSTTQHRSKEGFPPADEAMRAAARKDSREQMVKSRTGSASPNNMTDENNRSAVSGHYGTSPSSHQECCRSEDLNRYACSDEGKEVGHLKKSQSLGNILQKDRDHNCSEGTECDFTDHEHKCHHSTFKSIAVVGESSKVCSPKNEDAFDASSDLISHDFCEPLDSDSHPHISYAQSKFPRSQSAIFQNDNTSDQEGSVDSGILGSRCRSVEGLCLLIDEKVDYLSGGEMHRCTSNLDVYCAPSSPDAYRTLNIEDTGSVGCSDAAEGGQRSTGSTEENFIRDGILVGHEYWDGKYICGDHSVDPVAPSCADSGHAFHHSGNYGRLSEAMDKEREDKLWNRDSTQHQSLVVEVPDLVDISDTKDISGEADHNKTDIDGDPNELTPRTYNIRRIEDWINQIDMDDITVDEQGESSISASTESCEPTAGVPAVRPDAKSPLGMEIAYTYISKLTPASSSAQLANLGLVAIPRLSAFSGLRLLNLSGNSIVRVTAGTLPKGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLAGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAINLDGNPAQKNVGDEHLKKYLLGLLPNLVVYNKHPIRATGSKDVSDRHSRKISSSHRADRGGRSDRKSSRLVGASSSHKSQSSRHARSGYGSGSTLKHPRTRNMPMTLLGSRPTEHVRSIDAAKQAQISQAQLL >PAN14985 pep chromosome:PHallii_v3.1:2:54954838:54955281:-1 gene:PAHAL_2G455800 transcript:PAN14985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWSPLDGGIQHTPTTSPDFVANCSFPPSYCCSLFSFDCFLAWHGFHVVVRLRLFLQGFYFSLFSLSFLHLSMLVFLNRLSSILYPSPSVRLDPLALPSSISALCLITFVLASGPLASVLAAIFSIYSCYNSAYFCSCFNSASSCS >PAN12319 pep chromosome:PHallii_v3.1:2:42412909:42417705:1 gene:PAHAL_2G259100 transcript:PAN12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARRFYKFDGMALCLREKIIGFTIPTLSFLISLFLWYPLLSSFDCVLEKLEGGGGDCNGQQETFYRGSLCHNHGSIRKKSFFFAPSRCNSGHCSHFLQTFSTVFLITSTHLISTLCSQTIVHEDFSFKNVDVLWVKIKLFELIYSKLSFSCQ >PAN13316 pep chromosome:PHallii_v3.1:2:47238736:47239719:1 gene:PAHAL_2G328100 transcript:PAN13316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLEHKNSFVNLLDKQLHKLSTSKMRISKSAPNLLKKAATSVKSKTDALRTKLVFVASLRRRLAMVCAMSRQIHALSKLNGQAEKQARVEHGSKALITTHKAATAAGEEPAAGDHGGRAPLGMFEVAVFEEAYHGYPDWTSSFFDDDHCYNDEEDGGHDDDDDREELDVVDALDGPSVIEIIKSNREAQGLEFNMDDEIDEACDMFIRRCRSQMNLSLY >PAN12102 pep chromosome:PHallii_v3.1:2:40828729:40833059:1 gene:PAHAL_2G242200 transcript:PAN12102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAATGAIAAAILRGQVPLMAPPASRAFPGLAAAAAETRFAPSSFSSPTRLPHLPRLRLARSFCGVARSSPGGVAPGSSVEEGDQPLQSELIFIGTGTSEGIPRVSCLTHPTKTCPVCTKAVEPGNPNRRRNTSILLRHDTPSGTANILVDAGKFFYHSALQWFPALGLRTVDAVIITHSHADAIGGLDCLRDWTNNVQPSIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFMVHNLEVIPLPVWHGQGYRSLGFRFADICYISDVSDIPEETYKLLENCELLIMDALRPDRSSSTHFGLPRAIDEVRKIKPKKALFTGMMHLMDHEKVNNDLAGLMETEGLDIQLSYDGLRIPVRL >PAN09903 pep chromosome:PHallii_v3.1:2:4205208:4207152:-1 gene:PAHAL_2G056800 transcript:PAN09903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECLDAKSGAATTAADGLPDDSVVEILSRVPVRSIHRFKCVSKPWRDLIADPLHRKRLPQTLEGFFCSDGSGFDGRFISLPGRSAPPVDPAFFFLTKLPGIENIKLLGSCNGLVLFEHGRNPATAPAYAVCNPATEEWVAVPSAGCRSPDPLEERTELIFDPAVSPHFHLVHICQEDFMGEIEVRAYSSETRVWSDRASQQSRWQDEGGWKQWVNGGAMLKPLPGAFVNGMLHLVAFCPEEYLIAAVDIKGKRSRIVPWPDKRSFPLFVGQSQGRLHCVGSLEERERNCLKWVGLSIWVLEDYDTEGWVLKHRVSFLKLFGQMSCHYGYDSNVLAIHPDRNLIFLTRKSNKKLMLYDMGSENLDAFHTLGHNYGSLTPYIPNFLNLELTNEH >PVH64557 pep chromosome:PHallii_v3.1:2:45704151:45704832:1 gene:PAHAL_2G303200 transcript:PVH64557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMSRALALVVLLLAAAAVAPPLAAAQGAEYVPGTRVLDGAVGVDPEPEPALGMSADPAPDARP >PAN14599 pep chromosome:PHallii_v3.1:2:52981954:52983544:-1 gene:PAHAL_2G422700 transcript:PAN14599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNFQSQPSVFQLEDYSSYYYYYQQEAAAQAKPSKPRGRKKGSNNHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEAAAKAYDEAARLLRGNEARTNFAPRISPDCPLAVRIRGLLHHKKIKKAKAAAARSSSAAASKQKAASSPSPTTSNSNSNSHSTNSACGGPSSSSSSSSSSSAVSCDDAMAILNGSGGALDAGEVYRPEVAPVGAEEFDSWMFESAFGQFPPLDSFAAVESAVPAAPAEEEPAAAAAPGEMAEFERIKVERRISASLYAMNGLQEYFDRVFDASACDPLWDLSPLCH >PAN10548 pep chromosome:PHallii_v3.1:2:7935707:7944396:1 gene:PAHAL_2G100500 transcript:PAN10548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGLLAPGWAQQFRHSADIKIFGSNMTLHGTHYAFSFRCFAANGRGFGADSTNKRKIKSKRRPKDVAVEPSKVISGNPKNMDQWVPELRTGSENRSGKQVMDKQFLQKVEAVRRSALEKKKAEENKDYQAIDYDAPIESDKSTIGFGTRVGIGVAVVVFGLVFAFGDFLPYGSVSPSKESAVVKQKLSQEEEAKFKSELQGFEATLSKSPNDPTALEGAAVSLVELGEYEKASTFLEKLVKVIPDKAEAYRLLGEVKFELKDYEGSSSSYKSALSSSDNIDFEVLRGLTNALLAAKKPDQAVDVILSCRQKLNEKSRTRLADLEAANDSGGQKPQDIDPIQVDLLLGKAYSDWGHISDAVSVYDKLINEHPEDFRGYLAKGIILKENGKPGDAERMFIQAKFFAPEAAKALVDRYAQR >PVH63529 pep chromosome:PHallii_v3.1:2:3548851:3555139:1 gene:PAHAL_2G048500 transcript:PVH63529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVKNPHVFMDISIGGGSAERITFELFANVVPRTAENFRALCTGEKGLGASTQKPLYYKGTNIHRIVKGFVAQGGDFSRGDGRGGESIYGGKFPDENFRLMHDQPGVLSMANAGPDTNGSQFFITFKPLPHLDGKHVVFGKVVSGIDLLKKLEAVSGENGTPTRQVKIVDCGEVSNINSQDQLQGEKEKKLRRANANSNAEGRTKSKKASSDDKHRKKRKHYSSDSYSSDTSDSRSYSSDSGSESESYSSSSLDTSSSSDHRRKRRKGSKKDKHKPTKKKGKHTKSKKKSRGSKRRSRRSYGSSSDDSISSKTDNSSSDSESGGRGTKRSKRKADKESTKMTISEQGRTFEDVDKGKHMVTAVHHSHDGSKPSNKDENGADDKSANYNPEDRNDRVASSRINPIQADVNLTKPGSADGNNGADTAEAGMSRTGTERHLPSNEPVATNGKDVAMGSADNGQPQRIRKGRGFTQKYGYARRYRTPSPERPPVRPRYDGGRDDRWNNFNRYGRNGPYSRRSPVRRYHGSPRASSPYRYPRRDRSRSRSRSPVRRRDRGGYRRPSPRRSHSPAEQTRRNASNRLPRSGHGGGGPDHRGSSPTVNKGRSRSRSKSRDPSRSRSPEAAPAKRESSRYNRRRSSSSRSSSPDGNKGLVSY >PAN10392 pep chromosome:PHallii_v3.1:2:6782850:6787755:-1 gene:PAHAL_2G091200 transcript:PAN10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTAALRLLRDGGFRQSSQVLLAVNSETVAPLIRRFCSMPIESLTSCKVDGDALYQDAGDQPHDNSDCGSEPSHGISAVRKQGKNHGPKKKHGPSKRGRRGKKLPGRDGPSQEGRHHEMRLPGRDGLFQKGHHHEKKLPDTPAQPFLFQIVLDTPMSTLMTVLDTWVQAGNCLERNEVLMVLFHLRKQRLYSKALKFMDWIERRKLLNFEDRDYACHLDLIARNHGFEAAQKYIERVPTPFRNEVLYETLLVNCVCQDDVRKAQQVFNEIRELSLPLTVSACNQMILLYKRVARSKVVDILMLMEKENIKFSRFTYKLLIDLKGRSNDPLGMESVLNIMKDNGLEPDFATQTMVAKFYISGGLMEKAEEVIRAMEVSVKDSRDATRSLLDLYAILGRPDDVERIWNSYTNPKLEDFLAAIEAWSKLGRIKQVEETFEALVKTSPKLTSKYFNAMLNVYAKHKLLAKGKEFLERMYLDRCPINPLTWDAIVKLYANSGELAKADSFLANVTEENPDRYPLFGSYITLLRAYAKKADIHNSEKIFDRLKQIRYPGRQPPYNLLLAAYASAQVTPYGFRERMKADNVRPTKTDIECLRRLGFRERMKADNVRPTKTDIECLRRLDGLQTVEY >PVH63960 pep chromosome:PHallii_v3.1:2:14419599:14421973:-1 gene:PAHAL_2G146300 transcript:PVH63960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSNGDLTHRQDWETEESGGGSAGGQIRPPRARAAQVRGGKEGGDHGELVPGLTSGRGSARRRGDGGLRRRVLELGVAALGRWRRGARWRGRLWGGEAAAGGWLHGRPLMAPVACDGAQPCTWGGGPGGGVRHRGGRGRRGGTGEARAARRHSGRRRVRARGRGGPVAGAAGAAGHARGSRGEKGKNREEGRRKKREGREKRKKGKRREKEKGKKK >PVH63498 pep chromosome:PHallii_v3.1:2:2988427:2990778:-1 gene:PAHAL_2G042500 transcript:PVH63498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHEVLKRKLLHILDVITDAEEQAAAKREGAKAWLEKVRKVAYQANDVLDEFKYEALRRKAKKEGRYKDFGIDVIKLFPTHNHIVFGCRMTNKLRIILQEIDDLIKEMNDFRFMFKPGPPEPTNYMRQNNSHIIDPVNIAKESRAREKKDVVDRLLAQASSSDLTILPIVGMGGLGKTTLAQLIHNDPEIKKHFQLRLWVCVSDNFEVDSLADRILKENGCKPTGCSALENLQNEHKWERLKFYLQHGGSGSSVLTTTRDEAVAKLMMGKTEGAYKLESLGAYFIEKIIKTTAFSSKEEEWPGELVKMVGQVAKRCAGSPLAATALGSVLRTKTTEEEWDALLNRSTICDEENGILPIHDLMHDVAESSMGKECAAIATHPSQKSEIEALPEDISILYHLQTLDLSYCGDLQRLPKELKYLTSLHHLYTHGCPKLKSMPGGLGHLTSLQTLTCFVAGTDSGCSNVRELQDLDLGGRLELRQLENVTGANGAQAAGLGNMKKLTELELRWTDGDQEAQNNNHEEVVEGLKPHDGLKVLSIYSYGSSIFPAWM >PAN10591 pep chromosome:PHallii_v3.1:2:8159205:8166073:-1 gene:PAHAL_2G103700 transcript:PAN10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHRPSLLLRRRRSLPTPSSLLVLLRHRAFASSPSPPSPSSSPPSPASPKPPALSARLAFVFDQLDAIDRSRSSDLSARDAALRRIQSWRRPAPPPEAPPLEGEAGPRPEPGPEPEPDEPKQEAEVSVAAADEVRRMSMEEVLRREVELVHPWPEWIELMERLAQQRYFDLGRAGGADEASMAAAVPMDLSEVSEEAGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQILVGHGCPSMDAKAVFSAKLIRKLVHLDEGDVCSSCNLRNVCSRGYILTRKEDEARTLDVMRILLIYGFDHIKETVENKPLLKLKSLKAVVRKLIHEIVKLSSVPIDPNLPPPVIRKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNNVCLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPADEYAKSQMEAKQSALRKRLERPPRKSDVSSAWNFDFDDNESDGADVAAFEFADSSKARESSSVDSMSYRDSARGSEDDDFRMAETMAKGRDNFSERDSLPSSRVGFDDFDDEEDDIDNYELDLSKGSQAGGVSRMSYSDLENASDSEGFGEFDNNRKPRYATKDDIAASANEDEFEDHPSLRSSHLADSWHKTRGRSGSTKYRRASFGSESDDGINSDLDEDIDEGLRSKRSHIQGNPERASVRRNALAYSDDEPFPNDMDSGMVDRFQSRRTKSSTNISNNFRGRSRNLNDRQSRGDRYGRTDRNEKSNGFSMHRGGPVSDRSRRVRGNQLDNGSRGSQRTGRRNWDRSGDFHGRR >PAN10590 pep chromosome:PHallii_v3.1:2:8158855:8166073:-1 gene:PAHAL_2G103700 transcript:PAN10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHRPSLLLRRRRSLPTPSSLLVLLRHRAFASSPSPPSPSSSPPSPASPKPPALSARLAFVFDQLDAIDRSRSSDLSARDAALRRIQSWRRPAPPPEAPPLEGEAGPRPEPGPEPEPDEPKQEAEVSVAAADEVRRMSMEEVLRREVELVHPWPEWIELMERLAQQRYFDLGRAGGADEASMAAAVPMDLSEVSEEAGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQILVGHGCPSMDAKAVFSAKLIRKLVHLDEGDVCSSCNLRNVCSRGYILTRKEDEARTLDVMRILLIYGFDHIKETVENKPLLKLKSLKAVVRKLIHEIVKLSSVPIDPNLPPPVIRKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNNVCLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPADEYAKSQMEAKQSALRKRLERPPRKSDVSSAWNFDFDDNESDGADVAAFEFADSSKARESSSVDSMSYRDSARGSEDDDFRMAETMAKGRDNFSERDSLPSSRVGFDDFDDEEDDIDNYELDLSKGSQAGGVSRMSYSDLENASDSEGFGEFDNNRKPRYATKDDIAASANEDEFEDHPSLRSSHLADSWHKTRGRSGSTKYRRASFGSESDDGINSDLDEDIDEGLRSKRSHIQGNPERASVRRNALAYSDDEPFPNDMDSGMVDRFQSRRTKSSTNISNNFRGRSRNLNDRQSRGDRYGRTDRNEKSNGFSMHRGGPVSDRSRRVRGNQLDNGSRGSQRTGRRNWDRSGDFHGRR >PAN09955 pep chromosome:PHallii_v3.1:2:4515739:4522488:-1 gene:PAHAL_2G061200 transcript:PAN09955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVSAAAGWTAEDDVLLKNAVEAGASLESLAKGAVCFSHKFTLQELQDRWYSLLYDSETSAQASARMAKYEMELSVSDPAKAVKLFNSKTKCFSLGKRKIDSVKNQYYAIRKRVCHGPCSSADFGYVIALCSCNSTDGGGCVCGGHHLVHKVDPPVTVVSDYGFMGGHYSVRKHAHSNGNGQYSFHTEHSDGSMVMDESPHGNADADRLYVYDDNDMKNSQTSGSSIISTDNRSDLSDQFDHGAKGLKAALGIDQDQDGEKHGQFSGNSTGGLRELGSIKAISQKWCSLEPSIPTWSMVLGVNSPDILTDTRIIEPKTLTLSDDKKMETNISDALAFPATLYSVIPDSGLGSAVVSEGGFMHSHLKGFSQKEDLELLSSEFFSDSARDTDQKDFRSHTFDTTNCGNHIELIQRKQNVADVSGVATIPTSSAVFYPEHNAECMLNTEDSEIPFNDHIPSQSTLEPTSTMDQDSQNDACLVLAKHINMENAQSSSPSQPINLESAILEQSTMVSLNEGSIIGNEPPGLYYEFGGKNANMCISALRSVDGGEETASGFVKHEYCDNLHNLTLNKSVQGPNQMNGKLLSDKLKIDSETAIKSCESSDALPDTEFHNPIGTVSTLSQAEGSDSENSVPNYFDLEALILDQDLIPWDQESEFIQPEVSRFQYPESRKDLIRLEKGACSYMNRSIMSKGAFAILYGQRLKCYIRDPEVTLGRETEEVHVDIDLGKEGKANKISRQQAVIKMDDGGTFYIKNIGKFSIFVNSKEVPCNKRISLISDSLLEIRNMKFIFHVNHDAVKQYIARTRSGSSQGEITTFAWDQNP >PAN13289 pep chromosome:PHallii_v3.1:2:47080746:47085853:-1 gene:PAHAL_2G326100 transcript:PAN13289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPPSRGAGGAGASGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVVIFCIFVARHLINDFPDHWGISVMVIVIVFAVYDLTLLLLTSGRDPGIVPRNTHPPEPEAIDMNNDSGNGQTPQQLRLPRTKDVIVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAEQSSIWKAMLKTPASMVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGIVNNFMEIFCTAVPPSKNNFRARVPAEQGLQQSRAPARGFMSPNMGKPVGDFELGRKPISWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSREGLPELTEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTGESHWGSISSVHGGTSGGAH >PVH64230 pep chromosome:PHallii_v3.1:2:37009196:37009656:1 gene:PAHAL_2G218600 transcript:PVH64230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICSFPLVLGHPFFLWLCSTSLSENIVLGVESREGSAPGIVLHLPRFCSLNTKIC >PVH65190 pep chromosome:PHallii_v3.1:2:53961292:53961966:-1 gene:PAHAL_2G438300 transcript:PVH65190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAGVSTALVLLALLLSCAAMSSAARRLEEEEAPKEEEEFPPHLPVPELPVPEHELPPFPEVHVPPKPELPPFPEVGLPPKPELPEVELPPKPEIPAIPEFHFPEPEAKP >PAN14583 pep chromosome:PHallii_v3.1:2:52935722:52938206:-1 gene:PAHAL_2G421500 transcript:PAN14583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLRHCSFLLLAAVFIHLSISFVTGELVNGGHQDLPALLSFKAYNPNATALATWVGPNPCSGAWFGIRCYRGRVVGVFLDGASLAGAVAPLLRLGQIRALAVRNNSLSGALPQLDNATASPWLRHLLISRNQLTGSLNISLDALVTLRAEHNGFRGGLEALRAPMLRSFNVSGNRLAGEISGDLSRFPSSAFGDNLALCGTPLPRCARAYNALGSDSSSNATTSIAAQSPSGGVSSSNGGFSKRSLTALMATGIGNGVLITVSLAVSVAMFVYMRRKLRSAKDASDAALCLEEEDKRNGSDDKCQKSGGLVCFEGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVVAVKRLSALQFPAGRSKAFDRHMRLVGRLRHRHVVSLRGYCNSNGERLLVYDFLPNGSLQSLLQANGGGARSLAWAARKSILFGAAQGLNYIHTFPARPGLVHANVKPSNILLDERGGACVSECGLMRYATNIQQAILPQAARCPPDLFLGRAAATTSSAPASSGGWHGYAAPELAPGAGASARATQESDVYSFGMVLLEVVTDHKAADGEEGGEGEETMGMVKIGMLCTAEAPEERPTMAQVLAMLSEFM >PAN15320 pep chromosome:PHallii_v3.1:2:56462732:56465622:-1 gene:PAHAL_2G480700 transcript:PAN15320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRAKSNFNAVILKRLFMSKTNRPPLSMRRLVKFMEGKGDQIAVIVGTVTDDKRIIEVPAMKVTALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >PAN14366 pep chromosome:PHallii_v3.1:2:51891970:51893248:1 gene:PAHAL_2G404100 transcript:PAN14366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCCDVLVVKSTSTSHSSIPCLLHLLRSSTGVKKFVVYLEFMVNGLCRLLDCPCDSPKSCRTGDINLYLLDEVEINLISDEVSSDAQAEFVELLLGCNAPVLKKVVINVSCTCPFIREDMCEKICKICVLSNKFEFSVVRVRDEWEESCSAVVINISARRYLAVELTTFADLLEHEISHVE >PAN10621 pep chromosome:PHallii_v3.1:2:8343134:8344853:1 gene:PAHAL_2G106000 transcript:PAN10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSSKLLISSVGAAAASPGIYQSQWSSQVTAPSPQINLGAVLACVLSFLAAAVSSAGGVGGGSLYVPILSVVAGLGPKTAAAFSTFMVTGGTLSNVLYTLLLRGGGRRPLIDYGIAVVSQPCLLLGVSAGVVCNVMFPEWLITALFSLFLAFATFKTYRTGVRRCRAETAELGRIPDAAAAEGAAAAAAEEPLLGRNGGGGGGGGDGGRRCQWVDLLVLVTVWLCFFAMHLFIGGDGAKGAFNIKPCGIAYWLITVAQIPVAVAFTACIGHQRRKSQAYHGVDQAMSASSKLDALPAYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASATTMFMVLFCASMSMAQFIILGVEGIASALVYAATCFAASVVGLAAIEGAVRRSGRASLIVFVVAGILALSAAVIACSGAVRVWEQYTSGRYMGFKMPC >PAN10922 pep chromosome:PHallii_v3.1:2:10969656:10975338:1 gene:PAHAL_2G124900 transcript:PAN10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKHGWQLPAHTLQIVAIAVFFLLVVAFYAFFAPFLGTQVLEYVVIGVYTPVALAVFILYIRCTSINPADPGIMSKFEDGFINVPANSAGIEGTNLPQKANNATGTNSPTSTCRSSLDGHSSQRGSSIGETNINLGSQQPKKRSSCWLLGGLLCAIFIKEDCRKPDDSEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMAISLIWLAIEFAAGIAVIVLCFVDKNASRNIQDKLGNGLTPAPFAVIVGIFTLLSLVACIPLGELFFFHIILIRKGITTYDYVVAMRAMSEAAPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDHDEVIPHLDPGMVPSTVDPDAAGYADRANKAKKAVKISARSLAKLDKNEVMKAAAKARASSSVLRPIDTRRGHEADAVSSGNASIRSSMSVDYSGTKESNSEMRLSPLQNSYPQSLASQDDYETGTQTASSLSSPVHIHKLATHSQFSAAPHPPPPERPAPGMMRPPVPTSQITNPGIPRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRMGPGVEQAARNPRFLANPSGEPSSHGRSLAPGNTSSSAMPSGQPSERLTYSGQSIFFGGPILSTPGINAQRNETAARARPEGSRDPNAQQRDIRGEKARTGSLPVFAPGAFQKNPPFDK >PAN15519 pep chromosome:PHallii_v3.1:2:57393831:57395250:1 gene:PAHAL_2G493900 transcript:PAN15519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSSTTFVSTSSTAPARPLKLLRSLLVLAAMAAAVSTQLLPSLLVVVPSWPFSAPHYNNKQPPPPPKCVLFNFGDSNSDTGNLVAGAGFRLHGPVGRRFFGKPSGRFSDGRLYIDFICERLGLDHLSPYLDSSGVSFRHGANFAAAGATAAGAADTFDLATQVRQFRHLKARTAELRPRGLGSGITDQEFQDAVYTFDIGQNDLQLAFAAGLSYDRILVETIPAIVTRIKNAVTMVHEACGRKFLLYNTGPLGCLPSLLARRGGGSELDRAGCLVDHNGVAGEFNAQLGRLCRDLRAMLANATVVCVDMYAIKYGLVANHTAHGFSEPLMACCGSGGPPYNYRVGKACGSPKVKACAVGDRRISWDGLHYTEDASRVVADKILSAEYSDPPLRLPTLCSSQT >PVH63557 pep chromosome:PHallii_v3.1:2:4053863:4058871:-1 gene:PAHAL_2G055200 transcript:PVH63557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAVSAATGALKPLLEKLATELCREFKRFNEVPDEVRSLTKELGAMEAYLQKMSELEDPDAQDRDCMKEVRELSYDMEDSVDEFLLRVAGGGEEAADGPGGLIARLKNALVANSKPRTRRKIARVIEGLKAQVREMGERNARYRAHETVSKASNAGVDGRALAMFEEASRLIGLDGPRNELVEVSGKTKKSGSAPSLPAKVLSIVGCGGSGKTTLANQVYRVLKGEFECHAFVSVSRNPIMEHVLQNILCQVDDTPHHNIQAWNMQTLIIQINGFLKDKRYLIVIDDIWTKDAWKTINCALYKNDQDNRIITTTRKYDVAEACCSSDGDFVYEMKPLGPADSRRLFLERLFGSEDKFPTNLTRIANKILEKCDGLPLAIISIAGLLSSKAPTEDEWVQVQSYIGRGIAKDPGVKTMMQILSLSYFDLPHHLKTCLLYLSMFPEDHIIDKKHLVRRWIAEGFIPREPGQTLYELGERCFNELINRSLIQARNMNMYGEVRACQVHDTVLDFIVSRSEEENFVTVFRDDGHMPGPDSKVRRLSLHACSKAKASTLTELNLAHVRSVTVFAFEELPSCSKFRFVRVLDLQGCKQVEGGHLAGIWNLFQLKYLSLRETGVSELPEQIWKLKSLETLDLKKSKVKRLPAGISLLTRLVYLVVDKGVKLPDGTERMKALEDLGCVDVFKQSVDFPREFGQLENLRNVRLFLSSGNRSTPAEGTRYKEYLSNMASSLCKLGRLRSLSVEVDPESSEDFSLDSAGDVPASLRRFQVTGHFLSKVPNWAPSIVNLQCLTLHVKAFEAQDLMALGRLPILVFLRLVAHESFQGTTSRVTISGADGFPKLRRFDYGCATPVRFEAGAMPEIKKLILLFSYFKASWAVRNTDFFLYPVGIQHLTSLDSVCCLLYCKVQEVVDWIAEKRTLMADMSCEQVKATVGEMGATAQQMFKSEILMETAARAHLKCSELTIRITTQWKMRNEGSRFKSFFMYRFASMLGASEASLMLARQVREMMSNCPLKGKGNCQCQGLPEAIEQAMEQLTTLVLRRITRADLVRLDAWTWRPSDAQRLHVL >PVH63848 pep chromosome:PHallii_v3.1:2:10099107:10100050:1 gene:PAHAL_2G120400 transcript:PVH63848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIDWNAVNTRELCKLFAEQVRRGNRPNTYLNSVGYAEVEKGMKDRLGIEVSKLQIKNKWDKLKEDFKAWKKLLLRQTGTGWCPTKGTIVMDDEWWKKARADIPGCGKFRKQGLQNEEELQVCFGSIISIGTDHWSPHMASAEEGQNGTNEEDTAEGAADIGQNSTNEGDTQEDPSPVNENGKRAPRFIQEKGKKPKTGTALLIQEAVTSMATSASSYASKKEGKYSIDEVMGHVLACGADYETNEYFIASELFVKKEQREMFMTFPSNEFRFSWLTRKYMGKYGK >PAN13092 pep chromosome:PHallii_v3.1:2:46291627:46294454:-1 gene:PAHAL_2G313500 transcript:PAN13092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRALSALLLAALACDVAYAKFGRHSFPEGFVFGTGSASYQYEGAYKEGGKGPSVWDTFSHIPGKIKNNDTGDVADEFYHRYKEDVKLLKDMNMDAFRFSIAWTRILPTGSLSGGINKEGVAFYNNLINEVIANGLKPFVTIFHWDTPQALESKYHGFLSENIIQDYVDFAEVCFREFGDRVKFWTTFNEPWTYASQGYGTGAHAPGRCSPFISKSCAPGDSGREPYVVTHNILLAHARAVALYRARYQAAQRGQIGITAVSHWFVPATDTLADRRAVQRSLDFMYGWFLDPIVRGEYPGTMTSFLGDRLPRFTPEQMKLVKGSYDFIGVNYYTTYFTSARPAPNGLAQSYDGDIRANTSGFRDGVPVGEPEFVPIFFNSPAGLRELLLYTARRYNNPVIYVTENGIAEENSARIPLREALRDGHRIKFHSQHLQFVNHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKQSSYWIESFLKRGKTHY >PVH65308 pep chromosome:PHallii_v3.1:2:55149251:55153708:1 gene:PAHAL_2G459900 transcript:PVH65308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVVAVILAVLAGASAAHGSEPWWNETQVYGTNANSGGSTGVFVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVSSCVFRKGSRRGSSNHMERQLQFTGIMSNRPGENPDFYNWNRVKVRYCDGGSFTGDGSNAAAGLYFRGQRIWQAAMDDLMAQGMRYANQALLAGCSAGGVSTILHCDEFRGLFPSNTRVKCLADAGMFLDTVDVRGRREMRSFFDGIVRLQGSGRSLPRSCTTHMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKTADPQGLWSKCRTNHAYCNSNQLQFLQGFRNQMLDSVRGFSASRQNGMFINSCFAHCQSERQDTWYANNSPRLGNKRIAEAVGDWFLERGDAKYTDCAYPCDNTCHHLVFRGDH >PVH65309 pep chromosome:PHallii_v3.1:2:55150002:55153708:1 gene:PAHAL_2G459900 transcript:PVH65309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQLQFTGIMSNRPGENPDFYNWNRVKVRYCDGGSFTGDGSNAAAGLYFRGQRIWQAAMDDLMAQGMRYANQALLAGCSAGGVSTILHCDEFRGLFPSNTRVKCLADAGMFLDTVDVRGRREMRSFFDGIVRLQGSGRSLPRSCTTHMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKTADPQGLWSKCRTNHAYCNSNQLQFLQGFRNQMLDSVRGFSASRQNGMFINSCFAHCQSERQDTWYANNSPRLGNKRIAEAVGDWFLERGDAKYTDCAYPCDNTCHHLVFRGDH >PAN14889 pep chromosome:PHallii_v3.1:2:54682894:54688498:-1 gene:PAHAL_2G451500 transcript:PAN14889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPPPPAAGSRGRCVLSFAAARDRCFSRRFLRAGLRPLAIPLPAGLDPAEATTTVHIWVPSRPPRNPLLLLHGFGASATWQWAPYLRPLIAAGFDPIVPDLVFFGGSCTRLPDRSDAFQASTIKAAMDAIGVPRFGLVGVSYGGFVGYRMAAMFPEAVERVAMICAGVCLEEKDLAEGLFPVAGVGEAAALLVPRRPEEVRRLVRLTFVRPPLIMPSCLLWDYIKVMGSVHIQEKTELLYALINGRQLSTLPKLTQPTLIIWGEQDRVFPMELAHRLNRHLEGNSRLVVIKNAGHAVNLEKPKEVCKNIIEFFKEPAAAAANGDDKV >PAN12550 pep chromosome:PHallii_v3.1:2:43524994:43537885:1 gene:PAHAL_2G272100 transcript:PAN12550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGAAHHQVAAGGARSSPGRAAALRPPSRLLLAVALLLCLAGAERGEGQAAGETGRRAVDVGVILDRTTWLGNVSWACMELALEDFYADARRAGYRTRVRLHLRDTGPSAVDAALAGVDLLKNVRVQAIVGPQTSTQAKFLAELGNKASVPIISFSADSPSRSSSQTPYFIRTAWNDSSQAKAIASLVQKFNWREVVPVIENDDSNTRFIPDLVDALGHVGSRVSYRCKIHSSAGDDEIKGAISSLKGNWTSVFVVRMSYQLALKFFRLAKDEGMMGQGFVWIMAYGLTDIFDVVGSPALDVMNGVVGVEPYVEDTERLQDFKQRWHEKYKRENPGTKLNGPILSGLYAYDTVWALALAAEKAGYVNSDFLPSETNNGSTDFDKINTSKAAEKLHDAFLKTSFFGMTGKFHIQDWKLVSTTYKIINVVGQDRKVIGFWTPGFNISGNLNKKADLYAIIWPGGSDKAPRGWLLPANRTLKIGVPFKPGFGNFIQFENGKATGFCAHVFEEVIHALPYEVPIHYKEFGDGKGESAGTYDSLIYKVYLNEFDAVVGDVTILANRSLYVDFTLPYTESGVRMLVPARDKRQKTAWTFLKPLSADLWLGTGAFFVFTGFIVWFIEHRTNKEFRGPPASQIGSIFYFSFSTLVFAHREKIVNNLSRIAVVVWLFVVLILQQSYTASLSSILTVEQLQPTVTNLDEVIRRGDYVGYLNDSFLPGFLKRLKINESKMIPFNSPEEYNDALSTGRVAVIVDEIPYLKLFLSKYCHNYTMVGPTYKFDGFGYAFPRGSPLTPEISRGILKLASSGRMVELEKEFLYGDTICPDKDDSQTSSSLTLHSFIGLFMITGASSLLALVLHVGITLYNNRTHLISACSQGSWRGSLVIPSKIFHEHDDSFNTRDKDETGIANVDPLVENPWSVSNHIIENFDSDTDMGSPAEGEGTPGREVSNQDPGPPSFAYMHSER >PVH63691 pep chromosome:PHallii_v3.1:2:6294254:6294818:1 gene:PAHAL_2G086700 transcript:PVH63691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPDLPFGVESDTDEAEKEEEAVPNTNCPGTKPLETAESLQPQGHKLAEERTWQLLISPALTGHIFWYLPLGLHDATLMGVSWHQYATLLVLILYTCHDKG >PVH65464 pep chromosome:PHallii_v3.1:2:57609986:57611373:-1 gene:PAHAL_2G498600 transcript:PVH65464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKREISEGKKKVERIKQPVTRAYTSENPKYRVGKALLSVSELRAAGPYCMDLHKYYMQNVNQAEEIMVSYEERHFLQLEGNSNIFIVAWSDLFDLFNLDALDLSLIRCFALHMQQETRRRTGKKCGYIDPQLMTVTFMLTDRDSLVRYMVKCMGVHADKEHIVVPYNPGNHWVTLIINVRSKQVFYLDSSIPSDESGAPQIRDYSLVISILDESLDRHLRAKEGYKEQRQAAFTHHTTWTCTRQPSGNSCGFYVCHNMLLVAEKPDFTDEDDYFNQTTLGNVKDIRERLAGFLVMEVVNTKGEFHPR >PVH63440 pep chromosome:PHallii_v3.1:2:2052887:2053794:-1 gene:PAHAL_2G029200 transcript:PVH63440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAQHPNSVNKAYEHAMHYELALESASKKSRVVPRCRIPKERARLTSNYRFLSTYEICTRKTEVERDREETSKANFT >PAN14650 pep chromosome:PHallii_v3.1:2:53247720:53249379:1 gene:PAHAL_2G426800 transcript:PAN14650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALPEAVESKKNGNVKYAFTCAIFASMASIILGYDIGVMSGASLYIKKDLKITDVQLEILMGVMNLYSLIGAFAAGRTSDWIGRRFTVVFAAAIFFVGAVLMGFAVSYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPAASRGLLTSFPDFFINLGILLGYVSNYAFARLPLHLGWRVMLGIGAAPSALLALMVLVMPESPRWLVKNGRLADARAVLEKTSGTPEEAAERLADIKAAAGIPKDLDGDVVTVPEDRNSSETQVWKELILSPTPAMRRILLSALGIHFFQQASGIDCVVLYSPRVFKSAGITDDNKLLATTCAVGASKTLFILVAAFLLDRVGRRPLLLYSAGGMMVSLVGLGTGLTVVGHHPDAKIPWAVGLSIASILAYVAFFSIGLGPITGVYTSEIFPLQVRALGFAVGVACNRLTSGVISMTFLSLSKAITIGGSFLLYAGIAALGCVFFFTYLPETRGRTLEEMGKLFGMADSIMVEEDQGTRREEKAVHQSGRKLDGNQ >PAN13723 pep chromosome:PHallii_v3.1:2:52623990:52626184:-1 gene:PAHAL_2G417000 transcript:PAN13723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAQAAAVAAPVVAAASAHAAACLSTACRRGTSRWALPSRAPGGTTGGFRWLRRRAQTRARARRAAGATGRGRGPVILRAEFGGTYEDGFEDVHKVPCASLAAPTCQALVVHHKQYLRWVCSVQVTHLTKGCR >PAN13959 pep chromosome:PHallii_v3.1:2:50077593:50083521:-1 gene:PAHAL_2G375000 transcript:PAN13959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAALLAGAGVAAAAAAPPAAAAGAAVMGVFKYNFAAQFLSRAIPFVFNIWFVRQLGADDGAVSAFALQLPLFMNCILFLSREGFRRACLRNDSQSDVLTDEAILKVAWMVVPFAIVITSIGSLFVLRVKKLKLSDPYAKAILIIGFACILELLAEPLYIISQKKKYYNIRVYAEPAATLFRCLTTFILVKGRIKVHKLVLVSLSQVVYGAFIFFGYLSYFLLFTDMKISDLLPIRLLNLMDYDKQLLHMCVLFTGQTFRKLILQEGEKFVLVSFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYTTFARLAGETPQNISNLEGSLLGALKLIMLIGLVIISFGPSYSYTLLKLLYGERHSDREASVILRYYCFYIISLAMNGTSEAFLHAVANENQLKQSNDMLLLFSVIYIILNVVLIKSAGALGLIAANSFNMLLRITYSSMFIKDYFKGSFSFRRCVPAGWGILLISGLTTVFSERVFLDRKRFKQTVPIHIAIGIMCLSISSFEIYRGEKQFLRQIFGTLKHHDKSQ >PAN09512 pep chromosome:PHallii_v3.1:2:2168155:2171087:-1 gene:PAHAL_2G030400 transcript:PAN09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAAAMGFQEHGLAFQVITDGRGHGGGATAAPWWAAAAAQGFAAKFPISSGDSDPPQDLKYHEPSAAAIAAYPELHKYQHGPFDLALGQSTVWSNNAGAGQGQSYGLYSPYGAQAMHGRVLLLPAIAAEEPVYVNAKQFNGILRRRLARARAARDLRASRSRKPYLHESRHLHALRRARGSGGRFLNTRSLAAGDPPPPFASTPLGGSEPAEDNASKAARLHPVQDRQDVFLSSLTNMAGDGEARWAGAAPRGCCDLLKA >PVH63736 pep chromosome:PHallii_v3.1:2:7212063:7213050:-1 gene:PAHAL_2G094900 transcript:PVH63736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAQFVLFSSIGGYAAVLFLGVGTMQCPSFCAQCNAGRSDQRPLESSKAGWDSVGRKGALMVGGFMFRSLVFFSWIPECRRVRAPSHRAYRSCRCLDGALSNTTGISLSEEPRRSHDSRTIASMDSSAVSFSGRSEVGNKVQFSLLSFYMILIRVSIKTLFHHYPTPDSMFLRFRSEATNFSPN >PVH65180 pep chromosome:PHallii_v3.1:2:53908164:53911324:-1 gene:PAHAL_2G437100 transcript:PVH65180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVDIMSNQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGTKLERPADTDEAMAGQEVAAAE >PAN09562 pep chromosome:PHallii_v3.1:2:2343829:2345684:1 gene:PAHAL_2G033500 transcript:PAN09562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMPAISAATGAAPPPAKVVVEDIYGFLRVLDDGTVLRSAAEPAFCPTTFPDSHPSVEWKEAVYDKAKSLRVRMYRPSAAAGGNKLPVLVHFHGGGFCLGSCTWANVHAFCLRLAAEAGAVVLSAGYRLAPEHRLPAAVDDGAGFLRWLREQSANPAAGSNAWLAEAADFGRVFVTGDSAGGTIAHHLAVRAGLAAASTTKRGEPGPDVDPVTVRGYVLLMPFFGGVRRTRSEAECPAEVLLNLDLFDRFWRLSLPASATRDHPAANPFGPDSPDLAAADVRPVLVVAGGLDMMRDRAVDYAQRLAAMGKPVELAEFAGEPHGFYTLDPGSEATGELIGLVSRFVRSCCVAAPKG >PAN10386 pep chromosome:PHallii_v3.1:2:6767410:6768119:1 gene:PAHAL_2G090900 transcript:PAN10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSHLLLSAAALLSLLAAAAASAATYCVPGLAIPHNPLPSCRAYVTSRTCGFGPRLPLPVMRRRCCWELEEIAAYCRCAALSVLMDGVIPPGGQLEGRLPDLPGCPREAQRRFAATLVTEAECNLTTIVGAPECPWILGEETMPSK >PAN15022 pep chromosome:PHallii_v3.1:2:55082401:55084300:1 gene:PAHAL_2G458600 transcript:PAN15022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVANTAVVAASANRSSSSRGRPGAATANRAFVGTGFAGARNAWAAGRPPPPRRGVTVRTQAGAAGVRPSSSSPDAVTYSASISTDTPLHEAPGASFDEYLQDRARVFRAMFPDESRSERLGEGEWRIQMLPLQFLLLTVHPVVVMQLRHRAGGLELRITEWELWGLDSGYAPLSFDLGVSGSLYADRSRGRAAGCRMKGHLEIAITVVLPPPLRLVPESVLRGVAESVLSRLAEKMKRDVDVGLIADFRKFRREKAAASRARPTLDATASARDEASES >PVH63821 pep chromosome:PHallii_v3.1:2:9394881:9395807:-1 gene:PAHAL_2G113900 transcript:PVH63821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRLGIEVSKLQIKNKWDKLKEDFKAWKKLLLRQTGTGWCPTKGTIVMDDEWWKKARADIPGCGKFRKQGLQNEEDLQVCFGSIISIGTDHWSPHIASAEEGQNGTNEEDTAEDAADIGQNSTNEGGTQEDPSPVNENGKRAPRFIQEKGKKPKTGTALLIQEAVTSMATSASSYASKKEGKYSIDEVMGHVLACGADYESNEYFIASELFVKKEQREMFMTFPSNEFRFSWLTRKYMGKYGK >PAN15030 pep chromosome:PHallii_v3.1:2:55123315:55124015:1 gene:PAHAL_2G459300 transcript:PAN15030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMLMARDGQLPRSACRYQRLRGEIGDGEASVVRSAVMAMARRPRPLRLRRRSCKTAGMRWRAATVAGGGKALRLRLVLLLPARRLAALVAELVRRLAAAVAASAAADCPAIVFSSQWGLPVLSHSASTSRSSRLRAFYLERSLSAGSGAGSPC >PAN12009 pep chromosome:PHallii_v3.1:2:40447550:40450493:1 gene:PAHAL_2G238800 transcript:PAN12009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMQAKWLKSLSISFCCADAGVEANECMKVFLARNPDEAGSEDCTSIQPFDLNHFIGEDGKIYGYKNLKINVWISAISFHGYADISFEETSDGGKGITDLKPVLQSIFGENLVEKEEFLQTFSKECEYIRYSYFLTIVRVELQGAAAFLYSRLVPLVLLLVEGSTPIDIGEHGWEMLPVVKKTTQESVPKFQLLGFAAVHNFYHYPESTRLRISQILVLPPHQGEGHGLRLLEAINSTALSENIYDVTIEDPSDYLQYVRSSMDCLRLLTFDPIKPALSAMVSSLKESNLSKRTCSLRMVPPADLTETVRQKLKINRKQFLRCWEILVCLSLDSEDRKSMDNFRACIYDRTKGEILGGATGTNGKRLVQMPSSANEEESFAVFWTQDCEDADDQTVEQQPEDLKTQEEQLNELVDNQMEEIVGVAKNVASRGKDKLAELLAR >PVH64046 pep chromosome:PHallii_v3.1:2:24178893:24179945:1 gene:PAHAL_2G173300 transcript:PVH64046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKKKDEGDGTTRERTILWDEDQTKFMLGWFIDYIKEQHAGFKIKNSITLIERHFRHYKENWKFIRTALSKSGNTFDTSRFMVIISESEKANLQVRARRLLSKAIKFFNEMQKLFLNSSADGSLAMDANTCINETQADEDNDYDDDICNDLSSYASAEDNLGDDSDTLPSPIIKRLRSEGKAHKRDVRPKSHMSRVGDAITTILVELQNEIKKPPPPPPPMHSSDDILWQTLENMTLTTDQKLMVGTFLASKEQKGMCSFLSGSSEVTFQSWVFKFLSDSGM >PAN12901 pep chromosome:PHallii_v3.1:2:45424239:45425729:1 gene:PAHAL_2G299300 transcript:PAN12901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVQPPVYNKRVGRPPKSRRKQSHEVQGKNGSKMSRHGAIMTCSWCKGQHYNSAGCPLKKVEIRPSIVLENPIAAADDLEDDEPAITRDVGQPQGGVQSLGETMLSQLLDEASKTWSVSQDIAPLPDSTFISQNRPVQRPIPVTTASKAGKELLGKKRKSRKQTEAPTASKK >PAN12899 pep chromosome:PHallii_v3.1:2:45424239:45425756:1 gene:PAHAL_2G299300 transcript:PAN12899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHGAIMTCSWCKGQHYNSAGCPLKKVEIRPSIVLENPIAAADDLEDDEPAITRDVGQPQGGVQSLGETMLSQLLDEASKTWSVSQDIAPLPDSTFISQNRPVQRPIPVTTASKAGKELLGKKRKSRKQTEAPTASKK >PAN15263 pep chromosome:PHallii_v3.1:2:56153811:56154523:1 gene:PAHAL_2G476100 transcript:PAN15263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEGPNVLFFSPQSAHFSAVTCSFSEVLHCHTLQIQKMRKSPATQSSGRGGAGERATEDHNARRPESESPSRWAESCAIKFLEDDARLWEPAAQDSLPIAKRIAPLSATKPGSTRTSRPNTCCSGSCFLGGKWSWPWMLQPATGGTQDTNRSLCFAGFPSYPILTGGDCVNGQADIFLVIRNGKVVGMIELDFLEQGTQNIVTWK >PAN12290 pep chromosome:PHallii_v3.1:2:42267226:42272074:1 gene:PAHAL_2G256500 transcript:PAN12290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLELASGGGGGGEPLPSLGRRRVPRVVTASGIVPGLDASDDDDDDDAADAASAASDHSSHAPRERVIIVANQLPVRAARRPGGAGWDFAWDEDSLLLQVKDSLRAHHGRGDVEFVYVGGLRDDVPPAEHDQVAHELLEGFRCVPTFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRLLWQAYVSVNKIFADKILEVISPDEDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLQSVLNLRETAVKVAELLKQFNHQNRMLLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGKGKDVKEVQEESDAMVKRINDAFGQPDYQPVILIDKPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYIIARQGNEMIDRILGLGPSSRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEMPEGEKVLRHEKHHRYVSTHDVGYWANSFLQDLERTCLDHNRRRCWGIGFGLKFRVVALDPNFKKLAVEHLVSAYRRTTKRIILLDYDGTLMPQTSFGKSPTSKTIDMLNSLCRDKNNMVFLVSTKSRTTLNEWFSLCENLGLAAEHGYFLRLRRDAEWETCVPVIDCSWKQIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLSNEPVSVKAGLNHVEVKPQGVSKGLVAKRILSTMQERGDLPDFILCVGDDRSDEDMFEVIMVAAARGPSSLHPEAEVFACTVGRKPSKAKYYLDDPADIVRLVQGLANVSDDQAAHRDAATADTIPR >PVH65038 pep chromosome:PHallii_v3.1:2:52110865:52113904:-1 gene:PAHAL_2G407700 transcript:PVH65038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCFVLVNGCLFSWNSMLTIEDYYVYLFPKNHPTRVLTPVYQPFALGVTALLTYHEAKINTRLRILTGYTLLFLSSFAIIIERNQNLTMHD >PVH65037 pep chromosome:PHallii_v3.1:2:52110865:52113742:-1 gene:PAHAL_2G407700 transcript:PVH65037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCFVLVNGCLFSWNSMLTIEDYYVYLFPKNHPTRVLTPVYQPFALGVTALLTYHEAKINTRLRILTGYTLLFLSSFAIIIVSAILSPRQIQIHLY >PVH63567 pep chromosome:PHallii_v3.1:2:4254310:4255156:-1 gene:PAHAL_2G057400 transcript:PVH63567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGSDMDCPNLKRSAVAVACPSDDALVEILSRVPAKPRFRFKCVSKPWCELIADRLRCRKFPQTLEGFLFGGSSGDNFGHFVDLLGRPSPLVDASFSFLTELPEIEKIDLLGSCNGLVLLGRRRVSDVYDSLGYIVCNPATQQWAAVPSSGWTTSPLDDLDDERTCTYLIFDPAVSSHFRLVQFKQDDEGVWLQFRRNNDDDEGVAEVRPTHLKTGYGVTGHTDGSYHIWAVRLLMACCISWLAAIMKGRIR >PVH63568 pep chromosome:PHallii_v3.1:2:4253543:4255262:-1 gene:PAHAL_2G057400 transcript:PVH63568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPNLKRSAVAVACPSDDALVEILSRVPAKPRFRFKCVSKPWCELIADRLRCRKFPQTLEGFLFGGSSGDNFGHFVDLLGRPSPLVDASFSFLTELPEIEKIDLLGSCNGLVLLGRRRVSDVYDSLGYIVCNPATQQWAAVPSSGWTTSPLDDLDDERTCTYLIFDPAVSSHFRLVQFKQDDEGVWLQFRRNNDDDEGVAEVRPTHLKTGALVNGMLHFMVGRDYEREDQIVVVDREGKKCRNIRWPKERGDIFFVGQLQGHLHCISAHTNGTIEMAELSVWVLEDYDTEEWVLKHSANFAHLSGKSDWPDVFNCYFVSIHPYCNLVFFVQNGSQKLYVPYFSVSSVLAKKY >PVH65172 pep chromosome:PHallii_v3.1:2:53873598:53877737:-1 gene:PAHAL_2G436500 transcript:PVH65172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFLLGFLGLLLVAGEVVVVAGAQGGSGSASGDREVLVELKRFLQENNKVNRGAYDAWAEADASPCGWHGVGCDGAGRVTSLDLSGASISGPAFGNFSRLPALARLDLSDNTIAGAGDIGQCRGLVHLNLSHNLINGSLDLSGLTMLQTLDVSGNRLVGGVAANFTAICADLAVFNVSTNRLTGNITGMFDGCARLEYVDLSSNNFTGQLWPGVARFRQFNVAENELTGSVPPATFPDGCKLESLDLSANNLTGRFPDSIAKCASLTYLSLWGNGFSGIIPAGLGKLAVIETIILGKNKFDRQIPSELMNCKNLQFLDISSNSFGGDVQDTFGRFASLRYLVLHHNNYTGGIVSSGVLQLPLLARLDLSSNEFSGELPTELADMKSLKYLMLAYNQFSGSIPLAYGRLTELQALDLSHNQLSGGIPATVGNLTSLLWLMLAGNQLSGEIPPEIGKCTSLLWLNLADNELSGKIPPEMAAIGSNPGPTFAKNREDSTVLAGSGECQAMKRWIPASYPPFSFVYSIMTRENCRSIWDRILKGYGIVPICTNSSSPVRSNTISGYVQLSSNRLSGEIPPEIGAMRNLSLLHLDNNQLAGRLPPEISRLPLVVLNVSRNNISGAIPSEIGRIRCLEMMDLSYNNFSGELPGSLSQLTELNRFNMSYNPLLSGNFPTTGQFGTFDEQSFLGDPLISFQRGAGKQPRPEAADVPAVRGRGMSRRTIALWFTFSLLVAFVAGALVFFLANLRARFPVDQDPDPESFSCENTKCGSGKCSMQLSTSSPPSGSSSSTAGCSSSSEGVKVFQLCKTAFTYRDIVAATRNFSDDLVIGRGGYGVVYRGVLPDGRTVAVKKLARPRDGDCEREFRAEMEVLADRMGSTWPHPNLVTLYGWCLSGAAKILVYEHLDGGNLESLIGDTAAFGWARRLDAAIGVARALVFLHHECRPAVVHRDVKASNVLLDRDGRAKVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVEWARRMAKEGWRSEDAAAAAAGTVPWELLMLGMRCTADAPQERPDMPDVLAALVDVAGAAGVRLEFT >PAN13564 pep chromosome:PHallii_v3.1:2:48347382:48350539:1 gene:PAHAL_2G346700 transcript:PAN13564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MLRKVAIAFLACAALYFSFFAYYRRQGIAEVQLPAVTHRVYLDVEIDGQHIGRIVIGLYGEVVPKTVENFRALCTGEKAVGSNGKPLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGIFPDENFTVKHTHPGVVAMANSGLDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDTVYAIEGGAGTYNGKPRKKAVITDSGEIPKEKWGDQET >PAN14177 pep chromosome:PHallii_v3.1:2:50980629:50984579:1 gene:PAHAL_2G389100 transcript:PAN14177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGDHHAVDGRLEALLSGAGEGGSGPWLRRIAAAAALDLRLLAPLAVPAVVVYMLIIVMSSTTQIVCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGVPLAVMYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASFALHVPLSWIAVYALGLGLLGASLTLSLTWWVLVLGQFAYIVWSPRCKDTWAGFTWAAFADLPGFAGLSIASAVMLALEVWYFQVLILLAGMLPDPQVALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSAWMVTALSAFVSAIAGLVTFLLRDKLSYIFTTGEVVSRAVADLCPLLVGTILLCGIQPVLSGVAVGCGWQAMVAYINIGCYYFIGIPIGVLLGFKFDFGIKGLWGGMIGGTLIQTLILIWITLRTDWNKEVEEARKRLDKWDDTRQPLLANKE >PVH63840 pep chromosome:PHallii_v3.1:2:9936664:9937188:1 gene:PAHAL_2G118800 transcript:PVH63840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVWIITTNQAANSETVQMHILQSQKNPTSHAMGSQQHGDTTIPCSCFTWKKIALYHIAHSIVGDKGNDINFSIIPHFPGDIGRLRVVITPDWVKNVFSPLLDLSTFPDERVIQRRIYLLELVSVEIYDVPGIDSLNVVVKNILDGGVNCLRRIDRHGKTLSDLILCQEVVLPP >PVH64033 pep chromosome:PHallii_v3.1:2:23145056:23145790:-1 gene:PAHAL_2G170500 transcript:PVH64033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSPRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERSGRTWIARMRGLGREEEDLEDAVSHLSIYLTGLDALCREQSAQMKKLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN12331 pep chromosome:PHallii_v3.1:2:42525562:42534622:1 gene:PAHAL_2G259800 transcript:PAN12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH6 [Source:Projected from Arabidopsis thaliana (AT4G02070) UniProtKB/Swiss-Prot;Acc:O04716] MASRRASNGRSPLVRKQSQITAFFSSSPTPSPSPSTPNNGAFKPSPSPLNPRAARRSTLAAASPSPHKQPPPPAAREEKRAKRDKKERDAAAPVAAAAAPAAEVVGRRLRVYWPLDDAWYEGRVDAYDAGSRKHRVKYDDGEEEQVDLGKERFEWAATGEESTPLPARKLRRLRRMSDTAVAKSPGLAEDEEDSGGDSTEDEDWKRDTVAEDVSEEVELEEEEEEEEEVAVRSRKGKTRNSLPVSGSTPLTLGSGLTSASGSTISKKRKKVDVGSLDCAKKFSFEAVNTTGKVDPEVPLSCGRKEQTTGNANTALTGEAAERFGQRDVEKFKFLGEGRKDAKGRRPGSPGYDPRTLLLPSQFLKSLTGGQRQWWEFKSQHMDKVLFFKMGKFYELFEMDAHVGAKDLDLQYMKGEQPHCGFPEKNLSVNLEKLAKKGYRVLVVEQTETPEQLELRRKAMGIKDKVVRREICAMVTKGTLTEGEHLLANPDPSYLLSVTESLQQSSNKSQDTCTIGVCIVDVSTSKFIVGQFQDDPERHGLCSILSEMRPVEIIKPGKMLSPETEKALKNNTREPLINELLPSTEFWDAEKTINEIKKYYSSADKQNNVEDVQDSLDSLPNLLSELIGAGDKTYALSALGGSLFYLRQTLLDEKIVPCAEFEPLACLGFNNIRKHMILDSAALENLELLENITTGGLSGTLYAQLNHCVTGFGKRLLKRWIARPLYDRREILRRQSAIATFKGVGHDYAAQFRKDLSRLPDMERLLARLFSSCDGNKRSSSIVLYEDASKRLLQQFTAALRGCQQMFHACSLISTLICTEDSVDSQLNDLLSPGKGLPNVSSILDRFRDAFDWSEADRNGRIIPLEGCDPEYDATCNAIQEIGSSLKEYLKEQRKLLRCASVTYVNVGKDMYLIEVPESLGGSVPGNYQLQSTKKGFYRYWTPELKELISELSKAEAEKESKLKGILQNLIQLFVEHHSEWRQLVSVVAELDVLISLAIATTYFEGPSCCPTIKESNGPDDTPTFHARNLGHPILRSDSLGKGSFVPNDIKIGGPGNSSFIVLTGPNMGGKSTLLRQVCLTIILAQIGADVPAENLELSLVDRIFVRMGARDHIMASQSTFLVELMETASVLSSATKNSFVALDELGRGTSTSDGQAIAASVLEYLVHQVQCLGLFSTHYHRLAVEHKDTKVSLCHMACEVGKGEGGLEEVTFLYRLTAGACPKSYGVNVARLAGIPASVLLRANEKSSDFEANYGKRCRMTKDKHDASSQREDKFSAIRDVLRIVKAWPHPDDQAASISMIHEAQKLAKVLAVEG >PVH64642 pep chromosome:PHallii_v3.1:2:46831148:46831627:-1 gene:PAHAL_2G322200 transcript:PVH64642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAEPRAGEPPSTPSHPTPPLTPPTDQASRRPPARRHAAPPRQGRPRGGAAGSATSLAPAALARGGGAGATASAPTMHSPPSSWTPTTPAASAAGGDPATSVPGSWAPCHRPLPFPSSPLPGASPAAAPPPMCPQPGGGSRGRRSGVAHWVPQALGR >PAN14709 pep chromosome:PHallii_v3.1:2:53530169:53535133:1 gene:PAHAL_2G431600 transcript:PAN14709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKKSKARGGGDDLLDSSDADSVGSSSTVLSDLSISYATEHVNSQEFVLDKYIDDLYEKRGSTREAALVKLVDAFESFMLHGLVENKYATLLSQFNNSVKKGSTKEVFLASRAIGLLAITLGAGSSSHEIMEESHPQLCRVLQAWSDASKMISALDCLAVITFVGATDLAETELSLKAMWDVIHPKSGSNVGTVRKPKPLVLAAAISAWTFLLTTIGSWRINTDSWKEPIAFLSTLLEAEDRAVRMATGEALALCFELNLLDVSSCEDGDANTGGTGGSKNKLFLDMQALKAKISGLASNLSAEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESIKIAGKRDVLRVSSWSELIQLNFLKRFLGKGFLKHAKENGLLQDIFDIKVDTTETLSSTDKKIFRSEEEKERALKLNKERRLAQARKNAAMLVE >PVH63584 pep chromosome:PHallii_v3.1:2:4547546:4551016:-1 gene:PAHAL_2G061600 transcript:PVH63584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSLRTGLLLVLFFAATLNASEDGRQVYIVYLGHLPSADSSEPEGFSAAVEASHHDLLDQVLDADRSASDRILHSYKRSLNGFAARLTELEAHKLSSMEGIVSVFPSRTRELLTTRSWDFLGFPQTPLEELPLEGDVIVGMLDSGVWPDSPSFSDDGMSPPPRRWKGACQNFTCNNKIIGARAYSNGATGMSPLDDHGHGSHTASTVAGRAVGNVSLAGLAAGTARGAVPGARLAIYRVCWQRGHCGDADVLAAFDDAVADGVDVISFSIGSKAPSPYFEDAAAIGSFHAMRRGVLTSAGAGNAGVVAGGSVCNVAPWMLSVAASSIDRRLVDKIVLGNGKTIVGASINTFPPVQNATLAFPVNGSCDPDDLDGGSYQGKIVLCPGQEGYGLGPKLAGAAGAVLVGDQPDVAFPLPLPALVVSQDQFDEILAYVNSTSNPVGTIGGTETATDPQAPKPASFSSPGPNLITPGILKPDLSAPGIEIIASWSPLSPTKFNPKDNGKVLYNIESGTSMACPHASGAAAYVKSFHRDWSPAMIMSALITTATPINTPANSGSNELKYGAGQLNPAKARDPGLVYDASERDYIAVLCAQGYNATALALVTGSNATACANASVAGSVVGDLNYPTMAAQVDPGKNFTVGFPRTVTNVGDGGAVYNVKVVFAVGAADGLAVAVSPSRLEFSEQNAKASFTVTVAGVAPGVGRVVSAAVVWSNGEHEVRSPVVVYTPDVERNLV >PVH64505 pep chromosome:PHallii_v3.1:2:44737684:44739236:-1 gene:PAHAL_2G289000 transcript:PVH64505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDHRMAGLAPAAAAHAAGLRRLSTRAAAGPASASASPRHGLHSFHAVAAGVLAHLRAAGVAVLPGLSDSELARAEAEFGFAFPPDLRAVLALGVPSGAGFPDWRGRAGLRAAFDLPAAAASLQTARGALWPRCWGRRPADPDRALRLARAAIRRAPLLVPLFDRCYLPCRPGLAGNPVFFVADDRVLCCGLDLLHFFTRESSFQPTLDHAVSSPLASPLSAGASTASCTRRSLDAVQAPRWIEFWSDAASDRRRRDSSSSEASTTSSSSSSGCPSPPRRSTPRWVDNYLDELGSMLKKGGWRDREVDEMVEVTASGLFDGEEAPGPDTEAVLDALVLKTDRCSDSLRRAGWTSEDVSDALGLDSRRGKDRSRAAVRIPPEIAARVQRLAQALADP >PAN15462 pep chromosome:PHallii_v3.1:2:57098997:57104271:-1 gene:PAHAL_2G489800 transcript:PAN15462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNLRSSFRILLSIQLLLSLFNPLVVADLAYEKQALLDFISAVSHGNKLNWDKNTSSCSWHGVKCSADQSHVFELRVPAAGLIGAIPPNTLGRLDSLQVLSLRSNRLTGSLPPDVASLPSLRSIYLQHNKFSGPMPSSFSPSLSVIDLSYNSFTGEVLASLQKLTQLTALNLQDNSFSGSIPDLKLPSLKLLNLSNNELEGPIPRSLQTFPNGSFSGNPGLCGLPLPECSVPSPTPSPKSSSSPRSPPLPHHEKKLGTGVIIAVAVGGFALLMLVVVVLVVCFSKRKGKDESDVESKGKGTVTRSEKPKQEFSSGVQIAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYIDTGSVSAMLHGIRGVTEKTPLDWNSRVKIILGTAYGIAHIHAEGGAKLTHGNVKSTNVLVDQDHNPSVSDYGLSTLMSVPVNASRVVVGYRAPETVENRKITQKSDVYSFGVLLMEMLTGKAPLQTQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKQQNIEEELVQMLQIAMACTARSPDRRPTMEEVIRMIEGLRQSASESRASSDEKPKDSNPPSV >PAN12886 pep chromosome:PHallii_v3.1:2:45338096:45340698:-1 gene:PAHAL_2G298100 transcript:PAN12886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSCLSTAARAALRLPCPKFSADAAGTQLQLQLQVQYASVFPRPARAHQRLVADHLAAPALPVARRRGLAVTAMVSHEEAAATAVEEEEEVAEGQLLEQDEVAEQEQPEQENDGAVEASSDNGGPSEAPGTTTTKLYFGNLPYNCDSAQLAGIVQEYATPEMVEVLYDRSTGRSRGFAFVTMTTVQDCELVVKNLDGTLYGGRTMKVNIADRPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEAFQRCGNVIGARVLYDGETGRSRGYGFVCYSTKEEMDEALSSLNGMEMEGREIRVNLALGKK >PVH65112 pep chromosome:PHallii_v3.1:2:53140852:53142235:-1 gene:PAHAL_2G425600 transcript:PVH65112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACTSQEQAQGLGSMAGRSQGPEKATMLIWPLAFAFLVNLFVYFTVVLAGLLYFGRKLGKESSPVGMMCSELPFGWLST >PAN09732 pep chromosome:PHallii_v3.1:2:3518532:3519548:-1 gene:PAHAL_2G047800 transcript:PAN09732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVMASAASSLAFAATGARAGSFPTRLPAAGLAPRRRAPLVCKAAKPKDAETISAAATPKPASPGIWDALAFSGPAPERINGRLAMVGFVSALAVEAARGDGLLAQAGNGAGLTWFAYTAVVLSAASLAPLLQGESAEARSGGFMTADAELWNGRLAMLGLVALAATEYLTGAPFVHV >PAN14113 pep chromosome:PHallii_v3.1:2:50709777:50712843:1 gene:PAHAL_2G384700 transcript:PAN14113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGGATAGAPPAGARSSAGAGAAGAPVADPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSSSAAGAGGRTGSSAAAAAAAVTSSSAASTLSLPPPAGSLPSLTSALGLPGGTSLASLLLGSGTGGDHLGLFQAAMQSVVSSEATAYEMQQQQTQVDHLLGLGYGGAGAQIQQLKPWMQEAGAGAGGIMDSFYAPLLSSSLVPGLEELHVKAEAAGAGDHQQKAAPGDQQSGSWELPTPSSSNVDANIIATDALMAAAASMNPAVSSTSTAATTTAPSSFMYWGNGGIGGAAAAWPDLANCGSSIATLF >PVH63374 pep chromosome:PHallii_v3.1:2:1084385:1086248:-1 gene:PAHAL_2G015900 transcript:PVH63374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMIPIEMQTQLRQLLAYFAANPIVHGGNQAAAGEKLLIIYLNGEGTSRASIVAPQGITFHEVRGRIYDRLGLANVDDQHRMEIRADFHNGGGANPPVPVADEEVWGFIFSNTTAPTINLHVSI >PAN10689 pep chromosome:PHallii_v3.1:2:8928223:8934119:-1 gene:PAHAL_2G110900 transcript:PAN10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQPNGRVCQICGDDVGLAPGGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGCARVPGDEEEDGVDDLENEFNWDGNESQYGAESLHGHMTYGRGGDLNGVQQPFQLNPNVPLLTNGQMMDDIPPEQHALVPSFMGGGGKRIHPLPYADPSLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDGGGEDGGDDDLPLMDESRQPLSRKIPIPSSQINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRFNIEPRAPEWYFQQKIDYLKDKVAASFVGERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDCEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCCGNRKHKKKTTKPKTEKKKRLLFFKKEENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCVPKRPAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >PAN12800 pep chromosome:PHallii_v3.1:2:44925473:44927308:-1 gene:PAHAL_2G292000 transcript:PAN12800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLSRRVLCLALLVAALAALLLRLPIRSHLLKPRAAMDPDSEVEFELQGVMRMYKSGRVERFDGTETVPPSPDGDPANGVTSKDVVLDPAAGVSARLYLPPGVEPGKRLPVVVFFHGGAFMVHTAASPLYHIYAASLAAAVPALVVSVDYRLAPEHRLPAAYDDAFAALKAVVAACRADGAEAEPWLAAHGDASRVVLAGDSAGANMAHNAAIRLRKEPIDGYGDTVSGIVLLHPYFWGKEPLGAEPTDPGYRAIFDPTWEFICGGKFGLDHPYINPMAAPEEWRQLGCRRVLVTTAEQCWFVERARAYAEEIKKCGWDGELEFYETKGEEHVFFLPKHGSDNAVKELAVVADFVRRC >PAN14299 pep chromosome:PHallii_v3.1:2:51598812:51602508:-1 gene:PAHAL_2G398800 transcript:PAN14299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSFALPWPVATRKENAPPLRLPMLAGPYATVAVALALLLLPAPSPAAAEETPPPDCDASSAYAPNSTFQANLNLLAAALPANASASPAGFATFAAGAAPDQANGLALCRGDANASTCAACVAAAFRDAQQACPLDKGVTVYRDACVLRFAGRQFLDFLREDQWLISELVPAILTDSARSVNASDAWFNAAVTGTLTALVDRAAAATNATRKYFATGEMDFHPKLYGLAQCAPDLTPAQCQGCLRNLQKVVVSARFLSGRPPSNSAFVVWCSLRYSVSPIYDGRAMLQLAAPPEPPPSATLTPPSSGRKRSAAGISAGIACFVVLMLILLVFFFRRFRRRIKAAENGEHSLKKIGRAQCTIFDLPTLQEATEHFSEKNKLGAGGFGTVYKGILSDGQEIAVKKLLERAGSGLDQLHNEMRVLAELQHKNLVGLQGFCSHQNDTLLVYEYIKNGSLDNILFDDSKGNALNWEQQYNIIHGIAKGILYLHEDSSMRIIHRDLKSSNILLDDNMEPKIADFGLARLLGEGHTHSRTTRVVGTFGYMAPEYAWSGSVSPKIDIFSFGVLILEIVTRRSNCSSDDHSTVNLLTDVWDHWTKRTVSQMLHPSLDEFARTQALRCIHIGLLCVQPEPDNRPDISAVVFMLTRDSMELQPPSQPAFFFGRESPSASRSDGQRSYVYDRSGFILEQGISVNEVTLSELYPR >PAN12736 pep chromosome:PHallii_v3.1:2:44619178:44624418:-1 gene:PAHAL_2G287600 transcript:PAN12736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVDVELGGAGGLEVAGGGGGGGCAGSCGAIGRAVSFRCVFVLLLAAGVLVPALFLLVPSRHEGYVSDDPDVLAAEIKVGFTLEKPVSFLTSHIDKLGNDIFGEIGVPNSKVSIVSMQPLTSKYSTNVVFGVLPDPKDASISLPALSVLRSSLIEMMLQHVNLSLTPSLFGHPSSFELMRFPGGITVIPSQPGSPWENTYPLFNFVLNNSIYQILGNLTELKDQLKLGLNLRSYEKIYLQFRNEIGSSVEAPAIVDASVLDGSSNLLLDRLKQLAQLITEPDARNLGLNHSVFGKVKGVQLSSYLQHKISDLSPSPSPSPSPSPSQSPSPSMPPSLSPFGSIPYLAPPAYMNPSRPPQALPPSWSRHPCFPCFRCNRFPPADSPMVKPPCMGRDPKLPPFMHSPKPSVVPSPPKYLSPAIPPVPAHVDPPRPLPNHNRFPKTVHGSSSQMMPIPSPSRPVFQHSLPPRKKRNSKVSNFPSIAPSPYALLHT >PAN14492 pep chromosome:PHallii_v3.1:2:52457265:52458088:-1 gene:PAHAL_2G413500 transcript:PAN14492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCGLQQQRSMAGGPSAIASRLNAAAEAAAGDAPAAARWAGRADEDEAAAAPPRTYDVFINHRGVDTKHNVARLLYDRLEHLSGGRVRSFLDKKSMRPGDRLEERIDEGIRQCKVAVAIFSRRYFDSEFCLRELASIVEARKLLIPIFYGIKPSELILPPAVEESKDHAPRDIERFRLALQEAKYTVGLTYDPATGDLAELVYTAANAVMDRIQEMDHRVPQRQMIVSRL >PAN14057 pep chromosome:PHallii_v3.1:2:50494061:50495479:1 gene:PAHAL_2G381500 transcript:PAN14057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTIINSAPATRNAESEIVDDMRPFLRTYKSGRVERLMPDTFVPASEDPGDTGVATRDVVIDPATGVSARLFLSVGAVAAGRRLPLVIYFHGGAFCTGSAFSELFHRYAASLSARAGVLVVSVEYRLAPEHPIPAAYEDAWVALRWAASRSDPWLAFHADPNPTRMFLAGESAGANIAHSMAARVAADGEDIHIEGMVLLQPFFWGTERLPAETDRHDGPVFSPELVDTLWPFLTAGAAGNDDPRISPPAGQVASLPCRRVLVGVAAKDVVRDRGCRYAAWLRRGDRCREVTLVESKGKDHGFHLYRPECASAVALMDRVAEFINGWAPSVIADAETERLHAREGTSKTGRAASGDGPDMEVSDSPGLKARNALAAKRPTPPAAAASFQLEIGLASSSEMIQRRPFAPADLGTAVAKSRL >PVH63345 pep chromosome:PHallii_v3.1:2:623389:624484:1 gene:PAHAL_2G009600 transcript:PVH63345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLRFYLWSVVECPDGENVEWAESKVIELRALLADMMMPSQTHLTMYLALRTDGALFTIDLHGVLPCHKTLRRRSLLLRQFPLRESFCTPAAGFSVKVELDHGSG >PAN09253 pep chromosome:PHallii_v3.1:2:623389:624484:1 gene:PAHAL_2G009600 transcript:PAN09253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCLVLQPLYIAGLERCHALRLGQCLPVTTLTDQEVDWEGLAYMENLRFYLWSVVECPDGENVEWAESKVIELRALLADMMMPSQTHLTMYLALRTDGALFTIDLHGVLPCHKTLRRRSLLLRQFPLRESFCTPVCVSLMCTTLVRTLPSPLFSAAGFSVKVELDHGSG >PAN15575 pep chromosome:PHallii_v3.1:2:57668627:57671694:1 gene:PAHAL_2G499000 transcript:PAN15575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPLRILLPLASLSCLLLLLARGSAAIGVLEGIGADHCHHLGNLINGHARRLLQIGDGYKYAAFLQSHRRSHHIHTKHKQPRRSSQKPTPMPALSPSPLSAPPKASPSPSASAPAISPSGFHPLAAPPHPLSPLPSTPPAHSPHKHSWRNYSLVTAGSAVFLVMAAASVIYYRAKKVGTVRPWATGLSGQLQRAFVTGVPALKRSELQAACEDFSNIVGSTPSCMLYKGTLSSGVEIAVVLSSITSIKEWSKECESHYRKKITSLSKVSHKNFMNLLGYCEEDHPFTRAMVFEYAPNGTLFEHLHVRDAECLEWTARLRISMGIAYCLEHMHQLNPAVVPRNFDSSTVYLTDDFAAKVSDLDFWSDAKGWNPSSGTDDPTLSDIDGMVHKYGIILLEILTGKAPYCEEEGSVEQWASGYLDGNMSAAEVIDSSLGWFPEEAARALCEVARWCMEPDPKKRPQMAQVGARMKEITALGPEGATPNPKVSPLWWAELEIMSSEGS >PAN13492 pep chromosome:PHallii_v3.1:2:48058888:48059436:1 gene:PAHAL_2G341900 transcript:PAN13492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCERLPARTATAAVAVHCAERDVPARALPGAACLPGAKRKVRATGSSQAGGRRESRRVLGGSGSGGASADHGLGTGDLGKR >PAN11039 pep chromosome:PHallii_v3.1:2:12308920:12312637:-1 gene:PAHAL_2G135300 transcript:PAN11039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRPLPGRGPFLSSSVHAAAALLLLFVLLVTLLGLPISISPRAAPNLTDQRPQEPACDPASPLDCADPRLFHLMMHSAIEAFPAVHFARFGRPVPGDPPSASCDMAWRARSDSDSPSKATTKDYRRFAIARDPRTCAYSVLSIGEYHSGPNARKPRRAAKNATIAPPPPPALSRSQFARGSYLAYVGGGDRCKPMPHYLRSLLCTLAEARYLNRTLVLDLTLCLSAAYAAAGTGGMPEEGKRLAFYIDVDHLHSQVPIIEEGQFWADWDRWGVQGQLPARLVEDTRHSPIKYSKVRDTLIVRKFGDVEPGNYWYNVCEGEAKGVLRPMRRVIRWAPSLMNIVDDIVSRMQPDFDSVHIDANCEDLRRKVEEGLDAGRQVYVAGEGVSSALVEALKAKLTVHHLDEFEDLWGTDSKWFLEMRRLNGGVPVEFDGYMREVVDREVFLRGKKKAEVLRIAALNHGKQYSDSVP >PVH64765 pep chromosome:PHallii_v3.1:2:48905790:48909764:1 gene:PAHAL_2G355600 transcript:PVH64765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHGWIGQDDLWHSKLASNFYGCCNSSSKYLDSSITTQPERYLIVVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQTSFWKDSSNFSEIFDIDWFISFLAKDIKIIKEPPVKGGKAMKPYKMRVPRKCTPRCYLNRILPALLKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDPIQELGEKLIQQMREKSRYFIALHLRFEPDMLAFSGCYYGGGEKERRELAAIRRRWRTLHIRDPEKGRRQGRCPLTPEEVGLMLRALGYRSDVPIYVASGEIYGGEDTLAPLKALFPNFHTKETLSGEEELAPFLKFSSRMAAIDFIVCDESDAFVANNIGNMAKILAGRRRYFGHKRTIRPNAKQLYPLFMKRGNMSWDAFSSQMRIIQKGYMGDPMEITPGRGEFHVNPAACICEKIGGYSVAKSISRSNQEPVNDTGIRKAVVGPPYPVYTDEEADGSDTEDDQDTTARGEMIDAEPDDDSVVRQEDPELEEILSD >PAN13662 pep chromosome:PHallii_v3.1:2:48905131:48909764:1 gene:PAHAL_2G355600 transcript:PAN13662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPRRRGYPHGHHHRCPRRIALPAAALALLFLAVSLLSVSLLSAPPLADPRTGLATSSRRFLRRDPTNGSGGELVGTESGEAFSVPTHGWIGQDDLWHSKLASNFYGCCNSSSKYLDSSITTQPERYLIVVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQTSFWKDSSNFSEIFDIDWFISFLAKDIKIIKEPPVKGGKAMKPYKMRVPRKCTPRCYLNRILPALLKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDPIQELGEKLIQQMREKSRYFIALHLRFEPDMLAFSGCYYGGGEKERRELAAIRRRWRTLHIRDPEKGRRQGRCPLTPEEVGLMLRALGYRSDVPIYVASGEIYGGEDTLAPLKALFPNFHTKETLSGEEELAPFLKFSSRMAAIDFIVCDESDAFVANNIGNMAKILAGRRRYFGHKRTIRPNAKQLYPLFMKRGNMSWDAFSSQMRIIQKGYMGDPMEITPGRGEFHVNPAACICEKIGGYSVAKSISRSNQEPVNDTGIRKAVVGPPYPVYTDEEADGSDTEDDQDTTARGEMIDAEPDDDSVVRQEDPELEEILSD >PVH64796 pep chromosome:PHallii_v3.1:2:49079290:49083086:1 gene:PAHAL_2G358700 transcript:PVH64796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRASRGGRAGDRLVSWKTAGGDPSPGSFAYGIDPVTSLQLLTWNGSSPLWRSGAWTGYRVATDFIAHTSTVVYLTVLDIEDDASMSFTLSPGAPRTRYVMSHSGELVLQSWNGASLEWDELAAWPPYECSHYGHCGPFGYCDNTVAAPTCKCLDGFEPASGEWSSGRFSQGCRRRAALRCGDGDGFLALPAMKAPDRFVVVGNRSFDECAAECSRNCSCVAFACLVWVGELIDAEKIGGNVAGSETLHLRLAGLDKGRRMGRKTVKTVSLVLASILLTCISLLILWIYKCKGLKKKRGKHKMLILGNLSDPEGFGEGSPTEGYQFPVVSFRDITAATDNFHESYMIGQGGFEKVYKAKLDGQEVAIKRLSRDSKQRTVEFRNEVILIAKLQHRNLVKFLGCCVEGDEKLLIFEYMPNKSLDALLFKEMKPKIADFGMARMFNNNQLNANTKQVAGTYGYMAPEYAMRGIFSAKSDVYSFGVLVLELVSGVKISCTDQIMEFEDLIDYAWNLWKEGKANNLGDQSIVESCIPDEVMLCIHIGLLCVQDNPNDRPLMSSVLFVLENGSTTLPKPKKPVYFAHTNNEVEQRRGN >PAN09424 pep chromosome:PHallii_v3.1:2:1575098:1575871:-1 gene:PAHAL_2G022300 transcript:PAN09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALOG domain-containing nuclear protein, Transcriptional regulation, Specification of sterile lemma identit [Source: Projected from Oryza sativa (Os07g0139300)] MSTGGADCSSPARRPSRYESQKRRDWQTFTRYLGAHRPPLQLRRCSGAHVLEFLRYLDRFGKTRVHAQPCPAYGGGGRVPASASAPAPGSAAEACQCPLRQAWGSLDALVGRLRAAFDERHGARGSGVATARPDNAGDGASANPFAARAVRLYLRDVRDAQARARGISYSRKKKKRNKPQGTCGAASASASMQDDGGAGALPHANSTASVAPAVPLPPPAYLTGVPFECCDHGSVFGGPTANGAAGFYLPLLFNTFG >PAN11400 pep chromosome:PHallii_v3.1:2:21438816:21441533:1 gene:PAHAL_2G165900 transcript:PAN11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESGNGGARRASSGHRVHDARGPARALALQRPQATGPCPCPDASCYRHPAGSPHHRVLPRRSRRPRVCPAGRRVGLLPSFPSCVHAAETTHDVSITRFLGVCWKWRHADPIELVIKQATSLIN >PAN11399 pep chromosome:PHallii_v3.1:2:21438816:21440050:1 gene:PAHAL_2G165900 transcript:PAN11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESGNGGARRASSGHRVHDARGPARALALQRPQATGPCPCPDASCYRHPAGSPHHRVLPRRSRRPRVCPAGRRVGLLPSFPSCVHAAETTHDVSITRMCF >PAN09480 pep chromosome:PHallii_v3.1:2:1929677:1930814:1 gene:PAHAL_2G026600 transcript:PAN09480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARSHEHEGAAVTCVDFWCNEFGMRARIALREKGVPFAFVEEDLRVRERSDLVRRMNPVHRSIPILIHGGRPVCGSLNIVEYVDEVWSDQTRRRLLPADPLERARARFWADFVDREVYGAQTRFFTSRGEAAKAAAAAELLRHLRRLEAVLGDRAFFGGEELGFLDVALVPFSAMFHGYERHGGVDVAAECPALARWVGRCAERESVRGVLPSGHDMYEIHREFYDIE >PVH63552 pep chromosome:PHallii_v3.1:2:3999452:4000252:1 gene:PAHAL_2G054100 transcript:PVH63552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLESMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWVCGHTRKDRVRNEEIRDRVGVEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN10611 pep chromosome:PHallii_v3.1:2:8265487:8266058:-1 gene:PAHAL_2G105200 transcript:PAN10611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSCILLIVVALVAQYPDTAMAKKAVACGHGGSFAPQDCRTLPPRPGPCAPASCSQSCRNDIGFGAAGYCAAGGCQCTYCAPPKSE >PVH63605 pep chromosome:PHallii_v3.1:2:4934833:4935373:1 gene:PAHAL_2G067000 transcript:PVH63605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAADDEAPASPNPTAPPPWRIIERDTTRGEGSPARTMLASTGWWWWWWCSGATAAAHAAVADLGVDRVFRSRPPRSGSSQMRPDEPCRRNDTDDLCSLDIDRRRLVVTTNGRSMEDVRAQRRRADRGRRRSFARTLSASSSSLLYI >PVH63520 pep chromosome:PHallii_v3.1:2:3324050:3325084:1 gene:PAHAL_2G046400 transcript:PVH63520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLPTIRDCPECGSMKPEARESVFGRLGPAPTQQEWARSPRREDEEEDRYHCPRWCPDGLNRSQKRRVQRLCSLEEAEARYIETLKKARLDLVEQVHYVQEKKSHTSRKEWRPKSTKADKKVSADTHMVFVLPAEFHARTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYVNGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKIIPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMNQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGYDRIEATKNGVRLVLSTGLTE >PAN14962 pep chromosome:PHallii_v3.1:2:54900086:54902060:-1 gene:PAHAL_2G454900 transcript:PAN14962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHAPHLLPAPPKPHCHAAALRFTVAASAAAPSAAARRAVIVGGGLAGLAAATHLTSLSVPFTLVEASDRLGGRVATDVIDGYRLDRGFQIFLTAYPECCDLLDFPALRLRPFYPGALVFTGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLADKVLVGLTRLRAAATPDDAILSAPETTTATHLQQLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEDGIGAIASQLADRLPAGSVRLNSRAAAIDGSGVTLDTGETIPGDLGVIVAVEQPEAEKLLPQLSIPEKPKKSERSTVCLYFSTDRALVQDPILLLNGSGKGIVNNMFFATNVAPSYAPPGKVLVSVSLVGSFADREDADLADEVVRELGGWFGAGEVASWTHLRTYRIGFAQPDQTPPTMPAGRDPRVGDGLYVCGDHWCSATFDGALVSGRRAAEALAKDRGLST >PAN11666 pep chromosome:PHallii_v3.1:2:32365725:32366054:-1 gene:PAHAL_2G198700 transcript:PAN11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPNRPIITTTNQGISITLTCLCCLHSENYHALFYSCGHYLLNYPFICFKYAEILTINLRVVVL >PAN10948 pep chromosome:PHallii_v3.1:2:11339664:11341373:-1 gene:PAHAL_2G128300 transcript:PAN10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGGSDGGRSGGSPRDWTAEVTVRISQEAKGAQNTIPSYFGRFEAITNEDHPSIFKRRHGKTTSRAAAAPPLRLPCLPGSSNPQTMTAPPPQELARATAPHTGSSASGGEVVPGQLTAPARDVVVVAAVGPYHLLSPPAPAALITRAKKCGIVVFLARRFGLDAADFLGWARRNEARVRGCYERDSLAAAVSVDLAEMLLLDGCVVLFAVFLLRTSVCEDQRPSKLAREVVHGREFIYLSADISLHMKETKIDLLMLHNQIPFFVLAELHKRLKDTLFKNINHSLEELALSCFDDIHPSSFRCRRDRDAHGRAIVVAAAAASASAANNQFPPRIHHLLHLFHWFRVPMRKHQVGIASIVPKEPEPHLPCATELEESLVRFRKQRPRAAGCGGSALDISFQRRMLGVCGEMSMPELCVHKYSDCVFRNLIAFEQNYVRCGLGVTAYCLCMARLLQSEADVKVLRKRRVLVHTQRTDREIVEFFRDMRDEYGDTLMPEDLLALCRDVGAHRRSKARGVVKGILLQCFPRQTVTFFVIFGAVISIATLINTVHTMYRYYHPYKGRNPSYGP >PAN12881 pep chromosome:PHallii_v3.1:2:45309530:45314244:1 gene:PAHAL_2G297700 transcript:PAN12881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRLAVAHRPPLPVPAPGHLRRRLLVHHLPAPLSLPDSSLSLSSPQHHRLTPIPRRRLLLPLLASQSPDSNPEPESAGAKIVPLVISIAVGLAVRFLAPRPAEVSLQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSAESKPNHPSSRKLGSYLVMTQFQAAGNSSALFLTAAAQNLLCLKLAEELGVIITNPWVSWFKAASLPAIVSLLATPYLLYKIFPPETKDTPDAPALAAEKLKRMGPVTKNEWVMIGTMILAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLEWDDCLNEKSAWDTLAWFAVLVGMAAQLTNLGIVSWMSSCVAKLLQSFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPRALSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRVGFVTALVNTLIWGAVGSIWWKFLGLY >PAN14129 pep chromosome:PHallii_v3.1:2:50784403:50785111:-1 gene:PAHAL_2G385900 transcript:PAN14129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMARNAVVLLVLLGVVVQLCSVVPPAAAAGRVLQDVVQKPLVQGGLGGDVKPDSCVTGGGNVGPSGQTVASGSVYCQREKVIDHQASLTVAGETVNTATLP >PAN13713 pep chromosome:PHallii_v3.1:2:49114233:49115048:1 gene:PAHAL_2G359200 transcript:PAN13713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWSSGLPSELLEVIGKRLASGTDAASFRSVCSPWRDAVPFQAFAPLLLLPFGPDSERVALYSVDEERTFSLPCGASCGWLALMDGSAAVTLLNPFTGARVELPPADEDVAAASSMNVSKKDGRWVLHPEDDYGNAAAASAIELDEMRQVFFYEIVLSAPPDADGRGCVAMAVLPSSTEVAFCRVGVDSAWTLLETYLECSVDFVVHCQGRFLAIDCTGEISSCSSIAAHGRPTATPMPSLSPPEDLRHRSYLELNGELYVVGAMVNVLR >PVH63651 pep chromosome:PHallii_v3.1:2:5731711:5733497:1 gene:PAHAL_2G076100 transcript:PVH63651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWEHKSQEDGKMHACGHDAHVTMLLGAAKLLQSWKDDLKGTIKLVFQPAEEGFAGAYFVLKEGLLDDVSAIFALHVIPDLPVGVVASRPGPLLSAAARFAAAFTGKGGHAGGPHDTIDPVIAASSAILSLQQLVSRETDPLEAAVVSVTLLKGGEAYNVIPESVTIGGTFRSMTDQGLSYLMKRVKEIIEAQATVNRCAATVDFLEEDLRPYPTTVNDERMYAHAKEVAEGMLGEANVKIAPQTMGGEDFAFYAQRAAGAFFMIGVGNETTMERVRPVHSPYFVMDEDALPIGAAFHAAVAIEYLNKNQCASTSK >PAN10174 pep chromosome:PHallii_v3.1:2:5730286:5733496:1 gene:PAHAL_2G076100 transcript:PAN10174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSASHHVVALALVLLVPHLAGAASSPATAAHVAEDLLGAARAPAFAAWMRGLRRRIHRHPELAFQEHRTSELVRAELDALGVPYAWPVARTGVVATIAGGGGAGPVVALRADMDALPVQEMVDWEHKSQEDGKMHACGHDAHVTMLLGAAKLLQSWKDDLKGTIKLVFQPAEEGFAGAYFVLKEGLLDDVSAIFALHVIPDLPVGVVASRPGPLLSAAARFAAAFTGKGGHAGGPHDTIDPVIAASSAILSLQQLVSRETDPLEAAVVSVTLLKGGEAYNVIPESVTIGGTFRSMTDQGLSYLMKRVKEIIEAQATVNRCAATVDFLEEDLRPYPTTVNDERMYAHAKEVAEGMLGEANVKIAPQTMGGEDFAFYAQRAAGAFFMIGVGNETTMERVRPVHSPYFVMDEDALPIGAAFHAAVAIEYLNKNQCASTSK >PAN15312 pep chromosome:PHallii_v3.1:2:56385808:56388631:-1 gene:PAHAL_2G479800 transcript:PAN15312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSVLVILCLAASASAQLSPTFYSRSCPRALATIKAAVTAAVAREPRMGASLLRLHFHDCFVQGCDASVLLNDTATFTGEQTAFPNVGSIRGFTVVDNIKAQVEAVCPRTVSCADILAVAARDSVVALGGPSWRVLLGRRDSTTASLSLANSDLPAPTLDLANLTAAFARKGLSRTDLVALSGAHTIGLAQCLNFRGHIYNDTNVNPAFATLRRANCPAAAGNGDGNLAPLDTTTATVFDNAYYTNLLARSGLLHSDQQLFNGGATDGLVRTYASTPTRFNRDFGAAMIRMGNISPLTGSQGQIRLACSRVN >PAN11235 pep chromosome:PHallii_v3.1:2:30500956:30506680:1 gene:PAHAL_2G193100 transcript:PAN11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGQQAAAAAAAGSASESGGGSPAAPAGAAAAGAAFPATSLYVGDLHESVQDAQLFDVFSQVGGVVSVRVCRDINSRKSLGYAYVNYNNPADAARALELLNFTPINGKPIRIMYSNRDPSSRKSGTGNIFIKNLDKSIDNKALYDTFCAFGNILSCKIATDPSGESRGYGFVQFERDESAQSAIDKLNGMLINDKKVYVGPFVRKQDRENVSSNVKFSNVYVKNLSETVTDDELKEMFGKYGTITSAVVMRDSDGKSRCFGFVNFENADDAAQAVQELNGKVFNDKELYVGRAQKKSEREMELKEKFEKNIQEVTEKFQNTNLYLKNLEDNVDDEKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSADDATRALTEMNGKMVGSKPLYVALAQRKEDRKAKLQAQFSQMRPVAMAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQAGFAFQQPLMPGMRPGGPMPNFMMPMVQQGQQPQRPAGRRAGAGGMQQPIPMGGQQQMFPRGGRGYRYPTGRGMPDPGMHGVGAVMPSPYEMGGMPIRDAGVSQPVPIGALASALANAPPDQQRLMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQHLQQTNASPEQQLANLSLNDGVVSS >PAN13321 pep chromosome:PHallii_v3.1:2:47284229:47291047:-1 gene:PAHAL_2G328600 transcript:PAN13321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLNSFPVLRRSRAQRMRRLLFFLLAVVVVSRSASAITRRDFPEGFVFGAGSSAFQVEGAAAEDGRSPSIWDTFTHEGYSYDGSTADVSADQYHHYKEDVKLMHAMGLDAYRFSIAWPRLIPDGRGEINPKALEYYNNLIDELILYGIEPYVTIYHFDLPQVLQDEYGGLLSPRFIEDYTAFAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDNGSQPPRRCSYPFGENCTGGNSSTEPYIAAHHLLLAHASAVSLYRNKYEPIQGGHIGITLLGWWHEPATNTSRDAAAASRMNDFHIGWFMNPLVYGDYPPVMRSRVGDRLPRLSAEESAAVRGSFDFVGFNHYLILRVRSSGEEEDSGQRSRDYYVDAAVQNPLQAITEGHVESPPWALGKLLEHLKVNYGNPPVVIHENGVGDAPDGTPAAIEYDDEFRSEFLQSYLEVLYQSIRNGSDARGYFVWSFLDVFEFIFAYRLRFGLCGVDMAAAARTRYTRSSARWYAGFLRGGELRPPPPLPARPYVAA >PAN14611 pep chromosome:PHallii_v3.1:2:53048192:53049310:-1 gene:PAHAL_2G423700 transcript:PAN14611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAMISCAAAVAMLALLAAAGEAAVFTVVNQCPFTVWAASVPVGGGRQLNRGETWRISVPAGTTAARIWARTGCQFNAAGRGSCRTGDCGGVLRCTGYGRAPNTLAEFALNQFNNLDFFDISLIDGFNVPMSFLPDGGSGCGRGPRCAADVNARCPAELRQDGACNNACPVFKKDVYCCVGSAANSCGPTNYSRYFKGQCPDAYSYPKDDATSTFTCPAGTNYKVVFCP >PAN12877 pep chromosome:PHallii_v3.1:2:45286456:45287855:1 gene:PAHAL_2G297300 transcript:PAN12877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAAFPLVALLVAGCAALASATTFTVGDSQGWTTGVNYDSWASSKSFAVGDTLAFRYVSKAHTVTEVSKSGYDTCSGSGANALSDDDSGSTTVTLTTPGTHYFICNVPGHCASGMKFSISVSATRSGASASAASPQVPATTASVVVAAAAGAAIKLALF >PVH63763 pep chromosome:PHallii_v3.1:2:7647313:7649063:1 gene:PAHAL_2G098700 transcript:PVH63763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFLKLLIGPRKKVFPAQTFKQQRPVEMERLAARSFFLEKLSKEAATLPVDENLRRKLLRVRIIVEEAEGRQIRNQAMLEQLKVIRESMYRGYFMLDTFKCRAYQENSSKADHSVSYSFALSKFNPAKRVQLCRGSVQGEKELHQVLGSLEILITDASEFVLFLTACPPLHRQPCSTYLVFQKCMFGRHAEVEHIINFLMPTKGSPVASNKRLVRAPLSSMYNIDEMLTSVRDGGTIKHQNHAPDRERFLVIIEIDGDMEEGTWSRLYSASKRCAEDGTKIIISSQSDRIARFGTTRAVKVEYLRQEQYWYFFKSLAFGSTDPEEEPTLAAVAMQMAQRLNGSFIAGSIVASMLRANFSMKFWRMALSCVKEVSQRYSLIFGAHPVSPWQNTKQAYIPRINGSNSYCSVYNDYQIVSAQGEAQMVTFQEISSGGVLPYGKLDVLAWRSSIPPYYSYIFSCEIHKAPELDVQKRRTRKSSH >PVH64044 pep chromosome:PHallii_v3.1:2:24062683:24063417:1 gene:PAHAL_2G172800 transcript:PVH64044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISRGTLRCDIMVFVEKSTRYPDVDPWFISTIGFRFSDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPTEKRGRTWIARLRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLRKLIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEPMETHWDKGTQTEEMDQSLPIKKRPIRIKEESP >PAN10592 pep chromosome:PHallii_v3.1:2:8167580:8178641:-1 gene:PAHAL_2G103800 transcript:PAN10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTTAHAWECLKDILTGGYTDNILCSIGMPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDEIVEFQLPIEVSEAITQDTVPFGYGYMRFLDVSLAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDSIRNATQTCGGVYMYANQQGCDGGRLYYDGCCCIAVNGDLIAQGSQFSLKDVEVMDALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCQSFRNGMVPTSPVQVMYHCPEEEIAFGPSCWLWDYLRRSQASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIEKGDEQVKADALRIGQYKDGEIPTDSRELAKRLFYTVYMGTENSSEDTRSRAKRLADEIGSFHLNVPIDSIVSAFLSLFETLTGKRPRYKVDGGSNIENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLKYSSLAEVESAPPTAELEPIRANYSQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHSWCGRLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKINELVQEMDKDGKWETPAEGQLTMQTGAQGSGMGVVAAGSANPSAGF >PAN12173 pep chromosome:PHallii_v3.1:2:41343628:41346311:-1 gene:PAHAL_2G247800 transcript:PAN12173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKPRAAEEPARLMCDDALTEVFCRLPARALAACRLVCKSWMTVLTDPHFIHEHLSRGQQKLLLFANDRMSDRSLATVLADDNKSMYQLSRPAASRSVFVHNSCNGLLCLGDSTGAVEVLNPTTAESLMLPMPMYTAGSSQFSSCNWHCLGFCPKKREHKVVHFYPGAHIDSFKVCCEIYTLGAGVWRQVGSFRGAPTDRGVHVNGTVYYLTKFRYISSSRINCLNLESEKFDVMMLPPRKSYGGHCSLTELEGRLCLLVVDGVLEGPPRTMDILMLNSDDKQSWTPRYHFSLPWLMPSCYFTPKHTLFHDGKIWVQLLARNLYCFDPSSNSEELTIAWPELDFPFSTHTFIESIVPLRKDYFIKDIQ >PAN14573 pep chromosome:PHallii_v3.1:2:52877831:52878375:1 gene:PAHAL_2G420500 transcript:PAN14573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSAAFLAAAARAPAELCQRPPRPGRRRLRADEVLRALFLPPARELGRLGDFLFAFFCLPLPEYYVPGSGRGGGWVARAPALYTYRRSLSVASSSSSSFSSSSMSSSEED >PAN09664 pep chromosome:PHallii_v3.1:2:2882924:2886318:-1 gene:PAHAL_2G041200 transcript:PAN09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKTTSGGAAAAMGCGDPQSSLPPATKVAEGGRPASLLLSTRSLPGLFSADAPMSPTSMPEQSKNLTCSGARNGGIGRSGSSPCSGIGSPAAGLAGVLVAGEADDGGYRNSGRVLLGMRLRVQLPPPPPGKGPGGGDLPGSPIEFGVKNRDAQLALLSPVQRSPLSSSAARLARRSEVEELAEEDYTCVIARGPNPRMTHIFEDRVVESSAGAGDGVGGDACSILSSCSGCRKDALLLHRGEREFCSSQYHYEEVLLGKRVDDSPNASVKLKP >PAN11802 pep chromosome:PHallii_v3.1:2:36954149:36955604:1 gene:PAHAL_2G218100 transcript:PAN11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGTSSGSSLGTRSSRSEDDLDLQAQMERRRKRRKESNRESARRSRLRKQQHLDDLTSQVKQLEDQNKQLSLALSITSQNLVAVQAQNSVLQTQKMELDSRLSALTEILCYMNTITCTSASAPANPAMVNNITSSSSYDLLGASCTWNQQPIDLYQCF >PVH64040 pep chromosome:PHallii_v3.1:2:23678856:23679782:-1 gene:PAHAL_2G172100 transcript:PVH64040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDHEGHFHSNALHWEGFPHLLWESLSLFHYTEPPQYDGVEYREEGVPRCQIKMIIPQHPFRSQWQPIEVEVVGYRLVDTIETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPGNLEWNFRTEHLGHMLGDLADETVRSITRFMDVQHHYQILLCHSMGQLTSVAQSHYRNVDRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQHDTVIEFLQAQIHDLILEADDAQAHLEELQQQPILSAAPAMPEEEEDPKEIEGVSEIDSEHGDPVLSPYHSSSGSQSSVGNFDDF >PAN10272 pep chromosome:PHallii_v3.1:2:6092681:6098697:1 gene:PAHAL_2G083700 transcript:PAN10272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGLDMSLEDLIKQSKSRPKSNPASSSGPARRAPPPARAAPYPPAAPKAHRAAADSPYGVYSEHIATMAAVAPPQPAAARSLETGTKLHISNLDAGVTVEDVQELFSEVGELKRYSMNYDKDGTSKGTAEVVFARKVDALDAIKRYNGVLLDGKPMNIELIGNNAEPPPMPPVIHNRPLQNYNDIHSSVPQNQRGVLRRAPQSNGRGGGSQSSGGRGQGKGRGQDRNRTPLSAADLDAELDKYHASAVKEK >PAN13017 pep chromosome:PHallii_v3.1:2:45946666:45947088:1 gene:PAHAL_2G307900 transcript:PAN13017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTAAVLSPPSVAGLRLAPSPRARVSFRAAPARRSVAARAELSPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPEQNGKTHFDAGDERAKEFAALLKSNDPVGFNLVDVLAWGSLGHIVAYYILATSSNGYDPNFF >PAN14147 pep chromosome:PHallii_v3.1:2:50876576:50877664:-1 gene:PAHAL_2G387400 transcript:PAN14147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSHLSTAWSSSALASSASTRRRSSAPRSGGSGFVVRCSLRELRSRIDSVRNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRTRPVKKVALVVLTGERGLCGSFNNNVLKKAETRIEELKQLGLGYTVISVGKKGNAYFTRRPYIPLERELEVNGVPTVKDSQAICDLVYSLFVSEEVDKVELLYSKFVSLVRSDPIIQTLLPMSPKGEICDVNGVCVDATEDELFRLTTKEGKLTVEREKVKIETQPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIELRKNLSIAYNRQRQAKITGEILEIVAGADALAG >PVH63919 pep chromosome:PHallii_v3.1:2:12315246:12316063:1 gene:PAHAL_2G135400 transcript:PVH63919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQADIPWCMLFADDVVLVDESRTRHEDVDVSLEGQVVAKKNTFRYLGSMLQKDGDIDEDVRHRILAGWLKWRQASGVLCDKKVPQRLKGKLYRTVIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPDETVKRDLKEWDITKELAMDRSAWRLAINVPQP >PAN10509 pep chromosome:PHallii_v3.1:2:7558203:7558877:-1 gene:PAHAL_2G097700 transcript:PAN10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNTNSEQANNQPLGQQENGLPLDIPTTQVLDSDMIEQQSTNQTPLWFSLEQHRLQLDQVLQLYNEQVRVSLQQEMSLQNATLSNLLTTDALIKTVEEVARLRLELQRNQEDQETLRHACDQLLQLVLIAYETNEALIRMIAPLQQERNSHISGPGDEASSVAGTTAGTTTACPICGALKDDAIENQFV >PAN13990 pep chromosome:PHallii_v3.1:2:50180436:50186417:1 gene:PAHAL_2G376800 transcript:PAN13990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MPSSRRTTGRGGAGAGDAGGGDARDVPPFMGNNRDHNPRELRSWARRTGFHSSAFFSGESNSSAAAPQPPPPPPPATSRRPAPERRRDPYPDTEDDLEPAPPLDLERGPAAGRGRGGGHGGRQRRRIDLRGELEIPPGFGREEADPDAGRGGGGRGDARRRNGGVERDQAVPNAGRNGNGALADAEARKKAEEAEAKRKAEEAEARRKKEEEERDAELAAYYQEQWANEEEEEGAAEAAAAETAPLYGASGLRCGVTENPGWAPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTMLLVSGLTTILHTFLGSRLPLVQGSSFVYLAPALVIANSEEFRNLSDNKFKHIMRELQGAILVGSVFQIMLGYTGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGTCVEISLPLILLVLLCTLYMRKISLFGNRIFLVYAVPLSVAIVWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHLETMKRCRTDVSNAWKTAAWVRVPYPFQWGPPTFHFKTGIIMIIVSLVASVDSLSSYHAASLLVNLSPPTRGVVSRGIGLEGISTFIAGVWGTGTGSTTLTENIHTLETTKMASRRALQLGAALLVIFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISLSIPAYFQQYEPSSTLILPSYLLPYAAASSGPVRTASSGLNYAVNALLSINVVVALLVALLLDNTVPGSRQERGVYIWSDPKSLEVDPATLEPYRLPEKVSCWFKWAKCVGI >PVH64907 pep chromosome:PHallii_v3.1:2:50473779:50475898:1 gene:PAHAL_2G381400 transcript:PVH64907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRLAAATSLRVATYMEARHHPQQGALASKRQVKRERSHHISQSLQLTK >PAN12874 pep chromosome:PHallii_v3.1:2:45276460:45279429:1 gene:PAHAL_2G297000 transcript:PAN12874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTLPPTNAAGQTATQEGPAGGTRKPADGAADPGGMDSGWVVLGKSDIVPADLAEAAAAAGHPRLNFSPLPMIPIWVQMVLGGVVYTAVPFYKRARKIEGETLNNVETTVEVVEHVAEVTEKLAANAANSLPENGPLQKVAVEIEYIAEVVDKDAHKVEAVIKKIEDVSDKIDAAVEPVIEALEKDFKPNPTSSTGPDAKE >PVH63797 pep chromosome:PHallii_v3.1:2:8606084:8608119:-1 gene:PAHAL_2G108900 transcript:PVH63797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQRKKSATLMEMKPIRNSLGRNPLSNALHRNPGVVLNGQGPGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHSSRRVRRVRKLAEPTKIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVDLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PAN13573 pep chromosome:PHallii_v3.1:2:48466986:48472084:1 gene:PAHAL_2G347400 transcript:PAN13573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSRLERLEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRATGASLMHFSSAEAEHPHPHSSAAHHHHHHQPPPSPPPPPTPPPPPPPPPPPLSPTPTVRSWTTNSSSISASAILPPPPPPPMPSSWDFWDPFAPSSSRSVTEDADWDDAATTVVDAPIAPARPVVTAAAAVAAPPSIVTATTTSITPSELTVVAVPRGGTGNKDLAEIATELDEYFLKAADAGARVAALLEAPICEPPEPTATNSSLPGKVLSYSKSLKPMGWTWGGAGGYGKGNNGFTRFGRGEGGMVMGSGGGGGMLSHSSTVEKLYAWEKKLFLEVKSYEGYKQEHDKKVSLLRKQEVKGVDYLKMEKNKMEIESLESKMLVANQSIETTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHIVQQLEYLKARNMNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFCHHDPLTKAQNSDIYGLCEEWQLAIDRIPDKVASEGIKTFLTVIHAVVIQQAEEQKLKKRSESAFKEFEKKAEELRSLESKYGPYIGAEGYREMSRKSPVADKRAKVEALRSRADEEKSKYEKSIGVTRAMTLNNLQTGLPNVFQAMTGFASVCTEAFELVYNFKRSSDRILDAKRLLT >PAN10181 pep chromosome:PHallii_v3.1:2:5766252:5770706:1 gene:PAHAL_2G076700 transcript:PAN10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRPVVLVLLLLVLIITSQFEWKQQIGDAADADPAAARRRQQLLAREDAVKEKIILSQEKNIQQLNQLIDSLQRQLLHCRGSNNTVHTTTVPATEVGEVEEHETIDDENR >PAN15528 pep chromosome:PHallii_v3.1:2:57431475:57434361:-1 gene:PAHAL_2G495100 transcript:PAN15528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCTGSAKCANASSTPFESKVTARSTTSNNSAGSATAKLAPRRSSGSSSAFSGSDVAGLKSFSMSDLRAATKNFGSSSYLGEGGFGCVYKGWMDEATLAPTKPGVGRMVAIKKLKEESFQGHKEWLAEVTYLGELHHENLVKLVGYCSDPDSNKLLVYEYMLRGSLENHLFRRATQPLSWPMRVAIAVDVARGMSFLHGQDNPVIFRDLKSSNVLLDSDFRAKLSDFGLARDGPTGDKSHVSTRVVGTRGYAAPEYIARGHLSVKSDIYSFGVVLLELLTGRRAMDEARGSTTLVDWAKPQLGERRKVIRIMDTRLGGQYPKKQAQEVAALALRCLEDDPKNRPGMADGVLPELERLQQQNHHKAPYSSSRSDTSTPPVHRSGRHSKAKS >PVH65001 pep chromosome:PHallii_v3.1:2:51591126:51593962:1 gene:PAHAL_2G398500 transcript:PVH65001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRLIVVVLLGAALPLLFFFFSRAEAGALGVSYGRVANDLPDPASVVNLLKQNGITMVRIYDANQKVLTSLANTGIKVMVMVPNENVAAVASDPSNALQWVRDNVAAYYPATQIHAVAVGNEVFDMRPDLNSDLVPAMTNVQAALAQLNLADNVKVTTPVAFDALAESWPPSAGRFKDSIAQPVMKPMLDLLQRTGSYLSVNYYPYLTYMAQPNAFTLDYVLANSNPGAVDPDTKLTYHNLLDAQRDATYYAMDKLGFASLAVAHTEHGAPSGGGLHHAQGGRRLLQAGNGGAVASVANAQAYINNLMNRVLAGNRGTPHRPDADMDVYIFALFNENQKGTGVDDVEQHFGLFYPNMQKVYEFDFRRPTGGGAPVQPATPSWCVANAGVGDARLQGALDYACGHGADCSAIQPGGSCFLPDTKAAHASYAFNSYYQSMNRAAGACDFNGAASIVYQQPTMCAATASWCVANAGVGDARLQTALDYACGNGADCSAIQPGGSCFQPDTKAAHASYAFNSYYQSKHRAAVACDFNGAASIVYQQPTMCAATASWCVANAGVGDARLQTALDYACGNGADCSAIQPGGACFQPDTKASHASYAFNSYYQSKHRAAVACDFSGAGSVVYQQPKVGNCVLPSNG >PAN09610 pep chromosome:PHallii_v3.1:2:2623668:2630820:1 gene:PAHAL_2G036900 transcript:PAN09610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHHSPEQSPPQDQVQKRRSSSVTSHPMIEAGGALHPQKKSRIDVRQDDILQHQLIQQLLHGESSLHLQGQQNPQLQALLQQHKLAQMQQRQQQQQQLLQPFAQIQQSQIGIPRQPQLRPPLAQPGMQLAGPIRTPVDSGLCSRRLLQYLYHKRHRPENNTITYWRKLVEEYFAPRARERWCVSSYEKRPNGSVSTPQTALDSWRCDICNTHGGKGYEATYEVLPRLCQIRFDHGVIDEYLFLDMPNEFRLPNGLMLLEHKKVVQKSVYEHLHVTHEGHLRIIFTPELKIMSWEFCSRRHEEYITRRVLAPQVNNLLQVAQRYQAATSGSGPARVSNDEAQTICDMFVTASRQLARNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFTNKNNLGPIEGLKNYPKPNVPKLPGQNTQEAKHIMAAAGLPNDQNTTKVMGLKQEISAHVDNGTSGVGAVGNSTPQNATALNSYQNILRSSSANQSLIQQEASSVFKGSAAMHNGIQLEASRSFHAPNQAHLAQFQRSASFQHPMPQHNNLQGMGMQNNLQGLGMHSNLQGLGVQNNLQGVNPQYQQHVLNQLLQEVKNTNNHALVQQPPPANPNVNSGLASGAANTNSAATGEQTQHINNSTVKGAATIGTGPSNVINNSTASIVPSRSNSFKSVSSNPAAATGGSNAATSKAEPFHELDDLDHLISNELAESGLFMADQGGSGFSWNM >PAN09609 pep chromosome:PHallii_v3.1:2:2623668:2630820:1 gene:PAHAL_2G036900 transcript:PAN09609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDANSSFSGGAKLQPSTSMNTDSFMRVPASPISFSSNNISGSSVIDGSIVQQSPPQDQVQKRRSSSVTSHPMIEAGGALHPQKKSRIDVRQDDILQHQLIQQLLHGESSLHLQGQQNPQLQALLQQHKLAQMQQRQQQQQQLLQPFAQIQQSQIGIPRQPQLRPPLAQPGMQLAGPIRTPVDSGLCSRRLLQYLYHKRHRPENNTITYWRKLVEEYFAPRARERWCVSSYEKRPNGSVSTPQTALDSWRCDICNTHGGKGYEATYEVLPRLCQIRFDHGVIDEYLFLDMPNEFRLPNGLMLLEHKKVVQKSVYEHLHVTHEGHLRIIFTPELKIMSWEFCSRRHEEYITRRVLAPQVNNLLQVAQRYQAATSGSGPARVSNDEAQTICDMFVTASRQLARNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFTNKNNLGPIEGLKNYPKPNVPKLPGQNTQEAKHIMAAAGLPNDQNTTKVMGLKQEISAHVDNGTSGVGAVGNSTPQNATALNSYQNILRSSSANQSLIQQEASSVFKGSAAMHNGIQLEASRSFHAPNQAHLAQFQRSASFQHPMPQHNNLQGMGMQNNLQGLGMHSNLQGLGVQNNLQGVNPQYQQHVLNQLLQEVKNTNNHALVQQPPPANPNVNSGLASGAANTNSAATGEQTQHINNSTVKGAATIGTGPSNVINNSTASIVPSRSNSFKSVSSNPAAATGGSNAATSKAEPFHELDDLDHLISNELAESGLFMADQGGSGFSWNM >PVH63832 pep chromosome:PHallii_v3.1:2:9782407:9782709:1 gene:PAHAL_2G117000 transcript:PVH63832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYMLEFVDPAFLRVICSSQHLGHGGRPPIPLGGSRCPQAVKISCRVPSALTVCLSAPPNHVAIHFVCLNHPAQSLKLKFTSSLSAARGMCTPYSPWFLH >PAN10382 pep chromosome:PHallii_v3.1:2:6748642:6752958:1 gene:PAHAL_2G090600 transcript:PAN10382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGRSPALSGRRRSRKKGQPTPSPPPPAQEPNPLPPGTEVEVRIDDEGFYGSWYEATVVGFDPAAGRGSPAKYTVTYSHLEAQDGPDSVAPSHVRPRPPPPPGPGSPPSTPSPPRFLLHDFVEAFDCEGWWSGIVFAPAPADPGSPVTVAFPITREVLLFPAHLVRPRRDYVGGEWAPSRAVISVQPRRAVRVYKAGEKVELLREREAYGDSWFPATVAKAVDRLSYIVEYLDDQVGGGKAAVYRHSAYIRPAKYHRPRESKVVLCPGTAVEVYCDGAWSQGVVRRVVREGCEYEVSIDGEEAEQLLTKAVYQLRPLYMWNGKHWTNPGDKGQANLRQQSASGKRPSSPVDGTSSDDKHSSVPESPTVKKSRKEPQQQDLLLDEGSEHAPVSEMDASLCASCKSLASDRCPNSCLLLSEKNGLSVFPHKAMTTCSVSKNGILCASSGHSEPPEASNHSPSPCPLLSEKNGLSVLPHEIVSTCSVSKDGLLCASSGHSAPPEASDHFPNLCPPLSGKNGLSVLPHKIVSTSSVSKNGLHCASTGHTAPPVASNHPMDSCPLLSEKNRLSMLAHKIVSICSMSKNGLLCASSGNPAPPEESVPGLIGEIECSRDAISEVVPSNGQLNTPVCERNVDVACDMLSIPEVRKQNIASSLRDQLIQERTFFVKELSVKKGISKNKKGATHPKAQAHQGKIDASDHVQIQLKENKNSSGKEIICALSASAECQKTSAWTRQVSGGTSSGSDTEGVNFKKLARKEGSGLLDKELSATINRDCQVNRNADVCTDTAATQVTKSNPLTEIPILSLDHLVQQDGSKVDERSIVLPLQNARNSEFTTDHILLRTCSFSGSSMPSHLSSSPISGNQVPFVKSSPLWPLIDAWDVFKKVPQQPHFRPVTKFLPALREGMALGLMATFASSVEGISKSSIADSIASFEEKITTLRHLEENGFDVEFLRCGLVKLIQIKSDHTSYLTEKDQLKAQLLEKAACLSRFDERLDKKEQTIARLEEELGRARWEARKMFEEKEREDGELSRLNAADSSVEEACAGAELQFQSVLAELRRKSLT >PAN15558 pep chromosome:PHallii_v3.1:2:57540877:57541927:1 gene:PAHAL_2G497400 transcript:PAN15558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHTSSLPLRHGGALPTRDAKLSTPQGLPEVHWAFELHEIVPVRAGQPQRRSAPLSTTDGSFGATQTPRSIGAAEQV >PVH63579 pep chromosome:PHallii_v3.1:2:4345212:4349674:-1 gene:PAHAL_2G059100 transcript:PVH63579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKHQSPLRTKPTGMAKHSGGLLLLMILSSFMSISTLLAAEADDGATLLAFKAAAIGGAGNGAALASWNGSTSGYCNWEGVRCRGRHRRVVALSLPSHRLTGILSPAVGNLSSLRFLNLSSNGFSGSIPASLGRLHRLHTLDLSDNAFSGEIPVNLSSCTSLMVMDISLNQLHGHVPSEIGNKLTSLSILSLRRNNLTGAIPVSLANLSSLTILDLPLNQLEGTIPPGFGNIVGLYYLCLAFNNLSGEPPVSLYNLSSLKMLQIQENMLRGSIPTDIGTRFPRMVILCFGINDFTGSIPTSLSNLTELEVLDLHDNWLTGYVPHMLGRLQDLQRADLGTNMLEADNREGWEFITAMSNCSQLQQLFINDNDAFTGKMPISIVNLSTTMQILNVQDTRISGSIPSAIGNLVNLNFLNASNTFISGVIPDSIGKLVHLGELCLHNTNLSGQIPSSIGNISMLTFLDAHRCNLEGPIPSSIGKLKNLLALDLSMNRLNGSIPKDIFNLPVLSSNLDLSYNSLSGPLPSEVGSLGNLNNLFLSGNQLSGVIPDGIGDCTILQRLWLDNNSFEGSIPQSLSNLKGLNELKLSMNNLSGKIPDAIGSIRNLQRLYLAHNNLSGPIPSALQNLTSLSVLDLSFNNLQGEVPKGGIFTNFVNMSVTGNNKLCGGIPQLHLAPCQTNSVKKNRRGHMKSLTLALAVTSALLLLAFVVVLTHLFRKKLQQKQKSPFQPQRVDDQFARISYHALANGTNGFSEANLLGKGSFGSVYKCTLEGDGTITAVKVFNLEQSGSTRSFMAECEALRRVRHRCLLKIITCCSSVNHEDQEFKALIFEFMPNGNLNVWLHPQSDLRTLNNTLSLAQRLNIAVNIMDALDYLHNRCQPPIIHCDLKPSNILLAEDMSARVGDFGISRILLESDSKSLQNSSSTIGIRGSIGYVAPEYGEGSSVSTLGDVYSLGILLLEMFTGRSPTDDMFRGSLDLHTFAEDALPERIWELVDPTMSMHIDIYNSTSRSRIQNCLFSVVALGISCSKKQPRERIPIRDAMIEMHAIRDAYVKAAQSQGG >PVH64829 pep chromosome:PHallii_v3.1:2:49430577:49431071:-1 gene:PAHAL_2G364300 transcript:PVH64829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWAQSLIKKPVQGLEIMDWCEKELAHLSKKARRLKAALMIYVAWNIWKARNKRIFEQRTMSPGNMLQEIKAEMQCRFMACGNLEFSSFSV >PVH64713 pep chromosome:PHallii_v3.1:2:47969506:47971100:1 gene:PAHAL_2G340000 transcript:PVH64713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLASNDDWLSYLQNVSYWQWPLILLVSVYQKSLINIEAAAGDEDVDEEVEKPNIEAGGTAAPQCVADEGENIPGIVQQLRDEDRELDEAMNADSSDDDEDVPEEWVSSDFSHLVIDERPSVPWDCRKNEVVQDARYQSIDEVKEAVKCWSLSYARVQNSRVQVS >PAN09396 pep chromosome:PHallii_v3.1:2:1366842:1369255:-1 gene:PAHAL_2G019900 transcript:PAN09396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRVLVGNLALKMPAAPRGSGSSAGVHPTTAPCYCRIRLNQLPYQTATAPLLPSAEEGPASCTGAFAAAFHVSKADLDRATAKPALFGPRRTARLKVAVYLGRRGTTCGGVSSGRLLGKVVVPLDLRAAVAKPVVFHSGWVAIGKRRAGRKAAPAPAASGGAAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVQGRMKQPMFTCKFSCRSNSDLRSRSVQSDPGNGGRNWLAKFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGSDRVSRSNPGGWLILRPVDGTWTPWGRLECWRERGAGAGGDFLGYRFELVPDHTNSGAGVCVAESGVPASRGGRFAIDLTAAQPFGRSGSPVCSPRGSGDFGHGLWPFGSFRGFVMSAAVQGEGRCSRPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSCKLRRELSASRAELLR >PAN09876 pep chromosome:PHallii_v3.1:2:4092508:4098157:-1 gene:PAHAL_2G055600 transcript:PAN09876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHEILKRKLLHILDVITDAEEQAAAKREGAKAWLEKVRKVTYQANDVLDEFKYEALRRKAKKEGHNKDLGIDVIKLFPTHNRIVFRHRMANKLRMILQEIDDLIKEMNDFRFMFKPGSPEPINYLRQNNSDIVDPVNIAKESRAREKKDVVDRLFAQASSSDLTVLPIVGMGGLGKTTLAQLIYNDPEIKKHFQLRLWVCVSDNFEVDSLADRILKENDCKENGSSPLGRLQNEVSGRRYLLVLDDVWNRDEHKWERLKSYLQHGGSGSSVLTTTRDKAVAKLMMGKTEGAYKLESLGAYFIEKIIKTRAFSSKEEEWPGELVKMVGQVAKRCAGSPLAATALGSLLRTKTTEEEWKSVLRRSSICDEENKILPVLKLSYNGLPSHMRQCFAFCAMFPKDYEIDVEMLIQLWMANGFILEKQGERPEITGKNIFVELAARSFFQDVKGIPFQFNHTEVSRITCKIHDLMHDVAMDSMGNECANIGKKLSKFEDFPYSARHLLMSVHEAETILNASLEKGSPAFQTLICDGYVKEDLKILSKYNSIRALKIHGHSFLRPKYLHHLRYLDLSESNIKALPEDISILYHLQTLDLSYCYYLQRLPKELNYLTSLRHLYTHGCRELKSMPRGLGHLTSLQTLTCFVAGTDSGCSNVGELQDLDLGGRLELRQLENVIGANGAQAAGLGNKKKLTELKLRWTDGDQEAQNNNHEEVVEGLKPHDGLKVLRIYSCGSSTFPTWMDMLNGMVELELSGCKKLEKLPALWQLPALEILRLEGLESLHCLCSGAATAVTFQKLKVLTLVEMPKFEAWLDTDVVQGEETIFPKVEELEIRECGSLTALPKAASVITESSGGVDTKCRSAFPALRNMTLRSLNMFDRWEAVEGTPGDGVTFPRLEELYIWSCASLATLPKGSLMVEQSFGGAETVCRRSAFPALRKLELRGLSALEKWGAAEGTPGEEVTFPQLEDMTIDECPKLTYLPEAPKLSGLAIKGEGQQQISLQAASRCIPSLSSLRLDVSPDDTETTLLHVKQKWDHELPLADMSLGRCDLLFSSHPGALALWTCFARLVDLAILNCDALVYWPVNVFQVLVSLRRLWILRCSKLTGHTQASDGQSAPEQGGLLPRLESLQIGYCTSLVEVPNLPASLKELVIGICSDNIKSIIFSQHEYVMPVGGEGFVQPDTSSLIPGSSGSEATASTAVLKLSSAANHRSLPCLESLTIAMCHRLSEVANLPPSIKILKILDCDNLQSLSGKLHVVQKLSIACCDRLESLESCVGELRSLEELSLLHCRRLVSLPDGPQAYSSLRLLEIRGCHGIKLLPRSLRSRLDCLEEKNLDARYEETTWKRAIRTLACSK >PVH64338 pep chromosome:PHallii_v3.1:2:40680014:40681746:-1 gene:PAHAL_2G240800 transcript:PVH64338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSILVTILSPVSVANISSCKALVPSNLLEALYRGYLLILHILEKKKFVLGNPTSSPTILCQTCALVHAQRSLLSSPSEVPSLPAHPASFEPSQPPTLVTTLHPSDKD >PAN13046 pep chromosome:PHallii_v3.1:2:46055651:46058065:-1 gene:PAHAL_2G310000 transcript:PAN13046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAAIFATAVLAVLFAAPALAQTSSPPAPAPMSLAPTPAPAPAPHYVDLAELLSVAGPFHTFLNYLEKTNVIETFQSQANNTKVGITIFVPKDSAFAALKKSTFSNLTSDQLKTLLLYHAFPMFYSLAEFKNLSSLNPVNTFAGSPYTLNLTDDMGSIYVQSMWSRPKIASSVYATKPVAIYALNKVLLPMQLFSKEPPLAPAPAPAPESGASDAPSPAAGKAGGLTGGKGDSTSAAHNVGAVSFTNSLLLAAAGCLMLLW >PAN15308 pep chromosome:PHallii_v3.1:2:56363737:56365398:1 gene:PAHAL_2G479300 transcript:PAN15308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFVSRFSAAAASQRLAGKVAVITGGASGIGKATAAEFVRNGARVVLADVQDDLGHAAAAELGADAAIYTRCDVTDEAQVAAAVDLAVARHGRLDVVFNNAGIGGNLAPVPVGALDMEDFDRVMAVNARAVVAGVKHAARVMVPRRGGSIICTASTAGVVGGVATAPYSVSKAAVVGLVRAVAGELAQSGVRVNAISPNYIPTPLVMGAMAEWYPGMSVEERKRVVERDMNEMDGPVLEVEDVARAALYLASDESKYVNGHNLVVDGGFTVGKAPNMPKPAQ >PAN12352 pep chromosome:PHallii_v3.1:2:42656493:42659182:-1 gene:PAHAL_2G261600 transcript:PAN12352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSKPKDAKGKGKAASSGGDDAGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKVAQEFSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGAVSAPFKSTHGYHFILCEGRKN >PAN13742 pep chromosome:PHallii_v3.1:2:52707523:52708246:1 gene:PAHAL_2G417800 transcript:PAN13742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHWDWTIGASETNQQARSPASQEAEALRGRQGNNSAQLLKRTEELPPRRASPEGFSRREDSRERL >PVH64849 pep chromosome:PHallii_v3.1:2:49654920:49656579:1 gene:PAHAL_2G368700 transcript:PVH64849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTMTTGQEDFLLLLLLPTTSPLPPLLAALVLVAVLLWLSPGGPAWALSRSRRPPSGPPGVVTALSSPVAHSTLAALSRAVDGGAALMSFSVGLTRLVVSSQPGTAREILVSPAFGDRPVKDAARHLLFHRALGFAPSGDAHWRGLRRLAARTWRLLEEMVTEGYDLLRTFNWADHLPLLKWLDLQGVRRRCNRFVQKVEVFVGKIIQEHRERRASGGVADEITGDFVDVLLGLEGDEKMSGSDMIAEMIFRGTDTVAILMEWIMARMVLHPDIQAKAQAEQDAVVGRGRGETLHMHPPGPLLSWARLAIHFAHVGGHLVPGGTTAMVNMWAIAHDTAIWAEPEAFRPERFQEEDVSVLGSDLLLAPFGAGSRVCPGKMLALATTHLWIAQLLHRFEWAPAAGGGGVDLSERQNMSLEMATPLVCKAVPRAQA >PVH65391 pep chromosome:PHallii_v3.1:2:56516225:56516877:1 gene:PAHAL_2G481700 transcript:PVH65391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRAVLEVLRSASRDAFQVAFSFAARPPVSTMIKPAITKPLHDNN >PAN09587 pep chromosome:PHallii_v3.1:2:2470220:2474200:-1 gene:PAHAL_2G035400 transcript:PAN09587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARKLIVEVVEARDLLPKDGTGTSSPYARADFDGQRRKTRTVTRDLNPAWNEALEFDFPAAGVGVDPVAGEPLEVAVLHDVRVGPSRRNNFLGRVRLDARQFVRKGEEALIYFPLQKKSLFNWVRGEIGLKVYYVDVPLAPPEPEPEPPAAGDSAPDAAAAEAEAPPPPADAPKEASADEPPKAEPPADAAPAQQPEPAAEAAGGDGSTAEKPPEADPAAATPAPEDAPVMTAEAVAPPEEKPPEEEPVLSQPPQPTPTPMPRQVSMPVRRPQPPPPPEEPMERSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVAAGGIHASTREARRGAFFEWDQTFAFVRDPDTDSPGPTLEVSVWDLPPDADVSVADDRHFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLGGADLMVATWAGTQADEAFADAWKADSPAATSAAVAATSRAKVYVSPKLWLLRLTVIEAQDTLTAPPPRDAGIAVRATLGFQALKTRTTPVARNGGPAWNEDLLFVAAEPFTDDDCLVISLEVRHGKEAFPVGSASISLATIERRVDDRKVASKWLDLLPSDEAAKRVGKKAAMHMHGGRLHVRVCLDGGYNVADEPSYACSDFRPSARQLWHPPLGVVELGIIGCKGLLPMRTADGKGCTDAYAVAKYGPKWARTRTIADSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPAQPSDGGGKDAAAAACSRPMGKVRVRLSTLELGRVYRGLYPLIMMLPTGAKRMGDVELAIRFATSASTLDVLHTYGRPALPAMHHLRPVPAVHREALRLAAARISAAHLARSEPPLRREVATWMLDAAEPRGFSMRKLRANWNRAAAALSWVADAARWVEDTRSWRNPTATAMAHAVLVLLAWHPDLVVPTVTLHVAAVGVWKYRRRPRAPAAHPCVRASMAEAPDREELDEEFDTIPSARPPEVVRARYDRARMVGARLQQMVGDVATQAERLQALVSWRDPRATGMFVALCVLVAMVLYMVPIKMVAVVAGFYYLRHPMFRDRMPAPVINFFRRLPSMSERIM >PAN14401 pep chromosome:PHallii_v3.1:2:52052092:52060599:1 gene:PAHAL_2G406800 transcript:PAN14401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGDRGEGHRRPGRSSSFGGHRGGGVGGAGKGGGGSSGQPPLSSNRSFRKAGNGHGGHQRVVSQPDTTGFQPAPAPVSHQTPTRPPVAPQNAAAHVPVPAPRPQHHDPQLSSSSPASEKPGNAPLPKATHAAPRAPPKSSNPPVPQGASKGESSKGFNFQFGSINMNGLPQFPARTSSAPPNLDEQKRNQALLEELKVTPPGPMQPAPKQQPSQQQLHQQKQQQQQQPQQLLQQSQQVPQQPQQQQSRKDAVGSSQPTSVNPHLPSQLKRDVHASPSVPNVASLRPTVQPMPGVQMSIPFHHQPAPVPLQFGGHGPQLQPQVVPSSLQMSMGLTGSNAPQVPQQLYAPTIQHHQLQQQAMMHQGQGMSYQFAPQLGNIPMNIPPQYPQQQPNKFVAPRKTTVKITHPDTHEELKLDKRMDSSGQRAAPNLTSQSQSQPVGGYAPHIGFFHPPSNSYNQSGIYYPPTTGVSQVPTGSSGPRFNYPVTQSGQAITYMSPSAGPPVSGQSQMTVKPHPGGLQAEKSGTHPVTISAPPGKSDAPKLRPAEDAPASRQKDSEVVSGITVSNKSAHEKETKALLVSEKNPTVVSLLPTEGTKPPTSVTANSALSLSGADLKNKESIQRSGSFKDNKKIVIKKDARNSSEPQHSASPTEDDSGDHVETKNLNKELDLNSSSSGTAAPVPESRAGTAEADSIPVNAADIPGTDRSSATPTSEGTSEPQGAESIAVSAVECEESKGALKVTTDASKDNISCDATERETPEVCAVTSNTDNSDAAPHETDQEQLPKESTPSVPEEQGMMSSSSKNSETSSHILDGSAVAVTTSETSEPTVQGASDGDSDISPETGPAASNVTQISSEGQQKSESMSSDQSVAATTGSVRPVSREKPIVEITRTKSTAGKRKKRKEMLSKADAAGISDLYNAYKGPEEKSEIISTAEGADSSSTVDTTHVLPDEQEMEVSSSADDSKKKVEPDDWEDAADMSTPKLQSDSGNQAGTTKVLEADTTEGNGRKKYSRDFLLTLSQHCTGLPVGFQMNEAVNAIMNNLTGKSYVVDREPHPSPGRGSDRPTSRGDRRGAAMADDRWTKGVPLSPGRDMDLANGPSIINYRGGPGGNHGVLRNPRGQPGGGLLLGPMHSVGPQVSRSGSDADRWQQKGLMPSPVTPMQAMHKAERKYVIGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKEVNIDSVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLFGNYDDPDEENIEALCKLMSTIGEMIDHVKAKEHMDAYFDIMQKMSTSQKLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQSSRLGRGPAVSSVPRRGAPPMDYGPRGSSALASPSSQQGSIRGMPPHSRGFGSQDIRFEERHQFDSRTVPLPQRAVKDEAITLGPQGGLARGMSIRGQPPVSNAELPSVVDHRRIVSGSNGYNSLADRTSGRTPASSQSAGPSQRPASQEGRSGNKSYSEDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLAKLFVSLCSGRHNLLSERQLIDGLASVLASLEDTLSDAPRATEYLGRLLARFVQENILSLQEVGKLIQEGGEEPGYLVQDGMAADILWAVLDSLRLEKGDSFLNEVKSSSSLKLEDFRPQHLKRSKLDAFM >PVH64234 pep chromosome:PHallii_v3.1:2:37174327:37174852:-1 gene:PAHAL_2G219500 transcript:PVH64234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGGQGRQMGRLNRAFREKRARFYIFRRCVVMLLRWSD >PAN13805 pep chromosome:PHallii_v3.1:2:49457675:49458205:-1 gene:PAHAL_2G365000 transcript:PAN13805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNEPVAASGAHGGVSLDLRLDDLSPRRRRSPAAGVDDRRPPGEAFACNYCHRRFYSSQALGGHQNAHKLERTLAKRSRDILAAPAPPPSPAAVFRSATPRGDDNRDDGFLLPATYTSTRSAGAEDATAQQAAPPPTPSVVMDMAGWGAEADGGCGRLGLGHGRNGEEIDLSLKL >PAN13075 pep chromosome:PHallii_v3.1:2:46224157:46226915:1 gene:PAHAL_2G312600 transcript:PAN13075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVPKDLNLPAAQPQPTRTPPPPPPQMSSPGLLRYRSAPSTLLGEVMCGDQDFSGAAAAAGAAGHCPEHVAADNVLARFLAGHHAEIPDCKPTCPTAAAHFMEDAASMAASQQQLMYQSQQQMSAMEGLYRNVSSGGTEHGAAAGAGGSSLLRQSSSPAGFLNHLNMDSGYGNMLRAGMAGGFRNGVVSDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAGNNGAARGYSGIPGYPMGGSSGSWTDEQSPTSSGAKRPRDSGPAPQNGQRQQPLAPQLSLPGGSNGVGKQSSAEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTADMLDLAVDYIKDLQKQVKVLNDGRANCTCSAGKLLQNQFAS >PAN12712 pep chromosome:PHallii_v3.1:2:44499919:44501475:-1 gene:PAHAL_2G285600 transcript:PAN12712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALSFPVIDMGLLGGEERPAAMELLRDACENWGFFEILNHGISTELMDEVEKLTKDHYKRVREQRFLEFASKALEDGGDDARGVKAENLDWESTFFVRHLPESNLAELPDLDDGYRRVMKRFAGELEALAERLLDLLCENLGLEKGYLARAFRGPRGVPTFGTKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVMHRVVAQPDGNRMSIASFYNPGGDAVIFPAAALVKAEEAAEAYPKFVFEDYMKLYMRHKFEAKEPRFEAFKSMETESSNRSIAIA >PAN10334 pep chromosome:PHallii_v3.1:2:6382933:6384546:-1 gene:PAHAL_2G087900 transcript:PAN10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKGSKKSKRRKKIEIKPIEKEGARQACFSKRRQGLFKKASELSILCGAMVAAVVFSASGRSFSFGHPSFDDVVNRFLNPVATDAPAPGGASHGNEGSVTDGVSKLNMEYLELEQSLEAEKKRKERLQEATEKEMGGRVMQWLNANIFELGLDELLEFQTKLEEIQAIVKEKVNEVMVEGRQTPRSLPQPPMEVASTSQSANPNPMASSSAPSSSIALIDGFQVNDDPLLSGGVVHGVGGLGNFPNKPKSCMHQRKVDLVYVCVVVPQHVACIGNVDVSMCGVVLPAICCGLST >PVH65068 pep chromosome:PHallii_v3.1:2:52579943:52583461:-1 gene:PAHAL_2G416400 transcript:PVH65068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDEPRTPKPADMRSRGAHKHWCKVCTKNFPSGRALGGHMSCHRFAGMQPRSTPSPPVIVVDLPVSLLDSSDEKPSLTSLETKCLHCSKEFSTCQSLRGNMQMHSAKKVMTKPDEEPAGLMGASANANGYHGHKVMLFSPVKRKRSKRGMPALDSEEMCAAATLLMLAEYSDKSSAYDNCCRGDNNDNISTPNLLKEVNLNAFDQLSQSDEFLNNTRPKSDKNSAFEGFYDFSEKENNLNLAADVPKKMVLLNVFDDGLVDGDAELMKPGADISVDEVKYGSLSAAVNIKRYQCKVCRKLLRSEYALGCHMRLHCEKENSLNLVPKKPAQLTVFDHGLDVDAEFMKPGVNNSIEDLKSGDLSAAMNIKSYQCKVCGKLLRSGRALGGHMTLHLHRGQNTLNLVADVPKTEVLLNVFDHGLDADAEFMKPGADISVEELQSGVLSAAVNIKRHQCKVCGKLLRSGRALGGHMRLHYVQKCNLDQGVADCPNSAMMEEQMQKLGLDSPILYRRRPRSLGSEI >PAN10931 pep chromosome:PHallii_v3.1:2:11064016:11071490:-1 gene:PAHAL_2G125800 transcript:PAN10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKLCKQPSGRLIESLKMERMRNILTHRYPYPHEHSRHFMIAVFACWVFFISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFNRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHIIFLGLWYLGLVSRMAEKKPELLTIIQNCAVISIACCVFYSHCGNRTVTRDKSIDRRTASWIAFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANSHDLLYDHLDEKDELWFDFIADTGDGGNSTYAVARLLAQPLLVIKSDDSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRRYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCRQKVGESDSVIVITHEPNWLLDWYWGDNTGANVAYLIREYLRGRCKLRMAGDLHHYMRHSCVESKEPVHVHHLLVNGCGGAFLHPTHVFENFRAFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRVNGFFVAMWNAIFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHITSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRARLEHWTFGLYPACIKYLMSAFDVPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYVCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPFQMSYTRKFPSKWRSASGLDPINAVRIIDRFVIPRTPPSPATPGGSVR >PVH63872 pep chromosome:PHallii_v3.1:2:11064064:11072318:-1 gene:PAHAL_2G125800 transcript:PVH63872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKLCKQPSGRLIESLKMERMRNILTHRYPYPHEHSRHFMIAVFACWVFFISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFNRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHIIFLGLWYLGLVSRMAEKKPELLTIIQNCAVISIACCVFYSHCGNRTVTRDKSIDRRTASWIAFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANSHDLLYDHLDEKDELWFDFIADTGDGGNSTYAVARLLAQPLLVIKSDDSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRRYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCRQKVGESDSVIVITHEPNWLLDWYWGDNTGANVAYLIREYLRGRCKLRMAGDLHHYMRHSCVESKEPVHVHHLLVNGCGGAFLHPTHVFENFRAFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRVNGFFVAMWNAIFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHITSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRARLEHWTFGLYPACIKYLMSAFDVPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYVCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPFQMSYTRKFPSKWRSASGLDPINAVRIIDRFVIPRTPPSPATPGGSVR >PAN10932 pep chromosome:PHallii_v3.1:2:11064015:11072933:-1 gene:PAHAL_2G125800 transcript:PAN10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKLCKQPSGRLIESLKMERMRNILTHRYPYPHEHSRHFMIAVFACWVFFISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFNRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHIIFLGLWYLGLVSRMAEKKPELLTIIQNCAVISIACCVFYSHCGNRTVTRDKSIDRRTASWIAFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANSHDLLYDHLDEKDELWFDFIADTGDGGNSTYAVARLLAQPLLVIKSDDSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRRYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCRQKVGESDSVIVITHEPNWLLDWYWGDNTGANVAYLIREYLRGRCKLRMAGDLHHYMRHSCVESKEPVHVHHLLVNGCGGAFLHPTHVFENFRAFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRVNGFFVAMWNAIFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHITSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRARLEHWTFGLYPACIKYLMSAFDVPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYVCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPFQMSYTRKFPSKWRSASGLDPINAVRIIDRFVIPRTPPSPATPGGSVR >PVH64289 pep chromosome:PHallii_v3.1:2:38926432:38926803:-1 gene:PAHAL_2G229700 transcript:PVH64289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWVKYLLFITGFLFCMIIERASSELKNETFPFSSFFCLMKYLLFNEFLDILKHTIVISPLFIISLFKVPSINQANKFINVTQLFLRILHHFLHFTSLFDSCYSYFPPSDKYYEINSLYTLH >PAN12265 pep chromosome:PHallii_v3.1:2:41948918:41952602:1 gene:PAHAL_2G254100 transcript:PAN12265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKRDVDAYTIKGTNKVVRVGDCVLMRPADTDNPPYVARVERMESDGRGGVRVRVRWYYRPEEAKGGRRPFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFYCRFDYKAGSGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKIDHYMCSDCAKENGAKRTSNSYPVPPNSDSKIEAKRRKR >PAN15451 pep chromosome:PHallii_v3.1:2:57056178:57061353:-1 gene:PAHAL_2G489100 transcript:PAN15451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLRPPAWCCALLALALVLGDPAAARFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANGKACKHFADFDLSFKPKPGGLPVFLLVDRGDCYFTTKGWNAQNAGAAAVLVADDKVEPLITMDSPESSGTEHMENITIPSALVTERFGDDLRKALQNGDMVNVLLDWRESLPHPDERVEYELWTNSNDECGAKCDMQMNFVRSFRGIAQALEKRGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSIGYDGKDVVLQNLIQICVFKVANESRKPWMWWDYVHDFAVRCPMKEKKYTRECANDVIKSLGLEFEKVNKCIGDPEADKENPVLKAEQDAQIGHGSRGDVTILPTLVVNNRQYRGKLEKSSVLKAVCSGFEETTEPDICLREDIETNECLEHNGGCWLDKATNVSACKDTFRGRVCECPVVNGVKFVGDGYTHCEASGLGRCQINNGGCWNETRNGKTVSACSNEEAKGCKCPTGFKGDGVNSCEDVDECKENLFCKCKNCACENTWGSYECSCGGSNMLYIREHDTCISKHSTSSVGWGFLWVIFFGLALAGAGAYAVYKYRLRSYMDSEIRAIMAQYMPLESQEMPNQHRPVEHADI >PAN10297 pep chromosome:PHallii_v3.1:2:6192819:6194038:1 gene:PAHAL_2G085300 transcript:PAN10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPCDGAPPQAPVAVVSPQFCAPHAVPLTVVKKAISLSGGDFVATDANGAEMLRVKGAVFSIHDRRVLRDAAGQPLVAMREKVFSMHNRWEVFRGDSTGTSDLLFTVKKASVFQLKTDVDVFLAGNAAQQACDFKIKGSYFERSCGLYLGNSDTMIAQINRKYTASNVVLGKDTFVVTVFPHVDYVFIAALVVILDEIHKERFD >PAN11155 pep chromosome:PHallii_v3.1:2:33801494:33804361:-1 gene:PAHAL_2G204200 transcript:PAN11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPYTFLKPHIEHLWFQRSLVSPPPPPFTKEALPLLSLLPPSHDYNHYHNKDQLWKKEKGVMEGVDIKLRIGPPSPNRDFPLNLAKSATIASAGDNKVEEYEELGLEGGTAGDGRCLEYFAIGKLTKGNYWIPTPAQILFGPTLFACPVCCKTFSRYNNLQMHMWGHGPQYRRAPGSLRGAQPAAMLRLPCFCCAPGCRNHVDHPLARPLKDFRTLQTHYRRRHCARPFLCRRCGKALAVRGDWRTHEKNCGRRWRCTCGSDFKHKRSLKDHVRAFGRDHVEEHPSAGVVGSNHGGAGEDPGPGGQM >PAN14561 pep chromosome:PHallii_v3.1:2:52819134:52821170:1 gene:PAHAL_2G419800 transcript:PAN14561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFASCLSHGAVQVAQSSSSGGQNMVQCAYLARLRGKTCRVTVTWSKVAMGQALAIAIHDYSNRCLCKTEVKPWLFSKMKGSKVMELDGGNVEVIWDLSSAKFAAGPEPVEGFHVALVHDLEAVLVLGDLRKEEHQVLSDASHSDAVMIARKEHIYAKKVYSAKARFVDIGQLHHISIECDTAGVRDPSLEIKIDKKKVLQVKRLAWRFRGNQTIYVDGLPVEVLWDVHDWLFTSSSGCAVFLFQSGQSMEKFLLRTCSQNGKEARTHRLGFTLILNAWKTE >PVH63458 pep chromosome:PHallii_v3.1:2:2290518:2293447:-1 gene:PAHAL_2G032300 transcript:PVH63458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTAMLSMAMAAILAIFILSSVVPPRGRRKALNLPPGPRGWPVFGSLGVLAGAVPPHRVLAALAARYGPLMHLRLGSYHTVVASSAETARLVLKTHDLAFADRPPTAAGEITGYGYQGIVHTPYGPYWRMTRKLCATELFSVRRVDSFELVRAQEMRALVRGLFRCAGRAVAVREHVAGATLRNILRMAVGEKWSGCYGSAEGEAFRSTLDEAFAATGAVSNVGEWVPLLGWLDVQGCARKMKRLLELHDRFYEKIVDEHEERRLRADAAGEFVASDLVDVLLQLAEEDRQESEARLTRVSVKAFIQDIIAGGTESSAVTIEWAMSELLRHPEAMAAATDELDRVVGRGRWVTERDLPDLPYIDAVVKETLRLHPVGPLLVPHHARKDTVVAGYDVPAGARVLVNAWAIARDPASWPDAPDAFRPERFLGGASAGVDVRGAHFQLLPFGAGRRICPAYDLALKLVAAGVASMVQGFAWRLPDGVAPEDVSMEEHVGLSTKRKVPFVAVAEPRLPAHLYDDAADWSDLFLHDWRTFSPGENISRHVSSDLAA >PAN10304 pep chromosome:PHallii_v3.1:2:6232312:6233776:1 gene:PAHAL_2G085900 transcript:PAN10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPFPAPPPPQPSGGIVGPLPVVAPHFCAPYVVQLNVQEQFGLREGDFLITDTNGAVVITVKGAFISIHNRRVLFDAYGNPLLCMKEKVISMHNRWEVYRGDSTKSSDLLFTVKKSSIIQPFKTEMYIYLASNTSHEVCDFKMNGSFNERACSFYLGNTNTLIAQMHRQHTATSVVLGTDHYSLTVFPNVDHVFISALVVILQELHTDKND >PVH64271 pep chromosome:PHallii_v3.1:2:38417761:38418478:1 gene:PAHAL_2G226100 transcript:PVH64271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQHPTSPTSGPRANGCPGSGRPLPVTSDSPPIRQPPSPLTPGPTCVATLLSVSESICWPAHYWPQASLAVIKGY >PVH63883 pep chromosome:PHallii_v3.1:2:11667249:11668069:-1 gene:PAHAL_2G130400 transcript:PVH63883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEKPASGLFLSECSQYFQSCDPAPSSCFYCFLQMGIINSHCQLVDPEGVRTELRHLKSLNVDGVIVYCWCGIVEAWIPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGDVLISLPNWIMEIAKENQDIFFTDCEGRRNT >PAN13829 pep chromosome:PHallii_v3.1:2:49562237:49565961:1 gene:PAHAL_2G366800 transcript:PAN13829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRFLPHRRLFSTSSASNATPTLYSNGTTPFYLLSWGRGASGQLGGGKEERRLYPSPVAHLLLPDSDPRLAPTPGRLPSEGGTSGVEVGISCGLFHSALLVEGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLRDLRLLALGGIHSAALTTSGDVFTWGYGGFGALGHYVYHRELLPRQVKGPWEGKITHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVPVAAVACGGFFTMALTSDGQLWSWGANSNFELGRGSNFSDWRPQLVPSLKNIHVIQVACGGYHSLALTDEGEVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAISEKGDLYMWGNARDCQLGVPGLPEVQPLPVKVNFLRDGDEDLGPPHVISVAIGASHAMCLVSTQQIEK >PAN09855 pep chromosome:PHallii_v3.1:2:4008560:4012503:-1 gene:PAHAL_2G054400 transcript:PAN09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVILDPDEGTFEDCKDEATAIFFVDGVVDMRGIVSDWNAIAISWVFSIVEELVKHCHGRIIHCDASTYATGFREIRLMVDQSGEPVVLPAITPAEHFSVIVHPVASGLPYIAYLLESMEAFHGNRVIGITGICTDSQSDGMHYCIRIVEELKRNENAMEVVSSGWIYGQKQYAMDIVLTHLNTEFSDLLPQRSCYWNFHSRCKLLRWPLVVRHSGSSSFQNGSSI >PAN09856 pep chromosome:PHallii_v3.1:2:4008720:4012503:-1 gene:PAHAL_2G054400 transcript:PAN09856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVILDPDEGTFEDCKDEATAIFFVDGVVDMRGIVSDWNAIAISWVFSIVEELVKHCHGRIIHCDASTYATGFREIRLMVDQSGEPVVLPAITPAEHFSVIVHPVASGLPYIAYLLESMEAFHGNRVIGITGICTDSQSDGIDYCIRIVEELKRNENAMEVVSSGWIYGQKQYAMDIVLTHLNTEFSDLLPQRSCYWNFHSRCKLLRWPLVVRHSGSSSFQNGSSI >PVH63944 pep chromosome:PHallii_v3.1:2:13428572:13429390:1 gene:PAHAL_2G141500 transcript:PVH63944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGSRGFRLSRTKTEYMMCDFSPTRHEDGDVSLKGQVVAKKDTFRYLGSMFQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVVEMRTLRWFCGHTRRDRVRNGEIRDRVGVAPIEEKLIQHRPPEALVRSGVLKRGDNIRRGRGRPKLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN15170 pep chromosome:PHallii_v3.1:2:55699809:55701991:1 gene:PAHAL_2G469500 transcript:PAN15170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTWTMAASTAPCLLLALLLVAGHGVAGASGIRVDVIRLPSAPPFPAFREAPAFRNGDECPPRGSPEGRVDVAMTLDANYLRGTMAAVFSILQHTACPESVAFHFLAARRDGPDPLAAIRATFPYLDPTVYRFDPSRVRGRISRSVRHALDQPLNYARIYLADTLPADVRRVIYLDSDVVVVDDVHKLWSVDLGGHVVAAPEYCHANFTKYFTDAFWSDPELSATFRGRRPCYFNTGVMVMDVAKWRLGGYTRRVEEWMAVQKQKRIYHLGSLPPFLLVLAGDIKAVDHRWNQHGLGGDNMEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDYLWAPYDLYKAAATALEE >PVH64327 pep chromosome:PHallii_v3.1:2:40357444:40360717:1 gene:PAHAL_2G238200 transcript:PVH64327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLVNITLVLSDFLKTLTKHFTDIFQGGKGITDLKPVLQSIFGENLVEKEEFLQTFSKECEYIRDVVTNGNAIKHEGTTESDPTVEIVRVELQGAAAFLYSRLVPLVLLLVEGSTPIDIGEHGWEMLLVVKKTTQESVPKFQLLGFAAVHNFYHYPESTRLRISQILVLPPHQGEGHGLRLLEAINSIALSENIYDVTIEDPSDYLQYVRSSIDCLRLLTFDPIKPALSAMVSSLKETNLSKRTCSLRMVPPADLTETVRQKLKINRKQFLRCWEILVCLSLDSEDRKSMDNFRACIYDRTKGEILGGATGTNGKRLVQMPSSVNEEESFAVFWTQDCEDADDQTVEQQPEDLKTQEEQLNELVDNQMEEIVGVAKNVASRGKDKLAELLAR >PAN12344 pep chromosome:PHallii_v3.1:2:42619539:42621751:-1 gene:PAHAL_2G260800 transcript:PAN12344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAASWRLLAPTSSSPPRFLQVPKRKATFAASPRHSARSRLPCLLHDKPAPPAPENTQLQRLAAALQWAAVWAAVEAPAALAVTGEEDIDLLGILPPIAAFAFFYFLVCPPLIMNWMRTRWYKRKFVETYLQFMFTYLFYPAMMFWAPFVNYRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIDS >PAN14895 pep chromosome:PHallii_v3.1:2:54699381:54706727:-1 gene:PAHAL_2G451800 transcript:PAN14895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRKYAMSNQAPDIPQILLEAQNRWLRPTEICQILSNYKKFSIAPEPPNRPPSGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEIKGGKQNFNRAKEAEENAGLSADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETDNCRASSRYHPFTEMQQPVDGIMMDKLLGASAPSVSVNNLGYLGEMQPRPANFNNHFVTHNDVSTAFNETTAGLRGGPRTSIDSVRFAEPYPEYPGGFTEPTLYSSVATVGNNLDDSLQTFMSEALYTNNLTQKEVDALSAAGITSSQADNDGYTDQGARYPLLKQSSLDPFKIEPDGLKKFDSFSRWMSNELPEVADLDIKSSSDAFWSTTETGNVADGSSIPINEQLDAFVVSPSLSQDQLFSIIDVSPSWAYNGTKTKVIITGTFLAKKEDVENCRWSCMFGDVEVSAEVLVDGSLRCYTPVHHSGRVPFYVTCSNRVACSEVREFEFRDSETHYMDTSDLHTTGINEMHLHIRLDKLLSLGPEDYEKYVLSNGNKSELIDTINTLMLDDKLSNLALPSDEKELSTVRDQNLEKQVKEKLYYWLIHKIHDDGKGPNVLGKEGQGVIHLVAALGYDWAIKPIVAAGVNVNFRDIRGWTALHWAASCGRERTVGALIANGAASGALTDPTQQFPSGRTPADLASENGHKGIAGFLAESALTSHLSALTLKDSQGGNVEEICGLAAAEDFAEPSSAQLACVDSQAESLKDSLGAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDERTLSLISLKNAKPGHSDMPMHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKNYRKVVWSVGIVEKVILRWRRKRRGLRGFQPEKQLEGPSSQIQPAKAEAEDEYDFLKDGRRQAEGRLQRALARVHSMTQYPEARDQYRRLQNCVNELQESQAMQDRMLSDSAGADGNDLMAELEELCRGDGDAPMSTIS >PAN12653 pep chromosome:PHallii_v3.1:2:44194250:44196601:1 gene:PAHAL_2G281300 transcript:PAN12653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHKIETGHQDVVHDVAMDYYGKRIATASSDNTIKIVGVSGTSHQQLATLSGHQGPVWQVAWAHPKFGSLLASCGYDGRVIIWKEGGKPDEWVQAHTFTEHKSSVNSIAWAPHELGLCVACGSSDGNISVFTARADGVWDTTRIDQAHPVGVTSVSWAPAMAPGALITAGASGQFEYVQKLASGGCDNTVKVWKLQNGNWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTEAKEGEQWVGRILHDFKTPVWRLSWSLTGNILAASDGNNNVTLWKEAVDGEWQQVTTVEP >PAN12654 pep chromosome:PHallii_v3.1:2:44194381:44196601:1 gene:PAHAL_2G281300 transcript:PAN12654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHKIETGHQDVVHDVAMDYYGKRIATASSDNTIKIVGVSGTSHQQLATLSGHQGPVWQVAWAHPKFGSLLASCGYDGRVIIWKEGGKPDEWVQAHTFTEHKSSVNSIAWAPHELGLCVACGSSDGNISVFTARADGVWDTTRIDQAHPVGVTSVSWAPAMAPGALITAGASGQFEYVQKLASGGCDNTVKVWKLQNGNWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTEAKEGEQWVGRILHDFKTPVWRLSWSLTGNILAASDGNNNVTLWKEAVDGEWQQVTTVEP >PVH64703 pep chromosome:PHallii_v3.1:2:47846350:47847680:-1 gene:PAHAL_2G337700 transcript:PVH64703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRARPRGTFADAMRESSPPAAAAPAPAAAAAVKEDEWEVRPGGMLVQKRSPDADAPAGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKMLSAKTGLHPEDQKLVYKDKERDSKAFLDMAGVRDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALETIVGKGGKVVDADVVTLTEALMNELVKLDSIAADGEVKVQRRMQEKRVQKYVETLDAIRAKNAAGAPRASGNGAANANGHAKARAPHLPPRPPPVSQRRNFQQQPAPAAASAAPPTQSWETFDLLSSVPSTSSAGVTTTMAAATTTSPAAATASPIPRFDWELF >PAN13450 pep chromosome:PHallii_v3.1:2:47845199:47848464:-1 gene:PAHAL_2G337700 transcript:PAN13450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRARPRGTFADAMRESSPPAAAAPAPAAAAAVKEDEWEVRPGGMLVQKRSPDADAPAGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKMLSAKTGLHPEDQKLVYKDKERDSKAFLDMAGVRDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALETIVGKGGKVVDADVVTLTEALMNELVKLDSIAADGEVKVQRRMQEKRVQKYVETLDAIRAKNAAGAPRASGNGAANANGHAKARAPHLPPRPPPVSQRRNFQQQPAPAAASAAPPTQSWETFDLLSSVPSTSSAGVTTTMAAATTTSPAAATASPIPRFDWELF >PAN13459 pep chromosome:PHallii_v3.1:2:47895530:47899040:-1 gene:PAHAL_2G338400 transcript:PAN13459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGDGKGRVAAAAGGYGYGYGGYEGPEERKWWPWLVPTVIVACIAVFVVEMYENNCPKHGSQLGDCVAGFLRRFSFQPLRENPLLGPSSSTLEKMGALDWNKIVHQHQGWRLISCIWLHAGLIHLVVNLLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELLMNWTIYSNKAAAIITLLFIIALNLAIGILPHADNFAHIGGFATGFLLGFVLLARPQFGWMERHELPQTSQPPKYKAYQYVLWVVALILLLVGFVISLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >PVH63607 pep chromosome:PHallii_v3.1:2:4936755:4937910:-1 gene:PAHAL_2G067200 transcript:PVH63607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRPHHPLPRALGAARQARPLRHLRLRPEEPRQAEARRPGGQAAHPSRATAPAARRGPPGRRRVDGRRAAGEGRAPQGRLRRPRRALRRVPRRGLRRRGDRRRARQEAGLDRPRLRAALAPAYRRRAPGAVRGVLHLPRGLRRVHRPQGAQRRAPEVRGGGLHRPAAVDPFPAVPSRVPRPRGRVDRRGVAAQRLGRLRHSPRLGDDGALPAPRVPLQPRGRRPALRPPRRPLRQARPPLRPPRAARCRHKRFLGCRRRRSQ >PAN14351 pep chromosome:PHallii_v3.1:2:51830354:51833408:1 gene:PAHAL_2G402600 transcript:PAN14351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPRLPSPLAALPLLCLLLLAAPLPSLSRDAPRDAVSGAARAGSTIHQLLKDHGLPGGLLPRGVQSYTLDESSGLLQARLSAPCYAKYDNGDLAYFDTVVRGNLSKGALRGVEGLAQEELFVWLPVKGILVAGEQPGVIVFDIGYAHKSLSRSLFEDPPDCKPSAAAGMAAAAARWKDRQGVPHLRLRRGGGDSQQRQEQR >PAN15058 pep chromosome:PHallii_v3.1:2:55217207:55219613:-1 gene:PAHAL_2G460900 transcript:PAN15058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPPAVASPASEQAKSKKKKHKSKDAAAATATDPPSLAEAEEKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEHLRYGVINLDKPSNPSSHEVVAWIKRLLRAEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVVRFHASVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWSLDNYKDETYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKLIAEGLLDKHGKPNEKTPAEWLRNVVLPTGGDVSIASIAAAPEPEKAKVGQDAVGGEEVKEKKKRKKDEETDDGDATVPAKKIKAEEAAETVEGEKNEKKKKKKKDKAESGSVEVKEEKADVADDKDGSEKKKKKKKSKEGSDAADPEVAQTGDGAEAEKSEKKKEKKKKKSRETEETQ >PAN12809 pep chromosome:PHallii_v3.1:2:44947707:44947964:1 gene:PAHAL_2G292600 transcript:PAN12809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKVSWASILIIMIIMSGGEARRLMVIEETRTTGEACAGGCRPSVQGRAGLAVTTTKMATIDSRPTPPGHSPGIGNKIAGNTR >PVH63369 pep chromosome:PHallii_v3.1:2:1030198:1032315:1 gene:PAHAL_2G015000 transcript:PVH63369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPRPSSSSAQRNRPPLPFPLPSTLDCGSQSGGDEVISQETGPKSKSGRANWNHQMIVYLIGLLKDHDVPRFRTNNAWSKEAWKSITEQFNKKFSTLYSVSHVKQKEQDMKKEYRVVKDLSAESGFGWDPDRMMVTAPDVVWKSLEARRNKEALLRWRDKSFPYYNDLFALYDGRYAEGRSCRGMDHYSNREKQPVGVAGSDSSLNFISEEGGSKDETNWFGTDAFSQFSDQANDSAFLEALEGEKEQPFVDQTAFDSEQVPELPCINSRPSISTPQDPYSIKNCVSTLEGMAEELLMEEMIKAADIFKDNPPAREVFLSFTSDQFRLGWLRKQL >PAN10350 pep chromosome:PHallii_v3.1:2:6442698:6443126:-1 gene:PAHAL_2G088500 transcript:PAN10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMTILPALFILVLALQSPKVLQAKETSEVEQVALGICEVLCKDKAEKGPHCVEMCGLGQRANAAFLEGFTCTSKCPELKDPPAVKACEDGCQKKYEAGLAEVVKACHTICGMGETDPARIETCKKSCTSPAVASTPKRR >PAN10216 pep chromosome:PHallii_v3.1:2:5923913:5925073:-1 gene:PAHAL_2G079200 transcript:PAN10216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDRNLKLLHHPKSHAMKHGRRSVRRCRTFPPRLPDNDDILAEILLRLPPQPSTLPRASLVCRQWHHIVTDPNFVSRFRTHHGTPPILGYFHPSGGFVNAQEPLNRVPTSHFSLRRWCRRGNWSLIGSRHGLVLYGVWDRFAFVILEFMVVDPMTGDRSRIVNPHAHSMTTLVTAVVVSPAGGIDRRSFHLVMLFSHDYQPRVTASVYSSESGVWSASVATLVLPLSYSYFIYHPSTLVGNAVYWLLFEGPIIQFDLERHSLVIIEQPPAANVGSDMERHIVTAEEGHLGFAFLSEFSIQLWEREIEPNNTAEWVLHKTIPLEKVLSIELKHEHVKCVWIAGFSEESDVIFINTPYGVFTIHLKSMQFRKVRSGGAPHIHPYSSF >PAN13616 pep chromosome:PHallii_v3.1:2:48632144:48638576:1 gene:PAHAL_2G351300 transcript:PAN13616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MASSAGGESPAKPVLLHGDLDLWILEARLLPNMDLFSEQVRRCFAACRPPTSCGPKQPPPATRGASVGGGARHHHRKIITSDPYVTLSVSGAVVARTAVIPNCQEPVWEERFAVPLAHRAAALEFQVKDNDTFGAQLIGTVTVPADLVARGEEFEDWYPVIGTNGKPYKPDTALRLRFRFQPIAENPAYRHGIPGDPEQNGIKDSYFPLRHGGQVTLYQDAHVKEEDLPEIELENGKMFEHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVRERSPSRPLPDGGDLTLGDLLKFKSQEGVRVCLLVWDDKTSHDKFFIKTGGVMATHDEETRKFFKHSSVICVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLVDTQAWGNKRKVTAFIGGLDLCDGRYDTPEHRLFKDLDTVFENDYHNPTFSAGAKGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRDRFRRVSHWKDDALIKLERISWILSPSPNVPNDHPTLWVSKEEDPENWHVQVFRSIDSGSLKGFPSDSKEASKLNLVCRKNLVIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLIPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYKIIADELKAMDITDLHPQDYLNFFCLGNREEPTSNGSLESEKSTDKSAAALATKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHYAWSTKNGHPHGQVYGYRTSLWAEHLGMVDDRFKDPSSLDCVRFVNQIAEENWQRFTAEEMRTLRGHLLKYPVKVEADGKIGSLPDQECFPDVGGKILGAPTSLPDSLTM >PAN13881 pep chromosome:PHallii_v3.1:2:53956246:53957186:-1 gene:PAHAL_2G438200 transcript:PAN13881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTTMSSLVLVLALLLSCGGMSDAARRMQELPKPDVPPLPKPEEPMPEPLPVPPQPLPKPEEPKPEPLPIPPQPLPKPEPQPLPVPPQPLPKPEPMPVPPQPKPEPMPVPPQPLPKPEPLPVPPQPLPKPEPLPVPPQPLPKPEPLPVPPQPKPEPLPVPPQPLPQPELPVPPKP >PVH65251 pep chromosome:PHallii_v3.1:2:54424238:54425602:1 gene:PAHAL_2G446400 transcript:PVH65251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPSRPAATCSPPFSSSFRNSSTDQEELSGAYYIRSLVKKHLSSSSSSPARSQEDHHLNTMTNNTIPHDQQPQLQQEQSPVVLKPQMKKQARRRTHASRPYQERLLNMAEARREIVTALKIHRATMRQQPCTYQHHQEPLLLRQPPIQQEHQQQQGQVVFRDRSQAAVEEEAPLMAPTSYAASFADHQLRNPLGQWVAAATPAGSYYYPSSVRPYDPTPLEAPTAMGGLDQLARSLPAQPLGLNLSFQGFGGSVDGAKDCEDLFGVPSIRSSPPAASSYSAYSPPATETASGTHGSPALSTAEKYPPSVVDAPAAFVAPVLDDMETQPAGEMQQGVEWWGEATAADVAAASAWWSKILLESMEVGGGEVAEGGAAGCAADDVATTAAAAGLPAEWRWLCEVGVGAQGAVTGADGKTPDVLETTHADGDYSTCCYEGVRRSDDGGDGIAAFPW >PAN09362 pep chromosome:PHallii_v3.1:2:1164170:1173716:-1 gene:PAHAL_2G017000 transcript:PAN09362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYRLGVQLHGHADDVRGICVCGDAGIATSSRDGTVKFWTRSPEKESEYVLSKTLAGHSSFVGPLAWIPPSDRFSEGGIVSGGMDTFVFLWDLHKGEVVERMKGHNSQVTGLAVDTNGDIISSSMDCTVRRWRNGNAVEVWEAHKVAVQTVLKLPTGELFTGSSDSTIKLWKGRTCIQTFSGHADTVRCLAAMPGLGVLSASHDSTIKLWALTGQPLLEMIGHSSLVYSVDAHSSGLIASGSEDRSLKIWKDGVCVQSIEHPGCIWDAKFLENGDIVTACSDGTVRIWTTDTNRFCSDEELAAYKDLISQYTLSRKTVGGLKLTDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSAEFKWDKIGEVVDGPGDAAQGQVHDGVRYDFVFNVDIGDGEPIRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGGNAYVPGGQPSSSNGNASKQIFKHIPKSGMLSFETAQFDGILKKVTEFNAALSSDSEQKQLSLSETEMSRLPAIVKVLKETSFYHTSKLADADMALLVKILMSWPAKMMFPVIDFLRMFVLHPDGAALLLKTIETGNDVLMETFRKAVAPPVQPANLLTILKAVTNLFDSSCLHQWLRIHCAEIIDSVTNCKSSFSKNAHLAYATLLLNYAVLSIESKDEQSQAQILSAALEIAEDEAQDFDSKYRALVAIGSLMQKGLVKSLALDLDVKSVASSAKASMDSKIAEVGADIELLTR >PAN09868 pep chromosome:PHallii_v3.1:2:4042808:4045567:1 gene:PAHAL_2G055100 transcript:PAN09868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLDTHKDTCRQLEELKNMKYGAPWN >PVH64797 pep chromosome:PHallii_v3.1:2:49085784:49094185:-1 gene:PAHAL_2G358800 transcript:PVH64797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILASRKHYCINKLACMSDNIDEQCKLLLDDNVQGSSCSEFKNAQKLSRHPSLQIGGCYEVHDIEDLVRVGQKVKGCPYFAAQHMAEAAQLVFCPYNYLISPIVRRAMDIDISGSIIILDEAHNIEDTARDAGSVDVDEESLYLLQAELQNLATDEAAAMIYQPLHDVIQGLMGWISEREDNLQNHEFGHPASYWTGEKAMKELERAGITPTHFSVLQECATKAVKAASDTESDGSHLSGGRAMTLESLFSSLSYFFANNGRNSCDYQLALQRFVKKEGKDEISSKCTMSLWCLNPAVVFREIADLTLSVILTSGTLSPMGSFASELGVQFEACLEAPHVINVDSQVFAAVLSSGPTRRKLNASYKTADNSSFQDELGTSLEEICRIVPGGALVFFPSYKLLDKLQVRWSQTGQWARLNAQKHVFVEPKGSTEELEPVLKGYYDAILGKAPVKKGRGGAKQIVKNRLAKNSSQESAKAGAALLAVCRGKVSEGIDFSDDNARVVVIVGIPFPNINDVQVKLKKRYNDSFKSSKCLLSGSEWYCHQAFRALNQAAGRCIRHKSDYGGIILIDERYNEDRNLVYISKWLRNAIKKYDSFQDTIDGLQRFFQNAEEKIKIKDRDMFPKIKLESEALSSLSDKRKLPWPELSLSNHSALQKNQKIKTECLSQKVPNIDGVAADQKKVGMCYTSPEVSKISSRSSLLVKKEISPTPNSPRMAYQLPACKVQSDSEGVADTEANYEVKTEVINFEEDDFKPRYKMTILSPLEGRSPQSPPVEEISPVASPSNYSEVNISVGINNRNLSCLSTSAATPERATYRDCHETFINRSVNSHCEKKRRLRSPMSCCTYSDHSNSASKSHCRTDYAVNIVHADLNRNAELCCKNMSMSRCENVELERNYRAEEVSEKMPMQKKLLISCIRCKTALGLEQDELLVTCSQSSSSKFYLAFLLRHGLSTIGFPEDGFQASTPAEIELLECDASSLNQNFFGKFSSQGSCHSGVWSAKDGCVYKAVTCPFCFSENTCATILGVQVLATDKPNQQLVEKVLLFSERLDVKPEPSKRQASKTWRNSSNSISPPPVMDLESFAYKPLKKDPVPLNSRRSKLRLPSTNKPTTGT >PAN13286 pep chromosome:PHallii_v3.1:2:47056623:47057051:-1 gene:PAHAL_2G325800 transcript:PAN13286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKKLPAAALEKGRKPGLITKTLDRCRSARRGKQQPPPPEGCCTVCVGAGRQRFAVRTECVNHPLFRALLEEAEEAFGYAAAGPLTLPCDADAFVRVLEQIEEEEAAAGEAAAAVARCGLARGHSAYRLLAPGRQLLVGRS >PVH65366 pep chromosome:PHallii_v3.1:2:56063638:56067594:-1 gene:PAHAL_2G475000 transcript:PVH65366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSTVALAAAAAALLVLLPMLLLVSLPAADLLPSADPYEQESRRVFVEWKAKNGKTYTYAGEEECRYALFKDTRRRVAWNRAAWDRAAGPKAFRLNGFAANSIEEMTLGLLGPEVAKKEEYEQETRRMFVLWKAKYGKTYRDVGEERCRYWLFKANRRVIVRLNAATGQDVYGLNQFGDLTNEDVQQRCYPKADRELSARCQAAILDPGSSTVRDPERLILFMVCRCIATEIR >PVH65102 pep chromosome:PHallii_v3.1:2:53056478:53056744:1 gene:PAHAL_2G423900 transcript:PVH65102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRRRSQRATRRLFERKLWGGRRAWRGAGTHGRGLGRRSRAVPSVGGSLPAPLPLSPADSGSRSRPHRPHQTHSGRRSFQLWSEPG >PVH63570 pep chromosome:PHallii_v3.1:2:4263622:4265578:-1 gene:PAHAL_2G057600 transcript:PVH63570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDGLKGEPEDAGEAEHRGRRALALAPEAGRRGRGGARACGRARRGKGSRGRQAGCGGGPRPRGSSSSMSGRIWCHGHACRPSQLGAWTAAARGHRALELDAPAQPRQHPTRNLVHSTSGDCGGARDDPEQRMGALN >PAN14961 pep chromosome:PHallii_v3.1:2:54896108:54899713:-1 gene:PAHAL_2G454800 transcript:PAN14961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEASTAPENGSAAGGTACNGAGAAPNGGVMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSSSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFCNI >PAN14960 pep chromosome:PHallii_v3.1:2:54894257:54899805:-1 gene:PAHAL_2G454800 transcript:PAN14960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEASTAPENGSAAGGTACNGAGAAPNGGVMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSSSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKGNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >PVH64839 pep chromosome:PHallii_v3.1:2:49534457:49534702:1 gene:PAHAL_2G366100 transcript:PVH64839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHRESLLDLAIESSSSDDDDFLIGASEIMYTYYQSCNKPKHGGSVPGHKVVRRKREVGHWKLFEDYFSDDPTYGAEFFRR >PVH65093 pep chromosome:PHallii_v3.1:2:52963875:52964227:1 gene:PAHAL_2G422200 transcript:PVH65093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPCPKQEESVAAARVIVRPGGERRRVVVAVIKPVMLVTLKVQDIHRRVVKRTMRRTDKLQGLMDVVLCTAEAGARGAARGASSSMRVKGEHTPEDLNMVSGDKIDFFLDLLSG >PAN11419 pep chromosome:PHallii_v3.1:2:20775356:20778248:-1 gene:PAHAL_2G163600 transcript:PAN11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTETPMPALSGHGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPVDPKNDHLRALEGAADRLTLLRADLLDPDSLLEAFSGCDGVFHAASPVTDDPEKMIEPAIRGTRYVITAAADTGVKRVVFTSSIGTVYMNPYRDPNMPVDDTCWSDFEYCKRTENWYCYAKTVAEQGAWELARKRGLDLVVVNPVLVLGPLLQPTVNASTDHVMKYLTGSAKTYVNAAQAYVHVKDVAEAHVRVYEAADAHGRYICAESTLHRGELCRILAKLFPEYPIPTKCKDEVNPPVIGYKFSNQRLKDLGMEFVPVLQCLYETVKSLQEKGMLPVLPPNDEHHHQELITS >PVH64153 pep chromosome:PHallii_v3.1:2:33677259:33678185:-1 gene:PAHAL_2G204000 transcript:PVH64153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPEGHLHTNALHWEGFPRLLWESLQSFHYMEPPQYDAVEYLEEGVHRAHVRMTIPQHPFHSQWQPIEISMMGYRIVDTIEGAALEAIYAFCNQHPGEVAGQPIGLFATTDPSESEWNLRVIPEGHRLEGSPEEALRGMMRFTNVQYHYQSLLRRELGQLINAARSLHREATRHITQVDQLRTLVIEKDGIIATQNETIHHREDQINESDATITQRNTIIEFLQEQIHDLILEVDDANAHINELQQQPMPPAVPAPEGEEEDPEEIEGVSEIDSEHGDPIISPHHSSSGSQSSVGNFDDF >PVH64998 pep chromosome:PHallii_v3.1:2:51574013:51577747:-1 gene:PAHAL_2G398300 transcript:PVH64998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPLAYISCLLTFSFQFPPRTMARRHFPPVAAILAVALLLVARAAGYPWPVCGYSTSSFVANSKYQAHLDFVTATLPKNVSSSPDLFATVVVGDIPEQLWAMGLCRGDINATDCFGCLTQAIQDLPSECPYNKEATIYYDTCSVHYSDVHTLPGDDTGPALNTYRAFNDANVTSEPARFQTLRAALVNATAEHAAGSARRFATGETDFDQEFPKIYSAAQCTPDQTAAQCRKCLAGIVAAFLGGFGSNIGGRVLGVDCTYRFETTPFYNGPAMVRLASPGPRALAPAVPPTAGTPAAAGGVVLPTLAALNLVTFLCLRKRRRPIAHAKQQNPMYSTEAEDIEMVDSMMIDVSTLRTATGDFDESNKLGEGGFGAVYKGVLPDGEEIAVKRLSNSSTQGAEELKNELALVAKLKHKNLVRLIGVCLEQQERLLVYEFVPNRSLDLVLFDAENEKREQLDWGQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTSRVVGTYGYMAPEYLMRGNYSVKSDAFSFGVMVLEIVTGRKNSSDGGYRPQQSGDLLTTVWEHWEAGTVAELVDPSMVGSFPAGDVHRCVHVGLLCVQGDPAARPVMSAVVMMLGSDTVTLQAPSKPGFFARSNSANAAAPTVSLTG >PVH64997 pep chromosome:PHallii_v3.1:2:51574300:51577401:-1 gene:PAHAL_2G398300 transcript:PVH64997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPLAYISCLLTFSFQFPPRTMARRHFPPVAAILAVALLLVARAAGYPWPVCGYSTSSFVANSKYQAHLDFVTATLPKNVSSSPDLFATVVVGDIPEQLWAMGLCRGDINATDCFGCLTQAIQDLPSECPYNKEATIYYDTCSVHYSDVHTLPGDDTGPALNTYRAFNDANVTSEPARFQTLRAALVNATAEHAAGSARRFATGETDFDQEFPKIYSAAQCTPDQTAAQCRKCLAGIVAAFLGGFGSNIGGRVLGVDCTYRFETTPFYNGPAMVRLASPGPRALAPAVPPTAGTPAAAGGGERKHRVPWVVLAVVLPTLAALNLVTFLCLRKRRRPIAHAKQQNPMYSTEAEDIEMVDSMMIDVSTLRTATGDFDESNKLGEGGFGAVYKGVLPDGEEIAVKRLSNSSTQGAEELKNELALVAKLKHKNLVRLIGVCLEQQERLLVYEFVPNRSLDLVLFDAENEKREQLDWGQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTSRVVGTYGYMAPEYLMRGNYSVKSDAFSFGVMVLEIVTGRKNSSDGGYRPQQSGDLLTTVWEHWEAGTVAELVDPSMVGSFPAGDVHRCVHVGLLCVQGDPAARPVMSAVVMMLGSDTVTLQAPSKPGFFARSNSANAAAPTVSLTG >PAN12300 pep chromosome:PHallii_v3.1:2:42311696:42312285:1 gene:PAHAL_2G257400 transcript:PAN12300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAAATTVLMVALLVLAAASMRADAAVAAARRLAEPLSGPDPNPCTNDPTNPGGTCHVPPPGEQAAAAHAGAPPRRLISVSKASSVPSGCTHDPHAPAMGNKCPPPLARAP >PAN14145 pep chromosome:PHallii_v3.1:2:50866926:50867657:-1 gene:PAHAL_2G387200 transcript:PAN14145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMTVPSAALRRLRREGLEIRRRRAALAPTGGVVAKKPSPPPPYYVLALPAALSRSNPAPTTTSRMPAPRRTGGDGARLERAPLPPPSSAARSRSRHTAAPADPAEAVRSECIGKGAQVRVRTLVGTARTGQSIVFWLRAVVDSAAGEDGYLHVTYDYVDGKLPRAARVAPSDIRPHDVPPADARGAAAASTGSSSAVTSDRSAHPPQQNKAAPRPTVAGKKLPLLKKFEKEMKSRSKAIIG >PAN09300 pep chromosome:PHallii_v3.1:2:815649:818228:-1 gene:PAHAL_2G011900 transcript:PAN09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPKVILFFCLGFVFQYSSYLSLGLSVGFFVQRDCYGDAGVDIDKRAKLGAAVGEFYGLVFFQSLAAILWMFLFVMLDWPIKQVAAATNSVDLKDGAQNLHLEEMTIWGAMDTFFGKDDLPVRQELLSSKRSVQNLIAMIVGRGGTAGSIESRERAARIVARHLASHLHVTHFPGTLECICSLLDPQDVTCPSEKPEESLPVPEQEDDQNRPLETVVPIRDQNAGEQVVSSSSVGLVANRKRTSLRGWIKKCHEGARQRRKAAREERRFLDPKYRHHYESRRAKELISQGLLILERLTQDKVNCTEISRHQALDGTMVDMLSKSLTVLSRLLTSPGDGATRLRQELASNTEAVSNLMGILESDSEGAHQLREQALEILAELGLDDSFTKPGSGESTSTCMFNKLFKTLRSIFLEEQDGDTMVAEADREKAIRLRGKAGEALARLLAVHAARGVNVAHILSKREAIKLLTKVLNEIKSSKMGTSAGAATGAGASNSHGAAENVAGSQPPEEADSVQPHTQGNEKQSEERRFVAAMLSLAVAIRNVNVMSKEDFAGAIHEDAALVKKLAEILKVNKHSKAVCLRVVKLTCQVVIAMTQDEPSCIKRFNEHRFKESLTDVLGTMAEVDGCVLFAGNDHREVMNKPARSLASLVKEAQERLETPQEQGN >PAN12202 pep chromosome:PHallii_v3.1:2:41483719:41484984:-1 gene:PAHAL_2G249100 transcript:PAN12202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFAAMVSSRRAAPAPAAAASAAPGPDEEEVKENAKGKLTAEDEAYLRIQLEEIVVVKNEDVSRLAAAHGSNYLGGATSRACAPGVAAAAAAAAGPAGSSAGTGAAAAARGALSTTVGWIVGSN >PAN15523 pep chromosome:PHallii_v3.1:2:57407846:57409867:1 gene:PAHAL_2G494400 transcript:PAN15523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >PVH63889 pep chromosome:PHallii_v3.1:2:11920746:11922293:-1 gene:PAHAL_2G132500 transcript:PVH63889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLRKSRSSSGVAGLGAQSGTDGVASQSNPASGSLPSFPAFGVPSGHGFRPAYAAAGVPPAGGFIPTYPTGPSSTAPSAGEAPTASYGGRNRRPPIAPSSIGVGMDNDMELPDASTAFWSDERTRIVCDIFAEEVLIGNGSSTHLNKAGYNNVIQKFKTATGLEYTRKQFKNKWERLKSDHSIWKQLKKISKQILVCLQEIKGSGRFKTRGLQSEEKLEIMFENLHNTGEDHWCASSGVPPSQSYQPSEEEEEEEEEEDNSESDPGTPTSGAKRRNRLSENSRGKQPKTSKGSWLLGEVERMVEMNERTTRSCESIARSVKEKVQSVCSIQAVMALVKDCGAVPGTNEHFIATTIFTKKVEREMFMTLENREERFEWLSKKYEWMAKH >PVH63596 pep chromosome:PHallii_v3.1:2:4739302:4740841:-1 gene:PAHAL_2G064600 transcript:PVH63596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPSSSQTHSLHRQTPPPVKLLQAISSPAKFRPAQGPSDAAFGSTSSEPPVEEEHRKEHTRHALRGRRRLARGHGGEDPRAAPAKLPAVGPGSGQAPCAPAPGPGPHPGRSRSAAAAGRAGGQTDVSAMQPNCGWGHRWGPPRPRGRNPQHAHAHRSHRHARKPASQPATNRLAGGPVAGPPARLGRLAADSLAWLPSSGRGGARGWVRFGSAGLFTCLAGRVRGGRQRQAAVPPRRPYGAATRASRGPRPRGPTRPGRRGSDRGYVPYSKQPREPTGVVRPGRRSRWLLCSKWSFIA >PAN10064 pep chromosome:PHallii_v3.1:2:5109277:5112703:1 gene:PAHAL_2G069700 transcript:PAN10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARGGGPASWAVAVTAAWVLWAAAVAGARSPAGRVHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPLLKNHTIQFRPAYHPEGLYDDAKSSIGSNNAGEKPMLQMWHRNGRCPEGTVPIRRTKKDDLLRASSIRRYGRKRHTVPNPLSVDPNMLSEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQANEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNMLCSGFIQINSEIAMGASIFPISNYAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGAHTSTQMGSGHFPEEGFGKASYFKNIQVVDSSNQLSAPKGVGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >PAN13474 pep chromosome:PHallii_v3.1:2:47965764:47969298:1 gene:PAHAL_2G339900 transcript:PAN13474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:Projected from Arabidopsis thaliana (AT4G27040) UniProtKB/TrEMBL;Acc:A0A178V291] MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPIFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYEIGVQIVDICIATRSHNGGLIDLLDLRKLLCQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEQVEKEFSWSTGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTVSSDTTSGEAK >PAN13807 pep chromosome:PHallii_v3.1:2:49464035:49469937:-1 gene:PAHAL_2G365100 transcript:PAN13807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASAGLKPSALDLLAALLTGRGPEGGAHWASSLAENRHLLVLLTTSLAVLVGCGVALLVRRSAAPRAGAAAQAQPRPLAAKPKDEPDPDDGRQRVVIFFGTQTGTAEGFAKALAEEAKARYDRAVFKVVDLDDYAAEDEEYEEKLKKENIALFFLATYGDGEPTDNAARFYKWFSEENERGEWLSNLRFGVFGLGNRQYEHFNKIGKMVDQLLAEQGGKRIVPVGLGDDDQCIEDDFNAWKELLWPELDKLLRQEDDSSAAAAATPYTAAIPEYRVVFVKPEDATNINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDIAGTGLKYETGDHIGVYAENCIEIVEEAEKLLGYSPDTLFSIYADQEDGTPLCGGSLPPPLPSPCTVRTALTRYADLLNSPKKSALLALAAHASDPKEAERLRHLASPAGKKEYSQWIVTSQRSLLEVMSEFPSAKPPLGVFFAAISPRLQPRYYSISSSPRMAPTRIHVTCALVYGPTPTGRIHKGVCSTWMKHSTPLEESQECSWAPIFVRQSNFKLPADPNVPIVMIGPGTGLAPFRGFLQERLALKEAGVELGHAILFFGCRNRKMDFIYEDELNNFVDTGALSKLIVAFSREGPTKEYVQHKMAQKAAELWSIISQGGYIYVCGDAKGMARDVHRTLHTIIQEQGSLDNSKTESYVKSLQTEGRYLRDVW >PVH63491 pep chromosome:PHallii_v3.1:2:2937687:2940654:-1 gene:PAHAL_2G042000 transcript:PVH63491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFEAWLDTDVVQGEGPIFPEVEELEIRACGSLTALPKAASVITESSGGVDTKFRSAFPALRNMTLRYLNMFDRWEAAEGTPGEEVTFPLLEDLEIIACPKLTGLPETPRLGKLAIEGGGQQISLQAASRCIPSLSSLRLDVSPDDTETTLLHVKQKWDHELPLAAMRLTRCDLLFSSHPDALALWTCFARLVDLTILNCDALVYWPENVFQVLVSLRRLSIWSCSKLTGHTQASDRQSAPEGGGLPPRLESLQISGCTSLVEVPNLPASLKTLEIAVCGDNLKSIIFGQHEYVMPVGGEGVVQPDTSSLIPGSSGSEATASTAVLKLSSAANHRSLPCLESLFIGCCFRLSEVANLPPSIKTLDIFGCGNLQSLLGKLDVVQKLNITSCRRLESLESCVGELRSLEELRLLHCRSLVSLPDGPQAYSSLRVLQIQDCDGIKLLPRSLRSRLDCLEEKHLDARYKETTWKRAIRTLACSK >PAN14391 pep chromosome:PHallii_v3.1:2:52015607:52016714:1 gene:PAHAL_2G406000 transcript:PAN14391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFMCFGGAAAVADDEAAARRGDQRGRRSLSFRGKFLSGNKGSKKKSPPAESKKRGMYADDVVYGVFGPSTASSVASSALLSSAASMDSGYSSSSSSSSSRSSTASSSASVSGVLFPPAAKRQQAKKGSSPAAGAAAVVLCLLMVVFCGRVGATLLTSTALYLFPRRWPARTAHGKDGVDSLESDAEEETTARRKVVMDQGFLMRNRKK >PAN13531 pep chromosome:PHallii_v3.1:2:51682604:51683045:-1 gene:PAHAL_2G400200 transcript:PAN13531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLLSRPLARLRGHPLEAMLEVLDQFDLTLSDNTSIIQASINKIELIAAQTLLSDPNLIGESSRRNNCGDEDDFVDAHTGCTKCNEGPKYCLQTSHQ >PAN14627 pep chromosome:PHallii_v3.1:2:53096363:53098632:-1 gene:PAHAL_2G424800 transcript:PAN14627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITTRLLHHLALFLFLTQLTHSALVPKTNNQPALKPRASNNYIVHANHLAKPSHFASLKHWYTSMVATPLTSFPASYTYDTVMHGFAVQLTGDEARRMATAAGVNGVYEDRMLKPMTTRTPDFLRLDPGFGAWRDTDFGDGVIIGFVDSGIWLENPSFTDKGLGPVRSSWGGKCVDAEDFNASLCNNKLVGAKAFDAAGKSAAAIKGNGPAYPSSPSDTFGHGTHVASTAAGSEVGDTGILMFARGTARGVAPKAKIAMYRVYGKQTMADVVAAIDAAVKDGVDIISLSLGDDDAHEFYDDALAIAAFGADPRGVFVVLAGEASTVTNVAPWMTTVGAATLDRLFPANLALGNGVVLTGQSLYPMKSNHTTMALLVPSSCMDGDLTPNRIMGKIVVCMTTLLANETQFRQAGGAGLVLMDSTSWSRDGISTVAFTLPAVILSHTDGEKLRAYLVSSRYPVASFSFACQTVIGEIRAPMVATFSSRGPNPVVPELLKPEVIAPESRARPNVAGVAALLKKKHGDWTPTMIRSALITTAGTLDNADREIMDNSVFEGRGITAATPFAAGAGHVRPQLALDPGLVYDAGEREYVEFLCALNYTAAQLRLFVPDFVECTTTFRGGPASLNYPSFVVSFDKDIDVRALTRTVTKVSEEAETYNVTVVAPEHVKVTITPTTLEFKERMETKSYTAEFRNEAGGNQKAEWDFGHISWENEKHRVRSPVAFQWKK >PVH65310 pep chromosome:PHallii_v3.1:2:55173637:55176164:1 gene:PAHAL_2G460200 transcript:PVH65310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQGPSENAPVPTASRCRARTPAGTETRVLASSVMAPRHRGRGAVCHPRVPTRHEPSAEQLQPDDSMDRQTGHGRTPYKCLPPPTPSLSPFHNPHKQTRADSLKRLGRTRQTQQSNQAASRREDRFHPKSDRVSDLPRGAAMGGLAWMVAAAAAAVAASWAFNALVHLVWRPYAVARRMAAQGVRGPAYRFFSGNLGEMRRLRAEAAGATLAVGDHDFVPLVQPYHRKWISLYGPWFLYWNGATPNVCVADVNVVRQVLSDRTGLYPKNLMNPHITRLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTATMSDCARSMMSDWEAQLAKAPGGVEIEVSSRFEELTADVISHTAFGSSYKEGRQVFLGQRELQFLAFSTAFNVQIPAFRYLPTEKNLRIWKLDKQVRGMLMDIIKSRLATKDTAGYGNDLLGLMLEACAPEHGGESPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHTDWQEKLREEVRRECGDQEPNGDKLNKLKLVNMFLLETLRLYGPVSIIQRKASSDLDLGGIRVPEGTILTIPIATIHRDKEVWGEDAGEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQKFKLELSPKYVHAPMDVITLRPRHGLPMILKRLEA >PVH64803 pep chromosome:PHallii_v3.1:2:49144423:49145073:-1 gene:PAHAL_2G359700 transcript:PVH64803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PAN12158 pep chromosome:PHallii_v3.1:2:41274943:41277361:-1 gene:PAHAL_2G246800 transcript:PAN12158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRQERDRRRTLLVVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSVVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRVAFHLVAAISVAVGILNWLFAVDPHFPTGEDGAASRQRDGKQPATAREVVTEMIEEAKFVVRIPTFQIFVAQGVSGTFPWSALSFASMWLELKGFSHSDTAILMTIFWVASSLGGLLGGKMGDFLAVRYPDAGRIVLSQISPLSAVPMGAVLLLGLPDDPSKGVSYAVVLFIMGVLMSWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGLLAQRVYGYKPDDKGESVQQDRENAASLAKALYTSIAIPFIFCTAIYSFLYCSYPRDRERARMQSLIESELQEMEHESTCLEDGDGRPKVFASAGDGVRATIGVTYDHKEAPEAAKDTVSLLANRES >PVH64452 pep chromosome:PHallii_v3.1:2:43438420:43449299:-1 gene:PAHAL_2G271400 transcript:PVH64452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIANRIILLLLISASIGVAQNASGGAHEVHVGVILDLGSLVGKIAITSISLALEDFYSAHKNYSTKLVLHIRDSMSDDVRAAAQALDLLENYKVETIIGPQKSSQAIFISDLGTKSHVPIISFTATSPTISPSSLPYFVRATLNDSAQVSCIASTIKAYGWRKAVPIYEDTEYGRGIIPYLVDVLQEDSVHVPYRSVIPLSATSEQISKELLIDGKGYVWIITDGVTNLIDSLHPSVVESMNGALGIQFYVPKSTKLNNFTMRWNMRYQIDNPTDPPLKLSIFGLWSYDTIWAVAQAAEKVGLANATFRKPISKRKTTDLEALVTSSDGPELLKEILQSKFIGLSSRFDLSDRQLAVSTFQIINIFGRGWREIGFWTAQNGLLRRLNQSQSTKVHSSLLSDLNHVIWPGESIDIPRGFEVPANGKKLRVGVHSSGYQQFIKVEKDQITGATKATGLSVDVFEEAVKILPYAVPYEYVLFGSPEDTSSGSYDDFVYQVHLKVYDIVIGDITIRYNQTFYADFTVPYTESGIAMVVPVKDSVNKNTWIFLKPLTPGMWLGSIVFFIYTGVVVLSLEFLGNNKNVRGPIPRQLGIMIFLSLFEERYHRGSYVKGLLEELGFDRSKIKPYDTPDDFHNALSRGSSNGGIAALLHEVPYIKLFLANHCEGYTMVGPIYKAAGFGYALAKGNPLLSDISKAMLNVTGGDTMIQIEKKWMGYQNDCQNVGPVTGSSSLTFANFRGPFILTGAASTSSLFIALIIYAYKKQRRSTNMKNDNKQVGRNRTDEENNESQEGNQGLVTEECVQFRGDGEENQQLYEETGSEQVCDRNPHTSMAACDGSAANELSPKSQ >PVH64455 pep chromosome:PHallii_v3.1:2:43510774:43522127:1 gene:PAHAL_2G272000 transcript:PVH64455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQGARAILGPQSSVESAFVADLATRAEVPVVSFSATSPSVSASAAPFFARAALSDAAQAGAIAALVTNFGWRRVVPVYQDDDYGAAFVPFLVDALTAARAEVPYRCALPGGASKDAVAAAMYRLESEQSRAFVVHARPELAELVFAAAVEAGMMAQGYAWIITDGLTGLLGSIHPPQGIIGLVPHAPATPRLRDVRRRWARKFMREHRDADPAQAEMGCYAMWAYDAAWAIASAAERLGPGDLSSPPGLVGGRSGPTDFSGLGKSTSGEKFLAAITNTTFDGLGGRFELVNGELAVPAFRVVNIMDNAKERSLGFWTPKHRLHRRLDGGTSASNSGLAPVIWPDETTVVPIGWVQPTSSRKLRVAVPGSVDPGYHPIMHLDVDPATNRTVAGGFVIEVFEAAVRLLPYALPFEYVLVGSMLYDRLVEKVGNGDFDAAVADITITANRSQHVDFTLPYMSSGIAMVVPMRDQRSTRAWVFLKPLRYDLWLVGFVFLIFTGFVVWAVEHRVNREFRGPPSYQIGTLLYFGFSTLVFAHREDLKSNLSRFVVVVWVFVVLILQSSYTASLTSMLTVPQLEPAIGDYASLWRGADKVGIMNNSYVRESMTKSGFPQSRLEPYRASPSFHEALLNGTIGAIVDETPYLRIFLRAYCDNFTKTGQTNKTGGFGFAFPKGSPYVADLSRAILNLTESDEMSAIERKWFGDAEGCAAQGSQFTSDSLSFASFWGLFLITGATSLLCCAVHLATFLVVERRWISEVASTPHVPWKDRVWMLLKRFDHKDLSSHTFRTKDGGGSVAARSPNDAGASPGAAHIAAGSPLSVSNHTYDMSACSFGTPSPAPASTTGEIELAAGDEAAAEVAAAPNPNVSSDQTETGHLASN >PAN14614 pep chromosome:PHallii_v3.1:2:53059480:53060004:-1 gene:PAHAL_2G424100 transcript:PAN14614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSHPMLTPNQALSLGVVDHLIAFFPPRKFGAASGSLHPPLSLPSLVMLSLIVVFDPVGNGVYRTMRICPVRRTLVCTT >PVH64142 pep chromosome:PHallii_v3.1:2:32835400:32835915:1 gene:PAHAL_2G200600 transcript:PVH64142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEVDVVGYRLVDTIETVALKAIHTFCNQHPIEVAGHPIGLFPAIDSSDPEWNFRIAHYGHMLGDSAEETLRGTIRFMNAQHHYQILLCRGMSQLTSKAQVHYRNADQQVTQLEELQALVTEKEEIIAERDETIIHREDQINESDAIITQRNTIIEFL >PAN12671 pep chromosome:PHallii_v3.1:2:44296068:44302797:-1 gene:PAHAL_2G282700 transcript:PAN12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G13640) UniProtKB/Swiss-Prot;Acc:Q9FNA9] MSLLRRRKQPPPPPSDGNGSDHDDDDKGKRPSSSSAPASKEATRRTKGKWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRVKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCEKHIKAVLNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEDGCECKAKKQKGNDTEVSDDINGESVEIPPEPINYGRLVSFGKDVAEARSSEIERIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKAYTASSIIDLLHFVAPRMMQRGSVHFSYGIADNLDDPKYKHYKYWSNPLETKLPNAPDMEIFSMYGVGIPTERAYVYKLAPQAECYIPFRIDTSAEGGDENSCLKGGVYLADGDETVPVLSAGYMCAKGWRGKTRFNPAGSKTYVREYNHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEEIGGDQVYSDIFKWSEKIKLKL >PAN10613 pep chromosome:PHallii_v3.1:2:8286808:8289089:1 gene:PAHAL_2G105400 transcript:PAN10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >PAN11570 pep chromosome:PHallii_v3.1:2:25427270:25448261:1 gene:PAHAL_2G178200 transcript:PAN11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEISAMLDHLAASTERDPPARHLCSPAARPGLEALAGALAAGPPTDPAAARAVLAAARAVVSAVLPASVEQVEPTVVETVERSLEFCLLHLEKSSYACDDFGLLNEVACFMEFVLLKGTHNKACSLEPSIINDIIEHWTFVQVEAERLSPQEKYFCYLKGFNCSKSGDDLKRFRLALLPEYLLRDYVISENTQSFCVVSPNSMVPLAQHFAVVHLCCIPRLLTLVQKLCQSPALEMVEDVNIDLRLSFTQRILKLVCGLTMEFPPDASDAMMLFSVARCADSLPALFRLKFKFSNHDRVFSADGVGTILLQILEEFLQLMQIIVCNSDICCTVQVCILSSMLEIFSPNIWRYEKSGTCLVPPLAYSPRVVQFVLKLLKDTKQWTRRVDRDKPDKDAFYYPFNHEINDLSCHVRSEEVPLLKKYTCEEYLQFIFPSGEQWLNGLIHLTFFLHEEGVKSVTNEKPQLSCTKQPVVSELESVASHEEEALFGNLFAEVRPAGITESVEQPTSLGSDPSNSLQGPIQLAADLICFMITSIFSPEWSRAIYMDASRKFNTCHLDQFLSILKCQACFPDESSTGNTMPSENKLLHINAACFELLQTFLVCDECPASLREDLVEKVFNVDNGKYTYNHYALALVAHAMTYAANSGFSLGRKIFVQCVSYLLEKANDTSSSPLNVSDFCASLPCAFHLEILLAAFHLTTESEKADLVKIVLSSLEKMKQPPSGVAVAGLTRWALLLSRLLLVLRHMLLYPLTHPSWLFTRLRSRLRDIQLNQGQLRSMNDYLPSLATVIMEEMLDDSVKKSAVTSDLLPQLIDVTPGHAEFYFDKAAVESLGLNMADLGATMSQILNSWSGMHAEVADDLIVERYIFLICWSTLSVIGCHGNDSIQNDQYLKPDLDNVNVFLTFALSISDGASSHVGVDLPALIFKLLKLLHSDIGSSKLESWDFPRKGAWLSFILSLINADLQRQQRGAETEVDSHRKQEVHGEELFTCENSLSTYITKNSGHCVDILSSLLETYLHTFKKAYLSFLDSGRPSLDNCYPSLLLKHSVFDKSKHHLVFEKSGSYLEMLEPICKLSSRINGVTTKLAEGQKNCFFLKCLLHGFPSAYTSSNSALLSCILVINEIVQTFNGYIKVARPGDRDRVDEGVISKLLSMVMAVRSDQIFRPVHGECDHIFMGLINNRDDLAKYSELFVLKQLEGFLADINSNESMDSKVKEILVSTVVDLVEDLRSKREVFKFFLGDAEGAPEGASHIFASEHADMSVFLDVLDCKSEQVNLKILHLLTDIMGSGHCPGLKQKLQNKFIGMDVPCFSSWLQFIILGPSIKVESTNGTAGPATRELAVDFFTHLICPPETLTKELQHHLFNSMLLLLDRAFLSHDLQTAKAYFHFLVQLSSEESHFKHLFEKTLILMETMVEDEGKLQTLKFLFSFLEAVFGDTGLNRSALKRLSSKTSGSSFGSGSPIKQLKNSENLVIRTNQVSNPAVDCAASSGEEDEDDGTSDGELGSIDRDEEDDGDSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSSTVAPAAASSFHPILAYHEDVEQVADSGSDFEEDISTDADNSMKLSVPNEFSNGLPLFLKNLDIEVRVLEICRKLLPTVLSRRELNLTKDRKVLLGGDVLVSHSSDIFQLKKAFKSGSLDLKIKVDYPNSRELKSHLANGSLAKLLLSISTRGKLAVGEGDKVAVFDVGQIIGQPTASPITADKTNVKPLSRNIVRFEIVHLVFNPLVDHYLAVAGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLIVVTNLFVKIYDLSQDNISPMHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVTLEGDVGAKVLAETVLVEDAVSMHKGLSLYFSSTYRLLFVSHQDGTTFMGRLNGDSSSITELSYICEEDHDGKSKPAWLYRWRELVAGSGILTCLSKSKSNAPFAVSLGPHELVAQNLRHSTGTNSSVVGVAAYKPLSKDKTHCLILYDDGSLHIYSHTPSGGDSSTILAAEQTKKLGSSILSSRAYTGIKPEFPLDFFEKTTCITSDVKFNSETTKSGDSESIKQRLTSDDGYLESMTSAGFKVTISNPNPDIVMVGCRIHVGNTSASNIPSEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTISVGRTFDGTSIPRIDSIEVYGRAKDEFGWKEKLDAALDMEALGSSSTGGRSGKRPQIVQAAPIQEQVLADALRILSRIYLLCRPSCFTDIVDAGMEVNNLKCRALLETIFQSDREPMLHSAACRVLQAVFPKKDTYYQVKDTMRLLGVIKSLPAITSRIGVGGAASSWVIKEFIAQIHTVSKVALHRKSNLATFLKTHGTELVDGLMQVFWDILDLDRPDTQTINSLVVPCVEFIYSYAECLALHSNEKSGVSVGPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPENQVKPSAAMSASSGNAQVMIEEDPAASSVQYCCDGCSTVPILRQRWHCNICPDFDLCETCYEILDADRLPAPHSKDHPMSAIPIELDTFGGEGNEIHFSIDELTDSGVLHAPADRSVQTSPSSVHVFDSSESADFLETITDQTTVSISASKRAINSLLLSHLIEELRGWMGTTAGTRAIPLMQLFYRLSSAVGGPFMDSSKPENLDLEKFVKWLMDEININKPFPAKTRCTFGEVSILVFMFFTLMFRNWHQPGSDSSHSRSSGSSDLTEKGHVQVPASTPIAIPSSSGDQDKNEFASQLVRACSALRQQSFLNYLMDILQQLVHTFKSSSINGEAGSSGSGCGSLLTIRRELPAGNFSPFFSDSYAKSHPTDLFMDYYKLLLENTFRLVYSMVRPEKEKSAEKDRSHKVPNTKDLKLDGYQDVLCSYISNPHTSFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSILCDVAAARPKNWQKFCLKHMDLLPYLVDNFFHFSEECIIQTLKLLNLAFYSGKDANHNVQKPESADLGSSTRTSSQSSDSKKKRKGDDGSEGSSEKSCMDMEHVVEMFNDKEGDMLKRFIDIFLLEWNSAGVRHEAKCVLFGVWYHAKNPLRETMLTILLQKVIHLPMYGQNIVEYTDLMTCLLGKVNDSSAKQNDSELVNKCLTPEVVSCIFETLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLETLKSETKFTDNRIIIKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWTLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFTAKPSFSFDNMENDDDMRKGLTAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDTVQQMMVSLPGPTCKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLQQKNTIDTNALPAFSIPRSPSSCYGCSTTFVTQCLELLQVLSKHANCRKQLVSAGILSELFENNIHLGPRTARTLARAVLSSLSESDADAVQELNNLILKKVMYSLEHHRSMDIAQSTREELLLLSETCGLVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDGGDKESGLGISSLTVQSKNDDTTGNTTTNNPSAKIQPDISGKVHDGIQRGQDIPLLSYSEWESGASYLDFVRRQYKVSQAVKGSIQKTRHDSHKSDYLVLKYGLRWKRRACRKSSKSDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDSEASRLFLTVRGCLTTLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDRLLSEVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLVESTENKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYLLILNKAHTQEEFIRGSMTKNPYTSVDVGPLMRDVKNKICNQLDLIGLLEDDYGMELLVGGNIISLDLSISQVYEQVWRKHHSQTQHALSNASSLTAASSGRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEIEFAIAGAVRECGGLEIILSMIQSLRDDEFRSNQEELASVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSADAMEPAEGILLIVESLTMEANESDISIAQSVFTTTNEETGAGEEARKIVLMFLERLCHPSGAKKSNKQQRNEEMVARILPYLTYGEPAAMEALIQHFEPYLRDWTEFDKLQKQHEENPKDESISQKASTQRSAVDNFVRVSESLKTSSCGERLKEIILERGITKAAVEHVKQSFASPGQTGFRTSAEWTSGLKLPSIPPILSMLKGLAKGHLPTQKCIDEEGILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLGEKIQELRHATRDEMRRRALKKREMLLQGMGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPLGQYTRCVDQYWDQLNSLGRSDGSRLRLLTYDIVLMLARFATGASFSTDCKGGGRESNSRFLPFMVQMASHLADGSANQQRRAMAKAVTTYLSSSPSTPESPIRHSASVSGSRGSSGSSEETVQFMMVYSLLSESYESWLQHRPAFLQRGIYHAYMQHKHGRSTLKLSFDSSSSAVRSDEGSSSDTSENKKLFTIIQPMLVYSGLIEQLQQFFKKGKSSGTSKSGEKGESGGSLEKWEIQMNEKLGNMKEMVGLSKDLLSWLEDMTSSDDLQEAFDVMGALTDVFSSGHATCEDFVRAAIHAGRS >PAN15180 pep chromosome:PHallii_v3.1:2:55736702:55738527:1 gene:PAHAL_2G470000 transcript:PAN15180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSILAEPDPDPTSPPPEQDPESAPAGSASSAPAAPAPAGGGGGGWGFGGLLKTLTSQSETVLETYRRDLAEFGTGLRRETEVLREAAARAARDLPSSAHALDGLADIVAQGKDALSQVAAAAAAPVSAHSDGGESEQSSAAGAHVRYSRFEAQLRALQADPATFTADPEDAEDFAAWSKGFSLDERKDDIEALCYDSDALEAMVDRLVPETVESEVFWARYFYRVHKLKQQEDARAKLVQRVIAQEEDEDLSWEVDDEDEEEEQQKEAAKEPVARQQEATKEVNHEVEAKENERMLEEPATLEGEHKNADEPQPVVLGSSLVVVDEEEKEGHSKSNVEESGDKKEAAKHETSDSSKDSDYSIVSRQRTMEEEDLEWDEIEDLGEHEEKKGSTHDPSPALKEELRKRLSVAEDDEDLSWDIEDDDDKS >PVH64726 pep chromosome:PHallii_v3.1:2:48154083:48154709:-1 gene:PAHAL_2G343100 transcript:PVH64726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSRRRARVLATALLAALLAGAALLAVYLTYRPAKPQVSVSRAAVYQLESAGNSSSSSSAAAPYAIAARVQFTLLLHNPSDRAAVLYDGLLAYVTYRGEPVAPPAELPAVVQERGADLALTPSFGGAEAEPVPVSEITVRALAGDCAARRVLLRLVILGRVRYRSGLFRTGWRDLFVRCDVTTGVGVDAAGAGGVPLIEYPQCFVDA >PVH64959 pep chromosome:PHallii_v3.1:2:51282193:51286607:1 gene:PAHAL_2G393200 transcript:PVH64959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPEASPPSTPTTTASPCPTPRPLAATTPPTMGPALIRAARGGDERRLVKALLADPAATDLESAATAGGNTLLHVAAAGGHADLASLLLRRAPRLLAARNAALDTPLHLAARAGAHKVVLLLVASSTSFSPASSPRALTRATNRRGETALHDAVRGGHEAAARALAAADPGLVGLCGGAGESPFYMAAAAGSLGMVRVLLRTYRSAEEEEEVPVLGSSTGPGGRTVMHAAVLTSIEMTQELLQWNPALVKEVDESGSTPLHYIASVGNISAMKLLLRHDTSPAYSSDSNGLFPVHIAAKMGYGQLIYELCRFCPDCDELLDSRGRNFLHIAIEHKKWKVVWRFSGTAELVRMANVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNQGLTSLDLAVLATDKGISYTLVIILRCLAWTGAVLSPRRLDHFIDEFGIGKTSGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYISDGHPHAGTPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPVSERIAIVVCMSTFMTLLLRNPSSWQLWFLFMPIKRRLGWRGAFKTHLPEETRSRLTIGVGSNFACLFLRRMLGMVFTYSFIFLLALL >PAN14234 pep chromosome:PHallii_v3.1:2:51282193:51286607:1 gene:PAHAL_2G393200 transcript:PAN14234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPEASPPSTPTTTASPCPTPRPLAATTPPTMGPALIRAARGGDERRLVKALLADPAATDLESAATAGGNTLLHVAAAGGHADLASLLLRRAPRLLAARNAALDTPLHLAARAGAHKVVLLLVASSTSFSPASSPRALTRATNRRGETALHDAVRGGHEAAARALAAADPGLVGLCGGAGESPFYMAAAAGSLGMVRVLLRTYRSAEEEEEVPVLGSSTGPGGRTVMHAAVLTSIEMTQELLQWNPALVKEVDESGSTPLHYIASVGNISAMKLLLRHDTSPAYSSDSNGLFPVHIAAKMGYGQLIYELCRFCPDCDELLDSRGRNFLHIAIEHKKWKVVWRFSGTAELVRMANVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNQGLTSLDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFGIGKTSGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYISDGHPHAGTPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPVSERIAIVVCMSTFMTLLLRNPSSWQLWFLFMPIKRRLGWRGAFKTHLPEETRSRLTIGVGSNFACLFLRRMLGMVFTYSFIFLLALL >PAN15297 pep chromosome:PHallii_v3.1:2:56322832:56328124:-1 gene:PAHAL_2G478600 transcript:PAN15297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISVHCYPLLRPSSVSARKPVLSRVIRRGTRRPLRRCLCSQYADERQPPDSPQQLERLFSNLNQATMEHEPGSLTSSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCISCWLYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGVRTACLCYLFIHYALLVAYVARSSDIITDSLGIPLWESAALFSLAFGGICYFGSQRLIGAVNGFLVVGILTSFTSLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRTAIIAGTAIPLALFLVWDGVILGTLPGIAGSSTVSDPLELLRSSNGIVGPIVEAFSFLAIGTSYIGFVLGLSDFLADLLKLPSGQNKPLPYLLTLFPPLLLSLLDPEIFFKALDFAGTYGVLVLFGVFPAAMSWSERYSDELEAPVPPIVPGGKLTLSVVMGGALLVIVSEVIKDVIH >PVH64241 pep chromosome:PHallii_v3.1:2:37518868:37519618:-1 gene:PAHAL_2G221000 transcript:PVH64241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARHGEGYDWRNAPIDPEAVYSSGGGKPHGRYLLFDKVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQLATQQNIQVPPLVPPPFVQAGWPSASPQPFQTPPPNLAAPGDSHIDPTTNWADQFIGSGGSTQPGDGSGQT >PVH64618 pep chromosome:PHallii_v3.1:2:46443813:46445371:-1 gene:PAHAL_2G315600 transcript:PVH64618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSGTTAGSREKVCVTGAGGYIASWLVKLLLARGYAVHATVRDPCKHPLPVGFSTRGRPVAGCGSISNSSRPISADPKNAHLRQLEGASENLRVSKADVLDHGALAGAVAGCRGVLHVACPVPTDKVVDPEATNDVQKVVVVSSNAAVHFNPKWPQGRPKDENCWSDISFCEKNEPVANVSHEFLLYTIKGGPAVMKNILWHIVDVRDVADALLLIYKKEESAGRYICAPHHISAKVMVNLLKKTHPNYNYELKDLGWKPRKKMEETLLDSIEYYEKAGLLQDVEGRPCRLPHLFHMAIEK >PVH63927 pep chromosome:PHallii_v3.1:2:12571164:12571895:1 gene:PAHAL_2G136900 transcript:PVH63927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISGGTLRCDIMVFVEKSARYPDVDPWFISTVGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERRGRTWIARIRGLGREEEDLEDTVSHLSIYLTGLDALYRQQTAQLRELIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEEPMETHWDKGTQTEDRSIPIKKRPIRTEEESP >PVH64848 pep chromosome:PHallii_v3.1:2:49637858:49640094:1 gene:PAHAL_2G368400 transcript:PVH64848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVIVHHTTRYKTYPGEITGIVVFACLIASVAGCIFGYDIGLTSGLTSTEPFLVKFFPSIYEEMKKQVVVNQYCKFDSQLLTLFCSSLFLSAMTAAFFAGPLTRAFGRKWTLFTAGSAYVCGAVLGGVSVNFPMLLTGRILVGAGVGLSIQASPLYISEMAPAQQRGMLNIMFQLMITVGILTANMTNYFASKIPGGWGWRIAVAFGAVPAGVIALGSLAIPDTPTSLIQRGDTATARKTLAQIRGVGDVREEFDDLSTASEEAKAVENPWLELFFGGKYKPQLTFSLLIPFFQQLTGINVIMFYAPVLFKTVGFKQNASLVSSIITGLVNVFSTFVAVLTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGMSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEIYPLAVRSAAMSVTVAVNMFFTAFIGQIFLTLLCHLRFGLFYFFGGWVLLMTLFIAMLLPETKNVPVEEMAHIWKKHWFWRKFVVDTSPDARSAEMRKRIALEMS >PAN10363 pep chromosome:PHallii_v3.1:2:6612288:6614085:-1 gene:PAHAL_2G089900 transcript:PAN10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSGRHPYHHKFTPSSPPAAFPSRDLRRLRPVRPPTIASANTPPPPPPPLPFPFPSPRRQWRWRRLTGGVGDGALEPAPVEADGKAAGGGKKSFWAAVSLIVGTAVGPGMLGLPSATIRSGQAPSAAAILLSWAYVVSSIILVAELSFSAMERDGVDEVSFTGLASSTLGADLGAVVAVVYAALSFSLIVACVAGIGSLVSQLFPRVNPVLANALFPCFAGVLIAFFPFKAVDGANRALCGLMLVSITALVVTGVSVGRSSLLRSLGYACWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLFMVLSWNAVILGLATAGGNARIGDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTVELIVQRFSPKQGSVQQSNASIGYGRNGVILTWTVLIVPIFIVSFFSAAFSRALDFAGVYANCFLFGILPPVMAWIHRSQERKRSPDSCQDILPGGNAALLILFSIAVVLAIWH >PVH64910 pep chromosome:PHallii_v3.1:2:50546776:50547138:1 gene:PAHAL_2G382400 transcript:PVH64910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAVRCRPPLRGALVRPHEEASRPVLSSPPPRHASPSPSAPAFTEGIKQPRSGPDASPLEINERDVVWTASGCQEPSADARDAAAVQETPAHHAAELRAVVPPRRGRRRTACRVRPCR >PVH64291 pep chromosome:PHallii_v3.1:2:38955838:38957078:-1 gene:PAHAL_2G230000 transcript:PVH64291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLTYMHAATGVPPPATLFAQPPPPDPYSTPRQSAASNNPHATPSPDQATPNQPSRNLHM >PAN11684 pep chromosome:PHallii_v3.1:2:34902488:34904267:-1 gene:PAHAL_2G209200 transcript:PAN11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYRSAMAAGTVLCLLVVSSSVQVSMVAAAAGARPPAMFVFGDSTLDVGNNNYLPGPGVPRANQPFYGIDFPGAVATGRFSNGYNIADYLAKSMGFPCSPPPYLSLAPSTGRLVQAAVSGGVSYASGGAGIFDSTNAGNNIPLSKQLEYFRSTKSQMVAKLGSRAANLLLAKSVFLFSVGSNDLFVFAVAEQKQNKSAAELKRDVATLYASLLSGYSAAVQELHALGARKLAVINVGLLGCVPAARLNDAAGACSGALNQLASGFDDALASMLAGLAARLRRPGFVYSLADYYGLSAATFDDPRAVGYTDIAGACCGGGRLGAEAPCLPNATVCANRDQHAFWDAVHPSQRGAMLTAQNFYDSRPGRYTAPINFKQLALTSL >PAN14685 pep chromosome:PHallii_v3.1:2:53406568:53407416:1 gene:PAHAL_2G429700 transcript:PAN14685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMVFCGTGSFKDVDKEEGAGGAGDGKPAAAAKAKKAGGKNKGKGNPYASRGLDKFSTVLSELESKREKILRRVGPEVDAGHLMVRFVQSDAKGWVPIVVKLPHEEEQAADAKKRQSKPAKPTSRTSTPPTEPASPKEDPAKPAHVAAAAAVAAAAAPKAAVPAKKSKVSGVRWGWAWGRKVRPCHYWPLAMALLLLSLVVFGRVFAICCTSIWWYLLPILSGEEALGATRSPAAKARKDVVNKTGDKLAVAPPPSHGKKNSSGAALEVISPRSHAHRKKG >PAN11362 pep chromosome:PHallii_v3.1:2:19381630:19381818:-1 gene:PAHAL_2G160300 transcript:PAN11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMAIVTVTGGVLAPVFVLLSRIKPVVDFFCRLCDCLRHPQRRPARPVRAPWNKQPAAAEE >PAN14027 pep chromosome:PHallii_v3.1:2:50345069:50348763:-1 gene:PAHAL_2G379100 transcript:PAN14027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAGSILASLGRVRLVDLTACEGLPTDNYKICVSTLMQSLAQYSAAIIQLPPTEGALLRSGLDSARLFFHQRGYNSSEAVHLDDAREWCKTSGYYVDPQMWLEMFDYRPGVTVIEPNCAMELPPSGLPDIFAVLGKVSRDILDAISFSLNLRSCAFTDILDNIPLRSQEVSSSVLSACCHSRPSFEGAQQHSIASQDDGQLLIFTDQDQQMDKTLLTLVRSDRSGLYVKDMHGHWILVDGDLGPNDVVVYPGLALYQETAGYVNPAVYKTEVGNLQGCMFGRCSLVFKLMPRSVARLSGSEMRAAGHGVDAQFQVPIPVNDFMQTGHSVDQLFPKDTEPSSHAEQDSASFNCVMKKKNGSTRTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNIKECESHIRSLDSPCENIRTEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQEIESQPQ >PAN14026 pep chromosome:PHallii_v3.1:2:50345069:50348785:-1 gene:PAHAL_2G379100 transcript:PAN14026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEMFDYRPGVTVIEPNCAMELPPSGLPDIFAVLGKVSRDILDAISFSLNLRSCAFTDILDNIPLRSQEVSSSVLSACCHSRPSFEGAQQHSIASQDDGQLLIFTDQDQQMDKTLLTLVRSDRSGLYVKDMHGHWILVDGDLGPNDVVVYPGLALYQETAGYVNPAVYKTEVGNLQGCMFGRCSLVFKLMPRSVARLSGSEMRAAGHGVDAQFQVPIPVNDFMQTGHSVDQLFPKDTEPSSHAEQDSASFNCVMKKKNGSTRTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNIKECESHIRSLDSPCENIRTEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQEIESQPQ >PVH65153 pep chromosome:PHallii_v3.1:2:53728154:53730147:-1 gene:PAHAL_2G434400 transcript:PVH65153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTSLPLAVADAVWAEIKSAGRASDEHLSILETLFGKNMLRACKIVDERGVRRVTGAPSGRSLFLVMGESKRKEEYLCFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVGEHQEMEVTDEELAHMLAKL >PAN12366 pep chromosome:PHallii_v3.1:2:43662990:43664054:-1 gene:PAHAL_2G274300 transcript:PAN12366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATSSIAFPRDEPACLFRVSLVCRTWHLLLSEPSFLRRYREHHRTSPVLGFLYNKRFVPTTAAEPPLPPPPVDHFSWVALDCRHGRVLLHTMNPTGLVIWDPITGDQLRVPEVPDEPFSHLTGAVLCAANGCDLTDCRRDLFFVVFGGTADEEVMEDASVTWVSVYSSETGIWSVPAWIHLGPISRSHDVMGPSLLIGNTLHFLLEDGRRILKYELGGHDLSVMNTPPLRVGNMALVMVEDGKLGVAGVEGYNIHLWSWRGAAEWVQGRAIELDMMLSMDIGDPSTKLLVVGFSEGANTIFISANAGIFAVELKSDRIRKISGSGDFDAIIPYASFYSPGTSIKLIYMFPQL >PAN15187 pep chromosome:PHallii_v3.1:2:55747735:55754810:-1 gene:PAHAL_2G470300 transcript:PAN15187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARAAAGLILTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKGIDQLILNLGKWAKLYRLASMGFATFGVFLLAKRAIQHFLERKRRHELQKRVLNAAAQRLAREAEGGNGTSDTEPNSKKDQLVLDICVICLEQEYNAVFVPCGHMCCCMACSSHLTNCPLCRRRIDQAVRTFRH >PVH63618 pep chromosome:PHallii_v3.1:2:5214258:5217737:-1 gene:PAHAL_2G070300 transcript:PVH63618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASTGAGAGDGEKPYAHLASPLLAPPQPPQQPYYAYPAAAYAHPAAPPPPPPPTLVFVPAPCSPVLVRLRRLRPRRARGLCRACARTLPLLLALALLAGAAFLLYPSAPAARVEGLRVDRFRADPPALDLGLALRLRVRNTGFVLPLRYRAVSAAVSYRGHLLGSAQARPGSGELAGRGEVYADAEVWVDAGRVLDDVVDFIADLATGSVPLEIVTEVVGSIRVFRFHIPVKGLISCSVNISPETQRIISQDCY >PVH64084 pep chromosome:PHallii_v3.1:2:26406338:26407155:1 gene:PAHAL_2G180900 transcript:PVH64084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIHPAMLYGAECWPTKRRYVQQLSVAEMRMLRWFCGHTRRDRVRNEVIRDRVGVAPIEEKVIQHRLRWFGHVQRRPPEAPVCSGVLKRDDNVKRAMDRSAWRLAINVPEP >PVH64542 pep chromosome:PHallii_v3.1:2:45451283:45453469:1 gene:PAHAL_2G299700 transcript:PVH64542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKLKNYKAFGPQGHQRRFQYHWFSEFPSWLEYSESSGKAYCLLCFLCSKNIKKRGGFDAFTIQGFNNWKKVHDRKNCAFLVHIGSDPCSEHNNSAKECQALLNNLNHIDNIMEVAKFNPEIAAVVLENAPQCAKYTSPDIQKEILSIFALKIRKHIREEIGDQKFSILVDETCDISKREQMAIVLRFVDIDGVLQERFFDLVHVRNTKALTLKAEICYVLSTYGFDVQNLRGQGYDGASNMRGELNGLQALVLKECPYAYYVHCYAHRLQLALVAAAKDVVPVTQFFQKLLFIVNTVDSSAKRHDELHDAQVVELARLLAVDELETGQGANQIRSLKRPGDTRWGSHLGSISSLMDMFNPVSTVLQNLAADSTAGTNRADGDTSFNYMISFEFVFILCLMREILEITEQLGQALQKKSQDIVNAIRLVQTTKILLEKMRSDDGWETFICKVMEFCVDHDIDIPNMDETYILRGGRARRQPNHFTTDHFFRVEVFRATLDTQLAELNLKFNEKVIGLLSICVTLVPKNGFASFQSRRHRVFNLVDRLLRLLVTLPVSTATAERAFSILKIIKTRLRNRMEDDFLANSMLVNIEAEILGDYNYEDIIHDFIDVKKRKVHF >PVH64558 pep chromosome:PHallii_v3.1:2:45705050:45707261:-1 gene:PAHAL_2G303300 transcript:PVH64558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFYEHMDRHYKVPLQDMERCGLSTADDHDRYNHLKTEYHFTVAIAELFRPGTFFKRRFDDSNMQRLITMMNDRDRELIPCDTKFINWEKYLMEIHIPSVMDYESREATRARL >PVH64358 pep chromosome:PHallii_v3.1:2:41377093:41381602:-1 gene:PAHAL_2G248500 transcript:PVH64358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEPSSQNPADMTAFVQNLLGQMQTRFESMSQNIVSKIDEMGTKIDELEQSINDLKAEMGTEMPAKKPDEAKPADSA >PAN15066 pep chromosome:PHallii_v3.1:2:55261318:55266194:1 gene:PAHAL_2G461600 transcript:PAN15066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGKYEMGRTLGEGHFGKVRLARHAETGRAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGKLTEKEARKLFQQLMDAIGYCHERGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLMNKGYDGSMSDIWSCGCILYVMLTGNLPFDDQNVVVLYQKILKGNAHIPKWLSQGAQDILRKILDPNPITRIDLDGIREHDWFKQGYAPVVPFNDDEDISMSEGSLNMTEHNGIQDNIAINQINAFQLIGMSSCLDLSGFFEKEDASERKIRFASNHSPAYLFVKIESIVRRMGFQVHKSNGKLKVIQDCKGPANSRGLESLLVSAEVFEINESLYVVELKKSSGDCSLYRKLCETLSEDLGICKSQQLLKQDSIKQEIGRYNSSF >PAN11017 pep chromosome:PHallii_v3.1:2:12486743:12487603:1 gene:PAHAL_2G136500 transcript:PAN11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINALESLGVTERPRYYNREYEYHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPVWEARMRSLECHRQEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTRSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPENPESSAAAVERDAAAQPLTNGNPQDGEQGSLTLPAPEEGPPRE >PVH63336 pep chromosome:PHallii_v3.1:2:420076:421555:1 gene:PAHAL_2G006400 transcript:PVH63336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRLALALLVVAVAASQLPPAAEAANYTVGDDKGWNPDVDYTAWVKKHKPFYKGDWLIFEYQTGRADVVQVGEVGYDKCDKAGALTSYSKGTSYAFQLKEAKDYYFICSYGYCYSGMKLHVTAKSSGSSSGSGGKQSGGGSSSSSDDSSSDDGSSSSDDSSASPSPSSKKAKAKSSAAPPSILAATPYAAIAATGAAALLHLQRIVL >PVH64173 pep chromosome:PHallii_v3.1:2:34758107:34760106:1 gene:PAHAL_2G208100 transcript:PVH64173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRRRRHPGNCSGAPRWRCEDDHPCCPPPPPPPAFIGYRMEANGYVSLEYLANQTFNTEMRTNTHVWTEQMDRFHALAIELYSKFFSHDPACVLNYLTVCMHGLLTPAIFYSLLYFVLQIGRPVANRLSPVVRQLSSKEIKCENRCFLFAAVSSWSSEGSCVLSLPPLSTTFNGCSRPARGSCLFAGQRINLDSFFCFDVL >PAN12113 pep chromosome:PHallii_v3.1:2:40934074:40938213:-1 gene:PAHAL_2G243500 transcript:PAN12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPRLLCCLFLALSLAAVARAATRHHEWEISYQFKHSDCVRKLAVTINGQTPGPTIRATQGDTVMVRVNNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDVFTYTFVVDRPGTYMYHAHYGMQRSAGLNGLIVVAAAPGAEPFAYDGEHSVLLNDWWHKSTYEQATGLASAPIVWVGEPQALLINGRGRFVNCSAMAAGACNAAHPECATQVFAVVPGKTYRFRIASVTSLSALNFEIEGHKMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRQPGTATGTAILSYYGGRSSPRRPPPTTPPTGPAWNDTMYRFRQSVATVAHPAHVEPPPPRAERTILLLNTQNKIDGRIKWALNNVSFTLPHTPYLVAMKNGLLGAFNQRPPPETYAHQTYDIYAVQKNPNTTTSNGLYRLRFGSVVDVVLQNANMLDANKSETHPWHLHGHDFWVLGYGIGRFDPAVHPATYNLRDPILKNTVAVHPYGWTALRFKADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGQLPREIMGCGKSNGH >PAN09694 pep chromosome:PHallii_v3.1:2:3151150:3154877:-1 gene:PAHAL_2G044900 transcript:PAN09694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAFGYQKGGDLEAGTSGAAGQQRALYPGMQESPELRWALIRKIYVILSLQLLLTAAVAAVVVKVRAIPHFFTTTSAGLGLYIFLIILPFIVLCPLYYYHQKHPVNLILLGFFTVAISFAVGMTCAFTSGKVILESAILTTVVVVSLTAYTFWAVRRGKDFSFLGPFLFASLIVLLVFALIQILFPLGKLSQMIYGGLASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >PAN09419 pep chromosome:PHallii_v3.1:2:1549097:1550918:1 gene:PAHAL_2G022100 transcript:PAN09419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRSSSAMVQEQHHMAKERSLEMKGSDHGEGAEEVVLVGDEEEEDMLPGFRFHPTDEELVTFYLRRKVAGRRLSIEIIKDFDIYKHDPWDLPKSSTISGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAAGRAGDPIGLKKSLVFYRGSAGKGTKTEWMMHEFRLPPRPESPHTSPSEQEAEVWTICRIFRRSISYKKHPQQQQVAGKVSTAGVAQPDYSSSITGSLESDTGDEYTNGSLPPPQAPAINSVNDAGYGYSSQQFQGQWNSSALHAAATAPLPSPTTMAAFHHDVLSSSPAAPDDMYYKDGSSWDDIGRMMMELTDDMFCDSRYA >PVH64514 pep chromosome:PHallii_v3.1:2:44903307:44904699:-1 gene:PAHAL_2G291400 transcript:PVH64514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRSPARAGAAIGISGMQPPSSEASEATNGKRARTVPCSPPDLLCFDPIWQGSRTPTCSSTRSASLAGRRSRPSVHRNAAALPFFLLFLEFAEVAGHISVVRSPLEAGICML >PAN10797 pep chromosome:PHallii_v3.1:2:9837420:9839929:1 gene:PAHAL_2G117600 transcript:PAN10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLSSSAAPFQPADPASRGGMASQQLSSRTREFDHFVVVDFEATCERNSKIYPQEIIEFPAVLVDAATGGLVASFRTYVKPHHHPRLTAFCSELTGIQQEQVDGGVDLATALARHDEWLAAAGADKNRLAVVTWGDWDCRMLESECSFKGLAKPAYFNRWINLRIPFKAAFGAERRNLQEAVREAGLQWSGRLHCGLDDARNTACLLSELMRREESIKKEPSPPEPEPELQVQQQLSPANQNFRWCVGGAAATDCCCYCGVAVIGDVVKTPGPMQGRCFFSCGNWTPLGPMCSFFLWAA >PAN10798 pep chromosome:PHallii_v3.1:2:9837420:9839929:1 gene:PAHAL_2G117600 transcript:PAN10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLSSSAAPFQPADPASRGGMASQQLSSRTREFDHFVVVDFEATCERNSKIYPQEIIEFPAVLVDAATGGLVASFRTYVKPHHHPRLTAFCSELTGIQQEQVDGGVDLATALARHDEWLAAAGADKNRLAVVTWGDWDCRMLESECSFKGLAKPAYFNRWINLRIPFKAAFGAERRNLQEAVREAGLQWSGRLHCGLDDARNTACLLSELMRREESIKKEPSPPEPEPELQVQQQLSPANQNFRWCVGGAAATDCCCYCGVAVIGDVVKTPGPMQGRCFFSCGNWTPLGPMCSFFLWAA >PVH64138 pep chromosome:PHallii_v3.1:2:32367766:32368230:-1 gene:PAHAL_2G198800 transcript:PVH64138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARLFHDDDEPRLALREHYEYTLKTKSGDVVCHNYSTPSHTPDSPAPKPHFRVVGDRTPTPKDKEQAKSVALSPSRSKIRRNRSPPIKRPLGQYESSEEKTPKGPSVAQLVRTILEMHKEHKKLSDQVAKSALELKALRMIMRLFVTVFAPR >PVH63456 pep chromosome:PHallii_v3.1:2:2250268:2250941:1 gene:PAHAL_2G031600 transcript:PVH63456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRCRMLYCTAPDSNSDATPQDMYKRTTRRRNSVVAQCLLPLAINRGSEWPAGGRASSGSPKAAATPFPGAAGSVHAPTQARLAGPEDPPAGRPVRPFFTHILLATPCNQSRHYST >PAN10453 pep chromosome:PHallii_v3.1:2:7231956:7232982:-1 gene:PAHAL_2G095100 transcript:PAN10453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALICLQDWMPALVHSLSSSQFCIIWNRKIFFGFDFNPTLIDSSKFCMEGIENFVELPDSQRMTCLSILCSLSRHH >PVH64766 pep chromosome:PHallii_v3.1:2:48949147:48950244:1 gene:PAHAL_2G355900 transcript:PVH64766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAIHGGTAVRAPPPAPATARGREGEAGGAGDWGEEERELADGMASLSAGAAARLAVFRTVGLRARWLLPAGHGAREKVPAREGRQLAMM >PAN09762 pep chromosome:PHallii_v3.1:2:3600230:3601285:-1 gene:PAHAL_2G049300 transcript:PAN09762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGRRTPPLRPWEEPPIPAALLPPKKRWAWLLRTPAVATAPVPTAPPAAPQIHATPGAAAAVTSPLAAPDPAPVAHVDAAAASPPAAAGQKQPTPLPPLAGDQKPYAPPQPAADGKPSTPPPSKMVRKVVRKVRKVVKKIVPKGTTSATAARKETANAAKAASAAVDGASQLQAVADEDQEPGEFVPEKVATYRNNAVAGSQSSLGEETAAEAAAAGELVVEKKLMVSSKGEEREEVGVSGRQKRMREVFVGGLDGDAKEEDVRAALAQAGEITEVRMIMDPNVTTKNRGYCFVRYREAAQARKAIAELGNVKVVRASDLYEFIFHMIISSEEFIFVISLGKFIRLKKK >PVH64973 pep chromosome:PHallii_v3.1:2:51381686:51387226:-1 gene:PAHAL_2G395100 transcript:PVH64973 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPAT9 [Source:Projected from Arabidopsis thaliana (AT5G60620) UniProtKB/TrEMBL;Acc:A0A178UCY8] MASSSVAADMELDRPNLEDYLPPDSLPQEAPRNLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCLGVVIRYGILFPLRSLTLAIGWLAFFAAFFPVHFLLKGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLRDHVQQPENNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLPPQYLREGETSIAFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLMRLEEK >PVH64280 pep chromosome:PHallii_v3.1:2:38693429:38697246:-1 gene:PAHAL_2G228200 transcript:PVH64280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMATIAAAAARGSSPTRRQLLTRRRLPFSVSFSSTAPHAAAAGFGWTDALRVAGDGGRGDESDLSGYFRKVDKCNRGMDKRGEFVKFLVEDQVVGYVHKGFVKHLRDFHDVFTISGNNSSNTVENVSLQSSLRTPEDRTHAIGEVIKSLGEMIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKDGQKFLWIGKRSDVKQTYPGMLDHLVAGGLPYGISCKENIIKECEEEAGIPRSISTK >PVH64279 pep chromosome:PHallii_v3.1:2:38692385:38697357:-1 gene:PAHAL_2G228200 transcript:PVH64279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMATIAAAAARGSSPTRRQLLTRRRLPFSVSFSSTAPHAAAAGFGWTDALRVAGDGGRGDESDLSGYFRKVDKCNRGMDKRGEFVKFLVEDQVVGYVHKGFVKHLRDFHDVFTISGNNSSNTVENVSLQSSLRTPEDRTHAIGEVIKSLGEMIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKDGQKFLWIGKRSDVKQTYPGMLDHLVAGGLPYGISCKENIIKECEEEAGIPRSISTNATSVGAISYMDIEGFRYKRDVLFCYDLKLPEDFVPNNEDGEVDSFRLIPVPHAANIIRRTEFFKPNCNLVIIDFLFRHGYIHPDSRGYLNLLQSLRSGDCS >PAN15232 pep chromosome:PHallii_v3.1:2:55996675:56002682:1 gene:PAHAL_2G473600 transcript:PAN15232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPAELTSSNVPIDNLPDEILTEVMLRVPTPAALVHAAAVSKRWRGVITTRTGKFLDDYRERHKSSPFLGLYIPREFGGLPSFQKADSIQSADDCDLDLQRAAAKAFSLGGLESHPEWRLLDCFNGRLLLGRGDESLEVYNPLSCERILVRLPQDSILPNNFSACLLQGHDDDAASFRVVSVQHDRRRRDRIVRAVEYDSRKKSWKDHPWDWETLKNIEGTEQGEMMHAGNYVFCKYTGPSLLLLDTSKMQFSILPLPADNNWKRYAIGEMEDGVCCLASVDPVGIWNNNHLRVWRLEKLEWKLEKEMHVGQVLGKHAHGRCLFYKVHAVTNGIALLCSSSSSSMRQLHFVVDLKTFFVKDKFEFKDLAFPLQMPWPPAFSVATVCAEQSAPSTSALKNTSVSFAAGNANVVNEARINVYAMMNHGTTDGNNDNCPELIDGAAPHNCMPSNAPEGLSIQDPGASVPTPTAAEEYFGKENDVPNDYDLEALIPDLCDQVFDLMHPEVTRLHHPERKKSLIRLEQVACCPFAVSNGLLHQYCIKDSESPHIQERKHNWGPIQVCIRRQTCLEDWDDSEEEKPGSYESYSVSLEGITDFQDYMKFQPMDSSNQCGNAVSESNTSVIPGQGGDEHGDFSGRVRERVSVQVVHQSENSLEPDGAICSGFQDPHALEADLVGQYVESRLNKDPEIPCNDDVVILGCSDVYSSHDNGPELGDEGCLHNCVPSNTVKDFCIQEAITTAQTLVRAEECSDKDGNIPNDHDLGLEAQIPVQDLSLCVMWGKTYSSCSRRKKPQKYKILSLRYQLPAGFPPPATTLRDEDSAEAQSNECLSSNAARARREPQRRSNRAPKPNPRVKGPDWGQ >PAN14344 pep chromosome:PHallii_v3.1:2:55996675:56002682:1 gene:PAHAL_2G473600 transcript:PAN14344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPAELTSSNVPIDNLPDEILTEVMLRVPTPAALVHAAAVSKRWRGVITTRTGKFLDDYRERHKSSPFLGLYIPREFGGLPSFQKADSIQSADDCDLDLQRAAAKAFSLGGLESHPEWRLLDCFNGRLLLGRGDESLEVYNPLSCERILVRLPQDSILPNNFSACLLQGHDDDAASFRVVSVQHDRRRRDRIVRAVEYDSRKKSWKDHPWDWETLKNIEGTEQGEMMHAGNYVFCKYTGPSLLLLDTSKMQFSILPLPADNNWKRYAIGEMEDGVCCLASVDPVGIWNNNHLRVWRLEKLEWKLEKEMHVGQVLGKHAHGRCLFYKVHAVTNGIALLCSSSSSSMRQLHFVVDLKTFFVKDKFEFKDLAFPLQMPWPPAFSVATVCAEQSAPSTSALKNTSVSFAAGNANVVNEARINVYAMMNHGTTDGNNDNCPELIDGAAPHNCMPSNAPEGLSIQDPGASVPTPTAAEEYFGKENDVPNDYDLEALIPDLCDQVFDLMHPEVTRLHHPERKKSLIRLEQVACCPFAVSNGLLHQYCIKDSESPHIQERKHNWGPIQVCIRRQTCLEDWDDSEEEKPGSYESYSVSLEGITDFQDYMKFQPMDSSNQCGNAVSESNTSVIPGQGGDEHGDFSGRVRERVSVQVVHQSENSLEPDGAICSGFQDPHALEADLVGQYVESRLNKDPEIPCNDDVVILGCSDVYSSHDNGPELGDEGCLHNCVPSNTVKDFCIQEAITTAQTLVRAEECSDKDGNIPNDHDLGLEAQQIPVQDLSLCVMWGKTYSSCSRRKKPQKYKILSLRYQLPAGFPPPATTLRDEDSAEAQSNECLSSNAARARREPQRRSNRAPKPNPRVKGPDWGQ >PAN09666 pep chromosome:PHallii_v3.1:2:2891025:2894604:1 gene:PAHAL_2G041400 transcript:PAN09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLICGPWGCGGGGGGSSALADATSGRHGATARALLPSLGARSGGRRPRLRRFIVSPYDPRYRLWEHSLIVLVLYSAWVAPFEFGFVPEPAGALAAADDAVNAAFAVDIVLTFFVAYTDRRTFLLQDDPRRIAWRYATTWLALDVASTMPTELTRRILPPQARSYNFFGMLRLWRLHRVGTLFAELEKDRKFSYFWVRCTKLICVTLFAVHCAGCFYYLLADRYPDPLHTWLSASIPDFHGASIWRLYAASMYWSITTLTTVGYGDMHAVNTGEMVFTTLYMLFNLGLTAYLIGNMTNLVVHGTSRTRTYRDTIKAATGFAVRHQLPARLQQQMVSHLSLKFRTDSEGLQQQETLDALPKAIRSSISHHLFFALVQRVYLFQGVSNDLIFQLVSEMNSEYFAPREDFILQNEAPSDFYILVTGSVLVGTARAGDVVGEIGVLCYRPQLFTARTRSLSQLLRMERTAFLRIVQANVGDGVIIINNLIQYLKEKKESGAVAGVAEEIEYMMARGQLELPVTLCYAATKGDDFLLHQLLKRGVDPNESDNYWHTALHVAASSGHEQCVRLLLEHGADPNARDAQGRVPLWEALSRRHHAAARLLADAGADLSSGDAALYARAAVEADDAALLEDAARHGVDVTAACWDDGATALHRAVLQGNAGMVRALLERGADPDREDGAGRTPRAMADELGHGDVQELLSGWRQEVAEGPEQQGPATDHGGRLEAQKVARFQSAPPARVPQCDNAGSSPSPLLSRQSPPRRMVSLRNSLFGVLSSSHVNRHGGGGGGGSLSRHERHTHSSSRVRVTIYCPEQGSSARKLVFMPETVTQLLEQGGSTFGFAPTRAVTTDGAEVDDPRLVRDGDCLLLVTDQWVPDIGIMGHG >PVH64649 pep chromosome:PHallii_v3.1:2:46924986:46927643:-1 gene:PAHAL_2G323600 transcript:PVH64649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTQLHAHHVPLAVLVLLAAAAPLLAGAQPASPDPAADACANPSVDGACHNVPKALRLKLIAIPTILVASVIGVCLPLFSRSVPALRPDHNLFVIVKAFASGVILATGYMNVLPDSFNNLTSPCLPKKLWGDFSFTTFVAMLAAVFTLLVDSLMLTFYNRKRSRNTSGQRAGAAQESRPAPGHGHGTGTSSRRTAVAKPEDDEASKVQLHRNRVVVQVLEMGIIVLNSVVIGLGIGASQSVCTIRPLVAAMCFHQLFEGIGLGGCILRAEYGLKMRAGLLFFFSTTTPFGIALGLALTKVYRENSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPRLQVSVRLQLLSFAAVLLGAGGMSVMAKWA >PAN13061 pep chromosome:PHallii_v3.1:2:46148181:46151150:1 gene:PAHAL_2G311500 transcript:PAN13061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRACWESSSEDVTRALLPVHEDRPTRGRSCSGLRAVLASKYLAAASGPAACALICALVDLGGHPAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITALFCGDPVKPHLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRLPRDELEGGSGSDVREVQRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPEQHPITFSSWMSFGLPISLVLFVALWAALCLMYCSKNTGRALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDGIPGWAVLFDGKVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRKLQWNIILLLGAGFAIADGFKASGLTDILSQGLGFLRGAPALAIAPVACLFSGLITEFTSDDATTTLVLPLLAELGKSIGVHPLLLMVPGAIGAQLSFLLPTGSPGNVVGFGTGYITIKDMVVTGMPLKVVGVAALTILLPTLGSLVFGMA >PVH64698 pep chromosome:PHallii_v3.1:2:47759575:47762845:-1 gene:PAHAL_2G336600 transcript:PVH64698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEGPSILQPSWFSAFTKFPVGHALGRKMVNFSKKLTTDQIPGWEEHYFNYKLLKDRVKEYTEQTKEGNRDRRCVLKDFSKLLDDEIEKIVLFMIEQQGLIAERLEVLGKKKARLQDLPLLQEISELREGYREVGLDLVKLLRFVDINANAVRKILKKFDERLGYKFTDYYVSSRSNHPYSQLQQVFKHVGIGAVVGALSRNLGDLEEREGSYLNIYDQHPLAIPKDPIIDLIKATADKLTNSTNLLRFLGQHALIAQEDTTGSEVEHIEEGKYHFISLVLNLVNTFLYMVNTYIIVPTADDYATSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVLYALAYDLNSLVILLVGRLLCGFGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNFKVSVLTINQATLPGWVMAFGWFVYLIWLWISFREPALDPDVKDFREGSSSSSSARYLEHEEMEQGLAEQLMPSYEDDQTSQGGRLSAPSTLRPASSVVSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWNTSTIAVFLAILGLTVLPVNAVVGTYISNMFEDRQILLASEVVLLAGVLLSFRVAGTYTVAQYMCSALLTFVSAEVLEGVNLSLLSQVMSSRLSRGTYNGGLLSTEAGTLARVAADGTITLAGGLLGRAALLNATLLPSLLICLASIAATMSTYNSLFY >PVH64699 pep chromosome:PHallii_v3.1:2:47759575:47764682:-1 gene:PAHAL_2G336600 transcript:PVH64699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEGPSILQPSWFSAFTKFPGHALGRKMVNFSKKLTTDQIPGWEEHYFNYKLLKDRVKEYTEQTKEGNRDRRCVLKDFSKLLDDEIEKIVLFMIEQQGLIAERLEVLGKKKARLQDLPLLQEISELREGYREVGLDLVKLLRFVDINANAVRKILKKFDERLGYKFTDYYVSSRSNHPYSQLQQVFKHVGIGAVVGALSRNLGDLEEREGSYLNIYDQHPLAIPKDPIIDLIKATADKLTNSTNLLRFLGQHALIAQEDTTGSEVEHIEEGKYHFISLVLNLVNTFLYMVNTYIIVPTADDYATSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVLYALAYDLNSLVILLVGRLLCGFGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNFKVSVLTINQATLPGWVMAFGWFVYLIWLWISFREPALDPDVKDFREGSSSSSSARYLEHEEMEQGLAEQLMPSYEDDQTSQGGRLSAPSTLRPASSVVSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWNTSTIAVFLAILGLTVLPVNAVVGTYISNMFEDRQILLASEVVLLAGVLLSFRVAGTYTVAQYMCSALLTFVSAEVLEGVNLSLLSQVMSSRLSRGTYNGGLLSTEAGTLARVAADGTITLAGGLLGRAALLNATLLPSLLICLASIAATMSTYNSLFY >PVH64857 pep chromosome:PHallii_v3.1:2:49832132:49835676:1 gene:PAHAL_2G371100 transcript:PVH64857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSLIEHDLPMPPHLLSRPLLDAIKAELERLFLDKVIANLGLCVSVYDIRSVEGGSIHAGEGCSTYRVSFRLLMFKPFNGEVLVGRISGYDDKGLQVSLDFFDDICIPGHLMQFGTERGPDGRWMLKTEDNDELYLDLDDEIRFLVSSTKYLPIPIEQKEGDPPFAPMQIVGSIKGDGLGLLAWWAADEEGEEAAEQ >PAN10739 pep chromosome:PHallii_v3.1:2:9492144:9494189:1 gene:PAHAL_2G114700 transcript:PAN10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTRPRAAAAAFLLVLLTSAAVSLATAAAAGHGQQQASVYMVMVKPPTQGVDCEAYQMGILASAVGSEARAKAALVYSYKTVVSGFAAKLTPAQADELQKHPDVLQVLPDMKYTLQSDSNHLN >PAN12351 pep chromosome:PHallii_v3.1:2:42635585:42639019:-1 gene:PAHAL_2G261200 transcript:PAN12351 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Fructose-bisphosphate aldolase]-lysine N-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14030) UniProtKB/Swiss-Prot;Acc:Q9XI84] MAALQPQHHHLLLPRNRPLSLRPPPPRLRLPSRSHRLLSRARAVSAATSTAALEDFRRWLSSHGAGEGKAFPAAVPEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDIGRACGGGGGLRSWVAVALLLLREVARGADSPWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKDYVQSEFESVEAEIINANKDLFPGTITFDDFLWAFGILRSRVFPELRGDKLALIPFADLVNHSPDITSEGSSWEIKGKGLFGREVMFSLRTPVDVKSGEQIYIQYNLDKSNAELALDYGFIESNPSRDSYTVTLEISESDPFYGDKLDIAELNGLGESAYFDIVLDEPLPPQMITYLRLLCIGGTDAFLLEALFRNSVWGHLEMPVSPDNEESICKVMRDACKSALGAYHTTIQEDEELLETENLQSRLKIAIGVRAGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDLGLVGDNGEIIFWET >PAN14240 pep chromosome:PHallii_v3.1:2:51311301:51314440:1 gene:PAHAL_2G393700 transcript:PAN14240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLTDSFESDEKPERERDVEMGNRNPKDNSDYGLKDFFEEVKDIEMLLDKMSNIVHKLQEANEESKAVTKAPAMKAIKGRMEKDIDEVGKIARNVKVKLEQMDRNNLENRKKPGCGKGTSVDRSRMSMTIALKKKLKERMNDFQNLRQAIQEEYREVVERRIFTVTGTKPSEEVIDRLIETGSSEQIFERAIQGTGRGQILATVEEIQERHDAVMEIEKRLMELQQIFADMAALVDAQGEILDNIENQVQNAVNHVVTGTEALRSAKSLQKKSRKCMMIAIILLLIIAVIIVLSILKPWAK >PVH64034 pep chromosome:PHallii_v3.1:2:23165256:23166155:1 gene:PAHAL_2G170700 transcript:PVH64034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSASALVFQPLSAGSPRLSLDFLSADAATSGFTLFDSHNGLLLLLKETGAGPRFLVCDPVSRRHAHLPSLPAAALSAGEFLGAAILSRAAGSGFEFCAVCLTVHADRPRLWLAGSRDGEGSWLGLPPSRDFRIKWPLSWAENHCVRAAGSIYWHIINDSALALDPNTLQLSYLRAPPMVWDDLGFPNYRIGDTPGDGRLCFAALESQVLRLCARRAGSVDGWVLERKASLTEAFDSVTDLPMDCTWLGDIDPGRTGKVFIRSFGHGHFSYDMDTGKLDRLMTDDGQEYGHPIFAYFM >PAN10441 pep chromosome:PHallii_v3.1:2:7258922:7259947:-1 gene:PAHAL_2G095400 transcript:PAN10441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALICLQDWMPALVHSLSSSQFCIIWNRKIFFGFDFNPTLIGSSKFCMEGIENFVELPDSQRMTCLSILCSLSRHH >PAN12804 pep chromosome:PHallii_v3.1:2:44931652:44935821:-1 gene:PAHAL_2G292200 transcript:PAN12804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGASDDEVLFEMAQFIRVYKSGRVERYFGSDPVPASTDAATGVASKDRAISPDVAVRLYLPPAAKETEDDGGSRTKLPILVYFHGGGFCLHTAFNFVFHAYLTSLAARTRAIVVSVEYRLAPEHPLPAAYDDSWQALRWVASHAAGSGGEEPWLADHGDFSRLSVGGESAGANVAHHMAMRAGTESLPHGARISSAVIVHPYFLGAGRVASEETDPAMAQSVATMWCVVCPGTTGVDDPWVNPLAAGAPGLQGLACARVLVCLAEKDVVRDRGRAYAEGLGASGWAGEVEVLEVAGQGHCFHLVDFACAGAVAQDDAIARFVNL >PAN12908 pep chromosome:PHallii_v3.1:2:45467955:45473569:-1 gene:PAHAL_2G300000 transcript:PAN12908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVAAVVDLGVLSQSDLVALAAASPYAVDPRRGRRRDGDFLPPPKIDRAVFNESAGSRKQTFSRHRPATNISHNLTPATAAASSPAAAAVAPAEEDSENRLIVFHLRRLFARDDPSYPVPSAIPPRQETLRPPGPLRLPPAAAATDPDREVLNPKGVAVDLARLAGLVDPYGEELRQRTAGLGSESELLGFMNALEGQWGSRRRRRKFVDAGMFADHLPRGWKLLLGLKRKERMAWINCRRYVSPKGHQFATCKEVSSYLMSLLGHSEAKPTATQTNSAGVHDLDVNSAGLHQQTIAIEQRQLAVPVPSVTLFSHSGGSHLQNLQKVEAQIEVNPKECRKCSLTFHDQGAYMQHQLSFHQRKAKRRRVSKSSELGTNIDGNYETQQKTSGEVPGNFSHGVADVKYQGQSRAKLNDGTVSGQLGVQSSLKPVSFRFQELTMLPQQEKEPSAGEPVSMNHKGPRKEMTGFPEQEKGTAAGEPIPGHRKDPKETTGFPEQEKVPAAGETIAEHHMDSLKETSSAAELEKGPSAGEPASGHHRDVDNSDDHRTHDGTCDNAVASLFVHAESKLSTCNSTNVHENDCSKDLELSNTDYPQKFNRSDETYGVHKEVSPTVADPVESKSTNDLMGCTDITPAEQVSQPYDLRHGKFGSSPEGNDFHKQLESNPHPATRDETDLNSIGMEVDGGNITSNVDNPTSFKSEEPLDNKIMDCEMTSLKDDEIKNGVRIRDVNLNSCSDTISSPVSGGNYETSNAPDDAVRSSIIAQCFGTNSNEENAGKGENFVNQKNDMVYQSNLTMGSTPAAQINVDCFTSSCSMTPEIKNYGSRGENDAKEALVNSQNMTSNETGFDVEAYNSDIFNSTITESSLAQLNNAINMKNDFASCYSLSDLNTLTGGNATDEIDIHGMRSSFVSSTSRTDQNEHCTLDFDIKGSMLEALEKSDSDLENQYNGAGPSCDSLPAAGTSGNIDEFMSMQTNFGSFTSLVRAVEDVPLSRILQDQCDLQLGFGGPKQPTYPSFEQQLRMASAGAPPYGNMGRHDAVPVPEPTLMLGYAPPLGSCPSPFQLGWGPSYSKMVGVLQSVCVWCNSQFQHFGTVAEQQADSLGYICPSCKGKFSGHLGINGPSI >PAN09506 pep chromosome:PHallii_v3.1:2:2086455:2088564:-1 gene:PAHAL_2G029800 transcript:PAN09506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARAQVIRALLARCSAKCPRRSSSPSPSSSSAASFSSIRGTAPSPSAPSPLYRRLFPASQTQTRSLASEAARGGVGGREGEEEEEEEAREWAVEWEDSEDDGYDPEIGDGGDGGGVVLRDVKWGERALAAAQEVLAGHFGDDVEMFAFKVSPKGYVYVRLDKLTNMYGCPDIEEIESFNRLYKQKLDEIIERGEIPLDLAVEVSSPGAERLLKVPEDLDRFKDMAMRVQYLVEGDNNLVSKQNLLKDGIFLLESVDTRAEHCIWKLADVKENRAEAGKGRPLNRKQKDWRLQTSFPSVKKVTLYLDSN >PAN11104 pep chromosome:PHallii_v3.1:2:13431312:13436793:1 gene:PAHAL_2G141600 transcript:PAN11104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPNRDSNMQQLVPIAPPGKASGSDTGKELVVVDPAGKGSGGVKLREDDEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRRELAEFELRREERLKAAEERTAKKRLKRQKKKQRKKEKRTKTGNDGEELNRVESSDDDEGSDDDGKSKQ >PVH65318 pep chromosome:PHallii_v3.1:2:55270255:55273309:-1 gene:PAHAL_2G461900 transcript:PVH65318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIKDASDKKILVDMLIWAIDNPPPANYLLISGDRDFSNALHKLVMRRYNILLAQPPNVSQALTAAAKHVWLWKSLVAGEPPLAESPYISSIANGNVDHSDALKNMLSDSSDATPHNSTQVPNSIPFDQQKGGNGKGEKQYKVRQPRKNQSDNVSKPTRTEENSVGGVSDSSKGSAACQPNQSHMPSSSSSSLPSSDSQDGTQVDQLNTPKIQPFSPSKKPAKSALSHQKSAPHDFYHGKKPGVSTASSSKNGTSDVNSHGHPKYQKPQSSQQPRPQNPVNHHPHGGSGNFQSSNSHRSNSCPAPAGHNGIPTAPLQSWPGGPPYGPPINYPDMSRMNISEYPRGIHNNQGLNVNYHPTNPGAPHMAQHGYSDYSYRPPTQPNIPSNMQNTGHWGANLGCPQPSSDPQGLVKYILGALEVLKTEKIPPTEQNIADCIHYGDANLPNFDVKKALQVATQHQAVVMKKLGKMSFFLGKNENLWNCVNIMDDNAKYPKETLDAVHTFISSAHGYSGIKNSQSRYQAATMLKKTCLKHLALAEILQVLNIIINKKKWFVPHSSGWQPLSFNIIVADATTHASGKA >PAN15069 pep chromosome:PHallii_v3.1:2:55270255:55274696:-1 gene:PAHAL_2G461900 transcript:PAN15069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYAAVKTSVWWDIENCQVPRGCDPHLIAQNMSSALAAAGYLGPIAISAYGDTSCVPNHVQHALSSTGIALNHVPAGIKDASDKKILVDMLIWAIDNPPPANYLLISGDRDFSNALHKLVMRRYNILLAQPPNVSQALTAAAKHVWLWKSLVAGEPPLAESPYISSIANGNVDHSDALKNMLSDSSDATPHNSTQVPNSIPFDQQKGGNGKGEKQYKVRQPRKNQSDNVSKPTRTEENSVGGVSDSSKGSAACQPNQSHMPSSSSSSLPSSDSQDGTQVDQLNTPKIQPFSPSKKPAKSALSHQKSAPHDFYHGKKPGVSTASSSKNGTSDVNSHGHPKYQKPQSSQQPRPQNPVNHHPHGGSGNFQSSNSHRSNSCPAPAGHNGIPTAPLQSWPGGPPYGPPINYPDMSRMNISEYPRGIHNNQGLNVNYHPTNPGAPHMAQHGYSDYSYRPPTQPNIPSNMQNTGHWGANLGCPQPSSDPQGLVKYILGALEVLKTEKIPPTEQNIADCIHYGDANLPNFDVKKALQVATQHQAVVMKKLGKMSFFLGKNENLWNCVNIMDDNAKYPKETLDAVHTFISSAHGYSGIKNSQSRYQAATMLKKTCLKHLALAEILQVLNIIINKKKWFVPHSSGWQPLSFNIIVADATTHASGKA >PAN14062 pep chromosome:PHallii_v3.1:2:50505979:50512346:-1 gene:PAHAL_2G381800 transcript:PAN14062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSAAAGRSGAVMRTAVVGLVLVGVALGPPLAAALRPLRERVASAGAASSAGLWGDEHAFFKRDDNDIGPYSWNITGTYKGSWAFAGATNGSSRFLEFVKSKGDSVLELLSTPTKISGVHYVQGSITFHDVIDNAHDHGLAQIRLEGVYIWPFRQLRIVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQESSEEKNRRKNSLTYDMEKHCNTEIAAKVVRVSSNPNDGEHEKYRLEGLMESPAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLLLMYSFWVPQIVTNVIKDTRKPLHPQYILGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIAVTAFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDSTNQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >PAN10735 pep chromosome:PHallii_v3.1:2:9443778:9445501:-1 gene:PAHAL_2G114500 transcript:PAN10735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASRVVWVNGPIVVGAGPAGLSVAACLRARGVPSVVLDRADCVASLWQRRTYDRLRLHLPRHFCELPGMPFPDHYPEYPTKRQFVDYLEAYAARAGVEPRFNQAVTSARYDAAAGLWRVRAEDAAAGDAATEYIGRWLVVATGENAERIVPEFDGAEEFAGPVSHVSEYKSGEAYRGKRVLVVGCGNSGMEVCLDLCDHNALPAMVVRDSKVHVLPREMFGVATFSVAVFLLRFLPLWLVDRILVLLARIFLGNLDKLGIRRPSGGPLELKNTRGRTPVLDIGALDRIRSGDIEVVPGIKRFFRGGAELVDGRRVAADAVILATGYHSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWEQQTSTL >PAN10734 pep chromosome:PHallii_v3.1:2:9443243:9445750:-1 gene:PAHAL_2G114500 transcript:PAN10734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASRVVWVNGPIVVGAGPAGLSVAACLRARGVPSVVLDRADCVASLWQRRTYDRLRLHLPRHFCELPGMPFPDHYPEYPTKRQFVDYLEAYAARAGVEPRFNQAVTSARYDAAAGLWRVRAEDAAAGDAATEYIGRWLVVATGENAERIVPEFDGAEEFAGPVSHVSEYKSGEAYRGKRVLVVGCGNSGMEVCLDLCDHNALPAMVVRDSVHVLPREMFGVATFSVAVFLLRFLPLWLVDRILVLLARIFLGNLDKLGIRRPSGGPLELKNTRGRTPVLDIGALDRIRSGDIEVVPGIKRFFRGGAELVDGRRVAADAVILATGYHSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWEQQTSTL >PVH64890 pep chromosome:PHallii_v3.1:2:50191231:50192497:1 gene:PAHAL_2G377000 transcript:PVH64890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWILRGCRDECSASDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMSQVGSVQLRINTKEKMIADHMGNK >PAN15386 pep chromosome:PHallii_v3.1:2:56679898:56680339:-1 gene:PAHAL_2G484000 transcript:PAN15386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGAGVWVFRNGVMQLEQPAAASRKALVYVPTNEVVRSVEALERRLGTLGWERYYENRSIVQLHKRDGGADLITIPRDFASLRSTHMYDVVVKNRDHFKVVDA >PAN15347 pep chromosome:PHallii_v3.1:2:56522115:56522468:1 gene:PAHAL_2G482000 transcript:PAN15347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLSAVASPLPVAGLRKPLGAAAASFQPLQPRARPAARMAVRASASMKEKAAAGLTAAAAAAALVLPDVAEAAQSGLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRA >PAN09202 pep chromosome:PHallii_v3.1:2:300604:302811:-1 gene:PAHAL_2G003900 transcript:PAN09202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQVESNESKQLQEQVQNLCDAMEKEVDSNLPGRDDLEKICEVLKEIKGKITPVLKDGEIEEQQLLPLSKREELGNLLPTIGHALEQRKQPQRRRREPEVEHPAGMSSAGCYPCKPRPSQQQSKLQEDDEAVSLKLLLRLTQNVLEPEQYYEWTTSYVDESRIYGWGKEADEVADALVAPEDGEKSLFRAAGIAGVHGSGKTALAQKVFVHDKAKDNFALRLWVCVGPPDSEDRFGLLYRMLDNLGLDTAKVEGIVHNSNVVKKHRDDEEARIRNDPAKVAEIQKKAADMMKHKEEIDTKKKKNKEEQDGSIFDQLLKEEAEESPEVQKSKIGVLLYILHMSLSKTSYLIVFDDIRAYGDDGWYSNLTLPPPPEGEWGDRLGYGLPKGKHRGAVLVTCRKEDDARSMVRTGRVVRPPRLRLDDAWKLFRREYDQAKDAANKHERRRRRHAPQGAGGDEGGDREQVPRPAGGHRRGGQGLRSPPTLAGC >PAN15218 pep chromosome:PHallii_v3.1:2:55929084:55933624:-1 gene:PAHAL_2G472500 transcript:PAN15218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTTRTVLPLLALAAPLCLALLLPGAAAASKARPRQGDYLNRLRGSPASGRQSWQAESSLAVAPPSGRAQRHAAPVGVGRKEDDRVDRLPGQPEGVDFAQYAGYVTVDAAAGRALFYYLAEAAGNVSAASRPLLLWLNGGPGCSSLGYGAMEELGPFRVKSDGKTLYRNPYAWNNAANVLFLESPAGVGFSYSNTTADYSRSGDNKTAEDALLFLLNWMEKFPEYKGRDFYLAGESYAGHYVPQLAHAILRHAAKASSPINLKGIMIGNAVINDGTDTKGMYDFFWTHALISDEAADGISRYCNFSAGAADSDKCDAATTEANEALQDIDIYNIYAPNCQSAGLVTPPVTASIESFDPCTDYYVEAYLNDPDVQRALHANVTRLDHPWSACSDVLRRWTDSATTVLPIITELLSNNIRVWVYSGDTDGRVPVTSSRYSVNQLQLPVAAKWRPWFSSTQGAGEVGGYVVQYKGKEKGSLSLATVRGAGHEVPSYQPKRALVLVQSFLAGKALPDCKKCEQS >PAN10442 pep chromosome:PHallii_v3.1:2:7129338:7140626:1 gene:PAHAL_2G094500 transcript:PAN10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWMQSAPHGRRSRAKASAGQGRCWSAGGSPEEMTGAVLAEKGRAAAWLDRMRRLQLPARTLQSHLHNSHHLNSRNVWKRCHWMALVLCWVLGWSVFSFWIVSSMRSQIVEMRREVLANMCEERARLLQDQVKTSMNKLQALTIVVSTFHDSKIPSAIDQVSFARYAERTAFERRPLTSGLAYAPRVMHAERELFEQQQAWSIKMVRSSTKTMNSSYERSPEEEEYAPIIFTQDAYKHVVSVDMLSGNEDRENVLRARESGKVALSAPFKMHNNHTGVILTYAVYSSKLRSNATAQDRVQSAIGYLGGIFDIETHVDSLLHQLAGKQSIMLNLYDTSDDSPISMYGTNETADTSGLCHTCSLDFGDPSRQYQMHCRFLQRPPWPWLAIVSSCGSLVVSLLIGYIVKGADVIQAKHGLMSVEQQDKLNDTERSTKFITNVKELLSSASNIQSLSSRLSNLNIKLGSISLLGLVLCILLIAAFDRPFNQGSGKRRGDGNIMLKKFGWSRRRLLVSTLHGNTDGKASKYQEETTQIQNGTVRPHLQAHKSNILTTVGPWIAIVSVIMVVLGIAIWLLLWCNRRKRVQQNDLELLGGITGPRRFQLHELAAATSNFADEKKLGRGGFGHVYKGYLRDQDLHVAIKVLSKKQSSQEQSEQGLREFKAEVKVMAQLRHRNIVKLVGWCDSKKRLLLVYELMAQGSLDKHLYDPEKLLTWQQRFKIVLDLGSGLLYLHRDCENCIVHGDIKPANVMLDASHNAKLGDFGLARLVEHGGEPQTTQVVAGTPGYIDPEFVNNRWPRTELDVYSFGVVLLEIACGKPPASRQPNGASSLLAWVHGLYDHGLALDAADRRLNGEFDRQQMERVIVAGLWCAHQDSTQRPSIVQAMDVLRSADAEMPVIPAAREARHIRSMEEQAYSDLPVEDRSVRGVTPSAYFTSKDSVYLLAEE >PAN12912 pep chromosome:PHallii_v3.1:2:48853431:48859297:1 gene:PAHAL_2G354900 transcript:PAN12912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGQSKMEEELAVRHCRERSELLAQAIRHRYTLADAHHAYAESLRAVGAVLHDFLRGVQSLPPPPPEPELRLPQQRKGDGLPAASPPAIASSSSAAPPVAKQVRIAPDDGHIHFHSDDDSDSEGGHIKFHSDEEPDEAQRRPEVVRSAGALGPPPPQVGPPYDSGYAPPPYGPGYGYGYGSGPGLGPDYGGMGMNGGGYEPGYGGMGSGGYGQSYGGMGGGGGGGGYEPGYGGMGSSGGAGGGYDPSYGVMGSYGQSFFNISYARNQPPPPSVSHEQRLQATDARVHYYSGDGDPQPPPRGYGGGYPYPPQSSSSYNQYAYGGYYGGGGAPAPPADIPSSSREPAAPPPPPSPPRVSTWDFLNPFETYESYYEQPTAAAAPYTPSRSSKDVREEEGIPDLEDEDQMEVVKEAYGDEKHSVKGYMGNGKAAKEEGRSSTGDELPRKSKSSEASSSGSSLEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPTGLEKIYVDDTEVVVELRTQFERASESAGEVSKMLEVGKMPYYQKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKTEEKMRVLYDRKREELKMLDEKGAEADKLEATEIYIRKLSTKISIAIQVVNTISQKISKLRDEELWPQTCELIQGLMRMWSVMLECHQIQLHAISQAKNIDSMIDAAKFGDAHMDLIKRLELQLLDWIACFAAWVNAQKSYVNTLNEWLRKGVDYVPEETEDGAPPFSPGRLGAPPIFVICNNWAASVARISEKEVVEAMQAFASKVLSLWERHRSEQRQGMMANKSMDRDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQVLHEGGPGAEIGGLQTSLKNIFEAMENFTAASVNTYKDLHLLAEEEKARVAQESGRVS >PAN15113 pep chromosome:PHallii_v3.1:2:55446502:55449146:1 gene:PAHAL_2G465600 transcript:PAN15113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLLMLPLLAAAFFLAAAASASAPVPAAAATTPAATRTANRSGGCYPRFFAFGDSLIDTGNFIHYSTAPGPVARSPYGETFFHRPTGRWSDGRLVVDFVVERLGFPYWTPYLAGKTKEDFRYGANFAVASGTALNQLLFKKKHLDVGGITPYSLGVQIRWFEKVLAMLGSTEHERREIMASSLFLVGEIGANDYNHPFFQNKTREWVMPLVPRVIRSIGMALEALIQLGARTLYVPGIFPLGCVPRYLFLFCNSSTADHDPATGCLRWLNDLTTHHNSLLQAKLAELRRAHLDVSLVYVDYYDEVLSIVSAPARNGFTPATALDACCGGGGFHNANFTVHCTEPGAVQCPDPSRYVSWDGLHMTEAVYRIMARGILDGPFAVPPIVSRCKTY >PAN10557 pep chromosome:PHallii_v3.1:2:7990713:7991389:-1 gene:PAHAL_2G101400 transcript:PAN10557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRRGKNTITRTACLALSLLLLLLVLLVTPAHSRPQGLTPTISGQKGSELRAWRKDVVIRPDRTTDLAPPAPKANSNVPGGPFG >PVH63690 pep chromosome:PHallii_v3.1:2:6279357:6282348:1 gene:PAHAL_2G086500 transcript:PVH63690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MAAAAAATTTFLPPLPTPRPRLAATVRRPPPIFTGAANAVPLPEDEDSSDDDDGDAAAPRRSARKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAHVAAKKADLEVSMLLRDDLVCRSINNSHINCKYLPEHRLPENIVATTSAADALAGADFCFHAVPIQFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSKIIPRALGNRRQPFIVLSGPSFAVELMSKLPTAMVVASKDKKLASSVEQLLASPNLRISTSSDVTGVEIAGALKNVLNRPCLHINSFQIVVYDPIMWYKSALLYGAIEHRKKSEAGFVPQMVTVSRQFLFCNSKTGCFEDV >PVH65235 pep chromosome:PHallii_v3.1:2:54147762:54148911:1 gene:PAHAL_2G441500 transcript:PVH65235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPATPARKLLLPGSSAVARRVAAGFDLDGAGDFFSGLWQLVRSKAAELVAYLAALFSALARKLRSTSSFRRRPAPTHSGSGCTSPSPWRSRPRWASSCFSTSPDAAGAAAAARAEAAAA >PVH64434 pep chromosome:PHallii_v3.1:2:43067615:43068693:1 gene:PAHAL_2G266700 transcript:PVH64434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHADMGSPKRIARDKATRARRVPSTSPAGLPTRRVPRMGVTSLFLPRPVHYPSVPAAHQEPPRQHQGSKTTKGRPRPPSRGVQIIERARTATAPPPPPPRRRGVPATGRALLVHRRPLEKTDGEHYCLAPAGDTHYFWSP >PAN09443 pep chromosome:PHallii_v3.1:2:1734435:1734809:-1 gene:PAHAL_2G024100 transcript:PAN09443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLSSVGESRKNGMPDLPLIQCPDCRCRMLKGKMARTEKNFGRFFFVCPSRQRDGTGCQFWRWDDEYKQYLMTKGHVPASYQPIFSSNLPLVQNRGIVA >PVH64126 pep chromosome:PHallii_v3.1:2:30850656:30852566:-1 gene:PAHAL_2G194600 transcript:PVH64126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYMVGQQGNLPENSHFVGRTSHCAPVDEEPHVARNNPVDIDGDDETEEVRTDVRLIWKPEEDGRVMSAWLKHSIDSISGNNKKSEKYWLDVQKEYNQSTPKIRWRTTKQVKNRWHKINRFTNSFNDCWLKVRKVFTSGYSDEMRLAHKFYEEDNQGSHFQLMNVWYMVRNEAKWMCYNDQLQGKNQSKRRRWTVQLHKED >PVH64036 pep chromosome:PHallii_v3.1:2:23209534:23211039:-1 gene:PAHAL_2G170900 transcript:PVH64036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTAATTVLDIVELSFSDLVLLLSPETPPDDHCRRRVLDTKHGLGSNVPLKKLDRSVSSFAKLLRHSGKLALLVLINNTESISNGIVCLEKMHDFDGSEESNCDDDMENLGELVTELGLYMMEPGNLIARACDIVIGGGQLEKSITDFVTAKARLIHYHSELDNIIIRENENRTRKCSLKKVAVKPYQLGSQRRSGSLCPCCIKSEDMTPEMARKDNNSRDTSVQDQAAEISLLNLWQEWHYDFGMLTVLTAPLFLSASEGEQSFIGQEYHHPNGHTHLQLCNGRKIFSVKCSPDNFIVQVGDAADILSSGKLKSTLHSVSRPLSFTDISRETFVVFLQPSWDKTLSHRGYCLDTEEQSSRNNETSIISNGLAGSYDDDVHTQDILEKIPPLSSLLREGMTFAEFSRQTTKQYYGGGGIQHNN >PAN13567 pep chromosome:PHallii_v3.1:2:48360669:48368272:1 gene:PAHAL_2G347100 transcript:PAN13567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGIDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPFTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTKKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKDT >PAN11585 pep chromosome:PHallii_v3.1:2:28216209:28216943:1 gene:PAHAL_2G185800 transcript:PAN11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAHREYVAGGTLQCDMMVFVERSTRYPDVDPWFISTAGFRFPDTHRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLRQLIRGIEKITQELEEQRTRAANAEYSLAALQARMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN14784 pep chromosome:PHallii_v3.1:2:54289284:54290939:1 gene:PAHAL_2G444200 transcript:PAN14784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERGDQEPAAATSSGASDWLDESIAFLAADLDVGLGAYGWLQASAEEEQQQQGIDSMVAEARPPPAITLPQSGLGPVSTSVASSPVASPQEFGQPRKRKSPQHSSQRSPVGSGGGGRGSPELDRGGTSCNRKPSKKGSAKAGAASLGRDARWAEQLLNPCAVAIEAGNLPRAQHLLYVLGELASFSGEPNHRLAAHGLRALMQRLPHAVGPAAAATVKMPSCECPTPVFSGVDPLLFRASLIRFNEVSPWFAVPNVLANAAIAQAAAAAGAATEPRQFHVVDVGVSHGVQWPTLLEALTHVPRGSTPPSVRLTVGGPAAAPPVPFSASPPGYDCSPQLLRYAKSINLDLAIVQAPSLDTLHGIRTPGQTLVVCLQFRLGHATADEQVAVLRNIRSLNPELLVLAELDCGGRSDGSAASEFAARLEHLWMFLDSTAAAFKGRDADERRVMEAEAGTALAATARWGAAVGGGREAWLARLAAAGFEETAFGGEAVETSKALLRKYDGGWELVPPSPSAGAAVGLRWKGQPVSFCSLWRPTQASPELIWRGA >PAN09823 pep chromosome:PHallii_v3.1:2:3860195:3863302:-1 gene:PAHAL_2G052200 transcript:PAN09823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper transcriptional activator, Grain fillin [Source: Projected from Oryza sativa (Os07g0182000)] MDHAISMEEILDPFWDLPQPEGSPVTGNGVIDGVVTHGDGGCGNTVDQSSSEWSFERLLEEELQLADASAGGNSSSGGSALHAEPVVEVDHAAMAPMAVSALGNAMEYNIILKRKLEEDLATVAMWRASSVVPPGRSQGSTNYIGGNRNPVQNKSNGEGPINRVRNAYIRARFATRSSSREPSPSDDDDMDGEVEILGFNLPTEEKVRKRKESNRESARRSRYRKAAHLKDMEDQVAHLRVENSSLLRRLAALNQKYTDATVDNRVLKADMETLRAKVKMAEDALKRVTGMSSSQPPRPLHQVPANADASGPILDNIIDYLMSSTDATADNNFVPRTTAPAPLQAEKPTSNGASNSAMLNRIAAHHGAAVELLQKRLGAMPASSDSTSPESVPSGVDESMSVDGY >PVH64458 pep chromosome:PHallii_v3.1:2:43587753:43588805:1 gene:PAHAL_2G272900 transcript:PVH64458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVDHRRSTQLAWDGGACGWSVVSEPSSVLTSLHMLAGCAVACRAPSQVATLDHRLCLSVQRLKTIYMPSLSLSLSLSLSLSLSLSLSLSLSL >PAN13980 pep chromosome:PHallii_v3.1:2:54349435:54350460:1 gene:PAHAL_2G445400 transcript:PAN13980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRNCASYLCVNTVDQQMPRHGVVGMSATTIQKSPRADDTYVSRCMVDVCALWGCDGEISLSIIQLCL >PVH65022 pep chromosome:PHallii_v3.1:2:51894402:51896158:1 gene:PAHAL_2G404300 transcript:PVH65022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQICVSRTLDLEARIAAPNLADVDLRDDMGRYEIAEAGRHLQRLVVNLRFPVPAILRRFDTIGELIVRLLIQSGREGYNTFIKEVRGLDCETLVLRSMWTVHQFEPSMLHLLRICNGLKKFVVTLRCSELIFACTSDCPRTWPESYRNDNISLDSLEELEINGFTGKDHQLDSVNLLVGRNAPLLKGVVFRLPPRDFLKCSNIIRDKIRGMLPPGLELWACLENRKSFGILDELNLYA >PAN10249 pep chromosome:PHallii_v3.1:2:6017630:6018789:-1 gene:PAHAL_2G081500 transcript:PAN10249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRITPSKLPLLAIFVLAACLLLLPLAAAAATAVAKAIDASKTQRLELPGDTTAIGPESVAFDGHGAGPYVSVSDGRVLKYDDGGWKTFSYSPSYTKNSCDAFSELPPVARESSCGRPLGLRFHLDSGNLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDVDQVTGDVYFTDSSTTYTRAQHQMVTATGDSTGRIMKYDPRTDRVTVLQSGVTYPNGIAISADRTHLVVALTGPCKLMRYWIRGPKANTSEPFADLPGYPDNVRPDGKGGYWVALHREKFELPFGLDRHLLAIRVGVEGEKLQEMKGHKNVRPTEAVEREDGKIYLGSVELSYVGIVST >PAN14398 pep chromosome:PHallii_v3.1:2:52041985:52043193:1 gene:PAHAL_2G406600 transcript:PAN14398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAGAALPFSDDILAEILIRLPALEDLGRACASCPAFRRVITGRGFLRRLHTLHLPSLLGFHIFSAQFHPVEPPYPAARAARAVADAADFGFLFLPGPGFWMVRDACGGRFVLHRDEGTGPAFNTIAVCDPLFRRYVLLPPIPDDLAAAVECDVFFAPCGEREAAVAVAGALESFRVIWMAQCRTKLVAFIFSSASRQWRAIASPSWGYLNPGMAPVTERSPLHWLSYAYGCFYWLCSRLPYRSNLIVLDMGRMEFYRVRHPSGYMLEECAMVELEESRHGLFNFISNRPNHGEGASEWVLENRVLLSPKYKLNMLGVADGKLLLHKTWFGCISLDFKTSQLQWVRGMIQTGSCTMLALYTGYPPSLSLPTI >PAN12310 pep chromosome:PHallii_v3.1:2:42347329:42350764:-1 gene:PAHAL_2G258200 transcript:PAN12310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPGARAGGGVSLARLAVALCCAALTLALAPRAAQADLAADRAALLAFRAAVGPRLPWDASAASPCGWRGVGCDRAGARVVALQLPGASLAGAVPLGTLGNLTALRTLSLRLNALSGGIPADIGGCAELRYLYLHGNRLEGAIPEGFFGLSLLQRLDLSNNQISGGVSPEFNKLARLATLYLGNNRLNGTLPADLELKNLQLFNVSGNLNLTGPVPASLVSRPASAFSDTGLCGGPLSPCPTPPPPSPSSPSPPPPAPSNGSESGKLSTGAIAGIATGAAVAFLVLIGVIFFLCFRCQRTKADRSAGMAADADVDGSPISVTVVSMDKSATKRSSHAPAGNAKKLVFLGAAPDTPYDLESLLHSSAEVIGKGWLGTTYRATLEGGAATVAVKRLRSAPIPEREFRDRVTALGALRHENLVPLRAYFYSREEKLLVYDFVGAGSLCSLLHGSRDGASPARLDFTSRARIALAAARGVVFVHGAGARSCHGNIKSSNVLVTDARDGAYVTDHGLVQLVGAHVPLKRSTGYRAPEVSDPHRASQEADVYSFGVLLLELLTGKPPVNSVPGSSDGVDLPLWVRTVVQEEWTAEVFDASIAVEERVEEEMMRLLQLATECAEDRADRRPPMVEVAARIEHIVDSALRKADTDDDFHSISP >PAN15571 pep chromosome:PHallii_v3.1:2:57624411:57630415:1 gene:PAHAL_2G498800 transcript:PAN15571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGSTGHHNHPISAVPFEALHNGSWHGVNSIRIRNGGLFVKFVCCGSSVEHNIDGDNLRLHSRKATCLDCSHVLRPGADVCVKQASSRGETKSSVPLYRDARLIKITKKHYADQCLCLFAVIFYKDQCPGSKGKVIAGTIAEVVTIGDVFILQKLQYGELQDRSVQWSSAEDCLHHNRSKLLSARFSTEVTHLIVLSILRGMEFNIKVVEGKIIYQITKRDEVQYSVDSMSIPPGFGKNMDIISYKPRDEALRPRIRTVPVIQVNKQNLAEYGCTTEMNELDSAQDVEVLYERVDLRRSKRMKTQPDRFTSYDAPNFNRTYKKKEDNASSTMNENSESDLDWDSPVQEESGNEEVLGNSGVKQTAFSPFMVKEDPRSMDGQRKNPMKRTQCSSPVKEKPTSVEIEKNTTEQRCSDSHVPHTPAKNKEKYSRPPLSFRLKPFTSSHSLGGNSEPAFCQKRGRKRKRHMREREYKEMIDQCIGNIQCEIERDSDFKLDVQIMNCSGNAYRKEDFTWSSSTDSQEEKDEFEELWKEMDYALASLELLEQNQMPDSEATHESDTDLGKRGEHCHHDCILDEQLGLTCRLCNVVCTEAKDIFPPMFTGKDHERPGRSEFCQHDHVLDPSFLEICAPQFSKFKGSGNVWASITDLEPKLHAHQRKAFEFIWKNVAGSLHLEEMDDPTESRGGCVVAHTPGAGKTLLLISFLVSYLKVHPRSRPLVLTPKAAIHTWKREFEKWGTSLPLHVLHYSNERGKAMGTLSSKMRAIFKNFRRPSWKMMRMMDSLDKLCKWHEDPSILLMTYPSFLALTKEDSKLQHRAFMAKVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEFRILLSGTVFQNNFGEYFNTLTLARPRFVNDVMTALVAEADREAQKRTGHQEALARRIFVEKVGQKIESSSVDDRVDGISVLNKLTRGFIDSFEGTEQNNLPGIHVYTLFMKPTDIQEEVLAKVTMPVPGNARYPLEVELLITIASIHPWLIKTTKCASTYFTLEEVARVEKYKRTYAVGCKSKFVIDLLHKSSFRGERVLIFCHNVSPINFLIKLIEIVFGWRLGEEVLVLQGDQELPMRSDVMDKFNSDRKGKRKVLLASTTACAEGISLTGASRLVMLDSEWNHSKTMQAMARAFRPGQERMVYVYLLVASGTWEEDKYNSNRRKAWIAKMVFFGRYVDYPLQKHVTEIDDEVLKELADEDETKAFHRIVKQD >PVH63984 pep chromosome:PHallii_v3.1:2:17794310:17794603:-1 gene:PAHAL_2G156900 transcript:PVH63984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRQCSITLSQSFIVWLISLIPR >PAN13514 pep chromosome:PHallii_v3.1:2:48161512:48162283:1 gene:PAHAL_2G343300 transcript:PAN13514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHASDAASFLSAATSADGSLEQQRQLVRGAASSSPRGTGAAGIIRYQDCWLLAKAGCAHPRCRHCCGGRGFACPAHVRPSCSDTPAFPCSEHHQRTLTSTAAVAPLRNPHKRPRPRPPPRAPAATPTTSSVDQPTTAAPGSFAREEVSLDAVFRRVRLGPDGAEVAYHATVTICGRVFRGVLYDVGSRRRRRSSTASTDTAGSSDGSSRSTAGGGLDLTLRL >PAN13538 pep chromosome:PHallii_v3.1:2:48263893:48267558:1 gene:PAHAL_2G345200 transcript:PAN13538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTKRPLGAVTSWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVVPCAALALLIHPSTSHNLVNRVSWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >PVH64393 pep chromosome:PHallii_v3.1:2:42332474:42334018:-1 gene:PAHAL_2G257800 transcript:PVH64393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPTASLPLPPGPVGLPLVGSALHFIGPFGRSPHAVLTRLAGTYGSVMSFRPGMAGDFVAVSSPAAAREALLENDAALAARFVPDVARALAHSSESLFFLPSSSALWRQHRAAVGAHLSAGRGLDGAARRVRDRHARGLAASVRARSGAPVEVGEAVLGTVLNVVSGILFSEDVVGMRVRGGQPFEDLVAAVLADWTRPNVSDAFPFLAPLDLLGARRRVSGGLTRLYEFLDDEFIERRLAGGENHSRGDLLDVVLARHANSELTRSEMTKFFTDIFLATSNTSRITVEWAMALLLKHQGKMEKVQAELAASLGSKDFIEERDLDKLPYLHAVVKETLRLQPPAPLLPTPDEFVPERFLGNEAADFRGMDFAYKPFGAGRRMCPGLDFATRLVPLLLASILHRVEWRLPGGMAPEDLELKDRYSMVLELAEPLRAVPVMSTP >PAN12528 pep chromosome:PHallii_v3.1:2:43373238:43374315:-1 gene:PAHAL_2G270500 transcript:PAN12528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTKALPLGIVLLVVAASLLAPAVGESRRAARKDLGINLGNGIGIGIGIGIGVGAGGSGSGSGSGSTSSSGSGSSGSGSESGSGSGGLGLGLGVGVGIGLGGAGGSGSGSGSGSASASGGSSGSGSGSGSASASGSGSGSGSGGGLGIGLGAGVGIGLGSVGSGNGSSGSGSASSSSTSSSAGSSSGSNAGSHYSRSGAGSSSGSSARSSVGSQSGQEHH >PVH63617 pep chromosome:PHallii_v3.1:2:5177777:5179316:1 gene:PAHAL_2G069900 transcript:PVH63617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMGALANFAGDNNWQYLQFFRTMRLGNLSSKLPAACHRLPVYRFSFFLSPVISLSLVPPGPWGFQRRGSSPARRPTSPPGASSGSSPLQPSSPTGDSRRRRPSPPPFSPGGGAKGGREELRQAGAEGRSCGGLRRSAWRGGAARSGAEGWRCGDGRRGGEERRGLARRGGAAAAGAEGRGHGRPAQRGGVAVGCARRGWRFGTDGKSSDGRRGGVEGRRTTTKHVKIRLKSIGTKKIQ >PAN13521 pep chromosome:PHallii_v3.1:2:48175411:48176960:1 gene:PAHAL_2G343600 transcript:PAN13521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLPSPPPISPARLHKLVTSQPDPLLALELVTVTSPTTTPHPATLHSLLLRLARRRDHLPHALALLRRLPSPPSPRLLLPVLIAVLRLRRPPQLFFSTFNSLFVSGPSPLPLHPQLLLRLLGVLSSTAFHFPSALHLLRLVSSRLPLPAPLVLASHNLLIEAAARSGHVAVSLSLFHRLRSLNVSPDADTYRILTQSLCRKAQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVVGDMVESGCTPNAATYATLVNGLCESGLYEKAEAYLVDMVGKGLVPHFSVFHSVIKGCCAVGKVKEAAEIMSWMLDLGVVPHVESWSSVIRCVCNNEDCIEAVLLQMVTGKRHGSRTISRCTLK >PAN10571 pep chromosome:PHallii_v3.1:2:8063231:8065303:1 gene:PAHAL_2G102500 transcript:PAN10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPTPQTATRVASGGRLVFGKPGKAKGGRLLVRSCDNGGRGGNGRRVTVEAVNGAVKLNGAAVVADVRQVPAPPPPSSVDGGGDVRLGKFVEGRLLYRQQFVIRSYEIGPDRTATMETIMNLLQETALNHVMCSGLAGDGFGATRQMSLRKLIWVVTRINIQVDKYSRWGDVVEIDTWVASSGKNGMRRDWIIRDRSTKNMIARATSNWVMMNRETRRLSKIPEEVRQEVLPFYLDRSIIAADADAGGRKIEKLTDSTAEHIRSGLAPRWSDMDVNQHVNNVKYIGWILESVPLDVLEDYHLTSITLDYRRECRQSQLLESLTSMTTTSSPATSRCSADLHSTHLIRQQDDGAEIVRARAEWRCKEHRRAMKQP >PAN09192 pep chromosome:PHallii_v3.1:2:231730:235802:1 gene:PAHAL_2G002800 transcript:PAN09192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDDDDSNPPLMATYKHLLDSHPRRLDADDRTTTTDDDHSRRCVLPVIDLQHGEDQCLEAIVRAASEWGFFQVTNHGVPQALLDELHEAQVAVFRRPFQRKVREPLLDFSPESYRWGTPAAMRLEQLSWSEAYHLPMTTCTPPATSSGDKTRLVIEEVSTAMSELAQQLAGILVADLRGDEEDVVSRCTRSTCFLRLNRYPPCGAASGAFGLCPHTDSDFLTILHQDGVGGLQLRKGGRWLAVEPNPGALIVNVGDLLQAWSNDRYRSVEHRVMASAASERFSVAFFLCPAYDTLIRPRSSTAGGGDRPPRYKSFTFGEYRNQIREDVRLTGRKLGLRRFRIQGGGGPL >PAN12574 pep chromosome:PHallii_v3.1:2:43679237:43682826:-1 gene:PAHAL_2G274700 transcript:PAN12574 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase AIP2 [Source:Projected from Arabidopsis thaliana (AT5G20910) UniProtKB/Swiss-Prot;Acc:Q8RXD3] MSATAMDEAAVEARLQALRQRLGKKQQFEEAVADLAAVVRDGYAGASPALRKSMYSTVCRVATVLQTRYTAPGFWRAGLNLFVGAEKLVTNPAEKDHLKSCISRAREHLDEKENEDSMPSNREADPRFLFEGHLTVGQEPPPPAWLVADNLARELSILTESSGGQDGNTNRMESRAEDVTPAIVNFLESISGNRDLETALEESLQGIIEHPPRAPPASKEVVANLPVLTVTEEVIARLGIETECAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREKEEEEDRRGAANAVRGGEFMYI >PAN10048 pep chromosome:PHallii_v3.1:2:5049316:5050800:1 gene:PAHAL_2G068800 transcript:PAN10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDLTCFGDASVQIADAASSSSSSGTGGGGARGRGKAPAAARSRVTCLYHARLAGRPCALSVTWTRGGGLAGQAAAVSVVAVDAASGDRLCRADVKPWLFAKRKGSKSLDVAASSGAAGSTKVDVFWDLSGARFGPAPEPLEGFYVAVVCDREMVLLLGDMRKEAYRKTGSGRPAVDALLVARREHVVGKKVFSAMAQFCHHGRCHDIVIECDTASAKDPSLVIHIDRRPVMRVRRLAWKFRGNQTILVDGLPVEVFWDVHGWLFGSATSSAVFMFQTCQAPEKSMSWAYLQIFKEHQLQGHGFSLIIHAWKVE >PAN15200 pep chromosome:PHallii_v3.1:2:55867691:55871997:-1 gene:PAHAL_2G471300 transcript:PAN15200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGSSPPAPSLPAGSGSFFRGYTKLCKGLAVILLLVHFVVQLFPSAVTYLALIPARTIPFAWNLITAGYVEQTIPGVIVSIIGLLLFGKLLEPLWGSKELSKFIFIVNFSTSACVFITAIVLYYITQQEIYLYTPFSGFYGVLSGLLVGIKQLLPDQELNLFVLKIKAKWIPSLVALISIIVSFFIKDLMSYLPVLLFGIYMSWIYLRYFQKRLETGLKGDPSEEFSFSSFFPEFLRPILDPIASILHRLLCGRSERSDARGQTLDTSPLPGSDSIEANRRRERGQRALEQRLAEKLAAVRSSEGTSLDAADKV >PVH64898 pep chromosome:PHallii_v3.1:2:50302733:50305685:-1 gene:PAHAL_2G378600 transcript:PVH64898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGIGVVFVNQLVKFDEKLKEDLDKMLERAREANERRYIDDDE >PAN14919 pep chromosome:PHallii_v3.1:2:54732531:54735331:-1 gene:PAHAL_2G452400 transcript:PAN14919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGMMDDDDRVTHAQIPTSFGPELRACLRCRLVKTYDQFRQNGCENCPFLEMDKEHDNVVNCTTPNFTGIISLMDPSRSWAARWLRIGRFIPGCYTLAVSEELPEEYQGICQDNNVQYVPPKRV >PVH65214 pep chromosome:PHallii_v3.1:2:54078294:54080394:1 gene:PAHAL_2G440000 transcript:PVH65214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTMTAGQEDSLLLLLLPTTNQLPPLVAALVLAAVLLWLSPGGPAWALSRCRRPPSGPPGVVTALSSPVAHRALAALSRAVDGGAALMAFSVGLTRLVVSSHPGTAREILVSPAFGDRPVKDAARHLLFHRAMGFAPSGDAHWRGLRRLAAAHLFGPRCVAGAAHRRASIGATMVSDVAAAMARHGEVSLKRVLHAASLNHIMATVFGKHYDDLASQEGALLEEMVTEGYDLLGTFNWADHLPLLKWLDLQGVGRRCNRLVQKVEVFVGKIIQEHRARRANGGVSDEFMGDFVDVLLGLEGDEKLSDSDMISVLWEMIFRGTDTVAILMEWIMARMVLHPDIQAKAQAELDAVVGRGLGVTDADVANLPYIQCIVKETLRMHPPGPLLSWARLAIHDAHVGGHLVPAGTTAMVNMWAIAHDPAIWAEPEAFRPERFQEEDVSVLGSDLRLAPFGAGRRVCPGKMLALATTHLWIAQLLHQFEWAPAAAAGGVDLSERLNMSLEMATPLVCKAAPRVQAA >PAN13465 pep chromosome:PHallii_v3.1:2:47927658:47929380:-1 gene:PAHAL_2G338700 transcript:PAN13465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGSGTAMPAPAMTLEVTVVSAEGVRVASGRPLCRGAYVVVHTASSSAPTRADADADCHGFPYWAEAVRVALPAGAPALDVEICRTRAGSGGRPAAEPVAAARVPVEDFTVGPPGHLHCLSYRLFDSGRSGVRRRNGIVNLTVRRIDGAPPAQGKAPPPLAGKAVDAAGPSGSGVSCCAAAAGLGKPAAPAGAVMGYPVGC >PAN13575 pep chromosome:PHallii_v3.1:2:48481533:48482774:1 gene:PAHAL_2G347600 transcript:PAN13575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKPCYNTNGSGAASHQATKVPSFPRPPAPPQPPITVAAPSKKRVAPATGLEVEWTEIGSLYSVSPPPSSVPMPTTLLLTVTAARKPPTACAVEVAGGGVDVSATDELRRLLRL >PAN13048 pep chromosome:PHallii_v3.1:2:46063201:46064193:1 gene:PAHAL_2G310100 transcript:PAN13048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDGRTVQSFVEDEGAFNSCVDARFAALDADRDGRLSYAEMARELAALRVREAHFGADAPAPAAELAQLYGALFARFDRDGDGAVGPDEFRAEMREVMLAVASGLGVLPVQMVVEEGGLLRRAVDRELAATMAA >PAN09243 pep chromosome:PHallii_v3.1:2:657342:661880:-1 gene:PAHAL_2G010500 transcript:PAN09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEFGRPFIILREQEKKTRLRGLDAQKANIAAGKAVGRILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIAFEHLEHISQKFEFSADNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKKIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDAGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGLVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLPPIDTLTAVKAQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >PVH64180 pep chromosome:PHallii_v3.1:2:34993849:34995889:1 gene:PAHAL_2G209400 transcript:PVH64180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGELERHTRSPFEGSEEYLNKPLPYYGFLATIFGNSVATGQYAKSSNDPIGTDSYVGVSHGGDATAENDGLNHGIDKSVINDDISSSARPAKRAKTIDDTGRKIDGLVEAFQCGTQTLANAIAQASSGLPHGLFEAVDSLPGFELHHKTRYYQYLVRHPNDAHAFVNLPSDWKLSWFSSFVAENF >PAN11854 pep chromosome:PHallii_v3.1:2:37777326:37783901:-1 gene:PAHAL_2G222300 transcript:PAN11854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLGCYTMRSHGMVLARLHMYDWIILLLLAVIDGLLNLIEPFHRFVGKDMMTDLRYPLKGNTVPFWAVPIFGIILPWAIFFGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNITTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITAFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPFDADAFWPHAYTVQLAEERNSRNANSYSVRPTEIETVNIPGQGGITLRDTLNDVESGSRS >PVH65330 pep chromosome:PHallii_v3.1:2:55404390:55405838:-1 gene:PAHAL_2G464800 transcript:PVH65330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAALTTLLALLCSVTCCQAEGYGYGYPGSGGGYPSPSPTPPAPTPSGAGLAVGFYRDACPNAEAIVRDVVEKAVEQNPGVGAGLIRMLFHDCFVQGCDASVLLDPTAANSQPEKLSPPNSPSLRGFEVIDAAKAALEAACPGTVSCADIVAFAGRDASAVLSDGRADFDMPAGRRDGRLSSANDALQFLPPPSFNLSELAASFAAKGLDANDLVVLSGAHTVGRSHCSSFVGDGRLNASTSDMNPALAASLRSQCPANPTAANDPTVVQDVVTPGKLDSQYYKNVLNRNVLFTSDAALLKSGQTAAAVVLNAFVPGLWEQKFAKAMVKMASIEVKTGANGEIRRNCRVVN >PAN09653 pep chromosome:PHallii_v3.1:2:2817482:2827573:1 gene:PAHAL_2G040100 transcript:PAN09653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGDGRGSAAESARKPRKRQLVMESSDSEADKYCISTRQNAGAAASVGNAGAGDRGDGDQLVEKAVTVSSEKVSEVKSTDGEGSEKNKEAELEKSSPQPVAKKIRVESVHGSGGGSSGGAAKGGTGGKMLPRGLPTWRFEKPEVRGGRVLDDKGEVDIKASSASKVKEQVTSSDDKRRLVELQKHEKQTPLKTDQGKSVDSGQQEVIRLQGKRGVLRILPKNGKLVRDKGDDKTLSKKTKVDGETGDGKNLPTNIKADERTGDGRIPKKRGVLKLLPKNNDTMMETNDGKHLPKNNGTMMESNDGKLVLKNKVDGETGDARILMKNSRVDKESSDGKILTNKTKLDGEFSGHKMPMQNSTMGLETVAEKFQPRSSNTDGETNKSYKGYKEKSGALAEFQKQDSNGEKRVMGKLVSPIMLRKSDPSVVGVSLGQSMKQQNSKQQQKNSSLNHHQASLSQKDENTKSSEHKNMKKRLLEHKGLLGNLSKKAKSEASDLQGTSVPVLSKLEMKKPRGGPVNKLKQDVRNQIKRLLLDNGWKIELRQRKNKDYEDSVYVSPEGTGYWSITKAYAVYQEQFQSPHNENHMGCSSELNNIIPMDDLAMLKKNIVRRRTNKEIYGAKKKPGGSKSRDSKDILAKRSSRNKHQNRDDGVKINHRRCGLLVRGGTHNMENNMDGYIPYEWKRTVYSWMIDLEVISEDTEVKYMNNKRTRAMLEGKIIRKGIFCGCCSKILTAAKFELHAGSKEKQPYVNIFLKDGKVSLLQCLHDAWEKHTQYEQKGFYKIDLAEDQHDDTCAICGDGGNLLCCDHCASTFHLDCLGIKMPRGDWYCRSCLCRFCGSAQEKTSSSPELLSCSQCSRKYHQACSPGTESDSVCTKPSTSIDCFCSPGCRKVYRRLKKLLGAKNDIEAGFSWSLVRCFSIGQALPTKNKAQAVHCNSKTALAFAVMDECFQPHIDERSGINMIHNVVYNCGSDISRLDFSGFYTFILERGDEVISAASVRIHGTDLAEMPFIGTRGMYRHQGMCRRLLNGIESALCSLNVRKLVISAVPEMENTWTTVFGFKPVEPSKKQRIKSLNLLIINGTGLLEKRLQPTGTVDGQTTAKPASAVGSEKADAKMFGEASASVTPVHVYREFDVANDLEIKCHESPRPLNGNLAGLTSDQPPAAEENDIKRTLERTSTVSVGDNKLHTLPGVNCGDNMLLKAESDNIQEEKCREINGQLIAENTVAEQKCEDKSNSSHSNSHATLVSVDPCSCLSNEVGKSENCPSSELSIGAAPVIGKTESNLTSNSPSVLRSNQEHEKSCVAPVDTNGPIATMDEKPDNHELKTAVADGYIQSSMEAKSLEDITNIVTGTSIDSYIDKDSSEDHSASAVDSGVSVKGSVQETEIIEDKDGSSLPDLKHPSCKYSLAKLTESKSLTSDMVEMDDAAIKVGMTVESCNEARMSAPMLDISNAVRKVVIKPTQTCGDGELCGEDVICSNNRESELASREPVNA >PVH63911 pep chromosome:PHallii_v3.1:2:12087761:12088225:1 gene:PAHAL_2G134500 transcript:PVH63911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHRAEKATQELEEQQIRAARAEYSLAALQAQMQEYENHRGIGEWIEEEEEPEETHWDKGTQTEDEVVDRCIPIKKRPVRIGEESP >PVH63507 pep chromosome:PHallii_v3.1:2:3117913:3120966:-1 gene:PAHAL_2G044300 transcript:PVH63507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPEGFGRFPGHRADGGHARFFDLSAAGAFVRVPLPELKNHCVLDSPDGLLLLQRDGDSAVRLLHPFTRDVAEFPDMNCLAYQLHQLEYELTVGPLYNFKNWFYSTSRYLHQARKLCAAVNITATGAITVMLALHSIGRVAFATAGDAEWTISSWKMNQLDRALPYQGKLYVVTWEDGLTHVSLIDPPPPVPRCEGEELPSVSALPSPKTIVTCSSDEIHLPSLVELDSEILLVGYNDSSFSHILVLKLADLVLGRTMPVTSIDNHVLFAGARSLCVSSSWLPSIGGSVVCFHAGENYLAQYNLSTGTWSPACDAHLMLSPPPRPCSLIHHMYTCCDRQFWNKGLMFCFKAEPEWWVMRSSRYGA >PVH63573 pep chromosome:PHallii_v3.1:2:4288729:4289325:-1 gene:PAHAL_2G058200 transcript:PVH63573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLFRYSDFTLPTLSYRCTHRSSGSPLFPQIIPFQTLARAGAHGLGARVPSGARGLGSGCAGTCGPRRPNSLRSCRLPRHPFLVSTTPMHGSSPAPFASVPHLQGLWSSLVQLQKC >PAN12228 pep chromosome:PHallii_v3.1:2:42534902:42536452:1 gene:PAHAL_2G259900 transcript:PAN12228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASDSTIKRLNPQVLSKTKHKKQESCHASHANPLLLTALDTHSPRSHWPSLTLHLSPVRSNPPCRRRRGRRAEKCSSSSSSMDYRYYCMTLRMNIDCNGCYQRIRRALLQIRELEGHQIDKKHGRVMVCGAFSPQDVAIKIRKRTNRRVEILDVSEAAPAAQDGGPGHMP >PVH63468 pep chromosome:PHallii_v3.1:2:2504303:2507608:1 gene:PAHAL_2G035900 transcript:PVH63468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPDSVQQAAGGEDSVQQPTDDRASSGRPARSQSGASTSGAGRKKRSQTKWPSDVKSCGRLNSEAAPEESSVLVRLARRIFENNIQPYVEYPIELHDKATKNAMKIISKAWRSYKNKLLKCWKKKENPFDKYADLTKEAWDELVEKWNTPEFQQSSEYFRGLRARNELDHHLGSAGYAGKQRKWEQEDEMLAARGIENPYESFEGRLAPFMRARSKLTEDGNINFYSTSAEEVAQRALMESSQGSNEGVREFDALTRALGTREQRGRVRGVSSQLTWKEGFPEHKGRYRKRTRDSSSKVDIDEIKKQVKMEMFGELKTIFESQGLSFPDMPGSTMSEERRDSFACTAAGASQSRGTERAIVPTSVESDTIDGLARPTRCSLLVQLVGDSSFMEVGNGLVYPGMSQLEGVQVRADCAVVKIDYVHEFAKNIKLEVPPDDMTTTLRDAVARRVQWRRAGIHIDPADADSVPTSQPQPQSAAVPPTFSEPCPQLPDTRESLSEPHPPVPTQPQVTPPPPVPTEPATAPKKPSKANPVRKKQSRPMATKREISEGKKKVERIKQPVTRAYTSENPKYRVGKALLSVSELRAAGPYCMDLHKYYMQNVNQAEEIMVSFEERHFLQLEGNGNIFIVAWSDLFDLFNLDALDLSLIRCFALHMQQETRRRTGKKCGYIDPQLMTVTFMLTDRDSLVRYMVKCMRVHADKEHIVVPYNPGNHWVTLIINVRSKQVFYLDSSIPSDESGAPQIRDYSLVISILDESLDRHLRAKEGYKEQRQAAFTHHTAWTCTRQPSGNSCGFYVCHNMLLVAEKPDFTDEDDYFNQTTLGNVKDIRERLAGFLMMEVVNTKGEFHPR >PVH64278 pep chromosome:PHallii_v3.1:2:38683145:38683509:1 gene:PAHAL_2G228100 transcript:PVH64278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGERTGGSLSQAKQFLSMQELDLKPFVVFLTLRLLLTERSLQGLGAQQSRLGGTLLRNREDHTAFIRGEKI >PAN13893 pep chromosome:PHallii_v3.1:2:49825011:49826484:-1 gene:PAHAL_2G370900 transcript:PAN13893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNAVINFGNMIVGDIAIDISTLEREISYWTTFRFLTPNDGVRESFSLLNKHISDLSMLTTIQGYPRTFYDQDTCQRFLAVYVIVQQILKAATDFAKHASFNNKKIQSFASFGYIKPGSSDIHTVQVSGEDPRGKATQDFKSRSDEVMKRLVHWLAKHQTVESLLKFSQFEFSEFDFE >PVH64013 pep chromosome:PHallii_v3.1:2:20842867:20844097:1 gene:PAHAL_2G163800 transcript:PVH64013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMWWCWLPAATIAPSTPRGGRCSGIGARRLVAMVWAFIATHLQGSCRTISVGGWPLHRLDLTFGHGSSGTTTSHDNFFINS >PAN14001 pep chromosome:PHallii_v3.1:2:50220987:50221618:-1 gene:PAHAL_2G377400 transcript:PAN14001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFICLCLSTIRQRIKFAILSYLLSGVRNLLHKQHLSTIRLRSMSPSRPTQSGLFAFVPCTLILGFGLGYGN >PAN11200 pep chromosome:PHallii_v3.1:2:33329002:33329679:-1 gene:PAHAL_2G202200 transcript:PAN11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCIGKLSTLMADHLLHIPPPNDLKLRPQHQPPTKALLKILRIRRLVRAFVSKHIVSRVATALGGARATLLDLLSKKSGAVELTRKIVKRKRRGRDKDPPCICGGCVEMHLNLLPPSWSSSSSWPETAAVLEPHEAGLYCSYYDPSWNTVIPAELQLPPVSRYLEWPDEERQMEDGDDEEEDGDSCNNEIDNLAEKFIARCHERFLLEKQESYRRYQEMLARSL >PVH64045 pep chromosome:PHallii_v3.1:2:24158249:24159936:1 gene:PAHAL_2G173200 transcript:PVH64045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKQGWRLITKPDSLCARVLKGRYYHDTNFMEATRKKHASQTWRAILASRDVLQHGLIKRISDGESTDIWRDRWLPNHFTGKPITPGDSQEIHRVSELMTASGHWNEDLIREIFFPVDAEAILQLPIRTRNGDLLDKRRRQGEGEDRPNTSGSAPIKNQNQLELYQTDPSSDGVWRLIWKLEVPPKVRVFWWRVMHEFLPARQVLCRRHIELIANCEVCGATEESIKHVLMGTVAKEFWHQVKLMTGVKIPILHPVTWARDLLADICSRRDRAIIICGMWALWMMRNKRRHGEQSMTTWQTSSWVRDTAFDLWQIMHPAKDADAVIVERRWRPPLVGWVKCSTDAAYYAADSQGTSACVLRDHNGRFLAGQAKWYDDHCLDACAMEAMACRDALGLAQQCGVQKVHLETDCLELVQLWEKREVQRSAITTRKRSIGTSTLVPVKNEPALTCLNSVRRAR >PAN13752 pep chromosome:PHallii_v3.1:2:43634686:43635510:1 gene:PAHAL_2G273700 transcript:PAN13752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PAN13449 pep chromosome:PHallii_v3.1:2:47827738:47829911:1 gene:PAHAL_2G337600 transcript:PAN13449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVLVDNADDVKAVAAPAGELAGALRLLRPVGADHGAQHQRGADELRGQHAAPHPAEVQPNRPERQRQRHGHSHAVERRDVDPRRLLGPRAAAQHAAPGGLRAVAQLGQAEKWQRRGRQPEDGLVRREHPRPDAAHGHRECAGDEAERGAQAEPDARDEARALRPPGAQFVPDARGHGAAERMREDVYQRRGLDEHAHCRHRRLGVDEHAAKEHHDLVPPPLQADGHAAVHAQPDQAPPLLGALLLCWRRLGRALLGAAAAVVMGAVHSAEVDVRHEEQQEVEVGPDPAERDAADAEAEDVDEEEVDGHVEEQRGGGAVGERQRDGLRAQVDADRVEEALHREVREAAEDVGVRRGGDVGVLPRGDEDPVHGHPEHADGHGRGEEQQDGAAERGAEEVRAPGPEGLAADGVHPAGEAGEDGVPGDVGEAEREGAAGEGQLAEAAEEHHGHEGAHVEQDPRADHWPREAEDGGHLGEDAAGRRPRAVVQLRVAGGRREEQRPVVALRRLRAVARRHGMEAVRGLREVPVAAAAASGLFAAEGPALCAVVVAGKGAGTGSVYIDAWPA >PAN13574 pep chromosome:PHallii_v3.1:2:48472222:48478381:-1 gene:PAHAL_2G347500 transcript:PAN13574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISTVLGFSGFGFGFSAGIVIGYFLFIYVQPNDVKDVKVRPLVEYDSKSLEAILPEIPLWVKNPDYDRIDWLNRFLELMWPYLNKAICRTAQEVAKPIIDENTAKYKIDSVEFETLTLGSLPPTFQGMKVYVTEEQELIMEPCLKWAANPNVTVAVKAYGLKATIQIVDLQVFALPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADIMAIPGLYRFVQETIKKQVATMYLWPKTLEVPIMDPSKASKKPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTAVKRSNLNPEWNEEFKFVVTDPETQALEISVFDWEQVGKHEKMGMNSVQLKDLPADETKVSTLELLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDMEKEGTEGTDVVEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPKKGLIHGKENLGYIDISLADVISNKRINEKYHLIDSKNGQIQIEMQWRTS >PAN09295 pep chromosome:PHallii_v3.1:2:427839:429349:1 gene:PAHAL_2G006600 transcript:PAN09295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAVPVSTRLRCSTPSHDAYAVRVYDSRFAALATACPADVATTRWLHRGLHLQGRLLIGLGVQWTPTHLPLHGAPPAPATLQLCVGHRCLLFHLAHADAVPEALGRFLADPRVTFVSSGSTNDRRMLWAHYGLHVGRASELRAVTGMGNASLEDMADRILGYPGIHKPREVAMSAWHAPRLSPDQVQYACVDAYLAFRRPHPLPRRRTALPPHCSAPRATSRPAPAARSRPCPRGGASRPAPAARSRPRPPAASCPAPRPRPRPRTPVVFEWSPRAFSGGSMPAVVGVDAAIRSNKAASLTDSDMDSEADYDDDVGGTATHGLPIRAYASDSDDITDSSYGFQRVRFGAFTDDEEDEDINSNGDDQEDEEGCNEDDREDEEGYEEYTKTRIFTHDNKMDGFGEFVGNWVAPANVDDGGGYQAYEYPSHSEVMLDNGEDALAQDDWYGQEVDYGCDQDDEDEYDEFYLL >PAN11902 pep chromosome:PHallii_v3.1:2:38478085:38481579:1 gene:PAHAL_2G226500 transcript:PAN11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAPPGLPRRHSPAAITALLPRLRVAAGPASPRLLLLQSMALVLTSGLSSSHPALASRLLNSLLPHVPCHRLPALLRLLPRDHLTLLLLSSAKHRHAHSFRAACALHALALASGHLPSDLRLANSLLALYLSLGSPASARRLLADIPRSDTVTWNTLLRACLRMGLLPAARRLFDEMPERDLVSYNSMLSGYAAEGDMVGAKELFDEMPERDVVTWNSMLAGYTRLGDMESAKKMFDAMPVRDVVSWNSMLDGYAQAGDIKMARTVFDGMPRRSIVSWNVVLALYAKVKDWRECLRLFDAMMAVGESIPNEKTFVSVLTACGNLGDFERGKWVHGLVRERWEKLVPDVLLLTALLTMYAKCGVMGTAKEIFDSMSEKSVASWNSMIIGYGLHGQSEKALELFMEMEKNGPQPNETTFICILSSCAHGGLVLEGWWCFDRMVRFYNFEPKAEHFGCMMDLLGRAGLLRDSEKLINNLQVKVSPALWGTLIAATRTQDSSKFGEFVGKKLIEMKPTEFSSYVLLSNIYAAEGRWDDVEKVRKVMKEKVVEKDVGMSLVGSSEPHPDAEDGISFQQNSVMLLILGEMGLRVKQRSEVSDYWREAY >PVH65390 pep chromosome:PHallii_v3.1:2:56508498:56510980:1 gene:PAHAL_2G481500 transcript:PVH65390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCWRAAAARIPCDGVAQGLEVEAVPAAEAAWEQWDAAASMAGSLDMVLDSPQLLSEQGADRSTSTPDSSALNKISLSWTQSLLMQV >PAN13560 pep chromosome:PHallii_v3.1:2:48323904:48326051:1 gene:PAHAL_2G346400 transcript:PAN13560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLLLSVSLLLSSLSGAVSTTFTLTNSCGYTVWPGLLSSAGSPPLSTTGFALAPGESRSVDAPAAWSGRIWGRTLCAADPGSGRFACATGECGSGAVECAGGGAAPPTTLAEFTLDGAGGNDFYDVSLVDGSNLPVVVVPQGGAGATCGATGCLVDLNGPCPADLKVVGAGGAGIACKSACEAYGRPQDCCSGDYGTPATCQPSASSQFFKNACPRAYSYAYDDATSTFTCTSGTASYLITFCPSISSLKSSVSSSNGASSMNPPSGPGLPLINDTVSFAGRGDGGSSSSYPYASASAPAPCPLALAAAAALTWLVSAPRHRLRL >PAN13864 pep chromosome:PHallii_v3.1:2:53881214:53886166:1 gene:PAHAL_2G436600 transcript:PAN13864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTLSVSSLASTAFASFPRPRRPPPAPPLLRLLGPRLRASPLSTSSAAIAAAAAPDGDDDGVDTVEQLLLPRPPSASAPGAPRGRIDRLMKLQRRADGDAVPGPAGPGGRRRWFPYLDAFRPAAGGAELSSREVVEVLEPYILEARRDRIRRAVDNRSYAVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDSSKRYRDNRHVSMGAEKWLDIEIWNSPAECFGALKKRGYRIATTCLGTDSVCVYDMDWSQPTAIVVGNELRGISDDALELSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLGHHGDLLPEENRILLAEFYLRHRESTSTILHEYAKRKAENFLARL >PAN14354 pep chromosome:PHallii_v3.1:2:51841381:51842204:-1 gene:PAHAL_2G402800 transcript:PAN14354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFFLFCLVSSQLAMTTVMARPFAVFLDGGAMRSVADAPSAPDVLHAHSLLESRFVGSPLSSHHRQHGPFDRTFAGGKIIVAGLAAAIIVAIFCYIRITRKKKVEVAVEPKV >PAN11722 pep chromosome:PHallii_v3.1:2:35622845:35631076:1 gene:PAHAL_2G211500 transcript:PAN11722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase-1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26030) UniProtKB/Swiss-Prot;Acc:P42043] MEHGVLGSRCATGAGQILRTKSRAATSCGKRTSTSVASSTKHDVKPLQLAANGSSHLPNTSPLLKKLQNLSVRSSSAHVVTTFDDAKGVSSSVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKIALEKKNLHANIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQDIVKEDSYFAGLPISIIESWYQRDGYVKSMADLIQKELSAFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRTRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIKNWGRVPALGCTSSFISDLADAVVEALPAASALVTRKPEDTDSDLDLMHYLTKMFFGSILAFILLLSPRLISAFRNTLL >PAN11720 pep chromosome:PHallii_v3.1:2:35622845:35631102:1 gene:PAHAL_2G211500 transcript:PAN11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase-1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26030) UniProtKB/Swiss-Prot;Acc:P42043] MEHGVLGSRCATGAGQILRTKSRAATSCGKRTSTSVASSTKHDVKPLQLAANGSSHLPNTSPLLKKLQNLSVRSSSAHVVTTFDDAKGVSSSVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKIALEKKNLHANIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQDIVKEDSYFAGLPISIIESWYQRDGYVKSMADLIQKELSAFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRTRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIKNWGRVPALGCTSSFISDLADAVVEALPAASALVTRKPEDTDSDLDLMHYLTKMFFGSILAFILLLSPRLISAFRNTLL >PAN11721 pep chromosome:PHallii_v3.1:2:35622845:35631076:1 gene:PAHAL_2G211500 transcript:PAN11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase-1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26030) UniProtKB/Swiss-Prot;Acc:P42043] MEHGVLGSRCATGAGQILRTKSRAATSCGKRTSTSVASSTKHDVKPLQLAANGSSHLPNTSPLLKKLQNLSVRSSSAHVVTTFDDAKGVSSSVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKIALEKKNLHANIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQDIVKEDSYFAGLPISIIESWYQRDGYVKSMADLIQKELSAFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRTRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIKNWGRVPALGCTSSFISDLADAVVEALPAASALVTRKPEDTDSDLDLMHYLTKMFFGSILAFILLLSPRLISAFRNTLL >PAN12085 pep chromosome:PHallii_v3.1:2:40721779:40723412:-1 gene:PAHAL_2G241200 transcript:PAN12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTMGDQQQPQGMSIGDSLKLPPGFRFHPRDEEIVTFYLTPKVFQRGFTCIAIGEVDLNRTEPWELPGKAKMGENEWYFFYQKDRKYPTGMRANRATEAGYWKATGKDKEIYRVAGGVAPPVIIGMKKTLVFYKGRAPRGEKTNWVMHEFRLEGSSRLPCPTSSSTSTGALKSSSASKDEWVVCRVFHKTTGIKKVPAPAPSSYDIAMAYAGIDQSGIPMPMPMQYPILPDFTMDPAIPYYSTACASSISVPPVMPPMASMGSAGLHMNGAMFGNPIANASSMSFFHQMGMEAEGTSSFIATPESRPS >PVH64259 pep chromosome:PHallii_v3.1:2:38075641:38079690:1 gene:PAHAL_2G224400 transcript:PVH64259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAWASRGNDRRGARPAEGRSTRWSAPAPAAWGTADGGRQPLDVSPPTPPPVYDINHLPYDAGERLPIESYPVNDQDAIRRAYILNGPFHPYAHIFPKRKIGKRDRQFNFDSAFCFVCYLFKKGNGADTFIVDGWRNWNIGSNALIKHESSKAHKATQARYIGFINPKVAIDYNIEKWGDEDLRLYKIMLTYSLRCLKFLLHQELAFRGHDESEESSNRGNFLELLKFLAANSEEVNKYVLNNAPGNCTLTSPKIQQQIIYCCAMKTRKKIIEELGDEPYAILADEFSDISHKEQLALCLRYIDELGRPCEHFIGVVHVDDTSSLSLKEAIEALLVSHGLTMTQIRGQDYDGASNMKGGIKGLKTLIMQESPSAYYIHCFAHQLQLVLVAVAKGNTDCKRFFDQVSLLLSIVEVSCKHHGMLRNARLEQIRKALDCGELETGETRWGSHYKTVCNIISLYPSIRDVLIALGDDTSHRADWSKINFMVGAFESFEFVFIAQLMFVILGYANELSECLQRREQDILNAISLVNVAKSRMQQLRSDGWNQFLERKLHRLAEFYPNDIKGNNLLKLELQLDNYIDDVRQDDSFKGLENLVDLSVKLVQTKRHKVYDMVYLLLKLVLFLQVATASVERVFSAMALIKTKLRNKLGDSLLDDCLVTFIE >PAN12279 pep chromosome:PHallii_v3.1:2:42942546:42943671:-1 gene:PAHAL_2G265200 transcript:PAN12279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYCMCGQLKIWFLLVIEQMPFLVTQLHLFTTFNPPPHTHTHTHTHKVFQPRNHQAVCSLSYRLTSTNRQ >PAN12054 pep chromosome:PHallii_v3.1:2:40540870:40541652:1 gene:PAHAL_2G239500 transcript:PAN12054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDAMAEESRTKQQAAACKKPVRLHRSFLRLSRALKKLHARRGHQAPSAGGSEPPPTPSSSAAASFLSGCMHHPRTHSFASARRHEHDDGRGDALAVNFRSLRIGSTTAAVVANESASSSAQDHYSSDGSRERVAPAPAAAAVTSKAVVGGAGVAVVTFSAAPYEDFRRSMREMVDDAAGGAATPAVDWDFMEELLFCYLRLNDRAVHGDILRAFTDTVAALRRRRRRRAAAKSRRARRRDAGADSSGGGDVEAAAPS >PAN15136 pep chromosome:PHallii_v3.1:2:55547976:55549907:1 gene:PAHAL_2G467300 transcript:PAN15136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRRGWTLLRLRCAATPFGKLFNRTAASSSGCTSKLFVGGLSYDTNETALKDAFSQHGDVIAVKVICHPTRGKSKGFGFVTFSSQDEAAAAAHKMNGEVLDGRHIRVHYSNSG >PAN14250 pep chromosome:PHallii_v3.1:2:55548388:55549092:1 gene:PAHAL_2G467300 transcript:PAN14250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRRGWTLLRLRCAATPFGKLFNRTAASSSGCTSKLFVGGLSYDTNETALKDAFSQHGDVIAGSEGYMPSNEGQVKRIWLCHVFFTR >PVH65270 pep chromosome:PHallii_v3.1:2:54647909:54648385:1 gene:PAHAL_2G450900 transcript:PVH65270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILSCFLYLDHVDFGPRRVPDSLPQISVRKKNMIKIYCDLDLKFQGAMGSDLCLISLTNVMQKLYCPSSHLFILLHMVFFFFSYCSPPFFFLLQQHQILDNESDFLLVDHNFMDKLDSVSNCKLHGQT >PAN13356 pep chromosome:PHallii_v3.1:2:47426033:47427104:-1 gene:PAHAL_2G330900 transcript:PAN13356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNDGPAACRLGILPLAPPPPLFISSDPPPTSSPTGYGRVLCAAEEGEVVTAPVNSQRKQSIHMSSTAKAMANKLWKKPPALKEPEFRFGTFKFSIKAYPRSSDRLNCYMCGLEDHLEHFCPYNCIFGGLTDFCRGECYPR >PAN09319 pep chromosome:PHallii_v3.1:2:916840:917749:1 gene:PAHAL_2G013500 transcript:PAN09319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGGGVVQWPSAMSSFMLTYLSQLVAIGTKTSTGFKQVHLNICAKALNVTMGWIYAKIEKLKYLSGALWVQKTCTISLEKEHYIGHVQDHRDDAKYLNTPIEHYHEMDTIFGNSLATGAYAKGAYDPLATVVTETENAPKDTEDGAATAEQVGADDATTGESYGTKPPAPKKAKVANLEDLTMAMVAMLGDNLGNLATTITNMTKIVASDDDDIPEGLYEDLMSILGFEAAHLDDYYAHLCEHPRVARQFYKLPTLSSKMIWMVR >PAN11973 pep chromosome:PHallii_v3.1:2:39580617:39584198:-1 gene:PAHAL_2G233000 transcript:PAN11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILIYVLLFVLLGLGALFVIPRSNSKGKGDAAHLGGSGKTSRSYTKKEVSTHNTRKDCWIIIKDKVYDVTAYVEEHPGGDAILNNAGDNSTEGFFGPQHGTRVF >PAN11277 pep chromosome:PHallii_v3.1:2:15549029:15551807:-1 gene:PAHAL_2G150800 transcript:PAN11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCAAAARSLLPLPLPLLSGPAPIHTSTPPLAAELDAGDALHALLSTLPPLLPALLPCLSLLSRRLTPHSVADALLCAALPPASRLRLFLFSALSPRLRSPLLHSRAVVPILLATDADAAMYDAIADAQAAGLRPPAAAFEALVFAHASAGRHHEAINAFSRMEGEFGCRPTAFVYNAVLRVLVDSGVVPLALALYNRMVSTGCPPNRATYNVLMDGLCKRGTATDALKLFDEMLQRGIVPDVKTHTVLLSSMCNAGQLKEAEDLLHFMKDQGCPPDEVTYNAFLSGLCKAGRVDEAIQRLEVLRGTGGFVLGLKGYSCLIDGLFQAGRHDEGFQWYKEMLEQTDVSPDVVLYTVMIRGCAEAGRIKDAFVFLDEMKEKGFTPDTFCYNTLLKALCDAGDLDGARSLRSEMVQNNVVMDTTTHTIMICGLCKKRLVDEALQVFDEMGKVGCHPTVMTYNVLINGLYRAHRLEEARMLFYKMEMGNNPSLFLRLTLGANQVRDSESLQKLVDSMCQSGQVLKAYKLLRGIIDSGVVPDVVTYNTMVNGLCKVKNLDGALRLFKELQLKGFTPDEFTYGTLIDRLLRAHRDNDAMMLFQDMLQSGGTPSLPIYNSMMKSLCRKQKLSQAINLWFDHLPKKYNLSAKDEVIASARKKFEDGSLDEAVRELIKIDQEHGSVTSSPYTIWLIGLCQARRIDDALKIFRILEGLGIDVTPACCSLLTKYLCWERNLNAAVDVMLYTLSKRFIMSQPVGNRLLRNLCICHRRKDAQALAWRMHLVGYDMDAYLREPTKGLLYSQ >PVH63979 pep chromosome:PHallii_v3.1:2:15866806:15868452:-1 gene:PAHAL_2G151900 transcript:PVH63979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDAMEVGELVGKIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLRMVKQDSSSSNEEDDHHESSSDVEDDVELALMMRKFTRLNEKINKKGLNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKSDKRNKDNKNKHRHDSSDDEEEERKSKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDLSDEGDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKSLESTHAKLELAHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKFAHEKVNASTSCDDLLIDANATNIVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFSEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPNLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN12230 pep chromosome:PHallii_v3.1:2:41627510:41630533:-1 gene:PAHAL_2G250900 transcript:PAN12230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLNTIAVIAVLLLAPLLALAQASRRGTRRRNPSGPAALPVIGHLHLFRKPLHRTLARLAARHGAVFRIRFGSRRVAVVSSARAAEECLGPRDVAFANRPRLPSGRILSYDWTTMGTASYGPYWRHVRRIAVTEILSVLRVQQFADVHEREARAMARGLYRAAGGGRARVELKSRLFELLMNAMMGMMCARTYYGEEAAADVSEEARWFRKMVEETMELSGASTVWDFLPAWARWLDVGGVGRRLWRLREGRTRFLQGLIEEQRKEMEKGAPARRTMIGVLLTLQNEDPEACPDQLIRTLCISSLEAGTSTSADTVEWAMSLLLNNPPVLEKARQEIDACIGQPTRLIEATDLLKLHYLRCIIMETFRLYPPAPLLVPHESSTDCTVAGFHIPKGTMLLVNTFAIHRDPELWDEPENFIPERFENGKSEGKMFIPFGMGRRRCPAENLGMQMVGLALGTMIQCFDWERVGEDLVDMSEGSGLTLPKEVPLKAFYEPRASVAHLLSGIQ >PAN12509 pep chromosome:PHallii_v3.1:2:43323243:43323557:1 gene:PAHAL_2G269600 transcript:PAN12509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLLDCPFAHQIWTRLGYITDRCTASRIWELRRPPSVPAKHSECMVLLVCWHIWKHRNEVIFEQLPPSTSRMIAACKEDAKLGKFHLKIADRPIAEAWCQALCSM >PAN12726 pep chromosome:PHallii_v3.1:2:44572142:44574531:-1 gene:PAHAL_2G286900 transcript:PAN12726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGLEEACGEIRGACGHPRRLGLLLAPRSPAERQQIRAAYRASFGEDLAAALQRGLLAAGSGQEDELRKVLYLWALEPAERDAVVAREAVEGGATVAGYRALVEVFTRRKQDQLFFTKQAYLARFRRNLDQDMVTEPSQPYQRLLVALAASRKSHHDEPSQHIAKCDARRLHDTKNGSAGSVVDEAVILEMFSKRSIPQLRLAFCSYKHIYGNDYTKALKTNGSGEFEEALRAVVKCIYSPSKYYSKLLQRSMQCAATDKRLVTRAILGSDDVGIDEIRSAFKSCYGRNLADFIRESLPQSDYRDFLVAVARGSAAS >PAN11654 pep chromosome:PHallii_v3.1:2:29598401:29599432:-1 gene:PAHAL_2G189900 transcript:PAN11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIKHTVPPSTDRSGGVENIPKQAHNITPSTVPLLLDTDFVIVYTIAIRVSWKHGQGCRNLCVFGGLSGLISNSPYICRCCKFIYS >PVH65385 pep chromosome:PHallii_v3.1:2:56486298:56486426:1 gene:PAHAL_2G481000 transcript:PVH65385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEASILHVFSFSIKVLLTLLWNRGTVNPSPSATKGMPETS >PAN12323 pep chromosome:PHallii_v3.1:2:42463357:42470120:-1 gene:PAHAL_2G259300 transcript:PAN12323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLEFAVEKARSLAAAADYERRRVQKGDLARIETRRRQEAGHGVGTPRAQGAASPVGPPTPPRRPPEARSGGEGDAGPRCHPPCRDIRRVEEEAAGEPRAQFLAPGTDFLHDFSDTDSSVSVSNSMYRSMTPSPAESPTCMVRLDDTSDHEVTTMTDSDDAREQVTISIADEGEVNTSPPIVDFGDDIWCPPPPEDERDDVESRIFGIDDEDDDILSEPSCFTANKIAGANGVFGGAHKDGVQNDLLKHFRALVAQLLKGEGITLASNNDSKSWLEIVSSLAWQAANYVKPDTKKGGSMDPGDYVKIKCIASGNPPDSNFVRGIVCSKNVRHKRMVAEHRNAKLLILGGALEYQKVSNKLASIGTILEQEKEHLRTIVGKIESRQPNVLLVEKSASSFAQELLAKDISLVLNVKRPLLDRIARCTGGQVASSIDNIVSARLGQCDLFKVEKIPESTSAEHTEKGSIKTLMFFEGCLKRLGCTVLLRGNCREELKKIKRAMQLAVFAAYHLSLETSFLADEGATVPRTPSMSLIDAPDLQTHRDYISAGPADHNIPDNLRDTEEKCPHNVSISQIFEKISASSTLLAPSTLLPFDGVSQGTVPECRASEFPVDHVNSQDLSSSCHPNASCIKHLISPCSLSDGFRSSCAVTNFDDPNKFLQSSIACGACYDRASSTEQCPLQNCRSHPSIGNLQSGDTDAKDKLSAGYLSGTDNNQSILVSLSSTCIPKSLACERSHLLRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEPSESHVRCYMHQHGSLTISVRRLLSQKLPGEHDGRIWMWHRCMRCKPKDGMPPATHRVIMSDAAWGLSFGKFLELSFSNHMTANRIAICGHSLQRDCLRFYGYGNMVAAFQYSPMVTLSVNLPPPVLDFSFHATQEWVKREAVEVFGNMESLHREVYDLLHNIEKSIITEDDSFETSTQRQITEMKDLLNMERNEYEALLLPVIRGSALSFKSKVDILELNRIRRGLLLDAHTWDCRLCGIDSLKEGGHVSRTDSSNQEKTQGTNEARLEFHQTVARLGGTYQESCPRRPSGSPRNSLMSTEGHSKDHLSVMAEKDLPIGLVDGVARDVGGLDLVFSNIYEGHHLSEDPIKTDPVERLPSLASILADKIDMAWSGSGELHYNLPHDLTKADENRSFSLLSNPSCKKATAPVRIHSFDAVLRLHQRVQTGLVPASLHSALKPADSFRDLTSLVKDPMTNMRRAFSQISPRTRGNLNAVLTRAPKYITSASDMVKNGARLLLPNISCEGSVVVTVYDDEPTSVVSYAMTSQEYVEHVSHKMNANPSFSDLTKVSSNRLNRSSSLHEELSDLKGTHFRFYFDDDASSTDSTKFSVTCYFARQFDALRKKCCPSNIDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFVEFAPHYFRHLTESLSSRSPTCLAKIMGLYQVGIKSLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLVEALHTKPMFLGSKAKRRLERAVWNDTSFLASLDVMDYSLLVGIDEEKKELVVGIIDFLRQYTWDKQLETWVKASGILGGPKNESPTVISPIQYKKRFRKAMSRYFLAVPDQWTS >PAN12322 pep chromosome:PHallii_v3.1:2:42462929:42470934:-1 gene:PAHAL_2G259300 transcript:PAN12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLEFAVEKARSLAAAADYERRRVQKGDLARIETRRRQEAGHGVGTPRAQGAASPVGPPTPPRRPPEARSGGEGDAGPRCHPPCRDIRRVEEEAAGEPRAQFLAPGTDFLHDFSDTDSSVSVSNSMYRSMTPSPAESPTCMVRLDDTSDHEVTTMTDSDDAREQVTISIADEGEVNTSPPIVDFGDDIWCPPPPEDERDDVESRIFGIDDEDDDILSEPSCFTANKIAGANGVFGGAHKDGVQNDLLKHFRALVAQLLKGEGITLASNNDSKSWLEIVSSLAWQAANYVKPDTKKGGSMDPGDYVKIKCIASGNPPDSNFVRGIVCSKNVRHKRMVAEHRNAKLLILGGALEYQKVSNKLASIGTILEQEKEHLRTIVGKIESRQPNVLLVEKSASSFAQELLAKDISLVLNVKRPLLDRIARCTGGQVASSIDNIVSARLGQCDLFKVEKIPESTSAEHTEKGSIKTLMFFEGCLKRLGCTVLLRGNCREELKKIKRAMQLAVFAAYHLSLETSFLADEGATVPRTPSMSLIDAPDLQTHRDYISAGPADHNIPDNLRDTEEKCPHNVSISQIFEKISASSTLLAPSTLLPFDGVSQGTVPECRASEFPVDHVNSQDLSSSCHPNASCIKHLISPCSLSDGFRSSCAVTNFDDPNKFLQSSIACGACYDRASSTEQCPLQNCRSHPSIGNLQSGDTDAKDKLSAGYLSGTDNNQSILVSLSSTCIPKSLACERSHLLRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEPSESHVRCYMHQHGSLTISVRRLLSQKLPGEHDGRIWMWHRCMRCKPKDGMPPATHRVIMSDAAWGLSFGKFLELSFSNHMTANRIAICGHSLQRDCLRFYGYGNMVAAFQYSPMVTLSVNLPPPVLDFSFHATQEWVKREAVEVFGNMESLHREVYDLLHNIEKSIITEDDSFETSTQRQITEMKDLLNMERNEYEALLLPVIRGSALSFKSKVDILELNRIRRGLLLDAHTWDCRLCGIDSLKEGGHVSRTDSSNQEKTQGTNEARLEFHQTVARLGGTYQESCPRRPSGSPRNSLMSTEGHSKDHLSVMAEKDLPIGLVDGVARDVGGLDLVFSNIYEGHHLSEDPIKTDPVERLPSLASILADKIDMAWSGSGELHYNLPHDLTKADENRSFSLLSNPSCKKATAPVRIHSFDAVLRLHQRVQTGLVPASLHSALKPADSFRDLTSLVKDPMTNMRRAFSQISPRTRGNLNAVLTRAPKYITSASDMVKNGARLLLPNISCEGSVVVTVYDDEPTSVVSYAMTSQEYVEHVSHKMNANPSFSDLTKAV >PAN14871 pep chromosome:PHallii_v3.1:2:54619495:54621929:1 gene:PAHAL_2G450400 transcript:PAN14871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKPDANAPAPAASAPAEHAAYPRLSPEEMAPPPPPVVPPAGANPYVLSAPSPNPPAKSATDNLREMFGMVGKKFNEAARKTEGIAGDVWQHLKTGSSMTDTAMGRIAQISKVISEGGYDKIFQQTFECLPDEKLKKAYVCYLSTSHGPIMGVLYLSTVKIAFGSDSPVKYVTEDNKTESSFYKVVLPIPHLRSVNPTASQQNPAERYIQVVTVDNHEFWFMGFVNYDSAVKNLQEAVRGVHGA >PAN13505 pep chromosome:PHallii_v3.1:2:48128362:48130276:-1 gene:PAHAL_2G342700 transcript:PAN13505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFPLGGGGHSHSHSRDAPAPSVPPVHPSDAAAAASFLYAARAGAGLQLWQQHEQQQQQHPFYGSNIIRFSDDPPGAAPSLTGAASSSSSRGTRGGGGSGGGGGVSCQDCGNQAKKDCAHQRCRTCCKSRGFSCSTHVKSTWVPAAKRRERQQQLAVLAASAAATTAGAGPSRDPTKRPRARLSVATPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTTVSIGGHVFKGILHDVGPHSLGTPGGSGGAIEYHFRHAGDGSPPSTAAAGDVGGGGVANVIVSSAVVMDPYPTPGPYGAFPAGAAFFHGHPRQ >PAN14003 pep chromosome:PHallii_v3.1:2:50227687:50229468:-1 gene:PAHAL_2G377600 transcript:PAN14003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:Projected from Arabidopsis thaliana (AT4G09320) UniProtKB/TrEMBL;Acc:A0A178UXQ6] MEQTFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFMNVERSFAQQHYADLSDKPFFAGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEAAPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGVAEWKSNLHPWIYEA >PAN10936 pep chromosome:PHallii_v3.1:2:11213086:11216200:-1 gene:PAHAL_2G126900 transcript:PAN10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MASAAGSALTLRRVLLASAALRLALVVFGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAFLLLPNSLLHAAWGKLLFSAADLLVGLFIDTILKLRGIPEKTRMWSVIAWLFNPFTFTIGTRGNCEPIVCVVMLWILICLMKGRVLQAAFWYGLIVHFRIYPIIYAIPFVIVLGKSYAGPSGRPTLTMWSSEHHLQNNKASRREGPTSLLATLWDFLSNFITRNAILFGLLSGFMFFAWTGVFFYLYGWDFLNEALLYHLTRTDPRHNFSIYFYHIYLHHQQGFSSIQRLASFLPQLIVQLALIARFSRDLPFCMFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWNSMKLKWKGLVCMLVWMGSQLHWLMWAYLLEFKGRNVFVQLWVAGLVFLAANTFVMLMVIKQHKYTLLFSSPVKPGSKVATKKE >PAN12330 pep chromosome:PHallii_v3.1:2:42517645:42522893:-1 gene:PAHAL_2G259700 transcript:PAN12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVAEADLADPNPDVQDLFQHYDRLYFRGALADAGFIVKWGSLQSSSSFGSCTFSKPSNTITLSEPVLKYLSCTDRKNALLHEMIHAIIYVKRHRKDSCHGPLFRAWMDAINSCSIKDHQRPDGGYNITTRHDFRPEKPHSFKGILWKCESCGDTLLRAKNQGPPSDACCIENVNSGGGASCGNMLCHWHNHKNDCCGTYEKTELESDALSQKRVPGGAQLLLTYPLEMSKSKGAIQESSSSALQGNTKATKPNAEDKHLPLVSGSNGKSQGSSSSKKASKRRRPEVVLETSVLLAESPRKSKGKKDLVAAEDGLLSLVGCSAPKSTRSSKKVIKADMRHKHDDVQKFSGLPASLEGKPNQKKVGKRHKPDDDQKPSGLPSTPLGTPKLKHTLIKTEKDKLSSAEDCNDSKSPRSTLRKTGEQHELQIAQKACSQPAYPQKALKQDLVALEKKEPSPAMGCSDEKLLVRSSSRKAQRQHEPEDIRKTTVVPAASKKAGERHELQIAQKACSQPACPQKRLKQDLVALEKKESSPAMGCSNEKLLGKSSSKKAHRQHQPGDIRKTTVLPAAPGCKAKASGVAAPEKQRKGKCKRKPVKEKEYAVMSAWLDYYESDRSSGSIEPLVNKRTERRRRERERARILTYSQSKKTNLAPLISSRTDASVSSHRIKMLPHKDESMQQSRPPPPCSASQTPVVVTQATGDHSQPSAPCLDIVPLQPADPPSSPSDQSTAPDIIDISDDD >PVH63660 pep chromosome:PHallii_v3.1:2:5915887:5918559:-1 gene:PAHAL_2G079100 transcript:PVH63660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDRDLKLLPHLKSLTMKRGPRSVRRRRRPLPPQLPDNDDILAEILLRLPPQPSSLPRASLVCKRWHRIVTDRNFVGRFRAHHGTPPVLGYFRPSGRFFNTQEPPDRVPTSRFSLRRWRRRGSWSMFGSRHGLVLYGIWDNFGFVSEFMVVDPMTGDRSRIVNPHAHSMTTLVTAVVVSLAGGIDRRSFRLAMLFSHDYQPRVTASVYSSESGVWSASVATLVLPLSYSYFIYHPSTLVGNAVYWLLFEGLIIQFDLERHSLAMIEQPPAANVGSEMERHIVTAEEGRLGFALLSEFSIQLWEREVEPNYNAAEWVLHRTIQLEKVLSIKPKDHVKCLLRIMGFCEESNVIFINACHGVFAIHLKSMQFRKMKRRGAFHIHPYSSF >PVH65367 pep chromosome:PHallii_v3.1:2:56062778:56063643:-1 gene:PAHAL_2G474900 transcript:PVH65367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAAGRLGAELDGGRRDGLREGRACPSCSPLPRRPWPSASSLSCDRPDPPHAQHQLDERAEVW >PVH64623 pep chromosome:PHallii_v3.1:2:46566282:46569850:1 gene:PAHAL_2G317700 transcript:PVH64623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAYPDADENLEAIITRIDQKSHKIEALLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVEGMFTSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEQLTEKAGLGCVLRSLADTVNTV >PAN09814 pep chromosome:PHallii_v3.1:2:3824479:3830609:1 gene:PAHAL_2G051600 transcript:PAN09814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHSLLHPPAARKGAAPSPLSHPSPSPFLRFPAATRPRLPHLHRLRSASPAAASDLTAFPNPNGILAPIDVDAATEAELRENGFRSTRRTKLVCTVGPATSSPEQLEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAASAKAEDGEVWTFSVRAFELPLPERTINVNYDGFAEDVRVGDELLVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGIAEGCDFIAVSFVKSAEVIKHLKSYIAARSRGSDISVLAKIESIDSLKNLEEIIRASDGAMVARGDMGAQVPLEQVPSIQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEALELQGVSSSFSDKVSEEICNSAAKMANGLGADAVFVFTKTGHMASLLSRCRPDCPVFAFTTSTSIRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >PAN13079 pep chromosome:PHallii_v3.1:2:46249551:46252395:-1 gene:PAHAL_2G312800 transcript:PAN13079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPHAPVAAEAGAGAKAAGDAYTIRPLELSDLSKGFCELLAQLSPSPPLSEDAFRARFAELAALGADHLVLVAEEAATGRLAAAGAVLVERKFIRRCGLVGHLEDVVVDAAARGRGLGERLVRRLVEHARGRGCYKVILNCTTELRGFYAKCGFEEKNVQMGLYF >PAN13464 pep chromosome:PHallii_v3.1:2:47916554:47923343:-1 gene:PAHAL_2G338600 transcript:PAN13464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGMFDGAAGSGVFSYDAGGGGGGGGAGMHNHGRLIPAPPLPKPGGFGAPGLSLGLQTNMDGGQLGDMSRMGLMGGSGSGSAGEGDSLGRGREDENDSRSGSDNVDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPICANCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSGSPMPSLPGCSGLELAVGSNGFGLGPLGASALQPLPDLMGGGLPGSVGSAAMRLPAGIGALDGAMHGAADGVDRTVLLELGLAAMEELMKVAQMDELLWLPNPDGGGGLETLNFDEYRHAFARVFGPSPAGYVAEATREAGVAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGATRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAVLRPDGGNPHAHHHNLAQNGGAAGYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDEAAVHQLYRPLLRSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGGEGGGAAGNGGGAGEGEEKVRMMARQSVGAPGEPPGVVLSATTSVRLPATPPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHSPPSNAAQGSPSVQSASGSAGSLVTVAFQILVNNLPTAKLTVESVETVSNLLSCTIQKIKSALQASIVTP >PAN15318 pep chromosome:PHallii_v3.1:2:56453267:56459658:-1 gene:PAHAL_2G480500 transcript:PAN15318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MAKLTSGLLRPVDPAHALDEAALLRYAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGAGTRRYVLRKKPPGAILQSAHAVEREYQVLKALGAHTDVPVPKVYCLCTDASVIGTPFYIMEYLEGIIYPDNTLPGVTPSKRRAIYFSTAKTLAAIHKVDVNAIGLQKYGRRYNYCKRQVERWEKQYLASTGEGKPSRYQRMLDLARWLKENVPEEDSSAGAGTGLVHGDFRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIFDATPSERTSYGGFQHTGIPDGIPQLEEYLSVYCSFSARPWPAENWKFYIAFSLFRGASIYAGVYHRWTMGNASGGERAKFAGRIANTMVDCACDFINRENVLQEQPSMGFQVSAAPWKEFGREQEGSIPTKDQGKFVPSEKVMHLRKKLMKFIEDHIYPMEGEFYKHAQSTSRWTIHPEEETLKALAKKEGLWNLFIPLDSAARARKLLFEDGSLVSPGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTNFSAPKHKQQSMILVDINTPGVQIKRPLLVFGFDDAPHGHAEIVFENVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMDLMVERALSRTAFGKRIAQHGSFLSDLAKCRIELEQARLLVLEAADQLDRHGNKRARGILAMAKVAAPNMALKVLDMAMQVHGAAGLSTDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >PAN10943 pep chromosome:PHallii_v3.1:2:11271328:11272284:-1 gene:PAHAL_2G127800 transcript:PAN10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANGVVGASAKEPLLSFGVIADVQYADIPDGCSFLGVPRYYRHSISVLQRAVSRWNKHGNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFDKFDGPTYHMFGNHCLYNLPRSKLVSLLKMPTDSDRAYYDFSPCPELRIVVLDAYDFSCLGWPHDHPVTAAATKLLNEKNPNTDKNSPDGLVGVDRRFVKFNGAVGKEQLSWLNDVLQDASALHQNVIICSHLPMDPGASSPAALMWNYDEVMDTVRQYSCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHVEVYPDRLLLVGSDRMADTEIPF >PAN13951 pep chromosome:PHallii_v3.1:2:50048357:50054592:1 gene:PAHAL_2G374500 transcript:PAN13951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MAAAAAARLLRLAPRRLQVKTSPLAALSFPLPRTAPLAAASGRRQSFCAAAQASAHAPAAAAAAATGPAGEAVGEFRKRMRVADVKGGEDEGAAWVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTSDTEGYDQIDSVTTGASVLVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREYLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGSLLKDIPATKDGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTARHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTWVEKGIIDRLNDVVEKKFVHLSYTDAVELLLGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIITDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDHLEARLDEQNLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >PAN13098 pep chromosome:PHallii_v3.1:2:46348473:46349498:-1 gene:PAHAL_2G313900 transcript:PAN13098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPLISSTLLPLLLFLLSGFTAPSSAADCDPADRAALLRVKAQLGNPARLSAWHPSSPNCCAWDPAVVCGGAGGRVTALALYSLPDVSARVPPALGELAALEILQVGSVPGLSGPVPASFANLTRLRDLDVNGTSISGPVPAALLAGAANLSTLVIANSKLAGPIPASLASLPSLRYLDLSGNLLTGAIPPGLLHGSFRFLLLSNNRLTGEIPADVGGGDVDTVDVSRNQLTGDPSPFLFGITRPAAKIDLSWNALEFDMTGVRFPHHLRFLDLSHNRITGRVAKSLMDVRLEHFDVSYNELCGEIPAGRFMSAHGAECYAHNRCLCGAPLPPCSTGM >PAN13609 pep chromosome:PHallii_v3.1:2:48600104:48602893:-1 gene:PAHAL_2G350500 transcript:PAN13609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPARAPLLRRLLLLAALAASCSYYLLVLQAQATAPPRYDGFAYGGGAAAAWKDAVLVEAFLDPLCPDSRDAWKPLKLAVERFAPRVSLIVHPFPLPYHTYAFHACRALYIANKLNSSSTYPLLELFFKNQEKFYNSATSSLSSPAVAVEMSKMAAKAVGNSVSEFLQGFSDRRTDSAARVSFKYGCTRGVFGAPFFFVNGFLQPGGGSPIDYSTWIGILDPLVSHQGERIEMFTSI >PAN15003 pep chromosome:PHallii_v3.1:2:55011583:55016344:-1 gene:PAHAL_2G457100 transcript:PAN15003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:Projected from Arabidopsis thaliana (AT3G28970) UniProtKB/TrEMBL;Acc:Q9MBG8] MGSEGFVAAAAACPAAAGAFAKYYGIVSGGTNAKARQGLVELSQAIDGIEGMRDAMFADVPNLMPFIDLEDTGLFSCFYDFVFFICREKGQKSITIQRAVAAWKIVLNGRFRLLDRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEQMHRIYHSTDCSSAMESQCTISSTFKGLDLLPGSKRKCPSHFRSNEDDVELSDSFTRSVHLTPIKRLKESHGTSYGMGESHKGTHFSDSSSDYREDTNLHNPRGCLQNSPCNVEDALSKGFEGCISMKCSF >PAN13415 pep chromosome:PHallii_v3.1:2:47654037:47655030:-1 gene:PAHAL_2G334700 transcript:PAN13415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARAQAYYDYDYLIRLLPIGDSASFADGCAKCERVNEFNHWTAHGGVICVTFMLFESLCPCLH >PAN13838 pep chromosome:PHallii_v3.1:2:49580605:49584285:1 gene:PAHAL_2G367200 transcript:PAN13838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAASFLSSLLGGGGDAGGPAATVTSVLIYPIKSCRGISVPQAPITATGFRWDRQWMLVNSKGRGCTQRVEPKLALIQVELPPEAFAEDWQPTPNDHMVVRAPGMEPLKIPLASECATIYDVSVWEWSGSAYDEGPEAAKWFSTFLGNPTRLVRFKEESETRLTDPDYARGYNVMFSDGYPFLITSQDSLDALNEKLEEPVPINRFRPNILVKVCHPYAEDLWKTIKINKLTFRGVKLCGRCKVPTINQDTGIPSPTEPTETLQKYRSGEVLLPSHKNKRQVYFGQNAVCKESLSANGEGRIIKVGDPVYVTQSFSSSGEVPA >PVH65160 pep chromosome:PHallii_v3.1:2:53751959:53753634:-1 gene:PAHAL_2G435100 transcript:PVH65160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRCQALALLCACCLLLAGAASGGRVDVEDMLMMDRFRAWQAAYNRSYVSAAERLRRFEVYRRNMELIEATNRLGLSYQLGETPFTDLTSEEFLATHTMPRGLPRHDTAVRQLITTRAGPVSEGRYWNYTGVVDVPDSVDWRTKGVLTPVKDQKHCGSCWAFATVATIEGLHKIKTGKLVSLSEQELVDCASPPNHGCDGGNPALGLAWVADNGGLTTESDYPYGGRQGGCKLDKMRNHVAKISDVGLVDPDDEAALEAAVARQPVAVVINAEPNIQHYTGGVFHGPCDPEKIDHAVTVVGYGAEPGGGRKYWIVRNSWGGKWGEKGYFRLERRVKDKRGMCGVATFPSFPVM >PVH63330 pep chromosome:PHallii_v3.1:2:380479:382416:-1 gene:PAHAL_2G005600 transcript:PVH63330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGIDHQTGLSGSLETLCGQGYGAKSYRMMGVYLQASMITSALFSVLVSLLWLYSEPLLVFLRQDPEVSRLAAVFLRYSIPAQFAYGLIQCTLRFLQTQSVVMPLVAFSLLPLAVHVGITHAFVNCLGLGFAGAAMSTSVSLWLSFLMLAAYVMLSDMFKDTWGGFTAEAFRHVLPGMKLAIPSAVMVCFEHWSFEILVLLAGLLPQSQLSTSIIAMCENTEAISYMITYGFAAVISTRVSNELGARNVAKAKKALTVSLALSLVLGAAFLLLLGLGHEVWVRLFSNSEAVVSAFASMVPLLIGSVVLDSTQGVLSGVARGCGWQHLAAWTNLVAFYIIGMPLAVLFGFTLGFQTKGLWMGQICGLLFQNCVLLFITLRTDWEKLDLTMIDKENDFVC >PAN09556 pep chromosome:PHallii_v3.1:2:2326114:2327835:-1 gene:PAHAL_2G033100 transcript:PAN09556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSSEPRVVEDIRGLVQLMSDGTVRRSADPASFPVVDADDTDGDDGSGVEWKDVTWEREHDLNARLYQPRHLGAANDARIPVVAYFHGGGAGGGFFCLGSGRWPGPHGWCLRLAAELPAVVLSFDYRLAPEHRLPAAQEDGEEAMAWLRAHAAHDPWLADAADFARVFVAGASAGGNIAHHVVARFGKTGLGPPVRIRGSVLLTPAMAGAARTRAEAAPPDPNAALTTDMIDRYARLFLPPGETRDHPAINLSGPEAPALGAVATPPLLVVAAERDVLRDRHAQYARRIKEGWGKEVEYAELAGVGHGFSEADDPWTPRADELVRLVRRFVVAHMDAE >PVH64056 pep chromosome:PHallii_v3.1:2:24375456:24376926:-1 gene:PAHAL_2G174500 transcript:PVH64056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVAVTTARKRAAPDGPCPAAAGGDKKRPRYNFGSIYDYQKLGVLGEGTYGVVLRARHRATGETVAVKWVRATRGGREDALRAAFREAGCLAACRGAPSVLQIRDVATDAATGDLFLVTELIEGPTLRDRLNLAGAFPEPRARAAMRQLLRGAAAVHASGTLHRDIKPENVLVGPGGALKICDFGMATPARPPYPGDPCSVGTLWYLAPEQLRGSRWYGTAVDVWALGCVMFELLAGEPVFVDVETDDDLLMEVLHLGHEIDSRGVAAFKGLPPDLSQAAGEVLCGLLCVDEDKRLTAAEALKHRWFGDEDAQSPEPGDA >PAN10482 pep chromosome:PHallii_v3.1:2:7388370:7394781:1 gene:PAHAL_2G096400 transcript:PAN10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKQEELADMALQRKVSAGFLQGPGPAPPRKKKSVPYHPLSVPCHPYLNRSGSEQSEVTHEDGSSSGLLLDPPALDVARASTHGEDDGKENAVSVASDALQRLQEVYYVMILRMLGFRERNHKTTFNFTRSFSNRTTSTCSLGFASAVDARNLSRSDGRMHGSHGSIVAVLQKCRLLARGVHGVAGRASAEDAKDARALPVLAFDDLACSGHNKVTRFTTRRIPAQMAEPIYSLPKAMNNLLILDLSGCSGLAQLPASLATLKNLVALDLSCCYSLHTLPASLGTLQNLQILLLSCCHKLKNLPVSLCLLSMLRLFDLSGCSSLEVLPDSFVNFDHLEILNLSDCTKLKKLPRAVGSLQELKYLNLSRCSGLDLDVKYLRSLANLKCLTLSPVTEYQSFPRSFLFIDIYLQWSRWWKRSQFHPQCNPKVGSLHSYRCYELGIIDMLLSDGSDEGDITSDQIVTSICIVGESGMGKTELVHRIYNDQMILDAFNLRIWVYMCDKRGLLEKIAEFTTCAYCSNAPISVLEEIVMEELSGKRLLLVLDDSDVESHYFWGDVRKLLSVCAKGSALIVTTKSSDIAKLIGAMQTFYLSPVSKEECFMIFKEHALGGLDMNSYPQLESIGWKVVEKSGGNPLCIKALSGLLCHSELGYEIYMFVHRTLPALRLCYDLLPSHLQQCFRFCSLFPKHHIFVKHHIIRLWISQGFVLPEEGNQPEDTGLHYFDELVCRSFFQRCPFRIDQDDEYVMHELFHDLATSVSKNECLRSEEPFCSLAENICHLSLVLSDFKTVALAKEARNLQSFLVIRGSFPVVRILPSDDLYMKFGLLRALNLSYTDILELPRSIGNMKHLRLLALNSTKIKGLPFEIGQVGTLQTLELKDCCHLTDLPENTSNLTKLRHLDVQKEPGNIKVGMPHGIGQLTDLQTLTEFNIGNNLSQCSIAEFKNLNGLRGHVHVTGLENIKTADDAKEANILGKNFLESLTLEWYYNDEDIDDGLCQEIANNILQNLQPNSNLQKLVVQNYPGNLFPLWMQDSYLSKLVSVTLDNCYGCSKLPYLGDLPSLKSLFIQRMNSIESFEIGSSSLATEEERPPRYPSLEVLTLWEMYDLQFWVGTSEGDFPRICRLSISRCPRLRNLPPLRSLVHLSVHCSSQVPSFSELPSLESLKIEGFNKIRSISFPHQLTTLKKLEISDCKELSSMYAYSLSVSDSRVVRCPKLDLVGSSLEDHHRKKVDGGRNSPTRRSMVLKTATYTDLQVDSWKWEKHGEKNIFASNLVRSYYRCLHRNSTGCCATKFLQPNDTEPNMLSAMYIYEHNHEFPNEPNLELSAEPATTRKRKEPDVPSDEHTSKRQLNS >PVH64671 pep chromosome:PHallii_v3.1:2:47373570:47378924:1 gene:PAHAL_2G329900 transcript:PVH64671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPSLLSQCLAGLLSHDRTTAHCVNIVPEREPHLPSPAVEIVPSKNVHPYKYAGENIELHGMNIFKGKISVLDIVGLSKSDIITSKGEGPLKCWESSIDLVNVLKDEIRDGLLTFRSKRVLELGCGYGLPGIFACLKGASTVHFQDPSAETVRCKTIPNVLANLEQAQEKQNHHQGSPLTPSQQQLPQDIHFYAGEWEELHTVLSIIQEDDVDASSGIGLGFCEDDLLDGYSSQDGNNICYDTSSRRSRKLSSSRAWERGNETSTGDGGYDIVLVNEIPYSASSLQNLYSLIKKCLRPPYGVLYLAAKKNYIGSSSAVRQLRALVDEEGTFGVHLVSEPPEREIWKFFFK >PAN13369 pep chromosome:PHallii_v3.1:2:47479034:47483655:-1 gene:PAHAL_2G331600 transcript:PAN13369 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 3 [Source:Projected from Arabidopsis thaliana (AT2G43420) UniProtKB/Swiss-Prot;Acc:A9X4U2] MNENPLCPSPFRLAPHLRPTPTPPAMATAEAGQTPRKPACAVTFGRSTLLGCQLAAALAASGRWSAVAVLDPSPSPPPPPASPLVRHHAVDLSDPARLASALAGAAAVFHVDATTAAAPGSDGSFLSLHRLAAEGTRRLLAACRAAGVGRVVYTGSADEVAAGARDVVNADEDSLSYPDKFGNAVSELRAQVEIMVLGADGLDGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKFVIGGGGNMSDFTYVENVAHANICAEQALCSNAASVAGKPFFVTNDEPMETWEFMNCIMEAMGCQRPRINLPAKMLLFAALFSNMIHHRLGFQMFSTPLLHPDTIYFLSRTRIFNTSKARKLLGYYPIVSLEDGIMRTVGSFSELSDNLGFSRKQRSCGSSKADKLLGSGTAADILLWRDEKRTFSFVTVLFLLFYWFLLSDRTFISSAAKFLLVTSLALFTHGVLPSQVFGVTVEKFTSDHFELSHSALRNSLMCLASAWNGSIHKLRVLAEGEDWSTLLKVFAFLYSIKLLLNIQFRVLMGLVLASLFIVFIVYEQCEEEIDSLVSNASVKIKWLMDRVVDRLPASLKAYIS >PAN14513 pep chromosome:PHallii_v3.1:2:52537493:52538915:-1 gene:PAHAL_2G415500 transcript:PAN14513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-inhibiting protein, Inhibitor of fungal polygalacturonase, Regulation of floral organ numbe [Source: Projected from Oryza sativa (Os07g0568700)] MAPTASSFLAVFLLVAAAASTPARSCAPSDLRALLSVKQALGNPATLSSWSPSSPNCCGWDHLRCDDAGRVNNVFIDGAADVHGQIPSAVAGLTALMSLSLFRLPGLTGAIPPCLAGLSNLQFLTISHTNVSGPIPESLARLRSLDSVDLSSNKLCGRIPASFADLPNLRSLDLQHNQLTGPIPAGLVQGQFRSLILSYNQLSGPIPRDDAQDEINTVDLSHNKLTGDPSHLFVSGRPIGKVDLSWNYLDFDLSKLVFPPELTYLDLSHNHIRGTVPASLERLSTLQKLDLSYNNLCGPLPRGHGVIKHGCKPFAHNQCRHGTPLAGCQDLS >PAN13627 pep chromosome:PHallii_v3.1:2:52118473:52121499:-1 gene:PAHAL_2G407900 transcript:PAN13627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYVAGAEAPQVKGKFLGIFICWLLGNGSLFAWNSMLTIEDYYGHLFPDYHPTRVLTLAYQPFAFGITLIMTYYEAKMNTRRRNLAGFSLFFLGSFALIILDIATKGRGGLGVFVGVCIISAIFGTADANCQGALVGDLSLMCPEFVQSFMAGLAASGVLTSALRLVTKAAFESSKDGLRIGAILFFSITCLFELACLLLYTFVFAKLPIVKYYRSKAAAEGSKTVASDLAAAGIISDQQAQVEEDPQKHKRLTTKELLMENIDYAFDIYLIYVLTLSIFPGFLSEDTGAHSLGTWYALVLIAMYNVWDLIGRYVPLIQCLKLTSRKGMMAAILARFLFIPAFYFTANYGNQGYMIFLTSFLGLTNGYLTVCVLMEAPKGYKGPEQNALGNVLVVCLLGGLFSGVVLDWLWLIGKGW >PAN14411 pep chromosome:PHallii_v3.1:2:52118473:52122504:-1 gene:PAHAL_2G407900 transcript:PAN14411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYVAGAEAPQVKGKFLGIFICWLLGNGSLFAWNSMLTIEDYYGHLFPDYHPTRVLTLAYQPFAFGITLIMTYYEAKMNTRRRNLAGFSLFFLGSFALIILDIATKGRGGLGVFVGVCIISAIFGTADANCQGALVGDLSLMCPEFVQSFMAGLAASGVLTSALRLVTKAAFESSKDGLRIGAILFFSITCLFELACLLLYTFVFAKLPIVKYYRSKAAAEGSKTVASDLAAAGIISDQQAQVEEDPQKHKRLTTKELLMENIDYAFDIYLIYVLTLSIFPGFLSEDTGAHSLGTWYALVLIAMYNVWDLIGRYVPLIQCLKLTSRKGMMAAILARFLFIPAFYFTANYGNQGYMIFLTSFLGLTNGYLTVCVLMEAPKGYKGPEQNALGNVLVVCLLGGLFSGVVLDWLWLIGKGW >PAN09324 pep chromosome:PHallii_v3.1:2:1020646:1021716:1 gene:PAHAL_2G014800 transcript:PAN09324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRRGVTWPVQGVARRRRRRPPPARRPAPALGGRRLHQHAARAGVAVPPAAHGGAQGLRRLRLRGRPQRLRRPVRARRGLLQRPRPARHAGSGATGCCVANPATRRQAPLPPCPPSPEGTVGSSCYSDRYLVFDPTVSAHYQVLLLPHRFPDKEKVKAKIGEGMVESPPSPWMIQVFSSRTQRWEDRSIVREGEAIAAADLLQSSLQQPIMPHYYAACWHGALYLHFNDDFLMRNIVR >PVH63314 pep chromosome:PHallii_v3.1:2:189795:192074:-1 gene:PAHAL_2G002200 transcript:PVH63314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAGVPVVVVCSGDYQQQQAAVESSTSLHRRSANYQASTWDYDSICSLREEEAGESVLQLQATTLKERVRRLLLQEREDDDTAGRSRLRIIHRLQSLGIAYHFQEEIKGILLSMHRAAPSNLDDDLHSASLLFRMFRAQGIPASTDMLLVMMRAFRFREEDEVVVGSDDDDGLLALYEASYLAFPGETELEEARAFAVQSLAARGGGIAAGHLPLHWRAPRLQAMWSLHEEQAGADDDDDDILQLARVDFNLVQALHRRELSEVTRWWKESRLGERLPFARDRVVECFFCAACIAPEPHLADCREVLAKTASLIVHLDDIYDVYGTPEELRAFTDAIATWEPPCGAGDALPEYMRAMYAAIWETSTTAADRVLSKHGCHVLPLFKKQWHELCKAFLVEARWHQRSYRPSFREYLANGWVTSTGPLLLLHALPAAGAAAVGSDGDRLPTTLVELSSTIFRLCNDCASHEAESQRGDAPSAIACCMEEAWCAGEEQARAAVQGLIADTWKVFNKEMSSSADQSMAVAADLCRNLARIIHCIYQDGDGITSPTHRMKRMRRELEARMEMASRRGSPTTGGGGLTARELDAGRRHRSSMRLASTGAQRRAKAAGARPRTEATG >PAN14606 pep chromosome:PHallii_v3.1:2:53014354:53016353:-1 gene:PAHAL_2G423300 transcript:PAN14606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLSFVALLLLLHAAVSAADDGGRFVYHGFAAANLTLAGLAAVTPGGRLELTNATRPAKGHAFHPAPLHFFSKPKAVAANTTTAPRSFSTCFVFAIVCPVHDGLISDQGLAFVVAPTTNLSMANADQYLGLPNIVGNSSESDRILAVELDTIMNPELRDIDSNHVGVDVNSLVSRQASPAGYYNDDVGGTFQELRLNSREPMQLWVDYDGQARQLDVTLAPVRVPKPKRPLLSMNIDLSTVIADPVYIGFSSATGITLTSHYLLGWSFSLDGPASPLDFSKLPVLPLVGPKPRSKVFVVVLPLAIASVVAAVLAAIFLIVLTRRRRYAEVREDWEDEFGPHRFSYKDLFHATDGFNDSSLLGVGGFGRVYKGVLPSSNLEIAVKKVSHDSRQGVREFIAEVVSIGRIRHRNLVQLLGYCRRKGELLVYDYMANGSLDRHLHDRQLYPLPWNERYRIIKGVAASLLYLHEDCEKVVIHRDVKASNVLLDHEMNSKLSDFGLAKLYDHGTDPQSTHLMRTGKATPLTDVFAFGVFLLEVACGRRPIGQIILVDWVIEHHDKGSILDVVDPRLLGKYETDEVILVLKLGLICAHPLPNIRPSMGRVVHYLDSSLSIPPDLSLLRNKGFDSYFNLDDLSMVSIGESSVTILVEGR >PVH63536 pep chromosome:PHallii_v3.1:2:3690570:3693605:1 gene:PAHAL_2G050100 transcript:PVH63536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHEVLKRKLLHILDVIMDAEEQAAAKREGAKAWLEKVRKVTYQANDVLDEFKYEALRRRAKEEGRYKDLGMDVIKLFPTYNRIVFRYMMANKLRMILQEIDDLIKEMNDFRFMLKPGPPEPTNYLRQNNSHIIDPVNIAKESRAREKKDVVDRLLAQASSSDLTVLPIVRMGGLGKTTLAQLIYNDPEIKKHFQLRLWVCVSDNFEVDSLADRILKENGCKPTGCSALEKLQNAVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGKTEGAYKLESLGAYFIEKIIKTRAFSSKEEEWPGELVKMVGQVAKRCAGSPLAATALGSLPRTKTTEEEWKSVLRRSSICDEENKILPVLKLSYNGLPSHMRQCFAFCAMFPKDYEIDVEMLIQLWMANGFILEKQGERPEITGKNIFVELAARSFFQDVKGIPFQFNHTEVSRITCKIHDLMHDVAMDSMGNECATVATKLSKSEDFPFSACHLFLSVNRAETILNASVEKGSPAFQTLICDGYVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSESDIESTS >PVH65206 pep chromosome:PHallii_v3.1:2:54021066:54024838:1 gene:PAHAL_2G439400 transcript:PVH65206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPPPPPPPTPLMAAQTLLPKLLLLLLLLLGDATSVSGSGEREALMKFKAAVTADPGGLLRGWAPASADYCRWPGVSCGAGGEVVALNISSAPGHALAGELSPAVAALRALRVLALPSHALSGQLPPAIWSLRRLRVLDLSGNRLQGGVPAALACVGLQTLDLAYNKLNGSVPAALGALPGLRRLSLASNRFGGAIPDELGGAGCRSLQFLDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDIIPPEIGRLKNLRALDVSRNSLSGPVPAELGGCVQLSVLVLSNPYAQLDGLNSSDYGEVDDFNYFQGGIPEAVTALPKLRVLWAPRATLEGELPGNWSSCQSLEMMNLGENLLSGGIPKGLVECANLRFLNLSSNKLTGSVDPSLPVPCMDVFDVSGNQLSGVIPVFISKNCLSSQQSLDDLVSEYSSFFTYQALAGFISSSLPLGVHLTGYHSFARNNFTGAVATLPLAAEKLGMQGSYAFLADGNHLGGQLQPGLFDKCNSSRGFVVEVSDNLIAGAIPGEIGSLCSSLVVLGIAGNQLSGTIPSSIGELSYLISLDLSRNRLGGEIPTSVKKLPHLERLSLAHNLLNGTIPADINQLHALRVLDLSSNLLTGVIPDVLADLRNLTALLLDNNKLTGNIPSGFATSASLAMFNVSFNNLSGPVPTNGNTVRCDSVIGNPLLQSCHVYTLAVPSAAQQGRDLNSNYSNDTTTSDSQNEGGNNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSARSSGRREVTIFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLSVGRFQGAQQFHAEIKTLGRLRHQNLVTLVGYHLGESEMFLIYNYLSGGNLERFIQERSKRPVDWKMLHKIALDVAKALAYLHDTCVPRILHRDVKPSNILLDTNNNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSIRPTMKQVVQRLKQLQPPIREHR >PAN13062 pep chromosome:PHallii_v3.1:2:46162105:46166525:1 gene:PAHAL_2G311600 transcript:PAN13062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLYTSFFKSHQHHHRYYYHPAAPPAPAVTPPAYSSSLPFFAAHLQPPTHTPAAPPSPPLREALPLLSLAPAASSRGITREPGRRGRAAADSDEDGDEEEEEEEGGPAASSNNRHHGQQQRVGGLFADLNAKAAGDPMDVESGAGSSSAAGDVTVALRIGLPTTSAGSADLIGARRRHQDGGAEEEDDDEGRNNGENGGGGEEEEEEGEAVAAPLGFPSAPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHLRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGRLWYCLCGSEFKHKRSLKDHARAFGHGHGFVGGAGASAGCGDDDDDGAVSDVDHDGGGGAAARSM >PAN15606 pep chromosome:PHallii_v3.1:2:57796057:57798514:-1 gene:PAHAL_2G501200 transcript:PAN15606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLAAADAFLILEFIAGNRRIPHGILAALLAFLPPSFSPRTSPRLRKALVLRALHAALHAEDASSSFTLLGKARRVLADPNAAACFPNQLSFADSQEDHGARAAAAVGDLKRFLDHEWANLPPSMLELAADRLAGHRSLQTWAASDHANRTKLRLLVGESTEREILAKLMQDAPASNPPIPPEVPDIAKDANDADGAQRNNAAYPSNQSNEADRAQGGIAEHQNVSIKGAQRVQLPEKSVPASNKRNLVERHPNASTYEWDGLGDSDDDRPVGKRELPPFERKPHPSPACAHRMRKKWSEIEEKTLLEGVVKYGRGNWKDIKMAYPDVFEERSTVDLKDKFRNMERHHESA >PAN12149 pep chromosome:PHallii_v3.1:2:41243540:41246610:1 gene:PAHAL_2G246300 transcript:PAN12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSLQSSSGGDDEFDSRGGGGGGGVDSSPLSALLRPSPSPSAATFSLHGSYFGLQEFTSAPPPQQQQAGAWSGASGSFAGASGLSTSSSPRVDASAGAGARPQGADTAVAAAQGQGAGVGAPAPAQPPRGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFGAGVGVGLGGPAASLRTRFDHIFPPPPSLRSAAGGAAGDATASLPPYLLRPFPHKLPTAPSTFPPFTSSSSTSSSTPSSSNIGAVNANAATGTATTAAASSNPAAPTAAAGAGDAFQQLTSSALLRLQQDASSYLSFQNFLDSQPSSQSIFGAAGGFAQSSRLHDPAPSPSDFLAGVGSSSLGLTHGGLLGSEGLHLHHSRSDVHGHGGDELSGVVAASASGGSCKLNYSSHAGAVMASSSAAGSADKPPDGGAGAPGRPGRGDGLDPWICTSE >PVH63512 pep chromosome:PHallii_v3.1:2:3165207:3167222:1 gene:PAHAL_2G045200 transcript:PVH63512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRKEQKQIEKGNSNPPDPASAKRLTPPPSARPPPPSAPAARPRLRRPTPPPSARPRRPRLRPAPPPAPDRPRLRQRPPPAPPPAPPPAPPPAARASASARAAASARPPAPPPAPAARASTRRLRPPPAARAPTLAPPPPIRAAGESPLREPYQFARFLARPERPAAWVLGSVSPGGAKLCSVFLLRLGCGCFPLSLFGSKHPQ >PVH63842 pep chromosome:PHallii_v3.1:2:9999324:9999699:1 gene:PAHAL_2G119500 transcript:PVH63842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVPWTSSTACCCPHEGVNTHFLVSADALGLEFLQDRGIDSFSSMGKQNKSVLQR >PVH64233 pep chromosome:PHallii_v3.1:2:37169334:37169853:-1 gene:PAHAL_2G219300 transcript:PVH64233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHLILSAARRTVLNHGALLLSTHQGSIHDHITMHKKSWSMHLDSEVSPLRHKSVDCMWGVGKHGEREEAAAEGEAAEGAEGAEGQALHHPPMRRHAPLLE >PVH64264 pep chromosome:PHallii_v3.1:2:38351699:38365415:1 gene:PAHAL_2G225500 transcript:PVH64264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASLVMIRYAVAMISLHYLFAALATTLAAAEASGVARRQGSTGVRAPSITPAAGCPNRCGDVTFDFPFGIGPDCSRGPDFELTCDNTTQPPKLFMCDGTTQLISNITIVTTEDLDTCSEHSVSMNFAGTIPMKSSAPVFWSLSLKSPGNSFYAYYPGLSFSGCDFHVQWVNPPVNTKAVASRFSCTSICPDDADGELTNIAAGDAAEYCGTSNNGTGCCHILFGEYDSQVVPYVSLATLKFVRYGRADPKLHHNLSFLWDTINVTLYEASANWKIADQTTCASAIRNKATYACVSRNSICQDDSFGDYGYRCKCRTGYIGNPYIPHGCKPDHGYNPSQPKSNCTRQCGSTDVPFPFGLEEGCFAREEFHLNCTNKTSSAILLYNSVDDYQVTNIHVEQGLIEYIDPEASRGFGALDRPSLFLYSAAPVSVQWVVAQLSCEEARQNKSGYACASANSECKTIRRTGGRVGYRCNCISGYQGNPYIARGCVDIDECLQPNICPEICNNTIGNYTCNPCPYKTEYDPVNRRCVIRKHQNLLPGIAIGLSVGLGILLLCLGGVFLIHRWRRDIQKQLRKKYFQKNKGLLLEQLISSDEKQSDNKIFSLEELQKATNNFDPTRILGSGGHGMVYKGILSDQHVVAIKKPKVIAEGEINQFINEVAILSQINHRNIVKLLGCCLETEVPLLVYDFIPNGSLFKIIHDDQSNKEFSLSWHDSLRIATEAAGALCYLHSAASVSVFHRDVKSSNILLDGSYTAKVSDFGASRLIPIDQTHVITNIQGTFGYLDPEYYYTGQLNEKSDVYSFGVVLLELLLKKEPIFTSDSGSKINLSNYFLSEIRTRPITEMVASQVLEQASEDELNTVASLAEECLRLRGEERPTMKQVEMKLQLLRNKGLRSCDGFPQSSCDIQAPAPVRLAAHRCQSLSTDGDNRADIASSSCYELEQEFMSSATLPR >PAN12849 pep chromosome:PHallii_v3.1:2:45109061:45113749:-1 gene:PAHAL_2G294900 transcript:PAN12849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGAAAPPSSAACRLRLRRHLLLRPSHLRLRAPHSIADLSRSSNSAPTPAQPLGSAAEANGSGGRRAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTEEFLQQMEPRMQRAFAAMRELEKGAIANPDEGRMVGHYWLRDPSLAPNSFLRNKIETALDSVLAFSQDIVSGKIQSPSGRFTSILSIGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAALMDEETRNTVVKENPAALLALCWYWASEGIGKKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEATCKDPAEALTLDEIADRCHCPEDIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDEEDMQAA >PAN09621 pep chromosome:PHallii_v3.1:2:2672186:2672356:1 gene:PAHAL_2G037700 transcript:PAN09621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKIDRIPIDFYQKDEILNQLLLTRDAYAIGLLISWRHIFLVCCACSAPVISSGR >PVH64236 pep chromosome:PHallii_v3.1:2:37308521:37309685:1 gene:PAHAL_2G220100 transcript:PVH64236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSSSATRDAAALSSRSTHPSYRSSPFPRRAHSCPRASTASPRERSARDVSARPSSRWRRPPSPPRTQARSCERSAPMASSRSRSSSSSPPRSRPTG >PAN13603 pep chromosome:PHallii_v3.1:2:48580738:48583573:1 gene:PAHAL_2G350000 transcript:PAN13603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASSLLPAPVSRVRATTAPELAAFPPAWKGVSLAAARRWGSRHGVRAEVNESGSTLAVDALSQVKHVLLPVTDRNPYLSEGTRQAAAATASLAKKYGANITVVVIDDKPKESFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEDRKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >PAN12808 pep chromosome:PHallii_v3.1:2:44944580:44944852:1 gene:PAHAL_2G292500 transcript:PAN12808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRASCACILIILIVSSGAEARRLLAETRAAEEEACGVGGCHRLPAAQGRAGLAAATTTTTKMATTDGRPTAPGHSPGIGNKIAGNTR >PVH63611 pep chromosome:PHallii_v3.1:2:5028436:5029005:-1 gene:PAHAL_2G068500 transcript:PVH63611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAVPRRRQGGGDGAATATEAGPRRLSATSQTVRNERTCREDGGHLQQPNPRRENGRNGPSRRQTAAATGCTDREDEDGGGGGGDGRIRDGRQHDGRLEGRRRAADGAATGGEDGGGSGADEEGYRRDRETRRRRTGGDGNRREKNGYAALCCCCDSIYVMGLGLDLPPLTTHHDELTTRGQRSMTN >PVH65185 pep chromosome:PHallii_v3.1:2:53939977:53943388:-1 gene:PAHAL_2G437700 transcript:PVH65185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLFLFRRLLSTSTSSPAPAPAPRPTDPALLLRLCTILYQHQHDPDDKLHRRLSALSLPTAPSDLRELFLQASARFPLSWRPVHRLLAHLSARHCGASDGGGGFPHSPATAARLLDVLAKSGNTDLLQSTLFSLPRTLLSAAALRAAVRGLAPSREVRKVAALVTLFPECHSARILTFVTDVACSEPCRLPDVAEKVIKRAEHQHGVSRTARCDELLVVAYCRAGSLAEACRVWNGMERRGHEPGAAAYQEIVVTMFKNNRAADAMRVFDGMRRSGVQDDGGACCRAVVSWLCKDGKVWGAYMVFAEMVKIGVEVDGEVLGDLVYGLIARRRVREGYKVFRGVREKDIALYHGLMKGLLRIKRAEEATELFREMISRGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVNVPRFDYNKFLYYFSNEEGALMFEEVGKRLREVGLIDLADILSTYGERMTTRDRRRRAMHGLLESVQSAQDVSC >PAN10225 pep chromosome:PHallii_v3.1:2:5950895:5951706:-1 gene:PAHAL_2G079900 transcript:PAN10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPKLLAVIFLLFLLGSNEMHQGPVRVAVARECQSPSHRYKGPCARDANCASVCQTEGFSGGKCVGFRARCFCVKAC >PVH64015 pep chromosome:PHallii_v3.1:2:20872083:20872818:-1 gene:PAHAL_2G164000 transcript:PVH64015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMTGETRLLILRPFTVVVVENLMAVIDLSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLREKVRQQELQQQWFQAQLAQQNAILQQIATQQNVQVPPLVPPPFAQAGWPSASPQPFHTTPPNLAAPGDSHVDPTTNWADQFIGSGGSTQPGDGGGQT >PAN15015 pep chromosome:PHallii_v3.1:2:55066166:55068561:1 gene:PAHAL_2G458300 transcript:PAN15015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRSGSPASRWLRPSALLLLVAFALLSAARPARALRFDLESGHTKCISDEIKVNSMAVGKYHVVGPDPNFPDAQLPESHRISLRVTSPYGNSMHYAENVQSGHFAFTATEAGDYLACFWAPDHKPPVTIGFEFDWRSGVSAKDWPSVAKKGKVDMMELELKKLEETIKNIHEEMFYLREREEEMQDLNRRTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >PAN09654 pep chromosome:PHallii_v3.1:2:2827855:2830440:1 gene:PAHAL_2G040300 transcript:PAN09654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIVCKKADIDMNKRAGELTPDELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >PVH64732 pep chromosome:PHallii_v3.1:2:48295320:48297477:1 gene:PAHAL_2G345900 transcript:PVH64732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAILLLLARFSSSIAVSSNSYISRSAEQQVIATVAPAVVPDVDGQSAQPFLTSPSGSFAAYLRRTVDGAGGLGGDACYVQVQQAGVGGSVWESDCTPVGGADACDLAFSPVGLELFAGGHSLWDTGVDADPWTLSLDDGGDIKIISKEGVTVWTASGEPWTGEQCGAPMPVSPEPSTDSVLPPPSTAGSKLVTPPSETLAGAGSTDFSSGDQPAPPPVDTLPDMPVQPPAVDTAPEQPLAPPPADASPDLPDLPLPPPPAYTSPDSPDQPLPPPPTADVSPDQPLYPSPPPAPATFGPDAPVAPPFGVPLATPSAGDDSSLPGTATPPGEPGSPGSVPFSGPSPAGMPHPRGPAHPHQLPLGASPPLPDALAPGAHGEGAGKQPGVPFGHGQQPQPGVFGQQPQLLNGEGQPLEESSGGWSGNERGGVVACIMALFAVTATALGFGF >PAN12147 pep chromosome:PHallii_v3.1:2:41235603:41236526:-1 gene:PAHAL_2G246100 transcript:PAN12147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Iron sulfur subunit of succinate dehydrogenase (Truncated) and ribosomal protein S14 precursor [Source: Projected from Oryza sativa (Os09g0370300)] MLRRTLPALRSVKDSVTDAARQAAKGDAHFPSLRGHPAARVNARESAEGQARLAAAEEERRRGGRPEATTVKEFQVYRWNPDSPGRPFLQSYFVDLAACGPMVLDVLQKIKSEHDSTLAFRRSCREGICGSCSMSIDGVNTVACLKPVDADTSRPSMITPLPHMFVVKDLVVDLTNFYQQYKSVEPWLKTKKPPGGGRREHPQSPAQRKKLDGLYECILCACCSTACPSYWWNSEAFLGPAALLHAYRWVSDSRDDYGQERVQALSEGWDKLYRCRMIKSCTATCPKSLDPAAAISALKAQHQLRKA >PAN11059 pep chromosome:PHallii_v3.1:2:13007401:13010069:-1 gene:PAHAL_2G138600 transcript:PAN11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRILSCARLITLHRPNLPPALSRLLSHGPTAPATSEPEDKGKKKAAAAAAAVVVEAAAASRREDPEVRARDGSEEDDEDAGLPWRSWTPDVAWLSKALEPALHLYKQYNWKPFASTGGGENIPASTRTFSEILSDLQRSKISIKDWSLSDLTVGLYLIYLSQASSKHAEAFKGVQISSNKMVQELIYHLELARGCYKGNATGLARYSMLRKRNVVKFVKESSILRPGYYIGIDPRAKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGIIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGTPPCISKEAAESCASYVSTVVLQDDVIPRLSAASLARLRNEILKTDWVSVLEKEDLKHIVDIVTNAKLVVSSIQDVARKLGDYAKIVSVSTNSAMKKRLRLPATFA >PAN11058 pep chromosome:PHallii_v3.1:2:13006846:13010069:-1 gene:PAHAL_2G138600 transcript:PAN11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRILSCARLITLHRPNLPPALSRLLSHGPTAPATSEPEDKGKKKAAAAAAAVVVEAAAASRREDPEVRARDGSEEDDEDAGLPWRSWTPDVAWLSKALEPALHLYKQYNWKPFASTGGGENIPASTRTFSEILSDLQRSKISIKDWSLSDLTVGLYLIYLSQASSKHAEAFKGVQISSNKMVQELIYHLELARGCYKGNATGLARYSMLRKRNVVKFVKESSILRPGYYIGIDPRAKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGIIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGTPPCISKEAAESCASYVSTVVLQDDVIPRLSAASLARLRNEILKTDWVSVLEKEDLKHIVDIVTNAKLVVSSIQDVARKLGDYAKIVSVSTNSDVAKNPADSRKVLSSDSTNDVFVPEDLFLPGTLYYLQRDIEDINGMEDESYTLWKGDPGENFQRILLSGNLISDHRCESIYYALREVLKTLPPLPQDD >PVH65453 pep chromosome:PHallii_v3.1:2:57514415:57515624:-1 gene:PAHAL_2G497000 transcript:PVH65453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPRFDQRVCFSLANFIAGPPSSAPSHSHRLLRFLPRRRHARRIDLATAECAAGSFSGPALEEDDIDNGYRLPPKEIQGIVDVPPNPSYYISPRRDRIMFLKHRAMTPLSELAKPDKILAGIRIDPSSNTRSRMSFYTEINIHLLMEDGTLGPEKVVNGCNDNAKINFVGWSPDGQHVAFTVRYEDEVIS >PAN10257 pep chromosome:PHallii_v3.1:2:6042592:6043252:1 gene:PAHAL_2G082100 transcript:PAN10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRVAVPIGFSVHRQTKTRTGATFDEPSYGLSRQLPRVHTASPPRGSIRARGDDGGENGRETRSVGKARAISAAGRRARRPSR >PAN13387 pep chromosome:PHallii_v3.1:2:51013441:51014716:1 gene:PAHAL_2G389800 transcript:PAN13387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRYVPFIIATVGEERWRQCKIEIVMNVGSSWHGITHHHPAKFDTLAMDPELKRTLIADLDRFLKRKDYYRRIGKAWTRGYLLYGPPGTGKSSLVAAMANYLHFNLYDLDLSDVHSNSTLQKLLIGLENKSILVIEDIDCCFSAASREEKSDDAESDSSDSGKGRSGSDGRRHSVRAAQLHRRAVVDEQRAAHHRLHHQLQGPPRRGAAPTGAHGHAGTHGLMRLGGVQDAGPELLPRRRPRPVPGGPGAALGGGAVEVTPAEVSEMLLRSEDADVALQGLVEFLQDKKQQGEASRDGK >PVH64251 pep chromosome:PHallii_v3.1:2:37702554:37703386:1 gene:PAHAL_2G221900 transcript:PVH64251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAISAMLNEESRLKVMGGNDPIKSAYSASDDRDCYNCGEKGHLSYNCPHPRGSGARGGGARGARGGARGGRGGYGGGRGTRGGGRGRGRGGPRANIAVAEETPSITLTGEQAKMWEQWQKSKESEKSASTPPDVTATTTVQHFGNFANYAHSGEGEEHWEEDWDWSQA >PVH65046 pep chromosome:PHallii_v3.1:2:52320138:52321346:-1 gene:PAHAL_2G411000 transcript:PVH65046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWDVLRQLRIPFESPHLRNAALATKVLPASRTGLWLMPWTKQISAKSSSLFYQMRVCIEGLPGHAHQIETVTPLFKAPTFINTVDPERRRDKEIGCFCLWLWTSDPDVIAKEGVLQIAEPVEVTEDYFIRLGEHGLPNLRSGPAKMLDYEVIIHIDRIEDYTSRSGSSSNQSYQSDVSGIPDDSFEEEWPVRHRFSWYNGVPDGQFIPRTSVHDRIRGSASPHDRSPPRGGGGGSRNMQVPPPNYHDMAPFRGGRSGGGGHAHGGQTGRRISGRSHGGDTAGAVEEDPTASARITGGEGTGDGEQIDWKKKEPEKAFEDVDAACRFNESLVADWPSVDPMTEEAASGQGAVQVLPLAAMPEAVEHVGPESTAEALGLELTDQGG >PAN14653 pep chromosome:PHallii_v3.1:2:53254106:53256252:-1 gene:PAHAL_2G427100 transcript:PAN14653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVEPKKKSNVMYAFVCSILASMASIILGYDIGVMSGAALYIKKDLKITDVQLEILMGILNFYSLIGSFAAGRTSDWIGRRFTVVVAAAFFFSGALLMGLAGDYATLMLGRFVAGVGVGYGLMIAPVYTAEVSPASARGFLTSFSEVFINIGILLGYVSNYAFARLPLHLGWRVMLGIGAAPSVLLALMVFGMPESPRWLIMKGRLADARVVLDRIAETPAEAAERLADIKAAAGIPDDADDDVVVVPKRRSGEEKQVWKELILSPTPTVRRILLATLGLQFFQQASGVDSVVLYSPRVFQSAGITDDDQLLATTCAVGVTKTVIVLLAAILLDRVGRRPLMLTSSGGMVASLVGLAMGLTVVGRHPDAKVPWAVGLCVTSTLAFVSFFSIGLGPMTAVYTSEIFPLRVRALGYAVGVACNRVTSGVVSMTFLSLSSAITIGGSFFLYAGIVALSWVFFFTCLPETRGRTLEEMGELFGTTTAAGTDAEDAAAGRVDTDSSASRLLG >PAN14446 pep chromosome:PHallii_v3.1:2:52278803:52281123:1 gene:PAHAL_2G410400 transcript:PAN14446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNKKNKAKKGGGGVATMDTSEGGPVASTPAEAPQPMDTSEGKQPSSKSTVLGSINKKIKKGVQMKRSQNARKMKAIAKAVSKNEQSEEKIHKAKSKKTRIQSAKSLYD >PAN12837 pep chromosome:PHallii_v3.1:2:45052865:45055548:1 gene:PAHAL_2G294100 transcript:PAN12837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFIDGPTLRALLRPSTNGRRTKISDSGGGSGGGGGIFKMFKLMPMLSSGCKMVALLGKHNRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPLFLIELPMLTSALHREISSGVVKLALESDTRSARRRLVEEYVWAVYCNGRKAGYAIRRKEASDDERHVLRLLRGVSMGAGVLPAAPEKEGGVPAGPDGELTYVRARVERVVGSKDSEAFYMINPEEGGNGGSESGAGGGGAPELSIFLVRMK >PAN13243 pep chromosome:PHallii_v3.1:2:46875908:46879310:1 gene:PAHAL_2G322800 transcript:PAN13243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGNTFVQDEERQRLLLEEHTEKHFTAGEVVRDIIIGVSDGLTVPFALATGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSEADHYNRELQREQEEIDTVPDAEAAEIADILSQYGLGPAEYGPVVTTLQNNPKAWLEFMMKFELGLEKPEPRRALVSAATIALSYVAGGLVPLLPYVFVPRAERAMAVSVAVTLAALLIFGFVKGRFTGDRPFLSAVQTTVVGALASAAAYAMARAVQSI >PVH64752 pep chromosome:PHallii_v3.1:2:48684064:48684706:1 gene:PAHAL_2G351900 transcript:PVH64752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPSYRWTGRPSPSRRGQASSPSQESPSYGDLDPVPWPVGRVTKAAMGWSSSNYWSGRLGRRRTDFLGCFFRRAPGGWLGGCITTSGFKFWAGPVHLDRQLLRASCLRESKFSLMEKKAD >PAN13104 pep chromosome:PHallii_v3.1:2:46365604:46368207:-1 gene:PAHAL_2G314400 transcript:PAN13104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIEKDNLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >PVH63932 pep chromosome:PHallii_v3.1:2:13028702:13029372:-1 gene:PAHAL_2G138800 transcript:PVH63932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSVQPTTKKKIRKGTLDSGDSIRSKSAGSAGSTDQPEPISSEFPMARENPLVAAKEKGNKLANKAKEKGKLMKPKAKKQTEDKGKKNDVSFESPAMATRSKKIDSCSPAMSTRSKRQLNL >PVH64419 pep chromosome:PHallii_v3.1:2:42763459:42766338:-1 gene:PAHAL_2G263500 transcript:PVH64419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] MPPPRPRLPVSAPPAPARALYSSSSSAAARAHNPLDGMPRRGAADRLAHLPPALAGFVRARAAGAAASVPCRFSYGNSLAACAASAAPLAFAEQLYCAAWKDGVSRDAYVCSAAVDLLAKHGRLEDALRAFEDGDRSSVVCWNAAISGAVRNGEPALGVEMFIEMVRRSSCGPNSFTYSGALSACAAGVELGVGRAVHGMVLRGDPEYDVFVGTSIINMYVKCGEMGAAMNEFWRMPIRNVVSWTTAIAGFVQLEEPTSAMLLLREMVQSGVVINKYTATSILLACSQMSMIREASQVHGMIMKTELYLDHVVKEALISTYANIGAIQLCEKVFQEVGTVSNRSIWSAFISAVSSHSLQRSILLLRRMLCQGLRPNDKCYASVFSFVDAIELGKQLHSLVIKDGFVQAVLVGTALSTMYSKCNDLKDSYKVFEEMQERDEVSWTSMVAGFATHGHSVEAFQVFRNMIVDGFTPHDMSLRAILSACNIPECLLKGKEVHGHVLRVYGGTTSINHCLVSMYSKCQDVQTARRIFDATQSKDQVMLSSMISGYAANSFSEEAISLFQLMLTSGFQIDRFVCSSIISICADMARPFYGKLLHGYAAKVGILSDLSVSSALLKLYSKSGNLDESRKVFDEISDPDLVTWTAIIDGYAQHGSSQDALMMFDLMIRCGVKPDPVVLVSVLSACGRNGLVEEGFKYFNSMRTVYGVEPVLHHYCCIVDLLGRSGRLAEAKSFIESMPVKPDLMVWSTLLAACRVHGDAVLGRFVENKIHEENYDSGCFATLSNIRANSGDWEGVMEIRKSVKDVKKEPGWSML >PAN10994 pep chromosome:PHallii_v3.1:2:11902334:11902972:-1 gene:PAHAL_2G132300 transcript:PAN10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDSVGSLVLVLLALSAAPLAVVAGDPDILTDYIVPLSANPGNITGQFFAYTGLRAALAAPAPGNFTATKASMAEFPALNGQSVSYAALSFPPGSVNPTHTHPRASELLLVVDGALSVGFVDTAGKLFTQDLATGDMFVFPKGTVHWQCNKGTQPARALSAFGSAAAGLVSVPVTVFGTGIDDTVLAKSFKTDVATVQKLKAALTPPKRP >PAN14002 pep chromosome:PHallii_v3.1:2:50222108:50224952:-1 gene:PAHAL_2G377500 transcript:PAN14002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRVLCMLSYSWSGTCGSRSSTLPNRDQTWSSRQSYGDQKKNSPAPAQETPAIAIAIAMALASSTSLCSPPLPCPRLRRRYSAAAPTVTASPTTQRSDENIVIVGAGVAGLATALALRRLGVGATVLEQGATLRAGGTSLTLFKNGWRVLDAIGVADELRAKYLRIQGMRMRSAAAGGRDLREFSLEEEAPGQEVRAVERRVLLETLASKLPPGTISFSSKLKSIAEQGPDGTLLELEDGRQILSKIVIGCDGVNSPIARWMGFSEPRYVGHMAFRGLAEYADGQPFKPKVNYIYGRGVRAGFVPVSPTKVYWFICFNRQDPGPKITDPAVLKSEALDLVRGWPSDLLAVMHSTPEGAVVRTPLVDRWLWPGRAPSASRGGRVVLVGDAWHPMTPNLGQGACCALEDAVVLARRLAPAASGGTNSIEAAMRAYEAERWSRVFPLTARAGLVGTLVQWENPAVCAVRDGVVIPRLVRLGPFLEHTNFECDLIEPTPQSPCP >PAN10301 pep chromosome:PHallii_v3.1:2:6205596:6208650:1 gene:PAHAL_2G085500 transcript:PAN10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVERDASRHHYLGLIDGKWPEVHFINDYAVFMGYLSMAVMGTGILVFTWSTVVLLGGFVTNLAREDFWSLTVITLVQTIMFLCFIISLLL >PVH63410 pep chromosome:PHallii_v3.1:2:1507672:1508508:-1 gene:PAHAL_2G021600 transcript:PVH63410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSLPAMALVVALMLVLGSGTCHAARRLADATAPAAAPAAAIPAVPKPTVPAVPTVPQIPAVPTVTVPPMPAVPTVPTVPAVPAMPGAALPPVPAVVMPALPKVTLPPMPAVSGIPKVTLPPMPSMSIPGVPTVPFLAPPPKA >PVH63987 pep chromosome:PHallii_v3.1:2:17981339:17982268:1 gene:PAHAL_2G157400 transcript:PVH63987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPRLFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYDEEGVPRCRVKMTIPPHPTLSLWQPIEVNVVGHRLADTFEAAAIEAIHIFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRVTYCDHLLGILAGETLCTVVRFMSAQYRYQTLQQHGVYRLTNIAQRYRNQVGRQNTQIEALQATITAKEEDITQRQETIQHREEKIVESDALITQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPEQPVANEPEDDEEEDPEEVEGVSQIDSEHGDPVLSPYHSSSGSQSSVGNLDDF >PVH64926 pep chromosome:PHallii_v3.1:2:50878042:50892545:-1 gene:PAHAL_2G387500 transcript:PVH64926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MHSADSVPASDDPSTALESAGLAPTEARPALQTVQIEPPTFSRPRPDMSGAATLRSRTAVAAAACLAVLAAAALLHRRRRRNRGRPRRACEEEEKPQARFKRVLADNSYSPFRHLRRQSAQPGGAEGEAPLLPSQESSQRVHPFEKEITSLLNKPPSLHSFMLGDQCPEMSTPYNWVDTEAQLEHLTRLLGEEKAFAVDTEQHSVRSFLGYTALMQISTQKEDYLIDTIALHDVMGMLRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEILSKPQKSLAYLLEVYCEVTTDKTMQRGDWRLRPLTPEMIEYARTDAHYLLYIANCLASELHAKACDTSSDKINFFFEASHRSNMVCMQLYAKEIECPPGASSAASILSRNLQTHGPDSKRSSEVKDLVWKFCAWRDLMARMHDESLRYVLSDQAIAALAVSLPKGPTEVFAVIAETDRIISSMYPSLSSLSPIVVAHVEELCYLLEDTTTSTDGIFKSLLEKYKDPSGLCRLSVYNYNLITNLSLKQTNMFSFAPSGEKLLTAPPNKKASRDLFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYVQRNLAKLIEDSPPAIMLLFEPKGRPEDEDNEFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRRVAEEFGIPLFVQKIVNSGDISLIADTSISEDKLNGTGVSPLQLRTAAMALLRHGSTMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHEQRRLENKKGYSFRAQAQNIIRKSSSNTISENHGHVSENSHVLSDQFPEDGTESNGQQEFDEAESRNELEDLTLSQGSSSLAVSIEDTTFDHDPVALETDTEQQAGGACAPANGHLDRDPSICDNSNQAISKNAVKKISLLGHGHHGKQVVELLLSNGGEEAINQFCQRWRQVFVEAVHPRYLPSGWNINHSGRRDFGEFSVYKPVKHPAPPRSD >PVH63565 pep chromosome:PHallii_v3.1:2:4155575:4156066:1 gene:PAHAL_2G056400 transcript:PVH63565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKISNLGVLRLIARDKEHADNAIDYWSYVDPVYMQTGLLTEKSDVYSFGVVILELISREKATCSNNNSLARNFLEAHKEKRVDELFDNEIAQTSNLELLHSLAKIAVECLNLDADQRPSMIDVAERLLKLNRSRNP >PVH64154 pep chromosome:PHallii_v3.1:2:33727800:33728789:-1 gene:PAHAL_2G204100 transcript:PVH64154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAGPAPAPRLCASTPHHASTRHHQLARHARHSLRVHSRTSRACAAPWLRLALSSPGAAYSSTSRSSTRRTPAPPARAAPARAPFAQPPLAHTRLSRPALAPVHHRSGSRSRAPPLHHRAEPPQPLAAPLASRSRLPRQRSRACALARLTATLRALRSPGPPPAHLPLASALPGRSPPALRPGAAHTAPTHARSTHQRAASTCLRSLLGPPARACAWPLPLPTGRAEAAPARAPALAFALAPSAASCRAPPRLPRAPPGAACAASSTPICASCAAPPGAARRSCSRAAPAPPAARAEPLTRARCRSLQRAAAARHQCRVKKGERGRG >PVH65238 pep chromosome:PHallii_v3.1:2:54243093:54243308:-1 gene:PAHAL_2G443300 transcript:PVH65238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRIGFFSSAHKFSSVLNGMLLCRIVCIGFMLSSMHFSPKVFPAIVIEGKWAVGLSLYSVFSNNHFPLM >PVH64203 pep chromosome:PHallii_v3.1:2:36299131:36299654:-1 gene:PAHAL_2G213800 transcript:PVH64203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSQSQRPSNWPPAARLLHQRRRPAGVRGRPTSLWQKAGHATGEGVGGALVYQAQLILLRGFTEYIELVTIFAVSPFFRFRARPPLISGIAR >PAN11021 pep chromosome:PHallii_v3.1:2:12062914:12069838:1 gene:PAHAL_2G134300 transcript:PAN11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSWLLGRRGPSGFSWSSTADQVTEGISAAGLTAVVTGASSGIGAETARTLALRGAHVVMAVRGIPAAQAVRDRVLAEAPGARLDVMELDLSSMASVRAFASQFVARGLPLNILVNNAGVMATPFELSKDGIEMQFATNHVGHFLLTQLLLDTMKKTSRESNVEGRIVNVSSEGHRVAYREGIRFDKINDESVYSSIGAYGQSKLANILHANELTRQFKEENVNITANSLHPGSIITNLLRYHSIIDAFSRTLGKLVLKNAQQGAATTCYVALHPQVKGVSGKYFCDSNLYEPSEKAKDMGLAKRLWDFSVELIT >PVH64401 pep chromosome:PHallii_v3.1:2:42571305:42571826:-1 gene:PAHAL_2G260300 transcript:PVH64401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDDGRHTKLQQLPHSSSFSTAAFAWPPPQVRRSSSSSHTCEYCKREFRSAQGLGGHMNVHRLDRARLIHHQCSSHRPAALAPPPNPNPSSTVLDLLGSGCPCCRRAHGAAASNGGSAVPAVKLGICRFSSALFATTTQEFEVKNLELRRGACSHGDGTEEILDLELRIGYS >PAN15317 pep chromosome:PHallii_v3.1:2:56448955:56453365:1 gene:PAHAL_2G480400 transcript:PAN15317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPKLRAAAAKLPLTATFLSLALLLLPLGFVATHTAFSGGVAVSTFATADERHREERVLLAGKDGGNADADAAAAAAEHAAAVDRHCAGTLHRDVCASTLATIPNLAQKPLRDVISDVVARAAAAVRASSSNCSSYLRRPQGLRVRDRLALSDCLELFGRTLGQLGTAADELSAGNRTAEGSIAGVQTVLSAALTNQYTCLEGFAGPSASEDGRVRPYIQGRIYHVAHLVSNSLAMLRRLPQRRRRREALEGYGRVRRGFPSWVSAGDRRRLLQQQAGAAPADLVVAKDGSGNFTTVGEAVAAAPNNSEARFVIYIKAGGYFENVEVGAEKTNLMFLGDGMWKTVIKASRNVADNSTTFRSATLAVVGTGFLARDLTVENAAGPSKHQAVALRVNADLAAFYRCSFAGYQDTLYAHSLRQFYRDCDVYGTVDFVFGDAAVVLQGCSLYARRPEPGQKNVVTAQGREDPNQNTGIVVQGGKVAAAADLIPVLANFSSYLGRPWKLYSRAVFVQTKIEGLIHPRGWLEWNGSFALDTLYYAEYMNRGPGANTSARVAWPGYHVLTNATVAANFTVLNFIQGDLWLNSTTFPYTLGLS >PAN15491 pep chromosome:PHallii_v3.1:2:57259413:57261794:1 gene:PAHAL_2G491900 transcript:PAN15491 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox protein, Transcription factor, Crown root developmen [Source: Projected from Oryza sativa (Os07g0684900)] MEGGLSPERHAAAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAAAAAAAAGSSSSSGSPPTSGAALAPASSMGMFAHGAAYGSSSASASWPPSSAGMMGDLDCGGGDDLFAISRQMGYADGGGSGSAAMSSQQQQFYYSCQPGSMTVFINGVATEVPRGPIDLRSMFGQDVMLVHSTAGVLPVNEYGILMQSLQMGESYFLVTRG >PAN11321 pep chromosome:PHallii_v3.1:2:22670422:22673491:-1 gene:PAHAL_2G169300 transcript:PAN11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSFTSRSLDPSSLSLDRSSPSISSLQHTRIGSWAIMARSRPAILLFLAVVALAAMALAPAPVAATKYNITKLLAPYKQYSRFNEMLSRTRLAHDINRRQTITVLAVDNAAMSALDHYSLQTIRHILSLHVLVDYYGDKKLKKLAHGTTASSSMYQATGAASGTSGYVNITRKDGKVSFTTDDGDDTAKPTRYVKSIKEYPYDIAVLEVSSIISSADAEAPVPPPAPVDLVELLSKKYCKSFASLLSANADVFRTFNDTKDNGLTLFCPVDSAVAAFAATYKNLTAKAKTAILLYHGVPDYFSLQLLKSNNGMVTTLATTSENKKDYSYDVQNKGETVTLQTRVVTTSITATVGDIEPLAVYAVNKFLKPKELFKVVEAPASAPEKSKAADGGDDDSSDDSGDETAGKGDAAPAMLARWVTAATTAVAAFALMC >PVH63898 pep chromosome:PHallii_v3.1:2:12050999:12051304:-1 gene:PAHAL_2G134000 transcript:PVH63898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDEVVPLDGDYHSFIASPWPARQSCFHSIKAGCFQAAEEMSIICLSGMGALNSGALVNDDWTFGPCSFSSSAFQSILALKAVNTVIHVRTSPSDFFNTD >PVH63409 pep chromosome:PHallii_v3.1:2:1502068:1502745:1 gene:PAHAL_2G021400 transcript:PVH63409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLYAPLAAGAEDDGLSPLLPEELTVSTVAARAVGTGHHMLKVEGYSRLKRMHGDNGRSLQSDEFRAGGHTWKILCYLDGAPKKDAGFISLYLATAGSVDVHAEVEFELVDHRGTPLAWWWRPYRMRMPANPFRAGKSWGIAKFISAEALERSRFLRGDCFAVRCKVTVVEERGAAAAEEVQAEDMERMGMVCLCKDGSCNKLHRARPAETFTEAFDRLCLSIR >PVH64745 pep chromosome:PHallii_v3.1:2:48550953:48554758:-1 gene:PAHAL_2G349300 transcript:PVH64745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAGGAAPAQSTVGAAAAAATPAIAAPPETTRAAPRRDPPGQPEGADPANAAARKTVWNVPAPPPASAAAAAGATPGGGGGIIGGDASWPALAESARAWPKSASSDSLKSLSDGSGPSQQEDSSASSVPLPHPGGDVDPIPTGSNPTSTPPPNSTAMASSQRNGSTTQPNPVRRGGSNGGNNGGGSRGNGSNGGRRAANSSGGDGRSGSGGDDNWNEGSLGTGSGCNSSNSNGSSNLSDNVPGGGDGGSGNESSRNAPGNSHWNNNIRGVGVSSSNGTGSGDGNNRNSGGSSNHWNNNPRNSSGSSNGSGGRGGYRGRRDHERGGNFSPRNFPRVPVMPYQQQQQQQQQPPGVYQTGAFHRPPPPHASHFIVPQHFYVPPFAYSADVQPYPVYLPPIEQFQNMHLVRPPMQPAWAPPQDQPNLQDDIRNQIEFYFSTNNLCHDTFLRRHMNDQGWVPIDLILGFNRMRAFTGLVDTNYILDAICDSELLEVQGNNVRRRNDWVEWLLH >PVH64744 pep chromosome:PHallii_v3.1:2:48550323:48554968:-1 gene:PAHAL_2G349300 transcript:PVH64744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAGGAAPAQSTVGAAAAAATPAIAAPPETTRAAPRRDPPGQPEGADPANAAARKTVWNVPAPPPASAAAAAGATPGGGGGIIGGDASWPALAESARAWPKSASSDSLKSLSDGSGPSQQEDSSASSVPLPHPGGDVDPIPTGSNPTSTPPPNSTAMASSQRNGSTTQPNPVRRGGSNGGNNGGGSRGNGSNGGRRAANSSGGDGRSGSGGDDNWNEGSLGTGSGCNSSNSNGSSNLSDNVPGGGDGGSGNESSRNAPGNSHWNNNIRGVGVSSSNGTGSGDGNNRNSGGSSNHWNNNPRNSSGSSNGSGGRGGYRGRRDHERGADVQPYPVYLPPIEQFQNMHLVRPPMQPAWAPPQDQPNLQDDIRNQIEFYFSTNNLCHDTFLRRHMNDQGWVPIDLILGFNRMRAFTGLVDTNYILDAICDSELLEVQGNNVRRRNDWVEWLLH >PAN09388 pep chromosome:PHallii_v3.1:2:1331293:1333613:-1 gene:PAHAL_2G019200 transcript:PAN09388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKQLLPVCFLLVGLNLVALAAGDDHQLVYSGFASSNLILDGAATVTGSGLLELTNGTLRLKGHAIYPTPLRFRDTSNNSSAARSFSTSFVFGILSAYPDVSANGIAFFVAASKDFSGAMAAQYLGLLNGSNNGNTTNRVFAVELDTMQNNEFRDISDNHVGIDINSLISVDSTNAGYHDDGNGDFHGLTLISHEAMQVWVDYDGQTKKINVTLAPLKMGKPVRPLLSATHDLSTVIPDMAYIGFSSSTGLVNSRHYVLGWSFAMDGPAPAIDITKLPRLPREFPKPRSKVMEIILPIATAAVVLFLGAVLVLLRRRQLRYSELREDWEVEFGPHRFSYKDLFRATEGFKNKNLLGVGGFGKVYRGVLRVSKCEIAVKRVSHNSKQHMKEFVAEIVSIGRMQHPNLVQLLGYCRRKGELLLVYEYMSNGSLDKYLYCRESKATLNWVQRLGIIKGIASGLIYLHEEWEKVVVHRDIKASNVLLDSGMNGRLGDFGLAMLYDHSDNPQTSHVVGTIGYLAPELGRTSKATTLTDIFAFGTFVLEVICGQKPIMHDSEDNQLMLVDWVVEHWKQTSLIGTVDAKLQGDYNVDEACIALKVGLLCSHPFPEARPSMRQVLQYLNGELAVPELVPAHLSFQMLTLMQTDGFDSYIMSYPSSVDSISNLVQER >PVH64583 pep chromosome:PHallii_v3.1:2:45879817:45880497:-1 gene:PAHAL_2G306300 transcript:PVH64583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSYGQHGGGNSWEIRFGGCQFQGQLGLATALLFDRMHRVNLLVQEASSPGQFLVDFG >PAN14196 pep chromosome:PHallii_v3.1:2:51054233:51055342:-1 gene:PAHAL_2G390500 transcript:PAN14196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRASTPFSYAKVEKVDAEEARHLRAQYLIHKVLEEKSPAARFRPPALARVRTRIGVRLKKVRLAIRSVRVRACRTLQRHLRNLRRLIALGGQQGSGEPAGSPS >PAN14584 pep chromosome:PHallii_v3.1:2:52938824:52939147:1 gene:PAHAL_2G421600 transcript:PAN14584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRWMMVLLEELARLQVKAELVTLNVVDQEGRVVKHRMRATDELQAVMDRYYAEAPEVGYGTGTFLVDGAAHLRGGKTPADLKLEDGAQIDFFPYADGGGQRESDDA >PVH65346 pep chromosome:PHallii_v3.1:2:55632179:55636432:-1 gene:PAHAL_2G469000 transcript:PVH65346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVLDGFDCLHPRRRRRHGGGAGAGAVPAAKARVAVRRFGGSSKAAPCSGVSSNGGAAAAGGGGREVTIRVATFNAAMFSMAPAVAAEPAAGAGVGAERGAGLSLPGSPGGARRPKGILKAQAASLARSPSKARVSINLQDNEISLERSRLWRGKRQPPQQQQRREAAEAVAPRRRSVEEVLREAGADIIGLQNVRAEEERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRVADQSDFRNVLRATIEVPEAGEINFHCTHLDHLDEGWRMKQVDAIIRSGDAPHILAGGLNALDGTDYSAERWADIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQGDMQVRHQGGLHPGIPKLALQVRTWILHGHLFQRNIRSSHRQGRCNHTSDQRNRHRNLEPQTESSQGEQEELKKRDMGC >PVH65347 pep chromosome:PHallii_v3.1:2:55632526:55636118:-1 gene:PAHAL_2G469000 transcript:PVH65347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVLDGFDCLHPRRRRRHGGGAGAGAVPAAKARVAVRRFGGSSKAAPCSGVSSNGGAAAAGGGGREVTIRVATFNAAMFSMAPAVAAEPAAGAGVGAERGAGLSLPGSPGGARRPKGILKAQAASLARSPSKARVSINLQDNEISLERSRLWRGKRQPPQQQQRREAAEAVAPRRRSVEEVLREAGADIIGLQNVRAEEERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRVADQSDFRNVLRATIEVPEAGEINFHCTHLDHLDEGWRMKQVDAIIRSGDAPHILAGGLNALDGTDYSAERWADIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVISSKGTSDHHIVRVDVTIPQIKETDTETLNRKQRVVRVNKKSSRKGIWGAK >PAN11855 pep chromosome:PHallii_v3.1:2:37810567:37812280:1 gene:PAHAL_2G222600 transcript:PAN11855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAMLEAGVGRFSRAPALAAALLAEMWAPLAVALAALATLPSLLRRLQVIVLRLRSRGKEVIQSHIGTYYSSCDEDDDEEEEEEESSDEADTTSSSGEEEQDLKRIGFYEGAAVDSGFPWGGAVVRTWQGLPRAFSCAGGGSARPGPLGGVPAVRLWGAMTASGGEPWWACADEGGCRDGAAAEASSASSDQVVVGWRREHAASRRRRRAPLPHVRTN >PVH63706 pep chromosome:PHallii_v3.1:2:6582522:6584860:-1 gene:PAHAL_2G089400 transcript:PVH63706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIVKQSDDCNDLNAIHEKSRRVLIQVEVFMAAAAMLLFFQLICGSLRRWSNNAFIHRGLWVAYTLLPPLFTYTLGLMQSSQATVVMYPVWALSLFLIAGGANSITAYYLEDNKQWQRSMFNILQHDIYFCIILIQLLYPRTGGEEGHASAAIALLWSIKRLLWHPITVPVFLLFTAVCIAYALGVVASWMVNYSYPSKVVADYMMDHANSRSGGADSQAHFSPANNMRGCKYMVNWPAYKVIRSGSGSRSSSVYKCELVPREGVITVEKIWDRCSEDNFISQGVSSSRVKGACLAYSLSHLLRRRFFGMDCAEASLPETRRFVLDGLLSENNTDECTEAFRVIEVELAFPYDFFYTKYALIFGMEGLFFIMVIVKIICSFALGVLMLYSSISQRRNIWYWDYELMTRSTIFTPPSLTSVSITVAIITAFLAVEVLQSILYLESDWAMVSLACCYATESSDGFIPLAVRKRFGFVINRRRLPLFSYWQNRLGQYSVIEGSKFFRPRKDFAFETSRLSTFIVSKAIAYLGRSLSNLISNNGLHFVMLPDSMKPMIVASLKSNIDGCLTNGKESLQRNLVFDLFSWTLQNETQIENMLVWHIATDYCATRFSEPVDKYLEEDRKQVSSNFHVATNSVDTKFVFDHAMYEAREAFRSKKLDRDVLWNATDWTRDESMTSSILTKGLRLGAELESIEDVSRRWKVMADFWVETILYIAPSNNAMAHMERLAQGGEFLTHLWALLTHAGILTRSQEPTAEEGIL >PAN12510 pep chromosome:PHallii_v3.1:2:43324024:43329674:1 gene:PAHAL_2G269700 transcript:PAN12510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFEILGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEDIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMHRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPENKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCSCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRAQFPKGDVPQWVRNAMEVAGIDISECCASAKCHAPSIQEQPLTIPVPVDADGVVQQN >PAN10433 pep chromosome:PHallii_v3.1:2:7082418:7087143:1 gene:PAHAL_2G093900 transcript:PAN10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MATPRHLLLLALAAAALAAAAAAAEEGPRGRRLLVLLDDLAVRSSHSAFFGSLQARGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAVTDVDGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHTANPSNSLVLKVLSASPSAYSANPKTKLASPPSLTGSAISLVSVMQARNNARVLVSGSLDLFSNRFLKSGVQKAGSKKRHERAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPGMYRINDDLEYLVEIYEWSGTSWKPYVADDVQLQFFMMSPYVLKTMSTDKKGLYSTSFKVPDVYGVFQFKVEYQRLGYTGLSFTKQIPVRPYRHNEYERFITSAYPYYTASFSTMGAFFIFSFVYLYHK >PAN15592 pep chromosome:PHallii_v3.1:2:57727649:57731650:-1 gene:PAHAL_2G500100 transcript:PAN15592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKHHHNKPSSTTKKKRRPLLLRRAMLHSCLCFLLGLLTGLAPSDWADAASRAANAQVFRAVRAINHTAALLQLKTRTTSTSYHHQQHQEDHHQLLVVTTTTGLSPERERRSAALTRTAHALRLVSPPVLWLVVEAAREAPPTATLLRRTGVVYRHLTFTDNFTSDAWEEERHHQRNVALGHIEQHRLRGVVFFAGLADVYDLRLLEQLRRIRTLGAWPVATVWEQERRVAIQGPLCSSSGGAAASAAWFSSSSSGAGGGFIRFTTRPTPPLTSDDSVHGFAFASDLLWDPARWDRFPTTEPDQSQDSIKFVQRLVMEDYNKTKPIPDYSNCSQVMVWRVDTTLLLF >PVH65154 pep chromosome:PHallii_v3.1:2:53731120:53732382:-1 gene:PAHAL_2G434500 transcript:PVH65154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEMASQRLLLLLALLLLTMLAAVVAGEGDGVSATGTDGANATTGAGPPAGGTLDVRARKWWRFPATEGLVTGSERRVPNSSDPLHNR >PVH63809 pep chromosome:PHallii_v3.1:2:8999779:9000330:-1 gene:PAHAL_2G111300 transcript:PVH63809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKPYVVAIIIQLIYTGMFVILKAAFNQGFNTFVFTFYCQAAATVLLLPIAILRERKSWCSMSSGLLLKLFICALIG >PAN12868 pep chromosome:PHallii_v3.1:2:45232248:45235647:1 gene:PAHAL_2G296300 transcript:PAN12868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSAAPLLPRLPPPEKRGGGRRLAREVWEESKKLGEVVGPAVFVNLVFSSMNIVSQSFAGHLGDLDLAAFAMANTVVDGFNFAMLLGMASALETLCGQAYGAKQYHMLGIYMQRSWLILLSFAALLSPMYVFSGQLLAALGQPAALSREAGSVALRFLPAHFMYAVLLPVTTFLQCQRKNWVTAAAAGAVFAVHVAATWLLVGCLGLGISGVAVAFNLSWVALAALLLTYALGGGCPDTWSGFSTSAFVGLKEFVTLSASSGVMVCLENWYYRILVFLTGFMKNAELSVDALSICMSLTAWEMMIHMGFLAGTGVRVANELGAANGQGAKFATIVSTTTSFLISLFVSLLALIFHDKLAIIFSSSQAVIDAVDDISVLLALTILLNGIQPVLSGVAIGSGWQGLVAYVNIGSYYLIGVPLGVLLGWGFNYGVPGIWAGMIGGTMMQTLILAFITLRCDWNEEALKAGNRVRQWSSTK >PVH64950 pep chromosome:PHallii_v3.1:2:51091673:51093226:1 gene:PAHAL_2G391100 transcript:PVH64950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIAVALLAAFLTPLIVYWLTTRTRKPLPANLPPGSLGLPVIGQSLGLLRAMRSNTGERWLRDWVDRYGPVSKLSLFGAPTVFVTGPAANKLVFGSDALAPKQPRCLPLILGRRNILELVGDDYRRVRGAMMQFLKPDMLRRYVGTIDAEVTRHLDAEWAGRRAVTVLPLMKLLTFDIIATLLFGLERGAVRERLAAAFADMLEGMWSVPLDLPFTAFRKSLRASARARRVLEATLREKRARLERGESSPANDLVSCLASLRVDEGGGGKQLLTDEEIVDNAMVVLVAGHDTSSVLMTFMIRHLAGDPATLSAMVQEHEEIAKNKADGEALTWEDLHSMRFTWRVALETLRMIPPIFGSFRRALEDIELDGYVIPKGWQVFWASSVTHMDPGIFKDPEKFDPSRFEGQAPPYSFVAFGGGQRLCAGIEFARVETLVTMHHLVRRFRWRLCSKENTFVRDPMPSPLHGLPIELEHIGVASPCKTAC >PAN10001 pep chromosome:PHallii_v3.1:2:4734303:4738759:-1 gene:PAHAL_2G064500 transcript:PAN10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAPRKKRLVRAGLLAAAAGYFAFVLLFELPLLPFTGSTAASSSSSSLPIPHPRRRELVAAAAAFASPSSPARPAKPAFPAGAPAPAAGGARPLPIFSSLLLLPRPNATATPFDATAADAFAAARPHLSHLQAAPAPASSASASPAPPPACPASVSVHRERLPYDGVRVVELPCGLAVGSHVTVVARPRPARPEYDPKIAERKDGEAAVMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSRRPVIEMNSCYRMQWGQSQRCEGFASRPVEDTVDGQLKCEKWIRDDDNKTEESKMKWWVKRLIGRPKDVHISWPYPFTEGKLFVMTLTAGLEGYHVNVDGRHVASFPYRTGYSLEDATALSINGDIDIESVFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSSNIVARFFVALNGKKEVNAELKKEAEFFRDIVIVPFIDSYDLVVLKTVAIAEYGVRVVPAKYVMKCDDDTFVRIDSVLDQVKKVRSDKSVYVGSINYFHRPLRSGKWAVTYEEWPEEVYPNYANGPGYVISSDIARYIVSEFDNQTLRLFKMEDVSMGMWVEKFNNTRRPVEIRHDVRFYQSGCYDGYFTAHYQSPQHMICLWRKLQSGSARCCNVR >PAN14773 pep chromosome:PHallii_v3.1:2:54243599:54245629:-1 gene:PAHAL_2G443400 transcript:PAN14773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHAISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPEGGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTIPLPDLVTIHPPKEEDELLRPLAPEIPVA >PAN13837 pep chromosome:PHallii_v3.1:2:49578353:49580604:1 gene:PAHAL_2G367100 transcript:PAN13837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVNSGAAGPSSTSTQDGPAATTKKRNKPRYCRFTQQQLRGECKPILTYPIAISVIASVGILFILIGLGCTAISSKVVEVADRYETACVPENMHNNPVAYIQNPSQDKSCTRLLKVPKDMKKPIYVYYQLDRFYQNHRWYARSRNIRQLRDPKSANDTRRCKPEATANGRPIVPCGLVAWSLFNDTYSFARRNETLAVNKRGISWRSERDHLFGKHVYPRNFQSGGLIGGGTLDPSKPLSEQEDLMVWMRTAALPTFRKLYGRIEVDLRAGEVVTVAVQNRYNTYSFGGGKAVVLSTAGALGGKSSFLGRAYLAGGAACLGLALLLTLLGLFCPVPVDEEPRLGPARR >PVH63993 pep chromosome:PHallii_v3.1:2:19349480:19350825:1 gene:PAHAL_2G160100 transcript:PVH63993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNKRIWTYYEDDELIKALYEVSLDPRWKSEGGFKNGYCSVLENMFASKLPNSGLTAIPHIEFRVRHFRTSLYGISFPYYETLAAIYSKDITTREGAEGIGEAVSNMDKEIALGDSLNEVEEDHMSMDTPQQSLDSRNSMDSTSSSSKRRKKAKDNSKGNEPSLSSDPFLDMVGGLHGDLNKVSQHFRKMAEAWEREAKVQAEATQNDPMQMLQEKSIAELTRLGFTGNELLKAATVFMKIPNQMTMLFALPENLRREFIQNMLADEAQRMK >PVH64453 pep chromosome:PHallii_v3.1:2:43450806:43455734:-1 gene:PAHAL_2G271500 transcript:PVH64453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTALDLLNNHNVQVIIGPQKSSQASFVSDLGNKTQVPVISFTATSPSISSVSLPYFVRATLSDSAQVNGIASLIKAYGWRQVVPIYEDTDYGKGIIPYLIDALQEIDTRVPYRSVIPLSASSEQITLELYKLMTMQTRVFLVHMSSALASKVFTKAKEVGMMNKGFVWIMTDGITNIIDSLNSSVVEAMDGALGIKFYVPKSEELDRFTVKWNRNFQKDNPNDPPLKLSIFGLWGYDTIWAVAQAVETVWINNRTSFQKPAVPRISTSMDILGTSAYGPELLKTILQNKFRGLSGYFDLSDRQLQVSTFQIINVIGKEWRKIGFWTSENEISQQLNHGKADYRNQSISDLNSVIWPGKSTEIPRGWEIPVSGKKLQVGVHRSMCPEFMTNEKNPITGTTKASGFSVDIFEEAVKRLPYALPYEYVAFDDNNDTGRSGYNDFVYQVYLKKYDIAIGDITISSNRTSYVDFTLPYTESGVAMVVPLRNSSNKKPSILLQPLKRDLWFSSSLLVIYTGVVKSGEHGGYRNGSYVADLLEQLGFDKSKIKSYNSRYEIEIALSMGSKNGGIAAYVHEVPYLKLFLAEHSQGYTMVGPLYKTAGFGFALPKGSPLLGDISKAILDIVEGETIIQIGNKWSVPHEKYSNVLRASVPDQLTTDRFKAPFMLSVVVSTSALLIAVIIYLHEKKNKLMTNIQGDQNKDGIEVNYKTQDGNKRGRIEENDQLEAGRDQNDQKQEETSSAVIYRSEKILTSRVIPISSSACY >PVH64286 pep chromosome:PHallii_v3.1:2:38870379:38872574:1 gene:PAHAL_2G229200 transcript:PVH64286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAQVCCDSASAAVVGPEAEARARARAERRRRAGEAGRWKHAPAAAAAGTAEAATRKRRVEAGELLVARKHGAASVAGRMREMEDAVSVREAFAAGAAGRCDFYGVFDGHGCSHVAEACRDRMHELLAEELAGGEQREPAAWTAAMERCFARMDAEVASAGGRAATAASASCRCDARKCDHVGSTAVVAVVEERRVVVAHCGDSRAVLCRGGDGATPVALSSDHKPDRPDEQERIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPYVCSVPEVTVAELADGDECLILASDGLWDVVSNEAACEVARACLRRGREKWCAEAAALLTKLALARRSADNVSVVVVDLRRKNR >PVH64335 pep chromosome:PHallii_v3.1:2:40589834:40593307:1 gene:PAHAL_2G239900 transcript:PVH64335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPTWRASCQCFTACPPRGIPKVNQVVAQGGGSPDPVVQANLTSAHHQKHLQLPQSAAAIEITEAQVLVRLGRRRRRHRVAVDAPVPRVVGVGPAFAERAPHVAARLEPRPERQHPEPVAGADPALRLEVGQLVEHQAARRVPEPVQRRPRRLHHRRPEPHQPRHRVDHRLPARVDAHVLERALEVGHLRRRRLAVPRRVRARHAPRVPGHLRRGEHPGPQRGDVPPERGPRDADQVLGERHALVAPLVLHLRRRVEGRVVGAVVRPQRAHQLVLGVGAAGGDVRHQRRRGAHAEDAVGHQHVLVLAEVPVEAGVLRHHHQRVPGPPLAPQRPRRRVDAHGAGAAPHPGDAVRLHVGPHLEAVRDHGRQRRHRGEHGARHDEDADVGGRHVGLGQELPHHGEQALLGLLHRERQCLLEPAREDEGRREGLLPQPGLAVDEAHEVGDVVGVAPALEAEVLAHLQVHLPVHVREVAAVVQQVHRALPPQDAPEDGHQEGERRDDEGHQHREREGAQRRHGRRRRGRARRRHDRRAELSPLLGELEAAECTVEC >PVH64143 pep chromosome:PHallii_v3.1:2:32948216:32948683:1 gene:PAHAL_2G200800 transcript:PVH64143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVWAGTGQAAAQALAAAGARTAATRRGRSRGERQAAPELGAGRSHGAGRVRLGQVQAARAQARGWRAQAGRRCASWRGARRGRRGRRATAAWLGARTATAQAEAAPGERRAARLWASAAPGGAADAERVRWSAGAVLALGGWRRWLGRSSPRP >PVH63845 pep chromosome:PHallii_v3.1:2:10040508:10047345:1 gene:PAHAL_2G119900 transcript:PVH63845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRTDGACRMIVLQNGVQDSYTWRKYGQKDILGARFPRSYFRCRRKSGCPARKHVQRSHADPSKLKITYIAAHTCDDPPRSSSAPHIAPDPVTSSATPQENAAARLVPVAAAPSSAQRRTGQPSPLLHPVPDLTAGSVPAALSSMQHDPVPDDTTFTPSMGEKQAELLFILSPACSQSELLPAEVSIAEPQGPPVRMEHDVEHDDDEPVTICDFMVPEM >PAN14706 pep chromosome:PHallii_v3.1:2:53508727:53509675:-1 gene:PAHAL_2G431300 transcript:PAN14706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFVIDAFRRSQERSGYRSVSSDGGSSRGGGSRRHLIDYSELPDSAAAASVVDMASGTLHRRARSDYVETTAVRRSEEHGRPAAVIAGSAYRRK >PVH64945 pep chromosome:PHallii_v3.1:2:51058924:51062629:1 gene:PAHAL_2G390600 transcript:PVH64945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGAVKSERAAWSSPDRACSAKKTGLGRLSTPAPPCRAPGRRPRPPDAPPAAGRRPPTRPPPPPAARRPPGRPRRAQAAAPTAAPPAAAPPAAPPDAAELPPPPPPRPRAHTPPRPRAAPAPRPLLRSGSSASSGSAWAQAPAAASPQSSARAPEFVLWY >PVH65079 pep chromosome:PHallii_v3.1:2:52716060:52716464:1 gene:PAHAL_2G418000 transcript:PVH65079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGSRPARPVHECIAGGGGHRFLFPARIGAGLAWTRAPSQSSHGSMEELLERNAVLSWWFRGPPLLAHLLATELNQSPESAKLRADATGLPWNNRWGCPGGRGSGDQRTLIPRLYDRSTNDSTIFFLNMFLLI >PAN13070 pep chromosome:PHallii_v3.1:2:46202518:46205330:-1 gene:PAHAL_2G312200 transcript:PAN13070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAISSAAGGMLRARLRGAARVRGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESRTWEDWELPCYVTSFLTVVILGVGLNAKPDLTIETWAHEKALERLQQQELAAAEAQAE >PAN13614 pep chromosome:PHallii_v3.1:2:48617694:48621112:-1 gene:PAHAL_2G350900 transcript:PAN13614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLKPKHHLSKTGRILLRRRLCDGSPAPSAPTEPSPPPLNRAETKLLDALHAALLDHRRANPAAELPVYPPFDTLPSLSEAVSGLLPSPPSPDLPLHLLRRLLALRRGVPLPEAVAFFRHVVPSLPEDSLPELYAAMIDLLAKHHHFPLARHLLDEMRERAVPISSQLIRALIRRYVRAEMPSEAADLFRRMDEYGAGAPDPATLAFLLGALSKKRLASEAQSLFDNCKSVFPPDVVIYTAVVHAWCRAGHLDEAERVFAEMQQSGIMPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMQQLGCKPDIITYNFLIETHCGKGQGNLDAALKVLAKMTAKGCVPDCHTFNPMFKLVLVLGNIDAARKLYEKMRELQCKPNVVTYNCLLRLFNKEKSMDMVLRMKKTMDAEGIEPNIHTYAILIEAFCGRGNWKRAHATLKEMIEEKSFKPSKQVRDMVLALLRKAGQLKKHEDLVELMADRGFISRPASDALWSTLSAC >PVH63784 pep chromosome:PHallii_v3.1:2:8269770:8282322:-1 gene:PAHAL_2G105300 transcript:PVH63784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQVVHILQNSQNLNTKFFALQVLENVIKYKWNVLPVEQRDGIKNYISDVIVQLSGNEVSFRQEKLYVNKLNIILVQVLKREWPARWTTFIPDLIAAATSSPTICENCMAILKLLSEEIFDFSRGEMTQQKIKELKGSLNSEFRLIHELCLNVLSQSQQPELLCATLATLHAFLSWIPIGFIFESPLLETLLKFFPIAAYRNLTLQCLTEVAALHFGDFYDTQYVQMYTVFMLQLQAMLPSGTISDGYGNGTNEEQAFIQNLALFLTSFFKNHIRILESTPENRAALLLGLDYLIEISFVEDTEMFKVCLDYWNLFVLELFETYNQVERLMGLQKCQAQRIPGTDVGTLIAVRQRRQLYSGSLSKLRTLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHVDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVLVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTLQVGENEPFVSVLLSNLSTTIADLEPHQIHTFYESVGQMIQAESVNTKREEYLKRLMSLPNQKWAEIIVEAGRSSDILKTQDVIRSVLNILQTNTSVACSLGPYFFPQISAIFLDMLTVYRMYSELVSNTICEGGAFASKTSFVKLLRSVKRETLKLIETFLDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEEVPHIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQAFIHLSSQQLKLVMDSISWAFRHTERNIAETGLSLLLEILKNFEGSGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVSVLQHLFYVVDGLTEPLWDATMVPSQYTNNAMFVRDYTIKLLSSSFPNMTPVEVAKFVDGLFSSKADLPNFKNHIRDFLVQSKEFSAQDNKDLYAEEAALQREKERQRMLSIPGLVAPNELQDEMVDS >PAN10612 pep chromosome:PHallii_v3.1:2:8269773:8283779:-1 gene:PAHAL_2G105300 transcript:PAN10612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLRDLSRPIDVPLLDATVAAFYGTGSSDERHAADQILRELQNNPDMWLQVVHILQNSQNLNTKFFALQVLENVIKYKWNVLPVEQRDGIKNYISDVIVQLSGNEVSFRQEKLYVNKLNIILVQVLKREWPARWTTFIPDLIAAATSSPTICENCMAILKLLSEEIFDFSRGEMTQQKIKELKGSLNSEFRLIHELCLNVLSQSQQPELLCATLATLHAFLSWIPIGFIFESPLLETLLKFFPIAAYRNLTLQCLTEVAALHFGDFYDTQYVQMYTVFMLQLQAMLPSGTISDGYGNGTNEEQAFIQNLALFLTSFFKNHIRILESTPENRAALLLGLDYLIEISFVEDTEMFKVCLDYWNLFVLELFETYNQVERLMGLQKCQAQRIPGTDVGTLIAVRQRRQLYSGSLSKLRTLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHVDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVLVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTLQVGENEPFVSVLLSNLSTTIADLEPHQIHTFYESVGQMIQAESVNTKREEYLKRLMSLPNQKWAEIIVEAGRSSDILKTQDVIRSVLNILQTNTSVACSLGPYFFPQISAIFLDMLTVYRMYSELVSNTICEGGAFASKTSFVKLLRSVKRETLKLIETFLDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEEVPHIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQAFIHLSSQQLKLVMDSISWAFRHTERNIAETGLSLLLEILKNFEGSGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVSVLQHLFYVVDGLTEPLWDATMVPSQYTNNAMFVRDYTIKLLSSSFPNMTPVEVAKFVDGLFSSKADLPNFKNHIRDFLVQSKEFSAQDNKDLYAEEAALQREKERQRMLSIPGLVAPNELQDEMVDS >PVH63846 pep chromosome:PHallii_v3.1:2:10057530:10062695:-1 gene:PAHAL_2G120100 transcript:PVH63846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKQKKRQMNSTTSEQCRPVKKSKSDSSNCLVSLKPHIGLQWDQYLRRVVPKQEQVGILWSDLAPFVKSKKRRSGLADVINVPSDTFSLENLIGILSCEVWSTCLTEAERMFLTQCLPGETHEEENVHLLLTGKNHHFGNPFLSWSSSLCYGDVHPDAVLNKENRMKKDEKAYNISLLNYCSKMADTLKKWRKRWLSFGSMENLFRDNPPNQNQGVMQLKTTKIGIPLIKVAQSVDVSKFMSYIKVSRVQLKHIKRLKQTGDGIQINHVSRVIGGLNKYNVKPYGALIEDEQRRLREHWPVMLQFSRLNMSCHDLPAAFEVLKDRKALMDKSRKLLCVELEEKNADQLTETIKEVGHSGASENDGSLILQNDQSMLQGGGDQSTSLQDRDDEQTKDMNASIYHVHSLNVDDHDLMVARGTDITCETEQNSDVQDHDRNGVSSVGKGVSCCANNANEKNEDLPDIELPKNALGAKDEDIKEITYEDTTRNNYNAESQQIVSYKGPSVHAHEQDRDLESISHAIVNRSCCHSSNISAEVSHPKMNTVIGDQEKTENIMMMPSNSSTLLPKPSGEQMYAEDVLGVNDQVSNGEEDRRQLAGPLQPYYHPLEGTIRQQHVTSGQQSSSIYSENGVLRQQQVQLTTSVFPVGNPASVSEPFSNPQSNGQPQTAMNIGAVSNPIQHAKSIKK >PVH64400 pep chromosome:PHallii_v3.1:2:42551506:42552226:-1 gene:PAHAL_2G260200 transcript:PVH64400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLRTATIFMLAVLFAGQLLMAAPAAADGSSTQGLGNRKLLQATVVPIPVCAPFESNCANCYQFCVGKCNTRSGACFGPCFTNCKNGRP >PVH64941 pep chromosome:PHallii_v3.1:2:50991393:50993426:1 gene:PAHAL_2G389500 transcript:PVH64941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNNGQSQKGEDEDRFSMLSDDILLSILARVSITTAARTSVLSTRSRLTSKIFYLPHTLTKAIRSFLGTPQSEATISRLQLELYLINNYSDVVGPLLDLDLAIVDEEEPADCNDEHMLHQASAVDGFFSAYPSVIHCLTRLSLDYVCFAKWDMHHLQFDCCKQLQSLLLTNCDMGRLSVLHLPKLERLCWDGWICPNAPLSLGVVPSLTELHLICAATDVFQGFNFQGEKLWLQPEGEQLCTVFNKLRKLSLHDIFVDFDLLWTIVLLEAAPSVEIFEVEVWEHPCIVDDEERRQNYSERINPSWKVDEFATHEEWLLKELQVIGFAPMEQQLTFIRTVMNRAPNLRTLVLKDYPSCEDCEEIGALPRSERLPKEHEFPKGTDEQDVVVKQLVGEIASPHLQMIFVDQ >PAN15105 pep chromosome:PHallii_v3.1:2:55401091:55402651:-1 gene:PAHAL_2G464700 transcript:PAN15105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAALTTLLALLCSVTRCQAEGYGYGYPGSGGGYPSPRPTPPAPTPSGAGLAVGFYRDACPNAEAIVRDVVEKAVEQNPGVGAGLIRMLFHDCFVQGCDASVLLDPTAANSQPEKLSPPNSPSLRGFEVIDAAKAALEASCPGTVSCADIVAFAGRDASAVLSDGRADFDMPAGRRDGRVSSANDALQFLPPPSFNLSELAASFAAKGLDANDLVVLSGAHTVGRSHCSSFVGDGRLNASTSDMNPALAASLRSQCPANPTAANDPTVVQDVVTPSKLDSQYYKNVLNRNVLFTSDAALLKSGQTAAAVVRNAFVPGLWEQKFAKAMVKMASIEVKTGANGEIRRNCRVVN >PVH65465 pep chromosome:PHallii_v3.1:2:57710560:57711423:1 gene:PAHAL_2G499700 transcript:PVH65465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKPSNAFATLPARWILSTQSCTSVYQSLHKKRATASVLNYNLFYIYFLF >PAN09984 pep chromosome:PHallii_v3.1:2:4682976:4686796:-1 gene:PAHAL_2G063500 transcript:PAN09984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADSGKLFIGGISWDMDEDRLREYFGRFGEVTEAVSMRDRTTGRTRGFGFVVFADALVAERVTMDKHMIDGRMVEAKKAIPRDDHSIVSKRNASSTGSSGPNHTRKIFVGGLPSNVTEADFRRCFEQFGAITDVVVIYDRSTQRPRGFGFITYDSEDAVDKALHKSLHELNGKLVEVKRAIPKEQSPRPVARSPARVGQNYATNHFLNGFNQGYNMNPIGGYGMRMDGRYGMLPGAWNGFSSFVPGFGMDMNVGGWLSGPFGPNYGFINSSNGMQMGPYYNGCSNRMGGPIGYLGLNDHSGPMLSSMSRSVWGNGNLNYPGNPTDMDAFSSPGNGGQVSIIGDNWGGLPSARGTGNILSLGSGNLGPGVGDNNSGLPSGRYERSNSTGTTGEPFSASAGNAYEVDNSETYGSSSIYGGTTWRFASSEVDLPSLGHDLGNIDPDIKSGISASYMGNYTVNNNQPSTGTASQGE >PAN09983 pep chromosome:PHallii_v3.1:2:4683365:4686495:-1 gene:PAHAL_2G063500 transcript:PAN09983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADSGKLFIGGISWDMDEDRLREYFGRFGEVTEAVSMRDRTTGRTRGFGFVVFADALVAERVTMDKHMIDGRMVEAKKAIPRDDHSIVSKRNASSTGSSGPNHTRKIFVGGLPSNVTEADFRRCFEQFGAITDVVVIYDRSTQRPRGFGFITYDSEDAVDKALHKSLHELNGKLVEVKRAIPKEQSPRPVARSPARVGQNYATNHFLNGFNQGYNMNPIGGYGMRMDGRYGMLPGAWNGFSSFVPGFGMDMNVGGWLSGPFGPNYGFINSSNGMQMGPYYNGCSNRMGGPIGYLGLNDHSGPMLSSMSRSVWGNGNLNYPGNPTDMDAFSSPGNGGQVSIIGDNWGGLPSARGTGNILSLGSGNLGPGVGDNNSGLPSGRYERSNSTGTTGEPFSASAGNAYEVDNSETYGSSSIYGGTTWRFASSEVDLPSLGHDLGNIDPDIKSGISASYMGNYTVNNNQPSTGTASQGE >PVH65336 pep chromosome:PHallii_v3.1:2:55475597:55475945:1 gene:PAHAL_2G466200 transcript:PVH65336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPIGFGFIHDDGVLEVDIDTGSAPKSFSSSNLHGWCANNGYADRVIGTVCTVLKLAYLPPCNHVLCSP >PAN13296 pep chromosome:PHallii_v3.1:2:47128395:47134284:1 gene:PAHAL_2G326800 transcript:PAN13296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQIPMVKEEVPTTLSTPVTSPHFSVSGPCNSGNLPVGCSSRSAFPCPSTSVFKRKSALGTSNEFSSHFRTSHSTDDPAGFQGLIPESRDPSYISFHEFRSTAQMPAVRVVGFDSGTACSTGVPNMMVADKIHSSLVIGNRGSSAGQHGLQARKRVLSPLTNVLPAGQFHGDALNIDSGDVKNQHTHCVRQLSSSGFHDNKKANIGTLDSFQSPTEPALRYSNWSTDQGVGKFSSNVFTDGPLLEGKEFFSCSDQPGAERFMNLSRVSVPPARLSHSPPLSLSPLGPKWMHRMRTTRAQRDLTGEIVSDFLGLKEMGESNCEDYSEYGVRIRMRNMLEETSIFHDGFDTMTPKRSFDRRYRNWIPESAPVSPSIGCIRSLNLLPVRRSLVGSFEESLLSGRYSCGKDNQSIDGFLAILNVTGGNFSPPTQKLPFTVTSIDEDNSLLYYSSIGLAERLPANNSKSPKLKRSLSNSDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCAYDLSDMPAGTKTFMRQKITLSSACPSYPTKEGSKASDVKVESVQCGSELRECGALFSECSEKGHNCCSIDESEKEGCSDAGCCSMECDIIVGNSENDTNADGCCCQIDTRLSGGNKSCCRSSKVNDISAGGVLRYALHLRFLSPFSKKSSKSRQQCKSDVSSELHSRSTQTEGERRFYLYNDIRVVFPQRHSDADEGELRIEHDFPANPKYFDISN >PAN13297 pep chromosome:PHallii_v3.1:2:47128395:47134284:1 gene:PAHAL_2G326800 transcript:PAN13297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQIPMVKEEVPTTLSTPVTSPHFSVSGPCNSGNLPVGCSSRSAFPCPSTSVFKRKSALGTSNEFSSHFRTSHSTDDPAGFQGLIPESRDPSYISFHEFRSTAQMPAVRVVGFDSGTACSTGVPNMMVADKIHSSLVIGNRGSSAGQHGLQARKRVLSPLTNVLPAGQFHGDALNIDSGDVKNQHTHCVRQLSSSGFHDNKKANIGTLDSFQSPTEPALRYSNWSTDQGVGKFSSNVFTDGPLLEGKEFFSCSDQPGAERFMNLSRVSVPPARLSHSPPLSLSPLGPKWMHRMRTTRAQRDLTGEIVSDFLGLKEMGESNCEDYSEYGVRIRMRNMLEETSIFHDGFDTMTPKRSFDRRYRNWIPESAPVSPSIGCIRSLNLLPVRRSLVGSFEESLLSGRYSCGKDNQSIDGFLAILNVTGGNFSPPTQKLPFTVTSIDEDNSLLYYSSIGLAERLPANNSKSPKLKRSLSNSDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCAYDLSDMPAGTKVLKRGITAAQLMSQKRKVAQMQDVAPWNVTL >PAN14276 pep chromosome:PHallii_v3.1:2:51471171:51474955:1 gene:PAHAL_2G396600 transcript:PAN14276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVLPSRLLFLLLLSSPSLFAAAQYAEYSCNGTTGNFTSGSAFAANLDRLVAALPGDAASSPSLFASASAGSGPGGTAYGLALCRGDLTDRRACSACLADAFARLRRLCGADRDATFYADLCTARYSGADFLARPDDNSPVINGMDANASTYPGWDARNATSRSFFLSLVNTLFGEMSMYGAYNSSRRLASAVMFINAQLPTVYGFAQCTPDLAPAQCWSCFQGVEVLNRQWYDGREGGRISGVRCSFRYEGYQFYQGTPDVRIGGRHGGESAPDAGTDGSSHKKALIIAVVVSITVVCGLLLACLLIVRKQRKRAGKTRLPVQAHSRNSSKTEEALKLWRIEESTSEFTLYDFAELAAATGGFSDENRLGKGGFGPVYKGKLPDGAEIAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLVGCCVQDEEKMLVYEYMPNRSLDCFIFDQQRGPLLDWEKRLRIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKELNPKISDFGIARIFGSNMTEANTNRVVGTYGYMSPEYASEGIFSIKSDVYSFGVLLLEIVSGKRNSGQHQYGDFINLLGYAWQLWREGRAFELIDPTLGECGEVASIMRCVKVALLCVQDSATDRPTMADVTAMLAASSDGGASLPDPRRPPHFSLRVSSSEDGTAGRTRSSSSHATTSCSTNELTVTTIQEGR >PVH63869 pep chromosome:PHallii_v3.1:2:10696412:10698987:-1 gene:PAHAL_2G123700 transcript:PVH63869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDSFGDFPSASTINGKLGDLFNCSGTPEDGYELHMEPGKTYLLRIINAGLFFEFYLKIAGHKFKVVASDANYVSPFTTDVIAIAPGETMDALVVADAPPGRYYMVAVPTQPPLPDPQVEAHATRAILQYSNTRSPGSGAAAAGGDQSCEEDDDDVLVAPQMPDEHDTLRSFYFHGNLIGLPHRRPLPVPLQADERMLITLGLGSVCRRGQSCTTGVHGKGMLVASMNNISFEPPMMKTTPLLEAHYFHTGDIDAMPEFPDWPPRLFNFTDRGLTPWGPKEMEVERASKATAVRRFRHGAVVEVVFQNTAILQSDSNPMHLHGHDMVVLAQGHGNYNATKDVASYNLVNPPWKNTVVVPNLGWVAVRFVANNPGVWFVHCHFEFHLTMGMATVFIVENGSTVETSLPPPPKNFPTRAAEDNLVPDEFYIKTKKTEASYK >PVH64196 pep chromosome:PHallii_v3.1:2:35901375:35903549:-1 gene:PAHAL_2G212600 transcript:PVH64196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVLHYTTGILDCCLNSGGLGTVYSFTQDKESGQQISLRKDYPSNCAHLVPTAVSVIAVRDEEALRFRLPDNRNVLFGHALALRRKEDNDATKATKNMDAAGGEARAGGGRAKTMLRLGALFGLWHLFTGTGYSKQVLKAFTYPINVTAVQFAVGTVVALLMWTTGILKRPKVSGAQPAAVLPLAIVHTMANLFTNMSPGKVAVSFTRRQGSQGAEAYLTCSPSYLPRSVAYSVGCIVSCSNCDLPVKECDEGISGRH >PVH65030 pep chromosome:PHallii_v3.1:2:52007451:52010852:1 gene:PAHAL_2G405800 transcript:PVH65030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGVVWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYFSDEKETAPSLEG >PAN12217 pep chromosome:PHallii_v3.1:2:41528471:41531140:-1 gene:PAHAL_2G249700 transcript:PAN12217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRRSPCHGRRRRRAAETTLMSRKVRELRRLVPGGTAVPADRLLLRTADYIVRLRARIEILRVLSELAAGTTNHGCCHVDGDDASRL >PAN14419 pep chromosome:PHallii_v3.1:2:52155399:52160578:-1 gene:PAHAL_2G408600 transcript:PAN14419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLGVGERLLAIGTNRWAATYSHPSHRSGYYTAVGDNGLSTRRKIPAVFSRMFSHYKVIVRKNRAEGHKCRTRMSRGYRTFSVAVANSSATQQAQLAWKRLSHMYSYSGPRFPLMSRAACAISLSFTRFHIIPGVMALAFGKIALAPPVLADAPSFMPRMDGIITKAQDTRQFLSSLVWSIWEGITLLIRAVHLTFLFFPATALAPFADKFSVAFRRRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKAAIEKAFGHELSEIFESFEENPVASGSIAQIHRATLKNQHPKKHVAVKVRHPGVGESIKRDFLLINLVAKASNIVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNDNKISRRRFFRAKPHIVFLDVGMTAELTRSDRDNLQQFFKAVATRDGLTAAKCTLQLSKNQSCPNPVAFTEELDRTFTFWGTPEGDVFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLEKDVKQPVDFFS >PVH65371 pep chromosome:PHallii_v3.1:2:56145816:56146580:-1 gene:PAHAL_2G475900 transcript:PVH65371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAIRTLNEVLQAERAHRQRSRRSKAWLWLPRAPELHRICRFATLVPGVVSMCTATPHLSHAGLPVALEISLAFRRLVHIFSIFPWNNREQFVL >PAN12754 pep chromosome:PHallii_v3.1:2:44729087:44730565:1 gene:PAHAL_2G288900 transcript:PAN12754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVGSKSVTTGSIAPGFLALALLFSAAAVAAALAVTEAGVAGGIRGACGRELLGSFLDGESRFAAGLLPRR >PAN13619 pep chromosome:PHallii_v3.1:2:48654555:48659631:1 gene:PAHAL_2G351500 transcript:PAN13619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHGAPVAAMTAAASTERVANGGGTAVVTEVAQRSTKKASNGIPIMTRTQQRRHPLDPLSAAEIRVAVATVRAAGKSPEERDSMRFVEAVLVEPEKDVVALADAYFFPPFQPSLLPRAKGSAVVVPSRLPPRRARLVVYNKKSNETSIWIVELSEVHAAARGGHDRGKVISSTVVPDVQPAMDAVEYAEVEATVKSHLPFIEAMKRRGIYDMDLVMVDAWCAGYYSEADGPSRRLSKPIIFCRTESDCPMENGYARPVEGIHIVVDMQNNAVIEFEDKKLVPLPPSDYLRNYTPGETRGGVDRSDVKPLVIDQPEGPSFRVNGYFVEWQKWNFRIGFTPIEGLVLYSVAYLDGSRGRRPIAHRLSFVEMVVPYGDPNEPHRRKNAFDAGEDGLGKCAHSLKKGCDCLGYIKYFDAHFTNFLGGVETIENCVCLHEEDHGILWKHQDWRSGLAEVRRSRRLTVSFICTIANYEYGFYWHFYQDGKIEAEVKLTGILSLGALVPGESRKYGTTIAPCLYAPIHQHFFVARMDMAVDCKPNEAHNQVVEVNVNVESAGPHNVNHNAFYAEEKLLKSELQAMRDCDSLSARHWIVRNTRTVNRTGQPTGYKLVPGRNCLPFALPEAQFLRRAGFLKHNLWVTQYNRDEMFPGGEFPNQNPRIGDGLPTWVKKDRPLEETDIVLWYVFGTTHIPRLEDWPVMPVEHIGFMLMPHGFFNCSPAIDVPPSSSNSAVKRC >PVH64406 pep chromosome:PHallii_v3.1:2:42634145:42634928:1 gene:PAHAL_2G261100 transcript:PVH64406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPCSAASTSPSSTSSLRTIMEISTILAPSPPPPLLLRLRLRRHPSSHPRRAPRRSRSRCSRGQEPRPRHPFDEIPRPGRLFSRVPPEAPPPEQPSPRSACSSSPSTSARCGRSTRRCPCSTACGHLRS >PAN09827 pep chromosome:PHallii_v3.1:2:3880739:3882430:-1 gene:PAHAL_2G052600 transcript:PAN09827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASWIRAASVTALAALVCLAGPAAAAGNKTGQVTVFWGRNKDEGTLREACDSGLYTMVIMSFLDVYGHGRYHLDLSGHPLAGLGDDIKHCQYKGVPVSLSVGGFGSGYSLPSEQAALDLFDHLWNAYFGGRKPGVHRPFGDAWLDGVDLFLERGTAADRYDVLALELAKHNIRGGPGKPLHLTATPRCAFPPAGYLKRAVDTGIFERVHVRIYDDPDCEAYWHLRWDEWTAAYPATRFYVGMTASVMTHGWVHPKNVYYDVAPSAQKADNYGGFMIWDRYYDKLTNYTSIVKYYA >PAN10168 pep chromosome:PHallii_v3.1:2:5670014:5671184:-1 gene:PAHAL_2G075400 transcript:PAN10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRGRERKKVEVERAEERRKGLAGASRLDLAAGGDQGWREWVILISKEPSSASLLPTVPLCCAWSR >PVH64459 pep chromosome:PHallii_v3.1:2:43612597:43614307:-1 gene:PAHAL_2G273200 transcript:PVH64459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSSHGLHGASLCTPYLLLVPLGLLAVLLVLPSLGSSNARSDGLGVLCGGAGADGYSVSSGADESKASAPPPRRAELFSLLVGVLTMPNRRERRDIVRMAYALQPPAPPGVARVDVRFVFCSVTDPVEAALVAVEARRHGDILVLNCTENMNDGKTHAYLSSVPRLFASAPYDYVMKTDDDTYLRVAALAEELRGKPRDDVYLGHGFAVGDDPMPFMHGMGYVVSWDVARWVSTNEEILRHNDTHGPEDLLVGKWLNIGGKGRNRYSLKPRMYDLNWFMDNFRPDTIAVHMLKDNRRWAAAFRYFNVTAGIKPSDLYHLP >PAN14325 pep chromosome:PHallii_v3.1:2:51724350:51727316:1 gene:PAHAL_2G400900 transcript:PAN14325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFALRLVPRLAAAPPGRGKGGGGGAGRGAGSRALVTKKPNKEHHLWIRKETAGSGKKALRLIDTVSKLPNEKEAIYGALDKWSAFEPEFPNIAAAKALGMLKRRRKWLRIIQVTKWLMSKGQVLTWTTYDTLLLALFMDGRVDEAESIWNTIIQTHTRSVPKRLFSRMILMYDIRHHPDKVLEVYADMEELGVRPDEDTSRRIGRAFVAFGQEEKEKYVLDKYLKRWKYIHFNGERVRVRRDGPLA >PAN11527 pep chromosome:PHallii_v3.1:2:23272377:23290936:1 gene:PAHAL_2G171400 transcript:PAN11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGFRGSCRVGTVLLFSAWVALAALSRLLQPVPNGCVMTYMYPTYIPIAAAPRNVSSDRYGLFLYHEGWKQIDFAKHIRGLRGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYQEASSIVSGNELKGFSVPSRYGRMLDWYAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLERTKGGAQSSHDLPSSVILVGHSMGGFVARAALVHPNLRKSAVETILTLSSPHQYPPIALQPSLGHFFSHVNEEWRKGYKMGVSHASSPKLSNVVVISVSGGIHDYQIRSRLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLAVQVAHTLLSIIDPVNGQPFSSTQKRVFVFTKMLQSAVPQSLSSMTHVPGSLSSNLPASDNREAGELQKKDSLPCPPSTQWTSDGLEKDLYIQSNSVTVLAMDGRRRWLDIKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHSPVQNEVPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPSAFLLLSPEEMNGFSFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGASALSTGRIIRSRFAPEEIFLSEDHPLALNLSFSASLGLVPVTLSLKTAGCGIKNAGDQMEAERNNLCKLRCFPPVALAWDSVSGLHIIPNMYSETLVVDSSPAFWDSAEGTDRTTVMILADPHCSYKVTARASLSAAASRFFLLYSSEILGFMVAIMFFGLMRQSSAWECDSSVPSILSAIESNLGVPKPFVFLCIMPILLFLALSFFSTEQKPPFWTFLLVTMICYIVANGFTILLILSSKLLLYAVAILHVFIKRRWQSWGDGVQSAFLRQFFTFSFSLQSLKIVQMLKNNPNIIVAITTLPLVCLVHPAIGLGLLLLSHIFHAHSNLCSFLAASFRSITQKKDLYKSKMGDGPVLLSKSISDGLQQLLPMDDSPRAPKSFTDSQLELFDYRHGIMILHLLTTLMFAPSLVAWLQRIGMGQNFPWLIDSAICVGVILHGLFGSQPNVSCCISFKLPGRRGHEVGLSFLYLLAGYYSFVSSMALAPYRSLYAMAIIGYICFASRIIERRNMVRGDISSRRSRKHSHRH >PAN11110 pep chromosome:PHallii_v3.1:2:13826674:13831770:-1 gene:PAHAL_2G143400 transcript:PAN11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNNTLKDQPAEAQVQYETAETRASKAEERHRASRETFRVYREALSAGITQLREEVPRLLPSDGLTALKLAGLEDIEIQQFFQWLRACLAMVDSGSHLYGDLCAIVSTRTLAAPICNLVPTEGFASQGILKAQLHVLWDCNITGYGRCRPTGKPTPGCPKILPRISLNRSSRRKAMFLCTVKATD >PVH65092 pep chromosome:PHallii_v3.1:2:52939551:52940368:-1 gene:PAHAL_2G421700 transcript:PVH65092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSTARLAATGAGCPEGDLRRAPSHRGSWASRAPFPGRSGRKKMVLMWGAHLSVRGKELSCVDCE >PAN10033 pep chromosome:PHallii_v3.1:2:4949807:4951917:1 gene:PAHAL_2G067400 transcript:PAN10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAGTKHGGAAASPSPLHVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLGAVPPELSARLRVAALELPGVEGLPRGAESTADVPPEQVGLLKKAFDGLAAPFADLVANGDATAGFSRKPDFIVHDFAQNWIWPVAEEHEIPCAVFVIFPAAILAFLGSREGNEAHPRSTAEDYMVPPPWIDFPSTIAHRRHEARAIAPLFRPNDSGVSDMDRFWDMQRPCCRLIVLRSCPEAEPRLFPLLTKLYARPVVPSGLLLPDELVGSDDDAPGGDRSFSDVVRWLDEQPRGSVIYVALGSEAPVTADHVRELALGLELSSARFLWALRRPVGHSGELLPDGFDRRVAGRGVVRTGWVPQVRVLAHAAVGAFLTHCGWGSTVESIFRFGLPLVMLPFVADQGLIARAMAARGVGVEVPRNEDDGSFRGDDVAAAVRRVMAEEEGVELARNARELQKVVGDRVRQERYADELVEYLQRYK >PVH65017 pep chromosome:PHallii_v3.1:2:51859027:51861892:1 gene:PAHAL_2G403500 transcript:PVH65017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNGDSSNSKSKLTSTRDVLRGLVDWICSQLRNPTHPSCSVPTAIHCLSILLREAYVRTLFVQADGIKLLIPLISPASTQQSIQLLYETCLCIWLSSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVVMSFRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWTDEDLLDALNQLEVGLKDNLKKLSSFDKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQA >PAN13522 pep chromosome:PHallii_v3.1:2:48187719:48192897:-1 gene:PAHAL_2G343900 transcript:PAN13522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKRGPWTAEEDRKLISFILSNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQVVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDGKTSSPATTSQSVVTADSTKSDEATKQQSPQSDAVRDDVLADGSSPTESSANTVSTGGSSSSSSHDQDPLVKWLLEEDPPTGDEPWLNFTGSVDVDELSSIAAGGPELPWDGATDWLLDYQDFGLGDDSSLVDGYMINSSNGAN >PAN09958 pep chromosome:PHallii_v3.1:2:4542957:4546265:-1 gene:PAHAL_2G061500 transcript:PAN09958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVLRGLAVSLLLAVFLLGTLHASGVSSDGDEKQVYIVYMGHQHEPSESADGFSAAEAAHHKLLNQILDDSSSALDRIVYSYRRSINGFAARLTEQERQKLSTMEGVVSVFPSRTYQLQTTRSWDFLGLPRNARRSLPTEGEVIVGMLDTGVWPDSPSFSDDGLGPPPGRWKGACHNFTCNNKIIGARAYRQGRAGLSPVDTAGHGSHTASTVAGRVVEGVGLAGVAAGSARGGVPAARLAIYKICWDDFCASEDMLAAFDDAIADGVDLISFSIGGKLPVPYFEDAAAIGSFHAMRRGVLTSASAGNSALTGGRVCNVAPWMLSVAASSTDRRLVAKLVLGNGKTIVGASVNIFPKLEKAPLALPVNGSCQPESLAGQLYSGKILLCPGGSNGTGPLRAGAAGTVIVNDEPDIAFVFPLPEVTITQDQFTEILAYVNRTRNPVGSIHSTKATFDSEAPTVASFSSTGPNLISPGILKPDLAAPGIDILAAWTPLTPVSGNHKDNRFAAYNIISGTSMACPHATAAAAYVKSFHPGWSPAMIMSALITTATPMDPGRNPGGGELVYGAGQLNPARARDPGLVYDAREDDYVRMLCAEGYNSTQLRAVTGANAAACPAGGERSAAADLNYPTMAHHAAPGKNFTVRFPRAVTNVGAPGRSVYTARIVGSGPLITVAVAPRRLAFSRLLQKLSFTVTVSGALPVGNEFVSAAVVWSDGVRQVRSPIIVHTVDVPKSNTLPD >PAN14425 pep chromosome:PHallii_v3.1:2:52192392:52197964:1 gene:PAHAL_2G409100 transcript:PAN14425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGEERHAPAGGDSGGGKLWNLCRMPFRLAGGAPAPATPQSSSSGIHHSAGRYGHEAPVAGDGGAQGASAGSISSVAKSLLPARRRLRLDPANKLYFPYELGKQVKSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAVLAPGETIIATVFKFVEHPENNENILQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAVVEKILKVVFLDINGQSPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >PAN09964 pep chromosome:PHallii_v3.1:2:4599534:4600823:-1 gene:PAHAL_2G062200 transcript:PAN09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLPTQKRASRDWTSLPFDINDRVGKHLLAADDIDYYMAFRAASHNWRSATKDYPEKADYSDPTCFQPSKWALLGQRDDLITLVNVDTGRFLRKSIPLLRKYFFIGATGGGLLLLGEATDPHRALVLNPFTGSIAHFKAPVPVVGVRAIAVTKAPLMVFVSSDNGDIMWADQNSERFKRYWGSDDGNRPTCMTSFAGLVYATDQQGAVIASAVSDAAAGEQRPRSALTISWDTIIPCLDTSLDTSYLAWLRTGKYNLVESRGDLLLVTRPPYFASTNQIPVVVHRIDTERKMLEPVSSIGSRAIFVGPVRCLSIDADKFRGIKGGCVYFVESLLVRGVDYKPPTMTVFHVAYPWRHFISFGWCPPEGCFRPFIQVLADYCRSVHYSELFEMEAREWGLDDPSSSDSESDESSYSETDDEALSFEPDE >PAN10169 pep chromosome:PHallii_v3.1:2:5672688:5675693:1 gene:PAHAL_2G075500 transcript:PAN10169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPTPSSSESGWSRMASSLPVRNVQDLAASGEELAAETLERYIRMDIQNADVVVERSGEVPVVDLGRLFDPQFAEQEAAHLRFACEDWGFFQLVNHGIPEEIIANVRNDIQKFFQLPLEVKNAYAQLPGDIQGYGQAFVMSESQTLDWSDMLGILTQPPQARDMRYWPSHQPHTFRKSIEDYSSELMKVSHSIVTFIAKTLNIDPKLMEDKHVSQFLRMNYYPPCKAMADKVLGFSPHSDASFFTLLLEVNSVQGLQIKRHNAWIPVKPNPKALLVNVGDYLEIMSNGKYKSIEHRVTINTNHERITVSAFQIPSLGGVISPVTGITEEKILYKTTGVEEYAKLYMSNKLDGKRALDHAKLL >PAN11067 pep chromosome:PHallii_v3.1:2:13045119:13045511:-1 gene:PAHAL_2G139100 transcript:PAN11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGEGEPTKYRGVRRRPWGKYAAEIRDSSRHGVRIWLGTFDTAEEAARAYDRSAYSMRGAGAVLNFPEDARVYAAASRGSGSGSSSRQQQQQQPGGRDVIEFEYLDDEVLQEMLRNHDADGPSSARKKK >PVH64531 pep chromosome:PHallii_v3.1:2:45227729:45228632:-1 gene:PAHAL_2G296100 transcript:PVH64531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRNGLSPPARSNKTMERLLMALNCVLLGVGVTGGQLLSRLYYNKGGHRQWLSAWLQTGGWPLLLIPLAASYAGRRARDRCAPVLLTPPHVLLAAAGLGLMTGVDNFLYAWGLEFLPVSTSAILISTQLVFTVLFAYLLVGQRLAPAAVNAVALLTVGAVLLGLHASGDRPAGVTGRRYWLGFALTLAAAVLYGVFMPLVELAYRCAARGGRAGLTYAVAMELQLVIGIVATAFCTVGMVVNRDFQVRVTRVYAYVRALGT >PVH64235 pep chromosome:PHallii_v3.1:2:37202870:37203607:1 gene:PAHAL_2G219600 transcript:PVH64235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTTGFRFTDTYRKATRKALRRLRVLYKHHLRRTPMGFFPPAERSGRTWIARIRRLGREEEDLEDAVSHRSIYLTGLDALCREQSAQLKKLIHGVEKLTQELEEQRTRAATAEYSLATLQAQMQEYETRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN10228 pep chromosome:PHallii_v3.1:2:5954182:5955885:1 gene:PAHAL_2G080200 transcript:PAN10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCSHAKPFCATAPPHLSRRRRQLSANATSRAVSTSKAPLPDLNKPAKPPPLLPRPKLPVTTTTATDSAGDKDFAKKTPQEAASAPPSSSGAGDVLRLMDALGLPPDEEVYVSLLRDCADAAEVAAVHAHVASLCAPAGDLPPPLANRVLLSYAACGDIGDARRVFDEMPSRNGLAWATMVSAYSDRCFHHDAMRLFAHMCHEARDLTDDSLAHAIVAVLRSCTRAGELRLGEQVQALVVKKGRVCGDIGSSLVQLYCESGGLHKRARQVLAMMMRHHCQEPVPEAAWTSLITAYHRDGLLDEAIDVFRDMVPAGVPRSSFSLSSILAVLAESENRQGCCGRQVHTDAIKRGVDTNQFVGSGLVHMYAKQGRLADAARAFEAVSGKPDAVCWNAMAMAYARGGGYREAARVMHRMKAAGMNPSEEMTDAVRLACFR >PVH64643 pep chromosome:PHallii_v3.1:2:46824198:46832999:1 gene:PAHAL_2G322100 transcript:PVH64643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKKTMRALQYDKYGGGAEGLKHVEVPVPSPKKGEVLLKLEAASINPIDWKIQKGMVRPFLPRKFPFIPLGDMSGEVVELGSGVTNLKPGDKVISISFPNGGGLAEYAVAPASLTVARPPEMSAAEGASLPTAASTALQQLKAAGVSSFDGSGGSNGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLGLVRGLGADEVVDYKTPEGASLQSPSGRKYDAVMHCATGTPWSVFAPVLAGEATVVDVTPGIAAAAKSFLQKVTFAKQRLVPLILMPRKEEMEWLADMTRQGKLKTVVDSRYPLSRAQEAWAKSIGGHATGKIVVEMGGEDEE >PAN14680 pep chromosome:PHallii_v3.1:2:53386211:53393488:-1 gene:PAHAL_2G429300 transcript:PAN14680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWATGGRLAGEVSRPAARAAANGLPSGRLRRVAVTRRWRGPGVRCCAVGNSGSGGGGAAVDPGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDRVLQDISLLHGLGIKFVLVPGTLVQIDKLLSERGKKAKYVGQYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLHRHGVIGRWHGLVDNVASGNFLGAKRRGVVNGIDYGFTGEVKKIDVSRIRERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAMEADKLVCIVDGQIFDEHGRVIHFMSIEEADLLIRMRAKQSDIAANYVKVVDEEGINSLHRGVYEPSMSAWAHVNGYGASFQNGLGFNNGNGIYSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVDGSLLLELFTRDGAGTMIARDVYEGTRMATAEDLPGIRKIIRPLEDSGVLVRRTDTELLEALNSFYVVERDGSIIACAALFPFAEDKSGEVAALAVSEECRGRGQGDKLLDYVEKVALSLGLEKLFLLTTRTADWFVRRGFSECSIESIPERRRKRINLSRGSKYYIKQLQPKHAGVTTNNFVIR >PVH64038 pep chromosome:PHallii_v3.1:2:23263346:23263798:-1 gene:PAHAL_2G171300 transcript:PVH64038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDQNDAEDARCFILGSGAHAAIDVDADAGTGTGTGSSSPIDASTASAAGGGGGSKKRSKVWDDFTEVTTIEKGKKVRITAICNHCKQTLFAKFSSGTGYLLRHNCPVKKEKERIGRIQSVLKYNPDGFLVRWEYSDAVFLCVGSTLMQ >PAN14115 pep chromosome:PHallii_v3.1:2:50728056:50729809:1 gene:PAHAL_2G384900 transcript:PAN14115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MKRAVSAPWARRAVRQGCFYAAATTSSSSPEAASEKWDGRFRLHKPRGQHLLTNPRVLDAIARRAAIGPGDAVLEVGPGTGNLTARLLASPASRVAAVEIDPRMVEAVTARAAAIGLADKLTVIAGDAVEVDFPQFDVCVANIPYGISSPLIAKLLFGAYRFRTATLLLQKEFARRLAAAPGDGEYNRLAANVRLVADVRLLMDVSKRDFVPMPRVDSSLVEIRPRAVAPGVELGEWLAFTRACFGQKNKTLGAIFKQKRMVTELFRRSQRAEERDGGAGGVSLGALDDGSDEDGCGKDDDDGNSAAGCSGEEVAAFKERIAGALESAELAGKRPSKLSNDELLRLLRLFNERGVRFR >PAN13562 pep chromosome:PHallii_v3.1:2:48331690:48334298:1 gene:PAHAL_2G346500 transcript:PAN13562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISSSASVLSLSFVILSTFQGAVTGITFTFTNRCGGTVWPGVLANSGSSPLQTTGFALGAGEARSLTAPAGWSGRFWARTGCSFDASGKGSCATGDCGSGEVECHGRGAAPPATLAEFTLDGSGGKDYYDVSLVDGYNLPMVVEAAAPGCPVTGCLVDLNERCPAELRAGQGPAQACRSACEAFGRPEYCCSGDYGNPDTCRPSVYSQMFKTACPRSYSYAYDDATSTFTCTGTDYSITFCPPRAGTPNSQKATKDPSPRPNDVQLEGDSWLASLATGEMDGATSTAVASFQLQAALAAAAIVALLV >PVH65127 pep chromosome:PHallii_v3.1:2:53415488:53416922:-1 gene:PAHAL_2G429900 transcript:PVH65127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRMLRLLASYDVVRCQVEEGEDGKLSRRYGAAPVCKWLTPNEDGVSMAALALMNQDKVLMESWYCLEDAVLEGGIPFNKAYGMSAFEYHGTDPRFNRVFNEGMKNNSVIITEKLLEFYTGFEGVGTLVDVGGGVRATLHAITSRYPAHQGDQLRPPPRHLRRATVPGRGARRR >PVH64387 pep chromosome:PHallii_v3.1:2:42071499:42075881:1 gene:PAHAL_2G255600 transcript:PVH64387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTLPPAPPNPRQDAIDLHKAFKGFGCDSTAVINILTHRDSVQRGYIQQEYRNMYHEELSQRISSELSGNHKKAMLLWILDPAGRDATVLREALSGDTMDLRAATEIICSRTPSQLQIMKQTYLARFGTYLEHDIGHHTSGDHQKLLLAYVGIPRYEGPEVDPTIVTYDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHIASVSSAYHHMYDRKLEKVIKSETSGNFAFALLTILRCAESPAKYFAKLLRKSMKGLGTDDKTLIRVVVTRTEIDMQYIKAEYFKKYKKPLAEAINSETSGNYRTFLLSLVGQGH >PVH65184 pep chromosome:PHallii_v3.1:2:53928368:53934379:1 gene:PAHAL_2G437500 transcript:PVH65184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLPAAATGREREAGRDERRMDKARGKTRDAQTITPEALQSVKAALATSDVLDPAASASARPADPSTNKKPIPRRAAGQSWEDPTLTDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYEFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALERQKCCCLENWDDSTSESSSLIYCTSQDARLPAVTCSMEIDNVAPFRYGVP >PVH64827 pep chromosome:PHallii_v3.1:2:49402159:49403632:-1 gene:PAHAL_2G363700 transcript:PVH64827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVRVSKEWQCIRCSRAEGLGSSFYGIFPNRLRRAGRRASTRGAAAGQARRGGGRARAARRRARLGAAAGEHARLGGGAAGAAAGQLGAAAGQHARRGGGPGSARRRASTRGAAAGQHARRRASSARRRASTRGAAAGQHARRGGGPGAAAGQDTRRGGGPGAAEGQARRRARRGGGSGRAAAGQARRGGGPARAAAGQHGSSLLPGIEELDEQNCS >PVH65196 pep chromosome:PHallii_v3.1:2:53972659:53976578:1 gene:PAHAL_2G438700 transcript:PVH65196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALVVAVVLLLSSTLAASQWCVCRQDAPQTALQKTIDYACGAGADCNSIHENGPCYNPNNVPAHCSWAANSYYQNNKAKGATCDFTGTATLTTSDPSSSGCSYPTSASAVGTMTPTTGGAMGGTPGTGTFTPGAGTTGTGMGTGTTTGTTGTGFGLGPAGTGAGMDTAAAGLLPRAGLVAVLTMLLSAIAFA >PVH63524 pep chromosome:PHallii_v3.1:2:3460586:3461365:1 gene:PAHAL_2G047100 transcript:PVH63524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMSILRELYLDQVDLSSGGSTWSTVLADSVPQLQVLSLFGCSMSGSIHSSFSKLCSLMTINLADNYELTGEVPEYFSKLSSLTVLDISSNKFEGHFPTKIFQLKSLRTLDLSDNHMLSMRLTHFPTGNYLETLNLIGTNFSYDMPSSFAKLEYVKTLGFNMMDIDDKLPALISKLPSLDDLQLMGPHTKNPILSWVSNITQLTHLRFDGYDFSTGFHLDRQTDKAGKFDNSELQFLHANTVPDWKSYKIGRTGVLKL >PAN13495 pep chromosome:PHallii_v3.1:2:48070356:48074080:1 gene:PAHAL_2G342200 transcript:PAN13495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALLGFFLLLLQLGPSSCSNVYIVYMGERSPELQPALVRDAHHGVLAAVLGSEPAAKDAILYSYRHGFSGFAAVLTDRQAARLADWPGVVRVVRNRVLDLHTTRSWDFMRVKPASSVGILSGSRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGRCIAGDRFNASNCNRKIIGAKWYIKGYEAEYGKMNTTDIYEFMSARDAVGHGTHTASTAAGALVANANFRGLASGVARGGAPRARLAVYKVCWATGDCTSADILAAFDDAIHDGVDVLSVSLGQAPPLPAYVDDVLSIGSFHAVAKGIVVVCSAGNSGPYSETVINSAPWIVTVAAGTLDRTFLTKITLGNNSTYVGQTLYSGKHPAKSVRVAYAEDIASNNADDTDARSCTAGSLNSTLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSFDIPCVQVDYQVGTAILAYTTSMRNPTVQFGSVKTILGELIGPEVAYFSSRGPSSLSPSVLKPDIAAPGVNILAAWTPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDNYGFEIVSEAAPYNQANPFDYGGGHVDPNKAAHPGLVYDMGTSDYVRFLCSMGYNSSAISSMTQQHATCQHTPKTQLNLNLPSITIPELRGKLTVSRTVTNVGSAMSKYRAHVQPPPGVDVVVSPSLLVFNSTMRRLTFKVTFQAKLKVQGRYTFGSLTWEDGAHTVRIPLVVRTMISKFYVNA >PAN11862 pep chromosome:PHallii_v3.1:2:37869261:37869770:-1 gene:PAHAL_2G223200 transcript:PAN11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRKRSSSPLPSLNAPSLALLLLLVFIAAESSGRHRAKAQVFCRSQFNLANEACSLRTFSGPNPAQPLQLHSNGSSASYEVQADHHDHDHGHAHEREREHTAHRRRHGLGHGGRDPYDTACCRRLMGIDNACICQAMSFLPVFMSKVKHAIKLSPVPGCDVSFECGAI >PAN13485 pep chromosome:PHallii_v3.1:2:48023845:48025568:-1 gene:PAHAL_2G341100 transcript:PAN13485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDDVPEWMMEVGGGGGKGKGGGGGLDKNKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDALLCSYESLKKEKHALLKQLEKLAEMLQEPRGKYGGNAGAGAGEDMRPGAGGMKEEFADAGAGLYSSEAADGGKFAHFTDDDAGALFRPSPQQPPAGFTMSGPPEPHQPFQFHSTCWPSSATEQTCSSSQWWEFESLSE >PAN14534 pep chromosome:PHallii_v3.1:2:52676029:52694270:-1 gene:PAHAL_2G417500 transcript:PAN14534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSRETDAPGDPANGAAPPPAAAVAAATGGVEITKPRNDKRGYRRVVLPNALECLLISDPDTDKAAASMSVSVGYFCDPVGLEGLAHFLEHMLFYASEKYPVEDSYSKYIAEHGGSTNAFTTTERTNFYFDVNSDSLHDALDRFAQFFIKPLMSPDAVLREIKAVDSENQKNLLSDPWRMSQLQNHLCDENHPYHKFGTGNWDTLEVKAKEKGLDTRLELIKFYDSHYSANLMKLVVYGKDSLDNLQNLVENKFCDIRDVGRKPFSFPGHPCSSEHLQILVKAVPIKQGHTLRILWPITPNVRFYKEGPCKYISHLIGHEGEGSLFYILKKLGWAISLEAGEGDWSYDFSFFSVVIQLTDEGQEHMEDTVGLLFRYITLLQSSGTPKWIFDELQTIRETGFHYRDKSPPIHYVVNISSNMQFFPPEDWLIASSVPSKFSPDAIQNILNELTPENVRIFWESKRFEGQTNLTEPWYGTSYSVEAVPPSIVQKWVVKAPEEDLHLPKPNIFIPRDLSLKNIEEKVSVPLMLRKTPLSRLWYKPDTMFFTPKAYINMDFHCPLSQSSPESAVLTNVFTRLLMDYLNDYAYDAQVAGLYYAVNPNDTGFQVTMVGYNDKMRTLLATVIGKIAEFEVKVDRFSVIKEAMNKEYENFKFRQPYQQVLYYCSLMLDDQAWPWDEEFSALPHLEACDLGNFLPHLLAKTFIECYFAGNIEPNEAKSVVQHVEDVLFNAPISVCKPLSPSQLLAKRIVKLEKGLRYYYPAMCLNHQDENSALLHYIQTHQDNVKQNVLLHLLALIGKQPAFHQLRSVEQLGYIALLRQRNDSGVRGLQFIIQSTAKDPANLDARVENFLKMFESTLYQMSDAEFKSNVSALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEVEVAALRELKKEELIDFFNDHVKANAPQKKILSIQVYGGLHSAEYRTIVQNAPPPQSCEITDIYSFRRSRPLYGSFRGGVGQMKL >PVH65454 pep chromosome:PHallii_v3.1:2:57522493:57523458:-1 gene:PAHAL_2G497200 transcript:PVH65454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLGRNPLSDALHRNPGVVLNGQGPGRLPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PAN13010 pep chromosome:PHallii_v3.1:2:45926839:45928193:1 gene:PAHAL_2G307400 transcript:PAN13010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTKSSTPPPPPVMGAPVAYPPAAYPPAAAAGAYAPQLYAPPAAAAAQQAAAAQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDDAKDADAAAAAAAAAAAAGIPRPAAGVPATDPLAYYYVPQQ >PVH64323 pep chromosome:PHallii_v3.1:2:40294844:40295164:1 gene:PAHAL_2G237800 transcript:PVH64323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAALGASGGGGTRGRGAKATSAAAAGAARGGGGGGGRVRWWLRGRATRGRGAAAAGAARVGGGSGGGGRGWQGARRWAAGRWASGGGGGARGRGARARGCAVRG >PAN12303 pep chromosome:PHallii_v3.1:2:42326636:42328247:-1 gene:PAHAL_2G257700 transcript:PAN12303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKAAHGWAARDASGHLSPFNFSRRVPRDGDVTIKVLFCGLCHTDLHVIKNEWGNAMYPLVPGHEIVGVVTGVGPGVTKFKAGDTVGVGYFVDSCRSCESCGKGYESYCPQLVQTSNGVDLLDGATTQGGFSDVLVVSQHYVVRVPESLPPDGAAPLLCAGITVFSPMVQYGLNAPRKHLGVVGLGGLGHLGVKFGKAFGMTVTVISTSPSKREEALDRLGADAFLVSSDPEQMKAAASTMDGIIDTVSAEHPVAALLELLKPMGQMVVVGLPAKPLEVPAFSLVGGGKRVAGSGGGGIGECQAMLDFAAEHRIAADVEVVGMDYVNTAIQRLERNDVRYRFVVDVAGSKLGGAA >PAN13697 pep chromosome:PHallii_v3.1:2:49046878:49049219:1 gene:PAHAL_2G358000 transcript:PAN13697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKSCRPAMGQTSSPPSTSPVNSSICTVDCPSNGGRYRNPQLCSSTSHHEFKTDLNGGWRRPAATLSPTTVPAYGTLNRGFPIHTRSWWSPPPHTSVLAPRPRAHATN >PVH63804 pep chromosome:PHallii_v3.1:2:8914797:8916169:-1 gene:PAHAL_2G110600 transcript:PVH63804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPCLRRWITSGFSPRRSSRKSQRTIHISFAKEAPVMSIRGLLRTAQNKVAVKASIEVSEALKEDFTNEVIIQSQMIHKNIIKLLGCCLEVDVPMLVYEFAPNGNLYDVLHGKANRHLPLSLDLRLDIATESAEGLKYMHSSTNHTIRHGDVKSANILLDGNFMPKISDFGTSKLLGRDEDFTMFAVGRMGYIDPVFHQTGLLTQKSDVYSFGVVLLELICRKPTIYDDHCSLIIEFHRVLAKSNSGRAMFDKDISVTEEDVFVLEEMGKLAVECLNEEVEDRPDMMEVAEQLLMLRRAKRDGEWNYRSPHHFE >PAN14258 pep chromosome:PHallii_v3.1:2:51387400:51391940:1 gene:PAHAL_2G395200 transcript:PAN14258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASTDEPARHKKTKKSKLDKEEKKHKKRIQERPATEDAHAPDAAERKKRKHKEEGREEKRGGKKSKKEGKHEGKAMEAEAADAGRDERMRRAMEDERFAAARTDPRFRPMRRKEAKVALDSRFSSMMTDPRFASSAAPVDKRGRRRRKRENPLLHYYLNQEEEDEEEEGKEKGRKEKAKLVEEEEEEEAEDQEEEESSSSDDDEDEDMDDDDEYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSIYPSEFGLKCMEIESTQGPAALVNAKVDDKNSDDDEDVKDDYKHNTDDDGGEDNTDDDDDEDSTDDDDDEKEVDSDKENNKLRAYELNRLKYYYAVVVCDSSATANHLYMTLDGTEFLKTANVFDLQFIPDSREFKHPARDVATEAPPSYKEPDFETRALQHSRVKLTWDDDEPERKKVLRRKFTDDQLDDLNMYLASDDSASDEDGVDNSDDESLPNGGSKRKLTKEERLAILLQGDKSDEEQTDGQDMEITFNTELEDLSKRIVERKSNEEKTVWEKHQEKMKEKRKARKRGLKDDDDNDDHSSEDEPDEDDDFFANEQSDEEPKPSKSKKHKSKAKDKGKRKGKDDHTEEHLEREATKEELELLVAGDQDTASGAKGYNLKRKKGKKGKKGKEESVEDKLPDIDLSKDDRFSAMFTSHLFALDPTDPQYKRSAAFMRKQTGKPGAHASKAEGSSLGGTLPPDDAAAKNNEDQKPDGTSTEKLQILSAVKSLKRNLGAFKSASTGHR >PVH64974 pep chromosome:PHallii_v3.1:2:51387400:51391923:1 gene:PAHAL_2G395200 transcript:PVH64974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASTDEPARHKKTKKSKLDKEEKKHKKRIQERPATEDAHAPDAAERKKRKHKEEGREEKRGGKKSKKEGKHEGKAMEAEAADAGRDERMRRAMEDERFAAARTDPRFRPMRRKEAKVALDSRFSSMMTDPRFASSAAPVDKRGRRRRKRENPLLHYYLNQEEEDEEEEGKEKGRKEKAKLVEEEEEEEAEDQEEEESSSSDDDEDEDMDDDDEQYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSIYPSEFGLKCMEIESTQGPAALVNAKVDDKNSDDDEDVKDDYKHNTDDDGGEDNTDDDDDEDSTDDDDDEKEVDSDKENNKLRAYELNRLKYYYAVVVCDSSATANHLYMTLDGTEFLKTANVFDLQFIPDSREFKHPARDVATEAPPSYKEPDFETRALQHSRVKLTWDDDEPERKKVLRRKFTDDQLDDLNMYLASDDSASDEDGVDNSDDESLPNGGSKRKLTKEERLAILLQGDKSDEEQTDGQDMEITFNTELEDLSKRIVERKSNEEKTVWEKHQEKMKEKRKARKRGLKDDDDNDDHSSEDEPDEDDDFFANEQSDEEPKPSKSKKHKSKAKDKGKRKGKDDHTEEHLEREATKEELELLVAGDQDTASGAKGYNLKRKKGKKGKKGKEESVEDKLPDIDLSKDDRFSAMFTSHLFALDPTDPQYKRSAAFMRKQTGKPGAHASKAEGSSLGGTLPPDDAAAKNNEDQKPDGTSTEKLQILSAVKSLKRNLGAFKSASTGHR >PAN14157 pep chromosome:PHallii_v3.1:2:50915935:50920529:-1 gene:PAHAL_2G388100 transcript:PAN14157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCCSRATTPDSGRGGTNGYGYSNQAKPAQTPPSYNAPQPQAEVRYTPPAMNPPIVPPVVVPPKPTADTILGKLYEDVRTVYSLGKELGRGQFGVTYLCTEIATGRQYACKSISKRKLTSKADREDIRREIQIMQHLSGQPNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYTERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRSYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILHEEIDFESQPWPSISESAKDLVRKMLARDPKKRLTSGQVLQHPWLREGGDASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKEIISEVDTDNDGRINYEEFCAMMRGGMQPPMRLK >PAN10623 pep chromosome:PHallii_v3.1:2:8348744:8354998:-1 gene:PAHAL_2G106200 transcript:PAN10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMGSMSAYGSYQTNRPSALKQTPHMQFQQCCSGGVRFLSNHSQSMRSKTRLSKRRATNNGIRPKTTRSQAPIVCSTGMTIVFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTNVLVEVNVGDTVETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGSDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGEDVVFVANDWHTAVLPCYLKSMYKPNGIYANAKVAFCIHNIAYQGRFARADFEVLNLPDSFLPSFDFIDGHVKPVLGRKINWMKAGIIESDLVLTVSPHYVKELTSGPDKGVELDGVLRTKPLETGIVNGMDIYEWDPSTDKYISVKYDATTVTEARALNKERLQAEVGLPVDSSIPVIVFVGRLEEQKGSDILISAIPEFVGENVQIIVLGTGKKKMEEELTLLEVKYPSNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVIPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPADVAAVASTVTRALKQYDTPAFHEMIQSCMTQDLSWKGPAKKWEEVLLGLGVEGSEAGIEGEEIAPLAKENVATP >PAN10189 pep chromosome:PHallii_v3.1:2:5799991:5802375:-1 gene:PAHAL_2G077400 transcript:PAN10189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTLSTYALSEATSAFRVSTAAVKSPSSVSFVSHPSVTSGLKTSRKLGLAAMAVYKVKLVSPEGDVHEFEAQDDTYILESAESAGVELPYSCRAGACSTCAGKIEGGIVDQSDGSFLDDAQQAEGYVLTCVSYPRSDCVIHTHKEGELY >PAN09910 pep chromosome:PHallii_v3.1:2:4244782:4247450:-1 gene:PAHAL_2G057300 transcript:PAN09910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCQSIVIVGGSGTWSNVVFDGSGSGGCGIEDCPNPRRSAAAPAAGLPDDAIVEILSRVPARSIQRFKCVSRAWRDLIADPLHRKRLPQTLEGFFCSDVEFRHGVGGAAAPWNIRRHVHRSFVSLPGRSAPPVDPSFSYLTKVPSVRNDMRLLGCCNGYLLFANGRVSEAYGSLGYIVCNPATEQWVAVPSAGRTYPVSQAEAPTYLIVDPAMSPHFHLVHIWQNDFLGEIDVRTYSSESGAWCHRSSDRRQWQEGGGWEEWVNGGAMIKSMLGSAFVKGMQRFVIFDARRKADVVSGVDREGKTCRNISLPGECGGFALLIGQSQGLLHYVSEHVDHEGNCSQWSGLSVWVHEDYDTDEWVLKHSVSFLELFGKTDCSAGFDYNALAIHPDRNMLFILQNINRKLMSYDMDSKELQALHTLRSSDGFFTPYVPCFMESSVLLANKD >PVH64086 pep chromosome:PHallii_v3.1:2:26469168:26473830:1 gene:PAHAL_2G181200 transcript:PVH64086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRTLNSWCMITELVYDYGDELCAKEITKSGPNILRSSVWDQLKGV >PVH64336 pep chromosome:PHallii_v3.1:2:40629879:40631430:1 gene:PAHAL_2G240000 transcript:PVH64336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRNRLLPLVSAASQLPSPIHRSDCFRLLSNSPAPFSLEDYLVASCGLAPAQARSASKKALAEASRLSAKAFNDLTSARHHTRFDPDAVVALLSSIGLSRADIADVVAADPLFLRSRVDRLGPRLRDLRDSVGLSVPQIARFLAVGSRLMRGCSDLGPKIQFYVNFFGSFEKLLSFMKGNNSLLTADLDKVIKPNIALLRQRGLSVRDIAQLCSRNARLLTFNPERVQEVLQRAEELGVPRSSGKFRQAVAALACTTKQRDTAMLEFLKTTLGCSKSEAAIAVSKVPVILSLSEQNLLRKFQFMINDVGLEPQYILERSILFTHSLEKRLVPRHCVMKILLAKGLLETNLSFYSIARMGEKSFRLRFIDYHKDSVTGLADAYAAACRGRVPSGDQL >PAN12853 pep chromosome:PHallii_v3.1:2:45140164:45141877:-1 gene:PAHAL_2G295300 transcript:PAN12853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDDHDDGDEEMAPMPVSSSYETPPPLAAGFGGAPPKPPGEPVPLAKVAPGGHGGGGSGRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTLDALRCAACSCHRNFHRKESPATEGSPVSPAALVAYGAAPHHQFSPYYRTPAGYFHHHQPLHMAAAAAAAAVPRPLALPSTSHSGRDDGDDLSGMAGPMSAVGPLSGMSLGGAGPSGSGGSGSGKKRFRTKFTPEQKDRMLAFAERLGWRIQKHDEAAVQQFCDEVGVQRRVLKVWMHNNKHTLGKKP >PAN14639 pep chromosome:PHallii_v3.1:2:53159807:53161589:-1 gene:PAHAL_2G425900 transcript:PAN14639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Brassinosteroid (BR)-regulated growth response, Feedback inhibition of BR biosynthesi [Source: Projected from Oryza sativa (Os07g0580500)] MTSGAAAAAAAGGLGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPGMMSPCSSSQLLSAPSSSYPSPAPSYHASPASSSFPSPTRLDPSSGSNTHNPGAAAAASSLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTAASRPPTKVRKPDWDAVAVDPFRHPFFAVSAPASPTRARRREHPDTIPECDESDVCSTVDSGRWISFQMSAATTAPASPTYNLVNPGGGASASNSMELDGMAAADIGRGGPAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >PVH64229 pep chromosome:PHallii_v3.1:2:36990014:36992336:-1 gene:PAHAL_2G218400 transcript:PVH64229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLIDESGNPSQIGKDWKDLELIQFVDIKTCIERQDDGVQL >PAN14887 pep chromosome:PHallii_v3.1:2:54671792:54675622:-1 gene:PAHAL_2G451300 transcript:PAN14887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATNSAAAAAAAAVSGGAASQPRRVAAFLPLKRRTISAIHAADPSKNNGPAVPAAAASKASSSAVATPEKKPAAQGKWAVDSWKSKKALQLPEYPNQEELDAVLKTIETFPPVVFAGEARHLEERLAEAAMGRAFVLQGGDCAESFKEFHANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSEPFEERDGVKLPSYRGDNVNGDDFTEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRKRRMRSGLNNSLPLPPLAF >PVH64194 pep chromosome:PHallii_v3.1:2:35878002:35878328:1 gene:PAHAL_2G212300 transcript:PVH64194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWGRVRTLVTRPCCVSSQPCLFVSIDCKCFPSCPLCGLRRITAIWYICTNIPMWYLLGGFTEELVISRVTSHLVYDICWRIMSFWSCKCVRHRWSGLRRQLPFVTP >PAN14050 pep chromosome:PHallii_v3.1:2:50425342:50431983:1 gene:PAHAL_2G380700 transcript:PAN14050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MEEQQVKPSDLPPTTSDNRDSAATPPINTVDPVRLAASTDSSSQVASADPAAVSAATAAPAKDDAGREAPPSMFSTSGLSTWAKNLKIPQPSSGQESPTGKNTFARFTSGLGLRLSPKAAQQDEITEGSSSPTTGQSGVFGSLTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENVIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLFLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGILFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLAEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKSTAGPADSKSDTNSSGSTVAKENNAAPAESNKGTGSNDKDEVFSDSEGEDGSSKGRKEKTASGSQSNTNAAKPSETSTVQEASAAATRLEKVAVTEQGAAKAPDSTSLKTEVSSKSSSTTEPPAAVDSSSMSEFKAIAADASVFSFGDEDDYESE >PVH65338 pep chromosome:PHallii_v3.1:2:55534067:55535143:-1 gene:PAHAL_2G466800 transcript:PVH65338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASMSKTQETGATAPRAPALPWTVRLYTFGLMSATDIAQRPDGTVNRFVFSLADRQARASARPDGLGVVSADVAVDASRGLWARVFSPSPRADPAAPALPVLVYFHGGGFTLLSAASAPYDAMCRLFCRELGAVVVSVNYRLAPEHQFPAAYDDGVDVLRYLGNAGLPDLGVPVDLSRCFLAGDSAGGNLTHHVAQRWTATASGPFRIAGIVLLQPYFGGEERTPAELRLEGVAPVVNMRRSDWSWRAFLPEGADRNHPAAHVTGEAGPEPELAEAFPPAMVVIGGLDPLQDWQRRYAAMLERKGKAVQVVEFPEAIHAFYVFPELADSGRVVKAIKAFMDETMAAQPNPARSLIHQ >PAN11392 pep chromosome:PHallii_v3.1:2:21493463:21494560:-1 gene:PAHAL_2G166900 transcript:PAN11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSGAEEWRRNADTHKMSAEEVRAAGVEASMRPPGRGPGEVLHQRGRLPYGPGTMALVGFGIVGVIGYLVLYQKARPGTPATEVAKVAVGHGDPAAGRDPEKRPEGAREGK >PAN09226 pep chromosome:PHallii_v3.1:2:396727:405985:1 gene:PAHAL_2G006000 transcript:PAN09226 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MSSERAELARLCSTRNWSKAIRLLDSILARSPSSIHDLCNRAFCYSHLELHKHVVKDCDRALQLNPALLQAYVLKGKALTALDKKEDALLVWKQGYEIAVRDTTDLKQLLELEELVSSVKICETTESPDHVMDASPCDTKVVISEDRVVDKSATATTMADTKTVVCEEAIGNSKVSSNGDAKLPNHNNKVENNKVSTSPVKDSTGNQAPKKPAKQDKKNKAKAVKEINGRAEGAANRTSSDESETTSLEQTLFATKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCVKRDKKNSSAHTYLGLTLSVLGEYKRAEDEHLVGIKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQIDSRFAKAYHLRGILYHGMGRHRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEMALYIASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTTLLLAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRRRDKLNMSQNRGGYCSTSTLSGSPTSSPNEDRVFSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPYYQRVLEAAKNIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSDLYHVVGETYWVATRCDSMAFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMTAAWEAICEAYCNDTNPTRDPGTLDAVKDAILRMTYYWYNFMPLSRGSAVVGYIVLLGLFLAANMDVTTSIPPDAQVDWEAILSPDPETFVDAVKPWLYPSIKISRCLKDYTDVSCAFSTTGSVVAALTSVDP >PVH63332 pep chromosome:PHallii_v3.1:2:396727:405985:1 gene:PAHAL_2G006000 transcript:PVH63332 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MSSERAELARLCSTRNWSKAIRLLDSILARSPSSIHDLCNRAFCYSHLELHKHVVKDCDRALQLNPALLQAYVLKGKALTALDKKEDALLVWKQGYEIAVRDTTDLKQLLELEELVSSVKICETTESPDHVMDASPCDTKVVISEDRVVDKSATATTMADTKTVVCEEAIGNSKVSSNGDAKLPNHNNKVENNKVSTSPVKDSTGNQAPKKPAKQDKKNKAKAVKEINGRAEGAANRTSSDESETTSLEQTLFATKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCVKRDKKNSSAHTYLGLTLSVLGEYKRAEDEHLVGIKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQIDSRFAKAYHLRGILYHGMGRHRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEMALYIASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTTLLLAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRRRDKLNMSQNRGGYCSTSTLSGSPTSSPNEDRVFSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRVLEAAKNIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSDLYHVVGETYWVATRCDSMAFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMTAAWEAICEAYCNDTNPTRDPGTLDAVKDAILRMTYYWYNFMPLSRGSAVVGYIVLLGLFLAANMDVTTSIPPDAQVDWEAILSPDPETFVDAVKPWLYPSIKISRCLKDYTDVSCAFSTTGSVVAALTSVDP >PAN09351 pep chromosome:PHallii_v3.1:2:1111115:1115630:-1 gene:PAHAL_2G016500 transcript:PAN09351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAALEKKAHAPFPRAAKPSNPSARLKRSKSDLEDKDAKGALRSSQKSSNQTKLQGKNSKSHQKCEAKKGIQPRSEAQNSLKKEILQLEMHLKDQQVVRGALEKALGPDPAPITLQNESPMLKPATQLIREVATLELEIKHLEQYLLTLYRKAFEQQQAPTLPSSDANREAPKLSVSSRSAQLDDTPKPKAPIRKGDDPMLHYSCPPLSKGRSGAVDDSSPSTCPRRTADFDHGLRSQSALSFRGVCSSRISPTEDSLARALRSCHSQPFSFLEEGETATSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVFCKLADPPLVHHRPSSSPSSSLSSASVVSPQYLGDMWSPNCSREATLDSRLINPFRVEGLKEFSGPYNSMVEVPSISRDRQRLREAEDLLQTYKLILYRLETVDLRRMTNEEKLAFWINIHNALLMHAYLKYGVPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCPGHWLRTLLHPRIKSRGSKAGSGEWQAFAVHQSEPLLRFALCSGSHSDPAVRVYFPKRLSQQLEAAREEYIRATVGVWKEHRVLLPKLVEAYARDAKLSPERLVDAVQRSLPESLRTAVQRCRGGRAAGKVVEWVPHRQSFRYLLARDLAFPHLS >PVH64576 pep chromosome:PHallii_v3.1:2:45839324:45844695:1 gene:PAHAL_2G305400 transcript:PVH64576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFKRYQYLTRRLVLVDAGHGGAGGEVPLEVAGNEVLPPVEGVPVAVTARGEEKKEKKKVKQKEKGEGVKWLGHYSSAQDILLVGDGDFSSLALATAFGSGANLVATSLDTYEDLKDKYSKAESNLTELKRLGATLLHGVDKKKMKLHPDLKNRRFDRIIFNLPHAGFKGKEDDLYMINSHRELVWGFFNNALHLLWPYCEIHISHKTGGAYDRWELEDLASEVYYPGYNQKRGDSARCDEAFDLGTCFTFKFWIRDYRKKLNENMTSSISFLGTMTQATERGPFHLFPPDEAWPRQHLPPPLTAVHMPIALEPYGVAQRQYPDFRLNFDAKVRDPYIHQQGNTQPMIRTPKPSLRALPDPGGTSPPPMSRIPCPDLLAPEEPWYRHKPTADAPGGPLLLARGLQREREMQGQVMPAATSLSHLALLERQQSYREFVRKERLRRVVALYHKFVIF >PAN11819 pep chromosome:PHallii_v3.1:2:37172325:37172444:1 gene:PAHAL_2G219400 transcript:PAN11819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSQSKQKGKVARALKEHRARLYIIRRCIVMLLCWHD >PAN14704 pep chromosome:PHallii_v3.1:2:53496370:53499506:-1 gene:PAHAL_2G431100 transcript:PAN14704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRREAPLMRGGSGGGGQPLSRGSRIAAAVVVGVALGCVCAFFYPEGFFSSAPDSAIHWPRRADSVACDTSREVANLKSQLLSLERKNAEFRKQINELSMKVQLAGQGKNEVLHKAGPFGTVKALRTNPTVAPDLSINPRLAKILEQVAVKKELIVALANSNVKEMLEMWFTNIKRVDISNYLVVALDDSIENFCKSNDVPVYRRDPDDGIDNIGKTGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFNYLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYAGLHASKRTMDIYLFMNSKVLFKTVRKDAHLQQLKPVIVHLNYHPDKSDRMKAVIEFYVNGKQNALEHFPDGSE >PAN11980 pep chromosome:PHallii_v3.1:2:39658762:39660147:-1 gene:PAHAL_2G233300 transcript:PAN11980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSADAMPAPGDAAAADVRMISSKELRAHASPDDLWISISGDVYDVTPWLPHHPGGDLPLITLAGQDATDAFAAYHPPSARPLLRRFLVGRLSDYTVSPASADYRRLLAQLSSAGLFERVGPTPKVQLAIMATLFCAALYLVLACATASAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHPLLDRVVQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSPKLFGNIWSYFYRRTLAFDAASKFLISYQHWTFYPVMCVARINLLTQSALFVLTEKRVPQRFLEIAGVAAFWAWYPLLVSCLPNWWERVAFVLSSFTICGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDILCSPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVAPYVRDLCKKHGLPYSAASFWDANVLTWKTLRAAALQARNATSGAAPKNLVWEAVNTHG >PAN15589 pep chromosome:PHallii_v3.1:2:57711569:57714060:-1 gene:PAHAL_2G499800 transcript:PAN15589 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MAGQSDPHLSIFSPSEVEFVAEDEIVDIVPNIRMDALNMICGDFGPFYPQILTKVPLWLAVALKKRGKCTIRTPDWMTVDRLTQVLEAERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADTTGSRPTPVTDRGPRRDLRRR >PVH63585 pep chromosome:PHallii_v3.1:2:4582274:4583036:-1 gene:PAHAL_2G061800 transcript:PVH63585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGIIRPHERTFESLCNWLIRGLRINQETHTVSVQCVINRTTHALIWELMPFASNEDWLTYLQNASHWQWPLVLLISVQQNPLINIEAAPGDENIDEEVEEANIEAGGTEAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGSSWHSDCRENEIIQGARYHSIKEVKEASLRQWSANLVSTMWYV >PAN13375 pep chromosome:PHallii_v3.1:2:47501832:47509805:-1 gene:PAHAL_2G332000 transcript:PAN13375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQAAGSAPAVAALGFLLPTCWEIEVTCAAAMILVALYAAYELLSPRPSQAGGDAADGLLVGELDAADKFKGGSSGPSAYVVKLELLAAKNLMAANLNGTSDPYALITCGAEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTILGSVTVPVESEGPSGPVWYTLDSTSGQVCLHIKAIKVHESSSRALNNSAEAGARRRISLDKQGPTVVHQKPGPLQTIFELPPDEVVDHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVIPLRDIDEIRRSQHAVINPAITIFLRMGAGGFGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFKMMIEAEKQERAQSALRAHSSSRKNSKKEINVPEDCAALTGQLQPFVKEEVLVPVFNGTFPCTAEQFFSILLSDDSSYITEYRTDRKDKDINLGQWHIADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKMDLVFETVQQVHDVPFGSFFEVHCRWSVKSISSDSCSLNISAGAHFKKWCIMQSKIKSGAVDELKKEVKEMLEFAQAYMLKVRSPNQENSDLTQQDNMVPDVAPGSQ >PAN13854 pep chromosome:PHallii_v3.1:2:49642630:49645484:1 gene:PAHAL_2G368500 transcript:PAN13854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTLEFGGGLELLLKSSTKVHKVEVPPKDGEGKVTMKGLLSWVKANLIKERPEMFVKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGG >PVH63525 pep chromosome:PHallii_v3.1:2:3493548:3498679:1 gene:PAHAL_2G047300 transcript:PVH63525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKERLHSLMHLFIACLLKLSTAAPCLPDQASSLLQLKASFIGDSLPSWQAGTDCCHHWKGVTCDVAFGRVISLDLGTIGRLVSLHGLDMSHNNFTGKIPSQLGNLTRLESMDLSCNSLSGEIPQEFTSLTSLSWLNLSYNNFTGRLPQGNQFLTFPSSSFEGNAGLYGIQLSKQCDNLGPDLTTRSTLAPEPNSLWQDRLDAIIFFLFVGLGFDVGFALSIIFRSFYHIEGWLYKHMY >PAN10939 pep chromosome:PHallii_v3.1:2:11256665:11260117:-1 gene:PAHAL_2G127500 transcript:PAN10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSALVLLFCFTWSFRLKAVAAAGHGSAGADQNYGTLDQVKEKLQQVGLESSNLIIGVDFTKSNEWTGKRCFDGRSLHHVGDAPNPYEQAIGIIGRTLSAYDEDNRIPCFGFGDSTTHDRSVFNFYRDGRACNGVSEALQRYREIASHVRLSGPTSLAPIIEAATRIVEASRHQYHILLIIADGQVPTRTGAHSASYPTEARSMNYLEERTLQAFIHASHFPLSIVLVGVGDAPWDDRIHRHDNQHLFDNFQFVDFTEIMSRETSQAEKEDQFALEALRKIPAQYSTIIDKWIREQAASAPPGTPLPPPC >PAN10610 pep chromosome:PHallii_v3.1:2:8253344:8261293:-1 gene:PAHAL_2G105100 transcript:PAN10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCSSCTAAADTTRRPGAGAGAAAGKREKEKGRGIVACGKRTDFGYDKDFEARYSLGKLLGHGQFGYTFAAVDRASGDRVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDDNFVYIVMELCEGGELLDRILAKKDSRYSEKDAAVIVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKQFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPAAKDFVQKLLVKDPRARLTAAQALSHDWVREGGKASEIPLDISVLHNMRQFVKYSRFKQFALRALASTLNSEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIIEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTQKWKSLSQAAFDKFDVDGDGYITSDELRMHTGMKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSARTVQTPRGVRMS >PAN12805 pep chromosome:PHallii_v3.1:2:44936038:44937021:1 gene:PAHAL_2G292300 transcript:PAN12805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIGGGGGDEVILDAPGFIRVYKSGRVERFLPVDFAPPSTDAATGVASKDVPILPEAGVSARIYLPAAPSSGSYSGKLPVLVLFHGGGFCLGSAFDAAVHGHANRLAAATGAIVVSVDYRLAPEHPVPALYGDAWAALQWVAAHAAGQGPEPWLTAHADLGRVHVGGESAGANIAHHAAMRAGAEELGHGVKLSSLVLIHPYFLGGDSSETDEMGMALLCELVRLWPVVCPGTSGCDDDPLINPMAEGAPNLASLGCRRVLVCVGGNDPMRGRGRLYCEKLKGSGWCGEVEDWEADGQGHGFHLLCPTSAEAEAQVGVIAEFLSYG >PAN14359 pep chromosome:PHallii_v3.1:2:56050109:56050503:-1 gene:PAHAL_2G474700 transcript:PAN14359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCPRCDCCEGWNPMFLLQRLESNGFLFVAKAVDWNPMHGVVLDKLNFMVHK >PVH64457 pep chromosome:PHallii_v3.1:2:43585810:43587538:1 gene:PAHAL_2G272800 transcript:PVH64457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKGRDNFSVFFRTTSLQSAIEYPILWPGPTQRTSARGHTGMVPSLLRAEPSRQAGSPIPPHTPTRTQKNHRQIFRSYLSPLRRSREAVTAAARAGPAESITA >PAN09813 pep chromosome:PHallii_v3.1:2:3796313:3801014:1 gene:PAHAL_2G051400 transcript:PAN09813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAILDVITHAEEQAAAKREGAKVWLEEVRKVAYQANDVLDEFNYEALRRKAKKEGHNKDLSLDVMKLFPTHNRIVFRHRMANKLCVILKEIDVLVAEMNAFRFKFKPEQPEPTNYLRQYNSNIIDPTNIASESRADEKEAVVSTLLDQVGNENLTVHPIVGMGGLGKTTLAQLIYNDPQIQKHFELRLWVCVSDNFDADSLADRIVKENGCNPSGSSAREKLQNVVSGKRYLLVLDDVWNRDEHKWERLKSYLQHGGKGSSLLTTTRDDKVAQLMGTTEVKNLKSLDETHIKNIIETKAFGSKQVEQRPPELVDMVGDVAKRCSGSPLAATALGFVLRTKTSKQEWDAVLNRSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQSLIHLWMANGFIPEQPGVCPETIGEKIFNELKSRSFYQDLKSVPFEQKYDTFGRIKYIYCSKITCKIHDLMHDVAESSMGKECAAIATHPSQSEYALHSARHLYLSVCQPENLLNASVEKGSPAFQTLICDRYVKEDLKILSKYNSIRALKIKRGSFLRPKYLHHLRYLDLSESDIEALPEDISILYHLQTLDLFYCCKLERLPKELKYLTSLRHLYTHGCRKLKSMPGGLGHLTSLQTLTCFVAGTDSGCSNVRELQDLDLGGRLELRQLENVTGANGAQAAGLGNKKKLTELELRWTYGDQEAQNNNHKEVVEGLKPHDGLKVLRIYSCGSSTFPTWMDMLNGMVELELSGCKKLEKLPALWQLPALEILHLTGLESLHCLCSGGTTAVTFQKLKVLILIKMPIFEAWLDTDVVQGEGPIFPEVEKLEIHLCGSLTALPKAALVITESSDEVDTKCRSAFPALRNMTLTFLNMFDRWEAVEGTPGDGVTFPRLVELGIWDCESLSALPKGGSLIVKQLFGQAETVCCRSAFPALRKLKLSGLWALERWGAAEGTPGEEVTFPLLEDLEIDACPKLTDLPEAPKLSGLAIQGEGQQISLQAASRFIPSLSSLSLDVSPDDTETTLLHVKQKWDHELPLAAMTLTRCDLMFSSHPGALALWTCFARLVDLTIENCDALVYWPENVFQTLVSLRRLSIWRCSKLTGHAQASDGQSAPERGRLPPRLEYLCISGCTSLVEVPNLPASLKELGIGFCSDNIKSIIFGQHEYVMPVGSSGSEATVSTAVLKLSSAANHRSLPCLESLSIWKCDRLSEVANLPPSIKFLDIDSCGNLKSLSGKLDVIQNLNIRFCDRLESLESCVGELRSVKELRLLHCRSLVSLPDGPQAYSSLRYLDIDGCDGIKSLPPGLQSHLDCLEVNFIDARYKETTWKRAIRTLACSK >PVH65116 pep chromosome:PHallii_v3.1:2:53268018:53272384:-1 gene:PAHAL_2G427500 transcript:PVH65116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASRDRNKIYVIFLLGSKFTEDDVHNYFSQYGSVNDVPIPPQGRRMYGFVSFQDPGTAKQILSERMPHIICGDQVRVREYRDKHELERATCHFIKREKPHHIVPVEDPPKYLAHSSKLGVPSASSDPNQIYVVFIPESKFTEEDVLNYFSKYGTVNNVRIPHQGRRMYGFVSFQDPGTAERILSERTPHFICGDQVRVKAYKEKRELQYGQIHGSKLVMPSAINSSHQIFITFDPKSTFTENDAWNYFSHYGPVNNVQIPLQKKRMFGYVSFKYPEMVKQILSERCSRTSHFIRGDHVFVEPYNEKHGPETLAREDAHSVPGPRKVSDVNVIHENHTGKQLSCDHELFGKKLNKGCDQGIVTEKSSTNVAPVMISPPTHNLSVHSVSEASPSQGDNTTESSHVSNHLDETAADQDRGDLRLPETLDDVY >PAN09275 pep chromosome:PHallii_v3.1:2:525598:531978:-1 gene:PAHAL_2G008100 transcript:PAN09275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMVHPYFQQVRAAENSRTRA >PVH64348 pep chromosome:PHallii_v3.1:2:41214463:41217269:-1 gene:PAHAL_2G245700 transcript:PVH64348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQQKGINSFFKRKRDESNIENEQVQVQVEEPPRDADQSPLPLIEAEHHHRQEEQQPIPVLFRGIEFLERDPALRPQIWEYPSNQQNEVQRAYLKLGPMQPKLKNYKAFGPQGHQCRFQYHWFSEFPSWLEYSESSGKAYCLLCFLCSKNIKKRGGFDAFTIQGFNNWKKVHDRKNCAFLVHIGSDPCSEHNNSAKECQALLNNLNHIDNIMEVAKFNPEIAAVVLENAPQCAKYTSPDIQKEILSIFALKIRKHIREEIGDQKFSIIVDETCDISKREQMAIVLRFVDIDGVLQERFFDLVHVRNTKALTLKAEICYVLSTYGFDVQNLRGQGYDGASNMRGELNGLQALVLKECPYAYYVHCYAHRLQLALVAAAKDVVPVTQFFQKLLFIVNTVDSSAKRHDELHDAQVVELARLLAVDELETGQGANQIRSLKRPGDTRWGSHLGSISSLMDMFNPVSTVLQNLAADSTAGTNRADGDTSFNYMISFEFVFILCLMREILEITEQLGQALQKKSQDIVNAIRLVQTTKILLEKMRSDDGWETFICKVMEFCVDHDIDIPNMDETYILRGGRARRQPNHFTTDHFFRVEVFRATLDTQLAELNLKFNEKVIGLLSICVTLVPKNGFASFQSSEICKMRAFSTLKIIKTRLRNRMEDDFLANSML >PVH63316 pep chromosome:PHallii_v3.1:2:258592:260598:1 gene:PAHAL_2G003100 transcript:PVH63316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYIYSCIQILPTAASRSTQLSSNRKRSIEGISMAAAARAAAPLAALLLLLTIAAVPPPAEAHVQIGAYNKTCPQAEDIVLKEMTAILAKSPNLAGPVLRLFSVDCFVGGCEGSILLDSTASNTAEKDSPLNQGVGGYQVVDAIKAKLEAACPGVVSCADTLALAARDSVRLTKGPFIPLPTGRRDGNRSVAADVAPNSPPPGATIADIIALFANKFNLTAKDLAVLSGAHTIGRARCSTVSPRLYNLGGRNGASDPTLDGNYTATLRGQCKPGDNATLVDLDPPTPTTFDTDYYTLVAGNRGLLSTDAALLLDPATSAYVAAQANATSPDQFFADFAASFVAMSRLGVLTHHKGEIRQVCSKVNPPAPTSTNAGAAAGRSYHTAGLALITTLAVALLM >PAN15563 pep chromosome:PHallii_v3.1:2:57580953:57582960:1 gene:PAHAL_2G498000 transcript:PAN15563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGAIIGASTVLVVAVVAAVCVVSFKGNSSKGEGEGDGNLSMSVKSVKAFCQPTDYQQTCETELTKAAGNATSPTDLAKAIFTVTSDKIHKAISESATLNELKNDKRTSGALQNCKELLEYAIDDLKATFDKLGGFEMTNFKKAVDDLKTWLSAALTYQDTCLDGFMNATTTEASAKMQNALNASQELTEDILAVVDQFSDTLGGLSVGRRLLGEDGMPAWMPEPGVGSRRLLEASPASPDFKPNVTVAADGSGDVKTIKEALAKVPPKNADMYVVYVKAGTYKEYVSVGRPQTNVAFIGDGADKTIITGNKNFKMNLTTKDTATMEAIGNGFFMKGVRVENTAGAENHQAVALRVQSDQAVFYQCTFDGYQDTLYTHAQRQFFRDCTVTGTIDFIFGNSQVVIQNCLIQPRKPMANQANIITAQGRRDKRSVGGTVLHNCTIEPHPDFKAEAGGKIATYLARPWKEYSRTLYIQNDIGGFIDPKGWLEWNGDFGLETLFYAEVDNRGAGADMSKRAKWGGIKTVTYAEAQKEYTVEVFIQGQQFIPKFGVPYIPGLLPQSQQGRTH >PAN13244 pep chromosome:PHallii_v3.1:2:46880572:46880939:-1 gene:PAHAL_2G322900 transcript:PAN13244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKKTMRALQYDKYGGGAEGLKHVEVPVPSPKKGEVLLKLEAASINPIDWKIQKGMVRPFLPRKFPFVPGMLPVSV >PVH64722 pep chromosome:PHallii_v3.1:2:48033732:48036147:-1 gene:PAHAL_2G341400 transcript:PVH64722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVVCGRLPRKSWHTSFCEKDPGKSPAAGSGDPGGGLGGGGGGVGPRQDDESGLLLDREEVTGLSLICSEWALVNLSWALHPSCSLPLTPPPSRFDKRAIQRRRRRRACGLQACGMAMRLAAAAFIRRLAPARPPIPVPAAVATAAEAEAVTCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRIEVPRSTPWPLPFKLI >PVH64252 pep chromosome:PHallii_v3.1:2:37733113:37733604:1 gene:PAHAL_2G222000 transcript:PVH64252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESESSREPTPEYDPIAAYEVRAPLHWDTEEWDFRYQSEDDESLTDGEDLALLLGAEMEEDEDDTSWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSDDSSDSSAGAEGDDSFTSDDSGDNDDSGNDTGDSGPSIAPSPKRRKTSGVYWW >PVH64373 pep chromosome:PHallii_v3.1:2:41685346:41687360:-1 gene:PAHAL_2G251900 transcript:PVH64373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGNLVLVPKHPSNRAPLWQSFDYLTDVGLPSTKIGPNMLTGFNCSCISKKSLIDPGFGLYTVEVGTDGALRLTSRSSPSVVYWSWPPGKLGELVQPLNALMDSDPRTKGLVKFTYQDNKEEVYLSYTIMDESASVSFLIDISGQLKMNIWSQSAESWQTVHAEPSDFCITPAVCGPFTVCNSNSDPLFCDCMETFSRRSLQDWELGDRTGGCARNTPIDCITINRSTASSTDVFHPVAHVTLPYDPRRIEDATMQSNCAEACLNDCSCTAYAYSKSICSVWHGELLNVKQNDGNAITSQDVLYIHLAARDLQSFRKAIKRKPGVIAVACIVSSGFLPLFMLLLIIWRNRLKSRDACAQQWR >PAN09627 pep chromosome:PHallii_v3.1:2:2685166:2686853:1 gene:PAHAL_2G038100 transcript:PAN09627 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 20-oxidase3, GA metabolis [Source: Projected from Oryza sativa (Os07g0169700)] MAVVFDAEVLSREERIPEQFVCRAEERPAGCGDGDGGVEEMADIPVVDLAEFLRGGGELPRGVAEACERHGFFQVVGHGVGAALIAEAYRCCDAFYARPLAEKQRARRRPGESHGYASSFTGRFDACLPWKETLSFHCPAPPAPGSGRAVADYFVGVLGEEYRHMGEVYQEYCDAMTRLALDVTEVLAAALGLPDRGALRGFFAGGDSIMRLNHYPPCRQPHLTLGTGPHRDPTSLTLLHQDGVGGLQVRAGAGGGEWRAVRPRADAFVVNIGDTFAALTDGRHASCLHRAVVSSGAARRSLTFFLNPQLDRVVRPPGALLAAAAAGRPRAYPDFTWREFLEFTQKHYRSDASTMDAFVASIARGRGDGGGHDEHGGQEEK >PAN13146 pep chromosome:PHallii_v3.1:2:46525539:46528863:-1 gene:PAHAL_2G317000 transcript:PAN13146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPRRWPPGFRFSPTDEELVLYFLKRRIVSGRPSPYVADVDVYKSHPSHLPERSALQTGDRQWFFFSRMDRKYPNGSRASRTTGDGYWKATGKDRFICGGGRAVGNKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAAQGRETYALYKLFQKSGAGPKNGEQYGAPFREEDWLDNDEEGVTAAAPANSVPNTNNCPATVEEHAIADRELPIEDLDELLSQIGNDKEEFGEAQLDFSTPATSHAQSQGWLSDGGDKAEVVDASISSGAVVAAENTCTGPPLGDIEQLLMQISDDQQNAELFSDLSASVPQLQLQCDGHQVWLDAHREQEVCAPDPTSSSGAEVTAECTDTELGDLEGLLLHIANEEDMVEPLSDLSGPIPYHNFNQVGIGDFHESHGAPVGNLSCIVQESTFDPQTETRSQFPQSNLTNVPFSGETNSSEGTSVPRSVSGLISYSQDADEFLEINDFFDLEDVEQSANCTATEHLIPATNGMFDNLEYSDAHTFLPGPFDTAGVVAENQFFDFGNTGIQDQGYQYTTEVRTHNQAALNVRSHMKHTHVVLPSHASGTLNLHAANEPPNRSSTASQSWFNAALSALLDSVPSSPALAAEIENTVINKTLQRISSFRSHQASGQENTVINRTLHRISSFRSQQAASEQPSTPRIQVTRGGRLMFISLLVTLAAVMWTFTVGSAGSALNFCKGLWKSSSR >PAN14222 pep chromosome:PHallii_v3.1:2:51212440:51213009:-1 gene:PAHAL_2G392600 transcript:PAN14222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNKPALVCCGVLAAVILVLAVVFVALYFTVFRPRSPRVVATVVGTRISAINGLPPVLNITMRVEVTVGNPNYAAFRYGDVVTAVRYHGDGVGQVVVPAGEIGARATETIGATVEVDTVRVAATPYFMPEVVLGVLPFETTTEVAGKAVVLGTFKISASSEVVCDVAVYWTRGNVTSDCTSTVHIGGR >PVH65173 pep chromosome:PHallii_v3.1:2:53887226:53888346:1 gene:PAHAL_2G436700 transcript:PVH65173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAAPRRCRPHRTKSDADWPGGTTVTPRPARPPPPAQSPRPGPASWTCPVTATSGWSVLPLTLPPARRTHPAVWWLSGAARSDPMIPIPSHTLHRSVPSRPRPSTPATGAGTAQATATETATPSSPLRQRPAPWRHARIEGGMRRCTLLFP >PAN12552 pep chromosome:PHallii_v3.1:2:43538004:43539988:-1 gene:PAHAL_2G272400 transcript:PAN12552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKATVKKGPWGPEEDAVLKAYIDEHGAGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEDEDRLICSLYISIGSRWATIAAQLPGRTDNDVKNHWNTKLKRRLLGGGRRPRAETRLQLLSSPATWQQNSFASSALERMQVSMRLHRRHHQARLDSPAAFTLHNYGNISAPLWPSPSPSPSPSPTASESSEMRPRQLPGAISTGYSGLWSHMPSSFSYACAGVQENTDGTCTPPLSTSIGETITAAGVESSSSTPTASSASATFGSMDDEIDMLLRQIQCFVENGQIGDEAVDGIDGCFRAMDHEALDGGVGSWSSCSTPGVDSVFHDYVQGYNQC >PAN10516 pep chromosome:PHallii_v3.1:2:7612649:7614502:-1 gene:PAHAL_2G098200 transcript:PAN10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIVQPTLWTRRACGAAFVDQEEAAVSARDLSHVLGSLSLTSIWTTNRIGS >PVH65133 pep chromosome:PHallii_v3.1:2:53585258:53586542:1 gene:PAHAL_2G432200 transcript:PVH65133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAMSPDATLDVSSKPPVPPPSPPRVDSWARGGRRSKRRAGSPGGGGGAEQSEEEYLALSLLMLSRGVRGDAAEDSGGVAASAKGAGAAAAKASQQGYECSVCGKVYPSYQALGGHKTSHRKPQTPPTAPAPQAAPAPAGDEPAPLGPGVAHAEEKVHQCSLCLRTFPSGQALGGHKRLHYEGGGAAGADGVKADKDAAAKTKASAAHATSVLRDFDLNLPAAAAAAATTTVEDAESALPEAKRARMMLLVV >PVH64735 pep chromosome:PHallii_v3.1:2:48355915:48356352:-1 gene:PAHAL_2G346900 transcript:PVH64735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPITNALPRSFPLHHLVLVTLPPRPLLSTPGALLGPPLHYVLYVHTLLNLYSLLLSFSFCIV >PAN11027 pep chromosome:PHallii_v3.1:2:12216282:12220902:1 gene:PAHAL_2G134900 transcript:PAN11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILDLDMARNSNSTAPPCHAHTAPASDQQRRLRQAECNKAGADADDPNHGHRPGAEEEEEEEEGEGEELLLKGVRHLCERRGITRLPARYVLPPSDRPAAPDHQRSSCPTIPVIDLARLRAPAERAAALAELDAACRDYGFFQVVGHGVGGRGMMMLDVARRFFDLPFGERARYMSPDIRAAVRYGTSFNQLNDGVLCWRDFLKLVCDDLDGVVPSWPDAPADLREVVSAYARSSRRLFRELMEAALEALGIGGPGAGEVLADCDAGSQMLMVNCFPACPEPDLTLGMPPHSDYGFLTILLQDEVNGLEVRHADRWVLVDPLPGSLVVNVGDHFEMYSNGRYKSVLHRVRVNSARSRISVASLHSLPPARVIGPAPELVDDVKNPRRYMDTDFATFIDYLSSAEGKHKSFLQTRRLLTS >PAN10447 pep chromosome:PHallii_v3.1:2:7213051:7219920:-1 gene:PAHAL_2G095000 transcript:PAN10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGDPLTPSSGIFYRRHKKSAERNTSVLTPGVATNSSGHALPVASRVPSLEFGSASKEWENIRQRKVQLINFLSSLERPSENSVIANRMAGGKLNNYSTEPREQQENTPIIVISDDEDGNNSGYKELTTSDLASNITKWVASNGMSQAFKTMQIEGDKNTQIVPYGQNAALVNQFPLQTSWQPSIHFERVVLQKRPEEQRVQDLLAVNIAEKRAETQVFLSHPTEKKRRRSDPSLHVSEDATCAPRQRKRKSGTDPVAADLSLDLGKTDISSEPELTMKEEEKPKNESDGLEEYWKDFALAIESTKIDSFDEAANEKEEDHGKVEDVDCNHDIRIHEDLGHVCRVCGMIVRRAESIIDYQWKKASRRRTSCYGGHSKDANEIDCGSVKLSEDFIVADIAIHPRHAKQMRPHQLEGFNFLVKNLIGDKPGGCILAHAPGSGKTFMLISFIQSFLARYPSARPLVVLPKGILGTWKKEIQQWQVQDIPLYDFYSVKAEKRVDQLEVLKSWEDKMSILFLGYKQFSTIISDDGGSKAAAACRDRLLKVPNLLIMDEGHTPRNRETDVLESLSRVETPRKVVLSGTLFQNHVEEVFNILNLVRPKFLKMESSRPIVRRIMSQVEMSGRSSKGIAYSAFTESVENTLLNDDNFKRKAHVIRGLRELTKDVLHYYKGDILDELPGLVDFSVFLKLTSIQKEIIQKKMGAYDKFKRSAVDTSLYVHPCLSEISEVNAEDRANNLTDKSIDIMIDSIDVRDGVKARFFMNILSLASSAGEKLLAFSQYRLPMRFLERLLVKVKGWHVGKEIFMISGDTTQEDRELLVNQFNNSADAKVLFGAIRACGEGISLVGASRVVILDVYLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSAEEKHHESAFKKEVISKLWFEWSEHCTTEDFKLGQVDIDDCGDELLDTKAMRQDVKALYKR >PAN12249 pep chromosome:PHallii_v3.1:2:41826083:41828054:1 gene:PAHAL_2G253000 transcript:PAN12249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPSSSSGAEAPRRSVARRARLRIRASSNQRRQIRARRDGSRARGRRGAHAVPGCRPRRRGCSSLRVATGCCSSRGRRACSISGTAVGCCSSGGRWACSSSGAPAGCCSSGCGGRARARGQRRGAAARGPAGVLELGGQQACSSSGAASAGVPQLRDGEGLELGGGDDGNARGDGEKQEKKK >PAN12714 pep chromosome:PHallii_v3.1:2:44508626:44513156:1 gene:PAHAL_2G285800 transcript:PAN12714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAVLAALLLVAAAASPAAALYSAGSPVLQLNPNNFKSKVLNSNGVVLVEFFAPWCGHCKQLAPAWEKAAGVLKGVATVAALDADAHQSLAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKASSGSSGKASGGSSEKNEPSASVELNSRNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKKLNGQVKFGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANSGPAEVSELTGPDVMEEKCASAAICFVSFLPDILDSKAEGRNKYLELLLSVAEKFKKSPYSFVWTAATKQPDLENQVGVGGYGYPAMVALNVKKGAYAPLRSAFQRDEIIEFVKEAGRGGKGNLPLNGAPTVVPSEPWDGKDGEEIVEDEFSLDELMGDSSPVNDEL >PAN14011 pep chromosome:PHallii_v3.1:2:50257993:50264112:-1 gene:PAHAL_2G378100 transcript:PAN14011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAAMPHEPAQWRDPSRPTPSRGFFNILIPPPQPASSFSSSSSPDASAGDGAAASSSVPGASAGEPTPRRRRQILERWAAAAAAVTASAAPAPADQRRRAREAELSELASATRPVAARAAVFREPSPAPSDTSSSAAGAPTELPPSGPRASSLIQRWREIEAVGPVTPRPGCAGDPAASDSDTGSPRGRVGCIVKKLSGASSLPEEELDDVAKSELSLSQSAPPSPAWMRGGASQFPSAAINVPRQPQLVVRTVRGRRAMEELVAAMAHRRRREVAALAERHVVSRFGHKGRIQSMLRLRLLRQQGTVEDEMWTTLKPVRPHQPKLVTENNTLRYGSNDTDLQEANNYNQQTNGKRRADEQFCSDRIPAEEKSNDVSVEGLVNSDGSGNLQCDEQKKTKGNFCVHSQKYSEAPSFARYGHSTVDDNQYVEDISPSTTSTLNELQTPSSRGDNLREEDNQSINGSWEERGLWISSLGWPAPIDTMSPDSWHQDAMGDIENHNQIQFNDRPWIDSPNSWRSLCIVTQSDYRELSRNTDICNLLESKKVSKSLESDFSNRMNQLLLTVLHKQRQQRMMDDFGGYYDERMYWRQNDEIQDADKETSAPSSLPPVTHLGAHQQESWQHSSFESQRHDNQNLLEMEVRVRGEMSQIHHELYELRKLVESCIASQVKMQHSIKEDVCSALREAGLMPSQADTIAAKRGSCYICHQIQVDSLLYRCGHMCTCFNCADLLKSSGRSCPICQSPIDDVVRVQLNF >PAN14714 pep chromosome:PHallii_v3.1:2:57698031:57700883:-1 gene:PAHAL_2G499500 transcript:PAN14714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTAAASWQPVPLDAEFSPEVAAALLSDAAAGICPPSCAAETRPSGPRKHLVALGWTIVSRRNAVMAPRMRYESPANGKTYCSLPDLIVDALMSSNEPHQPPETTKNNDDEEEEEEEEDAIAEYVALIRAKAKGPRADRLRARAKSQLQASGWSFWVKLKSDGREELRYKKPFTATTTSCCSRKKREISELIKKKKKKKKEEEEEEEEEEEEEEEPACKRRKKKKAAGASRVLRPNSNCEVSASGRARTLLSVLIDEGILVPRDKVTYRAASDGAAAKGGLVTGDGVRCTCCGRAFTVAEFEAHATRTRGSRTGRPWARLFLNKDGRSLSQCLVELMRRDSTNNYHHHHHSRRRRRRRRVKEACPDPGGDSVCSVCNDGGELLQCDHCPSAFHHDCLGLPGPEAAGDGDWFCPCCRCGACGGTDFDDPPPAAADDDDDTSRRSLTDKTVVYCEQCERKYHVVCVANIRDDDQPWFCSPGCGRVSRHLQDVAGTSIPTSAEGVSLAILLRRRSACSSDSDSEEQEALAHGKLRAALDVLHECFVPLVEPRTQSDLSADMSELRRLHFGGYYVVGLEKGGELVTVATVRVYGDKVAELPLEGTRFAYRRQGMLRLLMTELEKRLLGWDLEEAAAVSGEEDDSVSVSVSVSIPPGRRLLLLLLLFSSLSATSK >PAN12505 pep chromosome:PHallii_v3.1:2:43294468:43299466:-1 gene:PAHAL_2G269300 transcript:PAN12505 gene_biotype:protein_coding transcript_biotype:protein_coding description:monogalactosyl diacylglycerol synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G31780) TAIR;Acc:AT4G31780] MPAPTAEPALPAAFLCVPSPLLSAPLPGAAVSASPAPCHHHASFLPRPRGGPRALSAAVSAPGPASSAASRLHRMWGEFARFVRLHGNQIAPLGFASLGLGFGGGEGGGGNAGGAGGGGGGGDVDGVGEVGEAAARAEPPKKVLILMSDTGGGHRASAEAIKAAFIQEFGDDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVVHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSTEVEKRALKAGLKPSQIKVYGLPVRPSFVKPVRPKDELRRELGMDEDLPAVLLMGGGEGMGPIEATAKALGDTLYDEILGEPTGQILVICGRNKKLANRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVPYVVENGCGKFSKSPKQIAKIVADWFGPKSDEFRVMSQNCLKLARPDAVFKIVHDLHELVRQKCFVPQYACAT >PVH64039 pep chromosome:PHallii_v3.1:2:23342715:23343398:-1 gene:PAHAL_2G171600 transcript:PVH64039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREDPITYEELPAEHKQKYDKIKALLKADLIGSFEKTRHHGVRWKGFSPEGALDDVDLSTPSEDRTRALRQEVNYMVAHSLHRHSESLVNAFERVALRVVQEIMKYQYFPMRPTLASHKGELPFQARPPLPYALVALESHSSPAYIVYKVGGDPVDHQYFSKPHKEIPHGYMFSYIPDNNNPVHSVQRAAGGVSGADANKQAWLAAYATGPSHDSTHSAPGALTVD >PAN13984 pep chromosome:PHallii_v3.1:2:50170669:50175108:-1 gene:PAHAL_2G376600 transcript:PAN13984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWRAAAFRISAAAASRNAAAESRVLSRACAKAPTVPPPPLQTLSRTFSKSAAAAAATSTATSRGPGAAASASAGPRAEVRLNSMFLSKPCSLALPPDSPLRAADPQYEGIKRFMLTLLLFYSKQSKAIRGANVVYDRITSQVDAPAIYDVFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYEMYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDAAISPEARQDDLVNIIWRNIYAEEGSEAMDAAAAPAVQALARYTRREATCLSLTDKDAMFSGNFKFTSLLPPTPSPSPKKPAR >PAN14888 pep chromosome:PHallii_v3.1:2:54679305:54682175:1 gene:PAHAL_2G451400 transcript:PAN14888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSQPQPAPGKPHVLLIPYPAQGHVNPFLKLAKALHARGLHVTFVHTEYNHGRLLRARGASAFDAGAGGLRFETIPDGLPSSDLDATQDIWALCEATRRTGPAAVRGLVERLNRTDGVPSVSCVVADGAMGYVVHVAKEMGLPAYLFFTPSACGFLAYLNFDQLVKRGYVPFKDESCFTNGYLDTPVDWIAGMLPSARLRDLPTFIRTTDPDDTMLTINIKQCELDSPAADGILLNTFDDLERRALDAIRARLPNTFTVGPLGPEVAPPSYLPSLTSSLWRGDDRCAAWLDGHAEGSVVYVNFGSITVVTGEQMDEFAWGLAAAGCPFLWVVRPDMVRDGADGGGWALPEGFAEAVSGRGLTVGWCDQEAVLEHPATGGFLSHCGWNSTLESLRAGVPLLCWPFFSEQVTNCRYACEEWGVGMEMPREAARGEVEAAVRELMGAEGKGAAARRRAAEWKEKARAAVALAPGGSSRANLERFIQEIACAKAAK >PAN12569 pep chromosome:PHallii_v3.1:2:43652417:43656401:1 gene:PAHAL_2G274200 transcript:PAN12569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNKAPSITAETINPKVKIFNYEPCGEIVRHAERLQQEMDENPDSLPFPEVIQCNLGNPQVLGQRPITFFREVLCLCDNPDLLNKDEARALFSPCAIRRARRIINSVPGKDSGGYTSSRGIKSLRQAVANGISARDGYLSKPDDIFLTDGASAAVNTMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPYNLTEDRGWGLQIFEVKRCLEEARSAGLTVRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVILADEVYQDNVYVENKKFHSFKKVARSLGYDEKDLSLVSFHSVSMGFYGESGRRGGYMEITGFGDDVKRQIYKLASVTICPNIAGQILISLVMDPPKLGDESFETFEAEKEKIHSSFLKRAKTLEKAFSSLEGVSCNKIEGALYFFPRLHLPSLAIKTAESEGVSPDVFYTHRLLDATGIAVVPGSAFHQASGTIHIRCTILPDEDKIATMIPRLKAFHESFMNEFRGSEPYMNDLRR >PVH64399 pep chromosome:PHallii_v3.1:2:42503545:42506052:-1 gene:PAHAL_2G259500 transcript:PVH64399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALSHDIVGRLMPHYVLWSKTYGKFFVYWYGSEPRLCLTDAAMIKEFLSSKYAANATGKSWLQRQGTRHFIGRGLLMANGAHWSHQRHVVAPAFMADKLKGRVGHMVECTKQTIRALREATTAPSPGGARRREVDIAAYMTRLTGDIISRTEFDTSYQTGKRIFHLLEDLQRLTARSSRHLWIPGSQYLPSKYRREIRRLNGELEAVLTESIGRSREIAEEGRTTSAYGRGLLAMLLAEMESKKDGAAAGGGDAAMGRQQQQQFSYDLQLVIDECKTFFFAGHDTSALLLTWALMLLATHQEWQDRARAEVARVCGDAPPSYDDLSKLTVLQMIIHETLRLYPPATLLPRMVFEDIRLAGGLHLPAGLSVWIPVLAIHHDESIWGPDAHEFKPERFAAGRRPAFLPFASGPRNCVGQAYALVEAKIVLAMLLQHFRLAISDDYRHAPVNVLTLRPKHGVPVHLRPLRP >PAN12129 pep chromosome:PHallii_v3.1:2:41164653:41166545:1 gene:PAHAL_2G245100 transcript:PAN12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIDLSGEELMRALEPFIRDASAPHESSPLLQHHHPLSPTSPFSFHHAAAYGRYPFAGTEGAGQLSPAQMQYIQARLHLQRQTQSSVLAPRAQPMKVSSAAPTPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQQLCQSIAASKKGAKKSAPAAAAATSSASAPTSNCSSPSSDDASSSCLESAAESSCPSPSPSASPESAVPEMQQLDFSEAPWDEAAGFALTKYPSYEIDWDSLLAAN >PAN12571 pep chromosome:PHallii_v3.1:2:43667499:43668640:1 gene:PAHAL_2G274400 transcript:PAN12571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMIVILAFMALIASAASMQMGPSSCGQQQGHEQHRQQQHHPQQQKHQHKQQQHHQQQYIHVQQQQHHQQQKVHLQQQSQQEHQEQPEQQHHQQYQDQVQQQPLQHQFYQQCQEQPLFQQHPCQYGYEQKYSQQDNEKQQMIRCSYNYYSGSQNLNNCREFLRQQCNPLAMPFLQSRLLPPSSCQVLRQQCCQELRQIELGYLHQAINSMARSFTHHQQQEEEKQQPYRFYGSQQASQMVSILMAAQYLPSMCGIYHSCGHNNSCHNKDASGARN >PAN13042 pep chromosome:PHallii_v3.1:2:46035208:46038026:-1 gene:PAHAL_2G309700 transcript:PAN13042 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MAAASLFSPPSALLLSRTATRLSRFQTLAARKPVASTVGGGGGKGGGLLSVLDRALADEEEYRRARAQVQRKGVEVEGYAIEGISVGGHETCITVPSLNVAFDIGRGPQFAVSQDYLFVTHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIRDDVEELLQVHRRMSQIELNVELVALDLGETYEIRNDLVARPFQTYHAIPSQGYIIYSIRRKLKKQYAHLKGSQIMKLKQSGTEITDTILYPEVAFTGDTNSDFILDPRNADALRAKVLITEATFLDDHIDVEHAREHGHMHLSEIMEHSQWFRNETIVLTHFSNRYSLEDIRQAVSRLQPKLHSKVVALTEGFKSEYR >PAN11322 pep chromosome:PHallii_v3.1:2:22404299:22408983:-1 gene:PAHAL_2G169000 transcript:PAN11322 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G48385) UniProtKB/Swiss-Prot;Acc:Q67ZB3] MSDLESVAALMESTSSKIEQLQQAFAELERQSAVSMNLKWKQLEDHFRGLEQSLKKKFDELKEQEKEFQVTVAKSEKMLEQREAAVVAKELTSLERLQEKRDAALAMIFSKSKLSLPVPAINPMDKALDNLGVKWPKPASEESVCLQVDSASLKPCSELVSLCEKMNVKGLHKFISDNRKNLATIREEIPSALKKAPHPYGLVLDSLEDFYAGDNLVLDGKKDGDLLGVRRTCLMLMESLGQLQTDNITCFSSEGHMLTTDIKERAKKTAFEWKSKLDSLDIDASNGNCLEAHAFLQLLATFGISAEYNEDDLCKLLPYVSRRRQTPELCRLLGLSQKMPGVIGVLVETGKPIDAINLAYAFELTEQFEPVQLLKAYLRDVKKVSHAKNAKMSSGAQNEMNERELSALKVVIKCIEEHKLEEHYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSAFAPRATSFTDKSFYPTTPERHPSYPYERQFVYGAEAHHPPMMSSAPYTIQPAPAPYYGNGYPVQYQVPYIH >PVH63535 pep chromosome:PHallii_v3.1:2:3693606:3695314:1 gene:PAHAL_2G050200 transcript:PVH63535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGLGHLTLVEMPKFEAWLDTDVVQGEGPIFPEVEELEIRACGSLTALPKAASVITESSGGVDTKFRSAFPALRNMTLRYLNMFDRWEAAEGTPGEEVTFPLLEDLEIIACPKLTGLPETPRLGKLAIEGGGQQISLQAASRCIPSLSSLRLDVSPDDTETTLLHVKQKWDHELPLAAMRLTRCDLLFSSHPDALALWTCFARLVDLTILNCDALVYWPENVFQVLVSLRRLSIWSCSKLTGHTQASDRQSAPEGGGLPPRLESLQISGCTSLVEVPNLPASLKTLEIAVCGDNLKSIIFGQHEYVMPVGGEGVVQPDTSSLIPGSSGSEATASTAVLKLSSAANHRSLPCLESLFIGCCFRLSEVANLPPSIKTLDIFGCGNLQSLLGKLDVVQKLNITSCRRLESLESCVGELRSLEELRLLHCRSLVSLPDGPQAYSSLRVLQIQDCDGIKLLPRSLRSRLDCLEEKHLDARYEGNLQFPACFF >PAN10568 pep chromosome:PHallii_v3.1:2:8028501:8045291:-1 gene:PAHAL_2G102100 transcript:PAN10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAPPRRQPAPAAAGGAGLWDSARVLLALAVLYGALSLLAYRVIHMRHVAPLGADAPPEEFAEGRVLRHLHRLAVDIPGRQEGSPGLEAAAQYIKGELEGLAARAGPEYRIEVEETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDDPSLLVNGHFDSPLGSPGAADCGSCVASMLELSRLIIDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNSSIGAFINIEASGSGGTDLVCQSGPGSWPSRVYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSMSLKENETSNKAAKDGIEDSRAVFFDYLTWFMVFYSRDVSLILHSLPIAIFFLVPLFLKFPNITLMSWSITLLDLTRGMLLHAFGVILAIFIPAGAAALRLLFTKNAMNWFAHPYLAFFMFVPASLVGLLLPRSIWGLSEQTHFWGSFGLYSVITTAYMLAGLSGGFLTFFISMSMLLGQFISSIIKRQWNQQSPKQIMCRSLVAYVVPMIPCLLYCIYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDIIVGAAVGLVVGWCFGPLAPVATRWLSKTSILQGLLQITVVALAISSQLFPYSTGAPKRVVLQHTYVTDANDVVDSNYGFSVVDANSLEFLFNNAPEAAKWLKDNSELSFEEKYHSDRSSWVALYPVPFLFSGSLKFQAQTDEIRKHYHNFPQLHVQKTWSNNGQRRVHLNLSLGSLSEIWTAVLNVTGPLSNWSFADNMLPAPQTVSGGPPSYICRLSGKSDVDWSFWLEANSSESLRIDVAVLDQHLVDSTKKLKSLFPSWADLTAFTTFFSTYYL >PAN10567 pep chromosome:PHallii_v3.1:2:8028233:8045726:-1 gene:PAHAL_2G102100 transcript:PAN10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAPPRRQPAPAAAGGAGLWDSARVLLALAVLYGALSLLAYRVIHMRHVAPLGADAPPEEFAEGRVLRHLHRLAVDIPGRQEGSPGLEAAAQYIKGELEGLAARAGPEYRIEVEETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDDPSLLVNGHFDSPLGSPGAADCGSCVASMLELSRLIIDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNSSIGAFINIEASGSGGTDLVCQSGPGSWPSRVYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSMSLKENETSNKAAKDGIEDSRAVFFDYLTWFMVFYSRDVSLILHSLPIAIFFLVPLFLKFPNITLMSWSITLLDLTRGMLLHAFGVILAIFIPAGAAALRLLFTKNAMNWFAHPYLAFFMFVPASLVGLLLPRSIWGLSEQTHFWGSFGLYSVITTAYMLAGLSGGFLTFFISMSMLLGQFISSIIKRQWNQQSPKSLVAYVVPMIPCLLYCIYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDIIVGAAVGLVVGWCFGPLAPVATRWLSKTSILQGLLQITVVALAISSQLFPYSTGAPKRVVLQHTYVTDANDVVDSNYGFSVVDANSLEFLFNNAPEAAKWLKDNSELSFEEKYHSDRSSWVALYPVPFLFSGSLKFQAQTDEIRKHYHNFPQLHVQKTWSNNGQRRVHLNLSLGSLSEIWTAVLNVTGPLSNWSFADNMLPAPQTVSGGPPSYICRLSGKSDVDWSFWLEANSSESLRIDVAVLDQHLVDSTKKLKSLFPSWADLTAFTTFFSTYYL >PVH64477 pep chromosome:PHallii_v3.1:2:44051781:44052096:-1 gene:PAHAL_2G279500 transcript:PVH64477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDIHKKYTILNKHRLSLQKNSEQMEFCRIHKKKKFLICFLTDCMVSRCRDSGSSLYD >PVH63592 pep chromosome:PHallii_v3.1:2:4664906:4666608:1 gene:PAHAL_2G063200 transcript:PVH63592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHRAPRRVPASSTRPESALAPLRRTPGKTHLPPALCGPKIPVGAGHACGQIGRAKICNFVATLLEHKRLRKFVSTPGASGRSYRVRPNEIYQGYH >PAN11939 pep chromosome:PHallii_v3.1:2:39116812:39130980:-1 gene:PAHAL_2G231400 transcript:PAN11939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNMQPSGPPQPPRPPMMGSSSQPQNLGPPMPMQFRPVVPSQQPPQFMPPAAQQFRPVGQPMPGTNIGMPGQMPHFPQPGQHLSHSSQVPPASQGVPMAYQPGRPMSSAPMQPQQQAAYPGGHLPTMGAPMQPPSYTYQPTSIPPAVQPWGTAPGQSVPHVTPLVQPGHQLVPATTSLPSVNSSEPSSSDWQEHTAAEGKKYYYNKKTRQSSWEKPVELMTPLERADASTEWKEFTTPEGRKYYFNKVTKQSKWSIPDELKAARELAEKASNQQSDRETGITAASEPSTVPANQSSTAVGLIAPSAHDASANSVPPGAGPSHNVDNTSSSSTVDKQNGGPNTAAFPVTTSTEVQLVATDAGTSRNNNENSSVTTAADTEDGTSAEDLEEAKKTMPVVGKINVSPLEEKTSEEEPVVYATKAEAKNAFKSLLESVNVESDWTWDQTMRVIINDKRYGALKTLGERKQAFNEYLNQRKKFEAEEKRIKQRKARDDFLAMLEECKELTSSTRWSKAILMFEDDERFKAVERPREREDLFENYLVELHKKEKAKALEEHRRHLAEYKAFLESCDFIKATTQWRKVQERLEDDERCSRLEKIDRLNIFQEYIRHLEKEEEEQKRIQKEQVRRQERKNRDAFRKMLEEHVTDGTITAKTRWRDYCSQIKDSQAYLAVASNTSGSTPKELFDDVIEELDKQYLDDKTRVKEVVKSGKIPMTTSWTLEEFQTAILDDDALKGISMINIKLIYDDQIERLKEKEQKDAKKRQRLGENFSDLLYSITEISASSTWDDSKQLFEDSQEFRALDSETYARELFEECVVHLKERLKEKERLREEEKAKREKEREEREKKKEKERKEKERKEKDREKEREKEKGKDRSRRDEMDIDGADVENHGSKDKKRDKEKKHKRRHHDTDDVSSERDDKDDAKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGAHELEDGELGEDGEVH >PAN11938 pep chromosome:PHallii_v3.1:2:39116814:39130949:-1 gene:PAHAL_2G231400 transcript:PAN11938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNMQPSGPPQPPRPPMMGSSSQPQNLGPPMPMQFRPVVPSQQPPQFMPPAAQQFRPVGQPMPGTNIGMPGQMPHFPQPGQHLSHSSQVPPASQGVPMAYQPGRPMSSAPMQPQQQAAYPGGHLPTMGAPMQPPSYTYQPTSIPPAVQPWGTAPGQSVPHVTPLVQPGHQLVPATTSLPSVNSSEPSSSDWQEHTAAEGKKYYYNKKTRQSSWEKPVELMTPLERADASTEWKEFTTPEGRKYYFNKVTKQSKWSIPDELKAARELAEKASNQQSDRETGITAASEPSTVPANQSSTAVGLIAPSAHDASANSVPPGAGPSHNVDNTSSSSTVDKQNGGPNTAAFPVTTSTEVQLVATDAGTSRNNNENSSVTTAADTEDGTSAEDLEEAKKTMPVVGKINVSPLEEKTSEEEPVVYATKAEAKNAFKSLLESVNVESDWTWDQTMRVIINDKRYGALKTLGERKQAFNEYLNQRKKFEAEEKRIKQRKARDDFLAMLEECKELTSSTRWSKAILMFEDDERFKAVERPREREDLFENYLVELHKKEKAKALEEHRRHLAEYKAFLESCDFIKATTQWRKVQERLEDDERCSRLEKIDRLNIFQEYIRHLEKEEEEQKRIQKEQVRRQERKNRDAFRKMLEEHVTDGTITAKTRWRDYCSQIKDSQAYLAVASNTSGSTPKELFDDVIEELDKQYLDDKTRVKEVVKSGKIPMTTSWTLEEFQTAILDDDALKGISMINIKLIYDDQIERLKEKEQKDAKKRQRLGENFSDLLYSITEISASSTWDDSKQLFEDSQEFRALDSETYARELFEECVVHLKERLKEKERLREEEKAKREKEREEREKKKEKERKEKERKEKDREKEREKEKGKDRSRRDEMDIDGADVENHGSKDKKRDKEKKHKRRHHDTDDVSSERDDKDDAKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGAHELEDGELGEDGEVH >PVH64329 pep chromosome:PHallii_v3.1:2:40395093:40397988:1 gene:PAHAL_2G238500 transcript:PVH64329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLVNITLVLSDFLKTLTKHFTDIFQGGKGITDLKPVLQSIFGENLVEKEEFLQTFSKECEYIRDVVTNGNAIKHEGTTESDPTVEIVRVELQGAAAFLYSRLVPLVLLLVEGSTPIDIGEHGWEMLLVVKKTTQESVPKFQLLGFAAVHNFYHYPESTRLRISQILVLPPHQGEGHGLRLLEAINSIALSENIYDVTIEDPSDYLQYVRSSIDCLRLLTFDPIKPALSAMVSSLKETNLSKRTCSLRMVPPADLTETVRQKLKINRKQFLRCWEILVCLSLDSEDRKSMDNFRACIYDRTKGEILGGATGTNGKRLVQMPSSVNEEESFAVFWTQDCEDADDQTVEQQPEDLKTQEEQLNELVDNQMEEIVGVAKNVASRGKDKLAELLAR >PAN14586 pep chromosome:PHallii_v3.1:2:52950080:52950406:1 gene:PAHAL_2G421800 transcript:PAN14586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFLLSVVAIVAIATPTVAILWYPIDINDPYVQEIGKRAVTEHVKQTNDTITFNRVLSGEKQERIGLYSRLIIGASSNDGREGKYEAVAHQTDWGEKQSLLSFKPAN >PAN10549 pep chromosome:PHallii_v3.1:2:7947717:7948379:1 gene:PAHAL_2G100600 transcript:PAN10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTCRSSLLAAALVVAVLVASAEAVCNMSNEQFMSCQPAAAKTTDPPAPPSPACCAALGGADLGCLCGYKNSPWMGVYNIDPKRAMELPAKCGLATPANC >PAN13454 pep chromosome:PHallii_v3.1:2:47867964:47869086:1 gene:PAHAL_2G338100 transcript:PAN13454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAAAELKPRGPLAALSLLLVLVVLVLVGGGADAQEATCAGQLSGLAPCARYSVPPAPGQAPPAPGPECCSALGAVSRDCACGTFAIINSLPAKCGLPRVTCRQ >PVH65042 pep chromosome:PHallii_v3.1:2:52143730:52145878:1 gene:PAHAL_2G408400 transcript:PVH65042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLFIFCSAYIGQHSIGTPAVIPSSAEFHPQCVTNAPVALWRSTSTCGAQCLTTRPRPSVLSRKPSGRRASRSASARGSNSLWVSPRRGARTTHRNRWPDFCRPAATSLSCSSEWVAAPMLPKQRNTTLRSGCVSSHARHSCFSFVPAPAPAPPGPATNGPTQYTGGVLRSPTPGGTCGSLRAPTARGSSDANVFTRMPSGSAFRRIASIVALYSSLSVSWSVSRMRYDAGMGGTPWNSRGASPSSLKLGCPSARIQGSWRNTATAEALAAKKV >PAN10664 pep chromosome:PHallii_v3.1:2:8687237:8691693:-1 gene:PAHAL_2G109100 transcript:PAN10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGTKSKKAVDDVPDQQENKLPDYLELQRTRVVCNADAPIHTQGFQYSGAFAAMGIDNSVSVEKFCKNFKIDIKRLTEDDMEFDMIGVDASIANAFRRILIAEVPTMAIEKIFMADNTSVIADEVLSHRLGLIPLDADPRLFDYISENDVPNERNTIVFKLHVSCEKGSQRITVKSGELEWLPEGSQLSMASPAQSGDKQKTFTSFSQSQKEILEKPLGVKFKDITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVILEQIEGEEAEELVKKCPVNVFDIEDLGNGGKRAVVAKPRACTLCRECVMGPCGEKIQLRRVRDHFIYTIESTGALPPEVLFTEAVKILEEKCERVISELS >PAN12392 pep chromosome:PHallii_v3.1:2:42946741:42949436:-1 gene:PAHAL_2G265400 transcript:PAN12392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTNGLKVYYVPWRPFLMQNTLPTLFLTFPVVRTIIIRERISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSRTSKENTVLRSGISPEKVFMVPNAVDTAIKLVYRKGADLLVEVIPEVCRLFPKDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVRAVTKAIDMLPGINPQVMHLQMKKKLYSWDDVAKRTEIVYDRAVRSLTTNLLDRLPQYLTCGVWAGKLFCLVILINYLLWCLLRILTGIEEVPDIGPLHAHLDSRDDL >PAN13710 pep chromosome:PHallii_v3.1:2:49104585:49108265:-1 gene:PAHAL_2G359000 transcript:PAN13710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFGNGGYSYSSGSSDGEDEDEGTEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLHSKFVALKIQKSARDYAHAALHEIELLSALAQGDPTNSKCVVRLLDHFKHVGPNGQHVCLVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSLLVGLDYLHSELGIIHTDLKPENVLLVSTINPAKDPVRSGFTPILDRPVGSQYGGTVISFSEKMLKMRARRAVAKISQRRVSLGGFAAEVEKERNLDGISLKCKIVDFGNACWGDQQLAGEIQTRQYRAPEVIIGSGYSYSADMWSFACIAFELATGDLLFAPKNCEGCSEDEDHLALMMETLGKMPRKIATSGTRSKDYFDRHGDLKRIRRLKFWPLDCVLVERYNFTETDAQGFADFLRPILDFTPEDRPSAAQCLKHPWLN >PVH63486 pep chromosome:PHallii_v3.1:2:2896836:2899297:1 gene:PAHAL_2G041500 transcript:PVH63486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKVLRLLAVAVAAMALASPVVPVVSGQQVAGPLSCTTSLVSSFAPCLNFIINSTASPTADCCRSLGALMKASSGCACLILTGSVPLGVPVNRTMAVTLPRACNNASVPLQCQDATTSAQTPAPGPVADAPAPSSLAPLPPVTTPVSTPEAEAPAPTTATPVLEPTATPPVSQGQTRPTVVPSAAWRRASGHVWPAVVLLLAGAGVAMV >PAN09940 pep chromosome:PHallii_v3.1:2:4421478:4425974:1 gene:PAHAL_2G059900 transcript:PAN09940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASVSASSAQPCLPCLHSHGNGRAVAAAYAVAACLVAVTFLALAALDPRAQASSWFLSSSSSSSLSSFSSLQPSGGGGASEHLLVTSSSYSDDGDSRNSTGKEVHEEVQVGGDDLLLSLINPSSGRGAPQLSVTPPTAAPELEPTPAALAPPAATESSDEVIEATPQVPRRRDVKLERLELGLAKARSAIMEAIKNKDKRPPLADKDYVPMGPIYRNAYAFHRSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSTEGRFIYSMEMESRLRTRDPDLAHAFFLPFSVVKMVKMIYEPNSHDMGPLKRTISDYIGVLSAKYPYWNRSLGADHFMLSCHDWGPYVSSANGHLFGNSIRVLCNANTSEGFNPSKDVSLPEINLRTDVVDRQVGGPSASRRPILAFFAGGNHGPVRPSLLAHWKGKGQPDVQVSEYLPRGVSYTDMMRRSRFCLCPGGYEVASPRLAEAIYLECVPVVVDDGEYALPFADVLNWDAFAVRLRAADIPRLREVLSAVSPRHYIRMQRRVRAVRRHFMVHGGPPRRYDAFHMILHSVWLRRLNVRIAARG >PVH65394 pep chromosome:PHallii_v3.1:2:56590570:56595261:-1 gene:PAHAL_2G482400 transcript:PVH65394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVCTLYRNQNYTRNYRRFFECLIPSICCPRFQIQNPNSGARIPPPLPPSLLFLLTAVAKHQSFPATAHTPPSIAPCLRGMSSAAALHCRKRRRDDAPGDASSTHRRLLAPSPLPAVRSFGLRIALTSAPHQPCKHCHGESTYSPHLCRCRPRRRLSTSPFPAVRPNSLRVALASYPRRRRRRRNLSIDYAHHPVSTFRRRRSPASRVRRFPGLRPFALRFLIDSGASVPRRRRNPAAVNMGNFFSQLLGKTSSDGGLEVHMERLEGSPEVVDLTLEPDLEPEKVDVVRRTIGDSVPALGSPTPLEKMAPFHNEALEWTKWRDGRLRESAFEDCSKLFTPLTDKDEREVNTLLYGSGDSSEIIVMHGPSNIEITKEKLECLRPHGWLNDEVINLYIDLLKERVEREPKRFLKCHFFNTFFYKKLTCGIAGYDYQSVRRWTTFKKLGYGLVECEKIFIPVHRDVHWCLAIINMKDRTLQYLDSLGGLGHDVLRVLVRYIMDELKDKSNIETDICSWVVKVSDCLPLQHNGWDCGMFMLKYIDFHSRGIEPSFSQEHMIYFRKRTAKEILRLRAD >PVH65396 pep chromosome:PHallii_v3.1:2:56592298:56595261:-1 gene:PAHAL_2G482400 transcript:PVH65396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVCTLYRNQNYTRNYRRFFECLIPSICCPRFQIQNPNSGARIPPPLPPSLLFLLTAVAKHQSFPATAHTPPSIAPCLRGMSSAAALHCRKRRRDDAPGDASSTHRRLLAPSPLPAVRSFGLRIALTSAPHQPCKHCHGESTYSPHLCRCRPRRRLSTSPFPAVRPNSLRVALASYPRRRRRRRNLSIDYAHHPVSTFRRRRSPASRVRRFPGLRPFALRFLIDSGASVPRRRRNPAAVNMGNFFSQLLGKTSSDGGLEVHMERLEGSPEVVDLTLEPDLEPEKVDVVRRTIGDSVPALGSPTPLEKMAPFHNEALEWTKWRDGRLRESAFE >PVH65395 pep chromosome:PHallii_v3.1:2:56592324:56594877:-1 gene:PAHAL_2G482400 transcript:PVH65395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVCTLYRNQNYTRNYRRFFECLIPSICCPRFQIQNPNSGARIPPPLPPSLLFLLTAVAKHQSFPATAHTPPSIAPCLRGMSSAAALHCRKRRRDDAPGDASSTHRRLLAPSPLPAVRSFGLRIALTSAPHQPCKHCHGESTYSPHLCRCRPRRRLSTSPFPAVRPNSLRVALASYPRRRRRRRNLSIDYAHHPVSTFRRRRSPASRVRRFPGLRPFALRFLIDSGASVPRRRRNPAAVNMGNFFSQLLGKTSSDGGLEVHMERLEGSPEVVDLTLEPDLEPEKVDVVRRTIGDSVPALGSPTPLEKMAPFHNEALEWTKWRDGRLRESAFEDCSKLFTPLTDKDEREVNTLLYGSGDSSEIIVMHGPSNIEITKEKLECLRPHGWLNDEVINLYIDLLKERVEREPKRFLKCHFFNTFFYKKDLYFEGLFGVPPNPPRRATLFQPQVWRLF >PAN14364 pep chromosome:PHallii_v3.1:2:51877492:51878819:-1 gene:PAHAL_2G403900 transcript:PAN14364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPICRFPEAPASPRRPAALADDLLEEILLRVACPADLARASAACATFRRLVTDATFLRRYRSLHPPLLLGFIETVSSGFQPAEAPHPNAAAARSIACPADGFSFDYLPPTRWNWNLWDACDVRDGRILLKSSPMCIMGYGGVNFPELVVCDPLFRRYRLLPPIPDDLLASVHVQQQNFPSFEAFLVPSGEEEDETSFRVIGRAHRAIKSVVFVFSSGSGLWSVGTTWDDLNLGGSTLLCRCYAYGYIYWKVMRANKLFKLDINRMVFSVVDLPPHYDERDVIIVEAGKGRVGVFSHIKFATSVYHATQEKEGEIADGCQSEIITHLPASYDFCMVGAAGGYICFVGFPKDRRVDAASFSLQVKTLEIEMVCRMMFQSFYIHPYFGYPPSMSPRRI >PAN15144 pep chromosome:PHallii_v3.1:2:55571031:55575606:1 gene:PAHAL_2G468000 transcript:PAN15144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MPPSLQTLTTPTLLVLVLLTASAVSSSSLPPEDGIRVVSAEKRIDLTSPIVKVYLTLKVENAPSASDASQVLLAFTPTEAQHLAIVKATRAEGKRKKKTYMPLSVEASDLASTALNGARLYSVLLGAPLKPGETTTLEVLYVLTHTLEPFPAEISQSESQLVYFRDSAVLLSPYHVLEQVTYIKTPSNRIESFTRVDPTSRAGTELKYGTYKNQAPNSYLPILVHYENNRPFAVVEELVRKVEISHWGNVQITEHYKLRHGGARHKGVFSRLEYQSRPSISGASSFKNLLARLPPRVHSVYYRDEIGNISTSHLRTDSLKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYINLTFGCPLLDTVVDDLTIKVVLPEGSKNPQPVVPFVTEKHLEISYSYLDVVGRTTVVLKKKNVVGEHNVPFQVYYEFNPIFMLAEPLMLVSAALLFFVACIAYLHMDLSIGKSS >PVH64691 pep chromosome:PHallii_v3.1:2:47696761:47698796:1 gene:PAHAL_2G335100 transcript:PVH64691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MAAAAGLAAATSRIASLGRAGDAAAARAVFDAMPRRDAVAWNAMLTAYARAGRPRDALALFARAPAPDAFSLTAALAAAAALRCHAAGAQLHARLLRLGLRAPLPVGNALVAMYAKCARAHDAERAFREMHDRNALSWCSLLHAYVASGHLRLAQELFDEMPSRNNVAWNTLLMGYSRSGNARQCLILFNKMQMAGLTCDDATLCILVDACTKLAHPSTGFAVHKIVVQNGWNSMAEVSNSLISLYTKFSLLDDAVRIFGSMEVRTIVSWNSLIDAYMKLGYMEQAASLFQSVPETNVISWTAMIGGLARNGCADEALTLFVEMLAHDHIHPDDFTFGAVLHACATSVSLASGRTVHCRVFQTGFASYLYVANSLMDMYAKCGDVESASNVFNGIFGKDLISWNTMLFGFAINGWANEALMVYKSMKSHEVCPDEVTFSGLLTACSHSGLLEQGKMFFESMVSVHGIQPKPEHLSCILDMYARSGNISKAIEILNHCSETVQTRSSDIHEALLSACSSEHLNASVARKVVKDMVRTEPARDAGYIMLSNLFCASGQWSEAERVRRAMAEHGVKKSPGCSWIEVKGAVRVFLSGAQDPDHAGFGCDVLRLLDWEMRNITHCDVQSS >PAN13642 pep chromosome:PHallii_v3.1:2:48790875:48791288:-1 gene:PAHAL_2G353400 transcript:PAN13642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAKRIFHLTNKWERMAALRRKRLAMKSSKEAEGCSTAVAGKGHCVMYTADGTRFEVPLVYLGKTVFSELLRISGEEFGFTSDDGRITLPCDATVMEYILCLLRRSASTEVENALLSSMAIPSLGIASHQHTVCSY >PAN14238 pep chromosome:PHallii_v3.1:2:51306884:51308118:-1 gene:PAHAL_2G393600 transcript:PAN14238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSDKECFAKSGPKHLFEVDWGKEEHRRCAIACLVKGTSILESDRAEGRQGTPDARAPPWWSSFRLRLRKTLLCDCSCRCVLRQRLGANQPFIYGAIFEHVPPESPSSTAPSPAASPPLPRFIVAFRGTKRSLPAAIHDFRDDLSVILSKQDTCERFRRAREEVAELLESNGGSGGGGVWLAGHSLGASVALHVGRHMMVHGELNLPTFLFNPPRVSLAAAWLPEYWCLVKSMAKGAAARTLMRPHDKRMEALFRRLGAWVPELFVHKDDVICRGYIDYFEGREKMKAKCPVILQPLAQSGMKHSFWDMVRNTGGQSADDDNEEQRVQQHLLPSARLWKNSTEIGGLCHAHKLKHWWKPDEELDLSHKRYKYEA >PVH64381 pep chromosome:PHallii_v3.1:2:41884538:41885098:-1 gene:PAHAL_2G253600 transcript:PVH64381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRASGSSLISDEVKLRGAGRRPHAEREVGDRTASSRRGAGVRATLARAVATSERRHWGYRRACLSPPRLYVAWATKLRSIT >PVH63577 pep chromosome:PHallii_v3.1:2:4311155:4313981:-1 gene:PAHAL_2G058600 transcript:PVH63577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLPPTRSTVRGTEVHIARVQKFPNSSGVSASSSSSSAPISYDVVDPDHLRQLVALGGMDMDCFKRCAGAVASLPDDPLAEILSRVPAKSVCRFKCVSKAWRDLIADPLHRKKLPQTLEGFFFDSDRCGDDSVSKDGDCEDSSNDGGGGSGDNWPRLYGHFVAVRTSGSCTTAMASSSLAAPAIRTPQ >PAN12381 pep chromosome:PHallii_v3.1:2:42881312:42882499:1 gene:PAHAL_2G264300 transcript:PAN12381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGRLLVLLAVAGLLAATMAEHEVVARGSDEHDDNVYKVSKGGQGSLKSYQCSPECARRCGNTQYKKPCLFFCNKCCRSCLCVPSGYYGNKGECPCYNNWKTKRGGPKCP >PAN13480 pep chromosome:PHallii_v3.1:2:47996798:48001356:1 gene:PAHAL_2G340600 transcript:PAN13480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRRSSTSSSPSSSSSPTAASSPPSSSWIHIRSLLVAAASSSSSSAAAAGSAVAVVSSAAAATSSSSPASSSPHSDRGGIKSPWSRRKRKRALSREQWDSLFSANGKLRDGGKKFLKKVRSGGIEPGLRAEVWPFLLGVYDLNSSEEERNTVKIKKRKEYEKLRRQCQQILNGCKGNGLKAITEVNNEECSSVEGTAEGSESPCFEDAHAVCTPVSLEELKPERNEAEQPENIVECMEEDTDELNYAYPCIAESESSDSESSDEEDPGRMSVSGEENCDPDPKFTRSTSFKADFFRSSRTSEDFATWQRIIRLDAIRSNSEWILFSRNQAEVSKERALQSAASVGLKDYDHLEPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >PAN14921 pep chromosome:PHallii_v3.1:2:54752467:54753831:-1 gene:PAHAL_2G452800 transcript:PAN14921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSDCPPSSPAAPDSAFLDDRPLFLDQGSCSFFPATTSREPLPPAPGSSAAARKPPRKRPRATRRPPTTVLTTDTTNFRAMVQEFTGIPAPPPFAPHLGPGVLFGAPHDPAAAGTRAPFELLTRRPSPLKLPVAPHASPPAGSFAHSLFPNSNNPDPAGSSSELYSRFAPALSDAVPRYDGGFEAAEDERVGHGDGLFSSLLHAGDRYHGH >PAN13784 pep chromosome:PHallii_v3.1:2:49375364:49379313:-1 gene:PAHAL_2G363400 transcript:PAN13784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDQVAAAGVQHDYLGDTSTSADGGCGGGGGGGDSWGRALLRRGWDLSRKAAIAGVAATAAPVVAPPLLVLSLAGVALSLPFAAYLASLVATDRLMAALLPPPRTQPCRTYDLEDDEFLDASEAHGGEAPAFDYWGEAEDDAIMEEDDSYASLPLSRQCRLSEEPVPAWNDEEDPKSQGEFRLQESGHESLVLDNRAQKEEDSEYITMEAMPPRGFDDSRSAAPELCEEDEKPVQELSVEAPVAAEEPVQELSVSDNGDKTEDGKRTAKEEMESSKEMVLPAIDMDTSEVSGFPLPVLGEVEDDVVVQRAGESEVSVSEVGDNTEEMLNLVSAKSEEMPPQEVDVSESSVRDDNTRQSKMEGDVTVEMVLEEVTVNTNPVTEEVVGVQMDAIATELPECEPLYQSDLVVQEPQAMAEAAYVNDVPESTLTDVVLGIGDKDTKGVEHNGEEDVSGVVSVVTVSDVADLTCSTSAPNVSAISDDMMNVESRPDVDHSNQITGVEHTLATKGLGKKELVEDKSMKTEESKSMSDKVPTRSMAPQDIDVSKPPALDDQSKREDEVTVETVLEEVTSTTDLDTGEVVGVQVDVITSRSESLPLSDLVPQELQPVTEAATVDSIQGSTVRGDFVTDIDDTSTEGVEHHSEGGASSFISGASVVAMDDAEDVMSSRRKPYVSAIREDIKSVEGRPDVEHLHETTGFDHKLTNEGLERKIVAEDKDNCTEEQLREQLDTLITITGYRPATSSTLEAELARLYIFVGVEPPVSSRDASDLTEINMKLQFLKSIIGVE >PAN13786 pep chromosome:PHallii_v3.1:2:49376049:49379313:-1 gene:PAHAL_2G363400 transcript:PAN13786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDQVAAAGVQHDYLGDTSTSADGGCGGGGGGGDSWGRALLRRGWDLSRKAAIAGVAATAAPVVAPPLLVLSLAGVALSLPFAAYLASLVATDRLMAALLPPPRTQPCRTYDLEDDEFLDASEAHGGEAPAFDYWGEAEDDAIMEEDDSYASLPLSRQCRLSEEPVPAWNDEEDPKSQGEFRLQESGHESLVLDNRAQKEEDSEYITMEAMPPRGFDDSRSAAPELCEEDEKPVQELSVEAPVAAEEPVQELSVSDNGDKTEDGKRTAKEEMESSKEMVLPAIDMDTSEVSGFPLPVLGEVEDDVVVQRAGESEVSVSEVGDNTEEMLNLVSAKSEEMPPQEVDVSESSVRDDNTRQSKMEGDVTVEMVLEEVTVNTNPVTEEVVGVQMDAIATELPECEPLYQSDLVVQEPQAMAEAAYVNDVPESTLTDVVLGIGDKDTKGVEHNGEEDVSGVVSVVTVSDVADLTCSTSAPNVSAISDDMMNVESRPDVDHSNQITGVEHTLATKGLGKKELVEDKSMKTEESKSMSDKVPTRSMAPQDIDVSKPPALDDQSKREDEVTVETVLEEVTSTTDLDTGEVVGVQVDVITSRSESLPLSDLVPQELQPVTEAATVDSIQGSTVRGDFVTDIDDTSTEGVEHHSEGGASSFISGASVVAMDDAEDVMSSRRKPYVSAIREDIKSVEGRPDVEHLHETTGFDHKLTNEGLERKIVAEDKA >PVH64511 pep chromosome:PHallii_v3.1:2:44821525:44825015:1 gene:PAHAL_2G290100 transcript:PVH64511 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO2 [Source:Projected from Arabidopsis thaliana (AT3G19220) UniProtKB/TrEMBL;Acc:A0A178VCM9] MTTPANPAPLLSSRPNHAHPLHRRPRLPHPPAAANTTGAASSPDWFRPRRPPDTDPSTSSGGRVAARDPGVRVKAKEGTEEEKGKRRRWWERWSGDKESYLVDDVEPLPIPMTVPGTEPISREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGKETICKCVPCAGIGYVRKITVREDIQKMDELDNGKPP >PVH63798 pep chromosome:PHallii_v3.1:2:8605893:8606531:-1 gene:PAHAL_2G108800 transcript:PVH63798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEGPWEEGADVDDMWLKMAICVRKVVSEVFGVSRGGKQEVKETWWWNDEVQRAIKEKKECFKRLHLDKSATNIEGYRLAKRSAKRAVSVAKGQAFDDLYQRLGTKEGEKDIYRIARTRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTFELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEV >PAN11758 pep chromosome:PHallii_v3.1:2:36610285:36613911:1 gene:PAHAL_2G214500 transcript:PAN11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATGKDEAEIIAEAEARGGDGGGNSPAGDQGQEFESLDSILQWAIGNSDPDKLREKAAELETLSADELLKRQVEIKELMEMLKMPSDAELMKTAIADLNNSSVSLEDRKRALQELLVLVEPIDNANDLEKLGGLIPLIKQLNNADEGIRATSAWVLGKASQNNALVQNQILGYGALRRLVNMGYSSSAVEAAKALYAISSLIRDNEHGQELFLSENGYAMLQHILSTAGNSARLQKKVVSLLAYLADFHLSTGKSQASFLSKPPFHKICS >PAN09448 pep chromosome:PHallii_v3.1:2:1756424:1757864:1 gene:PAHAL_2G024400 transcript:PAN09448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVMASLALKPSPSPFLDRSRFPGVKPSARSSAFRVMAKKAKKIQTSQPFGPAGGLNLKDGVDASGRPAKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYVGGKTGLVLWALSLAGVLLGGALLVYNTSALAS >PVH64020 pep chromosome:PHallii_v3.1:2:21447118:21447523:-1 gene:PAHAL_2G166100 transcript:PVH64020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVESSHDLPTTATKVLPLCCTTICDYYKALIRKYKLSQPARTKIKGKENMVKEDSNGNLTTTSTRVLPLCCTIICDFYEALIYKY >PAN09650 pep chromosome:PHallii_v3.1:2:2806986:2809760:1 gene:PAHAL_2G039900 transcript:PAN09650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHPAPRKRTIAVQRCAAAGALGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAAVDGFSPAGARAHAVEIHPGVTKVVVRDLASGGAHDDDGAAAFELDRWRFRLPPCTRPAMATATYAEGELVVTVPKGAGPDDGDGDGDGDGATVLGGAESVLVLV >PAN14824 pep chromosome:PHallii_v3.1:2:54451770:54454149:1 gene:PAHAL_2G446900 transcript:PAN14824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDSKDMLKNVDWKTVGGAVTTESSQPVIKKRLPKKIRQVPECYFLPRRSWPSALAIYGAVCAAGVGAGMLLEVWINKKIKEDGGIIWEMDK >PAN14035 pep chromosome:PHallii_v3.1:2:50373055:50375552:-1 gene:PAHAL_2G379900 transcript:PAN14035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLRCLPALLYLSVLISKATADANFTVSKAVYYPNSDAKGTETGACEYGAFGATLNNGDVSASASLYRNGVGCGACYQVRCTNPYYCSPSGVTIVITDSGASDGTDFILSQHALAGMGQNKDAGATLLNLGYVGIEYRRVPCIYPGKNIIFKITESSHFPYYFEFEIWYQQGNQDIIAVQLCEIVNLTCQLLSRTHGAVWAAVSPPSGPLSIRMLFSSGAPHGGSQKWLVPTSIIPQNWTAGATYDSGVQVQLQ >PAN12723 pep chromosome:PHallii_v3.1:2:44561170:44565056:-1 gene:PAHAL_2G286600 transcript:PAN12723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKNGAAASERRLLSRRILLLCFASFFLGMLVTDRFGSVPSPIVVPRRLHERELQSLSQEFVARPKPADDRDIMGEVSKTHEAIQYLEKSIDTLQMELAAKRSSNELHGESAGGISKQRKRAFVVIGINTAFSSRKRRDSVRETWMPQGEKLKKLEEEKGIIIRFTIGHSATSNNVLDKAIDAEDEIHHDFLRLDHVEGYHKLSAKTKIFFSTAVALWDADFYVKVDDDVHLNLGMLVATLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNVCVASFDWKCSGVCNPVERLKYVHSRCSEGEDAIWSASF >PAN14233 pep chromosome:PHallii_v3.1:2:51275749:51277767:-1 gene:PAHAL_2G393100 transcript:PAN14233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPKPLRRRWALLVVTLLAVVAAAVAQDYGDDDEEDEKKKPQYKAQEACNGVFLSYTFMERTKEYPHLKNATAQPYAFKATATVLNTMTEDLKAWQLFVAFQHKEILVSVGGAVLLDGSDFPANASGGVTFAGYPMADLLNSIETAGEMSLIESKIEITGTQFGVKDPGTPMPKTIKLTNPAGFRCPAPTRKGSVMYACCVKDRKFKAKKANSTRFQTRQKADLTFAYDVLQATANNYQVQVTIDNWSPISRLDNWNLTWEWKRGEFIYSMKGAYTLLKEGPACIYSPAAGYYKDLDFTPVYNCEKRPVIVDLPPEREKDNAVGNVPYCCKNGTLLPPTMDPSKSRAMFQMQVFKMPPDLNRTALYPPQSWKISGKLNPQYTCGQPIRVSPQEFPDPTGLLSSTPAVASWQVACNITRPKKRASKCCVSFSAFYNDSVVPCNTCACGCGSDTATCDPDARAMLLPPEALLIPFENRTAKARAWAKIKHWRVPNPMPCGDSCGLSINWHVINNYKSGWSVRMTIFNWQDYTFKDWFAAVTMGDHYSGYENVYSFNGTRMDAPFNNTIFMQGIQGLTYLEPITDGKSSADPRVPGKQQSVISFKRKDAPNINIGKGEGFPKRVYFDGEECALPDRIPKVNSAHRRAGAPSLGQVAMAAALVMAVALLDSVRL >PAN09999 pep chromosome:PHallii_v3.1:2:4726880:4729020:-1 gene:PAHAL_2G064300 transcript:PAN09999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSRLAGSARWISVVAVAILLGGLVLVSFVVERNGKSSLPVSFAAVGGRRMVIGTNGGLADQRRTLKNLRDGDDPLSSSKRRVPNGPDPIHNRGAGESGRSPGRA >PVH63416 pep chromosome:PHallii_v3.1:2:1633277:1634131:1 gene:PAHAL_2G023100 transcript:PVH63416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASGLFATALVMALMLSSSSHAARRLADDTTPAAAPAAVPKPPLPTVPAAALPPMPAVVPAATLPPMPAVPTVPSTTLPPMPAVPTVPNAAMPPMPAVPAVPKVTLPPMPSVPKVTLPPMPSIPGVPMPFLAPPPSA >PAN12286 pep chromosome:PHallii_v3.1:2:42089489:42096484:-1 gene:PAHAL_2G255800 transcript:PAN12286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSAASPDLSLHISLPSSAPGSGPSGGRATVGGAGGGGGGAGGDPWRRLNGSTASTELSLSPPAEQEHEDALPWRHRPSPAAASSAATTSAGAALMPTMPMQQPLDGGGGGASAAAPIRGIPIYNGPGGFPFLPPAAGGDGGHHQKLGFYGSYHPSTWPSSLGSTSPSPLAPGAPPAPLDPASAFLSPAAHHHHRMLSASGRLNGMLSDTLRGYGGGGMGGLGGLGGHHHHHHLHGAQPFGLGSRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPNDGGSGDEDFPGAGQAASGGDNMCGARPFGEHRSTSEGAASSVGGGDMDQSSAGNTSTRWSNSSRDPWLSSNSCNMDAHRLAGLSSPIENAEPCRSSSSQVSNHELSSPSLEFTLGRPDWQGADHD >PVH64314 pep chromosome:PHallii_v3.1:2:39975010:39975583:-1 gene:PAHAL_2G235400 transcript:PVH64314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISGTGRSKSSTVFAFLEGDMHSTGEGEQSLWFRFRFSSGSAHLLSERHPGRIPMIIRSSTITSRVLISARAGRRATEASPDRCRSMPL >PVH63461 pep chromosome:PHallii_v3.1:2:2347227:2348809:-1 gene:PAHAL_2G033600 transcript:PVH63461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVSAAACCAAATPANEVVEDLFGFLRVLSDGTILRSPAEPVFCPTTFPTSHPSVQWKEAVYDKAKNLRVRMYKPATSADGDAAENKLPVLVHFHGGGFCLGSCTWGNVNAFCLRLAADAGAVVLSAGYRLAPEHRLPAAFDDGASFMRWLREQAVNAEAEADAWLTEAADFGRVFVTGDSAGGTIAHHLAVRAGSAAAEPGETTADPVTVRGYVLMMPFFGGVRRTPSEAGCPAEAFPNLDLVDRFWRLSLPAGATRDHPASNPFGPDSPDLGSVDLRPVLVVAGGLDLIRDRTVDYAERLAAMGKTVELAEFAGKAHGFYLHEPGSEATGELIQAVVRFVDGCVAATEAAA >PVH64062 pep chromosome:PHallii_v3.1:2:24611817:24612431:-1 gene:PAHAL_2G175500 transcript:PVH64062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGNLLAITQTSMKRMLAYSSIRQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFVLRTGTDNIQDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNLILAIAQDTLF >PAN12998 pep chromosome:PHallii_v3.1:2:45887357:45888863:1 gene:PAHAL_2G306500 transcript:PAN12998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGEMRLPSFTLHRLPTLADHLGGGDRRAAAIPNPIAGHPLYHPSPSFFISATDVVLRDILFDASSASAGGGTLPTTAPGLPARSRSTRPACARPSSPAAGSAPARTPSSGSSSSGSGSSTACAPSSASATGTAGSTSTASEEPLPLEPAMVRHWHKAGGTAIGASRGGFDLARIVDGIERRGFNQVYAVGCDGTMRGLVEILREAWRRGLAVAVAGVPKTVDNDVGIIDRSFGFHTAVEEAQRAIAAAHVEAESAANGVGLVKLMGRSAGHIALHATLSRRDVDCCLIPEEDFYLRGPGGLFEFLHRRLKRNGHAVVVVAEGAGQRLIPRHTGGEQRRDGSGNPAFLDVGAWLKAELRAWWAEEHAGELLTVKYIDPTYMIRAVPANAADSLYCTLLAHSAIHGAMPGYTWFVPGPINGNYGYIPVEEEAEARNPVDTRDHKWAWVRSVTNQPTSFRARRTRPLPASTRLYEFATWIQIKSFKFQVPTTCLSSYYCL >PVH65329 pep chromosome:PHallii_v3.1:2:55394514:55394869:1 gene:PAHAL_2G464600 transcript:PVH65329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETSTQPSKFKVSTFQSNPATVQSKLKFTQPAIVLYIYIAR >PVH65328 pep chromosome:PHallii_v3.1:2:55394250:55394875:1 gene:PAHAL_2G464600 transcript:PVH65328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETSTQPSKFKVSTFQSNPATVQSKLKFTQPLIVLYFHRKDHSRVQSLNHI >PAN10053 pep chromosome:PHallii_v3.1:2:5077525:5079671:1 gene:PAHAL_2G069200 transcript:PAN10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPAAEPPPARPSSSHLWRAGGVLQPISRSQVLLQGPRRRHRRLPGGVVRAAPDAPPVVRAAVSAVTELLRALSPNKTPRDAAEQGEAKDPPPCGSVEDVLAVLRDDYRRAYFLTGDFSRGIYTEDCLFEDPTIKFRGLSRYSQNLDLLVPFFNSPSLELESIETGLRVETKFVKATWKLRTYLRLPWRPLIAIRGNTTYDLNEDYKVVRHSESWDVSALEAIGQIFVSAREQRKGSRG >PVH64468 pep chromosome:PHallii_v3.1:2:43894729:43898293:-1 gene:PAHAL_2G277100 transcript:PVH64468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTLEALNTKQEPGLGRPTKAHPPPPPHFPTDGRGGHTATASLAILPVLPPPSSAGEALLSHSAPHQIQPHQLDPMAVRFLLAVALALLLRPGEASVHEYRGLGFANKGNAFILHAGSEGLYAASPANATATANDDEDAAAVADSFIRFDKITFRRPEDAVDSVKETSSAKVQVLVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACIEGSVIYRPSQVNPGWPKLFVASFDGSDLIATLPSRTIPITKTGMYNMYFIHCDPSLAGLEIEGKTIWKNPTGYLPGRMAPLKNFFGLMSFAFVVLGIYWFYQYMKSWREVLPLQNCITLVITLGMLEMALWYFEYAEFNETGIRPKGITFWAVTFGTVKRTAAEVIVLIVSMGYGVVTPTLGGLTSKVVMLGGTFFLATETLELVENLGAVNDLSGKARLFLVYPVAILDAAFVVWIFISLAKTIGKLQARRLMTKLEIYRKFAIALIVSVLVSVGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVICYLWAPSQNSMRFAYDASEFFDRKDNLSLIRPAPSASKNGWSLSSTPDDKATKNVNTETSFDGDDEENKRE >PVH64490 pep chromosome:PHallii_v3.1:2:44330405:44331746:-1 gene:PAHAL_2G283300 transcript:PVH64490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGHVAPGAGFLLIGLWQLFSHIRLFLLRPSSYSAPVWFPVRGVRHLELILIIVGTVISILMELVIGPEKHQPFDDDGTIPSNHLHNFEHASISLALLVFAAVTIHLDRVRAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTLLGIPYPRSFTVSLVRSASLAFQGVWFIVMGIMLWTPALIPKGCFLNREEGHDVVRCRTDEALDRAKSLVNLQFSWYLTGTVVFVVLFYLHMAKLYPEEPQYLPLVKGGGGGGGGESDSRFSIGDDHDEEDDLEAAKRGFGHVVSGTKPVEIER >PVH64320 pep chromosome:PHallii_v3.1:2:40143643:40146583:1 gene:PAHAL_2G236900 transcript:PVH64320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANSSLPTRLPMCFPSRSRQFSERAPRKGAPVVPRISFQRVLGNQHRLPSFQCYRDPPSLQPARGIPSSAAATPFRPSTGQIPFVISVSSASCCIPSPTLSLESKLLLPIDCRWLLDPLPG >PAN15008 pep chromosome:PHallii_v3.1:2:55034457:55036565:-1 gene:PAHAL_2G457600 transcript:PAN15008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEEDIDDKFYQFPDHPALLNAQQPYAQILLSDESATNSNSNSSFRRDRCQLHPHPTPPHPPNPPGPTTQSSSPSCSAPRPTPTRCSEDLKNTIGKILGVAGVTLSNPRLMLPAVIFGLSDHFQNNISISEVYCGSWWGLDACSYG >PVH64149 pep chromosome:PHallii_v3.1:2:33547542:33549682:1 gene:PAHAL_2G203300 transcript:PVH64149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVPSKKSIEKVLRLGVSAPFLDTTGGQIKIGEPFCNFYFKILLGLFLSVIYVAMVILVPVEIAFHLAPTVFPLDITYFDPPIQGRLSPTTRRATW >PAN15023 pep chromosome:PHallii_v3.1:2:55083594:55086905:-1 gene:PAHAL_2G458700 transcript:PAN15023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKRLHGCLLLLVFLLANASGSHAETTVDSEEGKRRSLATGSGMFCVAMQGADPAALQAGLNWACGQGHADCTAIQPGGPCYKQNNLQALASYAYNDYYQRSAKTSTACDFNGTATTTPTDPSSGQCVFTGSSMAGGTPAASAPSGLSPFTPGTGGFGNGSSSFGSPTSSLVPFDSAESLLSRARWALCALLLALPLFFFL >PAN14149 pep chromosome:PHallii_v3.1:2:55083594:55086878:-1 gene:PAHAL_2G458700 transcript:PAN14149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKRLHGCLLLLVFLLANASGSHAETTVDSEEGKRRSLATGSGMFCVAMQGADPAALQAGLNWACGQGHADCTAIQPGGPCYKQNNLQALASYAYNDYYQRSAKTSTACDFNGTATTTPTDPSSGQCVFTGSSMAGGTPAASAPSGLSPFTPGTGGFGNGSSSFGSPTSSLVPFDSAESLLSRARWALCALLLALPLFFFL >PAN15060 pep chromosome:PHallii_v3.1:2:55223923:55234272:1 gene:PAHAL_2G461100 transcript:PAN15060 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MAGLDGGGWGGYDDDDDDWGLSAEQLDQIERDAIRQLAERKASSSAASTAPVTAPALGAVSPLPSRATAPAAAASSPLGGNHPAARASLEARFVKVEAVSPSRSCDSNTSRNAVNNSQGSSPKISVNLFLHSSGVIAAKFPYNQLLVDAFRNIPKASWNAKERVWMFPPSSLSTAEEVLCSLPGLAVEVHKLDPLVQRALLAASSTKDLRDLYDRIPADVESKLMPFQREGIRFVLQHGGRALIADEMGLGKTLQAIAVASCLHDFWPVLVISPSSLRLHWASTIQNWLNIPMEDILVVLPQTGGSHKAGFRLVYSNTKGDICLDGVFNVISYDVVPKIQSTLLDSDFKIVIADESHFMKNGQAKRTIASLPVLQKAKYAVLLSGTPALSRPIELFPQLQALYPTVYKNVNEYGNRYCKGGFFGMFQGASNHEELHNLMKATIMIRRLKKDVLSQLPVKRRQQVFLDLSEKDVKHVRPIFQELETLKIKIESADSKEMIDSLKFTQKNLITKLYTDSAVAKTPAVLDFLGTIIEADCKFLIFAHHQPLIDAIEQYLVKKKVKCIRIDGQTPVTTRQTLVADFQNKDDIKAAVLSIKSGGYGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNVYYLLSHGTIDDLIWGAVQGKLENLGQMLDGQEKTLDISQIDSRPSPSKQQKTLDGFLKRRSNSTEGQPSTKHPRF >PVH65182 pep chromosome:PHallii_v3.1:2:53918267:53922599:1 gene:PAHAL_2G437300 transcript:PVH65182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDDCPPLAVALPPRVPSPPAPAPSDASPVGVTVITGYLGAGKSTLVNYILNEQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCSVKHSLVQALEQLVQRKDRMDHILLETTGLADPAPLVSVLWLDDQLESSIRLDSIITVIDAKNFRVQIDEHKNFSSFPEAFHQIAFADVVILNKIDLVKGNIEDLEKHIHDVNALVTVVRSVRCQVDLNEVFNRQAYGAKNSSHLQELLDYSKSIPPDRRHDNSISTLCIYEQDPVNLAKVESWLEDLLWEKKSTLDIYRCKGILYIHDSDQVHTLQAVREVYEVMPARKWLETESRMNKIVVIGRNLDINALQDSFSGCKG >PAN15485 pep chromosome:PHallii_v3.1:2:57208293:57209272:1 gene:PAHAL_2G491500 transcript:PAN15485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYGRERYGGYGYGGLATPGYAPPVPYGMSQVNIEGHGCGRPLPPQPTVKVYCRANPNYAMTIRNGRVVLAPANPKDEYQHWIKDMRWSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPDFLDESVLWTESRDVGNGFRCVRMVNNIYLNFDALHGDKWHGGVRDGTEIVLWKWCEGDNQRWKIQPYY >PVH64060 pep chromosome:PHallii_v3.1:2:24483405:24484985:-1 gene:PAHAL_2G175200 transcript:PVH64060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQHPPAPHSACPRRRSEWPCPLPPLPYRLHQLRPPAAAVHIRTPARQPALHGSASRPTAGPGTSAAIPTARRRGLSSKRRGPNHPQATTAVPATSAARHRRE >PAN10725 pep chromosome:PHallii_v3.1:2:9313134:9319864:-1 gene:PAHAL_2G113600 transcript:PAN10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTVAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVNEIKGGVAGGSILKGVLRVNQKIEVRPGIVMKDENGKIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTSGTERASRVSKLAKGEILMLNIGSMSTGARVLAVKNDLAKLQLTAPVCTSKGEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >PVH64415 pep chromosome:PHallii_v3.1:2:42738000:42739090:1 gene:PAHAL_2G262800 transcript:PVH64415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIILPRMPALLPFAALVDAAALAATVVAFFQRSGQEGECLSPSRAPDSPARLPHLSTKAAALIVCLWPHLQQPHVAYLHGFSEDLWLLSSAGPDDFVPKFFV >PAN13290 pep chromosome:PHallii_v3.1:2:47087964:47090078:1 gene:PAHAL_2G326300 transcript:PAN13290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFSLPSYVCTREGPDHAPCFRAAVTFNGETFEGPSGCTTLRQAEHAAAEVALARIALRGPSTSLAARVLDETGVYKNLLQETAHRAGLKLPAYTTVRSGPGHSPVFASTVELAGMSFAGDPARTKKQAEKNAAMAAWSSLKRMPEARKEPGAGDEQDHVIVARVLAALKPRGDGKAAPLPKQCGTGSSPSVLPNPSLYRHQWRPRNTPAQPPRTAPPQPPVGPRILPPLHLLQQPASSSMDAAAAELVRMLERAIVRDRAAAEALPPSPCYYAPAPAYHHGAAPRSFAADGFHAPAVSVRSVIPVCAAPPPRPPAKQERNGPATSSYAGKRV >PVH63358 pep chromosome:PHallii_v3.1:2:806692:808036:1 gene:PAHAL_2G011800 transcript:PVH63358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYGKRVFVAGVGWQQQVWQHVAARSLTVERGGPARTS >PVH63934 pep chromosome:PHallii_v3.1:2:13038899:13040715:1 gene:PAHAL_2G139000 transcript:PVH63934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLGLLCPTCQSSRCPHLPAGKVPSCLAFFPQSSTGAGQSRVEQRRPLNNFRLEQNSGADQMAPPSSSPGVRVVDRIRVSPPPPSNSAREPALPLTFFDVAWLFTGPVERLFFFRHPDPASVLPLLRSSLSVTLRRFYPLAGTIRPHPPFLCSYTPGADALTLVVAESDSPDDFDRLVARSPRDLAGIRPLVPQLPPPGEDGAFSLAAVQATVFPGRGICLGVSVHHAACDDTSTMHFVRTWAAACRLGLESDDGSEDAALPPPPVLYRSLVADPDDLRGKTLAGMARLAPPPPPQEEEEEEKAPMVMASFLLPRHQIDRIKEGAAAKSDAKPSSFVAASALAWVCLLKSGSSGVAGAGRSHMLFSAECRTRLTPPLPAEYFGNCLRPCFVEAATADLLSGETADGVAAAASAIRSAIREMEQGVLEGAEGWLGRVLSVLPERPMSVGGSPRHGVYETADFGWGRPARVEMVSVEKTPGTVALADSPEGDGGIELGVVLPTDAMDAFASCFADALGGATI >PVH64037 pep chromosome:PHallii_v3.1:2:23213841:23214399:1 gene:PAHAL_2G171000 transcript:PVH64037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLPVPILFRRTTPTRTRKSHRRTNQRLSRQPLLRRRRRRELAMCALVRNTLLESVRTAKAITPPTWLLASLEEHRDRQDFLLADGERIACACSWCWYGKSEVYFGEDRAAEERAACPHHQEEFSQRLSTV >PAN10015 pep chromosome:PHallii_v3.1:2:4828691:4831747:-1 gene:PAHAL_2G065800 transcript:PAN10015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIDHSRASGMAPPSSRSRPGGGGGVLPPLTLDAVYEVLLRLAARELCRLHAVSRPWRALLSDPQFVSAHAACHPGPLVVACYGPSSGYPEGDILCEIMDLSGRVVKRIRAMGDGIRKDWVVSAQLDFVQIVREMSSYRLLDPATGAIFALPEELADEDTALQLDMYKYRVGVAFGKVASTGKVLHVSVNTFVKPSSSEQLFEVFTLDGSSDARWRANKGPPHPIDVRLNSTAVVNGIVYFFVNEDYWYDCVKVKFIASFDLETEDWRKTLQGPLFRFEGSFPDLPSNHINFNQLSLASLNGCSWDVWFGLEELIISPQAPHMERFITSLK >PAN13842 pep chromosome:PHallii_v3.1:2:49590101:49592402:-1 gene:PAHAL_2G367400 transcript:PAN13842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTACLRPLRLLFVVFVSFAFVARCGGARMLRPEELRVRHHHHSSSDPYYSTPVMPPYGDVFGPPNPPPPPGSPNCGLTPDAPPPPSATTVPAPAFVYSSPPPPETDYYYPPPYISPNPPDISPSPPPTDTPQLPPIVYPSPPEITPSPPEVAPYPSPPEVAPYPSPPEVAPSPPEIAPYPSPPEVSPSPPEITPYPSPPEISPSPPEISPSPPEISPSPPEIVPSPPSYEPSPPSYEPTPPSIVPSPPEYAPEPPTYEPSPPEYAPEPPTYVPSPPAYYAPEPPAYVPSPPIYAPYPPGIIPSPPEYAPEPPGLVPSPPEFAPEPPGFVPSPPIYAPYPPGIVPGPPENAPEPPGAVPSPGGGFMPPVVFPPPFATPSPGGTVGSEWCVAKPSVPGPIVQQAMDYACGSGADCDSIQTSGPCFRPDTMVSHASFAFNSYWQRTKANGATCDFGGTAMLITKDPSYDGCHYILM >PAN14480 pep chromosome:PHallii_v3.1:2:52399339:52403530:1 gene:PAHAL_2G412400 transcript:PAN14480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MASRVAAMALAGARPKAHAPSPTDNTKLPAWRAQPSLNRRGRGTRGLIARASHRPSFGAEEGVIQWLRSAAAALAIAAQISVSLPAEAVLYSPDTKIPRTGELALRKAIPANPNMKIIQESLEDISYLLRIPQRKPYGTMEGDVKKAMKIAMDNKEAILGSIPAEHKEQGAKLYTTLLEEKGGLQTLLKYIKENDPDKLSIALASSLDTIGELELLQAPGLSFLLPQQYLEYPRLTGRGVVEFTVEKGDGSTFFPTGGGEPKSVATIQVVIDGYSAPLTAGNFAKLVLDGAYDGVTLKCASQAVIADNETGKKGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGRDVLSQIKTGDKIRSAKLVQGTERLVLPSAAPEES >PAN10346 pep chromosome:PHallii_v3.1:2:6401412:6403028:-1 gene:PAHAL_2G088200 transcript:PAN10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAAATQRRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRSPPVPIIAEVDLYRFDPWDLPERALFGRREWYFFTPRDRKYPNGSRPNRAAGTGYWKATGADKPVQHGGRTMGIKKALVFYHGKPPRGVKTEWIMHEYRLADAGGKKPGNGSLRLDDWVLCRLYNKKNEWEKMQQQKEKAMELEASLSHSHSDTRTPESEIDDETFPELGSLPEFDDMGPAPALAPAGAVLPKEEVQDFGNLGGDDWLAGINLDYLQMPGDADFFGNMLASPMAPKAEQDGGFPLF >PVH64761 pep chromosome:PHallii_v3.1:2:48811539:48812200:-1 gene:PAHAL_2G354200 transcript:PVH64761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAKRLVQAALARKRLTSTPMKETEGPCCTSTSVAGKGHCVLYSADGRRFEVPLVYLGTPVFAELLNMAREEFGFTSDGGRIRLPCDAAVVEYVICLLRRDASEEVVRAFLSSMVWPCHTVNGMAPSMGLMRHSAVCV >PAN12339 pep chromosome:PHallii_v3.1:2:42575687:42590358:1 gene:PAHAL_2G260400 transcript:PAN12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKARKQKGDGGGSGGGATVLHQKLCVSIDMENQLIYGYTEIKVLLADNDTFALHADNMTIRSILVDGETAEFDYSPHWKNECDQPNWSSISCLKTAADAACSTYTSALNREAVPNLIVSYERSVKSITEQQLEENSEKHEENSGRLEEHGLKPVQASDNQIVNGCNGSAVEEQKEKENGNEKGKENGIETENEKVKNIKLVHIDYILEKAETGIHFVGNVLHSSSQIRRAHCWFPCIDSTTQRCPFDLEFTVSMDLIAVSNGDLLHQVLSKEDPTKKTYVYKLNTPVSAQWISLVVGPLEVLPDSNDTSVSHICLSPALSKLQNTIAFFHDAYSCYEDYLATPFPLGLYKQIFLPPEMTVLPTSLGASTCIFSSDILHDEKVIDQIIGTRIKLAYALAKQWFGIYTSAEEPNDEWLLDGLAGFLTEVFIKRFLGNNEARYRRFKANSTVCESDVSGATALSSPAASSDLYGTQTIGSYGKVRSLKAVAVLQMLEKQMGPDSFRKILQVIVAPNRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKKRNMIELAVSRGCTAKATPDSDSHINGDIREGDAGWPGMMSVRVHETDGVYDHPVLPMAGEALQVVEIQCHSKLAAKRVWKSKKSTKFDGSDDNIDVSTQENRTSIDSPLQWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKLPQLSSAVINALNNFLNDTKAFWRVRVEAAYALAVTASEATDLAGLLHLVKFYKSRRFDTDIGMPRPNDFHDIPEYYVLEAIPHAVALVRSSDKNSPKGAIEFILQLLKYNDNNGNIYSDVYWLSAMVQSIGELELGQQGMGFLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIARRVSSSICLDRICVLIAPFRNMDKPWKVRIEASRVLIDLELHHKGLDAALLLFLKYVDEEKSLRGATKLAVHVLRLCQASTVPHFNDQISLTTLIGLLHLLAGAKAYNNVFLRHHVFCIVQVAAGRSPTLFGVPKVVTPALVAQDICSDQHTKADSSIPQPSRPQEPSTSTPSVREVLPTSGPTKDADNISNCSERRNVVKIRVKLTASSSKASDADQRVHSHGGRNENEAGPCSSMSVDAPMVGAATEPLNVSNHNIEEQNSCHDRESRMSASVGNAKLMDRHEISKELQCTADSRLDVLPKDQFSPAVNPLGVVDKPGSQLEVVSTSYDGNQAPESVSGVETKERKKKDKKDKKRKRDEKRDKKDDPEYLEKKRLKKEKKKMEKESARKQLEGEEKATLEERKIVKPSGLQEVLPARPPTAPVRSAEPAPSQSAEPAAVRSSEPQISSKETTVDTARTAAKPRIKIKVKPLLRKPEGS >PAN10227 pep chromosome:PHallii_v3.1:2:5953285:5953839:-1 gene:PAHAL_2G080100 transcript:PAN10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQALFCAAALLLLVPHACVASATLVQDQCERYAAGDRSSYDYCVWKLGRDGGSASADARGLAAIAARMARASAKATGDRLAGMRANETVPARRDCLAACAAEYAAAVRRLGRAARGAARGGGAGLQRAQTLLAEAAGTPARCDGAFAAAGQHSPLAGADRGLDDEIELALSLLPSTPPVRP >PAN13294 pep chromosome:PHallii_v3.1:2:47112404:47118378:1 gene:PAHAL_2G326600 transcript:PAN13294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAARRQLGSGPMLGQVLRRLRPAARGYSAAAKEMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVIFLENELLYGESFPVSAEVLDSSFCLPIGKAKVEREGKDVTITTYSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAATA >PAN15059 pep chromosome:PHallii_v3.1:2:55220336:55223141:1 gene:PAHAL_2G461000 transcript:PAN15059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPTGDDPSAAAGISFPDVDGGGGGGGDSEDADFAGGHLLDPTDPSLPNPTTSSATGLPHAVPTGGSGGGPVTSGNGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRESGATFSFRSPHEQAIFEIARNIWRPTNKHGRDPSADSDDEDAAANTSPNGEVKSPSSGRQRRRRRATDFAAAAGTAPATSMVQPSQPVQLSVSVPVKMEDSLPALPQNPMPVTVTMDGSEPLRLPVMSPQSGVSDAEKSCLTPLLKEMIHAVINVGTNPCGAKLPEPPLGLPMEGEKWRKQRILELEVYLKRIELLQDQAKATLEELKSSTRGT >PAN14943 pep chromosome:PHallii_v3.1:2:54835909:54839854:1 gene:PAHAL_2G454100 transcript:PAN14943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRGSSCCALCEGSNLPSCCAACVNARLVEYHTRLRMMKSLRDSLQARIAARHEAKSKADEQRIRRVSKNQDIMELRDRLTELKRKTAMEKTKVQHSSSDLKAQTASLNLAFVTLKKRRADAVTMHTNAMKVAQMNLMATTSECLKMQSKSVKQLCRLFPMRRIIKEGEKKEDYSGPYDSICGVRLPRGLDPHSVPAEELSAALGYMLHFSNIAVRILSAPALHVSGFKGSCSQIWQRSSYWSTRQSQSKVYPLFIPRKNVCTGGEESSLTESGSANFGVDSMDSVKKVSFDSKRSNSFNFSGASSHSMERHQYLQRGISLLKTSVTAITTYYYSSLGLDAPSNLSTFEAFAKLLHMLSSLKALRAALESNIASRSEKQAQQLNKSIWKASSAISSESSFMDSIHTAIMPSTLDNLLLNSNESFLYTGKLVKHGGVPDSILDGWDLVEREVLPPPPSQTENVAQWERAMYAGTKKK >PAN14562 pep chromosome:PHallii_v3.1:2:52823283:52824435:1 gene:PAHAL_2G419900 transcript:PAN14562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLQSLFSPLKKLWVRMHSAQHKKRGIYILYEDVKSCPCEDVQILWSILVESHPPAAPPLRLKH >PAN13968 pep chromosome:PHallii_v3.1:2:50114362:50116594:1 gene:PAHAL_2G375800 transcript:PAN13968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHVLVFPFPVQGHINCMLHFATALVGAGVHVTFLHTEHNLRRLGGRASASSPAGSPRLRFLSIPDGLPDDHRRSVADLFELYKSLAAEAMGPYRALLTPSPTTGSRAADVGVDPTGGFPPVTCLVADGLLPWAFHAAEELGVPAIAFRTASACSLLALLSVPKLFELGELPFPAGGDLDESVRGVPGMESLLRRRDLPSLCRRPNETDDVDPALHELVKLSAHSGKARALILNTTASLEQSALAHITPRMRDLFAVGPLHAMSPAASCSSLLREDDGCTAWLDCQADRSVVYASLGSLATISRDQFTELFSGLVAAGYPFLWVLRPDMVEASQDAALQEAARGAGGSKARVVAWAPQRDVLQHRAVGCFLTHAGWNSTLEAVVEGVPTVCWPFSADQQINSRFVGAVWRTGLDMKDVCSRAVVEKTVREAMESAEIRRSAQALAQQVRRDVAVGGSSATEFDRLVKFIKKLNTSSAGLGQ >PAN14450 pep chromosome:PHallii_v3.1:2:52304676:52306620:-1 gene:PAHAL_2G410800 transcript:PAN14450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYERTLKAAAAAAAALLAAAGVRFLGPAAAAFVAEELPRARAAAATWLTPPYLYLVINAIIISIAASSRFQPSASGGDRPSASPYASAAAASSAGVAGVSEEETEQDGIQPAVALQVPAPVVAMPVPAVEEPVVEMNTAAVVPAPSPVEEDEEFSISRSSWTPRRRGAEVEVEVEADAESEVAPFADLTNSREKPLVSARFSRKAPKPSPEGSRALRVARPRKEETLESTWKAITEGRGPPLARHLKKSDTWDTRPGRRPSGGVGSGEIDPAVAAPAGAMRKAETFNDGAAGRSKAAPAAAPVRREPSLGQDELNRRVEAFIHKFNMEMRLQRQESLKHYNDMLGRGSQY >PVH64110 pep chromosome:PHallii_v3.1:2:29580965:29581740:-1 gene:PAHAL_2G189600 transcript:PVH64110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEDSEHHGTEEVIYESDEVYVVLDTFAGETQVPDSLSAADVETVAVAESEVDVAHALMLLAKTKVNTFQADKFQADKEARYVANLMIPLLVPCLYREDDEDVKDAATDLHWISHGYGHGFGAPEVADEE >PAN14802 pep chromosome:PHallii_v3.1:2:54359724:54362993:1 gene:PAHAL_2G445700 transcript:PAN14802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLAHVVVALVLTSCALLCADASVHDYTGERFVGSSNAFVLHGGSEGVYATFKAGAFIRFEKVAFRRTPESAAAAEEDGNRTATVTAVMFEAGHRDAVGGTDVSGERALCCTPDMAKLGVCTEGAVMHRAWNATGWPKVLSASFLPGGLEAAFPDETVAVSRTGMYTLLFVHCDASLTGGQVAAAGKTIWKNSRGYLPGRMAPLVPFYGVMSLAFAALAAYWFAQYARFWREVVPLQSCATLVIALGMVETATWYLDLAEFNESGVRPRGATFWAATCGALRGVVARVLVLTVAMGHGVVRPALAGLKSARVAGLGAAFFAAAEALEVGENVGTVSDHSPSPARRLFLVLPVAALNTVFVYWIFSSLSRTLTKLKARRMTTKLEMYRRLNNALIIAVAVSLGWITFEIHFKSTEEYNERWRAAWVIPAVWQLISFSLLCAICLIWAPSQSSTRYAYSEEEEGEDVDRDLEDTRPLIRPGPLSYVDTWAISVSQDATKIILRTDSGVYAKAAGDGGKRV >PAN14152 pep chromosome:PHallii_v3.1:2:50903966:50907767:1 gene:PAHAL_2G387900 transcript:PAN14152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRAAAAAAAAVKRSSSVTPARAFRHVGDAGRGHATTSTPATSSPPRRRGHRRALSAESESLKATASPRPFPDYHPPRPDSPEDDALARRLAAVVVSSPRPGTLPLLPFLPLLRPIHLLLALQLIASDPDHGGLLLPLLLLFPLRRDQQPHPHLLRCFAVAAHLAAARGDTVTARAILVRAVRFPSPHRHFVEHFITTYKAFSSDPASFDLLLQCLPSAPLLRRLRQYGISPSPEACNAVLSRLPLDEAIELFQGLPDKNVCSYNILFKALCGAGRVEDAHQLFDKMALLPDVVTYGILVHGYCALGELESAVKLLDEMVARGVEPNASVYTSVVALLCDKGRVSDALRVVEDMVQHKVILDEAVYTTVLSGFCSKGDLAAARRWFDEMQKSGLATDGVTYTTLINGLCRAGELKEAEKVLHEMLARWQDVDEVTYTVLIDGYCKRGKMAEAFRVHNTMVQRGVIPNVVTYTALSDGLCKQGDVQAANELLHEMCNKGLELNACTYNSLINGLCKSGNLEQAMRTMADMDTAGLKPDVYTYTTLIDALCKSGELDRAHTLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKKLLEWMLERNIHPDAVTYNSLMKQYCIGNNMKSATEIYKRMNSLKVAPNENTYNILVKGHSKARNLKEALHFHNEMIEKGFRLTATSYSSLIRLLNKKKKFVEARKLFDEMRKEGLTAELDVYNFYIDFNFDEDNLESTLALCDELVEASIVKSKAEMDQDVV >PAN13362 pep chromosome:PHallii_v3.1:2:50903283:50907836:1 gene:PAHAL_2G387900 transcript:PAN13362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRAAAAAAAAVKRSSSVTPARAFRHVGDAGRGHATTSTPATSSPPRRRGHRRALSAESESLKATASPRPFPDYHPPRPDSPEDDALARRLAAVVVSSPRPGTLPLLPFLPLLRPIHLLLALQLIASDPDHGGLLLPLLLLFPLRRDQQPHPHLLRCFAVAAHLAAARGDTVTARAILVRAVRFPSPHRHFVEHFITTYKAFSSDPASFDLLLQCLPSAPLLRRLRQYGISPSPEACNAVLSRLPLDEAIELFQGLPDKNVCSYNILFKALCGAGRVEDAHQLFDKMALLPDVVTYGILVHGYCALGELESAVKLLDEMVARGVEPNASVYTSVVALLCDKGRVSDALRVVEDMVQHKVILDEAVYTTVLSGFCSKGDLAAARRWFDEMQKSGLATDGVTYTTLINGLCRAGELKEAEKVLHEMLARWQDVDEVTYTVLIDGYCKRGKMAEAFRVHNTMVQRGVIPNVVTYTALSDGLCKQGDVQAANELLHEMCNKGLELNACTYNSLINGLCKSGNLEQAMRTMADMDTAGLKPDVYTYTTLIDALCKSGELDRAHTLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKKLLEWMLERNIHPDAVTYNSLMKQYCIGNNMKSATEIYKRMNSLKVAPNENTYNILVKGHSKARNLKEALHFHNEMIEKGFRLTATSYSSLIRLLNKKKKFVEARKLFDEMRKEGLTAELDVYNFYIDFNFDEDNLESTLALCDELVEASIVKSKAEMDQDVV >PVH64076 pep chromosome:PHallii_v3.1:2:25978382:25979116:-1 gene:PAHAL_2G179400 transcript:PVH64076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVRGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDVMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKLTQELEEQRTRTASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN14483 pep chromosome:PHallii_v3.1:2:52407977:52409368:1 gene:PAHAL_2G412700 transcript:PAN14483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKGTKPGKRHPLPPISARAQLVRSSPRRIRPARRHRLTRRRYRCCCRCRRTLTARIHLPPHLHTIGSMPRSRRTSTPAPAAAPGRRGAEREVRPRSPSSPPEQDDAEKKLHVERRGPIAARAEPRGVEAPTSLQGPTDGATPCWCGWRRRKGRKSTGAERRAKHQFSVGAAD >PAN11201 pep chromosome:PHallii_v3.1:2:33326086:33327299:-1 gene:PAHAL_2G202100 transcript:PAN11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGDELIRRCADPEILVTRETVEMPRRQPIKARSHCDISVWRKDESSDTAMEGKFSPIPQLLGRQA >PAN13850 pep chromosome:PHallii_v3.1:2:49622470:49626531:1 gene:PAHAL_2G368100 transcript:PAN13850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGSANGRRGEYVRIPEEVEVAVKGEADAATAVKAAAAAECPRVLRWRAIRWWAKIAVLGIFLAGAGAAAVVFLGPLVIKKVVAPILYWESTTFSRPAIALICFGAIALFPSVLLPSSPFMWLAGMTFGYLYGFLIIQAGMSIGMSLPYFIGSAFHCRIHRWLEKWPKKAAFVRLAGEGDWHHQFRAVALLRISPFPYIVFNYASVATNVKYCPYIAGSMAGTIHETFLAIYSGKLLQSLAVATSKGSFLSVDQIIYNGIGFTIAAASTAAITIYAKKALQKLQAEEEIF >PVH63880 pep chromosome:PHallii_v3.1:2:11452776:11460016:-1 gene:PAHAL_2G129400 transcript:PVH63880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVATCAASSSSSLRGSRCSLSTSLPLPSSIRNADAKSNQTCCCVHCPSHLPSSSSSSLAPPIHSSRFPNPSGGAATEPCSAGSASIASAASQTLAPFPPCAPNPSFPAMRASASAAPMEASASARRSAPGPDPGAKKPRLGQPPPRDPRSSSYATASNGAAASAAEQALVDELLGQYRTALGELTFNSKPIITNLTIIAGENLQAAKPIAALICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDPSIHHSMRHLFGTWKGVFPPLPLQTIEKELGFQTSANGSSSAAPSRPDSQSPRPSNSIHVNPKYLEARQQLNQPTKGILGSGAKTAVIADAGDDVERPNRLGTDRSAGRRLDAPNARPNIQRTQRDPFSNPVNEKQAGRDVRGLGFSNISQQAVVGTGQVRSKPKGQDGIVGPYYATGVGSSEEQFDRRSNFYSSKDVRPSGSVRLDSALLPTPSINSDRVGRSSSNKSWKHSEEEEYVWDDVHSQAADYSGNNTVRKGEWMADDGNAKFASLQRAKWPEVGAVEHLDPNIHKLDSLPRFGLATGQDRRLAAYLDHEEYIHGKHEVEPRIDREIMPDGQQFPPPRSSSLWVSHEKTHPDIGLDPRISRFSNQPGERSTIYTGTMSASITSSVPVGLSGPYAGRSSLDSANSVPTRSTETFGQQKHRYWSSSPPPAHSPSSTAPFARQSSPNPAEPDFYPSRPFSQLGQSPQEEYSQRAAALAKDSHFIAQNVGLTQGQPSLQATQQAQKYPTLQSKLHSKPTDEVQASFSRENSPSLFRPSIQLGEVSLPTDLTPISSDLTSASNLLAGLIKSGFKPNNPSDFASLGAQPLVPSGPLPHTLPSPPVASSSLQNAAGENTTLQTQTTNTARPPLPPGLPPPASTQSAEKAAPLSSLLSSLVAKGLISTPATESSTAVPSQPNKSSVNATNVTAAAMPLPAQMPSVGKEASNSASSTPTNVLLPKGVEIKTGDLIGLEFKPEKLRKYNEHVISSLFGDRNYQCKLCGERFSLEDELRSHTTCRGSRESETIYAGMAPKKWYPSKNSYIDGSHEMEDSAEAFDVDLSSGEEVCEFMVPADESQIICALCGEPFDDFYSIEKGDWMYKDTVFLDSPNGEGSCGSNVESKEQVPIVHVRCMPRGSNDGMEVD >PAN15514 pep chromosome:PHallii_v3.1:2:57354086:57356027:-1 gene:PAHAL_2G493500 transcript:PAN15514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARDQREMSSDEESSVAPGDLRRGPWTVEEDLLLVNYIAAHGEGRWNALARCAGLRRTGKSCRLRWLNYLRPDLRRGNITAQEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLNCDVNSQQFKDLMRYLWMPRLLERISSGDDGRGVATPQLPAWPVDDVELSCTTAASSSSVSTDGTQQQLVSPPAPPATSAFGESAPSGNDGSYSYSNNSNTAAMWGTLCQPPQTDYHLLVAGAEAACSWSDESLLAPGLSGVYGDMGMGLPELGDTMWGAGADDLWYTQIMGL >PAN10582 pep chromosome:PHallii_v3.1:2:8091045:8096621:1 gene:PAHAL_2G103000 transcript:PAN10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGTVSNPYSLHIGERDDKSSHTKLCSDFTAAEMQVNPVSDDLSLIHVKLEQSWSSVQAFVAEHINSKDLDMDWSKEAVGLDGFRYVGCNDLRDVAMNSLHMFFKTAVQMLSSEGYIEDAVVNAVVDSALCYQFDGPINKITEHARTLLQSGSHQVDYPGSENVDTVLHMLGLYFLCNASSLLKRCCPFFTLGDVLWCILLCDLDISIARAAFIHMSGYGNGQSEGHALRRCNLCEGREDVNEISEECGCSRAIESPAQFDPPQSEAAQRMWSSILANYIVSVQNSATKNQYAPSAQDESSSVPRAVVQYSKKAKKGNRNKPNSMKYQKDSGKDLVVFKNVQQVKGIGKTSSRMLKESKSLMAFLGSAQSTSTGISEVANKKSLQPSTLVPTQPVSGASSVKRRDSPAIVPTGALSSASCSSIPSSSAKAESKEVMGSDVVQFSLPHTPAEGFEFYFSREGMQTTWAPKDREEELALELVQRLGELKLEVKVWTDWANERVMQSTNRLVNEKAILLSLKKDKTDVEEPDVFNRKKLEETQKALDSTSDELNRVNSHVQELTDKITHRRREMKAVQLQGKQADERLASLLSKEKDRLKSMETEKILLQEELAAERSKLSNLLKSLEQVRRSEDVLKRRCQEGEKMLDALTKQVNFERAELERIDTSARAKSSNLLLKAQNNQEWLQSSIRNLKQQVDELSSFSKLQRVAKFMAPPGFGIDSVQREQECAMCLEEEISVVFLPCGHQVVCAGCNQRHRDGGMTECLSCRSPIERRICARFADS >PVH65137 pep chromosome:PHallii_v3.1:2:53639567:53640151:1 gene:PAHAL_2G432800 transcript:PVH65137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDEYLSLCLMALAAACQQAGATAPRRPHDVAAPLSSAAASSTELPLHFRCPLCGKAFASYQALGGHKASHRKLPAGGGAAPLFHQKEATAEASSASGSGGAGRHVCTVCRRGFDTGQALGGHKRFHYLHGPSVSASLPSGAVPSAVGGFDLNLAPLVPEIGFPGVRRRGDDDEVPSPLPLPPKKPRRPSNSA >PAN09286 pep chromosome:PHallii_v3.1:2:462862:464286:-1 gene:PAHAL_2G007400 transcript:PAN09286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPSMAVIALKCPAIEVAVVDVSRPRIDAWNSDRLPVLEPGLDAVVRACRGRNLSFSADVDRHVADADIVFVSVNTPTKARGLGAGRAADLAYWESAARVVAAASRSGKNKIVVEKSAVPVRTAEAMERMLLAHSGGGGATFQVLSNPEFFSEGTAVRDLLCPDRVLIGGRDTDAGRSAVRALRDVYAHWVPEDRIVTTSLCSAELSKLAANALLAQRVSSVNAISALCEATGADVSDVARAVGWDDRVGDGFLNAGVGFGGSSFQKDVLRLAYACECNGLPEAADYWRQVVAVNEYQKSRFVRRVVSSMFNTVAGKKVAVLGFAFKKGVGDTRESPAVDVCRGLLGDRAHVSVYDPAVSEKQIRRDTASPAAAKAQAHQQVLVARDAYEAAEGAHGLCVLTDWDEFRTLDYRRIFDGMQRPAFVFDGRGVVDVGKVREIGFVVYSVGKPLDPWLKGLPAVA >PAN12732 pep chromosome:PHallii_v3.1:2:44607504:44610345:-1 gene:PAHAL_2G287400 transcript:PAN12732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGGGAGPGGAGKLTRTPSSLLRSPTVRNCSSFQAVVVEDPEPDDKKAQAHPKAPPHQFHHGAGPAHPLLVLALPLAFLLLLLLLRGGDGHHLALLAASAAAALGAAAGAARLLRGRLRLRRSPGSGSVQWFIGDDDDKPQKRADKAAGAAAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKTPLPPSDPAVQRAVQAAQRAAENAFRLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >PAN12554 pep chromosome:PHallii_v3.1:2:43551525:43562577:1 gene:PAHAL_2G272600 transcript:PAN12554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g10690 [Source:Projected from Arabidopsis thaliana (AT5G10690) UniProtKB/Swiss-Prot;Acc:Q8VYD6] MMMIRPPRFLSPSPAPGPREPRLRRPVDASRIFSSPRPPGRRPHPRPRAASPSTDLRRLTARIVDLTRRRQLAQIMEEVEAAQRRVRAGGVLNTIVMNAVLEACVRCGDVNRALLLFEEMRGPRGCGVDGVSYGILLKGLGIARRIDEAFEILESIEKDSSIGSPRLSPHLICGFLNALIEAGDMRRANALVARFRKVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSSEIDKAIQFLEDMKEEAKRESNAELLPDAVTYTTLLKGLGISRDLYSVLKIVVEMKSSLLSIDRTAYTAMIDALLACGSIDGALCIFGDIIKQSGSNKDLRPKPHLYLSIMRAFATRGDVDMVTRLSKRMWPDSVGSISRAAKEEAHELLMEAAINNNQIDLARGLLRRIVNEKECFSWTSRVGLVAVKVESLSGFTNSLLRPHVFPQIILNDPVEKYMVPFQESRPLPADLILRKVVMRFLEDRAVPLVDDWGGCVGIVHRDDCTKLDAPLLSMSRGPPLCVPTSTTVEHVIDLLLREKSEMVVVVKSGNMYEGSYASSSRPLGVFSLAILWNFTGDYSSDIHDAGSRVTAVKQDAETCNCG >PAN10676 pep chromosome:PHallii_v3.1:2:8801561:8803861:1 gene:PAHAL_2G109800 transcript:PAN10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGRNMVAPLMVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWRHDSLATTAASSLIAWAVTALAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGLFGGSGGYRDQDYGVGGAAGEPKGPRV >PAN11946 pep chromosome:PHallii_v3.1:2:39047967:39050930:-1 gene:PAHAL_2G230600 transcript:PAN11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSRQEPAVWRIADGLPQKPALGFNSFWQIPDKIQNSLKVHFGRFLKKDAAGRGMNAEMSSEMGKASCTAAAPEVSLDRQLQAWRNNASWTDEPPEIKVTVPEGSLCNLNLRFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVLLDEGSRQVVEVEQAAIWKFLWWSGILSVHVFVDQSRKNHTVKFKQGRTGFMRKFEGCWRIEPIFVDKEVCLPLHPCTLEEYESCTGGRGRVASAITLDQLIEPALLPPPPISWYLRGITSRTTEMLVNDLIAETARLRGFSSNADGKQDVEEIGGTSEIPPGSECGDIKERWRQRRKRGRHGNSLRLTSQML >PAN14111 pep chromosome:PHallii_v3.1:2:50685302:50691544:1 gene:PAHAL_2G384500 transcript:PAN14111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MVILDRTPAAAPGVTSSPLQKSSLRVLREAASPPSDPILPCLRSISMAMDELGTGPQCDPTALDQLKCYLTECIRKYGDEYQYSTDPRLLKIWILYADVTEAFPKVYKQLEEKQMFLEHALLYESYALYLCAEGKLQEADKVYAIGISRKAEPLDHLKKTHLTFLKHLEHFVEEADVDAQPSKKQKNEPSVVDPWSVSSRNNLLETINDGLRTFAGYHKSNKVYYGKVALTSSLNILRNKVIELGGRKYQIKGSTGTGAFAKVYKATVDGNAEEMVALKIQNPPFPWEFYMYRQLDMRIPEVERPSFGYAHEVHIFSDVSVLVCDYLPYGTLLDVINSHLVVDRHMDEVLCMYYTIEMLEMLETLHSVGIIHGDFKPDNMLVCYPSGDITEETFRSETRDEQNQGLCLVDWGRGIDLNLFRTGTEFHGDCGTSGFSCVEMQENRNWTYQVDTYGLCVIAHMMLHGAAMSVEKVPRAGGGYEYQPKLPFRRYWNVELWRKLFSTLLNAPSNGSDVAALRGLRASFREHMCGSRQLVGKLSQMLAKQKASLCSS >PAN10707 pep chromosome:PHallii_v3.1:2:9077965:9079878:-1 gene:PAHAL_2G111900 transcript:PAN10707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRISSPTRDGRRRGSSQPRRPEHNPLPRPPIRRSELPQARVSLRNHPALDSSASTPRSRGSGPRRSRPRVRRRGFFCPGALQREAVPGWRGTPAPSSRESPTLRRQGLEDHQVLPASFAMEFRHVLSKTRSTMCFSLWL >PAN15360 pep chromosome:PHallii_v3.1:2:56614470:56616261:1 gene:PAHAL_2G482900 transcript:PAN15360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAEREQLSVVMFPWLAHGHINPYLELARRLTSADHGGVDVVVHLVSTPVNLAAIAHRQTDRIRLVELHLPSLPDLPPALHTTKHLPPRLMPVLKRACDLAAPRFGALLDELRPDVLLYDFLQPWAPVEAAARGVPAAHFSTCSAAATSFVFHCLSADRAPRAFPFESIGFGSAEEEAKYTQLFVVREDPAALVSERDRLLLSLGRSSGFVAVKTCADIERRYMDYLSELLGGKEIIPCGPLLVDSGDPPESTAAGESDRVMRWLDGQEPGSVVLASFGSEYFMSEQQIAQMARGLELSGAPFVWVVRFPKSPGDEDRGAARALPRGFAPARGLVVEGWAPQRRILSHGACGAFLTHCGWSSVLESLAAGVPMVALPLHIDQPLGANLAAELGAAARVPQERFGEFRAEDVARAVRGVVVRGEEGRAMRRRAGELREVVARNDADGAQVGALVRRIARLCGKGQRVPVPN >PVH65009 pep chromosome:PHallii_v3.1:2:51701418:51703382:-1 gene:PAHAL_2G400500 transcript:PVH65009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARFYLLLEELQLQHDNKVEAGHALYAGHGNQSGSSDSSSNQRYKGKNKKRGKKRRRRRQRPPIAPHLLVASGRQPLDRPRSGLAGVLPASWRRSTGPSSSVSGPTSHDSPAPAPASCAAGAFKFRRLGSQRALQGPSDRRRRHYVAERCRLVPRHRSHHAHVVKLWTFQHKQ >PAN10645 pep chromosome:PHallii_v3.1:2:8544994:8549955:1 gene:PAHAL_2G107900 transcript:PAN10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGQQANKNGHLDLNEPIPQLWNNDEDAIDDILGDEDPAHTDPEDEDYFPNRRSKPSKKRVKRHTPQQIQELMAAYEQCTHPDPEAMQALGTMIGLAPKLVKFWFQNRRSQVKKKDQQEQNQQFQQANASLKAENRSLRKAILTQTCVTCCGKTLPFNPLLEKQQLLTKNARLKDEFLRAFAIHSKIIRDSAFTQPAPWISSSSSSEADREALLRHAETSMEQFLVLATKGEPMWLPAADGGEMLNHVEYRAKFSPALFRLRPEGFAVEATRDTAMVWGSAAELVVIFMDMARWSETFPGVVASVVAGDVVSTGSFADGQIQLMNAEMWVQSPRVPNRTVNILRYSKLVAERQWAVMDVSVDGIFGQEVLPAYLPARYMGCRLLPSGCLLEDMSNGYCKVTWIVHAEYDETTMPTVFKPLFLNGKALGACRWLTSLQRQREYATALHSSLYPGNNNTAAEAAGMLKLAQQMMASFYATVSGPIAQSQATISINDWFGSIGTGVERFDVAVRMVTWEKAGSSVAGEPASWFLSATTTLWLPGTPPERVFDYLRNEQRRGEWDFVHTNGAVVEEMSSVSMGYLAGNVTSILSSNKTDGTNDRTLILQEARTDASGSLVVYTPIGEEAMDAAMGGGDGASDFPTPSGFAILPDGRGKACHAPSPAPSTSSSAPVLRNDTGGSLVTMAYQVLLPGPLPDTAAGAFDDVGKLMCHVMEKIKNAVEAEIVLPA >PAN13806 pep chromosome:PHallii_v3.1:2:53657440:53659427:-1 gene:PAHAL_2G433100 transcript:PAN13806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGALCLALLCLLAAHSAVAQKPATPAPATAPAAATTPPAPSKKTPAAAPPTSPATPAPAAAAPTTPATPAPAAAPPTTTTPAPAAAPPKSAPKAATPAPAPKAKATPPATPPVAELPPAATPPAPAADTPPPRPPSPPRSPPPPRPRKRRSHPPPPRARRRRARPPPPPPSPRPPPAPSTPRLRHPRPARPTPPARSATAPPPTPRLLEGPWQAASWRPCWASSPSSPRDTDAERARA >PVH63952 pep chromosome:PHallii_v3.1:2:14084267:14085585:-1 gene:PAHAL_2G145100 transcript:PVH63952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHRHQGHAQHAPDDPYAKVKFTIPPFSGHYDAEGYLDWEMTVEQKFSAHLVPERHRVRQASSEFKDFAIIWWSGLAAENALPTTWEQLKIAMRDRFVPPSYHRDLRKKLMRLEQGEKSVQDYYGELQKGMMHCGVVEGPEDSICRFYSGLRCEIQDIVDYKEFNTINQLFQFAMLAEKEFKRPAASGVAAVPTRSSDLGKNSAQVPAKSSSSMASTGRTSGIQCHRCHGLGHVQKDCPSQRAYIATEDGYISTSDIEDEEEKENDDGEEEILGGEDTATYRSAIVQRVLNTQVQQPDQLQRHNLFQIFFVINNRRVRVIIDGGSCNNLVSSELIKKLGLTTRPHRHPYHIQWLNDSGKAKVTQTCRALGT >PAN14676 pep chromosome:PHallii_v3.1:2:53339564:53344504:1 gene:PAHAL_2G428800 transcript:PAN14676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDVVRQTRENLVHLDLNSGSRGGDAKREEKMAELGKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIIHLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLESNKDLLDILISGYENMDIALHYGAMLRECIRHQSIARYVLESEHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLTNNYDWFFEEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNAAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPAEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >PAN15463 pep chromosome:PHallii_v3.1:2:57107214:57108354:1 gene:PAHAL_2G489900 transcript:PAN15463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSAHRALVAFFLPWLLHCARLEAAAEGTPPWFWPWPPVGGGDDPYCLSWRVMVEANNAKGWRTVPAPCVGYVRGYMAWGQYYRDVGSVADQAAAYAAQISAPAADGLDAWVLDVDDTCLSNQPYYQAKQFGAYDPVAFKMWASRGVCPGIPAMQWLFQTLKGRGFRVFLVTGRDEETLGSSTAANLAAAGFSGYDRLIMRKKERYE >PVH65424 pep chromosome:PHallii_v3.1:2:57107338:57108165:1 gene:PAHAL_2G489900 transcript:PVH65424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSAHRALVAFFLPWLLHCARLEAAAEGTPPWFWPWPPVGGGDDPYCLSWRVMVEANNAKGWRTVPAPCVGYVRGYMAWGQYYRDVGSVADQAAAYAAQISAPAADGLDAWVLDVDDTCLSNQPYYQAKQFGAYDPVAFKMWASRGVCPGIPAMQWLFQTLKGRGFRVFLVTGRDEETLGSSTAANLAAAGFSGYDRLIMRKKERYE >PAN15464 pep chromosome:PHallii_v3.1:2:57107214:57108826:1 gene:PAHAL_2G489900 transcript:PAN15464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSAHRALVAFFLPWLLHCARLEAAAEGTPPWFWPWPPVGGGDDPYCLSWRVMVEANNAKGWRTVPAPCVGYVRGYMAWGQYYRDVGSVADQAAAYAAQISAPAADGLDAWVLDVDDTCLSNQPYYQAKQFGAYDPVAFKMWASRGVCPGIPAMQWLFQTLKGRGFRVFLVTGRDEETLGSSTAANLAAAGFSGYDRLIMRGTGYRGQTSVAFKSAVRRQLAAEGYRLRGNVGDQWSDLQGGCVGDRVFKVPNPMYFVP >PVH65449 pep chromosome:PHallii_v3.1:2:57493637:57493957:-1 gene:PAHAL_2G496300 transcript:PVH65449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHINSVQVEASCIPCPKPSILASTEHANQLRIEADKNDAASINSRGRQQRLPK >PVH64868 pep chromosome:PHallii_v3.1:2:50017874:50018520:1 gene:PAHAL_2G373900 transcript:PVH64868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRRRGSRSIDGSIKCVVWTVCVQANSASGLAVSDECKVKFQELKARRSFRFIVFKIDDKDMEIKVERLGEPTQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVRSRTN >PVH64869 pep chromosome:PHallii_v3.1:2:50017596:50018884:1 gene:PAHAL_2G373900 transcript:PVH64869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSASGLAVSDECKVKFQELKARRSFRFIVFKIDDKDMEIKVERLGEPTQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVRSRTN >PAN10322 pep chromosome:PHallii_v3.1:2:6325526:6328512:1 gene:PAHAL_2G087200 transcript:PAN10322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAVFTYLASASCNSPSFTIWDVSQGLGTPIRRGLSNISLLRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALVSFSNSTTLGSIHFSSKPPCLDAHLLPVELPEISSLIVSRGIEKLSWDASGERLALSFKDGNGMYRGLVAVYDVRRSPLVSVSLVGFIRGPGEGVKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSH >PVH64667 pep chromosome:PHallii_v3.1:2:47303789:47310028:1 gene:PAHAL_2G328700 transcript:PVH64667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAMAHSSKRTTHHLLLAVSLSVSVLLPLLLPAAATAAVADGDGEIKSALGAGRQLATGNWKDEGNLIAEGDTAGGGSVEEDEFAGGFGSLDSMLQWAIGNSDPEKLKEEAADVQKLSADELLKRRQEIKELMEKLKMPSDADLMKIAIADLKNSSISLEDRQRALQELLVLVELIDNANDLDKLGGLLPVIQELNNANDEIRTTSAWVLGTASQNNALVQNQILGYGALARLVKMGYSTSTEEAAKALYAISALIRNNVNGQEAFHSENGGAMLQHILVSNSIDVRLQKKAVFLVTDLADFQLNSGNPQLPFLSDRLFLKSIVDMLSKFDLDLHEKVLLAIKSLLELSSTDVEDFEFYDLEGVLLRLGVQLEDLTPEDQKEFAAEVDGLRREVQTLFQQKLKGKVTAT >PVH64743 pep chromosome:PHallii_v3.1:2:48537399:48538112:1 gene:PAHAL_2G348900 transcript:PVH64743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARGSPGPSQPSGALVARKLLVLPRNSCRASWLLLRMSCKPCKLALAGSPAGEPRKQASAPQPPRRRRSSRLGRPGRQTGDTQQPARHAPAVRAGGDVQGKGAKGISCVHADEPVRPAPQPSHRLLPAASLLALASAEPPEKEDTVSAAARVRTGRFACSPGTSHHRGRGRGAELRLVLVPPPSRSGSGGSEARGGREGERRREQSHQAGAGAIAPFSSTLTGARRREADRSEVAR >PAN09288 pep chromosome:PHallii_v3.1:2:459194:462679:1 gene:PAHAL_2G007300 transcript:PAN09288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGEAGVVGGSEWPFSADAYADSSAIFAELGCWAAGLDGAGGGELLPALDPTPEDIRYTLPPPSGSVSVDGGASSSSTDDGAAQEDAADGKPAAATEAASKPAAPAPGKTMMTAATGNNSNNNTKSGQNKKRARQPRFAFMTKSDVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTVTFPRAAHLHAAAALAGHMAFSAAHHHHHLYSATNDHLPPLQHPDPTTAAAQQQSALITTSGDSSLACRPAMLLPPSLLRPLLHSNQQELLAATYPLSSAMMTMPVDSSSLVVSTQSPSPLTAVTSSPAVDKGLLDDMVPPAMRHG >PAN13814 pep chromosome:PHallii_v3.1:2:53699968:53704774:-1 gene:PAHAL_2G434100 transcript:PAN13814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEATLNNSSGSESTMSMLEQLAEVFGKLKSHTEASLQLQNGMNWVDIKEYFLNVDKSYRSKFDELVEKQKALEEKKTEAGRLIAEKEANVSAKERASLNQLQELRDAAVSSLVEVRQKYKVELAEILDANGSKDKKVSTSTNGNNASHASEANTPASGSGDPSEASPVEVKPRPVLKELCEQMDTKGLLKFLSENCKKLASLRDELSVALRCATDPARLVLDSLEGFFPPDQTDSPGNKQNALQVQRRSCIVLMEAIAPALGAKEQGGNDPWSSEIKEQAKAIAEEWKSKLAEVDLDASNGYSLEAQALLQLLTTFNVDSVLDEDELCKIVVAVSRRKQTAVTCRSLGLNERIPGIIEELVNRHRQIDAVHFIQAFGLLETFPPAPLLKTYVDELKDTFDNNGDASATSSKDDPKTKELLALRAVIKCIEEYKLQKEYSLGPLQKRVSELKPKSEKRPSSDAGRAYSKKPRGPGPSFPRRPAGPVGSAARRPPFPVSNWQRAPAPMPSRAPAPMAPLPERYGAADRYHYTPPATAYDTGAFSSYGEPFSAPKPFQYTPGSVAASYNSSPYKVAYGGPGALPGASGYAGYSGASGPSASSSYTNYLGSGYRPTQQP >PAN14779 pep chromosome:PHallii_v3.1:2:54267545:54268532:1 gene:PAHAL_2G443900 transcript:PAN14779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWDAVPVASFGRCGDGNQAASTSPAYDARPRAATQEKNTLAKSIRRKIHWPRYVRSL >PVH63367 pep chromosome:PHallii_v3.1:2:955840:956672:1 gene:PAHAL_2G014100 transcript:PVH63367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PAN09376 pep chromosome:PHallii_v3.1:2:1251198:1255148:1 gene:PAHAL_2G018400 transcript:PAN09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEEEAGESRKLFVGGIPSAAQEAELRAHFARFGEVRSAIVMRDRETGHGRGFGFVEFEDEAAAAAALGDGDKPRHFICGRMVDVKRARTRAPRNQGEQNSQPQQPENGRGQGHQDNQQPPAGNGTVDGGNNVSYDSKKVFIGGLRDNITEEEFKAYFETFGTVTDVVVIYDSLTSRSRGFGFVTFDSEEAVGKVMRQSFHNLNGTKVEAKIAIPKDEQYYRNGRGRGGARPFGGRGPAGYEGSAYQPYNARFGLYNGYMPQPVPAQPYFPAPYFAVGGYPYGGGYPSQGVMTSVPGMMSRRVPPAYGTYPQMYPGFNFVYRAGYGGAATSFQQGINGGSDNKKDQINVDIQQVDGTASVATMLEHMKLGSQ >PVH64808 pep chromosome:PHallii_v3.1:2:49208473:49208892:1 gene:PAHAL_2G361000 transcript:PVH64808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGTPPSAALGHGILLPRTRAPAHRRHGSPVNRADRSAFHRPAPIANDSPPPAGGVLCLRFEPFRSTPTPRPVGTSAPRPLSPTPTPPTTAPNNPLRRDAMLSISSLAKLLALLLRGILLHLHAPAAIPSFAHHFFST >PAN11261 pep chromosome:PHallii_v3.1:2:30846193:30848365:-1 gene:PAHAL_2G194500 transcript:PAN11261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCPSAPRRRKAPPPFPPPSFPAPPAPPAPLLASIDGEDHTADLPEELLALVFGLLGSGDRKRCSLVCRRWLAAEAASRLRLALDARAPLLADSALPRLLARFPAVSKLALKCDRRAESVGDPALALVADRLGPGLRRLKLRALRAVTDDGVAALAAAAANLRKLSVGSCAFGAKGIEAVLRSCLHLEELSIKRLRGLADSEPIAVSGPRLQSLSLKELYNGQCFSCLITQSPNLKTLKIIRCSGDWDPVLQDVPRDSPLSELHLEKLQVSDRGVAALFGLEVLYLAKAPEVTDVGLAALAAKSPRLRKLHVDGWKANRIGDRGLAAVAQKCASLQELVLIGVNLTYSSLELIGTNCPILERLALCGSDTFGDAEISCVAAKCAALRKLCIKACPVSDAGMDKLAVGCPRLVKVKVKKCRRVTSECAERLRVSRSGALAVNFDTPGGAGELQDARSIDESGVLDNAGSDVPPEDLDDRIGPDLSSGSSGRPSRWKARMGALMPRSLSVSIFRRQPRGASYTSHES >PVH63550 pep chromosome:PHallii_v3.1:2:3971201:3986213:1 gene:PAHAL_2G053800 transcript:PVH63550 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MAHGPMKLVCRCLSHTVGPSRFAFAFLPSPSPRSRTALAVSPPPSLPRSPSPKPHRVSRIFQNPLPAPQGPIPRSESGAMDLDPEGIFRDDSDEDDDNVQEREANKEMVVYLVDASPKMFTPATNQADEKDETHFHTIVNCITQSLKTQIIGRSYDEVAICFFNTKEKKNLQDLAGVYVYNVGDRDPLDRPTAKLIKDFSCIEDSFMSNIGSRYGITAGSRENTLYNALWVAQALLRKGSVKTVSKRILIFTNEDDPFGAITGAVKTDMIRTTIQRAKDAQDLGLSIELLPLSRPDEEFNMSRFYADLISLDGDEITEYLPSAGEKLEDMTDQLRKRMMKKRRVKTLSFAITNDVCIEVNTYALTRPTTPGTITWLDSLSNIPLKTERSFICNDTGALLQDPQMRFQMYNDMVVKFSVRELSEVKRVSSHHLRLLGFKPLDCLKDYHNLRPSTFIYPSDEHIFGSTRVFVALHSSMLRLGRFALAFYGNPTRPQLVALVAQEEVTSSAGQVEPPGMHMIYLPYSDDIRYPEEVHVTSDDAPRATDEQIKKASNLLKRIDLKNFSVCQFANPALQRHYGILEALALGEDEMPDIKDETLPDEEGLARPGVVKAIDEFKASVYGENYDQEEAEAAAAKASRGDASKKRKAITDAASLKSAAYDWAELADNGKLKDMTVMELKSYLTAHGLPLSGKKEALISRILTHLGK >PAN14006 pep chromosome:PHallii_v3.1:2:50234952:50236718:-1 gene:PAHAL_2G377800 transcript:PAN14006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLCLLCHGMGLRPGYFEGDISGGDLVHRVNHYPPCPDPSAALGLPPHRDRNLITLLLPGMVVPGLQLAYNGDWVDVEPVPNALVVNFGKQLEVVTNGALKNVEHRAATNSARARTSVATFIRPTADCLVVPAVEFVGKGSPPCYPTLTFSDYMRIYNVARLEPSLTQKDL >PAN12498 pep chromosome:PHallii_v3.1:2:43255868:43260178:-1 gene:PAHAL_2G268900 transcript:PAN12498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKSDVKKATLVDETLRPPLVPSEKHNAFRGRDVASRYKTGLADATKTRRCTSPSLGHTSATEGTPAPKRAQSADRRRPSTPSSRVSTPSMPTPRSITPVRDTARDLHKSSKRIASTKAPDGLWPAMRNLSSSFQSESVAAPATKKDKVISASLLDCTKGEVSVLTERKRSPFRRKNIIEQCENAQPSEEPSKRVIEQHRWPAMIGGQVPKNLMSRSIDLADKATRRVPSANTSRGLSPRKTPSVESSVKGLNPSLDEVARSLAIQASRSDDKVDSQKTERSKSVSRPNRTVTFPVPILQRASSPNKALSAASSSSRAFQSPSRTRPSTPCRSQSAGAIQASVTSPLINYMVDARKGKKNASQIENIHQLRLLYNRHLQWRFINAYAEDTLSFQKATVENIIYNVWRNTINLRDSVNMRRIMMQHLQQELRLYRILKEQIVYLEQWPTLERDNSISLFGATEALKASTLRLPVTSGAKVDAIALKNAVSSAVDVMQGLGSSVCCMLSKVTDRESLVSELSVIAGQEKVMLDECRELLATAAKLQVQESSLRTHLMQQRHRLHDMN >PAN12917 pep chromosome:PHallii_v3.1:2:45531133:45540749:-1 gene:PAHAL_2G300800 transcript:PAN12917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSPVPMTEYVYLDTFGKEFGSMSDYGQDEWSKLNRRVVRKLSDTVVPVASFIGDKMYFGCTGIVVKSNEGGASILTSASLVRSDDDDRNMKSILMIQVRLPNGEATIGRVAHYDLHYNAAVIIIPPFPGLRAAFFDRHMEFGSHSNVVAVGRWFYTGRFMATAGILTNEPNGDYPEHMRISTCKIPMVMTGGPLIDSGGNFVGMNFYSMDRTPLLPSNKIVDFLVLKCVIKSGPEKTLKRKYESPRSKIENDSPEQILKSISEKPASLLPSSEGTSSGESESEECVEFCFFPDFDEPLPVNEFTELIRNDLKPRGYPMPTRLGGGMHLVNTFEEEFVEDTWCKLSKKVASDTSRSVVSLASFKEDQRFFVCTGVFIDCIESTSRILTSASLIRSSPDEDNIADNLKIQVYLPNKLVVTGTLQHYNLEYNVAVISVMGFRCLRTAEFHNQVQIEPQREVVAVGRVFESGKLMATSGIVTGKEGKLDCKELMVTTCKITKAGIGGPLIDLDGNFLGMNFYGMKETYFLPRNIILGLMKHFEAERSVTGEVIYSKANRWPVPVPRWSYPSSRARRPRKFFMREDVNDLNAQDSRASTT >PAN09403 pep chromosome:PHallii_v3.1:2:1450143:1453796:-1 gene:PAHAL_2G020500 transcript:PAN09403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKNSTTMLAQSWESTQGRLVRVEVLVLFSALLWILVELLGTRRRRQSQGFFRFFVWAVHTLFTVLGPYTVGLLQDGPFRDQTFVLRATLLLLIQVSADSISVYSIHDIERRKSMLVQHVLQIVLVLWLILNCEGHKIRSYTATIWIIWIQSLILTYRKSGTLSNASRKEGLLNHSKVSWWSGAIFLSGVLPNMPYMMTGHECPGFPNRFPLNPRTMEGYRYIFHGEKELASLLPPTTPECRLELNEVTVKYTTIDSVWRWIETQGVYTKEAMDTMKDVALSFTLFKLLKRRLCGYRIGEAGLAKTLDFVLRGLIFEEGNYARAFGVVEMELAFLYDFLYTGFDAKSGDYKGLAFYFVVTVAAWNGISRAFRRHYHRSNLEQSVPGTDVTRWVTIVLLTVVLALSCSPGGRDTRWLVVHQLQLMDDPIYGLERAMGSWKHDLPRVKREAKKSWQRALGQYSLLLNFDYRPRNVLPLLSRGLVDATRQGHKAGEKVVLSDEVIVRVLSGFKESNGELGDGRSALARNQQQLGSHELSWACTMPAHIDKILVWHIGTTIAMDGHPVPTTGDQRVAKTLSDYCAYLVAFVPDMLPGHGYDTQRVLDGVVVDARESLAGCDTVSSRCEKLMMMGLLPSNSTCTVLEMGGRLGRELRDVVPEARRWKLLADFWAEFVLFLAPSDNAEIHAEKLAAGGEFMTHLWALLTHAGILEQPPVTPPV >PAN09511 pep chromosome:PHallii_v3.1:2:2165950:2168740:1 gene:PAHAL_2G030300 transcript:PAN09511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEPAAQPSPAQEPSTPAAAGREEAPREPAPEAAAPGAAAAEPEKVEGEGGEDEEEEGECGFCLFMKAGGCKDVFVAWEECVEAAQKEGADMVERCHEVTANLKTCMDAHADYYAPVLQAEQAVNERAEAEAAAAAAKEEPATDAEKKAEAVPEQAAPASPASSEGKTGEAVAEKV >PAN09878 pep chromosome:PHallii_v3.1:2:4101886:4102517:1 gene:PAHAL_2G055700 transcript:PAN09878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREQAHCIHKNTNPSSHDHKEFLQFPSNMEKHTKNALVFLSLLLLGYFAAHAQGQGVGEAGAPVSARPEDDDSRARCAYGELRPCRDHKCWCCINGRSAGKCFATQSECSQACF >PVH63457 pep chromosome:PHallii_v3.1:2:2267974:2268823:1 gene:PAHAL_2G031900 transcript:PVH63457 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MFKQGASLRVTGILQAYDVDSATAIIQDGSVSLKVDTQNLRDISFRTNSAYQFIGELLIHAENEAILQARIGRNVDGLDLNLYQQSLLIRRQHEAKLRNSRRA >PAN12358 pep chromosome:PHallii_v3.1:2:42685437:42686348:1 gene:PAHAL_2G262200 transcript:PAN12358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNSLKSILPSLSSSYASIMLWHSAAPAGPNPSDPSTVRSSAASMNPSPSRSKTANAARASSTVASSSSNSAAASSNPTDTAAPPAPITPSTTSMAMVAGLAGAGDGDGGAAAAAGVGAGAASRRRDEEEDEVADLMTAVRKTRTALMESVSTACAREMLWEDVVGADAEAMSMAAVASPRISPAVISAPLIPPLAARCLRPSVALPLRRLVFWRLMV >PVH63451 pep chromosome:PHallii_v3.1:2:2228511:2230116:1 gene:PAHAL_2G031400 transcript:PVH63451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKTLKARILRALKSSLPAAGADSPPPSPTKPAAAPVHADAFSDDASFFDACEAETPTKGRHRPSSSPEPLDAWELVDEDGRAVPAPPADPDPLLGFPARCPPGGERGVVLYTTTLRGVRRTFEDCNGVRALLENLAVAFQERDVSMDRGLRDQLWAVTGEKAVPPRLFVRGRDVGGAAQVLALHEEGRLVPLLLPSVPAPDEKIPASGGGAKCEACGGLSFVVCGECDGSRKVFDGGRGGARCRGCNENGLVMCPLCL >PVH65255 pep chromosome:PHallii_v3.1:2:54496748:54497566:-1 gene:PAHAL_2G447700 transcript:PVH65255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQAFGVLCDKKVPRRLKGKFYRMAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHARRDRVRNEDIRDRVGVAPIEEKLIQHRLRWFGHVQWRPPEAPVRSGVLKRGDNVRRGRGRPRLTWDETVKRDLKEWYIAMELAMDRSAWRLAINVPEP >PAN09907 pep chromosome:PHallii_v3.1:2:4216574:4222483:-1 gene:PAHAL_2G057000 transcript:PAN09907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVVGASEATMRSLLGKLGGLLSQEYTLIRGVDGDLQYINDELATMQSFLRSVGARRGHDDLTKDWMKQIRDLTYDIEDCIDDSGNRLHGLPAGMPCYFLLNSVFEVLTWWPRRDIAARIATLKMRAQQIGERRGRYGVNNPETGAEPAGAGPHTKAAVFEAADNQDGRLQLVSMKDPVGVDQHMKELTRRVTCIVGFGGVGKTAIATALYRSFKEKFAHSAVVTVSQSSDVEAILRGIKAQVKPKASNQQQQHGGSESVTGAVKGVGDHLTTAMSAVVAKCYSAGTSRGKHEGTKQQLHEDLKVLLEKKRYLVLIDDVWSANMLENIINALPVNEDSRIIVTTRFHSVATTRREGDIRRVTGLDDKQSKTLFEQAFAESKGSKDVTITWSEASATTAKTEEGDGAAHSPNKQANNSVNEGSKFATVPNEVWRMCGGLPLAIVTMAGHVACNPHKSVKGWSDLCSYLFPESGQDHRKELTQEEVGRIISYCYNDMPAEIKTCSLYLSIFPKGHRISRKRLTRRWIAEGFVCEKHGLSVEEVAETYFNHLIRRKIIRPVEHSSNGKVKKCIVHDMVLEHIVAKASEENFITVVGGNWLMQPPSSKVRRLSLQGSDSKRAKDTEKMNLSHVRSVTMFGSLNNQLPAHLFKYGIVQVLDLEGCTGFKSHHIVEICRMVLLKYLSLRRTDTKCLPNKIKRLKNLETLDIRETDIVGLPNPICQLERLVNILGGNKTTRKALKLPEELKKRKKMKALRILSGIEISGGSVDLHHLTELRKLAIYKLKTMGGTASFKDLISSIEYLGGYSLHTLVIDDESSEFIKSLDDLSYPPKFLIALELYGKMVKLPNWMKQLNVLNKLTLSVTALRTDNLKDLSKLEALFSLTFSFRAKEQDRQTLAMVAENKLYSNGKVTVPEGGFKSLKLMCLSGFLLPLLSFSREAMPELERLELRYNMLEGLSGVENLAKLKEVHFTSDAKADEDTMTKDIVDEMDTALRINREKPKIIVHQ >PAN12621 pep chromosome:PHallii_v3.1:2:43995862:43999977:1 gene:PAHAL_2G278600 transcript:PAN12621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGVEVVASRGCARLVLPGMHHHNPSSAASVASSSASRGGAAAAAAAAVDGPFSGLVICVTGLSKEARAQVKEAAERLGGEYSGSLHPKCTHLVVQNFAGRKFEHALKHGPRNGLFVVTLGWFVDCVRRNMRLDESLYAIKNIGENGLPLGEFNRFIGVPVDEKSCLPPMIFQDKACSDTTRKHPTQTPRKESGNDELVFMNDTIYIDHGISGEMKKKISDAATREGAKLLEHWFIGCPATYVVCEDASIRRYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARHVAMVLQNVRTSEENRKLGSVPSMNSSGRPSTQEEIDEVHQERQKFVEVAKKEVRDRRARRMQSCEVPIHPITPATLLDSICWTISEPTSSASIYTDSSWSDDANEQQSTTYFDTNGDVRDPDQPTDNFSRPLKESERSELIFKNHFLTILFPIDRFGELGPSSKTFYNNGGFTRIQVLDHIYNFYQENMSTDEVEMALHTDSRHADRLRSLYSSAESAEKGLVAFKRIDFLGSRRSFEALKRLNRENNSNVYELVIRA >PAN15241 pep chromosome:PHallii_v3.1:2:56014022:56016616:1 gene:PAHAL_2G474100 transcript:PAN15241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRTKAEKKQAYDRKLCSLLDEYTKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKAYADKTGNHTFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQVTNVYEDGSVFSPEVLDLTEDDLVEKFATGVSMVASLSLALSYPTLAAAPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAVAAPVATADSGAAAAPKEEEKKAEEPAEESDDDMGFSLFD >PVH64027 pep chromosome:PHallii_v3.1:2:21595058:21595714:1 gene:PAHAL_2G167200 transcript:PVH64027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSIHTDNEGEIDVDSLDVGVDDMIDSCRHEELTNWSRLGIEGVTGDASIIKKAHADSIPEPPAIDIADDEEDDTSDDYIDDGYVAPVNSNVEGPDEVP >PAN12371 pep chromosome:PHallii_v3.1:2:42770227:42775388:-1 gene:PAHAL_2G263700 transcript:PAN12371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGVGGGGGGAGVSAAAASGSYGLVRTVVGYSTSPLFFWLLTVVLVAAIHIASGSTSSRSDEEEREEKKKKMEARRDAFAAAEEREVSGRGDDRVLEMMRSFSFMQATEEDFMEGMASYEYSHVDSGKAPELVAPEPFAPSPASTSLSFKFQHQIPEIPREAALVSRELPAQVQGEQDLQEEKAPSVLLESAGKHEHEPAVKREHEVEAEETELVLEEHVELQRRKVMEQTAPSMVVATTHNYQFLTERDFRGFVREPEAMTVRVQESFMPASPPAPPAQHEERRVVNIVPRSGGFLTERDFQPADEPDVRDRAASPGMRTPSRSREPANSTDVCESVASSGKRTPSRNRKPADEPDVCESVPSSGKRTPSRSRKPANSPDVCETVASSGKRTPPQTRKPASSPSVACKGSAVGASMSFASEFSGFGDSDSESSASDGYSVKDLVVDSDSDWFLSEKDFPASARDSGNLKNYKAKMLKAIEALEAVATLEQSYQDSATTVSPGSVGQGSPDTIPDGSPKFPEDMWSRSPSPDVEYKEDEDKVSREAEAQNDEDVEQRSTAEEEGSVDMCDDEHSPKGKKIEPAPVYDLAPVADKSMDHSEKETITLNDYSGEAFSDTQRSPEAVSAKEVAAVSSDQVAGPANRSHEPSEKEDVGSVDHSLEHSSDERRETSSESGQSYEIVFDDKRRLEPLETGFVGTNDHSHELISDVWKEITSRNDQTSAVAYANEGSLDAAEEEFVGRNDRSNELISYEKKVTSSTTNDQSIAVTSDEKSIPETPEEEFSVIDRRNGAVPGSKNIPETREDEEQATANDYMDDAARQAYVSVTGKAKIYDEEGDDPEVKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIDFLQLRGPQQSANSLKSLSPTMTSILSHNFRSSRRRSPEDPSERFLKELRYDLEMVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNHVITSEELEEIMEEAMHILWEFIKADKVETTPTSVLKGLSSTHVELQDPSDHDLMAHIHAALQKKEKRLKDLLRTGNCIVKKFKKPKEDRSNQNLFFSQVDMKLVARVLRMPRITSEQLQWCKAKLDKIIPVDRKIHREASFLLFPC >PVH65157 pep chromosome:PHallii_v3.1:2:53740882:53741757:1 gene:PAHAL_2G434800 transcript:PVH65157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRWKSFLGAFPHIDTAIEAADADGLLSRDEIRSARSRIVEMLCDAADNDDEKAEGFCVLLDKAMAASLATLRAVPSERIALASDDLVGAVGALMRDHASERVRGLARDVVRGWRAGVKAKLARARAAMDVLDGLSSTPPPPHQDSDTKAKKIPEKQPRPRKTAVVSSCRVSTAESYGPLPKNRSPVVRTSNVKPSSANTGAPAVVPVPAQPKMTPPVVACSVAVEKNMEATKRKLHEEAEDAKRQRTIEVIRPPRPPTGQRQRNAHPAVRARAPAGELRVVRGSSSCM >PVH63393 pep chromosome:PHallii_v3.1:2:1387228:1388559:1 gene:PAHAL_2G020000 transcript:PVH63393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPRRSGISYVENDSNRSITFFKRRAGLYKAAADLSTLTGARIAMALESEIGKMSSFGTPSAGPIIDSFLSGNIPVDPSVNEEKKGEITHLQNEVFMAEKEKYMEDRRKQETSARAKEIQETSRKAKLVYGKVEDLSVEELNEMVHDLSQIEQEINDGRHPQQPSYIEVGGSRDPFLGRLSSSSSRSQIQMPPRRLPWTPRQPSLHLPRSSWSLPQPSRSQSSLLNPSLLPSAQAQPRPLFQHNLMLQYPSVVEPQITLCNEFPPPSSSHEPPTPLPKETELHPVEQSENHASAQDITIGHSFVNHHWPSPISSNEPFYDISLYRMNLNLGGHGDYGGQAVGEHDMPGPSGLHQQGYDYPYRNFLGSFSSGECPEDYGPENNLGDMGCLGDS >PAN15522 pep chromosome:PHallii_v3.1:2:57404250:57406725:1 gene:PAHAL_2G494300 transcript:PAN15522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASGRADDTADADMDEGFRAGNHVRGTSSVGYVRGGGSPPGSPPRPHSPRMFVPQSPVTPLQRAAEVPPPVFNQILMNQQQDDSDGPPQKKIPTLLTWTLGGRNIYVEGSWDNWTSKKPVEKSGKDHTILLMLSSGVHRYRFIVDGERRFIPDLPCETDNMGQVVNLVDVHDFIPESVESVSELMAPPSPDSSYGFHVPGEKEFAKEPPQLPAQLYLGVLNSRSSEEGCARPRHVVLDHLYIEKGWGSQPLVALGYTHRFRSKYVTCVLYKAIER >PVH63368 pep chromosome:PHallii_v3.1:2:961839:965489:1 gene:PAHAL_2G014200 transcript:PVH63368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVSSAVVQETLSKVLSGLVQKYEEKEESNGNRNLERLEMAHIRLEAALETSERWQITDTSMSHWRWKLKRAAQECDDSLHKCKQRILESEQMEQRVRNSSFPNRIAHATKSFVSSIINCDDNKLRRSTVQRFEWFANGATEFLRFVELRGTPRSHIPFYSLVNNIFAGKELHHKIIGGNQHPAFELWLAPFGTTEHGTEASLIFIQTDDSPSVGNIYFSIVLQVPESTDIFGTAIQCLQLFAPYVKCTVENIMKELTQLPTQCLSWVPSVYSNQQDRVRLQNRASQWMRPNSLCCKKHHQHELQHISNPGMVGWSDAFLEPVTEVNLQCQVSLSPYNKQKTLPFEDTLSLQDSSYLKAGIYFAPHRSLGHMLPANRSSEIVAIVGEDQHCLHVDVTLEQLEGIMLPKAIDYFHKNTEATIYKMIWKSKHSSALIQVEKASMSTQNFFFFLGKRKLLQGQDKEFIRGKFMISHLFYLWRVHVPVQLISAFKDWLQKEPQLYLKF >PAN10061 pep chromosome:PHallii_v3.1:2:5088264:5089621:-1 gene:PAHAL_2G069500 transcript:PAN10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCDVAFGFRTLAALHSLKCQKSEPCAAAVGWCHHCCSYEFRALWNSESPSPAVLPLPWHAFQVKKHYDSATYMEYCLATIVGIYIRSTFVSMISALPLPQHHNCMVTIHGIGMIACCK >PAN11077 pep chromosome:PHallii_v3.1:2:13213345:13214630:-1 gene:PAHAL_2G140000 transcript:PAN11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSYTVLGGRVRLVIYLNKVYDWMMVLMMILHVFRVYLKGGFKKPRELTWVTCVVFAVLIASFGVTGYSLPWDQIGY >PAN12887 pep chromosome:PHallii_v3.1:2:45341615:45343155:-1 gene:PAHAL_2G298300 transcript:PAN12887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFTEGTEAVSRKKGAAAGAN >PAN10032 pep chromosome:PHallii_v3.1:2:4940320:4947851:1 gene:PAHAL_2G067300 transcript:PAN10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGVNQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNEFLFGSLDVPLVNLQTSLRNYEPSDAPFDISSVPKETKSQPLAEKKSTGKKPTGPASAVSGPVSTVDASYEKLLSSIPEFADFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQYNCTNTIPEQLLEQVVVFVDASEADEFLEVASKPLESLPYDSPGQTFVAFEKPEGVIATGKFSNILKFIVKEVDPSTGEAEDDGVEDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGVREGLAEAVSAVISILGMQPCEGTDAVPSNSRSHTCLLSGVFIGNVKVLVRLSFGITSSKEVAMKLAVRSDDPEISDKIHEIVANG >PAN10166 pep chromosome:PHallii_v3.1:2:5639303:5645058:-1 gene:PAHAL_2G075200 transcript:PAN10166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIRRESGGGGGGAAARRAEAPCQICGDDVGVGFDGEPFVACNECAFPVCRACYEYERREGSQACPQCRTRYKRLKGCPRVAGDEEEDGVDDLEGEFGLHHGGEGDDDPQHVAASMLRAHMSYGRGDAQHPAGFGAVPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSLPVQPRSMDPSKDLAAYGYGSVAWSDRMERWKKNQERLQHARSEGGGDWDGEDADLPLMDEARQPLSRKVPIPSSRINPYRMIIVIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRDRRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCLSCCCSRNKNKKKNTKPKTEKKKRLFFKKAENPSPAYALGEIEEGAPGADVEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASIWFMALFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >PAN15356 pep chromosome:PHallii_v3.1:2:56595131:56599686:1 gene:PAHAL_2G482500 transcript:PAN15356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWAIFNRPEPAPHHPPPACSHVPSVRVAIDPLPTPSLLSHPSRALHIAFPPSPPTAPAAPAPEMSDEGGAGEPLSDSQKREIAVWFLSNAPAGEIHYVAKDVRALLGDDAVYEAAAAEAFPEYNKAHLVSLELPDRSGDIIITTYGELDRNNYLDPRTAQVATVDHIKQTCTKLRPAADEELPSAYIEEFRSALDVELSKYVAEAYPKGVCAVYCTSGKDIEGPGADFGFAVVISAAKCSPQNFCNGSWRSIWTMDFNYELQFVDIKGKIQVDAHYFEEGNVQLDTNIDCKDSTIMQSPDDCAVSITNIIRHHESEYLSSLEESYLNLSDATFKDLRRKLPVTRTLFPWHNTLAFSLTRDLAKELALGK >PVH65183 pep chromosome:PHallii_v3.1:2:53934145:53939976:-1 gene:PAHAL_2G437600 transcript:PVH65183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAVVSAAEGSIHTPLGKLGTILIQQAQLVGGVRGQLQYLKDELESMMAFLQDLSEKDEHRRQVKIWMKQVREVAYDVEDCIDGFKHHIGNSSNNYGRGSAAFFHRITQLLRTIRVRHQIARQIRELKTRATNISDRNSRYGGSHIMSGASGNCIATYCTPANLFNLDARISALFPERNQLVGIEPRQDNIFRWLMEEHTQQLRVISLFGFGGLGKTTLAMTAYQSLSATSACFKYQAFATVSQKFDVKVLMSDILRQIIQPCYHPGRVRTGEAPIEDPLKGMENWDVGQLANMLRQQLENKKYLIVLDDIWSISAWEGIRFSLPDSNNGSRILVTTRIKAVAYSCCCHEYDRVYEIEPLTDHESRDLFFKRIFGGAANCPENLKEISAKILRKCGGTPLAIVSIAGLLGSKPGHSIEQWEKVYGSLGSELETSPSLEKLKEILELSYNDLPYQLKTCFLYLSIYPEDHNIRRKSLLRRWIAEHFVTEKRGLSVFEVAESYFDELVNRSIIQPVDVSITGKVKTFRVHDVMLEIIVYKSIEKNFVTLVGDQHTSVPQEKIRRLSVHSGGVVREISTREMLSHVRSLSIFANGEILQFGWMKVVKILDLEGCEFVRNKDLKNVCRLFQLEYLSLRKTRIMELPTKIGNLQKLETLDIRQTSIKHLPHGITNLARLENLLGGRRSYNHDGLWPISKFWGATVPNKLGNLVSLTTLAEIEITDVTSRYISELGKLSQLRKLGVMMFVDDDNSWASLICALEKLSSSLCSLLLWQRDGAINFNSLDSLSRTPVFMKSMNFRGRLGKLPKWFPLLSSLAELTLRATELSVEVDLQVLAQLPCLLYLRLHHSAFTSTEFRVSASEFPCLKLLVIQTAVFETLKVRFEEGALPKLDRLELSLFEDASIQELSGIKFLHSLKEVTVCTCPGNSTEDAMRNLMIEAEENLNKPTVTIKAKQWITEESRYRNVQLTSQSA >PAN09350 pep chromosome:PHallii_v3.1:2:1108067:1110732:-1 gene:PAHAL_2G016400 transcript:PAN09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEIGLVLARASDLRSRISACAAAARPEEPVKRLGAAGGVEEGEDEDEQEEEVDSLVGISDALESLERQLAALQDLQHQQRYERETILSQIDRSRRSLLSKLKEYKGQDHEVIHEAAAFAGEKIEHDDGLILPPYSNHVTNSFVLDDLYPLKYVSKPKRLHNGLDPFGAAQDGTRTNRTEDRNTGTPNRGLLAGVGSFIGWMAKMAVMAAGAVSIMKAAGYEPVIGTNSIKLDIAGLFGKAAAGKEEQGARRCPPGKVMVLEDGRAHCVVKERVEVPFGTDLASPDASYGLG >PVH63581 pep chromosome:PHallii_v3.1:2:4467928:4471400:1 gene:PAHAL_2G060400 transcript:PVH63581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGPVAKWRPFSSTPSANPNSPWLAAPAALVGGEPRNLQPYERSSTGEMEEMRSRGDGVESTMRGICENLRAALCIPGAGGNRRPPPTLSPPDPGGEQREDLISALPEDVLLSLWFPHPADPLALARSRAALAARAAGPPALRLLRVVAYDADPGDAAAVLRLAAARLTRAVFIRNVVPECRKKAVVARAGARAAVVLPCFDRTEQLILCLGYLRLVMPLSGAFAKLTVLHLANVRFQGACDLGDVLSTARCASLRKLSLLSVDLCNLEGLQQLTVVAPMLRELFIVSCFFMRQPVADISAPVLENLRWSDVYDPRSVQLGELAQLRILVTCCFAGYGLFEYMKNCGTVLLLQHFQKIAFLGMLIYPINMVNYQYLMEATALLPDIENLNLCLVRRGHVIGTCVFHMLKISTSIRRFNLDIHEGIKENAACSPGCVCHQPHDWETKELRFNSLQELRICGLNGADCDFAFVKRLSGWAPVLRTITIIFDPSAVVNEELCKELLGLSGPETCMKIYLYRNGAKVMYTPAG >PAN14049 pep chromosome:PHallii_v3.1:2:50433340:50439198:1 gene:PAHAL_2G380800 transcript:PAN14049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSTPSFDLSAGAEPTLGPRPPVAPPPPPTPAAAGAHHHHHHQPPVSEAAARRLREAEERLREAIEELHRHQGSAGKGEGEEQREGEWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRRSYGSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPYNAILAGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSSKSKNKFHFWGSHWRHGDALLFSLASAQVMYAFVMRPESLPKSYQEFILKTGPVAEPVYKVVRECCRGGPVDLTALSTYLSNKRNSDLINLTTNPSIIPCSVIHPDRASCLAQNVNVVSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTLFQASICLHRKVANKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCICMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNRNTSYSYLQTLNALEQSRTQPGVDNGPPTSEKYNLESIPGL >PAN10365 pep chromosome:PHallii_v3.1:2:6636887:6638557:-1 gene:PAHAL_2G090100 transcript:PAN10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAADTSKPFFPAAPNQALLPSRSAAARASLDGSYWRAFRSSELVSGADFPVTDLTFAPAASASSSPTLAAAWSTSVHLFSGDPLEPLRRISVAGDLAFSPSFRCDGALLAAGDKKGVVRVFRADKPAAGAALRTLRSHAAETRVVRYPVAGGDKLHLFTAGDDALLTYWDVPSETPVFSVPAAHRDYIRGGAASPADHNLFATGSYDRSVKLWDARTGNTGPSLSFSHGELVESVLFLPSGGLLATAGGNVVKIWDVIGGGRLMHSMESHVKTVMALALAKMVNAGETRLLSAGIDGYVKSFDFGKLKITHSLRHLQPLLSVACSPCGTVLVAGSAKGKIYMGKRKKKAVDEEDEGKKAGSGEIDWVSPEPEKPVLRPNYYRYFLRGQNEKAKEGDFVIEKPKKVKVAEHDKLLRKFRHKDALVSALVKNNPRSAVAVMEELVARRKLVRCIGNLDTEELGLLLEFLRRNATLPRYARFLLGVANKVLEMREEDIRSDEKLRMHIRNLKRMVGEEIQIQHTLQGIQGMILPMLALATR >PAN12829 pep chromosome:PHallii_v3.1:2:45021328:45023528:-1 gene:PAHAL_2G293800 transcript:PAN12829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNLISYCILLAFLLAAPLTNASALDQAALLRQFIRSRSRGQSADGPAETGPWADPERSFGHLPTYCKKPKGSREADRITALPGQPPRVNFEQYAGYVTVDEEHGRALFYYFVESPYDAASKPLVLWLNGGPGCSSLGAGAMEELGPFRVNPDGKTLSRNRHAWNNVANVIFLESPAGVGFSYSNTSSDYGKSGDLRTAVDSYVFLLHWLERFPEYKGRDFYIAGESYAGHYVPELATVIVAVRKHTGKDPTNLKGIFVGNPFLDNYKNEKGSLKFLWNHGVMSDEMWADITKHCSFGPSDGVSCEEAKSPFNQRNFFTTAGRIDPYNIYAPICIQAPNGTTYSSGYLPGYDPCINHYVPAYFNRVEVKEAIHARVNRHWSACASNLHWNWKEAPVSMVPTLSWLVHTGLRVWLYSGDMDDVCPITATRYSVQDLNLTITKPWRPWYTPANEVGGYIQQYKGGFTFASVRGAGHLVPSFQPKRSLVLFYSFLKGVLPPAVSLWQP >PVH64630 pep chromosome:PHallii_v3.1:2:46617742:46618039:1 gene:PAHAL_2G318600 transcript:PVH64630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVECKKRNITKTVQMEGYRNRTIVANDENRLITCERITWGTRTSRYIIAGSFMFNPFT >PVH64231 pep chromosome:PHallii_v3.1:2:37065748:37066290:-1 gene:PAHAL_2G218900 transcript:PVH64231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTTALEGHGVYGHAGRSGPECPRQRMRTSASRGAQCGTGISHGQRHSQRTLHQEQRGPRPQAERRAGSSAGGRDRRCTGPHAAAGEARGARAGRGRVARRMVTKRKRCRQWRSGRAAAAGAHGAHSARLRPAAARPSDRRRRGATRSVFMAGPRCCGMRRRQRRAWHRRRRRLLGQRE >PAN14648 pep chromosome:PHallii_v3.1:2:57398358:57399677:-1 gene:PAHAL_2G494100 transcript:PAN14648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLATVDHTRYAASTQCFHVQFSVRVHHASLIDMISAAAAKQAICHHHTALLEHPQFYLFIYLFLLNVLVRLLRNYHGLFIDACKNGSPSRERERERERERDDGADGEQVSVGGLSDSRALDAASTVTISPKATHWAGTRCPLVRRSSSNPLHQPALPQCPSSPPQFVSAGAALQALSSRRARVRLSFHRSTTPPSNSSPVPRARSPPAPLLDWYRKRRSIRASIASLSLGGDPMIAMKPEQLLSWKQISTLINHVKH >PVH64374 pep chromosome:PHallii_v3.1:2:41802353:41803208:-1 gene:PAHAL_2G252500 transcript:PVH64374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYCPIVPVYKMCTNEWSNKSLSTCFTAIVQVAIYVCSRPQVPPYPTIVLWLLIIMSIESLYSNSPEQHMTGKKRDACLTKSID >PAN11803 pep chromosome:PHallii_v3.1:2:36958458:36958577:-1 gene:PAHAL_2G218200 transcript:PAN11803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISARNLKLKGLKRALKEQKVRLYIIRRCVTILVSWHD >PAN13439 pep chromosome:PHallii_v3.1:2:47753672:47758405:-1 gene:PAHAL_2G336500 transcript:PAN13439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAVVDAGDAPEPTVQNLLDQDTLKWVFVGGKGGVGKTTCSSILSVLLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDEINEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEPVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLSEEVCGVQALQNFSRHFLTPYKSALKRGTVEELEQRVSILKSALQEAESELDRVKKGKQAA >PAN10569 pep chromosome:PHallii_v3.1:2:8047630:8049891:-1 gene:PAHAL_2G102200 transcript:PAN10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQKEDQNSGQVSTNPSVTPAETNNQTPADKPGKESSSSSAASSEDIDDDEFFQIEGPILGSTLSFGQNPVITDIRQQSSSSSNHGSHAPTDPKQSPSVQAMSRAPDECPDPKRIPSSIFARSKSSSPTDWSVTSNESLFSINVGNASFSKDHFFLYGKSGELSNPNDPLAPLPPLPRPSTSSSPMRSEKAKITAQASAKLKPAATREGDQDGDGTTDYIHSLSHRSDASATSFAFPILASDERNSGSVKDDPPELARQSTSHSQSSQQAEPPAEQQEAPKVQAEAKTREADQEAPEPTPELAPAPAPASAAEPTPQPSAAAKWFPCCSCCPFCC >PAN12069 pep chromosome:PHallii_v3.1:2:40676314:40678625:-1 gene:PAHAL_2G240700 transcript:PAN12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKNDPARRASSSSSAAGRDGRAPWLRLTAFAVLTVHSAFSGYLAWDDARLVALVAVGYLLMLVLLFYGGLVGLQKRD >PAN14559 pep chromosome:PHallii_v3.1:2:52810916:52818044:1 gene:PAHAL_2G419500 transcript:PAN14559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPLPRPAMLPLLLLAVLSVTAAAASSRPHPLDPLTPAEITAVRAAVLASPLVPARPLTFHYVGLDEPDKPDVLAYAYGGGTARRRARRQPLQRRALVIARAGGQSHELRVEVARNGSSSAAATVLSHAVHRGAGFPTLTLEEQFAAVALPPAYPPFVDSVRRRGVDMGDVLCAVFPVGWFGDAGAPRRVAKMLCFVAGATANFYARPIEGVTMVVDLDRMAIVGYRDRVAYPVPKAEGTDYRAGKTGPPLAGPQPAPGVVVQPEGRGFHIDGNIVRWANWEFHVGFDMRAGTVISLASLHDADAGARRRVLYRGFVSEVFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGADCPANAAYFDGSYAGQDGKPVGGENRICVFERYAGDVAWRHTEAGFPDRLITEVRPDVTLVVRMVVSPGNYDYILDWEFKTSGSIKFVVSLTGLLEVKGTPYTHADEITADAHGTLVSENTLAIYHDHYVTYHLDLDVDGTSNSFVKNVITTRRNTGSPATGGADTPRRSYWTVRREVAETEADGQVDVGAGPADLLFVNPGKKTRLGNEVGYRLIPAGATAASVLADDDFPQRRASYTKKQVWVTPYSKSEKWAAGLYADQSTGDDGLAAWSGRNRGIRDEDIVLWYTLGLHHIPYQEDFPVMPTLSGGFELRPSNFFERNPILRARPASMPGHSANCSCDAR >PAN10640 pep chromosome:PHallii_v3.1:2:8505761:8508959:1 gene:PAHAL_2G107300 transcript:PAN10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNEVTGVSPVADEWDMLPLTSSVYTSPLFRKGFDPINLPGYGDVSNSQDGTHTGLFMSDGFVFPPSEHENLPIEPEHEILPVQSEHDESITNSNGREDRCAGSNDDEWCHVSPEEVECMSNENLSVNNELPAANETPLPDSKSKETNAKQDKDHTTCKADIPCEGWWKRKSTYLFHHIKGVTTVCSVVAAGAVVGFVVMSQRWQQDHLHLHQFQFSVNGESMSRVIGAFSRLKDGLPGSEQLRSLLPTRVLPQQPLSA >PAN14796 pep chromosome:PHallii_v3.1:2:54333402:54336346:1 gene:PAHAL_2G445200 transcript:PAN14796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLFAAAVLAAVASLAAHVGLNCPIEPVPSPPPPPTPPPNNLLQRLEKLGEGALDAPEDVHVDAAAGGALYTATRDGWLHKMHPGNSSWERWRFVGGTGLLGVTPSADGTMLVCDADKGLLRVGEEGVTLLASEVDGSPIRFADAAIEASDGAVYFSDASTRFGFDRWFHDFFESRATGRLLRYDPRTGHTSVVLDRLGFANGVALPRDEAFVVVCESSRFRCMKVWLKGEKAGQAETFIDNLPGCPDNIRLGSDGYFWIALLPSRSPWLDLITRWSLAKRLVATFPALLEWSKSTMKGAMVAQVSEDGDIIRVLDDSQGKVINFVTSVNEFNGDIFLGSLATNFIGKLSLAQVTTQEQGTVSS >PVH63446 pep chromosome:PHallii_v3.1:2:2200328:2201608:1 gene:PAHAL_2G030900 transcript:PVH63446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPCACCRQSSVCTPVLQFELLKSEHPVQKSFIFDSSRRKLPTSGIEFASSILIRAQPTSQVGFVRNIIPIPQMDFVRMSLGNML >PAN09369 pep chromosome:PHallii_v3.1:2:1213990:1216718:1 gene:PAHAL_2G017600 transcript:PAN09369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVDVRAEQAVPVARDGVPDEEVHGRVLQHVDEDAAVAAVGARVGEVGHQCGGGEARGGVPLDDLAPVEEAEEGRCSVEGAVPAAEGGGAEDAEPRLVDEGRAEEVLRLVGQEAPWCGRARRMRVGWGKGTTRVSETAAARVLDCYWVGLDHGHTYLCHAGWLSKNYLKMIGGCKILWRKNKNFWWWDCLIKGLFSSP >PVH65010 pep chromosome:PHallii_v3.1:2:51718831:51719243:-1 gene:PAHAL_2G400700 transcript:PVH65010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQQRVKISSAAAGTESNQVRGRELSPPIRTGRSNSTTTARETPLSLSLSLSLSLSKLFFSLFR >PVH65165 pep chromosome:PHallii_v3.1:2:53800523:53801768:1 gene:PAHAL_2G435600 transcript:PVH65165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSPPFSAPHARRQPEYWPTMVSCQGGIGSCSAGQPGARTCAAYSPRASRIGTARAAHAHAHLRPARHRAVPGSPCSSWCSLFFPRLLWPRCGRAGRRGALVAALKSA >PAN15422 pep chromosome:PHallii_v3.1:2:56822468:56825037:1 gene:PAHAL_2G486600 transcript:PAN15422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSSASAARLRLITVPALLLLLSSAALLVFLILPSLSPSSSATSAHLCACSPPSTHTTTTVTTTTTTASPAPVTTSPADVAWLKAQLASNSLLAGGAAASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEASNHMALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASTLTPTDEVLEIGCGTLRVGLHFIRYLEAGRFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSKFGDTVMYDLIYASAVFLHIPDKLVWIGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTQRLAKLGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >PAN14208 pep chromosome:PHallii_v3.1:2:51122449:51123638:1 gene:PAHAL_2G391500 transcript:PAN14208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPCTFQLSAKTAAAPASRRVSPRAAAQGQGLRTPLLGSGAARRGFGWLRPSRLSRVVPASESGRVGPTCWFRFGNKDAEGAGIYGSQARDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDRPKIEELLRAGAKYDVKDVDGRTALDRASDETREFILGFAVKKA >PAN09282 pep chromosome:PHallii_v3.1:2:486816:493899:1 gene:PAHAL_2G007600 transcript:PAN09282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDADDDDDDVIEVVGEDRRPQLQQPQQQRHQELDDDVDDDEEDDARSHAHARSGGYHSEEVDGEAENGGEGEGESEGQVGMEEESEGEAHRADLDQAESDGDKVQSSPERELDDQRMEPDARGMDSEDEGYQQRTVSSRRRGVVASESEGSEDNYYADGGQEDEEAHQTRKPSSPMEEERDQEVVRDVFGDSDEDEPAPYGARHEIDEDSHRSLMEDEGQYEKDMQPDDVVADEDMQYESDENRELKPKEKPVGPPLDLVVPLRQPPARPDKMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVRNADGTTSCESNARFVKWKDGSMQLLIGNEVLDISVHDAHHDQSHLFLRNGKGILQSQGRLLQKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFETKDPEKVKQENERALGQNIRAHSILQRKREKVNRKYTQPARPRRQLSPGFLEDALDEDEEPDYGSRRVPGRRRFEDELEAEALAERRIINAKKSNMSRNVPRKPSYAPARPPRRQADEYSESEREESEYETDGEDIEHSPTRGREDELDEEDEYEEDAEEEAPLSDEEMEAPKRKRESGGGSHRREELVSEEEDDDSPPRKQQAVQHRRKAVVFDDSDED >PVH65151 pep chromosome:PHallii_v3.1:2:53707383:53709950:-1 gene:PAHAL_2G434200 transcript:PVH65151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMAEVSTTTGAALRSPASPAPAPVKEGDAEKLRFIEEMTSDVDAVQERVLAEILARNADTEYLTNCGLAGATDRATFRAKVPMATYEDLQPYIRRIADGDRSPILSGHPVSEFLTSSGTSAGERKLMPTIEDELNRRQLLYSLQMPVMNLYVPGMDKGKALHFLFVKSETKTPGGLAARPVLTSYYKSNHFKNRPFDAYNNYTSPTAAILCADAFQSMYAQMVCGLVQRHDVLRVGAVFASGLLRAIRFLQLNWEQLAADIETGALTPRVTDPSVREAVADILRPDSELAAVIRAECSSGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTLMPNMCYFEFLPMDAAASGGDASQLVDLARVELGREYELVITTYAGLNRYRVGDVLQVTGFHNSAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGATVVEYTSQAYTKSIPGHYVIYWELLAKTPADGVGAGEAVDRETLDQCCLQMEEALNSVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIIELLDSRVVSSHFSPALPHWTPGQRNDD >PAN10457 pep chromosome:PHallii_v3.1:2:7278522:7283168:-1 gene:PAHAL_2G095700 transcript:PAN10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPASWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTKNSFALDSKSTIGVEFATRTLQVENKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKVMTFENVKRWLKELRDHADSNIVVMLIGNKIDLRHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEIYRVISKKPLSSEQSVSGSGNIKEGQSIQVSATNSSTLTSRCCSS >PAN10456 pep chromosome:PHallii_v3.1:2:7278500:7283601:-1 gene:PAHAL_2G095700 transcript:PAN10456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPASWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTKNSFALDSKSTIGVEFATRTLQVENKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKVMTFENVKRWLKELRDHADSNIVVMLIGNKIDLRHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEIYRVISKKPLSSEQSVSGSGNIKEGQSIQVSATNSSTLTSRCCSS >PAN14427 pep chromosome:PHallii_v3.1:2:52202787:52203509:1 gene:PAHAL_2G409300 transcript:PAN14427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPMSRVWAAATVAAVRAQRERAPSAGARDRLAGLAPQAAALAGARAAAGDGRRQAGADESLRKAMYLSCWGPS >PAN13248 pep chromosome:PHallii_v3.1:2:46890835:46891844:1 gene:PAHAL_2G323100 transcript:PAN13248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGPTGAKTMERCVLAERTNSRRRRRRTEECGGGAAGQKRLRTSRMVALQSGQLPRPARSSRAQARQKVWPQGMKAAPLPRATHTQHRPPTPPPPPFSFSSAGASSSASTRFQCPPAARAAHCCSVRSSSAIRDTLSPRAAGAGSGSAATVPPVAGQTPRRADAAAAAAGARSSSASRMTSRSVRRLLAARSPSPSSSRSLRRSRRLDDAASCSASVTPRRGRPGGTRTSIPRLARAPPTQDWSR >PAN12494 pep chromosome:PHallii_v3.1:2:43230733:43232846:-1 gene:PAHAL_2G268600 transcript:PAN12494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVVTSELVPPSEPTPRGALWLSNLDLAARNGYTPTVYFFRRPDDGFCCGDGKPPRGFFSADVMRAALAAALVPFYPFAGRLGVGRDGRAEIDCNAEGALFVVARSAGALDDVFEGFAPSEAMRDMFVPAYESAGPGAPLLLLQVTFFQCGGVALGTAMHHFVIDGRSAFNFIRTWAGIARGDAGAAVVPSLDRTPLRARPQPAVLFDHTHEYGGGRRITAAGGSSKQDYASAILRVSGAQTAALRARAGAVSTFRALVAHVWRCACTARALAPDAESRLYTMVDMRGRLSPPLPEAFFGNAVARTSASARVGDLLGGPLGFGARRLRAATGHGDGYARSLVDYLETADPAALPRGGLPGTDLRVISWLGMPSYDADFGWGEPALLAPALMYYPGFVYLLNCPGKEGGVAVAAALEPERMERFKELFFEGLATVV >PAN12916 pep chromosome:PHallii_v3.1:2:48891848:48901252:-1 gene:PAHAL_2G355300 transcript:PAN12916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGNMNNDNIIDLISDSDDDFDQQTASTITSFGQNGEGLVPISFEDEDWQRGAPAPSSSRHTHNNNGQYRTLPPSFTNGRPTESARYTFGSGDMTRPHPSYMAARHDSARGLSVSNRVDSVGEKHASSAADANDNSKRVLPSSFSNGNTSKFTGANVASATRKLPPLSTDRNSQNLGENRMGTNMTNGNVHPSSSRMAIGSFSASNTQRENDDDDVIVYGGPSSHRVLPSSFGVSNSNNSEVNGFGAQGRLNPENRILDSDERAVYQEALQHISREKREDDLPEGVLTVPLLKHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKERSQQSKFMSVDSDRLKSEALNLDEDDEGEQTVNDEPKKDQGVISLSTAAGTSSVVPCVSQPNNVLDKMDESKVERKKKAKACTSSVSTTRSMTRPAAGTLVVCPASVLKQWANELTDKVSESAKLSVLVYHGGLRTKDPSELAKYDVVVTTYTIVANEVPKQIADDDSDQKNSEEPSAGNKRKPPSNAKSKSKKKKKRLDSDFDLDSGPIARVRWFRVVLDEAQTIKNYRTIVARACCGLRAKRRWCLSGTPIQNAIDELFSYFRFLKYDPYSTFNSFCTMIKHPIARDAVLGYKKLQAVLRVVLLRRTKETLINGVPIINLPPKTINLNKVDFSHEERSFYLTLEERSRQQFKAFAAAGTLKQNYANILLMLLRLRQACDHPLLVKGNQSEYGGDGSIEMAKQLPKEMVIDLLAKVEVGSALCTLCNDPPEDAVVTICGHVFCYQCIHERITTDENMCPAPNCSKTLSLESLFSSGALRICISGKSSSAGSSSSADNESSSISQSSYVSSKIQAAIDILNSIINTDALTESDTVESNRSRVAPAKAIVFSQWTGMLDLLELSLNTNLIQYRRLDGTMSLNLRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEEKRAMVSSAFGEDKSGGHTTRLTVEDLRYLFKI >PVH64105 pep chromosome:PHallii_v3.1:2:28924249:28925873:-1 gene:PAHAL_2G188300 transcript:PVH64105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSPLGGDDADDFYFGYDAGYHRSSGAAGKSAKKEKEKEKEKKEKEKEKGFLSCFPCLIPCSPGAVEPTAHRRLLSSDSSDSDNAAAMNITADLARLRARYSRLAAGPPVRPRDVPALVARPDDPALAVSALSWLGGDLRPSCMLLALLPALFPSLPAHTRHALAAAARRLSAREAALDGEVAEYRSTYAMKLACEKTKDGVAETAAEEMCKMARAARRADKLRSRAVEVAVKEVLAPAQAREFLKAVEDVAGKVSRHGTRWHARAGTLTVPVEAFERVRANARAATDDAW >PAN14247 pep chromosome:PHallii_v3.1:2:51348518:51351031:1 gene:PAHAL_2G394300 transcript:PAN14247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQPQNGADFLEPSVLLDETHYQEGYKNGYHDGLSSGKEDGRQVGLKMGFQVSEELGFYQGCLDVWMSATRIDQNVFSARVMKNIEQLAALVSSYPLSDPENEQVQDVMEKIRLKFRVITASLGVKLEYEGRPASSKQDVEDL >PAN15441 pep chromosome:PHallii_v3.1:2:56973196:56977978:-1 gene:PAHAL_2G488300 transcript:PAN15441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQKGNVLMQKYEMGKMLGQGTFAKVYHARNTKTLESVAIKVIDKEKVMKVGLIDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFSTDVRRLLLRILDPNPSTRISMEKIMENPWFRKGLDAKLLKYNLQTKDAPPVEVNADFDSMSTITATEGKQQEARKPANMNAFDIISLSTGLDLSGMFEESDKKRESKFTSTKTSSTIISKIEDIAKNLRLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVSPNFHLVEIKKTNGDTLEYQKVFNQEMRPALTDIVWAWQGEQAKQQQQTSC >PVH63777 pep chromosome:PHallii_v3.1:2:8184069:8185471:1 gene:PAHAL_2G104000 transcript:PVH63777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEGNVTHTNISRGDEAHGQRGQRGTAPINKRFAAISKLLHLPGPVPVVYISRRSATIQGEIDRHGFVATAAAAIEDPGRPRRSPASSAATSRRRSPQPPDLLHQQRRRMQGAATSGSDLIWKWYVSPEARRDGEVGHVSCRVHA >PVH63856 pep chromosome:PHallii_v3.1:2:10360296:10361222:-1 gene:PAHAL_2G121800 transcript:PVH63856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPVEVAAYPIGLFPTIDPGNTDWDFRTDHHGHLLGDLAEETVRMVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEDPEEIEGISEIDSEHGDPVLSPHHSLSGSQSSVGNFDDF >PVH63413 pep chromosome:PHallii_v3.1:2:1579048:1580350:-1 gene:PAHAL_2G022400 transcript:PVH63413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRPIINIYAAIIDDAAAGSEGADRGVNNRRDRRMIKLIRRMPSCMDYMLASLYVTYIDYVLLFLCTMRFV >PAN11094 pep chromosome:PHallii_v3.1:2:14523805:14530320:-1 gene:PAHAL_2G146900 transcript:PAN11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRSGFKRQFFSGKGVKCKIRSARQPSRSGPRASGSSPLSVSLHLGLGLSPLLLFLPWRRRRLGERRRHLSRLRPALCRQRASTRKMINLFKIKGQKKEEAASAAGKAPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIMIRPDEGYYMGGTFIFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGQHYFPRCA >PAN15518 pep chromosome:PHallii_v3.1:2:57391752:57393739:1 gene:PAHAL_2G493800 transcript:PAN15518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNMSAYSSKQRAAPKRAYVTFLAGDGDYWKGVVGLAKGLRRVRSAYPLVVAVLPDVPEEHRRKLREQGCVVREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVYENIDHLLDLEKGRFYAVMDCFCEKTWSHTPQYKIGYCQQCPERVSWPEQEQELGPPPPPYFNAGMFVHEPSLGTAKDLLDALVVTPPTPFAEQDFLNMFFRDVYSPIPPVYNLVLAMLWRHPDKVELGKVKVVHYCAAGSKPWRYTGQEPNMEREDIKMLVKKWWDVFNDESLDYKGPAVDGEARQPLRQALAEAGAAKYFPAPSAA >PAN09495 pep chromosome:PHallii_v3.1:2:1999526:2000068:-1 gene:PAHAL_2G027900 transcript:PAN09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQERLHLSLTLAPAAGRREEADEVAAPTAYVGGKQVRLFACLFCDKKFLKSQALGGHQNAHKKDRAAACWNPHVYGHDAAALTPDSVGLLSIPIALHGVTDVKVEVPDGSTRLFADHVLLPVGAGAANPSAGAAGRGGTVEILNWKRPTSRISAPPEIANAGTAPSSSGEELDLELRL >PAN12699 pep chromosome:PHallii_v3.1:2:44432183:44435048:1 gene:PAHAL_2G285000 transcript:PAN12699 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein MALE STERILITY 1 [Source:Projected from Arabidopsis thaliana (AT5G22260) UniProtKB/Swiss-Prot;Acc:Q9FMS5] MAANDRTMAISLGSSRRRKRGEMLFRFESFCQPGYPASLPGGGAFRDNVRALLGLAHLEAGAQGETRCWSFQLELHRHPPTVVRLFVVEEEVGASPQRQCHLCRHVGWGRNLICTKRFHFVLPKRELPVEADGLNYGINHGPEKPSKGTATSRDHLLHGVVHINGFGHLVALHGFEGGSDFIAGHQIMDLWDRICAALNVRMVSLVDTARKGHMELRLLHGVAYGDTWFGRWGYRFGRPSYGVALQSYQQSLHALQSVPLCVLVPHLSCFSKDLPVVVTKYQAISGHKLLNLGDLLRFMLELRTRLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRTEPPARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAAGSVPSCGGKVRVRFQLTRAQLMRDLAHLYRHVLKEPSQALTTGAFGAIPVAVRMVLDTKHFVKDYHEGFAPINSVGVGHAHINLCCTLLVRDGSPELVAPYETVTLPARATVGELKWEAQRVFREMYLGLRTFTAESVVGLGVSQDACPVLGLIDVGSAVVVEGAVGELEDEALAADEGIPPGNEVTAVSEGGGDGERVVDCACGADDDDGERMACCDICEAWQHTRCAGIKDADDVPHVFVCSRCDNDVLSFPPLA >PVH64592 pep chromosome:PHallii_v3.1:2:46034486:46034965:1 gene:PAHAL_2G309600 transcript:PVH64592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSCGSCYVKAILGRMKPRCLEGKPHHCETSTARGRAEGRKDTLQDILYSPQSIEAEGIGAPSPRRHSPKVCPLNMDCSYENNSPAVGESFSIDRMSLRSQNSIARRVSFRLPDESDIFIIPARKDPEEYSTDDESTEDASEETDQNKPHYVITRYY >PVH64355 pep chromosome:PHallii_v3.1:2:41312048:41312420:1 gene:PAHAL_2G247300 transcript:PVH64355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAVLCGYYVCQFLMKNELYRMNPKNLPEIRTANTSLTKDDIDDICADMCRFIHCEIFHESGLYYCDESELAEDKYRQLRNWDSVR >PVH65168 pep chromosome:PHallii_v3.1:2:53825983:53831332:-1 gene:PAHAL_2G435900 transcript:PVH65168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESEGTALWRVRKAELANEATMERVRVELGCGDPRKVEDLEVIRSDYEAWVASQFRTLVHLMTPDWFSAKQSFVYPLLTLNSTTTTYEVLIRYNSCNAITAVVITRLRPMRFTDEPAPGYTAFPIGTLQVFTVKVAGIKRGLQWPLDVFGFVAVSDSIDNNRNIIFNRTRNNCQTLTEKDRYFVLTGPTRAVVWPAHVTIEVKLTMKGTTESEDKDLSFLAVPLSSSHAARYSYLFRRYKTSKVSTLEFTFGHIVESVEATIFVRVIDGSWPDSCCGQFAAFSTGVREKGFRTIDSQKIILLDSRGEKVLVTGDGEIELLRHVVSVEKRGTLKVYVKSWDWETSKGKKNVAEDEWVFAPQEAKRSYGRLDTGFCKMEVTVAWSLISYEPVVLNV >PVH65197 pep chromosome:PHallii_v3.1:2:53977871:53980611:-1 gene:PAHAL_2G438800 transcript:PVH65197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEDNCNEDKNTTEATVDAACIILRQCERSGTVRRVIHTASVTAASPLREDGGGGEYKDFINESCWTPLNLSYGFSNVHLDPGVRGGDTIQPHLWSSIPVIVAPLTGHAAHHNSLLFLQALLGSVPLAHVEDVCEAHAFCMDQSAMAGRFLCAAGYPSMRDILDRFAARFPEVKMRLQQVTGEGVRVRADTKKLEDLGFRYRYGVEETLDCSVECAKRLGEL >PVH64246 pep chromosome:PHallii_v3.1:2:37592233:37592754:-1 gene:PAHAL_2G221400 transcript:PVH64246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCPSFIVQCDFGFCCGCDSDPECQPPPPPPPPPQPSYTEVITVVNAPMLPAHPHIPHNQPPPPLAEPHQPPEAPMPATMAYDKPALPQTIPRQCVPFADEVYNSPLPVPRQPKPPKVPSKRYETPALSMVPTQAPAPAANVDPPALHQGPLEPSRDPILSMEYYSQEQHQE >PVH64554 pep chromosome:PHallii_v3.1:2:45604457:45605749:-1 gene:PAHAL_2G301600 transcript:PVH64554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQHHYHGGVATANLHCLTSPNPAFHAHCHSNMIAMPSTPFHFPPSTFEPIHEAPAVVGNSPAGSGSADDAYGGRMVMAEDERRRRRMVSNRESARRSRMRKQRQLTELWAQVVHLRGTNRRLLDELNQAMRGCSDMCCENAQLEKEKAELSTKLERLMQAQNTTTPSFSSEPRDDTATE >PAN11193 pep chromosome:PHallii_v3.1:2:33469066:33471929:1 gene:PAHAL_2G202700 transcript:PAN11193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42220) UniProtKB/Swiss-Prot;Acc:O48529] MADVGLSTAFSPLRGSWIAVRIRQGCKPAGVSLSPRRRRTSCAAAAVRAEVSFVDADEAKRLVAEEGFTVLDIRDRTQRERAYIKSSTHVPLFIENQDNDIGTIVKRQLHNNFAGLFFGLPFTKLNPDFAKTVKDKFSPESKLLVVCQEGLRSAAAADALEREGFQNIACITSGLQTVKPGTFESVGKSELQNAGKAGLVTIQGKISVVLGTVLISAYLFITFFPDQAEKLFDLAGISL >PAN14068 pep chromosome:PHallii_v3.1:2:50540062:50542203:1 gene:PAHAL_2G382200 transcript:PAN14068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALVLLPEWGSGHLMSMLESCKRVLLSGGGRAFSITLLVMRPPTAEATSEVEAHARREAASGLDIRFHRLPAVEPPADAIGVEEFIARYIGLHAPHVRDALAGMARPVAALVLDMFAAPLVDVARDLGVPSYVFMSSTGAMLAFMLHLPVLHEAGAAEFDEVEGPVEVPGLPPVPPASVPCPVVDKKSPNYTWFVRLGDRFMDATGIIANTAEGLEPGPLAAVAGGRSVPGRAAPPVYPIGPVLSLGDRSPSHECVAWLDAQPPASVVFLCFGSMGWFEPAQVVEITAALERCGHRFLWVLRGPPSSATGAGAPDGSEHPTDANLDELLPEGFLERTKGRGLVWPTWAPQKDILAHPAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADMGVAVPLRVDRKQDNFVEAAELERAVRSLMGSEGEEGRKAREKAAEMKAVCRDAVAGGGSSHAALQRLAEALHDGAAIPKK >PAN14128 pep chromosome:PHallii_v3.1:2:50779062:50783918:1 gene:PAHAL_2G385800 transcript:PAN14128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPHHGPAIQRPRPTGSNGPLNFAQKFHPCHYQIPFFKIKIEGSLSHASASLPPHAPSCAAPGHCQVGPTMQQPPPPAPAAASFSSAPASQSLVSRARTAIQSAAARVLTDIKADLRDADGSGGRSRAPSPRTSLDQEAEAGFMGQERDLKSPSPRDEVTETSPSGNEDCSSIPIESTSSTKLTFPPASVVKQLVAAIENGKNFNSMSDMRSAGDQLLKEKGGLSLSVVKSLVRRDREERSSSEFFGDEETQSLMYSLFKLEEQFPHDGNNGNPELLHSRSLSKDLQGAPPGSFIHHLAEVIGSISSVHKMAFFWQSVVLELRKLWSDWQPVPRMPLDAAPDLNSCLLHQEIQVVNCCIARKKRRKAAKESLDSLLKQASIDNSKPRSSNGESPDSEMYARDCTGGYVLRLGADRLSENLTLLETGEPIYSPTLQEGPIMTAELIKETEELVLRTGSLGAGCSQLLSDMQAFKAANPGCVLEDFVRWHSPPDWSEDCAANSNTVGEGSSRRGRLSDRMQTKEGNLWKELWEAAKPIPAVEQTPIYDEDLAVESIFDALEVIEPSKLFEQLLAVILSVCFVAAESVLAADGSLSKLFYDCKDYIVGIYQDDMSKEKLDEICKVYETMEAIVTHPEEALQIMEQPDEKSLENKNRFKLKLNFMAKDRPPLWKRAPKDEKRMSPKDDINTLEENNTKIFSNLFDKKVSIFSKKNVKSLEVPPTPPSSSPGPFDESEWTIL >PAN14459 pep chromosome:PHallii_v3.1:2:52354558:52365454:-1 gene:PAHAL_2G411800 transcript:PAN14459 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECAANRGKFAALQQSIQSCLDSIKGATAEGAVITEALASAGRVLEGPQADLVLQPLRLAVETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEQVSVSSASSVVKDTPSSSTKDSENGEISTDSQDEEKVTLGDALSMNRASEAPPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSRGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDTFTKNFHFIDSVKAYLSYALLRACVSSSPVVFQYACGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQKASVLRMLEKVCKDPQMLADVFVNYDCDLEGPNLFERMVSALSKIAQGSQIADTNSNVSSQTVSVKGSSLQCLVSILKSLADWEQLRRDSSKQGSIVESHEEDASRSLTTDEMKSQEDGRNQFERAKAHKSTMEAAVSEFNRKPAKGIEYLLSNKLIENKASSVAQFLKNTPSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTVSDAEECAPKELLEEIYDSIVKEEIKMKDDLHDASKTTKRPETEERGRLVNILNLALPRLKSASDTKAESEKIIKQTQALFRNQGQNKGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVSCMDGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSISATVMMGSNQISRDSVVQSLKELAGKPAEQIFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNNFTFQSDILKPFVILMRNSHNSKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDEDESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPIDAVPEANFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLSLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDGDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQLLSREAESNSHGNSYHGSRGEVSISSNGEHNHPEPNPQTSLDNSEGSPSPSGRTQPAVSPRGQSIGQRIMGNMMDNLLVRSLTSKSKGRADDIAPPSPVKAPDDDEADKAEEEDSPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKSTQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLDILHKSTVEQEEKDSTEEADCFSAESGEQEKIKDLAEGKLVSFCGQILKEASDLQPSTGEAASADIHRVLDLRAPVIVKVLKGMCIMDGQIFKRHLKEFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >PAN10260 pep chromosome:PHallii_v3.1:2:6054870:6060846:-1 gene:PAHAL_2G082400 transcript:PAN10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAFSCAGARLRGRCGIGAAKCRPAADLLVRRGSCFRPSARRWGAASARGIRADLPPPPPRASADGGAVTSGPAVAVPEAGDSTEQVAAVAQPVSIPERHGKAGGADVDDGAGGNGKYPPGGGGGDGEDGGGGGSGGDGEEGEDEFGPILSFEQVVQEAEKRGVSLPSLPADMVEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCALLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGGSASQGLLGRVRHAYDALPSSVFEAERPGCNFSVQQRIGTYFFKGVLYGCVGFFCGIVGQGIANLIMTAKRSVKKSEHDVPVPPLLKTSALWGVFLGVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANNVYGGMQFVDWARMTGCQ >PAN10186 pep chromosome:PHallii_v3.1:2:5787824:5788471:-1 gene:PAHAL_2G077200 transcript:PAN10186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFDECCDDCRCYSCRDFWWCVLCVAILAAVALIVVLVAAFGFVRHVSVTVDDASLTRLALVGAPATATALAYNLSVTLTVRNPNWAMGMANTKPLDAAYSFDGQQFDRLRLAGEGDKHPAGKTRVYRLASGSGGAPVSLGNAGVAEFHKENATGVFEVEVTVRGEVKYTARAAKCAIEATCPLKLQLAPPGQAPAAFVFQKVKCKLAKAEKNC >PVH64751 pep chromosome:PHallii_v3.1:2:48641212:48642449:-1 gene:PAHAL_2G351400 transcript:PVH64751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRPMQAVLLGPGVKDRKVLSFKRDALKEKDTVSGLIRSIVATSSPAQRSAASTSSTSPSALPGVRPYYAVKCNPEQALVGALAALGAGFDCASRAEIEAVLALGVQPRSIVYANPCKPEPHLEYAAEVGVNLTTYDSAEEVAKIKRCHPNCELLLRLKGPDGGKAKVDLGIKYGALADEVVPLLRAAQRAGLNVAGVSFHVGTGASCIDVYRGAIESARAAFDAANALGMPPMRILDIGGGFTAGATFDEATAVINDALAQYFGDLPCLEVIGEPGRYFAEKAFTLAARVIGKRTRGEGREYWIDDGVYGSLNCILMDYYVPRPRPLATPRPGEKTHTSTVFGPTCDSLDTVVTGYQLPEMSVGDWLIFDNMGAYTTAAGSKFNGFDTSDIKIYVAYSS >PAN12628 pep chromosome:PHallii_v3.1:2:44036385:44042277:1 gene:PAHAL_2G279300 transcript:PAN12628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCFDMMHFGHCNALRQACALGDELIVGFISDDEIKANKGPPVTALRERANCGGVPQNFAKNAIEGPGSESRIVYIDGASDLFHAGDVEITAFNILLVVHVTIAENVDYAKKRNEKEASEKKYYGSKSFVNGE >PAN14720 pep chromosome:PHallii_v3.1:2:53572779:53574423:-1 gene:PAHAL_2G432100 transcript:PAN14720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDMMAQFLGADDHCFTYEHVDESMEALVALFLPSLDNDSNSSSSFLNYDVSPQCWPQPTHSSSVTSLLDPVQNFESFEFPVTNPLPPTDFRSPSDVPYFSDDLSPLHGNHSSSIEEEAANDAPATKKRKSSAAIKASKKTKKAGKKDSVSNEDGSNAYVDAQSSSSCTSEEGNLEGNMNSSSKKTGTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAAQYVKFLQLQIKLLSSDDMWMYAPLAYNGINISSVDLDISSLQK >PAN14604 pep chromosome:PHallii_v3.1:2:53005520:53008175:-1 gene:PAHAL_2G423100 transcript:PAN14604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKIASFVVLLLIHVASSSADGGQFVYQGFAAANLTLDGLAAVTPGGLLALTNATFQTKAHAFHPLPIHFLNASSSAAPGARSFSTCFVFAIVSAYDRLSDHGLAFVVTPTTNFSAAKAGQYLGLLGAINGTATDRVLAVELDTLMNPELRDMNSNHVGVDVNRMISEQAQPAGYYDDAGGGAWRGLQLNSRKPMQVWVDYDGQAGQLNVTLAPVRVPKPKKPLLSVPVDLSTFMADTMYVGFSSATGVIVTRHYVLGWSFSLDGPAPPLDFSKLPALPRLGPKPRSKVLDVLLPLATALLVAAVLAAFFFMVWRRRRYAEVREDWEDAFGPHRFSYKDLFHATSGFKERNLLGIGGFGRVYKGVLPASSLEIAVKRVSHDSRQGVREFVAEVVSIGRLRHRNLVQLLGYCRRQGELLLVYDFMENGSLDKYLHDPQMPTLSWHERYKIIKGVAASLLYLHEDWEQVVIHRDIKASNVLLDHEMNGRVGDFGLARLYDHGTDPRTTHVVGTMGYLAPELVRTGKATPLTDVFSFGMFLLEVACGRRPIDRDDHNSRVVLVDRVIEHHRNGSILDAVDPRLVGKFETKEAALVLKLGLMCAHPLPNLRPTMRRVVQYLDSDQPVPDPSPSYMSYSMMSMMQNDGFDSYVMSSKPSMTSIGVSSVSVLSEGR >PAN14848 pep chromosome:PHallii_v3.1:2:54538374:54539543:-1 gene:PAHAL_2G448600 transcript:PAN14848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSHLQAALSRIVRSRSRLPASPYATPLVFPHRLLPSSAASSSDSFSVKDYLVSRCGLTQAQALKAAARLSHLRSRAKPEAVLTYLESTLGVPAADVGRAVVIDPSFLCSNVERTLAPRVAELRGLGLSQDEIARLVPLAPNSFRSKFLRGNVEFWLAQFGSFDRLLHVLRWSSGLLTMDLDKTARPNVAFLRQCGLDISKVACTTLFSTRLFTMNLEVFKETVQRVEELGIDCGARTFRQALAIVALTGKEVVSSVGFSKDDVLAMVRKQPRVLGMSEKKLQGNMDFLVRDVGLELPYIVRRPALLMYSVERRLLPRHSLLKVLKAKELLKNDLDYYFTASLGEKIFVEKFVHRFEKQVPGLIDDHASKCLGKVTNGIA >PAN12895 pep chromosome:PHallii_v3.1:2:45403953:45410907:-1 gene:PAHAL_2G299000 transcript:PAN12895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYPRLLLSICLILLSLPAVLVLAAADDLPAHLPGCKSRCGDVDIPYPFGIGDQCAIHSGFNITCAPVNGTERPYKGTFEVTKISVPDAKAWMKMGISWRCYGQTDTQNMREYSLWQNFTNTPFRFSQDDNKIFVIGCNTTGYMTTLSYVLGCYSTCSREPPTNGSCSGAGCCKVDLPKDQVYLRAFFNEYYSTSTGCSYIIVMEEKEFSYSTTYSYSTNFWNAYKGQVPVVMDWIIRRRTCEASKKNTSSYACVSNNSECVDASDTNGRAAYRCKCQDGYQGNPYIKGGCTDIDECLHQDTTDSCAHRGGTCLNTQGNFTCLCPQGKQMINDKCISNQKSSSWVMPVVGASVGLVVLVVTITCAYLIQERRKLQHIKQRYFQQHGGMLLFEEIKSQQGIAFKIFSEAELQEATDKFNEKRVLGHGGHGTVYKGLLTGNAEVAVKRCMSIDEQHKKEFGKEMLILSQINHKNIVKLFGCCLEVEVPMLVYEFIPNGTLFQLIHGNHGRQISLATRMQIAHQSAEALAYLHSWASPPILHGDVKSSNILIDRDYTAKVSDFGASILAPTDESQFVTIVQGTCGYLDPEYMQTCQLTNKSDVYSFGVVLLELLTRKKPFNLDGPEHEKSLALRFISVTKEDKLEEILDDQIMNDANMEVLEEVAELAKQCLEISGGNRPSMREVSERLDRLRKVMQHPWAQQNPEEMESLLGESSMAISEVTIHTGNLSIEKEAARSLEWGR >PVH64131 pep chromosome:PHallii_v3.1:2:31162299:31163333:1 gene:PAHAL_2G195600 transcript:PVH64131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEMDWIAARFLLSTILGVHPLVVLDDGGRDEEHFPVDDHGQRVMVPAAMVAPEGLAGVSVCAVCTEEVTAGQAVVRLPCAHWYHAGCIAPWLRIRNNCPTCRAELPREAGAERPRPPVQHAARLAEAAMETAGGGGRHPTRCWPARYPANN >PAN10321 pep chromosome:PHallii_v3.1:2:6316402:6322050:1 gene:PAHAL_2G087000 transcript:PAN10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIATGAMNTLLPKLAELVVGEYKLQKGVKGEIKELEKELTYISAALRKVSQVPVDQLDEQVKVWARDARELSYDIEDAVDTFMLRGKGREQEGQDTSSLKGLIGKAANLYKKARNNHKIHNVIKDIMDQVKKVSECRDRYKVDNIAAGPSLVSVDPRLEAMYRKAAEIVGIDGPKNELVKRLTNEDISSLQQPKIISIVGVGGLGKTTLANALLQDLKAKFDCHFFVSVSFNPDIKKIFKNILVQLDEDKYGHIDEAWEINLLINKIIDFLKNRRCLCVIDDLWKELPWDTIKLALQDGTHGSKIIITTRNKAVAEHVGGGIYELKPLSNDDSRELFYKRIFDSLDDFRPDLSKATEKILKKCGGVPLAIITTASLLATKPRCSVEWEKVNNFIGSGSENSPHVDKMNMILRLSYNDLPFHLKTCLLSLSKYPEDQVIRKDVLVWSWIAEGFITRVGSNLQETGEGYFNELINRSLIQPVNIRNHFNPLGERDVYACQLHDMVLELIIKLSAEEGFATTSLSNGEQAGASSHQREIIRRLSLHNSSNANASITERKLLSKVRSLDVFGRADLMMPVLSRFCVLRVLQLEDCSGLDNNHLKDLSNLYLLKFLRLQGLKVTELPESIGKLESLETLDIRGAGKSVIMLPLSFGKLGKLVRLHAGRVELPDGVALENMKSLQELVGIRLTVHAMTEIGKLRELKVLELFIKEESKSSSTGNSEELVRTCLQMCPSSLQVLVLRALVMLRSMDFMAPVPSGLQTFMCNIDLMAIPRWIDSSLSCLTVLSIGLWRARVQPEHLDKLAGLPSLRFLRLRARTPPGEQEKVVIHSSPSSFPCLTDLRFSCPLMFLKFQPGAMRKLCQLYLAFHAGRTNDHFLTNTFDYGFENLPSLQHVVIGLVGHERRKAQDAIRKTINDHPNHPSLDFS >PAN12162 pep chromosome:PHallii_v3.1:2:42050982:42055442:-1 gene:PAHAL_2G255400 transcript:PAN12162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPPAASPAAAAGANPSLRRRPSRAAAVRVLVAPPVAARTQQDQPRRRLFLAVPPPASEMASAAADGEEEEGEVVVVERETGRTEAVEMDAALRRELAIRRLREEAEADASEAGTGAGRSRRDFAVFETARGDALFTQSWTPAAADRVKGVVVLLHGLNEHSGRYSHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDIVLQENHGLPCFLFGHSTGGAIVLKAALDPCVKLHVEGVVLTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHKRGPPVSRDPEALKMKYSDPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPTASQRLYHASMSTNKSIKLYDGYLHDLLFEPERDDIANDIINWLSARLDVLERR >PAN14649 pep chromosome:PHallii_v3.1:2:53243193:53245699:1 gene:PAHAL_2G426700 transcript:PAN14649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALPEAVEPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDLNISDVKLEVLMGILNVYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYWMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLRLGWRVMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLGKTSDTPEEAAERLADIKAAAGIPAELDGDVVTVPKTENSEEARVWKELILSPTPAMRRILLSGLGIHFFQQASGIDAVVLYSPRVFKSAGITSDNKLLGTTCAVGVTKTLFILVATFLLDKVGRRPLLLSSVGGMIFSLVGLAMGLTVIGHYPDAKIPWAIGVAIASTMAYVAFFSIGLGPITWVYSSEIFPLQVRALGCALGVATNRVTSGVISMTFISLSNAITIGGAFFLYAGIAVLAWVFFFTFLPETRGRTLEAMSQLFGATDEDDLKPQDGATKDKKQLEMAGAAN >PAN13997 pep chromosome:PHallii_v3.1:2:50207648:50209912:-1 gene:PAHAL_2G377300 transcript:PAN13997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >PAN13813 pep chromosome:PHallii_v3.1:2:49498535:49500598:-1 gene:PAHAL_2G365500 transcript:PAN13813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDLSRAVGWWEEWQLRILVLGSLFLQLVLFAGSMVRLRIPMSRLCLWLAYLGADALAIYALATLFNRHKQPPGAGMSSSLLEVVWAPVLLIHLGGQHSMTAFSIEDNELWRRHIVTVASQVTVALYVFCKSWPSGGDKRLLQAAILLFVVGIAKLIQKPLTLKSASLGDVMTSPMIVYPERRETNARLLRLLCLPFQTVGQTEDAATMKLEEEHDLSLEEYVQEARELVRETRVPDKQEDRLPRDLDKLFVDISAPYSRRLSQLSSFLILRHQHMCQNMGTELRIAFGLIYTKWKCVISCPGLHLLFLLPFLALASFVLFALSHHKYEDENDVKVTFVLLCCTTLLEFLSCVPWVSICRKCCKGFFHSNFISGNFIFSSMVAQHNLVSFYARRSKPTHLMKFSALACCSGYINKHWYIDQAPESACIQITQLIAGHVKDGWKQYIVDAPSYKRFNNFRGQWTLSRHKLHGQQLWWSLQQVPFDWSVLIWHLATELCLHHPRTSAAVESLPAAQCSKLISNYMIYLLFIRPEMLMPGTRQGLFVAACYDIGLMLKRGNEPPPEDARTVAQQILRTSQSPLIGDIGIMVPGACKVAEELMELLEHKRWKVIQGVWVEMLCCSASSCRGYLHAKSMSEGVEFLTSVWLLLSRMGMETFADKFQRPEPGQGQGEEIAAGASASDPQDIV >PAN15188 pep chromosome:PHallii_v3.1:2:55754971:55759019:1 gene:PAHAL_2G470400 transcript:PAN15188 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MENSKLSSALFAGTHFNRKRFAADFERFHQGPTPSPAAPCAPSPEKKRKRKSGKAKAKKNQKKRAEAAIASSSDAVEGFNLFKGLTGKNDELRSEKVDTGKDEDSVAVRRRKEIEREIERAAVLRKRFDIHIAGQNVPAPLESFEELISRYGCDSYLVGNLSKLGFHEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPLLMKIKPGSKGGVKAVILCPTRELAAQTVRECKKLAKGRKYYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVKKRDLDLNSVEYLVLDESDKLFELGFVEVIDSVVEACSNPSIIRSLFSATLPDSIEALARTIMHDAIRIIVGRKNSASSLIKQKLIFAGTERGKLLALRQSFQESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLNEQQRQDAVDNLRAGKSWVLIATEVIARGMDFKGVNCVINYDFPESAAAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLVSSGCEVPSWIMALPKLKRKKHRVDRDPISTIPDED >PAN09717 pep chromosome:PHallii_v3.1:2:3354724:3360237:1 gene:PAHAL_2G046700 transcript:PAN09717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKERLHSLMHLFIACLLKLSTAAPCLPDQASSLLQLKASFFIGDSLPSWQAGTDCCHHWEGVTCDVAFGRVISLDLGEFDLMSSRLDPALFNLTSLRNLSLAFNDFRGASLPASGFERLTDIIHLNLSYTYFFGQIPIGIACLKNLVTIDLSSNYGLYFERPSFKTFMANMSNLRELYIDQVDLCSSGSTWSTVLADSVPQLQVLSLFKCYISGPIHPSFSRLRSLTTINLGYNMFEGHFPTKIFQLKSLRTLDLSANPMLSVRLTHFPAGNNLETLNLAGTNFSCDMPSSFGNLEHLKNLGLNTMDIDDKLSALISKLPSLDDLQLMGPDTKNPILSWVSNITQLTHLMFDGYDFSKSIPTWIGKLTWLEGLIIVDCSFSMPIPYQIGNLTRLADLVFWNCDFFEQRMPSWIGNLTKLVSFSIHDCNFSGPIPSTIGNLIQLEELVVWSSHISGKIPKSLFALPALQRLLLIDNQLIGSLEDIPAPLSSPLWEIDLNSNQLTGPIPKSFFQLTNLQYLGLHSNKLTGTIELGSIWRLRNLTYLNLVNNMISIIEKEGDMIFSHSLKIQDIYLASCNLTKFPASLEYIDTIQALDLSNNQIEGAIPSWVWENRLDILNLSHNMFTTLEKSPIVEMTNLVALDLSFNGLQGSIPIPSTPSELVFLDYSNNEFSSIEPNFVGRYLRNAISINLSKNKLSGHIPLSVCSLNNLQIMDLSYNYFSGPIPSCLMEKADLMSILKLRENKLHGVLPENIREGCKLQTIDLNGNRIEGVVPRSLSNCQDLEVLDVGNNQIVDSFPSWMGTLPNLRILVLRSNQLYGTIRDLHSGYQHFTSLQILDLASNHFSGDLHSKWFDNFISMMNISSDVGQILEHDTNLTWKGVYQDTVIVTFKDAALSITKIQTSFKLIDLSNNSFEGSIPGSIGRLVSLHGLNMSHNNFTGQIPSQLHNLTRLESMDLSCNNLSGEIPQEFTSLTYLSWLNLSYNNLIGRIPQGNQFLSFPSSSFEGNAGLCGIQLYKQCDNPGPDSTTRSTSVPEPNTLWQDRLDAITFFLFAGLGFGVGFALAIIFRSFYHIEVWLCNHMY >PAN13063 pep chromosome:PHallii_v3.1:2:46169871:46172133:1 gene:PAHAL_2G311700 transcript:PAN13063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINRPRSPYITFPISSRCGLGNHSLEDAAESADDGRPFIRRPSSSSHDTMASSPLAPARTYSPLAPKLPPPPPCARPPHRTRTAAAARRPVRARVRATSSGVGPAPAAMPTEEHTKRCLRCGGLYRDEENHPTACAFHGHVTGEKGLFSLSPPHQGIDGEWSDRSGVIVYRWNDEESRPNTGRANWKKRWSCCQERDEDAPPCQRGCHVSYDDGHTLF >PVH64700 pep chromosome:PHallii_v3.1:2:47781982:47783172:-1 gene:PAHAL_2G337000 transcript:PVH64700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPIKKEMSAESSSPCSWASPSTSSPEQEHHRTVWTAPPKKPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAIAGAGACLNFADSARLLAVPASYASLAEVRHAVAEAVEGFQRREALSVSEDDAASSSAPSSPSSVELDGASTDGEESSPAAEDSAFDLDVFNDMSWDVYYASMAQAMLMEPPSAVPAFGDDAVVGDVPLWSY >PAN13132 pep chromosome:PHallii_v3.1:2:46473132:46477378:1 gene:PAHAL_2G316000 transcript:PAN13132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVRRRVPPPHGGAAPAGHHPRRRAAAGGEGERERVRVQAGDALPLPIRHTNLIFSALFAASLVYLMRRWREKIRASTPLHVVSLAEIFAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEDYLIDSHRAQAPAPPAPAPCALLGNPAAAPEKMPEEDEEIVAAVVAGKIPSYVLETRLGDCRRAAGIRREALRRITGREMDGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDSRRHYVPMATTEGCLVASTNRGFKAIAESGGASSVVLKDGMTRAPAVRFPSARRAAELKGFLENPANFDTLATVFNRSSRFGRLQGVKCAMAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAINWIEGRGKSVVCEAVIKEEVVKKVLKTNVQALVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCMTMLEAINDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLATVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSRDMSKAAEEADRPKKC >PVH63766 pep chromosome:PHallii_v3.1:2:7698062:7700071:-1 gene:PAHAL_2G099100 transcript:PVH63766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQMKHRSFLCFTISLVLTFSDFAASDDQFVYHGFGGVNLTLDGNALITPDGLLELTNDTVNLGHAFYPTPLNFHQQLNGTVQSFSISFVFAILSVHADISADGMAFFVAPTKNLSNTWAQYIGLLNSGNDGNASNHMFAVELDTTQNDEFKDIDNNHVGININSLTSLQAHHTGYYEDKSGFFNNLTLISGKEMQVWADYDGETSKIDVTLAQAGAAKPVRPLLSSTYNLSVILKDKSYIGFSATTGAISTRHCVLGWSFAMNRPAPAIDISKLPKLPRVGPKPRSKVLEITLPIATATFVLTVGLVIVLLVYRRLRYKEVKEDWEVDFGPHRFSFKDLFHATRGFRKKNLLGVGGFGKVYQGVLPKSKVEVAVKRVSHESRQGMKEFIAEVVSIGRLRHRSIVPLLGYCRRQGELLLVYDYMSNGSLNKYLYCEDAQPSLSWARRFHIIKGVASGLFYLHEKWEKVVIHRDIKPSNILLDNEMNGRIGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRSGKASTSTDVFAFGVLLLEVTCAQRPVKKNAQGDQHTMMDWVLEHWHNGSLMETVDPRLKDDYNIDEACLVLKLGLLCSHPFVSARPTMRQVMQYLEGDTAIPELTSTHFIFTMQAFTQDEGFGSPNVTYPQLTSSIGTFSSLSGGR >PVH63324 pep chromosome:PHallii_v3.1:2:340527:341406:1 gene:PAHAL_2G004900 transcript:PVH63324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKRSTRSGGRLTCAAAALMMAIVVLQLQQLMAAAAGDKHHLHFFMHDGYTGPRPTAVLIVNGTGAPVMSGVRFGDTVVMDDVLTEGPSRGSRPVGRAQGTYVTASLEKGQPAMLLSMNVVLTDYGGYSGSTVVVMGRNDITAPVRELAVVGGTGRFRMATGYVLWKTASWKGKNAVLEIDVYLRV >PAN09579 pep chromosome:PHallii_v3.1:2:2477735:2478228:1 gene:PAHAL_2G035600 transcript:PAN09579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIQLRKLFFCSGKWTSASSPLHTNWRALRRTRLRKLFRLLGPMSTSCSTGTATSSTSTSSLATAGLTPPPSGQRRRNRALRSTFHARLRSRTTMRVESRGPPPPPGAFAMLGRERARGSRRKEGLTYKARGFTEKYLIAINNHD >PAN10607 pep chromosome:PHallii_v3.1:2:8244342:8248592:-1 gene:PAHAL_2G104900 transcript:PAN10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGSLGIRNWGFYDTVKGSLGLQLMSSVPADRDTKSLLPAGAFLHHHGHHNAPHQLHSHHSRDSGGAGTSGGMPTEPQSIHMDFSRNEAWLHPSHHQHPREQKVLHARPVGPAGHVGHPGHGGHAVHHPPTGYGMMTDAPHTLQMMQVQPQLQSQLQEPPPCKEDDVPPPLVEDQSLVKTEPPVKKRQQGRQPKSPKPKKPKKVAVPREDRAVNGHAPRGRGPKKTVGMVINGIELDLSNIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMNTKRRGARIAGRKMSQGAFKKVLEKLVGEGYNLANPIDLKTFWAKHGTNKFVTIRAIQDKLSRFVCLIWLEICSSTFL >PAN10775 pep chromosome:PHallii_v3.1:2:9570302:9573617:-1 gene:PAHAL_2G115400 transcript:PAN10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDDVIESGAGAGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDTAASGADAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKAFQSAYFDRYGGGANSLASGYSRGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKPWDDHWIFWVGPLVGAAIAAFYHQYILRAGAIKALGSFRSNA >PAN14323 pep chromosome:PHallii_v3.1:2:55913781:55915570:-1 gene:PAHAL_2G472000 transcript:PAN14323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDYPTFADVSGARALLFLADSSPAPPPPPALSDELSCYSGSSSSSYSGASARSCVSDSARRSRPVDPLRVLAVVASLRRINPKVLAEATSTLFHRGAEKKRKGVWIEIDSYDEEEDQSERSSAVASEGSTVTAAASAGSTATSGRCRRPPRASDCGGGGEKPPRRADVIMQWFSRPQAGPATENDIRAAVGDNSGTSKAIRWLLKQEGGLRRAGTGGPLDPYVYMVCEIRAEYLN >PVH63844 pep chromosome:PHallii_v3.1:2:10034367:10035293:-1 gene:PAHAL_2G119800 transcript:PVH63844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDSEGHLHTNALHWEGFPRLLWESLQSFHYTEPPQYDAIEHLEEGVHRAHVRMTIPPHPSRSQWQPIEVSMMGYRIVDTIEAAALEAIYAFRNQHPGEVVGQPIGLFATTDHSESEWNLRVIPESHRLEGSSEEALRGMMRFMNVQYHYQSLLRREMGQLVNAARSLHGEATRHITQVDQLRTLVIEKDGIIATQNETIHHREDQINESDATITQSNTIIEFLQEQIHDLILEVDDANAHINELQQQPVPPAVPAPEEEEEDPEEIEGVSEIDFEHGDPVITPHHSSSGSQSSVGNFDDF >PAN12619 pep chromosome:PHallii_v3.1:2:43986305:43989334:-1 gene:PAHAL_2G278400 transcript:PAN12619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSWVANKISGKQESKRAAATSTAPYRGNVPECRNDEFSDWPQSLLAIGTFGNKQIEEQAQSSSGNVQTVQDSVKFTEEEADNIRKEFEVLLEGNGQAEVQNSYADEQVASQKRVGEDGNEKHQEQLMNKEIIISKAKEIVGKKGGTIKPRSIASLLRLFVCKGGFTPPVPEPRNSFPQSRMEKLLKAILQKKIHPQNSSTVATRRHLDWKLDEKEINECLEDALRDLDDDGAKWVKTDSDFIVLEM >PVH64782 pep chromosome:PHallii_v3.1:2:48967132:48968327:-1 gene:PAHAL_2G356400 transcript:PVH64782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVPTGSSGGNQLEAHMTDTLANDYPVVLDGIDQNSNRFEAELSEFGLDFNEDMNLGRTDDGFLLGSTGPTDPLMLEKLILPSNFEGSSLLDQTPIHHFATAAQVASKIGNDPPSWVHKLEAFALLANPMLFCVNGINDLNHRRHGSCALVRSSEYTDFFQGSGYNQSDSSAIIMTMEKTKKQYKVFAKILQCVYFILFLLIGVNGNLWARRRLELSNDNTFSFIQWLETKSKKKV >PAN11003 pep chromosome:PHallii_v3.1:2:11960686:11964515:-1 gene:PAHAL_2G133200 transcript:PAN11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACGLPLLECVYCLACARWAWKRCLHTGEADSATWGLASAADFEPVPRMCRLVMANYEPDLSASAPLLFAPPGGYGIDPACVLRRRTYADTRGRVTPYLLYLDHEHADIVLALRGLNLVKESDYALLLDNRLGKRRFDGGYVHNGLLRAAGWVLDAECDLLRDLLERYPDYTLTFTGHSLGAGIAAMLTMVVVLNLDKLGNVDRSRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCLRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMCRCGRYPPVVKTAVPVDGRFEHIVLSCNATADHAIVWIEREAQKALDLMLEEEETMAVPSEQRMERNETLQREHVEEHRAALRRAVTLSVPDARAPSPYGTFDDDDAGRRPQQQQQPERSESFPPAGARQRMSWNDLIERVFDKDADGQIVLRSSVFS >PVH64454 pep chromosome:PHallii_v3.1:2:43508970:43509499:-1 gene:PAHAL_2G271900 transcript:PVH64454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGELVLARPTPHDVAQAIIAWRHPRSRASSSSSRRSPLVRKDSDPATSKSEVCLPVQRFQQATSSM >PAN12792 pep chromosome:PHallii_v3.1:2:44904414:44905513:1 gene:PAHAL_2G291500 transcript:PAN12792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSDEVVFDAPEHFRIYKSGRIDRFHRSVLVAAGVDDDASGVATKDVALDAGTGLTVRLFLPKRQEPSGKKLPVLVYFHGGGFILESAKSATYHNYLTSLAAAAGVLAVSVDYRLAPEYRLPAAYDDCWTALQWASSARDGWLAEHGDASRVFVAGDSAGGNIVHNVLVRASSAENAARIEGAVLLHPFFGGSTAIEGEPERAVVITAKVWASACPDAADGADDPRINPTTPGAPALERLGCERMLVCAAEKDWLVARDRAYYDAVAASAWPGSAAWLETEGEEHVFFLLKPECDRAKALMDRVVAFIAGA >PAN12179 pep chromosome:PHallii_v3.1:2:41354436:41356826:1 gene:PAHAL_2G248000 transcript:PAN12179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPRSSSHSFLALLVLLPLVVLSAAAAVSFETKSMDPGLVVMALPEPVSGPESLAFDGHGGGPYSGVSDGRVLRWDGGLRGWTVYAYNSKHKSVALCGPDKKLVVPESVCGRPLGLQFHRRSGELYVADAYLGLLRVPARGGLAEVVAAEAGGEPFNFLNGLDVDQRTGDVYFTDSSTTYQRSDYLLVVALGDETGRLLRYNRRARRVEVLRAGLSYPNGVAVGGDGEHVVVAHTALCELRRHWVRGPRAGVSETFAELPGYPDNVRADGRGGYWVALSKSAGVAAGAGAAPTVAVRVSPDGNVTEALEGFSFVSVSEVAERGGALWVGSVDTPYAGELRLRRPSF >PAN10825 pep chromosome:PHallii_v3.1:2:9912964:9918429:-1 gene:PAHAL_2G118300 transcript:PAN10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIEQLLRRNSKTKISRNIVDGVHDQKEEQCVQSLRELLLASNELPEKFDDYYLLLRFLRMRGFNILKAKEMFLNMLKWREDCSVDAIANEFKFEEYDAVKRCYPHGFHGVDKFGRPLYIERIGLVDLSKLMQVTSIDRYVKYHISEQEKTMSLRYPACSIAAKKHIASTTAILDVKGLGMNNFSKSAREMFIEIQKIDSNYYPETLNQLYIINAGTGFRALWKVLKAFMEARTLAKIQVLGTNYLNTLLEAVDPSNLPDFLGGTCNCPATGGCLLHDKGPWTDPEMVRASKAAFGKGQKSFNELTATIACESFAGCQEPSAKQVDSTSCRKRTLGMLLKDDQDGTDTSGNILRKQVDEQISEKIQELEDCAAQTKETLQTLICKQQELTNHIEQLRRILRDGTSADKQTDVQNSKLEKAKKGKKQVIVLSL >PAN10717 pep chromosome:PHallii_v3.1:2:9212280:9217094:1 gene:PAHAL_2G113000 transcript:PAN10717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEESSPSSSSLSSPAGSSDSIDLNFLPFLKREPKSEPASPERGPLPLPAPPAQTPQQQHAAAAAAPAASAAPPPATPDMSSAPVMTPLQALPPNPDEDALLREYYRLASLYLSSAGTGAIVSAPAPGAAAPAVVQPGSGSVVKKRRPRSSELVRVSSLGVRDQIYFRDLVRRARITFECLRGLLLRDDERAESLGLGGVAGYGGGGDRRRVRADLRAAALMADQDLWLNRDRRIVGSMPGISVGDAFFFRMELCVLGLHGQVQAGIDYVSAGRSASGEPIATSIIVSGGYEDDDDRGEVLVYTGHGGRDPNLHKQCVDQKLEGGNLALERSMAYGIEIRVIRAVKSRRGPVGKVYFYDGLYKVVDYWLDRGKSGFGVYKYKMLRIEGQEPMGTVNYRIAEQLKVDVFAVRPTGYLSFDISMGRELLPVALYNDVDDDRDPLLFEYLARPIFPTSAVQGKFAEGGGGCDCSENCSIGCNCAGRNGGEFAYDKTGVLLRGKPLVYECGPHCRCPPSCPNRVSQKGLQHRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTQQQSEIVAANGDCLVRPNRFPPRWLDWGDISDVNPEYVAPDYPALPELNFAIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNASYPHLMIFAMENIPPLRELSIDYGMVDEWVGKLTM >PAN09227 pep chromosome:PHallii_v3.1:2:408043:410341:-1 gene:PAHAL_2G006100 transcript:PAN09227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MSAAAAGAVLPSPAPTRYSSAGGQHATLTADLAAALLAGCASARRASELHAAAVRAGVDRDKAVDFRLQRAYAASGRLDLAVALLRRSPDPTAVFYTSTIHAHSSRGLHLAALALLSDMLSQGLLPTTHTLSTSLPACNGRGGLAVGRALHGYAVKLALSGDSYVATALLGMYARAGDSAAARALFDLMLPDPHVVSVTAMLTCYAKMGALDDARSLFDGLPKKDFICWNAMIDGYTQHGRPNEALRLFRRMLRSGVEPDEVSVVLALSAVAQLGTTESGKWLHSFVKNSPRVRLNARVGTALIDMYYKCGSLEDAIAVFDGLHDKDIVVWNAMINGYAMHGHSRKAIEMFNQLRAQGLWPTDITFIGVLNACSHSGLVDEGCKFFKSMEQEYGIEPKIEHYGCMVDLLGRAGLIEEAFDLVRSMKIKPDAVMWVSLLAACRLHKNMALGQRIADYLVANGLANSGMYILLSNIYAAVGNWQEVGRVRSMMKASGIQKEPGCSAIEIGRQVIEFVAGDTSHPRTDEIYAKLEEVNVLVKEQGHVPQTELVLHDLDEATKEKALAVHSEKLAVAFGLISTPPGAAIKIVKNLRACADCHAVLKLVSKITGRKIVFRDRNRFHHFVDGACSCGDYW >PVH63352 pep chromosome:PHallii_v3.1:2:702124:706096:-1 gene:PAHAL_2G010900 transcript:PVH63352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAASALSCRLPLPSKGWEWQSPVAAWKHMCSGRHNAVITFATKSTKRERRNFQNKSKDSLLTSEEASSGSGGSASTSLEVNSEDVAATDDQISGAPRSAVLQACTLTSGLLLAGGLLLRQVSHLASLNGWPIADPTDVSFNFETWHLQLVAGLVIVISSSRYILLQTWSDFRDSSEAANTQILTSLEPLDYIVVACLPGISEELLFRGALMPTLGLNWISALMIGTIFGVLHLGNGRKYSFAIWATFVGFAYGIGTIASSSIIVPMASHSINNIIGGLLWRFTKNSQK >PAN10923 pep chromosome:PHallii_v3.1:2:10975339:10981270:1 gene:PAHAL_2G125000 transcript:PAN10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MYLTAVSFATAAGFSSSSRQLLPRPARVAPRRRLVVRADVKVISTGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNALEHAGASLLQEIASKTNSAVGDGTTTAIVLAREIINLGLLAVATGANPVALRRGIDKAVHELIKILKSKCIPVSTKEDIKAVASISSGNDEYVGNLIADALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYLSPHFITNHDKAIVEFENARVLLTDQRVNEVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWGLHGITSDQLGMAQKITITSESTTIIAHPSMGPEIEARIMQLKKDVEETTSSYLKERFSARIAKLSRGVGVIKVGAATEAELEDRKLRVEDAKNATFAAIGEGITPGGGVTYVHLSKHIPSIMDLVDDLEEKIGVNIVGKALLVPAMTIARNAGADGSAVVERLLASEWRVGYNAMTDKFEDLVAAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPEIPGIPPLQISQKAKV >PVH63933 pep chromosome:PHallii_v3.1:2:13034874:13036299:1 gene:PAHAL_2G138900 transcript:PVH63933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTWRSILKGIDVLNRGVIWRVGNGSSINIWSDPWLPREWSRKPMTPKGHNVLSRVEELIDPATGGWDNELVRQTFWPQDVDIVLSTRVHHDLDDLVAWHYDKQRQFLGECCWSIGAWRLIEDGGHLFFKCKHVKAVWQGVQMEDEQELLAGKSLPLEVIQHILQLNGEVQMKLVVLLWHWWNERNRIREEEKRRESQDLIYLIQRNAEEFLNLGATEEACMSKPIQRWQKPTDDFVKINSDGAFSANTGERGWGYVLRDGDGEVICAGAGKLSHQTNAVQAEIRACLQGAKGAANLGISKAAANLGIMAMKDNSYRLLMVGGSILELKNFVSENFASSRVVYVPRSCNRVAHAIAALGRMCPQEIDLAWNGLPSCIEETVAGDIAEPFS >PVH64462 pep chromosome:PHallii_v3.1:2:43644941:43645333:-1 gene:PAHAL_2G274000 transcript:PVH64462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPTNPIHIQSIGSDRVPSLFFRETVATTKSSYLVTRLRLRGEMEEGRTKAAVLPTLLMMILLLLASSARCVGAAAAGAGGGGHGHHRGLVAESVPAVAPEGKGAGHSNCTHNSNQPKIGPCPPE >PAN12370 pep chromosome:PHallii_v3.1:2:42767509:42769365:-1 gene:PAHAL_2G263600 transcript:PAN12370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTASK >PAN12740 pep chromosome:PHallii_v3.1:2:44652994:44655061:1 gene:PAHAL_2G287700 transcript:PAN12740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGGETGMNAVPDGVVQHILSMLSNVRDVAACACVCRRWRDCVPYLPALFFPRNAFDAAAAARGAADDAIGRMVASVARLRELVIYCPFSMARLPDWLGARSATLRVLELRMDAAADKADGHLDCIGLAANLEELRLWGVSLTAAPAWGRMERLRMLEVVGAPLRDSAVRDAIAACPNLTDLSMLGCDCSGTVAVDLHLLERCRLDILGAGNCSLLLTAPRLESLEVQGFTWITLRGHSLRRLSIAKSTGRVHKVDTGTLPDLDHLSLRGVQWNWAAVSSVLQCASEVKHLVMKIEFCGDLDALQPFPEVDLVDFFNRHPKLRKFEIHGAMFAAMCQKNSLKNLDSRFIIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVKLRTMVIRISQMKNCHEAADDFFEEICKFKYMNYKKVRIE >PAN09194 pep chromosome:PHallii_v3.1:2:255541:256963:1 gene:PAHAL_2G003000 transcript:PAN09194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATTCCRRSAPAALLAVAALLCTTTTGGSAAGAGQPLDVGYYNKTCPAAEEIVRNETAAAVRESPDLAAALLRLHYHDCFVQGCDASVLLDSTPTNTAEKDAMPNGSLRGFDLVARVKDRLERACPATVSCADILALMARDAVALSKGPSWPVALGRRDGRTSSAGSCGELPPLHGDVNLLVQAFAAKGLDVKDLVVLSGAHTLGKAHCPSYADRLYPSAGCSTADPALDARYADRLRLRCASANNADDDSSSNSTSELDPGSCVTFDTSYYRHVARRRGLLRSDAYLLDHRFTRAYVLQAASGRYDGHFFHDFAVSMAKMASIGVLTGDQGEIRTKCNVVN >PAN11725 pep chromosome:PHallii_v3.1:2:35599110:35602434:-1 gene:PAHAL_2G211200 transcript:PAN11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MPPLPPLLLLRSAAPPSAVPRRRRRGSAAPVRASWQELAGVLVFSAIPFTVVKALANSPLGARLRRRLEDRKAAAVAETDALRAAARDARNNSFWYGGDRPRWLGPVPYDYPEHLTGEYPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVIPELLDLFGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMIGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEELKVKEIKNGRLAMVAWLGFYIQAAVTGKGPVENLVQHLSDPVHNNILSSFH >PVH64128 pep chromosome:PHallii_v3.1:2:30913912:30914521:1 gene:PAHAL_2G195000 transcript:PVH64128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNWSITKTRLSQFRDLRAEEKMGKFRHLPKRDAAILKRKLSTLQRYVGGIKYMTRLPDIVIVLDQQKEYIALRECAILGFPTISLLDTNCDPDLANISIPANDETMTSIRLILNKSVFAISEGRSLYIRNR >PAN15303 pep chromosome:PHallii_v3.1:2:56347483:56348835:-1 gene:PAHAL_2G479000 transcript:PAN15303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVHPPPPFALLPRSRAGIEMEYDSDTESVAESCPCPYPRRAAAAEDSSSCGGTACCHDYEGMDDDDDDDGCSSCVEGDECSSYQQQHLQETTADEEEERNRAGSGVWWQNMAVGGAGGGATFPLPAAARAQEEAAEDSKRAAERQEEDRKFWEDCLASGYP >PAN12709 pep chromosome:PHallii_v3.1:2:44496540:44498369:1 gene:PAHAL_2G285500 transcript:PAN12709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTWALVTHLHALAGPTLTLIYPLYASICAMESTCKLDDEQWLAYWIIYSFITLFEMAAENVLYWIPLWYEAKLLLVAWLVLPQFRGASFIYDKLVREQLRRHGVRLHDRHGHGHGADHEPHVLNLKAEHGVH >PAN09669 pep chromosome:PHallii_v3.1:2:3045612:3051378:-1 gene:PAHAL_2G043200 transcript:PAN09669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEESAKKSKLSWSKSLVRKWFNIRPKVQDFHADSDAGQGRDGGAGPWRPSCSAGEASASMAKKSRTDRSSFKQSAEHARRGKNNFDIARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLIRRTLNKNPGASGCGGYHTPSPVLNPVVELDADFEGSARRQENFPFFHRRSFHNLSRSLRMDGDYMFPQPRLDRRFSVCDPVNMGGRPSDFDGNLQCPGLPDENIDMEVSNAAQFSPFPHSYTASAPSEQNDEQSSSPRYCLVASKQMVGIFLTVWVRNEIRDNVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVLEILKKTRFPRVRGAGDVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRMQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFLAEVDIVHQRRRNMGYFSSRIEVEELLPHSQSYREIKFY >PAN09494 pep chromosome:PHallii_v3.1:2:1992679:1994020:1 gene:PAHAL_2G027800 transcript:PAN09494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEPPSPPLQASGLQLSLALAPAAAGRREEVDEVAAPTAYVAGKQVRLFPCLYCNKKFLKSQALGGHQNAHKKDRATAAWNPHVYGDDDASAVAVPPDAVNRGGAARSAAAAALSVTIASHGGPAVEPPAGVKVEMPDGGTRLFADHVLLPGAGAADPSAVAGGRDGTVEMLNWRRTSRIFSPPENNTNASTAAPSSSGEELDLELRL >PVH64520 pep chromosome:PHallii_v3.1:2:44995204:45001682:-1 gene:PAHAL_2G293400 transcript:PVH64520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNQIWFCIFLAILHALPLPNAALDQAALLRQFILSRRAQRADGPAETDPWADPVSSFGHLPTYCKKPKGSKEADRIVALPGQPPRVNFEQYAGYVTVDQEHGRALFYYFVESPYDATSKPLVLWLNGGPGCSSLGFGAMTELGPFRVNPDGKTLSRNRHAWNNVANVLFLESPAGVGFSYSNTSSDYVVGDERTAVDSYIFLLHWLERFPEYKGRDFYIAGESYAGHYIPELAAVIVADPTSLKGIFIGNALLDYQNNDKGSLEFLWNHGVMSDEIWANITKHCSFGPSDGTSSSCDAATTAFYFNFAKIVGNIDVHNIYAPMCIQAPNGTVYSSSYLPGYDPCINNYVTNYFNSLDVQEAIHVRINTRWSNCSMQVYGNWKKPPLTMVPTLSSLVDTGLRVWLYSGDMDDVCPITATRYSVHDLNLTITKPWRPWYTPANEVGGYIQQHEGGFTFASVRGAGHMVPSFQPKRSLVLFYSFLKGVLPPAVSLWQP >PAN12560 pep chromosome:PHallii_v3.1:2:43614955:43616709:-1 gene:PAHAL_2G273300 transcript:PAN12560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPTPTSYLLLAPLAIILLVFVLRPPSRASSDGLGALCARRAGFADVAVAPPAEASPSPSEPTQPEPDFSLLVGVLTMPSRRERRDIVRMAYALQPPAARARVDVRFVFCSVTDPVDAALLAVEARRHGDVVVLDCAENMNDGKTYAYLSSVPRLFASRPYDYVMKTDDDTYLRVAALAEELRGRPREDVYLGYGYAMGGQPMPFMHGMGYVVSWDVASWVAGADEILARNDTLGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLSWDMDNFRPDTVAVHMLKDNRRWAAAFRYFNVTAGIEPSDLYHLP >PVH63444 pep chromosome:PHallii_v3.1:2:2186941:2189140:-1 gene:PAHAL_2G030600 transcript:PVH63444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDPNMLSEGGHQHAIAYVQGDKYFGAKATINVWEPKIQQANEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINNEIAMGASIFPISNYGGSQSDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGTHTSTQMGSGHFPEEGFGKASYFKNIQVVDSSNQLSAPKGLGTFTEQSNCYDVQDGNNGAWGTYFYYGGPGKNANCP >PAN15428 pep chromosome:PHallii_v3.1:2:56877309:56882310:-1 gene:PAHAL_2G487200 transcript:PAN15428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLVAHLVRLGVLSSTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLGAISIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSIVFGEGVVNDATSVVLFNALQNFDLNQIDVAVVLKFLGNFCYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLELSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSICTSSILLGLVLVGRAAFVFPLSFLSNLTKKTSMEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTELHGNAIMITSTITVVLFSTMVFGMMTKPLIQFLLPASSNAAPSEPSSPKSLHSPLLTSMQGSDLENATASIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEHTGR >PAN09844 pep chromosome:PHallii_v3.1:2:3955392:3957451:-1 gene:PAHAL_2G053500 transcript:PAN09844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDVEPEVAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >PVH63639 pep chromosome:PHallii_v3.1:2:5634026:5635319:-1 gene:PAHAL_2G075000 transcript:PVH63639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPMAMAMPLPLQMAAMAKELTRRRTAPAGTAGAATSATAMATTAAATVTGGARGARRPPPTLGSFLLGRRLPAQGQRRGRGSRRPPASCSLEPPLAGWFGLSLKCETSTYSRRRLFYSNFSDRVKILKFIVLYEG >PVH63344 pep chromosome:PHallii_v3.1:2:622388:623086:1 gene:PAHAL_2G009500 transcript:PVH63344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAQGRTSSAAGNIQFAEAATPAALQSSKATNSEHLQARTNPTCNCECLGTRETGGHTARKLPVSFIIASCTPDKQVLRWGKRGPCAFSLREG >PVH64739 pep chromosome:PHallii_v3.1:2:48521216:48522445:-1 gene:PAHAL_2G348400 transcript:PVH64739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRNNLPPHLNQGTAKPPNYGCLDPSAMADPQFVAFLQATYAAQVAQAAQPAYFSPPAYIDLESSLASWPPRAASLAALHAQLRPPIGIPAMPPTPNFDNSPTQVKSRTSNFTIAEDKAICSAFINVSKDPHCRFCGYKAEQDRKNQSGKTEEDRIEDAKKQYHALVGKPFAFMHCWESLRGQRKWLDLVGAKGKDADNNGEESTPDPVDLGFPEEDANDSRPIGRDSAKKRRSNELQSSSTASAYVEVEWANAFNDREDRKLTLEEKKREDGIMKMDLSALDPYQRRYFHREIKAILDTADDVEREMDDDFGA >PVH64723 pep chromosome:PHallii_v3.1:2:48064679:48067492:1 gene:PAHAL_2G342100 transcript:PVH64723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASPESGVAAAGGAAGPVPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSISQVPNYVVDEPKEEAMSHGHDLRQFRYGCAGYSMYVDNRDSQGGESEGKTLLPYCRGLELLADSRLVEKKPSTAEQAPAHVSNDAATARSHQQGQQRPAHLARQEFLGRFKRSAGLVASGVAKNLNKTANYIKENVQDIVYPDRRPPK >PVH63675 pep chromosome:PHallii_v3.1:2:6083738:6084821:1 gene:PAHAL_2G083100 transcript:PVH63675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACLTPCDHASSSHGILISLVVLDVSAFLLPPTSRRRVCQRR >PAN12301 pep chromosome:PHallii_v3.1:2:42319272:42319478:1 gene:PAHAL_2G257600 transcript:PAN12301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLLVAASLHAEATAAAARHLGPDDGGHKPPVSVGEKSSVGPSGCTWGPGNPSTRPCPPPPPKSP >PAN13476 pep chromosome:PHallii_v3.1:2:47974443:47979266:1 gene:PAHAL_2G340200 transcript:PAN13476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAALLRPLVGSPLLPAAPLAARRRCRFCGGVRVRSATGEGGSGPGGGGGGGVGQGDGAAASWLSSAVGEKVDELLRREENRALLEGVEDAERRVERARAALADIERQEAEARLAREEVRRLEKRRDEIAESQRELLQAREMIDEAQRSLTSSLEEGSFGDVPNGDIDEDSERLESVKAAAVSSIVGVLASLPITFYEVQDLPQLFLQSSVVFISCALFGVTFRYAVRRDLDNIQLKTGAPAAFAFVRGLALLESGRTIELSTDGLISVALDGAVSVVENIFIFLPAAVALDYCFKMRFLSPFPRRKQ >PAN13489 pep chromosome:PHallii_v3.1:2:48036882:48042038:1 gene:PAHAL_2G341500 transcript:PAN13489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTICDVCEGAPAVLFCAADEAALCRACDEKVHMCNKLASRHVRVGLANSNKLARCDICESFPAFFHCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQSVEFPGDKLGHMDDDVAMQSKDPENQIDQKKPPHAATKEQMANHHNGSDDPASDGNCDDQGNINSKMIDLNMRPVRTNGQGSNSQTQGVALSVNNHDSPGVVPTSNYEGDANK >PAN14491 pep chromosome:PHallii_v3.1:2:52450271:52450969:-1 gene:PAHAL_2G413400 transcript:PAN14491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGAARAAYDVFINHRGVDTKHNLARLLYDRLLQLSGGRVRSFLDNKSMRPGDRLGASIDEGIRQCKVAVAIFSKHYFDSDYCLHELASIVEARKVIVPIFYGIKPSELVLPQAVVDCDAYTPRDIERFRLALREAKYTVGLACDPVTGDLAELVNTAADAVMERIQATKRVPRSLMIASRL >PVH64183 pep chromosome:PHallii_v3.1:2:35173435:35174227:1 gene:PAHAL_2G210100 transcript:PVH64183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAAARPCSVVVHACPPLGPSSKQTFGLIFFSLDFSSSCFLLDLFGPAPVRRTVAICGRGAQPSTRGRTVDEYFIPSRRTELGGRFPFLTPSSRLPQCAKFGLVSS >PAN15561 pep chromosome:PHallii_v3.1:2:57573805:57577287:1 gene:PAHAL_2G497800 transcript:PAN15561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAARETRLPPPPLPLATLIGRELRAGGSERPALRYGHAGFAKRGEDYFLVKPDCLRVPGDPSSSFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVIHGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSNEAAAKACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHLTSPQLSPKKNQNKLKSLFRRRSHSSVGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKAASPPFRCAICQVDQEPFEGLMTDNGGDYCSSPYAPWGGPHLCLECRKKKDAMEGKRSSRSTACR >PAN13496 pep chromosome:PHallii_v3.1:2:48074237:48074759:-1 gene:PAHAL_2G342300 transcript:PAN13496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAVLRVPAPIPPPLLPAPTRPLLVLRRCRSDCLPPGTPMASADGGGATKPDAAPSPAPAPAPMPQPPEKPLPGDCCGSGCVRCVWDIYFDELDAYDKVLAAHAAASGSGGKDSSADTKPSDGAKP >PAN09439 pep chromosome:PHallii_v3.1:2:1658752:1662851:-1 gene:PAHAL_2G023500 transcript:PAN09439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPQDGHLWENVDHLILHMDTFGMQVPANSDYHGNPDFLNSEATKFVNKVNGVLRHHKCNRIKKFEVRFPLSSVHASELDRWVTFAATSGSEIVNFILSDYSGMVRVDTQHAERYLFPLEHYVDLRGCQLRYMFLSTCSLETVPANLIGFSHLVSLRLGYVQVVDEVLQSIISSCRAVRRLHLGGCDKLINLRTSHAELLALGVHNCWRLLSISIHAEKLKRFSYMGNKVINIEYECAPVLCELNVLFRRGGELTESPLDCIGVFPKLKTLRLQFPSRLQVSRILQLSGRFTGLKEIMLYILTSWKKSIRFVTYLLKAARLVERLELEVHGRLRPPKKLKIRWPKNFTPTRLHTIRIGGFSGESEMVQLVFFLLSWSPLLKTLTVDTHPRNCVGYSKRTREESEDDKRCNYAREVVSTHLAPNVPSTVKLTIMWLSCYR >PVH65080 pep chromosome:PHallii_v3.1:2:52727688:52729040:-1 gene:PAHAL_2G418300 transcript:PVH65080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLPKSDKLRYVESWLIRLRFSSPPPPLGPPLRLRLAVGGTARAGGRRRAPSRAGARRRPCPYRFLMVLLLRPAPWISVPPLLRMTARWPAAVRVHEDLDGASMGRGTGRDWSAGAPVSEQKSSRKTRSSRPPAGDLSRLQGHASTGLLRRCAMI >PVH64219 pep chromosome:PHallii_v3.1:2:36888809:36895575:1 gene:PAHAL_2G217100 transcript:PVH64219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGIEAARWVVGRALGTASGGVLEAWAASSELGPNIRALRMELLYAQGMLNSARSRGYGDGPEIQNPALGELLRELRDQAFQADDVLDELDYFRIQDELDGTYHAADEHGGGCLRTHALNARHTARNIRKMLGFSNCSRGSADHDEPDEDDTSGRGVKCGACPCLGPKTTPDDDEQEEDAGGRGALCEAVWPCGRATPALPRPPPTNQSDQAVHAGCMARIASAASDIINTVGKRLPCYPDNSNAASPGRRFLCCARPNKAPQSEHVVQAPELKFDRVEMSRKMKVIVEQLKPLCAKVSAILNIELLDSSRSIVQCIGTSLDTWFSKGQWPAPSHRNAMMSRPITTPEFREPELYGREHEKTDITFDITKGNYCEEDLTVLPIVGPGGIGKTTLTQYIYNDDEVQSHFEVKLWVCVSVNFNVHRLTQEIADKLTNDKKDSPDKQIEEQLKSKRFLLVLDDMWDCSNEDEWKRFLLPFKKGQTKGSVILVTTRFPALAQMVKTTSHWKDLKGLDSKSFKELFRAYAFGDKQSMDDHNELQDTGDKIVEKLKGSPLAAKTVGRLLRNNFDLGHWTRVLESKEWESQNEVYDIMPALKLSFDYLPFHLQQCFTYCALFPEDYRFSREELIHFWIGLDIVHSHGENKRIEDIGGSYLTELVGHGFLIKEEDRYGYTRYVIHDLLHELGLKVSAYECLSINSSNVRSIQIPQSIRHLSINIDDSSVKDKNTFDICKEDFSVLGKRLKVENLNSLMLFGEHQGSFIKTFHGLFHKAKALRVIFISGGNYRLEDLFHNFLNLVHLRYLRVHNDWCRGPQPPKNISRFYHMRILDLQGCDTYNCSDLSRHWSNLLKLRHFLVRDNGMHASIFEVGQLKLLQELRRFVVRKEIKGFELRQIGHLLELCGSLSIDNLENVEGREEADEAKLMYKKHLHELLLDWDVNRSSKDPAREDQVLEGLKPHSNLVKLSIRGHGGPTCPSWLRLGPNLSVMNLQSLCLDSVSWKIFPPIGQLRLVNEDAEEISSNNILDQHFKNLKRIELRNLARLKRWVIGELLSHLEVLIIMHCPELVELSFSNSSCSPRVLQELRIGNCPKLSSLPPVPWASVQYLTRVRLIFMHLVYKNHDRSLRLHKSGEDMASSFWTALDFDKLTGLKKLNMSNCPPPPLDGLRKLSSTINSLQISDWNGVTSGKELTQVLCCLPKLSKLSINEFGKITGLGVVGQLKEETDEEALLLLPPQLQVLTINRCPELSLRAENGGGLQALSSLRSLDIADCPKFLACYLPSSSSSSGFPFPTSLQFLSLEALEKLAPLSNLASLIDLSISRCGGSEGAGLRCLLAHGCLRKLCVYGTPNLFTIECSEGNPEMLEHEPAPLSSKLQSLVMDDVAGVLAASVCKLLSSSLTTIILHRNEEIERFTKEQDEALQLLTSLQELDLSGCIKLQSLPAGLQRLTNLKTLRIPGSPALHSLQKGSLPDSLQQLEIVGGDIRSLPKDSLPNSLRRFGVYSCSSLRSLPKDGLPNSLEALEISGCPSLRSLPKGGLPNSLEELKISGCPSIRGLPKGGLPSSLQLLDVGWANREELRRQCRTLIGTIPIVEV >PAN10206 pep chromosome:PHallii_v3.1:2:5851120:5853332:-1 gene:PAHAL_2G078100 transcript:PAN10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARSSVAPLFLLLSVLVSCAAAARTVGDSVQDACSKSQFPKICAEGLAAKPESQKATPRKLAELFVAIAAEKGAGMGTFLEGKLRTEDTTLLKCFDSCGDDVEEAMAHLNGLMREPIDAKFLELKSWLSSTLGGTSTCEDACKDLPKNADKDEVVNNSLEFEKLLRVTLDLITEASGSMSADIALPPSDAAAPAYGAAAPFGGDASPASDASSADAPAAAAEGPAASSKSYGGGASGPSAADSPAAAADAPSGSEASSAPAPSGSSSADADAPAGDSSGAAAPASDETAPDDDSNDTKKA >PAN12007 pep chromosome:PHallii_v3.1:2:39916119:39916963:1 gene:PAHAL_2G234900 transcript:PAN12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGAAALKAAAAVAIFAMLVMSSQGNPRTGPLCSSCASKCSFECGTITATNCSSACGYPPECYSCLDRVYNGYEDCCNNATINSSLSCCDNGCFGDCVTCAPCDVNGLLTVRCMDVCKLNNTACEACKDTVSQQCNATCNKDCSKTCVKKSNGW >PAN11099 pep chromosome:PHallii_v3.1:2:14667550:14676754:1 gene:PAHAL_2G147200 transcript:PAN11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTAALLFRRRAAAAAPKPLQQYVAGLSTAAGHDVLDDGGRSGGGEGNRKQWVELPPFAPLDANAAAQAISRGGGGEGACSNATAIRWVRRCCPHMPASLVQKLFRLRKVKRNLLTADTSSTDGITEQLRLRRVSAKDELVPGDILFLPVNIQESSVAEKTKKFGNKNEIDFIRSLEIYKDRNIIVLNKPPGMPVQGGVGIKNSIDMLSPMFEDGSSEAPRLVHRLDRDCSGVLVLGRNQLSASIMHAIFREKTADAVVNGTQQVLQRKYVALVMGRPRHPKGLLSAPLAKVVLPDGKSERLTVCAGSNTTSVQDALTEFRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWSPLPMPQAIDEEMLKKRKLPFGLALGGGSVAEEQPQLHLHCKQMILPDISEAMQRLQSSDADHDFSDLEKLSFVAPLPLHMRLSWEILKSVGK >PAN15046 pep chromosome:PHallii_v3.1:2:55139215:55141863:-1 gene:PAHAL_2G459700 transcript:PAN15046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYTAGAKFDCLLFDIDDTLYPLSVGLNQACRDNIQGYMLNKLHIEESQVPKMCLDLYKEHGTTMAGLKVSGYDFDYDDFHGCVHGRLPYEKLKPDPVLRQLLISLPQRKIIFTNSDKTHAATVLKKLGLEGCFDAIICFETLNPPTEQDDIDSGRRGILCKPSVESMEAVIEIAKLDAERTVFFDDSARNIAAGKAAGFHTVVVGSSSLVPGADVALESIHNIKEALPELWVEADGEHLDAMLRAAAVETTVLA >PAN09585 pep chromosome:PHallii_v3.1:2:2465180:2470195:1 gene:PAHAL_2G035300 transcript:PAN09585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G52520) UniProtKB/Swiss-Prot;Acc:Q9FYR6] MASLLRLPSLLSPSKPLLRRRLPAARLTAPAASRGQASAAAGAAAPEAAETRGGDREGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANQTYTIEAMMGDKKALQAGTSHNLGQNFSRAFGTQFMDENGQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPKIAPIQVIIVPIWKKGDEKAAVLEAVDSVQKILKEAGIRVKVDDSELRTPGWKFNHYEMKGIPVRIEIGPRDVTNKSVVVSRRDVPGKQGKEFGVSMDPSILVNHIKGRLEDIQASLLQKAITFRDSNIVDVSSYGQLKEAIAEGKWARGPWSASDADELKVKEETSATIRCYPFEQPEGSKKCFMTGNPAEEVAIFAKSY >PVH65256 pep chromosome:PHallii_v3.1:2:54497691:54497984:1 gene:PAHAL_2G447800 transcript:PVH65256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYTFSRSIKTMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLVNLVRRCSITLSQSFIVWLISLIPR >PAN10196 pep chromosome:PHallii_v3.1:2:5818445:5824217:-1 gene:PAHAL_2G077800 transcript:PAN10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAEVGGGPEITLEHTPTWIVAAVCSVIVVLSLVFGRLLHGLGKRLKKGSKKPLYDALLKIKEELMLLGFISLLLSVFQGAAQKICVRESIMHHMLPCPLPPLPSAGAKYGAAAFTGVLGGARRLLAGGGATSDYCQRKGKVPILSVEATHQLHIFIFVLAVTHVVLSAITAILGIAQTRNWRRWEEQIQLNNESDPQMIKLVQEFKFIRDHVKGHGKRWKIHGWLRSFFKQLYGSVTEEDYTAMRLGFITKHCKGHLKFNFYKYMIRAFEADFKKVVGISWYLLAFLVVLLLLNVHGWHIYIWLSLAPLILLLVVGSKMEHIITELAVEVVQKHTAIEGDVVVTPSDDFFWFHRPKLVLHLIHIVLFQNAFEIAFFFILAVTFGFKSCIMGKPGYALARLVISVVSQLLCGYSTLPLYAIVSHMGNSFKKAIFDDNVSEGLVHWAQNARRRKGKNTTNAEVGGSSVDGKYGGAIQMTNA >PVH64575 pep chromosome:PHallii_v3.1:2:45819226:45821204:1 gene:PAHAL_2G305200 transcript:PVH64575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSETMEVQARTKWVPSLPDEMVELILLRLPASTLLRCRRVCRQWRLILRDPRFATAHLQLHRAPACCPLLFSNRESALKKLYPSEAILFDEAWSPSRVTGDHYFLYNFGFHPVTKGYKVIRFLGGRELFPVDTVIVVQVYTLGGDKWRDVRAPKTQTLSCIDYSGVVNVDGAMYYWLSEDERNRRRRAVVSFDLREERFEWIQLPIADHAGRAYSTSRMYWITGVDAKVCVATAQDSMHSAIGFVGNLQIWTLENKADQSWRHKCTIQFSSANTCIPRPHFIPTFHPWG >PAN11641 pep chromosome:PHallii_v3.1:2:17313169:17323638:-1 gene:PAHAL_2G155800 transcript:PAN11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALAPIAAAVSPATSSPLPALTPQTLTPSPLMWRRLPARRLASALLSSLPVTSAAVPRAPPLERRLLPATSGLLPPPRFPSWQQNPRWFASAAAEAVSSEEAEELHHALEIFRAQPNQNQPPPPVEEQQASGRDHRGRHRRNRRGQQAQVAAAEHGMTYHKYASLRRRQIRVETEAWEQAAKEYRELLADMCEQKLAPNLPYIKSLFLGWFEPLRDQIAAEQELVADRGSRASHGPYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHRFLEKTRKKSNKEKENEEEICDSDIAKEQQRLRKKVTDLMKKQKLRQVRKIVKNQDDSRPWGQDAHAKVGSRLIELFIETAHIQPPASQSSDGLPDIRPAFRHEMRTVPKEQQKLSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLMPPICWTGYDKGAHLFLPSYVMRTHGARQQREAVKRAPKEQMQSVFEALNTLGSTKWRVNKRVLSIIDRIWSSGGRLADLVDRTDVPLPEKPDTEDETLLKKWRWHMRSVKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGESGLRWLKIHLANLYAGGVDKLSYDGRIAFAENHLEEIFDSADRPLEGKRWWLGAEDPFQCLAVCMNLTEALRSSSPETTISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYSGIATRVVEIMRMDSQKDPSTDPDAARARLILDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDAELFGASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVKWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHASDVDTMNKILREKFVELYDTPILENLLESFEKSFPTLKFPPLPERGDFDMKDVLESPYFFN >PAN15273 pep chromosome:PHallii_v3.1:2:56194220:56209935:-1 gene:PAHAL_2G476900 transcript:PAN15273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) UniProtKB/Swiss-Prot;Acc:F4IV99] MAFFSNSGSRADSGGYNLNEKADDEGAYESVRDGGVDSNSRQWNLNEKAEDAYHSEPEQFEAGQSSLYSSENTFGQHARRGGGPWGTNFLKDSRSNQTAKEVPSNRGMDAASSLDDMDGSGEDDELNRANGDVPAEEMLSDDYYEQDGEEQIESLHRGGTKQSSCSTSGAATKSASRQKKTKTKYNAYADDDDDEYNDENDDDDDADEDDPDDVDFEPDSETDKAVDKDKFMDSENSDGDDDDELELSDDEDDDFAENRRQPKRLKVVATKTPKGRKLPMQAQRRRGMSHTDDEYSSGKESDVPSDADFNHRSKKPDRLHQKPVGRNDVAPINSHNELRTSGRRTVKKISYAESEESDDSEKSTKQQKLKEEPEEEDGETIERVLWHQPKGVAEEAMRNDQSAQPTVVSLPSDFNQQWDELEFYIKWKGQSYLHCQWKTLSELQSVSGFKKVLNYTKRVAEEQRYKRALSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKADGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATAILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPNMNVVIYVGNRASREMCQQYEFFSDKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDSFVEKYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVKMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKRNLESLDIDEILERAEKVETKGGEGEEGNELLSAFKVANFSSGEDDATFWSRLIQPDPADMVQETLAPRAARSKKSYVEDPQLDKNNNNRKRRAVEAQEKPRRRSSRTVETAVSLPLVDGAVAQVREWSFGNIPKKDASRFVRAVKKFGNATQIDLIVDDVGGVLAKAPHEAQIELFDLLIDGCQEAVKENTDIKGTVLDFFGVAVKPYELLARVEELQFLAKRIARYKDPIKQYRIQLPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLGLATKIAPATLGERETFLPRAPNLDNRASALLQKEYAKFSGKSSKAKGGTRQTVNNDGSSGTRSMRGRQKDAQEKDDNKSNKDDIQKRKIVEAEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDEEQETLKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGDKIGEVVRQHSESYRQSRKSSRSSRMTMRLWNYVATFSNMSGEQLHDLYLKLSQDLEGGVGPSHGGNFASIPPSKGGNSNQLHPSRNQRPTRSLQYTSESFHNSENSGSSEAWKRRRRADPDNQFDTQPLYQPSPMMTNGNRLQESSSSAGILGWGPVEMRRYGNERPKRGVHPSHFPAGHGPLL >PAN09995 pep chromosome:PHallii_v3.1:2:4715607:4719549:1 gene:PAHAL_2G064000 transcript:PAN09995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQLIYSFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEQMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCGSN >PVH64824 pep chromosome:PHallii_v3.1:2:49318882:49319657:1 gene:PAHAL_2G362600 transcript:PVH64824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETPRSKRAMAVHAGERISDLPDELRYHALSFLPARDAVRTCVLSPR >PVH63353 pep chromosome:PHallii_v3.1:2:712297:713916:1 gene:PAHAL_2G011100 transcript:PVH63353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSGAKRRRRSEEEEDEERLDRISRLPDCVLGDIVCLLPTKDGARTQVLSSRWRHLWRSAPLNVDLYDRSLSRRHIPLSNISRILSSHPGPGRHLSIPRDYVPEGCDEDCSATLDAWLQSPALNNLQELEFHLGFERPPSPLPASACRFAFGGCVFPDGNNASALHLPVLKQLSLLGVTISESSLHFLLAACPVLQSLMLNDIIGCSRIRIMSPTIRSIAIRMQNSWGEGPKSQQFIIQDAPCLERLLLFGRGRNLYIKMVCGQYVKFLQPTEPRITNAWCHKYRNLIGTLDIHLKKIVVTNYRGNKAHVNFAKFFILNARVLESLVLEVYVGNGNKAWIERQRTLLQIENRASRSAQFDFVSSSGWPMLCDHIWSEQAHDLSTADPFVRFHDWH >PAN14332 pep chromosome:PHallii_v3.1:2:51748726:51753067:1 gene:PAHAL_2G401400 transcript:PAN14332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVTPRPADRPVTSASPVAAAVARPRVPVPSPTAAAAAAAAMPSFLTTPSRIGRHFDEDERTPFEPAAPRRPAPSPTSFAPTPVVVPARSGPGNVWGPRREAAPTASPVGPAPASPGGQIWSATRIAQASAVEKVISGRWHPSRPSSPPAPVSAPVVETPVAPPPMERPRSVGVRELDVGVERGAAPVRPASHEGRVGEGRVAEVPERPKLKLLPRSKPIEASEPSPTYDEDKQVHQVQVTANVMKIEAVNDVHQNLMAAKTGVLGADAETESRLAERPRLNLKPRSNLTGQSDEIAVKERQSLFGGARPREQVLKERGVDVLATDLEKTSPVGRSKSEFAKVEQKVEAMSINPSVERAEGFPAGHRGPRNGDRKDYRRDSDKADAYRPSRREDNRRVARDVEKPPEQPRPEPETWRKPVEPPKPEVTTPRFGKAATALELAQAFSTSMSDNVPQSRLTSVPSPRVPPSPGARDQSGFSRLTDNRALHSGPSHSQRKINGY >PAN11271 pep chromosome:PHallii_v3.1:2:15559238:15563304:-1 gene:PAHAL_2G151000 transcript:PAN11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) UniProtKB/Swiss-Prot;Acc:Q0WVD6] MERSLAGKDEAEERLRSDEEEEEEEEEGEEGWDDWCSDGDDAGGWLLCLFCSTRFDADSSLLEHCAAEHRFDFHRIVKELGLDFYGCIKLINFVRSKVAENKCWSCGQAFSSNIELCSHLHALENYRIEGKVPWKDDVYLKPFMEDDSLLHSLSMDDEEDEECGTSVERGQCSAGDGVLAEPLGNKLSTLPEGNGSDISARFEQECTIGSRQGEDRVSLAHETNDSQLKVARASVNAKAIKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDAILGNPSLLSGAAVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMASVATQVAKNNGLLHDENVKTEQKQGAQVINVVHTKAEELIQKILVPQNGFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSTSARFPVVDVVASQDIVTETAVLHSFDLATMKESEMDFTASFELRLSESGTVVPGVTWCYGIVLWFDTGFTDRFCKEKPVVLSTSPFSTPTHWSQTIFTFEEPIAMVKEESTLGSSASVGTDGCPATMLKSRISIVRASEHRSIDISVETTAFSSDGRKRSWPIQIFNL >PVH63868 pep chromosome:PHallii_v3.1:2:10639739:10640460:-1 gene:PAHAL_2G123500 transcript:PVH63868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSKCKLRIFLLIGFAVVLQPSSAIRAEGAPAADRRAAPPSGIPPLPPQPRECRPWLMRMMPCAGFITNSSFAYGTVTCLCHVVNGDVGRLLPAPMIHARMVELFSVCGHDVRVEMLAAACNLTDDVPPIDLPSPPPSTPLPPPALSSSVMMAMTN >PAN14810 pep chromosome:PHallii_v3.1:2:54400507:54403661:-1 gene:PAHAL_2G446100 transcript:PAN14810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALKFCRECNNMLYPREDKETCTLLYACQSCEHQEVASDTCVYKRVLRKPSGEPKDVLKDVAADPSLPRTRSVRCYNCNHPEAAFFQAPTKGEQAMTLYFICCNPSCGHRWRD >PAN13655 pep chromosome:PHallii_v3.1:2:48835577:48838735:-1 gene:PAHAL_2G354800 transcript:PAN13655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMMMTAGESKSPARALRRLAGAAVAAVLLRRSFSASKCKTEARMATARMKLLRNRREAQVRQMRRDIAALLRDKQEDTARIRVEHVIREQNFMAANEIIELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDIFEKKYGKDFVSAAVDLRPDAAVNNLLIEKLSVKKPSGQTKLKVLKDIAKEHQIDWDTTESEQELLKPPEDLIKGPSTFVEASNMPVKTIRPHVVPPIPTNFSSRYSDDESDEDTMQFKDAASAARAAAESAERAASAAKAAADFANKNNHPFDEVKDCKASAHESTHPRKRQSMSNSSRSSRKEDTDAFDELKPHGGKASSTGSFSGTNHIEDRDNYPVDLDTRKTRRRNSRAARKVHSEIKFDDSEGLCSESEDENDVEIQSVERPLPPTREPFTENRHSEEEELDNDFPELPKANLHSRVHPNMPLDYETLTARFEALKSGKLP >PAN13131 pep chromosome:PHallii_v3.1:2:49893926:49895933:1 gene:PAHAL_2G371600 transcript:PAN13131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPYNRTPAAAGPSTPQPLLLAQGCFADQPSHHPDCFATGLGPEPIGGLTSRADPIDRGAEPAPSSGIELRPGRHPGAPLLPGVGSMRAPARPPSRGAAAPRRWFDASSGPAAIQGRCSQAPAKGEVPARRAPAPVPRQTRAPRPPPL >PAN14439 pep chromosome:PHallii_v3.1:2:52241103:52243191:-1 gene:PAHAL_2G409900 transcript:PAN14439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGQGDARKGCLATSLSFSNCRSSTRILGRKRVAVSPAPGPRSPHSPVRTLRKQRSVRFHMDDAVSLLESLPQDVLIKVLCKVNHSDLRQLLLVSKPVSEATTVARELHFKFATPSSKAVFRGDEDGDDEDGPGAPRQRRVARSRLRGKNLESIAVNLSASFESLLSEV >PVH64632 pep chromosome:PHallii_v3.1:2:46631605:46632387:-1 gene:PAHAL_2G319100 transcript:PVH64632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLTSNEDWLTYLQNASHWQWPLVLLVSVHQNPPLINIEAGPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEFKTVECKSRKYDVVCVKDGCP >PAN14288 pep chromosome:PHallii_v3.1:2:51537125:51547250:-1 gene:PAHAL_2G397600 transcript:PAN14288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIGHPRLPPYLAAAAALFLASALAPLAGGDPLGQVCGASGNYTLNDTYQGNIKRLAATLPKNASSSQALFAKASLGAVPDIVYALALCRGDTNASAACGDCVATAFTDAQQVCPYKKDATVFYNLCFLRYSNQNFLDSISGGGNVLFVLMPTRNVTTSGTQYVAVTRVLDNGDPILILKTYTYVTSPFRDFDAAVAVLVNATADYAAADASRRFATAVEAFQTFSNIYGLAQCIPDMTASDCRTCLAGMTQMGSQYFSGRWGAMILGLRCSFRYEQYPFFPAGPLHHQLPEAAVNGPPTTTTRGRGEFGGQRFSACAAASGNRTARVLAIILLIVVMILGTVVVFYCLWRRRKKPAEKTLLIDSINPEDIRSIDSLMVDLPTLRATTENFDEGKKLGEGGFGAVYKGVLPNGREIAVKRLSQSSRQGMEELKTELVLVAKLQHKNLVRLVGACLEEHEKLLVYEYMPNSSLDTFLFDPDKSNELDLDKRFMIINGIAQGLQYLHEESQLKIVHRDLKASNVLLDSDFHPKISDFGLARLFGSDHSQYVTNRVVGTYGYMAPEYAMRGHYSTKSDVFSFGVLMLEIVAGRRNGGSYDSEQHDHLLSLVWGHWTMGTILEIMDSSLSDHPSVDQMFKCIHIGLLCVQHNPADRPVMSAVNTMLNSSSAPRQAPSRPAFCFQKSGTSQCTSRSDVSANEVTITEVEAR >PAN13790 pep chromosome:PHallii_v3.1:2:49403273:49407013:-1 gene:PAHAL_2G363800 transcript:PAN13790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPPLLALLAGALLAAALAAPAAGAASCPHTNLTANFSADLTMLQHQLRGTVRLAANGTCALELSRFDLLAASPSARFWATDGPSMADLAAGRAFSPLPLNRTFRNASLELPFARPLPRLLALYDPDTSSDFGHVFLPNGTASELDTSAAAATERAPTMFDNCIPLSGTETYRLRWTLNASAGTVEIGLEAAIGSEYYMAFGWADPKANAPAMIHADVVVAGFTEEGTPFAEDYYISDYTECTLGKDDSPVSGVCPDKVYEDGKNDSVLVYGHRRDGVSFVRYVRKLDSDDTKYDVPVGATDEMEVLWATGKLRPPDTLRQHYLPQNHGGPKDTTYGFVRLNLSETVDNCLGPLDADNKEDQERIIADRKTPLLVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGPDAHGVLATPKELVWLPDRNTPDLVYYQSLYEQKMGWKVQVVDGGLSDMYNNSVFLDDQQVTLFWSLSADSISIAARGEKKSGYLAIGFGSGMVNSYTYVAWIGNDGVGRVKTYWIDGKSAAGIHPTSENITFVRCKSENGIITFEFTRPLKPSCSGKVECKNIIDPTTPLKVVWAMGASWSGDDLTDNNMHSVTSSRPIRVLFLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGIMAARYLKSLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFFVSSAHVKFGVLALLLAVLQPLNAKFRPSKPANGEVPSRNRILWEYLHVITGRSAIVVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSVIVIVLCLEYKEVKRRSSDRSVRGHWVLGNTEEDDSVDLLHPDGTARNSESSSSGVMEVQLEPLNR >PVH65301 pep chromosome:PHallii_v3.1:2:55000527:55001402:1 gene:PAHAL_2G456800 transcript:PVH65301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNHHSSLAVLLLALAAACAATDFDFFYLVQQWPGPYCDTEAGCCFPGDEKPAADFGIHGLWPNYAACRPDAATPNRTSCWPDFCNATDTLNLSLVSVSEWTSLKLSHGSASVMATRPSNAQIKGMEADLRRSWGTLSCKSKDATDFWSHEWSRHGTCSGMGQHAYFRSALDLKARLDLTGALLDAGIVPSDEAEYCLDRVRAAVAAATGGAAPMLELECNRSARNETQLYQVYVCVDPDGRTLVHCPLPAQRGCTDMIKFPPF >PAN15020 pep chromosome:PHallii_v3.1:2:55074491:55081946:-1 gene:PAHAL_2G458500 transcript:PAN15020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCVQKSEQDHEHESNRSWLIPRPSHSFPSAVRTKKTNRAPARGRAGSRLLPLPSPPSGGRAPHRASNRMRGRRLLNPLPLLLTPKPPSRPLAAHFRGARARARAAAHPPAPSPAPRRGPLAEPDVGITRFASPVPGFRGALKQRYSDFVVHEVARDGALVRLTSFDLPDVDESGGNAEGGDAGSDHSRALESFRLLCGEADHDALRRFLERALEGGDGDLSSIILSADADKAHRSEVHEFIKRNFKFLITDTVEHSDGTQKCIRVRLGSGPRGGRGRTKRGMGSSGWRDDRPFDSRGSTSWPYHLGKFLRFHLYKENRDTQEALGVIGKMLGVQPRSFGVAGTKDKRAVTTQQVTLFKVHAGRLAALNSKLIGIRVGDFSYVNEGLVLGQLKGNRFAITLRNVVAESDDVIKAAVDGLSKNGFINYYGLQRFGSGSVPTHFVGAALLRGEWKHAVSLILGTGVHYKRHGDIDVALSGIPRHLTVERAMLQRLKKYPGNYLQALMAIPKTLRLMYVHSYQSYLWNHAASMRVEKYGILQVVEGDLVYKKGCSLGEAATVDTFDDDDRQTTSPEMEISYETLPEEVIQSVQIADSEDLLKAVYTFEDVVLPLPGSETLLPGNDVAEIYHEIAKKDGISLIESVHGIKDFSITGMKGGYRRVLQRPIAFEWDLMTYTDETVPLVETDLAVLSKTQPSEANKLPSDGTSSCPSCDSGLDASLDTSRSTTDGTEASSEKTKSIGISDLLPKKLAVKLEFTLPASSYATMAIRELTKTSTSVAYQKTLNC >PVH63554 pep chromosome:PHallii_v3.1:2:4006086:4006702:1 gene:PAHAL_2G054300 transcript:PVH63554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQKFIDCTRPNHTYCVHTTILRNSHISLQFTFIFSKHIYVKANLHMTSM >PAN15298 pep chromosome:PHallii_v3.1:2:56329358:56330708:1 gene:PAHAL_2G478700 transcript:PAN15298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGGGGDDVLLRSPAPAGGGRQQRHPRWLPLDVVAAIAARSDPATLVRCAATWARAAASRRTRASSPASLRDTDRFVLPLLRGHLTTGPTGYNDRLSMLDTATADGTRFVGIGFFPPAPDDDDMPVEGIEPLDSRGGLLLVRLTGRGELHRALRVCDLATDRSQALPSEPASDHWAPQNVHYVLLVGDGESGGAVGRPFRVLKTNLVLTKHNSPQRRLQIQIFSSELGAWGPLTEIPTPNLHGSGSSRHANPLVVGDVVHWLCLTHTGSYVLMLRVGAARVTVTTLPASFPRAAAVPHARPGSSSHVQYSYLLATTSAGGSPIVLVADDERISAWPQSAHTKIWKQRPWVVIAKEAILRFDDKVGERLERTTARVELECFAERSGAVLFRISKCGFFWLDLQSMKIVRRFPNPRSERTKVPCSYEMGLSSWVPTFSRGTLAAPIVM >PAN13479 pep chromosome:PHallii_v3.1:2:47990885:47993604:1 gene:PAHAL_2G340500 transcript:PAN13479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVSAAVAAALLPILHGRRSPPASRVPTIFRRRIGPSPRLFSSSSHSFPILTAAAMATAPAASDAGSKSKLLVFDSKEELAVSLAKYTAELSEKFAAERGAFTVVLSGGSLIDALSKLTEPPYLESVDWSKWHVFWVDERVVPKDHEDSNYKLAFDGFLSKVPIPPGQVYAINDALSAEGAADDYEACLKQLVKNGVIAMSTATGFPRFDLQLLGMGPDGHIASLFPGHPLVNEKERWVTYIKDSPKPPPERITFTFPVINSSAYIAMVVTGAGKAGPVQKALSDKQTSSDLLPIEIAVLQDGEFTWFTDKPAVSLLQNK >PAN14174 pep chromosome:PHallii_v3.1:2:50963191:50965382:1 gene:PAHAL_2G388800 transcript:PAN14174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLSVRPAPSTTDARACRRARYTCGGTHPSAPPSSISISNSSAGSGSGTAKRLGHAGTSTGADAATGRPGPSPNARLSPPCTPRRAARPPTPPAVAVRPSASTGREAAGQGLPESAAEYQTSASARSGRSSPAGAGATAPGDPPRGYPRNRSAAANGGLRALAIVGKQLATATATATRRRQIGWRRGEESRARTRPDLQVQAEGASEETGDWLRFGARRNLARIYRPRAVGGGTHRPPRGPRPELVLVCRDGLRASA >PAN09637 pep chromosome:PHallii_v3.1:2:2762652:2764842:1 gene:PAHAL_2G039000 transcript:PAN09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAHQVGANQPPLLSSPARLPRSSSNARLLPAASLGGRGVRLLPRGARRTAAVVVRASSEAKAEAEAKPAEGGGEEERPYEEYEVTIEKPYGLKFSKGRDGGTYVEAILPGAAADKTGQFTVGDKVLATSAVFGDDIWPAAGYGQTMYSIRQRVGPLYMKMERRFGKWDGAGELTEKEIIRAERNSGVVSGRVREIQLQNYQRKMAQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPESSEASIASYNVACSYSKLNRIQAGLSALEDALKSGYEDFKRIRTDPDLENLRKSEEFEPMLKNYDESFINENALNAIKSLFGFGKQ >PAN14028 pep chromosome:PHallii_v3.1:2:50349056:50351366:1 gene:PAHAL_2G379200 transcript:PAN14028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTVENVKAKVQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGGRGGYPTMRVPWDLINLARKHNEKKMICRKCYSRLYPKATNCRKKKCGHSNALRAKKKLM >PVH65027 pep chromosome:PHallii_v3.1:2:51929372:51934119:-1 gene:PAHAL_2G404900 transcript:PVH65027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHASTATSPLLASLLLFFLYVAAQDGARADAAAALSQGQSLGAGDRLVSPGGTFALAFFAPAGGDPSRRYLGVMYAQAAEQTVPWVANRDAPVSAAAAYSAAVTASGDLRVLEGDRVAWSTNTSSTLGNVTLAISDDGNLKLTAGGGDGGQPVLVWQSFDHPGDTFLPGMSITLDRRNGAVSRTLFTSWRSPGDPATGDFTLGQDPLGSAQLYIWRRSPGGENNLTYWRSGQWANTNFVGVPWRSLYIYGFKLNGDPSQSNGLISYVFNPYNTSEYRFKLNPDGTETCFMLLGTGEWETVWSQPTTRCQQYNTCGANAACAAGDNGQPVCTCLKGFEPRDPAEYGSGNWTQGCVRSAPLTCETNVSGGDGFADLPGMKLPNSAAWQTTVGDADACRQSCLGNCSCGAYSYSIGTSCLTWGQDLVDIYQFPDGEGYELHVKVPKSILDTGSKTRRWTTVVVVVIVVVVVLAGCVLLLWKCRRRIKEKLGIAGSREKTRLPSLLPVREARHDFSGPKQPDQEEADGGKKCELPLFPLDVVAAATDDFSNANKLGEGGFGHVYKGRLPGGEEVAVKRLSRSSGQGMEEFKNEVILIAKLQHRNLVKLLGCCIQGEEKILVYEYMPNKSLDAFLFDPARRGLLDWKTRFHIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGDQNQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIVSGQKNSSFHHMEGSINIVGYAWQLWNAGKGEQLVDPSVLAAGGGAAALRCVHMALLCVQDHACDRPDIPYVVMALGSDSSVLPMPKPPTFTLQCTSSDRDGLLRDKADESYSACDLTISMLQGR >PVH65161 pep chromosome:PHallii_v3.1:2:53765428:53768076:1 gene:PAHAL_2G435200 transcript:PVH65161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRRHVQYSSLPTEDRDNLRFTYTPKPHRKIPWKSIALAFFLLLIGISLLSLSYFIFTSHMEGDDSQAYGLLFLGVLAFLPGYYETRVAYYSWRGAPGYTFASIPDY >PAN14734 pep chromosome:PHallii_v3.1:2:54166805:54175867:1 gene:PAHAL_2G441900 transcript:PAN14734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQEGREVQVRALDSRSTTVRLAPGASVRDLKAALRSSFPPAQVAPSFHLFLKGAKLRLDAEVGSLAIGDGEFVVLVPFTRSPQQCSSVSVPGQEQGANPPKQPEVSAAANSAWQDIMDDLSAMPSSPQSDIAPKDFYSSSGPGSGTGRSAEDTPPCQNSSFGSSRKRKKACKENGNGSPETLPSGENGTAEKQKPNMSKKSGVAKSAATSCHDTHPLEPAEMAEHLKQGLGKEGQIVHIQEIPSREASFTEFPCHLSEAMREALASIGISRLYSHQSQAIESSISGRHIVVATSTSSGKSLCYNIPVLESLSQDLMACALYIFPTKALAQDQLRTLLAMKNAFHIDIDVKIYDGDTPREDRLWIRDNARLLITNPDMLHLSILPYHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALIIRRLKRICSNVYDSHPTFIFCTATSANSCEHVMELANLDEVELIQNDGSPCGSKYFLLWNPPLYMADGSSKASSVPRRSSSIVEVSYLFAEMVQHGLRCIAFCKTRKLCELVLAYTREILQESAKGLVDSICVYRAGYIAEDRRKIEADLFGGKLRGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCHVDSRNLKVLGQQLACAAYEHPLCLQYDEHYFGSCLDSAMTTLKDKGYIINNPSGPFSSSMWNYIGPERSPSQAVSIRAIEHDKYKVIDKLNNRLLEEIEESKAFFQVYEGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAYLPTSTCKTNRVKTTAQANDCTVTTKWFGFYRILKSSNKISDSVELNLPPYSYVSQAVWVQIPHSVKITVEERKLQFRGGSHAASHALLNIVPLHMMCSASDLGTECANPHETRGIPDRILLYDRHPGGIGIASQAQMLFGELLLAALELVSTCSCTGAAGCPNCIQSLTCSEYNEVLDKQAAILILKGAIDCERAYFEAEDACQQS >PVH64362 pep chromosome:PHallii_v3.1:2:41510609:41510884:1 gene:PAHAL_2G249500 transcript:PVH64362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQRHRRRVGAPRPFFHRWRARAAGPKQRRPRRHQSSNGEGEGTGRRHWNGEGRTAGGRRGWRGDARRRCPCRVRPCGPREATSERPGGA >PAN12680 pep chromosome:PHallii_v3.1:2:44342120:44343585:1 gene:PAHAL_2G283500 transcript:PAN12680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHCLSQPPKQHPSFGTFDLLATAYPIAHFFLSHPAACPLHQLTPSPHPLAISNGYASMLNTLFDNPLLTPAHDNVLAVSPPMVTNCLRHRSSLPLSTWSSLQEHIQ >PVH64561 pep chromosome:PHallii_v3.1:2:45725435:45729156:1 gene:PAHAL_2G303700 transcript:PVH64561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPLLLLLVICSSWVLLQSAADARRTLAEAGAARRPRVRAVSLGGWLVTEGWIKPSLFDGIPNSDLLDGTQLQFKSVARNRYLAADQGGGAAIVADREQPSGWETFKLWRINETTFNFRVFGNQFVGVDSAGRVVATATTPGPSETFQLVRRDGDKNRVRIRAPNGLFLQAKTMESVTADHSEDTNWGDDDPSVFVTNSVAHLEGEYQGLKIPLASLRLQLCNGYGIRKARQVLRTHWRTFITESDFSFNASSGLTAVRIPVGWWIASDPHPPRPFVGGSLQALDNAFRWAEKYNLDVIVDLHAAPGAQNPYEHSATRDGSQEWGTTDENIAQTVQVIDFLASRYAKSPSLLAVSLLNSPLPPGATLPSLTKYYRDGYDAVRRHTRTAYVVMPARLSADATELLRFAGRFTRAVLDVHYYNLFSDEFDGLTADENIDFVRKNRSSDLAAVTRRNGRPLTFVGEWVAEWNVRGASKRDYQRFAQVQQDVYGRATFGWAYWMLKNVNHHWSMQWMIQNGYITLDSSRSRAIVSPLDGEQMEPAAGRPKQDAVQVS >PAN10975 pep chromosome:PHallii_v3.1:2:11733536:11737870:1 gene:PAHAL_2G130600 transcript:PAN10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MASGKHFKYVILGGGVAAGYAAREFAKQGVQPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLSTKTLTSAAGAAFTYEILLIATGSLVIKLTDFGTQGADSNNILYLREIDDADKLVAAIQAKKGGKAVVVGGGYIGLELSAALKMNDYDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAIGFDANANGDVTAVKLKDGTVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVPEYDYLPYFYSRSFDLAWQFYGDNVGETILFGDSDPTSSKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPTVASVEELKKEGLQFASKI >PAN13924 pep chromosome:PHallii_v3.1:2:49942831:49944322:1 gene:PAHAL_2G372500 transcript:PAN13924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19D [Source:Projected from Arabidopsis thaliana (AT3G54940) UniProtKB/Swiss-Prot;Acc:Q8VYS0] MAAQLVAALVLLLPLAGASDYDGFIRQVTDGGSRAWPGLLTEAQFAAFVRRHGRRYSGPEEYARRLRVFAANLARAAAHQALDPSARHGVTPFSDLTREEFEARFTGVRAGGDVQRLVRGSMPAAAPAPEEEVARLPASFDWREKGAVTGVKTQGACGSCWAFSTTGAVEGANFLATGKLLNLSEQQLVDCDHTCSAVARNECNNGCAGGLMTNAYAYLMESGGLMEQREYPYTGAPGPCRFDPARAAVRVANFTAVPAGDERQTRAALVRRGPLAVGLNAAFMQTYVGGVSCPLVCPRAWVNHGVLLVGYGARGFAALRLGYRPYWIIKNSWGEQWGERGYYRLCRGSNVCGVDSMVSAVAVAPPP >PVH63364 pep chromosome:PHallii_v3.1:2:912068:912982:-1 gene:PAHAL_2G013400 transcript:PVH63364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGERAWYFLSPLRTKSPRGRRKARTVAGGTGWWHGEAGPKPVLDPLDGRRKVGYRQSFSFMRRGADGAPVRTGWIMVELRLHDEDDGDGAGGIGDQLEGLVLCKVYRSPRHPGHAEAPDGDDLEESCAAAAAPAPAGRLSNVAEDDGSSDSPRRHIRTADDETSGAAVVTVLGPKEKTADDENETSGATTAAARGDEEEKKAADDEDSSASTPARKRAKTADDEGVGAASAPKRMAGAPATQQLHCPQCGFHLGALQTLVSPAKSTSETETETGIGQADETQGGGRTGDLPAKDRSFRHFF >PVH63338 pep chromosome:PHallii_v3.1:2:445513:446155:-1 gene:PAHAL_2G007100 transcript:PVH63338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRIGSRAPGAAGRARALDTAGHREASRSHKRQTHTHRLGRCKAGIQKMERQRDREDEQASPAAGSPAARSTVEDSSIQPPLRGEEELNLTTLEERDSCCSG >PVH64031 pep chromosome:PHallii_v3.1:2:22202668:22205365:-1 gene:PAHAL_2G168500 transcript:PVH64031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIMGIPGTLGGLALRVSQFVCAAGSLAAMLSAYGFSNYSAFCYLVLQMTLQLIWSFILVCIDIHSLKINWDLRSTGNLWKYVIGDWILAIGSLAAASSATAVAILLAIDVEFCRVNPYLSCSRYKVFVILASMAWSFIAASAGSTFWLLVSLSE >PAN11324 pep chromosome:PHallii_v3.1:2:22202668:22205383:-1 gene:PAHAL_2G168500 transcript:PAN11324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIMGIPGTLGGLALRVSQFVCAAGSLAAMLSAYGFSNYSAFCYLVLQMTLQLIWSFILVCIDIHSLKINWDLRSTGNLWKYVIGDWILAIGSLAAASSATAVAILLAIDVEFCRVNPYLSCSRYKVFVILASMAWSFIAASAGSTFWLLVSLSE >PAN12359 pep chromosome:PHallii_v3.1:2:42713440:42714376:-1 gene:PAHAL_2G262300 transcript:PAN12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQRLLALLLVAALLALSFSQGLVEARKVQVMRAAPRDGRALRGRLLPEEMAYTMMDYGPPTANTNTRGGMVPTPDPSSPPTH >PAN13445 pep chromosome:PHallii_v3.1:2:47797111:47798755:-1 gene:PAHAL_2G337200 transcript:PAN13445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPIKKEMSAESGSPCSSATPSTSSEHHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEGAARAHDAAMLAIAGPGACLNFADSAWLLAVPASYASLAEVRRAVAETVEEFQRREALPEEEDARSATSSTPSSPASDDGSATDGEESSDSSPATGASPFELDVFNDMSWDLYYASLAQGMLVEPPSAVMEFGDANIVDVPLWSY >PAN12708 pep chromosome:PHallii_v3.1:2:44481836:44487248:-1 gene:PAHAL_2G285400 transcript:PAN12708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGAGLGLVMEGTSCGALLKELQQIWAEVGESEVEKNKVLLDIERECLEVYRRKVDDANRARVQLHQSVAAKEAEVASLMATLGEHKLYMKKDKGIVSLKEQLAAVVPVLENLKCEKEERIKQFSDIRSQIDKIRFELSEYNDQGDNESSLAEDQHDLSTRKLNSYQAQLRALQKDKSERLRKVLEYINEVHSLCIVLGINFGTTVNEIHPSLHQNGVEQSRNISDSTLDGLASTISKLKAERKSRIQKMRETMESLCQLWKLMDSPEEEKRQFSKVMSVLILPEEGITSSGVLSQEIIDKMEAEVERLTKLKTSRLKDIVMKRRRELEEICQNAHIEPDVSTAPEQTDALIDSGLIDPSELLANIESQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQDPKRYSAGRGAHINLKRAEKARILVTKIPSMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLSRQQKEEEKRRYRDQKKLESILLAEKEAIFGSKPTPKRTSSLNRKTNGYRPNGNANGLKTPTPRRSSLGSATPELLTPRSYSGHNRYFGDLRRLSTSHLNFGDDSLSTFTSISGSEPESPSLG >PVH63775 pep chromosome:PHallii_v3.1:2:8096168:8099643:-1 gene:PAHAL_2G103100 transcript:PVH63775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPWWWGQVSAPLLCALELGLGAEIFNSAEVVQWESGKSVNAIAAAQGIRVRRRCRPSHPSEGVGADRAVPRSVLEQIVWDKEVEVAQRKAAAPLHRVTDSARRAPPPRDFAAALHGARARNGGVPALIAEVKKASPTRGLLRDNFNPVEIAHAYEKNGAACLSILTDEKHFLGSFENLQTVRNSGVNCPLLCKDFVIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRVCRSLGMAALVEVHDERELDRVLKIDGVQLIGINNRSLGTFEVDTANTNMLLQKRGDIIRKKKIMVVSESGLFTPDDVAYVQNAGVAAVLVGESLLTQEDPGRAIAGLFGKELLL >PAN14633 pep chromosome:PHallii_v3.1:2:53131890:53134043:-1 gene:PAHAL_2G425300 transcript:PAN14633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQPRSAYIVHTDHLTKPSHFATHGHWYTSMVASLSPATNSSCVFYVYDTAIHGFAAELTHGEARRLSNTPGVAVVHKDRLVHLHTTRSPGFLGLDRDFGIWPDTNFGDGVIIGFVDSGIWPESASFDDTGLGSVRPSWKGRCVDGERFNASMCNDKLVGARSFTAGMSLATDDFLSPRDKAGHGTHVASTAAGSEVPDTGLFGFARGTSRGVAPRARVAMYKACVLHDCSTAAIVAAIDAAVKDGVDILSLSVGGLDDPDFYKDAMSIALFGAVRAGLFVACSAGNFGPREFTLSNVAPWITTVGAATVDRVFPVSITLGNGQVLTGQSLYAHTTNRTEMIRLLPSNCSNDLGSDRIRGKIVMCARDFGVYPSYGVAVKKAGGSGLVSVSPLDRRMDGLMVQPFTLPAVTVSAREADRLSAYIDSVPDPVASFCFTGRMVTGENRAPVVASFSSRGPNHIVREILKPDVIAPGANIIAAWPVESPLTQSRSDARRSSFNIVSGTSMPYPHVAGVAELLKHKHRDWTPAVTAATLDSHGRGISDNSRTSSGVATPMAAGAGHVRPQLALDPGLVYDAVEQDYVDFLCALKYSAAQLSMFMPGFAGCTRTLPGGAAGLNYPSLVVDFSNGTGVRVLKRTVTKVSEGPETYTVRVVAPDQVAVAVTPRTLQFEKQNEKKSYKVVFRSKKTAVGSTQFGHIVWENDGHQVRNPVEFRWT >PAN14266 pep chromosome:PHallii_v3.1:2:51427101:51428753:-1 gene:PAHAL_2G396000 transcript:PAN14266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAVLIALVGAMLASGAAGVRVELTRVHSRPGVTASQFVRDALRRDMHRHKARELAASRGGGATVSAPTRKDSPGGPEYLMTLAIGTPPLSYPASFDTASDLIWTQCAPCGGRCFPQPTPLYNPAGSATFRVSDCGGSLCPYNISYGTGWTSGFRATETFTFGSSPACQARVPGITFRCSNASSSSDFNGSSGLVGLGRGPLSLVSQLAAGRFSYCLTPFQDANSTSTLLLGESAAFSGAGVRSTPFVASPSRLPMRVFYYLNLTGISLGTKVLSIAPDAFSLKADGTGGIIIDSDTTITSLDDAVYQQIRAAVLALVTLPNTNGSAAMGLDLCFALPSPMSAPPAMPSMTLHFEGADMVLPADSYMISDSGLWCLAMQNQTYGVANILGVYQQQNMHILFDVRKETLSFAPAKCSTL >PVH65221 pep chromosome:PHallii_v3.1:2:54115010:54118308:-1 gene:PAHAL_2G440700 transcript:PVH65221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRCSVLVLALALAATLSIAAAYDPLDPNGNITIKWDIMSWTPDGYVAVVTINNFQMYRQIMAPGWTVGWTWAKKEVIWSMVGAQATEQGDCSRFKGNIPHCCKRTPAVVDLLPGVPYNQQIANCCRGGVISAYGQDPGAAVASFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCEHKTCVQGDSKRPLAVTGKHEHAHAAAARGHRDKEAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSINDTAMFYGLKYFNDHLMQAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKVYFNGDECQMPPPDAYPYLPNAALPAPAASLGAAVVAVMAFLVLVMV >PAN15591 pep chromosome:PHallii_v3.1:2:57723544:57726795:-1 gene:PAHAL_2G500000 transcript:PAN15591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTYKCCLIFKRRFHSRDAPPPDDVRALFSLHSGGGPHMGADGLRRYLHASGHEDALDDAEVDRLLDQIRLQQQGRARLPRLARPLLALDDFHRYLFSHDLNPPIRHPQVHHDMSRPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSTKDDINILHGRTLTTPVSLIKCLRSIKEYAFVASPYPVIITLEDHLPADLQEKVAKMVLQVFGNILYYPDTDQLKEFPSPEELKGRVLLSTKPPKEYLEAKVGTMNEGDADLHLGKGAGDDAAWGKEVPDFHTEIKFAKKHDDDVSEYQRDDEDENDDDDDDEEEQKMQPHIAPQYKHLITIRAGKPKGSLADALKSDPDKVRRLSLSEQQLAKVAEDHGTEIVRFTQRNILRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTEPEVFNPREPQPVKKTLKVKVYMGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSVMKKTKAIEDNWVPVWEEEFSFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELRPGIRAVALFDRKGNKYNHVKLLMRFEFV >PAN09854 pep chromosome:PHallii_v3.1:2:4004227:4007472:-1 gene:PAHAL_2G054200 transcript:PAN09854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLLTSPCPRAPLLRALPSPSARALPRTLAFPARPAPRGLRLSPPPRAAAEASAAATALGGLLSSPLSALEAGLRSVNLAPLRAPVAAAMSAAVRWLGVYREVLLVGVLLSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDIIIMILRPQPRM >PAN10080 pep chromosome:PHallii_v3.1:2:5250926:5251677:1 gene:PAHAL_2G070800 transcript:PAN10080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKAVIQLVVFALVFTLCTMPRAWGEQDCYREKDVFLHKCAWSIRRGLAYLHPNPICCRTVRKIDMTCVCGAILPREEETIDVRHAYFVSQDCRKPVPAGNKCGSWTVPGASGPPPPPHHP >PVH63776 pep chromosome:PHallii_v3.1:2:8182871:8183850:-1 gene:PAHAL_2G103900 transcript:PVH63776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLHQPAEYQVRIFFIYNLSSICLAENSVFHARTKRIDVHYHYIREKVLEGEIEMVPTKIKERIGDILTKVFTRQSLRSSERHSILSGRQL >PVH64499 pep chromosome:PHallii_v3.1:2:44548900:44549916:1 gene:PAHAL_2G286500 transcript:PVH64499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQTLAGFLYIGWTCDENHKASDKSLQFTSAPAGPGGNGGGGEAPAIDPSALSSLSPGYQARLYRIECCNGHLLIRSWDPSVLGKINYAVCNPATDELAAVPDPPAQGATCTSARLALDPSRRSYRIFDFQSDLVPMSVRSPAVWIYSSETGAWAYRECGWANGDGVTLRDKSSGVYHRGRLHLCPVEPVIASVDRDGRRWITTPKPSDPGDDGFLGGPPAGHIGVSRGRVQFLNTPEYNHLKMCVWERDTGRWVPKHSIDLRELLVTWDHQLGLNCRVLGIHPDRGVVYFLQGERSKLVSCDMERGDQGSVICEFGYDNYPPYVLYTPSFARSFEG >PVH63992 pep chromosome:PHallii_v3.1:2:19175202:19177294:1 gene:PAHAL_2G159800 transcript:PVH63992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLDIDGGYGAIAMAGNYHHLIDIAPDANMGTAPGTTDGGAAPVGGDGGNEKWLRNLTSATVNTAVLRDLISRTPMLWYLGERSGTIFRPCARRERVEALHAVRAVAIGPFHRGDRGLPFSEDAKLPFMRYLQDQCGLDVDGYVAVLCRERDRLRDEFSGDGADAAAAGTLDDEKKFLEMLLLDCCFVLVVSMMLSKTGTGDDADSVARAASINRDYFILHMAVAQHADDIKLDMLVLENQVPFAAVKLLAASCPGLRLRHSVEELVLGCFDDICPKRLHRAGDAAAAASEFHHVLHVFHWSRVPKDKYCVLSTPRKLLKIKKESERLFPCAMELRRSAVCFRQASSSSCGDLDMRFWRHPASPVAVMSVPCFHVHEYSAAVLHNLVAFEKHFYWAHGACVTAHVARMEGLVRCPQDAAMLRKRGVLASTRSTDADLVALFRELGDETIGARLPDEYGEMLDAVAQHQGRRVSDWCGGFVLHFFPSPWVAVSLAAAVALIFVPSMLQTVYTMLGYFKSS >PVH64464 pep chromosome:PHallii_v3.1:2:43711895:43714430:-1 gene:PAHAL_2G275000 transcript:PVH64464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHALHMEELLQVEDWDLRRFEFEEPPPAGAHGPAAVFHAAPAGQAVNLQFALGQPIFEGTAFVHIDAVGAAVAPPAGGFGMQELAADHAAEGLQFFAAAQANATDNQHAACSPLSGGPGAFNQTARHAQPVGGVESVTIRLSRAADAVISARQAVLGNASSPAVLAGMEEEHDNSWYESILCEAQRMEQMEEPGLYELSLQTLLPSSQQEPCYSSTEGSGFQPSDFDPDEEPGPSTVRVTPLGDDEVPKFNCGICMETLPILDLFHGMQCEHRFCVECMATYIEGRIHAGEVPIPCPDPGCREGEEDNRALHPEVCKKSIDFTAFGNWGYRLTEAAIPASRRAYCPNRQCGVMLETTGGKTPAMAFCPACSHPMCATCGTDWSSEGSGQHDCTEGPSAQLVKKLAEERRWKQCPKCRMLVEKTYGCNVMRCRCHFIFCYSCGLPTGRQTGMEQGAVLCHCYYTVDAAQIHAEWHQPQ >PAN15577 pep chromosome:PHallii_v3.1:2:57671949:57674344:1 gene:PAHAL_2G499100 transcript:PAN15577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTIGFGSVDGHRPASSGAVACPASYMTTSSAPAPAPGDASATLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPAICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPVTCHQAVVNNLDDAHEQVDRAISTALKESKPVYISVSCNLPGLPHPTFTRDPVPYFLAPRLSNKLGLEAALQATVAFLDKAVKPVMVAGPKLRVAKAGDAFVQLSEASGYAVAAMPSAKGMVPETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSSLLKKDKAVVVQPDRVTVGNGPTFGCIMMADFLSELATRVRRNTTAYENYRRIFVPEGQPAECEAGEPLRVNVLFKHIQRMLSGDSAVMAETGDSWFNCQKLRLPDGCGYEFQMQYGSIGWSVGALLGYAQGATGKRVIACIGDGSFQVTAQDVSTMLRCEQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAMHNGEGNCWTAKVTCEEELTAAIDTATGEKKDCLCFIEVIAHKDDTSKELLEWGSRVCAANSRPPNPQ >PAN15578 pep chromosome:PHallii_v3.1:2:57671949:57674344:1 gene:PAHAL_2G499100 transcript:PAN15578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTIGFGSVDGHRPASSGAVACPASYMTTSSAPAPAPGDASATLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPAICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPVTCHQAVVNNLDDAHEQVDRAISTALKESKPVYISVSCNLPGLPHPTFTRDPVPYFLAPRLSNKLGLEAALQATVAFLDKAVKPVMVAGPKLRVAKAGDAFVQLSEASGYAVAAMPSAKGMVPETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSSLLKKDKAVVVQPDRVTVGNGPTFGCIMMADFLSELATRVRRNTTAYENYRRIFVPEGQPAECEAGEPLRVNVLFKHIQRMLSGDSAVMAETGDSWFNCQKLRLPDGCGLVLHGCCRYEFQMQYGSIGWSVGALLGYAQGATGKRVIACIGDGSFQVTAQDVSTMLRCEQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAMHNGEGNCWTAKVTCEEELTAAIDTATGEKKDCLCFIEVIAHKDDTSKELLEWGSRVCAANSRPPNPQ >PAN13902 pep chromosome:PHallii_v3.1:2:49888271:49892039:-1 gene:PAHAL_2G371500 transcript:PAN13902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAGRSPALVLLGLLLLAVSAARGSPDGGSVLRLPSSAPRHLAPRFPRSAAVDLIRALNLHPADASPRPATAGGAPAPAGTLVERPIHLASLAAEGTSVKDLGHHAGYYRLPNTHDARMFYFFFESRGHKDDPVVIWLTGGPGCSSELALFYENGPFHIADNLSLVWNDFGWDKASNLIYVDQPTGTGFSYSSDSRDTRHNEAAISNDLYDFLQAFFAEHPKYAKNDFFITGESYAGHYIPAFASRVYRGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMGLITKSQFNRINKIVPTCEFAVKLCGTSGTISCLAAYFVCNTIFSAIRTIIGSKNYYDIRKPCVGSLCYDFSNLEKFLNQKSVRENLGVGDIDFVSCSPSVYEAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSDKPFTVDGKEAGVLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSGPFSVSQKLDFDM >PAN13218 pep chromosome:PHallii_v3.1:2:46765538:46767038:-1 gene:PAHAL_2G320900 transcript:PAN13218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTEREVTPFTSAEKATSAAARGSGYRPVVSDHLEAQRGRNRACARTARGGPEPGRQVHGAIERGGVVEAREWREGQLGGDAATRETRSARAGAEQNAASAASGEREAVNPRDEQAARGGEGGEEGGARGGELAVGGGLGHAERLLGAGRREAEEGGAGGDGGGGTGELLVAVSGVGAVACSTRPLVPHLRLRGELADGPVPVGSAAGLAPPTATAAARLVRNPTASIARELRGYALPAAAKTKGKESPRRRQSSCLRGKHAARDAATDTGGRQAISQRRKGRGKRYLVGVSAPRAPSGVPRPRASPGTAATHMAHARSLFPFRSGDGRHLAAANALPLLLLLLSCFPFLGPPLPRPHFTSSLLRCWSAQRHLWLAGLGNLAWMQLIGG >PAN15601 pep chromosome:PHallii_v3.1:2:57782664:57783676:-1 gene:PAHAL_2G501000 transcript:PAN15601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSCKQVSCAEDAVQVRVRRRTHARRCYGPASDAEFLRSIADKTPGRDGDAAQRRRQAYLKSYVFATKDEAEAVASRGGLLDAVLLRRRKTDDDHMAAAAVGATCSSKQTNRWKAKAGKVLSQLLTRLLPCACDPAAAA >PAN13788 pep chromosome:PHallii_v3.1:2:49395013:49397506:-1 gene:PAHAL_2G363500 transcript:PAN13788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEDGGHRHHAIRVASSSPDVAIEEENTKPDHSPSLRQKAAEVIAASLETYRSRPFSFWLLLCLSSGAMLTAFPASSLLSRLYYNDGGQSKWILSWAAVAGWPLPALLLLPLYLLGRASPTPLSLALCSWYVLLGFLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGRAIAKNRLSLSSLNAIVVITAGVVVVALDSGSDRPPGVTARQYALGFALDVLGSALHGLIFALSELVFARVLGRRSFHVVLEQQAAVSLCAFAFTSAGLAAAEGFPAMRREAARFGEAAYANVMVWTAVTFQLGVLGGTGALFLASTVLAGVLNAVRVPLTSVAAVIWFHDPMSGFKILALVITVWGFASYMVGHASVKKTSAS >PVH65164 pep chromosome:PHallii_v3.1:2:53786145:53796752:-1 gene:PAHAL_2G435500 transcript:PVH65164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFLKKTPDRLLEISERVYVFDCCFSTDSMGEDEYRDYLSGIVAQLQEFFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQHFFPLNPQPSHLRYLHYITRQGSGSEWPPISRPLILDSVVLHVVPRFDGEGGCRPYLRVHGQDSSPGNKSTKVLFEMPKTKKHLLRYGQAEAPIKISAFCRVQGDVVLECIHIGDNLEHKETMFRVMFNTAFIQSNTLGLNRDDIDVSWNMNNQFPRDFRAEVLFSDPDSFKPAVATVEVADDGDETDVASVDTGDEFYEAEEDWHDARRDPETQSVDGRLSLDGFAELDGAVANEERSSLVKHDIDGDVKTVVSHFENPEGLQQAQQDLAKSKLNHTGGQENSGVQDIQVVATSVDSEGHKFASICQEEDTKDVIAQTLVTTVDPTCSDEIQFQANEPTKNLKYADLEYTAFDAPRSLSCTDGDTHLRTTTKGGLQNGDIKIITENTVIVDNELVIYEEKTIVENGNIIPEVKNVVNEKSGIPKIGRTTIKSRNAQDNSCGNIKSVKPSDTADGKLDQGKVEDGVEETITTKHTNVHDRIVVLPATEVATEIKTKRQGPVGKQDVSIALPQSRTEARASSPKFESDDRGQIPDKAVSSVLKKMAAGNAAQTEEQPKLAKPKTIRRWVSPKKESDATSVHRPSHPPSRYYSSPAALAIRSMSTDGKINAVKDAPLVSLMEPHSSHLTEESVSTLSAPSPARRSLLQGAQILSRSEAPLPPPPPNSSSSSMPMHGGSPMSSGAQKQTFAPAPPPPPPPPPPRSGIGGNTPPPPPPPPPMLNNMVTPPPPPPPPPPKLSSSVPPPPPPPPFTITRSSVPSPPPPPPPPPPPPSMAHSAPPPPPPPPPSLRSCAPLPPPLSVTRSGPPPPPPPPPPPAAATVYSRAPPPPPPPPLLACSSAPPPPPPPPSRGAPPPPPPPPPPPPGRGAPPPPPPPPGRGAPPPPPPPPGRGAPPPPPPPPGRGAPPAPPPPPGARGGPPPPPPPPGARPGPPPPPPPPGAPPPPPPPGPRLGAPPPPPPPGSRPGAPPPPPPPGLRPGAPPPPPPPGGGGRAPPPPPAPGGRLGGPPPPPPPGGRAPGAPAPPRAPGVPPPPGGNPSLGRGRVAARPLGSAYGAAASRKSTLKPLHWVKVTRALQGSLWEELQRNGDLQSVSEFDISELESLFPAAVPKSDDSSKSERRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLSDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKDNLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNIIDSSCNEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAARSPQLLNFYVDLVSLDAASKIQLKMLAEEMQAVSKGLEKVQLEYDASERDGPVSEIFRKKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTTFRKAHEENLKQAELEKKKAEKEAEAEKAKSAQLTSKNDSKPSNPSRQAKQTIERTRSANRRGRDAG >PAN09678 pep chromosome:PHallii_v3.1:2:3091551:3093185:-1 gene:PAHAL_2G043800 transcript:PAN09678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHGMRRKASPAPSAAAVVLALVLLAAAAAVSGQPQPGNKQTAANNPRLQRAYVALQALKRAITEDPKNLTRGWCGPDVCAYFGVFCAAAPDDPHALTVAGLDLNHGDLAGTFPEELGMLSDLALLHLNSNRFAGGLPESLPKLHLLHELDISNNRLSGGFPQHILCLPNVKYVDIRFNNFCGPVPPAIFDKPLDALFLNDNHFDFELPENFGNSPASVVVLANLRLRGCIPQSVGRMAGTLNELVILNAGLRSCIPQEVGWLRELTVLDLSFNQLQGMLPESMAGMHKLEQLDVAHNELWGHIPEGICALPSLRNFTYSYNYFCTEPQRCLDIRRIDDRQNCIAGRPDQRPGDQCLAFLHRPPPRCDEHGCFGPPHY >PVH65315 pep chromosome:PHallii_v3.1:2:55255909:55256520:-1 gene:PAHAL_2G461400 transcript:PVH65315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHTIKPSSKQLSSMVVVAAAIMLVLLAGVAHGGRRRLVSSSEDEPCKKMTVYYHDILYTGNNTANATSAAVTKPAAALSTSHWANGTFFGLLVVFDDLVTEEQALSSEPVARAQGFYFYDKKEEYNAWLAFSLVFNSTAYTGTLNLMGADLMYEKTRDISVVGGTGDFFMSRGIATLSLNAAEGTVYFRLKMDIKLYECYV >PAN09860 pep chromosome:PHallii_v3.1:2:4015547:4016372:-1 gene:PAHAL_2G054500 transcript:PAN09860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSPEDVVAPPAPAPPLDDEGELRRAEANGFQLFLGNLGAEVDEAFLAGVFSRFASYVPGSARVRREPDGTTRCYGYVTFSERRDAAAAVAELDGGLVWNGRVRLSCCRLRGAEARRLPAAQLRERCEVRRRLIQERVRRLEEEKRRVALSSWSMAVSSMR >PAN14397 pep chromosome:PHallii_v3.1:2:52038918:52040108:1 gene:PAHAL_2G406500 transcript:PAN14397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAAGQLPLTDGILADILIRLPTLADFGRACASCPAFRRVITGHSFLRRLHALHPLSILGTPARALAEAADFDLSFLPKPGFWMVRDERGGRFVADRDEGRDDTFTTIAVCDPLFRSYVVLPPIRGDLSATVQQPLPVNAERRCHVFLAPSDEEEETAAGAPESFKWRAVAPARWSDLDPFMPSVTEHKSLHNRNYAYGCFYWSLSEYPYHPNLIVLDMDKMEFYRANPPPTHELEKFAIVELGESRLGMVVLSSSNIVGGALLLFSANRETHGTCASEWVLKNEVLLSRSYEYRMLGVADGKLLLVVTQNGRSAPEVRCVSLDFKTLQRQEIQGMIHRDFRPLTPFPLPALYIGYPPSLSLPTI >PVH64786 pep chromosome:PHallii_v3.1:2:49009487:49016132:-1 gene:PAHAL_2G357400 transcript:PVH64786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILILIEPATSTLPSRSEFFPDRLCGYTVQPRTQTQALRRTRSSRARIQIAPKRKRKRTEKSTHLPAASSRPPTRNANGAPAHKTEGQKKKKEKTKRTPGMGKQQQAPPSRRSPAMSAPPPPRRRKKKGRPSLLDLQKRSLRLEQQLQERQQPQARRPNRRNPGSADDEDDDGPASGSGRREKKLRLVMGLHDGSAKGEKTRKATDGREEPSDSGPTTPLPNKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHDIIKHPMDFSTIRKKLDKGAYSNLEQFEDDVFLISSNAMCYNSPDTIYYRQARGIQEIAKKDFENLRQDSDASEPEPEPEPELKPEPEPEEPKPQPRRGRPPNKNNAKQKVGRPPAERATADFSGATLANAANSGRHAQQEAMIADVLRASFANRKNEHNWSGERKTERMEDYSGYGSMWSGKMGKKPILMEDSRRSTYYESQPSSSMYDLPVSSSYNGTKKLLVPVGVQLQQSYSRSLARFAAQLGPIGWEMASRRIERSLPPGTKFGRGWVGDGEAPPSSFQPPVLAAFSEAMAPPNNVAASGEQPPNNSGPATEDCAASSSHLAGSQAHAMPYASTSTVQRTNSEALASQQCGSIPQIPINRGEHGVEMKGSHNNLHGHPALQHTVNGFNAVPGPMMFPPAAQLVVNQMQTHTSD >PAN15110 pep chromosome:PHallii_v3.1:2:55436907:55439326:1 gene:PAHAL_2G465400 transcript:PAN15110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLLPSLNRVLVEKLVQPKKTAGGILLPETSKQLNAAKVVAVGPGERDREGKLIPVALKEGDTVLLPEYGGTEVKLAADKEYLLFREHDILGTLVD >PVH63516 pep chromosome:PHallii_v3.1:2:3196659:3201197:1 gene:PAHAL_2G045600 transcript:PVH63516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKEHLHSLMHLFIACLLKLSTAAPCLPDQASSLLQLKASFIGDSLPSWQAGTDCCHHWEGVTCDVAFGRVISLDLGEFDLMSSWLDPALFNLTSLRNLSLAFNDFRGASLPASGFERLTDIIHLNLSCTYFLGQIPIGIACLKNLVTLDLSENYDLYFERPSFKTFMANMSNLRELYLDGVNLSSSGSTWSTVLADSVPQLQVLSLYQCYISGPIQPSFSRLRSLTTINLGYNKFEGHFPTKIFQLKSLRTLDLSGNPMLSVRLTHFPAGNNLETLNLAGTNFSCDMPSSFGNLEYVKTLGLNMMGIDDELPSLISKLPLLDDLQLMGPDTENPILSWISNITQLTHLLFDGYDFSKSIPTWIGKLTRLESLTIADCSFSMPIPYQIGNLTKLVELKFLNCDFSEQRMPSSIGNLTKLVLLSICDCNFSGPIPSTIGNLIQLEKLVVWSSHIGGKIPKSLFALPALQVLFLLDNQLIGSLEDIPAPLSSPLREILLSSNQLTGPIPKSFFQLTNLQSLNLDSNKLTGTIELGSIWRLRNLTHLSLGNNMISLTEKEGDTIFSHILKIQHLNLASCNLTKFPASLEYIDTIQGLDLSNNQIEGAIPSWVWKNPLKSPIVQMTHLIDLDLSFNGLQGSIPIPSTPSELIILDYSNNEFSSIEPNFVGRYIMDLSYNYFSRPIPSCLMKKADLMSILRLRENKLHGMLPENIGEGCKLQTIDLNQNQIQGALPRSLANCQDLEVLDVGNNQIVDSFPSWLGTLPKLRILVLRSNQLNGTIRGLHDGYQHFTSLQIVDLASNHFSGDLHPEWFENLRAIPLVYQDTVIVTFKDAALSVTKIPTVFKLIDLSNNSFEGSIPGSIGRLVSLHGLDMSHNNFTGQIPSQLHNLTRLESMDLSCNSISGEIPQEFTSLTSLSWLNLSYNNLTGRIPQGNQFLTFPSSSFEGNAGLCGIQLYKQCDNPGPDSTTRSTSVPEPNTLWQDRLDAIIFFLFIGLGFGVGFALSIIFRSFYHIEGWLYKHMH >PAN10711 pep chromosome:PHallii_v3.1:2:9163806:9165726:1 gene:PAHAL_2G112500 transcript:PAN10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNMASATSRFMLAAGVPATGSGSSGRVSFASAPGRLQGRRLVVRAEEEAAAEAPAAEGEGAVATKPKAEKPPPIGPKRGAKVKILRRESYWYNGIGNVVTVDQDPNTRYPVVVRFNKVNYAGVSTNNYALDEIQEVK >PVH65314 pep chromosome:PHallii_v3.1:2:55249893:55250779:-1 gene:PAHAL_2G461300 transcript:PVH65314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLIIIIKPSSSKLSSSLVVVVAAMMLLLGLAGVAHGGGRRRLVVSSNEDEPCRRMTVYYHDILYSGNNTANATSAAVTRPAAALSTSRWANGTYFGLLVVFDDLVTEEQALASEPVARAQGFYFYDRKEALNAWMAFSLVFDSAAYRGTLNLMGADLMAEETRDLSVVGGTGDFFMSRGVATLSTKAIEGAVYFRLKMDIKLYECYV >PVH64309 pep chromosome:PHallii_v3.1:2:39777795:39778565:1 gene:PAHAL_2G234100 transcript:PVH64309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMIGETRLLILRPFTVVVVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQLATQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVDPTSNWADQFIGSGGSIQPGDGGGQT >PAN12882 pep chromosome:PHallii_v3.1:2:45314523:45320148:1 gene:PAHAL_2G297800 transcript:PAN12882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILGGNTGSLPNTSLSLPNNSPHHHRLSSIPRSRPLLPVLASQAPDSNPEPEPAAAGAKLVPLVISVAVGLAVRFLVPRPAEVSLQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFAAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRFATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSAESKPNHPSSRKLGSYLVMTQFQAAGSSSALFLTAAAQNLLCLKLAEELGVLITNPWVSWFKAASLPAIVSLLATPYLLYKIFPPETKDTPNAPALAAEKLKRMGPVTKNEWVMVVTMILAVSLWVFGHAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAAQLTNLGIVSWMSSCVAKLLQSFSLRLPAAFCVLEACYFLIHYLFASQTGHVGALYSAFLAMHVAAGLPRALSAFALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRVGFVTALVNTLIWGVVASIWWKFLGLY >PAN11191 pep chromosome:PHallii_v3.1:2:33475898:33482544:1 gene:PAHAL_2G202900 transcript:PAN11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) UniProtKB/Swiss-Prot;Acc:Q9C5V6] MDSSSDEAAAGAAENKKKPPAAAKGKAAGKGKPAGPKASASAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERVDEALYDDFESEKAREKRLAKEARFQETQAKNAALGKKVKEAPAVRGKGRGEAAFFKVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEIKSSMKGQNYVSFCRLDIDIHKNVPHVHLHEKRENKTHWHGAEIQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDAADKNLTIKFARRTDVMPPVPLQTKHHPSAVDLLLIKRLITETTKQNLLLFLQHEFVNISKSHAERLIGEMGPDFSPKMAVKSLTSQQLVRIHQLFRQAKFDDPSGNCLSPAGEYNLRLGIIKELHPDMVATHASSPQVFEGHPFIVEAGISIGGKDVKHGLNIFRFANRIPLLFEQGADVITRTAAKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEISSAVKSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLVEIADESPPKRLRYDKEDEELLEKINSQEVTEMTFKDCLTQHVEQVDYEMALDYAMQSGVSEEPREAIFLNSLEGSSKFVDFQSPVFVFRFIP >PAN09659 pep chromosome:PHallii_v3.1:2:2841775:2844218:-1 gene:PAHAL_2G040500 transcript:PAN09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVAAYLLAVLAGNPSPSTEDLSAILESVGCEVDNEKMELLLSQLSGKDITELIAAGREKFTSVPCGGGGATVAAAAPAAGGTAPAAEAKKEEKVEEKEESDDDMGFSLFD >PAN11787 pep chromosome:PHallii_v3.1:2:36833381:36840331:-1 gene:PAHAL_2G216800 transcript:PAN11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARGRRSPGGRGRFAGGPRGDERPSRHGTRIDEEPYTPLPRRGSGWGVAPPSRHLWVGGLAPGVTASDLSELFLRCGDVEGVARDPGRNFAFVSFRREGDAVAAVRELQGTRLAGAPVRIEFSKGDKASGSSMDDRCTQYVDERYSIERGRKRQPSPENTIDKSKRSRSSEPSEVLWIGFPPGLKADEALLWEAFSPFGQVVRVTTFPGRTYAFVQYTSIAAACRAKEALQGRLFNNPRVSICFSRNEGAAPEVGKQSFVAPYSPQPSARLVFRDQDFEAFPRARPFDSPPRDLRMSSPHFGPNRLSRDSDDVGFRRDNYFQQETGVELGRVSNIKPFRIRELGPERRMHEELYEPHSRSPTARSDAPWHNIPFERHRRPLQLEDSWDVEDNSYLISKKLRGAEVHDTELPEYPFSEFDQGKVCHDYPRRPHYDLPEDDLHSKTYPFTSMHSRHHIDPLKNLTPLVDKHEPWYAQESFARHLGEMDKLTPEYHEPALKDEWKWNGTIAKGGTPICRARCFPVGKVLDFMLPEFLDCTARTSLDMLSKHYYQAAGSWVVFFVPENDADMAAYNDFMSYLGDKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGKVSISGVVLKFQQSNPDYSSPNRRSLERIHPPSASNLNTDVSSREDLNALRRLNAPDIRTFPLGPDYVRSSGGSYTPASADIISPYKPESAPYVVPQLPHERTPADPRMGIAQDQHQQLPNMLPSGWSNNMNDPNPGSGNFSSLAQSAISHAPNNRTQEPYTFATQGVPKGAASGCAPGEASNSMSWPSMQPKSQQITRPDQPTIPVSLPPDQLAQLAALLAQQNQPGNAGLPMDSSNNQSGFIQNSNPYGHATMMPGNSGSIPIQNSLPPVPPSMPQLPAHVPPIQGSLPAHPASAPILSNTTLSIPPMHAMVNTRHSSMPLRPFVPPLPEGPPPFQQNTSSAPTVQPLAPSGQQPSQQQSTQEDVDGDPQKRLQATLQLAATLLKQIQNQSNPGSQK >PAN11703 pep chromosome:PHallii_v3.1:2:34715452:34717328:-1 gene:PAHAL_2G208000 transcript:PAN11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLASPSVPSLLLLALAVAYVYYTTRWRSRSPLRLPPAPPGWPVIGHLHLLSDMPHHALAELSRSMRAPLLRLRLGTVPAVVISKPELARAALTTHDAALSSRPDLLSGRVLSFGCSDVTYAPSGPYHRMARRVLVSELLSARRVEACGGVRAEEVRRLLAHLTRHASPEALPVDLGECFLNLANDVLCRVAFGRRFPHGKGDRLGAVVEDVNELFGGFSIVDCFPELEPVVSAVTGFRRRLKSSGADLRKFCDDIVDEHISGKRQRVPGDRDESFVDVLLRVQKSPDLEVPLTVDNVKALVRDVFVGGTDATFTTPERVMAELVRHPRALKKAQDEVRRVVGSKGLVEESDLGELHYMRAVIKETLRLHPPVPLLVQRESVAPSTLGGYDIPARTRVLINAFAMGRDPEVWENPLRYSPERFENGGGSDIDFKDADYKMLPFGGGRRGCPGYTFAMATVQLSLASLLYHFEWALPAGVSAMDVNLDESFGLTTRKKEPLLAVVRKNDGYEFKGEELNAV >PAN11270 pep chromosome:PHallii_v3.1:2:15634166:15642005:1 gene:PAHAL_2G151300 transcript:PAN11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPAAGASYQRFPRVRIRELRDDYAKFELRDTDASIANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMQMRFSRDCDACDGDGSCEYCSVEFLLSARATDSDQTLEVTANDLRSADPKVCPVDQARAYQQALNADYDTNAGEQRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIHINEELMDTLTLDEKRSWVESSPTKVFHLDPITKQVTVCDAEAYTYDDEVIKKAEAMGKPGLVEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLGGP >PAN09254 pep chromosome:PHallii_v3.1:2:618817:621417:1 gene:PAHAL_2G009400 transcript:PAN09254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILLGAVLGELTTRSINFLIKNSFKPTAPDVEDRLRRILLRAQVIVDEAMGWQITNRGVLQQLDMLRDAMHHGYYILDTFRYQSHSEEEAKGQVMSNSLSLLKVNSLQGLCSSSRNTLILEQLQKSLDDLSSMIIDVEELAVFLMSYPRLYRQPYSMHLLVGNCMFWRQMETERVISFLLHTQPHGPKELEVLPIVGPGKVGKSTLVAHVCKDERVRDHFSEILFLRGHDLTGADLAVLKEGYVTEHQNDGSNSNKKGRLLIVVELVGTLTEDVWNRLYSGSIQHVPSGSKIIVTSRSDEIIKFGTTRPLSLKYLSHEAYWYFFKMLTFGSMDPEMHPRLARMAMEIAGFMNGCFIGANINGCLLRDNIDFHFWYKVLAFLRGIVKKHVSKFGGHPFDLINEKKPAHLGRMFTPSEDFVLHDEYQCSSQEDVPKIRVQDVIYGSVKAQGKFEALGWRSRIPPYHSYVNVCEIRELKTTGTKRKRSMKNGVMLY >PVH64533 pep chromosome:PHallii_v3.1:2:45293907:45294671:-1 gene:PAHAL_2G297500 transcript:PVH64533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFQLAALAMAMLFAAAAAQAPAATPTPAPRASPPPATPPPTPAPVSPPAQAPAMPPPAPAPVTPAPAPDASAPAPVEAPTPAMSSPPAPGPMGPSPSPTSDVPAPPSAAAGVSPAAKWAAAAAVAAAAAFY >PVH64749 pep chromosome:PHallii_v3.1:2:48628113:48628421:1 gene:PAHAL_2G351100 transcript:PVH64749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLWRPTASVHRDATPSGEIDGSTQEEADAGVGGAAGLGAVGHGAAGRGAGGGARCGGSWRGGAGLGGGAGRVGMAGRAGLARRGSRARHGGGARRNGVAR >PVH64247 pep chromosome:PHallii_v3.1:2:37601458:37602973:-1 gene:PAHAL_2G221500 transcript:PVH64247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFILSCDFWFCCGSANDPECQPPPLYPQPTQPETQVVTVLHPPGQLVPHKSSDQMPPPLPAPALPPTIVYNLQHPGTTSSPHVPNGKAYYLPPPKMDQPAWPSATPPSHASPSKEYNVPQPVTQQPSSSFHSTPPSKAYDPLPQSVQMIPSHVPLTKEHEPVMSQTPPQADRSASQARNTYQTPSITNQQMKSPKVPSKRHETIVPTLVPMHAPTPAPSKVHNPLQQSEQAVLHTDVFDEPSLSDLTSQPPAQAVYPARQPSKTYKNLPSMNQQSMLPHVASKRNETPILAVAPTLAHPPVPSKVHDPLAQSKKTVLSCLPSNKAHDEPPPAQVSSQPPSQVAWHAHQPSKTCQKLPSMDQELVPPHAPSKRYEPPVQAVAQTKSLKDANFSMEYYSEAQRQEYFLMDGCQQYY >PAN12580 pep chromosome:PHallii_v3.1:2:43722693:43732817:1 gene:PAHAL_2G275200 transcript:PAN12580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSRPPPPPHLPRGGAAPAPTPFVLVLRRRQRRRRHHHHRAYRLAPRASLSDLLASLPSSLALVGPAALAAAAAVASSFSYWSSSPRTTLPPPSPDPEDYGNACGDVAGEWILFTSPTPFNRCVLLRCPSVSFEDGGVLLDGVNERLLTEERHYVNLSRGRIPTARGGDGACDISYQRICIALEDGGVIALDWPDNLDLDKEHGLDSTVLVVPGTPEGSMERSIKVFVVDALKNGYFPIVMNPRGCGGSPLTTPRLFTAADSDDICTAVRFINSKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCIDNPFDLHEATRSFPHHIALDQKLTAGLVDILRANKELFQGKDKDFNVQKALSARSLRDFDGAISMVSHGFGTLDDFYSENSTRLSIAYVKIPLLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTIFTFQRYTVLWCQNIALEWLSAVEFALLKGRHPLIKDVDITINPSKGLAFVEPQVNERKAQKDSSFRPQSELILYNNVPHGINGLLVNSAREYSGALNNENGQLKNNGDVERVNRDPEESSEDVEKGQVLQSASLVINMLDATMPGTLDDDQKKKVLVAVEQGETLVKALEEAVPEDVRGKLTTSVTEILNSKRENFSLDALKRLGWNNVRPTTTKTVAQEKLKDSDQDSGLKDAKMADQNRSSATAGDGDQKNTNMTNDDNPGQSMELSQVKPSQTSESVGTVTEMGGEQTQPNKSDKSDSGTNDSNAEQNRTEKGSETTPKQASDDQGSETTPKQASDDPSAANSNGSSRERGQSADSTADQNPQSHAIEKEGDTIRTREDKAAHNMDDQSTQVSKTEESKPSPITMTQALDALTGFDDSTQMAVNSVFGVLENMIDQFQKQQDSENGENSDENGDDPSVDETESHGKENMENASSGEDIIQSSQQPEDNSAGISHSIMSKDDYAFGKENPNLSMVSSGRGKFKYYQGNEAGTHVDADGMKVSGLPDYLLDIAVNSYLKAQYAMYLHEFLNTQLQLKAPEPNSATDLFLDPQEGKWKIADHMDNVQNDISKSGRHNGTMEEVKHAGCPQEPSKVGNVIEPPYSIPGKFPDSTYKSNGWNNTVAARSKPGNDLREALRRFIRDELSVALKIEVGRKIGITDSRQLERGLANDVERVAAEVSEIIVLNCELYSVAHVQRSPRTVKFGATHGKYVIEAVATAVQQSQNLRNILPVGFIVGVTLACLRNYFHVDVSKHDDRMKATVKSDILSEDLIVQDSTRANIQDSGEENTNNNIENGHGDNKQETTRSQGQGMMVGAVTAALGASALVAHQQNKDENHDDKEQDFVQAESVKHEESTQGKSQNNLMSSFAEKALSVAGPVVPTKGDGEVDQERLVAVLAELGQKGGILRFIGKIALLWGGIRGAMSLTDRLISFLHISERPLFQRILGFSSMVLVLWSPVVIPLLPTLVQSWTISASTGIIGYACIVGLYVSIMILVMLWGKRIRGYEDPVEQYGMNIWSASRLREFFQGLVGGVIVVGLVHSISILLGFATFRTGLSSSLARPLDLIKSSSNVFMLALRGFATATSIAVVEEMVFRSWLPEEIAVDLGYYNAILISGLVFSLIHRSLPSVPGFLLLSLVLFGLKQRTEGKLAAPIGLRSGIMTTNYLIQSSRVIISKPETPFWIISTYHLHPFDGVIGLSICSLLAILFFPQKPVQKDTSV >PAN13072 pep chromosome:PHallii_v3.1:2:46212025:46214561:-1 gene:PAHAL_2G312400 transcript:PAN13072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLQLAAAPFLLLLVLVIPAARSSRVFSVADYGAAGDGSCYDTAAIQAAVDACAAAGGGRVLLPAPGNYLTATVHLRSRVVLEVAPGARLLGGTRQADYPPESRRWYVVLAENTTGAGITGGGEINGQGGAFVVTPSEVKNVMVSWNATGDCLGDECRPRLVGFIDSKDVRIHDITLNQPAYWCLHLVRCDNTVIRNVSIFGDFNSPNNDGIDITDSNNTVITDCHIDTGDDALCPKSSAGPVYNLTATNCWIRTKSCAIKFGSRSSFNFNKLVFDNITIVDSHRGLGMQIRDGGNVSDVLFSNIKMSTRYYHPLWWGRAEPIYITTCPRQANSKEGTISDIRFINISSVSENGVFLAGSKHGLLRNLKFKNVNLTYKRWTNYTGGLYDYRPGCEQMVKHKTGGMMLEHISGLEIDNVRMRWARGSLKGWDVNPILFRPSTIDKLSFHDWQSVDVQ >PAN09899 pep chromosome:PHallii_v3.1:2:4165979:4169305:1 gene:PAHAL_2G056500 transcript:PAN09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSQPQLLLHILALLAAAAAAGALLIQAAAIAEQHELAITRPDCPDKCGNISIPFPFGMTPGCFREGFQVTCDHSFQPPRAFLAAKDGGRSARIWTLYSFFSASKKGNYSIQYPENNLRVKPIELIDVSIAKSEARVYGAVASACSRNATAGILKMTITTLLARGMDEAQGPFLVSLARNVLIGVGLESAPSVSRFHFNTSPGDGEADYLVSCSSSVLGNLQLPSNGSCTGHGCCQASLPEGRRLTGVSVATPPNTLNNTMWRTSPCTFAMLVEHPWYNFSAADLYGDTTGKFPRGVPFVIDFAIRNARCPAEGQQPPLDYACVSGNSSCADVTNGYVCKCSEHYEGNPYISNGCQDIDECKFPGLYPCSSDGICKNRLLGYDCPCKPGMKGDGKTGTCQPIFSRVAKIVVGVIGGFVVIVALLFLILLHNEKRKMREFYEKNGGPILEKAKIIKLFKKAELKEILKSKNLIGKGFFGEVYKGLLDNKLVAVKKPISGAAQENNEQFVNEVIIQSQVIHKNIVRLIGCCLEVNIPMLVYEFLPKGNLEDILHSNKNVVPLNMDVRLSIAAQSADGLAYMHSKTTNKILHGDVKPANILLDDNFMPKISDFGISRLIARDKQHTDEVIGDMSYMDPVYKQTGLLTEKSDVYSFGVVILELICREKASYSDNNSLVRNFLEAHKEKRVAELFDSEIALTSNLEVLHSLARIAVECLNIDVDQRPSMIEVAQSLILLNRSSNL >PVH64446 pep chromosome:PHallii_v3.1:2:43333577:43334603:1 gene:PAHAL_2G269800 transcript:PVH64446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVEAAARPSRRLALLMAARDSEYVLKKYGGYLHVFVAAFGGAGEAWDLYRAIDGELPAPGDLGGYDGFVISGSPHDAYGDEPWILRLCLLVRELHAMRKRVLGVCFGHQVICRALGGRVGRARGGWDVGVREVDIADALPPCRFLDALRGRGQLPRRAKITEVHQDEQVWEVPEGAEVLASSDKTGVEMFRVGEHVLGIQGHPEYTKDILLSLVDRLVTAGSITVSFAEVVKRQLEATAPDREFWLKLCKSFLKADEDKVCK >PAN13115 pep chromosome:PHallii_v3.1:2:46396163:46400215:-1 gene:PAHAL_2G315000 transcript:PAN13115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGCTEGAAGSGETVCVTGAGGYIASWLVKLLLARGYAVHGTVRDLAERKTAHLKQLENASENLKLFKADLLDYDSMAAAITGCQGVFHVATPVPSGKIIDPEREMLGPAVNGTTNVLKAASAASVRRVVVVSSMVAVEIDPKDWPKDKIKDEGCWSDPEACRNNQDWYSVAKITSEQAALEYGKQTGLDIVTVNPALVFGPLLQPTLNTSCQFLVYFLKGGPDQMRNKLWHIVDVRDTADALLLVYEAPENSGRHICAPHFISTRDLLDLLKSMYTEYPFMSKESICDMDHPAPMTSDKLKKLGWKVRPLKETIADTVEFCQNAGFLEDVEGNPCRFPDIYNRI >PVH63847 pep chromosome:PHallii_v3.1:2:10069058:10069496:1 gene:PAHAL_2G120200 transcript:PVH63847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRVFCPNCGVLANWKKNFGRGFYKCPYFSFFMWEDMMGQTSAQAGPPVHHVLSLVPQPEADAPRAVAKQVEGHGRRMVEEKIFEQLKWIEKLVFVCIMLVLYAILKK >PAN12391 pep chromosome:PHallii_v3.1:2:42943571:42946056:1 gene:PAHAL_2G265300 transcript:PAN12391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPMKHKPSAVPINTSEEEVEFRDRNAELVKRRRTGFSGSIVQPQQEIEVSDMENNIPDGLPVEVSTGDDPMDVLTDCALQSSGKANGRSEGRVRSISFTGNEPARLRLPKICAAIGWKEPSFDFKEQGPPHSILFTCKVTVRLEGLVNTVIECFGDPKPKKKAAQDHAAQGALWCLECFRHIKQSSDAYASSQGERPPALMLL >PAN14216 pep chromosome:PHallii_v3.1:2:51166378:51170796:1 gene:PAHAL_2G391900 transcript:PAN14216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAHFAALLLCVFMFASGTTADNQNSTGDPARPAEVRIGALFTFDSVIGKAVRPAIELAVAHVNSDPSILRGTKLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSAVAHVICHAVNELHVPLISFAATDPTLSSLEYPYFVRATQSDYYQMDAIASIISQYQWKQVIAIYVDDDYGRGGIMALGDALAKRKCKMVYKAKLPPGAANTTIENILMQVNEMESRVYVIHVNPDSGLNVFSAAKSLGMMSSGYVWIATDWLSAVMDSSVHGTTDVMELTQGVLVLRQHVADSDIQKALLSKWNNLTRNGSSYSMRAYDSVWLIAHAVERFLSEGNAISFSADPNLQATKGSNLQLDSLRIFNNGNKLLENVWSVNFSGVSGPVQFTLDRNLIHPVYDILNIGGTGLRTIGYWSNISGLSVVAPEHLYSSALNSSTNNVQLHSVIWPGQISEKPRGWEFSYHGKPMRIGVPLRTSYKEFVTQDNGPDGVKGFAVDVFKAAISLLPYPVSCNFVLFGDGLKNPSYTDLVQKVSENYFDAAIGDIAIVTNRTRLVDFTQPYIESGLIIVAPAKEVESNAWAFLKPFTFQMWCVLGAIFLSVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLIWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSSSAIGYQVGSFSRNYLVDELNIAESRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVDIFLSKYCKFKTAGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLSGTECSADNNGAASNSLSLSSFWGLFLICGLACLLALVIFFLRIFCQYSRYSSQVEVQYPEPQIVNRPARLTTIKSLISFVDKKEEEVKNALKQRPNGSQHPSIGNTATEEQST >PVH64313 pep chromosome:PHallii_v3.1:2:39968188:39968961:-1 gene:PAHAL_2G235300 transcript:PVH64313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHGCAWTDGTANQGNSSIFFFFFSDSHLLVGWKLIEERRNWFSGRKRNLYMGREFW >PAN12884 pep chromosome:PHallii_v3.1:2:45331295:45333762:1 gene:PAHAL_2G297900 transcript:PAN12884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRGGSPSLLPTANPDDDDGYDAAAGMEAEGDAEEMVARGGGGGEKKRRLSAEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRHDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKAEPAASDGPPVAGVGSSESDSSAVLNDADAPAGVAEAPVPEVQGALLPTPAAAAGAATSHGGAFFHGGFLKVEEDETGFLDDDEPCGGFFAVEQPPPMAWWTEPTEHCLLELNWGG >PAN09302 pep chromosome:PHallii_v3.1:2:924276:925727:1 gene:PAHAL_2G013600 transcript:PAN09302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIILAIISEITKRSISYVLCKYSEITGPTIEDKRLQDLQRLLLRTHIIVKEAEGRLITNRAMVHQLNIMRKEMYRGYFTLDSLRSQASEAKDHDVSHSFTLPKFNHAKRRICSSDGTYRNNDLQKVIQNLNNIVADAKEFCMFLKNYPPLYRQPYDMHMFIGKCMFGRQMEVDRIMDFLMQEEHLSMTSTGVLPIIGPGYVGKSTLVAHVYNDARVRGHFSQIIVVNGDRIDDKKLSSWKDRCDIIHQNNALGGKKRLLAVIEFPENVDNVAWNNFYLPFVGCLVRGSKIIITSNSDKIKKFGTTQALILNFLPIEAYWYFFKVLTFGSADSNDYPELESIAMAMAREMGGSFIAADFISAILRRNLSVQHWGWCLAAFKENIRRNVSVFGEHPYDLLQKQKHACYQINEDKYMVSDQYHASCLSRENIPAITMYDVVSGNVNCEGAFEVLAWKSHILPYKSYTISCMIQKEQPIKRIHST >PAN12660 pep chromosome:PHallii_v3.1:2:44209076:44210034:1 gene:PAHAL_2G281700 transcript:PAN12660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSNGGARNGARRRAARNVPTCGRPAVKRLARREATLLDGDGEGVSGLREAPNEARSGGGRRRGAGRLRRRDEARRRTSAAGAGREEASERTSAAQWLGGGAERGGGAGPAVETGEGGVASGSAASSSRGRAPCRGELARGRGGEEQGAQAALGRVFYYYRTQ >PVH64140 pep chromosome:PHallii_v3.1:2:32705525:32706261:-1 gene:PAHAL_2G200000 transcript:PVH64140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFDGSVCGDGCEGLLLKCLGSVESNWLLHEVHEGTYGTHQSAHKMKWLIRQSGYYWPTMLEDCFKYYKGCQVCQ >PAN10506 pep chromosome:PHallii_v3.1:2:7541219:7541986:1 gene:PAHAL_2G097400 transcript:PAN10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLKTHSEQSKQPHGQQANGLPLDVPTGQALDTHMIEQQSTNQTPLWFSLEQHRLQLDQVRQLYNEQVRVSLQQEISLQNATLSNLLTTDALIQKVEEVASLRMELQRNQEDRETLRHACDQLLQRVVIVYETNEALIRMCAPLQQETNSHVSGPGDKASSLVRTTAETTKIERTCMVCNSGGACMMLLPCKHLCTCKPCGVHLTACPICGVVKGDAVETQFI >PAN12691 pep chromosome:PHallii_v3.1:2:44379571:44380962:-1 gene:PAHAL_2G284500 transcript:PAN12691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGETMADAGRDLVLGLGMGAGAMRDEDAETGRREREARRELEFGAGRYGRSSPEPAVRLTLLPGLMPGLGLPWPPPSEATRHLEASTRGFDVNRAPSLSEAGAAAEDDEEQDDAGAGAAAASSSPNNSAGSFPTDFSAHGQAGPGGGGDRAGSRASDEDEGGSARKKLRLSKEQSAFLEESFKEHATLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPAAAAPAQAASSHPSPAGGSIASAPPEQRPSSFAALFSSPLSRPLAAQQQPQPQAPASS >PAN12690 pep chromosome:PHallii_v3.1:2:44378374:44381250:-1 gene:PAHAL_2G284500 transcript:PAN12690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGETMADAGRDLVLGLGMGAGAMRDEDAETGRREREARRELEFGAGRYGRSSPEPAVRLTLLPGLMPGLGLPWPPPSEATRHLEASTRGFDVNRAPSLSEAGAAAEDDEEQDDAGAGAAAASSSPNNSAGSFPTDFSAHGQAGPGGGGDRAGSRASDEDEGGSARKKLRLSKEQSAFLEESFKEHATLNPKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPAAAAPAQAASSHPSPAGGSIASAPPEQRPSSFAALFSSPLSRPLAAQQQPQPQAPASS >PAN11780 pep chromosome:PHallii_v3.1:2:36745050:36750126:-1 gene:PAHAL_2G216100 transcript:PAN11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATGAMAATSQAPIPAAAAFPGGLGLGRRRAAAPGWRAGGRRLRASPSARRPFVFSPRGVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYMWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIVEFAEKPKGEKLKSMMVDTTILGLDPERAKELPYIASMGIYVFSKDVMLRLLRENFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIKHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETEDDKKVLSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINFDNVQEAVRETEGYFIKSGIVTVIKDALIPSGTVI >PAN15089 pep chromosome:PHallii_v3.1:2:55349604:55350908:1 gene:PAHAL_2G463600 transcript:PAN15089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGVFILVALLGAVAAAQGAAAGSSYSYGSGGNPPPSSPPCPPAQAPRPRLKFGFYKNSCPPAEVIVRDAVRNATTLNPGLIRMAFHDCFVQGCDGSVLLDPTPANPRPEKLGPPNFPSLRGFEVIDAAKAALERYCPGVVSCADVVQFAARDAAFFLSGYRVDYRLPAGRFDGRVSLESESLAFLPPPSFNLSQLIDSFRVKGMNVDDLVVLSGSHTIGRSHCSSFSDRISTPPSDMEPGLATILKGQCPANPNFTNDLTVVQDIVTPDKLDNQYYKNVLNHKVLFNSDAALLTSTQTARKLVESAFVRGRWEKKFAKAMVKMAAIEIKTAANGEIRQNCRVVN >PVH63814 pep chromosome:PHallii_v3.1:2:9164694:9177533:-1 gene:PAHAL_2G112600 transcript:PVH63814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLIHIQIMLIGLNMRIKGHRLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPNLYLLWGDEADTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRTHKKRAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKTHSKDITSVTFHRKYPLFASSSEDCTAYVCHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTSGADSVIRLYCD >PVH63793 pep chromosome:PHallii_v3.1:2:8559081:8559694:1 gene:PAHAL_2G108200 transcript:PVH63793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLADKLMISCKSITTQQSLQHTTSRLYMSEWVLLWRLNLLCIQQRPSKHNLSPKFRRSNTHNHHRNSRNPQ >PVH65065 pep chromosome:PHallii_v3.1:2:52569926:52570616:-1 gene:PAHAL_2G416200 transcript:PVH65065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADENISRYSSPRTMTVAQAAGVAASVVAAASAHAAACLSTACRRGTSRWALPSRAPVGTTGGFRWLRRRSSV >PVH63702 pep chromosome:PHallii_v3.1:2:6542302:6542670:1 gene:PAHAL_2G088900 transcript:PVH63702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVGYMMVGEVTECLRKSGWSTHKLLLIMYFPYLQTVVWQNIHVRSVRIVLVKSRLIWSVTSASLVSCRIMRGGTSMGRRMSPHLQGVKERRMMAPYLRISLLSLEAAVLTSVEEVHFSDV >PVH65156 pep chromosome:PHallii_v3.1:2:53737272:53739527:1 gene:PAHAL_2G434700 transcript:PVH65156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGVLFSFLGIIMLFDKGFLAIGNILFVSGVLLTIGLKPTVQFFTKPKNHKGSMSFGFGFFLVLFGWPALGMMVESYGFIMLFSGFWPTAAVYLQKSPSFGWIFHHPFVTSLITRFRGRRVPV >PAN13120 pep chromosome:PHallii_v3.1:2:49827835:49829308:1 gene:PAHAL_2G371000 transcript:PAN13120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNAVINFGNMIVGDIAIDISTLEREISYWTTFRFLTPNDGVRESFSLLNKHISDLSMLTTIQGYPRTFYDQDTCQRFLAVYVIVQQILKAATDFAKHASFNNKKIQSFASFGYIKPGSSDIHTVQVSGEDPRGKATQDFKSRSDEVMKRLVHWLAKHQTVESLLKFSQFEFSEFDFE >PAN12585 pep chromosome:PHallii_v3.1:2:43776598:43778541:1 gene:PAHAL_2G275700 transcript:PAN12585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSVSAAAAASPPAEGAASGAGAAPPVTRSISMLRPSALSVVTSPRSESSSAPSSPASGAPDSPFGAATTPKGEGWKKLRRKGRMAADGADAPGTPRSPTVYDWVVISSLDR >PVH64688 pep chromosome:PHallii_v3.1:2:47641432:47642234:1 gene:PAHAL_2G334500 transcript:PVH64688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHLSPSSCSRPAPFSFSEASCTILELPSFEVPEQWLLADVVAAGENENDDIGACLGETPAAAGAAAPSPSAPAPAPAKRRGRKPGPRPGAGAAAASHVESERQRREKLNCRFCDLRAAVPTVSRMDKASLLADAAQYIAELHARVAQLEADARHHAAVARWEPASAGGDAAAAVGGELYVRKVGRDAAVVRVTSGARHARAWLLGALRSLELQVQHACVSRARGVPTQDVLVDVPAGATALQDDDDLRAALL >PAN14924 pep chromosome:PHallii_v3.1:2:54781264:54785563:-1 gene:PAHAL_2G453000 transcript:PAN14924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSTDEAVTAVAAPAQAGLHFTPFPPTKGAARDCKKLAAVHVDRATPGSIVGGSLFETMKASSPRRAADADAEHGDWMEKHPSALTWFEAALGAAKGKQIVMFLDYDGTLSPIVEDPDRAVMSEEMRDAVRRVAEHFPTAIVSGRCRDKVFNFVKLRELYYAGSHGMDIEGPAKQSNKHVQANGEEVVHYQAGREFLPVIEEVYRTLTARMEAIAGARVEHNKYCLSVHFRCVQEEEWNAVEEEVRSVLKEYPHLKLTHGRKVLEIRPSIKWDKGKALEFLLESLGYAGRSDVFPIYIGDDRTDEDAFKVLRGMGQGIGILVSKFPKETAASYSLRDPVEVKEFLGKMVEPTNGDGPVKAA >PAN15593 pep chromosome:PHallii_v3.1:2:57730547:57733410:1 gene:PAHAL_2G500200 transcript:PAN15593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHIDLNNSSSEALPPPKRGRGRPRKNPPPPARPRPPDPDAPRVGGFAPGDMVWGKKLNHAAWPGLVYSAGGNGTGHHGQLLVSYFGDKAFAWCDAAELRPYEPYFPVAELYDDGGDDFHAAVEASLDEFSRRVQDALATPARPFAPADFLASLHDLAADRMGFTNRVHAAIAKAHLRAFDAFRALPDPPSYTMELGLLPLTPTKSTTTDANDAAAAAASRRGRKRKDEVVRDDSDEDWDPRKKGASDSESDLDSDRKRGSRGRGAAAPRGRPRGRPRKTDACRGDTHLKDEEMEDRLEYPPAADMLLQLLSVAADPVNGSHGSVPVIVSFFSKHKDSEAPSVYEDKELLETFGCKKGRKKSAGSLVPATNPEADNHLMAADGQRGRRKSAGSLYSARKAEDSYWCDIIISDFDDGDSDYEGRKRKRHPHNSNRSANKKMKQEEAPQDVASTDHPPSDANHPASADCPLDAKPADGPAALILHFSSPEAIPSVDDINSIFRIHGPIVEGETEITKKSKIAKVVFSRRADAERAFSSSGKYSAFGPSLLTYEIQYLPSAPQVS >PVH65331 pep chromosome:PHallii_v3.1:2:55411748:55414612:1 gene:PAHAL_2G465000 transcript:PVH65331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSLCDYLFHIVNHFTLMDDTMIESSRLLLAACTLSARNCLDDHRGDWHHQMAILPRLTGGTLPGWPNKVS >PAN09938 pep chromosome:PHallii_v3.1:2:4413704:4417209:1 gene:PAHAL_2G059700 transcript:PAN09938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSVVPSISLSPRRALPLPGRRAVRRPAFACRCSCSPDESRGSTRRWFASLLAAAAVVGVGVAGGEAGAVSTSRRALRASKIPESEFTTLPNGLKYYDIKVGSGAKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGTPVKIVEG >PVH64838 pep chromosome:PHallii_v3.1:2:49529871:49534035:1 gene:PAHAL_2G366000 transcript:PVH64838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATLPLLHPPVPKPATLQLHALPPRTRLHPKTHPHPLPLLFLLPRRRRGGPIAASPTATPSSTSASSSPSYDAREAEAAVAELLRESGASPADAAAIAARAPAYAAMLADGVRELDELGLWASWSSGAGARVGRGGAVEMDMGSLGFRRKVYLMGRSRRDHGVVPLLESVGVRLSSAKLIAPYVAAAGLPVLIDRVKFLKEILFSSSDYATIIGRNAKRMMTHLSIPADDALQSTLSFFEKMEARYGGVSMLGHGDMSFPYLIESFPMLLLCSEDNHLNPLIDFLEYIGIPKPRTSSVLLLFPPIILSDIENDIKPRIHEWEKAGIEQEYIGRMLLKYPWILSTGVIENYRRILSFFNRKKISGTVLGIAAKSWPHILGCSTKRMNSILELFDDLGISKKMVVPVITSSPQLLLRRPNEFLQIVFFFSEMGFDKETVGKILCRSPEIFASNVESTLKKKIDFLVDFGVSKHHLPRIIRKYPEILLLDINHTLLPRMNYLLEVGLSKKDVRSMIFRFSPLLGYSIELVMKPKLEFLLRSMKKPLKAVVEYPRYFSYSLEGKIKPRFRVLQSKNIEYSLTDMLAKNDELFAEEYLGTGVLQKPLP >PVH63865 pep chromosome:PHallii_v3.1:2:10539996:10541189:1 gene:PAHAL_2G122900 transcript:PVH63865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNKCTLVALLVAFAVVAPMLPPSAAARDGGAAKAAPAPAPSASGDVRLHPMGLIDDIIGFHIPDLPLPPILPCPPAFPIKIPFIPCRNVTPSPPPVTECRPGLAKYMPPCAGFLTSNDSSVSSPPSRCCDVIGPLFQDKSTSPLCLCHVVNGDAGKLLPAPVNHMRATSLLQQCGSEFTADNVTDICANRDNVFIIPPMDADPSPPQRRH >PAN15129 pep chromosome:PHallii_v3.1:2:55529841:55533058:-1 gene:PAHAL_2G466700 transcript:PAN15129 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA CA2 [Source:Projected from Arabidopsis thaliana (AT1G47260) UniProtKB/TrEMBL;Acc:A0A178WI45] MGTLGRAIFTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTVMNIFEKEPRIHRDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGTNIQDNSLVHVSRANISGKVLPTIIGSNVTVGHSAVLHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENAKSFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPHNAQKAVAR >PAN09152 pep chromosome:PHallii_v3.1:2:26382:29272:-1 gene:PAHAL_2G000100 transcript:PAN09152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 93G2 protein (CYP93G2), flavanone 2-hydroxylase (F2H), C-glycosylflavone biosynthesi [Source: Projected from Oryza sativa (Os06g0102100)] MDEAAAAVAAVLLVLALSAALFTRRSANNRLPPSPMALPLIGHLHLIRPPPHRAFDRILARYGPLVYLRLGPSTHCVVAGTADAARDLLKFEASIPERPLTAVTRHLAYDDAGFAFAPYGPHWRFMKRLCMSELLGPRTVEQLRPVREAELAAVLAAARDAAGRGEAIDVSRHLISMSNNAIMRMVASALPGHMTEAARDCAKHVAEVVGAFNLEDYVGLCRGWDLQGLTRRTREVRDKFDALLEIMITAKEEARRRSRAPSTTTGTKDLLDILMDAAADQNAEVKLTRENIKAFVLDIFTAGSDTTATSVEWMLAHLINHPACMDRLRAELDDVVGGSRLVGEQDVAHLPYLQAVFKETLRLQPPAVFAQRETIEPVHVRGYTIPPKTSVFFNIFSIGRDPGSWEEPLQFRPERFMPGGAGAGVDPKGQHMQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFDWAVPIPQGQSKPPPLDMEEAEGLVAARKQPLVLIPTARFHPLPGRV >PVH63860 pep chromosome:PHallii_v3.1:2:10525261:10525707:-1 gene:PAHAL_2G122700 transcript:PVH63860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDKFLLILLLAAIAVVAPYVPPAEASAAEPKTAAPSPADGVVLHPEAEYSIPDMPVPALLPCPPLFPKIPLIPCHNVPAAAAEVTECDPASSRPRPNAAPPSIRSTRMQPRFPASATSSTATLPSSCRRP >PVH64168 pep chromosome:PHallii_v3.1:2:34508686:34509926:1 gene:PAHAL_2G207200 transcript:PVH64168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNAELQDAVRKLNGDLVGAHVVYADTYNVLSAIITNPSDYGFEENVAQGCCGTGRIETSVFCGLGEPLTCPDPNKYLFFDSAHPSERACKIIADEIINTALLVFL >PVH65302 pep chromosome:PHallii_v3.1:2:55018756:55019207:-1 gene:PAHAL_2G457300 transcript:PVH65302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAHSGIGISIGRDRSSIHKKRGNPTITMLQSSPRPPKTLYPTSDIVPQDRWRGGGGKLGSIRGDGRAVLFPAVMARRRGGGAAVCERNRVEVVGSGWILAVE >PVH64035 pep chromosome:PHallii_v3.1:2:23175177:23177582:1 gene:PAHAL_2G170800 transcript:PVH64035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPQEPRSMTSGITHVWLPPPSHSVDAQGYLPGRLYDLNASKYGSEAQLRAVIAAFHGKGIKCIADIVINHRRAEHMDSRGIYCIFEGGTPDGRLDWGPHMIYRDDSYSDGTGYADTALEYQPAPDLDHLNDRVRSELTEWLKWMKTDVGFDGWRLDFARGYSVRGGRRHAYRGVSRRIGVSERIGYGYGDHPDVSVIRSRSGRAPADSRGRVDAVGGPAAAFDFTTRGVLQAALDYSELWKKMQDAQGRAPGLVGLRPAQAVTFVDNHDTGSKTQHISPLPAEKVSQGYAYILTHPGTPCVFYDHFFDPSLKDKITRMMKIRTRNDIGPGSSLRILLADNDAYVAEIDGRVIAKVGARYDARYDASSSIPQGFQVSTSGNHYAIWEKL >PAN09573 pep chromosome:PHallii_v3.1:2:2418827:2424130:-1 gene:PAHAL_2G034400 transcript:PAN09573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTAPTPKAVLHQRFGAKARYTVEEVREAVGGCPGLAPQTRSVYRCALELPGLSVATPGTFVRKKDAEQAAAQIALDKLGIQPTANIPTTPEEAWDELIARISGFFIDESFLSSTHPLVGHLSVTLRRTGDLIGRLPLSAIAACDVKVNTLCKVIDSKAEFDPLLVLSLMYNAAKQSPGVSVNDSNFWIQSQKPYSPEAVDLALKRWSCTSDPVEVEVILVPHMLEDELKIVRVNLQDNEHYMSYVAEKLTVSDSSHVLVSRTIGKASSEIRLYFAAPSVHFVSDISKNVLACHGDGDINCQVNKRASYISGQTIYGDALLANIGYTRRDSELHTEDVNLCTYYRILLGKLPDGNCKMSRDAILAAQLPSAYSRFSWKGLSPRDLLCSFCRNQRLSEPLFAVSRVSCDMLTSAVSSEERGAPAKSVENQYTNDVRIDKETPDIFKCVVKICSRKQEILLEYSAADTWSKESDAIQNSALKVLIWFDNYFKQLNTKTDELYLSKCNDGFKIHPKKFLQEFAMCLSVYGNTGCNDSGMCSSVGPFTVDTPKKQLESTANLTHIEGPDSGVFPSHGSLTCISYTASLVMKDKEKIYLLESHNEFEFEIGTGAVSNQLESCVTQLSVNQAACFITELPPRDLILAAASEFSHELSNVSRESCSLEFSVKVLQVTEPLEDRMEKALFSPPLSKQRVEFAVQHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKLVGVDISRKGLTRAAKSLHQKLSKKSLVQTTVPTAVLYYGSITDFDSRLYGFDIGTCLEVIEHMEEDQASLFGNVVLSSFRPAVLIVSTPNYEYNPILQRSAMPSKDDEADENAGPCKFRNHDHKFEWTRAQFQCWATDLAVKHNYSVEFRGVGGSGDEPGYASQIAVFRRTARDTEEMCLNKDPGQPYELLWEWPNASIPV >PAN11506 pep chromosome:PHallii_v3.1:2:23158252:23163551:-1 gene:PAHAL_2G170600 transcript:PAN11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) UniProtKB/Swiss-Prot;Acc:Q8GWU0] MANGLSDPPCGLLTADAARSLVDSVDAFLFDCDGVIWKGDKLIEGVRETMELLRKMGKKIVFVTNNSRKSRRQYSKKFRSLGLEVTEEEIFTSSFAAAMFLKLNNFPPEKKVYVVGEDGILEELKLAGFECFGGPEDGKKNIILEADFHFEHDKSVGAVVVGLDQQFNYYKMQYARTCISENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQSTGCKTLLVLSGCTTLPELQDASNSIHPDVYTNSVYDLVGLLQK >PAN15220 pep chromosome:PHallii_v3.1:2:55946220:55947333:-1 gene:PAHAL_2G472600 transcript:PAN15220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEECKEGGGCGRHAAEGVVEPADSAKLYEDVPPMPLMALNHISRLCKSVEDSVRFYVKALGFVLIHRPPALDFSGAWLFNYGVGIHLVQRDDARRVPDVNPGELDPMDNHISFQCEDMGVMERRLREMRIRYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRGRHNPPVQMVHHGGDGE >PAN12029 pep chromosome:PHallii_v3.1:2:40139049:40143642:-1 gene:PAHAL_2G236800 transcript:PAN12029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREALRMVCSPQFWRMAVLWAISLLHSYFLVFLRGRAAATPGRRRPRPGAGRRPICVVTGATSGLGRAAAAALAREGYHVVLAGRSTQLLYETVQQIRKQQPDVRLEAFQLDLASYKSIKKFGTSLKQWIQETSSEPAIQLLINNAGILAKSHRVTEDGLDEMIQTNYIGPFVLTSILLPLLKNSSIPSRVVNLTSFTHRCVSGIDVSEDALRGMKFSPCSVGKSYPLASTYEYTKLCILMFSYELHRQLHMSSAVSVIAADPGVVETKIMRELPKCLSWFAFSVLRFLNLLQEPDTGVGSILDAALAPPEESGKYFFGGKGRMIRSSRLSYDMEIAKKLWAESWAVFKDLQLREGDFGNG >PVH64619 pep chromosome:PHallii_v3.1:2:46453164:46455106:-1 gene:PAHAL_2G315800 transcript:PVH64619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRVCVVGGGGFVASWLVKLLLSRGFAVHATVRDPSDPKNAFLAQLDGASGNLRLFKADVLDYGTLAAAFAGCEGVFHPATPVPEDKLDDPEATNVQKLIVVSSVAASCFDPDWPEGKLKDESCWTDKELCKETQNWYSLAKTEAEEMALEYGKKNGLHVVTICPGLVFGPLLQHVAVNTSSKVLLYIIKGGPDTLNNKFWPLVDVRDLTDAMLLAYEKAEPSGRYICSLDQMDIKDLVALMKSVFPNYHYADKMVDVDYKVYTTSDKLKNLGWQPRKLEEMLTDSIECFEKAGLLQDADGEPCRLPYFYRENAEE >PVH64123 pep chromosome:PHallii_v3.1:2:30832453:30834539:-1 gene:PAHAL_2G194300 transcript:PVH64123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPSSQPRPRGSWTQCLSTDVRNGLVAVAQPTSEAEHGRAPEAGGGTDPSASHYIRHTHTCRLNPQECCHGRPGHPSHPPSDQIYAILYEIVNTFFASNDTILQLKESISLTVKSASRSTVTSWQCSLLLTTD >PAN14778 pep chromosome:PHallii_v3.1:2:54264047:54265118:1 gene:PAHAL_2G443800 transcript:PAN14778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDQRRHFDPLHQLVKTQYGRESGAYCDICLSKLAGLVGHRCSACNIDLHDACAGYFKETASFFAHPWHTLKLSRIPHPSASSPIRWYCDLCLEVCPPGSFMYRCTQCLFDVHPLCTMLPQTIRSPLHPEHDLRMVPSFGDCSACEQSLPVWHYVCPCAVRLHIDCASGAPATSCNSNTAGRPRRRTAVAKFLLKTSFRIAVNAATGGLGSPVLDVLAAVFKS >PVH64572 pep chromosome:PHallii_v3.1:2:45809902:45810163:-1 gene:PAHAL_2G304900 transcript:PVH64572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRSARSSTARAPPDGARVADGTCAPSPRKEENPSPPRPWYPGGGGGGSANPIA >PAN15205 pep chromosome:PHallii_v3.1:2:55902041:55906135:-1 gene:PAHAL_2G471800 transcript:PAN15205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAENAAPPRQEAARDRGAAPEAVEKVVVVDQAPPPPVSRLQAQRPLAPLQVTTQAPPPPISVASGAVEPPPQVAAYQPVPLAPQQGPLPSLNSHKYTNCITLCVFLLHLAAAGFAMGFFVFKTVHDNTRNPRSRNARRERSLLRDWLLPVEGTVVLSIVLAFAWQKAVRAWPRAMVRVILWSSFAVTLGVGALLMCFSMLATVGLGVAMVVFSIGTGLYACWVTRRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAVNFRFPGLTILALVLSLMWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNGIFSFGNSWAFVHIAAYGRGFVQASRSTWGQFEALPGMAALVDSDITSSVCFLTGVTSGALCVAMAGSWAFATHRHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCYAENPGSRLFDDTIPDRLRKMQEGRDPLVVPTPRFPHQRAAA >PAN13361 pep chromosome:PHallii_v3.1:2:47433345:47433907:1 gene:PAHAL_2G331100 transcript:PAN13361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEHVFLMSCSFISMIMLCSNLYNESLQMKQTKIFGTYVQYSPQTLECSTRRVVCRVQKNPKHFFLSQCPGPRELDPVGSLATSMVTASVAFTAGAKQAPWQGATLAAWTATTTMASTRATTKRSGQLLGEAIGYPGQGTARR >PAN13732 pep chromosome:PHallii_v3.1:2:49208911:49210908:1 gene:PAHAL_2G361100 transcript:PAN13732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRALQRSGSNSLASLLRAEPPDDAVVADPRKRGERDGRRHGSTRRRRRSCLRLPLGAAGGCRVCDCDEMDSAAPAAAPRRRPAGNDGEDEEDGALQCFSWKKGAAAAAVPHRPSGVGADAVVVVKEALSLAVLPDDVMEMVLGRLPLASLLAARCVCRRWRDLTVAPQFLRVRRDEQGDPEPRRAPWLFLFGVDGDVGWGAAPAPVVHALDVAAHRWRRIGAGGLKGRFLFSVAGVGDDLYVVGGRSGGSDASKVKTHKGVLVFSPLTGSWRKAAPMRTARSRPVLGVFEMSATCSILHARAEKHVRRARSRLGGASAVYEDPHRLSFRRLRLKDMLNEDTDSTELASSHDKSAGHDGEEGQPRLAIVAVGGRGHWDEPLVSGEIYDPLIDKWVEIAGFPTDVGLACSGAVCGRMFYVYCESDTLVAYHLDKGSWVVVQASRPPPRLRDYAPTLACCASRLFMLCVSWCDRHGPVDRREKVVRKLFELDLTSLQWTEAAAHPDAPMDPNAAFAAGRDRIYAVEMFRIFGKVLDFVTACRVSDTEQKWSRVGRENAATEADAMSGRLKSMAVLHL >PAN10300 pep chromosome:PHallii_v3.1:2:6194254:6196475:1 gene:PAHAL_2G085400 transcript:PAN10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYGAPPPPPPAAAPVAVVSPQFCAPYVVPLTVTKKAISLSDGDFTVTDANGAVVLRVRGAVFSVRHRRVLLDAAGQPVLSMQEKVFSMHNRWEVFRGDSTNASDLLFTAKKSSIIQLKTELDVFLASNTAEQACDFKIKGSYFERSCAFYLGSSNTMIAQMNRKFTISNVLLGKDTFGVTVFPHVDYVFVAALVVILDEIHRERSD >PAN12704 pep chromosome:PHallii_v3.1:2:44462449:44465873:1 gene:PAHAL_2G285100 transcript:PAN12704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFCAPAAGSPPDDATPEPFRSLQIATTAAAATTKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHNPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCTAGRARADASPACGGGGGGLATGSAGSQQQAPPPAMSLSRTASSTSPSSDVVISPVAWPGPPMPSPTAAAFHGRFDRVPSPRTPPSEHHRGGHTHNLELQLMPPSCSVAGGGAPGMPAFCGPQSSPAVSSRGNAAAAMHLQLSIGVCGGGSGDAGGRGGEALAAARAKQEEEAREQLRQAVAEKAAADEARAQARRHAELAEQELASAKRMRRQAQVELSRAHALREHAVRQVNATLLQITCLGCRHKFRARPAPLADAAPDVACSYVSSVVTEGGDAEVDEPLPLDADGASMRRRQHAMAMDIVL >PVH64590 pep chromosome:PHallii_v3.1:2:45987266:45988378:1 gene:PAHAL_2G308700 transcript:PVH64590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKIAGASATNAARASMGVRLPAHWATASLGTNNYVVTVGLGTPARYFTVEFDTGSDLSWVQCKPCKDCYEQNDPLFDPAKSRTFSTVPCGARECRELPSRTCSPDNRCRFECAYADGSQTDGDLARDTLTLTPSDAIPGFVFGCGHENSGFFGTEDGLIGLGRKSVSLSSQAASRHGAGFSYCLPSLSSGTGYLALGGAAPANAQFTPMVPSRDLPSLYFLRLTGIVVAGKAVKVPAASMLIDSGTQLTNLPDGTFAALWSVFARLMGGYRRAPGLSFLDTCYNFTGRAALQVPSVALVFAGGATVSLDVSGVLVRLGSDEPHVACLAFTSTGDDAPVGILGNTQQKTFAVVYDVANQRVGFGAKGCA >PAN13613 pep chromosome:PHallii_v3.1:2:48613785:48617198:-1 gene:PAHAL_2G350800 transcript:PAN13613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAAEVVAPKPPSSAGKRRSTTLRFLFELEKPDGLLPGTAKLPPPSPEPEADSLIDKIASCHRVFTFSAGAGEREDERDAKRERLVEVLGEVRSAGGKQRALDHRVMVALLKMVSANLFRAMPPSAYPPLPPDGVDEDAPAMVLAPSWPHLQVVYDILLSAVTAADAKALRNHVDRAFLSGLLALFGSEDPRERDRLKTVYHQLYSKLTGERAFMRRSMAAALLRLVYEAPAAERHCGVAELLEICGSIINGFAVPLKEEHRAFLARVLLPLHRTRWAHTYHRQLAYCVLQFVHKEPGLAGVVVTGILRHWPVTNCQKEVLLIEELEEILEVIDPKQFQKLAVPVCSRIARCVSSCSSQVAERALYVWNNERFLELATSSPGVMEGILPAFVASVEGNLERHWSKCVQQVTASVKALLEQVAPDLYARCAADLAARRSEAEVAAAVRDARWRKLEMAAAAAK >PAN14733 pep chromosome:PHallii_v3.1:2:54164930:54166309:-1 gene:PAHAL_2G441800 transcript:PAN14733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAATTAVPSGPVPFKDVDDGTVPEHTPKEEFGDLVAALPRRQQSILELRLYQGFWLPEHWVPGTVVFQRRFAPRPDDVVLASYPKCGTTWLKALAFAAAARAAHPPAAPGHPLRRLNPHDCVPFIDEIFAAGEEAKLDALPSPRLMNTHLPCTLLPTPVTAGGCRVVYVCRDPKDMVVSLWHFLRRTKPDLSFDETFESVCDGTVAAGPVWDHVLSYWRASVARPDRVLFLKYEDLLRDTGANVRRLAEFMGRPFTAAEEAAGDVAAVVELCSFGEMKGLEVNKAGSGTAGRYRAMPRDAFFRKGVAGDWANHMTPEMAARLDGIVREKLQGTGLAFA >PVH64018 pep chromosome:PHallii_v3.1:2:20993775:20994122:1 gene:PAHAL_2G164600 transcript:PVH64018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNRSTGTGSGQQGQNNQSTGQPMPMPMPPPLTPEQFFQLQMQMIATLNNTVQALQQIHTQPPPPPPQQPHDRRADFLRGHPPTFSHAADPLHADDWLRSVESQLDVAQCDDQE >PVH64017 pep chromosome:PHallii_v3.1:2:20888338:20889198:1 gene:PAHAL_2G164400 transcript:PVH64017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQANCHAAPGFPSLLINALESLGVTKCPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFKGHLAATPVRFFPPAIRTPVWEARMRSLERCRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELVVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTQSGTPVPAVGEDHVLLGTPVIGWGPLFGNTQAPPENPESSAAAVERDVAAQPLTDGNPENDEQGLLTLPAPEEGTPRE >PVH64136 pep chromosome:PHallii_v3.1:2:31782415:31783344:1 gene:PAHAL_2G197700 transcript:PVH64136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTNTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGVSQLTGVAQSHYRNAEHQVTQIEELQALVTQKDEIIAATDETILHREDQISESDHIITQRDAVIEFLQEQIHDLILEADDAQAHIEELQQQPILPAIPIVPEEEEEDPEVIECISEIDSEHGDPVLSPHHSLSGSQSSVGNFDDF >PAN12242 pep chromosome:PHallii_v3.1:2:41787969:41790165:-1 gene:PAHAL_2G252400 transcript:PAN12242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDCDGPPDCVGEEDEGTGNGMEDVVDNVKNELVEQEESTGSIPSPLFCTTRPNKRLRSKVWDDFIPTFVNGKVVRAECMNCHRTFNYNSTNGTTGLRNHQSKCNPGTRKRPRQHENTPLPSTQKSIAAVSSDPKQKKLSFLLSGQNKCTGTSDEIPMRELAFPDTHTDKNGKKQEVDQNGPHELLAAPELSTDQLKNQIHGENLWPLYGAQYYHLAVRSIEKIQPSIRRGKIVISNLI >PVH65286 pep chromosome:PHallii_v3.1:2:54748751:54749756:-1 gene:PAHAL_2G452600 transcript:PVH65286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTATTTITGRMCMGRCIPTRIPRSREEVVEHTKKEYRRLDYFSASRVIPKTALPLPVPPRLDKSPAAPSAKERHVVDTSRGRSSDEKLSTLRAYRRAKGLCVKCAEKWHRDHKCPDSVQLHVLQEVFDLEYRGRGT >PVH63882 pep chromosome:PHallii_v3.1:2:11540937:11541501:1 gene:PAHAL_2G130000 transcript:PVH63882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIQTTSPKTSSRVPSCTQSCLWLRPPLELRMAQDSDDPLFVVSIVVWVLVVILAIVALHCPLPRRVVR >PAN09846 pep chromosome:PHallii_v3.1:2:3965769:3966071:1 gene:PAHAL_2G053600 transcript:PAN09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIPLSICCVLNLGVILSLDFFGNNVTRHHVTGRYLCASGVQSSLRAAAAHGRASPCDASWQPHEKPPPRPAITCPTISRTIPNQIERDHSHSKYNFDY >PVH64133 pep chromosome:PHallii_v3.1:2:31272303:31272809:-1 gene:PAHAL_2G195900 transcript:PVH64133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARDETILHREDQINESDAIITQRNTIIEFLQEQVHDLILEADDAQAHIEELQQQPVPPAAPVVPEGGEEDPEEIEGVSDLDSEHGDPEPNPQPDYSCSGNQSSIGNLDDF >PAN10780 pep chromosome:PHallii_v3.1:2:9584527:9587134:1 gene:PAHAL_2G115500 transcript:PAN10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGVVKKVADAAAKAGKAIDWDGLAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPQYKPKFDALLVEMKEAEKASLKESERIEKEIAEMKEMKKKISTMTADEYFAKHPELKQKFDDEIRNDYWGY >PVH63323 pep chromosome:PHallii_v3.1:2:331771:333376:1 gene:PAHAL_2G004700 transcript:PVH63323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGASTTSSKRCRLLLVVAALLMMILLAAAPVASARRRPVRLRVYMHDIVGGPGQTAALLVRGPGPANPSMHPGNYFGDTVALDDLLTEGLAADSAPAGRAQGTYMTGSMSRPVFVVSVTLHLTAGRYNGSTLVVAGRDDTSEPVRELAVVGGTGALRRAQGHVLWSTARVESPLHAVLELDVHASVPVPTTTPRAKAAAMTLASHLNKSDGPVIEKRRMTMECNRDG >PAN12645 pep chromosome:PHallii_v3.1:2:44129910:44133201:-1 gene:PAHAL_2G280700 transcript:PAN12645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQSWRSYLCCVGGSAAVEDDDSTPRRRRIGGKDSPRSSSRMSFTSLSSSETLSPEDLSLTLSGSDLHAFTYAELHAATGSFSRANYLGCGGFGPVYRGAVGEGLRPGLRAQDVAVKYLDLEGGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEFMSSGSLENHLFKSVNGALPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDETHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLAGRQSVDRARRPREQNLVDWARPYLKHPDRLYRVMDPALECQYSCRGAEVAAVVAYKCLSQNPKSRPTMREVVKALEPVLGMDDFFPAGPFVFTISVEDDKVVGVKVEVEEKPHRPQQHRSHQDRHRQKYPNSSIHAGIVLHSREGLVGDDYTNALRRQRRASSHRQERGA >PAN09866 pep chromosome:PHallii_v3.1:2:4032138:4032742:1 gene:PAHAL_2G054700 transcript:PAN09866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAVAAVAILLLVLGSGAATSAAAARLPPVESGGGSTGRQGSVMQSERTTSAAAESSAQPSGCTNGSGPGGYCHPPSRH >PAN13021 pep chromosome:PHallii_v3.1:2:45972163:45975476:-1 gene:PAHAL_2G308300 transcript:PAN13021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGNHGSLMEEWLPPPTPSPRTLMSSFLNEEFSSGPFSNLFSEHGTNKPHDQCEKSRELVSLSEEVPAQAVKDRFQKGFSLEPNLFSATQKSNSHGGLAERRAARAGFSVPKIDTSRVGSSAVIRSPVSIPPGLSPTTLLESPVFLYNKMAQPSPTTGTLPFLMATSDKSTISPAAKITEDSTFDNDVFSFQPHLGSKQPSFSTAEKNYGTYHQNQSLSNIHQQESSLQSSFPAVKDNTNATIVKAKASDSMFDNSHYSADRKQDDGTNQNVQGEGVEARTAACVPVSTHGDASLMGSQDAVDVSSTLSNEEDERATHGTVSLECEGDEDETESKRRKLDALGTSTITTAVATSTIDMGAAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAAKNSGQAGSSSAGTTSASQGSSSHRSHEPAQASFAHFGASPPFGSFGHLGPATGSFRFGMVPPGMAIPMPSLGSLAPTKMVGNSPAMQGYPGLMMPAEPKAEPVSQPGFPTANAAPSAYQQMMSRPPFGHQM >PAN13121 pep chromosome:PHallii_v3.1:2:46447387:46449653:-1 gene:PAHAL_2G315700 transcript:PAN13121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATVRDPSDPKNAHLRRLEGAPESLLLFTADVLDQDALAAAVAGCEGVFHVASPVPADKVLDPESEVLAPAVKGTLNVLQACSANSVQKVVVVSSTAAVHFNPNWPQGRIKDESCWSDRNLCMKNEDWYSAAKTIAEETALEYGEKNGLIIVTVCPCIVLGPLLHPVVNTTSEFLIYIIKGGPGVMKNLPWNIVDVRDVADALLLVYEKAESSGRYICAPDRINTKDMVNLLKKAYPNYSYVKCDDKDYGSAISRVTSEKLTNLGWIPRKMEETLCDSVEYYEKAGLVQDVEGRRTCRLPHIFHFASDK >PAN13966 pep chromosome:PHallii_v3.1:2:50109219:50110667:-1 gene:PAHAL_2G375600 transcript:PAN13966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAADMAHVLVFPIPAQGHLNSFLHFSTGLLRAGLHVTFLHTDHNLRRLGAAAREATAASPRLRFLSVPDGLPDDDPRDVGGIPQLMEGLRMTASAAYRDLLARLRRAGGTADGFPPVTCVVADGIMPFAWDIAEELGVPAIAYRTVSACSVLAYLSVPKLIERRELPFPEGGDLDEPIRGVPGMESFLRRRDLPVQCRSLTKTDQDPLLEAVVAATVQSRKARALMLNTTASLERTSLTHLAREMRDVFAVGPLHAMSPAPAVATSLWRHDDGCLAWLDSQAERSVVYISLGSLTVISHEQFTEFLHGLVATGYPFLWVLRPDMLGASQDAALREAVDAAGEGRSCVVPWVPQRDVLRHRAVGCFLTHSGWNSTIEGVVEGVPMVCWPFFVDQQINSRFVGAVWRNGLDMKDVCERDVVERTVRVAMESADVRRTARALAEQVERDIADGGSSALEFKRLVCFIKDLSASAAENDLQNKE >PVH65159 pep chromosome:PHallii_v3.1:2:53747201:53750105:1 gene:PAHAL_2G435000 transcript:PVH65159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARARQEKVKKFEEFVDRRLKPDLANAIKQRDKVFEQQKTFLDLKRNIENLERNGVTSMRSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLARQIDEYTHLIASIKAQIKLVCEGIRELLQLPPETELSPRNTW >PVH65111 pep chromosome:PHallii_v3.1:2:53136892:53138721:1 gene:PAHAL_2G425400 transcript:PVH65111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFCYWPGSPKHDELVRPWRRSSQRPWRHSSCRPGSPGHGVRSFRAAGPSTRGFRAARLGAYSLRTAGTAARGPTAASSPLAQAASAPPAWVLQPLTPGSSVLRAAGPSTRGLRAASPGALRAAGLCPTRAICERRIGNGRER >PAN11618 pep chromosome:PHallii_v3.1:2:38815367:38816540:-1 gene:PAHAL_2G228800 transcript:PAN11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIPLDLLEVILLLVAWPVFLVRAASTCKQWRRIIARPDFLWRFRCLHRPPVAGYYYETTIRFTPSWWPSGGVDARRFALDFLPGDDDLYIPAVWKLRDSRGSLLLLDHYNHRDGSRDMVVCEPVTRRFQKIRPPAQFARFYSTAAFLLDGDNAQQAAGGISLSSFKVLCLVYYGKHIDARVFTWGESSSWRDTSIDSESMNVIGVAMGSIHWYAGRRKVVTLDQRSAEFSSFTLPAGTEDWDSLVNQHRLAVTASRDGGVRIVVGVAGGDMKVFARLPGGSCEWVLRKWIPLSSFMAIHGLPWREPWTGAVLIVTTRMSLSQEPTTTPLMFRVDIETMAAERMPDPNLGTAYPCELPWPPVFRTITKMIDDHGDRAT >PVH63403 pep chromosome:PHallii_v3.1:2:1475842:1476422:1 gene:PAHAL_2G020700 transcript:PVH63403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDHRSLKSPALTIAPPPSSAASCGPPRSVPPAPPTLRRRDSCRAPRCRRPPRAAQPLHLAPPPRSRNAVPPPLCSLVALRRPPSRSAPTLHARCDPAPQNRRGCPCIHLARRLRPSVHPGGSRRISAGGRVTMECTCREVCHVRCG >PAN09491 pep chromosome:PHallii_v3.1:2:1977224:1978282:1 gene:PAHAL_2G027500 transcript:PAN09491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRRAPPSPDARRGGGGGGVEDDGSSGARLFPCLFCSKTFLKSQALGGHQNAHKKKRVAGSGWNAFGASYAAAVELDALAAAGSALPATSSVFVAGAHGQICGGAAAGGARAGEAHRDAAAAVALRRLELEWWTTGHHVPPLHGGTEHDGSRDGLMGHVLNWSRGTQRDGTAAPKETTTAPLAAAAAAAAGGARPRAEALASFFTTVS >PAN13348 pep chromosome:PHallii_v3.1:2:47396198:47397432:-1 gene:PAHAL_2G330300 transcript:PAN13348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAARALRRLPFHLSPSLARAFCAVSPAATSAATAPAAASAKVADRIVRVLAIDPDGARREVVGLSGQTLLRALANAGLIEPASHRLEEIDACSAECEVHIAQEWLDKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLAPELQGMVVAVPEPKPWDIP >PVH64118 pep chromosome:PHallii_v3.1:2:30656729:30658286:1 gene:PAHAL_2G193600 transcript:PVH64118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTYFRLPCSTPVHDMGGQESGRGAFQNHLNHRLSLPSPVRPPSLLSRRRIRSRCCGELTTRSCHAGARSGDGGAGSEAPRSCEAGANAGLLWATAELPPTMGATKSSVEVGRLPRFNRCTLAFHALGGTPMRSRAVLLHGEHLFRRRCPWRWG >PAN12744 pep chromosome:PHallii_v3.1:2:44673833:44675767:-1 gene:PAHAL_2G288100 transcript:PAN12744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os09g0455200)] MERMERCGSWECDAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDGRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSASTVSPSPPPFFSPPHFPLFHPGAPHHHQFVGGDDGVAMAAAAHGMGVAFPHPQWRDPHAAAPVATRLLALGAPPAPSPLPSAEGAGGASAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGLQPRKKPATPVMAAAGLNNSGGSTTSSSSLTIAEEPSPPPQQQADKSGGGEAGSSGTARSAAAPTMLFGVHLSAAPAAKRPASPEDEHELATSPARKPRLALEAGADLSLSVTAAPSAASSPASIS >PVH63408 pep chromosome:PHallii_v3.1:2:1499738:1500916:-1 gene:PAHAL_2G021300 transcript:PVH63408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTAMPPPRCDEEVLTITVGSYSEATKLANGSCVKSTPIDVGGHSWRVAFYPNGRPGGTTGFMSLYLLMNVAEGGRAAAGEDVHVKFSLMMRDVGGGARFLTSGKVAAAFSRKWNAYGFERFVSREHFADFFKSDRFVIRCGLTVFPAGSQPEPAVEAAPEPPILRARAPLSSLHADLRRLLATKEGADVEFEVEGKVFAAHKSVLAARSSVRIGDMHPEAFEALLHFMYTDSVPEMTMNSLKDGAALAEDLLIAAGRYNLKDLKSLTENKLCRCSHVGVSTVLLMLAIAEQYQCCKLKKMCLGFIDSTANAWAIMATNDVENLARSSPRVVKDVITEILDTRKTRSKRLIKACIYAFCLQMLILVFAILKKQ >PVH65148 pep chromosome:PHallii_v3.1:2:53687241:53687489:-1 gene:PAHAL_2G433800 transcript:PVH65148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRGAGRRWSRRADAFVASLTTPRPNQWSEEPASGEGTQRRTTPLLRAASPANPNGEGRDLSAAAVAVGESRRNWWSTAAT >PVH63521 pep chromosome:PHallii_v3.1:2:3332430:3332921:-1 gene:PAHAL_2G046500 transcript:PVH63521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESESSREPTPEYDPIAAYEVRAPLHWDAEEWDFRYQSEDDESLTDGEDLALLLGAELEEDEDDASWGEDLSSLEERADSFSSEDDPMAGTFLFGRSSDDTSDGSEGAEDDDSFTSDDSGDDDDGSSDSGSSGTSIAPPSKRRKTSGVYWW >PAN12260 pep chromosome:PHallii_v3.1:2:41892883:41895066:1 gene:PAHAL_2G253700 transcript:PAN12260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVRALEAVVGCLHHISVDAANKCAYILYPDAQGAQYLRAHYVKVGKEKIFFDLVKNVELLPFGEDHDQHIRIARML >PVH65029 pep chromosome:PHallii_v3.1:2:51995323:51995622:1 gene:PAHAL_2G405600 transcript:PVH65029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDEEPVAEPNTPVDWRQPYLVYLLREVLPTDQTEARWLARHAKSFVIVEGELYKQSHTRILQHCIPIKQGNQLLKDIHGGVCGHHAAPKTLVGNTFC >PAN09518 pep chromosome:PHallii_v3.1:2:2208791:2211422:1 gene:PAHAL_2G031000 transcript:PAN09518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSPVVLSLLLLASLTALLVVAPRLSPPPQQAVAAESPPTSAGVGAGGARGGADGAAGVWGVGAGEEADDLRLFRRAALEAAAAGEADAAGPPKVAFLFLTNSDLTFAPLWERFFAGNEARLTVYVHADPAARLRLPATRSFRGRFVAAKPTRRADASLIAAARRLLAAALLDDPANAYFALLSQHCVPLHSFQRLYAALFPPPVPGARRGLQPRRLPSYIEVLTGEPQMPVRYAARGEGAMLPEVPYDRFRIGSQFFTLARRHAVLVVRERRLWRKFRVPCLPEMAQDSCYPEENYFPTLLDMADPAGVARYTLTRVNWTGSVAGHPRTYTASEVTPRLIAELRASNNTLPHMFARKFAPDCLGPLLAIADTVIFKD >PAN10434 pep chromosome:PHallii_v3.1:2:7086625:7090709:-1 gene:PAHAL_2G094000 transcript:PAN10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPLITVSDGRLAVHGRTVLTGVPDNVSAAHAAGAGLVEGAFVGAHDGEAKSHHVFTFGTLRDCRFLCLFRFKLWWMTQRMGVSGRDVPLETQFMLVEVPASGDGDDDAGPVYLVMLPLLEGQFRAALQGNERDELQICIESGDKAVQTDQGAHMVYLHAGDSPFDAITAAVKAVEKHLQTFHHRDRKKLPSFLDWFGWCTWDAFYTDVTADGVKHGLQSLAKGGAPPRFLIIDDGWQQIAAEDKPDPNVVVQEGAQFASRLTGIKENTKFQAKPATDGGDGDGEPAPGGLKQLVRETKDAHGVKQVYVWHAMAGYWGGVAPAPGTGMERYEPALAYPVQSPGVTGNQPDIVMDSLSVLGLGLVPPRRARDFYGELHAYLASCDVDGVKVDVQNIIETLGAGHGGRVAITRAYHRALEASVGRSFPDNGCISSMSHNTDMLYSARETAVVRASDDFYPHDPASHTVHVASVAYNTIFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLRKLVLPDGSVLRAQLPGRPTRDCLFSDPARDGASLLKIWNVNKCGGVVGAFNCQGAGWCRVTKRTRVHDAAPGTLTGAVRADDVDAIARVAAAGEDGGAEWDGEAVVYAHRSGELVRLPRGAALPVTLGPLEYEVFHVCPLRRGAAAGVAFAPVGLLDMFNAGGAVEDCAVSAGDSAGGAATVTAAMRVRGCGRFGAYCSRRPARCALDSAEVEFGYDADTGLVTVDLPVPEQELHRWTLEITV >PAN13735 pep chromosome:PHallii_v3.1:2:49215152:49215961:1 gene:PAHAL_2G361300 transcript:PAN13735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFGKHVFPRQIALFATGLLFFGATTYDVHRSIKSNEQPPTREQMEALQDYINSRKQ >PAN12793 pep chromosome:PHallii_v3.1:2:44905794:44909279:-1 gene:PAHAL_2G291600 transcript:PAN12793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARDASEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPLSAAAGSAAPSGATAPGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSVGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEADGNDAMQS >PAN12765 pep chromosome:PHallii_v3.1:2:44812828:44814565:-1 gene:PAHAL_2G289900 transcript:PAN12765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRVPPQPAARSAAWPIGLLVALCFTTLPLFLALSPGRPSLLDLWQQMGIRVTVHYDDETRPSEPSDPPPERETRDVLLGGLLSPDIDEASCRSRYLSSFYRAPSPHSPSPYLVSRLRKYEALHRRCGPGTPSYDKSVRQLASAHHSMGLAECSYLVWTPGNHLGDRVLSMASAFLYALLTRRVFLVDMARDMAGLFCEPFPGASWELPPGFPVQNLTRLRRGSEHSYGNLLGAKKVSNEDPAGVRSESLPSYAYLHLAHDYQLPDQLFFCDDDQTVLGKVNWLVLRSDLYFAPGLFLVPQFEDELRWMFPAADTVFHHIGRYLFHPSNKVWKIIAGYYTSYLAKFDEKIGIQITTLAWNPVSAEAYFKQITACTIQEKILPEVDPDAASTDYEAAATSKAVLVSSAQPEYAERLKSMYYEHATVTGESVSVLQQPGAGKQPQNQKLLVEMFLLSYCNVSVVSGWSTVGYVGHGLAGVKPWLLPPPPRNQTVASPPCVRATSMEPCFHAPPSYDCRAKKNGDLGAVLRYVRHCEDVDDGLKLFD >PAN13293 pep chromosome:PHallii_v3.1:2:47107133:47109234:1 gene:PAHAL_2G326500 transcript:PAN13293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPAQAPSQLPPGFRFHPTDEELVVQYLRRKVLARPLPAAVIPVVHDVARLDPWDLPGASEGEGYFFSLRRAPATGRRRRAGSGYWKVTGKDKPVFLQCGGRRQLLVGVKTALAFHRSEPSSSSRTGWVMHEYRLAVPGGVAMAEQRKNANHARVVEPGEWVVCRVSLKNRPRRSRPNRDGDSKTPGNRTSIAHRAAPLQHREGDGRQPPVSPQPSSSSCVTGVTDISDQDEVSSSSIRIRDAPADSQREA >PVH63335 pep chromosome:PHallii_v3.1:2:415317:417733:-1 gene:PAHAL_2G006300 transcript:PVH63335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVSLLTENGNTKDDLKLPNDENLQAQIKSGYDDGKDIILTVMSAMGEEQICALKEIGGKN >PVH63528 pep chromosome:PHallii_v3.1:2:3537442:3539981:1 gene:PAHAL_2G048200 transcript:PVH63528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSNAACCLLDIGVTDGADHITSLVLTCNSLSQHVWHRTKETNFICVCSLFRQIGFGWIKMLVVVFLLHWTTSGLRPLIFGETNIAKEGHGN >PAN14800 pep chromosome:PHallii_v3.1:2:54351153:54352962:1 gene:PAHAL_2G445500 transcript:PAN14800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIINWMQNRFNGKQEKRRSEAGVGNSARDVPAVRESCRTEHAREEKSPNGDWPQGLLSIGTLGDESPPDGGPRASQAADVPDFSIEEVKKLQDALNKLLRRAKSKSSSRGSGATDEDRASQLPLDRFLNCPSSLEVDRRISLRHAAGDGGEFSPDTQIILSKARDLLVSSNGAAIKHKSFKFLLKKMFACRGGFGPAPSLKDPVESRMEKLFRTMLQKKMSARPSNANAASSRKYYLEDKPSGRRMIRDRRHEEEDDDKGSDSFKWDKTDTDFIVLEI >PAN11842 pep chromosome:PHallii_v3.1:2:37552456:37553664:1 gene:PAHAL_2G221200 transcript:PAN11842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSYRAMHPYTHHPYQHHAASAAVPHAGGKQHGGDDDDDQSMLLLSLWPPGHHHGGIAAASSACSSSPSITSTATSMSMAAATAASYPWLSHHSSHYGGGGSSSSSTHSSFLFHEQAEPDVSISLSIAPPCGSAGFAAPAAPSTVTTVAPGGSQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITVGTAPPASLMRLPCYCCAEGCRNNIEHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESVAAEDDEEDDDDHDDADLLHHMVDDSGSGAGADPTDMVVV >PAN11482 pep chromosome:PHallii_v3.1:2:19142948:19145148:1 gene:PAHAL_2G159700 transcript:PAN11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRRSTRSLPWRGCRHLLPTALARNALSPCSPGPLLRARPLCAATASRPPRSCADSSPPPQVIEVPESLLQKGKCEEQWAADRKEKALADRKKALESCKIILTRAKQYVQDYDAQ >PAN09606 pep chromosome:PHallii_v3.1:2:2613122:2618350:-1 gene:PAHAL_2G036800 transcript:PAN09606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGAGDASPPPAPPPPAHAPPPAPQAPTAAAPSQRDVSASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGFQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPDSDIGYHFGSLLGNQEGVDVILNVGGERFHAHKLVLAARSHVFRSQFFDDESEGEKNEVDESDELREFSIDDMEPKVFKAMLHFIYRDTLVDENELGTSSSDGSVFDTLVAKLLAAADKYDLGRLRLLCESYLCKGISVASVASTLALADRHHAMELKAVCLKFAAENLSAVIRTEGFLYLRDNCPSLQSEILKTVAGCEEQCSSGGKSQSVCAQLSDGGDTSGRRVRPRI >PAN11313 pep chromosome:PHallii_v3.1:2:14172871:14180466:1 gene:PAHAL_2G145500 transcript:PAN11313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILAWAADVVGGAGASDDEADDERDVASAAMTPEQRLRAAELDARAASMRRAIQDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQAQQREITLQEENAAYEKALSDCRRKIQERQMETDQLQSDLKEMEVAEHNLKAQLEDALKEQEATQHKVSTTASETAENALLEAESLINLKSKDLEEKKRVLELLDNKVQTLEKEWSVVEEESLKNPTPAQREKILERQLHSLIEQLTSKQAQAEILITDVHAKEKELERLNHLHRNVCSSANEISAPRSRFSRGLLSGPEDYCDAKAGRKLYQPGLRTEGQKRLMILRSTIVLYVLLLHIVVFIKISV >PAN12459 pep chromosome:PHallii_v3.1:2:43045637:43047451:-1 gene:PAHAL_2G266300 transcript:PAN12459 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Transcriptional repressor, Pathogen defens [Source: Projected from Oryza sativa (Os09g0417800)] MDGDGECSSPTGRAPGLLPLFGPSPAAESLEDKLRRLSEENRKLSGALDAILADRPHLRALATSPTPSCHVHAPAEVAAASVTAEPRPKVRTVCARAEPSDADANHLKDGYQWRKYGQKVTRDNPYPRAYFRCAYAPSCAVKKKVQRSAEDKSMLVATYEGEHNHEQRAQSDDVSDASTILQQQQAGSLPCSISINSLGRTIILGPADQRPGSSAEAVAAAEVITPEFRKVLVDELVNLLKNDAEFMESLTSAVAARVMERIPGQIF >PAN13580 pep chromosome:PHallii_v3.1:2:48497304:48500312:-1 gene:PAHAL_2G348000 transcript:PAN13580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQPISIPFNLDSIASAARVLPCSFLATPTNHHFNPFSTPAIGSMASDAAAGKDKMLMLISCDKENFEVEESVARESRTILHMIEDGCTDNGIPVPNVNAKILAKVIEYCKKHVEARRGADADAVEPTAATNKASDDELKTFDADFVKVDQATLFDLILAANYLDIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >PVH64473 pep chromosome:PHallii_v3.1:2:43963724:43964722:1 gene:PAHAL_2G278000 transcript:PVH64473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVIPAPTLPCLVFDYDGEQQRTTLFSISDGAHRACEIEELRGKRSWPTSHGWPPPLRSVCARSGDPCTAAGGRCTVLLAEPPQSTILWYCQAGGTAWTRHEYDLGSASIRVPEGNAWCKRTVNRLASCQDRFYYPHSSTQCGVIGFSPAGLPELSTVPMKMGGLMAAAATYIVEIGGDLHTVYVFRHGIDFTAVADVGVYRMDFARQDHVRVESIGDRAILAGSGSCFGGWCPATEFGLLPNTVYWMSSVDDRLHVFDIEVGAEEVHEPCKGVAVPSRKPFWIIPAHP >PVH65375 pep chromosome:PHallii_v3.1:2:56190385:56194199:-1 gene:PAHAL_2G476800 transcript:PVH65375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFRSNFLLDTCHDLGCHVSPVGREMKVVEASIRKEKRTEGKGIEQKTRKALALLYLQLLSEPVPRFLLLPILYL >PAN09401 pep chromosome:PHallii_v3.1:2:1407307:1408975:-1 gene:PAHAL_2G020200 transcript:PAN09401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSNKEQVIKIMDLRLSTVPVHEVMHVFYVTLLCVEEQSVQRPTMREVVQMLSELPKLIMRQGEGDELPSGDDGAVPDPPVSSGSVEALNDEAKEQQHQPSSQSSPTQDLISI >PAN13548 pep chromosome:PHallii_v3.1:2:48303081:48304822:-1 gene:PAHAL_2G346100 transcript:PAN13548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNSSSSGKNGTNPLEAMGAFFSKQVDRRKLVTTEKQALATRLSASGEAFPGSEHRPADRKRWMAELGADRVRVHQVVWPGTHDSATNKIGVPLVTRPFAQCQSMSVYEQLSMGTRVIDVRIQEERRVCHGVLATYPVDVVLDDVRRFLGETESEVVILEVRTEFGHEDPPEFDRFLVDKLGEEHLIPQDEAVFHKTIAELLPRRVICVWKPRKSPAPKPGGPLWSAGYLKDNWIDTDLPETKFESNLKFLGQQPPVAERRFFYRVENTVTPKADNPVLCVWPVTKRIHGYARLFIAEAFAKGLGDKLQVFATDFIDGDFVDACAGVTKARVDGTA >PAN12327 pep chromosome:PHallii_v3.1:2:43418214:43425620:1 gene:PAHAL_2G271200 transcript:PAN12327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAFLASWPWDNLGFYKYVLYGPLVGKAAASRAWEAASPDRWVLLLLVLFGLRALTYQLWSSFSNMLFATRRRRVVRDGVDFEQIDREWDWDNFLILQVLMAATALYAFPSLRHLPLWDARGLAVALLAHVAATEPLFYAAHRAFHASGRLYARYHSLHHSSKVPQPFTAGLATPLESMVLGALMALPLAAACATGCGSVALAFGYALAFDALRAMGHCNVEVFPSKPFHAIPVLRYLIYTPTYHAIHHTKREANFCLFMPLFDLLGGTLDARSWEMQEQTSAGVDEVPDFVFLAHVVDVMQSLHVPFVLRTFASTPFSVHLFLLPMWPFAFLVMLAMWVWSKTFVISCYNLRGRLHQMWAVPRYGFQYFLPFAKDGINKQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTSEVFMTGATSKLGRAIALYLCKKRVRVMMMTLSTERFQKIQKEAPAEFQQYLVQVTKYRSAQHCRTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGNCEYSLERGVVHACHAGGVVHFLEGYAHHEVGAIDVDRIDVVWEAALRHGLRPA >PVH63773 pep chromosome:PHallii_v3.1:2:8051854:8054016:-1 gene:PAHAL_2G102400 transcript:PVH63773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTKPEGGGDGGAPKAAGKGGGDVPAAAAAGEEVVISAPVHCVGCARKLRRSLQRLDGVGEVIVDSMTDRVVLRGPKAAENAAGAVKVVERRTGGKAVLVSPAPEKLPPPAAAAKGEKTKKDDGGNKDVTNELPEIDMKMVVVLRINLHCDACCEEIKRRILRIKGVEDAVPHLKSSQMMVKGVVEPATLVGFIHNRTGRKAAIFRAEPLEPPPAPKSPPKDAPPVDAQTKKDGPSDNNGEKKDGQENGNKEEEPQEEKKGGGGGEDDKNPKAEKQSGRDGAAEEQEEAHGGAAKDDDAAGDGVVLENHKKDDRLFTVPLPAGVVTVAPEVALDNAVAAPYCYSYSYPSYYPYAHPSYHFQYPQPYYPPPYAYAGGPDVYGCPRYPPEAFTEENPNACAIV >PAN12136 pep chromosome:PHallii_v3.1:2:41209339:41211345:-1 gene:PAHAL_2G245600 transcript:PAN12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREGGGGGAAAAAGRPALRVGRTREYRTGMDTELLSVDGAAAVSLFVLCGDRFEAAQLFRSGPLSLRMLRVEGHPVSMASCTVADHQWMLARDALVARVDARAFVFELPGFFYAAVVPPDSAAADRKCATMAEIFSRFCFYHDLTKPDGDDDDDEAGEVDQNPWARAHARIHRIRRHGSPPGHAAADAPPDRARQMERAVRTSAVVKLLTRSLLAGVLQPARHLTIAVGGGGSANAGTSSARAAAAALPSKSVVSDLLDAIETNRAAPRRDARRRSGGGGLVGWWSLNVEGIMLLLRVVQAVRGRKHLAAPAAGEKRPRDEGSGRDGMRGGVIGGGGGGAAAFGGAAARRWCGGRPRKLGSTVGACGSS >PAN14056 pep chromosome:PHallii_v3.1:2:50471653:50473351:-1 gene:PAHAL_2G381200 transcript:PAN14056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPAANVREICRARRADGPAAVLAIGTANPANCVLQDDFPDFYFRATKSDHLTGLKDKFRRVCQKLGVQKRYLHHTEELLGAHPEFLDLHAPSLDARLDIAKAAVPELAAEASRRAIAEWGRPAADITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRTMLYLNGCFAGAAALRVAKDLAENNRGARVLVVCAELTVLLFNRPEEGCFQTLINQGLFGDGAGAVVVGADPVITTPAVREHPLFEIVSAAQTIIPESEDVIAMHLTKGGYGGNISTRQVPVLIGDNIERCLLDAFEPLGICAEWNDLFWDVHPGSSAILDQVDAVLQLKPEKLAASRRILSEYGNMFGVTVIFVLDELRRRMEKGAPEWGAMVAFGPGLTVETMVLHRCVAQGTGAAAPPEDKLTVA >PVH64978 pep chromosome:PHallii_v3.1:2:51416213:51417604:-1 gene:PAHAL_2G395700 transcript:PVH64978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRTGMTRPIVPSLMASKRWLLLCLLLPLPFFAVPSNTGRGIRLELTHVDARGSLAGADRVRRAAERSHRRVNGLLAAATAPSAPTLRSDDGGGGPVTAAAAVHASTATYLVDLAIGTPPLALTAVLDTGSDLIWTQCDAPCRRCFPQPAPLYAPARSATYANLSCGSKLCEALPSPRSSRCSAPEPGCAYYYSYGDGSSTDGVLATDAFTFSAAATVHGLAFGCGTDNLGGTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNDTTTSSPLFLGSSARLSPAAKSTPFLLNPAGPRRSSYYYLSLEGITIGDTLLPIDPAVFQLTASGRGGIIIDSGTTFTALEERAFVVLARAVAARVARPLASGAHLGLSLCFAAPEGRGPDAVGVPRLVLHFDGGDMELPRASVVVEDKVAGVACLGVVSARGMSVLGSMQQQNMHFLYDIERDLLSFEPAKCSDL >PAN14394 pep chromosome:PHallii_v3.1:2:52030339:52031523:1 gene:PAHAL_2G406300 transcript:PAN14394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPHRRILPRLEAPAPPRQPPALNEDLLEDILLRVASPADLVRATATCRSLRRIITNPSFHRRYRSLYPPLLLGILCDGIQPAAAPHPNAPAARSLANAAGFSFECYLPGDRWQRCDVRDGRLLLERLLVDDDSVFFPELAVCDPVYRRFRLLPPIPEELIAYAQVQDNKTRFFEAFLVPSGQEGTSFKVIGRTHCNDELVVFIFSSDSNLWSLGTSTSWADLGLSAAPPNFVLGWPQYEHGCFYWKVNWRNKLLKLDMDRMEFSTVDLPPGNNGREVVIVEPGEGRLGMFSLTRDGTDVHYFTSMKSKGEEAKEWLVENTIPLPCNCNIVGAFEGYIFFLSVETHQGRVEAVCYSLEIKTLKIERVNSMNYLYVHIHAYFGYPPFMSPRKI >PVH64075 pep chromosome:PHallii_v3.1:2:25771780:25772640:1 gene:PAHAL_2G178900 transcript:PVH64075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPGLLINALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPVWEARMRSLERRRQEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTQSGIPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSDAAVKRDAAAQPLANGDPEDGEQGLLTLPAPEEGPPRE >PAN09668 pep chromosome:PHallii_v3.1:2:3041587:3042768:1 gene:PAHAL_2G043100 transcript:PAN09668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTTLAAAATTMVLAATMLLLAGGASAQSPSPSSQCTSVLVSLSPCLNYISGNESAAPASCCTQLGKVVQSDPQCLCVALSADPASLGLSVNRTRALGLPAACNVKTPDVSNCKGAGAGGAAPTTSPAGQGQTPGATGSKTTPATGSVAGAAASPPRGSSGAGLVAAFVAAAVLAAAAA >PVH64758 pep chromosome:PHallii_v3.1:2:48764322:48768411:-1 gene:PAHAL_2G353000 transcript:PVH64758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCPRLRALFRRRCTMAMARSASAMPPAVKAIDPAMIVSHKFPEVTFGYDERDVALYSLGVGACSADAEDEKELQLVYQRNGQSSIKVLPTFVSSFIFKSGNGLRLDVPGLNYDPKFLLHGQHYIEIYGPIPSRASVANKIKIAGLHDRGMAAVLELEILTCLEVSDDVLCMNRSTIYLRGAGGFSNSSRPFSYATYAANEVSNVTFPDSTPSAVYEDCTQNFQALLCSLSGYFDPLHSDPTFAHAAGFSHPILPGLCTLGFAVRAVLRSFCSMEPAAVERISCRFLHQV >PVH65034 pep chromosome:PHallii_v3.1:2:52104241:52104612:1 gene:PAHAL_2G407400 transcript:PVH65034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYPSTLAILPPPLGIFPEPRSLAIPARLRPRPLFPRARPSLPRRFSRSRTSVAYFARAPVVPPPNRRQGSSAEAPNRSSWIQSPRRRSCHVPAAASPRCVDCRPPPGGFRVISWPGVPARI >PAN14665 pep chromosome:PHallii_v3.1:2:53299289:53301799:1 gene:PAHAL_2G428000 transcript:PAN14665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFVESLPGPKVFKCKHCRVDSASPAAIVSKEFRGRHGRAYLFDSVVNVSFGPNEDRQLMTGMHTVNDIYCSCCQRLLGWRYEKAYNEDQKYKEGKYILEKNMMLKE >PVH63666 pep chromosome:PHallii_v3.1:2:6005033:6005807:1 gene:PAHAL_2G081300 transcript:PVH63666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAGRGRGRRTSWRCGAQGAGRGSRRSSCRRRALPIPSAPARAAAPASVRLPCGAMPRKAVGETMQACVRPLLLGLTTIFGPLARRGGSSPWSLPHRRGPLAGRQPRRTPSRWRYRRGLAAAAAARRVQHRRSVAMVLRRATSRRIL >PVH63724 pep chromosome:PHallii_v3.1:2:6914599:6920937:1 gene:PAHAL_2G092500 transcript:PVH63724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQENYCARNDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDADTYNSLIHAHARAGQWRWAINIMEDMQRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLITHNIVLSAFKNGAQYLKAIAYFEIMKSSNVAPDTFTWNIVIHCLVKVGQYGEAIELFNPMREKRTICPPDVVTYTSIMYSYSVCGQAENCKAVFDMMVAEGVRPNIVSYNALLGAYASHGMHKEALETFKLLKQNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNEMRKNACKPNKVSYNALIDAYGSTGMLKEAISLLQEMERDGIQLDVVSISTLLTACGRCRQLTKIDTILAAAKSRGIELNTVAYNSGIGSYLSLGDYEKALELYALMRTRNVKPDAVTYNVLISGSCKLGRYAESLKFFEDMMDLKIHLTKEVYSSVICSYVKQGKLSEAESTFSSMKESGCFPDVLTYTAMIKAYSDDGSWRRAWDLFKEMESNDVQPDAIVCSSLMEALNNGSQTERVLQLMKFMKQKQIPLNQKAYFEIIASCSMLREWKTASEIIEQLDSSLSSISVGTLNHLLNFLGKCGKTESMMKLFYKMVTSCSTVGLSTYTVLLRNLLVVGKWRKYIEVLQWMEDAGVRPTLYMYQSVLPYIWRDNSMDYVTLMQEKINALREKVT >PAN14444 pep chromosome:PHallii_v3.1:2:52271132:52272023:-1 gene:PAHAL_2G410300 transcript:PAN14444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKEKDEGDGTTRERTILWDEDQTKFILGWFIDYIKEQHAGFKIKKQHHFKCSEALNRQFNMGVTATQVERHFRHYKKNWKFIKTALSKSGNTFDTSRSMVIISDSEKANLQVRARRLLSKPIKFFNEMQELFLNSSADGSLAMDANTCMNETQDDEDNDYDDDVCNNLSSYAPPEDNLGDDSDTLPSPISGQPSIASQVAEQSSSSSGVKRLRSEGKAPKRDVRPKSRMSRVGDAITTALVELQNEIRKPPPPPPSMRNSDDIL >PVH65058 pep chromosome:PHallii_v3.1:2:52474058:52479502:-1 gene:PAHAL_2G414000 transcript:PVH65058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRTHSHPARRRSGLGTQLCAAVAALLLLLSLAVLHSRLSSSSSSSIFPTSRSHSTAVDSNSSAALLADEVVDEDVAAVLDPLLTVTTTTTATDGGGGAVANPDDDRIDELDVLDEDAAGADAADDATASAAAATSLVWDHIAGAARLPFRLPAAGESLPAGQPRLDSPRRIAAAAFGSDDELVDLELRVEISSIAGIEDALLLKPASAKGAETRLRAGWARWLEGKADYLRRDRMLRSNLESLNPRNHPLLQDPDSPGLTSLTRGDRMVQRLLLAELDKPASKNSERRRLQSYENEQGMGATVKVKQQKGRRWGYFPGIDPHLGFSEFMERFFELGKCSMKVFMVWNSPQWAYGVRHQRGLESLLKQHPDACVVMLSETLELESFREFVKEGYKVAVAVPNLDKLLESTPTHEFASVWYEWRQTKYYPLHYSELIRLAALYKYGGIYLDSDIIVLKPLTLLRNTIGATNPVPGSSGYSGAVLAFEKQSPLLEECLKEFYSTYDDTILQWNGAELMTRVISNLSSKADGNMWLLNTKLEPSATFYPISSTDIMSRSSLKFQLRSSLWFRTHTQKKSFNRYSEWPWYIAQFLRKHCGGKTTSSIVHIYSFHQGLCWSYKQAQAC >PAN14495 pep chromosome:PHallii_v3.1:2:52474626:52479321:-1 gene:PAHAL_2G414000 transcript:PAN14495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRTHSHPARRRSGLGTQLCAAVAALLLLLSLAVLHSRLSSSSSSSIFPTSRSHSTAVDSNSSAALLADEVVDEDVAAVLDPLLTVTTTTTATDGGGGAVANPDDDRIDELDVLDEDAAGADAADDATASAAAATSLVWDHIAGAARLPFRLPAAGESLPAGQPRLDSPRRIAAAAFGSDDELVDLELRVEISSIAGIEDALLLKPASAKGAETRLRAGWARWLEGKADYLRRDRMLRSNLESLNPRNHPLLQDPDSPGLTSLTRGDRMVQRLLLAELDKPASKNSERRRLQSYENEQGMGATVKVKQQKGRRWGYFPGIDPHLGFSEFMERFFELGKCSMKVFMVWNSPQWAYGVRHQRGLESLLKQHPDACVVMLSETLELESFREFVKEGYKVAVAVPNLDKLLESTPTHEFASVWYEWRQTKYYPLHYSELIRLAALYKYGGIYLDSDIIVLKPLTLLRNTIGATNPVPGSSGYSGAVLAFEKQSPLLEECLKEFYSTYDDTILQWNGAELMTRVISNLSSKADGNMWLLNTKLEPSATFYPISSTDIMRYFSEPDNMVEKAHHDAVFSRIVNDSTTFHFWNGITSTLVPESNSLVERILNRYCLHCLDVL >PAN09490 pep chromosome:PHallii_v3.1:2:1966587:1968897:-1 gene:PAHAL_2G027400 transcript:PAN09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVRVSSHQAPVHKLGDAQMALTPKFRLATTAPAHPEAPQQPAWETPLIPGLPDDAALGCLLRLPVEAHAACRLVCRRWRHLLADKARFFAQRRALGLRTPWLFTLAFHRCTGKIQWKVLDLGHLTWHAIPAMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDIYKNRWTVMTRMIAARSFFAGGVIDGRVYVAGGYSTNQFELNSAEVLDPDKGVWQPIASMGMNMASSDSAVISGRLYVTEGCAWPFFSSPRGQVYDPKIDRWEAMPVGMREGWTGQSVVIDGHLFVISEYERMKVKIYDPQTDSWDSVSGPPMPERIMKPFSVSCLDSKIVVVGRGLHVAIGHVEKQPAGGGNSDSRSSNYSICWQDVDVPKEFSDLTPSSSQILHA >PAN10911 pep chromosome:PHallii_v3.1:2:10637807:10639373:1 gene:PAHAL_2G123400 transcript:PAN10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNKCTLVALLVAFAVVAPMLPPSAAARDGGAAKAAPAPAPPASGDVRLHPMGLIDDIIGFHIPDLPLPPILPCPPAFPIKIPFIPCRNVTPSPPPVTECRPGLAKYMPPCAGFLTSNDSSVSSPPSRCCDVIGPLFQDKSTSPLCLCHVVNGDAGKLLAAPVNHMRATSLLQQCGSEFTADNVTDICANRDNVFIIPPMDADPSPPQRRH >PAN13417 pep chromosome:PHallii_v3.1:2:47669059:47670041:-1 gene:PAHAL_2G334900 transcript:PAN13417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLLSPCSSLSPTSSPSFFSHAGHYPIIEFTSCEVPEQWLLGDVVMAKNEAGYDDGDDLWPVGSSLSPDSELSVQPAPLPQPAPPPQQEQEPSACTVPAAQRPGKRRGRKPGPRPEGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLEAESRRAAAARWEPVVAASCVPPGAPGHGDEAVEVRMLGPDAASVRATSAAPHAAARLMGALRSLELHVQHACVTRVHGLTVQDVVVDVPAQLQDDDGLRAALVQVLQEQDSG >PVH63318 pep chromosome:PHallii_v3.1:2:279814:281575:-1 gene:PAHAL_2G003500 transcript:PVH63318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADHLYLVPVASKHHMAMVERASSHRSIWSVHLRHRTASCSRTIPRKHRCPSTPPLSLPLTSSGAVTGTRRDSRERRPCRAATWARPPRSSSLSSPSSPSSASSSPSAPSSTAPPSPAPAPPPGRRAKPPTGASPFCPGPPSRSPPPPLARRPRPRRRKIPPFPPRTPPGSRHRLCPSPLCSRRRRRRCWCPLHSCRRRQQSCHPRWHFRRRRFRRQRSRRPQRHQRHRRLPPTCCRNHRPCRRLRRRLPQRRRARWRLDALMPLILDWQSRLELHGFVRGGVTLMYCRFNLSQNSVSICLAGLNFHHLHQDLAESFCIFLVQFLLLFTAYIVKTQVC >PAN13151 pep chromosome:PHallii_v3.1:2:46547716:46550138:-1 gene:PAHAL_2G317400 transcript:PAN13151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAVLKRKGAEAPEPWVPVPATKIRRLDVEVPPVESGVGAPLAPPPQQAFGVEAAPAVDSTLPLLKRKGAEGPQPWLDVDGVPAPATKIRRLDAEVPPVGPAVGAPLVETGASVPFVVEDVRVSGDVAPTPAAAVGLAAPAANDERAIVVYQPAEAARSLLQGPLRPGPSLRVSPNWIHGLRSTMLHEASKHRALFEELAARDENLNLAVVPWAPAQDHAHAASATGAEMMDADQDGDGASMEVEHDVEGRPTPQAGGAVQGEAFYHQQHCVAPQQVQLPVASYQPSPVTWSW >PAN10789 pep chromosome:PHallii_v3.1:2:9646566:9649681:-1 gene:PAHAL_2G116200 transcript:PAN10789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGEPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGMYSRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMFFLNTVAVFVLVVAKLPNMHKVRIFGINADI >PAN10903 pep chromosome:PHallii_v3.1:2:10588203:10589688:-1 gene:PAHAL_2G123200 transcript:PAN10903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSKCKLRIFLLIGFAVVLQPSSAIRAEGAPAADPRAAPPSGIPPLPPQPRECRPWLMRMMPCAGFITNSSVYAPEPSCCGGFNSMFAYGTVTCLCHVVNGDVGRLLPAPMIHARMVELFSVCGHDVRVEMLAAACNLTDDVPPIDLPSPPPSTPLPPPALSSSVMMAMTN >PAN14356 pep chromosome:PHallii_v3.1:2:51848909:51849395:1 gene:PAHAL_2G403000 transcript:PAN14356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNKALVCFWLAMLLALSSEDVGVSGASCIPAVSLGCLKDSGCRKTCLRDDEANTSWKCRDFFCICCK >PVH65071 pep chromosome:PHallii_v3.1:2:52587546:52588553:1 gene:PAHAL_2G416700 transcript:PVH65071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCCVSCEVLYIILFLFADDKSTSLLSVCRGILNQSSLIVLVFVSTLIGWAVKQVTNIIQMKTATDACVVYDLKRSK >PAN13448 pep chromosome:PHallii_v3.1:2:47813865:47814533:1 gene:PAHAL_2G337500 transcript:PAN13448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEGVSTAAAATPAAACRGRRFVGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEEAARAYDEAARALRGEGTRTNFADRARRCGGAARARLSRNLQHVMARGAAAGRATACARGVGEQFALAAVFRDWQQPAAPQQAEAAGAAKHAVQPSFVVPRRTEAPLSPALGAGDPWGDAAELMCAEERSFKVSSSVIVPPSFSASTSSESESESFGLEDFLATS >PVH65237 pep chromosome:PHallii_v3.1:2:54231287:54236801:1 gene:PAHAL_2G443100 transcript:PVH65237 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MRPDPNPSPGPRPRSKADAPPSAAPSRSPVTTTRGGGGRRLVPHAASGGGGGRGGGEMSGWLKALAYGAGGVAVAGLAALVALQERLVYVPVLPGLARAYPITPARLRLSYEDVWLRAADGVRLHSWFIRHSPSCRGPTILFFQENAGNIAHRLEFVRLMMQRLQCNVFMLSYRGYGESGGYPSQKGITYDAQAALDHLAQRKDIDTSRIVIFGRSLGGAVGAVLAKNNPDKVAALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIVGEVEQPILFLSGLQDELVPPSHMKMLYDKAVEHNTNCRFVDFPSGMHMDTWMSGGDRYWRAIQLFLDQYAPEVQSRDASFKSEIAEDDEAAD >PAN09690 pep chromosome:PHallii_v3.1:2:3130519:3131589:-1 gene:PAHAL_2G044500 transcript:PAN09690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSKTMSTWTSGREQGTNVFHICGYSQHRSTAAGEKKKTILSSTFSVGGHNWAVLFHPDGWSSGDEIVAGLVLTTRRVKVRASYELQLVDRSTGLSVSVHKETPRCFHFDAKDHRSFISFFMKKRSLFESPAYLRDDCLTMECTVTVIKEPRRTETKSLTKIQVPRSDLSGHYAKLWEDKVGVDVTLSAGGEEFAAHKVVLAARSPVFRAQLYGPMRETGTEPISIEDVQPAVFRELLHFIYTDSLPPLDHLKADDRTDLIRHLLVAADRYGMERLSLMCQSILCENLCAETVATTFALADQHHCDVLKDACLEFITCSTAMDAVKNTQGYKNLKRTCPPDVVEAFEKTSRFRKA >PAN13165 pep chromosome:PHallii_v3.1:2:46595621:46598933:1 gene:PAHAL_2G318000 transcript:PAN13165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSPAASPSPDPKLVREGQVVIRARNLRTNSQFIPAKAFKARKVYYTNEENGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGFGFNPTMSLGAEKDSLDIIQHDWALPKMERHAEDVLKKLVKK >PAN14443 pep chromosome:PHallii_v3.1:2:52259312:52269600:-1 gene:PAHAL_2G410200 transcript:PAN14443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAATVMAPAAATQKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPTTRRPSLPAGFKLENGMTTVAAVETAPVDKKPDVEKEVSGLETKEDKPVKGRIFGTKRKFHNSEVLEESPYVEKFHEERKGMTVCKDPPSVSLATAKMNGKPACTDVMDYVRQKKLRVWCSSPNAKWELGQIKSISGDDAEILLADGKVLTISPERLLPANPDVLDGVDDLIQMSYLNEPSVLYNLQLRYSRDLIYTKAGPVLIAVNPLKEVPLYGKASIMQYKQKTKDDPHVYAVADLAFNEMQRDGINQSIIISGESGAGKTETAKIAMQYLAALGGASGMESEVLQTNVILEALGNAKTSRNHNSSRFGKLIEIHFSETGKMCGAKIQTFLLEKSRVVQRAQGERSYHIFYQLCSGAPPLLKKKLFLKSANDYNYLKQSNCLRIDGVDDSKKFTALVDALDTIQISKEDQMKLFSMLAAVLWMGNISFSVVDTENHVEVVSNEGLATAAKLLDCTSNQLVTAMSTRKIRAGNDSIVKKLTLTQAIDARDALAKSIYAHLFDWIVDQINHSLGTGRQCTWRSISILDIYGFECFNKNGFEQFCINYANERLQQHFNRHLFKLQQEEYLEDGIDWAPVEFVDNTDCLSLFEKKPLGLLSLLDEESTFPKATDLSFANKLKQQLSGNSCFKGEQEGAFKICHYAGEVTYDTSGFLEKNRDPLHSESIQLLSSCKCELPKHFASVMVADSQNKSSQSWHSVVDTQKQSVVTKFKVQLFKLMQQLESTTPHFIRCIQPNGKQRPRLFEHDLVLHQLKCCGVLEVVRISRTGYPTRMTHQQFAERYGFLLLRSIASQDPLSVSIAVLQQLNIPPEMYQVGYTKLFFRTGQVAALENAKRKMLHGTLCIQKHFRGLHFRQGYQGLKKGAMTLQSFIRGERARIHFDNAVKRWRAAVLIQKYTRRRIAATMFNDQLKHIILLQSVMRGCLARMKYKCLQNEEESKVSHNKVQGDMRKNISESRVCHEMNGCYPHQPVIAELEGRISKAEAALRDKEEENVMLKQQLEQYERKWSEYEAKMKSMEEAWKRQLSSLQLSLVAAKKSLASDDVATRAARTDFTPAHAQYDSEDTLSTGTHTPEVIESRHHNHHPEAKVSAGNSDRRVNAVNHLAKEFEDRRQVFEDDAGFLVTVKSGQVGSNMNPDEELRKLKDRFATWKKDYKSRLKETKVNLQKVGTHDEKSRKRWWGKKSSK >PVH63619 pep chromosome:PHallii_v3.1:2:5263548:5264132:1 gene:PAHAL_2G071000 transcript:PVH63619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSMASSLFTHHNSIIPPLIGLLSVLFAAVDVAGEPPSVVPSACKRAYAAGGGAFTEDFCLSALTGHSPGAADNGDLALVAVDLATANATATEATIDAQLNGGGGGALAADGLRVCLSLYGYVVHVYQPDCHAAVKDRMFRDGKLCLGRTARAPVACEREFEQRNVLSPVAAEDDALAKLAKLAIALSSIA >PVH63711 pep chromosome:PHallii_v3.1:2:6771825:6775457:-1 gene:PAHAL_2G091000 transcript:PVH63711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >PVH63500 pep chromosome:PHallii_v3.1:2:3009260:3009580:-1 gene:PAHAL_2G042800 transcript:PVH63500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSRATDEELAIDVNTDKSASFPFLQNPEAMAVAVLTFSSRPPPLRLVVERPCAAPRTRLASRRDPRPRWSGAARARGMRRSAASSSTAFPWPRLLRRRGQGVLH >PVH65379 pep chromosome:PHallii_v3.1:2:56312352:56313593:-1 gene:PAHAL_2G478400 transcript:PVH65379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGQIAAYLSSRGRRTAAGSGYGRSRRGVPPGAPHLVAAGATASSGGRPTRCSVARLGRRRGNSWNWRRTKLDVARAAADGVVGVRGEDAAGDERRPEHRPGIQDRERAQGRCQPHRSSELCVASSGPGNRSRGRFRTN >PVH64653 pep chromosome:PHallii_v3.1:2:47012924:47014118:1 gene:PAHAL_2G324700 transcript:PVH64653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRLAIDCTATKPTEKRRKGHARKRIQAPLAPPPGARGPHPLTGHPPWAPGGWPGPALPSVAHSSSSGYTGKEQAASRTQPRATAGLLPRRRGRTPRPAARHPIRRSTRGADGEQRGTARHRRAHPQPPPPAIARVTSLATTGRRPSQPNIPRTAGRGPASSAGGTARPVGPSPSGRGALPRTVGFRRRARCLCLCRLQWFSSRRYGLIMSLVRGGAGGLPVSPSGYAGIAHT >PVH64962 pep chromosome:PHallii_v3.1:2:51352475:51353047:1 gene:PAHAL_2G394500 transcript:PVH64962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAAALASPPAHDPVDVHQVSHVPQDHAHPDDFRDLNPTPVARDKAIALSVLLPDLRVHDSMCDAIASSTPRKPFSETSDRTPDAHFFLHPSSLPCPPPSPAPSLSTRAVGPCGPSPARVWQAPGV >PAN10681 pep chromosome:PHallii_v3.1:2:8824190:8831230:-1 gene:PAHAL_2G110100 transcript:PAN10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAVVGAGLSGLAAAHELARSGGGARVTLYEKEGHLGGRGNKAVDVDDGDGGRVLVDLGCMAFNTMTCPNLMKWFEGLGVELEPSDMSFSASMRLGKGVGFEWGSRNGVSGVLAQKSNLLSPRFWLAVREIFKFKNHALRYLEDHGRNSDRNETLGQFIQSHRYSQLFQDAYLIPMCACIWSCPPDGVLGFPALPVLSFFRDNHLLELFGRPQWLTVKGGSGSYVSKVREELESMGCHVKTGCEVKSVSRFNEGYQILDVDGSEEMYDNIIFCLHAPDALKVLGAEATLDELRILGAFKYINSDVYFHCDESLMPHNSYAWSSRNFLGTTSSDVCVTYWLNILQNIESSRPFLVTFNPPHVPNHVLLKWQTSHPIPSMAAAKATLELNNIQGKRGIWFCGPYQGYRFHEDSVKAGKVAASELLQKKCDLLVNPKPMVPSWTEAGARFLVARNFERYMTIGNVSMLEQGGTTFSFGRACERCPVKSVILVHDPQFYWKVATEADLGLAYSYINGYISFADKREGLVNLIVISFANRGEYKRLRSSASKSRKGWWAPFLGITGVAFAKYILRHASRKNSVSKAAKNISKHYDLSNDFFALYLDPSMTYSSAIFKAEDESLEAAQLRKLDSLINKAKVEPGHHVLDIGSGWGTLAIRLVKKTGCKYTGITLSEEQLKYSKRKVKEAGLEDRITFLLCDYRRIPTCHKFDRIISCEMIEHVGHEYMDDFFGCCEYHLADHGLFVLQFIAIPEELYDRMRRWPEFLKEYIFPGGCLPSLARVVSAMTNASRLCVQHLENIGDHYYPTLMHWRDNFVANRKKVSALGFDEKFIRTWEYYLTYCAALFKSRTIMDYQMVFSRPGDAKLPSYLTIE >PVH65142 pep chromosome:PHallii_v3.1:2:53661881:53662228:1 gene:PAHAL_2G433200 transcript:PVH65142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLPMATPGNSSLQSPSPNRRSPVWKYYEPELINEDGVLKAVCKYCGSKMTTRRKTGTTSLRNHISEYCSKIPSEVRNKFIATMKKPVESPFLFNSPKKPRVNDYLVHQSGGFF >PAN10967 pep chromosome:PHallii_v3.1:2:11460017:11469449:-1 gene:PAHAL_2G129500 transcript:PAN10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPGAARRTTYSLLSQFPDDAAAGGPGPAPANVLQRQSSGSSYGAGSSVSASSDYPFHLPPPASAAAGPGSAAAAPGGSSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDPGSGGRGSGNGRAFPLAQPAPSAESLSHRFWVNGSLSYNSTIPDGFYVIHGLDPFVWSLCTDVHEENRIPTMESLKSVRPDDSSIQAILIDRRTDFDLGMLESYASSLLSSCTDAKDVVIQLAKVVSSRMGGTASNEENLFPRWKECNEAIKSSTGSVALHLGKLPVGLCKHRSLLFKMLADKVSIPCRVVKGCKYCKSDDASSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVSSPLHPPKFRSLEITSNFSLVAKQYFADCHSLNLLFSDSSTGATSAVTTLDQPYSKKHVAGDETMNNWMPGKGQAAMKPDIIVPEAPREVLPLISSNMKLDKKKELKLIEETQQLRHTVSDLSLAADDLIIPWNELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDFHPERFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLEIPKDLNPLVAALIESCWANEPWRRPSFTNIMEALRPLIKVPVPQLSRSDS >PAN12164 pep chromosome:PHallii_v3.1:2:41325162:41328740:-1 gene:PAHAL_2G247500 transcript:PAN12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNTIYGAATGGGDVWINERRFRVIRQIGEGGLAFVYLVKEQQPASDAAPAKRHDAHVSEDGTYAMKKVLIQSKEQLDLVKEEIRVSSFFNHPNLFPLLDHAIITVKSPQGDLSHEAYLLFPVHLDGTLLDNANIMLSRKEFYSTADVLQIFRQLCEGLKHMHSFDPPYAHNDVKPGNVLIARRKGQAPVATLMDFGSARPARKQIRSHSEALQLQEWAAEHCSAAYRAPELWDCPSHADIDERTDIWSLGCTLYAIMFNVSPFEDALGESEGSLQLAIVNGQLKWPAGPNPPYPDELRQFVIWMLQPQPAMRPHIGDVVLHVDKLITKYLS >PVH65459 pep chromosome:PHallii_v3.1:2:57577441:57579411:-1 gene:PAHAL_2G497900 transcript:PVH65459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLAAHPFSVVFLLLLVVHAPAQPQPDDAGCNGILLTYTLQGRDKIRPFVPAPDSQPYAFRANATVRNSGTRPLRSWAMLLTFVHGEILVSVDGAVLTSGGDMPYNTTAAGAATSFTGYPQADLLTPIDTAGDLAKIQATVNLVGTLFAGPEPFQPLPSALSLADPAYACPPATNVSSSLSTCCVLTPAAAAGNTTSASETRRRTGDLVITYDVLQAHETTYLALVTLDNNAPLGRLDGWQLSWAWQRGEFISSMRGAYPREVGAGACLYGPQGQYYEGIDFSQVLNCDRRPVVVDLPPSRADDADIGRIDHCCRNGTILPKSMDVAHSKSAFQMEVYKMPPDLNRTKIHPPASFEVHGASPLNPEYACGQPIPVSPSEFPDPSGLASTTVALASWQAVCNITTSTSTSTTAGSASSKPPRCCVSFSASYNESVIPCRTCACGCPASARSTCSTMTPSMLLPPYALLMPFERRAKEALSWAEEKRLSVPNPMPCGDSCGVSINWHVATDFDGGWSARLTLFNWGDEDMQEWFTAIVMDKAYDGFEQAYSFNATAVGNSTIFIKAREGFNFLLRETNMSGVDYPVPGKLQSVLSFTKKTTPGIDVVAGDGFPSKVFFNGDECAMPLRIPSQSAANRGGIITMLLGLAASALLLLL >PAN11539 pep chromosome:PHallii_v3.1:2:14813562:14814006:-1 gene:PAHAL_2G148200 transcript:PAN11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSGTPNDNARDATVTDDEHRVDPEVRRKDASTGDSAAPAAAPPSDLLPLSVPPSQSQVAIILGPSDRKHLICAGSKSKPIKAAPAASGKNRRPPVSPTAPAANRGSARSPFR >PVH64481 pep chromosome:PHallii_v3.1:2:44192881:44193634:1 gene:PAHAL_2G281200 transcript:PVH64481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNRQSSTEVSQVSAGWGHDGHCTTVSSYTDFVLETCRTGRWLHLYQPYPPLLNSKLYSSGPKERKGCAGSSNHVDWASGYSVLPAIWDIYLGSKAQFVRKLLG >PAN12469 pep chromosome:PHallii_v3.1:2:43152339:43154776:1 gene:PAHAL_2G267600 transcript:PAN12469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAASVKTEASASAEPPATTAPAPETKPKKKICCACPDTKKLRDDCIVQNGEDACGKFIEAHLQCLRAEGFNV >PAN09389 pep chromosome:PHallii_v3.1:2:1335607:1337960:-1 gene:PAHAL_2G019300 transcript:PAN09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPMSFLAAFFLLCLSLNLRGFCVAGGNESFVFSGFGGAKNLILDGTAAVTSEGILELTNNEPGVKGHAFYRTPLQFKDSPNGTAQSFSLAFVVAILSATSYMSTDGMAFVIAPGKNFSNASPAQYLGLLDSHSTGPANASRNHFLAVELDTIKNDEFQDMDDNHVGVDVNALSSVESSSAAFYDDKDGTLKNLSLISTDGKLMQVWVDYDGEAKQINVTLAPMGVAKPSKPLLSNTTDLSAVITEHAYAGFSAATGPIKSRHCVLAWSFAMNGPAPAIDFKKMPRLPNPGPRTLMKVLKIALPAAALVIMAACIAVFVIVQRKLAYAELREDWEVEFGPHRFSYKELFKATEGFKSKHLLGAGGFGKVYKGVLPKSKSEVAVKRVSHDSSQGIKEFVSEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGEENKPLLEWSQRFQIIKDVASGLFYLHEKWEQVVIHRDIKASNVLLDGGMIAHLGDFGLARLYDHGTDLQTTHVVGTMGYIAPELSRTGKASPLTDVFAFGTFLLEVTCGRRPVSNSVQHGRAMLVDRVLEYWHRGALEETVDSRLQGNYNVDEAGMVLTLGLMCSHPFPSERPTMRQVMQYLDGDAPLPELTPANMSLLSLMQNQVSFDQSILQYPWSATSMGTMTPGISVGR >PVH65261 pep chromosome:PHallii_v3.1:2:54553982:54554983:1 gene:PAHAL_2G449000 transcript:PVH65261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINMIARPMAMMREGPPDPSMTVEGFKEWLLKKFDANHDGRISKHELREALRRHGGGWWLAAWRCGRAVHQADTNRNGFVDDAEIENLVAFARKEMGLRISTW >PVH63881 pep chromosome:PHallii_v3.1:2:11526775:11529962:1 gene:PAHAL_2G129700 transcript:PVH63881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERANLVFHNKEIDGTAMKRLISRLIDHFGMGYTSHILDQIKTLGFHQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHAVEKLRQSVEIWYATSEYLKQEMNSNFRITDPSNPVYLMSFSGARGNASQVHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYRISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIRGISVSPQNGMTEKLFVQTLIGRVLADDIYIGSRCIAARNQDIGIGLVNRFITAFRAQPLEWLIISCMKFLRPH >PAN14330 pep chromosome:PHallii_v3.1:2:51737699:51747610:-1 gene:PAHAL_2G401200 transcript:PAN14330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRSRLLIAIQIRGLFIKLEGKLQIFRSRLLIAIQGAISNIRIAVGICNHQELFCKTLGARADGIRQRCAATSRPPGTSPPPLALPACVPRFPPSCSRSQLLPPVRRALPSRGLVSRGRGEAPAAAPAVARADDLVSKAHQSFDTVRVGSMKPIARRALSSRGHVSRGCGKAPAAAPAVACAADLASTAHQRFETIRVGSMEPIACRGPDVTPVRGRRWRWLATSVLLRRSVPLSEVPIFLRPLHDANGIQFSCKSLNFNCD >PAN11207 pep chromosome:PHallii_v3.1:2:33133877:33136604:1 gene:PAHAL_2G201700 transcript:PAN11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAPLCAFFFLLLSTSAAAIRPARSAAAAARRAALENGLGRTPQMGWNSWNHFHCKINEEIIREIADAMADNGLAKLGYEYINIDDCWAAYDRDSEGNLAANASTFPSGIPALADYVHGKGLKLGIYGDAGSRTCSKLMPGSLGYEDKDAKTFASWGVDYLKYDNCNNQGMSPQPRYNAMSKALLNSGRNIFFSLCEWGVDDPGTWAGVGNSWRTTGDIKDTWASMTAIADKNNKWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALVKAPLLIGCDIRSMSKETKEILSNQNVIAVNQDELGVQGHKVQQDGDQEVWAGPLSGGRIAMVLWNRGSTEASITASWSSIALNASTVADAHDLWTDEVISSVQGELKETVDSHACKMYVVTPK >PAN12501 pep chromosome:PHallii_v3.1:2:43276518:43280671:1 gene:PAHAL_2G269000 transcript:PAN12501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRMAANRGAIFLLLLLQLVVLSLLASTSSMADGQQAAAVRRRRRQVLLREKATLLALKRALTLPPAALPDWNESNGHVCGFTGVTCDWRREHVVGLALPNMRISGAIPPVVGALSRLRSLDLSNNSISGAIPPALGGLSRLRNLDLSNNSISGAVPPSITNLTRLENLFMYKNVLSGDIPPSIGNLTRLYELDMSSNNLTGRIPAELSNLRGLGVLQLDNNQLRGAIPPSLAMLAGMFYLSLEHNDLSGSIPAAIFLNCTILGVIDLGNNNLSGEIPGAASGTLADWFAALNLYSNRLTGKLPRWLANCTRLMELDVENNRLDDELPTSIISGKKYLTYLHLSNNNFRSHDDNSNLEPFFAALSNCSSLQEVEAGAVGMGGQLPGRLGSMLPRNMWHLNLELNAIRGPIPASIGDIINMTLMNLSSNQLNGTIPTSLCRLPSLEQLVLSSNFLTGEIPACIGNATHLGELDLSGNALSGTIPGSIGSLAELNYLSLQRNRLSGAIPPGLISRYANLLLLDLSSNRLTGGIPEEVAGTAKMTLNLSRNQLGGELPRGLSNMLVQTIDLSWNNFTGEIFAGLGDCVELAVLDLSHNSLAGSLPASLGRLQNLESLDVSNNSLTGDIPLSLTKCNMLEHLNLSYNDLSGVVPATGPFVNFSFLSYLGNRRLCGPVVRRGCGTHHKRGWYQSRKFLVVLCVCSAALAFALTILCAVSVRKIRERLAAVREDMFRGRRSGGGSSPVMKYKYPRITYRELVEATEEFSADRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLHAGPPAELSLVQRVNICSDVAEGMAYLHHHSPVKVIHCDLKPSNILINDDMTALVSDFGISRLVMSVGGVANATDVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRRRPTDDMFEAGLSLHKWVKIHHHGRADAVVDPALVRMVRDQTPEVRRMSDVAISELLELGILCTQEQASARPTMMDAADDLDRLKRYLGGDTTATFASSLGFSSTTFEDIG >PVH63950 pep chromosome:PHallii_v3.1:2:13824412:13825712:-1 gene:PAHAL_2G143300 transcript:PVH63950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEVDVVGYRLVDTIKTAALEAIHIFCNQHPMEVAGYPVGLFPAIDSSDPEWNFRIAHYGHMLGDSAEETLRGTIRFMNVQHHYQILLRRGMGQLIGIAQGHYRNVDRQVAQIVELQALVTEKEKIIAARDETVLHREHQINESDAIITQRNTIIEFL >PVH64465 pep chromosome:PHallii_v3.1:2:43843873:43844361:1 gene:PAHAL_2G276300 transcript:PVH64465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDFFILLSNSYFLYILYFLLAKLILGNIYHNASFHERLLMATCHHNSFFYPLFFRLWMCQGCSKGVTPKFSLATLVPGTFCLTMFKLMLIHHFLYGYNMSTCTSRCKRQMMLLDQKPRNWCMHYQMVVFCTLKMLDSTRRTRRTTRTLQISLPPTPILLN >PAN13880 pep chromosome:PHallii_v3.1:2:49758149:49759702:-1 gene:PAHAL_2G370300 transcript:PAN13880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRWPPPPLLLLFLFAAAAAATATTEDASGPPPSPTPWPERFHAVMFTNLTNYSVASTGPPLRITDLYYDWPRRRNLNLVRHQLSADPLYDVEWNNGTSFYFNSSTCRVERFPVGVLPPWWLSGGGAEYMGRGVTGGIECHVWGKAGFIVYYEEASTGRPVRWNFIDVTGIEQFVMSFEPGVVLEDAQWQAPAHCFPDDSEEQGKGNGHVASSSDEVWDGLAVASRLLRKLAGTAATS >PVH64961 pep chromosome:PHallii_v3.1:2:51314864:51316107:1 gene:PAHAL_2G393800 transcript:PVH64961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPRRSDDGGQCRRRRRRLHILTNRNGDQGMSIYKLNEDGFDSDDAYCEDSRSISSEDSSDSGSISSEDSEDSGSISSEDSCSSSSEDGMDCFEAQDRFDSEYDVDSRARRLRHGRLVARLGRQAGCPDFVAAVTKIVGLNRSSFHFTTVNFIFDAATRMVSATPPFRSPKKSATFWAVGGTARPRAAVCHYGTWQWEALLPPPFNKPRTGGHVTARAVHPDGATVFLSFYETGTFSFNGERLEWARHGGWDLPFDGEEFYVRDLGAWVGLCSRHRGRLAACRVVGGRRGGAEPDGKCGRDLLFRQKWRRHIEANLVYMGDTKFCLLETLTTEEKDRSDIYGLIVPMLLRVVTFRVQYSWDGELCVVDRRSRVYNLPWYSSGHKPQAFWI >PAN10552 pep chromosome:PHallii_v3.1:2:7960420:7961242:1 gene:PAHAL_2G100900 transcript:PAN10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQTMGALLTILVVLATSAEMAHGICNLSSNGIRACQPAAAIRNPTEQPSAACCAALAGADLPCLCRYKNAAGVWVRFYRIDINRAMGLPGKCGLAMPANC >PAN12275 pep chromosome:PHallii_v3.1:2:41994688:41998811:-1 gene:PAHAL_2G254800 transcript:PAN12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDTPGPPQRAATLSDDDRLLVAHCAELSFPPSSPAPPAASARSFQVHHASHPYPCAAFAFAPSWSAADWAAPSSAEGARQPFGDAEVDPALFPSLRAVGSGVPARANAAFLAAFRGLLDGSPLQSEVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLEKCTRQGSVNQAHPFCVAFGAPLIGDNIFNHAVRREGWSQCILHFLLPLDIVPRIPLTPLASFREEIQAVLDWLSPQTPNNSPAGRSLVIPEYYENLLRSTLSIASYEACSFMGCTSSILGTLTSFIDLSPYRPCGTYHFFTSNEQLIVLTNSDAVLQLLFYCLQLDTQQQLLDAAARSLSAHWQYEPIKQCMPDIVCVDYLGAISSTAPGRQTDRAAIGNIELSKEALLRLSAAAQWEKQRQRNQTKIDESCQKIQEALRSLNDYKRTCELRGVSYYDSFKLQREVHDFNANVRRLELAGLWDEIIEMLRRRELPDGFEAREEWVRLGTLFRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQLQRAPAGSSLESCFWAVVEELQAEMVDGRAFEDLRDRVVKLESDAHGWHSSGSLGMDVFLGSSSFVAWWRTLPEQHRSASCIAKLVSL >PAN13952 pep chromosome:PHallii_v3.1:2:50057750:50059946:-1 gene:PAHAL_2G374600 transcript:PAN13952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVCFYNPNELSFRVPFFPNSGPVCSLPPPLRQSRLCDSTFSRVLLPCDISCSVRQWTVTIASPLAPSAPQNSKLFQSPRDVRSGHTTARARHRKSYGRPAACMAGAPATADAVAVPLLLPLDDAAGGGRGCWEDGAISLGLVGVQLAGAAYMVVVTPVLALGLDPLFLVAFGSLCTAVLTIPFAVKLERKKWPSELTSRLLFQFVLLALGGVTGFQALLLQGMKMTSPAIASAMPNLAPGFIFVISGCLGFERVDLKCRYTRAKILGTVVCLGGAVAMSVLQSPDAPPGHLLPRSVARAAAAKWVAGCLFLLGAVLVLSGTIVMQAATMLHFPAPFTLCSVTSLIGAAMTAAFQVATAGRFSPGTPQISLQIVLSLVFVGGVVSSACIMFQTWALEKKGPVMVSMFSPTQTVGTAIFSVLFLGRVMQPGSILGMVFLFSGLYVVLWAKKKEGQVLAAERKEMDGTANNGMHKPLLF >PAN09416 pep chromosome:PHallii_v3.1:2:1521997:1524952:-1 gene:PAHAL_2G021700 transcript:PAN09416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRAPSPATTSPPPSTSAAPAPMLRFSSPSHAARRLSTHGGSRKSPASGHGAGGLPPQVVAAAVAAAVILAGVLVACCCCCCCCRSRRRRKAAQQQQLPHKGMIFYADTSGFKGNTSGYYAPPGGARPQWQNQVAPAAVTSSTGGLSSPMPPPPLPMPAGLDTAAFSYTELAAATGGFSEANLLGQGGFGYVHRGVLPGGKEVAVKQLKAGSGQGEREFQAEVDTISRVHHRHLVALVGYCMDGAHRLLVYEFVPNQTLEHHLHGKGRPVMEWTMRLRIAVGAAKGLAYLHEECDPRIIHRDIKSANILLDNNFEAMVADFGLAKLSSVNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPGDRSSYGQDGLVDWARQALPRALTDGNYHNLVDPRLDGDFDMTEAARLVACAAASVRHAARRRPKMSQIVMALQGEMPLEELNDGARGHGGTSSSGSGSGSEYGSGSGSYTAQMERIRRAALPSPEYSADYPGSIPEYGHPSPASSASPAERDERRRHGGPRR >PVH64607 pep chromosome:PHallii_v3.1:2:46362312:46363932:-1 gene:PAHAL_2G314200 transcript:PVH64607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASIKFRDDDRPLLRAKVPVGVLGLPFLSGLAAGGDAKDLHFDLSTAFPSGPALRLSYRPNDPLQPFALSVRTGLGPMGSPARAPFALAAEFNLLSSNAPAFSLHFKPRIGDFGLASSVRSPMPPPPPPAAPAQPPLAIKMADLTTNGDGHERDRDAHVNGFTFAGNGFAANVAAAAGRGGGGVGALLSGMQLTTRSVLPLWNKASLRFHWGLRVPPELKAALADDGYGRKASSLAISKMPLLVMNKITIEHTPKTPSQSETDRKRKKDAPAAGEPEEFSLMKRQLEALSTESTMLRRAVEDLHAEVGAGKGDVRRLTAALPPPQQPFVSKPDRHFHGSGKELVDSGPKPASDEASEGLKKALEARRK >PAN13851 pep chromosome:PHallii_v3.1:2:49626934:49629700:-1 gene:PAHAL_2G368200 transcript:PAN13851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEAKNPSGGGGGGGGVDNPQVEAAPSVPAPAEGEAVLVAAQGTGQGPEGEKADQEGEKDDGACRDLVLVEDPEVVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRNTIPNEKYCERHMHRGRKRPVQLVVEDDEPDSASGSKSTPGKATEGAKKADDKSPSNKKLAVAAPAAVQST >PAN09639 pep chromosome:PHallii_v3.1:2:2770386:2772775:-1 gene:PAHAL_2G039200 transcript:PAN09639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGHTHQKNRPSRRATWKRNQTLSLRRYR >PAN12632 pep chromosome:PHallii_v3.1:2:44058907:44061223:-1 gene:PAHAL_2G279700 transcript:PAN12632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTWAAFIVLVTAVFLNVIISRGHRRTYNLPPGPKPWPIIGNLNLLGVLPHRSIHKLSKRYGPLVHLQLGSLPVVIGSSVEMARFFLKTKDATFADRPRFAIAKYGAYDSSDIMWTQYGPYLREVRRICAAELLSAKRLESFEHIRGEEVRGMLRGLREASGHVVRLRGYLQMMTLGVISRMVLGKKYIQEEAAAEGGSPPVLTPAEFREMVDEFFVLHGVFNIGDFIPWLDWLDLQGYVRRMKRMNRKFHRFLDHVLDEHNRRRRLEGEDFVARDLVDVLLQLADDPNLEVQLSRDNVKAIIQDALLGGSDTSAVTIEWAISELIKNPKLLGKATEELDRVVGRERLVTERDLPALPYIEAILKETFRLHPVAPMLVPHLAREDARVDGYDIPAGTVAFINVWSIGRDPTLWDAPEEFRPERFIGSKIDVKGQDFELLPFGSGRRMCPGLSLGLKVTLLSIANLLHGFVWRLPDGMAVEELSMEEIFLLAVPRKNPLEAVVEPRLQSHLYMCD >PAN12231 pep chromosome:PHallii_v3.1:2:41672676:41677800:1 gene:PAHAL_2G251600 transcript:PAN12231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] MAAASHKPLGAITAGDLAAAAPGTDAAALHAALRRALGAGDADPAAVWGELCRSLLRPDVPFAVHRMLYYGCFAGLPSPTPPAWTPDPKEAALTNVGRVMEARGRELLGDAYKDPITSFPDLHKFSNENPEAYWKMVFEDMGVEFTVEPSCIWRESDGYPGGEWLPGAELNAAANCLRAKPGRSSEDVAIVWRDERKDSEPLNFMTLEELRKKVCLVANALDALDLPKGSAIAIDMPMNVNAVVIYLATVLAGYVVVSIADSFAAPAISTRLKISEAKAIFTQDYILRDDKELPLYSRVVDAKAPMAIVIPVRGSLPIKGLRVNDLSWQDFLGRVNDTKPENYAAVDQPAYAFTNILFSSGTTGEPKAIPWTHITPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGLVPSIARTWKNTDCTAGFDWSTIRCFSSSGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVTGSLLQPQALSAFSTPAMGCNLFILDNSGNPLPQDSVGIGELALDPVLFGSSTTLLNADHQEVYFNGMPVWNGRVLRRHGDEFERTCDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDAILETAAIGVPPIGGGPEQLTVAIVFKDQSTQVEDLNQLKLAFNTALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFTQAAQAKKSKI >PAN09561 pep chromosome:PHallii_v3.1:2:2337892:2339465:-1 gene:PAHAL_2G033400 transcript:PAN09561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPTPAASAVPGSAAAPHVVEDCFGVVQLLSDGTVRRSTDYSVLPLVGGVPPDLPVEWKDIVYDDTHGLRLRMYRPTTTGGDGEKNKLPVLVYFHGGGFCLASFEVINFHAGALRLAAELPALVLSADYRLAPEHRLPAALDDAESAFSWLRAQAAASPAADPWLAESADFGRVFVSGDSAGGNISHHVSVRHGSGRLPLGPLRLAGCVMLWPYFGGEAPTPSEAASPPGEPMGTALFDQMWRLALPPGATKDHPAANPFAPGSVPLGDLGDAFPPVLVLDPDQDVLHDRVADYVARLRAAGKAVELVVFPGQGHAFFVREPCGEASDELIRVIRRFVHGG >PAN14055 pep chromosome:PHallii_v3.1:2:50464016:50465306:-1 gene:PAHAL_2G381100 transcript:PAN14055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPATVGEIRRAQRADGPAAVLGIGTANPPTCVAQDDYPDYYFRVTSSEHLTDLKAKLTRICKKSGIKQRFMHLNEELLAANPDFTDRALPSLDARVDIASAAVPELAASAAAKAIAEWGRPATEITHLIFSTYSGARAPSADRRLASLLGLSPTVSRTMLNLHGCYGGGRSLQLAKELAENNRGARVLVACSEITLIAFYGPEGGCPDNILGQALFGDGAGAVIIGADPVSPVERPLFEMAFASQTTIPETEDAISMEITKGGMEYHISNQVPRLLGSNVERCLIDAFDALGVSAKWNDLFWAIHPGGRAILDHIEGVLGLDDGKLAASRHVLSQFGNMSGTTVIFVLDELRRRRAAKQEGEAPEEWGVVMAFGPGITIETMVLHAPSNLGGN >PAN15137 pep chromosome:PHallii_v3.1:2:55550898:55552655:-1 gene:PAHAL_2G467500 transcript:PAN15137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSRIAVGAPGELSHPDTAKAAVSEFISMLIFIFAGSGSGMAFSKLTAGGATTPAGLIAASLAYTLALIVAVSVGANISGGHVNPAVTFGAFVGGNITLFKAVVYWVAQLLGSVVACLLLKIATGGAAVGALSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGAAMNPAVAFGPAVVTGVWENHWVYWLGSFVGAAIAALVYDIIFIGQRPHDQLLTTDY >PVH64509 pep chromosome:PHallii_v3.1:2:44803864:44805123:1 gene:PAHAL_2G289700 transcript:PVH64509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKLTSAMAGKQDFRKAQSDDQGIHGGGKSAAGFGGRLISHEQEDAIIVAALRHVVSGYSTPPPELVTVAGGEACGVCGIDGCLGCDFFGAPSGLVSPGVGQVAAAGGGEQQQRPRRRRKRNMYRGVRQRPWGKWAAEIRDPRRAARVWLGTFDTAEAAARAYDSAALEFRGPRAKLNFPGAAGAATRTPSPCGAETATATTPGEWRLGGADGAGDELLWEGLQDLMKLD >PAN11159 pep chromosome:PHallii_v3.1:2:33627116:33630231:1 gene:PAHAL_2G203800 transcript:PAN11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDEPEPLAVMPFELQAGPAPPPARSGGSKMHRLLRSAFKRGDSTSAGSGEEADQLSRSASGSSSSAASSGRPPSGRRVGRRGGDGSIDGDRSSRESFELDGSKNSKLLSALRDAKIGHAYEPFPWEKKMRELLLVPEASCFLSLLLLPKATDVSNTRYNTLEDTLARADAWLRSSQASGVPIVFMNVQTEALLTKISGETALSTVNMGSLSDLGGMANASLYGFEDYHGVDIGVVRAVRLWYTAAAGEAALELRLRPGDTRLGFAISRTEEGFIYVSSVAEEGTPGAASARSGLLELHRAARAASKLLVVSRVGGEKVLPWMASTAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDHDLAAALPGDAEKPPLPVLLLPSPLAVAEAKADEIDGDDGPGIASKDSRDDSFRFQNIGLPDSWL >PAN12791 pep chromosome:PHallii_v3.1:2:44900211:44902064:1 gene:PAHAL_2G291300 transcript:PAN12791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGALCRKIAAIPCCGRTERRIFSTPWIPAIWPGSVANGIHLPSRKNPSHLSKPATVCTKATPTPSSMSMEPAADEVVVESPAHFRIYKSGRIERLNRPPVLPAGLDEATGVTSKDVVLDAGTGLSARLYLPKLQEPSQKLPVLVYFHGGAFLLESADSATYHTYVNPLAAAAGVLVVSVSYRLAPEHPLPAAYEDSWVALQWAASAEDEWIAEHGDVARLFLAGDSAGANIVHDMLLRASGNGGPRIEGAIMLHPWFGGNTPLEGEPEAASVATAGLWTYACPSAVGGADDPRMNPLAPGAPPLEKLGCARMLVCAGKKDTLYVRDRAYYEAVAASAWPGNVAWLESEGEEHVFFLPKPECENAKKLMDCVVAFIAGA >PAN10139 pep chromosome:PHallii_v3.1:2:5523318:5526979:-1 gene:PAHAL_2G074000 transcript:PAN10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPLLAVLLVTAAGTVSCSPRRHPLDPLSAVELTAVRSAVLASPLVPDRPISFHYVGLDEPDKADVLSYASSSSRRRPVLPRRAFVVARAGGRSHELRVDVTNTSAPSVASHAVHRGAGFPRFTTEEMAAAAGLPPRYPPFVESVRRRGLNVSDVGCGVFSMGWFGGEAGHHQAGGGRLTKMQCFVFGAATANFYARPVEGVTLVVDLDRMAVVGYRDRVVHPVPEAEGTDYRAAKVGPPFTGPVTAPGVVVQPEGSGVKIDGRVVRWANWEFHVGFDVRASTVISMASVYDGDAGTRRQVLYRGFVSEIFVPYMDPEEEWYFHGFMDAGDYGLGVSAFPLQPGADCPANAIYMDGLYANADGQPVKAENAICVFERYAGDVAWRHTEAGIPGQLITEVRPDVTLVVRTVVSAGNYDYTFDWEFKTAGSIKCVVSLSGILEMKATSYTHVNQIKEDAHGTLLAANTVGVYHDHFITYHLDLDVDGTENSFVKNTITPMRNTGDPATGGADTPRRSYWTVRREVAETEADGQVNINSSGGPADLLFVNPSKKTSVGNEVGYRLVPAGATASSLLADDDYPQRRASYSKKQVWVTPYDKSEKWASGLYAEQSTGDDNLAAWSRRNRRIKEEDIVLWYTLGVHHLPYQEDFPVMPTVSGAFELRPSNFFERNPLIRTKPPSNANFPNCSCHI >PVH65303 pep chromosome:PHallii_v3.1:2:55021248:55021623:1 gene:PAHAL_2G457400 transcript:PVH65303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDVQRAAWNVMIIFYEIRRLRSWLVEVNHSIQHNLPPHPLITAHNSLIRNWG >PAN09407 pep chromosome:PHallii_v3.1:2:1478940:1480779:1 gene:PAHAL_2G020900 transcript:PAN09407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPSRFLGTVHNQVGDAKLNDAVREFALTTLGDARKHEGVMRRGIKVFPRVAKVMWWTYKLGVTATVGLVVVKVANKVAELPSDGPGC >PAN10310 pep chromosome:PHallii_v3.1:2:6270692:6276483:1 gene:PAHAL_2G086300 transcript:PAN10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIATGAMNTLLPKLAELVVGEYKLQKGVKGEIKELEKELTYISAALRKVSEVPVDQLDEQVKVWARDARELSYDIEDAVDTFMLRGKGREQEGQDTSSLKGLIGKAANLYKKARNNHKIHNVIKDIMDQVKKVSECRDRYKVDNIAAGPSLVSVDPRLEAMYRKAAEIVGIDGPKSELVKRLMNEDISSLQQPKIISIVGVGGLGKTTLANALLQDLKAKFDCHFFVSVSFNPDIKKIFKNILVQLDENKYGHIDEAWEINLLINKIIDFLKNRRCLCVIDDLWKELPWDTIKLALQGGTHGSKIIITTRNKAVAEHVGGGIYELKPLSNDDSRELFYKRIFDSLDNFPPDLSKATEKILKKCGGVPLAIITTASLLATKPRCSVEWEKVNNFIGSGSENSPHVDKMNTIIRLSYNDLPFHLKTCLLSLSKYPEDQVIRKDVLVWSWIAEGFITRVGSNLQETGEGYFNELINRSLIQPVNVISHFHPLGERGAYACQLHDMVLELIIKLSAEEGFATTLLSDGEQAGASSHQREREIIRRLSLHNSSNANASITERKLLSKVRSLDVFGRADLMMPVLSRFCVLRVLQLEDCSGLDNNHLKDLSNLYLLKFLRLQGLKVTELPESIGKLESLETLDIRGAYESVIMLPLSFGKLGKLVRLHADSVELPDGVALENMKSLQELVAIRLTLHAMTEIGKLRELKALELFIEEEPETSTDNWEELVRTCLQMCPNLLQVLVLRAPLVLRSMDFMARVPSGLQTFMCNIHLMAIPRWIDSSLSCLTVLSILLWRARVQLEHLDKLAGLPSLRFLRIRALSPPHEQEKLVIHSSPSSFPCLTELRISCPLMFLKFQPGAMRKLQRFCLGFDARKTAEHFRTNTFDYGFENLPSLQHVVIDFFNYELPKAQDAIRKTINDHPNHPSLDFS >PAN11014 pep chromosome:PHallii_v3.1:2:12027272:12027484:-1 gene:PAHAL_2G133600 transcript:PAN11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASASVAVIRGRDETKQPRAVACDVEALRRCLEENKGDRIKCQAHIDAFRSSCSLGPNTTSKTQSS >PAN14654 pep chromosome:PHallii_v3.1:2:53256846:53258471:-1 gene:PAHAL_2G427200 transcript:PAN14654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKRKNTRDGRPLDTGKPTRRPPNKYAAAAAMLSSATPLFLGYDLAVVYCTAVTAQGDVRLLACVIALSLILGAIAAAGAQRVIGDRRAVLLSAAVLCAGALARSLAGGFAAFTAGVFINGVGMGLALMVVPFYAAELSLTSARGVLASHPDGFVYLGCILGSLCYSMGFSKLPAHVAWRVTVPSGTAIPALLGAAVLLMPESPRWLVAVDRESKARRVLSRTSATLEEAELRLLEIKSELGKHHHHDDDGSFDEPTVAMSATPGRWREEIGILRELLARPTEPVRRAVLTALVSKVFQQASGVGSILQYVQRAFRDAGVSPGAQTPRALAVFGLVVVMSFPMSLVLVELCWLLVRALASGLRRRAPSPAAHRSGPSQAGVTRRQEQQKWARGLSATMLLSLMALVWIALGPAPWADVPSRGCPRWLRAAAAAANRAVSSAILSSFGRVYRVAAVYGNLIMCHSVIVVFMLFACGRLLGSKVRGESC >PAN11323 pep chromosome:PHallii_v3.1:2:22401368:22403808:1 gene:PAHAL_2G168900 transcript:PAN11323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAWVKLEQKGTGPGARSSHAITLVGDTAYAFGGEFTPRVPVDNTMYAFDLKTQTWSPLDATGDVPPPRVGVTMAAVGGTVYMFGGRDQEHKELNELYSFDTSTGTWAPLSSGADGPPHRSYHSMVADAVGGWVYVFGGCGDAGRLNDLWGYDVAAGRWEQLPSPGEACRPRGGAGLAVAGGKLWVVYGFGGEELDDVHCYDTATGQWSAVETTGDKPSPRSVFCATGIGGHIVVFGGEVDPSDLGHLGAGKFSAEAFALDTGTSAWTRLDDATGAENHPGPRGWCAFAAGEKDGRRGLLVYGGNSPTNDRLDDIYFFAPVLEAAC >PAN10418 pep chromosome:PHallii_v3.1:2:7070899:7074723:-1 gene:PAHAL_2G093600 transcript:PAN10418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDEQPKPPEPPAAAAGAVVATAAPPQSHAEWAASLQAYYAAAGHPYAWPAQHLMAAAAAGAPFGTPVPFPVYHPGAAAAYYAHASMAAGVPYPTAEAAAAAAAAAAAATAAEGKGKGKGGGASPEKGSSGAPSGEDASRSGDSGSEESSGTRDDDTDHRDSSASKKRKSGNTSAEGEPSQAAVVRYAAVESPYPAAKGRSASKLPVSAPGRAALPNATPNLNIGMDLWSASPALAVPAVQGEANPGLALARRDSVTQLDERELKRERRKQSNRESARRSRLRKQQECEELARKVADLTTENSALRAELDNLKKACQDMEAENSRLLGGVAHSQGPSVTTTLGMSIDPPKVQHHDDEGQLHKNTSNNSNGNYAGTSHKPEANTR >PAN12716 pep chromosome:PHallii_v3.1:2:44517429:44520375:-1 gene:PAHAL_2G286000 transcript:PAN12716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYMRNKRSSSQLRSSHNQKPSSHCIFKRVASQELAFTTTEKCAWAEATCPVCMEFPHNAVLLLCSSHDNGCRPYVCASNIELSNCLDQLVESCRKESSEDPDAIELACPLCRGEVKGYTLVEPARKKLNHKRRSCMQDGCSYMGTYRELCEHVKKKHPSANPRAVDPLHAFRWKRLLFRSSLQDMICSTTSPLLRRLFSAMLQLDELMASYWGEGGDRRGATSDSSLRSASAESTDP >PAN14855 pep chromosome:PHallii_v3.1:2:54559862:54561452:1 gene:PAHAL_2G449200 transcript:PAN14855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMVPVMAPSAPCSPRTAAIAGGDHLPGYCYFFSSAPTSPSRASYAGDASPGAAGDEATFDFTLGFSGQLQEATPILADADELFEGGRIRPLNTPHPSILLVDDTSSTSNYSSSTGPRSPRRAGARGGDQAEALERGRSGRPAAPTSAASSRSRRATRSLSPFRGGGGGGIEDDEFPSSPPSPRSSMMRGCGSGSKKWRLKDLFLFRSASEGRATAGGSKDPLFKYTMLSSSTSFSHPQKLKSGDGSASMRKGRGSTASASDMPYTTNRAAAEDMRRRTTTPLPFHRNSLFGYLRSNPAIHSISRKLGNHSSNRGRPT >PAN10028 pep chromosome:PHallii_v3.1:2:4892454:4893186:1 gene:PAHAL_2G066300 transcript:PAN10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIPRTEPLDSKVPMASQAIESHRAGAEVFNGDATCRKKSAELLEELGLPKGLLPLEDIQEFGYNRATGFMWLVQGRKKLEHTFKKIKQTVSYASEVTAFVEKGKLRKITGVKTKELMLWLSVVDVYVPEASPEKVTFKTGTGLSDSFDAAAFALGE >PVH63961 pep chromosome:PHallii_v3.1:2:14518298:14523739:-1 gene:PAHAL_2G146800 transcript:PVH63961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPGLGWGWATSSWAGRANKKLPSCPVEFKAPPRRHPSGGGRRRPWLRFLRGEVFFLPGRLFFEPSSFSESSLAPPVLLLLTKKQRNNTIRTGKQASFRSKSKRKKKIMASMEGLIGLMNRIQRACTALGDHGGGDLPTLWESLPTIAVVGGQSSGKSSVLESIVGTDFLPRGSGIVTRRPLVLQLHQTDDGSQEYAEFLHMPKTRFTDFARVRQEIADETDRLTGKTKQISPVPIHLSIYSPKVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQNPWVGIVNRSQADINRKVDMIAAREKEREYFESSPDYAHLASRMGSEHLAKLLSEHLESVIKARIPSITAVINKTIDELESELDTIGRAVAADPGAQLYTILDLCRAFDHVFKEHLDGGRSGGDRIYGVFDHKLPAAFKKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLIGTGLTYFRGPAEATVDAVHVVLKDLVRKSIGETEQLRRFPTLQTAIATAANEALERFREDGRSTALRLVEMEATYPTVEFFRNRPQDLPAADASSKAGAKASAESPVPLDRYGDGHYRNIASNVSQYIRMVGDELLKTIPKAAVHCQVREAKRSLLNHFYVQIGKKEAGEFVHLLDEDPAMMERRQQCWKRLELYKSARDEIDSVAWSSNR >PVH63349 pep chromosome:PHallii_v3.1:2:656743:656937:-1 gene:PAHAL_2G010400 transcript:PVH63349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRLGFTTMHLSRLCMWLREVCTNGDATWAQSRVVDLVDALLPADALVAGSDPMSCGCRRGWW >PVH64325 pep chromosome:PHallii_v3.1:2:40337566:40342045:1 gene:PAHAL_2G238000 transcript:PVH64325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKQKGTDAAADPKKRRRVGFSGIDAGVEANECMKVFLARNPDEAGSEDCTSIQPFDLNHFFGEDGKIYGYKNLKINVWISAISFHGYADISFEETSDGGKGITDLKPVLQSIFGENLVEKEEFLQIFSKECEYIRDVVTNGNAIKHEGTNESDPTVEIVRVELQGAAAFLYSRLVPLVLLLVEGSTPIDIGEHGWEMLLVVKKTTQESVPKFQLLGFAAVHNFYHYPESTRLRISQILVLPPHQGEGHGLRLLEAINSTALSENIYDVTIEDPSDYLQYVRSSMDCLRLLTFDPIKPALSAMVSSLKESNLSKRTCSLRMVPPADLTETVRQKLKINRKQFLRCWEILVCLSLDSEDRKSMDNFRACIYDRTKGEILGGATGTNGKRLVQMPSSANEEESFAVFWTQDCEDADDQTVEQQPEDLKTQEEQLNELVDNQMEEIVGVAKNVASRGKDKLAELLAR >PVH63949 pep chromosome:PHallii_v3.1:2:13775725:13776150:-1 gene:PAHAL_2G143100 transcript:PVH63949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAKLAQPTETDGCPVRVPNVAPACRVSLRFGVSVCSVGEVRQGGTMPGCWWVLLPNRSTNLRRRRRLSTSFLMLDVGIRPSGNVFSWLRVVFRGSSL >PAN12128 pep chromosome:PHallii_v3.1:2:41135698:41136102:-1 gene:PAHAL_2G245000 transcript:PAN12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLAVFLTFLAFVVVVVHSCKPNCPTPTPPVAPSPPVVLTPPSGGGSCPIDALQLNVCANVLNLVKLNLPVGNNQCCPLLDGLVDLDATICLCTAIKANVLGINANADVDVRILLNYCGKTYPADFTCPSN >PVH65186 pep chromosome:PHallii_v3.1:2:53944545:53945547:-1 gene:PAHAL_2G437800 transcript:PVH65186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSRSSTMSSLLLMALLLSCSGMSGAARLLEEAPPKEEHPHPTVPELPKPELPPHPTVPEMPKPEAPHPVPELPKPELPPHPTEPELPKPEVPHPMPELPKPELPPHPTEPEVPHPVPEMPKPELPPHPTEPEVPHPVPEMPKPELPPHPTDEPEVPHPVPEMPKPELPPHPTEPELPKPEEPHPVAPELPKPELPPQPVVPEHPTVPELPKAEVPKHELPPKPEGHYPEPEAKP >PAN12298 pep chromosome:PHallii_v3.1:2:43205787:43207730:-1 gene:PAHAL_2G268200 transcript:PAN12298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFAGRGIVAAPSDDEGGGSRFPEPQQHGDSSMLVIRDALLSQLQKDRLRQDIIMAELARIERAMALRSAGGERADPVRFPSNEHFVAHSGVAEHGVGADEVHDLKKNDGVAHDGVGLEPEKPAMEDHAGQCFKTCCSTGKMACQENAALDECKMQESSQAKATSEGNLQQHFAGQRHQSKVAALVSRRKNANCQKATPTAEEARNVRQYAAEKPHPTWLCRFCQSNCTCKSDLENHLRGKRHKAKIQALLEECKNTMMDFGSTELKSYPNNVTKDEENPASLWNCSLCQTKWTRQSGLENHLKGKRHQLNFLVLQVEAQQYLSDWRCGICLAKCKSASQFEDHCSGRGHKQKIDALLRGGTNARPSTFQAEKKPPSDGSNIKGGISEETGTQRTMYSCKLCNLHCNSKYTLAEHRRGKKHIEKVEKRMSLSYCEVCNLQCNSEKMFAHHRMGKTHLAKVNGC >PVH65015 pep chromosome:PHallii_v3.1:2:51851973:51852403:1 gene:PAHAL_2G403200 transcript:PVH65015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTHKALLCFCLLMLLLAMSSAQEAGGAADNKKKCFPLWDGYCMKSKRCVKNCNDPDMGKGFTKGRCRFFTCECCRPDTPDDIAPSADLPLPEHSHTLVQ >PAN09522 pep chromosome:PHallii_v3.1:2:2224851:2227991:1 gene:PAHAL_2G031300 transcript:PAN09522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQSLLVLAAVAACLLLLPDASLATDVDYCSRGKKYPVKVSGVEIVPDPVQAGKLATFKISASTDKTIQKGKLVIDVKYFFFYVHSETRDICGETTCPATGNFVLSHEQTLPSFTPPGSYTITMKMVGDNNEELSCISFGFSIGFVASS >PAN15202 pep chromosome:PHallii_v3.1:2:55871998:55876370:-1 gene:PAHAL_2G471400 transcript:PAN15202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRVCRGGPSSSPAGAAAGRPFPPLAASSSSASPSSAPSETASTSVTKTVNGSHQFKIAGYSLSKGIGVGKYIASESFSVGGFDWAIYFYPDGKSAEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLESGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMAQHIGQLLASGKRTDITFEVDGEMFPAHKVVLSARSPVFRAQLFGPMKDKNMKCIKIEDMEAPVFKALLHFMYWDELPDIEELTGVNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLKFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSVTPCLYSTEVLDGGDANGRRVKPRI >PAN12858 pep chromosome:PHallii_v3.1:2:45204973:45208996:1 gene:PAHAL_2G295600 transcript:PAN12858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSAPPAAAAARVASPAKALLGAPSSSRPSRFPHVSMSASSSPRASTLAAAAGGIGAAAAAPSLLAADPGHREAVLLAARAAMGNCLGETRLDLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNQTLHITPNAVVSSPDKNVTIAKRCTVFPVEFVVRGFVTGSTDTSLWTVYNNGVRNYCGNALPDGMVKNQKLSANILTPTTKAADHDVPVSPDEIIKSGLMSKEDFDEAGSKALSLFAYGQQVALENGLILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEDRFKSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNAKFEIPDTREPIHERISRNVAQALRNL >PAN12850 pep chromosome:PHallii_v3.1:2:45114887:45118705:-1 gene:PAHAL_2G295000 transcript:PAN12850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAARAGLVRVLPPRPSPTSRPKQLKQGLGGRGGASLSLRAKDSDDFGALLSEKPAAPTSANRDGWEGFGRGVAGAAKEEEEEKEEEVRSEPVSWDVLNQIGVELDSDKSYSALVYGTSAVVAIWISSIVVSALDSVPLVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVSSIKKQILGSHDN >PAN14162 pep chromosome:PHallii_v3.1:2:50935562:50937101:1 gene:PAHAL_2G388400 transcript:PAN14162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQQLKVGEEESGGDQAAQAQAVRETGRGKSQARASSGRRKVTYGFHLVQGRMPHGMEDRHVAEFRLLDDGNEVGLFAVFDGHSGADVATYLREHLFDNILNEPDFDFWTDPMEAIRRAYHRTDRKVLKMKKADDDEGKGKGRRRRGGSTAVTVILINGEKLVVANVGDSRAVLRDNGGAARQLSVDHEPLREQSAIESRGGFITEIHGDVPRVDAQLAMSRAFGDRSLKEHISSDPDVCIEDVGDGSELVVLASDGLWKVMSNQEAVDEAGRENGDARKAAVRLVDEAVRRGSKDDISCVVVCLH >PAN12852 pep chromosome:PHallii_v3.1:2:45131763:45133145:1 gene:PAHAL_2G295200 transcript:PAN12852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAARRWSPAAATEASPYAMPSPVQPAASKGTKETVKNALSRWGRKVGEATRKAEDLSRNTWQHLRTAPSIAEAAVGRIAQGTKVLAEGGHDRIFRRAFSAPPDEQLRKSYACYLSTAAGPVMGVLYLSTARVAFCSDGPLSYEAGGGDRTEWSYYKVAIPLHRLRAASASASQLNPAEKFIQLVSVDRHEFWFMGFVNYDGAVAHLQEALSGFRNLQA >PAN10247 pep chromosome:PHallii_v3.1:2:6001632:6002996:1 gene:PAHAL_2G081200 transcript:PAN10247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRRSNRKKARTDAGDGSSGDRITALPLELRAQIASLLSFQEAVQLSTLSRPWRHIHHHTPVVSINLYDFLHLEEIYFDHKHSVPGFLDERSILVARVALGRRAQDASASRVDALRLAFGADDPRMRRHAARIVALADGPALDAADVWTLDLPPAARDLEVVAPGHAAPAIAGPGAASLRKFSLDRVVIRGWPPLPSLRSLSLDSVAVEAPFAPGAWCPRLDELDIFCSRIEHARVDICLPLLRFIDLDEVDVSPDGRSGGAPSGEITIDAPELLELDVTCNAGSTTDYKSFRLRAPRLRLLCWANQFAERVAIDGRPGSVTVGVIQLRSVYTREMKYYREQMMRMLRGLLPDLPKESIAGVARPFMKLEECVDSDDDEDEPKDEKLTCDISALTSRGI >PVH63828 pep chromosome:PHallii_v3.1:2:9739585:9743273:1 gene:PAHAL_2G116400 transcript:PVH63828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNNYKRKKRCSKSLPETSTDLFSGTESNRRRRQQILSSISQPEPRQTGVDQDVEGERVEPEQAAVGQDVEGERVEPEGEQDAGEQVHGPAANAGDQGHAEDADAGTQQPQGEGENFRFHGSLMLPPAKPLAQRQVIRPSGKTGWLEIGWNGQGHRTKVNSVLGRACRYFYPGLVQINGELVPATKWDHWKLKNYRADKSHSDVVWDTFWEQYKLEEGKSYEDPHLIYVFNSSANKVVKDTMSDARVKAVTIYYKKVEKTHMTNEDAARIHLTAEQYLQSEVDWLTQREDVWPRLCEFWASDRFKAISDRNRANRKSKPDLHRYGADGHIGKTQRMEGVSGVTPSMIEVFMEGHKGSDPDHPEILCDDNATEKLTRYANEMQKRHGPEVDWKKAPVDVDAVYVAGGGTPHGRLAIGDGVLDPRSLSTSRRSFASVGTEQTRRSTREDELAEQLTIQFQGGRPPFESPPFVPRRTQSGPPGDVAQGATGAGVQQPSEQVMPWSFPQGSQPPDPLQQGMMGFFPFGFPPWGFGPQGIPYGRPPFAGSSHPSPMEGSTSHHEAPHTPNNATQEVTNQNVNPGGS >PVH65150 pep chromosome:PHallii_v3.1:2:53691646:53699967:-1 gene:PAHAL_2G434000 transcript:PVH65150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGRPRPGSLQALAAVLLLAAASAAAAGSPDRPAPGPPLFLPLTRCYPNASRLAGSLRRGLDEGAHPNARMRLHDDLLTNGYYTTRLYIGTPPQEFALIVDSGSTVTYVPCASCEQCGNHQDPRFQPDLSSTYSPVKCNVDCTCDNDKNQCTYERQYAEMSSSSGVLGEDIVSFGRESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVISDSFSLCYGGMDVGGGAMVLGGMPSPSDMVFSRSDPVRSPYYNIELKEIHVGGKALRVDPRVFDSKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVHSLKKIRGPDPNYKDICFAGAGRNVSQLHEVFPYVDMVFGNGQKLSLTPENYLFRHSKVDGAYCLGVFQNGKDPTTLLGGIIVRNTLVTYDRHNEKIGFWKTNCSELWERLHIGGAPSPGPSSDTGSQADMSPAPAPSGLPEFDVGLITIDMSINVTYPNLKPHLHELAELIAKELEIDSRQVRVMTVTSQGNSTLIRWGIFPAGPDNAMSNATAMGIIYRLTQHHVQLPKNLGSYQLLEWNVQPLTRRSWFQEHVVSILLGILLVILFTLSAFLVVLVWRKKFSGQTAYRPVDAVAPEQELQPL >PVH64913 pep chromosome:PHallii_v3.1:2:50564556:50564888:1 gene:PAHAL_2G382800 transcript:PVH64913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWPLYAEQHLNAFELVSVMGVAVGMEVDRKRGNFVEAAELERAVRSLMGGGSEEGRETREKAAEAKALCRKAVEGGGSSDVSMQKLAREICDEHKTRGCEAAGVMRGD >PAN09404 pep chromosome:PHallii_v3.1:2:1460085:1464094:-1 gene:PAHAL_2G020600 transcript:PAN09404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLHYLLLPLLAAAAAVPAAADADADALLAAKAVLADPAGALASWTANATAVAAPCAWAGVTCNARSAVIGLDLSGRNLSGPVPASLSRLAHLARLDLAANALSGPIPAPLARLRSLTHLNLSNNVLNGTFPPPLARLRALRVLDLYNNNLTGPLPLEVAALPLLRHLHLGGNFFSGEIPPEYGRWGRLQYLAVSGNELSGKIPPELGNLTSLKELYIGYFNSYSGGIPPELGNMTELVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPPELGRLKSLSSLDLSNNALTGEIPATFAALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNKLTGTLPPDLCAGGKLETLIALGNFLFGSIPESLGKCEALARIRLGENYLNGSIPKGLFELPNLSQVELQDNLLSGGFPAVAGTGASNLGAITLSNNQLTGALPASIGNFSGLQKLLLDQNAFTGAIPPEIGRLQQLSKADLSGNALDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSRNHLDGEIPATIAAMQSLTAVDFSYNSLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHSGGAGTDHGAHTHGGMSNTFKLLIVLGLLGCSIAFAAMAIWKARSLKKASEARAWRLTAFQRLDFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKTMTDSNKEQVIKIMDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQMLSELPKPTMRKGEGDELPSGDDGAAPDPPVSSGSVEALNDEAKEQQQQQPSLQSSPTRDLISI >PAN10232 pep chromosome:PHallii_v3.1:2:5970849:5971796:-1 gene:PAHAL_2G080500 transcript:PAN10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVGFGTVAPAAASPAGRSLGRRAAHPPTARTVPAATKAVAAAAAKAEEKGLFDAIFGALYKEEQLLETDPILNKVEGRAPAPRKAAGKPAAGQSGGSGGFSLGGLFPKKE >PAN14382 pep chromosome:PHallii_v3.1:2:51962442:51968006:-1 gene:PAHAL_2G405300 transcript:PAN14382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQAPANGGAGNGGTAAGGAAPASANGAGHHGGSNSENNGVVAGGTTTAPPSVAANGNGKQRATRKRRLAVNPKDKYWTPIDEKEAAAAVEDSGEDGRRPLLFRTYRVKGILLHPYRLLTLLRLIAIILFFIWRIRHPHADGMWLWWISIVGDFWFGVTWLLNQVAKLNPIKRVPDLALLKQQFDDLPDGNSNLPRLDVFINTVDPINEPMIYTMNSILSILAVDYPVDRTATYLSDDGGSIIHYEGLLETANFAALWVPFCRKHCIEPRAPESYFAVKSRPYTGNAPDEFADDHRRMSREYDEFKVRLDALFTKIPERSDAHNAEAKQGAKATWMADGTQWPGTWFNPAENHKKGQHAGIVKVMLNHPGNEPQFGAPASSANPLDFSAVDVRLPMLVYISREKSPDYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSLAFRAAMCFMLDRRDGHNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRIAVCGIDPPRWRSDDFKIVDNANKFGNSMSFINSIPSAANQEWSMTSPPADEELIKEELDNVMKCAYEDGTEFGKEIGWVYNIATEDVVTGFRLHRTGWRSMYCRIEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHCPLLAGRRLNFMQRIAYTNMTAYPISSVFLVFYLLFPVLWIFRGEFYIQKPFPTYVLYLVIVIVMTELIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLATLHIVLKLVLRGKGVSFKLTAKQAISTVNEKYAEMYIVQWAPLLIPTIVVIAVNVGAIGAAIGKAIVGGWSLLQMVDASLGLVFNAWILLLIYPFALGIMGRWSKRPYILFILFVIAFVVVAAVVVAIHAARTGSVRFHFRHSGGASFPTSWGF >PAN14641 pep chromosome:PHallii_v3.1:2:53182029:53183792:-1 gene:PAHAL_2G426100 transcript:PAN14641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFHPLAASRVRLAPLLPAAAPSSSSVAAHHHHHRRRFSAIVATAAPASAPTVFDFNTYMGERAETVNRALDAAIPAGEPPAALHEAMRYSLLAGGKRVRPALCLAACGVVGGREAWALAPAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLAFHHVASVGSYPPDVDPEKHPARVVRAIFELARCIGSEGLVAGQVVDLEMTGTSEVVPLERLEYIHLHKTAALLEASVVIGAIIGGGTDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLATDKTTYPKLLGLEKSREFAEKLLSDAKEQLAGFDKEKAAPLLHLAYYIAHRQN >PAN12337 pep chromosome:PHallii_v3.1:2:43482068:43488814:-1 gene:PAHAL_2G271700 transcript:PAN12337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHKAQGMEIAARTTFFLLFANVIFAHRATVSGAATLNVGVILNLQSLVGKMARTSILMAMEDFYVVHGNYTTKIVLHIRDSNADNVQAASEAVDLLENYNVRAIIGPQKSSEATFVSDLGNKSQVPVISFTATSPTLSSGSVPYFFRATLSDVAQVNCIAALIKGYGWREVVPIYEDTDYGRGIIPYLVDALQEFGASISYRSAIPVSASSDQLEQELYKLMTMQTRVNIVHMSSSIASTLFTKAKELGMMSDMYAWIVTDGIANIINSVNPSILDAMNGALGVKFYVPKSKELDDFTTRWNKRFKQDYPNDPPSQLGTFGLWGYDAIWALAQAAEKVSKVDHDIFHKQQHRMNSTCFGTMGMSTIGPKLLDEIIHNKFRGLSGDFDLENRQLQPSIFQIINMVGRGSQEICFWTAKHGIIRQLDQNGSKITNVNSMPDLNPVIWPGDLYVVPKGWQIPTNGKKLRVGVRTSGYPEFMKVERDPITSAITATGYAIDVFEEVLKRLPYAIP >PAN13217 pep chromosome:PHallii_v3.1:2:46762393:46764340:1 gene:PAHAL_2G320800 transcript:PAN13217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSCVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSDLELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >PVH64032 pep chromosome:PHallii_v3.1:2:23144010:23144918:-1 gene:PAHAL_2G170400 transcript:PVH64032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHQGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAVRKALRYLCQIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLRKQTHRAEQAELAVRIQQIRAAHAEARAAAAVSNEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFRSARAPPENPESSAAAVEGDAAAQPMTSENPEDGEQGSLTLSAPEEGLPASRMIDATLAVYTPAPFV >PAN14752 pep chromosome:PHallii_v3.1:2:54185000:54187764:-1 gene:PAHAL_2G442200 transcript:PAN14752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKISTIVLKVDLECERCYKKIRRVLCKIQDEMNIKTISFDEKSNAVTISGPFDAEKVCNKLCCKAGRVIKEMDIKGKEKDAKAKDGGGDKAKAAGKPAEKDAGKPEKAKEGKEGAKAEKEGKGDKESKGDKAEAKKVKFDLPDAPPAGDAKPGKAMAMPPKADLGPLLEKMMAAKAGPEPIAPAMVPGAAQGVAVPSIWPAPAGSVSGYGYNPGYDAPSHYGGGYGAYGCGCGGYNGYCRCGKPAAPGGYYGMPVYDSQGWYYGGGGRQPYYGQQQPCCEDPNAGCSVM >PAN15294 pep chromosome:PHallii_v3.1:2:56314919:56317845:1 gene:PAHAL_2G478500 transcript:PAN15294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTERDQFMDEEEDDFLDEEEQDEQGLGSEDEEGGVGAGEKRKRLGKSLGGFGKRGVCYLSRVPPHMNPSHIRQMLSKYGEVLRIYLVPEGQGHRKNTTVKAKAYSEGWIEFAKKSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGEMAEKTHIREQKLTLEIAAAKKQRDHYLSKLEKSRTVKHIQERRKKKQKTEGAEAGNVVETKTARPIPQKKPVGETDAKTKSKLSNDILAGVFGGSS >PVH63835 pep chromosome:PHallii_v3.1:2:9816960:9817780:1 gene:PAHAL_2G117400 transcript:PVH63835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVARKHSGSNWNDERNMVEGPPTMWDNLVVTFPKIKKFRNNKARVSLYDALGQLYDGHLAEGTYNFASMESPQEEQPLQQIHEVPEEDDDDATTERDEDVLQRSGLRRTTTASRNTQGKEEKRPKRSAMIKEMMERFLEMREEEAARLAKQVEEESARLAKQAEEEAARLAREKEAAEIREGERHELGAARH >PVH63935 pep chromosome:PHallii_v3.1:2:13104961:13106517:1 gene:PAHAL_2G139300 transcript:PVH63935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMKVFGRGRSPNRGRRRRSPAIGGESRFQHPASRAPMTPRRAEWTSMGAHLLRCKTTGRSPPRSLPLLSVSPASSPANFVVGALVAIVACPL >PVH65101 pep chromosome:PHallii_v3.1:2:53039613:53043139:1 gene:PAHAL_2G423600 transcript:PVH65101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRSCFSCVPMGTAALPIEKHFVPPAALPSWPASAVSDGGFAKGSIDLGGLEVRQITTFDKVWSTTQGGQDGVGATFFKPSPVPAGFSVLGHYAQPNNRPLFGHVLVARDTSGTGAFLAAPVDYKLVWSSPDGAGHFWLPASPDGYKAVGTVVTATSDKPSANEVRCVRADFTDACETEESVLSSDKDSFSTATLRPAVRGIDARGVHAGTFLAQSSATPANASTLACLKNNSASYTSSMPDLAQVNSLLAAYAPHVYLHPNEPYLPSSVTWFFENGALLHQNGSQTPKPVAADGSNLPQGGGNDGGYWLDLPVDSKQREKVKKGDLAGAKVYVQAKPMLGGTVTDLAVWIFYPFNGPARAKVGLLTIPLGEIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGTWVEASQLEYLGGDGGNRPVAYASLHGHAMYPKAGLVLQGDARLGVGIRNDCARGSRLDTGGAGRCEVVSAEYLGVAEPAWLGFEREWGPREEYDIGREINRVARILPRSARERLAKLVEKVLVGDGPTGPKMHGNWRNDEREA >PAN14675 pep chromosome:PHallii_v3.1:2:57501019:57502768:-1 gene:PAHAL_2G496500 transcript:PAN14675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDMGKKEDELVQQAVNQQQVQKEEDDDDVQALVHKIAGLAAAIAKLPSLSPSPEVNALFTELVTACVPPSAVDVEKLGPELQEMRARLIRLCADAEGLLEAHYSDLLAAFDNPLDHLALFPYFNNYILLSELEHGLLARHVPGPAPARVAFLGSGPLPLSSLVLAARHLPAASFDNYDICGEANERARRLVRADAELGARMAFRTSDVALVTRELASYDVVFLAALVGMAAEEKARVVEHLGRHMAPGGALVVRSAHGARGFLYPVVDPEEIRRGGFDVLAVHHPEGEVINSVIIARKPAVLVEAQAHAHGHGAVLSRPCQCCEMEARAHQKMEEVTSMEQLPS >PAN14549 pep chromosome:PHallii_v3.1:2:52773091:52774332:1 gene:PAHAL_2G419000 transcript:PAN14549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLAAVCALLLLLNAGHAESRRHGDSDSERQYKLFVFGDEYADTGNYPAADLTKTTRAWYYPYGSNDKDHGATPSGRFSNGLVLPDFVARILGLDESPPAERKREQDGVDPSGMNFAVGGAGVVEGTGEAPKLGRQVEKFKRMVRHGIIDDDLTDSVALIAFSGRRDYERFHDMTNTDVKAMAQDVTDKIADAVEQLMDLGVEKVVVTTLPPLGCTPGLSKSKDGVYDAKCDSQKVTSIHNSYLEEKVFQNKDVFNLDLKAAFNRHAGPSSRSKQFKYKLEPCCDSFDQGGYCGQTEDGEPQYNLGSKPSKFFYWDDINPTHAGWKAVVKEFEESIKNFLHI >PVH64943 pep chromosome:PHallii_v3.1:2:51036679:51038462:1 gene:PAHAL_2G390200 transcript:PVH64943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRREPAASPFRDLSNLRTPRPNPKHVPASPNFFTASKTPFQAPTPTQLRRRRPGDGAPTPTPLGRRLRALEVDHSGSARRAESGRERALRAFAASASSWLSLLLRDPAACVCSPAATGTAAAAQPCAAGERDALGGDRALGGGGGAARRGAAGVKRKEMTPAMMAVLRDSLREVCSLDDVTESMGRYMSKGACEEVLVMIFQICKNIDEGRLKMKAHCPLVADLRLKEKATRILTCYNPDWLRIGLLIVLGGDSLLQSGLGKRDKEVHFLKLILEKQMFSQIMTANFCAHKKVVEGHNVQGYREASG >PAN15415 pep chromosome:PHallii_v3.1:2:56798339:56803864:1 gene:PAHAL_2G486200 transcript:PAN15415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAARARRVLASPAASGLPGILWGPRLGSASGAEGALLLHLHAVPSSASSPHHARGFASCFAPQSPGNLILTAMASQWSSEKSVHYQMATTHFSTEASDVDHPTEAVEEMYQRMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLFQILQKLRVFRLSNLRINANFNDHLCMKVTEACARVGTLDYGLKALWKHNVYGITPTIGSAHYLLQHAKTLNDTKLMENIMQVLRRNSLPLQPGTADMVFSICYNADRWDLLSKYADRFVKAGVKLHRTAFDIWMEFAAKVGDSQSIWNINSLRGKSVKHYTLATGFACAKGSLLDRKPENAAAMIKLLYKHLPDQKKPFVKDELKKLIAEWPTEVIKRQKKDDRKAMEEALIKDIPTMINCLTKSGLDIPVDLEKLTPQLQAA >PAN09334 pep chromosome:PHallii_v3.1:2:1024018:1027187:1 gene:PAHAL_2G014900 transcript:PAN09334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSTATEDDPGKCRTADRCACSLEAEEDGRSSWMSRRTTSDGTSGCEEGQRRWGRDSGREAGTVPRRLSATSWTVRDERTRMEDGGHLQQPNPRREIGRNGPSGRRTAAVTGCTDREDEDGRRRRRGRPDPRRTARGGARTAPRRAAGRRAEKMVGGSGADE >PAN09731 pep chromosome:PHallii_v3.1:2:3515704:3516568:-1 gene:PAHAL_2G047700 transcript:PAN09731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVMASSSSLAFAAGVRAAAGRFPSRLPAAGLAPRRRAPLVRAQAEDAEKSAASTPKPASPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPVLRGESAEGRSGGVMSADAELWNGRFAMLGLVALAVTEYITGAPFVNV >PAN14854 pep chromosome:PHallii_v3.1:2:54556154:54557188:1 gene:PAHAL_2G449100 transcript:PAN14854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATVPPPPRCLFPGKEHRNAITVQQFKNWLKQFDTDGDGRISRKELREAIRRRGAWFSGLRALFAVRRADRNRNGFVDDSEIEGLIQFAERELGFRITTDAAPAGGPPLGRVVMGSPAAAPPLYKSTTYVAY >PVH63310 pep chromosome:PHallii_v3.1:2:135720:139295:1 gene:PAHAL_2G001300 transcript:PVH63310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPPPLAALVTRHPHHLPASASYSSASTLPAYRALIRDLASAGRLDDVDAALASARSRLDPDSLQPLYVACIQAYARAGRLRAAVDTFERMDLFGSPPAAPAYNAIMDALVNAAYHDQAHKVYVRMLAAGVPPDARTHTVRLKSFCLTGRPHVALRLLRTLPERGCDSQPVAYCTVVRGLYANGHGHDARRLFDEMLCRDVFPDVATFNNVLHALCHNGDIFESGALLAKALKRGMSVNKFTYNIWIRGLCEGGRLGEAVALVERMDSHILPDVVTYNTLMRGLCKDSKVWEAAQYLRRMINRGCIPDDFTYNTIIDGYCKRGMLQEATELLKDAVFKGFVPDRVTYCSLINGLCAEGDVERALELFSEAQAKGLKPDLVVYNTLVKGLCRQGLILHALQIMNEMVEDGCHPDIWTYNIVINGLCKMGNISDATVVMNDAIVKGYLPDVFTFNTLIDGYCKRLNLDSALQLVERMWTYGIAPDAITYNSVLNGLCKAGKAKEVNETFKEMVIKGCRPNAITYNILIENFCKINQLEAASGVIVRMSQEGLVPDAVSFNTLIHGFCRNDELDGAYLLFQKLDEKGYSATADTFNILIGAYSSKLNMEMAEKIFDEMISKSYKPDLYTYRVLIDGSCKAANVDRAYVHLTEMVKKGFVPSMVTFGRVINALALNHRISQAVSIIHIMVRIGVVPEAVDTIFSADKKEIAAPKILVEELMKKGHISYSTYEVLHGGVRDTRLTRKARKEKCI >PVH63598 pep chromosome:PHallii_v3.1:2:4768687:4769067:-1 gene:PAHAL_2G065000 transcript:PVH63598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSLFRGFYRNFIYERNETEIVTFQKIGTKDIGLSMLHSSEGGRKDQTDY >PVH63662 pep chromosome:PHallii_v3.1:2:5933764:5935902:-1 gene:PAHAL_2G079400 transcript:PVH63662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRLAVESTSEDQLVQRPATADVDSPWSSYGSSIRSISFPQGVGWSAWLRRSGGTTPGSSLPSSCTDGSRPSSSASSWGSGAAGFNAIKANELRSIARRMVHDGHLQALSRAFARSGNGVVRRWFTELDVDWVLTMTEDMPWWAERTVVHDRARRWIRAFTTMAHAFLALSSDLTHAVDGASVQKQLLGEFASASISKMMLFVEAVIANVDWTPENLPAALDVYACISDATASSHDQASSTAQQIVLSMYGGAADHAGLVTSRRLRLAAALSMYGGAADHADHAGLVTSRRRRLAAAIHRAIRSLSVDYYGDGGSCRAPQGSEVHAVTRYTMDFVRLLWRNAGLASSVLDDDGGGSVVSVASDVMRRWEFSLASASMLLPDAALRCVFLLNNYDAMAEAFPDSGLQDEIGRCVERYLDAAWAPALSCLHGAAAWHSPPSAKLADFAARFRRTYDAQKLWRVPSPALRGRLRRAVAGLVVSAYAMYLEEHPLRDEDRASIMAPEEMEEILNELFEG >PVH64694 pep chromosome:PHallii_v3.1:2:47724055:47724741:-1 gene:PAHAL_2G335800 transcript:PVH64694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDLDCGDCAVVCCCANSHHSHSGSGSGGSAAVFCCCLLLAALALVALLVVAYAFIVPVRFTVDDAALGRLALAAPAPSSCINGTCARTGTAISYDLSVGVALHNHNWAMAVWRRGTLDAELRFRGRTFARARLAGAARDRIRALRMEVYRLAAAAESAPVALGPGAAAELARQSAAGVFELELVVFGEVKYEAHTRRRAIRVTCPLRLSPSTATAPAAFARVKCA >PAN11309 pep chromosome:PHallii_v3.1:2:14232334:14236643:1 gene:PAHAL_2G145800 transcript:PAN11309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPSPSASPSAAADQLAADLSASATLSKKQQKKDARKAEKAEKAAQRQQQQQTAAAAEEDPFAANYGDVPVEDVQSKAVSGRSWTKVGDLDEGAAGRAVLVRGAAQAIRPVSKKMAFVVLRQSMSTLQCVLVASADACVSTQMVKFATALSKESIVDIEGVVSLPKEPLKATTQQVEIQVRKIYCINRATPTLPINLEDAARSEAEFGKAEQAGEKLVRVGQDTRLNYRAIDLRTPSNQAVFRIQCQVENKFREYLLSKDFVGIRTPKLIAGSSEGAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTTNCKKELETINGQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVREKYGTDFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRIHTPELLAKRGAECGIDVSTISAYTESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >PVH63731 pep chromosome:PHallii_v3.1:2:7113974:7114255:1 gene:PAHAL_2G094200 transcript:PVH63731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSTNRGDCFAGFAAARMPPRLGRCVSELYAARRAVEPAGLLPEEDGCLRQPSQSELTSPGIFRSTRSDASIGTVGAGSSRGRTGEPAARRE >PVH64070 pep chromosome:PHallii_v3.1:2:25490635:25490943:-1 gene:PAHAL_2G178300 transcript:PVH64070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGTGSGQQGQNNQGTDQPLPMPPPLTPEQFFQLQMQMMATLNNTVQTLQQIHAQLPPPRPQQPRDRRADFLRGHPPTFSHAADPLQADDWLRSVER >PAN13307 pep chromosome:PHallii_v3.1:2:47170812:47172924:-1 gene:PAHAL_2G327500 transcript:PAN13307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSHLSQHMEHGLANASHGMPSFLFCHGAAAADSASLETSSGVLDTSPRGTASVDKKIRKPREDSASLSSAQSKDSNSKESTKRRGGKRERSSKEVDEEEEPKGYIHVRARRGQATDSHSLAERVRRERISERMRVLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFGDHTQKMEGMLHHEALAMPASVMNRAPSQAIMHTNTSTSSPSYEVHGEGGTGISFPQDNGSYMVQTVGEPRQELFNQVAFSNHMCSFQ >PVH64316 pep chromosome:PHallii_v3.1:2:40052172:40053817:1 gene:PAHAL_2G236000 transcript:PVH64316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQNEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKIQTSKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSKHHHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHIKKNSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLEFAHSDLLGKYNNLLKKHNESLVLAKQVEESHKKLKQEHRELAHNFDPSLEKFAHEKVNASTSCDDLLVDANATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLSSFPEPNMVTTPSPEIKTSFIKEVGSYCQHCQVTEHHTRECTLPSRPLPKLPKNYSSMFLNNHFLLSKVKSNVKAKFIGKIAKESKKKLPKKLWVPKALVTHVQCPKLVWVPKTQK >PVH65466 pep chromosome:PHallii_v3.1:2:57733690:57734243:1 gene:PAHAL_2G500300 transcript:PVH65466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAPNFSARPETAIPGSIQSCMRFVGPTPSPLCSGRAVLLRALKWAATRRSACRRPQAPQSGGGSGRGIPCPLAHLLRWRGNNLNHIGRGRARDKEVVESEQQGGAESSWSGRDGRENRKHGRKRKSLGHP >PVH63350 pep chromosome:PHallii_v3.1:2:680794:681748:-1 gene:PAHAL_2G010600 transcript:PVH63350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPPSHSVKPTTKKKSRKSTSDSRGSIRCKSAGSTNQPEPISIEFPMSRGSNPQVATKAKGKNPAKQAKEKGKLLKPKAKKQTKEKGQKDDVLFESPAMGTRSKKN >PAN14508 pep chromosome:PHallii_v3.1:2:52514471:52517061:1 gene:PAHAL_2G415100 transcript:PAN14508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLADLACAGEAARSHLAGGGRRDLLAELLELAAADDAAGFREKLAAAGGDAELADGVGLWYGRSKAYEPRTPLMVAATYGSAEVVALLLGLGCVDVNRRPGIDGATPLHCAASGGSRNAVAIVKVLLAAGADPVIPDATGRFPADVILAPPASPDALGDLEMLLGRRRGLAVTTSVPSGSSSPPLSSSPDEGNRSPSTRSSSLSPITVDRAKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFVPTPLSPSATNNGGGAAAHWLQGSRLRSSFNPRDAQADDLGALLEWESQYLGALSLPQNSRSQPRLSTGLSIRPTAIAPSNLEEMYASDMAMSPRFTNDQSHSVYSPAHKSALLNKFHQQKGLLSPVNTNRMYSPRGLDPSIIHSPFGGMSPRSPRTMEPTSPLSARVGATVTQRDMFDHFSSVNKHQLPSVGSPRNLNASWSNIGTPKSKVDWGIDNEELVRLRHPAQPGAAEEEPDVSWVQSLVNNAELNGKRGEMAGMASGPMSRPDLSSQGDSVDQTMIASWLEQQMHLEPK >PAN13066 pep chromosome:PHallii_v3.1:2:46175787:46177275:1 gene:PAHAL_2G311900 transcript:PAN13066 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1 zinc-finger protein, Response to multiple biotic stresses, Regulation of abiotic stress response [Source: Projected from Oryza sativa (Os09g0486500)] MAQRDKKEEPTELRAPEITLCANNCGFPGNPATQNLCQSCFSAATASMSSPTSSSSSAPAPAAAPQPRPAPADAAPVELASPADAAPAPEAAKASARTSANRCSSCRKRVGLTGFRCRCGELFCGAHRYSDRHGCSYDYKGAARDAIARENPVVRAAKIVRF >PVH63719 pep chromosome:PHallii_v3.1:2:6843319:6844240:1 gene:PAHAL_2G091900 transcript:PVH63719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHVFFFATVFFFSFWSRELRFIVISLVITLLLRKKTCSGTVANDHGRRGQQAAAVASSSTSHWSGEAVQRNDSIVARAPDGHFRSTPHHQLPQATNNALPNQGYHHPDQVLRRQPADNGGHFDQGSRANIRGGVSRRRRPFMCFCC >PVH63861 pep chromosome:PHallii_v3.1:2:10535547:10536441:-1 gene:PAHAL_2G122800 transcript:PVH63861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGSAEAAAPSSPDDREVLRPAGLSVPDLPLPQILPCPPLFPKIPLIPCYNVAPPPQATTDPRECRSSLRSLMPCAGFLTINASVPAPPTACCDGFERFVANRSSAPLLCLCHIATGDIARLLPAPMNHTRAASIMEECRLGLPIDALSRFCKNNRDEVPPIDPPNPPSAAAETKA >PVH63822 pep chromosome:PHallii_v3.1:2:9429583:9430425:-1 gene:PAHAL_2G114200 transcript:PVH63822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKELASVEGMLERMQLSAAERKGIKVEAGIPGRAGRSFSQALGKVMAEKLVNAEGLAQALGKIWCPIKGINCKDLGDNRFLFTFLQPAGKCRALEDGPWIFGKDLVATGKAIGSEVGEFMVMELEDDETVVRQFLRIKVRLDICKPLMRGVTLHGGDDERLIIRHMDKNCKVVLKDGEKQQFSKCLHFIPEKKRWDDEGSDRSGGGRYIPPWRNSGRGSGHKLSGS >PVH63623 pep chromosome:PHallii_v3.1:2:5325830:5327771:-1 gene:PAHAL_2G071800 transcript:PVH63623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPSSSWTLHLLPLFLLLPVGNAITFSISNRCSGTIWPAAVPGGGVKLDPGESWTLNVPAGPGRLWPRTGCSFDSTGKGSCQTGDCGGVLACRISGQPPVTIAEFTIGGGTDLFSISLVDGFNVPMDFMPMPANGQGGQVCSRGPRCAANITSQCPEKLTVPGGCNSACRVFKQDKYCCTGNVSGTCEPTTYSVFFVRGCPDAYSYSRDDSASTTFRCPSGTNYQVVFCPPVDISASPPATNPPAPDATGSTHTSHSSFTKSRTFDGVLGFISSLIVLFVFVTFFAYKLRKQRHQEMHEGDEEFGELPGMPTRFTFQQLQEATDQFRHKLGEGGFGSVFEGQYGEERIAVKRLDWAGQGKREFLAEVQIIGSIHHIHLVRLIGFCAEKSHRLLVWIYYSHDNDAPFLDWQTRCKVISHIAKGLCYLHEECMKRIAHLDVKPQNILLDENFNAKISDFGLSKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGVVVMEIISGRKNLDTSRSEESIHLITLLEEKVKSDELEDLIDKYSSDMQVHKQEVLEMMRLAMWCLQIDSKRRPQMSEVIKVLEGHMNAESKIDHNFVPQIHLKPHIYQALGEMGVTVRS >PVH64220 pep chromosome:PHallii_v3.1:2:36907987:36914666:1 gene:PAHAL_2G217300 transcript:PVH64220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGIEAARWVVGRALGTASGGVLEAWAASSELGPNIRALRMELLYAQGMLNSARSRGYGHGPEIQNPALGELLRELRDQAFRADDVLDELDYFRIQDELDGTYHAADEHGGGCLRTHALNARHTARNIRKMLGFSNCSRGSADHDEPDEDDTSGRGVKCGACPCLGPKTTPDDDEQEEDAGGRGALCEAVWPCGRATPALPRPPPTNQSDQAVHAGCMARIASAASDIINTVGKRLPCYPDNSNAASPGRRFLCCARPSKAPQSEHVVQAPELKFDRVEMSRKMKVIVEQLKPLCAKVSAILNIELLDSSRSIVQCIGTSLDTWFSKGQWPAPSHRNAMMSRPITTPEFREPELYGREHEKSDIIFDITKGKYCEKDLTVLPIVGPGGIGKTTLTQYIYNDDEVQSHFEVKLWVCVSVNFNVHRLTQEIADKLTNDKKDSPDKQIEEQLKSKRFLLVLDDMWDCSNEDEWKRFLLPFKKGQTKGSVILVTTRFPALAQMVKTTSHWKDLKGLDSKSFKELFRAYAFGDKQSMDDHNELQDTGDKIVEKLKGSPLAAKTVGRLLRNNFDLGHWTRVLESKEWESQNEVYDIMPALKLSFDYLPFHLQQCFTYCALFPEDYRFSREELIHFWIGLDIVHSHGENKRIEDIGGSYLTELVGHGFLIKEEDRYGYTRYVIHDLLHELGLKVSAYECLSINSSNVRSIQIPQSIRHLSINIDDSSVKDKNTFDICKEDFSVLGKRLKVENLSSLMLFGEHQGSFIKTFHGLFHKAKALRVIFISGGNYRLEDLFHNFLNLVHLRYLRVHNDWWWTRPQAPKNISRFYHMRVLDLQGCDTEDCSDLPRHLSNLLKLRHFLVQHDGMHASIFEVGQLKLLQELRRFVVRKEIKGFELRQIGHLLELCGSLSIDNLENVEGREEADEAKLMYKKHLHELLLDWDVNRSSKDPAREDQVLEGLKPHSNLVKLSIRGHGGPTCPSWLRLGPNLSVMNLQSLCLDSVSWKIFPPIGQLRLVNEDAEEISSNNILGQHFKNLKRIELRNLARLKRWVIGELLSHLEVLIIMHCPELVELSFSNSSCSPRVLQELRIGNCPKLSSLPPVPWASVQYLSNVGLELRRLEYKNDDRSLRLHKSGEDMESSFWTALDFDKLTGLEKLKMTNCPPPPLDGLRKLSTINSLRISDRNRVTSGKELTQVLCCLPKLSELSIDEFGKITGLGVVGQLKEETDEEALLLLPPQLQVLYIWGCPELSLRAENGGGLQALSSLRSLAIVDCPKFLACYLPSSSCSSGFPFPTSLQSLTLRGLEKLAPLSNLASLIELWIIKCGGSEGAGLRCLLAHGCLRELYVSNTRNLFTIECSEGNPEMLEHEPAPLSSKLQSLEMDDVAGFLAAPVCTLLSSSLTRMNLQLNEIERFTKEQDEALQLITSLQELDLSGCIKLQSLPAGLQRLTNLKTLRIHGSPALHLLHKRSLPDSLQQIEIYSGDIRSLPKDSLPNSLRRFRVFSCSSLRSLPKDGLPNSLEALEISACPSLRSLPKDGLPNSLEELKISGCPSIRGLPKGGLPSSLQLLDVMHGNSEELRRQCRTLIGTIPIVRV >PVH64426 pep chromosome:PHallii_v3.1:2:42957010:42959787:1 gene:PAHAL_2G265500 transcript:PVH64426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPRPVQSPTCCSRGRGEPSRPRPGEREKKKPKISWFSLRFAHPFPFPSKGPAKPSDAMPDAIPACFRGAAAAAPSGSGSASSGAGTSLATSVYETRLGLAALSWSRAALGLSLRAVLRVSAGASPSSGYGCDDGVEYGDDDDGEEATVAVRVRPWLLWRRRGSKRFRVRDRRVDLAWDLSRARFPPSGSPEPSSGYFVAVVVDGEMAVVAGDMAEEAYRKTRARRPPGPGAVLVSRREHVSMRDAGAGRGHRTCVLVRGKEREISVDLVSRVQGQGQPREQRDRAEVGMSVSVDGERVLHVRRLRWKFRGSEKVDLGGGDRVLVSWDLHNWLFPARDASPPDAAAAAAALAAAAAPPAHAVFVFRFELADGGSGEERDSADASEKELPDKAGRGGWAGYVGRWGRGDWSESSSNGEKRRKRGQARRLAKASSSSSASVASSSASWASGSTVMDWGSPEDAELQRGDGFSLLVYAWKN >PVH64644 pep chromosome:PHallii_v3.1:2:46867829:46869397:1 gene:PAHAL_2G322600 transcript:PVH64644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAATAGDCRRRSRAPAGGTAAGNDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEAGAAEVVESKGKLWLTTGVTRGGKLCYNVEEIGFLVERGALIFLNDKDETIGTEAIYEKIAGRKYGCSWDAFQAYKHLKSLGYIVGRYGVPWTMKNSGTCDTTVPPSVVHTDQSFNRVDGTCSNITKLLKEMHIDEISPSFEVYLPNSKFKKSSPGAPSFLLCLLRNKPPSRIELEMVENNFGGIPLKY >PAN13359 pep chromosome:PHallii_v3.1:2:50888167:50888625:1 gene:PAHAL_2G387600 transcript:PAN13359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTRREKCEDPCSFFTTPGRRCSAPQRLRRPNPCSLIIVPGSRYDTPLTG >PAN15268 pep chromosome:PHallii_v3.1:2:56168950:56173505:1 gene:PAHAL_2G476500 transcript:PAN15268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITYIDDSHAEVIDPPKNEEMLDVTELVGEHIQHSPKPNVTSYGNVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >PVH64099 pep chromosome:PHallii_v3.1:2:28226013:28226747:1 gene:PAHAL_2G186100 transcript:PVH64099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAHREYVAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLRQLIRGIEKITQELEEQRTRAANAEYSLAALQARMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN14693 pep chromosome:PHallii_v3.1:2:53435581:53436380:1 gene:PAHAL_2G430400 transcript:PAN14693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFPSTLALLVAETRDTAPAPVTGGGDCLEANALGVAAGANALVRAGNCEAASTSSTRSTPI >PAN12920 pep chromosome:PHallii_v3.1:2:45575644:45577749:1 gene:PAHAL_2G301300 transcript:PAN12920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLARLLRTARALFLARRASRSASFSFSASAVSSSSDMPSNLSCYIMEKVDGLGICRSLSICKPFEETCKQVHRVWSGLPMLITYVCGSAWAQETHADHEAGKFGLHVVGDKVTGCGGCAWGRHRERAELHIGLQCQSDELKGQSWLGGKDDWLVTSDKEYNLKLFNPITHGTRGIPTFSQMQGKDMDVALELRQQAYWGCKPYLQRVVLCRMPRQAGGHMVILIFFWGLMAFVQEGEVSWTSLTRPAGDDVLSGSFHDGDSHYFDAILVDRNVVTVTKAGSILTWDIDERPCNNPKLMIQGPFIEQLQDRPVERGMYIAKSPEGHII >PAN14385 pep chromosome:PHallii_v3.1:2:51977741:51980920:-1 gene:PAHAL_2G405400 transcript:PAN14385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVTRRINASLHVEATGNGAADGESRRDSAAAHSPAAKRINDAKDSDDVWVAVQEGAMPGDSSRALLFRTMKVKGSILHPYRFVILLRLIAVIAFFIWRIRHRNHDGVWLWFMSMVGDVWFGFSWVLNQLPKLNPIKRVPDITAIRDQYESSTSGESKLPGIDVFVTTVDPVDEPILYTVNSILSIIATDYPAEKYACYLSDDGGTLVHYEAMFEVANFAKLWVPFCRKHCIEPRAPENYFGVKRQPYMGSMQEEFMSDHRRVRREYEEFKVRIDSLFNTIYQRSEAYNRKNTKEDGVKATWMADGTQWPGTWIEQADNHRKGQHAGIVKVILNHPSYKPQLGPPASIDNPFDFSNVDMRLPMLVYLSREKRPGYNHQKKAGAMNVMLRVSALLSNAPFLINFDCDHYINNSQAFRAAMCFMLDPRDGQNTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRAALYGMEPPRWRADTIKVISKAKEFGESTLFINSMIDGANQERSITPLFLEESVNNELSTLMTCAYEDGTPWGRDVGWVYNIATEDVVTGFRMHRQGWRSIYCSIEPAAFRGTAPINLTERLLQILRWSGGSLEMFFSHSNAFLAGPRMQPLQRIAYLNMSTYPIVTIFILAYNLFPVMWLISEQFYIQRPFGSYILYLIIIIAMIHVIGMFEVKWANITLLDWCRNEQFYMIGATGVYPTAVLYMVLKLITGKGIHFRLTSKQTEACSNDKFADLYVVRWVPLLIPTIAVLIVNVAAVGVAIGKAATWGLFTEQAQHAVLGMVFNVWILVLLYPFALGIMGQWGKKPAILFILLLMSISTVAIMYVTFRAIYPSDWSEIATSLGKQK >PAN15582 pep chromosome:PHallii_v3.1:2:57694264:57698295:-1 gene:PAHAL_2G499400 transcript:PAN15582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRRKPASAAAPAAAKQPPSRRPTAPLSLAGLVVAVFLLAVFLYNEDVVKSGGGGADVAVPGSVAVAGRARSPDLRVLHHHHEEHEEEDAATQPPPPAKHHQQQQPQVPAGCDLYQGRWTFDAAGEQSPLYRESECEFLTEQVTCMRNGRRDDSYQKWRWQPNGCDMPRFDASLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVVPRGHKTLQKFVNNGSLNVFTAHEYNATVEFYWAPFLVQSNSDDPQVHSVMDRVIAWRAIAKHANNWKGVDYLVFNTYIWWLNTFEMKVLKGSGALRNNKGAAGWSKYALVDRPVAYREVLKTWAKWVDRHIDPNRTTVFFMGMSPNHITPWAWGNDGGIKCAMETQPIVNRTAPLNIGTDWRLHGVARGVLARYLHRVPVHFVDITGLSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNHFIYAQIVSSSSDA >PVH65230 pep chromosome:PHallii_v3.1:2:54129472:54130161:1 gene:PAHAL_2G441100 transcript:PVH65230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSLFRKVVNVTPGNGLGDNPNILGPEEGSVGRTGSQPHEHLLPRGLAAVRLLPRLPRVFFPSRRASCSLPSSRGASQVLETSPMRIGQEQRRRARWLPQAEETLGYGRRRRHTTVADGRGRRAAVAAGAEAAKLARRENRLCDGERRRGSAAAGEPRAEVRQYGQRALKVHLVPE >PVH64432 pep chromosome:PHallii_v3.1:2:43059667:43060539:-1 gene:PAHAL_2G266400 transcript:PVH64432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSFPIERLDSISLANPLCSLARVGLRSGFLLFGAYPDQCQKPLGSALLCLGGRKLRGCRAPRAADTRRTRVARAWSARTKRQRPPTGGQPAKSPERSVTGTKMGTVPGDLFPLSFSCRLGESRIRRRCFSIDPGTGTVVLVLLHPFSPPLLLEWHFVSVPDVRCMVTEFHGSEQIFEETKNKH >PAN14836 pep chromosome:PHallii_v3.1:2:54513358:54519584:1 gene:PAHAL_2G448100 transcript:PAN14836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAIGVVVLFLAAAPFGANANTDSNDVNALNVFYTTMNSPPQLTNWVSQNGDPCGQSWLGVTCSGSRVTTIKLSGMQLNGTLGYNMNQLTALAQLDMSNNNLGGSDIPYNLPPNLESLNLASNNFTGTVPYSISQMVPLKNLNLGHNQLSNINDMFNQLTNLTTLDLSHNNFSGNIPQSFGSLTSLKALYLQNNKFSGTIDVLADLPFTDLNVENNQFTGWIPDKLKGINNLQTSGNSFNNGPAPPPPPSSPSSNTPPLSPPSQRAPVPSTDGNNSPAEDAGKGKHSKLGGGAVAGIVICLLVVGALVAFLVIKRKSWRLSRGQDPEQNEPLSPLASGLKQMKSIKSIKIISTIGKEELQKTVSMSLKPPTKIDLHKSFDESDTSSKSITRKVSLSSITTPAYTVADLQVATGSFSPDSLIGEGSFGRVYRAKFSDQKVLAIKKINFSAFSSQPSDLFIELVANISRLNHPNLAELAGYCSEHGQCLLAYEFYRNGSLHDFLHMKDEHSKPLSWNNRVKIALGSARALEYLHETYSPSMVHKNFKSSNILLDSELNPHLSDSGFTDLIPNQEFQESDENSGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRTRPRPEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQTEPEFRPPMSEVVQSLVRLVQRAGMARSHESQSRRHGESGGDYEF >PVH63670 pep chromosome:PHallii_v3.1:2:6040092:6041860:1 gene:PAHAL_2G082000 transcript:PVH63670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGMEAEESAALRGVLESVLADEEKTPAACIVFDANLLAVPRAAAAVGLKTVVLRTASAACLGCFMAYPMLHQKGYLPPQESKMYMPVKELPPLRVRDLFYSSWSDQEKMRNLLARAMEAVNNSSGLVINTFDALEPAELERIRDELRIPMVLAPGPLHKLSSKNTASSLLDEDCDCIKWLDKQPPKSVLYVSFGSLASLDPNEFLEVAWGLATSGHPFLWVVRPDSVRGLDGPGFPNGFEAAVEGRGKVIRWAPQQEVLAHRAVGGFWTHNGWNSTLESISEGVPMICRPQFADQMMNTRYVEKTWGVGFELEGELERGKIEKAIRKLMEEREGAEMRERAMELKMKVADCLKPGGSSEIAIDKLVRHILSL >PVH63704 pep chromosome:PHallii_v3.1:2:6546754:6548270:1 gene:PAHAL_2G089200 transcript:PVH63704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFWMLGVIHEPHQSYARIALTKCFKLVSLMDDFCDNYSTTEEYKIFITALERWDEQAAEKLPAYRKDLFIFILNTINDIMEDLKLQKNKHAEFVKELFIDTVKRYGAERKWSDEHYVPVKISEHLQVSVGSSGCMHIANITFVLMGDVTTREAIEWAFSFPEMIRAVCIVGRIINDIMSHEREQVSKHVASTVQTCMKEYGMTVHQAYEKLRALIDEAWMEIVQGCLCKTQPMELLEKVVNVARVMDNMYKRDDAYTNPYSLKDTITSMYVNSV >PVH65311 pep chromosome:PHallii_v3.1:2:55184676:55187807:1 gene:PAHAL_2G460400 transcript:PVH65311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNDRRDRAWRGLVRGDGFVQSHEGCAVRCGVGDDICVSNALVDMYCKCACLDVAASLFWSIHYKDVVSWSTIIAGHLQNGMYGSGINLFTEMVSSGVKPNSTTLATILPSLSELKLFRYGKAIHCFSIRHGLDHSEFLVSAFIDFYSKQGLIGKAEIIFQFTPKKDLVIWNSMVGGYAVNEDSESALHALRALQKVGLRPDHVTVVSVLPLCNQHSRLLQGKHGHDDQAFILFDLMKRDGVFPDKVTFVALLSCCSHAGLIDKGFRFYNSMLRDYNLTPDKEHFSCIVDLYSRSGKLDDAWSFIANLQEVPEIDVLGCLLSACREHNRMDIAELVAERIFEQNPNDPGYHILLSNIYANAGMWSDVTRIRNMIEERSLKKRTGNSLI >PVH64108 pep chromosome:PHallii_v3.1:2:29522095:29525989:-1 gene:PAHAL_2G189300 transcript:PVH64108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLACRTLPVTPRAQPLRPRLRPRRAAASHRPSAPPPHDAPPPAPFGSRGPYWCWLSLAYRWARAFRRLPAPRAAADCAQRPDTGAAAHLCRHPTAPHQPAPTAPTRLPRREWQGHRHQLQQAAAIAQLTQVGTMLRNFPQVRRLLRWMGFEEDAYYWKQMGKAMLCTYTLWRCLALE >PAN13188 pep chromosome:PHallii_v3.1:2:46669450:46677547:-1 gene:PAHAL_2G319600 transcript:PAN13188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MAADDLRELLLSTTADASDPSTPLSAPDLRLLIDRLRLRSDRLHASALSFAASHRGALASSLACAASAADSSASLESSLDSALAPLASSPDLSDLRALAERLLAARRELAERQEHLAAASTIASLAARLREARAAANPLDTAAAAAELKPLLIDAERSGSGEDGPVVFGLLRSDWEQLVDELQVGLAKNVEECMEFAPEGGKVVVRATPRCCPSRKQGVELPVALQALEIIDALDYGMAKIADLMMKHILVPAISNIHVAVSVEVLQEGGPEHSVSVLSIVPSEELKDNKDGSSLYSRIIDIIKFACKFICMENSKWVQSFAKLTWPRISDMVITHFLSKAVPNEASKLIEFQDVVRSTAEFENKLRSMMFLSPDRKDGKLTQFVDDVEVHFAVRKRSEILVKARNILVQYDYDNPLESGDRGDSAVDLLFLPEKCFTSKSVLLLLKLVHGALKDASMSSARVAKEFCFAARDVLLLYKAIVPVQLEKHLDSISQVAAIVHNDFYHLSQEILGLAFQYRADFPIDLQKQVVFVDLAPIFSQMADVVLRRQIQLAIDTISEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKIRIMWESILPKSTYRKSMCHVLGSVFSRITRDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDGSSKFLDHDTWIQLDVTVPSLKKFRKLAELLDMSLKSITAAWESGDLVSCGFTSSEVQNFIKAIFADSPLRKECLGWIVRTPA >PVH65313 pep chromosome:PHallii_v3.1:2:55189990:55190295:1 gene:PAHAL_2G460600 transcript:PVH65313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRPSQVALDCTCRTLESTLKAQPVQSQDPQEHAESLRANRWNIEKPACFDSART >PAN11209 pep chromosome:PHallii_v3.1:2:33063365:33066563:-1 gene:PAHAL_2G201300 transcript:PAN11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAAALLAAILLGGLGAARRADAAIGVNWGTVSSHRSPPGVVVDLMRANRISKVKLFDADPGVLRALAGSGIQVMVGVTNGELASIAGSQAAADAWVAQNVSRYVGRGGVDIRYIAVGNEPFLTSYQGQFESYIIPAMTNIQQSLVKANLASYVKLVVPCNADAYESASVPSQGVFRAELTQIMTQLAAFLSSSGAPFIVNIYPFLSLYQNSDFPQDYAFFEGSTHPLVDGPNVYYNAFDGNFDTLVSALGKIGYGNLPIAIGEIGWPTEGAPSANLTAARAFNQGLINRITSNKGTPLRPGVPPADVYLFSLLDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNSVLKNAKEVPYLPSRWCVANPARNLDSVSDHMKLACSMADCTTLYYGGSCYGIGQKGNVSYAFNSYYQQQKQDPNSCDFGGLGMITYLDPSMGECRFLVGVDDNKSSSAASCGTGCCGVCCGLWVLAFWVFMYLRMMDLA >PAN09951 pep chromosome:PHallii_v3.1:2:4488244:4489468:1 gene:PAHAL_2G060800 transcript:PAN09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLLLLPFLLAAAANASAADAEPPPAAAMAPWPEQFHAVVVTNLTARGGRLEVIDLYYDWPRGRDLNLVRLQLPDGGEPPLRNVEWANGTAYVFDAASCRTFQFAVGLLPPDWKARGAAYLGRARVDGFDCHVWSNFLFALYYEDVATGRPVAWNFMGKERHVLSFEPGGVLEDASMWQAPAYCFDGSNGGDAADGVDGQGSRADLVNSMIRFAGAPAAAASFDQ >PVH65199 pep chromosome:PHallii_v3.1:2:53987321:53988712:-1 gene:PAHAL_2G439000 transcript:PVH65199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANAPSVVGPVPFADVCGARPDPGPPPKEPDDDDDASAATAVALPGDARRRLRWYQGTWVARVCVPGVVAIRQGSFAPRRGDVVLASPHKCGTTWLKALAFATMARGAYPPAGAGHPLLRLNPHDCVPFMEMLFADGSAGSRKMGALPSPRLMATHMHHAILPASISNNPDCKIVYICRNPKDMLVSLWHFNRRVQPDLAFSDVFEHACEGVSFSGPIWDHVLGYWNASKESPETVLFLRYEEILLDPAGNVRRLARFVGQPLSPAEEAAGVAEDIARLCSFEALRGLEVNTAAGSGSLLFPNGAYFRRGQAGDWANRMTPEMARRLDAVMEEKLRGSGLSFA >PAN15114 pep chromosome:PHallii_v3.1:2:55451304:55453581:1 gene:PAHAL_2G465700 transcript:PAN15114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASRVLVAVQVLVAACAVLGGGGCFTRLFSFGDSITDNGNWMRYARSPGAVARPPYGETFFRRPNGRFCDGRIIIDHIADALGIPFLTPYLAGNASEDYAHGANFAVGGATALGHDYFRGKKLDARFTPYSLHWQMRWLKKVLRMLSPEQGPAWSDLMASSLFLVGEIGGNDYNQALFQGRSVDEVKTYVPDVVAGISASVTELIGLGAKTVVVPGNFPIGCNPGYLAKFQTKNTAQYDSMGCLRWPNDLTKLHNRELRVELAELGRRHPASPSSTPTTTPPPWTSPPTPASTGSAASRWCRAAAAGAPTTPT >PAN10039 pep chromosome:PHallii_v3.1:2:4986170:5003940:1 gene:PAHAL_2G068100 transcript:PAN10039 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAPPRGDRKKGKGAGGKTDLGPERKQFKKHREKEAAAEQGDGDGERQQPGSAAILAAASDEADFPRGGRSFLSKDEVAEARAEAEEDFDREVKKGKGKGKRKRKVDESSGFGADDDLGTLFGGATTGKLPRFANRITVKNVSPNMKLWGVVIEVNQKDIVLSLPGGMRGFVRSEDVCDIALKENRKDNENSICAEVVHVGQLVPCIVMRVDDDKKEGKVNRRVWLSLRLSQLYKGLSLDALQEGMVLTAQVKSVEDHGYILHFGVPSFSGFMQKADKENVKIERKQLIQCVVKAIDKTRAIVHLSSDEELLSKSIIKDLKGLSIDHLIPGMMVNARVHSVLENGVMLSFLTYFSGTVDIFNLSNPFPSGNWKDDYSKNKKVNARILFVDPSTRAVGLTLNKHLLHLGVPPINLKAGDIYDKSKVLRVDKKAGLFLEIPSSTPSPGFISIYDVSDKDVKNLEKKFKEGSSLRVRILGVRNLEGVAIGTVKDSAFEGSVFTHDDVKPGMLVRAKVATVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKAGAELLFRVLGCKSKRVTVTYKKSLVKSKLDVLASYADAKIGLVTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEAGTEAENVYHVGQVVKCRIISVLPASRRINISFIVSHNRVIPADTAKLGTIVSGVVERLTAAAVVVSVNGFSKGTILNEHLADHHGQAAQLKNLLKPGHEFNQLLVLDIEGQNLVLSAKHSLIDSASDIPSEILQMHPGAVVHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRRVEKLSDVFYVGQSVQSHILSVNTETARVKLSLQQSMCSSTDSSFIRGYFLLDQKIAALKYSSHDWTHAFGIGSLVKGEVGAIEEYGIILNFNDHPDVVGLIEHHQLSGSTLEVGSSVKGLVLDLSDGVVNLSLKPELVRSARNVATKKKRQRAAVADLELHEEVNAVVEIVKESYVVLSIPEYSYAIGFAPLMDYNSQLLPCHRYDNGQRITVIVGNTPSSDPSGRLILLPKASAQDSGLSGSKRAKKKSDYKVGSLVEAEVIDIKPLELVLKFGANLHGRIHITEVLEEDSAEHPFSKLRIGQKLTARIVAEAEPSGKSGKNFKWELSIRPSMLKGEFEESTARKELNHTTNAVVRAYVVKVDKEWVWLTVSRNVMAHLFILDSSSEPSELKEFQQRFSVGQAVKGRVISVNREKRLLRVKALDNQCAQHNIDKIQQSESSLVEQTKQGDIIGGRVQKILPGVGGLVVQIGPHLHGRVHYTEIVDSWVAEPLSGFHEGQFVKCKVISVSRSSEGSLRVDLSLRSSNLRIDSSNSRLFDEGATCMHRVEKIEDLLPGTEIKGYVKNVNPKGCFIMLSRMVEARITLSNLSDEYVENPQKDFPIGKLVHGRVLSADPSSGRVEASLRKNTGSKLEKPDDINYSDLHVGDIIDGQVKRVESYGLFITISSSELVGLCHVSELSDEPVVDINCCHKAGDMVKAKILKIDEKRHRVSLGMKKSYFDSGPTAGTNDDEDDEIAPMDISIASQMAGYHNRTLVHPTAEPRASVLPLQVSLDESEGSDLEDNCDKGHEIANGTEANDKKSDKRLKKEARKQRELEISALEERALQGDIPRTPDDFEKLVRSSPNSSFVWIKYMACLLDLADVDKARAVAERALKTIIPREEEERLNVWVAYFNLENEYGSPREDAVKKVFQRALQYCDHKKLHLALLALYERTEQYQLADELLDRMTKRFKTSCKIWLCRIQFALKQGKDVDYIKAVVNRALLSLPPRKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDIEVIRALFDRATCLTLPPKKMQFLFKKYLKFEKSLGEDNERIQHVQQKAMQYVESSLPSQSQ >PAN12385 pep chromosome:PHallii_v3.1:2:42891044:42895178:-1 gene:PAHAL_2G264500 transcript:PAN12385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVGCATGDPDSRHGETLSYLIPCLPEDIWCHIHSLMPMRAAARAACLSRAFQRSWRCYPNLTLTWPMLRPKLRSEELTWNIDRILRNHLGIGLKTLELNLGGEYSTFSYVDSWLQAAVTPGIENLNLMLYKKYNFPCSLFSDGVRKSIRDLQLHTCVFRPTSELGPLRRLTSLGLSSVRITGDELECLLFNSLALEQLDLYSCKEIIFLKIPCVLQQLSHLTVVGCYRLQVIECKAPNLSYMEYSGEKVNLSLVHSLKMKRLDMHHPDVICYARAELPSIMPNLETLEIGSEIEVVNTPMLLTKFLYLKHLTIQIGVKTFPQPYDYFSLVSFFVSSPSLETLLLEAAPDDVKHESVFGSSSDLRQLPEHQHNCLKIVEIRGFSSAKSLVELTCCIVKNAVSLERLILDILPYPERCFGEANITCWPISNAALEEASRMVAAIKMYIEDKVAPTTELIVLEPCARCHPR >PAN12906 pep chromosome:PHallii_v3.1:2:48832615:48833640:-1 gene:PAHAL_2G354600 transcript:PAN12906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKILVQMAKKWQRMAALARKRLTSTPTEEAEGSCGTSSSMASKGHCVMYSADGRRFEVPLAYLGTVVFGELLMLSQEEFGFASDDGKITLPCDAAVVEYVMSLLRRGASEEVVRAFLSSMVRPCHIVNGVAPCSQQLAY >PAN10262 pep chromosome:PHallii_v3.1:2:6061498:6063706:-1 gene:PAHAL_2G082500 transcript:PAN10262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRHGHAAAVLSVFLLLVAPYATAIGVNYGTKGDNLPPPAKVASFLANRTRIDRVKLFDTNADMVRAFAGTGIALMVTAGNGDIPKLATKDGAAAWVSANVAPYYPATDISLVSVGNEIMATADKSLINNLVPAMRALKAALVAAGYPKIRVSTPHSLGILSVSEPPSASRFRDGFDRAVFAPMLEFHRQTRSPFMVNPYPYFGYNGDTLAYALARPNPGVPDPGTGITYTSMFEAQLDSVYSAMKKLGFGDVAIAVGETGWPSKAEDGQVGVSAADAAEYNRYLIGEASAGSGTPLMPKRTFETYIFSLFNENLKPGPVAERNFGLFQADLTPVYDVGLMKDGGKTAPAPAPAATQTSAEAGAPESSKAAKKHDTEAAAPAETTTASAPAPSSEDDDAAAGPSPAGAPAPAPAEGGSTDGDDKTTEEEGGGDAPAAAAAGDSSEAAAKDGGDGEGSTEKKAEASPAPEGAASAATDLLVPISSMLTAALSIAFHI >PAN14388 pep chromosome:PHallii_v3.1:2:52002945:52005413:-1 gene:PAHAL_2G405700 transcript:PAN14388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFFFLLLLSQILLCAAVDTINSSTPLSGVQQIVSKGNKFTLGFYTPLQGKTTSSPSNYYIAIWYSNIQQTTVWTANSDAPVTDPTTTALTIGSDGNLVLLDQSKNRQLWSTNMSIGSNSTIAVLGDDGSLDLIDATNPSIIYWRSIDHPTNTWLPGGKLGLNKTTGVSQRLVPWRNTANPSPGLFSLELDPNGSTQYFIQWKDSITYWTSGPWNGNIFSLVPEMTAGYNYNFQFIDNGTESYFIYSMKDNNIISRFIIDVNGQIKQETWVSFTQSWTMFWAQPRSQCEVYALCGAYGSCKVDALPFCTCIKGFTQKIQSDWDLQDYSGGCKRRVPLQCQTNSSSSQSQSDKFYSMESVRLPDNAQTAVAASSQDCRVACLNSCSCNAYTYNSSGCFVWHGDLINLQDQYSGNGGGTLFLRLAASELPDSKKSKTVIIGAVVGGVAAVLIVIAIVSYFIFQKYRRERTLRISKTAGGTLIAFRYGDLQHVTNNFSERLGGGAFGSVFKGKLPDSTAIAVKRLDGVHQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYEFMPEGSLDLQLFPGEKTALSWATRYQIALGTARGLNYLHEKCRDCIIHCDVKPENILLDESFVPKVADFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVFSYGMMLFELISGRRNSDHGEERGSTFFPTFAASKLHEGDVRTLMDPRLNGDGNVDELTKACKVACWCIQDDESARPTTGQIVQILEGFLDVNMPPVPRSLRVLGESPDVINFFSDISSSQTSQTQNSTTTSQTHSATSGSSHFQSS >PAN10865 pep chromosome:PHallii_v3.1:2:9959103:9962014:1 gene:PAHAL_2G119000 transcript:PAN10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSGWGRNFLEKFYTGGAVNDSETEDANLTPATKISSRPVELAKELVKTAPRCSSERLSQETVDSILSEKSDKQKEDEVDMETTDAYSLGAEIDIPKILHSMKRRKRSARPMVKSSEPWAQQLEALNSRLNVRVRRNYQGVGSKKMKRTRRGRGRRTPRRTTLTAKGKKHVGGEADASNQPIPANSDEAKGRAADADATEPLSAPKSDSSSVSKEQCGVPTAHVSSELKDSNGPSDISMEQAAILMNTTFLGFTASKGAAADDLMATTDVISLYQDREKRAKLDPAITPGLDLNHGAEKFDTSTAESALASLCSLCAVSVPDPCVEFAVKVLKDETPLPAGASEVSRGSSSK >PAN13946 pep chromosome:PHallii_v3.1:2:50020846:50024949:1 gene:PAHAL_2G374000 transcript:PAN13946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAISLSAKLAVALSRSAALGLSPLFGVRSEIAAAARDLDLLRAFLRFVDSHHGADALAAAWVKQVRDAAFELEDVADECCYLSAHGRAWGWVNVRAWFAVLRQLRKARERLRQLSAAKEHYGIRPAADGPAPAVGAISQMLAESAHFVEKEEIVGFAAHERQLREWVVEDPEPRRTLVSVWGMGGVGKTTLVTRVLKEVATPHFDCHAWVAVSQRFTVDDLLRKIIKELHHGGGDADADYRSRVEAVRRHLKGRRYLVVLDDVWDAHLLDKLRHAFLDDGTGSRVVITTRSRDVANAAAHGRTMMLEPLPWRESWTLFCNVAFKEAPGRSCPSHLQEIAASVLERCRGLPLAIVSVGNILALKDTTEFAWSNVRDSLVWDRSSSDLGIGEAASILNLSIDDLPHHLRTCFLSCSIYPEDFLIKRKILIRMWVAQGFVDDRLDHRTAEDVADDYLDQLVQRSLMQAVVRNEFGRAKRCLVHDLIRELITLRSREEQGFFQFVNCRVTVDSSTRIRNLALDRCEAVDSRSVPKVALLRSFCAFGSELDAAFLSRFRLLTVLNLWFIEMNKLPDSVTNLHNLRYLGIRSTLVEELPRDLGKLQKLQTLDCKLSMVRRLPSSTTKLKSLRHLMLFTREAADFWKAFAGTAVQLPEGLENLTSLQTLKYVQADTETVKSLASLEKMRSLDLSGLDPSLTADLPSSISRMRCLLRLGLEMEPDAVLDLETVTPPPLKLQKLALAGRLAGGKLPSWTFSLTSLTQLRLSGCEIAEDSLLLLAALPRLVNLSLIAAYHGRNMTFARGSFPTLQKLTLQDLPNLDLVVFLQGCLVNLHDLVLALCPELTEIPHGMENLVLLQKFETFGMTTLFVDKLKEQNGDAGYYKPASSEFLRAPWLSRYLRWVGRNN >PVH64390 pep chromosome:PHallii_v3.1:2:42272230:42273271:1 gene:PAHAL_2G256600 transcript:PVH64390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLVCCAQAEGFASCRCSVGGLVGPVNTWVLISPHMNGSKGSPARNFYDTSPEATRNRDTPQEALFRSSLPPLNNRSSNR >PAN12565 pep chromosome:PHallii_v3.1:2:43639127:43641798:-1 gene:PAHAL_2G273800 transcript:PAN12565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAFGDFGPLTERRKAEKARQQRRRIMIAVGTVSIIILLIVMGAAAITYSGKSSSEGKKFSGSKGSSPSKGNSGGGGSSSSSSSSDSESEASSESKPADLKAVSKSIKAMCSQTDYTDACETSIGKAANASATSPTDIVRTAVEVIGDAIKQAFDRADLILSNDPRVKAAVADCKEIFSDAKDDLNRTVKGVDAKDGISKQGYQLRIWLSAVIAHMETCIDGFPDDDFKVKVKDSFTNGKELTSNALALIEKGSSLISALKGSKRLLLGKEESAASSSSKADEPALDKDGIPEWVPDGERRVLKGGGFKNTLTPNVVVAKDGSGKYKTINEALNAMPKSNDGRYVIQVKEGVYEEYVTITKTMVNVTLLGEGSKKSIVTGKKNFVDGLTTFKTATFTAQGDGFMAIGMGFQNTAGPEKHQAVALLVQSDKSIFLNCRMDAFQDTLYAHSKAQFYRNCVISGTIDFIFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADAREATGFVLQKCEFQAETALRDAGRPPIRNYLGRPWRECSRTLIMESEIPDFIDKAGYMPWNGDFGLKTLWYAEYGNTGPGASTAGRVNWAGYKKVISKADATKFTVENFLHAQPWIDPTGTPVKYDLFT >PAN12943 pep chromosome:PHallii_v3.1:2:45677421:45679484:1 gene:PAHAL_2G302600 transcript:PAN12943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGRRPAPPFAGVDLRRPKGYPAPVPAAEAEEPAPAPAGDPCPRCESRDTKFCYYNNYNTSQPRHFCRSCRRYWTKGGSLRNVPVGGGTRKSSSSSSSSPAPPSPASATRSTKRSKNSKRRRVSPAPAPDPAPGTDAPAATITSVANTAPTPAPETVAAATVAAAEKPAAPGPASTVAATEKPTAPPAAVSGFTDTSTAPEVGLADVGSGVGKELPPDPNHFEWPSGCDLGSYWSTGAFADTDPALFLNLP >PAN13380 pep chromosome:PHallii_v3.1:2:50987042:50987650:-1 gene:PAHAL_2G389300 transcript:PAN13380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFHVTIPYGLVVLAGGVAGYLKRGSAASLAAGSDSARRCSSPARSAPGPSRTAGVEPALSSAPSSRSVRCLLPLPPLSFLPSSLALFACLLRRPVLFLMWVWRHRRKPW >PAN12066 pep chromosome:PHallii_v3.1:2:40669927:40673477:1 gene:PAHAL_2G240500 transcript:PAN12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPGLYTEIGKKTRDLLYKDYQTDHKFTLTTYTSNGVAVTATSTKKADLIFGEIQSQIKNKNITIDVKANSGSNVITTVTVDEIATPGLKTILSFAVPDQRSGKFELQYLHDHAGVNASIGLTANPVVNLSGSFGTKALAVGADISLDTASGNLTKYNAGLSITHEDLIASLNLNNKGDSLTAAYYHNVNQLTSTAVGAELTRSFSTNENTLTFGTQHALDPLTVVKARFNNSGKASALIQHEWRPKSLVTISAEVDTKTIEKSSKVGIAVALKP >PAN13576 pep chromosome:PHallii_v3.1:2:48482814:48486349:-1 gene:PAHAL_2G347700 transcript:PAN13576 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MAASASSLLAAAASSSCAAISPRLPRGAPAAASVPSPSRHTCPSLRASPARRHQSRFVASVAPTMQPSAESRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNISALLAREPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAIAAGTVMAADMSHRLGWIDESIRKRVVDILEQAKLPITPPETMTVDKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGEYDRKALDETLHAFCNN >PVH63437 pep chromosome:PHallii_v3.1:2:2041973:2042419:-1 gene:PAHAL_2G028900 transcript:PVH63437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFDGEQKELIKKLVNFRMIDGKRTRVRAIVYKTFHRLARTERDVIKLMVDAVDNIKPICEVVKVGVAGTIYDVPGIVARDRQQTLAIRWILGAAFKRRISYRISLEKCSFAEILDAYRKRGISRKRRKNLHGLASTNRSFAHFRWW >PAN09667 pep chromosome:PHallii_v3.1:2:3038225:3039621:1 gene:PAHAL_2G043000 transcript:PAN09667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNLNLAMRLLAAAAVVASLAAPASGQGGAASCTASLITSFTPCLNFLTNSTNGGGSAPTQDCCRSLAALVNASTGCACLILTGNVPLGAPVNRTLAVMLPKACDSAAVPLQCRDTSAQIPAPGPVAAGAPSAALPPLLPATPATPEPEAPAPPVVDPTGTAPVSQGQTRPMVLPSSAWRASDHAPATAALVLLLAAGAALV >PVH65431 pep chromosome:PHallii_v3.1:2:57289463:57298674:1 gene:PAHAL_2G492200 transcript:PVH65431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPHCRIQELKRGVRCICSVPNSCRIPRASIGSQLSAKIMNDHSKKLKPRIYSLGIGSRASERIEKKIMIWYRNFCSPFFFPGFRCILLGTVQFARTHSAVTC >PAN09379 pep chromosome:PHallii_v3.1:2:1275523:1278420:-1 gene:PAHAL_2G018600 transcript:PAN09379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSGFWGGRAVLAGADAYRGGTPVVVKMENPNWSIAEIEGDGDGDDEGDGFLAGGRRRRRRGKNAKQITWVLLLKAHRAAGCLAWLASAAVALGGAARRRVAAGRTDADADGEDEATATAPAQPRHSRFYAFIRAFLLFSLFLLAVELAAHANGRRLAAPAAVSFAALHAAWVRFRAAYVAPPLQLLADACVVLFLVQSADRLVQSLGCLYIHLKRLKPKPISRALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPITQALIREEVEKWQQHGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPDWVVCYIPALMSFLNILPSPRSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSEGDLVVLAPNKEHRKQQRSATPVAAAEAKKPPAPAEKKKQKYNRIYKKELALSLLLLTAAARSLLSKQGMHFYFLLFQGVSFLMVGLDLIGEDVK >PAN14819 pep chromosome:PHallii_v3.1:2:54431477:54436486:-1 gene:PAHAL_2G446600 transcript:PAN14819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVATPLCTWLVAACLSAACDSDEHKQKHCCAGGSGAGGDAIFGQGRERRRLGARRRGAARSGMAMAVALQAERSVIEKKKPDIKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSNFPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGLTEELRSELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDMDRDGFVMGEGAGVLVLEELEHAKERGAKIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALADAGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVASIQAIRTGWVHPNLNLENPEDIVDVGVLVGPQKERCEVNVALSNSFGFGGHNSSILFAPFK >PAN12869 pep chromosome:PHallii_v3.1:2:45241739:45245473:1 gene:PAHAL_2G296500 transcript:PAN12869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHQSGVPLIVPELPEKKRGGGLPQQVWEESKKLWEVVGPAMFMRLTLYSMNVISQAFAGHLGDRELAAFSIATTVISGLNFGFLFGMASALETLCGQAYGAKQYHMLGIYMQRSWLILLGFAVLLAPTYIFSAQLLVALGQPADLSREAGLASMYMLPLHFTSAILLPVNKFLQSQLKNWVTAATTAVGFPVHVAATWLLVRYLQLGVVGAAMAISVSWSLITGLQLAYVVGGGCPETWRGFSALAFVDLKDFVKLSAASGVMLCLENWYYRVLIFLTGYMKNAELAVDALSICMSLAGWELMIHLGFLAGTGVRVANELGASNGKGARFATIVSTATSFIISLFISLLALILHDKLAIMFTASEAVIKAVDSISVLLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGLLLAWGFHYGVLGIWVGMIGGTAVQTLILAYITLRCDWNAEALKASSRMQIWSSSK >PAN12380 pep chromosome:PHallii_v3.1:2:42846231:42848168:-1 gene:PAHAL_2G264200 transcript:PAN12380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMDVKYKPLMFPNGAAVKKVKPAAVAPAAGEPLYRECLKNHAASLGGHAVDGCGEFMPSPGANPADTTSLKCAACGCHRNFHRRMVEGSPPPPAPLALPAPPVLPSVLHGQRHRGGEETPDDRLPGAVDDSDSDSDGSEYDEERSVSPPPPPHHVPAPVAQQPPPPPYFPSGPHMLLSLGSGAPGAAVAAAAQRLPPAQLTPSSAPPPGGAMPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNFLGGHSARRSASSSAPAGPGAGAPPLHAPTANAGAAAPSFNTSAITPPPPVLTSSPPSASGFNINGAASSAPTASAGHPDNVNGASSPQSA >PAN15014 pep chromosome:PHallii_v3.1:2:55056967:55065713:1 gene:PAHAL_2G458200 transcript:PAN15014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEIPAEVRRYWLPILLFTAGFLFQLLVLPRHFPPTHYDVLGIERFAPVERVVEAYERLSKEWLSETNHQPTVDIIKIRYAYELLKNPILKRDYDQYGLDEHMDVLERVKEQYQKEHFLKIDLPLLKDSVVSLTDDAFNVLTHESFMSAIAEEYPLIILVYSKGSPRCAQFIEYWKQISARLDGIAKTAMVEVGDSHLAGHFAKKGFSKQPFFRNGVPAIVAYPANCRSPSCYMRYLGGLFVDSVVDWVATSVVGLPRILYYSKEALGPQFIGKSGHHKVKVIFFSSTGERAAPFLRQAAQEYSSYASFAFVLWREDESQIWWNSLGVESAPALVFLKGPGTKPVVHHGTFSKSEFTEMMEEHKHHELRQLRSDTSLELGCDARGHSRAGNDAAIWYCVIVAGRPGVELSKKRQILRKAQDQLIGDVDRSAIGNVANSVEISSAATALEDERLTFVWLDGEVQKQICAFYLATDYSGACGPRGFEDDNDKPEIFIVRFQRNATYEALKAEKKNNLMEALQGQQTDASQLVARYKGPDEIQEINRWISQIIKDGDSREIPYFTSKVPDLVPEETSKEWLRSTKSIRSAGKSLKENIGFNFQDYLTDPRIGSTLLMCGCISFGIIWLRNNKPTHSTQQEKAPKDKTKRPRPKLSTSLFGQPESIADVEPKDARQWEMSDSDSD >PAN12361 pep chromosome:PHallii_v3.1:2:42729017:42732776:1 gene:PAHAL_2G262600 transcript:PAN12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDHLLLNVDDLSIPEPNEVTRALKNTSSGGAFARPPSMAHAVLAMGESMASEEEPLLQMVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRAHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHILEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAVFSLFLLRAAGFLLPFYIMAWAISILQRRRQRQEAAALAATEVAFILQSGQGRGMHFTIAPDSPATPQHEPPHP >PAN12281 pep chromosome:PHallii_v3.1:2:42044078:42050168:-1 gene:PAHAL_2G255300 transcript:PAN12281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENRKIIMPRLVTSKLLHRQQLHGHGSVSEVSSVVEENLGKRPLNVHKDTFVYRVFTSTWNVGGIAPSDELDLEDWLDARANTCDIYVLGFQEIVPLNARNVLGPTKSCISAKWNSLIGEALNKKERKEGAELNQESTNSSAVEGSMQGEGFRCIRSKQMVGIFTSVWVRSNLRPFIHHLDVSCIGSGIMGCLGNKGSVSIRFVLHETSFCFVCCHLASGGKEGDVLLRNLDVADILARTWFPGRATQELPEKIIEHDQVVLLGDLNYRISLEGAETRSLVKAKNWAILLENDQLLFEFSRGRHFEGWQEGLITFSPTYKYHHNSDQYYWCFDGARGEKKRAPAWCDRILWRGKGLKQVQYETCNYRLSDHRPVRAVFHAECDAYQRGRRSKRPESTLNSASD >PAN12893 pep chromosome:PHallii_v3.1:2:45394369:45395863:1 gene:PAHAL_2G298800 transcript:PAN12893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDRRSKVQRLYDACDAVFSPGKGGLPTLTQIRWLRGILDGMEAADVGIDAGGDEGEMRSSSSSSSSDDEASPRAAARRLLSTRGFTRITYVHIHECDDFSMGVFCFPAGATLPLHDHPHMVVLSKLLYGSMRVSSYDWDTAPRSGPRKGGLAKVVAVDELREAPCRASVLFPQSGGNIHTLTAATPCALLDVLAPPYAEDLGRPSTYFSDMPVPSLPGFAVLEQAELPEDFRVVGAPYVGPELVVDMDLHD >PAN09503 pep chromosome:PHallii_v3.1:2:2070239:2070487:1 gene:PAHAL_2G029500 transcript:PAN09503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVTAVIAIAAVVLGWITIEMACKPCLETGRRAMDRALDPNNDPDSPTNATTTAGGGGASATEPLLGDLSASTAPPAKAI >PAN15358 pep chromosome:PHallii_v3.1:2:56601840:56605432:-1 gene:PAHAL_2G482700 transcript:PAN15358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRLFRPPPLPLKLAFTISLAVSFSVSCCATPSSPSSHTSAGSRYPAPSPQAVAADLLSVLAGPRAAAQVPAAEATRLRACLRFLSPVNPAVSKVSSWSGGGSRKFLLEGRDAGAAEADEMVMWPPAPVMDLARLAVDSGGDPGAIHRALDPTMLPVPDVEGSQKNKCQLTRTPYGRRFANKELNQYFAFLFELIVARGPSVGLNVSLSRYDLFHGHLFLASETGRLGILFHAKEYPAFNKELFPYSLGYCQAGSNVPYDDSMNLRNILWLAPLPSKETKAWLAPGVLVVLDAHPDGIIYQEMIRDYVQIVRTVYEDDFGDNAVDVNYLNVANAASAERIFIC >PAN14017 pep chromosome:PHallii_v3.1:2:50297983:50302631:1 gene:PAHAL_2G378500 transcript:PAN14017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRRFMVSAWFLVALAMLSCVAEADDGDVLLEVKRAFVGDPEGVLAGWNSSGAGFCSWAGVACDDAGLRVVGLNLSGAGLAGPVPRALARLDALEAIDLSANALTGPIPAALGGLASLQVLLLYSNQLTGEIPASLGKLAALQVLRAGDNPGLSGAIPDTLGELGNLTVLGLASCNLTGPIPTSLAQLAALTALNLQQNALSGPIPRGVAGLASLQVLALAGNQLTGAIPPELGRLTGLQKLNLGNNSLVGAIPPELGALGELQYLNLMNNRLSGRVPRALAKLSRVRTIDLSGNMLSGALPAELGRLPELTFLVLSDNQLTGSVPGDLCGGGGGGDEAESSSLEHLMLSTNNFTGQIPEGLSRCQALTQLDLANNSLSGAIPAALGELGNLTDLLLNNNSLSGELPPELFNLTELQTLALYHNQLTGRLPDAIGRLGNLEVLYLYENQFTGELPESIGDCASLQMIDIFGNRFNGSIPASMGNLSQLMFIDFRQNELSGVIPPELGECQQLQVLDLADNALSGPIPETFGKLRSLQQFMLYNNSLSGTIPDGMFECRNITRVNIAHNRLTGSLLPLCGTARLLSFDATNNSFHGGIPAQLGRSSSLQRVRLGSNMLSGPIPPALGGIAALTLLDVSNNALTGSIPATLAQCKQLSLIVLSHNRLSGPVPDWLGSLPQLGELTLSNNEFTGAFPVQLSNCSKLLKLSLDSNHINGTVPPELGSLVSLNVLNLAHNQLSGLIPTAIAKLSNLYELNLSQNYLSGPIPPDIGKLQELQSLLDLSSNNFSGHIPASLGSLSKLEDLNLSHNALVGAVPSQLAGMSSLVQLDLSSNQLEGRLGVEFGRWPQGAFADNVGLCGSPLRGCSSGGDRSTLSSVTIALVSAAVTLAIVLLIIVLALMVVRRHGRRSREVNCTAFSSSSGNTNRQLVVKGSARREFRWEAIMEATGNLSDQFAIGSGGSGTVYRAELSTGETVAVKRIAHMDSDMLLHDKSFAREIKILGRVRHRHLVKLLGFITSHDAGGGGSMLVYEYMENGSLYDWLHGGGVGAGGGRKKRVLGWDARLKVAAGLAQGVEYLHHDCVPRIVHRDIKSSNVLLDGDMEAHLGDFGLAKAVAENRQAAFGKDCTESASCFAGSYGYIAPECAYSLKATERSDVYSMGIVLMELVTGLLPTDKTFGGDMDMVRWVQSRMDAPLPAREQVFDPALKPLAPREESSMAEVLEVALRCTRTAPGERPTARQVSDLLLHVSLDYYRAGEKR >PAN14484 pep chromosome:PHallii_v3.1:2:52410909:52412587:1 gene:PAHAL_2G412800 transcript:PAN14484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGASASATAAAYLPDDLVVDILARLPAKSLCRFKCVSRRCRSLISDPAHRARLAQTLSGFFFVSRAPAWRFAVLQSSVTPPGGDGGPPPVDTSLSFLPPSCGEIKMLDSCNGLLLLRCSSERPRRPPPPLHVPRASLGIARKARACSPRSAWLTRGSYNLAESGVVALPRPRYTPGQDAYCYEYEGNVVTWYTAVGFDPAVSSHFYVFQMVVVDYTTECYLEAVEIYSSETGAWIMSEMGRGDAYFGAFMHHMTYFNGSLHLTRDSDAVASVGAKGRSWRITHVLRRHSQGHLLYVDDVPREDVLSIYVLEDQVLQYQKVPKDSGEWALTRRVSKKDLLFEPWKKMMRPTYYKAGFHPNGDLIFFYDRTRGKLIAYDMSRNDWRVVCTLGDFKHAHRAFFPYVPWYSRGLASPNSS >PAN15957 pep chromosome:PHallii_v3.1:3:1243980:1247290:-1 gene:PAHAL_3G022600 transcript:PAN15957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGAPPPPLLLAASALAAATLLFAAALGWLFAARSSKQGSARLPPGSTGLPLIGETLRLISAYKTPNPEPFIDDRVARHGSGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVGCSYPSSITTLLGPHSLLLTKGPAHRRLHTLTLTRLGRPASPPLLAHIDRLILDTMREWEPAATVRLLDEAKKITFNLTVKQLVSIDPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIRKRMDDEVENGGANGKEDDKIEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHENIREVKGKNQPLEWSDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKGYIIPKGCKIFASFRAVHLNTEHYENARTFDPWRWQSKNRLQNAVGASLFTPFGGGPRLCPGYELARVVVSVFLHRLVTHFSWEEAEEDRIVFFPTTRTLKGYPLNLRRRPDSVF >PAN18069 pep chromosome:PHallii_v3.1:3:11599425:11600305:1 gene:PAHAL_3G175800 transcript:PAN18069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLCFSPPCRSNSSRERPPLLPTVSLKSIAFLLPLEAPLHLIQPPLQFTRTPPVLPVFGIDTSIDDALNVFDEMGTRSFHQKIG >PAN18071 pep chromosome:PHallii_v3.1:3:11599079:11601889:1 gene:PAHAL_3G175800 transcript:PAN18071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLCFSPPCRSNSSRERPPLLPTVSLKSIAFLLPLEAPLHLIQPPLQFTRTPPVLPVFGIDTSIDDALNVFDEMGTRSFHQKIG >PAN18070 pep chromosome:PHallii_v3.1:3:11598942:11601889:1 gene:PAHAL_3G175800 transcript:PAN18070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLCFSPPCRSNSSRERPPLLPTVSLKSIAFLLPLEAPLHLIQPPLQFTRTPPVLPVFGIDTSIDDALNVFDEMGTRFMVLGCAVILMLQMPDRHMMDRIAWCLNML >PVH61936 pep chromosome:PHallii_v3.1:3:10626129:10633873:-1 gene:PAHAL_3G162500 transcript:PVH61936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMGVHVQFQPAGQLPAGS >PVH61944 pep chromosome:PHallii_v3.1:3:10624474:10634135:-1 gene:PAHAL_3G162500 transcript:PVH61944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMIGA >PVH61937 pep chromosome:PHallii_v3.1:3:10624478:10634135:-1 gene:PAHAL_3G162500 transcript:PVH61937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMGVHVQFQPAGQLPAGS >PVH61939 pep chromosome:PHallii_v3.1:3:10626129:10633873:-1 gene:PAHAL_3G162500 transcript:PVH61939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMGVHVQFQPAGQLPAGS >PVH61941 pep chromosome:PHallii_v3.1:3:10624478:10634135:-1 gene:PAHAL_3G162500 transcript:PVH61941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIAACSFGTTLAIFHGKALLSCSTGHRTNNIGGSEL >PVH61942 pep chromosome:PHallii_v3.1:3:10624475:10634135:-1 gene:PAHAL_3G162500 transcript:PVH61942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMIGA >PVH61938 pep chromosome:PHallii_v3.1:3:10626129:10633873:-1 gene:PAHAL_3G162500 transcript:PVH61938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMGVHVQFQPAGQLPAGS >PVH61943 pep chromosome:PHallii_v3.1:3:10626129:10633873:-1 gene:PAHAL_3G162500 transcript:PVH61943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMGVHVQFQPAGQLPAGS >PVH61946 pep chromosome:PHallii_v3.1:3:10627005:10634135:-1 gene:PAHAL_3G162500 transcript:PVH61946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEAIENVLRLLVLLSTLCANMSRFAKIYHVITLTTNRVPRVLLNIRWRDSEEVDTRFVLKMKENSTKLRVGLRARQSRPSTGALIAR >PVH61945 pep chromosome:PHallii_v3.1:3:10626129:10633873:-1 gene:PAHAL_3G162500 transcript:PVH61945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMGVHVQFQPAGQLPAGS >PVH61940 pep chromosome:PHallii_v3.1:3:10626129:10633873:-1 gene:PAHAL_3G162500 transcript:PVH61940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKELLSILKSIRGKKCLVIDPKLAGTLSLVVQTSLLKEYGAELRLLSSDPLQTECQKIIYLVRSQLSFMKLIASQIKSDEPKGLQREYFLYFVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEHCLQECLIQGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGMASTKAAELLNHMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGNQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEMGVHVQFQPAGQLPAGS >PVH61750 pep chromosome:PHallii_v3.1:3:7205117:7208798:1 gene:PAHAL_3G113200 transcript:PVH61750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSMLTLLLLALLLTCWPASSSSSLTGEHGDREALLAFKEALSDDSGALSSWNASSSDFCRWASVTCSRRHPSRVVSLSLRYSKLGGSISPDIGNLTFLRRLDLFYNTLSGEIPHTLGRLRRLRFLELAYNSLAGEIPADLSNCSNLVYLSVEVNGLHGGIPSGLGSLSQLQDLYVGENNIVGRIPPSLGNLSVLESLALYQNKLEGTIPEGLSRLRYLRYIQAGRNSLSGTIPSLFFNMSSLQYLGFSSNKLHGRLPPDVGRRLPDLQVLRLGGVGNNFSGPIPSSLHNATGIQELGLANNSFEGRVPPEVGMLCPVSVEMGSNMLHAEDDADWEFLRHFTNCTRLRVLDISDNSFGGVLPSFVANFTGPLIDLLMGKNRMSGVIPPGIGNLLDLEALEFAGNDLHGVIPEDIGGLWNLKYFSLEENLLSGGIPSSFGNLTQLLTLVLSNNRLNGSIPENLGSLQKLTAMKLSSNRLTGAIPEVIFSLSSLTDSLLLSDNYLSGVLPLQIGNLKHATTLDLSRNILSGQVPRALGDCASLVSLALDYNHFTGSIPPSIGDLKGLSVLNFTRNALSGTIPQELSKIHGLQNLYLAHNNLSGAIPQLLANSSALVELDLSYNHLDGEVPSYGVFSNISRISVIGNDGLCGGVAELKLPPCVVKPHSQQKMLQLKILLPVAGIVICLSLLLLFVLFLSKGSRKGLDRNNATQDRLLDIKYPRVSYLELFEATDGFSPCNLIGAGKYGSVYKGNLSFTAARSSVVAVKVFTLQQPGSSRSFLAECEALRRVKHRNLINIITCCSGMDSRGNDFRALVFDFMPRHSLDRWLQPSNEQTHKLSLAHLLNIAIDVADALDYLHNSSWPTVIHCDLKPSNILLGGDWTAYVADFGLAKLVGEPMDRSNLNIESERTIGIRGTIGYVAPEYGAGGQASVAGDAYSFGVTLLEMFTGKTPTDDMFTEGLTLHLLAEAGLPDKILEIIDSELRHDELYDDDSGILINCLTSVIEVGVSCSKDSPSERMNMKHAAAKLHKIREVIEGIP >PAN17205 pep chromosome:PHallii_v3.1:3:7205117:7209584:1 gene:PAHAL_3G113200 transcript:PAN17205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSMLTLLLLALLLTCWPASSSSSLTGEHGDREALLAFKEALSDDSGALSSWNASSSDFCRWASVTCSRRHPSRVVSLSLRYSKLGGSISPDIGNLTFLRRLDLFYNTLSGEIPHTLGRLRRLRFLELAYNSLAGEIPADLSNCSNLVYLSVEVNGLHGGIPSGLGSLSQLQDLYVGENNIVGRIPPSLGNLSVLESLALYQNKLEGTIPEGLSRLRYLRLPPDVGRRLPDLQVLRLGGVGNNFSGPIPSSLHNATGIQELGLANNSFEGRVPPEVGMLCPVSVEMGSNMLHAEDDADWEFLRHFTNCTRLRVLDISDNSFGGVLPSFVANFTGPLIDLLMGKNRMSGVIPPGIGNLLDLEALEFAGNDLHGVIPEDIGGLWNLKYFSLEENLLSGGIPSSFGNLTQLLTLVLSNNRLNGSIPENLGSLQKLTAMKLSSNRLTGAIPEVIFSLSSLTDSLLLSDNYLSGVLPLQIGNLKHATTLDLSRNILSGQVPRALGDCASLVSLALDYNHFTGSIPPSIGDLKGLSVLNFTRNALSGTIPQELSKIHGLQNLYLAHNNLSGAIPQLLANSSALVELDLSYNHLDGEVPSYGVFSNISRISVIGNDGLCGGVAELKLPPCVVKPHSQQKMLQLKILLPVAGIVICLSLLLLFVLFLSKGSRKGLDRNNATQDRLLDIKYPRVSYLELFEATDGFSPCNLIGAGKYGSVYKGNLSFTAARSSVVAVKVFTLQQPGSSRSFLAECEALRRVKHRNLINIITCCSGMDSRGNDFRALVFDFMPRHSLDRWLQPSNEQTHKLSLAHLLNIAIDVADALDYLHNSSWPTVIHCDLKPSNILLGGDWTAYVADFGLAKLVGEPMDRSNLNIESERTIGIRGTIGYVAPEYGAGGQASVAGDAYSFGVTLLEMFTGKTPTDDMFTEGLTLHLLAEAGLPDKILEIIDSELRHDELYDDDSGILINCLTSVIEVGVSCSKDSPSERMNMKHAAAKLHKIREVIEGIP >PAN16772 pep chromosome:PHallii_v3.1:3:5527243:5529946:-1 gene:PAHAL_3G085200 transcript:PAN16772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWSCSSCHRGFISRFVWRRHYPLLSPRSPALPPTHFFFSSPRRSTKRSAAMAPMDSAREPFYVIRKGAVIGIYKTLNDCQAQVGNSVCDPSVTVYKGYSLRKETEEYLAAHGLRNAVCSIDAADAKDGLFDDLVPCPFQQPDGSAESTLKRSEAMETGPSKHQEVAEPELLPDCDFSCILEFDGACKGNPGKSGAGVIIRRLDGSVIALLREGLGITTNNAAEYRALILGLDYAAKKGFKHIRAQGDSKLVCNQVQDLWRCRSDNMAVLCKKAKELKGTFLTFQINHVLREFNSDADVQANFAVGLAGATSSPVNCLQQIEWRCSMSVWHLI >PAN16768 pep chromosome:PHallii_v3.1:3:5526506:5530816:-1 gene:PAHAL_3G085200 transcript:PAN16768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWSCSSCHRGFISRFVWRRHYPLLSPRSPALPPTHFFFSSPRRSTKRSAAMAPMDSAREPFYVIRKGAVIGIYKTLNDCQAQVGNSVCDPSVTVYKGYSLRKETEEYLAAHGLRNAVCSIDAADAKDGLFDDLVPCPFQQPDGSAESTLKRSEAMETGPSKHQEVAEPELLPDCDFSCILEFDGACKGNPGKSGAGVIIRRLDGSVIALLREGLGITTNNAAEYRALILGLDYAAKKGFKHIRAQGSRSLAV >PAN16771 pep chromosome:PHallii_v3.1:3:5526506:5531072:-1 gene:PAHAL_3G085200 transcript:PAN16771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWSCSSCHRGFISRFVWRRHYPLLSPRSPALPPTHFFFSSPRRSTKRSAAMAPMDSAREPFYVIRKGAVIGIYKTLNDCQAQVGNSVCDPSVTVYKGYSLRKETEEYLAAHGLRNAVCSIDAADAKDGLFDDLVPCPFQQPDGSAESTLKRSEAMETGPSKHQEVAEPELLPDCDFSCILEFDGACKGNPGKSGAGVIIRRLDGSVIALLREGLGITTNNAAEYRALILGLDYAAKKGFKHIRAQGSRSLAV >PAN16769 pep chromosome:PHallii_v3.1:3:5527195:5529946:-1 gene:PAHAL_3G085200 transcript:PAN16769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWSCSSCHRGFISRFVWRRHYPLLSPRSPALPPTHFFFSSPRRSTKRSAAMAPMDSAREPFYVIRKGAVIGIYKTLNDCQAQVGNSVCDPSVTVYKGYSLRKETEEYLAAHGLRNAVCSIDAADAKDGLFDDLVPCPFQQPDGSAESTLKRSEAMETGPSKHQEVAEPELLPDCDFSCILEFDGACKGNPGKSGAGVIIRRLDGSVIALLREGLGITTNNAAEYRALILGLDYAAKKGFKHIRAQGDSKLVCNQVQDLWRCRSDNMAVLCKKAKELKGTFLTFQINHVLREFNSDADVQANFAVGLAVDEVQELSVC >PAN17985 pep chromosome:PHallii_v3.1:3:11280077:11285195:-1 gene:PAHAL_3G169700 transcript:PAN17985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRARSLLRWLRHRSRRVSSSPSFHLTSTTNNDHTATSKDLHAHSLPRQYNAEEEEQEEEGWQEVAEGPESAPEGCIVFEERCAGPRAPVRTKPPPMDPSKKESEFFTEYGEANRYQVSEVIGKGSYGVVAAAVDTQTGERVAIKKIVDVFDHVSDATRILREIKLLRLLRHPDLVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTAEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKICDFGLARVSFCDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESISKIRNEKARRYLSNMRKKPRVPFTKKFPGVDPMALHLLERLLAFDPKERPSAAEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLGKDDVRELIYREILEYHPQMLQEYLRGGDQNQMTFMFPSGVDRFRRQFAHLEEGTAKGEKPSPQLRQNVSLPRERVIGNKHGDGNAGNKPAQASVTDGVNQPGLSARSLLKSESISASKCIGEKSKHVNDEDPIMETDETVDEVSKKIAQLKT >PAN18974 pep chromosome:PHallii_v3.1:3:15498429:15499961:1 gene:PAHAL_3G239200 transcript:PAN18974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEIRKRFGRCPYCRAMVYQDPEVVIYYCSKCRTPIRGKNPVPTEETGDALSRLEILSADTASVFSDELDACRTQASVLDVHGDRSPLFSSSTSCSGLTANSRDGARVYSNEQDERRPLSRRTRRAACSDSFVLRCGVFMSTHSETKEGFSSPRNACGRQRRRSFVGLQELEASMSSISWSRQVPSSRVGPSPLTDPAFQRDLLSTLDSLRGLIAAIEPASIGARAAAARRGARFFRRLESHLARALPGQEHAPRRNAGGSTGSSRSSSASSTGARSGRRKQHHCRPVMGGAPFLVCGSCSELLQVPATTLVSRRKVARLRCGGCEEVLELAASGGVAGPAPRQTTPTSSALPESDDPGSWNSSVRGGGAQPLPLHRALGYSSPSPLLQSRRC >PAN18982 pep chromosome:PHallii_v3.1:3:15498281:15499961:1 gene:PAHAL_3G239200 transcript:PAN18982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEIRKRFGRCPYCRAMVYQDPEVVIYYCSKCRTPIRGKNPVPTEETGDALSRLEILSADTASVFSDELDACRTQASVLDVHGDRSPLFSSSTSCSGLTANSRDGARVYSNEQDERRPLSRRTRRAACSDSFVLRCGVFMSTHSETKEGFSSPRNACGRQRRRSFVGLQELEASMSSISWSRQVPSSRVGPSPLTDPAFQRDLLSTLDSLRGLIAAIEPASIGARAAAARRGARFFRRLESHLARALPGQEHAPRRNAGGSTGSSRSSSASSTGARSGRRKQHHCRPVMGGAPFLVCGSCSELLQVPATTLVSRRKVARLRCGGCEEVLELAASGGVAGPAPRQTTPTSSALPESDDPGSWNSSVRGGGAQPLPLHRALGYSSPSPLLQSRRC >PVH61302 pep chromosome:PHallii_v3.1:3:470386:472370:1 gene:PAHAL_3G007900 transcript:PVH61302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKNTCHASGLTSLTPSGPHVCADLLDSLLHEIIDLITSFHDFGGEETNTPFLAFIGTCRSWRTAVSSFPSVYTFSLPPLHFEPDGPYFRPHTRGYQAPLLSDCKWQLNDPSKENLSLRCALPQSTPNTMYYLGCSYGYLIFTYEDCLLVDAYTGAKVKTPKLPCNNELGWFSGIGVLSAPFSSPNSRVLLFSRASMFEWQVGTNSWSVHPLALDHECIHQIVFFKGHILIIDALMRLHTVQLTPQFSMQEVAIMWQSLQTLPLNPWLLACGDMLLMVDFTFRSHSSDEFSDFSRIFEVFRLDFSVKPAKRAKMEKLENQALFVSLDKRNPAFCYMNPERWGGKSNCIYVARLFEDPYETWTAVELGHMMYGFAFPPDYSQIGSLWLFPSLVYGGSQ >PVH61720 pep chromosome:PHallii_v3.1:3:6552897:6553544:1 gene:PAHAL_3G102700 transcript:PVH61720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVLAHGAAPGNCRPCTIYVPCHGMAGRQGKATASIHGCSLMVLTDRSADPGRMRFTLHLKHFRDVGENFRHIYSGLTSRLAYILQGSLPSTAERRRLLLSPISVDSFSPYEVTDLTHVHVWPLVSR >PAN17623 pep chromosome:PHallii_v3.1:3:9317188:9317553:-1 gene:PAHAL_3G144600 transcript:PAN17623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHTSAARVAGAYLCCLIVVLAASCPSSRSYELESKDDIMHHCRHFMEKHLGNGEPHPHSKGCKFVRQANVAEICHEFTEADRAKIELWKWVKITRRCGNPLAVGHNCAGYVVQPPANRLM >PAN16179 pep chromosome:PHallii_v3.1:3:2696455:2703896:1 gene:PAHAL_3G042900 transcript:PAN16179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAASRLAAAAMSSPTRELFARHLAAAAATAWTGPSRLFEPGRERRSTSWWCPSRSFHATRRMNARDYYDVLGVSKDASAPDIKKAYYALAKKFHPDTNKDDADAEKKFQEVNRAYEVLKDDDKREIYDQLGPEAFERHASGGDPAGQGFPQGNPFGDIFGDIFDNAYRGGQDVKVSVELSFMEAVQGCRKTITYEADTFCGTCNGSGVPPGTVPKTCKTCKGSGVIFMQKGIFTVECTCSICNGSGKIVKNFCKTCKGEQVVKGKMSVKLDIMAGIDDNDTMKVFGKGGADVERNKPGDLYVTIKVREDPIFRREGNHVHVDSVLSISQAVLGGTVSVPTLTGNVTVKVRQGTQPGEKVVLRGKGIKARKSSMFGNQYVHFNIRIPTEVTQRQRELIEEFDKEESTDRERVAAASG >PAN17719 pep chromosome:PHallii_v3.1:3:9763182:9765386:1 gene:PAHAL_3G151400 transcript:PAN17719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFYGRIEVFPQYFVPSKEAMESPDGLSTSKNNLDTSPSSRVRSGTPKRAKGAASILRLLSIPRFRWSTSNEDDDKIELSRAEVESLRTEIADAEERESHLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLCDIVEVGRLPNFVPEDEKTRYAFYLLSRQGLKFECSSTSEIQVDSWVKGLTSDCKLRGGAAGEDETTKPRSSQQVEDGSSW >PAN18490 pep chromosome:PHallii_v3.1:3:13339584:13348906:1 gene:PAHAL_3G205200 transcript:PAN18490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGGPGVATPGRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLLEDDNIVLRSVEPAAAAAAAGLGVGASPSSSGAPPPPRRHPEPPSGAPSRSRSPAMRRTSSHRLLQFSQELKATASRAKQFSQDLTKRFTRTQSRANLVGETGAAAAAAPSGIDAALEARAQRRRRAQLDRTKSGAHRAIRGLRFISGGNKTSNAWIEVQANFDRLARDGYLSRDDFPKCIGMTESQEFAMELFDTLSRRRQMQVDKINKDELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISSTLNYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRNRYVFHVMGYCVTTAKGAAETLKLNMALILLPVCRNTITWLRNTKAARALPFDDNINFHKTIAAAIVVGVILHAGNHLVCDFPRLISSPEEKYAPLHQYFGDKKPTYLDLVKGVEGITGVIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALVIHGECLYLIHDWYKKTTWMYLSVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKLEEEEEASSDLYPPIGRSKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIATKHPYAKIGVFYCGAPVLAQELSKLCYEFNGKSTTKFEFHKEHF >PAN18489 pep chromosome:PHallii_v3.1:3:13339674:13348906:1 gene:PAHAL_3G205200 transcript:PAN18489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGGPGVATPGRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLLEDDNIVLRSVEPAAAAAAAGLGVGASPSSSGAPPPPRRHPEPPSGAPSRSRSPAMRRTSSHRLLQFSQELKATASRAKQFSQDLTKRFTRTQSRANLVGETGAAAAAAPSGIDAALEARAQRRRRAQLDRTKSGAHRAIRGLRFISGGNKTSNAWIEVQANFDRLARDGYLSRDDFPKCIGMTESQEFAMELFDTLSRRRQMQVDKINKDELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISSTLNYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRNRYVFHVMGYCVTTAKGAAETLKLNMALILLPVCRNTITWLRNTKAARALPFDDNINFHKTIAAAIVVGVILHAGNHLVCDFPRLISSPEEKYAPLHQYFGDKKPTYLDLVKGVEGITGVIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALVIHGECLYLIHDWYKKTTWMYLSVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKLEEEEEASSDLYPPIGRSKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIATKHPYAKIGVFYCGAPVLAQELSKLCYEFNGKSTTKFEFHKEHF >PVH63166 pep chromosome:PHallii_v3.1:3:61704180:61704482:-1 gene:PAHAL_3G484800 transcript:PVH63166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRGWLSVTQFQAPCSNWRMQSRRPLSRCPSCIARSRWNSGPTRIMQCQCHAVCRGVNNLVQACIAWLLQGTINSKTMFVMVRGDGDSCARFLWALLGF >PAN15922 pep chromosome:PHallii_v3.1:3:1108357:1110906:-1 gene:PAHAL_3G020700 transcript:PAN15922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKPCKVGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPASPGPKDTPIELVLEKTYDEKAGIGMPDL >PAN20781 pep chromosome:PHallii_v3.1:3:53001356:53004420:1 gene:PAHAL_3G410400 transcript:PAN20781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASLKSSLLLPSPISDFSGAAVSVSAQKRRRSWQPRGARVQVSAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYEGFSSKIQHLKGDRMDFEFVKTSLAAKGYDVVYDINGREAVEVEPIIDALPNLEQYIYCSSAGVYLKSDLLPHCEADAVDPKSRHKGKLETESLLTARGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGAGNQITQLGHVKDLATAFNLVLGNPKASQQIFNISGAKYVTFDGLARACAKAGGFPEPELVHYNPKDFDFGKKKAFPFRDQHFFASIEKATRELGWTPEFDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLATV >PAN17483 pep chromosome:PHallii_v3.1:3:8662314:8666644:-1 gene:PAHAL_3G134600 transcript:PAN17483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASQFMDKQIQGLAASGAAASSPPASSGGGGGLADLMSPDPQEEAESHARHSHLPHLGGANGTSNGADEVLPSYDFQPIRTATSASASAVPVGSAPAAGAWGSLDSKAASPNPLKSSGVLEPHHMLKKVNHEEERSNFSAVTIVDIDRTMKKYADNLLHALEGVSSRLSQLEDRTYHLENSVGELKITIGNNNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQIVLAKLQVPKPGDVHSENTDAGQADSRQQPTPPQQTIQSQNQALPPSQPPAPLPVLPAPTAPPPPPIQNQPPTHFPAHVPHPQVPSVTPALTAPSVPSIPQESYYPQSAQPTEATHQQYEAPPAPQPQAAQPAPPQHYQTPPQFAQYSQPPPPASVNPSAALPPPVPQQPEEPAPYGPPTQSYPPNVRPPPPYMPPPSGPAAPFYGPNPGMYEPPAVRPNSGVPPPYNAGYKPPGAGGFAEPYGYTGSPSHRGNAGMKPPSPFAPPGGSGGYGRLPTAQVLPQAAPVSSTPSGSSSGTRVPIDDVIDKVATMGFSKEQVRATVCKLTENGQNVDLNVVLDKLMNDADAQPQRSWYGR >PVH62234 pep chromosome:PHallii_v3.1:3:15771422:15775183:-1 gene:PAHAL_3G243100 transcript:PVH62234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAEPSSAASAAADDLETLALDSSSSAAATASASTDPLLRPPPSQAAAGNHDAFVIDDFLEEDDFLPAPAPSVSRPLAPRADAAPPVFAKITVSDPKKHAEPSGGGAAGGVIPGSGSYFTYLITTRLAGGGGELRVRRRFRDVVALADRLAAAHRGLFVPARPDKSVLEGQVMQRHDFVSQRCAALQRYLCRLAAHPVVGHSPDLRTFLTEPGAIPAFQPEAPRYWTTTVNAAAPLVQAKAGRDLFGMFKGLKQTVVNGLVATKPPPVEQETDTEFLAHKARFEDLQQQLTTTSQQAEALVKAQDDLRETTGHLGMTLIKLAKFEREQATCNSLRRRAGEIHNFANSVLKMSRSQIKLNSEIVKHLGSIHEYLEAMISVSHAFTDRSNALHHVQSLSADLFSLHTRAGRLESSSARDMGHEWSTYQKVEGLKESIRAAEAAKSDALREYESIKENNKIEIKRFDKERRRDFIEMLKGFVVNQVSYSDHFANMWTKVAEETEIYANRGS >PVH61957 pep chromosome:PHallii_v3.1:3:10755310:10756762:1 gene:PAHAL_3G163500 transcript:PVH61957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHLDDVLHVKGQLTKLAQPSPHHIVLGALPGGDVEEGLHHLELSGDEHQIVLHIHLELCLHINGRLDTAQRPLHRRPGQPKHETIRHGVVLDLRKLYLFGLHCIKLQRRIPGFRLWRLVRGSQHFLQLAAFRGILNLALKP >PAN18586 pep chromosome:PHallii_v3.1:3:13807901:13808699:1 gene:PAHAL_3G212300 transcript:PAN18586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSEAQEELVLRSWKAMKKDTESIALKFFFRIFEIAPGAKQMFPFLRDAGDAPLENHPKLKTHAVAVFVMACESATQLRNTGDVKVREAALKRLGATHVKAGVADAHFEVVKTALLDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKNAAAA >PAN18640 pep chromosome:PHallii_v3.1:3:13806510:13808950:1 gene:PAHAL_3G212300 transcript:PAN18640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGAEKAASPSKPTDATATATDFGEEQEALVLSAWNAMKGDAASLALKFFLRIFEIAPGAKQMFPFLRDAGDAPLENHPKLKTHAVAVFVMACESATQLRNTGDVKVREAALKRLGATHVKAGVADAHFEVVKTALLDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKNAAAA >PAN21013 pep chromosome:PHallii_v3.1:3:56814734:56817370:1 gene:PAHAL_3G433400 transcript:PAN21013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDGGDEDEE >PVH61476 pep chromosome:PHallii_v3.1:3:2672572:2676282:1 gene:PAHAL_3G042300 transcript:PVH61476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFPAAAPPLPLCLAARASRRARLAAASAAGGSSSSASASFDAAAFEAERLRLDAAARDGMASAAAAAEADPRAWKWEIRKRVWDALEAEGVARDPRPVHHRIPNFDGAPAAADTLGRLDVFQNSQCVKVNPDSPQKQVRLLTLSGDKKLLTPQPRLRTGFFSVLDSQMIPIGCIPEACTSVGAAKYGRPIGLDERIKVDLIVIGSVAVDPSSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTVHDKQLVDDIPVEKLLVHDVPVDIICTPTQVIFTKTTIPKPQGIYWEKLSPEKLGQIRILRELKKRIEQETGTILPSGPSEKLPPTAQRRRRQRRR >PVH61477 pep chromosome:PHallii_v3.1:3:2672532:2676389:1 gene:PAHAL_3G042300 transcript:PVH61477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFPAAAPPLPLCLAARASRRARLAAASAAGGSSSSASASFDAAAFEAERLRLDAAARDGMASAAAAAEADPRAWKWEIRKRVWDALEAEGVARDPRPVHHRIPNFDGAPAAADTLGRLDVFQNSQCVKVNPDSPQKQVRLLTLSGDKKLLTPQPRLRTGFFSVLDSQMIPIGCIPEACTSVGAAKYGRPIGLDERIKVDLIVIGSVAVDPSSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTVHDKQLVDDIPVEKLLVHDVPVDIICTPTQVIFTKTTIPKPQGIYWEKLSPEKLGQIRILRELKKRIEQETGTILPSGPSEKLPPTAQRRRRQRRR >PVH61478 pep chromosome:PHallii_v3.1:3:2672532:2676389:1 gene:PAHAL_3G042300 transcript:PVH61478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFPAAAPPLPLCLAARASRRARLAAASAAGGSSSSASASFDAAAFEAERLRLDAAARDGMASAAAAAEADPRAWKWEIRKRVWDALEAEGVARDPRPVHHRIPNFDGAPAAADTLGRLDVFQNSQCVKVNPDSPQKQVRLLTLSGDKKLLTPQPRLRTGFFSVLDSQMIPIGCIPEACTSVGAAKYGRPIGLDERIKVDLIVIGSVAVDPSSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTVHDKQLVDDIPVEKLLVHDVPVDIICTPTQVIFTKTTIPKPQGIYWEKLSPEKLGQIRILRELKKRIEQETGTILPSGPSEKLPPTAQRRRRQRRR >PVH62945 pep chromosome:PHallii_v3.1:3:57291069:57294734:1 gene:PAHAL_3G436500 transcript:PVH62945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLRIDDINIELKVLECIVDGREDPSNLKLPLLELITKKFANELRIGNGGCGEVYKGVLQNKVIAVKKLHSSHTIEDKMFHQEVQSLIMVKHQNMIRERLLCFDYMSKGSLENHLTGSQVIQ >PVH62944 pep chromosome:PHallii_v3.1:3:57286830:57291885:1 gene:PAHAL_3G436500 transcript:PVH62944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLGAVIIIILTGRADYIRISNRSSQEFIDLTVKNWSKRLQQTFSGSPLELCCHQLKKCMEVAFRCVEEDRHERPGIVDVIYALNEIDDTIDWMEDGLWDKVEQRVVELSVGEDSTHRIDDINIELKVLECIVDGREDPSNLKLPLLELITKKFANELRIGNGGCGEVYKGVLQNKVIAVKKLHSSHTIEDKMFHQEVQSLIMVKHQNMVRFLGYCLHTEEKAMKMEGKIIMAQIRERLLCFDYMSKGSLENHLTGNLYCLISIYIIHCCAS >PVH62947 pep chromosome:PHallii_v3.1:3:57291069:57294734:1 gene:PAHAL_3G436500 transcript:PVH62947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLRIDDINIELKVLECIVDGREDPSNLKLPLLELITKKFANELRIGNGGCGEVYKSKQGVLQNKVIAVKKLHSSHTIEDKMFHQEVQSLIMVKHQNMIRERLLCFDYMSKGSLENHLTGNLYCLISIYIIHCCAS >PVH62946 pep chromosome:PHallii_v3.1:3:57291069:57294734:1 gene:PAHAL_3G436500 transcript:PVH62946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLRIDDINIELKVLECIVDGREDPSNLKLPLLELITKKFANELRIGNGGCGEVYKGVLQNKVIAVKKLHSSHTIEDKMFHQEVQSLIMVKHQNMIRERLLCFDYMSKGSLENHLTGNLYCLISIYIIHCCAS >PAN19270 pep chromosome:PHallii_v3.1:3:17180288:17185851:1 gene:PAHAL_3G259900 transcript:PAN19270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLVFSNASSCRCPLGISPKNVRKTLLGESARNFQRKKWFSSGSYRSSRLECSANSRRAGPRRTKDTLYDIHPEISLLYGEDNGAATVSSKEQGVDAAAERLVDAPASYRYNEPRIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRVSPIDPENRLQIGLELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEESENQSSQAGGDSDRRQSGWFFPTSQEEGPGLQIPEFLQRKGRSGFPRV >PAN19269 pep chromosome:PHallii_v3.1:3:17180288:17185851:1 gene:PAHAL_3G259900 transcript:PAN19269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLVFSNASSCRCPLGISPKNVRKTLLGESARNFQRKKWFSSGSYRSSRLECSANSRRAGPRRTKDTLYDIHPEISLLYGEDNGAATVSSKEQGVDAAAERLVDAPASYRYNEPRIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRVSPIDPENRLQIGLELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEESENQSSQAGGDSDRRQSGWFFPTSQEEGPGLQIPEFLQRKGRSGFPRV >PVH62153 pep chromosome:PHallii_v3.1:3:14126427:14129504:-1 gene:PAHAL_3G217700 transcript:PVH62153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSAINKMPPPSYSFPLTDEGTRSGQEEYYTTWELTPRSTAMAILNHGDTTTANGSSPADAAAVAPAMPSLVQPPLDADEFRRQGRLVVDFIADYYTRIDEHPVRPAVAPGFLARQLPDTAPARPEPGDDALAAALRDVRDLILPGVTHWQSPRHFAHFAATASNVGALGEALTAGLNINPFTWAASPAATELEVVVTDWLGKALHLPESLLFSGGGGATLLGTSCEAMLCTLVAARDRKLAEIGEERIGDLVVYCSDQTHFSFQKAARIAGIRRGNYREIPTSRESGFTLSPKVLRAAVRADEAAGRVPLFLCATVGTTPTAAVDPLRELCATVAGHGVWVHVDAAYAGAACVCPEFRHAIAGAEAVDSFSTNPHKWLLANMDCCALWVRRPEALTAALGTDHDVILKDPSSERDCGRGVVDYKDWQVALSRRFRALKLWLVLRCHGVEGLRGFVRAHVRMAAAFEDMVRADARFEVPVPRQFALVCFRLRSAAAGEKRARDGDDAEPNELNRRLLEAVNATGRAYMSSAVVGGIYVLRCAIGNSLTEERHVREAWCVVQEQATVVLAAAACTEERAVHSARCADAPAAVPPVQNEGYGEPTSIAAKIFGTSIARCSIKSEASTYHSWSTLWRTLMFKLLTWIISRL >PAN18245 pep chromosome:PHallii_v3.1:3:12370445:12373446:-1 gene:PAHAL_3G188700 transcript:PAN18245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDILTRVDAICQKYDKYDVDKLNGANVAGDDPFARLYASVDADVNQCVEKAEAAKQEKNRAAAVVLNAEIRRTKAKLLEEDLPKLQRLAVKKVKGLTKEEIATRSDLVAALPDRIQSIPDGSSTATKKNGTWGASGSRTGGAIKFDTTADGNFEDEYFKGTEESNQFRREYEMRRMKQDDGLGVIGEGLETLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKETVLQLRSSRNFCIDIILLCVILGIAAYLYNVLKK >PVH61747 pep chromosome:PHallii_v3.1:3:7192376:7194001:1 gene:PAHAL_3G112900 transcript:PVH61747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTFTVRRREPELVGPAEPTPRETKRLSDIDDQETLRGQVPSMFLYRGGPRAADDPAGVIRRALGEALVPYYPLAGRVREVEARKLVVDCTGEGIMFVEADADVRLSELEAAGLTMPPFPCADQLLFDVEGSSGILNSPLLLIQVTRLLCGGFVLALRLNHTICDAIGIIQFLSAVAELARGFPAPTVAPSWSRELLEARNPPRPTFPHHEFDAVPPPAPPPGDMVTRTFTFGPSGVYAIRKGLPPKLRDTATTFEVLAAALWRGRTAALELPPDEDVRLVFVSNIRGVPELGLPAGYYGNACVPTGGLVTAGALLAGSLGDTVELVREAKAAVTAEYVRSTADLLVLRGRPYVAMSNMFLVSDNRRTGFHRVDFGWGVPVFGGPSTAMWGGSFILAVRNADGENAAALPIMLPRPAMDRFASEVERLLKD >PAN16646 pep chromosome:PHallii_v3.1:3:4904885:4914513:1 gene:PAHAL_3G074800 transcript:PAN16646 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEPPPPDPTSPPAISPPAAAEGSSPSPGGGDGAAGGFSAGLEPLWSLLFGDPAELEPMWSPPRDFGVGVAAGFAAPEPDADEGDAEGPWDGAPWRSTGIVAGEGAAAGFPEFEPAAEASTGGAALEVRPLDYSAPDSASPVPPCSPAPVPLEVRAPDLIPSWDEPALPPAAVSSEAKLEECTLNSVPSPPAPPSPDDPDLGAEDGPNDGSSSQKITSGAIVVVGLTAAERPEVNAASCSMPDVVGRDCTPLRRSPRIVAAKAKASAASLERNADSSRASKLRLAVSGRSSISEGSSFEETTTVAPIKPVDFLDGLKPQGSREIVAVQSSSTNVVVALPVVNKRSSKNKAVSVSSPRKTRSASKVLVNSNRVSAVSPAMNCGPVKKAASHIPPRKHKLASEKCLPSLERVDAVLHNSGLASAKKVEMPLELQAAASQPPKAKRARVSSGKCSSNLRRAENNSTPICKLPMVTVTSDPENKPKLILDKYSTDSEMVDSEDGSCFFMGEAVPDEEARQRWPNRYQSNHCLLKKDKWSNTQTFSNAGKAVLDVKCHYLQASVCGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETSAGEYYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDNLLDCIVSKVTIVQVSPSVNEKSQSIPSFHYYYDMKYSLDYSTFSTMEMGHANDTLQSHYTSRNNNNVKRIDSTEKQKSPAPEMKELSLLDLYCGCGGMSTGLCLGARGGGVNLIARWAVDGDEAACESFRLNHPETRVRKETTDDFLELLKEWEKLCKTYVKLCSKLKSRSSFSAQSSNETPECSTIIPPEEFEVWKLVDICFGDPNGVGKRGLYFKVRWKGYGPNDDTWEPTEGLKNCKEAIRDFVIQGHKEKILPLPGDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRVFLLGCHPKEKLPPFPLPTHEAIVKNGCPLAFEVANGEKREEMLYLKGPQTEFQRYIRSFNSEVLGSRAQVTRESKSKLYDHRPRALDDDNYLRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCVLTYEHGKSLRPYGRLWWDEVVGTVLTSPNARMQVSPDWKCSSSTCRPRTWVCPGHGHSEQDWR >PVH61605 pep chromosome:PHallii_v3.1:3:4904885:4914513:1 gene:PAHAL_3G074800 transcript:PVH61605 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEPPPPDPTSPPAISPPAAAEGSSPSPGGGDGAAGGFSAGLEPLWSLLFGDPAELEPMWSPPRDFGVGVAAGFAAPEPDADEGDAEGPWDGAPWRSTGIVAGEGAAAGFPEFEPAAEASTGGAALEVRPLDYSAPDSASPVPPCSPAPVPLEVRAPDLIPSWDEPALPPAAVSSEAKLEECTLNSVPSPPAPPSPDDPDLGAEDGPNDGSSSQKITSGAIVVVGLTAAERPEVNAASCSMPDVVGRDCTPLRRSPRIVAAKAKASAASLERNADSSRASKLRLAVSGRSSISEGSSFEETTTVAPIKPVDFLDGLKPQGSREIVAVQSSSTNVVVALPVVNKRSSKNKAVSVSSPRKTRSASKVLVNSNRVSAVSPAMNCGPVKKAASHIPPRKHKLASEKCLPSLERVDAVLHNSGLASAKKVEMPLELQAAASQPPKAKRARVSSGKCSSNLRRAENNSTPICKLPMVTVTSDPENKPKLILDKYSTDSEMVDSEDGSCFFMGEAVPDEEARQRWPNRYQSNHCLLKKDKWSNTQTFSNAGKAVLDVKCHYLQASVCGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETSAGEYYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDNLLDCIVSKVTIVQVSPSVNEKSQSIPSFHYYYDMKYSLDYSTFSTMEMGHANDTLQSHYTSRNNNNVKRIDSTEKQKSPAPEMKELSLLDLYCGCGGMSTGLCLGARGGGVNLIARWAVDGDEAACESFRLNHPETRVRKETTDDFLELLKEWEKLCKTYVKLCSKLKSRSSFSAQSSNETPECSTIIPPEEFEVWKLVDICFGDPNGVGKRGLYFKVRWKGYGPNDDTWEPTEGLKNCKEAIRDFVIQGHKEKILPLPGDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRVFLLGCHPKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPDGMPMQLEKPIVLEDALSDLPEVANGEKREEMLYLKGPQTEFQRYIRSFNSEVLGSRAQVTRESKSKLYDHRPRALDDDNYLRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCVLTYEHDHMVDYGGMR >PAN16650 pep chromosome:PHallii_v3.1:3:4904885:4914513:1 gene:PAHAL_3G074800 transcript:PAN16650 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEPPPPDPTSPPAISPPAAAEGSSPSPGGGDGAAGGFSAGLEPLWSLLFGDPAELEPMWSPPRDFGVGVAAGFAAPEPDADEGDAEGPWDGAPWRSTGIVAGEGAAAGFPEFEPAAEASTGGAALEVRPLDYSAPDSASPVPPCSPAPVPLEVRAPDLIPSWDEPALPPAAVSSEAKLEECTLNSVPSPPAPPSPDDPDLGAEDGPNDGSSSQKITSGAIVVVGLTAAERPEVNAASCSMPDVVGRDCTPLRRSPRIVAAKAKASAASLERNADSSRASKLRLAVSGRSSISEGSSFEETTTVAPIKPVDFLDGLKPQGSREIVAVQSSSTNVVVALPVVNKRSSKNKAVSVSSPRKTRSASKVLVNSNRVSAVSPAMNCGPVKKAASHIPPRKHKLASEKCLPSLERVDAVLHNSGLASAKKVEMPLELQAAASQPPKAKRARVSSGKCSSNLRRAENNSTPICKLPMVTVTSDPENKPKLILDKYSTDSEMVDSEDGSCFFMGEAVPDEEARQRWPNRYQSNHCLLKKDKWSNTQTFSNAGKAVLDVKCHYLQASVCGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETSAGEYYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDNLLDCIVSKVTIVQVSPSVNEKSQSIPSFHYYYDMKYSLDYSTFSTMEMGHANDTLQSHYTSRNNNNVKRIDSTEKQKSPAPEMKELSLLDLYCGCGGMSTGLCLGARGGGVNLIARWAVDGDEAACESFRLNHPETRVRKETTDDFLELLKEWEKLCKTYVKLCSKLKSRSSFSAQSSNETPECSTIIPPEEFEVWKLVDICFGDPNGVGKRGLYFKVRWKGYGPNDDTWEPTEGLKNCKEAIRDFVIQGHKEKILPLPGDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRVFLLGCHPKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPDGMPMQLEKPIVLEDALSDLPEVANGEKREEMLYLKGPQTEFQRYIRSFNSEVLGSRAQVTRESKSKLYDHRPRALDDDNYLRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCVLTYEHGKSLRPYGRLWWDEVVGTVLTSPNARMQVSPDWKCSSSTCRPRTWVCPGHGHSEQDWR >PAN16648 pep chromosome:PHallii_v3.1:3:4904885:4914513:1 gene:PAHAL_3G074800 transcript:PAN16648 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEPPPPDPTSPPAISPPAAAEGSSPSPGGGDGAAGGFSAGLEPLWSLLFGDPAELEPMWSPPRDFGVGVAAGFAAPEPDADEGDAEGPWDGAPWRSTGIVAGEGAAAGFPEFEPAAEASTGGAALEVRPLDYSAPDSASPVPPCSPAPVPLEVRAPDLIPSWDEPALPPAAVSSEAKLEECTLNSVPSPPAPPSPDDPDLGAEDGPNDGSSSQKITSGAIVVVGLTAAERPEVNAASCSMPDVVGRDCTPLRRSPRIVAAKAKASAASLERNADSSRASKLRLAVSGRSSISEGSSFEETTTVAPIKPVDFLDGLKPQGSREIVAVQSSSTNVVVALPVVNKRSSKNKAVSVSSPRKTRSASKVLVNSNRVSAVSPAMNCGPVKKAASHIPPRKHKLASEKCLPSLERVDAVLHNSGLASAKKVEMPLELQAAASQPPKAKRARVSSGKCSSNLRRAENNSTPICKLPMVTVTSDPENKPKLILDKYSTDSEMVDSEDGSCFFMGEAVPDEEARQRWPNRYQSNHCLLKKDKWSNTQTFSNAGKAVLDVKCHYLQASVCGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETSAGEYYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDNLLDCIVSKVTIVQVSPSVNEKSQSIPSFHYYYDMKYSLDYSTFSTMEMGHANDTLQSHYTSRNNNNVKRIDSTEKQKSPAPEMKELSLLDLYCGCGGMSTGLCLGARGGGVNLIARWAVDGDEAACESFRLNHPETRVRKETTDDFLELLKEWEKLCKTYVKLCSKLKSRSSFSAQSSNETPECSTIIPPEEFEVWKLVDICFGDPNGVGKRGLYFKVRWKGYGPNDDTWEPTEGLKNCKEAIRDFVIQGHKEKILPLPGDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRVFLLGCHPKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPDGMPMQLEKPIVLEDALSDLPEVANGEKREEMLYLKGPQTEFQRYIRSFNSEVLGSRAQVTRESKSKLYDHRPRALDDDNYLRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCVLTYEHGKSLRPYGRLWWDEVVGTVLTSPNARMQALIHPAQDRLLTIRESARLQGFPDSFRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAILNKTGDDPLMVLPPKFAFSHEVQGLSSPNSLQSGNI >PAN16649 pep chromosome:PHallii_v3.1:3:4904885:4914514:1 gene:PAHAL_3G074800 transcript:PAN16649 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEPPPPDPTSPPAISPPAAAEGSSPSPGGGDGAAGGFSAGLEPLWSLLFGDPAELEPMWSPPRDFGVGVAAGFAAPEPDADEGDAEGPWDGAPWRSTGIVAGEGAAAGFPEFEPAAEASTGGAALEVRPLDYSAPDSASPVPPCSPAPVPLEVRAPDLIPSWDEPALPPAAVSSEAKLEECTLNSVPSPPAPPSPDDPDLGAEDGPNDGSSSQKITSGAIVVVGLTAAERPEVNAASCSMPDVVGRDCTPLRRSPRIVAAKAKASAASLERNADSSRASKLRLAVSGRSSISEGSSFEETTTVAPIKPVDFLDGLKPQGSREIVAVQSSSTNVVVALPVVNKRSSKNKAVSVSSPRKTRSASKVLVNSNRVSAVSPAMNCGPVKKAASHIPPRKHKLASEKCLPSLERVDAVLHNSGLASAKKVEMPLELQAAASQPPKAKRARVSSGKCSSNLRRAENNSTPICKLPMVTVTSDPENKPKLILDKYSTDSEMVDSEDGSCFFMGEAVPDEEARQRWPNRYQSNHCLLKKDKWSNTQTFSNAGKAVLDVKCHYLQASVCGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETSAGEYYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDNLLDCIVSKVTIVQVSPSVNEKSQSIPSFHYYYDMKYSLDYSTFSTMEMGHANDTLQSHYTSRNNNNVKRIDSTEKQKSPAPEMKELSLLDLYCGCGGMSTGLCLGARGGGVNLIARWAVDGDEAACESFRLNHPETRVRKETTDDFLELLKEWEKLCKTYVKLCSKLKSRSSFSAQSSNETPECSTIIPPEEFEVWKLVDICFGDPNGVGKRGLYFKVRWKGYGPNDDTWEPTEGLKNCKEAIRDFVIQGHKEKILPLPGDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRVFLLGCHPKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPDGMPMQLEKPIVLEDALSDLPEVANGEKREEMLYLKGPQTEFQRYIRSFNSEVLGSRAQVTRESKSKLYDHRPRALDDDNYLRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCVLTYEHGKSLRP >PVH61606 pep chromosome:PHallii_v3.1:3:4904885:4914513:1 gene:PAHAL_3G074800 transcript:PVH61606 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEPPPPDPTSPPAISPPAAAEGSSPSPGGGDGAAGGFSAGLEPLWSLLFGDPAELEPMWSPPRDFGVGVAAGFAAPEPDADEGDAEGPWDGAPWRSTGIVAGEGAAAGFPEFEPAAEASTGGAALEVRPLDYSAPDSASPVPPCSPAPVPLEVRAPDLIPSWDEPALPPAAVSSEAKLEECTLNSVPSPPAPPSPDDPDLGAEDGPNDGSSSQKITSGAIVVVGLTAAERPEVNAASCSMPDVVGRDCTPLRRSPRIVAAKAKASAASLERNADSSRASKLRLAVSGRSSISEGSSFEETTTVAPIKPVDFLDGLKPQGSREIVAVQSSSTNVVVALPVVNKRSSKNKAVSVSSPRKTRSASKVLVNSNRVSAVSPAMNCGPVKKAASHIPPRKHKLASEKCLPSLERVDAVLHNSGLASAKKVEMPLELQAAASQPPKAKRARVSSGKCSSNLRRAENNSTPICKLPMVTVTSDPENKPKLILDKYSTDSEMVDSEDGSCFFMGEAVPDEEARQRWPNRYQSNHCLLKKDKWSNTQTFSNAGKAVLDVKCHYLQASVCGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETSAGEYYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDNLLDCIVSKVTIVQVSPSVNEKSQSIPSFHYYYDMKYSLDYSTFSTMEMGHANDTLQSHYTSRNNNNVKRIDSTEKQKSPAPEMKELSLLDLYCGCGGMSTGLCLGARGGGVNLIARWAVDGDEAACESFRLNHPETRVRKETTDDFLELLKEWEKLCKTYVKLCSKLKSRSSFSAQSSNETPECSTIIPPEEFEVWKLVDICFGDPNGVGKRGLYFKVRWKGYGPNDDTWEPTEGLKNCKEAIRDFVIQGHKEKILPLPGDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRVFLLGCHPKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPDGMPMQLEKPIVLEDALSDLPEVANGEKREEMLYLKGPQTEFQRYIRSFNSEVLGSRAQVTRESKSKLYDHRPRALDDDNYLRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCVLTYEHDHMVDYGGMR >PAN16647 pep chromosome:PHallii_v3.1:3:4904885:4914513:1 gene:PAHAL_3G074800 transcript:PAN16647 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEPPPPDPTSPPAISPPAAAEGSSPSPGGGDGAAGGFSAGLEPLWSLLFGDPAELEPMWSPPRDFGVGVAAGFAAPEPDADEGDAEGPWDGAPWRSTGIVAGEGAAAGFPEFEPAAEASTGGAALEVRPLDYSAPDSASPVPPCSPAPVPLEVRAPDLIPSWDEPALPPAAVSSEAKLEECTLNSVPSPPAPPSPDDPDLGAEDGPNDGSSSQKITSGAIVVVGLTAAERPEVNAASCSMPDVVGRDCTPLRRSPRIVAAKAKASAASLERNADSSRASKLRLAVSGRSSISEGSSFEETTTVAPIKPVDFLDGLKPQGSREIVAVQSSSTNVVVALPVVNKRSSKNKAVSVSSPRKTRSASKVLVNSNRVSAVSPAMNCGPVKKAASHIPPRKHKLASEKCLPSLERVDAVLHNSGLASAKKVEMPLELQAAASQPPKAKRARVSSGKCSSNLRRAENNSTPICKLPMVTVTSDPENKPKLILDKYSTDSEMVDSEDGSCFFMGEAVPDEEARQRWPNRYQSNHCLLKKDKWSNTQTFSNAGKAVLDVKCHYLQASVCGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETSAGEYYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDNLLDCIVSKVTIVQVSPSVNEKSQSIPSFHYYYDMKYSLDYSTFSTMEMGHANDTLQSHYTSRNNNNVKRIDSTEKQKSPAPEMKELSLLDLYCGCGGMSTGLCLGARGGGVNLIARWAVDGDEAACESFRLNHPETRVRKETTDDFLELLKEWEKLCKTYVKLCSKLKSRSSFSAQSSNETPECSTIIPPEEFEVWKLVDICFGDPNGVGKRGLYFKVRWKGYGPNDDTWEPTEGLKNCKEAIRDFVIQGHKEKILPLPGDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRVFLLGCHPKEKLPPFPLPTHEAIVKNGCPLAFEVANGEKREEMLYLKGPQTEFQRYIRSFNSEVLGSRAQVTRESKSKLYDHRPRALDDDNYLRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCVLTYEHGKSLRPYGRLWWDEVVGTVLTSPNARMQALIHPAQDRLLTIRESARLQGFPDSFRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAILNKTGDDPLMVLPPKFAFSHEVQGLSSPNSLQSGNI >PAN18217 pep chromosome:PHallii_v3.1:3:12242495:12250126:-1 gene:PAHAL_3G186600 transcript:PAN18217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSAEVVAVARDVASSSPSKPAPASDMTRFQRPSSDCLSLPNGIAGGSSVSGSRKPPAVPAARSSKDDASPAVATDSSRLAAFLASTSLEPKPRARAPQPPAQAAPSSSPAAVATRSPARDHGHHQHYSDFSDPASPSAAGVGGGGEVLLQWGQNKRSRGRRDAASASGASPQRRAGAKIQRRSPAPAPPSGPSYTRGSNLRAASPLPPRSGAGIGTSDAHHSRGALPHHHRSAAEERAVGKSSSASAAAGKQRLASDKAPQQAHKAGPGPVMGLGVPDPKPQHHHQGGQQHPGGGAGASSSSSKPAPKLELPRIYTTLSRKEKEEDFLAMKGTKLPQRPKRRPKNVEKAVNFICPGAWLTDVTRSRYEVREKKCPKKQQKHRGLKGMESMDSDSD >PAN18218 pep chromosome:PHallii_v3.1:3:12242495:12249953:-1 gene:PAHAL_3G186600 transcript:PAN18218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSAEVVAVARDVASSSPSKPAPASDMTRFQRPSSDCLSLPNGIAGGSSVSGSRKPPAVPAARSSKDDASPAVATDSSRLAAFLASTSLEPKPRARAPQPPAQAAPSSSPAAVATRSPARDHGHHQHYSDFSDPASPSAAGVGGGGEVLLQWGQNKRSRGRRDAASASGASPQRRAGAKIQRRSPAPAPPSGPSYTRGSNLRAASPLPPRSGAGIGTSDAHHSRGALPHHHRSAAEERAVGKSSSASAAAGKQRLASDKAPQQAHKAGPGPVMGLGVPDPKPQHHHQGGQQHPGGGAGASSSSSKPAPKLELPRIYTTLSRKEKEEDFLAMKGTKLPQRPKRRPKNVEKAVNFICPGAWLTDVTRSRYEVREKKCPKKQQKHRGLKGMESMDSDSD >PAN21171 pep chromosome:PHallii_v3.1:3:58435620:58438310:1 gene:PAHAL_3G447100 transcript:PAN21171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARRLASPFAAAATARLLSAAAPEASSGGGGAGGAGRGDTLGKRLLKLIYPKRSAVVVLRRWAEEGRTVQKYQLNRVVRELRKYGRFKHALEICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLASAEKFFEDMPERAKGPSTCNALLHAYVQHGVREKAEAMLAEMSRAGYLTCALPFNHMMSLYMASGELERVPEMIKELRRYTVPDLVTYNIWLTYCSKKNSVKSAEKVFDLMKDDRVVPDWMTFSLLASIYINAGLHVKGRDALVEMEKRASKKERAAYSSLLTLYASLSDRGNLDRVWNKMKLIFRKFSDTEYKCMLTSLTRFDDIAEAENIYREWESASGTRDSRIPNAILSYYIKNGMIEKAESFLSHIMEKRVKPSYSTWELFVWGYLSNNKTDKVLECLEKALSSVEKWEPNHEIAMAIYSHVEKTGDIEAAEKILVMFRDAGYVTTEMYNSVLHTYAKAELMPLIIEERMEQDKVTMDEETRKLLSLTSKYPIGEVSTLMS >PVH62019 pep chromosome:PHallii_v3.1:3:12007067:12007651:1 gene:PAHAL_3G183600 transcript:PVH62019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPAREGIELKGSIDRDKAAPPPPETTSGRAGEFQVSPDRRSNVVENKFPMTRLSCIHACAAVEEQYVPLSSPREKRTLPRQYLNRSSRHRAARQRRPRACR >PVH63301 pep chromosome:PHallii_v3.1:3:64395280:64395828:1 gene:PAHAL_3G516900 transcript:PVH63301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSQSPFPSPASRLPPSRAPAAAGPAPPPAPRRRRRPRAPPAPCALRLRAAGHARRTAPRAGRPAPPARCRPPGPASCTAPACAPHCPRLRAAGPARRTAPAAPPARCRPPGPARRTAAVRLCRRPRAAARRRPRAAGLQAPRAAGPAAGPARLRLRARRFQGGPDEPTKAAICSASKTSL >PVH62895 pep chromosome:PHallii_v3.1:3:55679346:55680131:1 gene:PAHAL_3G425300 transcript:PVH62895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGCAGAEDIEIYQGQASPLPSGVPAYKVDVVNRCLGGELDGGECAIAGIHVRCGWFSSVSLVDPRRFRRLGHDDCLLNDGRPLLGGETVSFEYANSFKYDLSVRVATCVDPTASP >PAN20950 pep chromosome:PHallii_v3.1:3:55791198:55796360:1 gene:PAHAL_3G425800 transcript:PAN20950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGLLGGAFWATRALEVVKRNDGPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGQSESSSASNTASAAANQQ >PAN16477 pep chromosome:PHallii_v3.1:3:4037391:4041208:1 gene:PAHAL_3G063600 transcript:PAN16477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCAGHMASLLVALLSASSYLLVAGSHQDQEFHGAAGSRVRLQNPHKHEVHCSRERSRAAWEAIDEYLMPFVEKEKYELPSKCRLRPDNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHKNLLDNSEGRCLADLCGALHCDLMMEFKKPKSKCNAAAAVRNRHLCESLADSCFPINQGLAASRLHEFFLRQFCDAHTCDRGTKPFPKGGRVSKFLHRSCVPYALMLMLFQVITFCIN >PAN16478 pep chromosome:PHallii_v3.1:3:4037391:4042764:1 gene:PAHAL_3G063600 transcript:PAN16478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCAGHMASLLVALLSASSYLLVAGSHQDQEFHGAAGSRVRLQNPHKHEVHCSRERSRAAWEAIDEYLMPFVEKEKYELPSKCRLRPDNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHKNLLDNSEGRCLADLCGALHCDLMMEFKKPKSKCNAAAAVRNRHLCESLADSCFPINQGLAASRLHEFFLRQFCDAHTCDRGTKPFPKGGRKQTNRFYLALCVLTLILLPLFYLIVFLHQREMRKGVHDLKRFSKIGQKKKPS >PVH62587 pep chromosome:PHallii_v3.1:3:26383037:26383552:1 gene:PAHAL_3G329900 transcript:PVH62587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSVSSNSPSSSSIISITSPDSNTSREATPEFDPIASYEDLAPPHWDAEEWDYSTWSEDNEPLTDDEDLQILLHGDLGEDDDEESWDDDSFSFSEEEAKETSTNDDSVAGGFLRDGLSTSEDGENTRDDTSDDGCNNSGTIGGDGSSDDDTSASPPYKRRKTLGTYCW >PAN16424 pep chromosome:PHallii_v3.1:3:3719254:3724733:1 gene:PAHAL_3G059200 transcript:PAN16424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPPWLVFLFGVLVQTSAILADTDSRDSSALIAITDNWKNKPSNWNGNDPCRDKWIGIICTGNRVTSVRLSSIGLSGTLSGDIQSLSELVYLDLSYNKDLGGPLPATIGSLSNLQNLILVGCSFSGEIPKELGQLSKLIFLSLNSNKFSGSIPASLGNLSKLYWFDLADNKLTGELPIFDGTNPGLDNLTNTKHFHFGINQLSGPIPSQIFSSHMKLIHLLLDNNNFSGSIPPTLGLLSTLEVLRFDNNPQLSGPVPSNINNLTKLAELHLENSKLTGPLPDLTGMSSLSFVDMSNNSFNASDVPSWFTTLPSLTSLFLENLKIGGQLPQALFSLPAVQTLRLRGNRFNGTLTIGSDFSTQLQLIDLRDNQISANTVGGSQYSKTLILVGNPICVPGSNEKYCVEPGQSNPTAAPYSTARNCSALPTPCLSNQLLSPSCICAVPYRGTLFFRSPSFSDLTSPTNFVTLEKDMETKFEKLNVPVDSIAIHDPFFDSNNNLQMSLELFPSGKVQFSEQDISDVGFILSNQTYKPPGTFGPYYFNGQQYSFANDLAVPSQSKKTNRLPLIIGASAGGAVLAVAVVVIVVFIARRKRTPKGTEDRSQSFVSWDMKSTSASTVPQLRGARMFSFDELKKITNNFSEANDIGNGGYGKVYRGTLPSGQLVAVKRSQQGSLQGSLEFRTEIELLSRVHHKNVVSLVGFCLDQDEQILVYEYVPNGTLKESLTGKSGVRLDWRRRLRVVLGAAKGVAYLHELADPPIVHRDIKSSNVLLDERLNAKVSDFGLSKPLGEDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEVVTARKPLERGRYIVREVKAAVDRSKDLYGLHELLDPALGAAPSALAGLEQYVDLALRCVEEAGADRPSMGEVVSEIERMVKMAGGPGPESASESMSYASRTPRHPYGGDSSFDYSGGGMPSTRVEPK >PVH61577 pep chromosome:PHallii_v3.1:3:4249015:4250769:1 gene:PAHAL_3G066300 transcript:PVH61577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAAISETRVAVATVRKKSLQNIMTAPREEAQYRHIEIFDTTALDRPAQITLSARGKADHYSPFVLDGGSRIGYHRCRTDKLVVQNGTAVAQKNFEKVQTPASHKDVGLLRVAGVFPSFSKDGSKLAFVDNEFKAVWVADKEGLHVIQKLKGQNKVFSTAWNQNPDKDTLYICVGPAFTRKSVVDIYRIVRDQATGEWTDKRLTTGNFNNAFPSSSPDGSKFVFKSTRDRLGGDGDRMQTNLFVMEDADLGEWGEGTVTQLTDGEWVDTHCSWSPSGDWIVFASSRDKDPKAPGGPLDAGFFSIYLVNAGERAPDKVPKPVRVVHSSDTFIGHVNHPVFSPDMRSLVFTSDLAAVSVEPISMPIFIHSVRPYGDIFSVDLRDKDDIAKNKDIQEFHRLTHSRYEYSTPAWTEFAAVDPNEQWNMLKTISDKGYKPQCPYLHGKDAEGWQLTGHLTILNGSGEKPGTLKRCC >PAN16556 pep chromosome:PHallii_v3.1:3:4382887:4384460:-1 gene:PAHAL_3G068600 transcript:PAN16556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYAIAPIVCALLQRELYMHLYINQVWDGSDATLDQIRVINSTIPVTGFGTTVVHDWPVTVGVEANATVVGHARGLHIQSSHDGVSGWYTSFILMFEDKSFKGSTLKVMGITPQDGQWSIIGGTGEFVMAQGVIDHKIVKQGISRIYELNIHVVYTPMDSSVFECNSWKLGPPQGGIVM >PAN15771 pep chromosome:PHallii_v3.1:3:994169:995976:-1 gene:PAHAL_3G018300 transcript:PAN15771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVEQQLSKQQIEEFREAFSLFDKDGDGTITTKELGTVMGSLGQSPTEEELQEMVKEVDADGSGAIDFQEFLTLLARQMRESSGADEEELREAFRVFDQDQNGFISRDELRHVLQNLGERLSDEELAEMLREADVDGDGQINYTEFAKVMLAKRRHQEMEGHGSDSDHAKTTCPSCTIL >PVH62831 pep chromosome:PHallii_v3.1:3:53327638:53330798:1 gene:PAHAL_3G412500 transcript:PVH62831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSAMAFQQPPRRRRRRLLPPTAKPQSPTVTTIHTLGDDLGVMMSSARRSPAVPSSPPSARPRPSAAASARSTRPLSSESSSKPMAATSPPSRPSAAAPTRTSPRPSAAPTSSSPTCPTTKTPTRGWTIVDCHRGCLLLLNSETEQIAAYNPLTRALHLLPTPPDDISKGRRGARPPLRNWRDKGWEAVHGFHIGVHAVHFVLESRY >PVH62832 pep chromosome:PHallii_v3.1:3:53327638:53330798:1 gene:PAHAL_3G412500 transcript:PVH62832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSAMAFQQPPRRRRRRLLPPTAKPQSPTVTTIHTLGDDLGVMMSSARRSPAVPSSPPSARPRPSAAASARSTRPLSSESSSKPMAATSPPSRPSAAAPTRTSPRPSAAPTSSSPTCPTTKTPTRGWTIVDCHRGCLLLLNSETEQIAAYNPLTRALHLLPTPPDDISKGRRGKFQRLDFFLFLSDENPCSFRVIFMPRQVAGARGRLLVGR >PAN18093 pep chromosome:PHallii_v3.1:3:11686996:11690386:1 gene:PAHAL_3G177700 transcript:PAN18093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLALRLVAPPPPCLFHRCLALPPDFLSSCVLRGRRIRASRLEHGVGVVSHASMTYSGVEEEEMVEGEVVEEEDSEPMENTRPRLELIEKPDWSLALLDEYESEELSTSQCANHRSGYVAVLGKPNVGKSTLINQMVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVVDACKAPEKIDEMLEEGVGNKGIGVPVLLVLNKKDLIKPGEIAKKLEWYQKFTDVDDVIPVSAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYSCQVNVVSYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLELEVKVKENWRQDERLLKRYGYGGEIQAL >PAN19563 pep chromosome:PHallii_v3.1:3:19032942:19037433:1 gene:PAHAL_3G283100 transcript:PAN19563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFILSLMELGVSAAVHLVFGFYVFSTAVAADISQAAAASGSFLLRRQPPAAAGEGALVDVAAAGERDERRGAGPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEDHSKACGHKRFGRIYPTGHYPVWDEQNPVHFVGHSAGAQVVRVLHQMLADKAFPGHDTSEDWILSLTSLSGALNGTTRTYYDGMLVEDGKSMRSICLLQLCRLGVIVYDWLDIPWLKNFYNFGFDHYEMSRRKVGFWGLTDLLLGHSGPFASGDWILPDLTIQGSIKLNSRLRTFPNTFYFSYATKKTRKLFGITVPSSVLGVHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPTEHPHRFVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRKSPPTLPNETSQ >PAN19118 pep chromosome:PHallii_v3.1:3:16275844:16278259:1 gene:PAHAL_3G251000 transcript:PAN19118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLGARSHPGAVVLAALGSHALRRSFVLLLRRRALRLAWQPSQQSPHVVRAMPSREGPASCHPALRAPLPLHWAATPCEQHRRLQFRLAVEPRGPRRRPPLPRLATEERGQLGRCPLGPRAELSAAVG >PAN21108 pep chromosome:PHallii_v3.1:3:57945884:57948247:1 gene:PAHAL_3G441400 transcript:PAN21108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIVADPGLRKPIDDFHHDIRDDARRAFLEMGPFQPAVSVNKDAAYCFYCFLFTPPRTNIFGNDAFTKVGFRNWKNGKKGFREHVQSIDGCHNIARKRAIDFKNQRQSVAHVWKKDPQVASVTGENAPRNNQMTCPDTQKVVRACAEMTSDAIKAEIGDCLFFVLVDESRDASIKEQMAVVVRYVNDKGYVIERFLGIQHVSDTTSPSLKLALDAMLAKYGLSISRLRGQGYDGASNMRGQFHGLQRRVLDENPYAFYIHCFAHRLQLVVIVVAKCCGAVYDFFFNFTTLIVNTVSASCKRRDQLLQDHHEKLVDQLERGVIFAGRGKNQETSLARPDANDGDKKTQASGLIERMETFEYVFILHLMIRVLGKTQELSQCLQRKNQNIVRAIGLIGSVLTNMNDMRENGWDPLFEEVKSFCLKINIVMPNMEDTIQVRGRSRCRGAKLVSYYHHFHHGILIVIIDQILTELNNRFGERSTQFLRCIACLNPKNSFEYFEVDKLIELAKIYAEDFGDYDCVALRDQLPTFIVDVRDDDDFSSCNDIGNLAVKMVETDRHTCYHLVYCLIELALVLPVATATVERVFSVMNIIKTERKNKMGDDWTNHSTLCYIEHELFVSIEDKVILERFQAIGNRKTKLPRK >PAN17755 pep chromosome:PHallii_v3.1:3:9888452:9902302:1 gene:PAHAL_3G154000 transcript:PAN17755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPQELNALLKKVSQYIRSKNWDTRVAAAHAIGAIAENVKHTSLKDLCTSVEAEKHASGLSDGSDDAGSLPRADPAATSDLAFGRFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKKNLRRRLGLDVCEQFMDVNDVIKDEDLLAQKNYWGSHVQNNGFNSFNTGRNIQQLVSTMVPRYHKQPNFRSRRLSARELNMLKRKAKSNAKDHTKTVSEGDEVTLKSPAPSNGATSDQSGAQNDALDITTDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLSLPSAILDGKTNFESLKRAHGIDLNDDVHVEHLEPALKRHKKEQNPSESMYMDYDKEMVNGGHSKTEADLSNVPTVSTGELSSAHVKVEPEFCVGDSTDPAKGDSSCKPVHEKLNSTSNPISHVHAPENSKFMKLMKLTKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYVIHACKAGLEDPDDDVRAVAAEALIPAADSLVRLNDKMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTATSGERGEFDLNKLTQTAEQEERLPSSENPYGLATLTPRLWPFMRHSITSVRRSAIRTLEKLLEVGNSRSLSGTVPSKFWPTSILGDALQVVFQNLLLESNDEILQSSERAWKLLLQCPEKDLESAAKLYFSNWVQLATTPFGSALDSTKMFLPVALPRGSRSRAAAKIRSAKLEHECTRMISFGSTGESTSHEKHFDVPSSVSKIIVGADSDKSVTHTRVLTSMALGLFASKLPVGSWQVVLSPLANDLMSLSGVQRQVASMVIVSWFKDLRGRDPVSVGTLLAFLSSVKEWLLDLLTCSDPALPTKDSVLPYSELSRTYTKMRNEANNLLHSIDSCPVFKDYISGLNLNVDVLGVDDAINFASKLLLPSESDLLSESERIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVCSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEEVLQDKAADALAELICSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVVEDQNLLSIGKRFSSHRSRGHTASVSEERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKTEDCPKDDTSIAQLGRSYEDKDPQSLINNIQVVRSITPHLAEPLRPQLLSLLPCILGCVRHAHVAVRLAAARCITSMAKSLADDVMVLVIENVIPMLSDLSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLKCMSDPDGSVRQTVTHSFAALVPLLPLSKGASLPGGLSERLSSSAEDVQFLEQLLDNSQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAMVASDIAESRARNDEKDPTSLIICPSTLVAHWEYEIEKYIDGSIMKPLQYVGSSQDRVTLRSQFDKFNVIITSYDIIRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSLLQLKLYDKFSSSNAKEEISTIVKANESEESAPQPKATRHVFQALQYLLKLCSHPLLVTGENPPDHLVDLLKEIGVGSGGELHELHHSPKLVALQEILQECGIGSEISSPDASTAIGQHRILIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVEAEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPQGLSDDQNKDSKKKSGGKGLKSIINGLDELWDQSQYADEYDLNQFLAKLNG >PAN17740 pep chromosome:PHallii_v3.1:3:9891165:9902302:1 gene:PAHAL_3G154000 transcript:PAN17740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNDVIKDEDLLAQKNYWGSHVQNNGFNSFNTGRNIQQLVSTMVPRYHKQPNFRSRRLSARELNMLKRKAKSNAKDHTKTVSEGDEVTLKSPAPSNGATSDQSGAQNDALDITTDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLSLPSAILDGKTNFESLKRAHGIDLNDDVHVEHLEPALKRHKKEQNPSESMYMDYDKEMVNGGHSKTEADLSNVPTVSTGELSSAHVKVEPEFCVGDSTDPAKGDSSCKPVHEKLNSTSNPISHVHAPENSKFMKLMKLTKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYVIHACKAGLEDPDDDVRAVAAEALIPAADSLVRLNDKMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTATSGERGEFDLNKLTQTAEQEERLPSSENPYGLATLTPRLWPFMRHSITSVRRSAIRTLEKLLEVGNSRSLSGTVPSKFWPTSILGDALQVVFQNLLLESNDEILQSSERAWKLLLQCPEKDLESAAKLYFSNWVQLATTPFGSALDSTKMFLPVALPRGSRSRAAAKIRSAKLEHECTRMISFGSTGESTSHEKHFDVPSSVSKIIVGADSDKSVTHTRVLTSMALGLFASKLPVGSWQVVLSPLANDLMSLSGVQRQVASMVIVSWFKDLRGRDPVSVGTLLAFLSSVKEWLLDLLTCSDPALPTKDSVLPYSELSRTYTKMRNEANNLLHSIDSCPVFKDYISGLNLNVDVLGVDDAINFASKLLLPSESDLLSESERIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVCSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEEVLQDKAADALAELICSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVVEDQNLLSIGKRFSSHRSRGHTASVSEERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKTEDCPKDDTSIAQLGRSYEDKDPQSLINNIQVVRSITPHLAEPLRPQLLSLLPCILGCVRHAHVAVRLAAARCITSMAKSLADDVMVLVIENVIPMLSDLSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLKCMSDPDGSVRQTVTHSFAALVPLLPLSKGASLPGGLSERLSSSAEDVQFLEQLLDNSQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAMVASDIAESRARNDEKDPTSLIICPSTLVAHWEYEIEKYIDGSIMKPLQYVGSSQDRVTLRSQFDKFNVIITSYDIIRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSLLQLKLYDKFSSSNAKEEISTIVKANESEESAPQPKATRHVFQALQYLLKLCSHPLLVTGENPPDHLVDLLKEIGVGSGGELHELHHSPKLVALQEILQECGIGSEISSPDASTAIGQHRILIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVEAEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPQGLSDDQNKDSKKKSGGKGLKSIINGLDELWDQSQYADEYDLNQFLAKLNG >PVH63200 pep chromosome:PHallii_v3.1:3:62376146:62377203:-1 gene:PAHAL_3G491900 transcript:PVH63200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGKEKEKVEGDGSARERTITWDDDQTKFMFGWFIEFIKDQHVGFKLKKQHHFKCAEALNRQFNMGVSATQVERHLRHYKENWKFVASALAKSGNTFDATRSMVIISESDKAKLQVRVRMLLSKPIKFYNEMQELFRNSNADDDLGDDSDTLPSPTNEQTSFLSQTGDGSSSSSGMKRHRAEGKPAKRDVRPKSQLSKIGDTIATTLVTLQQELKKPAPAPPHMPNSDAILWQRLENMTLTTDQKLMVGTFLAHKDQKGMRGFLSGSAEMTFQSWVFKFLSDSGL >PVH61259 pep chromosome:PHallii_v3.1:3:60119:60493:-1 gene:PAHAL_3G001300 transcript:PVH61259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVNGIWLIKYRESYFLIGFWCKLFIESKNLVKGHLFVSSELYCSQFVQRRMECRIRHLTLSQILRL >PVH61803 pep chromosome:PHallii_v3.1:3:8195511:8203516:-1 gene:PAHAL_3G126500 transcript:PVH61803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVMGAMGSLLPKLGELLKEEYGLQKGVRKKIQSLSQELEAVHAVLRRIGDVPPEQLDELVRLWVRDVREASYDMEDIVDNFLVRIDEPADPHMLRRLRKKVGGLFKKSKARRNISCLIQDITEKLEEVAARRRRYSLDSIIVAKPAAATTIDPRIMNLYKRATELVGIEGSRDELISLLSLGGDVDVPDKKMKIVSVFGFGGLGKTTLAKAVHDQLKSHFERSAFVPVGRNPDVKKVLRDILIDLDSGEYANSKLMVLDEKQLMDKLKEFVKDKRCFMVIDDIWDKESWKLIRCALQDSNCGSRVVVTTRICEVAAHADEAYKIQPLSRDNSEKLLYARIADGEGKYFDSPSAEACDKILKKCGGVPLAIITIASLLASKSWEDWSEVYNSIGFGQGGNDDVDNTRKILSFSYYDLPSHLKPCLLYLSIFSEDQEIGKNNLIWMWVAEGFVHEERAEGIRLFELGERYFNELINRSMIQPVERFLGYVDCCRVHDMVFDLVRSLSSQENFVTVLDGDDERQKLPGSIARRIALQRIEQHSGGQLLANIAVDKIRSFITSECNFGPSSCPHTPVLRVLDMQGCYNGEKIHEGMINHLGSMLHIRYLRLASSVGETNIKLPREVRYLKFLQTLDLLKFRINELPEEVGLLTQLVCLRVGPETRIPDGLIGKLTSLQELVRWPPADDDYDDARRMQFVKELGMLRDLRVLWTQIHVRDESMARALLESLGNLHNIRMMHIEGVPPDGDNGILSYMVFLGNLHTIPMMFIDDSPLYVVKSMTSHEGFITCRHLQYLYLNCLVFSRLPKWINASLAPNLSYLHVRVRAVKGQDMETLARLPELRSLTLILCDETKLNKIKISCTAQGVGYYFRKVRILKIYGAPSWFDLHDCVSNGSVASTIMPSLESLEFEVSVRLLKDAALLSFGRLLIGFETLGRTSLQSVTVRVNCEGARILDVEDMEDALERTAAVHPKRPDLETIRRQEEEMLSIYQEARMDVSRTPDFVLKAWKSADIVDSGHIRALRIPPDPEASSTKVLRLLYANNGKYLLTLSSNAILKLWKWGSSEKNPRGRPTASVAPLLWQPEEGILMTNDTIEANTGAAAACIALSKIECYIISASGGKISLFNAKTFKTMATFMPPPPASTFLAFYPQDNNIIAIGMEDSSIQIYNVRTDEVRRVLMGHQKKVTGLTFSQSMNVLVSSGADAQLCVWSTRNWENKKSRYIRPPSNGSALVGDTMVQFHYDQTHLLVVHESQLAIYDGKLECLHSWSPRDALPSPISSAVYTSDGLLVYAGFRDGAIGIFEAESLRLRCRIAPSAYIPSSISSGGEVVYPMAVSAYPLLNPNQIALGMSNGAVHVLEPLED >PAN19218 pep chromosome:PHallii_v3.1:3:16905865:16908219:1 gene:PAHAL_3G257100 transcript:PAN19218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRPARSDPHLPPEEAARVEAEVRGYFDSAAPRRPAKPPRSDPSEDVAGAEAGAGDHDLPELRKLRDLEAKPQKLVLDGAGDVDGGEEYVETRYYDGLIGIDKQHHTTGTGFIKVERPNGSGFSVTSNGSSSTSFVRCTSNPATNDWIPSAETVIPASNKPSRSDS >PAN21007 pep chromosome:PHallii_v3.1:3:56336251:56341815:1 gene:PAHAL_3G429500 transcript:PAN21007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPSDSSHHGAVDNSPNGKTQGWREEARKLGPSWYFSRKEIEENSPSRRDGIDLKRENGLRKSYCNFLQELGMKLKVPQVSIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPRPLKDVIVVSYELIHKKDPNAVQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYKPLVEAIRRFKVVEKSALPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPAEGDKVWWQDFDVTPRQLEEVSNQMLELYEQNRTPQAQPSQGSEAEGSSAGVRNQHSSVKSEGNSKEPSAKLSNLQHSYLTGAPGHRDVGHLNSDKHISGHKLLQNDNGNHGGSKDRSSKSGSKSDAGDGVLGGNEAPGVSTSRMDAMNKIDKDKVKAALEKRRKSKGGVAANVNVMDDDDLLERELEHGVELAVEDEKIKQDKMQTLSHDSMPPADLQHVDYVMENGYHGEKSGSTTAEDGEFPRDSKEQHPQSFDKRTDGSEHKSQQGDHTLKHHKGHDDAQLAGRHEQDGRNDYKRPKLEGVLDNEV >PAN21003 pep chromosome:PHallii_v3.1:3:56334808:56341815:1 gene:PAHAL_3G429500 transcript:PAN21003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPSDSSHHGAVDNSPNGKTQGWREEARKLGPSWYFSRKEIEENSPSRRDGIDLKRENGLRKSYCNFLQELGMKLKVPQVSIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPRPLKDVIVVSYELIHKKDPNAVQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYKPLVEAIRRFKVVEKSALPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPAEGDKVWWQDFDVTPRQLEEVSNQMLELYEQNRTPQAQPSQGSEAEGSSAGVRNQHSSVKSEGNSKEPSAKLSNLQHSYLTGAPGHRDVGHLNSDKHISGHKLLQNDNGNHGGSKDRSSKSGSKSDAGDGVLGGNEAPGVSTSRMDAMNKIDKDKVKAALEKRRKSKGGVAANVNVMDDDDLLERELEHGVELAVEDEKIKQDKMQTLSHDSMPPADLQHVDYVMENGYHGEKSGSTTAEDGEFPRDSKEQHPQSFDKRTDGSEHKSQQGDHTLKHHKGHDDAQLAGRHEQDGRNDYKRPKLEGVLDNEV >PAN21005 pep chromosome:PHallii_v3.1:3:56334808:56341814:1 gene:PAHAL_3G429500 transcript:PAN21005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPSDSSHHGAVDNSPNGKTQGWREEARKLGPSWYFSRKEIEENSPSRRDGIDLKRENGLRKSYCNFLQELGMKLKVPQVSIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPRPLKDVIVVSYELIHKKDPNAVQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYKPLVEAIRRFKVVEKSALPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPAEGDKVWWQDFDVTPRQLEEVSNQMLELYEQNRTPQAQPSQGSEAEGSSAGVRNQHSSVKSEGNSKEPSAKLSNLQHSYLTGAPGHRDVGHLNSDKHISGHKLLQNDNGNHGGSKDRSSKSGSKSDAGMDRSHHDKKSSPGHHYSKSSREFRNPIEEHIPHRSHENSNETGDGVLGGNEAPGVSTSRMDAMNKIDKDKVKAALEKRRKSKGGVAANVNVMDDDDLLERELEHGVELAVEDEKIKQDKMQTLSHDSMPPADLQHVDYVMENGYHGEKSGSTTAEDGEFPRDSKEQHPQSFDKRTDGSEHKSQQGDHTLKHHKGHDDAQLAGRHEQDGRNDYKRPKLEGVLDNEV >PAN21004 pep chromosome:PHallii_v3.1:3:56334808:56341815:1 gene:PAHAL_3G429500 transcript:PAN21004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPSDSSHHGAVDNSPNGKTQGWREEARKLGPSWYFSRKEIEENSPSRRDGIDLKRENGLRKSYCNFLQELGMKLKVPQVSIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPRPLKDVIVVSYELIHKKDPNAVQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYKPLVEAIRRFKVVEKSALPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPAEGDKVWWQDFDVTPRQLEEVSNQMLELYEQNRTPQAQPSQGSEAEGSSAGVRNQHSSVKSEGNSKEPSAKLSNLQHSYLTGAPGHRDVGHLNSDKHISGHKLLQNDNGNHGGSKDRSSKSGSKSDAGDGVLGGNEAPGVSTSRMDAMNKIDKDKVKAALEKRRKSKGGVAANVNVMDDDDLLERELEHGVELAVEDEKIKQDKMQTLSHDSMPPADLQHVDYVMENGYHGEKSGSTTAEDGEFPRDSKEQHPQSFDKRTDGSEHKSQQGDHTLKHHKGHDDAQLAGRHEQDGRNDYKRPKLEGVLDNEV >PVH62917 pep chromosome:PHallii_v3.1:3:56334808:56341789:1 gene:PAHAL_3G429500 transcript:PVH62917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPSDSSHHGAVDNSPNGKTQGWREEARKLGPSWYFSRKEIEENSPSRRDGIDLKRENGLRKSYCNFLQELGMKLKVPQVSIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPRPLKDVIVVSYELIHKKDPNAVQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYKPLVEAIRRFKVVEKSALPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPAEGDKVWWQDFDVTPRQLEEVSNQMLELYEQNRTPQAQPSQGSEAEGSSAGVRNQHSSVKSEGNSKEPSAKLSNLQHSYLTGAPGHRDVGHLNSDKHISGHKLLQNDNGNHGGSKDRSSKSGSKSDAGMDRSHHDKKSSPGHHYSKSSREFRNPIEEHIPHRSHENSNETGDGVLGGNEAPGVSTSRMDAMNKIDKDKVKAALEKRRKSKGGVAANVNVMDDDDLLERELEHGVELAVEDEKIKQDKMQTLSHDSMPPADLQHVDYVMENGYHGEKSGSTTAEDGEFPRDSKEQHPQSFDKRTDGSEHKSQQGDHTLKHHKGHDDAQLAGRHEQDGRNDYKRPKLEGVLDNEV >PAN21008 pep chromosome:PHallii_v3.1:3:56334808:56341789:1 gene:PAHAL_3G429500 transcript:PAN21008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPSDSSHHGAVDNSPNGKTQGWREEARKLGPSWYFSRKEIEENSPSRRDGIDLKRENGLRKSYCNFLQELGMKLKVPQVSIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPRPLKDVIVVSYELIHKKDPNAVQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYKPLVEAIRRFKVVEKSALPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPAEGDKVWWQDFDVTPRQLEEVSNQMLELYEQNRTPQAQPSQGSEAEGSSAGVRNQHSSVKSEGNSKEPSAKLSNLQHSYLTGAPGHRDVGHLNSDKHISGHKLLQNDNGNHGGSKDRSSKSGSKSDAGMDRSHHDKKSSPGHHYSKSSREFRNPIEEHIPHRSHENSNETGDGVLGGNEAPGVSTSRMDAMNKIDKDKVKAALEKRRKSKGGVAANVNVMDDDDLLERELEHGVELAVEDEKIKQDKMQTLSHDSMPPADLQHVDYVMENGYHGEKSGSTTAEDGEFPRDSKEQHPQSFDKRTDGSEHKSQQGDHTLKHHKGHDDAQLAGRHEQDGRNDYKRPKLEGVLDNEV >PVH62918 pep chromosome:PHallii_v3.1:3:56336251:56341815:1 gene:PAHAL_3G429500 transcript:PVH62918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAGKVEETPRPLKDVIVVSYELIHKKDPNAVQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYKPLVEAIRRFKVVEKSALPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPAEGDKVWWQDFDVTPRQLEEVSNQMLELYEQNRTPQAQPSQGSEAEGSSAGVRNQHSSVKSEGNSKEPSAKLSNLQHSYLTGAPGHRDVGHLNSDKHISGHKLLQNDNGNHGGSKDRSSKSGSKSDAGDGVLGGNEAPGVSTSRMDAMNKIDKDKVKAALEKRRKSKGGVAANVNVMDDDDLLERELEHGVELAVEDEKIKQDKMQTLSHDSMPPADLQHVDYVMENGYHGEKSGSTTAEDGEFPRDSKEQHPQSFDKRTDGSEHKSQQGDHTLKHHKGHDDAQLAGRHEQDGRNDYKRPKLEGVLDNEV >PAN18453 pep chromosome:PHallii_v3.1:3:13221157:13225881:-1 gene:PAHAL_3G202700 transcript:PAN18453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSAASALGLRSGSYGSLPAAVGGSGGAGGVRKAGARGWALRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKDDSSSISIVNNYEVPNTIQKSVYPSTTRPLMMSGDQYSSVVNKIELPNRIHLSYANFTHPCEGFSVPPTLVDKKRTGPRPCPVCYVSVDQAFALMPPEASPSPVLKNLNYISEDGIVANLSSQGSGFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGTGFDIKDDDLLEMEQCRELVVASAIFGNYDMIQHPRNISEFSKANACFYMFVDEETEAYVKNSSSLYSNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLELIADPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLSHYSPAKFPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIKARVGWMPEMFLDCERRNFVVQCFSLLLALAPLHQAYHRELLEQMIASGRRPPSTTDAPPSRKLRPGSRKAPPSKKPSVKRKKEKKSSSRRRVPKPVAAGMGAM >PAN18452 pep chromosome:PHallii_v3.1:3:13221118:13226047:-1 gene:PAHAL_3G202700 transcript:PAN18452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSAASALGLRSGSYGSLPAAVGGSGGAGGVRKAGARGWALRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKDDSSSISIVNNYEVPNTIQKSVYPSTTRPLMMSGDQYSSVVNKIELPNRIHLSYANFTHPCEGFSVPPTLVDKKRTGPRPCPVCYVSVDQAFALMPPEASPSPVLKNLNYISEDGIVANLSSQGSGFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGTGFDIKDDDLLEMEQCRELVVASAIFGNYDMIQHPRNISEFSKANACFYMFVDEETEAYVKNSSSLYSNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLELIADPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLSHYSPAKFPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIKARVGWMPEMFLDCERRNFVVQAYHRELLEQMIASGRRPPSTTDAPPSRKLRPGSRKAPPSKKPSVKRKKEKKSSSRRRVPKPVAAGMGAM >PAN18451 pep chromosome:PHallii_v3.1:3:13221157:13224906:-1 gene:PAHAL_3G202700 transcript:PAN18451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGDQYSSVVNKIELPNRIHLSYANFTHPCEGFSVPPTLVDKKRTGPRPCPVCYVSVDQAFALMPPEASPSPVLKNLNYISEDGIVANLSSQGSGFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGTGFDIKDDDLLEMEQCRELVVASAIFGNYDMIQHPRNISEFSKANACFYMFVDEETEAYVKNSSSLYSNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLELIADPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLSHYSPAKFPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIKARVGWMPEMFLDCERRNFVVQAYHRELLEQMIASGRRPPSTTDAPPSRKLRPGSRKAPPSKKPSVKRKKEKKSSSRRRVPKPVAAGMGAM >PAN18450 pep chromosome:PHallii_v3.1:3:13221128:13225994:-1 gene:PAHAL_3G202700 transcript:PAN18450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGDQYSSVVNKIELPNRIHLSYANFTHPCEGFSVPPTLVDKKRTGPRPCPVCYVSVDQAFALMPPEASPSPVLKNLNYISEDGIVANLSSQGSGFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGTGFDIKDDDLLEMEQCRELVVASAIFGNYDMIQHPRNISEFSKANACFYMFVDEETEAYVKNSSSLYSNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLELIADPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLSHYSPAKFPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIKARVGWMPEMFLDCERRNFVVQAYHRELLEQMIASGRRPPSTTDAPPSRKLRPGSRKAPPSKKPSVKRKKEKKSSSRRRVPKPVAAGMGAM >PVH62216 pep chromosome:PHallii_v3.1:3:15491088:15493925:1 gene:PAHAL_3G239000 transcript:PVH62216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARRGKRGKKAPMESAGSSIDALPDGVLQHILGFLPAWDAVRTCVLARRWRDLWMFATGLRIIGNDDDDLEGLRESVDHLLLTRGIAPLETCELRFDGIADEFSDGDVIRVNLWFRHAIRCQVRVLRLLLTQQSQHFDLHDLHLVSRHLMELELVGVQVKESFLNLSGCPALETSG >PAN19777 pep chromosome:PHallii_v3.1:3:20452516:20460791:1 gene:PAHAL_3G298900 transcript:PAN19777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGTAAASCRPRSARYAEKGYPSYAELDGRRVPRWRNDGGGRVKNPESRRGRKRGRGVSADAVAAFKVEPPILQVEEAVAQEHDRKRRMTGATVSVTAVGKAFPLIEDAEEEVASTDGGADERGGGKSWRLRVKETLRAFSSNYLHFVQEEQRRAESVRQDLNAFKLLKRQIPGEYGRTMTLAKQHVKRGPNGSTRGHKPKSCNSQVNGNYQEAKRASKRPDLKALTKMQESGAVLYREKMIGHLPGIDVGDQFYSRAEMVALGIHSHWLKGIDYMGMKYQDKKGCEDFTFPLATCIVLSGVYEDDLDNANEIIYTGQGGNNWLGNRLQITAQTLLRGNLALKNSKDNGNPVRVIRGHVEKSSYSGKVYTYDGLYKVVGYWPQKGMQGHLVFKYRLKRLEGQPPLTTSQVLFTRGNVPMPISELPGLVCADISNGQENFPIPATNLVDNPPVPPSGFVYSKSLQIPEHIKIPIDKIGCNCSGDCSTSEHCLCAKRNGSDLPYVSTQRKNANRNRSKHNSVGRLVEPKAVVYECGTYCTCHCNCVNRTSQQGLKYRLEVFKTESKGWGVRTWDTILPGALICEYTGVLRRTTEVEGFLENNYIFDIDCLQTIKGLDGRES >PVH62459 pep chromosome:PHallii_v3.1:3:20452516:20462192:1 gene:PAHAL_3G298900 transcript:PVH62459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGTAAASCRPRSARYAEKGYPSYAELDGRRVPRWRNDGGGRVKNPESRRGRKRGRGVSADAVAAFKVEPPILQVEEAVAQEHDRKRRMTGATVSVTAVGKAFPLIEDAEEEVASTDGGADERGGGKSWRLRVKETLRAFSSNYLHFVQEEQRRAESVRQDLNAFKLLKRQIPGEYGRTMTLAKQHVKRGPNGSTRGHKPKSCNSQVNGNYQEAKRASKRPDLKALTKMQESGAVLYREKMIGHLPGIDVGDQFYSRAEMVALGIHSHWLKGIDYMGMKYQDKKGCEDFTFPLATCIVLSGVYEDDLDNANEIIYTGQGGNNWLGNRLQITAQTLLRGNLALKNSKDNGNPVRVIRGHVEKSSYSGKVYTYDGLYKVVGYWPQKGMQGHLVFKYRLKRLEGQPPLTTSQVLFTRGNVPMPISELPGLVCADISNGQENFPIPATNLVDNPPVPPSGFVYSKSLQIPEHIKIPIDKIGCNCSGDCSTSEHCLCAKRNGSDLPYVSTQRKNANRNRSKHNSVGRLVEPKAVVYECGTYCTCHCNCVNRTSQQGLKYRLETESKGWGVRTWDTILPGALICEYTGVLRRTTEVEGFLENNYIFDIDCLQTIKGLDGREQRAGSELHIASLHSEHDSEASQAPEYCIDAGSVGNIARFINHSCQPNLFIQCVLSSHSDIKLAKIMLFAADTIPPFQELGYDYGYHLDSVTGADGQIVKLACHCGAPDCRKRLY >PAN19778 pep chromosome:PHallii_v3.1:3:20452516:20462192:1 gene:PAHAL_3G298900 transcript:PAN19778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGTAAASCRPRSARYAEKGYPSYAELDGRRVPRWRNDGGGRVKNPESRRGRKRGRGVSADAVAAFKVEPPILQVEEAVAQEHDRKRRMTGATVSVTAVGKAFPLIEDAEEEVASTDGGADERGGGKSWRLRVKETLRAFSSNYLHFVQEEQRRAESVRQDLNAFKLLKRQIPGEYGRTMTLAKQHVKRGPNGSTRGHKPKSCNSQVNGNYQEAKRASKRPDLKALTKMQESGAVLYREKMIGHLPGIDVGDQFYSRAEMVALGIHSHWLKGIDYMGMKYQDKKGCEDFTFPLATCIVLSGVYEDDLDNANEIIYTGQGGNNWLGNRLQITAQTLLRGNLALKNSKDNGNPVRVIRGHVEKSSYSGKVYTYDGLYKVVGYWPQKGMQGHLVFKYRLKRLEGQPPLTTSQVLFTRGNVPMPISELPGLVCADISNGQENFPIPATNLVDNPPVPPSGFVYSKSLQIPEHIKIPIDKIGCNCSGDCSTSEHCLCAKRNGSDLPYVSTQRKNANRNRSKHNSVGRLVEPKAVVYECGTYCTCHCNCVNRTSQQGLKYRLEVFKTESKGWGVRTWDTILPGALICEYTGVLRRTTEVEGFLENNYIFDIDCLQTIKGLDGREQRAGSELHIASLHSEHDSEASQAPEYCIDAGSVGNIARFINHSCQPNLFIQCVLSSHSDIKLAKIMLFAADTIPPFQELGYDYGYHLDSVTGADGQIVKLACHCGAPDCRKRLY >PAN16784 pep chromosome:PHallii_v3.1:3:5594106:5595379:1 gene:PAHAL_3G086400 transcript:PAN16784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAIAAASSSLVVTGLRCRTTGSAADVAWQATPTSRLCRRTMASRRAAIRPMVSAAGCKTCKGKGAVECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >PVH62485 pep chromosome:PHallii_v3.1:3:21506728:21508722:1 gene:PAHAL_3G306600 transcript:PVH62485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLQGKVDMARIPRLLTFCWIPGHYNSPPVHIIICVSSFISASVPPLVFSLPFCSFAEWGLLH >PAN18674 pep chromosome:PHallii_v3.1:3:14131503:14136522:1 gene:PAHAL_3G217800 transcript:PAN18674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MPPKSPSPRRPECQKPRTEIRNRRKETQESPRIRRRDRRTVMADVGSLEKMGRELKCPICLSLLSSAVSITCNHIFCNGCLTESMKSSSSCPVCKVPFRRREIRPAPHMDNLVAVFKSMEAAAGTSVVSTQLAPAPKVADESQCGGNSGSKAKRSRKKKVASKKENNTSKATAASASCPTTKPSISTNKRIHVTPFPESETPTRPNKIMKPEEQKTKLNGDAEEDINKTLNSDRPESPSLSPFFWLMGEEEEEGGTAGTSSEPLSLNTPLRHNAPTFSDIIDSDDEIPNDATPNSKAEVSEMFDSEIFEWSQRPCSPELRSTPLKKQGKSKNVLDQITETDDVEDMNLGCSFDKLDHESNANSTQPVNFKEIKKRKLSRARSNKNSKLPNCVKLCTKGSDADNQVTNMPVSIDAKQCKKNSSKRERNTSSGGNKVSGNSSRFLCSSDKSTETVSPQDDGLEVEAPEDQPSERSSKMDKNSRQKLEITGDSALKTAEKTSEQRSKRFRRISDGVVADKIRVFSEAENETEPLQLQSFIKGRSQYKSLDGRNKQSTESNIGPHTPSILPGRCLFNEAIRTVPSVKNSSAKAIEQTGYSGTIRSCTARSAVLKKCEDKVPKISCAFCQSDDITEESGEMVHYHYGKQVPAEFSGGASVIHSHKNCLEWAPDVYFKDDSVFNLATELARSRRIKCACCGIKGAALGCFEMSCRKSFHFTCAKLIPECRWDNENFVMLCPLHQSSKLPIETESKKMPQRRLTPKGPSQARPCQDSGNKWTWPSGSPQKWVLCCSALSAAEKGIVSEFAKIAGVPISTSWNPSVTHVIAKTDLSGACKRTLKFLMAILNGKWILSIDWVKTCMDRMEPVDEVRFEVTTDVHGVSEGPKLGRQRVINKQPKLFDGIQLYLHGDYSKSYRGYLQDLVVAAGGNVLQRKPVSRDQQKLVDNSSLILIVYSVENQDKVKPKSKDGVHTGCNQADAQALACASGGRVVSSAWIIDSIAACNLQPL >PAN16604 pep chromosome:PHallii_v3.1:3:4508191:4509903:-1 gene:PAHAL_3G071000 transcript:PAN16604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSPQKKGAEHDHSGFEHDDEYDEFGAESTASRPYPHDSKPKPKNKADQLDHKTSHLSGAANPIHGGAPHATAPVPPHSSEPTGNAIAAATAPAGNNAAEAAGNGVGGSVDGGGGGGGPRSNSMESSSTASAHVRRHTGGDSRWDAIQLATTSLEAPLNLCHFRLLKRLGYGDIGSVYLVELRGTLAFFAMKVMDKASIISRNKMARAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPGKHFSEPAARFYVAEVLLAMEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVCPTLVKSSSVHNIGSGGGGGGSGSRGGADAADGDVLTANQGCIQPSSFFPRILPRRSRKPSKSELGLSGPPAVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGCTPFKGAGNRATLCNVIEQPLRFPSDAAGGGPPTSSVAKDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMNPPSVPEPVDFRQYGAGKEKKAAETAAVEAAPAGHAAKSNSGDSYTDFEYF >PAN16605 pep chromosome:PHallii_v3.1:3:4508012:4510358:-1 gene:PAHAL_3G071000 transcript:PAN16605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSPQKKGAEHDHSGFEHDDEYDEFGAESTASRPYPHDSKPKPKNKADQLDHKTSHLSGAANPIHGGAPHATAPVPPHSSEPTGNAIAAATAPAGNNAAEAAGNGVGGSVDGGGGGGGPRSNSMESSSTASAHVRRHTGGDSRWDAIQLATTSLEAPLNLCHFRLLKRLGYGDIGSVYLVELRGTLAFFAMKVMDKASIISRNKMARAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPGKHFSEPAARFYVAEVLLAMEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVCPTLVKSSSVHNIGSGGGGGGSGSRGGADAADGDVLTANQGCIQPSSFFPRILPRRSRKPSKSELGLSGPPAVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGCTPFKGAGNRATLCNVIEQPLRFPSDAAGGGPPTSSVAKDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMNPPSVPEPVDFRQYGAGKEKKAAETAAVEAAPAGHAAKSNSGDSYTDFEYF >PAN21678 pep chromosome:PHallii_v3.1:3:61613682:61618094:1 gene:PAHAL_3G483100 transcript:PAN21678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQLFLLLLLLLLLLLFLPHATYCATAGAGGGRCDRRCGRSFVAPYPFGFSGDCPFLLGCNATASTPLLPRSTAAAPYPVLSFNSTSSTFVVSLAPSCNRTVGDANASLNVAASRAGAGAGYGVSSQTGLFLRGACSGTTAGAFKCSVSADIMGKLLRKTQCGNDTAWTCVGSPPTAAVSKGHGEFLDWDDVEAAGCEDALTATVYGVAPAGLPSLEFGVAELGWWLEGMCNATSGRCAQNATCQDVVTPSGAWGHRCACLHGMSGDGFAAGEGCHYGAERLKKKILLIVAGVVAGVAAAAGALLLCRVQCRRYRAGRSGSERLAAMRLLSEAATSSGVPVYSYGEVARATNSFSHTHRLGTGAYGTVYVGKLPASAPTLVAIKRLRCRYHHEDDDAAAAALLLNEIKLISSVSHPNLVRLLGCCLDRGEQILVYEYVPNGTLSQHLLDGGGEGGGRGRSRLPWRARLGVAAETAAAIAYLHGMRPPIFHRDVKSSNILLDGGLRPKLADFGLSRAVDRLEASRSHVSTAPQGTPGYVDPEYHQNFHLSDKSDVYSFGVVLLELITAMKVVDFDRPATEVNLASLALDRIGRGRVSEIVDPSILDGGEEWVVESVRHVSELAFRCLAFHKDVRPSMSEVAAELSQIRDAAPDSDSDDPGSRLRPMMDVHVDVSLDGPDAVGKKAVSPVSVQEVWVSDQSSPSTNGSMPRFVA >PAN18821 pep chromosome:PHallii_v3.1:3:14852817:14858822:-1 gene:PAHAL_3G228000 transcript:PAN18821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRPLPPPASPGPDAHVEEAPGADADGAGGRRSPKLTLNGAPEERGGGPRQATDRRHDSDADDEEEEGDGEEGGGGGGDDDCDSQSSQSDAEMDEFILVKLMDVRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKKIQESIAETFRRQTEALVKKRSTAKTTDAASTRKTRRNMRSRRRGRTSSPDIVPTDFEDEDREENGNDGSKESSSVDDRSPDVRPKRARRWPVPRRSPAKTTGSIDNGIEDNDDSGGARDLVTASPLRGEMLAWGKNGTRSQTRHGNASGSSGRMAKGGRVAKLVDQLRNTDDFDRKLSLYLVLLPLDGQSMPTLEKPYLSCQPTLSVQHLCQFVALQLSRQPKEVEIYIRKSSMDASLSANNTCKDEIKPDQSNGLERLWEEKSLSELYPSLTTCQGDLELLYSLKKQV >PAN18822 pep chromosome:PHallii_v3.1:3:14853659:14858822:-1 gene:PAHAL_3G228000 transcript:PAN18822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRPLPPPASPGPDAHVEEAPGADADGAGGRRSPKLTLNGAPEERGGGPRQATDRRHDSDADDEEEEGDGEEGGGGGGDDDCDSQSSQSDAEMDEFILVKLMDVRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKKIQESIAETFRRQTEALVKKRSTAKTTDAASTRKTRRNMRSRRRGRTSSPDIVPTDFEDEDREENGNDGSKESSSVDDRSPDVRPKRARRWPVPRRSPAKTTGSIDNGIEDNDDSGGARDLVTASPLRGEMLAWGKNGTRSQTRHGNASGSSGRMAKGGRVAKLVDQLRNTDDFDRKLSLYLVLLPLDGQSMPTLEKPYLSCQPTLSVQHLCQFVALQLSRQPKEVEIYIRKSSMDASLSANNTCKDEIKPDQSNGLERLWEEKSLSELYPSLTTCQGDLELLYSLKKQV >PAN20282 pep chromosome:PHallii_v3.1:3:26750170:26754630:-1 gene:PAHAL_3G331300 transcript:PAN20282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSCNQKLIVTMKLCRYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVSCPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLRGGKHCDLELFPEYLRHLKKFVHTVEKSPSHRQAWRESVDRIEPSRKSIDFFEPSRKSTDRREKSRSTRDRTRNTEHRYSNVEKVDKLKISFDQFEKSRRSVDIFERPKRNIEQLDCGRKSVDRLDRIWAS >PAN20281 pep chromosome:PHallii_v3.1:3:26750170:26756958:-1 gene:PAHAL_3G331300 transcript:PAN20281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSVAAKMAFFPPTPPSYALVEDAGAGVTTLSGQPHRENVEVLRLRTRKGNTLAAMYVRHPDAESTVLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVSCPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLRGGKHCDLELFPEYLRHLKKFVHTVEKSPSHRQAWRESVDRIEPSRKSIDFFEPSRKSTDRREKSRSTRDRTRNTEHRYSNVEKVDKLKISFDQFEKSRRSVDIFERPKRNIEQLDCGRKSVDRLDRIWAS >PVH62430 pep chromosome:PHallii_v3.1:3:19866188:19873350:-1 gene:PAHAL_3G291300 transcript:PVH62430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQSRIENEEAVARCKERRQLMKAAVAARNAFAAAHSAYACSLRDTGAALSEFAHGEGVPPPPPPGEAAEAAAAAAPGAKQGGIGAAAAGPSDASGADEIMPPPPPLDSLPPPPPPLPDFSPSPAKIHRSISMPLPPSTGNKNPAMLHADSIREEDEEAVEREEEEDDDGHLDNRRQRLRHRSPVPQPVSPPPPETPVTPQPPPPPSSELKSGVDTWDYFFSMDEGMASIAPDDDEIMAEPEEEKYVPASPPRPPPSPPPPAAVPLSEEFEEEPRTPEMVTPPPSLPPKPPKNSSKKKKGKGKKAAHHQHTESAPPVTLVGGGKAGKVVPAEMPRVDLLRVLAEIDERFLKASESAGEVSKVLEANRMHYHSNFADNRGHIDHSARVMKIITWNRSFKGMQNGDDAKDDFENDEWETLATVVDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKKHNAAIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHMHHANQLKTVLHLKSVDISDSSIETSDYHHSHTRQLRDIVDKWITNFTDLMSYQKEYINALYSWLKLNLIPIESSLKEKVASPPRMQQPPIKAFLQAWNEHLTKLPDDLAKTAIVSFRAVLETILGVQDEELKQKEICEQTRREYMRKARAFEDWYHKHSQRRASDVDPETGEGTGHKDAVTEKKFLVESLKSKLDDEIESHNKLSKQVREKSLSILKAHLPELFRALADFSNASFDMYSKLRLMSLMQDQGNN >PAN21541 pep chromosome:PHallii_v3.1:3:60726204:60731837:-1 gene:PAHAL_3G474100 transcript:PAN21541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKVEGKIYIPGCFDMADSSVNSNCNALPYFKENRPSVHLSDKFTIKSSNGSVQYDKEMLKRTMLVHEATFRKQVYELHRLYKIQKELMAQFQREEFNGCPRYADALQPRSSPSQVLLGDVKQVCQTVTPISGHDHKQSSIDLINESSSQYSVSGAPLRHNNVRSQNKMLDLQLPADVYADDDDDVEILEVRPSKSLPLVNGSILGGNVNLNIENSEGSWITDMQAHHSSAAHILNKPVEESSSMKMTDSFGVGTSASQNQHYVSQGVNLNILSLEGKLKEKCVGKISFSRFFGANEDARHCNSFGQRKDDSNASMAWYKQNCTGSSMGHYPPSASTFNHLIFAPPSFNHALNPPWQSNNASYLTKSHYGAAETSIAKHAPSSGISMDSTPHMPYHHSLKIHEEAQHRKLPSLHHNLKDIDLNDAPVDTAATWEQGSEKSVADISCLSKKPVSLMKSEVPSGYVNCNSQIFPSPTLYSESKPSTGVPAFPVSVGAEKDSRCSPTLQYDLNIGPSINCEPDMEMQPQRKEAAIDTRNLIDLNEPLPIMDDAEMDACESGELVPHEPDDPSRDSLAITAAENLVAISNVVIKPTSPQPDTLRWFADLATSKENTMFDKDSDDDFEALTLKLQETKSNEYHSTPRASQEDIRDNGHCSAASLLIPKPQRGKGRGRRQRKDFQKDILPCLASLPKHEVSEDLCALGRPKLVTPTKRGGRNGQQPRGRRRARSVAVSMEVEEAAEVSPPPVSPPLAPADLDTDALGITRWGRTTRRCRRPRCPPANNASLHVA >PVH61471 pep chromosome:PHallii_v3.1:3:2603638:2604992:-1 gene:PAHAL_3G040800 transcript:PVH61471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRIYEPSLACEYASPQERADHRSRLLAAIHNAYREALERLTVSRNSKARRPLAMRPRRRLLLRPARPGLQHRRQHPRPPPAAQPCGRRGPRRSGARGPGAPVDGRHGDHPHALLPVPRRLRGRALPAPRRRRPPRRHPHRRHGPPHEEVRVLERATEEALRMALRCAALAARHPDPDRLVGAWLTISSHLDEAVRLVAKVRRRSPSYSLRNLARLLDGPTPPAADDRRRDLLRAWPQLATTRRRRPLPRSVPYQNTGIKRIGARIAGGLG >PAN17612 pep chromosome:PHallii_v3.1:3:9256595:9257407:1 gene:PAHAL_3G143600 transcript:PAN17612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKKGRNPHKASADYRSDRKSASGMSGDPKKGGRGGKFTWEGADGYADEDLDLISNSKNNGARAAKGGANAVKKEEDDDDE >PVH62074 pep chromosome:PHallii_v3.1:3:13011118:13028553:-1 gene:PAHAL_3G199100 transcript:PVH62074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYTEVYFHFVYQQNFHVKENGLSRHAGGFDLSCLQASTLKIVVGSHVWMEDKDLAWIDGEVFRIEDRNVHVHATNGKTVILSISDIHTKDTEVPSNGIDDMTRLSYLHEPGVLNNLAIRYAKNIIYTYTGNILIAINPFQRLPHLSDPYTMEKYKGANFGELDPHVFAIADVSYRQMMNEGKSNSILVSGESGAGKTETTKMLMTYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIKRYKLADPSSFHYLNQSTCIKLDEISDAKEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNISFVKGREVDSSLLKDDKARFHLNAAAELLMCDCGNLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSRLFDWLVNRLNASIGQDTSSDRLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASRCSFVSGLFPSVLEENTKASKTSIATRFKWQLQELMETLSSTEPHYIRCIKPNNILKPTTFENINVLQQLRCSGALEAIRISCAGYPTRKIFHDFLCRFRILAPEVFMERNNEKVSCQKILDKMELHGYQIGRTKVFLRAGQMAELDARRTEVRNKAARAVQSRFRTHAAREKFLVLRKTSISFQSFVRVILACKLRVLLRKQAAASQIQKSYRCYIAWSSYSELRSSAIMLQTGLRVFGAYKEYNIRKQNKASIHIQAWWRCHREYSNYCKIKGSVLIYQCAWRRRVARGELRKLKMAARDTEALKVQKEKLEEHVEELTSCLGLEKKLRTDLEKNKAGEISKLQAALREMERRVEEATEMQERELAERAIEEALAQEREKITSLTNEVEELKVLLLREREENSATKSALVIAQEENDALTRKIAAADGNMEQLRDTVKRFEKNVKELESSLMMEKEQNMTTRRELSEAHQRVEELLRQIADANGTSTELQTAVQRLQKSLIEGEATLLTERQESEATTKSLNEAHVKIEELLNKIKVAEQDISNFQDNNQRLEVTATTLEASLLAEKQQSTAIFSQLAEAQQDIEVLQKKFADANRTNDLLQDSLKRCEENATTRDGLYVAERKEHDETKQALLKAQERNWELLRKVDDSEKTINKMLENAQRLEKHATARESLLHKTKQNLDCTTKALTEARGRNRDLMTSFEDSAKKINMLEDSVNRLEEHIAEKDSLLEVERQEHKTTNEDVTNARKKISELIHELQQSEETRKQLEDTIKRFEADATAKDALLLSEKQEHETTKKVLAETQWRNEELVKKIQDYDKNTLQLQLTVERLQENASATEVLMLREREQNNATMKAQAESQERNLQFLKKLEDVDKKIGLLQGSVQRLGDNTAKDALLLSERREKDALKKALTESEYKNEELLMKTEEANKKVEHLQNTINSLKEDMAASLEAERQENETIRRSLVEAQERNDVLFKKVRDSEYRAHQLQDTVQKLQVDAISRLSNFAMEKQEGDVVKNIHTEAHGRNENLIRKNEDLLKRNDDLVKKIEDSGILVTQLRENLERLEGKAANLESENQALRQQAITTPPSTAKSQAACSKISMIHRCQENGHILNGNAAYAEMKSSLGPTETRASMGSSPDLISHKDYENGQRLLNEVYQPFQHQQPLNHQQLLLKYITQYLGFSGSKPIAAPLIYYCLLHWRSFEEAKTGVFDCIIQVVNSATEAQNDTRGLAYWLSNLSTLSVLLQRSFKVSRATVSTPHRRRFSCERIFQANQPSNSGLAYFSAQIDGAIGLHQIEAKYPALLFKQQLVDQIEKVYGMISDRMKKELNPLLELCIQDPRTSYSTQAKASLSPASGFGQQEQLMHWLSIVKIFNNYLHVLRANHVTTILVHKLLTQIFSMVNVQLFNRLLLRRECCSCSNGQYIKDGLTQLKHWCNDVSREFADSAWAALRHIRQAVDFVVISLKPIRTWDEIRNDICPDLSLQQLERIVGMYWDDVNGTNVTSAEFISSMRATLREESNSVSNFSVLLDDDSSIPFSLEDIAKSMLSIEETSVNDLLPFIRENQIFTFILQ >PVH62075 pep chromosome:PHallii_v3.1:3:13011118:13029809:-1 gene:PAHAL_3G199100 transcript:PVH62075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLKIVVGSHVWMEDKDLAWIDGEVFRIEDRNVHVHATNGKTVILSISDIHTKDTEVPSNGIDDMTRLSYLHEPGVLNNLAIRYAKNIIYTYTGNILIAINPFQRLPHLSDPYTMEKYKGANFGELDPHVFAIADVSYRQMMNEGKSNSILVSGESGAGKTETTKMLMTYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIKRYKLADPSSFHYLNQSTCIKLDEISDAKEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNISFVKGREVDSSLLKDDKARFHLNAAAELLMCDCGNLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSRLFDWLVNRLNASIGQDTSSDRLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASRCSFVSGLFPSVLEENTKASKTSIATRFKWQLQELMETLSSTEPHYIRCIKPNNILKPTTFENINVLQQLRCSGALEAIRISCAGYPTRKIFHDFLCRFRILAPEVFMERNNEKVSCQKILDKMELHGYQIGRTKVFLRAGQMAELDARRTEVRNKAARAVQSRFRTHAAREKFLVLRKTSISFQSFVRVILACKLRVLLRKQAAASQIQKSYRCYIAWSSYSELRSSAIMLQTGLRVFGAYKEYNIRKQNKASIHIQAWWRCHREYSNYCKIKGSVLIYQCAWRRRVARGELRKLKMAARDTEALKVQKEKLEEHVEELTSCLGLEKKLRTDLEKNKAGEISKLQAALREMERRVEEATEMQERELAERAIEEALAQEREKITSLTNEVEELKVLLLREREENSATKSALVIAQEENDALTRKIAAADGNMEQLRDTVKRFEKNVKELESSLMMEKEQNMTTRRELSEAHQRVEELLRQIADANGTSTELQTAVQRLQKSLIEGEATLLTERQESEATTKSLNEAHVKIEELLNKIKVAEQDISNFQDNNQRLEVTATTLEASLLAEKQQSTAIFSQLAEAQQDIEVLQKKFADANRTNDLLQDSLKRCEENATTRDGLYVAERKEHDETKQALLKAQERNWELLRKVDDSEKTINKMLENAQRLEKHATARESLLHKTKQNLDCTTKALTEARGRNRDLMTSFEDSAKKINMLEDSVNRLEEHIAEKDSLLEVERQEHKTTNEDVTNARKKISELIHELQQSEETRKQLEDTIKRFEADATAKDALLLSEKQEHETTKKVLAETQWRNEELVKKIQDYDKNTLQLQLTVERLQENASATEVLMLREREQNNATMKAQAESQERNLQFLKKLEDVDKKIGLLQGSVQRLGDNTAKDALLLSERREKDALKKALTESEYKNEELLMKTEEANKKVEHLQNTINSLKEDMAASLEAERQENETIRRSLVEAQERNDVLFKKVRDSEYRAHQLQDTVQKLQVDAISRLSNFAMEKQEGDVVKNIHTEAHGRNENLIRKNEDLLKRNDDLVKKIEDSGILVTQLRENLERLEGKAANLESENQALRQQAITTPPSTAKSQAACSKISMIHRCQENGHILNGNAAYAEMKSSLGPTETRASMGSSPDLISHKDYENGQRLLNEVYQPFQHQQPLNHQQLLLKYITQYLGFSGSKPIAAPLIYYCLLHWRSFEEAKTGVFDCIIQVVNSATEAQNDTRGLAYWLSNLSTLSVLLQRSFKVSRATVSTPHRRRFSCERIFQANQPSNSGLAYFSAQIDGAIGLHQIEAKYPALLFKQQLVDQIEKVYGMISDRMKKELNPLLELCIQDPRTSYSTQAKASLSPASGFGQQEQLMHWLSIVKIFNNYLHVLRANHVTTILVHKLLTQIFSMVNVQLFNRLLLRRECCSCSNGQYIKDGLTQLKHWCNDVSREFADSAWAALRHIRQAVDFVVISLKPIRTWDEIRNDICPDLSLQQLERIVGMYWDDVNGTNVTSAEFISSMRATLREESNSVSNFSVLLDDDSSIPFSLEDIAKSMLSIEETSVNDLLPFIRENQIFTFILQ >PAN17449 pep chromosome:PHallii_v3.1:3:8524291:8527502:-1 gene:PAHAL_3G132300 transcript:PAN17449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGEGLKAPQKHQAVTGANGKTPRKHKVVTGSNGATPQKHRIEEKVGGGSSEQKMPSKSYRTERAWPSRQLCRPLSTRRPGANTELPTAREKQQSKSNPSFPRETTLRVDQKLRRTSSNDACAGLSLGGNTAAHHHSEELTADEKRHLTKEMMIKVQEADLLIQQLNELGVGEGIDHEELQRYYEQLPSPRVDTSLELRDEEIKELQVHHVLCRIKYYKVTQKGRKADPYDAELEDDYHLCCLKEKLKCFVEDETKLDGDHILDYLDQEGLLVYIEKDDMFDWSFQYLTVAALDDYQRLVPQNCGGCEYVHKYEIELEYLDFWEELSKKLKWMEDYIHIGWPTLKWRRI >PVH63298 pep chromosome:PHallii_v3.1:3:64347958:64348655:-1 gene:PAHAL_3G516300 transcript:PVH63298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPFLLADCFLLSFFLSLFCFQHSTHPTTTEVMSPVAGPSYSFMEVLLTLLFNALLLVFVVKLLFALFHMKLAVILLYIAVLLFAMALTGRFPG >PAN18636 pep chromosome:PHallii_v3.1:3:14029156:14031288:1 gene:PAHAL_3G215700 transcript:PAN18636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPATEAAAAAATPAAPAEGSVIAIHSLDEWSIQIEEANSAKKLVVIDFTASWCGPCRIMAPIFTDMAKKNPNVVFLKVDVDELKAIAEQFSVEAMPTFLFMKEGDVKDRVIGAMKEELAQKLQLHQAL >PAN17083 pep chromosome:PHallii_v3.1:3:6765435:6768596:-1 gene:PAHAL_3G106200 transcript:PAN17083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSPSSLSHHQRTSRLSVSMATPLATRGLPLASRGPPSSSSSSSWPPSSILLGRRPSRRLRSSSPQVDAAAAPEKGGEYRPSFADNLLLAFFRSKMVEEVGWDSEKPGYAGLMEVANRLMVKGKSASETEQAAVRVLQSLFPPLLLVLYKALLAPIANGQLASMMLARATALSCQWLMGTCSVNSVTLPDGKSWSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVPPPPLDADKALKEPCLDICTNARRRRELGRNIVTDELSCPQV >PVH61883 pep chromosome:PHallii_v3.1:3:9561653:9562711:1 gene:PAHAL_3G147600 transcript:PVH61883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCSPALALAPSDLLSFASIAGAGAGASSSSTAAAAAGASDPCADVASSAAAASECSIAAARSATSSANASQDGDTLHACLAIADRPVSDCPLPPCSITARSDLVAMVYICIQIAMLATFYYRYYI >PAN18433 pep chromosome:PHallii_v3.1:3:13157718:13160839:-1 gene:PAHAL_3G201200 transcript:PAN18433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSSSRFARALPNLLEPTSRALRPAHHRRRAVHALASAPADTAPSPPSPPPWLSRLLSAALRGGRAGGELPDLAEAATGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQAAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFEGHPISERKLKELLGHTPSQVFAGAILGILVAWYCCQGCIVPI >PAN16643 pep chromosome:PHallii_v3.1:3:4885455:4889446:-1 gene:PAHAL_3G074400 transcript:PAN16643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGFQSMARMYTKLAAMPKKN >PVH62817 pep chromosome:PHallii_v3.1:3:52395865:52400278:-1 gene:PAHAL_3G408000 transcript:PVH62817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPDSMDAVELAPEATDAEELALDSMEAPEEDALDSMETTLEAPEELAPDSLASEEVASEEFAALASLPESLAPEEIAVPKSFPDFVPDSLELDSLPDMVPDSMEPESQGTLCKRCNTIHGDNDVVACRLACREASRGESCRLVHRDYNLAARILDDQDKSDCLIYIPDVDKLQISGETILVPEHVQNKLDEQRKMKKRCKQRTIDNRKTSNGFS >PAN21379 pep chromosome:PHallii_v3.1:3:59784137:59785495:1 gene:PAHAL_3G460600 transcript:PAN21379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLSPAGRTSGSDGDSAADTHRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQAENARVAARAADIASQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEEFSGVAMDIQEEIPADDPLLRPWQLPYPAAAMPIGGAHMLRY >PAN17912 pep chromosome:PHallii_v3.1:3:10917520:10919105:-1 gene:PAHAL_3G164800 transcript:PAN17912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLPALVLTVVVAIPLSAAAVVFPTEALPTKSGYLLISPANASLFFAFYEATQPLTAPASAPLLLWLQGGPGCSGLIGNFFELGPYFVSPDSVSLSRNPFAWNRRFGLLFIDSPLGTGFSAAPSPADIPTNQSVIAAHILAALQSFLALDPSFRARPFFLTGESYAGKYVPEAGAHILDVNPTLPEALRVNLHGVAIGNGLTHPVAQVATHADAAYFSGLINARQKRELEALQAEAVALTRAERWREAADARGRVLSRLQNMTGLATLYDAAKQRPYRTDPVGAFLNRPEAKAALGARGDVAWEECSDAVGAAMHADVMRSVVPQVESLLRRTRVLLYQGVRDLRDGVVSTEAWLGEVRWDGLPAFLDADRAVWRTRDGDLAGYVQRSGALSHVVVYGAGHLVPADNGRAAQEMIEDWVLQSGPFGRRGCVVAAA >PAN21780 pep chromosome:PHallii_v3.1:3:61999802:62005695:1 gene:PAHAL_3G487900 transcript:PAN21780 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARPPILSVALPTDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGKQLWDLIEGLEENQLLHYTHLLTGYIGSVSFLDTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLTACNTLHNAGPRKVVITSAFIEGKLLLIGSHKKTEEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAVSSLQALLKRTVEDYKRSGFDPSTSSLEIRLIQSQDEIRNPAVTCKAVKYGN >PAN21781 pep chromosome:PHallii_v3.1:3:61999801:62005696:1 gene:PAHAL_3G487900 transcript:PAN21781 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARPPILSVALPTDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGKQLWDLIEGLEENQLLHYTHLLTGYIGSVSFLDTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLTACNTLHNAGPRKVVITSAFIEGKLLLIGSHKKTEEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAVSSLQALLKRTVEDYKRSGFDPSTSSLEIRLIQSQDEIRNPAVTCKAVKYGN >PAN21779 pep chromosome:PHallii_v3.1:3:62000587:62005603:1 gene:PAHAL_3G487900 transcript:PAN21779 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARPPILSVALPTDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGKQLWDLIEGLEENQLLHYTHLLTGYIGSVSFLDTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLTACNTLHNAGPRKVVITSAFIEGKLLLIGSHKKTEEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAVSSLQALLKRTVEDYKRSGFDPSTSSLEIRLIQSQDEIRNPAVTCKAVKYGN >PAN21782 pep chromosome:PHallii_v3.1:3:61999802:62005695:1 gene:PAHAL_3G487900 transcript:PAN21782 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARPPILSVALPTDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGKQLWDLIEGLEENQLLHYTHLLTGYIGSVSFLDTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLTACNTLHNAGPRKVVITSAFIEGKLLLIGSHKKTEEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAVSSLQALLKRTVEDYKRSGFDPSTSSLEIRLIQSQDEIRNPAVTCKAVKYGN >PAN16861 pep chromosome:PHallii_v3.1:3:5907114:5907992:1 gene:PAHAL_3G092100 transcript:PAN16861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGNCGCGSGCKCSGGCNGCKMFPDVEAASTTTTMVIAAASSKASSGGFEAATESGGCDCNTCKCGTSCGCSCCSCN >PVH62556 pep chromosome:PHallii_v3.1:3:24986728:24987653:-1 gene:PAHAL_3G323500 transcript:PVH62556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREANSPPLHQAQSLDKNVRIILYPSTCSFHIRRRPRRRRRVASRAAAMRRRRATSMAAAVRSPRLDKNVRGEAAMKRLGLQQLRAARQ >PVH62693 pep chromosome:PHallii_v3.1:3:40509038:40509772:1 gene:PAHAL_3G372500 transcript:PVH62693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDGICHAEPGLPKLLILSLERIGVMEPPEYAYREYTSRGTLRCDMMIFVGRSIRYPDVDPWFISTSGCRFPDTYRKAARKALRRLRVVYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDELYREQAAQLKQLIHRAEKIRAARAEYSLAALQAQMQEYENRRGIGGWIEEEEEPEETHWDKGTQTEDEVMDRCLPIKKRPIRIGEESP >PVH62121 pep chromosome:PHallii_v3.1:3:13590868:13591507:1 gene:PAHAL_3G209100 transcript:PVH62121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVKCGCCVRRAAGGCQELRSTWSSAPAPGVHSPPSSRSPSASRIKDGNQSSARFTFPAPLSWKGVGTLSAPDPDLCDGRIASRIGDQSVVEAPV >PAN17963 pep chromosome:PHallii_v3.1:3:11165046:11166986:-1 gene:PAHAL_3G168300 transcript:PAN17963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTENYDPCYPDQPVVDRYLPVWAKLQAFAAKPAFIWADDAATSSRTALTYSQLDSAVERMARNLLGTLRRGDAVLVLASPGLCLIKLLFACQRAGLTAVPVIPPDPARFGPAHAHLLRAVAQTRPSAAVADARYIDAVTRMAASVAGGESGRLAAMLSRLRWLAVSELERERGSGGPASAAGYVGCGPDDVYLIQYTSGATGVPKPVMVTAGSAAHNVRAARKAYDLCPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLAAPDAFVRRPRLWLELVTEFRATCTPVPSFALPLVLRRGRSAHGRSLELGSLRNLILINEPIYESCVGEFVQAFGRDGLRATSISPSYGLAENCTFVSTAWRGACSDLPSYMKLLPSARLSPPSFSSGNVGPEIEIAVVDEETGEPLEDGMEGEIWVSSPSNASGYLGHPSASREVFCARVPGRAGACFVRTGDRGVVKGAERYLYVVGRSADVIALDAGGRRRVHAHYVETAAFGSAPDRLRGGCVAAFTTSTTQRSLSQTDVAVVAELQKGSGGDHRVLCDRIREAVWREEGVRVGLVVLVDGGQVPKTTSGKLRRGAAREMLLAGKLRVVFEARYDDGDGSVAGVRGNEGEEMAEASAACSGTDIATAFGSASRRLRLQSFL >PAN20779 pep chromosome:PHallii_v3.1:3:52990994:52995211:-1 gene:PAHAL_3G410200 transcript:PAN20779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKQKNVDDAVKGLKAKGITAVGAVCHVSDAQQRKSLIDTAVKNFGHIDILVSNAAANPTVDGILEMKEAVLDKLWDINVKASILFLQDAAPHLRKGSSVIIISSIAGYNPEKGLAMYGVTKTALFGLTKALAGEMGPNTRVNCIAPGFVPTRFASFLTENETIRKELTDRTALKRLGSVEDMAAVAAFLASDDASFITAETIVVAGGIQSRL >PAN20778 pep chromosome:PHallii_v3.1:3:52990993:52995222:-1 gene:PAHAL_3G410200 transcript:PAN20778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKQKNVDDAVKGLKAKGITAVGAVCHVSDAQQRKSLIDTAVKNFGHIDILVSNAAANPTVDGILEMKEAVLDKLWDINVKASILFLQDAAPHLRKGSSVIIISSIAGYNPEKGLAMYGVTKTALFGLTKALAGEMGPNTRVNCIAPGFVPTRFASFLTENETIRKELTDRTALKRLGSVEDMAAVAAFLASDDASFITAETIVVAGGIQSRL >PVH62059 pep chromosome:PHallii_v3.1:3:12810342:12811407:-1 gene:PAHAL_3G195500 transcript:PVH62059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCDKRRTVESVLWPGGMTAEVCLCNKRRKVMPSSLVAALPDEIMAEVFLRLPIKSILRFRADCWRSTLQVLQIC >PVH62557 pep chromosome:PHallii_v3.1:3:25080828:25081923:-1 gene:PAHAL_3G324000 transcript:PVH62557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPWVRRSKASARLTQSPSFSAPTASSPTGKDYQGISAVLDDAAPDAGAGSPGKIVLHRARSASRLRACKSFAAAAEAAAAAVAGERRVVLYFTSLRAVRGTFEDCRTVRTILRGLRVAVDERDVSMDAAYLAELRVLMRRDRPPLPQLFVGGRLVGDAEEVRLLHESGELRRVLAGAAQAAPTPCASCGGSRFVPCGACCGSHRRFSEKTGGFRVCASCNENGLVRCAACSSSS >PVH61623 pep chromosome:PHallii_v3.1:3:5248847:5249107:1 gene:PAHAL_3G079600 transcript:PVH61623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVRPVTVKFIETQYIHADAAHFKSVVQSLTGKDSAAAAGQSPVAAWADGGRHRQTGGVATTRGGGGGFLDPMPSIEEMDEFFKE >PAN16846 pep chromosome:PHallii_v3.1:3:5826589:5830104:1 gene:PAHAL_3G090800 transcript:PAN16846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVAHGSGRLSRPRPPRVGLRGAWAVAAAAAEGPSCLYVGPIETASQEKLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQSMFMALSSIWMLLLLFGTSAFLVPSLYTLSLAFGDAFGARYLLYGTKSLDAITRVNDLALVGMGYLVGYPIASASVGALRGLLSNNLVALKGSCPNCGEQKSLSGPRRSWVYGRVYLVKQGHPRKRKWIKD >PVH61668 pep chromosome:PHallii_v3.1:3:5826894:5827742:1 gene:PAHAL_3G090800 transcript:PVH61668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVAHGSGRLSRPRPPRVGLRGAWAVAAAAAEGPSCLYVGPIETASQEKLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEGRRTNQCLWHYQASGCCCSCLAPQPSWFQVSTP >PAN16845 pep chromosome:PHallii_v3.1:3:5826589:5830104:1 gene:PAHAL_3G090800 transcript:PAN16845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVAHGSGRLSRPRPPRVGLRGAWAVAAAAAEGPSCLYVGPIETASQEKLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQSMFMALSSIWMLLLLFGTSAFLVPSLYTLSLAFGDAFGARYLLYGTKSLDAITRVNDLALVGMGYLVGYPIASASVGALRGLLSNNLVALKGSCPNCGEQVFAFVKTDKSIRAPHRAECHVCECPLEYRTKIEKSLSGPRRSWVYGRVYLVKQGHPRKRKWIKD >PAN16847 pep chromosome:PHallii_v3.1:3:5826589:5830104:1 gene:PAHAL_3G090800 transcript:PAN16847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVAHGSGRLSRPRPPRVGLRGAWAVAAAAAEGPSCLYVGPIETASQEKLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQSMFMALSSIWMLLLLFGTSAFLVPSLYTLSLAFGDAFGARYLLYGTKSLDAITRVNDLALVGMGYLVGYPIASASEIVVRA >PVH61669 pep chromosome:PHallii_v3.1:3:5826589:5830104:1 gene:PAHAL_3G090800 transcript:PVH61669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVAHGSGRLSRPRPPRVGLRGAWAVAAAAAEGPSCLYVGPIETASQEKLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQSMFMALSSIWMLLLLFGTSAFLVPSLYTLSLAFGDAFGARYLLYGTKSLDAITRVNDLALVGMGYLVGYPIASASVGALRGLLSNNLVALKGSCPNCGEQVFAFVKTDKSIRAPHRAECHVCECPLEYRTKIEGLEEAGSTAEFI >PAN18225 pep chromosome:PHallii_v3.1:3:12271327:12276095:-1 gene:PAHAL_3G187000 transcript:PAN18225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGATADPAPSTLRKRPISVDTSRRGGDGASREPARRPERGASEARREGEREEESSMAPAGEQAAPARGRGDLEAGEPMSPAGRLFRETHFNCYIVATIGLGAAVDVAAARAGLEATLSRHPRFSSVQVKDDAKKHGRPRWVRTAVNLDDHIIFPCLDPAATSANPDQAVEDYLSSLSTAPMDHSRPLWELHVLDFPTSEAVSAVVVRMHHSLGDGISLLSLLIACTRSAADPARLPELPPAPRRAGPGHARPRPPLSAGLVALALWLWSYVVLAWHTVVDVVCFVATAWFLRDPRTPLMAASEGVEFRRKRFVHRTLSLDDVKFVKNAMKCTVNDVLVGVTSAGLSRYYFRKTSDTNNDRKKSQKSICVRSALLVNIRKTPGLHALAEMMDSSKSNGAKWGNLIGYIILPFHIAMHDDPLEYIRQGKRTAERKKTSLEAVFTYWSGNLIVKLFGMKAAAALCYGMFTNTTLSFSSMVGPAEKVEFYGHPIVYIAPSVYGHPHALTVHYQSYMNSIKLVLAVDDAQFPDSHQLLDDFAESLRLIRQAASTR >PAN18224 pep chromosome:PHallii_v3.1:3:12271628:12275784:-1 gene:PAHAL_3G187000 transcript:PAN18224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGATADPAPSTLRKRPISVDTSRRGGDGASREPARRPERGASEARREGEREEESSMAPAGEQAAPARGRGDLEAGEPMSPAGRLFRETHFNCYIVATIGLGAAVDVAAARAGLEATLSRHPRFSSVQTSLQVKDDAKKHGRPRWVRTAVNLDDHIIFPCLDPAATSANPDQAVEDYLSSLSTAPMDHSRPLWELHVLDFPTSEAVSAVVVRMHHSLGDGISLLSLLIACTRSAADPARLPELPPAPRRAGPGHARPRPPLSAGLVALALWLWSYVVLAWHTVVDVVCFVATAWFLRDPRTPLMAASEGVEFRRKRFVHRTLSLDDVKFVKNAMKCTVNDVLVGVTSAGLSRYYFRKTSDTNNDRKKSQKSICVRSALLVNIRKTPGLHALAEMMDSSKSNGAKWGNLIGYIILPFHIAMHDDPLEYIRQGKRTAERKKTSLEAVFTYWSGNLIVKLFGMKAAAALCYGMFTNTTLSFSSMVGPAEKVEFYGHPIVYIAPSVYGHPHALTVHYQSYMNSIKLVLAVDDAQFPDSHQLLDDFAESLRLIRQAASTR >PVH61733 pep chromosome:PHallii_v3.1:3:6909132:6909934:1 gene:PAHAL_3G108100 transcript:PVH61733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGARFGRRTQKSRQPPPSRPRRPASFLPSATARQPPAAAPSPGIHRVALPPAARQATPPARALPPALHQQSLLDFCSARERTDGFLLRQTGAASFLI >PAN17969 pep chromosome:PHallii_v3.1:3:11199451:11204698:-1 gene:PAHAL_3G168800 transcript:PAN17969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRSTQSLEAEVEEMRAALLLHGAGFGARRPGGAAAKRVARADEAAGAEARTVCVTGGTSFVGFAVVDRLLRHGYNVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPVSLHEAFDGCVGVFHTSSLVDPGGISGYTKHMAHLEAKAAELVVEACVRTESVRRCVFTSSLLACVWRQIYARDRRFPTTIDENCWSDESLCGDNKLWFSLGKTMAEKAAWRAARGTDLKLVTICPALVTGPGFWRRNPTPSIAYLKGAHAMLAEGLLATANVERVAEAHVRVYEEMNGTAGGRYICYDHVVRRAEEFAELQRQLGLHAPAGRAPAAPDERAARFELCNRKLTALMSARRRCTYDAYFPVSYE >PVH62006 pep chromosome:PHallii_v3.1:3:11661995:11666928:-1 gene:PAHAL_3G177200 transcript:PVH62006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFVSIICFFKKIYIRKRKITPATFIHPQIDECVEDELAAPGQKRYCGPPVQLSTSESLTGGTATPPQCPDTTGRQLLHSPVQRNEGGLLTFTSSSPFRPPRKSHPSRRGNKSNLETGWAGDRESGESGAMASNRAGGGGGGYESGSDHDGALQKPLLPNSGSWYRMGMGSRQSSLNAGTSSMAVLRESHVSALLCTLIVALGPIQFGFTGGYSSPTQDGIIRDLNLSISEFSVFGSLSNVGAMVGAIASGQMAEYVGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYVVPVYIAEISPQNMRGALGSVNQLSVTLGIMFAYLLGMFVPWRLLAVIGTLPCIVLIPGLFFIPESPRWLAKMNMMDDCETSLQVLRGFDADITAEVNDIKRAVTSANKRTTIRFQELNQKKYRTPLILGIGLLMLQQLSGVNGILFYASSIFKAAGLKNSDLDTFALGAIQVLATGVTTTFLDRAGRRILLIISAAGMTLSLLAVAVVFFIKDNVSHDSDLYNILSMVSLVGLVAYIIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLLSWSAGGTFAAYMIVSAFTLVFVILWVPETKGRTLEEIQWSFR >PAN18751 pep chromosome:PHallii_v3.1:3:14326994:14328981:-1 gene:PAHAL_3G220800 transcript:PAN18751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANKRINLAAPLISVRRHGGDGNGPTATGLPAYRADATSGPLGHTGAGAVPFGWEHRPGHPKSVRTRRALPSTIADAPSRVARKPAAVTAASERAREEERFSDALSRDDVSCVTVNCSATGLSDAASVGAGARAAPGARGSVMMDRFLPAAHAVAAGSPQSTFRKAGSARNPTGSPAVPSSARTGGGGGGGRSAAQMRLPFQHIAACRLPPLPPEGKNEDGDDDDAGSDAHSTAGFAPRRCGLLPGRCLESSRLLSRGARRGAGRPFLSSGGGSRKAADPLLRRSRNGQQQPQHTGDDPGMQSWEEVYIKSLLRSGGGGGGLMGPAAAVVSELDRTVRELYRHRGGQAVKPKASHLGLLLVLDRSNEDCGRGYHGSSTRKLSRAGDAALLLPATTRSSPNAGNKLGRGVAGDDAGKYGFPLLLEDAAAVAGREMALSPQPLLSLPLPKSPSESWLSLALPSVSTRPPPAASFLGLHVQSKKHAPLPWCSIDSGKGIDHDGQRQRRVHDLQK >PVH62422 pep chromosome:PHallii_v3.1:3:19609298:19609762:1 gene:PAHAL_3G288800 transcript:PVH62422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAELDAVRALHRKAVLLCRGAAGRSGGGARFSAAGSGREAAAKRSETCPSKSATTEAAHQKESVKQPQAPVQRAAAPPSAAKEDVRKRRRVDDEIARAREECRQQVLEAERTALPDETVYPHELAELGIAFEYAVTRTRSQALAQDLSAATRR >PAN19351 pep chromosome:PHallii_v3.1:3:17795184:17796149:-1 gene:PAHAL_3G267600 transcript:PAN19351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGVIVLTLVVGLFGVASAVLGFIAERTKLTWDDVDVDRFTGVCDYPANPAYLLSLIAIPLLAVAMIIASLAGGCCGCCRPRHGASESKRVVGIIAAVLSWKAALLAGAFYANGAVWKFPVTWYDTTWCRLLRDGYFRLPALLSLAATALAIMSYAMLRARPPPAPTAPAAAGASGPKPDVPQEPQVGEAVAVPQAQRSSSHGQGYRQAQEPLPEVPRHPVGGYGYGRTPYGQQLASPSRRQAQPALEVMMA >PVH61763 pep chromosome:PHallii_v3.1:3:7435314:7435811:-1 gene:PAHAL_3G116200 transcript:PVH61763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCTHHQPRPTMTPNLEPSPAPAPPTAAPQEATGDSESDSDTTVSALLTGDHPSVPGYDDEDDAESCSGGDGGSGLCGGATSAAGGDGSDGDDDVGAERGEAEVDSSMAVPWWRRMAVEEAAAAAAHDDGGWFAPAAEAGVVAGAGGHSAESNILFWEACIEHGY >PVH62139 pep chromosome:PHallii_v3.1:3:13940506:13942028:-1 gene:PAHAL_3G214500 transcript:PVH62139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQYCHLRIRHLPVIGNMHQLGRGFLHRKLQELARHHGSLFLLRLGTVPTLEVSSAAMAGEVLKHQDHVFCGRPQQYTARGPMYGCRDVGFSPYGERWRQLRRIAVVHLLSVKRVDSFRVLREEEAASLVARIRTASAPENAGAKRRAVNVSDLIVSLTYTVVSRAAFGNKLGGMEPQVFRETTKEVFGLLGTIAVERHVPTTLVGGLEDGEGLKLDRIDIKGLIFDLFVAGIDTTSKAIEWAVAELIKNPREMAKVQEEVRQVAGTQGVLEEQLGRMSRLQAALKEAMRLHPPVPLLIPRETIQDTKLQSYDISAKTRVIINAWAIGRDSESWENAEEFLPDRFMHNTIDYNAKDFRFIPFSAGRRGCPGIAFATRLAELALANLMYHFDWELPEGQDVESFEVVESSGLSPALKFGLILVAKPPQA >PVH61640 pep chromosome:PHallii_v3.1:3:5468750:5469285:-1 gene:PAHAL_3G083700 transcript:PVH61640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIRSVQREARAPPPRRCRDCRRLRTSAIEPEHRRRAVRRPHVPDPTAGWDPSGGRSRSASRLPSTPGRGRDAASAACRGSPEQRRAGQPVCDDFLCPVRASPRPRATGASRGRGHHELPARRARHDVVDADPVLPVGLRLDVE >PVH61667 pep chromosome:PHallii_v3.1:3:5822969:5826544:-1 gene:PAHAL_3G090700 transcript:PVH61667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTIQPSPTTDLHAPTGQHKLQIQKVSGIPSLSSLAKNQKKSERRERERETLVVGVPGPLGAEQRMASSVEQQMAAALERGAPVATAPGGGGAGALDPQWRKTAAAALRGRMAAQRERGAGAAALASARSLALQAFSRQGTQEQLKGLKDQLRDLQSQLTETLSVQSCKESKGKLTTESISDATAMIERLGNSVADLRDKRDKRTTVISELLQALEPLEANTNEDAAVREKMEEAVFWYEKFLGFKIVGGEEGVKFVFNKVDPQSPETEYSFCINFDKDRYNLLECDPHIKDVEKLVKDLNLSDNVVKFVRIIREKFQSSAMNGALPISTVVEPDVSAAPFPSPMVTSVDGRSEDVPNQSHSQSKKQSLPAKRGATALSATSPGSLRRSSRAKGVR >PVH61666 pep chromosome:PHallii_v3.1:3:5823629:5826328:-1 gene:PAHAL_3G090700 transcript:PVH61666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTIQPSPTTDLHAPTGQHKLQIQKVSGIPSLSSLAKNQKKSERRERERETLVVGVPGPLGAEQRMASSVEQQMAAALERGAPVATAPGGGGAGALDPQWRKTAAAALRGRMAAQRERGAGAAALASARSLALQAFSRQGTQEQLKGLKDQLRDLQSQLTETLSVQSCKESKGKLTTESISDATAMIERLGNSVADLRDKRDKRTTVISELLQALEPLEANTNEDAAVREKMEEAVFWYEKFLGFKIVGGEEGVKFVFNKVDPQSPETEYSFCINFDKDRYNSVLECDPHIKDVEKLVKDLNLSDNVVKFVRIIREKFQSSAMNGALPISTVVEPDVSAAPFPSPMVTSVDGRSEDVPNQSHSQSKKQSLPAKRGATALSATSPGSLRRSSRAKGVR >PVH61729 pep chromosome:PHallii_v3.1:3:6808949:6809970:1 gene:PAHAL_3G106700 transcript:PVH61729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKWMVAEGWAPSTVSYNVLIRGLCSIGDLKGALSVLYGMEQHGCFPDVRTYSTLIDGFSKAGDLDGAMSIWNDMTGAGCKPNVVVYTNMVDVFCKKLMFDQAENLIDKMLLENCLPNTVTFNTLIRNLCDCGRVRRALGVLHEMRRHGCPPNDWTYNDLLHGLFREGNREDALQMVIEMRNHGMELSLVTYNTVVSGLCQMKMSREAMLFLGRMIVQGIQPDAFTFNAMIHAYCKEGKIRMAAWMLGGMNAVNCPRNIVAYTILMAELCNQHRMEDATVYLLKMLYEGIHPNTATWNVLVRGAFRNLGCIEPIDLLQHITTELSAGI >PVH61333 pep chromosome:PHallii_v3.1:3:720147:723709:-1 gene:PAHAL_3G012800 transcript:PVH61333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLLLIQDNSDKELIRLAFSPEHLLHAFVTSARAELAAKPASPPSPVLGPLQTGPPRGVPSPGSGDHQSPFAAADHVGYDGGDAFYPENEYDCWSPVSGGHRQSFSLGDAEVAAWRPCMYFARGNCRNGSSCRFLHGLPEDDAAAKEREMAVMRAKALAAARPQQHMAPAFPFSPSAPNGVNLNFLLHHHQQNEPQRFPVRSPRMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAESVKIILNKGNPHFACNARVLVKPYKEKGKVPDRFRKLQYPHHGDFAGRTWPTGLLDSRDPFDLQQPQIGPRMMHRNIANHEAFLRRKLEEQQQAAELQQAIEMEGRRFMGLELLDLRSRGHHLASPMPLGQADGKGSINGNGNTVHLEDVTIQDNKLSSTGLSMSAPAAAAISTTNAEGKHEEQQEEDGDASPKQTVNLGEVEKRESGPVIATRNVACGFQERFSPGHEAIGM >PAN15648 pep chromosome:PHallii_v3.1:3:720147:723709:-1 gene:PAHAL_3G012800 transcript:PAN15648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLLLIQDNSDKELIRLAFSPEHLLHAFVTSARAELAAKPASPPSPVLGPLQTGPPRGVPSPGSGDHQSPFAAADHVGYDGGDAFYPENEYDCWSPVSGGHRQSFSLGDAEVAAWRPCMYFARGNCRNGSSCRFLHGLPEDDAAAKEREMAVMRAKALAAARPQQHMAPAFPFSPSAPNGVNLNFLLHHHQQNEPQRFPVRSPRMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAESVKIILNKGNPHFACNARVLVKPYKEKGKVPDRFRKLQYPHHGDFAGRTWPTGLLDSRDPFDLQQPQIGPRMMHRNIANHEAFLRRKLEEQQQAAELQQAIEMEGRRFMGLELLDLRSRGHHLASPMPLGQADGKGSINGNGNTVHLEDVTIQDNKLSSTGLSMSAPAAAAISTTNAEGKHEEQQEEDGDASPKQTVNLGEVEKRESGPVIATRNVACGFQESGVVDHILPESPFASPTKASIDTHTIAQNGKINISSPFHHVASSLFPPASTLELPPYKSCFFQVPRFSPGHEAIGM >PVH61334 pep chromosome:PHallii_v3.1:3:719231:723872:-1 gene:PAHAL_3G012800 transcript:PVH61334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLLLIQDNSDKELIRLAFSPEHLLHAFVTSARAELAAKPASPPSPVLGPLQTGPPRGVPSPGSGDHQSPFAAADHVGYDGGDAFYPENEYDCWSPVSGGHRQSFSLGDAEVAAWRPCMYFARGNCRNGSSCRFLHGLPEDDAAAKEREMAVMRAKALAAARPQQHMAPAFPFSPSAPNGVNLNFLLHHHQQNEPQRFPVRSPRMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAESVKIILNKGNPHFACNARVLVKPYKEKGKVPDRFRKLQYPHHGDFAGRTWPTGLLDSRDPFDLQQPQIGPRMMHRNIANHEAFLRRKLEEQQQAAELQQAIEMEGRRFMGLELLDLRSRGHHLASPMPLGQADGKGSINDNKLSSTGLSMSAPAAAAISTTNAEGKHEEQQEEDGDASPKQTVNLGEVEKRESGPVIATRNVACGFQESGVVDHILPESPFASPTKASIDTHTIAQNGKINISSPFHHVASSLFPPASTLELPPYKSCFFQVPRFSPGHEAIGM >PAN15650 pep chromosome:PHallii_v3.1:3:719226:723872:-1 gene:PAHAL_3G012800 transcript:PAN15650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLLLIQDNSDKELIRLAFSPEHLLHAFVTSARAELAAKPASPPSPVLGPLQTGPPRGVPSPGSGDHQSPFAAADHVGYDGGDAFYPENEYDCWSPVSGGHRQSFSLGDAEVAAWRPCMYFARGNCRNGSSCRFLHGLPEDDAAAKEREMAVMRAKALAAARPQQHMAPAFPFSPSAPNGVNLNFLLHHHQQNEPQRFPVRSPRMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAESVKIILNKGNPHFACNARVLVKPYKEKGKVPDRFRKLQYPHHGDFAGRTWPTGLLDSRDPFDLQQPQIGPRMMHRNIANHEAFLRRKLEEQQQAAELQQAIEMEGRRFMGLELLDLRSRGHHLASPMPLGQADGKGSINGNGNTVHLEDVTIQDNKLSSTGLSMSAPAAAAISTTNAEGKHEEQQEEDGDASPKQTVNLGEVEKRESGPVIATRNVACGFQESGVVDHILPESPFASPTKASIDTHTIAQNGKINISSPFHHVASSLFPPASTLELPPYKSCFFQVPRFSPGHEAIGM >PAN15649 pep chromosome:PHallii_v3.1:3:719230:723873:-1 gene:PAHAL_3G012800 transcript:PAN15649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLLLIQDNSDKELIRLAFSPEHLLHAFVTSARAELAAKPASPPSPVLGPLQTGPPRGVPSPGSGDHQSPFAAADHVGYDGGDAFYPENEYDCWSPVSGGHRQSFSLGDAEVAAWRPCMYFARGNCRNGSSCRFLHGLPEDDAAAKEREMAVMRAKALAAARPQQHMAPAFPFSPSAPNGVNLNFLLHHHQQNEPQRFPVRSPRMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAESVKIILNKGNPHFACNARVLVKPYKEKGKVPDRFRKLQYPHHGDFAGRTWPTGLLDSRDPFDLQQPQIGPRMMHRNIANHEAFLRRKLEEQQQAAELQQAIEMEGRRFMGLELLDLRSRGHHLASPMPLGQADGKGSINGNGNTVHLEDVTIQDNKLSSTGLSMSAPAAAAISTTNAEGKHEEQQEEDGDASPKQTVNLGEVEKRESGPVIATRNVACGFQERFSPGHEAIGM >PAN16181 pep chromosome:PHallii_v3.1:3:2710290:2712960:1 gene:PAHAL_3G043100 transcript:PAN16181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEGYKIEPPGLGPRTRRSAATMETGDMAGGGREGERERPLGYVLSLPAAALPLPVAVSCLDATVPRKARSRPRLRAQPCSWWTFELPVPAPEEAKSPALAANPPRPPRPRPRVCHAPPPDPHTPAPTMERPAKRTRRCLQCGAAETPQWRSGPMGSGTLCNACGVRLKAAGALREQVHRPPPATARTVAEPPPESPVSPVSDSSPDGPIWEPGSVPDVYLLRKKPPKQGRSPPARTEAASPPAVFLVKKKKKKKAPKTPKKKPWRPRKSAKRCLHCGSSSTPQWREGPMGRSTLCNACGVRYRQGRLLPEYRPLASPTFEPSEHANRHSQVIQLHRQRKSQKSQQPLPTEEPRPVDDPTGALACSGGDDPMNVLLPRRWHNKNEYPPTPLHQPLPQPADSLAGDQHVGDIDDSAQGRGGGGSNDPNDAPSSLDSLLLEGPSAPLIVDGDESLID >PAN16183 pep chromosome:PHallii_v3.1:3:2710290:2712960:1 gene:PAHAL_3G043100 transcript:PAN16183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHLPALSFLSLCCWRPQPRRSPPARSLTRRRRRLRVPVAARPSAGHGDGGRVQDRAAQPPGLGPRTRRSAATMETGDMAGGGREGERERPLGYVLSLPAAALPLPVAVSCLDATVPRKARSRPRLRAQPCSWWTFELPVPAPEEAKSPALAANPPRPPRPRPRVCHAPPPDPHTPAPTMERPAKRTRRCLQCGAAETPQWRSGPMGSGTLCNACGVRLKAAGALREQVHRPPPATARTVAEPPPESPVSPVSDSSPDGPIWEPGSVPDVYLLRKKPPKQGRSPPARTEAASPPAVFLVKKKKKKKAPKTPKKKPWRPRKSAKRCLHCGSSSTPQWREGPMGRSTLCNACGVRYRQGRLLPEYRPLASPTFEPSEHANRHSQVIQLHRQRKSQKSQQPLPTEEPRPVDDPTGALACSGGDDPMNVLLPRRWHNKNEYPPTPLHQPLPQPADSLAGDQHVGDIDDSAQGRGGGGSNDPNDAPSSLDSLLLEGPSAPLIVDGDESLID >PAN16182 pep chromosome:PHallii_v3.1:3:2710290:2712960:1 gene:PAHAL_3G043100 transcript:PAN16182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEGYKIEPPGLGPRTRRSAATMETGDMAGGGREGERERPLGYVLSLPAAALPLPVAVSCLDATVPRKARSRPRLRAQPCSWWTFELPVPAPEEAKSPALAANPPRPPRPRPRVCHAPPPDPHTPAPTMERPAKRTRRCLQCGAAETPQWRSGPMGSGTLCNACGVRLKAAGALREQVHRPPPATARTVAEPPPESPVSPVSDSSPDGPIWEPGSVPDVYLLRKKPPKQGRSPPARTEAASPPAVFLVKKKKKKKAPKTPKKKPWRPRKSAKRCLHCGSSSTPQWREGPMGRSTLCNACGVRYRQGRLLPEYRPLASPTFEPSEHANRHSQVIQLHRQRKSQKSQQPLPTEEPRPVDDPTGALACSGGDDPMNVLLPRRWHNKNEYPPTPLHQPLPQPADSLAGDQHVGDIDDSAQGRGGGGSNDPNDAPSSLDSLLLEGPSAPLIVDGDESLID >PVH61564 pep chromosome:PHallii_v3.1:3:3888563:3889771:1 gene:PAHAL_3G061700 transcript:PVH61564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRNNLPPHSSQGTAKPPNYGSLDPSAMADPQFVAFLQATYAAQLHGHHALPALPLCIGFPAMPPTPNFDNSPTQVKSRTSNFTIAEDKAICSAFINVSKDPIVGVNQSSEAYWDRVHKFLYSNTPVERQRPPPSIRKRWGTIQKDTARFCGYKAEQDRKNQSGKMEEDRIEDAKKQYHALVGKPFAFMHCWESLRGQRKWLDLVGAKGKDADNNGEESTPDLVDLGFPEEDANDSRPIGRDSAKKRRSSELQSSSTASAYVEVLQKMTDHKGKQIVAEVEWATAFNDREDRKLTLEEKKREDGIMKMDLSALDPYQRRYFRREIKAILARTGADDDEQEMDDDFGA >PAN20007 pep chromosome:PHallii_v3.1:3:22350492:22356996:-1 gene:PAHAL_3G313100 transcript:PAN20007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDRPSLSIFAVIASLRRNYAEALSRNDVKAIVLTGAKGRFCGGFDITAFGKKPKNEKPGSMSIDFLSDIVEDARKPSVAAIDGIALGGGLEVAMVCHARISTPSAQLGLPELQLGIIPGLGGTQRLPRLVGLQKALEMMLTSKAIKGREAHEVGLVDAVTSANELVNTACSWALEIVENKKPWFKSLYRTDRLPDLVEVKDVLKFARVQTKKKAPNVQHPIVCIDVIEEGIVCGPRVGLMKEALSAKMVEQSQTSKSLRHFFFAQRATSKIPNITNIGLTPRKIKKAAIVGGGLMGSGIAAVLILNNFKVILKEINEQFLSAGINRVRGNLQSFVRKGQLTKEDCERKFSLLSGVLDYEQFRDADLVIEAVIEDILLKQKIFSDLEKYCHHNCIFATNTSTIDLNLIGQKTGSQDRIVGAHFFSPAHVMPLLEIVRTHQTSLQAIVDLLDMAKKIQKTPIVAGNCTGFAVNRMFFPYGQAASFLVDYGLDVYHIDNVITQFGMPMGPFRLADLVGFGVATATRKQYFQSYPERCYKSMLLLQILLEDNRTGESSRKGFYVYDDKRKASQDPDLRKYIEKSRNMGGVTQDPKLMKLTDNDIVEIILFPVVNEACRVLDEGISLKASDLDVASIMGMGFPSYRGGVMFWADSLSAKYVYDRLEAWSKDYGEFFKPCEYLAARARQGASLAAKVDRAKSRL >PAN20008 pep chromosome:PHallii_v3.1:3:22350492:22357797:-1 gene:PAHAL_3G313100 transcript:PAN20008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTTAMEVRADGVAVITISNPPVNALSFDVIASLRRNYAEALSRNDVKAIVLTGAKGRFCGGFDITAFGKKPKNEKPGSMSIDFLSDIVEDARKPSVAAIDGIALGGGLEVAMVCHARISTPSAQLGLPELQLGIIPGLGGTQRLPRLVGLQKALEMMLTSKAIKGREAHEVGLVDAVTSANELVNTACSWALEIVENKKPWFKSLYRTDRLPDLVEVKDVLKFARVQTKKKAPNVQHPIVCIDVIEEGIVCGPRVGLMKEALSAKMVEQSQTSKSLRHFFFAQRATSKIPNITNIGLTPRKIKKAAIVGGGLMGSGIAAVLILNNFKVILKEINEQFLSAGINRVRGNLQSFVRKGQLTKEDCERKFSLLSGVLDYEQFRDADLVIEAVIEDILLKQKIFSDLEKYCHHNCIFATNTSTIDLNLIGQKTGSQDRIVGAHFFSPAHVMPLLEIVRTHQTSLQAIVDLLDMAKKIQKTPIVAGNCTGFAVNRMFFPYGQAASFLVDYGLDVYHIDNVITQFGMPMGPFRLADLVGFGVATATRKQYFQSYPERCYKSMLLLQILLEDNRTGESSRKGFYVYDDKRKASQDPDLRKYIEKSRNMGGVTQDPKLMKLTDNDIVEIILFPVVNEACRVLDEGISLKASDLDVASIMGMGFPSYRGGVMFWADSLSAKYVYDRLEAWSKDYGEFFKPCEYLAARARQGASLAAKVDRAKSRL >PVH62792 pep chromosome:PHallii_v3.1:3:51083291:51104573:1 gene:PAHAL_3G403100 transcript:PVH62792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRACTTKNVLCTSCREVGQTGCVNELVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKSTFFQVTGLPLNVLLQKPISTSTMGKNRQPQSTKQRCPMSCPICFDCLLLNSSESVSVDSLISRHAVFYNRGTSYNTFHGNHILNKKKRPDALSLIRHIFGIKGCCAKFMRCDCHGSTATNSNCLHLWLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKVAPNGPKNHSKAQYSFGGKSVYHDQSYVQLEAYSTHQQVVSFVWAVLTRIIPEPLLGNSYSKRLLRINIWKFIKLRRFETFHLSDCIGELKVSHYSWISNIRLSDCFCSTLMEKEIGLSNGSEEQKRQNLLHRWISWIFSDIVIPLIRAYFYVTERESRRYDAFYYLKTVWRDLTSSAVASLNRQNLKVLHGTSRKAIRQLCCPSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLIIKKVRDENPEMFGSSVFDYNNVHRNLYHFISSVRSHLKEKLKIYVVVADVSKAFDCVSHDMVLKVVDDVLKCDNYVLRKCTKVVCNRSKNAIYRFDSNVSISNSNDVCDFSIQLSSNGGILVDQGKISSIRKKEIQHLLSEQVKCNIFKIGKNLYSQQVGIAQGSKLSPNLCSLYYGHLENSVLLKFLHDCNVNSDEDVSAPKSLLMRFIDDFIFISFSKEHALNFFNRMRRGFVYYNCYMNESKYGFNFELPNSENCCNRIYRGGDGFSFIPWSGLLINCETLEIQADYTRYLDILISSTITVNMQSSTKYLQRKLCHYMRPKCHPIFYDSMINSPGTVRLNIYQAFLLCAMKFHCYLRSMADVNISKLEQLYIIKRTFRYMHSLIIRRIQDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLRKEIGRYDHLDRDNDGLRYAVEDFHSSMFWKFKF >PVH62795 pep chromosome:PHallii_v3.1:3:51083291:51104574:1 gene:PAHAL_3G403100 transcript:PVH62795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRACTTKNVLCTSCREVGQTGCVNELVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKSTFFQVTGLPLNVLLQKPISTSTMGKNRQPQSTKQRCPMSCPICFDCLLLNSSESVSVDSLISRHAVFYNRGTSYNTFHGNHILNKKKRPDALSLIRHIFGIKGCCAKFMRCDCHGSTATNSNCLHLWLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKVAPNGPKNHSKAQYSFGGKSVYHDQSYVQLEAYSTHQQVVSFVWAVLTRIIPEPLLGNSYSKRLLRINIWKFIKLRRFETFHLSDCIGELKVSHYSWISNIRLSDCFCSTLMEKEIGLSNGSEEQKRQNLLHRWISWIFSDIVIPLIRAYFYVTERESRRYDAFYYLKTVWRDLTSSAVASLNRQNLKVLHGTSRKAIRQLCCPSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLIIKKVRDENPEMFGSSVFDYNNVHRNLYHFISSVRSHLKEKLKIYVVVADVSKAFDCVSHDMVLKVVDDVLKCDNYVLRKCTKVVCNRSKNAIYRFDSNVSISNSNDVCDFSIQLSSNGGILVDQEHALNFFNRMRRGFVYYNCYMNESKYGFNFELPNSENCCNRIYRGGDGFSFIPWSGLLINCETLEIQADYTRYLDILISSTITVNMQSSTKYLQRKLCHYMRPKCHPIFYDSMINSPGTVRLNIYQAFLLCAMKFHCYLRSMADVNISKLEQLYIIKRTFRYMHSLIIRRIQDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLRKEIGRYDHLDRDNDGLRYAVEDFHSSMFWKFKF >PVH62790 pep chromosome:PHallii_v3.1:3:51083291:51096034:1 gene:PAHAL_3G403100 transcript:PVH62790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRACTTKNVLCTSCREVGQTGCVNELVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKSTFFQVTGLPLNVLLQKPISTSTMGKNRQPQSTKQRCPMLYLCSNPDIGCVKNSNVAFASPDISTSKFDTLQSSGSYGTAKFTELSCLSEGCNRSEYSLSNGSIKCSNLDTQNPRKRKRLYSWQRHSKQKQICSEDRLSTRWSKINSDFMVQDVLLKDSCATVFDEVHPLQLTVDKSSLAMSSDVNSSLTKEPRGALHYEKPPSSMSGIRPSQGHSTSRIQSTCPQVGIPNLFHMNSCPICFDCLLLNSSESVSVDSLISRHAVFYNRGTSYNTFHGNHILNKKKRPDALSLIRHIFGIKGCCAKFMRCDCHGSTATNSNCLHLWLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKVAPNGPKNHSKAQYSFGGKSVYHDQSYVQLEAYSTHQQVVSFVWAVLTRIIPEPLLGNSYSKRLLRINIWKFIKLRRFETFHLSDCIGELKVSHYSWISNIRLSDCFCSTLMEKEIGLSNGSEEQKRQNLLHRWISWIFSDIVIPLIRAYFYVTERESRRYDAFYYLKTVWRDLTSSAVASLNRQNLKVLHGTSRKAIRQLCCPSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLIIKKVRDENPEMFGSSVFDYNNVHRNLYHFISSVRSHLKEKLKIYVVVADVSKAFDCVSHDMVLKVVDDVLKCDNYVLRKCTKVVCNRSKNAIYRFDSNVSISNSNDVCDFSIQLSSNGGILVDQK >PVH62794 pep chromosome:PHallii_v3.1:3:51083291:51104574:1 gene:PAHAL_3G403100 transcript:PVH62794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRACTTKNVLCTSCREVGQTGCVNELVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKSTFFQVTGLPLNVLLQKPISTSTMGKNRQPQSTKQRCPMLYLCSNPDIGCVKNSNVAFASPDISTSKFDTLQSSGSYGTAKFTELSCLSEGCNRSEYSLSNGSIKCSNLDTQNPRKRKRLYSWQRHSKQKQICSEDRLSTRWSKINSDFMVQDVLLKDSCATVFDEVHPLQLTVDKSSLAMSSDVNSSLTKEPRGALHYEKPPSSMSGIRPSQGHSTSRIQSTCPQVGIPNLFHMNSCPICFDCLLLNSSESVSVDSLISRHAVFYNRGTSYNTFHGNHILNKKKRPDALSLIRHIFGIKGCCAKFMRCDCHGSTATNSNCLHLWLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKVAPNGPKNHSKAQYSFGGKSVYHDQSYVQLEAYSTHQQVVSFVWAVLTRIIPEPLLGNSYSKRLLRINIWKFIKLRRFETFHLSDCIGELKVSHYSWISNIRLSDCFCSTLMEKEIGLSNGSEEQKRQNLLHRWISWIFSDIVIPLIRAYFYVTERESRRYDAFYYLKTVWRDLTSSAVASLNRQNLKVLHGTSRKAIRQLCCPSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLIIKKVRDENPEMFGSSVFDYNNVHRNLYHFISSVRSHLKEKLKIYVVVADVSKAFDCVSHDMVLKVVDDVLKCDNYVLRKCTKVVCNRSKNAIYRFDSNVSISNSNDVCDFSIQLSSNGGILVDQEHALNFFNRMRRGFVYYNCYMNESKYGFNFELPNSENCCNRIYRGGDGFSFIPWSGLLINCETLEIQADYTRYLDILISSTITVNMQSSTKYLQRKLCHYMRPKCHPIFYDSMINSPGTVRLNIYQAFLLCAMKFHCYLRSMADVNISKLEQLYIIKRTFRYMHSLIIRRIQDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLRKEIGRYDHLDRDNDGLRYAVEDFHSSMFWKFKF >PVH62793 pep chromosome:PHallii_v3.1:3:51083291:51104212:1 gene:PAHAL_3G403100 transcript:PVH62793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRACTTKNVLCTSCREVGQTGCVNELVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKSTFFQVTGLPLNVLLQKPISTSTMGKNRQPQSTKQRCPMLYLCSNPDIGCVKNSNVAFASPDISTSKFDTLQSSGSYGTAKFTELSCLSEGCNRSEYSLSNGSIKCSNLDTQNPRKRKRLYSWQRHSKQKQICSEDRLSTRWSKINSDFMVQDVLLKDSCATVFDEVHPLQLTVDKSSLAMSSDVNSSLTKEPRGALHYEKPPSSMSGIRPSQGHSTSRIQSTCPQVGIPNLFHMNSCPICFDCLLLNSSESVSVDSLISRHAVFYNRGTSYNTFHGNHILNKKKRPDALSLIRHIFGIKGCCAKFMRCDCHGSTATNSNCLHLWLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKVAPNGPKNHSKAQYSFGGKSVYHDQSYVQLEAYSTHQQVVSFVWAVLTRIIPEPLLGNSYSKRLLRINIWKFIKLRRFETFHLSDCIGELKVSHYSWISNIRLSDCFCSTLMEKEIGLSNGSEEQKRQNLLHRWISWIFSDIVIPLIRAYFYVTERESRRYDAFYYLKTVWRDLTSSAVASLNRQNLKVLHGTSRKAIRQLCCPSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLIIKKVRDENPEMFGSSVFDYNNVHRNLYHFISSVRSHLKEKLKIYVVVADVSKAFDCVSHDMVLKVVDDVLKCDNYVLRKCTKVVCNRSKNAIYRFDSNVSISNSNDVCDFSIQLSSNGGILVDQGKISSIRKKEIQHLLSEQVKCNIFKIGKNLYSQQVGIAQGSKLSPNLCSLYYGHLENSVLLKFLHDCNVNSDEDVSAPKSLLMRFIDDFIFISFSKEHALNFFNRMRRGFVYYNCYMNESKYGFNFELPNSENCCNRIYRGGDGFSFIPWSGLLINCETLEIQADYTRYLDILISSTITVNMQSSTKYLQRKLCHYMRPKCHPIFYDSMINSPGTVRLNIYQAFLLCAMKFHCYLRSMADVNISKLEQLYIIKRTFRYMHSLIIRRIQDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLRKEIGRYDHLDRDNDGLRYAVEDFHSSMFWKFKF >PVH62796 pep chromosome:PHallii_v3.1:3:51088778:51104573:1 gene:PAHAL_3G403100 transcript:PVH62796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDVLLKDSCATVFDEVHPLQLTVDKSSLAMSSDVNSSLTKEPRGALHYEKPPSSMSGIRPSQGHSTSRIQSTCPQVGIPNLFHMNSCPICFDCLLLNSSESVSVDSLISRHAVFYNRGTSYNTFHGNHILNKKKRPDALSLIRHIFGIKGCCAKFMRCDCHGSTATNSNCLHLWLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKVAPNGPKNHSKAQYSFGGKSVYHDQSYVQLEAYSTHQQVVSFVWAVLTRIIPEPLLGNSYSKRLLRINIWKFIKLRRFETFHLSDCIGELKVSHYSWISNIRLSDCFCSTLMEKEIGLSNGSEEQKRQNLLHRWISWIFSDIVIPLIRAYFYVTERESRRYDAFYYLKTVWRDLTSSAVASLNRQNLKVLHGTSRKAIRQLCCPSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLIIKKVRDENPEMFGSSVFDYNNVHRNLYHFISSVRSHLKEKLKIYVVVADVSKAFDCVSHDMVLKVVDDVLKCDNYVLRKCTKVVCNRSKNAIYRFDSNVSISNSNDVCDFSIQLSSNGGILVDQGKISSIRKKEIQHLLSEQVKCNIFKIGKNLYSQQVGIAQGSKLSPNLCSLYYGHLENSVLLKFLHDCNVNSDEDVSAPKSLLMRFIDDFIFISFSKEHALNFFNRMRRGFVYYNCYMNESKYGFNFELPNSENCCNRIYRGGDGFSFIPWSGLLINCETLEIQADYTRYLDILISSTITVNMQSSTKYLQRKLCHYMRPKCHPIFYDSMINSPGTVRLNIYQAFLLCAMKFHCYLRSMADVNISKLEQLYIIKRTFRYMHSLIIRRIQDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLRKEIGRYDHLDRDNDGLRYAVEDFHSSMFWKFKF >PVH62791 pep chromosome:PHallii_v3.1:3:51083291:51104573:1 gene:PAHAL_3G403100 transcript:PVH62791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRACTTKNVLCTSCREVGQTGCVNELVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKSTFFQVTGLPLNVLLQKPISTSTMGKNRQPQSTKQRCPMLYLCSNPDIGCVKNSNVAFASPDISTSKFDTLQSSGSYGTAKFTELSCLSEGCNRSEYSLSNGSIKCSNLDTQNPRKRKRLYSWQRHSKQKQICSEDRLSTRWSKINSDFMVQDVLLKDSCATVFDEVHPLQLTVDKSSLAMSSDVNSSLTKEPRGALHYEKPPSSMSGIRPSQGHSTSRIQSTCPQVGIPNLFHMNSCPICFDCLLLNSSESVSVDSLISRHAVFYNRGTSYNTFHGNHILNKKKRPDALSLIRHIFGIKGCCAKFMRCDCHGSTATNSNCLHLWLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKVAPNGPKNHSKAQYSFGGKSVYHDQSYVQLEAYSTHQQVVSFVWAVLTRIIPEPLLGNSYSKRLLRINIWKFIKLRRFETFHLSDCIGELKVSHYSWISNIRLSDCFCSTLMEKEIGLSNGSEEQKRQNLLHRWISWIFSDIVIPLIRAYFYVTERESRRYDAFYYLKTVWRDLTSSAVASLNRQNLKVLHGTSRKAIRQLCCPSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLIIKKVRDENPEMFGSSVFDYNNVHRNLYHFISSVRSHLKEKLKIYVVVADVSKAFDCVSHDMVLKVVDDVLKCDNYVLRKCTKVVCNRSKNAIYRFDSNVSISNSNDVCDFSIQLSSNGGILVDQGKISSIRKKEIQHLLSEQVKCNIFKIGKNLYSQQVGIAQGSKLSPNLCSLYYGHLENSVLLKFLHDCNVNSDEDVSAPKSLLMRFIDDFIFISFSKEHALNFFNRMRRGFVYYNCYMNESKYGFNFELPNSENCCNRIYRGGDGFSFIPWSGLLINCETLEIQADYTRYLDILISSTITVNMQSSTKYLQRKLCHYMRPKCHPIFYDSMINSPGTVRLNIYQAFLLCAMKFHCYLRSMADVNISKLEQLYIIKRTFRYMHSLIIRRIQDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLRKEIGRYDHLDRDNDGLRYAVEDFHSSMFWKFKF >PAN17221 pep chromosome:PHallii_v3.1:3:7304818:7309186:1 gene:PAHAL_3G114500 transcript:PAN17221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMRNLLSKDSLLNKTDHIYKEISFLSSTNDNVSSRLISVVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPEIFSTKCCFELGSGVGLVGICLNYVGASKVILTDGDTSTLTNMKENMELNNLCIEQEHSEVLKESKNKVECKYLSWEHVSESDLWGYQPDIVLGADIMYDPVCVPHLVRVLSMLLRRDRRQGENNGKSGDEFQTEGPVAYVATVVRNAETFNCFAKAAADAKLSAINIASSAAPSNLLPYMLSYDRSSVQLLKITSLS >PAN17220 pep chromosome:PHallii_v3.1:3:7304818:7309186:1 gene:PAHAL_3G114500 transcript:PAN17220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAQDAAEQLLHLKLAFLAGEPPACVLALARKAGGGSITPHVQNFLLENCTGTNVDGRQNFTYTTTIFKRIISEVELSSSTVIDGLYEEFAQRMLSKAKDSLLNKTDHIYKEISFLSSTNDNVSSRLISVVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPEIFSTKCCFELGSGVGLVGICLNYVGASKVILTDGDTSTLTNMKENMELNNLCIEQEHSEVLKESKNKVECKYLSWEHVSESDLWGYQPDIVLGADIMYDPVCVPHLVRVLSMLLRRDRRQGENNGKSGDEFQTEGPVAYVATVVRNAETFNCFAKAAADAKLSAINIASSAAPSNLLPYMLSYDRSSVQLLKITSLS >PVH61754 pep chromosome:PHallii_v3.1:3:7304818:7310054:1 gene:PAHAL_3G114500 transcript:PVH61754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAQDAAEQLLHLKLAFLAGEPPACVLALARKAGGGSITPHVQNFLLENCTGTNVDGRQNFTYTTTIFKRIISEVELSSSTVIDGLYEEFAQRMLSKAKDSLLNKTDHIYKEISFLSSTNDNVSSRLISVVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPEIFSTKCCFELGSGVGLVGICLNYVGASKVILTDGDTSTLTNMKENMELNNLCIEQEHSEVLKESKNKVECKYLSWEHVSESDLWGYQPDIVLGADIMYDPVCVPHLVRVLSMLLRRDRRQGENNGKSGDEFQTEGPVAYVATVVRNAETFNCFAKAAADAKLSAINIASRSMRN >PVH61753 pep chromosome:PHallii_v3.1:3:7304818:7309186:1 gene:PAHAL_3G114500 transcript:PVH61753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAQDAAEQLLHLKLAFLAGEPPACVLALARKAGGGSITPHVQNFLLENCTGTNVDGRQNFTYTTTIFKRIISEVELSSSTVIDGLYEEFAQRMLSKAKDSLLNKTDHIYKEISFLSSTNDNVSSRLISVVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPEIFSTKCCFELGSGVGLVGICLNYVGASKVILTDGDTSTLTNMKENMELNNLCIEQEHSEVLKESKNKVECKYLSWEHVSESDLWGYQPDIVYYVRSSLRAAPRSSTLNAVKARQKTRGKQWKIW >PAN16917 pep chromosome:PHallii_v3.1:3:6102623:6105617:-1 gene:PAHAL_3G096100 transcript:PAN16917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLTPRQPKKAYGGEGGSYYEWSPADLPMLGVASIGAAKLSLAAGGLSLPSYSDSAKVAYVLQGRGTCGIVLPEATKEKVVGVKEGDALALPFGVVTWWHNAPDATTELIVLFLGDTSKGHKAGQFTNFQLTGANGIFTGFSTEFVGRAWDLTQDDAAKLVSSQPASGIVKLGAGQKLPAPSAEDRKGMALNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGTDGKRVLETQVEGGYLFIVPRFFVVSKIADASGLEWFSIITTPNPIFSHLAGRTSVWKAISSEVLEASFNTTPEMEKLFRSKRLDSEIFFAPN >PVH62043 pep chromosome:PHallii_v3.1:3:12514176:12515425:1 gene:PAHAL_3G191000 transcript:PVH62043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGSLERFAFGRSSGGGNSLSWERLFDITVGIARGLDYLHRGCNTRIVHFDIKPHNILLDRDFCPKISDFGLAKRCMTKESIISIDCARGTIGYIAPEVFSPQFGQISSKSDVYSYGMMILEMVGARKNINRSSETSSKYFPQWIYEHLEEHYIDAWETSIHSEVVRKLLIVGLWCIQLQPNNRPSMTKVVEMLESKADDLQIPPKSILC >PVH62423 pep chromosome:PHallii_v3.1:3:19611615:19612508:-1 gene:PAHAL_3G288900 transcript:PVH62423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKFSNLVDPSVPFSPPSLRRSEQAWPPSQSSISSSIHLFHSPPPSHRRSEQRLLVAPWGTASLAPCAAVCFDSTAFLDRRRGSRMACGVMAGEPARTQKRARDSLDGKTCLRDSFAAGKASVVPAPGQQRLQERMKAELEAVRELHKKAVLLCRGAGRSGAAPAAKGDARFSTAGPRREASLEAAAKRRKMEVAHQIKKPVKQQPRVQRATAPRLQERKTKKTDEEVQRTRRMEEIARAREECRRQVLEMEMAALPDETIYPQDLEELGIADEYVVTRTRSQALSQDRILVGVA >PAN17456 pep chromosome:PHallii_v3.1:3:8554248:8558508:-1 gene:PAHAL_3G132900 transcript:PAN17456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYNQNSKYGELTRNLRETQLGGVIFGCKYDTIDECFKKQLFGLPSVHYSYVRNVKPGMPLFLFNYSDRKLHGIFEAASPGQMYIDPYAWSNDGSLRTAFPAQVRICTKTQYPPLLESQFKTLLGDNYYNHHRFYFELDHAQTRALISLFKSLDPANRNQVPAVSSKRNLAVSPLPTKMKLPAVPDPKKVTENSKETNPFSVLSNRASPFNWADDVDSASNTDEKKSEDLLSDCDDLDDNLLQDQSVPHSNPDEVSQNSSDKTVDQGVELVECNHPVANPVNGERVTIDEPMLLNPLNDQNGAVDVDEIESEVHNSSGGVGLQPERKTILEKLKELSFQRQQVAISSQDCVDSSSDQCVPDETQINANLSCDPFDDTMEDKTSSDECHGNDELLQIITALTKRTEALEKKLIGSDQEILSLREVVKDSGRKVQQLEYLVDELQFKFDSSLSHLGSICNTLAKPSVFLIGGYNGVTWLSSLESFSPEKETLVGLTPMSSPRSYASAAALDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGICLNEKIYAIGGGDGNETYSEVEMFDPYLGKWICSPSMLLSRFALAATELNGVIYTTGGYDGSVYLESAERYDPREGFWVRLPSMTTRRGCHALTVLGDALYAMGGYDGDKMVSSIEIFDPRLNTWRMGNPMNIPRGYAAAANLDDNVFLIGGMQSNVQILDTVEVYNASSGWSVLGFGSIGKRSFASAIVL >PAN17458 pep chromosome:PHallii_v3.1:3:8554248:8558105:-1 gene:PAHAL_3G132900 transcript:PAN17458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFLFNYSDRKLHGIFEAASPGQMYIDPYAWSNDGSLRTAFPAQVRICTKTQYPPLLESQFKTLLGDNYYNHHRFYFELDHAQTRALISLFKSLDPANRNQVPAVSSKRNLAVSPLPTKMKLPAVPDPKKVTENSKETNPFSVLSNRASPFNWADDVDSASNTDEKKSEDLLSDCDDLDDNLLQDQSVPHSNPDEVSQNSSDKTVDQGVELVECNHPVANPVNGERVTIDEPMLLNPLNDQNGAVDVDEIESEVHNSSGGVGLQPERKTILEKLKELSFQRQQVAISSQDCVDSSSDQCVPDETQINANLSCDPFDDTMEDKTSSDECHGNDELLQIITALTKRTEALEKKLIGSDQEILSLREVVKDSGRKVQQLEYLVDELQFKFDSSLSHLGSICNTLAKPSVFLIGGYNGVTWLSSLESFSPEKETLVGLTPMSSPRSYASAAALDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGICLNEKIYAIGGGDGNETYSEVEMFDPYLGKWICSPSMLLSRFALAATELNGVIYTTGGYDGSVYLESAERYDPREGFWVRLPSMTTRRGCHALTVLGDALYAMGGYDGDKMVSSIEIFDPRLNTWRMGNPMNIPRGYAAAANLDDNVFLIGGMQSNVQILDTVEVYNASSGWSVLGFGSIGKRSFASAIVL >PAN17455 pep chromosome:PHallii_v3.1:3:8553882:8560483:-1 gene:PAHAL_3G132900 transcript:PAN17455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRPVRKRHGATPQLREEQKRKPVAEGDACLQLQPLQERSNLVLEEGGAWNLAMQTYNQNSKYGELTRNLRETQLGGVIFGCKYDTIDECFKKQLFGLPSVHYSYVRNVKPGMPLFLFNYSDRKLHGIFEAASPGQMYIDPYAWSNDGSLRTAFPAQVRICTKTQYPPLLESQFKTLLGDNYYNHHRFYFELDHAQTRALISLFKSLDPANRNQVPAVSSKRNLAVSPLPTKMKLPAVPDPKKVTENSKETNPFSVLSNRASPFNWADDVDSASNTDEKKSEDLLSDCDDLDDNLLQDQSVPHSNPDEVSQNSSDKTVDQGVELVECNHPVANPVNGERVTIDEPMLLNPLNDQNGAVDVDEIESEVHNSSGGVGLQPERKTILEKLKELSFQRQQVAISSQDCVDSSSDQCVPDETQINANLSCDPFDDTMEDKTSSDECHGNDELLQIITALTKRTEALEKKLIGSDQEILSLREVVKDSGRKVQQLEYLVDELQFKFDSSLSHLGSICNTLAKPSVFLIGGYNGVTWLSSLESFSPEKETLVGLTPMSSPRSYASAAALDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGICLNEKIYAIGGGDGNETYSEVEMFDPYLGKWICSPSMLLSRFALAATELNGVIYTTGGYDGSVYLESAERYDPREGFWVRLPSMTTRRGCHALTVLGDALYAMGGYDGDKMVSSIEIFDPRLNTWRMGNPMNIPRGYAAAANLDDNVFLIGGMQSNVQILDTVEVYNASSGWSVLGFGSIGKRSFASAIVL >PAN20059 pep chromosome:PHallii_v3.1:3:22859874:22867589:-1 gene:PAHAL_3G315300 transcript:PAN20059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSIPLAFRLLMRINPRALIILSLLVFSGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDPGLISPIEDPMSIMQKGYSVTIMLAVLAFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAGLASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMAVGVIFRILGHATGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >PAN20061 pep chromosome:PHallii_v3.1:3:22859451:22869003:-1 gene:PAHAL_3G315300 transcript:PAN20061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSIPLAFRLLMRINPRALIILSLLVFSGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDPGLISPIEDPMSIMQKGYSVTIMLAVLAFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAGLASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMAVGVIFRILGHATGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >PAN20057 pep chromosome:PHallii_v3.1:3:22859874:22867589:-1 gene:PAHAL_3G315300 transcript:PAN20057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSIPLAFRLLMRINPRALIILSLLVFSGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDPGLISPIEDPMSIMQKGYSVTIMLAVLAFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAGLASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMAVGVIFRILGHATGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >PAN20060 pep chromosome:PHallii_v3.1:3:22859452:22869003:-1 gene:PAHAL_3G315300 transcript:PAN20060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSIPLAFRLLMRINPRALIILSLLVFSGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDPGLISPIEDPMSIMQKGYSVTIMLAVLAFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAGLASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMAVGVIFRILGHATGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >PAN20058 pep chromosome:PHallii_v3.1:3:22859502:22869003:-1 gene:PAHAL_3G315300 transcript:PAN20058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSIPLAFRLLMRINPRALIILSLLVFSGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDPGLISPIEDPMSIMQKGYSVTIMLAVLAFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAGLASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMAVGVIFRILGHATGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >PAN16841 pep chromosome:PHallii_v3.1:3:5814836:5818277:-1 gene:PAHAL_3G090500 transcript:PAN16841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLTTYNYRLSDPQFFPQPTNNESNAEISDLVPIPGMYNVSSNLGQNQLQIPAGISPDYQRIRSNNALHNISQMLMEDVDERVGLHEGEPALHAAEKAFYDILEQVYPPSWPPLCSNNEADGPDESRNNCQKRPRSTSSTSDMSSRSVLHPLPAPLSPYNYGRSLFMPYQPSTSTGRAARFGFPALQIIRSAEDAKGFDKSVIYLDSDKLCICRLTTKAKEVEKSKYAVFQITDHRGNNPYTQDLNNREGRSSKQHTNTVTCEISQNRKFDRILLCYRHECFNETVSLRELMGKQARKDSPKGQSKGPAQQKLRGKRQINKEVVDLRTLLIHCAQSVAADDRQLATELIKKIRQHSSPDGDCTQRLAFYLVDGLEARLAGIGSQLYRKFLEKRVTDEEVFKIYKLCLAAFPLLRASNAFANKTIVEASRGQLKVHIIDFGICFGFQWPSLIQQFAEQGVPPMLRITGIDVTRPGFGTLEITEQAGKRLADYANMFKVPFQYQGISSRFENVQIEDLNIEEDELLIVNCLYRMKSLGDETVSMNSARDRVLKIMRRINPKVFILGVVNGSYSSPFFITRFKELLFHYSSVFDMFDANAPRDNEARKLLEGRVLAREAINIIACEGAERTDRPETYKQWQARCLKAGFEQLPVDPAILNSIIEMKKAIYHEDFVADEDSGWLLQGWKGRVMHAISKWKPNESCSDQ >PAN16863 pep chromosome:PHallii_v3.1:3:5814836:5817278:-1 gene:PAHAL_3G090500 transcript:PAN16863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEPHFDDLHTITRTEKPCDGSSSSSQQQLTTYNYRLSDPQFFPQPTNNESNAEISDLVPIPGMYNVSSNLGQNQLQIPAGISPDYQRIRSNNALHNISQMLMEDVDERVGLHEGEPALHAAEKAFYDILEQVYPPSWPPLCSNNEADGPDESRNNCQKRPRSTSSTSDMSSRSVLHPLPAPLSPYNYGRSLFMPYQPSTSTGRAARFGFPALQIIRSAEDAKGFDKSVIYLDSDKLCICRLTTKAKEVEKSKYAVFQITDHRGNNPYTQDLNNREGRSSKQHTNTVTCEISQNRKFDRILLCYRHECFNETVSLRELMGKQARKDSPKGQSKGPAQQKLRGKRQINKEVVDLRTLLIHCAQSVAADDRQLATELIKKIRQHSSPDGDCTQRLAFYLVDGLEARLAGIGSQLYRKFLEKRVTDEEVFKIYKLCLAAFPLLRASNAFANKTIVEASRGQLKVHIIDFGICFGFQWPSLIQQFAEQGVPPMLRITGIDVTRPGFGTLEITEQAGKRLADYANMFKVPFQYQGISSRFENVQIEDLNIEEDELLIVNCLYRMKSLGDETVSMNSARDRVLKIMRRINPKVFILGVVNGSYSSPFFITRFKELLFHYSSVFDMFDANAPRDNEARKLLEGRVLAREAINIIACEGAERTDRPETYKQWQARCLKAGFEQLPVDPAILNSIIEMKKAIYHEDFVADEDSGWLLQGWKGRVMHAISKWKPNESCSDQ >PAN18544 pep chromosome:PHallii_v3.1:3:13615969:13616557:-1 gene:PAHAL_3G209500 transcript:PAN18544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWRLARQTETPTRWGSSEGGDPGADGGAEARSVRCECCGMAEDCTPTYIGRVRERFQGKWVCGLCAAAVTERQAREPALSVAGAVEAHAAMCERFNSTVRLNPKLSLASSMRDIARKSGQHRRRSMDGAAATPSACGGDKLARAASCALPFV >PAN16607 pep chromosome:PHallii_v3.1:3:4511537:4515065:-1 gene:PAHAL_3G071100 transcript:PAN16607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWAAGRRSSAENAGAGGAIKTVAARAGKRVALGELTNVFRGRGRSSGSATEAKLSSTKPVDVKNKGSFASLRNVKTGRGSIRKLTSDQFDWTVSHHDSVLQKENASFPSVPNVVPIGSSSPGLTDDSVSMEDAMSTCNSIESSDLEFLDDEDSSLAASLHCWADDKLHISDSKEVVAFKWRKHSPISMKSDNISDLDNNYEDPQHCATLDYEIYENLREAETRKMPSTHFVETTQTDMSTTMRAVLIDWLVEVTEEYRLVPDTLYLTVNYIDRYLSVKEINRNRLQLLGVACLLIAAKYEEICPPQVEELCYITDGSYTKEEVLQMEASVLNYLKFEMTVPTPKCFLRRFVRAAQVFDEGSTLHLEFLANYICELSLLEYSLLCYLPSLVAASSVFLARFILKPTTNPWNSSLSYYTQYTPSELRGCVRVLHRLFRFGPGRDLPAIREKYSQHKYKFVAKKYCPPSIPTGFFQDATS >PAN16606 pep chromosome:PHallii_v3.1:3:4511104:4515079:-1 gene:PAHAL_3G071100 transcript:PAN16606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWAAGRRSSAENAGAGGAIKTVAARAGKRVALGELTNVFRGRGRSSGSATEAKLSSTKPVDVKNKGSFASLRNVKTGRGSIRKLTSDQFDWTVSHHDSVLQKENASFPSVPNVVPIGSSSPGLTDDSVSMEDAMSTCNSIESSDLEFLDDEDSSLAASLHCWADDKLHISDTFKWRKHSPISMKSDNISDLDNNYEDPQHCATLDYEIYENLREAETRKMPSTHFVETTQTDMSTTMRAVLIDWLVEVTEEYRLVPDTLYLTVNYIDRYLSVKEINRNRLQLLGVACLLIAAKYEEICPPQVEELCYITDGSYTKEEVLQMEASVLNYLKFEMTVPTPKCFLRRFVRAAQVFDEGSTLHLEFLANYICELSLLEYSLLCYLPSLVAASSVFLARFILKPTTNPWNSSLSYYTQYTPSELRGCVRVLHRLFRFGPGRDLPAIREKYSQHKYKFVAKKYCPPSIPTGFFQDATS >PAN22053 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PAN22053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGGASSNPTILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63271 pep chromosome:PHallii_v3.1:3:63817795:63822586:-1 gene:PAHAL_3G509300 transcript:PVH63271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63272 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PVH63272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PAN22049 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PAN22049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGGASSNPTILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PAN22052 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PAN22052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PAN22046 pep chromosome:PHallii_v3.1:3:63817796:63822586:-1 gene:PAHAL_3G509300 transcript:PAN22046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63270 pep chromosome:PHallii_v3.1:3:63817796:63822586:-1 gene:PAHAL_3G509300 transcript:PVH63270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRCVQ >PAN22050 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PAN22050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGGASSNPTILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PAN22047 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PAN22047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63269 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PVH63269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGGASSNPTILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63268 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PVH63268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGGASSNPTILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PAN22051 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PAN22051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGGASSNPTILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63264 pep chromosome:PHallii_v3.1:3:63817796:63822586:-1 gene:PAHAL_3G509300 transcript:PVH63264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PAN22048 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PAN22048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63267 pep chromosome:PHallii_v3.1:3:63818831:63822495:-1 gene:PAHAL_3G509300 transcript:PVH63267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63265 pep chromosome:PHallii_v3.1:3:63817796:63822586:-1 gene:PAHAL_3G509300 transcript:PVH63265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGGASSNPTILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PVH63266 pep chromosome:PHallii_v3.1:3:63817796:63822586:-1 gene:PAHAL_3G509300 transcript:PVH63266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGGDCCSPRLPDDALFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAGAALAALDPAGSLATQLAGAFAAPDSPVWMDSSSAAQCREVEAAMGGPLQLAALTGCRAHERCTGPQIRKMHQTRPKVYDATERVSLVSSFMASLLVGGYVCIDETDGAGMNIMDIATRQLREDALQATAPNLEERIGKLAPAHAVAGKISPYFVQRFQFASSCLVIQWSGDNPNTLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDMFNRLLEETAPLNGGKMGFYYKEHEILPPLPVGFHRYVVKNLTSGSLDEMVEEVGEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPRRIIATGGASSNPTILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSSVYSGRIDRTSLSMKLAVPFGECEGDIELLNNYTLLVRKRLEIEQKLIERFNQRELSGF >PAN15678 pep chromosome:PHallii_v3.1:3:577612:582384:-1 gene:PAHAL_3G010200 transcript:PAN15678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKQGAADDRRSSYPQDSASSHSYTSFKRINEPKLGLWQTLASKAKGILDEDALAHKFEDFRKERPRSSTSSSSGDQVPQSRWSLENHWKTGDAAARIRPEALSASVNQLGGRIKNALEEGLTIVDNKTSSIIEETKKIQIRRKPTSSSSYMSNSAVHTVSTPNLSLDQAEAAAEETQLKASRNVANAMAAKAKLVLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFSTTQDVVSLDDGDVGEDDPEEDSDLIYSENMLPVVEENSGDEELSPVPSRPESPTVSPGDPASPISSNSPNPSKPDASASDTA >PAN17378 pep chromosome:PHallii_v3.1:3:8267711:8271379:-1 gene:PAHAL_3G127700 transcript:PAN17378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHFIPRSLLIDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEQDTGEANVAAALDSKLVV >PAN17377 pep chromosome:PHallii_v3.1:3:8267124:8271665:-1 gene:PAHAL_3G127700 transcript:PAN17377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHFIPRSLLIDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDTGEANVAAALDSKLVV >PVH61811 pep chromosome:PHallii_v3.1:3:8242671:8244785:-1 gene:PAHAL_3G127100 transcript:PVH61811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSEDWDRKDEMPVDERLKVLAAEAGEPKRKRPRPCDVAVGVAGGGRPADSGSASVEKEEPSSGAAAASRVRPESAAAATTAVAVEDTDALDCGVCFLPLKPPIFQN >PAN16697 pep chromosome:PHallii_v3.1:3:5169804:5172854:1 gene:PAHAL_3G078300 transcript:PAN16697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPACTARPRVLLLCSPCMGHLIPFVELARRLAADHGLAATLLFASATSPPSAQYLAVAAAAPDAVDLVALPAPPPDALPPGARERERAEIAVASNVPRVRELARALAADAPLAALVVDMVGVRARGVAAEVGVPVYMFFTSPWMTLSLLLHLPGIDAARAGEHRDATEPIRLPGCVPIHAHELPASMLADRSSSMYAGFVSMAKAIRQVDGILVNTSREIEPAVGDGMDGLGLPVHPVGPLVWTRPAGEDRDHECMRWLDQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSQCRFIWAVKRPHQSSANGAFFGTQNGEDTSLDFLPEGFMERTRGLGLVTHSWAPQTAIVGHPSVGCFLTHCGWNSILECVMCGVPMIAWPLYAEQNMNAAMMEVQVGVAVRAKVGLDRFISKEEVASAIQRAMVGEEAVRMRKRASELRDKSAHDLSKDGRSTHALAQIADVWKCSTRK >PVH62100 pep chromosome:PHallii_v3.1:3:13378526:13382052:-1 gene:PAHAL_3G206100 transcript:PVH62100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEPNNKPYSPIMHLIQRPSAHQAHTFHTVVAREPNPWRWRPCRGARRWDATTVTVSGRRGSSQIHEARDGDAPRESRPCLRPAAMPVRRRQPRRQETGAAERYRGMGIAAALLRPWDYPTACGELAALLRIGYAEIPKAAQALVASDVLLALRLLPDVQTGYALSAANTLLQAVEVALPKQKKAQAVSEFKHSVIAHKRRARVQQNSGLPHIPHDVLVHIFTFLDMHSLVATGLVCWSWNSAANDNNLWKMHYSLFFGLCRLRCNSIPVSGVQKSRDLVQSSIDSVSIDPNFWWKESFHSKYAECASWKFASNRALCGQCRSVIWLSNLTCAAPHHCPRNGRDEVKLRPLLPDTVAKYILHHDDLAASSSSESDDTDDSDYENGHPRFWTF >PVH62101 pep chromosome:PHallii_v3.1:3:13378526:13382053:-1 gene:PAHAL_3G206100 transcript:PVH62101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEPNNKPYSPIMHLIQRPSAHQAHTFHTVVAREPNPWRWRPCRGARRWDATTVTVSGRRGSSQIHEARDGDAPRESRPCLRPAAMPVRRRQPRRQETGAAERYRGMGIAAALLRPWDYPTACGELAALLRIGYAEIPKAAQALVASDVLLALRLLPDVQTGYALSAANTLLQAVEVALPKQKKAQAVSEFKHSVIAHKRRARVQQNSGLPHIPHDVLVHIFTFLDMHSLVATGLVCWSWNSAANDNNLWKMHYSLFFGLCRLRCNSIPVSGVQKSRDLVQSSIDSVSIDPNFWWKESFHSKYAGKCASWKFASNRALCGQCRSVIWLSNLTCAAPHHCPRNGRDEVKLRPLLPDTVAKYILHHDDLAASSSSESDDTDDSDYENGHPRFWTF >PVH61264 pep chromosome:PHallii_v3.1:3:96877:99251:-1 gene:PAHAL_3G001700 transcript:PVH61264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLFSSDDVYAPFLAPLIIVINIIKVTVIGVGVVKDEGVVNSMTRHGDYRELLKGPLYYACAITLTTIVFWRTSPISIAVICNLCAGDGVADIAGRRFGQVKLPHNPEKSYAGSIAMFMAGFIASVLYMCYFNIFGFVEKSWTMVGAFGAISLAAAVVESLPISTRLDDNLTVPLASVLVGALVLYFIGATNLCCMSTDDSSSSSSLSAVAEMVFAGSRSSK >PVH61265 pep chromosome:PHallii_v3.1:3:96879:99251:-1 gene:PAHAL_3G001700 transcript:PVH61265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLFSSDDVYAPFLAPLIIVINIIKVTVIGVGVVKDEGVVNSMTRHGDYRELLKGPLYYACAITLTTIVFWRTSPISIAVICNLCAGDGVADIAGRRFGQVKLPHNPEKSYAGSIAMFMAGFIASVLYMCYFNIFGFVEKSWTMVGAFGAISLAAAVVESLPISTRLDDNLTVPLASVLVGALVLYFIGATNLCCMSTDDSSSSSSLSAVAEMVFAGSRSSK >PVH61263 pep chromosome:PHallii_v3.1:3:97100:97860:-1 gene:PAHAL_3G001700 transcript:PVH61263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHGDYRELLKGPLYYACAITLTTIVFWRTSPISIAVICNLCAGDGVADIAGRRFGQVKLPHNPEKSYAGSIAMFMAGFIASVLYMCYFNIFGFVEKSWTMVGAFGAISLAAAVVESLPISTRLDDNLTVPLASVLVGALVLYFIGATNLCCMSTDDSSSSSSLSAVAEMVFAGSRSSK >PVH61266 pep chromosome:PHallii_v3.1:3:96877:99251:-1 gene:PAHAL_3G001700 transcript:PVH61266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTENPLVRDAGAAVLTGLAAAVVLRLWEEVASRALLEQKLCRKLVHITVGLVYFLMWPLFSSDDVYAPFLAPLIIVINIIKVTVIGVGVVKDEGVVNSMTRHGDYRELLKGPLYYACAITLTTIVFWRTSPISIAVICNLCAGDGVADIAGRRFGQVKLPHNPEKSYAGSIAMFMAGFIASVLYMCYFNIFGFVEKSWTMVGAFGAISLAAAVVESLPISTRLDDNLTVPLASVLVGALVLYFIGATNLCCMSTDDSSSSSSLSAVAEMVFAGSRSSK >PAN18437 pep chromosome:PHallii_v3.1:3:13171058:13173052:-1 gene:PAHAL_3G201500 transcript:PAN18437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSRLAQLQAKACEATRFAAKHGCAYQRALVEKNKKYVVEPPTIEKCQELSKQLFYTRLASLPGRYEAFWKELDQVKQLWRNRNDLNVEHAGVAALFGIELYAWFCVGEIVGRGFTLTGYHV >PAN20655 pep chromosome:PHallii_v3.1:3:33748743:33751840:-1 gene:PAHAL_3G351200 transcript:PAN20655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAAIFKTFLGSFSWSMFQWFYSGGEHCGFQSFPMFGLELYKRRFFFDFSPSFVGLGMIVPHVVNFGLLFGAITSWGILFPFLDSKRGQWYHTDSTTSLNGANGYKIFIGITMIITEGIFNFIKLLSVSSIDYYKKSQENDSGKIKYMLTSPSLNYDDRKRLEVLAGNQIPLFLPVAGYIGCAIICSVAIPWIFHHVTFYHMALLFVILPIFTFCNTYGTGLTDWSVAQTYARFLLFIIAALIAKPGAIIASLAVSGVAVAALNVSSQAMQDLKTGYMTLTNPRAVVAGHIYGVLIGSIINPCIFLAFEANAKDTAPIGSKDSGYPCPSASIYRAIGLLGKRGLDQLPDHCITFCLVTFLITLAVETLRLVSQKNDWNLQNFIPCITAIALPYLTGPYYSIDMTLGSVLLIIWGQMNRQSAELLSSAVAAGLICGDGIWALPSSILSISNVHPPICMKFLASGKQVHIVDSFVNTLGTR >PVH61327 pep chromosome:PHallii_v3.1:3:688966:691125:1 gene:PAHAL_3G012300 transcript:PVH61327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGVVLLFRDKAYLHPEKVVDLVRRAVPLAFGPENDPRKEEFKQLQEKKEEIDKLAHKQVQRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTAYGLLVGYACYLMLHRVFSRL >PVH61485 pep chromosome:PHallii_v3.1:3:2867911:2873859:1 gene:PAHAL_3G045200 transcript:PVH61485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLLLLLLLPRGGLLPPLRRRSPALSPLLRRIPSNKAPGYFFLSPVCAFSGYSGMAAGSPEQQQRSVVVRETVELTEKEEQIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYLELIGEEQKGIGVIQCNPDQSKHLETARMLILDIWIDFVNLRSETYAENSRIPTMEVGTAKEDAHRRDLTINSLFFNINNNSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDERVKSELGSKISRERIGHEIDLMMSDKHPVKAMCDIRDLGLFYVVFSFPENSTPPVFDKCDWQCVSHIEAAWNLAYSIGSSVFSSGSDPKLQDEQRRLYLYSALFIPLRNMFYLDKRSKRQVPVSSYIIKHSLKLKASDAETVVNIHAASEKFAELVLLLESSVDVRTLKEKLEDEYLEIPTDSVKRVCAATLWTKACDTLSILGLILREIKDFWRVALLVSILSYPEAENAADIHNKQDELHWRKEKYIRVERAITDLDLDGVWKLKPVLDGKSIMGVMQVKSGGPLVGTWQQRALKWQLAHPNGTMDECIEWMKQSQSKRQKVESSTRMAF >PVH61486 pep chromosome:PHallii_v3.1:3:2867911:2873859:1 gene:PAHAL_3G045200 transcript:PVH61486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLLLLLLLPRGGLLPPLRRRSPALSPLLRRIPSNKAPGYFFLSPVCAFSGYSGMAAGSPEQQQRSVVVRETVELTEKEEQIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYLELIGEEQKGIGVIQCNPDQSKHLETARMLILDIWIDFVNLRSETYAENSRIPTMEVGTAKEDAHRRDLTINSLFFNINNNSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDERVKSELGSKISRERIGHEIDLMMSDKHPVKAMCDIRDLGLFYVVFSFPENSTPPVFDKCDWQCVSHIEAAWNLAYSIGSSVFSSGSDPKLQDEQRRLYLYSALFIPLRNMFYLDKRSKRVPVSSYIIKHSLKLKASDAETVVNIHAASEKFAELVLLLESSVDVRTLKEKLEDEYLEIPTDSVKRVCAATLWTKACDTLSILGLILREIKDFWRVALLVSILSYPEAENAADIHNKQDELHWRKEKYIRVERAITDLDLDGVWKLKPVLDGKSIMGVMQVKSGGPLVGTWQQRALKWQLAHPNGTMDECIEWMKQSQSKRQKVESSTRMAF >PAN16218 pep chromosome:PHallii_v3.1:3:2867911:2873859:1 gene:PAHAL_3G045200 transcript:PAN16218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLLLLLLLPRGGLLPPLRRRSPALSPLLRRIPSNKAPGYFFLSPVCAFSGYSGMAAGSPEQQQRSVVVRETVELTEKEEQIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYLELIGEEQKGIGVIQCNPDQSKHLETARMLILDIWIDFVNLRSETYAENSRIPTMEVGTAKEDAHRRDLTINSLFFNINNNSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDERVKSELGSKISRERIGHEIDLMMSDKHPVKAMCDIRDLGLFYVVFSFPENSTPPVFDKCDWQCVSHIEAAWNLAYSIGSSVFSSGSDPKLQDEQRRLYLYSALFIPLRNMFYLDKRSKRVPVSSYIIKHSLKLKASDAETVVNIHAASEKFAELVLLLESSVDVRTLKEKLEDEYLEIPTDSVKRVCAGLILREIKDFWRVALLVSILSYPEAENAADIHNKQDELHWRKEKYIRVERAITDLDLDGVWKLKPVLDGKSIMGVMQVKSGGPLVGTWQQRALKWQLAHPNGTMDECIEWMKQSQSKRQKVESSTRMAF >PAN16217 pep chromosome:PHallii_v3.1:3:2867911:2873859:1 gene:PAHAL_3G045200 transcript:PAN16217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLLLLLLLPRGGLLPPLRRRSPALSPLLRRIPSNKAPGYFFLSPVCAFSGYSGMAAGSPEQQQRSVVVRETVELTEKEEQIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYLELIGEEQKGIGVIQCNPDQSKHLETARMLILDIWIDFVNLRSETYAENSRIPTMEVGTAKEDAHRRDLTINSLFFNINNNSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDERVKSELGSKISRERIGHEIDLMMSDKHPVKAMCDIRDLGLFYVVFSFPENSTPPVFDKCDWQCVSHIEAAWNLAYSIGSSVFSSGSDPKLQDEQRRLYLYSALFIPLRNMFYLDKRSKRQVPVSSYIIKHSLKLKASDAETVVNIHAASEKFAELVLLLESSVDVRTLKEKLEDEYLEIPTDSVKRVCAGLILREIKDFWRVALLVSILSYPEAENAADIHNKQDELHWRKEKYIRVERAITDLDLDGVWKLKPVLDGKSIMGVMQVKSGGPLVGTWQQRALKWQLAHPNGTMDECIEWMKQSQSKRQKVESSTRMAF >PVH62848 pep chromosome:PHallii_v3.1:3:53897238:53902360:1 gene:PAHAL_3G415800 transcript:PVH62848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQISLGTRYKECRPRRTSSCQTKVLVGKDVFNELEQRRSSPSVIAKLMGIEVLPPSSAVHSRPQEFKDVFEVSEEPPEAVNRERSHHFPKGLPSLKQRALRLKKLMPSKTLHRDDTHDCHVECTDGLGCLNSVEINNPLFEKRPHDMNYCANYQYEKDTSSVCRTYPVGLANSSLSNLRLLSRAKNEDFNSIVVLEPCLEKGHDPENVFSIPYLSPVNKNCRRGMKHKQSEYLVMENGRVQQHLLGTEDINVPRIRKERFLPSDSIDPLQIGQEASFHQFGNTDASCSGSSQRYSCGNDNFRQTNRSSSNSTLSKLRRHAESAAGSKTLAEMFALSDSERLKLNSNSHAPLRRNKIDHDNGHSKDGCFIVLPKHAPLLSVQSSMDRNSCLEGSSQVKSNPNISNSYNNGKCQFDSFQGKPRLRKEIGNGGDANLRNASCFQNLMADNFSSPDCSNEKVLFTTDEDLVQQPAESEASGFNLRFSRKQRVTRLPFHGHDYESISVSDDTDGSKSCKGLKEVEQPSPVSILEPPTDEDSCFSGCFNYDLQEMTKKQGDSHQNHDEPEVSMSSDDEDHSAYQSLEAFQVEEDRDFSYLLDILICSGIIVADWQLICKSWYSPGCPVGPHVFERLERKYNKIATWAKPERRLLFDLVNSILSEILAPCVDMHPWVQPSRHCVPLWGLEGPVEKVWQTIVRQREDCVTGHPDEMVLDTNWLEVGNDINMVGKQIARMLHGDLLEEVILDLLRGW >PAN20856 pep chromosome:PHallii_v3.1:3:53897899:53902443:1 gene:PAHAL_3G415800 transcript:PAN20856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQISLGTRYKECRPRRTSSCQTKVLVGKDVFNELEQRRSSPSVIAKLMGIEVLPPSSAVHSRPQEFKDVFEVSEEPPEAVNRERSHHFPKGLPSLKQRALRLKKLMPSKTLHRDDTHDCHVECTDGLGCLNSVEINNPLFEKRPHDMNYCANYQYEKDTSSVCRTYPVGLANSSLSNLRLLSRAKNEDFNSIVVLEPCLEKGHDPENVFSIPYLSPVNKNCRRGMKHKQSEYLVMENGRVQQHLLGTEDINVPRIRKERFLPSDSIDPLQIGQEASFHQFGNTDASCSGSSQRYSCGNDNFRQTNRSSSNSTLSKLRRHAESAAGSKTLAEMFALSDSERLKLNSNSHAPLRRNKIDHDNGHSKDGCFIVLPKHAPLLSVQSSMDRNSCLEGSSQGKPRLRKEIGNGGDANLRNASCFQNLMADNFSSPDCSNEKVLFTTDEDLVQQPAESEASGFNLRFSRKQRVTRLPFHGHDYESISVSDDTDGSKSCKGLKEVEQPSPVSILEPPTDEDSCFSGCFNYDLQEMTKKQGDSHQNHDEPEVSMSSDDEDHSAYQSLEAFQVEEDRDFSYLLDILICSGIIVADWQLICKSWYSPGCPVGPHVFERLERKYNKIATWAKPERRLLFDLVNSILSEILAPCVDMHPWVQPSRHCVPLWGLEGPVEKVWQTIVRQREDCVTGHPDEMVLDTNWLEVGNDINMVGKQIARMLHGDLLEEVILDLLRGW >PAN20857 pep chromosome:PHallii_v3.1:3:53897124:53902528:1 gene:PAHAL_3G415800 transcript:PAN20857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQISLGTRYKECRPRRTSSCQTKVLVGKDVFNELEQRRSSPSVIAKLMGIEVLPPSSAVHSRPQEFKDVFEVSEEPPEAVNRERSHHFPKGLPSLKQRALRLKKLMPSKTLHRDDTHDCHVECTDGLGCLNSVEINNPLFEKRPHDMNYCANYQYEKDTSSVCRTYPVGLANSSLSNLRLLSRAKNEDFNSIVVLEPCLEKGHDPENVFSIPYLSPVNKNCRRGMKHKQSEYLVMENGRVQQHLLGTEDINVPRIRKERFLPSDSIDPLQIGQEASFHQFGNTDASCSGSSQRYSCGNDNFRQTNRSSSNSTLSKLRRHAESAAGSKTLAEMFALSDSERLKLNSNSHAPLRRNKIDHDNGHSKDGCFIVLPKHAPLLSVQSSMDRNSCLEGSSQVKSNPNISNSYNNGKCQFDSFQGKPRLRKEIGNGGDANLRNASCFQNLMADNFSSPDCSNEKVLFTTDEDLVQQPAESEASGFNLRFSRKQRVTRLPFHGHDYESISVSDDTDGSKSCKGLKEVEQPSPVSILEPPTDEDSCFSGCFNYDLQEMTKKQGDSHQNHDEPEVSMSSDDEDHSAYQSLEAFQVEEDRDFSYLLDILICSGIIVADWQLICKSWYSPGCPVGPHVFERLERKYNKIATWAKPERRLLFDLVNSILSEILAPCVDMHPWVQPSRHCVPLWGLEGPVEKVWQTIVRQREDCVTGHPDEMVLDTNWLEVGNDINMVGKQIARMLHGDLLEEVILDLLRGW >PVH62850 pep chromosome:PHallii_v3.1:3:53897900:53902517:1 gene:PAHAL_3G415800 transcript:PVH62850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQISLGTRYKECRPRRTSSCQTKVLVGKDVFNELEQRRSSPSVIAKLMGIEVLPPSSAVHSRPQEFKDVFEVSEEPPEAVNRERSHHFPKGLPSLKQRALRLKKLMPSKTLHRDDTHDCHVECTDGLGCLNSVEINNPLFEKRPHDMNYCANYQYEKDTSSVCRTYPVGLANSSLSNLRLLSRAKNEDFNSIVVLEPCLEKGHDPENVFSIPYLSPVNKNCRRGMKHKQSEYLVMENGRVQQHLLGTEDINVPRIRKERFLPSDSIDPLQIGQEASFHQFGNTDASCSGSSQRYSCGNDNFRQTNRSSSNSTLSKLRRHAESAAGSKTLAEMFALSDSERLKLNSNSHAPLRRNKIDHDNGHSKDGCFIVLPKHAPLLSVQSSMDRNSCLEGSSQVKSNPNISNSYNNGKCQFDSFQGKPRLRKEIGNGGDANLRNASCFQNLMADNFSSPDCSNEKVLFTTDEDLVQQPAESEASGFNLRFSRKQRVTRLPFHGHDYESISVSDDTDGSKSCKGLKEVEQPSPVSILEPPTDEDSCFSGCFNYDLQEMTKKQGDSHQNHDEPEVSMSSDDEDHSAYQSLEAFQVEEDRDFSYLLDILICSGIIVADWQLICKSWYSPGCPVGPHVFERLERKYNKIATWAKPERRLLFDLVNSILSEILAPCVDMHPWVQPSRHCVPLWGLEGPVEKVWQTIVRQREDCVTGHPDEMVLDTNWLEVGNDINMVGKQIARMLHGDLLEEVILDLLRGW >PVH62849 pep chromosome:PHallii_v3.1:3:53897107:53902505:1 gene:PAHAL_3G415800 transcript:PVH62849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQISLGTRYKECRPRRTSSCQTKVLVGKDVFNELEQRRSSPSVIAKLMGIEVLPPSSAVHSRPQEFKDVFEVSEEPPEAVNRERSHHFPKGLPSLKQRALRLKKLMPSKTLHRDDTHDCHVECTDGLGCLNSVEINNPLFEKRPHDMNYCANYQYEKDTSSVCRTYPVGLANSSLSNLRLLSRAKNEDFNSIVVLEPCLEKGHDPENVFSIPYLSPVNKNCRRGMKHKQSEYLVMENGRVQQHLLGTEDINVPRIRKERFLPSDSIDPLQIGQEASFHQFGNTDASCSGSSQRYSCGNDNFRQTNRSSSNSTLSKLRRHAESAAGSKTLAEMFALSDSERLKLNSNSHAPLRRNKIDHDNGHSKDGCFIVLPKHAPLLSVQSSMDRNSCLEGSSQGKPRLRKEIGNGGDANLRNASCFQNLMADNFSSPDCSNEKVLFTTDEDLVQQPAESEASGFNLRFSRKQRVTRLPFHGHDYESISVSDDTDGSKSCKGLKEVEQPSPVSILEPPTDEDSCFSGCFNYDLQEMTKKQGDSHQNHDEPEVSMSSDDEDHSAYQSLEAFQVEEDRDFSYLLDILICSGIIVADWQLICKSWYSPGCPVGPHVFERLERKYNKIATWAKPERRLLFDLVNSILSEILAPCVDMHPWVQPSRHCVPLWGLEGPVEKVWQTIVRQREDCVTGHPDEMVLDTNWLEVGNDINMVGKQIARMLHGDLLEEVILDLLRGW >PAN16025 pep chromosome:PHallii_v3.1:3:1505487:1510976:1 gene:PAHAL_3G027200 transcript:PAN16025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPADGDAAADLAADELQSLSFGSSSDRSRSRSASTVSTATASCSTSSSGPLHLLLSLPPRAANPSTPSAQAVPRLGAVSLSDIRFLRRLGAGDIGSVYLAEVRAKEKPSGGAGAGAAVVVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYAAEVVAALEYIHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPLSLAGRSTASTSCTISSCIVPTVSCFQLFPGRGRSRRRRRWRTKKPSSSNGGNSSFPSGGSGLDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFIFELLYGVTPFKGYDNEMTLANIVARALEFPKEPSVSSAAKDLVTALLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCATPPYVPPPFSLGNVKAAGAAGGGGGGSNANDDDMSDDDSCPGTPVEYY >PVH62134 pep chromosome:PHallii_v3.1:3:13753471:13754471:-1 gene:PAHAL_3G211600 transcript:PVH62134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEELQEADVLWPWTDTPPPSSSPEEEEGSYLLPAALPELYEHDAGAVEFSCEPFSGPPASSISSLTSSAQWFESDWSSDGFFLSGPSSAVSPGVGLDATEEFLEADVLWPDTNDDDEAGADGAAEFWWRCCRRVEEAAAAAAAAAAACGEREGWRPLVSSPIDIPMATRGAAAARRRPSPLSSAVLAVARRRR >PVH61680 pep chromosome:PHallii_v3.1:3:6000175:6001127:1 gene:PAHAL_3G094000 transcript:PVH61680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIRQKNSPGSPHPDRPNCAPIGPLAWSHAHPSATFPLLRFFHRRDSSPLLARRRRPPARPERESARTRRSRRPSCPGAPAPIPPPRPRILRSSLVAQRIVLPASRNARQPTRRRPSNPCCQGPQYLTDAAQPGWTLQVGACVQAQEHRLLLLLLQQVNQHQIHRDLLLSWWQRKQPQTCSMY >PVH62972 pep chromosome:PHallii_v3.1:3:58012005:58016948:1 gene:PAHAL_3G441900 transcript:PVH62972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTPPAGSRPAAGAGGGRRKQAVASGAKPAPRQHVRMIQEQLARAREEGRRAEERRLEEEARRAEEERRAREEAERRAEEERRARQERRRKRQEEARKRAEREEKRRMEDARRRLGIAVPDASSGDGTHRRPVYESRKSKSQPKHHMSVQSEANFGETHVIEKQLEGMERNASLEDTDGVVVDALELGKEQITIPSSEDSSGIDEDDAWDNRSFDEFDALLPGKSPSFGEEEDDQTEEKHVSSAAPIANSMSLSEGIGEDVISILQDDGASNGVDRELRAPICCILGHVDAGKTKLLDCIRRSNVQGGEAGGITQQIGATYLPVENIRERTSLKAEATIKAPGLLVIDTPGHQSFSNMRLRGSSLCDIAVVVVDITRGLEKQTIESIGLLKHRNVRFIVALNKVDRLYGWKTCPNAPIAKALKNQSEDVQSEFKWRVTEVVTQLKESGFNAALYYENKKMKEVVNIVPTSAVSAEGIPDLLLLLVRWVPEIMMERLTYVNNVECTVLEVNEDKDFGTTIDVVLINGALCKGDRIVVCTKQGPFTTNIRYLLTPYPMKELKAKGVYKHHEELKAAQGVKIAVRGLRHAIAGTALIVVKPGDDLERAEAAAVQEISNANSLINEDERGESDDGTAIQEISRIKTCKEGVYVQASSLGTLEAIIEHLKTLSLDIPVGGCNLGPVHKQDVMKATAMLKRKEEYAAILAFDVKVMPEASDLAAESGVKIFMAQTLYKLVDSFTDHIKKLKEEKKKQYATEAVFPCTLKVLPNHVYHKKDPIVCDVEVLEGVVKVGTPICVSVPSKDRDADVVHSLGRISSMETSNGTPIDSAKNGVVSIKIIGENPQERSRLYGRHFNADNKLLSQISRKSIDVLNEYYRDEMTGENWQLIRRLKKQFGIH >PAN18569 pep chromosome:PHallii_v3.1:3:13682381:13683774:1 gene:PAHAL_3G210900 transcript:PAN18569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAEAATAAGNEMSLSNMVLGFYEEAERERWATEEAAAGGGDGSDDEGSSGGGGAESSAFWQEQFSLLHLSHFPFSAIRKQISEALAKRSSAERRIQEDAEEAVRQMRATPGGVCSCASRAAAGGCRGCSLHFVAERLRDAAYNSAICRTKWCRNPEIPSGEHSYVDVVVPTRSGKAVRVVIEPSFRAEFEMARGGAEYRALVTALPEVFVGRSERLRAVVRVMCDAGRQCARESGMHMAPWRKHRYMEAKWLGTPERVAPGPGGAAAVAVGSPEKPPRFRASMLTLDFGGRTAVEVV >PAN17759 pep chromosome:PHallii_v3.1:3:9904367:9914991:1 gene:PAHAL_3G154100 transcript:PAN17759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAKPCSMGGIIDYGVDVGTKTSPRSLAIEKAQEELRQEFDVREERRKELEFLEKGGNPLDFKFIHVETVSVHSASLTNQTEAQNVISDAKGSFSASPHGDSVESSDKPGSSLCRETNIADNLMLLDGSNNGMAEGKIVKKGTKRLNAAQPKQSLPNDGHKYANKPVFSGFSRLGDKSQAYVRRNRSKPSRESANVASVRSSIPPVKGYDTKDENDILQKSNVGDHGECSVSSMKQSGSNYDNAPKNAASDGQAEMELDVIHTIDESECVVNEEAKQADSNSKAKEVPSHDANYNRLLGCGDTAEVASAETPDTNLKVPKPYPNASTHDERESCAVDKKADDGHLDKHMAHIHEGKLDSRRKVPVSAVEASTSHKNGVGAPCEGTLNIVDDHADGDTNPVAVKIDVKYHEDLDSSRCYSTKESSDIVQPEASLQMKDEMEVCDSAIVAQKDAGCLSSVNTMNIEESPASDRKNSCVGDSDSAHPISVGIDLPKALPSPKNDEPNLESEIKKSKAYEDSILKDARVIEASIRRAGERSHCNIALEKRSKSHWDFVLEEMAWMANDFMQERLWKSVAAAKMCHWIASDGRAKFEEASIQRKQKNIMKIIAKGIMSFWRSAEALQTADMTAKMMHAHNSTMLEEMQASGIKAEKEQVYKSLEAKESMQPWQSQIQDYAVRFLEYNCKAADSHVLPEAPPTPDRLNDFGILKLSDHLSEECLFYTVPPGAMLAYRESLESLSVHHKEVGNAELNDDYEASVCDSAAVCSDLLRENAYEEDEGETCTYLSPKAYDGGCLSNMGHRKKHLMQQRISVARPYEIGTNVPYEPCLESKSGNQPLLSNGKRPTSFLTIPPKRIRTAARQRVVSSFHAGASGPPQVTSKTDASSGDTNSCQDDQSSLHGGSFPWRNTDFESTVESNRQLPYDAREACTKASKKKKLKNPGYKIAQNTVNSSMPTSVKFQGRMYDSRLQVDLTNKYEQKEYLKKRSDIHQYDSNGNSVAYGGQHASKKLKIVKQGLDISQEASHAASQMSNMANSARFIKFITNRDRGRRCKALKMTSVGGWSNFEDQALVVLVHDMGQNWELVCDAINSIVQFKSVHRQPKECKERHKVLVDRSSGDGADSAEDSGSSQHYHSTLPGIPKGSARQLFERLQGPFDEENLKAHFEKIILLMQQVHARCRQGNRQELKPIIQPHSSHVIALSQACPNRISADTLMPLDLCDATSPNLDSIAPGSVYPGPHTNGISPPNHQGSICPSTPTSSLNSRLPGSPGVVPGNNSPSPSTLNTPRDAQKYGVPRPTLQGDEQPKIQFNQMVNGRNLQQPGASVTGAFPSGVDCGARMMPTAHGMGTVAGLNRGMPAARPGFPRINSPATLNAVSSGNMLHNGGQGVPSAVSVYPGAISGPGNSILRPRDPMQTLRPVQGIEEHRQMPEFDKQVAQGSSQATIQFSSMNPSFSSVAASSPVQQPQQPHQMSQSLHMFGNPHHSQIQGTSSSPQQQPYAVQLAKERQTQQRMAPQQHSDVSGASAVLNVQINTQILQQGQASAANPVPCSQPQHQRQQAAQNVPDSSSSPNQPASITQQKQKKQQGQQQPRQNHQQRNHGSQQAKLMKSLGRGNMLIPQTTPIDSTPAAASTPPKKHVSEKLVQHGQGQGLIPANTASMPSMPHPGNQPKLINSLPQSPKKMPDIGNQGLMQGSSSQTLLATQQLPFHSKSTLTTELQQQLINPSQNSIDRAMVQQKHQMNSDCRTDINIDQVHNQMVPTSLPQSADSGSPVAPLVNQQKQEASHNLASVTPSLKLHSSPKDTSFGSETLSSEDLLQRQVSGGFPVRGHGVGGPWNQQVRQQLQPQHQQRTVLQGSVYAPSNSGPG >PVH61762 pep chromosome:PHallii_v3.1:3:7428162:7428869:-1 gene:PAHAL_3G116100 transcript:PVH61762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGPPPSAAAVALPFLVHDVGPEYYEPQAQYGIAGRSLVNAGFVEFDPSSYLVESRGELFLVVVIFDGENVHKIAEVTVYQMDFSAPAWCKVDGIGGRVFLLGGDRIGESNFGASCSAGEHGLQGNCIYFLNHYAINENFVHIIDLEKGTEEVQRPFKEFVDPLRPPFWMLRTEEVAEVDDDSAAEFQHSIWSLACNICLAYVGLIL >PAN18234 pep chromosome:PHallii_v3.1:3:12322731:12324703:1 gene:PAHAL_3G187700 transcript:PAN18234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSASVAAALASHPFTASASASSSRVSASGHRAPRPFRVATIRCSSASPNLSQGAPAPAPPKPQIELEFVGPKPGADGSYPVDRAEAASGEKLLRDVMNENKIELYAAYGKVMNCGGGGSCGTCIVEILDGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKVVVQRLPQWKK >PVH62525 pep chromosome:PHallii_v3.1:3:22906499:22918298:1 gene:PAHAL_3G315800 transcript:PVH62525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPVRGTNWNWFRMKVWTKPDREIWNMRSSVSCLGGGSKLRQDKNGQDAAATSSHSGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYKGNLKDGTQIAIKKLSAESKQGIREFLTEINVMSNVRHPNLVKVLGCCVEGKNRLLVYEYVENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAKPHIVHRDIKASNILLDKNLLPKIGDFGLAKLFPATSTHIRTHVAGTISYLAPEYAIRGQVTRKADIYSFGVLLLEVISGQSSSKSIWGPDMHVLLEWTWKLWEDGRLLEIVDPDLEEYPEEQMLRFIKLALLCTQAMPRQRPSMKQVVNMLCTQTEIDLENIAPRRVLKQPR >PAN20083 pep chromosome:PHallii_v3.1:3:22906499:22918298:1 gene:PAHAL_3G315800 transcript:PAN20083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNGEVLSCTVHYMILNLIRHKSIEENFVTTIDHSQANIRLADKVRRLSLQFGDAEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPVRGTNWNWFRMKVWTKPDREIWNMRSSVSCLGGGSKLRQDKNGQDAAATSSHSGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYKGNLKDGTQIAIKKLSAESKQGIREFLTEINVMSNVRHPNLVKVLGCCVEGKNRLLVYEYVENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAKPHIVHRDIKASNILLDKNLLPKIGDFGLAKLFPATSTHIRTHVAGTISYLAPEYAIRGQVTRKADIYSFGVLLLEVISGQSSSKSIWGPDMHVLLEWTWKLWEDGRLLEIVDPDLEEYPEEQMLRFIKLALLCTQAMPRQRPSMKQVVNMLCTQTEIDLENIAPRRVLKQPR >PAN20074 pep chromosome:PHallii_v3.1:3:22906499:22918298:1 gene:PAHAL_3G315800 transcript:PAN20074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYKGNLKDGTQIAIKKLSAESKQGIREFLTEINVMSNVRHPNLVKVLGCCVEGKNRLLVYEYVENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAKPHIVHRDIKASNILLDKNLLPKIGDFGLAKLFPATSTHIRTHVAGTISYLAPEYAIRGQVTRKADIYSFGVLLLEVISGQSSSKSIWGPDMHVLLEWTWKLWEDGRLLEIVDPDLEEYPEEQMLRFIKLALLCTQAMPRQRPSMKQVVNMLCTQTEIDLENIAPRRVLKQPR >PAN20084 pep chromosome:PHallii_v3.1:3:22908963:22917847:1 gene:PAHAL_3G315800 transcript:PAN20084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRLADKVRRLSLQFGDAEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPVRGTNWNWFRMKVWTKPDREIWNMRSSVSCLGGGSKLRQDKNGQDAAATSSHSGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYKGNLKDGTQIAIKKLSAESKQGIREFLTEINVMSNVRHPNLVKVLGCCVEGKNRLLVYEYVENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAKPHIVHRDIKASNILLDKNLLPKIGDFGLAKLFPATSTHIRTHVAGTISYLAPEYAIRGQVTRKADIYSFGVLLLEVISGQSSSKSIWGPDMHVLLEWTWKLWEDGRLLEIVDPDLEEYPEEQMLRFIKLALLCTQAMPRQRPSMKQVVNMLCTQTEIDLENIAPRRVLKQPR >PVH62521 pep chromosome:PHallii_v3.1:3:22906499:22912604:1 gene:PAHAL_3G315800 transcript:PVH62521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPASAHPHAAGGLVRQRCQRRALG >PVH62524 pep chromosome:PHallii_v3.1:3:22906499:22918298:1 gene:PAHAL_3G315800 transcript:PVH62524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYKGNLKDGTQIAIKKLSAESKQGIREFLTEINVMSNVRHPNLVKVLGCCVEGKNRLLVYEYVENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAKPHIVHRDIKASNILLDKNLLPKIGDFGLAKLFPATSTHIRTHVAGTISYLAPEYAIRGQVTRKADIYSFGVLLLEVISGQSSSKSIWGPDMHVLLEWTWKLWEDGRLLEIVDPDLEEYPEEQMLRFIKLALLCTQAMPRQRPSMKQVVNMLCTQTEIDLENIAPRRVLKQPR >PVH62522 pep chromosome:PHallii_v3.1:3:22906499:22912604:1 gene:PAHAL_3G315800 transcript:PVH62522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPASAHPHAAGGLVRQRCQRRALG >PAN20073 pep chromosome:PHallii_v3.1:3:22906499:22918298:1 gene:PAHAL_3G315800 transcript:PAN20073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNGEVLSCTVHYMILNLIRHKSIEENFVTTIDHSQANIRLADKVRRLSLQFGDAEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPVRGTNWNWFRMKVWTKPDREIWNMRSSVSCLGGGSKLRQDKNGQDAAATSSHSGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYK >PVH62526 pep chromosome:PHallii_v3.1:3:22906499:22918298:1 gene:PAHAL_3G315800 transcript:PVH62526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNGEVLSCTVHYMILNLIRHKSIEENFVTTIDHSQANIRLADKVRRLSLQFGDAEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGDLSNNDLSGEVPSAGSLTLPTPLNSAITPGTMKPSPGAPHFCPPPVQSPVRGTNWNWFRMKVWTKPDREIWNMRSSVSCLGGGSKLRQDKNGQDAAATSSHSGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYKGNLKDGTQIAIKKLSAESKQGIREFLTEINVMSNVRHPNLVKVLGCCVEGKNRLLVYEYVENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAKPHIVHRDIKASNILLDKNLLPKIGDFGLAKLFPATSTHIRTHVAGTISYLAPEYAIRGQVTRKADIYSFGVLLLEVISGQSSSKSIWGPDMHVLLEWTWKLWEDGRLLEIVDPDLEEYPEEQMLRFIKLALLCTQAMPRQRPSMKQVVNMLCTQTEIDLENIAPRRVLKQPR >PAN20081 pep chromosome:PHallii_v3.1:3:22908963:22917847:1 gene:PAHAL_3G315800 transcript:PAN20081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRLADKVRRLSLQFGDAEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPVRGTNWNWFRMKVWTKPDREIWNMRSSVSCLGGGSKLRQDKNGQDAAATSSHSGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYKGNLKDGTQIAIKKLSAESKQGIREFLTEINVMSNVRHPNLVKVLGCCVEGKNRLLVYEYVENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAKPHIVHRDIKASNILLDKNLLPKIGDFGLAKLFPATSTHIRTHVAGTISYLAPEYAIRGQVTRKADIYSFGVLLLEVISGQSSSKSIWGPDMHVLLEWTWKLWEDGRLLEIVDPDLEEYPEEQMLRFIKLALLCTQAMPRQRPSMKQVVNMLCTQTEIDLENIAPRRVLKQPR >PVH62523 pep chromosome:PHallii_v3.1:3:22906499:22918298:1 gene:PAHAL_3G315800 transcript:PVH62523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNGEVLSCTVHYMILNLIRHKSIEENFVTTIDHSQANIRLADKVRRLSLQFGDAEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGDLSNNDLSGEVPSAGSLTLPTPLNSAITPGTMKPSPGAPHFCPPPVQSPVRGTNWNWFRMKVWTKPDREIWNMRSSVSCLGGGSKLRQDKNGQDAAATSSHSGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYK >PAN20068 pep chromosome:PHallii_v3.1:3:22906499:22918298:1 gene:PAHAL_3G315800 transcript:PAN20068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAPVTVSLGVVLSLPAKLERLLSPEADQWRLRKGEKNKIRLLKDRLQELDKYLVEPSNVETPASTARCWVKEVRELSYDINDFLDELAHGLNSAAAQKNLRGRVAKLREGLSRSRWVAQETARFRARLEEAIQRHKRYNLDKHHQSRATRIDSDEPPIPPLYGVEAMRLVGIDSSMAKLGDWLTGDGEQQLRVMSIVGPGGVGKTAIANELYCKLGRRFECRAFARSSQKPDMRRLLTSILLQIRRQRLPDDAELGNLIGTIREHLQDKHYFIIIDDLWASSMWDVVSRALPDGKCCSRVLFTTESDVVAQICSGQNSKYIFKMEPLSDNESKKIFFSRFPGNQSKENEQLYEHSSEIIRKCGGFPLATITIASLLARQQSWIEQYNWIRRSLSSNLRTDPTAEWMRQVLSLCYNNLPGRLKACMLYLSIYKEDHVIWKYDLVKQWLAEGFICAQEGKVKEEVASTYFDELVNGGMIQPVDINYNEDAAQPTRLRLLQVQSLAFFGLFKSLPSIAQFRLLRVMILHLWADQDNKSFDLTTVCQLFRLKYLEIVCNITLDLQTKMQGLQCLETLIIDSRISEVPLDIVHLPGLRYLSLPGDTNLPNGFGQLTSLQSLGCFNLSSNPADNVLNLGKLTNLQDLRLICSTMPSDNLEKKLQCLGSILSKLSNLKSLTMLPAGSSIATMRASASSINIYCDSLSSVSSPPALLQKLEFLLQICIFSILPKWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALMLYVQTASTRRILFDKKGFPVLKHFKFICSALCIAFVKGAMPNVRRLKLGFNANTLLQHSPVDAGFEHLTGLKEISAKIGNAGANESSRMAAQSALEVAFSPHRVSIKFVDWTFYGEKERSTAAQKEKHQTIENSNLIPDLITKEGSDERYGIGEKGSKQKTNKQFDNRIAVFHEQQEIQEMGSVEATQHHTGITVSLESSDEQQEVQEMGSVEATGKQCGITVLLESSVEHQEIKEMGPVEATSKKHGTGITVSLESSDEQQEIQEMGSVEAISKQRDTGSAITPGTMKPSPGAPHFCPPPVQSPVRGTNWNWFRMKVWTKPDREIWNMRSSVSCLGGGSKLRQDKNGQDAAATSSHSGRVLSRAGNNVQMFSLNELRTATLNFHMMNCIGRGGSGEVYKGNLKDGTQIAIKKLSAESKQGIREFLTEINVMSNVRHPNLVKVLGCCVEGKNRLLVYEYVENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAKPHIVHRDIKASNILLDKNLLPKIGDFGLAKLFPATSTHIRTHVAGTISYLAPEYAIRGQVTRKADIYSFGVLLLEVISGQSSSKSIWGPDMHVLLEWTWKLWEDGRLLEIVDPDLEEYPEEQMLRFIKLALLCTQAMPRQRPSMKQVVNMLCTQTEIDLENIAPRRVLKQPR >PVH61501 pep chromosome:PHallii_v3.1:3:3119640:3128695:1 gene:PAHAL_3G049000 transcript:PVH61501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHQGLTASSVMKSYRLSELSDAELRGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVCVIDLPDAELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFSKDMVEAISFSNLYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEEHDAEEEDVPPCFEVPAEDSPRSTSSNKRGSSTSTTGASHSKKSKSAYFNMFRSMVKQNSEVSGAKLQMMKERQAEKKMKEQQESTQHEAVIQCALEAGIEPGSPEYLALGYLCGSAIMTRLFFKCQTPEQRIAFIRRYMKAENLD >PVH61503 pep chromosome:PHallii_v3.1:3:3122061:3128695:1 gene:PAHAL_3G049000 transcript:PVH61503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYRLSELSDAELRGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVCVIDLPDAELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFSKDMVEAISFSNLYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEEHDAEEEDVPPCFEVPAEDSPRSTSSNKRGSSTSTTGASHSKKSKSAYFNMFRSMVKQNSEVSGAKLQMMKERQAEKKMKEQQESTQHEAVIQCALEAGIEPGSPEYLALGYLCGSAIMTRLFFKCQTPEQRIAFIRRYMKAENLD >PAN16263 pep chromosome:PHallii_v3.1:3:3122061:3128695:1 gene:PAHAL_3G049000 transcript:PAN16263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYRLSELSDAELRGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVCVIDLPDAELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFSKDMVEAISFSNLYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEEHDAEEEDVPPCFEVPAEDSPRSTSSNKRGSSTSTTGASHSKKSKSAYFNMFRSMVKQNSEVSGAKLQMMKERQAEKKMKEQQESTQHEAVIQCALEAGIEPGSPEYLALGYLCGSAIMTRLFFKCQTPEQRIAFIRRYMKAENLD >PAN16261 pep chromosome:PHallii_v3.1:3:3122061:3128695:1 gene:PAHAL_3G049000 transcript:PAN16261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFSKDMVEAISFSNLYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEEHDAEEEDVPPCFEVPAEDSPRSTSSNKRGSSTSTTGASHSKKSKSAYFNMFRSMVKQNSEVSGAKLQMMKERQAEKKMKEQQESTQHEAVIQCALEAGIEPGSPEYLALGYLCGSAIMTRLFFKCQTPEQRIAFIRRYMKAENLD >PVH61504 pep chromosome:PHallii_v3.1:3:3122058:3128722:1 gene:PAHAL_3G049000 transcript:PVH61504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSKNICAMCTVVLVHLCLYAYFVLVYRIVYWIIPNCMLKNLVRTTPGLNAGSATGYGLTASSVMKSYRLSELSDAELRGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVCVIDLPDAELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFSKDMVEAISFSNLYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEEHDAEEEDVPPCFEVPAEDSPRSTSSNKRGSSTSTTGASHSKKSKSAYFNMFRSMVKQNSEVSGAKLQMMKERQAEKKMKEQQESTQHEAVIQCALEAGIEPGSPEYLALGYLCGSAIMTRLFFKCQTPEQRIAFIRRYMKAENLD >PAN16262 pep chromosome:PHallii_v3.1:3:3122061:3128721:1 gene:PAHAL_3G049000 transcript:PAN16262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYRLSELSDAELRGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVCVIDLPDAELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFSKDMVEAISFSNLYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEEHDAEEEDVPPCFEVPAEDSPRSTSSNKRGSSTSTTGASHSKKSKSAYFNMFRSMVKQNSEVSGAKLQMMKERQAEKKMKEQQESTQHEAVIQCALEAGIEPGSPEYLALGYLCGSAIMTRLFFKCQTPEQRIAFIRRYMKAENLD >PVH61502 pep chromosome:PHallii_v3.1:3:3122058:3128722:1 gene:PAHAL_3G049000 transcript:PVH61502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFSKDMVEAISFSNLYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEEHDAEEEDVPPCFEVPAEDSPRSTSSNKRGSSTSTTGASHSKKSKSAYFNMFRSMVKQNSEVSGAKLQMMKERQAEKKMKEQQESTQHEAVIQCALEAGIEPGSPEYLALGYLCGSAIMTRLFFKCQTPEQRIAFIRRYMKAENLD >PVH61758 pep chromosome:PHallii_v3.1:3:7420807:7424350:1 gene:PAHAL_3G115900 transcript:PVH61758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITESAQQEHLFFDPPAHLATSLAPRPAAQRFSSGSPSAAAAAAAAAGVRRRTQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQGAGKGQALVYLLNTLKSHGKPPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITHLNGTIIHPSGSECSLHASIEALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEIEGGARHCCRTTLLLNMRPETLEGLELTHIHKTWVEGHSAGSERTFIL >PAN17245 pep chromosome:PHallii_v3.1:3:7420807:7424233:1 gene:PAHAL_3G115900 transcript:PAN17245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSRNSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNRWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQGAGKGQALVYLLNTLKSHGKPPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITHLNGTIIHPSGSECSLHASIEALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEIEGGARHCCRTTLLLNMRPETLEGLELTHIHKTWVEGHSAGSERTFIL >PVH61757 pep chromosome:PHallii_v3.1:3:7421830:7423427:1 gene:PAHAL_3G115900 transcript:PVH61757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSRNSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNRWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQGAGKGQALVYLLNTLKSHGKPPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITILSFL >PVH61759 pep chromosome:PHallii_v3.1:3:7420807:7424237:1 gene:PAHAL_3G115900 transcript:PVH61759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSRNSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNRWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITHLNGTIIHPSGSECSLHASIEALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEIEGGARHCCRTTLLLNMRPETLEGLELTHIHKTWVEGHSAGSERTFIL >PAN17244 pep chromosome:PHallii_v3.1:3:7420807:7424233:1 gene:PAHAL_3G115900 transcript:PAN17244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSRNSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNRWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQGAGKGQALVYLLNTLKSHGKPPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITHLNGTIIHPSGSECSLHASIEALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEIEGGARHCCRTTLLLNMRPETLEGLELTHIHKTWVEGHSAGSERTFIL >PAN17243 pep chromosome:PHallii_v3.1:3:7420807:7424233:1 gene:PAHAL_3G115900 transcript:PAN17243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSRNSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNRWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQGAGKGQALVYLLNTLKSHGKPPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITHLNGTIIHPSGSECSLHASIEALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEIEGGARHCCRTTLLLNMRPETLEGLELTHIHKTWVEGHSAGSERTFIL >PVH61760 pep chromosome:PHallii_v3.1:3:7420807:7424237:1 gene:PAHAL_3G115900 transcript:PVH61760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSRNSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNRWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITHLNGTIIHPSGSECSLHASIEALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEIEGGARHCCRTTLLLNMRPETLEGLELTHIHKTWVEGHSAGSERTFIL >PVH61756 pep chromosome:PHallii_v3.1:3:7420807:7424233:1 gene:PAHAL_3G115900 transcript:PVH61756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSRNSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNRWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQGAGKGQALVYLLNTLKSHGKPPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITILSFL >PVH61761 pep chromosome:PHallii_v3.1:3:7420807:7424237:1 gene:PAHAL_3G115900 transcript:PVH61761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSRNSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNRWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVGKQGAQEVMDSLPQKLEKRGLDVKIVYSSGNALDVLPQELFSVPSVHGVMVSNAQEELLQWYEENAKSNPQIIYATERCAAGIMQAIGHFKLGPNVSARDLDFPYPKADTIKPAEVVVKFYVLYEKWRRGELPNSSSVMEYLKSITHLNGTIIHPSGSECSLHASIEALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEIEGGARHCCRTTLLLNMRPETLEGLELTHIHKTWVEGHSAGSERTFIL >PVH62748 pep chromosome:PHallii_v3.1:3:45131657:45135696:-1 gene:PAHAL_3G385700 transcript:PVH62748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYITAWDQPLFASSSRRIILSQEPSSTSSCLIGPMQMPFCWDQCPAQAPENHIAINGTVVVSCHFGLSVPGKTTTLRLFSSTQIDHNTGKGRLSVEAPLRGGKKTKHGPGKTSTMTYQVTLFIDIEFGTPGAIVVKNGLKNDQFFLRYVQLELAEDRSIHFECNSWVYPYKKTNSDRVFFINTSYLPDKTPEALQLLREEELRSLRGNGRGERKDWERIYDYDYYNDLGNPDKEDHIRPVLGGTATYPYPRRCRTGRALFKKDGMTETRKHMINLDFYIPPDERFNPTKLAEVLTLAVQAVTHFVIPESRALFQGNMNSFKSFDQLRRDMYSKSQKPVVEGVVMDKLKTSVPSQKTYKQVSKMVKETPVKFPIPQVIEHDQEAWRTDEEFTREMLAGLNPVVIKRLDVFPPVSSGGKKSSITAAHIEGQLEGRTVEKAIEQNRLFILDHHDYLMRYLRRINTLGVCIYASRTLLFLKDDSTLKPVVIELSLPSDGGGDDEISRIFLPASDEIDGHLWQLAKAHVSVNDAGYHQLISHWLFTHATVEPFIIATKRQLSAMHPVNKLLEPHFKDNMQINTLARSILLSAGGILERTMYPGKYAMEMSSAIYSEWRFTEQSLPNELIKRGVASKDPSGGVTLHIEDYPYAVDGLDVWRAIEGWVQSYCAHFYHSDTAVVGDKELQAWWDDVRLVGHGDRQHDPACWLKLDTVAHLAEMLSTLIWIASALHAAVNFGQYGYAGFMPNRPTRCRRFVPLPGSPEMGQLETDPEKFFLEMVPDRFTTTLGLTLIEVLSNHTSDELYLGQRVTSSWTDDGEVLQLLDRFREELRRVEKRVAERNRDPRLKNRRGPAKVPYMLLFPDVGNIGGKEKGITGKGIPNSVSI >PVH61801 pep chromosome:PHallii_v3.1:3:8177852:8178139:1 gene:PAHAL_3G126200 transcript:PVH61801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGAEAAEAEEEEGEPQASVRRRGCCSMSREEAAWGRRGAARGAAPVRGGLGAREGSREESRALVKTCATCCASVRKRCGAREGPPRREIRRPR >PAN17633 pep chromosome:PHallii_v3.1:3:9406521:9408423:-1 gene:PAHAL_3G145400 transcript:PAN17633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRLLASSQPEPSLSDIATSAAHGEDSPYFAGWRAYDEDPYDPVTNPSGVIQMGLAENQVSFDLLEAYLRKHPEASDCGVGFRENALFQDYHGLKSFRMAMASFMETVRGGKARFDPDRIVLTAGATAANELLTFILANPSDALLVPTPYYPGFDRDLRWRTGVNIVPVHCGSDTGFQVTAGALQAAYDEAVASGVRVRGVVLTNPSNPLGTTIERAVLEDIVDFVARNDIHLISDEIYSGSVFAAPELVSVAEVIEGRVRRGDGRGVAARVHVVYSLSKDLGLPGFRVGVVYSYNDAVVAAARRMSSFTLVSSQTQRTLAAMLSDAAFAAAYVRANRARLRERRDHMAAGLARAGLACLRGNAGLFVWVDMRPLLDEATVAGELRLWLQVVAEAKLNISPGSSCHCSEPGWFRVCFANMSLETLDAALQRLSCFTERWNKSIQN >PVH62086 pep chromosome:PHallii_v3.1:3:13192666:13192983:1 gene:PAHAL_3G201900 transcript:PVH62086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLEAATFDGLRYRAIQDRVKDKYPCMHRQPYSAHLPLDLVEIWNEKGSLNLC >PAN21075 pep chromosome:PHallii_v3.1:3:57346428:57347573:1 gene:PAHAL_3G437200 transcript:PAN21075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADAVGRKSAFRRGLNATRLAVASAVTVLIVLIVAYAITVVTRTEELSLSVTGGIIYVTRESVQPRKVGLSFSVQANNPSGRARFYYTGIQGLVFPVNNRTSKAIAKFKVMDMVVAPNSLLQTEAYVHVEDISQIAPYFDELYNSSSASIFSNAMLKLNGTLDVGLYSVHNKSSVQTVYYCWQLTMGAAGNASAPDMDDNVPCGTYDPME >PAN21929 pep chromosome:PHallii_v3.1:3:63067196:63071168:-1 gene:PAHAL_3G500600 transcript:PAN21929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLELSLSRLKALARFAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFNSRPDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVEQSVWPILLAESLKALGGLGLLSLGGKYLMRRVFEFVAESRSSEAFVALCLLTVAGTSLITQHLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRVAGIIDESAEEKEKPAEMVNYGATEPIVILGFGEMGQVLAKFLSAPLSFGFDQDAEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPAVLQSAGITFPKAIMVMYTGKEKTIEAVNRLRQAFTAVPIYARAQDLSHLLDLKKSGATDVVLENAETSLQLGSILLRGLGVMSDDVSFLSKLVRNSMEVQAQEALKDIGDKKVDIMKPLQVRVTDLVDSNGNGSRMIAQEQSLSLSSRPDLKVINPPVANRTPDMKVEKDQQGYDFDGIDSADGVAYCLLESDDGSDEASGASKEMIDQSA >PAN21930 pep chromosome:PHallii_v3.1:3:63066540:63072134:-1 gene:PAHAL_3G500600 transcript:PAN21930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASAAALARHHALLPAPPPSSARSGASVCCALRPRRRRAAPARLVAAGRRWRGSGDGGSRFRFRAPRAGMDMDLASGAVEVINDLGFDILTFLGVTVLVVPAFRVVRASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARFAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFNSRPDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVEQSVWPILLAESLKALGGLGLLSLGGKYLMRRVFEFVAESRSSEAFVALCLLTVAGTSLITQHLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRVAGIIDESAEEKEKPAEMVNYGATEPIVILGFGEMGQVLAKFLSAPLSFGFDQDAEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPAVLQSAGITFPKAIMVMYTGKEKTIEAVNRLRQAFTAVPIYARAQDLSHLLDLKKSGATDVVLENAETSLQLGSILLRGLGVMSDDVSFLSKLVRNSMEVQAQEALKDIGDKKVDIMKPLQVRVTDLVDSNGNGSRMIAQEQSLSLSSRPDLKVINPPVANRTPDMKVEKDQQGYDFDGIDSADGVAYCLLESDDGSDEASGASKEMIDQSA >PVH61684 pep chromosome:PHallii_v3.1:3:6030751:6034259:-1 gene:PAHAL_3G094700 transcript:PVH61684 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHIP1 [Source:Projected from Arabidopsis thaliana (AT3G55340) UniProtKB/TrEMBL;Acc:A0A384KSV0] MLPNALCLYFREQSVKKVYVGGIPYYSSEDDIRSFFEGCGSITAIDCMTFPESGKFRGIAILTFKTDAAAQRALAMDGADMGGFYLKIQPYKHNREKEDFAPKLIEGYNRIYVGNLPWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYAHVDFSDGTSVTVALKLDQRVIKGRPVRIRCAVPKKDNQKISDNGNSDPSKSKIRACYECGTPGHLSSSCPNKKDSEVRKCYECGTPGHLSSACPNKKISDENKATVDSAIASSKKRRTCYECGIPGHLSSSCPNKDAKFVSDENKANVDSATASSKKRRTCYECGTPGHLSSACPNKRPADSVQNNREPVDDAKAEPPTIISEEMKVGDESNSVPSKKRRKCYECGISGHLSSACPNKKVAEVVDDEVKCDTGSNTMPSTIVEEKKASDDAKSAPAKKKKRRTCYECGIAGHLSSECPNKAAA >PAN16897 pep chromosome:PHallii_v3.1:3:6030751:6036237:-1 gene:PAHAL_3G094700 transcript:PAN16897 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHIP1 [Source:Projected from Arabidopsis thaliana (AT3G55340) UniProtKB/TrEMBL;Acc:A0A384KSV0] MVLARKKLKQKLHTLLPAGEAEVEEAHGEEVQVVKERLASSKRPRPKRPPRKKSLPEVVLQTEEERREEVERRREERRKEKKEKRRIRRLMEAEAAAETQQLGGEMGAEGEGKEEASEEDDPAVESDQPVVAENRLQNQKVKLAESGVGSDNPVAAEHREQSVKKVYVGGIPYYSSEDDIRSFFEGCGSITAIDCMTFPESGKFRGIAILTFKTDAAAQRALAMDGADMGGFYLKIQPYKHNREKEDFAPKLIEGYNRIYVGNLPWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYAHVDFSDGTSVTVALKLDQRVIKGRPVRIRCAVPKKDNQKISDNGNSDPSKSKIRACYECGTPGHLSSSCPNKKDSEVRKCYECGTPGHLSSACPNKKISDENKATVDSAIASSKKRRTCYECGIPGHLSSSCPNKDAKFVSDENKANVDSATASSKKRRTCYECGTPGHLSSACPNKRPADSVQNNREPVDDAKAEPPTIISEEMKVGDESNSVPSKKRRKCYECGISGHLSSACPNKKVAEVVDDEVKCDTGSNTMPSTIVEEKKASDDAKSAPAKKKKRRTCYECGIAGHLSSECPNKAAA >PAN19325 pep chromosome:PHallii_v3.1:3:17519588:17523671:1 gene:PAHAL_3G264100 transcript:PAN19325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSHSQKKYEPTHLELYFYDDDPGLDYRLSKCREKTEQKDRDVIRTLVGIYNSGINPYSDHLRPMGEVEDFSDVHIEFNLDQRLDQRVYNKPLTSEVAAVWIEGSEHRGQFDNSVVLHGKDRSWKGIWSYHGCYDPLSYPLFFPRGEFGWHNCIPKVGVNEEQMRPGIFNPILYGKRLFQQFAVDTYVKIESSRLDYYRNNQDTVRADLYQGLVDSYRTGVEDATEVGKRTVLSPTFIGGPRDIRHRYMDAVALVRKYGKPDIFFTMTYNPNWDEIKNELYPGQEAQDRPDLVARVFRAKLEELRMRLFHNDILGKVRAYGYVVEFQKRGLPHAHWLLIMQRKYKITCPEQYDMLICAELPNKKKFPELYRMVTKHMMHGPCGVLNPECPCTKDRPSCKDRYPRPFCDSTSQGKNSYPLYRRRDDGRKEMIRGHMLDNRWVVPYNPYLVCTFNCHINVEACSNITSVKYLFKYIYKGHDRTSVAVRENGKKDANGNFDEITNYREARWVGPPEVMWRIYDFDLHQCHPPMQPLQCNLPNQHMVSFHKRDKVERVIKRPHIEESMLTEWFNFNYHNPEARGILYHDFPQYYRWNVTNKVWQPRKNSVYQVGRLVSANPAEGEHYFFRVLLNHVLGATSWRYLRTVNGVHYPSFREAAMRRGLIEEDKSLDECLTENSLFQMPSSLRGLFATIIVFCEPKDVADYKHNNPNPSAVQQLVLIDIRNMLQSMGKDIRSFPLPQIDDAFDDASGVPREIFEESSIELNLDDVRLADMLNKEQKAAYDDIMSTVDTEQATSGVAASIMPGERTAYSCFKIPLTLDDSCCCSFTKQSGTAKLLQSASLIIWDEASMTKRQVVEALDNNMRDIMGRQDLLFGGKTVVFGGDFKQVLPVVRKGSRAQIVGASLRRSHLWEHMRHLKLVHNMRAQHDREFANYLLRIGDGTKQVNKDGDVCLPDEICVPYSGDSEKDLDTLIDSIFPNLNANMTNKDYITSRAILSTRNDWVDDINLKMINKFQGGEMVYHSFDEAVDDPHNYYPEEFLNTLTPNGLPPYVLKLKIGCPVILLRNIDPANGLCNGTRLVVRGCQRNSIDAEIVLGQHAGKRVFIPRITLCPSDDEMFPFQFKRKQFPIRLSFVMTVNKSQGQTIPNVGVYLPAPIFSHGQLYVAMSRATARTNIKILALPPNAEAEEEDARRQEKKMQKRKQRARVEEMM >PAN19528 pep chromosome:PHallii_v3.1:3:18784992:18789322:1 gene:PAHAL_3G280200 transcript:PAN19528 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Regulation of endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os05g0411300)] MAEPALLDHSPFDLRHYPAHLFDPDLPLAGGDLPLGEFAGDDGLDFDLPVDFSVDDFLLRSPDRGGEGDDSGEGSAAGSGPATSSSASPATSAANSAVANAGDREVKHEDSDEGRSGAAANWSLKRKQASPGASSDGAKCRRSGDGELSPSASASASASASRAAAEDSDERGAGGEEEDKRRTARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSKISFIVAENATLRQQLGGGGVSGPPPGVYPPPPLPGIHFPWVPGYAMRPHGSHVPLVPIPRLKPHQTAAAAKVSKKPEVKKSVENKSKAKTKKVASVSLLGLLFVALVFGAFVPGFNHSFGMSGRSNDVIFGSFGHSDARVFSVTNHGKGPKGGLNSSDMIDTDPGMAESADGAGQKHHPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHRASNGQSVKDHKETSVAVARYLSPPRKDTDSKETFPPDAPLPQWFREGMEGPILNSGMCSEVFQFDISAASAESGGIIPASPTVNSSSVNATQKIPKPAPAYGGKLKNRRIMYNEAIPLTGKTVNNTEPQAFNSTSESSKVPDSKPASSVVVSVLADPREAGNGDGDPRVSPKPLSRIFVVVLLDGVRYVTYSCTLPFKSVSPHLVN >PVH61292 pep chromosome:PHallii_v3.1:3:352232:354735:1 gene:PAHAL_3G005700 transcript:PVH61292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPATAPLSSPKSGAIKRQRPLSDVTNRLLPETPTPIKPRRTGLRPLPTPSDASSTCSSTASVTPAPQPSSAAVIEEERSAAKSPISTVYARRGTTETRRRRRTNPTTTTPPKGKEPVAAAGTASCPPLGKATRKHSRKDSMAQDTQPISSSAPCHGAKKKRPPPSTPKLPEDFVKKQRAYFADVDAFDLPEEEVSESELE >PAN20647 pep chromosome:PHallii_v3.1:3:24305424:24310377:-1 gene:PAHAL_3G322100 transcript:PAN20647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPSLPPATLPWPDLLAGAASSARRLVAAHSRHFLALSSLLLLPLALLLALPCPFLPASASSPAAPSVSLRAPPDPQRDPLAVPLPAVALAAALLYLAAFAAAAASAHAGFFGRPVRLLASLRSVPASLTRLVVTALPASPLALLPLLPLPAPLRAALPILGAVLLSPFWSLAGAAAVVESTAGFRPLRRSCQLLWGARLAALFAFLIFAAGFGVTLWGFGGVAAETYDAAAGWAAMAPVVVKAVAGTALLAVMMLYGMVANIVLYMHCRALHGELAGEIYNEFADMYVFLPFDDGKDRHVISVVTVWP >PVH63182 pep chromosome:PHallii_v3.1:3:61901159:61907407:1 gene:PAHAL_3G487200 transcript:PVH63182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHAVGDNCTYPLKIDIGETPLFFAILGQGSRAIVQYLINSGADPNKANNRGITPLHLAAGQGHCEIAEYLLSKGANVDPICQDGEAPLHIAARRGMLRMVKLLLSHRADCNRLSDKLHTPLVASLFGSSLECLEAFIKAGANINTGSRVTPLSVAARKGLADCINCLLSKGANPNEPDEDGKLPIEIAASRGWRECVDILLPVTNPLEKYANLSIAQMLQQEAAARQHEALTAVVDGDVAYWEKKYAHALGCYTKAFRLGHGDPALYAKRGLCHLMIHDHHRFLDDAYSYMDAMTPDLSVPCSEEAAKKLVLEYGMNWKELRPGSGSNLTDETDQASREEHP >PVH63180 pep chromosome:PHallii_v3.1:3:61901159:61907407:1 gene:PAHAL_3G487200 transcript:PVH63180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMVKLLLSHRADCNRLSDKLHTPLVASLFGSSLECLEAFIKAGANINTGSRVTPLSVAARKGLADCINCLLSKGANPNEPDEDGKLPIEIAASRGWRECVDILLPVTNPLEKYANLSIAQMLQQEAAARQHEALTAVVDGDVAYWEKKYAHALGCYTKAFRLGHGDPALYAKRGLCHLMIHDHHRFLDDAYSYMDAMTPDLSVPCSEEAAKKLVLEYGMNWKELRPGSGSNLTDETDQASREEHP >PVH63181 pep chromosome:PHallii_v3.1:3:61901856:61907403:1 gene:PAHAL_3G487200 transcript:PVH63181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHAVGDNCTYPLKIDIGETPLFFAILGQGSRAIVQYLINSGADPNKANNRGITPLHLAAGQGHCEIAEYLLSKGANVDPICQDGEAPLHIAARRGMLRMVKLLLSHRADCNRLSDKLHTPLVASLFGSSLECLEAFIKAGANINTGSRVTPLSVAARKGLADCINCLLSKGANPNEPDEDGKLPIEIAASRGWRECVDILLPVTNPLEKYANLSIAQMLQQEAAARQHEALTAVVDGDVAYWEKKYAHALGCYTKAFRLGHGDPALYAKRGLCHLMIHDHHRFLDDAYSYMDAMTPDLSVPCSEEAAKKLVLEYGMNWKELRPGSGSNLTDETDQASREEHP >PVH63179 pep chromosome:PHallii_v3.1:3:61900343:61907391:1 gene:PAHAL_3G487200 transcript:PVH63179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDIVNARKSAEKLKAMGTGLDAAVSAVAEPKNKRHGPLHVAAGTRYLEMCKMLVRKYKCNTNAAGADGETPLFFAILGQGSRAIVQYLINSGADPNKANNRGITPLHLAAGQGHCEIAEYLLSKGANVDPICQDGEAPLHIAARRGMLRMVKLLLSHRADCNRLSDKLHTPLVASLFGSSLECLEAFIKAGANINTGSRVTPLSVAARKGLADCINCLLSKGANPNEPDEDGKLPIEIAASRGWRECVDILLPVTNPLEKYANLSIAQMLQQEAAARQHEALTAVVDGDVAYWEKKYAHALGCYTKAFRLGHGDPALYAKRGLCHLMIHDHHRFLDDAYSYMDAMTPDLSVPCSEEAAKKLVLEYGMNWKELRPGSGSNLTDETDQASREEHP >PVH61456 pep chromosome:PHallii_v3.1:3:2380022:2385019:1 gene:PAHAL_3G036800 transcript:PVH61456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSTWGVQGRECAKCSCNPVHLVWEDGFCGHASCSAGSEAPEAGCEPGSSVCTLVRKIMASQIHVVGEGTIGRAAFTGNHQWIIHDPANDHSLRSEVSAEMNHQFVAGIQTIAIIPVLPRGVLQLGSTNVVVEDTNLVLQYKKLCSQLNNRSSMASSSSVKNELNQKVQSRPLNAPSSIYSADVRSNFFGGSPVTYQQCYGLDATTVSSSTLANTGSNASMLMVAQRNGQAVKEHILYAPDMRFRPQNPYCDRRVESNTQSSVVSSDFISSISASMEKHPLLMTNSRQLEQGNMGEQSDRRNFLLKSLAYRNPLVHENTNMTLLHGRSQVSDFVNSHGGFDFLPEGTRVVKGNLYASTANQILEQRCNSTSGIAGHKAAISYKMPQSAQVMKMESSKGGTFQASAAVSSGSNLSSSLKTAISQEKHISSSDLAGPKKANEVHDPADVIVQAVKNMDRRKLPDISNEKVPSLLMDPIAESDLFDMFGSEFHHLCRNVDNDLTGKAAKPESSNRGAPESSVHVDTSPAFDSVDGEFPYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSVTDIPSSSYCRSKEPKHCASSGAPPLLIKNELAVSNLVKQPSFLEKEEDGCLSQNNGIHKSQIRLWIESGQNMKCESASASNSKGVDTSSKASRKRSRPGENPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMLFLQSVTKHADNLKDSNESKILGGENGPLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGAGENPNSVKMPLGVVQYPVIPATGHLR >PAN16112 pep chromosome:PHallii_v3.1:3:2379089:2385019:1 gene:PAHAL_3G036800 transcript:PAN16112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGFCGHASCSAGSEAPEAGCEPGSSVCTLVRKIMASQIHVVGEGTIGRAAFTGNHQWIIHDPANDHSLRSEVSAEMNHQFVAGIQTIAIIPVLPRGVLQLGSTNVVVEDTNLVLQYKKLCSQLNNRSSMASSSSVKNELNQKVQSRPLNAPSSIYSADVRSNFFGGSPVTYQQCYGLDATTVSSSTLANTGSNASMLMVAQRNGQAVKEHILYAPDMRFRPQNPYCDRRVESNTQSSVVSSDFISSISASMEKHPLLMTNSRQLEQGNMGEQSDRRNFLLKSLAYRNPLVHENTNMTLLHGRSQVSDFVNSHGGFDFLPEGTRVVKGNLYASTANQILEQRCNSTSGIAGHKAAISYKMPQSAQVMKMESSKGGTFQASAAVSSGSNLSSSLKTAISQEKHISSSDLAGPKKANEVHDPADVIVQAVKNMDRRKLPDISNEKVPSLLMDPIAESDLFDMFGSEFHHLCRNVDNDLTGKAAKPESSNRGAPESSVHVDTSPAFDSVDGEFPYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSVTDIPSSSYCRSKEPKHCASSGAPPLLIKNELAVSNLVKQPSFLEKEEDGCLSQNNGIHKSQIRLWIESGQNMKCESASASNSKGVDTSSKASRKRSRPGENPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMLFLQSVTKHADNLKDSNESKILGGENGPLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGAGENPNSVKMPLGVVQYPVIPATGHLR >PVH61295 pep chromosome:PHallii_v3.1:3:356465:357975:1 gene:PAHAL_3G005800 transcript:PVH61295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHKPLACSVLAFFLAASLVSAQLTANFYDKSCPNALYTIQTAVGSAVAKENRMGASLLRLHFHDCFVNGCDGSVLLDDTPTFTGEKSAVPNNNSLRGFDVIDSIKAQIEGICLQVVSCADILAVAACDSVVALGGPTWVVNLGRRDSMTASLDTSNNDIPAPTLDLSDLIKLFSNKGLSTTDMIALSGGHTIGQARCVNFRDRIYSETNIDISLVTSLKSNCPNKTGDNNISPLDASTPYVFDNFYYKNLLNKKGVLHSDQQLFNGGSADSQTTTYSSNMAKFFTDFSAAMVKMSNISPLTGSSGQIRKNCRKVN >PAN20032 pep chromosome:PHallii_v3.1:3:22552735:22554085:1 gene:PAHAL_3G314200 transcript:PAN20032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRAAAASAAGAPVFPFPAFAAAGEQDHFSDYGFDSQLVSFFAQAEAKRSRRHHSHHPPPLEPARFKLQKPISKKHHHLQKQQQQRRHRWWSSAASAALLLFKRPSSSSSCGPAADSAPAPYGHSASAAVPLYLADDGDGPAACACWAPSMRSGRLAAAELGAAAQAVPYVSLGSASLGGGGAGAAGGGAPATPIYLVT >PVH61568 pep chromosome:PHallii_v3.1:3:4027249:4031315:1 gene:PAHAL_3G063500 transcript:PVH61568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPGSSAPAPERRLAVLLSHFRPCREAPRAATRLATAERHGTAAAAEAEAVLSASPCAAGGSGSSGGEYCVFCNIIAGAAPAFKLYEDDECVCILDAKPLTPGHSLIIPKSHYPSLQTTPPTVLAAICSKLPLLGTAIMKATQCDAFNVLINNGEKAGQVIFHTHVHIIPRSKDDNLWSSETYSRNPISHGQETKNLVSSIKEVLSSSPEDYSTVTSSTPKGF >PVH61567 pep chromosome:PHallii_v3.1:3:4027389:4030548:1 gene:PAHAL_3G063500 transcript:PVH61567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPGSSAPAPERRLAVLLSHFRPCREAPRAATRLATAERHGTAAAAEAEAVLSASPCAAGGSGSSGGEYCVFCNIIAGAAPAFKLYEDDECVCILDAKPLTPGHSLIIPKSHYPSLQTTPPTVLAAICSKLPLLGTAIMKATQCGRCIQCANQQWREGRAGYFSHSCPHHSP >PVH62157 pep chromosome:PHallii_v3.1:3:14288240:14292057:1 gene:PAHAL_3G220100 transcript:PVH62157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEGASGPELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLMEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMATQPAFLLYVASVIVIVFVLVFYFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSPGSIISEICGLIVVLSGTILLHVTKDYERIPQARN >PAN18710 pep chromosome:PHallii_v3.1:3:14288240:14292057:1 gene:PAHAL_3G220100 transcript:PAN18710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEGASGPELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLMEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMATQPAFLLYVASVIVIVFVLVFYFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSPGSIISEICGLIVVLSGTILLHVTKDYERIPQARSVYAPLSPSLTTRLNGELLKHVEDERTMDEEKALRRQEMY >PAN21273 pep chromosome:PHallii_v3.1:3:59180345:59181102:-1 gene:PAHAL_3G455900 transcript:PAN21273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGGGGGGSLPGKPVMVVGIDDSDHSYYALDRRPQQYRLGVLTAKPPASSVIGIAGIGSAELLPTVEADLKRTAARVMDKAKSLCAEVADVGYEAIEGDARGVICEAVDRHHAEIPAIGCHGYSKWKRAVLGSVSNYCMHPPRALHRHGRQEAKAQALTTYSLLDDAPV >PVH61890 pep chromosome:PHallii_v3.1:3:9613780:9619781:1 gene:PAHAL_3G149000 transcript:PVH61890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKGGTKVIGGGGGAGGIFNLFDWKRKSRKKLFSNSPEGAKLVRRSEETLPSGRLQLVDDDEGIGVTSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDALPTSGVPEPYCTPFRDTRSFRDNQSLKRSPEYSMNDQFSYVPRRVDGYMRKPLDFRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQSSSREKICSFSPARIPLRVSEPRESISASQRTVSQRAQSSRNTPELPDVRFSRGQQMSRSWNSEEDIVIFRPSIDSYEINNPSCSKNNKGKSISLAVQAKNNVQKREGASSGRNSGVQKEHDDHRTNQPFRSQSNHQRNKQQKKASSSGTSSPVLRQNSQKQNSLVTRGKVAPNKSVSTQQGRKVMAGDSFSGKIKNGSKVSKGGGRKDIVESITGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKTVGKLQKQVQPNVVMDEHIKWDKESKDTTDVVSFTFTSPLVKPSAGPSRLAGKWDSRSNFNLDAGCDKDDSDSKTEGPSSVGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFTAATFNLEEAPSSSCSNWGSESGVFDCSPSEVKPSQYDYCPSAQSSTKGQIFRGSKLKVEEPEECSSISNARKEQEHEDLSPLSVLEPTFLSESCWSSECLGSSDGSKVVYSSSSEVKTIPRNFLMNPPSVDIEAKTTDSASSASVDTSDISDVTQCSKKSRRTELEYVEDVLSNVNLTTDELASLFVNQDGSALDPLLFEKVENMHVYTQGKEPLGRRGYRRLLFDCVNECLETRRGTYFRAGYAAWSKGVASLSRGVETEVCNEITSWKSMGEWVEDELVDKDMSSGLGTWVDFRVEAFEAGEEVESEILSSLLDEVIGDMAVRRPQECKFVI >PVH61889 pep chromosome:PHallii_v3.1:3:9614232:9619781:1 gene:PAHAL_3G149000 transcript:PVH61889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKGGTKVIGGGGGAGGIFNLFDWKRKSRKKLFSNSPEGAKLVRRSEETLPSGRLQLVDDDEGIGVTSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDALPTSGVPEPYCTPFRDTRSFRDNQSLKRSPEYSMNDQFSYVPRRVDGYMRKPLDFRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQSSSREKICSFSPARIPLRVSEPRESISASQRTVSQRAQSSRNTPELPDVRFSRGQQMSRSWNSEEDIVIFRPSIDSYEINNPSCSKNNKGKSISLAVQAKNNVQKREGASSGRNSGVQKEHDDHRTNQPFRSQSNHQRNKQQKKASSSGTSSPVLRQNSQKQNSLVTRGKVAPNKSVSTQQGRKVMAGDSFSGKIKNGSKVSKGGGRKDIVESITGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKTVGKLQKQVQPNVVMDEHIKWDKESKDTTDVVSFTFTSPLVKPSAGPSRLAGKWDSRSNFNLDAGCDKDDSDSKTEGPSSVGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFTAATFNLEEAPSSSCSNWGSESGVFDCSPSEVKPSQYDYCPSAQSSTKGQIFRGSKLKVEEPEECSSISNARKEQEHEDLSPLSVLEPTFLSESCWSSECLGSSDGSKVVYSSSSEVKTIPRNFLMNPPSVDIEAKTTDSASSASVDTSDISDVTQCSKKSRRTELEYVEDVLSNVNLTTDELASLFVNQDGSALDPLLFEKVENMHVYTQGKEPLGRRGYRRLLFDCVNECLETRRGTYFRAGYAAWSKGVASLSRGVETEVCNEITSWKSMGEWVEDELVDKDMSSGLGTWVDFRVEAFEAGEEVESEILSSLLDEVIGDMAVRRPQECKFVI >PAN19080 pep chromosome:PHallii_v3.1:3:16083534:16086165:1 gene:PAHAL_3G248100 transcript:PAN19080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFDAVQRILSTSEPDPVEADAKKAAKLKDKPSFKKIWQFGKSHPSGASASAAPAPDPAAHQPQPAPSPRPGQQQAEETTAEAQRAETRCDDGGVRPADGASKAGDDAADAAAVARPTVTTPRAWAARSEEDIAATRIQAACRGYMARRAHQERGMARLMSLVEGFTIKRQTEEALYCMQTMTRIQTQIYSRRLKTEEDKKALKSQVKVKQSLDIIKKIGDGWDHSLQSKEQMEAVLMMKQEAASRRQRALSYAFSYQWRNRKPSSARAAPAPMFMDPGNPNWGWSWTERWMAAARPWENQTAAPADGRAAAKSASRMPRVAVSIQIPTTTTPGSRSFRPPNWPSLPSPSTPPPRSPSLPGRTAVPSSPRSSTLHASSGLQRTKSMQPDGRPRSSQELSVSSPRRAAPSSPRGGGSGSPLHGSSGMQPQRRPRSSQELSVSSPRRAVPASPRSCGSGSPLHGSSGTQPQRRPRSSQEPGVISPRPGAKVTPLRRTTSLRAELPRRLSLGSATGTGTAASPAGDDAGTPVTPSYMQQTKSVKAKARCASPSASSAAADVFDDAPGSGPAPLRMPSPSSARKRLSLEFADKPSASSPSKVGAERMMRRQSQPPSPRMSSLPEAM >PAN16895 pep chromosome:PHallii_v3.1:3:6026913:6028420:-1 gene:PAHAL_3G094600 transcript:PAN16895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFAVQEGEMARVLMLMSHGQEPALPLLPVAGRGDRAPERVFVCKTCNRTFPSFQALGGHRASHKKPRLDGDGDPSLAKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPPATTIVVDKKPDVSIIHDDSVKRGLWLDLNHPPCDDGCDAEAECGHNATAGITFHQFLDTGTMAVDCLGY >PVH62161 pep chromosome:PHallii_v3.1:3:14378709:14380088:-1 gene:PAHAL_3G222000 transcript:PVH62161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELLFSLVFLLLVRISGASSGSRNITSMFTLGDSYIDTGNFVIMAAPVIPEWIDKPPYGETFFGRPSGRPSDGRVIIDFIAEELRLPFLPASLANSSDDVSRGVNFAVGGATAIDVGFFERNSLAPFKLLNNSLDVQLAWFEKLRPSLCNTTEVRSYVPKVVKTIALAVERLIDDGAAYVVVPGNPPTGCSPTVLTLRRSPDAADYDRVGCLRGVNDVARHHNALFRAAVGGLRARHPRATIIFADFYEPIRKILENPGQFGVVAGDVLKACCGAGGGAYNWNGSAVCGMPGVAACRDPSAYVSWDGVHFTEAVNRYVAKGWLHGPYADPPILRAIHH >PVH62207 pep chromosome:PHallii_v3.1:3:15278288:15283494:1 gene:PAHAL_3G236200 transcript:PVH62207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSHPLVLSEIRLDQISFHIKKAMYLQNMSCPKTLELHGSMVCYLKGRVVSIEEGNSSNAIYVHKKCLEWTPLVWFEGDVVVNLESEIRRASRLRCRRCGLLGAALGCYDNDCDRSFHVPCAVQIDCRWDVDERLVLCPKHVSKTLPCDKLYTHTKEDDNSSSLHQSQCSYKEDSFTAFEEEGQQSDQLKTTSPCTDKEGKLDNHHRENQQTDQLNTSNAASFPQSPYIHKEVIFDNHQRENQQTDQFNILNPSYLSQSQCFLKEGISTNSSRDGNQIDQLDTSNFSSLPLGKHSDEEEICMNCERDDQRAHKPNTSILCCLPKSCHPDEEGISSVYKGEKIKAYQPDTSSFPSDQLVLLGLSLSVSEKEFACWTNARLTKEWGENVTHVIVGKGAGTSWSRSFEALMAILLGKWVVHFEWVADCSSEMTRRPEASYVLSMDSIRTIDGPKKGRIRATKGTPKLFSGLRLCLSAYMDPDGRHRVRNLIATAGGQVLRGGFLDLLLGDSGGSSVGPYFVFDGDATGGFSRSTLRKEEVEARKHAALRARVISHLRVLDAVAAYDAEILDR >PAN18930 pep chromosome:PHallii_v3.1:3:15278288:15283493:1 gene:PAHAL_3G236200 transcript:PAN18930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTFGEMDSDIVDVLKQGQMQSQVLKQGKMQSDVLKQRQMQSDKLTRQFNSPTKPSLAGATKASLLKGQNKKKLIEDAKKSSPRVKRNKTGPNQLSYKESNVSAKHVLSEDIGGTTELKRLLTPINLFEDECIFCHSFRRSQLHGSMVCYLKGRVVSIEEGNSSNAIYVHKKCLEWTPLVWFEGDVVVNLESEIRRASRLRCRRCGLLGAALGCYDNDCDRSFHVPCAVQIDCRWDVDERLVLCPKHVSKTLPCDKLYTHTKEDDNSSSLHQSQCSYKEDSFTAFEEEGQQSDQLKTTRSFLPVGPCTDKEGKLDNHHRENQQTDQLNTSNAASFPQSPYIHKEVIFDNHQRENQQTDQFNILNPSYLSQSQCFLKEGISTNSSRDGNQIDQLDTSNFSSLPLGKHSDEEEICMNCERDDQRAHKPNTSILCCLPKSCHPDEEGISSVYKGEKIKAYQPDTSSFPSDQLVLLGLSLSVSEKDSLQEFACWTNARLTKEWGENVTHVIVGKGAGTSWSRSFEALMAILLGKWVVHFEWVADCSSEMTRRPEASYVLSMDSIRTIDGPKKGRIRATKGTPKLFSGLRLCLSAYMDPDGRHRVRNLIATAGGQVLRGGFLDLLLGDSGGSSVGPYFVFDGDATGGFSRSTLRKEEVEARKHAALRARVISHLRVLDAVAAYDAEILDR >PAN18927 pep chromosome:PHallii_v3.1:3:15278288:15283493:1 gene:PAHAL_3G236200 transcript:PAN18927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTFGEMDSDIVDVLKQGQMQSQVLKQGKMQSDVLKQRQMQSDKLTRQFNSPTKPSLAGATKASLLKGQNKKKLIEDAKKSSPRVKRNKTGPNQLSYKESNVSAKHVLSEDIGGTTELKRLLTPINLFEDECIFCHSFRRSQLHGSMVCYLKGRVVSIEEGNSSNAIYVHKKCLEWTPLVWFEGDVVVNLESEIRRASRLRCRRCGLLGAALGCYDNDCDRSFHVPCAVQIDCRWDVDERLVLCPKHVSKTLPCDKLYTHTKEDDNSSSLHQSQCSYKEDSFTAFEEEGQQSDQLKTTSPCTDKEGKLDNHHRENQQTDQLNTSNAASFPQSPYIHKEVIFDNHQRENQQTDQFNILNPSYLSQSQCFLKEGISTNSSRDGNQIDQLDTSNFSSLPLGKHSDEEEICMNCERDDQRAHKPNTSILCCLPKSCHPDEEGISSVYKGEKIKAYQPDTSSFPSDQLVLLGLSLSVSEKDSLQEFACWTNARLTKEWGENVTHVIVGKGAGTSWSRSFEALMAILLGKWVVHFEWVADCSSEMTRRPEASYVLSMDSIRTIDGPKKGRIRATKGTPKLFSGLRLCLSAYMDPDGRHRVRNLIATAGGQVLRGGFLDLLLGDSGGSSVGPYFVFDGDATGGFSRSTLRKEEVEARKHAALRARVISHLRVLDAVAAYDAEILDR >PVH62205 pep chromosome:PHallii_v3.1:3:15278288:15283494:1 gene:PAHAL_3G236200 transcript:PVH62205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTFGEMDSDIVDVLKQGQMQSQVLKQGKMQSDVLKQRQMQSDKLTRQFNSPTKPSLAGATKASLLKGQNKKKLIEDAKKSSPRVKRNKTGPNQLSYKESNVSAKHVLSEDIGGTTELKRLLTPINLFEDECIFCHSFRRSQLHGSMVCYLKGRVVSIEEGNSSNAIYVHKKCLEWTPLVWFEGDVVVNLESEIRRASRLRCRRCGLLGAALGCYDNDCDRSFHVPCAVQIDCRWDVDERLVLCPKHVSKTLPCDKLYTHTKEDDNSSSLHQSQCSYKEDSFTAFEEEGQQSDQLKTTRSFLPVGPCTDKEGKLDNHHRENQQTDQLNTSNAASFPQSPYIHKEVIFDNHQRENQQTDQFNILNPSYLSQSQCFLKEGISTNSSRDGNQIDQLDTSNFSSLPLGKHSDEEEICMNCERDDQRAHKPNTSILCCLPKSCHPDEEGISSVYKGEKIKAYQPDTSSFPSDQLVLLGLSLSVSEKEFACWTNARLTKEWGENVTHVIVGKGAGTSWSRSFEALMAILLGKWVVHFEWVADCSSEMTRRPEASYVLSMDSIRTIDGPKKGRIRATKGTPKLFSGLRLCLSAYMDPDGRHRVRNLIATAGGQVLRGGFLDLLLGDSGGSSVGPYFVFDGDATGGFSRSTLRKEEVEARKHAALRARVISHLRVLDAVAAYDAEILDR >PAN18931 pep chromosome:PHallii_v3.1:3:15278288:15283493:1 gene:PAHAL_3G236200 transcript:PAN18931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSHPLVLSEIRLDQISFHIKKAMYLQNMSCPKTLELHGSMVCYLKGRVVSIEEGNSSNAIYVHKKCLEWTPLVWFEGDVVVNLESEIRRASRLRCRRCGLLGAALGCYDNDCDRSFHVPCAVQIDCRWDVDERLVLCPKHVSKTLPCDKLYTHTKEDDNSSSLHQSQCSYKEDSFTAFEEEGQQSDQLKTTRSFLPVGPCTDKEGKLDNHHRENQQTDQLNTSNAASFPQSPYIHKEVIFDNHQRENQQTDQFNILNPSYLSQSQCFLKEGISTNSSRDGNQIDQLDTSNFSSLPLGKHSDEEEICMNCERDDQRAHKPNTSILCCLPKSCHPDEEGISSVYKGEKIKAYQPDTSSFPSDQLVLLGLSLSVSEKDSLQEFACWTNARLTKEWGENVTHVIVGKGAGTSWSRSFEALMAILLGKWVVHFEWVADCSSEMTRRPEASYVLSMDSIRTIDGPKKGRIRATKGTPKLFSGLRLCLSAYMDPDGRHRVRNLIATAGGQVLRGGFLDLLLGDSGGSSVGPYFVFDGDATGGFSRSTLRKEEVEARKHAALRARVISHLRVLDAVAAYDAEILDR >PVH62206 pep chromosome:PHallii_v3.1:3:15278288:15283494:1 gene:PAHAL_3G236200 transcript:PVH62206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTFGEMDSDIVDVLKQGQMQSQVLKQGKMQSDVLKQRQMQSDKLTRQFNSPTKPSLAGATKASLLKGQNKKKLIEDAKKSSPRVKRNKTGPNQLSYKESNVSAKHVLSEDIGGTTELKRLLTPINLFEDECIFCHSFRRSQLHGSMVCYLKGRVVSIEEGNSSNAIYVHKKCLEWTPLVWFEGDVVVNLESEIRRASRLRCRRCGLLGAALGCYDNDCDRSFHVPCAVQIDCRWDVDERLVLCPKHVSKTLPCDKLYTHTKEDDNSSSLHQSQCSYKEDSFTAFEEEGQQSDQLKTTSPCTDKEGKLDNHHRENQQTDQLNTSNAASFPQSPYIHKEVIFDNHQRENQQTDQFNILNPSYLSQSQCFLKEGISTNSSRDGNQIDQLDTSNFSSLPLGKHSDEEEICMNCERDDQRAHKPNTSILCCLPKSCHPDEEGISSVYKGEKIKAYQPDTSSFPSDQLVLLGLSLSVSEKEFACWTNARLTKEWGENVTHVIVGKGAGTSWSRSFEALMAILLGKWVVHFEWVADCSSEMTRRPEASYVLSMDSIRTIDGPKKGRIRATKGTPKLFSGLRLCLSAYMDPDGRHRVRNLIATAGGQVLRGGFLDLLLGDSGGSSVGPYFVFDGDATGGFSRSTLRKEEVEARKHAALRARVISHLRVLDAVAAYDAEILDR >PAN18928 pep chromosome:PHallii_v3.1:3:15278288:15283493:1 gene:PAHAL_3G236200 transcript:PAN18928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSHPLVLSEIRLDQISFHIKKAMYLQNMSCPKTLELHGSMVCYLKGRVVSIEEGNSSNAIYVHKKCLEWTPLVWFEGDVVVNLESEIRRASRLRCRRCGLLGAALGCYDNDCDRSFHVPCAVQIDCRWDVDERLVLCPKHVSKTLPCDKLYTHTKEDDNSSSLHQSQCSYKEDSFTAFEEEGQQSDQLKTTSPCTDKEGKLDNHHRENQQTDQLNTSNAASFPQSPYIHKEVIFDNHQRENQQTDQFNILNPSYLSQSQCFLKEGISTNSSRDGNQIDQLDTSNFSSLPLGKHSDEEEICMNCERDDQRAHKPNTSILCCLPKSCHPDEEGISSVYKGEKIKAYQPDTSSFPSDQLVLLGLSLSVSEKDSLQEFACWTNARLTKEWGENVTHVIVGKGAGTSWSRSFEALMAILLGKWVVHFEWVADCSSEMTRRPEASYVLSMDSIRTIDGPKKGRIRATKGTPKLFSGLRLCLSAYMDPDGRHRVRNLIATAGGQVLRGGFLDLLLGDSGGSSVGPYFVFDGDATGGFSRSTLRKEEVEARKHAALRARVISHLRVLDAVAAYDAEILDR >PVH62204 pep chromosome:PHallii_v3.1:3:15278288:15283494:1 gene:PAHAL_3G236200 transcript:PVH62204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSHPLVLSEIRLDQISFHIKKAMYLQNMSCPKTLELHGSMVCYLKGRVVSIEEGNSSNAIYVHKKCLEWTPLVWFEGDVVVNLESEIRRASRLRCRRCGLLGAALGCYDNDCDRSFHVPCAVQIDCRWDVDERLVLCPKHVSKTLPCDKLYTHTKEDDNSSSLHQSQCSYKEDSFTAFEEEGQQSDQLKTTRSFLPVGPCTDKEGKLDNHHRENQQTDQLNTSNAASFPQSPYIHKEVIFDNHQRENQQTDQFNILNPSYLSQSQCFLKEGISTNSSRDGNQIDQLDTSNFSSLPLGKHSDEEEICMNCERDDQRAHKPNTSILCCLPKSCHPDEEGISSVYKGEKIKAYQPDTSSFPSDQLVLLGLSLSVSEKEFACWTNARLTKEWGENVTHVIVGKGAGTSWSRSFEALMAILLGKWVVHFEWVADCSSEMTRRPEASYVLSMDSIRTIDGPKKGRIRATKGTPKLFSGLRLCLSAYMDPDGRHRVRNLIATAGGQVLRGGFLDLLLGDSGGSSVGPYFVFDGDATGGFSRSTLRKEEVEARKHAALRARVISHLRVLDAVAAYDAEILDR >PAN16635 pep chromosome:PHallii_v3.1:3:4832742:4834195:-1 gene:PAHAL_3G073700 transcript:PAN16635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETVKAFWTSSLLSLSPLWRHGYACFYRLITAITTNLFLVLGNHDYQVEANVSTSSSLAGLHHFCCVCVLLHSCVLHVYKCCPLRMNEIKILSSMNSSLPFNSSPVDFSQLTPTTHAWESPIFLKENKCSQQFCKFGGLKLTGNCIFLGTKYHAVEC >PAN19826 pep chromosome:PHallii_v3.1:3:20796369:20801646:-1 gene:PAHAL_3G301800 transcript:PAN19826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHQDVVFEVNAVGWAPSGARTALSLHREDDKARLPAAAVGHVHEPSGRYVLAAGRADEEDGLHQAISPGALKPRVTYRVAGWISVAGGEAAAAKEGGGQQRAADGHPVRISIRVGGDGGRVVDGGAVCAEPGRWAEIKGTFRLSESPRGAAVHVHGAPAGVDVKVMDLRIIATDRKARFSHLKEKTDKVRKRDVVLKFGGLPAGASVRVVQLDNAFPLGSCINGEVIRIPAFVDFFTAHFDWAVFENELKWYWTEPRRGQLSYADADRLLDFCDRAGKPARGHCIFWAVDGDVQQWVKDIGGDRAQLAAAVDARVRGLLGRYAGRFPHYDVNNEMLHGRFFRDRLGDGAAARMFREAARLDPAAALFVNDYNVECGNDPSATPEKYVELIRGLQRGGARVGGVGLQGHVTHPLGEVICDALDKLSAATGLPVWITELDVCEPDDALRADDLEVVLREAFAHRAVEGVVLWGFMKGHMWRPDAALVNQDGTVNDAGQRFLDLRREWTSDARGRIDDDGQFKFRGFHGTYVAQVATATGKMLKAFTVDKGDAALVLDVMDV >PVH62484 pep chromosome:PHallii_v3.1:3:21507494:21507900:1 gene:PAHAL_3G306700 transcript:PVH62484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHSKTLFFYDQELRSTDTAPNHSIGISIRIRYFDTPILLRYIRNKSRKINKINPDTYSIHFDTSSILYSP >PVH61717 pep chromosome:PHallii_v3.1:3:6476963:6487555:1 gene:PAHAL_3G102000 transcript:PVH61717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDIADRKKTNKPLHHRYKRTRRERAAATAATRGCCPSLPLSIRGPDRRISSPAPLPASRTPHRSDPPHALAPQRVSSRGSRRVAAMADCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEEGQRHHGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVTTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFHLSRADRETVQKPEYGLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRSFFFGVRIIRKRTVAQVLNLIRKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKIHWIKGKKSSIDDSMGMIRPCLGLHAKLAKLHTIQEQF >PVH61714 pep chromosome:PHallii_v3.1:3:6476827:6489162:1 gene:PAHAL_3G102000 transcript:PVH61714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDIADRKKTNKPLHHRYKRTRRERAAATAATRGCCPSLPLSIRGPDRRISSPAPLPASRTPHRSDPPHALAPQRVSSRGSRRVAAMADCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEEGQRHHGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKVCCLCSSTMLNEDMIKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVTTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFHLSRADRETVQKPEYGLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRSFFFGVRIIRKRTVAQVLNLIRKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNLITSLLSNCSEDVNELDVKPDGSWRVKGDAATRELSQWHMPDGTLCDSKEDTNHSVENLNEVKSEGTSDGHRSLKLGIKRNPNGIWQVSSKPDDKKPSVVGNHIQNNTGFLAPNTVPMISSPTGSCRDGEDASVNQEEIDSLARNFCQTDNTEDRQQQQQQNAADVIVLSDSDEENNVIVLSDSDENDTIVQPPAVKKQRLEEKERLPMHFHIT >PVH61711 pep chromosome:PHallii_v3.1:3:6476827:6489162:1 gene:PAHAL_3G102000 transcript:PVH61711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDIADRKKTNKPLHHRYKRTRRERAAATAATRGCCPSLPLSIRGPDRRISSPAPLPASRTPHRSDPPHALAPQRVSSRGSRRVAAMADCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEEGQRHHGWGRKNALTREAVAKVVDDTYRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVTTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFHLSRADRETVQKPEYGLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRSFFFGVRIIRKRTVAQVLNLIRKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNLITSLLSNCSEDVNELDVKPDGSWRVKGDAATRELSQWHMPDGTLCDSKEDTNHSVENLNEVKSEGTSDGHRSLKLGIKRNPNGIWQVSSKPDDKKPSVVGNHIQNNTGFLAPNTVPMISSPTGSCRDGEDASVNQEEIDSLARNFCQTDNTEDRQQQQQQNAADVIVLSDSDEENNVIVLSDSDENDTIVQPPAVKKQRLEEKERLPMHFHIT >PVH61712 pep chromosome:PHallii_v3.1:3:6476827:6489162:1 gene:PAHAL_3G102000 transcript:PVH61712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDIADRKKTNKPLHHRYKRTRRERAAATAATRGCCPSLPLSIRGPDRRISSPAPLPASRTPHRSDPPHALAPQRVSSRGSRRVAAMADCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEEGQRHHGWGRKNALTREAVAKVVDDTYRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKVCCLCSSTMLNEDMIKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVTTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFHLSRADRETVQKPEYGLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRSFFFGVRIIRKRTVAQVLNLIRKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNLITSLLSNCSEDVNELDVKPDGSWRVKGDAATRELSQWHMPDGTLCDSKEDTNHSVENLNEVKSEGTSDGHRSLKLGIKRNPNGIWQVSSKPDDKKPSVVGNHIQNNTGFLAPNTVPMISSPTGSCRDGEDASVNQEEIDSLARNFCQTDNTEDRQQQQQQNAADVIVLSDSDEENNVIVLSDSDENDTIVQPPAVKKQRLEEKERLPMHFHIT >PVH61716 pep chromosome:PHallii_v3.1:3:6476827:6489162:1 gene:PAHAL_3G102000 transcript:PVH61716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDIADRKKTNKPLHHRYKRTRRERAAATAATRGCCPSLPLSIRGPDRRISSPAPLPASRTPHRSDPPHALAPQRVSSRGSRRVAAMADCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEEGQRHHGWGRKNALTREAVAKVVDDTYRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKVCCLCSSTMLNEDMIKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVTTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFHLSRADRETVQKPEYGLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRSFFFGVRIIRKRTVAQVLNLIRKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKIHWIKGKKSSIDDSMGMIRPCLGLHAKLAKLHTIQEQF >PVH61713 pep chromosome:PHallii_v3.1:3:6476963:6487555:1 gene:PAHAL_3G102000 transcript:PVH61713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDIADRKKTNKPLHHRYKRTRRERAAATAATRGCCPSLPLSIRGPDRRISSPAPLPASRTPHRSDPPHALAPQRVSSRGSRRVAAMADCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEEGQRHHGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKVCCLCSSTMLNEDMIKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVTTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFHLSRADRETVQKPEYGLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRSFFFGVRIIRKRTVAQVLNLIRKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKIHWIKGKKSSIDDSMGMIRPCLGLHAKLAKLHTIQEQF >PVH61715 pep chromosome:PHallii_v3.1:3:6476827:6489162:1 gene:PAHAL_3G102000 transcript:PVH61715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDIADRKKTNKPLHHRYKRTRRERAAATAATRGCCPSLPLSIRGPDRRISSPAPLPASRTPHRSDPPHALAPQRVSSRGSRRVAAMADCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEEGQRHHGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVTTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFHLSRADRETVQKPEYGLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRSFFFGVRIIRKRTVAQVLNLIRKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNLITSLLSNCSEDVNELDVKPDGSWRVKGDAATRELSQWHMPDGTLCDSKEDTNHSVENLNEVKSEGTSDGHRSLKLGIKRNPNGIWQVSSKPDDKKPSVVGNHIQNNTGFLAPNTVPMISSPTGSCRDGEDASVNQEEIDSLARNFCQTDNTEDRQQQQQQNAADVIVLSDSDEENNVIVLSDSDENDTIVQPPAVKKQRLEEKERLPMHFHIT >PVH61710 pep chromosome:PHallii_v3.1:3:6476827:6487708:1 gene:PAHAL_3G102000 transcript:PVH61710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDIADRKKTNKPLHHRYKRTRRERAAATAATRGCCPSLPLSIRGPDRRISSPAPLPASRTPHRSDPPHALAPQRVSSRGSRRVAAMADCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEEGQRHHGWGRKNALTREAVAKVVDDTYRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVTTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFHLSRADRETVQKPEYGLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRSFFFGVRIIRKRTVAQVLNLIRKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKIHWIKGKKSSIDDSMGMIRPCLGLHAKLAKLHTIQEQF >PAN18831 pep chromosome:PHallii_v3.1:3:14907192:14909526:1 gene:PAHAL_3G228700 transcript:PAN18831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKRAVLVGINYPGTKAELKGCHNDVARMHRCLVERFGFDESGIRVLVDDGSGPQPTGANIRRELQRLVGDAGPGDLLFFHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDQDFTELVRKVPEGCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQTERSDSGSGGFRSFLKGAVRDVFESQGIHLPRRSHRQSDDGDDGDEEPRYLDTADAHVKNRSLPLSTLIEILKEKTGKDDIDVGSLRLTLFDLFGDDASPKIKKFMKVMLNKLQHGGVVGFVGALAQELLKAKLDGKQEQLEPAMEQEVHSEEEVYAGTTARVPSNGVLISGCQTDQTSADATTSKGSYGALSNAIQTILAEHGAVTNMELVTKARKMLSRQGYTQQPGLYCSDEHASVAFIC >PAN21849 pep chromosome:PHallii_v3.1:3:62529692:62530847:-1 gene:PAHAL_3G494300 transcript:PAN21849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEHGSKKPPAAPAAAAPTRNKLLQLRDRLVAVQPVVLRAAAALAAVVAAVVMALNTQSYTAVVAIVGTRPLTQTFTAEFRDTPAFVYFVIANAIAGAYNLVVLIIRRLTLRRRTASLVVHMLDMVIMALLATGAATAASMAELGKNGNLHARWNPICDRFGSFCSRGGIAIVSSFIGVALMLALNLLSAAANAHRPNMAGQ >PVH63211 pep chromosome:PHallii_v3.1:3:62529129:62531080:-1 gene:PAHAL_3G494300 transcript:PVH63211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEHGSKKPPAAPAAAAPTRNKLLQLRDRLVAVQPVVLRAAAALAAVVAAVVMALNTQSYTAVVAIVGTRPLTQTFTAEFRDTPAFVYFVIANAIAGAYNLVVLIIRRLTLRRRTASLVVHMLDMVIMALLATGAATAASMAELGKNGNLHARWNPICDSQRSPAQHGGPMSSPHLRQLIHNNALFLHLDLTLVVKAAQL >PVH63210 pep chromosome:PHallii_v3.1:3:62529129:62531079:-1 gene:PAHAL_3G494300 transcript:PVH63210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEHGSKKPPAAPAAAAPTRNKLLQLRDRLVAVQPVVLRAAAALAAVVAAVVMALNTQSYTAVVAIVGTRPLTQTFTAEFRDTPAFVYFVIANAIAGAYNLVVLIIRRLTLRRRTASLVVHMLDMVIMALLATGAATAASMAELGKNGNLHARWNPICDSCSQRSPAQHGGPMSSPHLRQLIHNNALFLHLDLTLVVKAAQL >PAN20682 pep chromosome:PHallii_v3.1:3:30569659:30572470:1 gene:PAHAL_3G343600 transcript:PAN20682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPASTHLLPSAAAVSLLPHRVSLQLSCATGWRSRSRRLAVVRAATAEAAAPAYTSDSLILYFKAEGTMEERAIPKITETLEAMEGVKDLEVLMEEGIASVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFDEAKTGVGGGVQPSE >PAN18186 pep chromosome:PHallii_v3.1:3:12080933:12088328:1 gene:PAHAL_3G184600 transcript:PAN18186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVNPLTGFRIDGRRPNEMRQLKGEVGVVARVGGSALFEMGNTRVIAAVYGPREVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIQQTMEASILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSKPLLDLNYLEDSAGGPDFTVGILAKKDKVTILQMDAKLPMDTFEDVMGLSIEGCKAIATYIREVLLENTKRLECQRG >PVH62595 pep chromosome:PHallii_v3.1:3:27152722:27153651:-1 gene:PAHAL_3G332700 transcript:PVH62595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTESPQYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTIETAVLEAIKLFCNQRPTEVAAYPIGLFPTIDPGNLEWNFRTEHLGHMLGDLAEETVRSLTRFMDVQHHYQILLRHSMGQLTSAAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDTQAHIEELQQHHILPAAPAMSEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PVH62695 pep chromosome:PHallii_v3.1:3:40700246:40714633:-1 gene:PAHAL_3G373200 transcript:PVH62695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMGSLTSSWMMNLCGCPICSRQAAVSCAWKEVFDSSTCLNHLLVSGIATLIVIILALQLLVRIPKSRASTVQLIVRGSPLHLAAVVFDGCLGLVYLCLGLWMLWSSSFNQGASVYLPHWWLVNLAQGFSLILVSFAFSIRGRILGAAFVRFWSVFLTIYAAYICCSSVVYMLVDKAVTMKACLDVLFLPAALLLVYGIWHVKDDGYEGIENALCKALNTETVAEATDFEGHVTPFANAGFFSTISFWWLNPLMKMGYEKPLEEKDLPLLGTTDQAYNQYLIFLEKLNSKKRLHPHGTPSVFWTIISCHKSGIIVSGFFALLKVLTLSSGPVLLKAFINVLLGKGTFKYEGYVLAAALFFCKCCESLSERQWFFRARRLGLQVRTFLSAAIYKKQQRLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQSWTTSVQLCIALAILFNAVGLAMIASLLVIIITVLCNAPLAKLQHKFQSKLMEAQDVRLKAMSESLIHMKILKLYAWETHFKKVIEGLREVEYKWLSAFQLRRGYNSLLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQNPIRQIPEVIGIVIQAKVAFTRIAKFLDAPELDEQVRNKYHVGSEHPIEINSCSFSWNESPSKPTLKNINFVVRTGKKVAICGEVGSGKSTLLAAVLGEVPKTEGKIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQRYQETLLKCSLVKDLELLPYGDHTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDCILLMSDGEIIRSAPYQELLAHCQEFQGLVNAHKDTIGISDHKNVLPQRAKEISTKEINDIHGSRYRKPVKSSPADQLIKREEREMGDTGLKPYMIYLSQKKGFLYAFLCVTSHMIFLAAQISQNSWMAANVQNPSVSTLKLISVYIFIGVCSVLFILSRTLSAIVLGLQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSLDLSIIDLDVPMVFMFTSSASLNAYSNLGVLAVVTWQVLFVSVPMIVLAITLQRYYLASAKELMRINGTSKSALANHIATEWLIQRLETMSAVVLSFSAFVMALLPTGTFSPGFAGMALSYGLSLNVSFVNSIQRQCSLANQIISVERVNQYMDIQSEAAEVIEENRPAPYWPQIGRVELKDLKIRYRQDSPLVLHGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGTIIIDSIDVTTIGLHDLRSCLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEAMQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNTTDAILQKTIRTEFKDSTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPMKLMETEGSLFHELVKEYWSYASSGNI >PAN20592 pep chromosome:PHallii_v3.1:3:40700747:40714103:-1 gene:PAHAL_3G373200 transcript:PAN20592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMGSLTSSWMMNLCGCPICSRQAAVSCAWKEVFDSSTCLNHLLVSGIATLIVIILALQLLVRIPKSRASTVQLIVRGSPLHLAAVVFDGCLGLVYLCLGLWMLWSSSFNQGASVYLPHWWLVNLAQGFSLILVSFAFSIRGRILGAAFVRFWSVFLTIYAAYICCSSVVYMLVDKAVTMKACLDVLFLPAALLLVYGIWHVKDDGYEGIENALCKALNTETVAEATDFEGHVTPFANAGFFSTISFWWLNPLMKMGYEKPLEEKDLPLLGTTDQAYNQYLIFLEKLNSKKRLHPHGTPSVFWTIISCHKSGIIVSGFFALLKVLTLSSGPVLLKAFINVLLGKGTFKYEGYVLAAALFFCKCCESLSERQWFFRARRLGLQVRTFLSAAIYKKQQRLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQSWTTSVQLCIALAILFNAVGLAMIASLLVIIITVLCNAPLAKLQHKFQSKLMEAQDVRLKAMSESLIHMKILKLYAWETHFKKVIEGLREVEYKWLSAFQLRRGYNSLLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQNPIRQIPEVIGIVIQAKVAFTRIAKFLDAPELDEQVRNKYHVGSEHPIEINSCSFSWNESPSKPTLKNINFVVRTGKKVAICGEVGSGKSTLLAAVLGEVPKTEGKIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQRYQETLLKCSLVKDLELLPYGDHTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDCILLMSDGEIIRSAPYQELLAHCQEFQGLVNAHKDTIGISDHKNVLPQRAKEISTKEINDIHGSRYRKPVKSSPADQLIKREEREMGDTGLKPYMIYLSQKKGFLYAFLCVTSHMIFLAAQISQNSWMAANVQNPSVSTLKLISVYIFIGVCSVLFILSRTLSAIVLGLQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSLDLSIIDLDVPMVFMFTSSASLNAYSNLGVLAVVTWQVLFVSVPMIVLAITLQRYYLASAKELMRINGTSKSALANHIGESILGAVTIRAFEEEDRFFAKNLELVDKNACPFFYNFAATEWLIQRLETMSAVVLSFSAFVMALLPTGTFSPGFAGMALSYGLSLNVSFVNSIQRQCSLANQIISVERVNQYMDIQSEAAEVIEENRPAPYWPQIGRVELKDLKIRYRQDSPLVLHGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGTIIIDSIDVTTIGLHDLRSCLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEAMQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNTTDAILQKTIRTEFKDSTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPMKLMETEGSLFHELVKEYWSYASSGNI >PAN20591 pep chromosome:PHallii_v3.1:3:40700747:40714103:-1 gene:PAHAL_3G373200 transcript:PAN20591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMGSLTSSWMMNLCGCPICSRQAAVSCAWKEVFDSSTCLNHLLVSGIATLIVIILALQLLVRIPKSRASTVQLIVRGSPLHLAAVVFDGCLGLVYLCLGLWMLWSSSFNQGASVYLPHWWLVNLAQGFSLILVSFAFSIRGRILGAAFVRFWSVFLTIYAAYICCSSVVYMLVDKAVTMKACLDVLFLPAALLLVYGIWHVKDDGYEGIENALCKALNTETVAEATDFEGHVTPFANAGFFSTISFWWLNPLMKMGYEKPLEEKDLPLLGTTDQAYNQYLIFLEKLNSKKRLHPHGTPSVFWTIISCHKSGIIVSGFFALLKVLTLSSGPVLLKAFINVLLGKGTFKYEGYVLAAALFFCKCCESLSERQWFFRARRLGLQVRTFLSAAIYKKQQRLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQSWTTSVQLCIALAILFNAVGLAMIASLLVIIITVLCNAPLAKLQHKFQSKLMEAQDVRLKAMSESLIHMKILKLYAWETHFKKVIEGLREVEYKWLSAFQLRRGYNSLLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQNPIRQIPEVIGIVIQAKVAFTRIAKFLDAPELDEQVRNKYHVGSEHPIEINSCSFSWNESPSKPTLKNINFVVRTGKKVAICGEVGSGKSTLLAAVLGEVPKTEGKIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQRYQETLLKCSLVKDLELLPYGDHTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDCILLMSDGEIIRSAPYQELLAHCQEFQGLVNAHKDTIGISDHKNVLPQRAKEISTKEINDIHGSRYRKPVKSSPADQLIKREEREMGDTGLKPYMIYLSQKKGFLYAFLCVTSHMIFLAAQISQNSWMAANVQNPSVSTLKLISVYIFIGVCSVLFILSRTLSAIVLGLQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSLDLSIIDLDVPMVFMFTSSASLNAYSNLGVLAVVTWQVLFVSVPMIVLAITLQRYYLASAKELMRINGTSKSALANHIGESILGAVTIRAFEEEDRFFAKNLELVDKNACPFFYNFAATEWLIQRLETMSAVVLSFSAFVMALLPTGTFSPGFAGMALSYGLSLNVSFVNSIQRQCSLANQIISVERVNQYMDIQSEAAEVIEENRPAPYWPQIGRVELKDLKIRYRQDSPLVLHGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGTIIIDSIDVTTIGLHDLRSCLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEAMQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNTTDAILQKTIRTEFKDSTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPMKLMETEGSLFHELVKEYWSYASSGNI >PAN20908 pep chromosome:PHallii_v3.1:3:54920898:54922159:-1 gene:PAHAL_3G420800 transcript:PAN20908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISHVVCFCLIALFFISSVHAASRQLSFLPDEGIERVNQHISTLDYPDPSPAPSPKRPPRPPPANKQFPEADEGMERVNQHISTLDYPDPSPPPSFKRPPRSPPANKQFAEADEGTENITQRISTLDYADPSPTPAPENPPIPPIPPPANKQFPEAGGSRATGNQHDTRANLPQADPPSSLQMTPRDWTPTDGLFGSPSLGTNRV >PAN19148 pep chromosome:PHallii_v3.1:3:16383369:16385834:-1 gene:PAHAL_3G252100 transcript:PAN19148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAVPSSASAAAAVAVAAAVLLLAAIGAQAETRKYQFDVRMASVTRLCGTKSIVTVNGQYPGPTLFAREGDHVEVAVVNRSPYNVSLHWHGVRQLLSGWADGPSYITQCPIQPGGSYVYRYQIVGQRGTLWWHAHISWLRATLYGPIVILPPAGVPYPFPKPDEEVPLMLGEWWRNDTEAVIAQALRTGGGPDISDAYTINGLPGPLYNCSAPQDTFRLKVRPGRTYMLRLINAALNDELFFSVANHTLTVVDVDALYVKPFTIDTLVIAPGQTSNVLLAAKPAYPGARYYMEARPYTNTQGTFDNTTVAGILEYEDPSSAAASSSNLPIFAPTLPQINDTNFVANYTAKLRSLASATYPAAVPQSIDRRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPTTALLQAHFAGRSNGVYATDFPAVPLMPFNYTGPPPNNTNVMNGTKVVVLPFGTTVELVLQDTSILGAESHPLHLHGFNFYVVGQGFGNFDPASDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVQDGSLPNQKLPPPPSDLPQC >PVH63058 pep chromosome:PHallii_v3.1:3:60096143:60098183:1 gene:PAHAL_3G464300 transcript:PVH63058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKVYLAAATLRPETMYGQTNCWALPDGIYDAFEINDTDVFILTARAALNLAYQHLSRVPEKPTCLCELSGYDLIGLALKSPLAFSETLYALPMLTVLTDKGTGIVTSVPSDSPDDFMALQDLVTKPALRVKYGVKDEWVLPYKVVPINLHS >PVH63229 pep chromosome:PHallii_v3.1:3:62908814:62911479:1 gene:PAHAL_3G499000 transcript:PVH63229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVAGSYERFIWGFSLKTLTSPAAATDSSSETLTLAPLFSYPAHTGPVRCVAAAPRAGLAASGGADDSVRLYDLPTAADLGPLLDPSAAVSALAFYSRGPVPRNLLAACDDGALHLYDADGFALLAMLRAFPRHEAAEGLAVHPSGRVALAVGRAGALAMVNLVRGRRSFACRLERPASAVSYAEDRDGGDRFVMAAEEKVTVHDSEDARIIHEMDCGKRVLAMAPAKNGVLYTGGEDRGITAWDLSSGKVSSRIEGAHATRVKGVVVFDTRKDGSELSNLIASASSDGIIRIWDVRTIGNAKPTPLAEANTKARLTCLAGTSLK >PVH62453 pep chromosome:PHallii_v3.1:3:20323016:20323834:-1 gene:PAHAL_3G297100 transcript:PVH62453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYDPAAASQQRDAKRARRPSADAGAVVPYDVQPINAVPLKAIAPRLPRLAPAPAVPEEPPCLRRHILPALGLRDDLPVHFIDRKRVTGTDLNAHQNRFRIPSDGVLGRLRPILTPEELDAASLLHDPAPRPRRRPEPDELENVAAGDDSEAEHLEQGQTARKKRQGRRHGGLPVRLVDLAAGASGELLLSRWESTGGTVVKGEGYMGFVRRCSFREDDAVEIWAFKQRAVRLFGAAVCDESHLHLLIVRRGGSQSQRSSSASTAHAKSEG >PAN16462 pep chromosome:PHallii_v3.1:3:3940795:3945361:-1 gene:PAHAL_3G062600 transcript:PAN16462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPQPPPRPPVQLAGAARDAELRLAAALSREEVLRRRRRRLLQLCSLYRAQFWALANELPARHGEYWWHHGASPALDDDPPRARLRPSLPLLKGKGASAGALENGSPVGPLENGCRGAVGPGAAAAGGRAGCAASNCEAKAMPLSQYCFNHILLDPKQQLYQPCAFPTRTSGAPDGEAICGNPVLRGITPLRCADHDPKSPKLIIDALKNVGIDLPLTKMGDVPL >PAN16463 pep chromosome:PHallii_v3.1:3:3943976:3945361:-1 gene:PAHAL_3G062600 transcript:PAN16463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPQPPPRPPVQLAGAARDAELRLAAALSREEVLRRRRRRLLQLCSLYRAQFWALANELPARHGEYWWHHGASPALDDDPPRARLRPSLPLLKGKGASAGALENGSPVGPLENGCRGAVGPGAAAAGGRAGCAASNCEAKAMPLSQYCFNHILLDPKQQLYQPCAFPTRTSGAPDGEAICGNPVLRGITPLRCADHDPKSPKLIIDALKNVGIDLPLTSKSAPKLSLLISETVREIQMKRKLSIYGGKTAPSDMSLK >PAN16464 pep chromosome:PHallii_v3.1:3:3943906:3945361:-1 gene:PAHAL_3G062600 transcript:PAN16464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPQPPPRPPVQLAGAARDAELRLAAALSREEVLRRRRRRLLQLCSLYRAQFWALANELPARHGEYWWHHGASPALDDDPPRARLRPSLPLLKGKGASAGALENGSPVGPLENGCRGAVGPGAAAAGGRAGCAASNCEAKAMPLSQYCFNHILLDPKQQLYQPCAFPTRTSGAPDGEAICGNPVLRGITPLRCADHDPKSPKLIIDALKNVGIDLPLTTCDLFVHDGPTEMGDVPL >PAN18936 pep chromosome:PHallii_v3.1:3:15311777:15318435:1 gene:PAHAL_3G236500 transcript:PAN18936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATRRRGTATPPLVMLVLLLLAAGAPAGLGAINPQDGSALNSLKSQWTSAPLSWSSASDPCNGGWDGVTCSNGRVTSLRLSSVNIQGTLSDSIGQLSQLVYLDVSFNIGLGGPMPASIGSLSELSILILAGCSFTGSIPQELGNLKQLTFLALNSNKFTGKIPASLGLLTNLNWFDLADNQLTGSIPISTATMPGLDLLTKTQHFHFNKNQLSGTLTGLFNSNMTLIHVLFDSNQFTGPIPAELGGVTTLQVLRLDRNGFAGAVPPNLSSLVNLNELNLASNRLTGSPPDLSSMTKLNVVDLSNNTFAASVAPDWFTTLTSLTSVSIAGGQLTGEVPKGLFRLPQLQQVVLSNNAFDGTLEITGSINKQLQAINLMNNRIFDANITTSYNKTLVLFGNPVCSVSDFSRKSFCSMQQENMIAYATSLSKCSSQASCSNDQSLNPANCGCAYPYIGKMVFRAPFFTDLTNSDTFQQLETSLTTQLSLRDGSVFLSDIHFDGDNYLQVQVKLFPSSGVSFNVSDLIRIGYDLSKQTYKPPKNFGPYFFIADPYTPLAGASSRGGKKSQISTGAIAGIAVAGGLLVIALIAMVLFALRQKRRAHEAVTGRTDPFASWGVSQKDSGGAPQLKGARNFSFTELRNCTNNFSDTHEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRENLLVRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDHLKAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIESGKYIVREVRLAIDPDDRDYYGLRGLLDPAIRESARTAGFRRFVQLAMRCVDESAAARPAMGEVVKEIEAMLQNEVSGADGTSSAGSSANEFDGAGGGARSHPYSDTEITRGSYGDNGSEYMPYFEVKPK >PVH62208 pep chromosome:PHallii_v3.1:3:15311777:15318435:1 gene:PAHAL_3G236500 transcript:PVH62208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASIGSLSELSILILAGCSFTGSIPQELGNLKQLTFLALNSNKFTGKIPASLGLLTNLNWFDLADNQLTGSIPISTATMPGLDLLTKTQHFHFNKNQLSGTLTGLFNSNMTLIHVLFDSNQFTGPIPAELGGVTTLQVLRLDRNGFAGAVPPNLSSLVNLNELNLASNRLTGSPPDLSSMTKLNVVDLSNNTFAASVAPDWFTTLTSLTSVSIAGGQLTGEVPKGLFRLPQLQQVVLSNNAFDGTLEITGSINKQLQAINLMNNRIFDANITTSYNKTLVLFGNPVCSVSDFSRKSFCSMQQENMIAYATSLSKCSSQASCSNDQSLNPANCGCAYPYIGKMVFRAPFFTDLTNSDTFQQLETSLTTQLSLRDGSVFLSDIHFDGDNYLQVQVKLFPSSGVSFNVSDLIRIGYDLSKQTYKPPKNFGPYFFIADPYTPLAGASSRGGKKSQISTGAIAGIAVAGGLLVIALIAMVLFALRQKRRAHEAVTGRTDPFASWGVSQKDSGGAPQLKGARNFSFTELRNCTNNFSDTHEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRENLLVRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDHLKAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIESGKYIVREVRLAIDPDDRDYYGLRGLLDPAIRESARTAGFRRFVQLAMRCVDESAAARPAMGEVVKEIEAMLQNEVSGADGTSSAGSSANEFDGAGGGARSHPYSDTEITRGSYGDNGSEYMPYFEVKPK >PVH62209 pep chromosome:PHallii_v3.1:3:15313612:15318435:1 gene:PAHAL_3G236500 transcript:PVH62209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLDLLTKTQHFHFNKNQLSGTLTGLFNSNMTLIHVLFDSNQFTGPIPAELGGVTTLQVLRLDRNGFAGAVPPNLSSLVNLNELNLASNRLTGSPPDLSSMTKLNVVDLSNNTFAASVAPDWFTTLTSLTSVSIAGGQLTGEVPKGLFRLPQLQQVVLSNNAFDGTLEITGSINKQLQAINLMNNRIFDANITTSYNKTLVLFGNPVCSVSDFSRKSFCSMQQENMIAYATSLSKCSSQASCSNDQSLNPANCGCAYPYIGKMVFRAPFFTDLTNSDTFQQLETSLTTQLSLRDGSVFLSDIHFDGDNYLQVQVKLFPSSGVSFNVSDLIRIGYDLSKQTYKPPKNFGPYFFIADPYTPLAGASSRGGKKSQISTGAIAGIAVAGGLLVIALIAMVLFALRQKRRAHEAVTGRTDPFASWGVSQKDSGGAPQLKGARNFSFTELRNCTNNFSDTHEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRENLLVRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDHLKAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIESGKYIVREVRLAIDPDDRDYYGLRGLLDPAIRESARTAGFRRFVQLAMRCVDESAAARPAMGEVVKEIEAMLQNEVSGADGTSSAGSSANEFDGAGGGARSHPYSDTEITRGSYGDNGSEYMPYFEVKPK >PAN17667 pep chromosome:PHallii_v3.1:3:9577933:9580966:-1 gene:PAHAL_3G148300 transcript:PAN17667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIFGAKKNKDPPPSIQDATDRITKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVSFAAEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESESVPSYLQPDQESDLNLPAAPTGHAAAPPHQQQEDELGLPTVPQASIRT >PVH62605 pep chromosome:PHallii_v3.1:3:27844621:27848127:1 gene:PAHAL_3G335300 transcript:PVH62605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITPVSQLRPGRLNYGLHVRISRMWEFRGTNEQIDIKHLDLVLIDQKGSSIYAEIPPEAIADLKPHLQERKIVYMSKITIEPAKLAYRVVDNPYMVKLNKRTVVVEDKDEVPGFPKYTFSLIPLDKLEQYKNKTDRFIDVIAKIRAVTNATKVTTASGDQQMRRVILLENLKGNTIELSVSGKRALEFDGEQVIHVGQHHHVIAIFVGTLVKLYKGHYPFLSGTSACRWYINENDIAEIKVFQKSLPSDSIPVQKTYLQIDADAAQKFEDRTLQELKHVDPFLDMGQRYQCTATIIGITENQTWCYRACKICNSRMIQKENNYECAKEGCPSTQFEWKYKIPFIASDHTYKLEFIVNVADPSFEVLMIKERFGKEPIISFTSSNEGALAESSSSFITEFKDLPLLIPITSKDTKERVENAGETQDMEIEPFGIWEEAQSSNKRSFGELDNHKQEINNNNEDP >PAN19749 pep chromosome:PHallii_v3.1:3:20196592:20202682:1 gene:PAHAL_3G295700 transcript:PAN19749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPQTAWTASLPLFLLRRCSRSAPNPFPPGGRRLPCLPVCKRRMSTQAHPRFAPLPTEQSESQTDAGAAGYQFRLVSYNILAQVYVKSTFFPHSPSACLKWKSRSKAVLTELKSFDADLMCIQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSAELVQKEGIHYNDLVEKYVHSDHVHIAPPNNSSLEEDTNAKPDNSKRGDPNDPRVRLKRDCVGLLAAFKLSDPSDHILIVANTHIYWDPEWIDVKLAQAKYLLSRVSQFEQLISNKFNCKPSVIIAGDFNSTPCDKVYNYLVSANIESTDEAPIKLRSLYAANGGEPEFTNYTPGFTGTLDYIFLSNGSSIKPTSLLCLPRGDSADVQGGLPNFQHPSDHLPIGADFLVVNR >PVH62039 pep chromosome:PHallii_v3.1:3:12469242:12471426:1 gene:PAHAL_3G190300 transcript:PVH62039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPAAAMAPPPPAPSSRARDPLLFGSFDLPAGWGCRKPMGFCRDIGAPAAPEPNATATAAENNGSRSPAKGAPAAQHAPAPEEPREAPRRQWNLRERTSWRDYRAEDARQPKKLGSADAGGQNSRGFSVALTRQEIEADFLAITGRKPPRRPKKRTKSVQRQIEFVLPLPPVAQTLCPGSSLMEMTRDRYKVNEKGGF >PAN18264 pep chromosome:PHallii_v3.1:3:12469242:12471425:1 gene:PAHAL_3G190300 transcript:PAN18264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPAAAMAPPPPAPSSRARDPLLFGSFDLPAGWGCRKPMGFCRDIGAPAAPEPNATATAAENNGSRSPAKGAPAAQHAPAPEEPREAPRRQWNLRERTSWRDYRAEDARQPKKLGSADAGGQNSRGFSVALTRQEIEADFLAITGRKPPRRPKKRTKSVQRQIETLCPGSSLMEMTRDRYKVNEKGGF >PAN18742 pep chromosome:PHallii_v3.1:3:14384255:14396578:-1 gene:PAHAL_3G222100 transcript:PAN18742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MAVKGKGKQVAAESPGPGAGGKRRKGSGDAEAGPSSSSAAKRRRRAGVLQFVDDAAGVDDDYEEDEVLQSEEEASDPDDGFFTGGEHVQNLSHKRTERSHPLPFLVKEEELSGDELEEFIRTRYSNGVKYAADRSYSREDDDIFPIDGALKEPTIWRVKCMVGRERQMAFCFMQKFVDLQKIGTKVPIITAFALDHVRGFVFVEAEKACDVTEACKGFCSVYASRITSVPAAEVPSLLSSRTKPFEISRGTWVRMKNGNYKGDLAQVVSVDNGRKRVMIKIIPRVDLHAISKKYGGAISLKGAAVPAPRLISSQELEFFRPHIEMKRDRQTGEVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPINRASADDLDWVSSIYGPKKRNIPAKPDIKSSSSSKMKSSKTSKASISTEDFDDNDEFNLHDLVLFGRKDFGVIIAIEKDGFRILKGGPEGSAVTVKKNDIKKSCVDKMFTAVDRQNKIVSINDTVNVLEGPFQGKQGVVKHLYMGILFIYNESESENCGFFCAQCGSCENVKKRKDLGGSTTENLDNPFPMFAEPSYEQNEHRGTERPYRSTREQLFSIGQMLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAEFLSVPANRGDNLSGAPAGNFGSQDTSFFGSEAEKTSWDNGLPSFGSDSWQPFSSSTLPVQNTGGESENDPWSKKSSAEGESDPWGKKTDGDPDPWGNKVAHSADGDSDPWGNKVAPSADGDSDPWGNKVAPSAYGDSDPWGKKVVTPAHGDSDAWGKKTTSSAVGVWNAGAAQKESSSDNAWGKQAGVGRSDAAGSSWDGTVVNKESEKSDNWGEACRVVDMGTGADADPWGSKVKAIDTEGTDSWEKATMPPDNKLEGVSQGWGRPLGKSNEDQGKDNISKDAGNNGAWDTPLPATGDGTWGKSKDNNGDGAGGWNEARSSDKNGGAGGWDTSAANWNKSSAVGEAQEEGWGKGKGASDQAGCGDWEKPKSFGNDGSSRWNKGEETRADDQNNSWSKPGNFGGGRGFGRGQGRGRGQECGDFDGRNDQGSWKSSWGGESAGRTSWRSDSKVDNEVGDSSGYRGRGRGGRGQYGGRGRGRDNGWRNGDRSDSGFGRENDSADGQNWGNRGSSYWDKDSSNKGSWGGGDNWNATNPPSNQPWSSSGVTKSFGENKPSTWNSSKDNKPSVGEQDDPWASKVASIEGKEQQNDTWASKMTSAGAEDNSGGWNTRAKDSCTDGGEERQNDPWANKAGTNKDKEQETDPWTSKVPSSVGSDDNNSSWNTTAKDTPSEEKAGDPWSSKGSNDNIKKTDSWGAGSSGGNQESSWSKPNFSLGDQAWSKPRFGDNGGNSRGGFGRGYRGRGRGRNFGDGGSSWNGGNKNDESGGERSEEPWSSRDLDGGRGRGRGRFGRGDRSQGSSNFGSGDSGSWGSGRENGDRGGYRNWNGNNEQRSFGQGGGWSSDWNGSKGSGEGGQAFAKSKPSWEAQNMSGGDDQAGNSDANKSWSRNRSSPSILGQPSSDANKSSTWGATSGGAGGGGSWGKSNEDSWNSSGGAAEKSSWGGGSEAPPKKDDDGPWGKGGEGKSNEDSWNSSGGAAEKSSWGGGSEAPPKKDDDGPWGSSSRGGGSSSWDKVADGGGSSWDKAADGAWNGSKGGSAGSGGW >PVH63066 pep chromosome:PHallii_v3.1:3:60168182:60168526:-1 gene:PAHAL_3G465500 transcript:PVH63066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKRKTILALFIWAMAAVMFMAALHPNLLVAADEIYCICCPEKRACTFDPDCCRNGCCLN >PAN21224 pep chromosome:PHallii_v3.1:3:58754196:58756907:1 gene:PAHAL_3G451100 transcript:PAN21224 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MTKSEELNDLLLQAAGRTGKNQSRPSNQRWNSGGSGSDGFDDDDDSDAAPTYSRKKPPSQVPLKKRHQPEKGGRRGGGGWRGDDDDDDDDGRRSGGEDSDSAPSVGSDLYKDEEDKEKLEKMSELERELILADRGTRIDDYKLKQMARASSSKAAKTGSRKDISPPPPPSRMRSSTRTDKSGSATKSALDELRAKRMRQQDPEAYRNRFKDLLPQSISPTRRRAGSPPSDGSNDGDNRGRLNDHGRIADDGRDDEFDESPSRLDPLKFDDVKSITLRRSKLVKWFMEPFFEDLMSGCFVRLGIGKTKNGTPRYRLCIVRNVDASDPDRKYKLENYTTCKYLNVVWDSEANAARWQMTQVSDSLPNEEEFKEWLQEAEKNGVRIPTRQEVLEKKDSIQEAYNFVYSAGTVKKMLQEKSAVRRPINVAAEKDRLRNELEMALSRRNEAEAERIRAKLNSLQNMSQPMSKNEKAAKLEAMNRKNRAENFKNASELKPVNTSLKAGEAGYDPFSRRWTRSRNYYAAKPGGDNAEEVANGSNDNAVAGNEDVKNKAQTGTAATAAAQVAAADAGKLVDTNAPVDLGTESNALHTFELPISLSALQEFGGAKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLSVSDYKRRRGLL >PVH61553 pep chromosome:PHallii_v3.1:3:3696356:3700843:-1 gene:PAHAL_3G058700 transcript:PVH61553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGHSASALLLLLAVVASSACCGRAAPAGALVTRVPGFGGARLPSKHYAGYVTVDEAHGRRLFYYLVESEHDPAGDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAGSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNASDYETGDLKTAADSHTFLLKWFQLYPEFSKNPFYIAGESYAGVYVPTLSHEVAKGIHEGVKPTINFKGYMVGNGVCDTAFDGNALVPFAHGMGLISDDIYKEANTACQGNYWNGSTDRCEEALSRVDAEIEGLNIYDILEPCYHSTSIKEVIPQKSRAPQSFKDLGATAKPLPVRTRMLGRAWPLRAPVRDGRVPSWQELAVAAAGGVPCMSDEVATAWLNNDSVRSAIHAEPVSSIGPWLICTDKLDFRHDAGSMISYHKNLTSQGYRALIYSGDHDMCVPYTGSEAWTASLGYGVLDSWRPWFTNEQVSGYTQGYEKGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL >PAN19753 pep chromosome:PHallii_v3.1:3:20295280:20295531:1 gene:PAHAL_3G296600 transcript:PAN19753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSKTAAVVFMLLLSTTCFVQLPVPARARKLEARAPISSTHHHYPCTGRSVLQVPAANKETDSTTSPGHSPSGGHGSPPGF >PAN18943 pep chromosome:PHallii_v3.1:3:15384412:15388133:-1 gene:PAHAL_3G237100 transcript:PAN18943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSFAPGPNNWGQKRKRSTCAKWDGTVATAYRLGLGGPAPEEGRLAEHLVEREDDGHGQRHLRPGLHHQAEHVRAHRLLGPHLRHGPPPLVVHRAAPAQVRPLHLVPAAGPLAARGAGARRDVRHGLAAAAAAAAHVLRRPLHCVERRQARLLAGVHQPLVGPQADHLPAADGEHGAAGGVHEHDLGAGVGGVAVHAVHEVAAGVEHRESPAVEQQRLAPHRQRDRPLVGRRRRRLAEARHGHVRVHLRRHASIWMQTGGSRFKNGGSGRRRKRQLGR >PVH61252 pep chromosome:PHallii_v3.1:3:3337:4236:-1 gene:PAHAL_3G000200 transcript:PVH61252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >PVH61251 pep chromosome:PHallii_v3.1:3:3063:4407:-1 gene:PAHAL_3G000200 transcript:PVH61251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >PVH62679 pep chromosome:PHallii_v3.1:3:38203222:38204135:1 gene:PAHAL_3G366000 transcript:PVH62679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRPPSGGARAISEIPLWKSSDSNLVSDPQAKGQSQVDSFYGA >PAN21952 pep chromosome:PHallii_v3.1:3:63188980:63193952:-1 gene:PAHAL_3G502200 transcript:PAN21952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARMMRWPRPPPARNFRVRLVVRRAEGLLPPPAPLSPEGSPEAEAKVFVEVSWKGPKMSPLSSLRRAQRPPRNQTRKEALPAAGAAPAPADVEDGATAPAPTMVAVAWEEEFERDAALTATSHREATAFHPWDVSFSVVSESNKMSKSKLVLGTASLNLADYASAAEEEIEIILPLSAPNGATDLAPSLHLTLSLAELRISPQSPDASQRSIVVAPLSPSSGDSVPSGKDEASVIKVGLRNLKLLRDLVSTRRFRKTNQDCDSSEEKYYVHSDGAEFSCDTDSLDDDLDDKELDDDLGGSTVRKSFSYGSLHTMNVGALLYAPRIDGDDGWIHYSHRNSDVSYHVEQVPSSTAEEHASIPVRRKRSILPVRWRKTKLPKAKGEPLLKPYGEEGGDDIDYDRRLLTPSDGSVSEGSNGSTNSMVSVFGDDDFVVGNWELKEVFSRDGHLKLSTHVFFASIDQRSERAAGESACTALVAVIADWFQANQDLMPIRSQFDNLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVAPSKSFIGFFHPEGTEDVSGFDFLDGAMSFDNIWDEISRAAECSTGKSTLYIVSWNDHFFVLKVDADAYYIIDTLGERLSEGCNQAYILKFDDNTTIHKVPAEKEANPDSSGGLKDSSESSSSTEQDSGTDSEECELVLKGKDACKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTESCPAETTMPSPFPTFEFCWPDPPSPTPAMEVVITHPPPMEVAVTHAVAVV >PAN15939 pep chromosome:PHallii_v3.1:3:1161034:1173243:1 gene:PAHAL_3G021400 transcript:PAN15939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGRASSSGMAASLVPHTQGAVPTVGFGGYHGAVRVEPPAPSAPDAPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALFTLFAKTPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSDNLKLTTQALSDKATPTDESEDMHQRVISSSLLAMATLIDILLGVKLQNCDVDSTSTEKRSLLKVRSTTLSSAEAAFCMHKCFLDVLKSKSAVIRSATYSLLTSYIKHVPHVFDEETMKKLSPTILGAFHEKDVSCHSSMWDTILVLSRKFPEAWSYCNIHKVVLSRFWNFLQNGCYGSKQISYPRLVQFLDSIPPKAVMGQQFVFDFLHNLWDGRNQRQLSAADSLAFCIAFKHIFLWLLENVSRYSGEDSSDDTPIKLITDILAKIVWRDYLLLSGDTAGSGVQSSHKNSGSVVNTQYPMYYLQDLDKCIVEILDVIADTEHHLLNISCELLVRDCLDIIQQGEKLSKFQDHVEQLVSFFLSLDQLVVHKGKTWPLERLARPLVEQSLPAIMFMDTPSLVKLLSVLVEIFGPIPLFLKNYENYHGKSDVKSYLELFNADLLPWCFNGKYSTCNSKIDLLLSLFQDECFFDQWCSIIKYTRAEQKHSIDDKTSNIKDRLELLTLLLQKVRERIAGGKLRNLQKNGYLPEHWQHDLLDATATSVLCDLTAANHHVSFLCAALGGSDQEDQICFLSAETVHKVLGSIVKDLASALLVSTFEWARLAYSLLLPSEPEHLKLPEENSLPINIEMALFAFKVLQGSFFSLGRLEEDSVFPSILASLFVIEWECSMSLAIDDESDLEGHIKGMDVGSSMSRSSKDYLDEKMHLKANLAESIHAFCQSLSPSFWNNLPSCTLNRLANILAQSVRYSVFQTRDLHVEKTAVLCSEWVVEMLKLICLDDINLQSFFDLLLSEGEYWPLWLKPCLQNGHASVKVQLEPAITDDIELKHERFVAFVDRLILNLGFGEVILGVPGNLRCATSQSIDITSPMSSLSRAWVAGEILCTWTWKGGSALETFLPSLVQYMKEESCLEVSIVSLLLDTVLGGTLMHESGPWVLFNAWHLSDNEIGKIQDRFLRALVALLFTTNTKNCLWRESDALVFFEQLLSNLFMGSTVNRKCLKVLPFVMSTIIKPLPQKLNEDTPYGDLVRKSILSWLEAAISCLSSSPREVPVQDIEDWMQVALSCFPLSITGGAQRLVVTVEREISDAEILLMLTLFQKYQFFYNGAASSLSTSETVIPRTVELLGVKLTAVMVGYCWTKLQENDWHFVFRMVFKCIESSALLVEEMTDGINDAIINQVSSEDALEKIKLMVSTTDKLTLSLAESSLVTLCHLKHLGNLQEVETAKSLQLIRSGDYAESTNKMVESILRLFLASGVSEAIAKSFGEEASSIIGSSRRAYLHFWELVASFINNASPEIRRSALESMELWGLTKGSVSGLYSILFSPQPIFHLQFAAFSLLLSEPFCQLSLLKDSSLGENCSSAQRSDISQSAELMPDSEKTLCLRDELSALVEFPTSELLKTDLTEQDRVDVFIAWALLLSHLQSLPSSSIIREHVLQYIQEKDHCME >PAN15940 pep chromosome:PHallii_v3.1:3:1161062:1173243:1 gene:PAHAL_3G021400 transcript:PAN15940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGRASSSGMAASLVPHTQGAVPTVGFGGYHGAVRVEPPAPSAPDAPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALFTLFAKTPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSDNLKLTTQALSDKATPTDESEDMHQRVISSSLLAMATLIDILLGVKLQNCDVDSTSTEKRSLLKVRSTTLSSAEAAFCMHKCFLDVLKSKSAVIRSATYSLLTSYIKHVPHVFDEETMKKLSPTILGAFHEKDVSCHSSMWDTILVLSRKFPEAWSYCNIHKVVLSRFWNFLQNGCYGSKQISYPRLVQFLDSIPPKAVMGQQFVFDFLHNLWDGRNQRQLSAADSLAFCIAFKHIFLWLLENVSRYSGEDSSDDTPIKLITDILAKIVWRDYLLLSGDTAGSGVQSSHKNSGSVVNTQYPMYYLQDLDKCIVEILDVIADTEHHLLNISCELLVRDCLDIIQQGEKLSKFQDHVEQLVSFFLSLDQLVVHKGKTWPLERLARPLVEQSLPAIMFMDTPSLVKLLSVLVEIFGPIPLFLKNYENYHGKSDVKSYLELFNADLLPWCFNGKYSTCNSKIDLLLSLFQDECFFDQWCSIIKYTRAEQKHSIDDKTSNIKDRLELLTLLLQKVRERIAGGKLRNLQKNGYLPEHWQHDLLDATATSVLCDLTAANHHVSFLCAALGGSDQEDQICFLSAETVHKVLGSIVKDLASALLVSTFEWARLAYSLLLPSEPEHLKLPEENSLPINIEMALFAFKVLQGSFFSLGRLEEDSVFPSILASLFVIEWECSMSLAIDDESDLEGHIKGMDVGSSMSRSSKDYLDEKMHLKANLAESIHAFCQSLSPSFWNNLPSCTLNRLANILAQSVRYSVFQTRDLHVEKTAVLCSEWVVEMLKLICLDDINLQSFFDLLLSEGEYWPLWLKPCLQNGHASVKVQLEPAITDDIELKHERFVAFVDRLILNLGFGEVILGVPGNLRCATSQSIDITSPMSSLSRAWVAGEILCTWTWKGGSALETFLPSLVQYMKEESCLEVSIVSLLLDTVLGGTLMHESGPWVLFNAWHLSDNEIGKIQDRFLRALVALLFTTNTKNCLWRESDALVFFEQLLSNLFMGSTVNRKCLKVLPFVMSTIIKPLPQKLNEDTPYGDLVRKSILSWLEAAISCLSSSPREVPVQDIEDWMQVALSCFPLSITGGAQRLVVTVEREISDAEILLMLTLFQKYQFFYNGAASSLSTSETVIPRTVELLGVKLTAVMVGYCWTKLQENDWHFVFRMVFKCIESSALLVEEMTDGINDAIINQVSSEDALEKIKLMVSTTDKLTLSLAESSLVTLCHLKHLGNLQEVETAKSLQLIRSGDYAESTNKMVESILRLFLASGVSEAIAKSFGEEASSIIGSSRRAYLHFWELVASFINNASPEIRRSALESMELWGLTKGSVSGLYSILFSPQPIFHLQFAAFSLLLSEPFCQLSLLKDSSLGENCSSAQRSDISQSAELMPDSEKTLCLRDELSALVEFPTSELLKTDLTEQDRVDVFIAWALLLSHLQSLPSSSIIREHVLQYIQEKVSPCILDCIFQHIPVKSAAPSGKKKDAELLPEAEAAAKASKNAIVTCSLLPYVESLSPVGTLQMSSLAGSLYGMMIRLLPSFVRTWFTTLRDRSLSYSIESFTRQWCSPPLLLDEFSQVKDSVYADENFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >PVH62888 pep chromosome:PHallii_v3.1:3:55343531:55344384:-1 gene:PAHAL_3G424000 transcript:PVH62888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRTVAAALFLVSFAAVAASVASSASASEAAATRKLLSGDGMIPITTNDLLPASSAKSLTTGRASGVAIQRELLSGGGMIPITTNDLLPASSAKSLTTGRASGVAIQRELLSGDGMIPITTKDLLPASSAKSLMTGRASGVAIQRELLTKGDFDIGRSGGTEALTKARGGSIGIQTVPAA >PAN15667 pep chromosome:PHallii_v3.1:3:629484:632427:-1 gene:PAHAL_3G011300 transcript:PAN15667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQKVCSCTCSAVRDAEPTSISMRLDYLSDIWTGRPSACTALNKRSTRSDRRSPFLVLVHVHLHPPACRLQQPNQSRRSTTHHPVPPRSSPSNIATGKRVHPSDADAIHHSCPDAADDDPKPALYTVWKRSSMGFQGTDGFSVYDAAGALAFRLDNYSRRRKLFAGEILLMDGQGAPLPALRPQILSMRDQWNCYRASEEAGDKSSSRRQHLFSMRKCSLVKSNDEAEVHISGCTTLSDHDSRAPSFRVQGSFWRRSCKILKGNGEEVAGITRKKAGAVSETVPLGEDVFSLTIMPNVDRSMIMAFVVILDRICQKPYKLLMCSS >PVH62659 pep chromosome:PHallii_v3.1:3:36644846:36645775:-1 gene:PAHAL_3G360100 transcript:PVH62659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPQCRVKMIIPQHPFRSSWHPIEVEVFGYRLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFRTERLGHMLGDLAEETVRIITRFMDAQHHYQILLRHGVNQLTGVAQSHYRNTDRQVTQIVELQALVTQKDEIIAARDKTILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDAQAHIEELQQQPILPAIPIIPEEEEEDPKEIEGVSEIDSDHGDPVLSPYHSPSSSQSSIGNFDDF >PAN18111 pep chromosome:PHallii_v3.1:3:11774530:11775253:1 gene:PAHAL_3G179200 transcript:PAN18111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEADAVAVARAVSISETAPMSPAAEARGGWLKKLIPRDYLPRSRRWRLAAPSAGGASRLASSLSRSLRWKRLPGLSSLSLRSGSASAVVDAVAFRVMYVVEAVVLGLALSCFFLCCGCHL >PAN21400 pep chromosome:PHallii_v3.1:3:60083428:60091386:1 gene:PAHAL_3G464200 transcript:PAN21400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKSAAPSYKKIMRVNQGQFKPGTNRNLSWQKPVSSDNLVITFSDDDSGTDSGKAKEDTVRGRKAISQGTQKKGNSMQTRIMREEVSQQKTLGAKVGPAHVPAFPFTLRNVGAGRVSGTTFFRKEPPVRQVNTLKYKQKDGNGVGVHSADHRLETLRHKIAARENELKGQKRPLPPVAMKSADLSSNQARLPSEKIGFEASNNGECSRPNSPFEHDGRPIKRLKLNQQHSYNQGHSDSVTLAPSGGSSRKNTLQSSEMTGHFDNGIAMNPNVDETEHRATTELSGQVHNGGAIKNLPHHKDTEVAGNHAMIELHGRLAAAPFTNRQIISEDTSAFMPVASAQAGHQVLHAGPSTVLDRRPQLQPGEENADQMNCSGQIGAEGHNTRLFSLLEIEELQEKELEDAQEHRRKCELEEREALRAYRKAQRALLEANERCTILRRRREICSAQVHGLIAENSSLVQSLSIRNSEDGPAIPSLLNSQIHANSQMPENQGGRHSLHPEEPPQQPIDKHEARPHSSHYDELAASAADPNFVSTANYNDMPSDYMDDDLLMPARQARSECALDLDNQIEETIHAYAENKQASGDSVQNYELLEASLRSRLVERFGKKPCPSSTGEGTGEIAVGKVAAEHGNQSEHVLQLQEAEQNDMTTPEGTMELGNDGAEKTGGLSNSTSGPSMGNFDPEDNNSSLKEICIPLGTNNLVFPSSAPQTAARHIKQVFPWFCKESSDYKNDCLTRDAASEVTECVQDMIQDCVGENIKIVPTTQKDDDMADSVIDPFWPFCMFELRGKCNDEECQWQHFEHRAWRKSKHTKHAMTSVSGQIPYSLSQHMLPVPAYRVGSNLIKADQNLTQSVLASSLWQYWQRGFCASFPLPLSVQRVLPSDAPLLQAGDGSISDFHRNRQLLNFRTLDSWKNKTVQGSVDVEFFLEGALDLYCGKVDKPDRIKALLFLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSDAVQHNVYSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTTDTDQDLKERSAFILDIFLQMIYFLCMSGNVEKAISRIFGILPTATPDNSGDKLLADVISCLTMPDRCAFWISCLYVSIYRKLPEEIIDQLEFQKALPRALVWSPIDPSADNRNQIIELLNYAANKMAEDISECVKNGDPSYLMLSQFLAVNHISCLAAVEGFKSSADMLVKYMKEYPMCPQILLISARLDRKHGAFTGLKGFDELILNWPKEVQGVQYLWNQYFEHALATDTKLSEKVLNCWFEEYGKGCDIRSNAAVGAVEFSNEEPGSPSLVSVQEVGSGPSAPEDHVFWLLNLSLYKILENKLGDAQVAVDKALKLAHVECYEHCLREHAAIHVLELEKSSSSLDAQTRSTFSFIIGHLADHRNLPTREVLSRRFCQNVKKHRLRQLIDHTLGPVPADSTLVNSVLEVCFGPSLLPGRISDVKYLVDFVETVMEVLPANYRLALAVGRFAAKHYKGSDATSMGTRFWASSVLINAIFRAVPVAPESVWLEGASLLEKLHTREIVKRFYQQATSVYPFSFKLWHAHLNSCKGSGGYAEGIMESARQRGIELNLAPT >PAN21425 pep chromosome:PHallii_v3.1:3:60082869:60091386:1 gene:PAHAL_3G464200 transcript:PAN21425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTRSAPAATQNPSAPRPPPPPPPPPPPASAAARAREEGELSSGADDDEALQTRLAAPSILGKFAEAASQVPSVTLPGKGSNTVSVSNAMAHKSAAPSYKKIMRVNQGQFKPGTNRNLSWQKPVSSDNLVITFSDDDSGTDSGKAKEDTVRGRKAISQGTQKKGNSMQTRIMREEVSQQKTLGAKVGPAHVPAFPFTLRNVGAGRVSGTTFFRKEPPVRQVNTLKYKQKDGNGVGVHSADHRLETLRHKIAARENELKGQKRPLPPVAMKSADLSSNQARLPSEKIGFEASNNGECSRPNSPFEHDGRPIKRLKLNQQHSYNQGHSDSVTLAPSGGSSRKNTLQSSEMTGHFDNGIAMNPNVDETEHRATTELSGQVHNGGAIKNLPHHKDTEVAGNHAMIELHGRLAAAPFTNRQIISEDTSAFMPVASAQAGHQVLHAGPSTVLDRRPQLQPGEENADQMNCSGQIGAEGHNTRLFSLLEIEELQEKELEDAQEHRRKCELEEREALRAYRKAQRALLEANERCTILRRRREICSAQVHGLIAENSSLVQSLSIRNSEDGPAIPSLLNSQIHANSQMPENQGGRHSLHPEEPPQQPIDKHEARPHSSHYDELAASAADPNFVSTANYNDMPSDYMDDDLLMPARQARSECALDLDNQIEETIHAYAENKQASGDSVQNYELLEASLRSRLVERFGKKPCPSSTGEGTGEIAVGKVAAEHGNQSEHVLQLQEAEQNDMTTPEGTMELGNDGAEKTGGLSNSTSGPSMGNFDPEDNNSSLKEICIPLGTNNLVFPSSAPQTAARHIKQVFPWFCKESSDYKNDCLTRDAASEVTECVQDMIQDCVGENIKIVPTTQKDDDMADSVIDPFWPFCMFELRGKCNDEECQWQHFEHRAWRKSKHTKHAMTSVSGQIPYSLSQHMLPVPAYRVGSNLIKADQNLTQSVLASSLWQYWQRGFCASFPLPLSVQRVLPSDAPLLQAGDGSISDFHRNRQLLNFRTLDSWKNKTVQGSVDVEFFLEGALDLYCGKVDKPDRIKALLFLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSDAVQHNVYSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTTDTDQDLKERSAFILDIFLQMIYFLCMSGNVEKAISRIFGILPTATPDNSGDKLLADVISCLTMPDRCAFWISCLYVSIYRKLPEEIIDQLEFQKALPRALVWSPIDPSADNRNQIIELLNYAANKMAEDISECVKNGDPSYLMLSQFLAVNHISCLAAVEGFKSSADMLVKYMKEYPMCPQILLISARLDRKHGAFTGLKGFDELILNWPKEVQGVQYLWNQYFEHALATDTKLSEKVLNCWFEEYGKGCDIRSNAAVGAVEFSNEEPGSPSLVSVQEVGSGPSAPEDHVFWLLNLSLYKILENKLGDAQVAVDKALKLAHVECYEHCLREHAAIHVLELEKSSSSLDAQTRSTFSFIIGHLADHRNLPTREVLSRRFCQNVKKHRLRQLIDHTLGPVPADSTLVNSVLEVCFGPSLLPGRISDVKYLVDFVETVMEVLPANYRLALAVGRFAAKHYKGSDATSMGTRFWASSVLINAIFRAVPVAPESVWLEGASLLEKLHTREIVKRFYQQATSVYPFSFKLWHAHLNSCKGSGGYAEGIMESARQRGIELNLAPT >PVH62062 pep chromosome:PHallii_v3.1:3:12864473:12866040:-1 gene:PAHAL_3G196300 transcript:PVH62062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATKDTAQHAAGKGHDAKEATKQKASDTGSYLGQKTDEAKHKAGETTEATKHKAGETTEATKHKAGETTEAAKQKTAEALEATKQKAGEAGEYAKESAVAGKDKTGSVIQQATDQVKSAAVGAKDAVMNTLGMSGDSGDNKEGHAGKKDDSTITRDQ >PAN18358 pep chromosome:PHallii_v3.1:3:12864473:12865694:-1 gene:PAHAL_3G196300 transcript:PAN18358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQDKASYQAGETKAHTEEKAGQAMGATKDTAQHAAGKGHDAKEATKQKASDTGSYLGQKTDEAKHKAGETTEATKHKAGETTEATKHKAGETTEAAKQKTAEALEATKQKAGEAGEYAKESAVAGKDKTGSVIQQATDQVKSAAVGAKDAVMNTLGMSGDSGDNKEGHAGKKDDSTITRDQ >PAN18562 pep chromosome:PHallii_v3.1:3:13658287:13665802:1 gene:PAHAL_3G210500 transcript:PAN18562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYNQGYGGGGGASMEVVSTPNQELALTNCAYVSPGDLRRFPNALALVADVWVFTLRAHDAVTSGRIALNAIQRRQAKVSTGDSVTVSSFAPPDDFKLALLTLELEYAKARANRNDELDAVVLAQQLRKRFLDQVMTSGQRVPFEFYGTNYLFTVNQALLEGQESSTQLDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVSKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKENSFLSPDINLHELAARTKNYSGAELEGVVKSAVSFALNRQITMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDNLERCRLRGIVDCGKAHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGPAGSGKSAMAASVGIDSDFAYVKIISAETMIGFSESSKCAQISKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKEDAKKVLQHLNVFDEGDLDAAAEALDDMPIKKLYTLVEMAAQGPTGGSAEAIYAGEEKIDINHLFSILSDIIRY >PAN16240 pep chromosome:PHallii_v3.1:3:3035828:3037237:-1 gene:PAHAL_3G047400 transcript:PAN16240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIREVSLEAGNLAASAVLDDDGRPRRTGTVLTASAHIVTAVIGSGVLSLPWAVAQLGWAAGPPVMLLFGGVMYYTSTLLAECYRAGDPATGRRSYSYTDAVRAILGGAKVAFCGVVQYVNLAAIAVGYTIAASISMQAVWRANCFHARGHAHACRSSSVPYMIAFGAVQIVFSQIPNFDQIEWLSIVASVMSFTYSGIGLGLAVAQTVANGAFRGTLTGVAVGAGLTVMQKVWRTMQALGNIAFAYSFSNVLIEIQDTIKAPPPSEAAVMKKATAISIATTTAFYTLCGCMGYAAFGNAAPDNLLTGFGFYEPFWLVDVANVAIVIHLVGAYQVFCQPIFAFVESRAAAAWPDSAFVSKEHRVGPFAPTALRLAWRSAFVCLATVVAMALPFFGSVVGLIGAFSFWPLTVYFPVEMYIKQRAVTRGSTNWICLKALAVVCLLVSVAAAAGSIAGFVGAFKAFRPFSG >PAN18090 pep chromosome:PHallii_v3.1:3:11677042:11678625:-1 gene:PAHAL_3G177500 transcript:PAN18090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRSSSPNSNSTWSLKENKMFEEALAYYGEGTPNLWDKVSSAMGGIKSAEEVRCHYEDLVDDVKMIESGRVAYPKYKTQGFWTQG >PVH62356 pep chromosome:PHallii_v3.1:3:18223590:18225750:-1 gene:PAHAL_3G273100 transcript:PVH62356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLRQALGAVKDQTSIGLAKVGSGGTVEADLDVAIVRATSHSESFPADERHIREILALTCLSRVYVGNCVSTLSRRLGRTRSWAVALKTLVIVHRVLADGDPAFEQEVFYATRRGTRMLNMFDFCDRSRADAWDFSAFVRTYAAYLDDRLEYRMQGRHGGGAPRGPRSLREEMYASPGNRYTCDLTYSGRQDDAADAEGADRALALVTRDPPASEMTVDQLLIKANQLHHLLDRFIACRPIGAARTNRVVAVSLYPLVKESVQLYCELTEVMAALIEQFAEMETADCERVHALFCSLAKQTEELEAFYSWCKEACVCRQSDVPEVEVVTQKKLGLMDEFIRDRHAAASQHGLPPPSPEPAASPEPVLVEEQSIKALPAPEEQPTEAQEEDTAQAEPEALLIVADPVDEEADFLNLKADAMPAEEHGQQLVLALFDGNPAGAAPKGDAFDHSAADWETALVQSASALASQRAELGGGLSMLVLDGMYSHATANAAVASAQTFSGSASSVALRPPGAPMLALPAPPGAIGADPFAASALLPPPTYVQMSDMHTKQQLLTQEQIVWQQYGKNGMQGQGPLAMLEQRPQQQLQQQILPNGDCN >PAN19785 pep chromosome:PHallii_v3.1:3:20489080:20490453:-1 gene:PAHAL_3G299300 transcript:PAN19785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGLSPCLCPGAARGEAARLVFWGGSARTAGERRPVTAGDVAAELPGQHLVCAADSFFVGLPVPALPPGERLAAGRTYFVLPAARFSCRQALTAATLAKLSPSPAGKVPLAGGAASPFEYVTGADGAPLIRILPEFIEKVITSRGGGGGKCAAAEQLCSTPELRKHYMQLVGARAERPWSPGLETISEAEKRRRMPSPVRLIELAKASSR >PVH63157 pep chromosome:PHallii_v3.1:3:61610733:61613681:1 gene:PAHAL_3G483000 transcript:PVH63157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLLLLFLSIPHATFCANAAAGGCNRQRGGTIVPYPFGFYGDCPIILAFNQTAGAALLPRGAAAAPYPILSFDPNASTLVVAVAPSCDRTVSETRASLSGAGYGVSSRTGIFVRGCGGAPAAASNCTVPSEVMAKLLRKPGCGGGNGTAAWTCVASAPPDPGSAAAARGEGQFMRWGRVEAAGCEDALTAAVYADTPRGVPSVEFGVAELGWWLDGRCDAAADDATNGSRCAANAACRDVVTPDGAWGHRCACRDGMLGDGFVAGEGCHFGAPAAGECSLNHCCSSVIVDACVPQWVTGFLLSSGAGVGKWGPVLPRQPHPARKSSRRSIMRELAIHSSLTKPPSYVPFGGLYPAKVALFRHPS >PAN17312 pep chromosome:PHallii_v3.1:3:7772571:7773428:1 gene:PAHAL_3G121400 transcript:PAN17312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPRLEVLHRAPPRRTASTWLSPLMKVRQSARPDDLFLLNVSRHPFCRAVSVLCIRPRTAAAREIRFELSCPRRFRPSYRSNHGLVSHEQRAVFRFACLDLSDGLPDSSDCHQPSCPSIRPRRRRG >PAN18828 pep chromosome:PHallii_v3.1:3:14890317:14891684:1 gene:PAHAL_3G228400 transcript:PAN18828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEWDMRGGVGVGDGGGARAGGLAVGGVVVGGGDQHQQRVEAHYRGVRKRPWGRYAAEIRDPWRKTRVWLGTYDSPVEAAMAYDRAAVALRGSKARLNFGGGCGRARENAPVNLPRVPLQARCQLLGGGLDMGRPSPWHVACFPPSMLHQAAAPQAGGLLAADYAASQPSTALELRTGPRALPFDLNEPPSNGS >PVH62481 pep chromosome:PHallii_v3.1:3:21238327:21239955:1 gene:PAHAL_3G305100 transcript:PVH62481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFNGGNSRSGGYGGGGYPGGRYGAGRFGADCYGGDGTRDFFSSPEPFSPAHSLPPQQGGRLDLQRSSSTRLNFDGLDLNSGSARFPHLDAYQGYLEDGGNLEDGGSGGSGGRPPHPSRKGSGGGRLMARRRGGGRAGKCEGRRGGGGRSAAGRSRGAGSSRGKAVLVDDDGVDEDGGSEDVASDWKQLRYDPPSYLEHLQDIFEGVAVDGTTSYVPGQPEEHDAEEEDVPPCFEVPAEESPRSTSSNKRASSTSTSTTGASPSKKSKSAYFNMFRSMVKQNSEVSGTKLQMMKERQAEKKMKEQQESTQHEAVIQCTLEAGIEPGSPEYLALGYLCGSAIMTRLFLKCQTHEQRIAFIRRYMKAENLD >PAN19667 pep chromosome:PHallii_v3.1:3:19613928:19616493:-1 gene:PAHAL_3G289000 transcript:PAN19667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQCCDNPPALNPAGGEGKVVDSFGGLKAYLAGSDESKAAVILISDIFGFESPNLRKIADKVALSGYFVVVPDFLHGDPYTPNNAERPLPVWIKSHSPKKGFEEAKPVIAALKEKGVSYVGAAGYCWGGVVIVELAKAHEIQAAVVLHPGPITVDDIKEVKCPISILGAEIDHISPPELIKQFEQVLSANSGVAHFVKIFPGVAHGWSVRYSHDDVAAVESAEEAMGDTIDWFNKNLK >PAN19665 pep chromosome:PHallii_v3.1:3:19614444:19616493:-1 gene:PAHAL_3G289000 transcript:PAN19665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQCCDNPPALNPAGGEGKVVDSFGGLKAYLAGSDESKAAVILISDIFGFESPNLRKIADKVALSGYFVVVPDFLHGDPYTPNNAERPLPVWIKSHSPKKGFEEAKPVIAALKEKGVSYVGAAGYCWGGVVIVELAKAHEIQAAVVLHPGPITVDDIKGQSSFSLFLEAL >PAN19666 pep chromosome:PHallii_v3.1:3:19613409:19616641:-1 gene:PAHAL_3G289000 transcript:PAN19666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQCCDNPPALNPAGGEGKVVDSFGGLKAYLAGSDESKAAVILISDIFGFESPNLRKIADKVALSGYFVVVPDFLHGDPYTPNNAERPLPVWIKSHSPKKGFEEAKPVIAALKEKGVSYVGAAGYCWGVVIVELAKAHEIQAAVVLHPGPITVDDIKEVKCPISILGAEIDHISPPELIKQFEQVLSANSGVAHFVKIFPGVAHGWSVRYSHDDVAAVESAEEAMGDTIDWFNKNLK >PAN17250 pep chromosome:PHallii_v3.1:3:7437701:7441513:1 gene:PAHAL_3G116300 transcript:PAN17250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLRSLLRAASPSLRRSSWRLAASGEAVVAVADSASPSAGLLAAGAGADGGWRRAMSTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTEDEKLIYNLRRAKKKVALLLQKLKKYELPELPSPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDAQPSAGTTEIKGPCDQPSEDSDQEELDRFISEIESAAEKQWEEEEAAEKEESSRMWYWEREEVGERRGFGRSYENSDYEDRGQGRYRRENNNNRRTSDARRWDDDSEAEASGEEWDSGDDVDDRDNFLGFDNDRGSCDEHPRRFENLRNGMSRSSGRRDFVPRGEVGERRGFNRSYGNSDVQDRGQGRYRRDNSNKRTSDARRSHDDSEIEASGKEWDSGDDTDCFLGFDNDRDAPDDHPLRFKSTRNEKSRSSGRQSSIPGGFRGSNRSPGNSVAASNSNDGGLDSEEEDLWGSDYKGEETSVRSPKINFSNFHRSSKEDSNDDLEHGDTIGKMKKNTDESWDSD >PVH61433 pep chromosome:PHallii_v3.1:3:2093917:2094641:1 gene:PAHAL_3G034600 transcript:PVH61433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVGLALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPDALGKLLAKSGLTQPAPEA >PVH62784 pep chromosome:PHallii_v3.1:3:49874073:49874564:-1 gene:PAHAL_3G400000 transcript:PVH62784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISFESETSRDPTPEYNPIAAYEVRAPLHWDAEEWDFHLQSEDDESLTDGEDLALLFGAELEEDEDDASWGEDFSSSEEKVDSFFSDEDPMAGNFLFGRSSDETSDDTEEAEDDGSFTNTSSKNDDSSDNSSDDSGASVSPPTERRKTTGVYWW >PVH62195 pep chromosome:PHallii_v3.1:3:15165868:15167706:1 gene:PAHAL_3G233700 transcript:PVH62195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEAKQDALCSIKKTLRCAVRTTWRCASENWALFSLLLLPYLLYKYSPGFFAFLLSNSPVIICTALLLGVLLNYGGAHLPEIREDVNIKADQRFSVPAVKEDIIREASVGRRDGNKCIDLDENVPLLKGHDQRDERVEAAGGRPVKVLTYADKESKDACLSKDKGNEYANLFEDVQRSRVDGKEATLGVYSSIENVREDAEMVASPNYEGRVCTDSQSGEVVDVSEHKTVDGATGKCRWSRAFSVRRRKKLADIKIEAINSVADNQLDHSLCSPLTGVGSHDSSSGFDPDNAERHSPGVSMIDIGAVLDETEPLLGADCSHSHRITNDESDNHSIVTPHDSQVESDSNDVTDNNKAKDDGEEKDARLESALLWTADDEKNVMDLGYSEKERFRRLESMMMNPFSDDAEIPGSAPPILHPQKSPFDFLTEQSIETGVPARHNLEPQESMAVSHQDALFTRHESFNCARPPLRHGSRFKPCFGLEEFNSEEAGASTFQRQFSDRSVSRLSVVSECDTVSSIGDPEHNDLIRNYIRGVRESPSLPGQDSDLVHAGNECLDGISFVDNETVNAVIC >PAN19745 pep chromosome:PHallii_v3.1:3:20183300:20186193:-1 gene:PAHAL_3G295400 transcript:PAN19745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLLGLHYCHINQVLHRDIKGSNLLIDNHGILKLADFGLARSFSYDHNAHLTNRVITLWYRPPELLLGSTQYGPAVDMWSVGCIFAELLYGKPILPGKNEPEQLTKIFELCGTPDELNWPGVTKMPWYNNFKPSRPIMRRVKEVFKHFDRNALDLLERMLTLDPSQRISAKDALDAEYFWTDPRPAEPHTLPKYESSHEFQTKKKRQQQRQAEEAAKRQKIQHPQPHTRLPPIQQSGQPYSQIRTGQPMNNPHPSMAPGPSHHYAKPRGPGGPNRYPLGGSQGGGYPNRGGQGGGYGSGPYPQQGRGPPPYGGGMGGTGGPRGGSGVGGPSYQQAGPYGASGTGRGPNNYQQGGSRNQQQYGSWQ >PAN19746 pep chromosome:PHallii_v3.1:3:20183300:20187186:-1 gene:PAHAL_3G295400 transcript:PAN19746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAPGQLNLDESPSFGSRSVDCFEKLEQIGEGTYGQVFMAKETETKEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVINLKEIVTSPGPERDEQGKQIEGNKYKGSIYMVFEYMDHDLTGLSDRPGMRFTIAQIKCYMKQLLLGLHYCHINQVLHRDIKGSNLLIDNHGILKLADFGLARSFSYDHNAHLTNRVITLWYRPPELLLGSTQYGPAVDMWSVGCIFAELLYGKPILPGKNEPEQLTKIFELCGTPDELNWPGVTKMPWYNNFKPSRPIMRRVKEVFKHFDRNALDLLERMLTLDPSQRISAKDALDAEYFWTDPRPAEPHTLPKYESSHEFQTKKKRQQQRQAEEAAKRQKIQHPQPHTRLPPIQQSGQPYSQIRTGQPMNNPHPSMAPGPSHHYAKPRGPGGPNRYPLGGSQGGGYPNRGGQGGGYGSGPYPQQGRGPPPYGGGMGGTGGPRGGSGVGGPSYQQAGPYGASGTGRGPNNYQQGGSRNQQQYGSWQ >PVH63164 pep chromosome:PHallii_v3.1:3:61668590:61668877:-1 gene:PAHAL_3G484200 transcript:PVH63164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAASNLAGLWLAELAAAARGAWQAMASSHGERRRPGRQQEKLGAVKKRTAAVAVQGAAASAGKAAKEGDVGRCGGAMSDTTVFLLLDRFAPS >PAN17472 pep chromosome:PHallii_v3.1:3:8621774:8624894:1 gene:PAHAL_3G133800 transcript:PAN17472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRSTAPNPRGLLPALLLLVCSSLPPLAAAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADAYKITFQIGQEKFHVPWLYVINRKTSEVPLIDFHLKYSGNDLLGVTAKVVDMPHHYVEIHPDIKKNFWDPQNWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVADSSLPEGGVAKVE >PVH61866 pep chromosome:PHallii_v3.1:3:9302683:9305392:-1 gene:PAHAL_3G144400 transcript:PVH61866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPISATLPHFAFDSTRLLSSPLVVLHKPQNPTAAAAAAAMSSSPSPASRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAQHHLVHLLPLLRAALDDAGVAPADLACVCYTKGPGMGGPLQVAAAAARALSLLWRKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYAVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETVFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQDMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGVTTPLEESTFTQRFRTDEVQAIWREKEMPVLSNIHADAMAKVSKDEASMPTPIIVDS >PVH61867 pep chromosome:PHallii_v3.1:3:9301428:9305436:-1 gene:PAHAL_3G144400 transcript:PVH61867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPISATLPHFAFDSTRLLSSPLVVLHKPQNPTAAAAAAAMSSSPSPASRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAQHHLVHLLPLLRAALDDAGVAPADLACVCYTKGPGMGGPLQVAAAAARALSLLWRKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYAVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETVFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQDMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGVTTPLEESTFTQRFRTDEVQAIWREKEMPVLSNIHADAMAKVSKDEASMPTPIIVDS >PAN19187 pep chromosome:PHallii_v3.1:3:16685853:16688680:-1 gene:PAHAL_3G255100 transcript:PAN19187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGYANLPTSHLLGSVPAVTQDDRKPAAPAAQDAGATSRLQEFPPAPGGNGGGYRPPGAPADGDVENQANWKGYFNVASYAPYFNVDTDVVVDRLVSSVYPMDGFYRKIDANPDMYGPLWITTTLIFMLAALGNFATYLMQKKKDPVIWNFDVGYFNWAASVMYGYAIIVPAIFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVLLLIPVEFLRWVIIALAGGASSWFIALNLKECTEGADLMVLIASASVLQFALALFIKVFFFA >PAN19186 pep chromosome:PHallii_v3.1:3:16685382:16688854:-1 gene:PAHAL_3G255100 transcript:PAN19186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGYANLPTSHLLGSVPAVTQDDRKPAAPAAQGATSRLQEFPPAPGGNGGGYRPPGAPADGDVENQANWKGYFNVASYAPYFNVDTDVVVDRLVSSVYPMDGFYRKIDANPDMYGPLWITTTLIFMLAALGNFATYLMQKKKDPVIWNFDVGYFNWAASVMYGYAIIVPAIFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVLLLIPVEFLRWVIIALAGGASSWFIALNLKECTEGADLMVLIASASVLQFALALFIKVFFFA >PAN18213 pep chromosome:PHallii_v3.1:3:12228694:12230380:1 gene:PAHAL_3G186300 transcript:PAN18213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGNLLVVLVLAASAIATGKSARLELVPAAPGASMAERAMDDRHRHTYITQKIASGRGGSRRVAAEVGASAVSLPVSSGAYAGTGQYFVKFLVGTPAQEFALVADTGSDLTWVKCNGAGGATPPGRVFRPADSKSWAPIPCSSDTCKLDVPFSLANCSAPTSPCAYDYRYKEGSAGARGVVGTDSATIALPDGKMVQMQDVVLGCSSTHDGQSFQAADGVISLGNSKISFASRAAARYGGSFSYCLVDHLAPRDATGYLAFGPGPAPKDSTPAAQTQLLLDPKMPFYGVKVEAIHVAGKALDIPAEVWDATKNGGTILDSGTTLTVLATPAYKAVVAALSTHLAGVPTVAFHPFEHCYNWTARRPGAPEIPKLAVQFAGSARLEPPAKSYVIDVKPGVKCIGVQEGESPGLSVIGNILQQEHLWEFDLKNRLVRFKQSTCTR >PVH61986 pep chromosome:PHallii_v3.1:3:11407536:11408729:-1 gene:PAHAL_3G172200 transcript:PVH61986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQATYDAFNHEALSPHAGLSRFARARFFDRVRLPAHAAAYRVTRFLYATSSMAVPGEFILRSASWAAAGAGGRCRESNWIGYVAVTTDEGKAALGRRDIVVVWRGTVQALEWVDDLEFAMVPPRGLLGEHEGCDAMVHRGWLSMYTSADPMSSHNQVSARDQVGNLSYGTVSVSVTFTTLSHILPARIDRRMQALSEMRSLVAKYKGEVLSITVTGHSLGAALATLNAFDIATNGYNVAAPAAAAMAACPVTAFAFASPRDGGSAFKKRFHNIPELRLLRVRNARDIVPRYPAVFYHDVGAELAIDTGASPYLRSPGNERTWHNLELHGVAGTRGGGFELAVARDVALVNKAYDALRDEHGVPPGWWVPHNRCTVNGADGRWCLMDCEEEDDAE >PAN19038 pep chromosome:PHallii_v3.1:3:15805100:15807339:-1 gene:PAHAL_3G243600 transcript:PAN19038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGMKLAAFCLLAVASLPLLAVADCECEASADEDSDKGRALTLKIVAIFCILVASSGGCAIPSLGRRFPGLRPDTDLFVAVKAFAAGVILATAFVHILPDAFEKLGSPCLADGPWHKFPFTGLIAMLAAIATLVVDTIATGYFQRAHNAKPAAAVGDVETSDHAPHGGGHAHGVASMMVSTPNADGGGTQLIRHRVIAAVLALYYMDVLKVLELGIIVHSVIIGMSVGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQAKFRLKSALTMALFFSLTTPVGVVIGIGISSAYDENSPRALIIEGVLNAAAAGILNYMALVDLLAQDFMNPRVQNNGRLQVIVNVSLLVGTALMSMLAIWA >PVH62545 pep chromosome:PHallii_v3.1:3:23471703:23477819:1 gene:PAHAL_3G319600 transcript:PVH62545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPRTKRLRRAQGEREAAQVTDSDRRKSSHREERDTGRHREPDDGRDASRDRKVERETAQVTNGDRHKSSHREDRDSGGKHREHDEGRDASRDRKAEREDARDKKSDRDDGKDRSRDRRAGRDDRSGASKESLSSRDDDRHDSRGGRPDRDDWKAASSREQWVDRTDKRDSIREKLTDREESNGGSGRSSMRGRSVSPDEHRHRGRHESHPSPRVSRSAARTEDINSRGGEASSSGDPDALARMNAATKALEAKEKQKPSFELSGKLAEETNRVAGVNLLYSEPPEARKSENRWRLYVFKGGQPLNEPLYVHRMTCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINENRIEPRRYYELFEKDTIKFGNSSREYVLLHENSTG >PAN20456 pep chromosome:PHallii_v3.1:3:23470809:23477819:1 gene:PAHAL_3G319600 transcript:PAN20456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRREEHSQRSRSPASGREPRGTPPRKRSPPERRKSSPARARSPPAKPSASHRDRERSPPREKAKERARSPRSPAKGSLSQRERSPPREKVKDQRVRSPKHAREQSRSPSPAGRRGSMSPSPRTKRLRRAQGEREAAQVTDSDRRKSSHREERDTGRHREPDDGRDASRDRKVERETAQVTNGDRHKSSHREDRDSGGKHREHDEGRDASRDRKAEREDARDKKSDRDDGKDRSRDRRAGRDDRSGASKESLSSRDDDRHDSRGGRPDRDDWKAASSREQWVDRTDKRDSIREKLTDREESNGGSGRSSMRGRSVSPDEHRHRGRHESHPSPRVSRSAARTEDINSRGGEASSSGDPDALARMNAATKALEAKEKQKPSFELSGKLAEETNRVAGVNLLYSEPPEARKSENRWRLYVFKGGQPLNEPLYVHRMTCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINENRIEPRRYYELFEKDTIKFGNSSREYVLLHENSTG >PAN20454 pep chromosome:PHallii_v3.1:3:23470809:23477819:1 gene:PAHAL_3G319600 transcript:PAN20454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPRTKRLRRAQGEREAAQVTDSDRRKSSHREERDTGRHREPDDGRDASRDRKVERETAQVTNGDRHKSSHREDRDSGGKHREHDEGRDASRDRKAEREDARDKKSDRDDGKDRSRDRRAGRDDRSGASKESLSSRDDDRHDSRGGRPDRDDWKAASSREQWVDRTDKRDSIREKLTDREESNGGSGRSSMRGRSVSPDEHRHRGRHESHPSPRVSRSAARTEDINSRGGEASSGDPDALARMNAATKALEAKEKQKPSFELSGKLAEETNRVAGVNLLYSEPPEARKSENRWRLYVFKGGQPLNEPLYVHRMTCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINENRIEPRRYYELFEKDTIKFGNSSREYVLLHENSTG >PAN20457 pep chromosome:PHallii_v3.1:3:23470809:23477819:1 gene:PAHAL_3G319600 transcript:PAN20457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPRTKRLRRAQGEREAAQVTDSDRRKSSHREERDTGRHREPDDGRDASRDRKVERETAQVTNGDRHKSSHREDRDSGGKHREHDEGRDASRDRKAEREDARDKKSDRDDGKDRSRDRRAGRDDRSGASKESLSSRDDDRHDSRGGRPDRDDWKAASSREQWVDRTDKRDSIREKLTDREESNGGSGRSSMRGRSVSPDEHRHRGRHESHPSPRVSRSAARTEDINSRGGEASSSGDPDALARMNAATKALEAKEKQKPSFELSGKLAEETNRVAGVNLLYSEPPEARKSENRWRLYVFKGGQPLNEPLYVHRMTCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINENRIEPRRYYELFEKDTIKFGNSSREYVLLHENSTG >PVH62546 pep chromosome:PHallii_v3.1:3:23471703:23477819:1 gene:PAHAL_3G319600 transcript:PVH62546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPRTKRLRRAQGEREAAQVTDSDRRKSSHREERDTGRHREPDDGRDASRDRKVERETAQVTNGDRHKSSHREDRDSGGKHREHDEGRDASRDRKAEREDARDKKSDRDDGKDRSRDRRAGRDDRSGASKESLSSRDDDRHDSRGGRPDRDDWKAASSREQWVDRTDKRDSIREKLTDREESNGGSGRSSMRGRSVSPDEHRHRGRHESHPSPRVSRSAARTEDINSRGGEASSGDPDALARMNAATKALEAKEKQKPSFELSGKLAEETNRVAGVNLLYSEPPEARKSENRWRLYVFKGGQPLNEPLYVHRMTCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINENRIEPRRYYELFEKDTIKFGNSSREYVLLHENSTG >PAN20455 pep chromosome:PHallii_v3.1:3:23470809:23477819:1 gene:PAHAL_3G319600 transcript:PAN20455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRREEHSQRSRSPASGREPRGTPPRKRSPPERRKSSPARARSPPAKPSASHRDRERSPPREKAKERARSPRSPAKGSLSQRERSPPREKVKDQRVRSPKHAREQSRSPSPAGRRGSMSPSPRTKRLRRAQGEREAAQVTDSDRRKSSHREERDTGRHREPDDGRDASRDRKVERETAQVTNGDRHKSSHREDRDSGGKHREHDEGRDASRDRKAEREDARDKKSDRDDGKDRSRDRRAGRDDRSGASKESLSSRDDDRHDSRGGRPDRDDWKAASSREQWVDRTDKRDSIREKLTDREESNGGSGRSSMRGRSVSPDEHRHRGRHESHPSPRVSRSAARTEDINSRGGEASSGDPDALARMNAATKALEAKEKQKPSFELSGKLAEETNRVAGVNLLYSEPPEARKSENRWRLYVFKGGQPLNEPLYVHRMTCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINENRIEPRRYYELFEKDTIKFGNSSREYVLLHENSTG >PVH62714 pep chromosome:PHallii_v3.1:3:41665753:41671916:-1 gene:PAHAL_3G376100 transcript:PVH62714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASMPAMAAATITAIFSPSLPSFHRSHHNCGHRAATTTMVAFSRRFRDVNPSHKRSGGKATLAPATDEGFGVLEMELWRLRRRVELRLHRLAVEADEAYRDLRYAARDVGGDRMVITFRRSSLRFAACTLLWSLAFAVAARALLGMVLRAWWWPGLGRGWWGGRGGGGAVVRRRDRSLGGKEVVVAVSSSPVSAALTSHVQEPARVVRRREPQAKVPDWWPEVGLKVMEPRPEMEKWTRLANRLVRAIIDNRITGRDYTYDDAIQLRKICKTCGIKVSFDTENARNSFYRAAVNFVLDDCSRAAQVNDENPRDFLAGLATNIGLDNFRAATLVCASVAARTRTCFLQCWALEIQGKRPEALDGLLKICRIHNMFPPEENSAEMEMVAAGLEKNLQVAERVHLLTLFRRACTTANIKTAAEALGLNLSDE >PVH62715 pep chromosome:PHallii_v3.1:3:41665170:41672103:-1 gene:PAHAL_3G376100 transcript:PVH62715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASMPAMAAATITAIFSPSLPSFHRSHHNCGHRAATTTMVAFSRRFRDVNPSHKRSGGKATLAPATDEGFGVLEMELWRLRRRVELRLHRLAVEADEAYRDLRYAARDVGGDRMVITFRRSSLRFAACTLLWSLAFAVAARALLGMVLRAWWWPGLGRGWWGGRGGGGAVVRRRDRSLGGKEVVVAVSSSPVSAALTSHVQEPARVVRRREPQAKVPDWWPEVGLKVMEPRPEMEKWTRLANRLVRAIIDNRITGRDYTYDDAIQLRKICKTCGIKHKLMMRIQGIFSLVLLLILDWTIFVLPLLYVLQLLLAHVHVSYNAGL >PVH62712 pep chromosome:PHallii_v3.1:3:41665170:41672103:-1 gene:PAHAL_3G376100 transcript:PVH62712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASMPAMAAATITAIFSPSLPSFHRSHHNCGHRAATTTMVAFSRRFRDVNPSHKRSGGKATLAPATDEGFGVLEMELWRLRRRVELRLHRLAVEADEAYRDLRYAARDVGGDRMVITFRRSSLRFAACTLLWSLAFAVAARALLGMVLRAWWWPGLGRGWWGGRGGGGAVVRRRDRSLGGKEVVVAVSSSPVSAALTSHVQEPARVVRRREPQAKVPDWWPEVGLKVMEPRPEMEKWTRLANRLVRAIIDNRITGRDYTYDDAIQLRKICKTCGIKALEIQGKRPEALDGLLKICRIHNMFPPEENSRWKWWLLDSKRICKLLRGFTF >PVH62713 pep chromosome:PHallii_v3.1:3:41665753:41671916:-1 gene:PAHAL_3G376100 transcript:PVH62713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASMPAMAAATITAIFSPSLPSFHRSHHNCGHRAATTTMVAFSRRFRDVNPSHKRSGGKATLAPATDEGFGVLEMELWRLRRRVELRLHRLAVEADEAYRDLRYAARDVGGDRMVITFRRSSLRFAACTLLWSLAFAVAARALLGMVLRAWWWPGLGRGWWGGRGGGGAVVRRRDRSLGGKEVVVAVSSSPVSAALTSHVQEPARVVRRREPQAKVPDWWPEVGLKVMEPRPEMEKWTRLANRLVRAIIDNRITGRDYTYDDAIQLRKICKTCGIKALEIQGKRPEALDGLLKICRIHNMFPPEENSAEMEMVAAGLEKNLQVAERVHLLTLFRRACTTANIKTAAEALGLNLSDE >PVH62711 pep chromosome:PHallii_v3.1:3:41667579:41671916:-1 gene:PAHAL_3G376100 transcript:PVH62711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASMPAMAAATITAIFSPSLPSFHRSHHNCGHRAATTTMVAFSRRFRDVNPSHKRSGGKATLAPATDEGFGVLEMELWRLRRRVELRLHRLAVEADEAYRDLRYAARDVGGDRMVITFRRSSLRFAACTLLWSLAFAVAARALLGMVLRAWWWPGLGRGWWGGRGGGGAVVRRRDRSLGGKEVVVAVSSSPVSAALTSHVQEPARVVRRREPQAKVPDWWPEVGLKVMEPRPEMEKWTRLANRLVRAIIDNRITGRDYTYDDAIQLRKICKTCGIKHKLMMRIQGIFSLVLLLILDWTIFVLPLLYVLQLLLAHVHVSYNAGL >PAN21384 pep chromosome:PHallii_v3.1:3:59842275:59842940:-1 gene:PAHAL_3G461300 transcript:PAN21384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMPPPPLLACLLTLLLVTAVAPPAGAFCVSKKAGAHSKPGAPAKPKPAPAPAPPKPTPLIPGADIVRSLCLKTDYPDLCMSSISRQPQPQLPGGRRLDGAGVLRLAMAAVRAKAAEARAAAAALAKDPRTQPQALGPLHDCVQSFDDLADSLDGAEKAIAAGDRGTTGTMLDTVRTDVDTCDQGFEEREELKPVMAKRDAELAKLASNCLAIATAAGLR >PAN19052 pep chromosome:PHallii_v3.1:3:15970079:15972588:-1 gene:PAHAL_3G246100 transcript:PAN19052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSPLRPPGSRKMSAATEVAPVQQRGHAAAAVWRAVSGWLGLLFQILLRIVRGTPSSWAQLLSFVGLRHPLLPVAAQAQPSPEVAFVQLPSEAPADASPPPLRRLTVVLDLDETLICAYESSSLPASLRTQAVEAGLHCFDMECTSPEKDMLHGLQDAEGRQRVNRVTVFERPGLHEFLQRTSEFADLVLFTAGLEGYAKPVVDRIDAHNRFIHRLYRPSTVTTEYREHVKDLSCLSKDFRRIVLVDNNPYSFLLQPLNGIPCITFSAAQPVDDQLMGTIFPLLKHLSLEKDVRPALYETFHMPEWFQGQGIPQIEQAV >PAN19051 pep chromosome:PHallii_v3.1:3:15970079:15972611:-1 gene:PAHAL_3G246100 transcript:PAN19051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSPLRPPGSRKMSAATEVAPVQQRGHAAAAVWRAVSGWLGLLFQILLRIVRGTPSSWAQLLSFVGLRHPLLPVAAQAQPSPEVAFVQLPSEAPADASPPPLRRLTVVLDLDETLICAYESSSLPASLRTQAVEAGLHCFDMECTSPEKDAEGRQRVNRVTVFERPGLHEFLQRTSEFADLVLFTAGLEGYAKPVVDRIDAHNRFIHRLYRPSTVTTEYREHVKDLSCLSKDFRRIVLVDNNPYSFLLQPLNGIPCITFSAAQPVDDQLMGTIFPLLKHLSLEKDVRPALYETFHMPEWFQGQGIPQIEQAV >PAN19861 pep chromosome:PHallii_v3.1:3:21036455:21040569:-1 gene:PAHAL_3G303600 transcript:PAN19861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGHEADKNIEIWRVKKLIKALDAARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLASDDKFGFIVMDGNGTLYGTLSGNSREVLYRFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKVAELATQYFINPATNQPNIVGLILAGSADFKTELGKSEMFDPRLQAKVLKMIDVSYGGESGFNQAIEISAEVLSNVKFVQEKKLIGKYFEEISQDTGKYVLGVDDTMTALEMGAVETLIVWENLDVRRYELKNSATGETVVKYLNTTQEADQRNFTDEATSGDLEVIDNTLLLEWFAENYHQFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYPADVTAFQEGDDLSDGEYDEDFE >PVH62626 pep chromosome:PHallii_v3.1:3:30234980:30235312:-1 gene:PAHAL_3G342800 transcript:PVH62626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPTTPSSIPITSSKMEQSLSQAAPTHVFDGPITRSRAKQLQQEVHALLCEIPFINKNYILPKSCMLLLLRVTKEDDKDTPRLNQRGELRRTSSAWQNCHEETVISLDS >PVH62720 pep chromosome:PHallii_v3.1:3:42599833:42605806:-1 gene:PAHAL_3G378800 transcript:PVH62720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSITVMTLNLHEGEQSSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDTTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWAMFQLKRLEPPGFSFQIVNTNLDEVSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARFPLH >PVH62721 pep chromosome:PHallii_v3.1:3:42600981:42605492:-1 gene:PAHAL_3G378800 transcript:PVH62721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSITVMTLNLHEGEQSSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDTTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWAMFQLKRLEPPGFSFQIVNTNLDEVSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGAPLILHLCHFL >PAN18645 pep chromosome:PHallii_v3.1:3:14065496:14072878:1 gene:PAHAL_3G216400 transcript:PAN18645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSLSEAEAGISCFASSLPGFRGVLKHRYSDFIVHEVARDGTLVQLTSLDLPTECVDVKEEKAAPSADADHSQALESFRALCGDADCEALRGLLERVAAEGDSDVSPVILSSDADKAHRSEVHNFFKKNFKFFVTDTVEHSDGVQRCIRVRLGSGAGGGRGGGGGGRGRKRKNMGGSDWRDDRPFDSRGSSNWSDHVGKFLRFHLYKENKDTQEALGVIGKMLGLQPRSFGFAGTKDKRAVTSQQVTVFKVQANRLAALNNRLFGIKVGNFCYVKEGLVLGQLMGNRFTITLRGVIAESKDVIKAAADGLGKNGFINYYGLQRFGSGSVPTHLVGAALLRGEWKAAVNLILDPREGERDDINELRKHYKEHGDIDKALRNFPRHLVAERAILQCLKKCPGNYLQALKGIPRTLRMMYVHSYQSYLWNHAASMRVEKYGISQVVEGDLVYNKESLPEESTSVDITETDDGHTNSSEIDLCSEAQPEETIQSVKIVDSGDLLKGMYTFDDVVLPLPGSQAIFPGNAVAEIYHEMAKKDGISLTENAHGVKEFSITSMKGGYRRVFQHPIDFQWELVTYTDESSSLAETDLDVLSRTPKEANELVTSDQSQDKLEKASDTSMPTNGSGSLENKPTGSPDTAPRKLAIKLAFTLPASCYATMAIRELLKTSTSVAYQKTLSC >PVH62476 pep chromosome:PHallii_v3.1:3:21198298:21199114:-1 gene:PAHAL_3G304500 transcript:PVH62476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIHGDIPWCMLFADDVVLIDESSAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSLTRHEDGDVSLEGQVVAKKDTFRYLESMLQKDGDIDKDWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRYVQQLSVAEMRMLRWFCGHTRRDRVWNEEIRDRVGVAPIEEKVIQHRLRWFGHVQRRSPEAPVRSGVLKRGDNVKRGRGRPKLIWDETVKRELREWNIAKELVMDRSAWRLAINVPEP >PAN21785 pep chromosome:PHallii_v3.1:3:62128520:62129827:1 gene:PAHAL_3G489300 transcript:PAN21785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSALLLIMVAAAGAAMVQGHPAAHTPAALQFWENTLIGSRMPDAIADLVQRGIDHSPLEEHYSASPSANNVCTIYDAICNLRSMSAGGAAGDLFFHEAQLRPGSTMTASLPAEVESAILPHDVAGKVPFGNLRDVLATFNIPAGSAEAARVRDTLRRCRAPPLPGERKACAASLEGTVRSAVGMLGGAAWAAASALPRAGLPRGPYEVQAVAPLDGDRYVACHKMPFPYAVYHCHMTGMSATRAYKVSLRGGDDPAAAAAVMMLALCHRDTSQWNPAHPAFEVLRTHPGGAPVCHFMPYANLVFVKTTKGDKATKRSAGIHGMPSGEDVL >PVH61525 pep chromosome:PHallii_v3.1:3:3398959:3402222:-1 gene:PAHAL_3G054500 transcript:PVH61525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSLEDSSASTFSIMEEDHTLANSVRFVLNQDPRVAFCGYSIPHPSENKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHIRGTFDTAVADFRGNKLADAMDIDLNKK >PVH61526 pep chromosome:PHallii_v3.1:3:3399192:3401214:-1 gene:PAHAL_3G054500 transcript:PVH61526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSLEDSSASTFSIMEEDHTLANSVRFVLNQDPRVAFCGYSIPHPSENKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHIRGTFDTAVADFRGNKLADAMDIDLNKK >PAN19675 pep chromosome:PHallii_v3.1:3:19661318:19667737:1 gene:PAHAL_3G289800 transcript:PAN19675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MLSSLALAHSSLLLQPRGALLVPLPRRLAPNHPPLRAHCRLNACTPPRQLLTPRRLAFAARISASAAEPAWGDGDGVSVAGRKGAGYRNRFLDLARLGAVVEGAAEAFFRSEIRRRLAVTAALIVLSRVGYFIPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSLFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKLKGYIWWLSLGFATVAAFTVSCYSLQYSVYAASYRVKHIIITSLLLVLGAMSMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMITQFSGNFSKCWPYILGVAGIFMMLTMGAVLVTEGCRKIKLQYYGFKLASGAGSESTPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGSRFWENLKEILNPRTSSGGSPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPRIKPGRATVDYLTKIQTSTRFWGGLLLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGA >PVH61805 pep chromosome:PHallii_v3.1:3:8210477:8211365:-1 gene:PAHAL_3G126700 transcript:PVH61805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILFQNSSSSQRDHCTPEILCVKVSDNAWRRLERRRQKMDSLFFNLLCELRSWPESLRKMYDRLSM >PVH62974 pep chromosome:PHallii_v3.1:3:58160189:58160796:1 gene:PAHAL_3G444300 transcript:PVH62974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSQGWMSNKRGKLYRFGILPSTLELPICKLPQNTKHTDYCNQSINARHLTII >PAN17847 pep chromosome:PHallii_v3.1:3:10224875:10229805:1 gene:PAHAL_3G159100 transcript:PAN17847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYHSSLLLLDYSFPSLSLLPPTPHFVVVAGRSLSLSWERRGEHSTAQQTHRPYIHIHAPPVRLFSERQLASAVLVHQLCTSLVCLPALGRRGECCCSCRPLRCDRAPGMAEADRMRLRAAALTLHDDEGVRDKPDRKADVFADLGSPVSPLRLRPAAATPSSSSSSAGSAKSPAPGNAAAAAVGRGGSRGNHSGELEGSNPPRPPGHRRSGSGPLIFSGGSSSAGSVGGCSGGGGSTASSPLTNALPTGNICPSGRVAGAAAAPQPPRARPVVLGSGTGHYGHGSIMRGGGGSAGGATPARTSIDAAPLHSNSTRSPASCPAPPPASSAGLQEITRTGNEWYKKGRYGEALRHYDRAVALCPDSAACRGNRAAALIGLGRLAEAFRECEEAVRLDPASGRAHGRLAGLCVRLGMVDKARMHFTLAGSVNPSDHAEWQKLHEVESHQGRCMDARKIGDWKSALREADAAIANGADSCQLLLALRSEALLRLHKLEEADSTITSLLKLDNASLPSIPTKLSGMASDSYVLIVQAQVNMTFGRFDSAVALAEKARLIDRGNSEVEVIVNNVRLVAMARTQGNDLFKAGKFAEASIAYGEGLKYEPSNPVLYCNRAACWSKLGRWVKAVEDCNEALRVQPNYTKALLRRAASYAKLEHWADCVRDYEVLRKDLPGDTEVAESLFRAQVALKTARGEEVSNMKFGGEVEAVTSLEQLRDAIHSPGVSVLYFMATMNQQCSQITPSVDSLCSECPSVNFLKVNVDESPMVARAENVRVVPTFKIYKDGTRVKEMICPSLQVLCYSVRHYAVSSS >PAN16019 pep chromosome:PHallii_v3.1:3:1483097:1483975:1 gene:PAHAL_3G026600 transcript:PAN16019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAEIRRERDALQSRIEELSEAQRRLMEIEEAAARIHDIHYFAQPPVVAGDDDQPACAGGAVSSVVNAGSLPRRRRDPVAVTSGDRQDPDDLYPLLPFDEPAEEHDATPQLVALEEPEDEYRPLRRYYWDGEEHHHAVHNQAAVHATSAGQQPTPREAAGSGDHSGHLLLPDTELYYDVVQNGGEATPVRFSDGGFGGVPASAAAIAGLKKQRYDDGPPGADDDMCVICMRGYKKGKRLYVMPCAYKHRFHRKCLKKWLSRSHLCPLCRHALPTEDQAAHRNAVWLLNNVN >PAN20536 pep chromosome:PHallii_v3.1:3:26460236:26462857:-1 gene:PAHAL_3G330300 transcript:PAN20536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGANGVGGSECERKPLSEVVGDCVQRWFQDAFKEARKGDIANQVLVAQMFFSGFGVPKNEYKGRQWMDRASRFRSSALKVGMKRPGYNASDSDSDEANDDANQ >PVH62897 pep chromosome:PHallii_v3.1:3:55813810:55816291:-1 gene:PAHAL_3G426000 transcript:PVH62897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGTLRLIIDVALAIEKAAENVEQNKKDCEEMRSNVALVRTNLMRLENNEPLMMDPAVSPTVAAIGEILREAQELVEDCKVKRNVVELLFTAGKLSKKLKETRNRILFNTIILMCAITVRQECRRPTQEQVHVPPPPAPKGDMNNQQQSTSIVNKIVDVADRIKATAKMVRRNKDECHEIDERAGIVSAFLPQLENTGMIKDPALSAELEKIVRTLQHAYELVTACQGRSLFTIRPARGCQGGHGGGELSKELHQVLDQMVLDLDGITEISIRYTEVSREVTSAAVRITATLMVGHFVVKLRLARQRSKTITIQSIGETSQLHSSFQADPEEIEAVAASESVTVAGSSNRLHDDPSLLQGDSTSEAQGASSKD >PAN16096 pep chromosome:PHallii_v3.1:3:1864438:1865719:-1 gene:PAHAL_3G032800 transcript:PAN16096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGGNTRKKTCCGSCCTLLISLGFVVLIYWAIFQPHQIRATVEYAELSNLAVSNASSPAAVITYHVAVNLSLYNPSKRVNIYYDAIGAELLYRGAVLGPAAAAASPAEFYQRRRTAQPVRLEFDGKGVAVPEGVSAELEGEVKAAASLGLELSVGVRVRYVFGSFKIRQKPRVWCAVSIPVPRAPGGLGVLGSGDRCWVKY >PAN18797 pep chromosome:PHallii_v3.1:3:14733376:14735255:-1 gene:PAHAL_3G226600 transcript:PAN18797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKENIKIHGF >PAN17561 pep chromosome:PHallii_v3.1:3:9010420:9012000:1 gene:PAHAL_3G140100 transcript:PAN17561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALQSFLPSYPELLLAALCFLSLAALRLLVRARRQRAPVSWPVVGMLPFVLGNLGRLFDATTDALRECGGTFVFRGPWLARADFLVTCDPAAVQHCLASNHGGYDKGRDFAEMFDVVGDGLLVADAASWVRQRHVAATVFGNPSFRSFVLSTMARQTERLLVPFLDHAASAASPDGFEIEDVFMRYSLDVSYASAFAADLDALSVAAASAPVPLVGQATRVASEAALFRHIVPAWWWRLMRWLNVGTERRLAEAKAVLDEFVYREIANRKSQSLAVASGRRGGGSDLLSLYMAWPRDPGVTERQRDQFLRDSAVGYMFAAKDLIVAALTWFFYILCTHPHVEAKILQEIKSLRPSTTVTATGGGEHAVFDSDALQPASYLHAAVLETLRLFPPAPFEEKEAVGDDVLPDGTKVAKGTRVIFCIYAMGRIEEIWGSDCNEFRPERWLSDVGRVRHEPSHKFAVFNCGPRSCLGKNLGLSNIKIAAAAILYNFQVELVDGHAVEPQDSVVLHTKNGMRVRIKRRKAA >PAN20180 pep chromosome:PHallii_v3.1:3:42259319:42263314:1 gene:PAHAL_3G377500 transcript:PAN20180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKPSALPRSFLSLRRLLRRSISGRHYRRTTSATGARAAAPAQDKLKDRTVLVDVEGWLLRSPLSAFPYFMLVAVEAGSFLRGLLLLLVYPVLCLLALIGLDLRLEAMVMVSLFGLREREVARVSKAVLPKFFLEDVTMEGLEAFKKAGTVVAVTAAFPRVMVEGFLKEYLGVHAVVGREVAVTAGRYVGFLEGEHAGMERVGAFLEKMEETRTKGDGAVGLLGAVGRVVHHVDSRYCKETYVLSKADKKAWQPLPRDKYPNKLVFHDGRLAFRPTFFAALAMYTFAPLGIILAALRCIAFGVLPYRVSVPLAAATGMRSRLVAGPSPDASREKNEAGGRLYVCNHRTLLDPITVAAGLNKPVTAVTYSVSPVSELLAPIRTARLTRDRDEDRRRMEALLARGDLVVCPEGTTCREAYLLRFSPLFAELTGEVTPVALDTRVDMFYGTSTKPGAKWLDPFYFMMNSRPEYRVEFLERVATAPADGEAGGHGHSIQAANRVQRVLGEALGFELTGLTRKDKYMMLAGNEGVVSGGAK >PAN17485 pep chromosome:PHallii_v3.1:3:8708031:8710973:-1 gene:PAHAL_3G135300 transcript:PAN17485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPRCIVVSPIIASFVPFLLLLLVSSSVVIPASAGGGGGSNGTAAPFRSAEELLRFQRIKARLARTRDASVKTIQSPDGDVIDCVPTHLQPAFEHPKLRGHKPEREPAERPRSSSGRVDADDRDEEEALPQVWRRSGESCPDGTIPVRRTTEADVLRASSVGRFGMKARGGGGFARRDSTGGGHEHAVGYVSGGQFYGAKASLNVWPAQVASPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTDDAYRETGCYNLHCSGFVQTSSRVAIGAAISPISSYGARQFDITVLIWKDPRQGHWWLQLGSGALIGYWPSSLFTHLGARADMVQFGGEVVNARPAGAPHTPTQMGSGRFPGEGYARAAYFRNVQIVDWDNSLVPAAGLRLLADRPGCYDIAGGSGGAWGTYFYYGGPGRNARCP >PAN18748 pep chromosome:PHallii_v3.1:3:14409555:14410636:1 gene:PAHAL_3G222400 transcript:PAN18748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARPGRSPGDLVCCGGLRRLLTFVLLLCTCLLIAMAATDGASLGSNNAATMATTTGSPARRPGGADAFRSSKRRIPKGPDPIHNRRAGKTTIEPRRRG >PVH62187 pep chromosome:PHallii_v3.1:3:15031264:15032899:1 gene:PAHAL_3G231500 transcript:PVH62187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFLEAVYARMAAPFERLLDRLAAPQAIVADVFVPWTVAVGARRGVPVCVMCPLSATMFAVQYSLHRLPPAADGGGAFPDGTDPCLIEDYIPGTKSIRFTDLAPTHTNAAMLDKILEAYSSVRKAQCIILTSFQELESDAIGALRQELPCPVYAAGPFIPFMALQERKANPDGDGYMAWLDAQPAGSVLYVSLGSFLSVSAAQSDEIAGGLAESKVRFLWVLRDADARSRVRGLTGGGDSAGLVVPWTDQLRVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPIAFDQPTNSRLVAEVWKTGLGLKEMARGDGVIGREEIAAAVERLMRPDSADGTDMRRRAAVLKHAARAASEEGGSSWKDVTSFIHFISH >PAN16058 pep chromosome:PHallii_v3.1:3:1654626:1656349:1 gene:PAHAL_3G029800 transcript:PAN16058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELILVVMVFLALSYYMVGPCNCTGLCSPATYEFRLSKAGAFHFPVFHQEHPCLDPSPVHPASVSDAGTVIGNDRIHQGKYFMAISLGTPAVFNLVTIDTGSTLSWVNCERCQIECHKQADEAGPKFNPQSSTTYRQIGCSNEDCIDIHQDNGIPYGCIDETDTCLYSLRYGSQYSAGKLGRDRLALGDITVVDDFVFGCSMDDTFYGSEAGIIGFGNKSYSFFNQMARQTSYNAFAYCFPSDHQAEGFLIIGPYPQRLELVTPLIRGYGLRWYVYSLLLLDMTVDGKRLEVDPSIDTRQIMVVDSGTDDTFVSSPTFYALAEAVTSAMRDKGYYREYGSEKVCFRPAGGGPVNWRGLPAMEMRFLGATVNLPPENVFHQQSVDRICLAFQPDTSGVRDVRILGNKALRSFRVVYDLEKMTIGFQARAC >PAN16684 pep chromosome:PHallii_v3.1:3:5043433:5046275:-1 gene:PAHAL_3G076700 transcript:PAN16684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKQLNCRTDCRYFCMMWREGERQSLGLSPVKYHGKWPFLRVSVFQEPLSAALSAVNLFMHFIGWLSFFLLVNYKLPLRPQTKRTYYEYTSLWYVYAILSINAWLWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFSVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLTWAIWAGVTQHPSRLKLWTVIFGGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLMKKAK >PAN16683 pep chromosome:PHallii_v3.1:3:5043880:5046058:-1 gene:PAHAL_3G076700 transcript:PAN16683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAWVVQLASLLALGLVLGSVEASLGDIDPRYRTCVRECQTTGVIGENIISHCQSKDNDTSVAGSWYNQEQIYMQWKQLNCRTDCRYFCMMWREGERQSLGLSPVKYHGKWPFLRVSVFQEPLSAALSAVNLFMHFIGWLSFFLLVNYKLPLRPQTKRTYYEYTSLWYVYAILSINAWLWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFSVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLTWAIWAGVTQHPSRLKLWTVIFGGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLMKKAK >PAN16685 pep chromosome:PHallii_v3.1:3:5043880:5045158:-1 gene:PAHAL_3G076700 transcript:PAN16685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKQLNCRTDCRYFCMMWREGERQSLGLSPVKYHGKWPFLRVSVFQEPLSAALSAVNLFMHFIGWLSFFLLVNYKLPLRPQTKRTYYEYTSLWYVYAILSINAWLWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFSVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLTWAIWAGVTQHPSRLKLWTVIFGGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLMKKAK >PAN16682 pep chromosome:PHallii_v3.1:3:5043455:5046275:-1 gene:PAHAL_3G076700 transcript:PAN16682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAWVVQLASLLALGLVLGSVEASLGDIDPRYRTCVRECQTTGVIGENIISHCQSKDNDTSVAGSWYNQEQIYMQWKQLNCRTDCRYFCMMWREGERQSLGLSPVKYHGKWPFLRVSVFQEPLSAALSAVNLFMHFIGWLSFFLLVNYKLPLRPQTKRTYYEYTSLWYVYAILSINAWLWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFSVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLTWAIWAGVTQHPSRLKLWTVIFGGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLMKKAK >PAN16681 pep chromosome:PHallii_v3.1:3:5042517:5046275:-1 gene:PAHAL_3G076700 transcript:PAN16681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKQLNCRTDCRYFCMMWREGERQSLGLSPVKYHGKWPFLRVSVFQEPLSAALSAVNLFMHFIGWLSFFLLVNYKLPLRPQTKRTYYEYTSLWYVYAILSINAWLWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFSVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLTWAIWAGVTQHPSRLKLWTVIFGGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLMKKAK >PVH62316 pep chromosome:PHallii_v3.1:3:17532986:17544368:1 gene:PAHAL_3G264200 transcript:PVH62316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIGSTRQSIEKNLAFSYTNGEKR >PVH62321 pep chromosome:PHallii_v3.1:3:17532986:17544368:1 gene:PAHAL_3G264200 transcript:PVH62321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIG >PVH62320 pep chromosome:PHallii_v3.1:3:17532986:17544368:1 gene:PAHAL_3G264200 transcript:PVH62320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIG >PVH62324 pep chromosome:PHallii_v3.1:3:17532986:17544368:1 gene:PAHAL_3G264200 transcript:PVH62324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRWVYDDTRMVASGLLGSQYSAWLDSQCGFVART >PVH62317 pep chromosome:PHallii_v3.1:3:17532986:17544368:1 gene:PAHAL_3G264200 transcript:PVH62317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIG >PVH62315 pep chromosome:PHallii_v3.1:3:17532985:17544368:1 gene:PAHAL_3G264200 transcript:PVH62315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIGSTRQSIEKNLAFSYTNGEKR >PVH62322 pep chromosome:PHallii_v3.1:3:17532985:17544368:1 gene:PAHAL_3G264200 transcript:PVH62322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIGSTRQSIEKNLAFSYTNGEKR >PVH62318 pep chromosome:PHallii_v3.1:3:17537670:17540890:1 gene:PAHAL_3G264200 transcript:PVH62318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIG >PVH62323 pep chromosome:PHallii_v3.1:3:17533457:17540886:1 gene:PAHAL_3G264200 transcript:PVH62323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRWVYDDTRMVASGLLGSQYSAWLDSQCGFVART >PVH62314 pep chromosome:PHallii_v3.1:3:17532985:17544368:1 gene:PAHAL_3G264200 transcript:PVH62314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIGSTRQSIEKNLAFSYTNGEKR >PVH62319 pep chromosome:PHallii_v3.1:3:17532986:17544368:1 gene:PAHAL_3G264200 transcript:PVH62319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKYASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKYDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAICNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTMTPEWLLLDCLDLNILHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIGVGHMLPSGCCPLLNPFFFNSTPD >PAN21535 pep chromosome:PHallii_v3.1:3:60685155:60686404:1 gene:PAHAL_3G473500 transcript:PAN21535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTHLVAVAVVLAALVGGAWCGPPKVPPGKNISADCDGKWLEAKATWYGKPTGAGPDDNGGACGYKEVNKAPFNGMGACGNSPIFKDGLGCGSCYEIKCDKPAECSGEPIIVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYPADTKIAFHVEKGCNPNYLALLVKYAAGDGDIVGVDMKEKGAKEYQSLKHSWGAIWRMEAPKPIKGPISIRITSEGGKTLEQEDVIPEGWKPDTLYPSKLQF >PVH61987 pep chromosome:PHallii_v3.1:3:11425422:11426535:-1 gene:PAHAL_3G172600 transcript:PVH61987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAACVHTLISLKVPDWVFQEIDKRRRGFLWAGKEKATGGQCLVAWPILRWIWLKRTDANRPWKNLSLDFGNDPEVKAMFNASIDIELGDGCLTLFWSDHWLGQNSPCLITPKLRSLVTPGVRNSRTVAAALSNKRWIQDITGTLTFQALSEYLILWQVVEAFELRVGVEDTISWKWTTDAAYSARSAYVSFFQGTIRFEGAKPIWKTWAPQKRRHHGLTGDATCRLCDQEEETADHLLCTCSFTQQVWHTLLSVLGVQNPPSPVGLSILEWWLLLRQGLSKKQKKGLDTAVMLVSWLIWKERNARIFNGTEQSSSQLIRGILEEGSNWIRAGAS >PAN22071 pep chromosome:PHallii_v3.1:3:63885847:63887557:-1 gene:PAHAL_3G510800 transcript:PAN22071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQAELRRPAAAHEAALRAVQKPPAKPWRGGAAAAAAPPPPPKVYRVEPREFRDLVQRLTGAPPAATGRGGVARPQHHQLASAVQPVAVRSSGEQQQQQQLYGSPWFSFPLAGVDGANAGGLM >PAN20998 pep chromosome:PHallii_v3.1:3:56249005:56256338:-1 gene:PAHAL_3G429000 transcript:PAN20998 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MELRELGGTGLRVSAVGFGASPLGHVFGDVPRDAARAAVRRALDLGVNFFDTSPYYGGTVSESVLGDCLRHAAVPRDQVVVATKCGRYKDEGFDFSAARVARSVDESLARLGLDYVDILHAHDIEFTSLDQIVNETIPALRKIKESGKARFIGITGLPLSIYPYVLDRVPPGSVDVILSYCHYGINDTSLVDLLPYLKSKGVGVITASPLAMGLLTDNGPPDWHPAPEELKSACRAAAEHCSKKGKSITKLAMQYSLMNNEISTVLVGMNSSKQVEENVAAAMELSTSGIDEELLHEVEAILEPVKNVTWPSGIQQA >PAN20999 pep chromosome:PHallii_v3.1:3:56254722:56256295:-1 gene:PAHAL_3G429000 transcript:PAN20999 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MELRELGGTGLRVSAVGFGASPLGHVFGDVPRDAARAAVRRALDLGVNFFDTSPYYGGTVSESVLGDCLRHAAVPRDQVVVATKCGRYKDEGFDFSAARVARSVDESLARLGLDYVDILHAHDIEFTSLDQIVNETIPALRKIKESGKARFIGITGLPLSIYPYVLDRVPPGSVDVILSYCHYGINDTSLVDLLPYLKSKGVGVITASPLAMGLLTDNGPPDWHPAPEELKVGVVEKESDILQDRR >PVH62912 pep chromosome:PHallii_v3.1:3:56249691:56256338:-1 gene:PAHAL_3G429000 transcript:PVH62912 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MELRELGGTGLRVSAVGFGASPLGHVFGDVPRDAARAAVRRALDLGVNFFDTSPYYGGTVSESVLGDCLRHAAVPRDQVVVATKCGRYKDEGFDFSAARVARSVDESLARLGLDYVDILHAHDIEFTSLDQIVNETIPALRKIKESGKARFIGITGLPLSIYPYVLDRVPPGSVDVILSYCHYGINDTSLVDLLPYLKSKGVGVITASPLAMGLLTDNGPPDWHPAPEELKVGVVEKESDILQDRR >PVH62911 pep chromosome:PHallii_v3.1:3:56249645:56256338:-1 gene:PAHAL_3G429000 transcript:PVH62911 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MELRELGGTGLRVSAVGFGASPLGHVFGDVPRDAARAAVRRALDLGVNFFDTSPYYGGTVSESVLGDCLRHAAVPRDQVVVATKCGRYKDEGFDFSAARVARSVDESLARLGLDYVDILHAHDIEFTSLDQIVNETIPALRKIKESGKARFIGITGLPLSIYPYVLDRVPPGSVDVILSYCHYGINDTSLVDLLPYLKSKGVGVITASPLAMGLLTDNGPPDWHPAPEELKSACRAAAEHCSKKGKSITKLAMQYSLMNNEISTVLVGMNSSKQKGIFITTGFSNLATLRMRSEFEKLMQG >PAN17077 pep chromosome:PHallii_v3.1:3:6742935:6747263:1 gene:PAHAL_3G105900 transcript:PAN17077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRAGRAHHPVLPAAAVLTHLLLAAATVAGADEPGTPAILATVCGARQTPDPEGFDVSFVTTLEMIYQNVTRSGYGAAGSGAGNDTVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPADGGRIYLDGCFLRYGADNFTAVATDASDTAVCGSNATGGPPAPGFAAAAAALVRNVTAAAPGARGYYYASSSPESGRAPRAYAAAQCWRSLNASACAACVASARDRVLRQCLPGAAEGYGLNAGCVVRYSTRPFYLPADAAGGGGSSTRHTVIIVIASVFSAVAVIGIAFVWTKMRSRRDHLHDDMDGSGEIIRAIAASHLSFKYQELRRATDEFNQINKLGQGGYGSVYKGVLPDGREVAVKRLFFNTRQWADQFFNEVRLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRFEIILGTAEGLSYLHNASEIRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVASSAEGLSLMALIWKHYNAGTLMELLDPNLREQCSEEEAVQVFHVGLLCAQASPNLRPPMWKVVEMLSGRDKVLPRPTQPPFINVKGSNAKSDGSGSTSLLSNSDKSPFSLNQLSVSGVEARESERYKSGPNSRSSERQRKRR >PAN17076 pep chromosome:PHallii_v3.1:3:6743055:6745918:1 gene:PAHAL_3G105900 transcript:PAN17076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRAGRAHHPVLPAAAVLTHLLLAAATVAGADEPGTPAILATVCGARQTPDPEGFDVSFVTTLEMIYQNVTRSGYGAAGSGAGNDTVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPADGGRIYLDGCFLRYGADNFTAVATDASDTAVCGSNATGGPPAPGFAAAAAALVRNVTAAAPGARGYYYASSSPESGRAPRAYAAAQCWRSLNASACAACVASARDRVLRQCLPGAAEGYGLNAGCVVRYSTRPFYLPADAAGGGGSSTRHTVIIVIASVFSAVAVIGIAFVWTKMRSRRDHLHDDMDGSGEIIRAIAASHLSFKYQELRRATDEFNQINKLGQGGYGSVYKGVLPDGREVAVKRLFFNTRQWADQFFNEVRLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRFEIILGTAEGLSYLHNASEIRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVASSAEGLSLMALIWKHYNAGTLMELLDPNLREQCSEEEAVQVFHVGLLCAQASPNLRPPMWKVVEMLSGRDKVLPRPTQPPFINVKGSNAKSDGSGSTSLLSNSDKSPFSLNQLSVSGVEAR >PVH61727 pep chromosome:PHallii_v3.1:3:6742935:6747261:1 gene:PAHAL_3G105900 transcript:PVH61727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRAGRAHHPVLPAAAVLTHLLLAAATVAGADEPGTPAILATVCGARQTPDPEGFDVSFVTTLEMIYQNVTRSGYGAAGSGAGNDTVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPADGGRIYLDGCFLRYGADNFTAVATDASDTAVCGSNATGGPPAPGFAAAAAALVRNVTAAAPGARGYYYASSSPESGRAPRAYAAAQCWRSLNASACAACVASARDRVLRQCLPGAAEGYGLNAGCVVRYSTRPFYLPADAAGGGGSSTRHTVIIVIASVFSAVAVIGIAFVWTKMRSRRDHLHDDMDGSGEIIRAIAASHLSFKYQELRRATDEFNQINKLGQGGYGSVYKGVLPDGREVAVKRLFFNTRQWADQFFNEVRLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRFEIILGTAEGLSYLHNASEIRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVASSAEDMEALQRRDPDGTPGPKPSRAVLGGGSCAGVPRRAALRPGVAEPQAADVEGG >PAN17078 pep chromosome:PHallii_v3.1:3:6742935:6747261:1 gene:PAHAL_3G105900 transcript:PAN17078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRAGRAHHPVLPAAAVLTHLLLAAATVAGADEPGTPAILATVCGARQTPDPEGFDVSFVTTLEMIYQNVTRSGYGAAGSGAGNDTVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPADGGRIYLDGCFLRYGADNFTAVATDASDTAVCGSNATGGPPAPGFAAAAAALVRNVTAAAPGARGYYYASSSPESGRAPRAYAAAQCWRSLNASACAACVASARDRVLRQCLPGAAEGYGLNAGCVVRYSTRPFYLPADAAGGGGSSTRHTVIIVIASVFSAVAVIGIAFVWTKMRSRRDHLHDDMDGSGEIIRAIAASHLSFKYQELRRATDEFNQINKLGQGGYGSVYKGVLPDGREVAVKRLFFNTRQWADQFFNEVRLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRFEIILGTAEGLSYLHNASEIRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVASSAEGLSLMALIWKHYNAGTLMELLDPNLREQCSEEEAVQVFHVGLLCAQASPNLRPPMWKVVEMLSGRDKVLPRPTQPPFINVKGSNAKSDGSGSTSLLSNSDKSPFSLNQLSVSGVEARE >PAN21261 pep chromosome:PHallii_v3.1:3:58920081:58924563:1 gene:PAHAL_3G453600 transcript:PAN21261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSEASAAEQPASPAAAPAASAESAPSPADSQRKEELLPVGEKISELNESQSELLGRLRGLKEDLQNWRSNLDTQVTKYKVELSDIKSALNNEIEQLRSDFQELRTTLKKQQEDVSLSLMNLGLQDATENDVSKGSGEENTNEDLSANLGSLKLNDASENHDESRDAKDDKTETPAEDGAADKGIKEGTPSDE >PVH63011 pep chromosome:PHallii_v3.1:3:58920936:58924209:1 gene:PAHAL_3G453600 transcript:PVH63011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMESKELNESQSELLGRLRGLKEDLQNWRSNLDTQVTKYKVELSDIKSALNNEIEQLRSDFQELRTTLKKQQEDVSLSLMNLGLQDATENDVSKGSGEENTNEDLSANLGSLKLNDASENHDESRDAKDDKTETPAEDGAADKGIKEGTPSDE >PAN21393 pep chromosome:PHallii_v3.1:3:59949203:59952656:-1 gene:PAHAL_3G462200 transcript:PAN21393 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g17170/K14A17_29 [Source:Projected from Arabidopsis thaliana (AT3G17170) UniProtKB/TrEMBL;Acc:Q948R9] MEATAAPRSLSLLAPSPPPSLRMDCWRVSFGGVRRRGAVGVTAKKKRGRGGDGDAEERVDTHSFAPKAGEAAGLFPEAVLLRKKMAREDGQVAPEFADAEEEKLYDFLNIQLESDLNLKRMRHYEVVYLIHEDCVEEVEDVVSKVQDFVREKKGRIWRLNDWGLRRLAYKIKKATHANYILMNFEIESRYINDFKTLLDKDERIIRHLVMKRDVAITEDCPPPPEFHSLRAQQYFDDEYEDEEEEEEEYSDARSELESANYDEDDVEASDEPEIIYIDEADQDNYEDSQDTRRRNWKLKVKKYTTEKVLR >PVH61543 pep chromosome:PHallii_v3.1:3:3637766:3640297:1 gene:PAHAL_3G057500 transcript:PVH61543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAEWNDERTRIICELFVEQVHAGNRPNTHLNNIGYRTVAAKFQQRTQLLYTKLQLKNKWDKFKSDYITWKKLLVVGAGLPWDAARGTFVADDEWWKKTNKELPGARRYRNLGLQHEDKLKIMFDYITSNGVDPSPPAPESPKNGVDHSPPAADSPMNGVDHLPLIANDLPSAPDSPMNGVDHLLQTTHGLPYAQETTMNGVHLDVSDNNAEDNDDTHQEPMFQYTSNRRKKRPIHVIATRNKKSKAETALLMQSHLSCIAELAQKAQDTFEKFSSQADTQPWPSIRDVMTMVRECGARSGSNEHFIATELFISREQREMFLTMETAEERFQWLRRKYIVKYLSNTSLGPR >PAN19458 pep chromosome:PHallii_v3.1:3:18374965:18384921:-1 gene:PAHAL_3G275200 transcript:PAN19458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSFVHTFCQGCVAQAEFVPVLRKTKGFCSNCLRMVIMIEKNVDVDSDGERADFNDRETYEFLFKEYWEIVRDKEGLTLDKLEEAYAILKRGQNCKQDSDLEKLNDEERNSDDDFVGNTDDDDGEEPSARAKLNGTAMKIKSFLKKGKSARNGFVGWASKELIEFLLSIGKDTSETLDQYRAAEVVKDYIRQKDLLQKDKKKLVICDDKLQLLFRKSKVKYNKIYYLLEKHIAANMISDDEILASSEDNSDSVMTKKARTVSYQSSTLKRTPEINKSCFAALVRDNINLIYLRRSLVMDLLKEPDTFESKVVGCLVRIKNDPKDYSFQKRKMLYQLGKVTGIRKTTEEYKIKDTANVLLCILNMPDVNISVLSDEDFDEEECEDLRLQAQNESFERYTVGDLEDKARSLRRDIMSHWINKELQRLDRLIDKANEKGWRYDKDAYLDKKQLLHKPSEQQRLLEEVPRVIPEIEDSKDTEVQVTTRDRSTKKSTVTFQGTNAESIVSLKRCSEEKYKGTNGTRASVLKSCAEEKFKGTGRETELSLKSLSEEKSEATNAYTGGGTAVMDTQKQDTEGADAGVDVDTAGVNVQRRSTEATKANTAGDVPGTSAQEQGAKAADIITIEDDDDDQLCESSGQTAVVDLEANGANDTHHAHKTNNIPRRVNRDVKVKGGASLHRCMWHYIDPQGDEQGPFSMEQLYRWWNNGYFPNDFRVWKTGQTSDAAISIIDALQVINEAEANTGPHVIDD >PAN19457 pep chromosome:PHallii_v3.1:3:18375388:18384454:-1 gene:PAHAL_3G275200 transcript:PAN19457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRTRSGRRRRASGGGGGSASGSKRLRWVAEEELEEEEEAGPVDGEDLCFVCKDGGLLRVCDYRSCHKAYHPVCVGKDVDFLKSDEEFICGRSHYNCLCCPQHTFCQGCVAQAEFVPVLRKTKGFCSNCLRMVIMIEKNVDVDSDGERADFNDRETYEFLFKEYWEIVRDKEGLTLDKLEEAYAILKRGQNCKQDSDLEKLNDEERNSDDDFVGNTDDDDGEEPSARAKLNGTAMKIKSFLKKGKSARNGFVGWASKELIEFLLSIGKDTSETLDQYRAAEVVKDYIRQKDLLQKDKKKLVICDDKLQLLFRKSKVKYNKIYYLLEKHIAANMISDDEILASSEDNSDSVMTKKARTVSYQSSTLKRTPEINKSCFAALVRDNINLIYLRRSLVMDLLKEPDTFESKVVGCLVRIKNDPKDYSFQKRKMLYQLGKVTGIRKTTEEYKIKDTANVLLCILNMPDVNISVLSDEDFDEEECEDLRLQAQNESFERYTVGDLEDKARSLRRDIMSHWINKELQRLDRLIDKANEKGWRYDKDAYLDKKQLLHKPSEQQRLLEEVPRVIPEIEDSKDTEVQVTTRDRSTKKSTVTFQGTNAESIVSLKRCSEEKYKGTNGTRASVLKSCAEEKFKGTGRETELSLKSLSEEKSEATNAYTGGGTAVMDTQKQDTEGADAGVDVDTAGVNVQRRSTEATKANTAGDVPGTSAQEQGAKAADIITIEDDDDDQLCESSGQTAVVDLEANGANDTHHAHKTNNIPRRVNRDVKVKGGASLHRCMWHYIDPQGDEQGPFSMEQLYRWWNNGYFPNDFRVWKTGQTSDAAISIIDALQVINEAEANTGPHVIDD >PAN19459 pep chromosome:PHallii_v3.1:3:18375388:18384454:-1 gene:PAHAL_3G275200 transcript:PAN19459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRTRSGRRRRASGGGGGSASGSKRLRWVAEEELEEEEEAGPVDGEDLCFVCKDGGLLRVCDYRSCHKAYHPVCVGKDVDFLKSDEEFICEWHTCFICEGRSHYNCLCCPQHTFCQGCVAQAEFVPVLRKTKGFCSNCLRMVIMIEKNVDVDSDGERADFNDRETYEFLFKEYWEIVRDKEGLTLDKLEEAYAILKRGQNCKQDSDLEKLNDEERNSDDDFVGNTDDDDGEEPSARAKLNGTAMKIKSFLKKGKSARNGFVGWASKELIEFLLSIGKDTSETLDQYRAAEVVKDYIRQKDLLQKDKKKLVICDDKLQLLFRKSKVKYNKIYYLLEKHIAANMISDDEILASSEDNSDSVMTKKARTVSYQSSTLKRTPEINKSCFAALVRDNINLIYLRRSLVMDLLKEPDTFESKVVGCLVRIKNDPKDYSFQKRKMLYQLGKVTGIRKTTEEYKIKDTANVLLCILNMPDVNISVLSDEDFDEEECEDLRLQAQNESFERYTVGDLEDKARSLRRDIMSHWINKELQRLDRLIDKANEKGWRYDKDAYLDKKQLLHKPSEQQRLLEEVPRVIPEIEDSKDTEVQVTTRDRSTKKSTVTFQGTNAESIVSLKRCSEEKYKGTNGTRASVLKSCAEEKFKGTGRETELSLKSLSEEKSEATNAYTGGGTAVMDTQKQDTEGADAGVDVDTAGVNVQRRSTEATKANTAGDVPGTSAQEQGAKAADIITIEDDDDDQLCESSGQTAVVDLEANGANDTHHAHKTNNIPRRVNRDVKVKGGASLHRCMWHYIDPQGDEQGPFSMEQLYRWWNNGYFPNDFRVWKTGQTSDAAISIIDALQVINEAEANTGPHVIDD >PAN16825 pep chromosome:PHallii_v3.1:3:5756616:5760858:-1 gene:PAHAL_3G089300 transcript:PAN16825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSARGSTVMTTFSCKPPQCSSRRSCHDAVAAASPPPLIRRCPSASWRWRRRQRTCRLQCGQSQQAFAARTRHHLDDDDSRLSENAGIFHPSIWGDFFLGYSNPYASSQQRTQMAERADKLKEEVAEMIASSTFSGQHERLYLIDTLERLCLDHLFEEEISAALPQIEAAGVSDCDLGTVALWFCLLRKHRYRVSPDVFARFKNEEGGFLADNPEDLLSLYNAAHMRTHGETILDEAIRFTRRRLETTLRDMEESSLAREIKSALEIPLPRRVRIYESKYYISAYEKDSTVHETVLQLAKLNSNIMQLHHQRDLEIITRWWEGLQVESRLPFARDRLVECYLWIVGVYYEPCYSRSRIILTMIIAIATLLDDIYDSYATPEECEILTQCIESWDAKAAHDLPECMKFALGKILDSFHTIANMLHQEEKYRISYLKYFTEDLVRSYNTEVKMLQDGYIPKSVEEHLQVSIRTGGCPMLSCASFVGMNDIATKDCFDWVSRVPSMVQALSRILRLLDDLQTYEREQLIPHVASTIDSYMKEHNVSIEVACEKIHTLKEESWKDFNDEWLNPDNTYPKQVLERIFNLTRTMEFMYNQEDNFTNCPNLKDTIYLLFAEPFAELI >PAN19398 pep chromosome:PHallii_v3.1:3:18072790:18075030:-1 gene:PAHAL_3G271200 transcript:PAN19398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRSPHTMNHELLKAVSTDDADLLAQVLGVWSSATAEGGDESCLRGVTAEGSSALHIAASRGYLTLVVLICTQDTSLIMARNNLLDTPLICAARAGHVDVVNYFIKHVARYPQHVLEEVPVSPILKAWNSGGATAMHEAIRNGHVSVLQKLVSRDSRLLAVVDGQGPDGQTALHAALYANNAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVIIFTLLMMDLRVNLSNINHEGLTPRHVAFAESYSFLSVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19400 pep chromosome:PHallii_v3.1:3:18072790:18075954:-1 gene:PAHAL_3G271200 transcript:PAN19400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVIIFTLLMMDLRVNLSNINHEGLTPRHVAFAESYSFLSVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19406 pep chromosome:PHallii_v3.1:3:18072790:18074790:-1 gene:PAHAL_3G271200 transcript:PAN19406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNNLLDTPLICAARAGHVDVVNYFIKHVARYPQHVLEEVPVSPILKAWNSGGATAMHEAIRNGHVSVLQKLVSRDSRLLAVVDGQGVSPLYLAVVSNQDDMVGILIRESSDGVKSPASYAGPDGQTALHAALYANNAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVIIFTLLMMDLRVNLSNINHEGLTPRHVAFAESYSFLSVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19403 pep chromosome:PHallii_v3.1:3:18072790:18074790:-1 gene:PAHAL_3G271200 transcript:PAN19403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNNLLDTPLICAARAGHVDVVNYFIKHVARYPQHVLEEVPVSPILKAWNSGGATAMHEAIRNGHVSVLQKLVSRDSRLLAVVDGQGPDGQTALHAALYANNAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVIIFTLLMMDLRVNLSNINHEGLTPRHVAFAESYSFLSVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19397 pep chromosome:PHallii_v3.1:3:18071599:18076212:-1 gene:PAHAL_3G271200 transcript:PAN19397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNNLLDTPLICAARAGHVDVVNYFIKHVARYPQHVLEEVPVSPILKAWNSGGATAMHEAIRNGHVSVLQKLVSRDSRLLAVVDGQGPDGQTALHAALYANNAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19401 pep chromosome:PHallii_v3.1:3:18071599:18076212:-1 gene:PAHAL_3G271200 transcript:PAN19401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19405 pep chromosome:PHallii_v3.1:3:18072790:18075030:-1 gene:PAHAL_3G271200 transcript:PAN19405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRSPHTMNHELLKAVSTDDADLLAQVLGVWSSATAEGGDESCLRGVTAEGSSALHIAASRGYLTLVVLICTQDTSLIMARNNLLDTPLICAARAGHVDVVNYFIKHVARYPQHVLEEVPVSPILKAWNSGGATAMHEAIRNGHVSVLQKLVSRDSRLLAVVDGQGVSPLYLAVVSNQDDMVGILIRESSDGVKSPASYAGPDGQTALHAALYANNAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19402 pep chromosome:PHallii_v3.1:3:18071599:18076212:-1 gene:PAHAL_3G271200 transcript:PAN19402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNNLLDTPLICAARAGHVDVVNYFIKHVARYPQHVLEEVPVSPILKAWNSGGATAMHEAIRNGHVSVLQKLVSRDSRLLAVVDGQGVSPLYLAVVSNQDDMVGILIRESSDGVKSPASYAGPDGQTALHAALYANNAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19399 pep chromosome:PHallii_v3.1:3:18072790:18075030:-1 gene:PAHAL_3G271200 transcript:PAN19399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRSPHTMNHELLKAVSTDDADLLAQVLGVWSSATAEGGDESCLRGVTAEGSSALHIAASRGYLTLVVLICTQDTSLIMARNNLLDTPLICAARAGHVDVVNYFIKHVARYPQHVLEEVPVSPILKAWNSGGATAMHEAIRNGHVSVLQKLVSRDSRLLAVVDGQGVSPLYLAVVSNQDDMVGILIRESSDGVKSPASYAGPDGQTALHAALYANNAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVIIFTLLMMDLRVNLSNINHEGLTPRHVAFAESYSFLSVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN19404 pep chromosome:PHallii_v3.1:3:18071599:18076212:-1 gene:PAHAL_3G271200 transcript:PAN19404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRSPHTMNHELLKAVSTDDADLLAQVLGVWSSATAEGGDESCLRGVTAEGSSALHIAASRGYLTLVVLICTQDTSLIMARNNLLDTPLICAARAGHVDVVNYFIKHVARYPQHVLEEVPVSPILKAWNSGGATAMHEAIRNGHVSVLQKLVSRDSRLLAVVDGQGPDGQTALHAALYANNAQEMCESLLHWEPTLAEKADGSGRTALHYAASAGKAKVVKLLLANDSSSAYISDDNGLFPVHVAAIAGNIKVVCELMKICPGCVEFVDNKHRNILHCAVEHGRVSVVWRIQRNPKFVSMMNAGNSEGDTPLHLAVKHGHVKKIFISACLLCCDAYPSPFYQPRNMTDKQCLEDEKEASVQYTNVSQSILSIAAFVAAGSFAADFAPPRGYDTEGDKAGMSMAMLNAHFGKVAANSMSFYCSIFATCLLVVASQTTTPIRVRRFFLCLSAVLVCLAVTNMIIAFASIVFVAMASKYPWNSWDEYVLELDGDELFVLFVNWVAVVVPMYLLLFPVSVLAVVVCFRVVMRLRKSKHPCMDILLRVLPAGCFLILVVHDVFQQFVDDETDQPGQQKPCSGPGCVVLGDAKFLHPT >PAN16946 pep chromosome:PHallii_v3.1:3:6171765:6179184:1 gene:PAHAL_3G097500 transcript:PAN16946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKKQGRASLVDLSDTLGVDLYHVERQSQKVVSDDPTLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVISILESRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQQQLQEMHGASGVSVEGSFFQSIFNGLLKEGSVLGSIRAGGQWTPAVFAHAQKESVDAFFSQNSYIGYDVLQKLAIPQPKQYLEARYPDGIALDAVFVHPSVVDMLDTAVGDAIDNGHWIDSLSVLPSYITGPDATRILSICPSLQKAIKSSKAVVFGESCVFSNVFIKGIFDRLEKDMDSFGIGHTVGQGRPVNMNLGSEHKTGSGQYSDTKDLGDNDASSTGVSSDRGSKKKRGKGTGSAKGGSLEKDDDNEESIPVKGKKAHRKNKDAGSSGDVKHGGKKASEKMKESTNVFPDELIEQKVLAVAPELEELGGSDDSNVPLKLLSSHLRPMLVDSWMKKRNTMLSGNAERRRRLLDNLQKQIDEAVLDMQLYEKALDVFEDDPATSGILHKHLLKSMGTPIVDKVLLTLDKDRKLKNGMEVEDSEDEHIQLSTADRTSLVKDLPGALSLKAQALIEALEGKRFNSFMDALRDILEESGLTFKKLDKRLERTMLHSYRKDLTAQVSSENDPVSFLPKVVALLFLQAYNKALQAPGRAVGAIIALLKDKLPASTYKVLADYHSTTVKLLALQAAATDDEEDCASDRMREKKEDLEERLMPELKSLVLGTTKE >PAN16948 pep chromosome:PHallii_v3.1:3:6172371:6179185:1 gene:PAHAL_3G097500 transcript:PAN16948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGSTVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQQQLQEMHGASGVSVEGSFFQSIFNGLLKEGSVLGSIRAGGQWTPAVFAHAQKESVDAFFSQNSYIGYDVLQKLAIPQPKQYLEARYPDGIALDAVFVHPSVVDMLDTAVGDAIDNGHWIDSLSVLPSYITGPDATRILSICPSLQKAIKSSKAVVFGESCVFSNVFIKGIFDRLEKDMDSFGIGHTVGQGRPVNMNLGSEHKTGSGQYSDTKDLGDNDASSTGVSSDRGSKKKRGKGTGSAKGGSLEKDDDNEESIPVKGKKAHRKNKDAGSSGDVKHGGKKASEKMKESTNVFPDELIEQKVLAVAPELEELGGSDDSNVPLKLLSSHLRPMLVDSWMKKRNTMLSGNAERRRRLLDNLQKQIDEAVLDMQLYEKALDVFEDDPATSGILHKHLLKSMGTPIVDKVLLTLDKDRKLKNGMEVEDSEDEHIQLSTADRTSLVKDLPGALSLKAQALIEALEGKRFNSFMDALRDILEESGLTFKKLDKRLERTMLHSYRKDLTAQVSSENDPVSFLPKVVALLFLQAYNKALQAPGRAVGAIIALLKDKLPASTYKVLADYHSTTVKLLALQAAATDDEEDCASDRMREKKEDLEERLMPELKSLVLGTTKE >PAN16947 pep chromosome:PHallii_v3.1:3:6171103:6179184:1 gene:PAHAL_3G097500 transcript:PAN16947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFDLLHTTSGKEYITSDHLKHEIKMELKKQGRASLVDLSDTLGVDLYHVERQSQKVVSDDPTLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVISILESRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQQQLQEMHGASGVSVEGSFFQSIFNGLLKEGSVLGSIRAGGQWTPAVFAHAQKESVDAFFSQNSYIGYDVLQKLAIPQPKQYLEARYPDGIALDAVFVHPSVVDMLDTAVGDAIDNGHWIDSLSVLPSYITGPDATRILSICPSLQKAIKSSKAVVFGESCVFSNVFIKGIFDRLEKDMDSFGIGHTVGQGRPVNMNLGSEHKTGSGQYSDTKDLGDNDASSTGVSSDRGSKKKRGKGTGSAKGGSLEKDDDNEESIPVKGKKAHRKNKDAGSSGDVKHGGKKASEKMKESTNVFPDELIEQKVLAVAPELEELGGSDDSNVPLKLLSSHLRPMLVDSWMKKRNTMLSGNAERRRRLLDNLQKQIDEAVLDMQLYEKALDVFEDDPATSGILHKHLLKSMGTPIVDKVLLTLDKDRKLKNGMEVEDSEDEHIQLSTADRTSLVKDLPGALSLKAQALIEALEGKRFNSFMDALRDILEESGLTFKKLDKRLERTMLHSYRKDLTAQVSSENDPVSFLPKVVALLFLQAYNKALQAPGRAVGAIIALLKDKLPASTYKVLADYHSTTVKLLALQAAATDDEEDCASDRMREKKEDLEERLMPELKSLVLGTTKE >PVH63063 pep chromosome:PHallii_v3.1:3:60137390:60141078:-1 gene:PAHAL_3G465000 transcript:PVH63063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEAEVAAAAGDVEEAAAPLVPGSGARRASAAATPRDVHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSISLGVLYTSFTAFSAVGSAVVRWMGSRCALVVGTSGYLLFIAANLAPSWWTRNTNKEKLRVEEERELGNVVGGRKYDIGGWKRINNEILSLIVLKFSFF >PVH62332 pep chromosome:PHallii_v3.1:3:17669389:17671864:1 gene:PAHAL_3G265500 transcript:PVH62332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSHPLGQNFHIVGLAQNFNTSPPPPPSVTRTPKPSRLDKETIDIDDDGHTGSEETRTVKKRYWTHEEEVRLTSAWLNCSNDPIHGNDKKGDTFWKEIAEYFNKHAPADRQRDVNQLKIHWSRLKTLISNFNGCWSAVSKMHTSGYSNDQLMDEAQKMYANANNGKPFTLVHWWKALRNEPKFCAHISQMDKEKGQSRTIDIIEDKDQQPPQRPIGRDAAKAQKNGKRKVEEVLDGIVLLGENINKIVEVQQERKQEREKVAAAQLEISRIQLKAAQEQKEAKLLEVYSSLLQQDTSQLSEQARINREKTLQKMELKLFGDSGGE >PVH62333 pep chromosome:PHallii_v3.1:3:17669389:17671864:1 gene:PAHAL_3G265500 transcript:PVH62333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSHPLGQNFHIVGLAQNFNTSPPPPPSVTRTPKPSRLDKETIDIDDDGHTGSEETRTVKKRYWTHEEEVRLTSAWLNCSNDPIHGNDKKGDTFWKEIAEYFNKHAPADRQRDVNQLKIHWSRLKTLISNFNGCWSAVSKMHTSGYSNDQLMDEAQKMYANANNGKPFTLVHWWKALRNEPKFCAHISQMDKEKGQSRTIDIIEDKDQQPPQRPIGRDAAKAQKNGKRKVEEVLDGIVLLGENINKIVEVQQERKQEREKVAAAQLEISRIQLKAAQEQKEAKLLEVYSSLLQQDTSQLSEQARINREKTLQKMELKLFGDSGGE >PAN21113 pep chromosome:PHallii_v3.1:3:57342539:57345469:-1 gene:PAHAL_3G437100 transcript:PAN21113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFENVVCDQSTRLFVSNLTSTYVNQSNEASMVAASVIMFVLAGVFFNLNLFSGISDVSAILDPKVRIVLSSALSLLLPVMSYLFSEAKNAGKASSTKPAGAGAATDLTLQALVILVWMLLVELLRKKVDEIRMKGISSSLQRAGRVVWLGSLVFFNIRSAGRKILFGILWILCATTVVQRIAFTEFGKRSYAHGKNARLIASYMAQILGLHVVPVVHHDAGDVEHAAQRPTPEQLLKACPYIVVGEDQLVKEATADGYDLNVDPNGVAVVTGVVTVGDVWRLTESDSSFDGWDRDQRLRRLCLSFALFKLLRRRFEQHEPLTEEEATHGRELILKGLYCNKVKEDGHGGTVRMTTDSEAVFQVITDDVNFLSEYYHSVVPVIFASPFFLLANYLLLPVVVLGLCLMTVFLCGNGDFGYALASIHVDNYTLSTGIRNLTMCLFKEVKKSPNSFFALLDLAITFLLFIIFVYESVWELAVFLLSNWFMVALVCDYAARPAWREKPSFRWGFRRIRWLRSRMSHGELTIKQFSVLNLRWPPVFPLPSTLSLLVRARTVPASVKDSIMEYLVAHDHAAPISIGKPVVDQDLQWAFKSKSIAEVILTWHIATTIFEDKFPSHTSSSIVATRLSKYCSYLVIFHPELLPDNQDKTEDVVDLVKKELKESLGCLEFFFFRQAARVERIMKLEGEGSWTEKKVVKNGVTLGASLRAKAKPPNDPGSKPPNDPEIVWKMLGDVWTEIMIYLAPSTDEERMKGHENALVEGGEFITVLWALTTHTGVSRKPMPDGGQGEDGDRTKRAAGSPGSGH >PVH61324 pep chromosome:PHallii_v3.1:3:619698:619895:1 gene:PAHAL_3G011100 transcript:PVH61324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSQDIADVLGNLQQAISGCSRVLALKYLTNVNKWLFLWWESRLLFCGAVENDPGPGNKEYPLR >PAN20489 pep chromosome:PHallii_v3.1:3:25176155:25177338:-1 gene:PAHAL_3G324300 transcript:PAN20489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTKDEALRSIKLAKSAFTSGDRQAEKFDILNGATYQGKTGRGQACENPKTPKESVGHVNVNQVYTEENIRVVQDIRKKKDYYAVLGVERRCSVEEIRKAYRRLSLKVHPDKNKAPGAEDASKSVSKVFKCLSNDQSRKTYDQIGTIEDHEFNEQYPNAMRRGMARRRTQARSGFYNYEEDFDPDEIFRSFFYGTHDNLFRAQNTYRARGTVRQQQQRREHTVQGGSGINLTVLVHLAVVLIIVSLAFIPVQRPEYSLQKTYYLPISKVTQKHGVEYFVSKQDFDQKFPQGSQSREILEQYVFKDYKSLLGRYCHVEPKDYPTPNCDKLRNLSVA >PAN19229 pep chromosome:PHallii_v3.1:3:16979285:16982257:-1 gene:PAHAL_3G257800 transcript:PAN19229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAPEPRLLTRALSIGGGDGGWVPEEMLHLVMGFVEDPRDREAASLVCRRWHRIDALSRKHVTVPFCYAVSPARLLARFPRLESLAVKGKPRAAMYGLIPEDWGAYARPWVTELAAPLECLKALQLRRMVVTDDDLAELVRARGHMLQELKLDKCTGFSTDGLRLVARSCRSLRTLFLEECQIIDNGSEWIHDLASSCPVLATLNFHMTELEVMPAELELLAKNCKSLISLKISDCDLSDLIGFFHSATALEEFAGGTFNEQVELAKYGSVKFPSRLCSLGLTFMGTNEMAIIFPFSAILKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRIERGADEGGLQEEQGGVSQVGLTAIAVGCRELEYIAAYVSDITNGALESIGTFCKKLYDFRLVLLDREERITELPLDNGVRTLLRGCTKLRRFALYLRPGGLSDVGLGYIGQCSGNIQYMLLGNVGETDDGLVRFALGCANLRKLELRSCCFSERALALAILQMPALRYIWVQGYKASQTGRDLMLMARPFWNIEFTPPNPENARLNEDGEYCVDSQAQILAYYSLAGKRLDCPQSVVPLYPA >PAN20387 pep chromosome:PHallii_v3.1:3:51294039:51306974:1 gene:PAHAL_3G404100 transcript:PAN20387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAGGAGAGGGGADIASLLEKAKELDQLKKDQDEVVTEINKIHKKVLASPEMVDKSVDAILLKLRGLYARAKELSESEVSASTALIGLLDGLLQSGASTAQRKKIEVGEQKKKRIKSDTDTARFSAASMRNQLDQAANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESTQKYERKYKLPMSCIIPFPKKGDPSSAPDFGQGRQVLAVYPGTTALYRATVASHRKRKSDDYILEFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >PAN20386 pep chromosome:PHallii_v3.1:3:51294039:51306974:1 gene:PAHAL_3G404100 transcript:PAN20386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAGGAGAGGGGADIASLLEKAKELDQLKKDQDEVVTEINKIHKKVLASPEMVDKSVDAILLKLRGLYARAKELSESEVSASTALIGLLDGLLQSGASTAQRKKIEVGEQKKKRIKSDTDTARFSAASMRNQLDQAANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESTQKKYKLPMSCIIPFPKKGDPSSAPDFGQGRQVLAVYPGTTALYRATVASHRKRKSDDYILEFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >PVH63038 pep chromosome:PHallii_v3.1:3:59612901:59614844:1 gene:PAHAL_3G458900 transcript:PVH63038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKRELEEGGSSGGTPSSQAPALKKRCRSFDLEIRGCRHLQELAAAVKDPLGGYNFSLGTTGPSDMCQYVSPEDACLRHQADTNNCAAGLNDAGIEMPFWPGNGPLDESTSAHINMTFNATATYNHWCSRVRSGEHGEAGASALAPQQYCLAGIGLCSWQPSTKPRKHALVWVFLIEDGHGAAYQS >PVH63037 pep chromosome:PHallii_v3.1:3:59612901:59614844:1 gene:PAHAL_3G458900 transcript:PVH63037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKRELEEGGSSGGTPSSQAPALKKRCRSFDLEIRGCRHLQELAAAVKDPLGGYNFSLGTTGPSDMCQYVSPEDACLRHQADTNNCAGLNDAGIEMPFWPGNGPLDESTSAHINMTFNATATYNHWCSRVRSGEHGEAGASALAPQQYCLAGIGLCSWQPSTKPRKHALVWVFLIEDGHGAAYQS >PAN21354 pep chromosome:PHallii_v3.1:3:59613742:59614506:1 gene:PAHAL_3G458900 transcript:PAN21354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLSTFVCQDPLGGYNFSLGTTGPSDMCQYVSPEDACLRHQADTNNCAAGLNDAGIEMPFWPGNGPLDESTSAHINMTFNATATYNHWCSRVRSGEHGEAGASALAPQQYCLAGIGLCSWQPSTKPRKHALVWVFLIEDGHGAAYQS >PVH63036 pep chromosome:PHallii_v3.1:3:59613742:59614506:1 gene:PAHAL_3G458900 transcript:PVH63036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLSTFVCQDPLGGYNFSLGTTGPSDMCQYVSPEDACLRHQADTNNCAGLNDAGIEMPFWPGNGPLDESTSAHINMTFNATATYNHWCSRVRSGEHGEAGASALAPQQYCLAGIGLCSWQPSTKPRKHALVWVFLIEDGHGAAYQS >PVH61497 pep chromosome:PHallii_v3.1:3:3042620:3046741:1 gene:PAHAL_3G047600 transcript:PVH61497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISLMRLETTRYGYILLLVCDVMMQISLVTSSCKFALKNLKKWMQPKKVPAGVLTFPSTARVTPEPLGIVLVVSAWNYPFLLAVDPVIGAIAAGNAVVLKPSEIAPATSSLLADLLPRYVDSSCIKVVEGGIAETTALLEQKWDKIFYTGNSKVGRIVMSFAAKHLTPVVLELGGKCPVVVDSNVDLHVAAKRIAAGKWGCNSGQACISPDYVITTKSFAPKLLDSLKKVLDEFYGKDPLRSEDLSRIVNSNHFNRLKALMDEEMVSDKIVFGGQSDEQQLKIAPTLFLDAPLDSAIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFSKDSKLKRQFERNVSAGGVIFNDTGIHLTNQNLPFGGVGESGMGAYHGTFSFDAFTHRKAVLDRSFLGEAKARYPPYTRGKLKILKGVLKGNPLAMVQAALGCTGWA >PAN16242 pep chromosome:PHallii_v3.1:3:3042193:3046965:1 gene:PAHAL_3G047600 transcript:PAN16242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAVAAEARELLRASFASGRTRPAAWRAAQLRGLLRMAAEMEAEICAALRADLAKPHTESYVHEISLVTSSCKFALKNLKKWMQPKKVPAGVLTFPSTARVTPEPLGIVLVVSAWNYPFLLAVDPVIGAIAAGNAVVLKPSEIAPATSSLLADLLPRYVDSSCIKVVEGGIAETTALLEQKWDKIFYTGNSKVGRIVMSFAAKHLTPVVLELGGKCPVVVDSNVDLHVAAKRIAAGKWGCNSGQACISPDYVITTKSFAPKLLDSLKKVLDEFYGKDPLRSEDLSRIVNSNHFNRLKALMDEEMVSDKIVFGGQSDEQQLKIAPTLFLDAPLDSAIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFSKDSKLKRQFERNVSAGGVIFNDTGIHLTNQNLPFGGVGESGMGAYHGTFSFDAFTHRKAVLDRSFLGEAKARYPPYTRGKLKILKGVLKGNPLAMVQAALGCTGWA >PAN17186 pep chromosome:PHallii_v3.1:3:7115592:7118688:-1 gene:PAHAL_3G111700 transcript:PAN17186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVSLGASRPSTVNFRMPTRDNLVPIRVDLEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPANFVPQMLQSIQGQLAEFRSYEGQEMQIKEKIVPLKIDLRVNNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSVMREKQLSKKGRRAPEFSSNSKAVNNAVDLFKYFGSKGSVIRKRKEWYLYEPVVDVVANEEDGKEEPPNNSSRPKKRAEEEKVASLQSL >PAN22003 pep chromosome:PHallii_v3.1:3:63600023:63601475:1 gene:PAHAL_3G506500 transcript:PAN22003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTSPLKRPSAGGRLRRLLASLRPPARAGPLPVQTGFPTSLADLVVKNHGRLKKPRRRHRAAGAPAPPVVASAEPAPQQRRELSVAQAAAVPAPAPARGKGAGFSIRPELLAAGGVLALALLVIWSKQLVAAATLASVALFWIESVRSPASRRRPRPETNKREPDSRGGGLVSPIREAESPAETPRPSCAASDTGSEVSSLWAADSTDLAGNDSDSTSPNKRKEKRRSLRKLLANKLQNGKRSKEGSKDSRHGGESEQPGAGEVIAPEPAEAAAAAAEETAPPREAVTDEGCHRRRGPALPLAAFVPVILAGLVAGTLPAVALTVLCAVFFTSVERAPDAAAQASGHGSDPR >PAN21748 pep chromosome:PHallii_v3.1:3:61827186:61829589:-1 gene:PAHAL_3G486400 transcript:PAN21748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPGHASGPPWCYADDAGEAAAAGDATGSFTAMIADYSTDDPFYLLWEEQGGGAPGSTTTTTQPPEVWRDPPSEDEMAAWLRAIVKGDELAFTDGGGREQAAGGQDVPGTKGSSDASATDKKEKLPMTEQGTMGAKLEMRKPPAGGGSTSRRSHPHHGEAHSLTEKRRRHKINEKLRTLQQLVPGCDKSNQASTLDQTIQYMKSLQQHVQAMSFGPARPPAAVYPVVQPHHAPPGVPPAVDVPMMPAAPVVLAPAPTMVVPFGAMLQLPHYPAAGVPVMMPAAAAAPLYPAARAAAAPESARSSASHRRGSSSSSSKEKGGGSLRMKH >PAN21885 pep chromosome:PHallii_v3.1:3:62784628:62787951:1 gene:PAHAL_3G497300 transcript:PAN21885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYERIHKVQMGVISPTKLRMKLLGSHGGGGGKDEATRKSPRASPSRLDDADHPKNSLLAQELDEEYPKDRSDSSRSRSDASRGRGARSGGGSGSGCDSGAENGGVGGNFEFYKEERAALHPPAAAAVAVSGPFFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKPAAAPPHTPTAGGRVAPESAASKGGAGACCDSQSSRGGGGGAAVSALTELSSKSSSPSSVSGPASKPPHKKLRFSSAGAPAPAPSVSVSMRDVGTEMTPIASQEQSRSGTPAGAATPSLSPLCSVPSSPRGGSASASSSASERELRLRTRREIAALGLQLGKMNIASWASKEEGLLAAHAAASPEHSAGAIDEKEIKRKEFEARAKVWEESKKCKLASRYQRKEVKIQEWESCQKSKFEAKLRQAEARAEQMKARAKQDLAKSLSDLSQKVEGKQARVEARRSLQATRLAREVERIRKTGREPCRLRRCCAWFML >PAN21884 pep chromosome:PHallii_v3.1:3:62784972:62787407:1 gene:PAHAL_3G497300 transcript:PAN21884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYERIHKVQMGVISPTKLRMKLLGSHGGGGGKDEATRKSPRASPSRLDDADHPKNSLLAQELDEEYPKDRSDSSRSRSDASRGRGARSGGGSGSGCDSGAENGGVGGNFEFYKEERAALHPPAAAAVAVSGPFFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKPAAAPPHTPTAGGRVAPESAASKGGAGACCDSQSSRGGGGGAAVSALTELSSKSSSPSSVSGPASKPPHKKLRFSSAGAPAPAPSVSVSMRDVGTEMTPIASQEQSRSGTPAGAATPSLSPLCSVPSSPRGGSASASSSASERELRLRTRREIAALGLQLGKMNIASWASKEEGLLAAHAAASPEHSAGAIDEKEIKRKEFEARAKVWEESKKCKLASRYQRKEVKIQEWESCQKSKFEAKLRQAEARAEQMKARAKQDLAKSLSDLSQKVEGKQARVEARRSLQATRLAREVERIRKTGREPCRLRRCCAWFML >PAN16153 pep chromosome:PHallii_v3.1:3:2575375:2577962:-1 gene:PAHAL_3G040300 transcript:PAN16153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSQAPYKSRCFSQATTRDDGGRSREVDRNFSLGAVRDKRDLHHGGAREQAIKEEDEQEEGDGAPGGNGGEGDPDLAALSLEIDAFIAGHDGDAPVTITEATLEKFASAVELMVARSEGTEDKWAAEASGEPSPLLAAITRIAALASALGKSPEGGGKHTAALHRVTGVLHRAMAFLEDEFHALLGDARASKAGNEQVAHESDRCVLPLPPSDASAAVGKEAAPPYPPETVDRLRAMADAMIAAGYSTECTQMFLVARRNAFDAALQGLGYEKSNIDDVVKMTWEALEAEIVTWTKAFRHAINVALSTEHDLCTRVFSGRHAAVGRGIFADLARCVMLHMLSFTEAVAMTKRAAEKLFKVLDMYEAVRDASPVIEAFLSADEPTSDHNNSALAELKAEIASMRARLSEFAAAIFHELEGSIRADAGKQPVPGGAVHPLTRYVMNYLKYACEYNSTLEQVFREHRRHDGGGDSDDNPFSAQLMDVMELLHSNLEAKSRLYKDPSLSNIFLMNNGRYMLQKIRGSSEINAMLGEAWARKQSTNLRQYHKNYQRETWSRVLGLLRDDGVLTVKGHVQKPVLKERFKQFNAAMDEIHRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQHFSAGRQTEKYVKLSAEDVETIIDELFDGNATSMVRRRT >PAN21436 pep chromosome:PHallii_v3.1:3:60155492:60156099:-1 gene:PAHAL_3G465300 transcript:PAN21436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCYPDTPSTIGVEGQELHGSSGCITSILKGNPSDMDGYPMDQIWMEIEAPEVLSGMGLDGGNDNACSSLAAPLLPPTAWDYYPEACWKIDEEIKMAPQFGYSEGVGPCF >PAN21437 pep chromosome:PHallii_v3.1:3:60155492:60156425:-1 gene:PAHAL_3G465300 transcript:PAN21437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCYPDTPSTIGVEGQELHGSSGCITSILKGNPSDMDGYPMDQIWMEIEAPEVLSGMGLDGGNDNACSSLAAPLLPPTAWDYYPEACWKIDEEIKMAPQFGYSEGVGPCF >PAN21434 pep chromosome:PHallii_v3.1:3:60155101:60156728:-1 gene:PAHAL_3G465300 transcript:PAN21434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCYPDTPSTIGVEGQELHGSSGCITSILKGNPSDMDGYPMDQIWMEIEAPEVLSGMGLDGGNDNACSSLAAPLLPPTAWDYYPEACWKIDEEIKMAPQFGYSEGVGPCF >PAN21435 pep chromosome:PHallii_v3.1:3:60155492:60156099:-1 gene:PAHAL_3G465300 transcript:PAN21435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCYPDTPSTIGVEGQELHGSSGCITSILKGNPSDMDGYPMDQIWMEIEAPEVLSGMGLDGGNDNACSSLAAPLLPPTAWDYYPEACWKIDEEIKMAPQFGYSEGVGPCF >PAN16566 pep chromosome:PHallii_v3.1:3:4413229:4417737:1 gene:PAHAL_3G069100 transcript:PAN16566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDFDSPDGGDAWLLDAMATSLHFSAASPPLWPCGDPHHSSVVPLDTAAPQDDAPGARSGKSEITKKGEPINGKCQVHLSMVEDYSDSSYFLKERLTLALRYFKESTNQHLLVQVWVPIRKGDRYMLSTSGQPFVLDQRSIGLFQYRAVSMMYMFSVDGDNVKELGLPGRVYKQKVPEWTPNVQYYSSTEYARLNHAISYNVHGTVALPVFDPSTKSCIAVVELIMTSKKVNYASEIGKVSKALEAVNLKSTEVVEHPYVQIFNEGHQATLVEMLEVLTVICEELKLPLAQTWVPCKYQNLLVPCGVKKSNFNIHGSCAQELCMSTSDVVFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFILRRPCFSKDVTRFSKMEYPLVHYARMFGLAGCFSVCLQSAYTGNDDYVLEFFLPPDCREDDEQKVLLESILTLLRQHLHSLHVATDEGSNEELQVDAITVIDNNETKDEYVQHPNFEGGINASHESNAHGIHESDRQKRIASSEYEMCLSPENSTKCNGKLFVGPNGGCTSDSLLPDNNSKHHGRRRGKAEKTFSLEVIQHYFTGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRQISKVNRSISKLKKVIESVEGSESAFTLTSITGPLPVPFSPSNPINVKNGRQTERSFLANISRQIEQEKALNLRSSSGEPSTNSGTSEDSCLGSPANRTFVSTLMEQQQNMGKPDRFTKGPFQTQDLLLPGLFVNGSGSSKNCKSHITAAVNEPAVVPLGSLMSVHNSGIVTVKARYKEDLLRFRFPCSASIIDLKDEVAKRIQIDVGVFDIKYLDDDHEWVKLTCDADLEECVEISRLSGSNVLRLLVTDIAPILGSSCGSTG >PAN16564 pep chromosome:PHallii_v3.1:3:4413229:4417737:1 gene:PAHAL_3G069100 transcript:PAN16564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDFDSPDGGDAWLLDAMATSLHFSAASPPLWPCGDPHHSSVVPLDTAAPQDDAPGARSGKSEITKKGEPINGKCQVHLSMVEDYSDSSYFLKERLTLALRYFKESTNQHLLVQVWVPIRKGDRYMLSTSGQPFVLDQRSIGLFQYRAVSMMYMFSVDGDNVKELGLPGRVYKQKVPEWTPNVQYYSSTEYARLNHAISYNVHGTVALPVFDPSTKSCIAVVELIMTSKKVNYASEIGKVSKALEAVNLKSTEVVEHPYVQIFNEGHQATLVEMLEVLTVICEELKLPLAQTWVPCKYQNLLVPCGVKKSNFNIHGSCAQELCMSTSDVVFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFILRRPCFSKDVTRFSKMEYPLVHYARMFGLAGCFSVCLQSAYTGNDDYVLEFFLPPDCREDDEQKVLLESILTLLRQHLHSLHVATDEGSNEELQVDAITVIDNNETKDEYVQHPNFEGGINASHESNAHGIHESDRQKRIASSEYEMCLSPENSTKCNGKLFVGPNGGCTSDSLLPDNNSKHHGRRRGKAEKTFSLEVIQHYFTGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRQISKVNRSISKLKKVIESVEGSESAFTLTSITGPLPVPFSPSNPINVKNGRQTEVTDLSIPSVQENRGSSSQIKLLQNDDRLGMLIPQRSFLANISRQIEQEKALNLRSSSGEPSTNSGTSEDSCLGSPANRTFVSTLMEQQQNMGKPDRFTKGPFQTQDLLLPGLFVNGSGSSKNCKSHITAAVNEPAVVPLGSLMSVHNSGIVTVKARYKEDLLRFRFPCSASIIDLKDEVAKRIQIDVGVFDIKYLDDDHEWVKLTCDADLEECVEISRLSGSNVLRLLVTDIAPILGSSCGSTG >PAN16567 pep chromosome:PHallii_v3.1:3:4414423:4417737:1 gene:PAHAL_3G069100 transcript:PAN16567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDYSDSSYFLKERLTLALRYFKESTNQHLLVQVWVPIRKGDRYMLSTSGQPFVLDQRSIGLFQYRAVSMMYMFSVDGDNVKELGLPGRVYKQKVPEWTPNVQYYSSTEYARLNHAISYNVHGTVALPVFDPSTKSCIAVVELIMTSKKVNYASEIGKVSKALEAVNLKSTEVVEHPYVQIFNEGHQATLVEMLEVLTVICEELKLPLAQTWVPCKYQNLLVPCGVKKSNFNIHGSCAQELCMSTSDVVFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFILRRPCFSKDVTRFSKMEYPLVHYARMFGLAGCFSVCLQSAYTGNDDYVLEFFLPPDCREDDEQKVLLESILTLLRQHLHSLHVATDEGSNEELQVDAITVIDNNETKDEYVQHPNFEGGINASHESNAHGIHESDRQKRIASSEYEMCLSPENSTKCNGKLFVGPNGGCTSDSLLPDNNSKHHGRRRGKAEKTFSLEVIQHYFTGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRQISKVNRSISKLKKVIESVEGSESAFTLTSITGPLPVPFSPSNPINVKNGRQTEVTDLSIPSVQENRGSSSQIKLLQNDDRLGMLIPQRSFLANISRQIEQEKALNLRSSSGEPSTNSGTSEDSCLGSPANRTFVSTLMEQQQNMGKPDRFTKGPFQTQDLLLPGLFVNGSGSSKNCKSHITAAVNEPAVVPLGSLMSVHNSGIVTVKARYKEDLLRFRFPCSASIIDLKDEVAKRIQIDVGVFDIKYLDDDHEWVKLTCDADLEECVEISRLSGSNVLRLLVTDIAPILGSSCGSTG >PAN16565 pep chromosome:PHallii_v3.1:3:4413229:4417737:1 gene:PAHAL_3G069100 transcript:PAN16565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDFDSPDGGDAWLLDAMATSLHFSAASPPLWPCGDPHHSSVVPLDTAAPQDDAPGARSGKSEITKKGEPINGKCQVHLSMVEDYSDSSYFLKERLTLALRYFKESTNQHLLVQVWVPIRKGDRYMLSTSGQPFVLDQRSIGLFQYRAVSMMYMFSVDGDNVKELGLPGRVYKQKVPEWTPNVQYYSSTEYARLNHAISYNVHGTVALPVFDPSTKSCIAVVELIMTSKKVNYASEIGKVSKALEAVNLKSTEVVEHPYVQIFNEGHQATLVEMLEVLTVICEELKLPLAQTWVPCKYQNLLVPCGVKKSNFNIHGSCAQELCMSTSDVVFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFILRRPCFSKDVTRFSKMEYPLVHYARMFGLAGCFSVCLQSAYTGNDDYVLEFFLPPDCREDDEQKVLLESILTLLRQHLHSLHVATDEGSNEELQVDAITVIDNNETKDEYVQHPNFEGGINASHESNAHGIHESDRQKRIASSEYEMCLSPENSTKCNGKLFVGPNGGCTSDSLLPDNNSKHHGRRRGKAEKTFSLEVIQHYFTGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRQISKVNRSISKLKKVIESVEGSESAFTLTSITGPLPVPFSPSNPINVKNGRQTEVTDLSIPSVQENRADRSNRRKL >PVH61604 pep chromosome:PHallii_v3.1:3:4900699:4901187:-1 gene:PAHAL_3G074700 transcript:PVH61604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEEDAQPPPPSVAERRKARRKALIQEHLSVVERAMAGREDARERAALAEVAAGLRRREEELDRAGDAEAWAAAHPPKRMPEARVAYYRAVAEGPDDCPGPPNALPLLDEMTDGLHKKLNQVKERAAGLVREYEANGYIEQFDADDFGLPPNYASLLRGM >PAN17665 pep chromosome:PHallii_v3.1:3:9569935:9570456:-1 gene:PAHAL_3G148000 transcript:PAN17665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMVLAVLALAAAASAQQIGWEWPQQGAYAAAAALYPCAEYLRQPQCSPVAAPYYVRRGQTMWQPSAVCQPLRHHCCHQLGLMDAMSRCQAICSVAQSVVPQLQLQGAGGGGMYEPAAALTMQQWRQLLPPAEAPMAVAQAAQDLPAMCGLYPLPSYCTIPCALSVAAPPYYY >PAN21818 pep chromosome:PHallii_v3.1:3:62265246:62270435:-1 gene:PAHAL_3G490700 transcript:PAN21818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEEGAPKPPQPEPAGSELDDARNTPPPPNPLPPPPAPAPAPAPVPAPAAEASAAAPHASAAISPPAAAAEANGTSDRKKKRKAEDGEGCKTCSCKKSKCLKLYCVCFASGSHCTESCGCEPCLNKPMQGAPRTAPVLPLKPVQTLEAAQESVEQLIRSPMDLIRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCEDCRNPFGRKVGVILDGKSALAAPVLNERNGAEVDSSDDEDDYYMNRQLSPIPPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPEPPSWQLSRRPVEEARGEQWRFSRRPSEDGTSDAMEAHAMAQRDKKLEIHVDRFSIPRCIEVMSAMADLSPIEKSLAPDVFLEPSNREIFLSLSVDIRPIWLRRKMKSLV >PVH62686 pep chromosome:PHallii_v3.1:3:38743087:38743821:-1 gene:PAHAL_3G368400 transcript:PVH62686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDVMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPVEGRGRTWIARMRGLGREEEDLEYTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDQFLPIKKRSIRTEEESP >PAN16679 pep chromosome:PHallii_v3.1:3:5033977:5041237:-1 gene:PAHAL_3G076600 transcript:PAN16679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATGNSTTPRAVPPLLADVEVSNLPGFDVTPTPSPRHQDAATPTTPSARPQPSPKKASRPGGLPMDRVTRRSEVVLPSLESPFQAPGYRTMQPVSISLPASPTGFGAPAIPAGLGGGAGGDPDGLRRQAMANAAARGEPQPSPPAAQDKHGGSVRFAHQPDKVVFRSQPIPGGQPAPPGSARAGRAGSRVMSRDKRYDSFKTFSGKLERQLTHLARAPEVHDDEEDGEDDAITTSRSTSMPKVDRFFAALEGPELDQLKSSEELVLPSDKKWPFLLRFPVSAFGMSLGVSSQAILWKTIATSAPTTFLHVGTKVNLVLWCISVALMCAITAVYALKVAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPRWLWYALMAPVLVLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFSVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARITGEFGYGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNTFTKALCVALSAVAVLTVTALFATTLVHAFVLRNLFPNDISIAITERKMKPIIELHESQGEDGSTKSNDIEAGSAQ >PAN16678 pep chromosome:PHallii_v3.1:3:5033978:5041237:-1 gene:PAHAL_3G076600 transcript:PAN16678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATGNSTTPRAVPPLLADVEVSNLPGFDVTPTPSPRHQDAATPTTPSARPQPSPKKASRPGGLPMDRVTRRSEVVLPSLESPFQAPGYRTMQPVSISLPASPTGFGAPAIPAGLGGGAGGDPDGLRRQAMANAAARGEPQPSPPAAQDKHGGSVRFAHQPDKVVFRSQPIPGGQPAPPGSARAGRAGSRVMSRDKRYDSFKTFSGKLERQLTHLARAPEVHDDEEDGEDDAITTSRSTSMPKVDRFFAALEGPELDQLKSSEELVLPSDKKWPFLLRFPVSAFGMSLGVSSQAILWKTIATSAPTTFLHVGTKVNLVLWCISVALMCAITAVYALKVAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPRWLWYALMAPVLVLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFSVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARITGEFGYGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNTFTKALCVALSAVAVLTVTALFATTLVHAFVLRNLFPNDISIAITERKMKPIIELHESQGEDGSTKSNDIEAGSAQ >PAN21608 pep chromosome:PHallii_v3.1:3:61299546:61304634:1 gene:PAHAL_3G479700 transcript:PAN21608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAMAAAAVVSRIFFSLKFRTKSKRPRDVRLASVISILLMCPSTAMLHFDRLKGVGNMWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PVH63134 pep chromosome:PHallii_v3.1:3:61299802:61303814:1 gene:PAHAL_3G479700 transcript:PVH63134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PAN21610 pep chromosome:PHallii_v3.1:3:61299802:61312563:1 gene:PAHAL_3G479700 transcript:PAN21610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSTAMLHFDRLKGVGNMWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PVH63132 pep chromosome:PHallii_v3.1:3:61299802:61304634:1 gene:PAHAL_3G479700 transcript:PVH63132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PAN21609 pep chromosome:PHallii_v3.1:3:61299802:61304634:1 gene:PAHAL_3G479700 transcript:PAN21609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSTAMLHFDRLKGVGNMWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PVH63130 pep chromosome:PHallii_v3.1:3:61299545:61304634:1 gene:PAHAL_3G479700 transcript:PVH63130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAMAAAAVVSRIFFSLKFRTKSKRPRDVRLASVISILLMCPSTAMLHFDRLKGVGNMWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PVH63131 pep chromosome:PHallii_v3.1:3:61299802:61312563:1 gene:PAHAL_3G479700 transcript:PVH63131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSTAMLHFDRLKGVGNMWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PAN21607 pep chromosome:PHallii_v3.1:3:61299546:61304634:1 gene:PAHAL_3G479700 transcript:PAN21607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAMAAAAVVSRIFFSLKFRTKSKRPRDVRLASVISILLMCPSTAMLHFDRLKGVGNMWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PVH63133 pep chromosome:PHallii_v3.1:3:61299802:61303814:1 gene:PAHAL_3G479700 transcript:PVH63133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMFLSTILFISGSIYLLSAHYYVAEHPSLVRWSQWKKEKWIGSGSFGQVYLASYRERGFFCAIKEIRIPDPDSKEQIEKLYWEINILNQFSHPNIVQYYGSNLTDGILSIYMEYMPKGSIHKLLKDGPFKENTIRHCTAQILSGLAYLHAMEIAHRDIKGGNILVGPNGEVKLADFGLAKKISYEAAIHSDKGTSFWMAPEVIKSKFSGSGYNLLVDIWSLGCTVIEMATGEHPWHEHCRHGEPCHNPIAGMFRAANSDDTPEIPEGLSEEGKEFLRQCLRRDPRSRSTAAQLMDHPFVREYFAAA >PVH61576 pep chromosome:PHallii_v3.1:3:4210345:4217956:-1 gene:PAHAL_3G065800 transcript:PVH61576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSPRRSRSPARETYHKRANSFGSVLPAKQKDDELPLFSDMQKVERENFLLEPSEDFDDSIAKLSYFSEVKLGVSIPARGESHDLLDVDGDKNDCEWLLTPPETPLFRSLDDDDQSVTQVSRGRAQTKPIQISRSSTMDNTQRARSSASPSRLSPSPRSMARTRSSSSASRSSPPPSLQPPTLSRRSSTPPVAKTLTPPRRSPSPASRRMSTGSSVPTLNGTRGSSPVKPNRRSSSPKLQGWQSNVPGFPFDAPSNLRTSLPDRPVSRSRGGSPSSINGLDKGSRGRRQSMSPTPSRRASSSHSIERDRMSSYSKASATSSGEDDLDSMQSVPNSYSSSPAVKKSLAVMKTRTIASSKNLSKNFTSSSVPKRSFDSALWLMDHRKAPQDRFRPLLSGVPASTFGPGNGNNVHKPMFSHNSSLTTSSNASSDHAAIFGSYKHGNQEQHDLVDEWEAGDSSRGHEDIFMFDKLDGLNEENIHYKSTKSMENSPVIVKHQVSDRQDFDMEESGTCDQSLCHSINSSLVSYGKTATCVRCGKYFNVMDVDGEGDYCEICASKVGNIFTDSIAQTIEEADQLDDKAANLRPCIVSDPPVAPDCIDHRNEVSLDHQLVNDEPHNDCLDQALPFHSMVDTPQEMMLGQEGKIDAEHTKQHVGDSALGNNINISFHQSSATDCQQTQLTSAEHDLFRDQIDNHNHGLSQCSETISETVTSDNSHQLTSTSRKLENTSTGISVLLLQKSNSNKWPVVEGRTLGSANTLCLEPYYARDGVNIMKRSFGRDSSSAASSSDLGSSRQSVIYFERLRSGKRGDFEKSQISSTMSRQSIASVSDMSISSSSASLCPQSDAVGDTYLPIDTLESSASRKVTPTEEYDSSGKDALSSAMECWSAAQAIVNDGSLVDLSTSSFIDMVEGDATIENHCTGRMADSDHFSSNMCLSDTEMPSDTQESSAPEESCIRENEEDTSAISQCNTNGAPEHPSDENNFDNMQVQFGAVQGSNEVNRLDDCCMSAISEEDVLISEQKTNIMKLPNDEESCEAVDGSRKQIQRCFTLEEAADTILFCSSIVHDLAYKAATIALENEKESECVDSIRPTVTIVGRSGQKEDSLPKLAHRRTPNRKVKRKRLEGETTTTETTEKDAVAKDSSPVRSASGITRNSDNMKPPKLESKCNCIIM >PVH61575 pep chromosome:PHallii_v3.1:3:4210351:4217524:-1 gene:PAHAL_3G065800 transcript:PVH61575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSPRRSRSPARETYHKRANSFGSVLPAKQKDDELPLFSDMQKVERENFLLEPSEDFDDSIAKLSYFSEVKLGVSIPARGESHDLLDVDGDKNDCEWLLTPPETPLFRSLDDDDQSVTQVSRGRAQTKPIQISRSSTMDNTQRARSSASPSRLSPSPRSMARTRSSSSASRSSPPPSLQPPTLSRRSSTPPVAKTLTPPRRSPSPASRRMSTGSSVPTLNGTRGSSPVKPNRRSSSPKLQGWQSNVPGFPFDAPSNLRTSLPDRPVSRSRGGSPSSINGLDKGSRGRRQSMSPTPSRRASSSHSIERDRMSSYSKASATSSGEDDLDSMQSVPNSYSSSPAVKKSLAVMKTRTIASSKNLSKNFTSSSVPKRSFDSALWLMDHRKAPQDRFRPLLSGVPASTFGPGNGNNVHKPMFSHNSSLTTSSNASSDHAAIFGSYKHGNQEQHDLVDEWEAGDSSRGHEDIFMFDKLDGLNEENIHYKSTKSMENSPVIVKHQVSDRQDFDMEESGTCDQSLCHSINSSLVSYGKTATCVRCGKYFNVMDVDGEGDYCEICASKVGNIFTDSIAQTIEEADQLDDKAANLRPCIVSDPPVAPDCIDHRNEVSLDHQLVNDEPHNDCLDQALPFHSMVDTPQEMMLGQEGKIDAEHTKQHVGDSALGNNINISFHQSSATDCQQTQLTSAEHDLFRDQIDNHNHGLSQCSETISETVTSDNSHQLTSTSRKLENTSTGISVLLLQKSNSNKWPVVEGRTLGSANTLCLEPYYARDGVNIMKRSFGRDSSSAASSSDLGSSRQSVIYFERLRSGKRGDFEKSQISSTMSRQSIASVSDMSISSSSASLCPQSDAVGDTYLPIDTLESSASRKVTPTEEYDSSGKDALSSAMECWSAAQAIVNDGSLVDLSTSSFIDMVEGDATIENHCTGRMADSDHFSSNMCLSDTEMPSDTQESSAPEESCIRENEEDTSAISQCNTNGAPEHPSDENNFDNMQVQFGAVQGSNEVNRLDDCCMSAISEEDVLISEQKTNIMKLPNDEESCEAVDGSRKQIQRCFTLEEAADTILFCSSIVHDLAYKAATIALENEKESECVDSIRPTVTIVGRSGQKEDSLPKLAHRRTPNRKVKRKRLEGETTTTETTEKDAVAKDSSPVRSASGITRNSDNMKPPKLESKCNCIIM >PAN20934 pep chromosome:PHallii_v3.1:3:55424122:55426405:-1 gene:PAHAL_3G424200 transcript:PAN20934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCYLMAPERSEYMVITLVNQFLEVVDCLMDLLAMRWSVPRKAKQIEEVTAKVEDISRRIVLYHPPIEAAGRPRMLGAGTLYTAAHFDYISALMKKVFCHLKVVGDAGLRICSQGHALFCENHEQNNSTCARNSEGSYCSSPQNNTTGGCRVQQHEKGRKGVSVKQRSNAGSVVRLHFSKNFSNDSCTASAPSTYGTSHLSRRLENVHQMDLSGHPEELTREIAENATPLTKNDKSVVDNELGEISTKRNKYTSGSKSEVWLHFEKLVAVEDSGVKAKCIYCDKVLKADSRRNGTSRLRRHITDFHKIKLARSIVIKKRQSKKKKQVASTHSQAKDQASLDCHHFNSIVRAASANGRPHQGLQFTEIAENPMPSTEKKSAEVEPGNKSRKHTECTSWSRSKVWLHFSRVVNIDDSCVIKAMCRHCGKLLRADSKINGTSRLRRHIIGCHKIELGGSAKTTGSYGNDSTVNQELTSIDNQAEDHAAAECRGIEHDKVPREIIVLDYQNSGSTISAELAPLVIPHGRHPASLSFVPGA >PAN18792 pep chromosome:PHallii_v3.1:3:14711384:14714057:1 gene:PAHAL_3G226300 transcript:PAN18792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSSATRCCCCKNLLSCNLLRCACCCSWIRGVCGRANRKATTQEASDTKRKKKRKWFFGFCGGAVREREDPVVSSESNKKRKNPATIPEPEKGKWTNKIWKKKEENGLAALVKEISLSNSAKHRAQAGEILRIGNHNIPSRVFTFSELVDATNNFSLENMLGEGGFGRVYRGYTRDTMEAIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTDCDQRILVYEFMPLGSLQDHLLDLTPNSQPLSWNTRMKIAVDAARGIEYLHEVANPPVIYRDLKASNILLDGNFNAKLSDFGLAKLGPSGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLELITGRRAIDTTKPTREQILVHWAAPFFRDKRKFVKMADPLLEKKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALAFLADPNYDPPDDIKDPLPITVPNIDIETRQKETEGGEEQLQQKEESS >PVH62172 pep chromosome:PHallii_v3.1:3:14711384:14714057:1 gene:PAHAL_3G226300 transcript:PVH62172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERRERVGSPSQRNFALNAKHRAQAGEILRIGNHNIPSRVFTFSELVDATNNFSLENMLGEGGFGRVYRGYTRDTMEAIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTDCDQRILVYEFMPLGSLQDHLLDLTPNSQPLSWNTRMKIAVDAARGIEYLHEVANPPVIYRDLKASNILLDGNFNAKLSDFGLAKLGPSGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLELITGRRAIDTTKPTREQILVHWAAPFFRDKRKFVKMADPLLEKKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALAFLADPNYDPPDDIKDPLPITVPNIDIETRQKETEGGEEQLQQKEESS >PVH62173 pep chromosome:PHallii_v3.1:3:14711384:14714057:1 gene:PAHAL_3G226300 transcript:PVH62173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERRERVGSPSQRNFALNAKHRAQAGEILRIGNHNIPSRVFTFSELVDATNNFSLENMLGEGGFGRVYRGYTRDTMEAIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTDCDQRILVYEFMPLGSLQDHLLDLTPNSQPLSWNTRMKIAVDAARGIEYLHEVANPPVIYRDLKASNILLDGNFNAKLSDFGLAKLGPSGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLELITGRRAIDTTKPTREQILVHWAAPFFRDKNCNAALLNVVYLQAAPFFRDKRKFVKMADPLLEKKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALAFLADPNYDPPDDIKDPLPITVPNIDIETRQKETEGGEEQLQQKEESS >PAN18793 pep chromosome:PHallii_v3.1:3:14711384:14714057:1 gene:PAHAL_3G226300 transcript:PAN18793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSSATRCCCCKNLLSCNLLRCACCCSWIRGVCGRANRKATTQEASDTKRKKKRKWFFGFCGGAVREREDPVVSSESNKKRKNPATIPEPEKGKWTNKIWKKKEENGLAALVKEISLSNSAKHRAQAGEILRIGNHNIPSRVFTFSELVDATNNFSLENMLGEGGFGRVYRGYTRDTMEAIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTDCDQRILVYEFMPLGSLQDHLLDLTPNSQPLSWNTRMKIAVDAARGIEYLHEVANPPVIYRDLKASNILLDGNFNAKLSDFGLAKLGPSGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLELITGRRAIDTTKPTREQILVHWAAPFFRDKNCNAALLNVVYLQAAPFFRDKRKFVKMADPLLEKKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALAFLADPNYDPPDDIKDPLPITVPNIDIETRQKETEGGEEQLQQKEESS >PVH63299 pep chromosome:PHallii_v3.1:3:64350037:64351424:1 gene:PAHAL_3G516400 transcript:PVH63299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDLLAAADWCGVRRTHSIAGDFICSGAFEVGGHDWRILYYPSGYEDARSDRVAALLQLVTGIDPDVTMEVAGTFSIGNHTADGGTATMFDFCHEFMDDILENGSMVELATVDDVKSRFEFVFEFGIRIATRTCTATTAAREIAVPPPNISWHLERLITDGGRHGARVRRHLPPQGGGQRRVVPRAFPRALRAGASLVERSTSSRSCGVECHEQQEVSCGSRGSGLWSSRPCSTSSTRTSWTRFAGDLLAAADRYQLVERMRPMCKNLLCEMITPVLLLLLSRPWSS >PAN15910 pep chromosome:PHallii_v3.1:3:1046728:1049445:-1 gene:PAHAL_3G019300 transcript:PAN15910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLVRERLYFGDIKDAIAALTESSSTPAFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVSGEEGAPPTAAVPPGTLMRVVERAGDGLRVGNVLVHCFAGVSRSASIIVAYLMRSEQKSLEEALESLKEISESACPNDGFLDQLKLFEEMGFKVDTSSPLYKRFRLKILGQSYKFGEKIGSYMFEDDPGLSPQSGSCQDFSKTEQHKTAYRCRKCRRIIAVEDNVISHVPGEGESCFDWNRRKSGRPYSNKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGPRLGYFNWSGIQCNCGSWVTPAFQIVKTKVDISTI >PAN21831 pep chromosome:PHallii_v3.1:3:62437604:62444427:1 gene:PAHAL_3G492900 transcript:PAN21831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGGQSVVSAPPAFSADGRLLLVCSGRTVSVFSTATAMLVSELEGHEGDVTAVVVVPPPAGATATPAAKLASNCWTAGLDGVLIYWDFVAAEAVRKVQVGLPVHSMVIPNICRTSKGAEVSTPFAFISVEDTSKPVNEAKALRGQLRIYDLTKGRKVGYLLAETSKPEKIVASSSGEFLGITNKRKLHIWRIPTKDFKHDKIRKIKLRHTKNLTTLAFHPSEGIVAAGDVTGRILIWRGFGNAKFSESSAKSKVDEGRDGVRGNDDADTCTTWHWHSSRVRFLKFSSDGAYLFSGGLEGVIVVWQLDTGKRRYKPRLGSPLLFFVDSPDSSISCVSCTNNQVYLLKMPNMEVMRSIAGIKLPTASPSLGGSDRVVYGFDYTNNLVAIPTEDYCIQFYNLFENTEVSELQVCERNFQPVDDITMYISLVSLSIDGSLMCTVDVKLPEEELGGLVTLKFWNGSSAGNYFLSTVIYEPHSDAGVSAVVFRPGRNMAVSSSFGGNFKVWVQSFSSQSSNERNYAGWRCQSVGSYKKKPMTAAAFSADGSVLAVAAESVITLWDPDNNALVGVIAETLSPITNLSFVGTSVYLMSLCQSSKPEVTVWNVSNLSMQWAYSIYAEAACCSPDGNEFAVLTLLSCPDGGTSTEQNGAILLFNAESPNTVASWSVKKARGGNISFVKGDVSSKDKETMLLVYVNGSHEYVIFDPLKREELVVSRNIDKKIQAEELAPVGYASIYGELPKLESKKEVSDVPFIPSDRPWETIFSGSSHVLPPLTKLCSAFLSSLLEKRPVANE >PAN16341 pep chromosome:PHallii_v3.1:3:3440940:3447083:-1 gene:PAHAL_3G054900 transcript:PAN16341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKGFCTSCLNKAILIEKNTDPVPHWVQRDFSKAEIDGILFKDYWEDVKDREHLNLVYLEEAHVILNRKLDRNRANSEKFPDEDNTSDTNMFAENAAIEKTIPFDSKGKENKANTSVKKHKTNNKTYIGWGSEELMQFLSSSGKDSSKSLDEAEIVGVIMAYIKQKNLFKNNKKSFLCDDKLHLLFGRRKVSCKSIRRFLAVHLAANDVSEDEILYGSEDDDVPITKKKPHFDGSEDDVGQIMKKKPRNSLELKIAERVSERNKRSFASLNENNIKLIYLRRSLIINLLNHPDTFDQKVVGCFVRVKNAPKVHIYELPKKPYQLGLVTGIKKSSEEYKMKDTCTNILLCVTGLWDDVRISMLSDEDFEEEECNDLVSLVKKGLLERPTIAALEEKVATVHKDIVNHWIDKELVRLERAIERANIKGWRQEFEELMHQQELLSTEAERMRRLKEVPEITADTEQEGHETELEIAASNSSQENRGAKQQVANSLTDFEEVPSKAAAEDVFQSFRAHKEKPTEGNTTTFIPPLSPGAKEEPLKENVLKSFKCLRVHEEKLTEGNTNTLLVPFSLGVKGAISSNSQRKVQQRM >PAN16342 pep chromosome:PHallii_v3.1:3:3441509:3447083:-1 gene:PAHAL_3G054900 transcript:PAN16342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKGFCTSCLNKAILIEKNTDPVPHWVQRDFSKAEIDGILFKDYWEDVKDREHLNLVYLEEAHVILNRKLDRNRANSEKFPDEDNTSDTNMFAENAAIEKTIPFDSKGKENKANTSVKKHKTNNKTYIGWGSEELMQFLSSSGKDSSKSLDEAEIVGVIMAYIKQKNLFKNNKKSFLCDDKLHLLFGRRKVSCKSIRRFLAVHLAANDVSEDEILYGSEDDDVPITKKKPHFDGSEDDVGQIMKKKPRNSLELKIAERVSERNKRSFASLNENNIKLIYLRRSLIINLLNHPDTFDQKVVGCFVRVKNAPKVHIYELPKKPYQLGLVTGIKKSSEEYKMKDTCTNILLCVTGLWDDVRISMLSDEDFEEEECNDLVSLVKKGLLERPTIAALEEKVATVHKDIVNHWIDKELVRLERAIERANIKGWRQEFEELMHQQELLSTEAERMRRLKEVPEITADTEQEGHETELEIAASNSSQENRGAKQQVANSLTDFEEVPSKAAAEDVFQSFRAHKEKPTEGNTTTFIPPLSPGAKEEPLKVENVLKSFKCLRVHEEKLTEGNTNTLLVPFSLGVKGAISSNSQRKVQQRM >PVH61528 pep chromosome:PHallii_v3.1:3:3441158:3447083:-1 gene:PAHAL_3G054900 transcript:PVH61528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKGFCTSCLNKAILIEKNTDPVPHWVQRDFSKAEIDGILFKDYWEDVKDREHLNLVYLEEAHVILNRKLDRNRANSEKFPDEDNTSDTNMFAENAAIEKTIPFDSKGKENKANTSVKKHKTNNKTYIGWGSEELMQFLSSSGKDSSKSLDEAEIVGVIMAYIKQKNLFKNNKKSFLCDDKLHLLFGRRKVSCKSIRRFLAVHLAANDVSEDEILYGSEDDDVPITKKKPHFDGSEDDVGQIMKKKPRNSLELKIAERVSERNKRSFASLNENNIKLIYLRRSLIINLLNHPDTFDQKVVGCFVRVKNAPKVHIYELPKKPYQLGLVTGIKKSSEEYKMKDTCTNILLCVTGLWDDVRISMLSDEDFEEEECNDLVSLVKKGLLERPTIAALEEKVATVHKDIVNHWIDKELVRLERAIERANIKGWRQEFEELMHQQELLSTEAERMRRLKEVPEITADTEQEGHETELEIAASNSSQENRAAAEDVFQSFRAHKEKPTEGNTTTFIPPLSPGAKEEPLKENVLKSFKCLRVHEEKLTEGNTNTLLVPFSLGVKGAISSNSQRKVQQRM >PAN16340 pep chromosome:PHallii_v3.1:3:3441509:3447083:-1 gene:PAHAL_3G054900 transcript:PAN16340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKGFCTSCLNKAILIEKNTDPVPHWVQRDFSKAEIDGILFKDYWEDVKDREHLNLVYLEEAHVILNRKLDRNRANSEKFPDEDNTSDTNMFAENAAIEKTIPFDSKGKENKANTSVKKHKTNNKTYIGWGSEELMQFLSSSGKDSSKSLDEAEIVGVIMAYIKQKNLFKNNKKSFLCDDKLHLLFGRRKVSCKSIRRFLAVHLAANDVSEDEILYGSEDDDVPITKKKPHFDGSEDDVGQIMKKKPRNSLELKIAERVSERNKRSFASLNENNIKLIYLRRSLIINLLNHPDTFDQKVVGCFVRVKNAPKVHIYELPKKPYQLGLVTGIKKSSEEYKMKDTCTNILLCVTGLWDDVRISMLSDEDFEEEECNDLVSLVKKGLLERPTIAALEEKVATVHKDIVNHWIDKELVRLERAIERANIKGWRQEFEELMHQQELLSTEAERMRRLKEVPEITADTEQEGHETELEIAASNSSQENRGNTNTFLLPLSLGAKQQVANSLTDFEEVPSKAAAEDVFQSFRAHKEKPTEGNTTTFIPPLSPGAKEEPLKVENVLKSFKCLRVHEEKLTEGNTNTLLVPFSLGVKGAISSNSQRKVQQRM >PAN16339 pep chromosome:PHallii_v3.1:3:3440940:3447083:-1 gene:PAHAL_3G054900 transcript:PAN16339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKGFCTSCLNKAILIEKNTDPVPHWVQRDFSKAEIDGILFKDYWEDVKDREHLNLVYLEEAHVILNRKLDRNRANSEKFPDEDNTSDTNMFAENAAIEKTIPFDSKGKENKANTSVKKHKTNNKTYIGWGSEELMQFLSSSGKDSSKSLDEAEIVGVIMAYIKQKNLFKNNKKSFLCDDKLHLLFGRRKVSCKSIRRFLAVHLAANDVSEDEILYGSEDDDVPITKKKPHFDGSEDDVGQIMKKKPRNSLELKIAERVSERNKRSFASLNENNIKLIYLRRSLIINLLNHPDTFDQKVVGCFVRVKNAPKVHIYELPKKPYQLGLVTGIKKSSEEYKMKDTCTNILLCVTGLWDDVRISMLSDEDFEEEECNDLVSLVKKGLLERPTIAALEEKVATVHKDIVNHWIDKELVRLERAIERANIKGWRQEFEELMHQQELLSTEAERMRRLKEVPEITADTEQEGHETELEIAASNSSQENRGNTNTFLLPLSLGAKQQVANSLTDFEEVPSKAAAEDVFQSFRAHKEKPTEGNTTTFIPPLSPGAKEEPLKENVLKSFKCLRVHEEKLTEGNTNTLLVPFSLGVKGAISSNSQRKVQQRM >PVH61529 pep chromosome:PHallii_v3.1:3:3441509:3447083:-1 gene:PAHAL_3G054900 transcript:PVH61529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKGFCTSCLNKAILIEKNTDPVPHWVQRDFSKAEIDGILFKDYWEDVKDREHLNLVYLEEAHVILNRKLDRNRANSEKFPDEDNTSDTNMFAENAAIEKTIPFDSKGKENKANTSVKKHKTNNKTYIGWGSEELMQFLSSSGKDSSKSLDEAEIVGVIMAYIKQKNLFKNNKKSFLCDDKLHLLFGRRKVSCKSIRRFLAVHLAANDVSEDEILYGSEDDDVPITKKKPHFDGSEDDVGQIMKKKPRNSLELKIAERVSERNKRSFASLNENNIKLIYLRRSLIINLLNHPDTFDQKVVGCFVRVKNAPKVHIYELPKKPYQLGLVTGIKKSSEEYKMKDTCTNILLCVTGLWDDVRISMLSDEDFEEEECNDLVSLVKKGLLERPTIAALEEKVATVHKDIVNHWIDKELVRLERAIERANIKGWRQEFEELMHQQELLSTEAERMRRLKEVPEITADTEQEGHETELEIAASNSSQENRAAAEDVFQSFRAHKEKPTEGNTTTFIPPLSPGAKEEPLKVENVLKSFKCLRVHEEKLTEGNTNTLLVPFSLGVKGAISSNSQRKVQQRM >PAN18609 pep chromosome:PHallii_v3.1:3:13916994:13918470:1 gene:PAHAL_3G214000 transcript:PAN18609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTSSTSNQALLDAQLELWHTTFAYIKSMALKSALDLRIADAIHHHGGAATLPQILTKITLHPSKIPCLRRLLRVLTTTGVLSARHPADGGGERAYGLTPVSRLLVAGPSNLSPMMTLLLDGVFVSPFLGLGAWFQRELPVPSLFEMQHGRAPWDFAGQNPAFGGLLNEGMVSDSSFIMKIVVEECGGIFKGVTSLIDVAGGLGGAAQAIAKAFPHVKCSVLDLPHVAANAPASTNVKYIAGNMFESVPPANAVFLKWVLHDWSDEECVKILKNCKRAIPPRHEGGKVIILDMVVGAGSSDLKHKETQVLFDLFIMFVNGIERDEQEWKKIIFQAGFSDYKITPVLGVRSIIEVYP >PAN16298 pep chromosome:PHallii_v3.1:3:3272283:3279347:1 gene:PAHAL_3G051800 transcript:PAN16298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSGQPNPMGQGQQVSASLLRTSSSLLGAGAGGGGQPGMGMGGGGGVLPSQSPFASLVSPRTQYGAAGGGLLAGASNVASLLSRQQSYGNGGTGAMPGRLSMGGLQQQQQHRGGGLDGVGDLVGAGGPDSMAFPSSSQGSLGSQLGGDNLQQHQQQMDAAQDSQNQQQQQHQQQQQMSMPYNQQHMLPQTQQQPQPPVKMENGGVLGGVKLEQQQMGQPDQNGPAQMLRSSSGGVKLEPQLQLRGLGAVKMEHQSSDPSVFLQQQQQQQQQHMLQLSKQNPQVAAAQLSLLQQQQRFLHLQQQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQHQQLLRQQSLNMRTGKTPAYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPSAKKRWCVSLYGNGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNSSGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSSVQNSASLSNQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQTGSGPIDSLHKFPRRGAPAISSLQPPQQPEEQQPVPQNSNQSGQNSAPATGMQVSASGNGDATSNNSLNCAPSTSAPSSSSVVGLLQGSINCRQDHPTSSGNGLYNGGSNASVAKANSTNSMQSNPQASFPSPAPSASNGNMMPAPQHSNQMNSPTMSSNLPPMQTPTSRPQEPESNESQSSVQRILQEMMMQSQMNGVGPVGGDMKRANTITPGLNGVNSFVGTPMTNNPGMNGMGFGAMGGISQSMRTAMGNNAMAMNGRTGMNHSAHDLTQLSHQQQQRDIGNQLLGGLRAANSFNNLQYDWKSSQ >PAN17293 pep chromosome:PHallii_v3.1:3:7582594:7589809:1 gene:PAHAL_3G119200 transcript:PAN17293 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MLVQCEQDDTDGDGSRHDSTGSANQTNPPNTKNPRTSSDFKFPRQSSPPEMEAARESVAALLDAGLFASAQTLGCFLVSSAGAVNDAGMSMKAESLVLHGDALYGEKEFRRALNAYKQAMQYSRSIPRQATSNIRSSVSATGRSPSPNSLNLLPFNENEVKFKIALCHSALCEHREALQEMEGIPSKVRSLKMNLMLGKLYRISRNSRSAVVCYKECLRQCPYVFEAITALAEMGLSAKEFSLLFSQAPNRGGKLPSDSVDAQRWWNRYVEAQCCIASHDYKGGLDIYLELMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKTKSDYIKLNKLVHDMLHIDPARPETCVALAAMWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLSLNRPDMAVTDFRGAQELRADLRSYQGLVRAYLALAKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNREAVMLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEDNEADDIDADQDDAELL >PAN16888 pep chromosome:PHallii_v3.1:3:6005373:6009165:-1 gene:PAHAL_3G094200 transcript:PAN16888 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa4 [Source:Projected from Arabidopsis thaliana (AT3G53620) UniProtKB/TrEMBL;Acc:A0A178VKC0] MAPAVEAVKKEAGSFQKVPALNERILSSMSRRSVAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIQHSMDLYATYIVEGLRR >PAN20944 pep chromosome:PHallii_v3.1:3:55687236:55690398:-1 gene:PAHAL_3G425400 transcript:PAN20944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRASLALEILVVLLAALLHGAQCQSSLGGAGGGGGAANLTVIGTVFCDACSASSFSKNSYFLPGVKVRLDCMIKVNSNSKEEIKITAEKVTNSYGAYQLDIPAIDGFECAAPGATAAESFCRAAVLDNPSALCNVPAVTTTVGHISFPTQEPNACFYSLNSLYYRPGKPGPAQCGGGGGGDVSPAALNTSLFYCPPWPWPPIPFCTPRPWFPPIPFFTPPPPAFPFPLPPIPFLTPPSPPPPAFPFPLPPWPWTPPSPPPSPSFPFPHLPPIFTTPSPPPPPPPAFPFPFPPLPHLPPLPHLPPLPSLYPPPPPPPPPPPPPPSFPWPFPPLPFFPPGSSGPSPPPVKYSRKDPSTWSPSDNQP >PVH61956 pep chromosome:PHallii_v3.1:3:10747987:10755278:-1 gene:PAHAL_3G163400 transcript:PVH61956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRPLDEVAKEIKLIKDRVEAVSQRNTRYNLILGGSETFSRTTVVVSPSTSDDLSSHFQAILQMWRKAGKIRNIGIRELITREEGSTDDLQVISLLENDDNNHEMSYIINEAYHDPKICRRFQRRAWVKITRPFNPDKLINTLLTQLLFKVGSSHQATKDIAKATEVLQQVSKEQRYLLVVEGVSDVLEWNTIRKYLPENNNGSRIIVVTPHMGIGILCTGKPYLVSVLKQSPSLYAFYKRVAGSRCDMGELLWQIKCGGLISVESYHTNIKVVDIKNLYCNIRAGCEEFDGVRFKTHRWVPVVYPFNLIDFSRRLLVDVGDEFYDGDLKELAHTGNKWCSGEEVYRVISMADVDVIECCRKLLHEDDSYLLVIDDVTTRAWDLIKETFFSEPIKGCVVVTRYTGILSRKFLKGIFSSRHEEARAWMSESFDPVSAAKTWGKYWIMPMSFPAGVVSVWGIPGVGKSTFARWSYYSDMLARSYEEEQYCWADVPHPFCLTELSRRLLLDFHSDDLPAKEDVAISIMEGKDPVQVFRQLLHPYIKCLIVLDGLRSTDDWDSLKEAFGWHSFYQIRIIVVTDELSVARHCVDNNHKKVVNVQGLDEDDAFHLFIKKIGYPGYDNQLNEEELQLSKLIVTKCGGLPKVIVAVAEYWKNIILLPFGFDIKKFHDTFMYTVENDVKGFKSLRGLFSWMQSYLETCKDSLKPCIFYLPIFPRNHTFRQKRLLRRWIAEGYSRDTISGTAEENGERLLYELVDLSIIQKPSSKSLCQVNGLFHEYITSRPMEDNLVLTLEGHCKINSQRVGRHLSMQKSWDRDMTVFESIDFSRLRSFTVFGEWSPLFFSKPITNNKMRLVRVLDLEDTTGLTDDDLAHIGELLPLLKFLSLRGCREITHLPNSLGVLRQLQTLDVRYTSIVTLPPAVIVKLRKLQYVRAGTKTAQWEEGGIMVTCQPQPTEQEEEDTSAAPAPVVATQDAHGGTNTPRDEGGIMVPCQPQPTEPSEVATEASVAQAQEATKTSSGVAPRNMSGAYLPTFLSKMCCIPKVDGDDMDGCVEVPIEIGNLTTMHTLGDINVGAGKGIIKELKRLSQLCRLRVSGINVDNIHEFFSVISSLNHLEYLTVRVQSVKNMQDHSFACVDDTTSPPPKALKILKLYGHVRILSENWVKQLDNIEMMDVEMIILAQEEMYVFRALPRQNVFRRLCVRPIQVTELCFGRGRTYFMLNTKVLEIDCTSELNVIFERPSTEYQLELLKVNCSNRSILQFYDMHNLRKLKEVWLKGSYSDEVKQNLLEQLEEHTGRPILKILQSRASSSVQLN >PVH62174 pep chromosome:PHallii_v3.1:3:14736106:14748691:-1 gene:PAHAL_3G226700 transcript:PVH62174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGFQEEDDDEEMAETGVDSQVHMACVMQGRRVGVAYYDSNTRQLFVLEIWEDIAGEFPLIDLVKFQSKPSTIYASTKTEEALLSALQRNDGNDEAPVVKLMKSSTFSYEQAWHRLIYLKVAAMDDGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTIEQMEGGASIAIDSVAQISLDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGRRLLRAWFLRPIIDIDVINNRLNTITFFLCCEEVMSALRETLKSVRDVPHMLKKFNSPSSFCTSSDWNTFLKCICSLLHINKIFEVGISEHLANKLQHMNIDLIGKANSSITAELDYVSDLVAGVIDVQRGKEKGYETVVKEGLCDELDELRMVYEGLPDFLEQVSAYENASLPFLFECRIPPLIVYVHQIGYLMCFFDEKISDALLVGLPDYEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIMRDLVCRILQFLPQLTKAVNFAAELDCILSLAVVARQNNYVRPILTEDSILEIHNGRHALQEMTVHTFVPNDTKIGDTGRINIITGPNYSGKSIYIKQVALIVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMVDLHQVGTMLRHATLRSLCLLDEFGKGTLTEDGIGLLGGTIGHFANSDFPPKVLLSTHLTEIFTENYLPQSEHIKCYTMSVLNPDGQTSNDDITFLYRLVPGQALLSFGLHCARLAGVPNEVVQRADNILEDIHSKRPIGRMVSEKLAATDKQYQDAVAKLMAFDTQKGDLDRFFQELFASES >PAN20852 pep chromosome:PHallii_v3.1:3:53877444:53880729:1 gene:PAHAL_3G415600 transcript:PAN20852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGALRGPSDYSREPPRHPSLRINAKEPFNAEPERRDLAAAYITPVDLFFKRNHGPIPVLDDIDSYCVTIGGLAGRPRQLSLDDIRKLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLQLVGVPYHTEITPSGGKHVEFISVDQCPEEKGGPYKASIPLGQATNPAADVLLAYEMNGEVLKRDHGYPLRAIVPGVIGARSVKWLDRIDIIEEESQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDISAVKPGQVIVAGYALSGGGRGIERVDISPDGGKSWFEAHRYQKQGVPYVAGNVTSDKWAWVLFKAIVDVKGDTEIVAKAVDSSANVQPESVESIWNLRGILNTCWHRVRLLATPNLRSFM >PVH63145 pep chromosome:PHallii_v3.1:3:61349775:61363872:-1 gene:PAHAL_3G480200 transcript:PVH63145 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MVRDLTAPRRTPARAASASEAGNDENASGDASDVAAVAADPGAAFRPPLLAIQPPASGLKRKPDSPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEPPPRGGAGAPPYTAMTTPRAHRGKAPVPAASEGGSTQSTPTKSVTKPAYSIGMSGSRPPMSGGGPRVAGFGMGFSTSGRGAPLSLGPAAVVNSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNNEKNLHSYNRCLKQESAQSITWIGHPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSSNLQLREDIRKEVYVENLTEFEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLQEELAALKRQHVTRSLSFTADIFGGDVNDGSVYDKNDNDANNSSSLKDLQNPNKQLKSLEEALAGALRRESAAENTIRELELEIEQLNELVRQREHDTRSAKMMLKFRDEKIHRMDALVNKKLPAESYLLEENKTLSQEIDLLKERLDKNPEVTRFALENIRLSSQLKRSQEFFDEGERELLLNEIAELRNQVSQILEARIETEQQNIFPAKSKDSQQHSIGLESDAEIVNMHMELKTTSQELEACRGDLQACLESNRKLTREMADLEKELNALKILKEEQPRVFENSSPVHQFDSDTSAKMEDYFDETFKMEELLNLQLELDVIKTILVEERTSRAEVEEKSACLVDELQSANIRILQACKRYEALESELNDSRSVIEALESQQIMLINELDELKNNNQQSIELLEKRDMEISRLNNEHDILRRQEYLTKEEPKTQLLKCYDNEDSPLQTKLKRMQASLEKVRNLNTMYQRDQASHCSAEQEMDEVRRQVEVETAEVIVRLQEELISVQQQLDASNKKDLLAKQSIDELQLEIMQLNDKLLEVLKNNESLSSVIEDKEKEIELLTNDWNRLVADIGIYLVDGNAALSEASDQVASISKSFSQRKWVEEQVQKMCRGISERDELLEELQNRLKEADNIRCDLDLKLMSLRGAMQAINEVHQQEKCDQEKEMYILRSQVSEQGHVNSQQLERIHRMELLLDESIETFVQKEVCEQYYVSLHREMEEEIHRLESQLDQSKSYLAHLLSQTQDKDQAIEKLKNEEFTILLRLMSEIVKANGIIRELGVGLNTLQSSISISPEETTCQNSDLKLEDRVDLREPEVFQPVERQNVEVLCQLSKEMEFTVLGMKMMQSQMTKYLQEKENLKESQRTINDLRSEVFKLNAEIVEKERYYEARLKELEVKIQGNDASLISWNKEKEALEHEVSEAKLLMAKKSFESATLIAKFEEAQATISDADSTVKALVEANEKAKHQAERYQEKEALFIAEKDVMLSEISSLKMLLDTKEHSYKLMERKFQSGLLEANEVALELEDGIRLLQNLLIEKLEFVSSDVEWMKEKLQQFAELARTWLEENWLEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDKAKNELEMCSVLKGKLLLDINNSFSRIAKKEQEATKLNTRLDSFEKKILHLQAQEEAMVARSNSMYNELSNLIEEIDATNRSALAAESKEKEELRHQLDEALLLNGMLKDKMLIELNLIQINSSIPFVDIKGCSEFELCYWLADYRSDLVMTNMIAKDIESTVLASELKQHKLHLQEQRVMFTNVLEGLMEESTLWKVDQDLENVAICILHEENNAIGADLEKLKQISEEAMENLHAMNEENIQLNYLIPSLESSIISFQTNLDTKNKALEELERSHATICRELEQKTEAINLSTTRENCFSSENEMLKQEISNILCKEQCISELMASIEADKSFVTIESRLQLITDHIYNYINEQINMVSKLSNELDIIQVSAEELSTQNSLLQSELIRKDELTKGLSFDLSLLQESASVAKDQAAELMELRKAIESLEQDLASKSLELDDVISDRQQLEARILMSNNKVATLEEELAKKLDELNVVSMENAELKSQLQHIEEISYAMEELTDKREAIGRLEEDLVELRRFIDERNICLQSLQNDFSKLSDEKQCCDTQLLILKEKLEMAQALAEESEAIATESRQIAEEQKAYAEGKDEEVKLLERSIEELENTVCALESKVDIVKEEAERQRMQREELEVELQKVRQQMLTVPPSGKSKSYMEDGMVDLADLPRHPTDIHNDLLCAQESIRILEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSSAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKKRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWVQALADNQKRMEPIESVIPQAQEIKESNELMKLKKQLDEFIEERQSCLEEINQKQSELGAARINIEKLRQREHFMVAEIELLKAENTNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNAELSAKLQQLGAILTRTKEELARYRVSNGKDPYEQIEEEELLRKKLDESEQDRSKLAENLSSLCTSILKVAGVVNPEPDASLLKALECLNQLQCRIPSLESEVEELKLKCKLLQEKARLSELRSDSSSLSSGAKDGSTSPGLSRSPSISSFR >PVH63142 pep chromosome:PHallii_v3.1:3:61350002:61363425:-1 gene:PAHAL_3G480200 transcript:PVH63142 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MVRDLTAPRRTPARAASASEAGNDENASGDASDVAAVAADPGAAFRPPLLAIQPPASGLKRKPDSPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEPPPRGGAGAPPYTAMTTPRAHRGKAPVPAASEGGSTQSTPTKSVTKPAYSIGMSGSRPPMSGGGPRVAGFGMGFSTSGRGAPLSLGPAAVVNSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNNEKNLHSYNRCLKQESAQSITWIGHPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSSNLQLREDIRKEVYVENLTEFEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLQEELAALKRQHVTRSLSFTADIFGGDVNDGSVYDKNDNDANNSSSLKDLQNPNKQLKSLEEALAGALRRESAAENTIRELELEIEQLNELVRQREHDTRSAKMMLKFRDEKIHRMDALVNKKLPAESYLLEENKTLSQEIDLLKERLDKNPEVTRFALENIRLSSQLKRSQEFFDEGERELLLNEIAELRNQVSQILEARIETEQQNIFPAKSKDSQQHSIGLESDAEIVNMHMELKTTSQELEACRGDLQACLESNRKLTREMADLEKELNALKILKEEQPRVFENSSPVHQFDSDTSAKMEDYFDETFKMEELLNLQLELDVIKTILVEERTSRAEVEEKSACLVDELQSANIRILQACKRYEALESELNDSRSVIEALESQQIMLINELDELKNNNQQSIELLEKRDMEISRLNNEHDILRRQEYLTKEEPKTQLLKCYDNEDSPLQTKLKRMQASLEKVRNLNTMYQRDQASHCSAEQEMDEVRRQVEVETAEVIVRLQEELISVQQQLDASNKKDLLAKQSIDELQLEIMQLNDKLLEVLKNNESLSSVIEDKEKEIELLTNDWNRLVADIGIYLVDGNAALSEASDQVASISKSFSQRKWVEEQVQKMCRGISERDELLEELQNRLKEADNIRCDLDLKLMSLRGAMQAINEVHQQEKCDQEKEMYILRSQVSEQGHVNSQQLERIHRMELLLDESIETFVQKEVCEQYYVSLHREMEEEIHRLESQLDQSKSYLAHLLSQTQDKDQAIEKLKNEEFTILLRLMSEIVKANGIIRELGVGLNTLQSSISISPEETTCQNSDLKLEDRVDLREPEVFQPVERQNVEVLCQLSKEMEFTVLGMKMMQSQMTKYLQEKENLKESQRTINDLRSEVFKLNAEIVEKERYYEARLKELEVKIQGNDASLISWNKEKEALEHEVSEAKLLMAKKSFESATLIAKFEEAQATISDADSTVKALVEANEKAKHQAERYQEKEALFIAEKDVMLSEISSLKMLLDTKEHSYKLMERKFQSGLLEANEVALELEDGIRLLQNLLIEKLEFVSSDVEWMKEKLQQFAELARTWLEENWLEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDKAKNELEMCSVLKGKLLLDINNSFSRIAKKEQEATKLNTRLDSFEKKILHLQAQEEAMVARSNSMYNELSNLIEEIDATNRSALAAESKEKEELRHQLDEALLLNGMLKDKMLIELNLIQINSSIPFVDIKGCSEFELCYWLADYRSDLVMTNMIAKDIESTVLASELKQHKLHLQEQRVMFTNVLEGLMEESTLWKVDQDLENVAICILHEENNAIGADLEKLKQISEEAMENLHAMNEENIQLNYLIPSLESSIISFQTNLDTKNKALEELERSHATICRELEQKTEAINLSTTRENCFSSENEMLKQEISNILCKEQCISELMASIEADKSFVTIESRLQLITDHIYNYINEQINMVSKLSNELDIIQVSAEELSTQNSLLQSELIRKDELTKGLSFDLSLLQESASVAKDQAAELMELRKAIESLEQDLASKSLELDDVISDRQQLEARILMSNNKVATLEEELAKKLDELNVVSMENAELKSQLQHIEEISYAMEELTDKREAIGRLEEDLVELRRFIDERNICLQSLQNDFSKLSDEKQCCDTQLLILKEKLEMAQALAEESEAIATESRQIAEEQKAYAEGKDEEVKLLERSIEELENTVCALESKVDIVKEEAERQRMQREELEVELQKVRQQMLTVPPSGKSKSYMEDGMVDLADLPRHPTDIHNDLLCAQESIRILEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSSAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKKRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWVALADNQKRMEPIESVIPQAQEIKEQSNELMKLKKQLDEFIEERQSCLEEINQKQSELGAARINIEKLRQREHFMVAEIELLKAENTNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNAELSAKLQQLGAILTRTKEELARYRVSNGKDPYEQIEEEELLRKKLDESEQDRSKLAENLSSLCTSILKVAGVVNPEPDASLLKALECLNQLQCRIPSLESEVEELKLKCKLLQEKARLSELRSDSSSLSSGAKDGSTSPGLSRSPSISSFR >PVH63148 pep chromosome:PHallii_v3.1:3:61349775:61363872:-1 gene:PAHAL_3G480200 transcript:PVH63148 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MVRDLTAPRRTPARAASASEAGNDENASGDASDVAAVAADPGAAFRPPLLAIQPPASGLKRKPDSPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEPPPRGGAGAPPYTAMTTPRAHRGKAPVPAASEGGSTQSTPTKSVTKPAYSIGMSGSRPPMSGGGPRVAGFGMGFSTSGRGAPLSLGPAAVVNSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNNEKNLHSYNRCLKQESAQSITWIGHPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSSNLQLREDIRKEVYVENLTEFEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLQEELAALKRQHVTRSLSFTADIFGGDVNDGSVYDKNDNDANNSSSLKDLQNPNKQLKSLEEALAGALRRESAAENTIRELELEIEQLNELVRQREHDTRSAKMMLKFRDEKIHRMDALVNKKLPAESYLLEENKTLSQEIDLLKERLDKNPEVTRFALENIRLSSQLKRSQEFFDEGERELLLNEIAELRNQVSQILEARIETEQQNIFPAKSKDSQQHSIGLESDAEIVNMHMELKTTSQELEACRGDLQACLESNRKLTREMADLEKELNALKILKEEQPRVFENSSPVHQFDSDTSAKMEDYFDETFKMEELLNLQLELDVIKTILVEERTSRAEVEEKSACLVDELQSANIRILQACKRYEALESELNDSRSVIEALESQQIMLINELDELKNNNQQSIELLEKRDMEISRLNNEHDILRRQEYLTKEEPKTQLLKCYDNEDSPLQTKLKRMQASLEKVRNLNTMYQRDQASHCSAEQEMDEVRRQVEVETAEVIVRLQEELISVQQQLDASNKKDLLAKQSIDELQLEIMQLNDKLLEVLKNNESLSSVIEDKEKEIELLTNDWNRLVADIGIYLVDGNAALSEASDQVASISKSFSQRKWVEEQVQKMCRGISERDELLEELQNRLKEADNIRCDLDLKLMSLRGAMQAINEVHQQEKCDQEKEMYILRSQVSEQGHVNSQQLERIHRMELLLDESIETFVQKEVCEQYYVSLHREMEEEIHRLESQLDQSKSYLAHLLSQTQDKDQAIEKLKNEEFTILLRLMSEIVKANGIIRELGVGLNTLQSSISISPEETTCQNSDLKLEDRVDLREPEVFQPVERQNVEVLCQLSKEMEFTVLGMKMMQSQMTKYLQEKENLKESQRTINDLRSEVFKLNAEIVEKERYYEARLKELEVKIQGNDASLISWNKEKEALEHEVSEAKLLMAKKSFESATLIAKFEEAQATISDADSTVKALVEANEKAKHQAERYQEKEALFIAEKDVMLSEISSLKMLLDTKEHSYKLMERKFQSGLLEANEVALELEDGIRLLQNLLIEKLEFVSSDVEWMKEKLQQFAELARTWLEENWLEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDKAKNELEMCSVLKGKLLLDINNSFSRIAKKEQEATKLNTRLDSFEKKILHLQAQEEAMVARSNSMYNELSNLIEEIDATNRSALAAESKEKEELRHQLDEALLLNGMLKDKMLIELNLIQINSSIPFVDIKGCSEFELCYWLADYRSDLVMTNMIAKDIESTVLASELKQHKLHLQEQRVMFTNVLEGLMEESTLWKVDQDLENVAICILHEENNAIGADLEKLKQISEEAMENLHAMNEENIQLNYLIPSLESSIISFQTNLDTKNKALEELERSHATICRELEQKTEAINLSTTRENCFSSENEMLKQEISNILCKEQCISELMASIEADKSFVTIESRLQLITDHIYNYINEQINMVSKLSNELDIIQVSAEELSTQNSLLQSELIRKDELTKGLSFDLSLLQESASVAKDQAAELMELRKAIESLEQDLASKSLELDDVISDRQQLEARILMSNNKVATLEEELAKKLDELNVVSMENAELKSQLQHIEEISYAMEELTDKREAIGRLEEDLVELRRFIDERNICLQSLQNDFSKLSDEKQCCDTQLLILKEKLEMAQALAEESEAIATESRQLQIAEEQKAYAEGKDEEVKLLERSIEELENTVCALESKVDIVKEEAERQRMQREELEVELQKVRQQMLTVPPSGKSKSYMEDGMVDLADLPRHPTDIHNDLLCAQESIRILEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSSAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKKRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWVALADNQKRMEPIESVIPQAQEIKESNELMKLKKQLDEFIEERQSCLEEINQKQSELGAARINIEKLRQREHFMVAEIELLKAENTNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNAELSAKLQQLGAILTRTKEELARYRVSNGKDPYEQIEEEELLRKKLDESEQDRSKLAENLSSLCTSILKVAGVVNPEPDASLLKALECLNQLQCRIPSLESEVEELKLKCKLLQEKARLSELRSDSSSLSSGAKDGSTSPGLSRSPSISSFR >PVH63146 pep chromosome:PHallii_v3.1:3:61350002:61363425:-1 gene:PAHAL_3G480200 transcript:PVH63146 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MVRDLTAPRRTPARAASASEAGNDENASGDASDVAAVAADPGAAFRPPLLAIQPPASGLKRKPDSPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEPPPRGGAGAPPYTAMTTPRAHRGKAPVPAASEGGSTQSTPTKSVTKPAYSIGMSGSRPPMSGGGPRVAGFGMGFSTSGRGAPLSLGPAAVVNSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNNEKNLHSYNRCLKQESAQSITWIGHPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSSNLQLREDIRKEVYVENLTEFEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLQEELAALKRQHVTRSLSFTADIFGGDVNDGSVYDKNDNDANNSSSLKDLQNPNKQLKSLEEALAGALRRESAAENTIRELELEIEQLNELVRQREHDTRSAKMMLKFRDEKIHRMDALVNKKLPAESYLLEENKTLSQEIDLLKERLDKNPEVTRFALENIRLSSQLKRSQEFFDEGERELLLNEIAELRNQVSQILEARIETEQQNIFPAKSKDSQQHSIGLESDAEIVNMHMELKTTSQELEACRGDLQACLESNRKLTREMADLEKELNALKILKEEQPRVFENSSPVHQFDSDTSAKMEDYFDETFKMEELLNLQLELDVIKTILVEERTSRAEVEEKSACLVDELQSANIRILQACKRYEALESELNDSRSVIEALESQQIMLINELDELKNNNQQSIELLEKRDMEISRLNNEHDILRRQEYLTKEEPKTQLLKCYDNEDSPLQTKLKRMQASLEKVRNLNTMYQRDQASHCSAEQEMDEVRRQVEVETAEVIVRLQEELISVQQQLDASNKKDLLAKQSIDELQLEIMQLNDKLLEVLKNNESLSSVIEDKEKEIELLTNDWNRLVADIGIYLVDGNAALSEASDQVASISKSFSQRKWVEEQVQKMCRGISERDELLEELQNRLKEADNIRCDLDLKLMSLRGAMQAINEVHQQEKCDQEKEMYILRSQVSEQGHVNSQQLERIHRMELLLDESIETFVQKEVCEQYYVSLHREMEEEIHRLESQLDQSKSYLAHLLSQTQDKDQAIEKLKNEEFTILLRLMSEIVKANGIIRELGVGLNTLQSSISISPEETTCQNSDLKLEDRVDLREPEVFQPVERQNVEVLCQLSKEMEFTVLGMKMMQSQMTKYLQEKENLKESQRTINDLRSEVFKLNAEIVEKERYYEARLKELEVKIQGNDASLISWNKEKEALEHEVSEAKLLMAKKSFESATLIAKFEEAQATISDADSTVKALVEANEKAKHQAERYQEKEALFIAEKDVMLSEISSLKMLLDTKEHSYKLMERKFQSGLLEANEVALELEDGIRLLQNLLIEKLEFVSSDVEWMKEKLQQFAELARTWLEENWLEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDKAKNELEMCSVLKGKLLLDINNSFSRIAKKEQEATKLNTRLDSFEKKILHLQAQEEAMVARSNSMYNELSNLIEEIDATNRSALAAESKEKEELRHQLDEALLLNGMLKDKMLIELNLIQINSSIPFVDIKGCSEFELCYWLADYRSDLVMTNMIAKDIESTVLASELKQHKLHLQEQRVMFTNVLEGLMEESTLWKVDQDLENVAICILHEENNAIGADLEKLKQISEEAMENLHAMNEENIQLNYLIPSLESSIISFQTNLDTKNKALEELERSHATICRELEQKTEAINLSTTRENCFSSENEMLKQEISNILCKEQCISELMASIEADKSFVTIESRLQLITDHIYNYINEQINMVSKLSNELDIIQVSAEELSTQNSLLQSELIRKDELTKGLSFDLSLLQESASVAKDQAAELMELRKAIESLEQDLASKSLELDDVISDRQQLEARILMSNNKVATLEEELAKKLDELNVVSMENAELKSQLQHIEEISYAMEELTDKREAIGRLEEDLVELRRFIDERNICLQSLQNDFSKLSDEKQCCDTQLLILKEKLEMAQALAEESEAIATESRQLQIAEEQKAYAEGKDEEVKLLERSIEELENTVCALESKVDIVKEEAERQRMQREELEVELQKVRQQMLTVPPSGKSKSYMEDGMVDLADLPRHPTDIHNDLLCAQESIRILEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSSAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKKRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWVALADNQKRMEPIESVIPQAQEIKEQSNELMKLKKQLDEFIEERQSCLEEINQKQSELGAARINIEKLRQREHFMVAEIELLKAENTNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNAELSAKLQQLGAILTRTKEELARYRVSNGKDPYEQIEEEELLRKKLDESEQDRSKLAENLSSLCTSILKVAGVVNPEPDASLLKALECLNQLQCRIPSLESEVEELKLKCKLLQEKARLSELRSDSSSLSSGAKDGSTSPGLSRSPSISSFR >PVH63143 pep chromosome:PHallii_v3.1:3:61349752:61363872:-1 gene:PAHAL_3G480200 transcript:PVH63143 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MVRDLTAPRRTPARAASASEAGNDENASGDASDVAAVAADPGAAFRPPLLAIQPPASGLKRKPDSPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEPPPRGGAGAPPYTAMTTPRAHRGKAPVPAASEGGSTQSTPTKSVTKPAYSIGMSGSRPPMSGGGPRVAGFGMGFSTSGRGAPLSLGPAAVVNSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNNEKNLHSYNRCLKQESAQSITWIGHPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSSNLQLREDIRKEVYVENLTEFEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLQEELAALKRQHVTRSLSFTADIFGGDVNDGSVYDKNDNDANNSSSLKDLQNPNKQLKSLEEALAGALRRESAAENTIRELELEIEQLNELVRQREHDTRSAKMMLKFRDEKIHRMDALVNKKLPAESYLLEENKTLSQEIDLLKERLDKNPEVTRFALENIRLSSQLKRSQEFFDEGERELLLNEIAELRNQVSQILEARIETEQQNIFPAKSKDSQQHSIGLESDAEIVNMHMELKTTSQELEACRGDLQACLESNRKLTREMADLEKELNALKILKEEQPRVFENSSPVHQFDSDTSAKMEDYFDETFKMEELLNLQLELDVIKTILVEERTSRAEVEEKSACLVDELQSANIRILQACKRYEALESELNDSRSVIEALESQQIMLINELDELKNNNQQSIELLEKRDMEISRLNNEHDILRRQEYLTKEEPKTQLLKCYDNEDSPLQTKLKRMQASLEKVRNLNTMYQRDQASHCSAEQEMDEVRRQVEVETAEVIVRLQEELISVQQQLDASNKKDLLAKQSIDELQLEIMQLNDKLLEVLKNNESLSSVIEDKEKEIELLTNDWNRLVADIGIYLVDGNAALSEASDQVASISKSFSQRKWVEEQVQKMCRGISERDELLEELQNRLKEADNIRCDLDLKLMSLRGAMQAINEVHQQEKCDQEKEMYILRSQVSEQGHVNSQQLERIHRMELLLDESIETFVQKEVCEQYYVSLHREMEEEIHRLESQLDQSKSYLAHLLSQTQDKDQAIEKLKNEEFTILLRLMSEIVKANGIIRELGVGLNTLQSSISISPEETTCQNSDLKLEDRVDLREPEVFQPVERQNVEVLCQLSKEMEFTVLGMKMMQSQMTKYLQEKENLKESQRTINDLRSEVFKLNAEIVEKERYYEARLKELEVKIQGNDASLISWNKEKEALEHEVSEAKLLMAKKSFESATLIAKFEEAQATISDADSTVKALVEANEKAKHQAERYQEKEALFIAEKDVMLSEISSLKMLLDTKEHSYKLMERKFQSGLLEANEVALELEDGIRLLQNLLIEKLEFVSSDVEWMKEKLQQFAELARTWLEENWLEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDKAKNELEMCSVLKGKLLLDINNSFSRIAKKEQEATKLNTRLDSFEKKILHLQAQEEAMVARSNSMYNELSNLIEEIDATNRSALAAESKEKEELRHQLDEALLLNGMLKDKMLIELNLIQINSSIPFVDIKGCSEFELCYWLADYRSDLVMTNMIAKDIESTVLASELKQHKLHLQEQRVMFTNVLEGLMEESTLWKVDQDLENVAICILHEENNAIGADLEKLKQISEEAMENLHAMNEENIQLNYLIPSLESSIISFQTNLDTKNKALEELERSHATICRELEQKTEAINLSTTRENCFSSENEMLKQEISNILCKEQCISELMASIEADKSFVTIESRLQLITDHIYNYINEQINMVSKLSNELDIIQVSAEELSTQNSLLQSELIRKDELTKGLSFDLSLLQESASVAKDQAAELMELRKAIESLEQDLASKSLELDDVISDRQQLEARILMSNNKVATLEEELAKKLDELNVVSMENAELKSQLQHIEEISYAMEELTDKREAIGRLEEDLVELRRFIDERNICLQSLQNDFSKLSDEKQCCDTQLLILKEKLEMAQALAEESEAIATESRQIAEEQKAYAEGKDEEVKLLERSIEELENTVCALESKVDIVKEEAERQRMQREELEVELQKVRQQMLTVPPSGKSKSYMEDGMVDLADLPRHPTDIHNDLLCAQESIRILEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSSAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKKRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWVALADNQKRMEPIESVIPQAQEIKESNELMKLKKQLDEFIEERQSCLEEINQKQSELGAARINIEKLRQREHFMVAEIELLKAENTNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNAELSAKLQQLGAILTRTKEELARYRVSNGKDPYEQIEEEELLRKKLDESEQDRSKLAENLSSLCTSILKVAGVVNPEPDASLLKALECLNQLQCRIPSLESEVEELKLKCKLLQEKARLSELRSDSSSLSSGAKDGSTSPGLSRSPSISSFR >PVH63144 pep chromosome:PHallii_v3.1:3:61350002:61363425:-1 gene:PAHAL_3G480200 transcript:PVH63144 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MVRDLTAPRRTPARAASASEAGNDENASGDASDVAAVAADPGAAFRPPLLAIQPPASGLKRKPDSPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEPPPRGGAGAPPYTAMTTPRAHRGKAPVPAASEGGSTQSTPTKSVTKPAYSIGMSGSRPPMSGGGPRVAGFGMGFSTSGRGAPLSLGPAAVVNSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNNEKNLHSYNRCLKQESAQSITWIGHPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSSNLQLREDIRKEVYVENLTEFEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLQEELAALKRQHVTRSLSFTADIFGGDVNDGSVYDKNDNDANNSSSLKDLQNPNKQLKSLEEALAGALRRESAAENTIRELELEIEQLNELVRQREHDTRSAKMMLKFRDEKIHRMDALVNKKLPAESYLLEENKTLSQEIDLLKERLDKNPEVTRFALENIRLSSQLKRSQEFFDEGERELLLNEIAELRNQVSQILEARIETEQQNIFPAKSKDSQQHSIGLESDAEIVNMHMELKTTSQELEACRGDLQACLESNRKLTREMADLEKELNALKILKEEQPRVFENSSPVHQFDSDTSAKMEDYFDETFKMEELLNLQLELDVIKTILVEERTSRAEVEEKSACLVDELQSANIRILQACKRYEALESELNDSRSVIEALESQQIMLINELDELKNNNQQSIELLEKRDMEISRLNNEHDILRRQEYLTKEEPKTQLLKCYDNEDSPLQTKLKRMQASLEKVRNLNTMYQRDQASHCSAEQEMDEVRRQVEVETAEVIVRLQEELISVQQQLDASNKKDLLAKQSIDELQLEIMQLNDKLLEVLKNNESLSSVIEDKEKEIELLTNDWNRLVADIGIYLVDGNAALSEASDQVASISKSFSQRKWVEEQVQKMCRGISERDELLEELQNRLKEADNIRCDLDLKLMSLRGAMQAINEVHQQEKCDQEKEMYILRSQVSEQGHVNSQQLERIHRMELLLDESIETFVQKEVCEQYYVSLHREMEEEIHRLESQLDQSKSYLAHLLSQTQDKDQAIEKLKNEEFTILLRLMSEIVKANGIIRELGVGLNTLQSSISISPEETTCQNSDLKLEDRVDLREPEVFQPVERQNVEVLCQLSKEMEFTVLGMKMMQSQMTKYLQEKENLKESQRTINDLRSEVFKLNAEIVEKERYYEARLKELEVKIQGNDASLISWNKEKEALEHEVSEAKLLMAKKSFESATLIAKFEEAQATISDADSTVKALVEANEKAKHQAERYQEKEALFIAEKDVMLSEISSLKMLLDTKEHSYKLMERKFQSGLLEANEVALELEDGIRLLQNLLIEKLEFVSSDVEWMKEKLQQFAELARTWLEENWLEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDKAKNELEMCSVLKGKLLLDINNSFSRIAKKEQEATKLNTRLDSFEKKILHLQAQEEAMVARSNSMYNELSNLIEEIDATNRSALAAESKEKEELRHQLDEALLLNGMLKDKMLIELNLIQINSSIPFVDIKGCSEFELCYWLADYRSDLVMTNMIAKDIESTVLASELKQHKLHLQEQRVMFTNVLEGLMEESTLWKVDQDLENVAICILHEENNAIGADLEKLKQISEEAMENLHAMNEENIQLNYLIPSLESSIISFQTNLDTKNKALEELERSHATICRELEQKTEAINLSTTRENCFSSENEMLKQEISNILCKEQCISELMASIEADKSFVTIESRLQLITDHIYNYINEQINMVSKLSNELDIIQVSAEELSTQNSLLQSELIRKDELTKGLSFDLSLLQESASVAKDQAAELMELRKAIESLEQDLASKSLELDDVISDRQQLEARILMSNNKVATLEEELAKKLDELNVVSMENAELKSQLQHIEEISYAMEELTDKREAIGRLEEDLVELRRFIDERNICLQSLQNDFSKLSDEKQCCDTQLLILKEKLEMAQALAEESEAIATESRQIAEEQKAYAEGKDEEVKLLERSIEELENTVCALESKVDIVKEEAERQRMQREELEVELQKVRQQMLTVPPSGKSKSYMEDGMVDLADLPRHPTDIHNDLLCAQESIRILEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSSAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKKRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWVQALADNQKRMEPIESVIPQAQEIKEQSNELMKLKKQLDEFIEERQSCLEEINQKQSELGAARINIEKLRQREHFMVAEIELLKAENTNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNAELSAKLQQLGAILTRTKEELARYRVSNGKDPYEQIEEEELLRKKLDESEQDRSKLAENLSSLCTSILKVAGVVNPEPDASLLKALECLNQLQCRIPSLESEVEELKLKCKLLQEKARLSELRSDSSSLSSGAKDGSTSPGLSRSPSISSFR >PVH63147 pep chromosome:PHallii_v3.1:3:61350002:61363425:-1 gene:PAHAL_3G480200 transcript:PVH63147 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MVRDLTAPRRTPARAASASEAGNDENASGDASDVAAVAADPGAAFRPPLLAIQPPASGLKRKPDSPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEPPPRGGAGAPPYTAMTTPRAHRGKAPVPAASEGGSTQSTPTKSVTKPAYSIGMSGSRPPMSGGGPRVAGFGMGFSTSGRGAPLSLGPAAVVNSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNNEKNLHSYNRCLKQESAQSITWIGHPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSSNLQLREDIRKEVYVENLTEFEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLQEELAALKRQHVTRSLSFTADIFGGDVNDGSVYDKNDNDANNSSSLKDLQNPNKQLKSLEEALAGALRRESAAENTIRELELEIEQLNELVRQREHDTRSAKMMLKFRDEKIHRMDALVNKKLPAESYLLEENKTLSQEIDLLKERLDKNPEVTRFALENIRLSSQLKRSQEFFDEGERELLLNEIAELRNQVSQILEARIETEQQNIFPAKSKDSQQHSIGLESDAEIVNMHMELKTTSQELEACRGDLQACLESNRKLTREMADLEKELNALKILKEEQPRVFENSSPVHQFDSDTSAKMEDYFDETFKMEELLNLQLELDVIKTILVEERTSRAEVEEKSACLVDELQSANIRILQACKRYEALESELNDSRSVIEALESQQIMLINELDELKNNNQQSIELLEKRDMEISRLNNEHDILRRQEYLTKEEPKTQLLKCYDNEDSPLQTKLKRMQASLEKVRNLNTMYQRDQASHCSAEQEMDEVRRQVEVETAEVIVRLQEELISVQQQLDASNKKDLLAKQSIDELQLEIMQLNDKLLEVLKNNESLSSVIEDKEKEIELLTNDWNRLVADIGIYLVDGNAALSEASDQVASISKSFSQRKWVEEQVQKMCRGISERDELLEELQNRLKEADNIRCDLDLKLMSLRGAMQAINEVHQQEKCDQEKEMYILRSQVSEQGHVNSQQLERIHRMELLLDESIETFVQKEVCEQYYVSLHREMEEEIHRLESQLDQSKSYLAHLLSQTQDKDQAIEKLKNEEFTILLRLMSEIVKANGIIRELGVGLNTLQSSISISPEETTCQNSDLKLEDRVDLREPEVFQPVERQNVEVLCQLSKEMEFTVLGMKMMQSQMTKYLQEKENLKESQRTINDLRSEVFKLNAEIVEKERYYEARLKELEVKIQGNDASLISWNKEKEALEHEVSEAKLLMAKKSFESATLIAKFEEAQATISDADSTVKALVEANEKAKHQAERYQEKEALFIAEKDVMLSEISSLKMLLDTKEHSYKLMERKFQSGLLEANEVALELEDGIRLLQNLLIEKLEFVSSDVEWMKEKLQQFAELARTWLEENWLEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDKAKNELEMCSVLKGKLLLDINNSFSRIAKKEQEATKLNTRLDSFEKKILHLQAQEEAMVARSNSMYNELSNLIEEIDATNRSALAAESKEKEELRHQLDEALLLNGMLKDKMLIELNLIQINSSIPFVDIKGCSEFELCYWLADYRSDLVMTNMIAKDIESTVLASELKQHKLHLQEQRVMFTNVLEGLMEESTLWKVDQDLENVAICILHEENNAIGADLEKLKQISEEAMENLHAMNEENIQLNYLIPSLESSIISFQTNLDTKNKALEELERSHATICRELEQKTEAINLSTTRENCFSSENEMLKQEISNILCKEQCISELMASIEADKSFVTIESRLQLITDHIYNYINEQINMVSKLSNELDIIQVSAEELSTQNSLLQSELIRKDELTKGLSFDLSLLQESASVAKDQAAELMELRKAIESLEQDLASKSLELDDVISDRQQLEARILMSNNKVATLEEELAKKLDELNVVSMENAELKSQLQHIEEISYAMEELTDKREAIGRLEEDLVELRRFIDERNICLQSLQNDFSKLSDEKQCCDTQLLILKEKLEMAQALAEESEAIATESRQLQIAEEQKAYAEGKDEEVKLLERSIEELENTVCALESKVDIVKEEAERQRMQREELEVELQKVRQQMLTVPPSGKSKSYMEDGMVDLADLPRHPTDIHNDLLCAQESIRILEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSSAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKKRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWVQALADNQKRMEPIESVIPQAQEIKESNELMKLKKQLDEFIEERQSCLEEINQKQSELGAARINIEKLRQREHFMVAEIELLKAENTNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNAELSAKLQQLGAILTRTKEELARYRVSNGKDPYEQIEEEELLRKKLDESEQDRSKLAENLSSLCTSILKVAGVVNPEPDASLLKALECLNQLQCRIPSLESEVEELKLKCKLLQEKARLSELRSDSSSLSSGAKDGSTSPGLSRSPSISSFR >PAN18694 pep chromosome:PHallii_v3.1:3:14219840:14221415:1 gene:PAHAL_3G219100 transcript:PAN18694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAEGSKLGRWLGAPVRALSRACDSYARRMSACAGRMPTHAAAYGGRGGFAPGSMQAATFSSRSRRAGAGGEEDVNELVRAMSQRQASGRGAGEGVAASVPVRSRSVAVGRIDEDAPCEFGSDDAVRVGGPPVRRARSVAVGSAGLAARAGGYGSAKKQLGVGGVVRG >PAN19554 pep chromosome:PHallii_v3.1:3:18941649:18947046:-1 gene:PAHAL_3G282000 transcript:PAN19554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRSWSVLAMAITISANAWSDAGHAVNHLYDLLYMMGRDDIAVGVGGDGGISDNGRIYPNVGGYFAIIEQEMSTVGGCRYRQTIPQGRNGRLDVNTNYGIRRAFLPQGNRRYFPLQQPTTQQMMIDTISAAPTTVLLMGTHSNFALFLMSNPHLKKNVEHIYIMGGGVRSHNPTGCCSKSSISCVPQQCEDHGNMFTAYNKDPYAEFNIFGDPFGAYQVFHSGIPITLVPLDATNTIPITENFFKEFEQKQNTYEAKYCFQSLKIARDTWFNQFYTSYFMWDSFMTGVALSIMRNGERPNGENDFAEMEVMNITVVTSNEPYGVHDGSNPFFDGHVRPKFNLLEGGVHSGHVLTGLDDPFCVMKGSIKGKCQDGYTKEVQGLDSVAALVAVKAKPNRNADSPLDREFFNNFLEVLNHPAHSGRFNLTNQFPHYKEIMYKPDFRHRIRGMPVIFDMDMSPGDFIALMCILKANIEVIDLKGILVSGNGWSNPATIDVIYDVLHMMGRDDIPVGLGSITALGAPELGCEYVKVIPHGSGGGLDTDTLFGLARMLPRAPRRYTAENSMKYGAPRDTAHPELRQPLAFEVWQQVTAELGPTDKITILTNGPLTNIANIILSDTKAKSIIEKIYIVGTHLVDGEGEEGNLFTVPSNKFAEFNFFLDPKAAKTVVESRLDITVIPLRAQRQVSSFGKVLRSLIAAEKTPESSFVYRLLLLMQKLQKKHQSYSHIDMFLGELLGSMFLVQQSHLNCSITEKAINVGSGHVSMHGQTILDETNGKLVKVLDRLDSDAYYTELAKLLATKQQSALVGSFDEQKRVWSKASYKKGRDDPGFVK >PAN19553 pep chromosome:PHallii_v3.1:3:18941929:18946719:-1 gene:PAHAL_3G282000 transcript:PAN19553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRSWSVLAMVAITISANAWSDAGHAVNHLYDLLYMMGRDDIAVGVGGDGGISDNGRIYPNVGGYFAIIEQEMSTVGGCRYRQTIPQGRNGRLDVNTNYGIRRAFLPQGNRRYFPLQQPTTQQMMIDTISAAPTTVLLMGTHSNFALFLMSNPHLKKNVEHIYIMGGGVRSHNPTGCCSKSSISCVPQQCEDHGNMFTAYNKDPYAEFNIFGDPFGAYQVFHSGIPITLVPLDATNTIPITENFFKEFEQKQNTYEAKYCFQSLKIARDTWFNQFYTSYFMWDSFMTGVALSIMRNGERPNGENDFAEMEVMNITVVTSNEPYGVHDGSNPFFDGHVRPKFNLLEGGVHSGHVLTGLDDPFCVMKGSIKGKCQDGYTKEVQGLDSVAALVAVKAKPNRNADSPLDREFFNNFLEVLNHPAHSGRFNLTNQFPHYKEIMYKPDFRHRIRGMPVIFDMDMSPGDFIALMCILKANIEVIDLKGILVSGNGWSNPATIDVIYDVLHMMGRDDIPVGLGSITALGAPELGCEYVKVIPHGSGGGLDTDTLFGLARMLPRAPRRYTAENSMKYGAPRDTAHPELRQPLAFEVWQQVTAELGPTDKITILTNGPLTNIANIILSDTKAKSIIEKIYIVGTHLVDGEGEEGNLFTVPSNKFAEFNFFLDPKAAKTVVESRLDITVIPLRAQRQVSSFGKVLRSLIAAEKTPESSFVYRLLLLMQKLQKKHQSYSHIDMFLGELLGSMFLVQQSHLNCSITEKAINVGSGHVSMHGQTILDETNGKLVKVLDRLDSDAYYTELAKLLATKQQSALVGSFDEQKRVWSKASYKKGRDDPGFVK >PVH62388 pep chromosome:PHallii_v3.1:3:18941649:18946363:-1 gene:PAHAL_3G282000 transcript:PVH62388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRDDIAVGVGGDGGISDNGRIYPNVGGYFAIIEQEMSTVGGCRYRQTIPQGRNGRLDVNTNYGIRRAFLPQGNRRYFPLQQPTTQQMMIDTISAAPTTVLLMGTHSNFALFLMSNPHLKKNVEHIYIMGGGVRSHNPTGCCSKSSISCVPQQCEDHGNMFTAYNKDPYAEFNIFGDPFGAYQVFHSGIPITLVPLDATNTIPITENFFKEFEQKQNTYEAKYCFQSLKIARDTWFNQFYTVSKLFLDTLIVCLFSSIPFRWLQSYFMWDSFMTGVALSIMRNGERPNGENDFAEMEVMNITVVTSNEPYGVHDGSNPFFDGHVRPKFNLLEGGVHSGHVLTGLDDPFCVMKGSIKGKCQDGYTKEVQGLDSVAALVAVKAKPNRNADSPLDREFFNNFLEVLNHPAHSGRFNLTNQFPHYKEIMYKPDFRHRIRGMPVIFDMDMSPGDFIALMCILKANIEVIDLKGILVSGNGWSNPATIDVIYDVLHMMGRDDIPVGLGSITALGAPELGCEYVKVIPHGSGGGLDTDTLFGLARMLPRAPRRYTAENSMKYGAPRDTAHPELRQPLAFEVWQQVTAELGPTDKITILTNGPLTNIANIILSDTKAKSIIEKIYIVGTHLVDGEGEEGNLFTVPSNKFAEFNFFLDPKAAKTVVESRLDITVIPLRAQRQVSSFGKVLRSLIAAEKTPESSFVYRLLLLMQKLQKKHQSYSHIDMFLGELLGSMFLVQQSHLNCSITEKAINVGSGHVSMHGQTILDETNGKLVKVLDRLDSDAYYTELAKLLATKQQSALVGSFDEQKRVWSKASYKKGRDDPGFVK >PAN19873 pep chromosome:PHallii_v3.1:3:21102909:21105798:-1 gene:PAHAL_3G304100 transcript:PAN19873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PAN21661 pep chromosome:PHallii_v3.1:3:62344761:62348532:1 gene:PAHAL_3G491400 transcript:PAN21661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTAGGVAEEAVRRWVAAGGGRLVLDGGLATELEANGADLNDPLWSAKCILASPHLIRKVHMDYLEAGANIIITASYQATIQGFESKGFSKEQSENLLTKSVEIAQEAREMFLKEHLDQSIPAQKPILVAASIGSFGAYLADGSEYSGDYGEAGTIEFLKDFHRRRLQVLAEAGPDLIAFETIPNKLEAQAYVELLEECNIHIPAWFSFNSKDGVNIVSGDSLIECATIADKCAKVGAVGINCTPPRFIHGLILSIRKITDKPILIYPNSGERYDGEKKEWVESTGVSDGDFVSYVNEWCKDGAALIGGCCRTTPNTIRAIHRTLNQGSNTQQLPVA >PAN16587 pep chromosome:PHallii_v3.1:3:4455718:4461418:1 gene:PAHAL_3G070000 transcript:PAN16587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVINRDDSDFHGGALTYGDAMNTGGSNVEILTRVELDLAFASEKLLNLEMLVMEIARRATDFEPATFEDESVSSETAENAFELDILYGILDAEVKELTNLISSLQADIKSIEHRVFEEESGGKVKAKLDAATVTLKQMQELIADIRNESAKFEKAIQFFHETEGVEGVGCENGHMSYQTGMQTEDQHRNVLHMLEQSIASELDLEKKLSDSKTVIEDLKLKLHHQEKEIYFLEESAETVSGRMFEAENASELLFGTSKELANRLNTMEFHLSAQKCREDDLKSKLEQSLTKLSVLENSPDKMEQESNKVGADSISLQDRIQELEKQLRESNSQLQSAMESAETSQEEQNALHSELSTLENTIKNLKDDVSRVECRAQNAEIRCMQLTQANIELNGELSALKSEKSDKVNLLEKRLKESNTQLEHAKAAVDAIVEQQGMLKSTMSDMEHMIEDLKGKVLKAETRAESAESKCTLLTDTNLELSEELAFLRGRVESLENLLREANRAKLSTAKDIGIRTKIITDLVRKLALERERLHLQIATLTKKNNILAQKYKEHVNVSAQVSKKATAKQTELQSPEKAEEIFPDSSSSQTEAEKPADLLYKDEVKAHTSAEHVSTSEDDYSLDDTHETVRTIEPSLLNRKYIFVSFLVLLAAAVVFMLHEDGSPA >PAN20580 pep chromosome:PHallii_v3.1:3:40934069:40938203:1 gene:PAHAL_3G374200 transcript:PAN20580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGDITPTSIPSSPSCSSEVPPEFAEYVAISPVCDDEASDECCDCDDAEVEALYFGRRLQDRLREAKDFIRRYKPGDCIEGAGGTKAGDYILPEIATLLLVGPRGAGKSTLVNRITRVFDKDDDPFAPDRAQVSRNSKSYGTLLLWEYPIPRNSTAICIYDTQSLSSNQQNNFKMLQQWMTKGISHAETTMCFSRDTDEGNKTKHIKPLGRQFSFLSCKTRKVNFVIFVVDGVSILDGIESNKKGYIDILHETFMYPFLSIGDDKPVVVVTHGDRLSMLQRAHVQNELAEVLGIPVQQIFDIPGSDDYQTDLAILDMLRYCIQHAEQNLPIKLNYLLE >PAN20583 pep chromosome:PHallii_v3.1:3:40934069:40938203:1 gene:PAHAL_3G374200 transcript:PAN20583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGDITPTSIPSSPSCSSEVPPEFAEYVAISPVCDDEASDECCDCDDAEVEALYFGRRLQDRLREAKDFIRRYKPGDCIEGAGGTKAGDYILPEIATLLLVGPRGAGKSTLVNRITRVFDKDDDPFAPDRAQVSRNSKSYGTLLLWEYPIPRNSTAICIYDTQSLSSNQQNNFKMLQQWMTKGISHAETTMCFSRDTDEGNKTKHIKPLGRQFSFLSCKTRKVNFVIFVVDGVSILDGIESNKKGYIDILHETFMYPFLSIGDDKPVVVVTHGDRLSMLQRAHVQNELAEVLGIPVQQIFDIPGSDDYQTDLAILDMLRYCIQHAEQNLPIKLNYLLEV >PVH62701 pep chromosome:PHallii_v3.1:3:40934534:40938203:1 gene:PAHAL_3G374200 transcript:PVH62701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYKPGDCIEGAGGTKAGDYILPEIATLLLVGPRGAGKSTLVNRITRVFDKDDDPFAPDRAQVSRNSKSYGTLLLWEYPIPRNSTAICIYDTQSLSSNQQNNFKMLQQWMTKGISHAETTMCFSRDTDEGNKTKHIKPLGRQFSFLSCKTRKVNFVIFVVDGVSILDGIESNKKGYIDILHETFMYPFLSIGDDKPVVVVTHGDRLSMLQRAHVQNELAEVLGIPVQQIFDIPGSDDYQTDLAILDMLRYCIQHAEQNLPIKLNYLLEVRGRETLKNAAERLMALDAVIEATIIFLCIMILLLRFSDKLLQL >PAN20585 pep chromosome:PHallii_v3.1:3:40934068:40938203:1 gene:PAHAL_3G374200 transcript:PAN20585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGDITPTSIPSSPSCSSEVPPEFAEYVAISPVCDDEASDECCDCDDAEVEALYFGRRLQDRLREAKDFIRRYKPGDCIEGAGGTKAGDYILPEIATLLLVGPRGAGKSTLVNRITRVFDKDDDPFAPDRAQVSRNSKSYGTLLLWEYPIPRNSTAICIYDTQSLSSNQQNNFKMLQQWMTKGISHAETTMCFSRDTDEGNKTKHIKPLGRQFSFLSCKTRKVNFVIFVVDGVSILDGIESNKKGYIDILHETFMYPFLSIGDDKPVVVVTHGDRLSMLQRAHVQNELAEVLGIPVQQIFDIPGSDDYQTDLAILDMLRYCIQHAEQNLPIKLNYLLEVRGRETLKNAAERLMALDAVIEATIIFLCIMILLLRFSDKLLQL >PAN20581 pep chromosome:PHallii_v3.1:3:40934393:40936769:1 gene:PAHAL_3G374200 transcript:PAN20581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVTATTPRWRLSTSAAGCRTACGRRKTSSGVQCCDAPQVPKFTLLIFDPVSVLLETQSMSRYKPGDCIEGAGGTKAGDYILPEIATLLLVGPRGAGKSTLVNRITRVFDKDDDPFAPDRAQVSRNSKSYGTLLLWEYPIPRNSTAICIYDTQSLSSNQQNNFKMLQQWMTKGISHAETTMCFSRDTDEGNKTKHIKPLGRQFSFLSCKTRKVNFVIFVVDGVSILDGIESNKKGYIDILHETFMYPFLSIGDDKPVVVVTHGDRLSMLQRAHVQNELAEVLGIPVQQIFDIPGSDDYQTDLAILDMLRYCIQHAEQNLPIKLNYLLEV >PVH62702 pep chromosome:PHallii_v3.1:3:40934888:40936769:1 gene:PAHAL_3G374200 transcript:PVH62702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYKPGDCIEGAGGTKAGDYILPEIATLLLVGPRGAGKSTLVNRITRVFDKDDDPFAPDRAQVSRNSKSYGTLLLWEYPIPRNSTAICIYDTQSLSSNQQNNFKMLQQWMTKGISHAETTMCFSRDTDEGNKTKHIKPLGRQFSFLSCKTRKVNFVIFVVDGVSILDGIESNKKGYIDILHETFMYPFLSIGDDKPVVVVTHGDRLSMLQRAHVQNELAEVLGIPVQQIFDIPGSDDYQTDLAILDMLRYCIQHAEQNLPIKLNYLLEV >PAN20582 pep chromosome:PHallii_v3.1:3:40934069:40938203:1 gene:PAHAL_3G374200 transcript:PAN20582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVTATTPRWRLSTSAAGCRTACGRRKTSSGVQCCDAPQVPKFTLLIFDPVSVLLETQSMSRYKPGDCIEGAGGTKAGDYILPEIATLLLVGPRGAGKSTLVNRITRVFDKDDDPFAPDRAQVSRNSKSYGTLLLWEYPIPRNSTAICIYDTQSLSSNQQNNFKMLQQWMTKGISHAETTMCFSRDTDEGNKTKHIKPLGRQFSFLSCKTRKVNFVIFVVDGVSILDGIESNKKGYIDILHETFMYPFLSIGDDKPVVVVTHGDRLSMLQRAHVQNELAEVLGIPVQQIFDIPGSDDYQTDLAILDMLRYCIQHAEQNLPIKLNYLLEVRGRETLKNAAERLMALDAVIEATIIFLCIMILLLRFSDKLLQL >PAN16269 pep chromosome:PHallii_v3.1:3:3162019:3166751:-1 gene:PAHAL_3G049700 transcript:PAN16269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLHLHSTLLPSASALRRRAGAPAPSSSRRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPAAQSQAASFSSSGAAASSPPPQETAAQEASAPPPPPPPPAPIAVSAPAPPSPAAQGGARVVASPYAKKLAKDLGVDLFSVTGSGPGGRIVAKDVEAALAAPKKAAPVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMTALLAKATAMALVQHPVVNSSCRDGKSFTYSSSINISVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVGTKDGRIGIKNQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >PAN16270 pep chromosome:PHallii_v3.1:3:3163651:3166751:-1 gene:PAHAL_3G049700 transcript:PAN16270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLHLHSTLLPSASALRRRAGAPAPSSSRRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPAAQSQAASFSSSGAAASSPPPQETAAQEASAPPPPPPPPAPIAVSAPAPPSPAAQGGARVVASPYAKKLAKDLGVDLFSVTGSGPGGRIVAKDVEAALAAPKKAAPVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMTALLAKATAMALVQHPVVNSSCRDGKSFTYSSSINISVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPHEYNSVTSCNICMEPLFMKSKKAKNSYWIHQRAVTSGEFYLPRILALVSISVRVPHATIVFLAVTSSVLFLPVPF >PAN16140 pep chromosome:PHallii_v3.1:3:2530347:2535913:1 gene:PAHAL_3G039500 transcript:PAN16140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQILLRRLSAHSHVVRGLGCRRLSSAVHGGGGERRRASAPPPQDEESRAVRVSVWWDFENCNIPNGINVCRVAPRVSAALRAAGIRGPLSITAFGDVLQLARSSQEALAATGVSISHVPRNGKNSSDRSFMVDLVYWVAQNPPPVHFFLISGDSDFANLLHRLRMSNYNVLLACPSSTTSVLCSAATVMWPWDALVKGEDFSPKRFNHPPDGLHGSWYGHYKGALDNPFLEKESTEPIKVPSDSQHCSVPSDSKHCSIPKSVSNAIMEALRPHPEGLSLSYLRSELVKNNVFRGTDFFGHKKFSCFLRSMPDIVELVDPPPGKNEPYVILVSKGLLQPGEGSSKALSSAQGNVSENNITGTAQNDKKHPSLMSTPEVNSKPLSSCQSIERSKSFTETVIGYPPTFSVSSSPLVLLSEDRKKYQTADVSAQTESPAKHMEVDEGTTPGIPSSSGVENVVNKDGLLKRIRILWNGPDNAKPEVSQNCESTSAEVVDGLRIPLQEHHADRCIKLLRRIHKNASKSDHSDGTNSTAAVSGNLPISSDDDNSERIKRDPSFLENPEPCSRSASVSMGKAGEKDSSEMNKGLFSWASRWWTFGKSYADNNTTNSNVADEPIDSIEEFESSNASTCGRGQQVVNEIFAKAHLWDLLEQQLSKRLGSELVLKAKTRGELAHGLQKLGCWPLKGLFEKDLHHLVHLLISEKKWIEETSSRPFPFRLTLPQKRTCVPSNSSKSTALSSIFVNGKPQKGKYLDDKNKKSKPLTREEILSDCHKLLNELLSQHKYGFNISIFKHRFTQKHGYELDHQKLGYPDLASLLQIMPGARIKFPRVLPAENENGRAGSKGSGNHNNGDDLIWEELGPVSATTETAAAEVDKEMCYRPPTPSDDEFSDNDSQADQKARGNAEHSSLLQIIDSWNSSKDDGSNKKSQDIDGLVDCSTRNPGYLDNQAAGNMQRSTRPSQKQYSFVSDSEEDKEKDKLVESVLGSLQKARSSRLCN >PAN16141 pep chromosome:PHallii_v3.1:3:2530830:2535913:1 gene:PAHAL_3G039500 transcript:PAN16141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLVYWVAQNPPPVHFFLISGDSDFANLLHRLRMSNYNVLLACPSSTTSVLCSAATVMWPWDALVKGEDFSPKRFNHPPDGLHGSWYGHYKGALDNPFLEKESTEPIKVPSDSQHCSVPSDSKHCSIPKSVSNAIMEALRPHPEGLSLSYLRSELVKNNVFRGTDFFGHKKFSCFLRSMPDIVELVDPPPGKNEPYVILVSKGLLQPGEGSSKALSSAQGNVSENNITGTAQNDKKHPSLMSTPEVNSKPLSSCQSIERSKSFTETVIGYPPTFSVSSSPLVLLSEDRKKYQTADVSAQTESPAKHMEVDEGTTPGIPSSSGVENVVNKDGLLKRIRILWNGPDNAKPEVSQNCESTSAEVVDGLRIPLQEHHADRCIKLLRRIHKNASKSDHSDGTNSTAAVSGNLPISSDDDNSERIKRDPSFLENPEPCSRSASVSMGKAGEKDSSEMNKGLFSWASRWWTFGKSYADNNTTNSNVADEPIDSIEEFESSNASTCGRGQQVVNEIFAKAHLWDLLEQQLSKRLGSELVLKAKTRGELAHGLQKLGCWPLKGLFEKDLHHLVHLLISEKKWIEETSSRPFPFRLTLPQKRTCVPSNSSKSTALSSIFVNGKPQKGKYLDDKNKKSKPLTREEILSDCHKLLNELLSQHKYGFNISIFKHRFTQKHGYELDHQKLGYPDLASLLQIMPGARIKFPRVLPAENENGRAGSKGSGNHNNGDDLIWEELGPVSATTETAAAEVDKEMCYRPPTPSDDEFSDNDSQADQKARGNAEHSSLLQIIDSWNSSKDDGSNKKSQDIDGLVDCSTRNPGYLDNQAAGNMQRSTRPSQKQYSFVSDSEEDKEKDKLVESVLGSLQKARSSRLCN >PAN18236 pep chromosome:PHallii_v3.1:3:12341235:12343717:-1 gene:PAHAL_3G188000 transcript:PAN18236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGGRAKKAAGGGELSRFLDSHLQTINDTFQMMAEAVPGSLERTEWSEVVKLGDQVSRQATVAGMLWSGDLPNVETLKENIVAYFNILQGFLLVCHGSMFGVGPTLHKSICGSAKNVVDSSFSLFKHAVSAYESRSPDRNTTIPQVTGTVWEACAALKKVPTSNCTAIGRAMTQIGVCLKDVLREMKELPIGDSGDNTAEISSNGAVDTTSCSDRDEISDLDMDDDDFTEEEVAVAKLVVAVVSDSLVVVKEAIRFITGLLKSTGNKNGANEDKVEPMEKLLNHCKEIADQVNDLGASVYPPQDTSEMKLAVKRLYDSINGMRKEIGHLGGSPANAFAALEGFEKCLGSLEAKLGDDVVDEMENLTISQ >PAN19854 pep chromosome:PHallii_v3.1:3:20993522:21004666:-1 gene:PAHAL_3G303400 transcript:PAN19854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDVRKSRKISKSRSMHRSPEQNYGNRGFNPRQWQSSRASRSRWYGYSDDYW >PAN19850 pep chromosome:PHallii_v3.1:3:20993522:21004666:-1 gene:PAHAL_3G303400 transcript:PAN19850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGRVDTSDSNFGSSFSSFEGDLSNASRHSFTNVNICLNGEHKTGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDVRKSRKISKSRSMHRSPEQNYGNRGFNPRQWQSSRASRSRWYGYSDDYW >PAN19857 pep chromosome:PHallii_v3.1:3:21000007:21004540:-1 gene:PAHAL_3G303400 transcript:PAN19857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGRVDTSDSNFGSSFSSFEGDLSNASRHSFTNVNICLNGEHKTGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKDWLAVWFYLKMMDVDARGYQDICRD >PAN19853 pep chromosome:PHallii_v3.1:3:20994284:21004540:-1 gene:PAHAL_3G303400 transcript:PAN19853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGRVDTSDSNFGSSFSSFEGDLSNASRHSFTNVNICLNGEHKTGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDVRKSRKISKSRSMHRSPEQNYGNRGFNPRQWQSSRASRSRWYGYSDDYW >PAN19858 pep chromosome:PHallii_v3.1:3:20999026:21004666:-1 gene:PAHAL_3G303400 transcript:PAN19858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKDWLAVWFYLKMMDVDARGYQDICRD >PAN19851 pep chromosome:PHallii_v3.1:3:20994284:21004540:-1 gene:PAHAL_3G303400 transcript:PAN19851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGRVDTSDSNFGSSFSSFEGDLSNASRHSFTNVNICLNGEHKTGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDVRKSRKISKSRSMHRSPEQNYGNRGFNPRQWQSSRASRSRWYGYSDDYW >PAN19849 pep chromosome:PHallii_v3.1:3:20994284:21004540:-1 gene:PAHAL_3G303400 transcript:PAN19849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDVRKSRKISKSRSMHRSPEQNYGNRGFNPRQWQSSRASRSRWYGYSDDYW >PAN19852 pep chromosome:PHallii_v3.1:3:20993522:21004666:-1 gene:PAHAL_3G303400 transcript:PAN19852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDVRKSRKISKSRSMHRSPEQNYGNRGFNPRQWQSSRASRSRWYGYSDDYW >PAN19855 pep chromosome:PHallii_v3.1:3:20994284:21004540:-1 gene:PAHAL_3G303400 transcript:PAN19855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDVRKSRKISKSRSMHRSPEQNYGNRGFNPRQWQSSRASRSRWYGYSDDYW >PVH62473 pep chromosome:PHallii_v3.1:3:20994925:21004667:-1 gene:PAHAL_3G303400 transcript:PVH62473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKVKC >PAN19856 pep chromosome:PHallii_v3.1:3:20994284:21004540:-1 gene:PAHAL_3G303400 transcript:PAN19856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPDLPAAAAAPMANPGLGLGLAPPGAEPSAGGPPPSRRAPRLAKRRHAPASSRSRAPQAPAGTWNPFGGGGGGTDGPRQDGTGGFGSGNGGFGKGQSGGFVFSAAPAVSQQAPEPPAAAASPSEPPFVFGSVRESLPRFEEGLSAPSKLPDKMEKLNLRTPGEVGVGFGQGKDQKDGCSVFGVDISGLVSNIQVNVLPEKLTQLNLGCGAPLQSGKGDIGNGVPKSFVFGGNGAGTFAVSRNAAAPGAHSDASASVLGTDAKAMPEKLTQFNIGDQAPSRGKGIENTNGSPFAFGSTAASVRLDSTNNVASGANTSSSTAINGLDDAGVLQEKITRLNIGSNMPLHEMRSAGGSHQPEVFTFGNGGAPGTVFGKETSSTSDRSSEFFSANSNASSPSSDFLSTANSNASAKGTDSLPPEKKSDLSAGGGVMSHSMESDNANCPPEALFGRNGARSSISHSASVAMDDGSNFVNDAYTNISSARGTVDGVLPEKMTELNIGSGIPSQSRQDETATQPPEVFVFGSNVSSFSSAQTASMLFTSFQTILSSQPKDMGGNFIDENVNNSTYSEASNQGCGTSSFVFGRGSNAAPSEGAAEYALHDEIKKLNINIEGPPLGCTKLNGSVTPEFSFQSNAEATSGYGAVPQPKQESHPFTNLNCPSSFSTFETAVPAFSFGSMSAERETAPNDPCAVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNRRPTRLKQHAQVHHVASKETCTNGDLAGDYSPMDCSPYPAETEHVSTEAYVASDQSVHIGDSGIPNWNSSCADDDVVSATEHLVIDSDHQTFGNEGRVDTSDSNFGSSFSSFEGDLSNASRHSFTNVNICLNGEHKTGATEACPDGYGHNVNGQAYDENTYRTLHDFGEAVAFQPSSSNFSGLNFSFGASSSHISASAQRRSTRRKLRTKSTPASKSSSTNSFVQPKSSQDMKGMQVSREISINEDSVKEQETRDSSTSVALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGASGHCSRALMLCYSNRAATRMSLGMIREALQDCLTATSIDPSFIKAKVRAANCYLALGDLEDASRSYMSCLNNNTSSSDPKMFTEASDGLEKVKRVTEWVSQCKELLGKRASPEATKALELISNALHISPHSDSLKEMKAEALLMLRRYEEVIQLCQESVNPVERNSVLFNANGEPENSRVSEKTQFSGSYWRPYLICKSYFLSGKLDEALDLLKKHEQITPSKESDESTYHQHFSSLSATIRQLLSLKAAGNELFQARRYSDAVEQYSVALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNMSGVSPKVFNKHSDLKQARARLLSIEDEAKKDTPLNLYLILGVEPSCSAADIKKAYRKAALKHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDVRKSRKISKSRSMHRSPEQNYGNRGFNPRQWQSSRASRSRWYGYSDDYW >PAN15945 pep chromosome:PHallii_v3.1:3:1187971:1192252:1 gene:PAHAL_3G021800 transcript:PAN15945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWNRSRYVRRQPDRSRRPSHPSLPPPDYGHEHCSVPLWEREFCSYVGNISWPRFCINKLYVSVYNNLEQWDDSGAFENFQNSKARFWANYHGQPSDIPLPDPDMYIDKVDHRCKVDPELVADLDKVRLPFDSDYSSAPATGPGNAGADNKCTQNRSGNWDIYIEKPAEVNKWDWDANAIWGGKDESSSKWGNSNSGWGASLEPSCHGWSNHQYASNNRNNNFYGGSNNNRNRYREEDPSHASGRKRNRGGYFQQRNHKQRGQDEGHHQRSSWQDLRGRNREWRPLHN >PVH61843 pep chromosome:PHallii_v3.1:3:9044531:9046560:-1 gene:PAHAL_3G140400 transcript:PVH61843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAWACAVGRAVDMADSAKRFFLSFRRPPQQQQPPPLHPGHNPVDILKRLQRQAFYDIMQLRERQEKVERVLSLFKASKVGPFAEESTQVKGVINVAGSLSRESSEAESGISSRFVFQTTVRKKDSLFAELITDHRYMSQENDHTGSPLVLSKVMYLSNINDSLSAAAVPVGATCDDFSTDPNLQEEHWLASLRSSLRPPLLIKSHKYAGGLILRSKNFAVSLAELISVAGQPVNAGEASRVFTEFGQFSYQMPDDIKLTLSAAWHGPSVIPRKRKPSAGGCIDVELKFDEDSRIGAWIEINRKSNPRSLRWALTLSDTPEDDLGWGLSLRRGTEAKPQRFQVEGFLNLHLGKKAAVQPGIVFNVDGGRCTPALVFHSSWSL >PAN17564 pep chromosome:PHallii_v3.1:3:9044329:9048154:-1 gene:PAHAL_3G140400 transcript:PAN17564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVYRWNDRVATVFCIIENRSLYSPPLEIAAPPLRSYFRRSPRPAPVLPAPPPPLVSVRRPRGSLPSLRPSHCQAGKPRLGFGPKIAAAGRFAVSGRYTSAFSAPETASAPPPSAIREKGSVESSKGKRERERERMDAAWACAVGRAVDMADSAKRFFLSFRRPPQQQQPPPLHPGHNPVDILKRLQRQAFYDIMQLRERQEKVERVLSLFKASKVGPFAEESTQVKGVINVAGSLSRESSEAESGISSRFVFQTTVRKKDSLFAELITDHRYMSQENDHTGSPLVLSKVMYLSNINDSLSAAAVPVGATCDDFSTDPNLQEEHWLASLRSSLRPPLLIKSHKYAGGLILRSKNFAVSLAELISVAGQPVNAGEASRVFTEFGQFSYQMPDDIKLTLSAAWHGPSVIPRKRKPSAGGCIDVELKFDEDSRIGAWIEINRKSNPRSLRWALTLSDTPEDDLGWGLSLRRGTEAKPQRFQVEGFLNLHLGKKAAVQPGIVFNVDGGRCTPALVFHSSWSL >PAN21870 pep chromosome:PHallii_v3.1:3:62635153:62638749:-1 gene:PAHAL_3G496000 transcript:PAN21870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFSADRMWLNGKEISLSGGRFQSCLREIRKRARDFEDEKKGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKKDDGSDSIAVQLADEAHWKDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPSRVLKMEEAIKNHDFELFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIIGLVEKWNHSEGCPQVAYTFDAGPNAVLIAWDRKTAVLLLQKLLYYFPPQDKDLSSYLVGDKSILSDAGLHSIEDVEALPAPPEMKMHDQKFKGDVSYFICSRLGAGPKAVADESQALLDSVTGIPKGV >PAN16258 pep chromosome:PHallii_v3.1:3:3102446:3105571:1 gene:PAHAL_3G048700 transcript:PAN16258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSGPNKHAVAPMEVSVEAGNAGAAEWLDDDGRPCRKGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIASSISMKAIRRAGCFHTNGHGDPCNSSSIPYMILFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIAQTISNGGFKGSLTGISIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANIAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISRELRVGPFALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSISCLIVSIAAAAGSIADVIDALKVYRPFSS >PVH62244 pep chromosome:PHallii_v3.1:3:16060151:16060522:1 gene:PAHAL_3G247700 transcript:PVH62244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERQNKRWGKREASRLPILMNSEHQVTNHPAPGPRCAGLSHPQPPRGGAPGGLYKPPRTARIPPPPASHPPGPPSLLQRPISHSPPSPQLSPLGRFRTSAFPARAPATSPSPPSTSRAPALI >PVH62479 pep chromosome:PHallii_v3.1:3:21205701:21206117:-1 gene:PAHAL_3G304800 transcript:PVH62479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIKVISEAPKFSRPMGRDRGKRLRGSPGVGSSASSSACLEVHQKIQEDRAKYDERQEIASKDEAQEVAARYEKKLSLVQEQVDIQRKMLELHEKERMDKIMFMDLDKVQPWVRDFYIREQKKIAGWNDQASGAPPS >PAN20164 pep chromosome:PHallii_v3.1:3:34549551:34551786:-1 gene:PAHAL_3G354100 transcript:PAN20164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNLVSWAPLLLLALSAAYVYCATRSRSPQRLPPSPPGWPVIGHLHLLSGGMPHHVMTDLARSMRAPLLRLRMGSVRAVVISKPDLARAALTANDAALASRPHLLSGQFLSFGCSDVTFAPAGAYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPDRPLDLSECFLNLANDVLCRVAFGRRFPHGKGDKLGAVLAEAQDLFAGFTIGDFFPELEPVASTVTGLRRRLKSCLADLREVCDEIVDEHLSGKRPHIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQDEVRRVVGSKGRVEEADLGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDIPAKTRVFINTFAMGRDPEIWENPMEYSPERFENGGGEIDLKDPDFKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPAGVRAEDVNLDESFGLATRKKEPLVVVVRKSEGYDFKGEELNEV >PVH62706 pep chromosome:PHallii_v3.1:3:40973716:40974297:1 gene:PAHAL_3G374500 transcript:PVH62706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTILDLQCRLWGEERDDRIILSQSAARGLITTGSQSSRRSLRLELKEEKLEKTAQIFIPLLHSKHWFLIVDETVHFLDSLPTPSRVKLVLDVLLVLRNIIKESAPMIDIFNYKFRIPPVQKQGNRYAFPDNVSFYFSVTPFS >PVH63217 pep chromosome:PHallii_v3.1:3:62623950:62628189:-1 gene:PAHAL_3G495800 transcript:PVH63217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATKVEGEYSFLSKNSHLDQSEGVKTHLYHFGAEHGNDDLKSTACPEQGPSLLDTILLSQWDNFAWKGHLDYDVTACQLKVIEGGINFVGQLNNKWNSFSLKEYDKLFESFRCSKPNSMKSYEGLLLCVAQGENDRPEVAPSASPPKDGLLLIANAYPVEYGHIFLVPAAVNQLSCYWDKRMFGLATKIASEVNNAAFRVFFDSRTHVVSDHMFFQACYFPNPLPVESASTVVLYEYGGNARSDIIVSETVGYPLKAIVFTSNNLKALVNVVSEICFSLHDNTTAYSLLISNNGTKVFLFPQVKNLVTGCSLSTWECGGYFIYHTKFEFDNASETEISKRMASVSLQDSAFEDLKHLCCAIAHDLVK >PAN16125 pep chromosome:PHallii_v3.1:3:2471950:2475596:1 gene:PAHAL_3G038400 transcript:PAN16125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRFPLPAAPAPSSGGGGAPRGHHRRAHSETFLRFPDADLLLDPDGDFSFSDLDFPSLSDDSPAVSDPTPPPAPPQAAPAPAPRPPGGSHTRSLSLDAAFFEGLALQGTGSGGGGGAGHKRSGSMDGASSPFEGESALSSGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGHAVNINGNPFNGGLQQQIPSYFVQQQQQQQQQMSYFGGHQAQHHNQNHHHQSPSNGGQSLSGQSLNDSMDFI >PAN17913 pep chromosome:PHallii_v3.1:3:10920039:10922106:-1 gene:PAHAL_3G165000 transcript:PAN17913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPPSRSRAMMGGWGVSDGYEGSKRPRMMMESNPYFAVNAGSPLDVSKRARMMEPGPPYFGAMGSNAGGASGGFYQPFNSNLAGAGVSTGIQNFPGVRLRGLPFDCNEIDICKFFVGLEIVDCLLVNKNGRFTGEAFVIFPGSMQAEFALHRNRQNMGRRYVEVFRCKKQEYYCAIANEVNQGGYFEPEYRRSPPPPRPKKPAEDKGSMEYTEVLKLRGLPYSATTEDIIKFFLEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTLEEASRAKSRGRQ >PAN17914 pep chromosome:PHallii_v3.1:3:10919780:10922336:-1 gene:PAHAL_3G165000 transcript:PAN17914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPGPPYFGAMGSNAGGASGGFYQPFNSNLAGAGVSTGIQNFPGVRLRGLPFDCNEIDICKFFVGLEIVDCLLVNKNGRFTGEAFVIFPGSMQAEFALHRNRQNMGRRYVEVFRCKKQEYYCAIANEVNQGGYFEPEYRRSPPPPRPKKPAEDKGSMEYTEVLKLRGLPYSATTEDIIKFFLEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTLEEASRAKSRGRQ >PAN19384 pep chromosome:PHallii_v3.1:3:17976876:17983090:-1 gene:PAHAL_3G270200 transcript:PAN19384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKVSAVDKSPSDTTLGRNQVADHEAEAALEVEKPPVSGEDAAAAKRLEEQQRSFSFLESVVPGLAFNGGANAGGGAGSRTSPQLTRSLSQRAGLGKARAGAAKVSEVSSILGRASTVGLEKAVEVLDTLGSSMTSLNSSSGFVSSNAAKGNKISMLAFEVANTIVRGSNLMRSLSEPSIKHLKEVVFHSEGVQHLISKDIDELLKMAAADKREELEVFTKEVVRFGNRCKDPQWHNLGRFFEKLASERTPQNHLKEDAESVMQKLVTCVQCTAELYHEFHALDRFEQDYRHKQKEQDGLSSRGDSLDILKQEVKGQSKHVKSLQKRSLWSKNLEEVMEKLVDIVQFLHLEIHNTFGRADSEEPQEPTIKHYNRLGPAGLALHYANIINQIDNLVSRSCAMPPNARETLYHGLPPTVKSALRSKLQSFELKEELTAPQIKAEMEKTLRWLVPFASNTTNRAHHGFGWVGEWANTGSELNCKLSGQMDMTRIETLYHAEKEKADALILELVVWLHHLISKSRNGHGGVRSPIKSPMSSPTKRGAKITLLAGKTNNSSPILTQEDQDMLRNVKYRKFVPGISKSQEFNTKSSHSKQGRLSKSNSHSPASGTRKDLLPVRRSSMLPVIDFEIDRTKALDLIDRLDDLKIQ >PAN19383 pep chromosome:PHallii_v3.1:3:17976822:17983184:-1 gene:PAHAL_3G270200 transcript:PAN19383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKVSAVDKSPSDTTLGRNQVADHEAEAALEVEKPPVSGEDAAAAKRLEEQQRSFSFLESVVPGLAFNGGANAGGGAGSRTSPQLTRSLSQRAGLGKARAGAAKVSEVSSILGRASTVGLEKAVEVLDTLGSSMTSLNSSSGFVSSNAAKGNKISMLAFEVANTIVRGSNLMRSLSEPSIKHLKEVVFHSEGVQHLISKDIDELLKMAAADKREELEVFTKEVVRFGNRCKDPQWHNLGRFFEKLASERTPQNHLKEDAESVMQKLVTCVQCTAELYHEFHALDRFEQDYRHKQKEQDGLSSRGDSLDILKQEVKGQSKHVKSLQKRSLWSKNLEEVMEKLVDIVQFLHLEIHNTFGRADSEEPQEPTIKHYNRLGPAGLALHYANIINQIDNLVSRSCAMPPNARETLYHGLPPTVKSALRSKLQSFELKEELTAPQIKAEMEKTLRWLVPFASNTTKAHHGFGWVGEWANTGSELNCKLSGQMDMTRIETLYHAEKEKADALILELVVWLHHLISKSRNGHGGVRSPIKSPMSSPTKRGAKITLLAGKTNNSSPILTQEDQDMLRNVKYRKFVPGISKSQEFNTKSSHSKQGRLSKSNSHSPASGTRKDLLPVRRSSMLPVIDFEIDRTKALDLIDRLDDLKIQ >PAN20894 pep chromosome:PHallii_v3.1:3:54763498:54771331:1 gene:PAHAL_3G419700 transcript:PAN20894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGYDYGGSSGAGGKIRRRPPSRAAAASPYARPAPASAPTAAAASQGGGWFSRIITVGASRLLPSLFRKPPPQLTAPSPPPPPQLTAPAPPPPPEPLEEPPSRVWLHEPRPEALDALPSSLPPPLEDDLPEDEENSETIANDNLCTQDPQSSAKEKEDMLRNSESHRGMDLEELLKQKTFTRSEFEHLSKLLWSRTIGSSSLKPEDGSIRKMPISEQENGSRCSSLPVDFSITTYSVADHVASPAEIAKAYMGSKSSKGSPLRLRLHDPSMPIKSMEASMIPKAKPPTIPLLQSSRLQTSKTSDRLESNYTTPNRSAIYKMSSSPYFKSGVSSKDLFTTVSSPYQTLSSVHTFGRQVLKRKSSAIQNEIVSVGPVRRVHQRFNRTSPLLETRPGYRRYLGGHGSKLDEGSEQLARTQKRRCLEVGDATLGSLDNKAHANSFGQAPVQSAEMAAKILKQLDTLVPAQKENMSELKQKHRNAMDFGNLIFPEKEASEQNIQLGLPSVKDIPAAVSEKTVHATSNKSDSEKAPVSSLRNHPPNLVLLSENNRNKMSIPSNGFTFPVQAGLGAHSLAPPTPTLASPPILPVEKQQPSAVLSATTSMESHPRISQSLKEEGSMLHKVDDKLNADNKPMPSKNSGQASKSTQSSSTGGSFTFSNTCVGSSAASNVIVSTSQSVVPPSLASSGTGNSSAPFGTASSSAALDKSKSVSSSTPFNFSQKFETASSSATQDKSKTVSRSTPFSSSQQFGIASSSAAQDKSKAVGSSTPFGFSQQYGMASSSTAQDISKAVSAEPTVLFGNQNGHSGNSNSLFTQSSVNNLSFKSLEASNNGSSQSFADSQVGSAPLCSSPFNASSVFPWAAGSGSTSVAATAQPSSATSSGFGFSSALSASPMFGSQVTTSVPSSFGLPNTGPATSLFSPTPSTVFSFTSSTPSIPNQSPTTPFGGPTLQINGGNIGADRSGSPFPTTSPFGLPSTSPSTPIFSTPATQFASNTSSSPGIFGFGQQSQASSGGFSMGTGGGGNDKSGRRIIRVKKRK >PAN20896 pep chromosome:PHallii_v3.1:3:54763498:54771331:1 gene:PAHAL_3G419700 transcript:PAN20896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGYDYGGSSGAGGKIRRRPPSRAAAASPYARPAPASAPTAAAASQGGGWFSRIITVGASRLLPSLFRKPPPQLTAPSPPPPPQLTAPAPPPPPEPLEEPPSRVWLHEPRPEALDALPSSLPPPLEDDLPEDEENSETIANDNLCTQDPQSSAKEKEDMLRNSESHRGMDLEELLKQKTFTRSEFEHLSKLLWSRTIGSSSLKPEDGSIRKMPISEQENGSRCSSLPVDFSITTYSVADHVASPAEIAKAYMGSKSSKGSPLRLRLHDPSMPIKSMEASMIPKAKPPTIPLLQSSRLQTSKTSDRLESNYTTPNRSAIYKMSSSPYFKVLKRKSSAIQNEIVSVGPVRRVHQRFNRTSPLLETRPGYRRYLGGHGSKLDEGSEQLARTQKRRCLEVGDATLGSLDNKAHANSFGQAPVQSAEMAAKILKQLDTLVPAQKENMSELKQKHRNAMDFGNLIFPEKEASEQNIQLGLPSVKDIPAAVSEKTVHATSNKSDSEKAPVSSLRNHPPNLVLLSENNRNKMSIPSNGFTFPVQAGLGAHSLAPPTPTLASPPILPVEKQQPSAVLSATTSMESHPRISQSLKEEGSMLHKVDDKLNADNKPMPSKNSGQGASFTSNPVFNVVNVKPASLSNGPGHMSNSTVSAIQPSSGSTNSASFQFAGSTISTTASKSTQSSSTGGSFTFSNTCVGSSAASNVIVSTSQSVVPPSLASSGTGNSSAPFGTASSSAALDKSKSVSSSTPFNFSQKFETASSSATQDKSKTVSRSTPFSSSQQFGIASSSAAQDKSKAVGSSTPFGFSQQYGMASSSTAQDISKAVSAEPTVLFGNQNGHSGNSNSLFTQSSVNNLSFKSLEASNNGSSQSFADSQVGSAPLCSSPFNASSVFPWAAGSGSTSVAATAQPSSATSSGFGFSSALSASPMFGSQVTTSVPSSFGLPNTGPATSLFSPTPSTVFSFTSSTPSIPNQSPTTPFGGPTLQINGGNIGADRSGSPFPTTSPFGLPSTSPSTPIFSTPATQFASNTSSSPGIFGFGQQSQASSGGFSMGTGGGGNDKSGRRIIRVKKRK >PAN20893 pep chromosome:PHallii_v3.1:3:54763498:54771331:1 gene:PAHAL_3G419700 transcript:PAN20893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGYDYGGSSGAGGKIRRRPPSRAAAASPYARPAPASAPTAAAASQGGGWFSRIITVGASRLLPSLFRKPPPQLTAPSPPPPPQLTAPAPPPPPEPLEEPPSRVWLHEPRPEALDALPSSLPPPLEDDLPEDEENSETIANDNLCTQDPQSSAKEKEDMLRNSESHRGMDLEELLKQKTFTRSEFEHLSKLLWSRTIGSSSLKPEDGSIRKMPISEQENGSRCSSLPVDFSITTYSVADHVASPAEIAKAYMGSKSSKGSPLRLRLHDPSMPIKSMEASMIPKAKPPTIPLLQSSRLQTSKTSDRLESNYTTPNRSAIYKMSSSPYFKSGVSSKDLFTTVSSPYQTLSSVHTFGRQVLKRKSSAIQNEIVSVGPVRRVHQRFNRTSPLLETRPGYRRYLGGHGSKLDEGSEQLARTQKRRCLEVGDATLGSLDNKAHANSFGQAPVQSAEMAAKILKQLDTLVPAQKENMSELKQKHRNAMDFGNLIFPEKEASEQNIQLGLPSVKDIPAAVSEKTVHATSNKSDSEKAPVSSLRNHPPNLVLLSENNRNKMSIPSNGFTFPVQAGLGAHSLAPPTPTLASPPILPVEKQQPSAVLSATTSMESHPRISQSLKEEGSMLHKVDDKLNADNKPMPSKNSGQGASFTSNPVFNVVNVKPASLSNGPGHMSNSTVSAIQPSSGSTNSASFQFAGSTISTTASKSTQSSSTGGSFTFSNTCVGSSAASNVIVSTSQSVVPPSLASSGTGNSSAPFGTASSSAALDKSKSVSSSTPFNFSQKFETASSSATQDKSKTVSRSTPFSSSQQFGIASSSAAQDKSKAVGSSTPFGFSQQYGMASSSTAQDISKAVSAEPTVLFGNQNGHSGNSNSLFTQSSVNNLSFKSLEASNNGSSQSFADSQVGSAPLCSSPFNASSVFPWAAGSGSTSVAATAQPSSATSSGFGFSSALSASPMFGSQVTTSVPSSFGLPNTGPATSLFSPTPSTVFSFTSSTPSIPNQSPTTPFGGPTLQINGGNIGADRSGSPFPTTSPFGLPSTSPSTPIFSTPATQFASNTSSSPGIFGFGQQSQASSGGFSMGTGGGGNDKSGRRIIRVKKRK >PVH62872 pep chromosome:PHallii_v3.1:3:54763498:54771331:1 gene:PAHAL_3G419700 transcript:PVH62872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGYDYGGSSGAGGKIRRRPPSRAAAASPYARPAPASAPTAAAASQGGGWFSRIITVGASRLLPSLFRKPPPQLTAPSPPPPPQLTAPAPPPPPEPLEEPPSRVWLHEPRPEALDALPSSLPPPLEDDLPEDEENSETIANDNLCTQDPQSSAKEKEDMLRNSESHRGMDLEELLKQKTFTRSEFEHLSKLLWSRTIGSSSLKPEDGSIRKMPISEQENGSRCSSLPVDFSITTYSVADHVASPAEIAKAYMGSKSSKGSPLRLRLHDPSMPIKSMEASMIPKAKPPTIPLLQSSRLQTSKTSDRLESNYTTPNRSAIYKMSSSPYFKVLKRKSSAIQNEIVSVGPVRRVHQRFNRTSPLLETRPGYRRYLGGHGSKLDEGSEQLARTQKRRCLEVGDATLGSLDNKAHANSFGQAPVQSAEMAAKILKQLDTLVPAQKENMSELKQKHRNAMDFGNLIFPEKEASEQNIQLGLPSVKDIPAAVSEKTVHATSNKSDSEKAPVSSLRNHPPNLVLLSENNRNKMSIPSNGFTFPVQAGLGAHSLAPPTPTLASPPILPVEKQQPSAVLSATTSMESHPRISQSLKEEGSMLHKVDDKLNADNKPMPSKNSGQASKSTQSSSTGGSFTFSNTCVGSSAASNVIVSTSQSVVPPSLASSGTGNSSAPFGTASSSAALDKSKSVSSSTPFNFSQKFETASSSATQDKSKTVSRSTPFSSSQQFGIASSSAAQDKSKAVGSSTPFGFSQQYGMASSSTAQDISKAVSAEPTVLFGNQNGHSGNSNSLFTQSSVNNLSFKSLEASNNGSSQSFADSQVGSAPLCSSPFNASSVFPWAAGSGSTSVAATAQPSSATSSGFGFSSALSASPMFGSQVTTSVPSSFGLPNTGPATSLFSPTPSTVFSFTSSTPSIPNQSPTTPFGGPTLQINGGNIGADRSGSPFPTTSPFGLPSTSPSTPIFSTPATQFASNTSSSPGIFGFGQQSQASSGGFSMGTGGGGNDKSGRRIIRVKKRK >PVH61329 pep chromosome:PHallii_v3.1:3:691193:696261:-1 gene:PAHAL_3G012400 transcript:PVH61329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHQQQQHSSPLLLPPNKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPGYGSEERAAVVISTLAGVGARNKQHLENGGAMKHKLLKDVSKKKTSGSNGIPVEKSTRSKSKAKLKGTFSLVELNNDTFVSKGPHMLNRYQRKDMSGRLKDTVVNRKENHGQETVHEGNPKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFIARAHYPSIAKIKQYERFTRELKQSIQEHERMLSDTITDVDLPPVFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAILYHLGVQTMPKTHHCLNMRLTLEYFKSRSIRTDQLNRQKLESPAFQHYVIFSRSVLAVSTTINSTVLNCQDSGSIVFHLFTDAQNFYAMKHWFERNSYLEATVHVTNIEDHLELPKHAEMQQLLPSEEFRVTIHNHSEPSLRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMDGKVIGAVQFCGVKLGQLRAYISEHSFNSDACVWLSGLNVIELEKWRDLRVTSLYDQSLQKLQKESLTSKRLNALPVSMLAFQDLIYPLEDSWVQSGLGHDYGISPDHIEKAATLHYNGVMKPWLDLGIHEYKSYWRKYMTAGEMFMTECNIH >PVH61328 pep chromosome:PHallii_v3.1:3:691193:695138:-1 gene:PAHAL_3G012400 transcript:PVH61328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRYQRKDMSGRLKDTVVNRKENHGQETVHEGNPKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFIARAHYPSIAKIKQYERFTRELKQSIQEHERMLSDTITDVDLPPVFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAILYHLGVQTMPKTHHCLNMRLTLEYFKSRSIRTDQLNRQKLESPAFQHYVIFSRSVLAVSTTINSTVLNCQDSGSIVFHLFTDAQNFYAMKHWFERNSYLEATVHVTNIEDHLELPKHAEMQQLLPSEEFRVTIHNHSEPSLRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMDGKVIGAVQFCGVKLGQLRAYISEHSFNSDACVWLSGLNVIELEKWRDLRVTSLYDQSLQKLQKESLTSKRLNALPVSMLAFQDLIYPLEDSWVQSGLGHDYGISPDHIEKAATLHYNGVMKPWLDLGIHEYKSYWRKYMTAGEMFMTECNIH >PAN15657 pep chromosome:PHallii_v3.1:3:691790:695904:-1 gene:PAHAL_3G012400 transcript:PAN15657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHQQQQHSSPLLLPPNKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPGYGSEERAAVVISTKLAGVGARNKQHLENGGAMKHKLLKDVSKKKTSGSNGIPVEKSTRSKSKAKLKGTFSLVELNNDTFVSKGPHMLNRYQRKDMSGRLKDTVVNRKENHGQETVHEGNPKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFIARAHYPSIAKIKQYERFTRELKQSIQEHERMLSDTITDVDLPPVFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAILYHLGVQTMPKTHHCLNMRLTLEYFKSRSIRTDQLNRQKLESPAFQHYVIFSRSVLAVSTTINSTVLNCQDSGSIVFHLFTDAQNFYAMKHWFERNSYLEATVHVTNIEDHLELPKHAEMQQLLPSEEFRVTIHNHSEPSLRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMDGKVIGAVQFCGVKLGQLRAYISEHSFNSDACVWLSGLNVIELEKWRDLRVTSLYDQSLQKLQKESLTSKRLNALPVSMLAFQDLIYPLEDSWVQSGLGHDYGISPDHIEKAATLHYNGVMKPWLDLGIHEYKSYWRKYMTAGEMFMTECNIH >PAN20194 pep chromosome:PHallii_v3.1:3:42864222:42869934:-1 gene:PAHAL_3G379600 transcript:PAN20194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCAETLEWVAYGPCGHRDVCSTCVVRLRFVMDDKKCCICKTVCPSVFVTKALGEYTRVVNDFSVFPTGVNEGKAGDFWYHEDTQAYFDDAEHYKMIRAMCRLSCSVCDNAEDQVALAAQAKRRSKFRSIDQLKGHLFHVHRLHMCNLCLEGRKVFICEQKLYSRSQLTQHMKTGDSEVDGSEVERSGFAGHPMCEFCKSSFYGDNELYTHMSREHYSCHICQRQHPGQYDYFQNYDDLELHFRKDHFLCEDETCLAKKFVVFPSEAELKRHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDQRRGGGRGRTAHHDGTESYISSSGQNGRATTDNGHVGRVENVSGSLQSLSVGSSSGGAEVGSRTGRVLEQLSFPPLSDLDIPDTRVDSVPDETSFPSLSEQQSRYALALNQSVRGAARLGDESLFPPLPGSSNNRGPASAQQGLQSLAKSTLAARLQQRSKGPVKVLHTARPRPSENPELLPSSTQTWPTPDQGLLLPGSSQLRIETQATRENGFMPAASSNSAWNPVAPNKMKHSVSTPNLVSGGSSGQASSSTAYGRNRSQEPPQGSQALPVAEDVRAANKSLVERMRSALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMARLLPDPQKKRELADAYYTNIRFKSPQENGGGGTSSQEASRKKKGKGKAPVVESGAAKDVKDALADNFLDTVRRLQSNHQAQEGEAEVLSKDGYRPSKGVQLSAGSSSSLDSDTGFNSKASVAKDNAGKGGGTSSSNKQPKKTSKFLRARLGDNSLATLDLSRPSASPERPERESQGPKMGLPVRGAWKNGGGQKLFSSNGRK >PAN15796 pep chromosome:PHallii_v3.1:3:1181445:1187883:-1 gene:PAHAL_3G021700 transcript:PAN15796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAMAARPVTASSSRRPRLPAQAAAAASGPSSSPAVRGVRSGAGAKRSLLRPCPMLLTSVAVGSLVAAGAANAAELLDPLHGSSELLLADLGIGDWFGGLLFSAGQQANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGQAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGLNLLEVVELQLPSFFSDFDPRTAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRVFPATSMVM >PAN21893 pep chromosome:PHallii_v3.1:3:62809177:62810756:-1 gene:PAHAL_3G497800 transcript:PAN21893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSAMDWWDEWKLRILVLCSLFVQLFLLFSGFVRILYILRWLRVVVWIAYIAGDALAIYALATLFNRQKQQTAVDGGGNALEVIWAPVLLIHLGGGRFITAYSLEDNELWKRHAITLVSQVMVALYVFCKWWSGEKRLLQAAVLLFIAGIIRFSAKPWALRRASFRDTASTNLSPPRGEMTSGAAACHFLCNTNFVELLVFGVENKNQEEEASYSLKEYIEKAQVCVEEGALASDKDQFKELRNNKFGAVNYIYRTFLDLSAPYSTRLHYLQSFLKFDEVNGHKMLREWIRMQFSNLYTRLRSATTCIGFCLLLLLPGLSLASVVLFNKSSKDGYNKSDITVTRILFAATAVIEFSPFWFPFLALFVFRCKFDIINRADMVYSWQDMVSQHNIMLFCARKKGELSFLMKIPGFSYLRDYINKQTRSPADLFAGSSAHRRWVEGVHTWPSHVQKIQQSQGRADSVEAQPYGATRVELRPAI >PAN21926 pep chromosome:PHallii_v3.1:3:63063900:63067471:1 gene:PAHAL_3G500500 transcript:PAN21926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCGYRTQFINGRKEKFVRLAEEEEAAPASLPARATMDHHGHGHGGGFHMDSYFSGNPAAAAAKFRARSVRVAAGVMNRSERLKSIGLVFQEDFRKMSQKVFDPQDAFLARMNRAFVFACIVSVAIDPLFLYLLAVKYTDKNTCIGFDRNLATVATVVRTAVDAFYLSRIALQFRTAYIAPSSRVFGRGELVIDSAAIARRYLRRFFAVDLLAVLPLPQVSIWNFLNRPKGADLLPTKNALLFTVLAQYVPRLVRFYPITSELKRTAGVFAETAFGGAAFYLLLYMLASHMVGAFWYLLAIERLDDCWRDKCLNLNFHQCRTYMYCGGGSQGQSGFLEWRTMIRQVLQQECAPVDRTGTGFPYGIYTTAIQSGVYSTENLTAKILFCLWWGLQNLSTIGQGLETTHYKGEQLFSITLALVGLILMALLIGNMQTYLQSMTLRLEEMRLKRRDSEQWMHHRVLPDDLRDRVWRHNQYKWLETRGVDEDSLVRGLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTESTYIVREGDPVDEMLFIIRGRLESSTTDGGRMGFYNRGLLKEGDFCGEELLTWALDPKAAANFPLSTRTVRAISEVEAFALRAEELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRHLKRKAAEQRRREEEEMEADEADASGASTSRFKTTLLVSRFAKNAMRGVQRQRSVRADSLIMLPKPPEPDFGSMDY >PAN17093 pep chromosome:PHallii_v3.1:3:6817477:6818477:1 gene:PAHAL_3G106900 transcript:PAN17093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASGPHSVWRYDGCGTGDWRPLSIDVRPFVVGSKVLDSKKFVWALIYQSDAHMGGLGWGVGLVVKIGFKFKCLNAAGATNQQWESPAACDRGCRVAGQASTRPCLTFAGRSLQVRSSGEAYGQHMARQRRTS >PAN17115 pep chromosome:PHallii_v3.1:3:6817477:6818477:1 gene:PAHAL_3G106900 transcript:PAN17115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASGPHSVWRYDGCGTGDWRPLSIDVRPFVVGSKVLDSKKFVWALIYQSDAHMGGLGWGVGLVVKIGFKFKCLNAAGATNQQWYLGKSRCLRSRVPSGWTSEHETMSNLRWEEPASPKFWRSLWAAHGAPTTDFLMVSADQHGRPSNPGEGEGH >PAN19203 pep chromosome:PHallii_v3.1:3:16800095:16802761:-1 gene:PAHAL_3G256100 transcript:PAN19203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGADGERRIGVAMDYSDSAKKALEWTVDNLLRHGDTLVVVHVLHHGGEEAKHTLWAKSGSPLIPLSEFREPEVMKNYGVKADAEVLDMIDTAARQKQLKVVAKLYWGDAREKLCDGVEELKIDSLVMGSRGLGPIQRILMGSVTNYVLSHAPCPVTVVKGK >PAN21859 pep chromosome:PHallii_v3.1:3:62573884:62577529:-1 gene:PAHAL_3G495000 transcript:PAN21859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAALRRAAPLRRRAVSALAAALLQQQPAAMGAVVPRLPAPAAATAAWFHSSPAWLGFRETGPAGAAARAEFAAAEEGSFYEEEKRAAGAGGAAAEGLEIAKLGISSKIVDRLAKKGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPIMDAIIRHNDKYKPGKFPLAIVLAPTRELAKQVEREFIDSSPLETLCVYGGTPISQQIRQLNYGVDVVIGTPGRVIDLLKRGALSLAEIRFVVLDEADQMLSVGFDQDVETILQQVPQQRQTLMFSATMPTWIRKLTQKYLNNPVTVDLVGEDDQKLAEGISLFSISSENREKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYSMSRSFQCEALHGDISQAQRERTLAGFRDGRFNILIATDVAARGLDIPNVDLVIHFELPNSSEIFVHRSGRTGRAGKKGTAIVMYNYRQSREVQGIERDVGSKFKELPKINVEGSELLGGDFDSFGGGGGGYGGSNFGRSRGFGGRGGGFGSSPGRGGGFGRSGGGGGGGFGGSGFGRSGGSGGFGDSGFGRSGDSGFGRSSGGFGDSGFGRSSGGSGSGFGRSGGFGDSGSGRFGGGFGSSGSSGFGGFGDRNSGGFGNSS >PAN21858 pep chromosome:PHallii_v3.1:3:62573426:62576868:-1 gene:PAHAL_3G495000 transcript:PAN21858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKDMVGRAKTGTGKTLAFGIPIMDAIIRHNDKYKPGKFPLAIVLAPTRELAKQVEREFIDSSPLETLCVYGGTPISQQIRQLNYGVDVVIGTPGRVIDLLKRGALSLAEIRFVVLDEADQMLSVGFDQDVETILQQVPQQRQTLMFSATMPTWIRKLTQKYLNNPVTVDLVGEDDQKLAEGISLFSISSENREKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYSMSRSFQCEALHGDISQAQRERTLAGFRDGRFNILIATDVAARGLDIPNVDLVIHFELPNSSEIFVHRSGRTGRAGKKGTAIVMYNYRQSREVQGIERDVGSKFKELPKINVEGSELLGGDFDSFGGGGGGYGGSNFGRSRGFGGRGGGFGSSPGRGGGFGRSGGGGGGGFGGSGFGRSGGSGGFGDSGFGRSGDSGFGRSSGGFGDSGFGRSSGGSGSGFGRSGGFGDSGSGRFGGGFGSSGSSGFGGFGDRNSGGFGNSS >PVH63214 pep chromosome:PHallii_v3.1:3:62573426:62577631:-1 gene:PAHAL_3G495000 transcript:PVH63214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAALRRAAPLRRRAVSALAAALLQQQPAAMGAVVPRLPAPAAATAAWFHSSPAWLGFRETGPAGAAARAEFAAAEEGSFYEEEKRAAGAGGAAAEGLEIAKLGISSKIVDRLAKKGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPIMDAIIRHNDKYKPGKFPLAIVLAPTRELAKQVEREFIDSSPLETLCVYGGTPISQQIRQLNYGVDVVIGTPGRVIDLLKRGALSLAEIRFVVLDEADQMLSVGFDQDVETILQQVPQQRQTLMFSATMPTWIRKLTQKYLNNPVTVDLVGEDDQKLAEGISLFSISSENREKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYSMSRSFQCEALHGDISQAQRERTLAGFRDGRFNILIATDVAARGLDIPNVDLVIHFELPNSSEIFVHRSGRTGRAGKKGTAIVMYNYRQSREVQGIERDVGSKFKELPKINVEGSELLGGDFDSFGGGGGGYGGSNFGRSRGFGGGGGGGFGGSGFGRSGGSGGFGDSGFGRSGDSGFGRSSGGFGDSGFGRSSGGSGSGFGRSGGFGDSGSGRFGGGFGSSGSSGFGGFGDRNSGGFGNSS >PAN18302 pep chromosome:PHallii_v3.1:3:12637836:12642342:1 gene:PAHAL_3G192900 transcript:PAN18302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MAATSGLGLSTSFLPGHDTLLRRRRRRPASPAAASFRPVKAELGGVATALGRQLVEAVGVGLPCTVMQCGDVIYRSTLPHNDGLTITAPGVALALAAVSYLWATPGVAPGFFDMFVLAFAERLFRPTFRKDDFVLGKKLGEGAFGVVCKASLANPEAAEKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFRESKAKGKGAEEYWLIWRFEGEDTLYDLMQSKEFPYNVETKILGDVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSVPVATTLSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCDYDLEAWRNLVEPRASTELRRGFEIMDLDNGIGWELLTSMVRYKARQRISAKAALAHPYFNREGLLGLSVMQNVQLQLFRATQKDYSEAARWVIGLMARSGTEDVGGFTEAQLQELREIKPKKGSAQRNVLASVLRVQRKIVTTIKESMDELTSQRKSIWWSRWIPRE >PVH62884 pep chromosome:PHallii_v3.1:3:55301203:55302068:-1 gene:PAHAL_3G423400 transcript:PVH62884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRTVAAALFLVSFAAVAASVASSASASEAAAPRKLLSGDGMIPITTNDLLPATSAKSLTTGRASGVAIQRELLSGDGMIPITTKDLLPASSAKSLTTGRASGVAIQRELLTKGDFDIGRSGGTEALTKARGGSIGIQTVPAA >PVH62885 pep chromosome:PHallii_v3.1:3:55301482:55302030:-1 gene:PAHAL_3G423400 transcript:PVH62885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRTVAAALFLVSFAAVAASVASSASASEAAAPRKLLSGDGMIPITTNDLLPATSAKSLTTGRASGVAIQRELLSGGGMIPITTNDLLPASSAKSLTTGRASGVAIQRELLSGDGMIPITTKDLLPASSAKSLTTGRASGVAIQRELLTKGDFDIGRSGGTEALTKARGGSIGIQTVPAA >PAN20928 pep chromosome:PHallii_v3.1:3:55301113:55302068:-1 gene:PAHAL_3G423400 transcript:PAN20928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRTVAAALFLVSFAAVAASVASSASASEAAAPRKLLSGDGMIPITTNDLLPATSAKSLTTGRASGVAIQRELLSGGGMIPITTNDLLPASSAKSLTTGRASGVAIQRELLSGDGPAARKP >PVH61552 pep chromosome:PHallii_v3.1:3:3691842:3695630:-1 gene:PAHAL_3G058600 transcript:PVH61552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSWSVSAPSSAPTAAAFVLLQLLAAFVCSCRSAPPDALVTRLPGFEGAQLPSKHYAGYVTVDEELGSRMFYYLVESERDPGKDPLMLWLNGGPGCSSFDGFVYEHGPFNFESGGSAGSLPKLHLNPYSWSKVSSVIYLDSPVGVGLSYAKDKSKAYTTGDLQTANDSHTFLLKWFQLYPEFQTNPFYIAGESYAGIYVPTLSHEVVKGIHEEVKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMGLISDDMYKETRTACHGNYWNDSSSDECRTALSNVDTVIAGLNIYDILEPCYHSKNIKKVTPQNSRTPLSFEDLGVTDKPLPVRTRMLGRAWPLRAPVRAGRVPSWQELAADVPCMNDEVATAWLNNDSVRSAIHAEPVSSIGPWVLCTNALLNFDHDAGSMVIYHKNLTSQGYRALIYSGDHDMCVPYTGTEAWTTSLGYGVIDSWRQWIADEEVSGYTQVYENGLTFATIKGAGHTVPEYKPQEALAFYSRWLTGSKL >PAN19287 pep chromosome:PHallii_v3.1:3:17332909:17335867:1 gene:PAHAL_3G261400 transcript:PAN19287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRIGKESLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRDQEVGTGTVIDILLVSSAPKRSDTPCLVCTNEDNDASMETNGVVSSCLNCFLAGGALYRFEYAVNPALFLAKARGGTCTLATSDPDEAVVRRAKYLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAERGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVVKVEVEDLTAGLASGRIRAVENQLVVPAESPAVTTVAA >PAN19276 pep chromosome:PHallii_v3.1:3:17212646:17218551:1 gene:PAHAL_3G260300 transcript:PAN19276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPATLEALESCAVQWGVAVTPFAAADERGQPPTTGAGGDRVPRCEHCWAYFSSHCDLERWGWSCALCGTLNGFDDDTARRFQRPDACPELNSSFVDLEIPVDEAEGGGDGVQARPVYVAAVDLACSEEFLELIKSALLASLEALIPGSLFGLMTYSHKIGLYDVQGPIPVVKNVFIPPDLEEDGLPVALEDAMPLLAFLAPVDTCKDRIAAALETLRPTSSWERGAASGQEEDTVLLGGRGFGTAMSALIDYLSSEYGSTFALARVFAFLSGAPDYGAGLLDTRRYGEQYASKGVDADLALLPEQIPFYRDLAAVAVQAGVCVDIFAVTDEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYENVQHIICCDSFATYAYDFDFVHNDGFSRHTDPAVIQIAFQYSVIEPVEETSGNESQSSASYKFCLKRRLRLRTLQYRPARNISEIYDSVDPEVVLHILVHKVILESLDKGVREGRQQVHAWLALLAARYNEALSSDARAPPLSIDVDFSQCPQLQMLPQLVFALLRSPLLRLHEEGVHPDYRIYLQCLFSALEPSSLAKAIYPVLISYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSPTADPSIAFPPPRDCLLRTTIDRLKQDRCITPKLTFIHGGKDDSTLFESYLIEEQDVDGSGFTTGSGFVAFRESVRNVAAEIIQEEIGS >PAN19365 pep chromosome:PHallii_v3.1:3:17900462:17902560:-1 gene:PAHAL_3G268600 transcript:PAN19365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMAIKMDRTTIIVCAVVGSLGVLSAILGFSAEGTKLTPYTILVYGDDCIYPQNPALGLGICAVIFLLAAQVTSTAVGGCCGCCKSRSIPSETKRIVGIVCAVASWIAAVIAWALLIVGASWNANVVRVAAAPFCPYLKDGIFAGGGVLTLAAAALGITSFIMLRTRPVEAAAATPAASVGGTTPNRPVGQSPSNEVVMGHPLLPPASKAQQPSKPQAYVQQVAPASHPQPQGNGQAPQNLQAPPPSAQDNGSQAPNQQFLPQALPAGAALAAAACAPQEPGEQPPRPLGVVMGQPQVQLPLSVPMNDTLQYPIPAPQVSAEAPITPPAPGASQGTGLSSVIRNELARATIRFAGKAMEHAVFSNNTATMVTDPTEEGDVGATDNGVAVV >PAN18690 pep chromosome:PHallii_v3.1:3:14207433:14211584:1 gene:PAHAL_3G218900 transcript:PAN18690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSWWGRDARANGGGGGTPVVVKMENPNWSISEVSASEVAPDSPAGGGGGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALAVAAAARRRVAAGRTDSDAAPGENTALRTRFYGCIRVFLVLSLLLLAVEVAAYLQGWHLDVEEGLLAVDGLFAAAYAGWMRARLDYLAPPLQFLTNACVVLFLIQSVDRLVLCLGCFWIRLKGIKPVPLAAGKEDVEAGAEDFPMVLVQMPMCNEREVYQQSIGAVCSLDWPRSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLLALVEKHSKQQRVGSAPNLDALTKEESNPKKESKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >PAN21888 pep chromosome:PHallii_v3.1:3:62797954:62801688:1 gene:PAHAL_3G497500 transcript:PAN21888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRARSSEVFLGAGRLRARRRLAPLLAAAAFAYLLFVSVKLAGFGSAGPASAVGRLAAGGVGEPLRRGVERPAPRAPARAVAAVSGYGRITGEILRRREAGEGRRRRWGQLGNFTELERAAAEAWALGAKAWEEASAFTGDVDSIASRDGGEGPAECPGSLALGGGETAVAFLPCGLAAGSAVTVVGTARAARSEYVEALERSGAGNGTVMVAQFAVELRGLRAADGEEPPRILHLNPRLRGDWSGRPVLEMNTCFRMQWGRAQRCDGTPSRDDDQVDGFRKCEKWQRRDIVESKETKTSSWFNRFIGRAKKPEMMWPFPFSEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSVYATALPRAHPSFSLQQVLEMSERWKARPVPEEPIQLFVGILSATNHFAERMAIRKTWMQFPAIQLGNVVARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTADYIMKCDDDTFVRLDIVLQQITTYNRTLPLYLGNLNLLHRPLRRGKWAVTYEEWPEAVYPPYANGPGYVLSIDIARDIASRHANHSLRLFKMEDVSMGMWVEDYNATAPVQYVHSWRFCQFGCVDNYFTAHYQSPRQMLCLWDKLSAGRARCCNYR >PAN16838 pep chromosome:PHallii_v3.1:3:5805722:5807611:-1 gene:PAHAL_3G090300 transcript:PAN16838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPDYCEINSNMTLDYINRLLMEDTDEKASIYQRHDALQATEKHFYDILGQAYPSSPKNTMIRTESHIDCPQDSSNSYTERAGSGSFASDILGPQGMQLVANDWASERDRLSSQFHRGAEEANKLVPSIERLVFDLDSNGLSDYNQMIGAAIGQKIKHVNKIRNHPHADLELLEARNSKHLAISSSETIRDETFDRVLLCDWELHSAAAYLREMKVKEARNSQQNVQRTGYGQGQVKSRGKKKEEGIDLRAFLIQCAQAIAFNNLPFAGELLKKIRQHASPYGDSSQRLALYFANGLEARLTGTGFRMYQKLMEKRTRATDMLKAYRLFVAACPFARVAYYFSNQTIADVLHRRPKVHIIDFGISLGFQWPSLMKRFAKREGGPPKLRITGIGVPQPGFRPCAMIDATGKRLAEYAEMFHVPFQYQGIASRWENICTEDLNIDKDEVLIVNSMYQTKYLGDETEDIDSARDRVLRIMKKINPEVFVLGIMNGFYSSPFFLPRFREVLFHYSSLFDMYNTIVAQNDEARILIERDLLGTDVLNVVACEGAERVERPESYKQWQARSLKAGFKQLPVNQAILKRSIDEKKKNYHDNFVINVDSGWLLQGWKGRIMHAVSSWKPKESYTNQ >PVH62144 pep chromosome:PHallii_v3.1:3:14036826:14046953:1 gene:PAHAL_3G215900 transcript:PVH62144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAVTDDSAASTAGMRDDERSLSGDSLSEWRSCDRADSDSPSTSPPFWDSDGEDDDPAGPKPSGLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNVDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTQVEQIYRRFVEERRSKLSKLIEDQMRWGSFCGFWAAIDPSTRHRMSREKTDTILKVLVKHFFVEKEVTSTLVMDSLYTSLKALEYQMNGKKGSTKAADLEELPAPMVHIDMDMFVLAGDVIPLIKRAASEPLPCQPLAPKDDKTSQSRMKDGTAGEVYKVSMEREERRLTELGQKILETFVLSHIFGGIEVAYQEAVALKRQEELIREEEEEAGLLENQMKGKRGGGANEKEKRAKKKQAKQKKNNRKVKDKERDEKCEVKILERLCDEIAVDNSDGLPAVEVTAKVDALEEGSSDGSDMSNRGKNQRNKGLSIVGFAEEGDGLPSTSSVAGGSGRNSSGFSTVPKLDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKEAESSNSSSSLEKPLDVPESPKHSSEAAVDLKASGTPNKDVFVVNSMREGAVSGIPAPTNTEPVTAPATAKVDLVSNKDNGLSSKMKANIASPCCSKQPAVDLDKDAPLPSKSPRINRAASVPQKLPSGDKVTPVPPKSPPINKAPAVHPKSPVVDKTPVRPKSPAVDRAAPVRPQSPAVDKATPIRPKSPAVDKAPPVGPKSSASDKATTVLPKSTPVDKASPALLKSPTGGKDVYVPSRSVHDKSIPAPPRLPQVDKAAPPSSELPQTSPGTNSEAQEAATSRKVTATLVSEVTASRPSSAPVFPTPRSTAPATSHIHISSLLSRSMSEAAGRSASGSSPPAPPYAPQTYRNAIIGKAGLGTTSTSLVYQSSSLSQDTTPSQPLSAYASSTGVMMPPAGRSDQLSNRHALKSGLGKLEAHDSWQQWKGDSNVDKHVWRDQAPYQQMTNGQAYEQPRRDDSYQQASSRGTEKLSRYGGQQSRQFQSGTSDGHVWHPQQGPVPEEFPHLDIINDLLEEDHINGSMPDSFCQDYHVFGRPFSPRGNLTDMEMASISSPGLFNSTDRYYDEGFSRSYDMSALHGLRERQFPSMGSYSNGLPDMSVSKPWLNGSPNPAVSLGVVTNGYHHQVGDYANLGGGVNGVSVWRRHANGRW >PAN18638 pep chromosome:PHallii_v3.1:3:14037281:14046953:1 gene:PAHAL_3G215900 transcript:PAN18638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAVTDDSAASTAGMRDDERSLSGDSLSEWRSCDRADSDSPSTSPPFWDSDGEDDDPGPKPSGLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNVDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTQVEQIYRRFVEERRSKLSKLIEDQMRWGSFCGFWAAIDPSTRHRMSREKTDTILKVLVKHFFVEKEVTSTLVMDSLYTSLKALEYQMNGKKGSTKAADLEELPAPMVHIDMDMFVLAGDVIPLIKRAASEPLPCQPLAPKDDKTSQSRMKDGTAGEVYKVSMEREERRLTELGQKILETFVLSHIFGGIEVAYQEAVALKRQEELIREEEEEAGLLENQMKGKRGGGANEKEKRAKKKQAKQKKNNRKVKDKERDEKCEVKILERLCDEIAVDNSDGLPAVEVTAKVDALEEGSSDGSDMSNRGKNQRNKGLSIVGFAEEGDGLPSTSSVAGGSGRNSSGFSTVPKLDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKEAESSNSSSSLEKPLDVPESPKHSSEAAVDLKASGTPNKDVFVVNSMREGAVSGIPAPTNTEPVTAPATAKVDLVSNKDNGLSSKMKANIASPCCSKQPAVDLDKDAPLPSKSPRINRAASVPQKLPSGDKVTPVPPKSPPINKAPAVHPKSPVVDKTPVRPKSPAVDRAAPVRPQSPAVDKATPIRPKSPAVDKAPPVGPKSSASDKATTVLPKSTPVDKASPALLKSPTGGKDVYVPSRSVHDKSIPAPPRLPQVDKAAPPSSELPQTSPGTNSEAQEAATSRKVTATLVSEVTASRPSSAPVFPTPRSTAPATSHIHISSLLSRSMSEAAGRSASGSSPPAPPYAPQTYRNAIIGKAGLGTTSTSLVYQSSSLSQDTTPSQPLSAYASSTGVMMPPAGRSDQLSNRHALKSGLGKLEAHDSWQQWKGDSNVDKHVWRDQAPYQQMTNGQAYEQPRRDDSYQQASSRGTEKLSRYGGQQSRQFQSGTSDGHVWHPQQGPVPEEFPHLDIINDLLEEDHINGSMPDSFCQDYHVFGRPFSPRGNLTDMEMASISSPGLFNSTDRYYDEGFSRSYDMSALHGLRERQFPSMGSYSNGLPDMSVSKPWLNGSPNPAVSLGVVTNGYHHQVGDYANLGGGVNGVSVWRRHANGRW >PAN18639 pep chromosome:PHallii_v3.1:3:14036795:14046953:1 gene:PAHAL_3G215900 transcript:PAN18639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAVTDDSAASTAGMRDDERSLSGDSLSEWRSCDRADSDSPSTSPPFWDSDGEDDDPGPKPSGLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNVDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTQVEQIYRRFVEERRSKLSKLIEDQMRWGSFCGFWAAIDPSTRHRMSREKTDTILKVLVKHFFVEKEVTSTLVMDSLYTSLKALEYQMNGKKGSTKAADLEELPAPMVHIDMDMFVLAGDVIPLIKRAASEPLPCQPLAPKDDKTSQSRMKDGTAGEVYKVSMEREERRLTELGQKILETFVLSHIFGGIEVAYQEAVALKRQEELIREEEEEAGLLENQMKGKRGGGANEKEKRAKKKQAKQKKNNRKVKDKERDEKCEVKILERLCDEIAVDNSDGLPAVEVTAKVDALEEGSSDGSDMSNRGKNQRNKGLSIVGFAEEGDGLPSTSSVAGGSGRNSSGFSTVPKLDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKEAESSNSSSSLEKPLDVPESPKHSSEAAVDLKASGTPNKDVFVVNSMREGAVSGIPAPTNTEPVTAPATAKVDLVSNKDNGLSSKMKANIASPCCSKQPAVDLDKDAPLPSKSPRINRAASVPQKLPSGDKVTPVPPKSPPINKAPAVHPKSPVVDKTPVRPKSPAVDRAAPVRPQSPAVDKATPIRPKSPAVDKAPPVGPKSSASDKATTVLPKSTPVDKASPALLKSPTGGKDVYVPSRSVHDKSIPAPPRLPQVDKAAPPSSELPQTSPGTNSEAQEAATSRKVTATLVSEVTASRPSSAPVFPTPRSTAPATSHIHISSLLSRSMSEAAGRSASGSSPPAPPYAPQTYRNAIIGKAGLGTTSTSLVYQSSSLSQDTTPSQPLSAYASSTGVMMPPAGRSDQLSNRHALKSGLGKLEAHDSWQQWKGDSNVDKHVWRDQAPYQQMTNGQAYEQPRRDDSYQQASSRGTEKLSRYGGQQSRQFQSGTSDGHVWHPQQGPVPEEFPHLDIINDLLEEDHINGSMPDSFCQDYHVFGRPFSPRGNLTDMEMASISSPGLFNSTDRYYDEGFSRSYDMSALHGLRERQFPSMGSYSNGLPDMSVSKPWLNGSPNPAVSLGVVTNGYHHQVGDYANLGGGVNGVSVWRRHANGRW >PVH62145 pep chromosome:PHallii_v3.1:3:14037281:14046953:1 gene:PAHAL_3G215900 transcript:PVH62145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAVTDDSAASTAGMRDDERSLSGDSLSEWRSCDRADSDSPSTSPPFWDSDGEDDDPAGPKPSGLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNVDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTQVEQIYRRFVEERRSKLSKLIEDQMRWGSFCGFWAAIDPSTRHRMSREKTDTILKVLVKHFFVEKEVTSTLVMDSLYTSLKALEYQMNGKKGSTKAADLEELPAPMVHIDMDMFVLAGDVIPLIKRAASEPLPCQPLAPKDDKTSQSRMKDGTAGEVYKVSMEREERRLTELGQKILETFVLSHIFGGIEVAYQEAVALKRQEELIREEEEEAGLLENQMKGKRGGGANEKEKRAKKKQAKQKKNNRKVKDKERDEKCEVKILERLCDEIAVDNSDGLPAVEVTAKVDALEEGSSDGSDMSNRGKNQRNKGLSIVGFAEEGDGLPSTSSVAGGSGRNSSGFSTVPKLDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKEAESSNSSSSLEKPLDVPESPKHSSEAAVDLKASGTPNKDVFVVNSMREGAVSGIPAPTNTEPVTAPATAKVDLVSNKDNGLSSKMKANIASPCCSKQPAVDLDKDAPLPSKSPRINRAASVPQKLPSGDKVTPVPPKSPPINKAPAVHPKSPVVDKTPVRPKSPAVDRAAPVRPQSPAVDKATPIRPKSPAVDKAPPVGPKSSASDKATTVLPKSTPVDKASPALLKSPTGGKDVYVPSRSVHDKSIPAPPRLPQVDKAAPPSSELPQTSPGTNSEAQEAATSRKVTATLVSEVTASRPSSAPVFPTPRSTAPATSHIHISSLLSRSMSEAAGRSASGSSPPAPPYAPQTYRNAIIGKAGLGTTSTSLVYQSSSLSQDTTPSQPLSAYASSTGVMMPPAGRSDQLSNRHALKSGLGKLEAHDSWQQWKGDSNVDKHVWRDQAPYQQMTNGQAYEQPRRDDSYQQASSRGTEKLSRYGGQQSRQFQSGTSDGHVWHPQQGPVPEEFPHLDIINDLLEEDHINGSMPDSFCQDYHVFGRPFSPRGNLTDMEMASISSPGLFNSTDRYYDEGFSRSYDMSALHGLRERQFPSMGSYSNGLPDMSVSKPWLNGSPNPAVSLGVVTNGYHHQVGDYANLGGGVNGVSVWRRHANGRW >PAN17683 pep chromosome:PHallii_v3.1:3:9635490:9639321:-1 gene:PAHAL_3G149500 transcript:PAN17683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATGSGSGSGQQQSPSTSADADPGAGAEKLVFEAPPQPMREDYVENAVKFLSHPKVRGSPVVYRRSFLEKKGLTTQEIDEAFRRVPDPQPSATTATASQPQQQANIQNQSTGVQTYTPVQSLQPGTAGPVVLRRQPRFSWYRAFLAAGLLLGFGASAAVFVKKLFLPRLKSWIRKVVAEGDETQGNQLKAKIDEETAEAVKASASAVSAIAKTNQQLLASKDEEKKILVTLTQALDSQAKELKSLSESLNHSRESINITREDRFSQYRPLEEHASPAIRNGPVNSSWRASQQTNMYGASNGDFGSGRSSFAPAPIEPMSGSFSRSYAETMSTAQRGDRSSGSKPWEMQQYSQQRPGYGSNSQLSDDGSYTDAQESYPPSYHQNGKAPDFQADEPRPLTYNPGVEERPLPQRRWVPPQPPGVVMPEAVAAIRQPKTLPKQPSSIVSETASEMQVNGASSASAVVTEVPVNGAAASDAGRSEIEEQSEAI >PAN17684 pep chromosome:PHallii_v3.1:3:9635808:9639170:-1 gene:PAHAL_3G149500 transcript:PAN17684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATGSGSGSGQQQSPSTSADADPGAGAEKLVFEAPPQPMREDYVENAVKFLSHPKVRGSPVVYRRSFLEKKGLTTQEIDEAFRRVPDPQPSATTATASQPQQQANIQNQSTGVQTYTPVQSLQPGTAGPVVLRRQPRFSWYRAFLAAGLLLGFGASAAVFVKKLFLPRLKSWIRKVVAEGDETQGNQLKAKIDEETAEAVKASASAVSAIAKTNQQLLASKDEEKKILVTLTQALDSQAKELKSLSESLNHSRESINITREDRFSQYRPLEEHASPAIRNGPVNSSWRASQQTNMYGASNGDFGSAGRSSFAPAPIEPMSGSFSRSYAETMSTAQRGDRSSGSKPWEMQQYSQQRPGYGSNSQLSDDGSYTDAQESYPPSYHQNGKAPDFQADEPRPLTYNPGVEERPLPQRRWVPPQPPGVVMPEAVAAIRQPKTLPKQPSSIVSETASEMQVNGASSASAVVTEVPVNGAAASDAGRSEIEEQSEAI >PAN16613 pep chromosome:PHallii_v3.1:3:4542454:4547339:-1 gene:PAHAL_3G071500 transcript:PAN16613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGYPPMQGSGSASASCRAADYSAAWDAAQQQKRQRCQDSSSSDQVGSSTENKSLEASGAELKFEYGKNEEEDYYFEDDDYGCYDEDDEDGSEYELDPTDYNQLLADKFDHLDLPPGVEATVPWLQKVERDVPGKFKSMSEIEEEIAKKYNFFKQFDTVEDFSDHHYAKTSVGKARKEWAKRIQHEWSLLEKDLPALIYVRVSENRMDLLRAVMIGPQGTPYHDGLFFFDAQFPASYPATPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWQGFSCEKWNPAQSTMLQVLISIQALVLNEKPYFNEPGYERYANSPEGLWSALDYNDTTFQHSCRTMLYSLRRPPQHFEDLVAGHFRERGRAILAACKFYMEGHEVGSKVPEEDEDKKENQDGEGSSSSSVALTQQNKPALRANRNASFKPNLEVLFEELLMEFNVKGADTAKFRAQKLKNQQAAA >PVH61691 pep chromosome:PHallii_v3.1:3:6160497:6169325:1 gene:PAHAL_3G097400 transcript:PVH61691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRAQVNKAHKTRFASKASRHAHKIDKVRSGKPESSHRSAVKGARAARIQQSKAIRDKKRAALLKEKRSSIGSSGAPRVIVLVGLSSSANVGSLAKDLLTFAEGCDGKLRSSTVASPTYKLRTTVLQAPYGDLTSCMELAKVADLLAFVLPANSLYSNDSSSPVDEFGSQCLSVFRAMGLPSTAVFIRDLPEDNKSRQELKKAATSFLSAELPEDCKFYLADTNDDLHKFMWLFKEQHLSSPHWRNQRPYVMSEQVCIKPDDNTGLCTLLVSGYLRAHNLSVNQPVHVSGAGDFQLSQIDVLKDPCPLNERKSSDVMETEDNGIQIVNTFVPDSSNQEPLLVENVPDPLAGEQTWPTEEDMEEANINNKQKKLVKRKLPRGTSEYQAAWIVDDTDDEDNDSDNDNQAGSGMVIDEQGHADQGSDGSDIDAVSHFTEKFDEETVGDTEMADEENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKKRFAKYRGLKSFRTSSWDPKESLPPDYARIFAFDNFTRTQKHVLAKIAELDGETKDCALVGSYVRLHVTNIPTDVASKLCDPSRRIPVVVSGLLQHESKIIKKHDSYEAPIKSKETLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKNRDGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAIVRYMFHNPEDVKWFKPVELWTKHGRRGRIKETVGTHGAMKCIFNSSIQQHDTVCMSLFKRAYPKWPEQLYQV >PAN16945 pep chromosome:PHallii_v3.1:3:6160497:6169324:1 gene:PAHAL_3G097400 transcript:PAN16945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRAQVNKAHKTRFASKASRHAHKIDKVRSGKPESSHRSAVKGARAARIQQSKAIRDKKRAALLKEKRSSIGSSGAPRVIVLVGLSSSANVGSLAKDLLTFAEGCDGKLRSSTVASPTYKLRTTVLQAPYGDLTSCMELAKVADLLAFVLPANSLYSNDSSSPVDEFGSQCLSVFRAMGLPSTAVFIRDLPEDNKSRQELKKAATSFLSAELPEDCKFYLADTNDDLHKFMWLFKEQHLSSPHWRNQRPYVMSEQVCIKPDDNTGLCTLLVSGYLRAHNLSVNQPVHVSGAGDFQLSQIDVLKDPCPLNERKSSDVMETEDNGIQIVNTFVPDSSNQEPLLVENVPDPLAGEQTWPTEEDMEEANINNKQKKLVKRKLPRGTSEYQAAWIVDDTDDEDNDSDNDNQAGSGMVIDEQGHADQGSDGSDIDAVSHFTEKFDEETVGDTEMADEENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKKRFAKYRGLKSFRTSSWDPKESLPPDYARIFAFDNFTRTQKHVLAKIAELDGETKDCALVGSYVRLHVTNIPTDVASKLCDPSRRIPVVVSGLLQHESKMSVLHFSIKKHDSYEAPIKSKETLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKNRDGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAIVRYMFHNPEDVKWFKPVELWTKHGRRGRIKETVGTHGAMKCIFNSSIQQHDTVCMSLFKRAYPKWPEQLYQV >PAN16287 pep chromosome:PHallii_v3.1:3:3221334:3223922:1 gene:PAHAL_3G051000 transcript:PAN16287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLWASSAANALKLSGARAAAPSYSISRFFSTVHDGLSYTTSHEWLKDHGDGVVSVGITDHAQSHLGEVVFVELPEAGATVAAGGSFGNVESVKATSDVNSPVSGEVVEVNSKLSETPGLINSSPYEEGWMIKVKPSSPAEGLLDAAKYTKHCEEEDAH >PVH62644 pep chromosome:PHallii_v3.1:3:34458465:34458593:1 gene:PAHAL_3G353700 transcript:PVH62644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPRETAVSIAHNVFLLLDGWVWTCILAADEAARLLRSATH >PAN19249 pep chromosome:PHallii_v3.1:3:17084085:17101454:1 gene:PAHAL_3G258700 transcript:PAN19249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERSAPQAALSSPMSSATEQHGSPSVQPSWNVPAGCTQVPISILVFHRRLTGRGLRPQLPPSHSFMPAPALPDASEGGASIQLAGSNFLSLGRASNIVAGDMMMNHAQLATPDRYYSEHLWANGLYDEASKTENGTEASQLEPSATTIFCDNHDDLEPAVPANTLETRLQHPHESTAVLAEITTDDDMHTYQPMQKRPKTQINQSEQTPLPTPAVPKERTLNQIEMQIAGAEKTEMFRNEETPARKMKTRRKKHRPKVIRENKLTKVQKSDSTSDGKSPNQKVKRKYVRKKRSLSSLEKCSGPATDQSISRGTGIAARRRTASVRRSLQFEPEEQGVQGGGPSTPSLHHHNYEKPVHAQSSFCSESEVQIEHGLQVDMENLPGGLAFGMSLRLNKLLDEYIHLPEATPKPAQEVSNASSGSLSTELAREQENVGKTCKPDDTSKSSLCNAERVVKTVLEGNKMNLELNYSDVDGFVSSAISMPEQSSKLSEVENRDGESSLTGTRDSIILRTAAEMLAFCQAGGIKKKRSARVRRNSFYSMMDLENNPSQASTRLQQPCMDALYESSYIKFMTKKRSIKERLHCSSFIHPNDELNNRLSAGSIIYGVSSGSKVSEETFPNSSPQTLDNERINFDTHCDVPEGSSANTSTGQYINYLQGVASKLKHLDLNTEHVHRTEMHLSRSTPAVISFGGTDGLSNALIPYGGGVMVPYERPLQLVRKQRPRAKVELDFETTRVWNLLMGKASEPDGTDVEKERWWQQEREIFQGRANSFIARMRLVQGDRCFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAATFPSRSVNSNCKDDVTTQNNEQTIRSSALGEKSIFDLFSDGARPDRGVGCEELSVTYEKIHMEPKDDTRASERIEGETYSFGYKSANGSFCNHQGTVIEHKEQEFPESSLVELTKPTELIQQTQIQKETSSSQSVTLETIQSRLSLTSGIPRNIAGGSSSYQQLESNFDCGRSLRRNDATASEIECQRLQMAEIDDYGFGKLGSPPSSAMPFILTVDAQQLNLRNEPNFSSASSNSPSDSASPKIKNGTSPLFMPFDSYGAECSGNRTAGTTLNSAKTSTELPGEMTVETTRREDEYTLKSGFTSYNGVPDTAAQASRPKKTRTTSKKNTENFDWDKLRRQACSEDHMKKRSCERRDSVDWEAVRCADVQRLSHAIRERGMNNILAERIQNFLNRLVRDHGSIDLEWLRDIPPTSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQEKSLVKSTNQFAFQNSSMYTMNSTHQPCLEGSIHARDFLPKNSEPIIEEPASPREEESPETMENDIEDVYEDGEIPTIKLNMEAFAHNLENCIKESNKELQSDDIAKALVAISTEAASIPVPKLKNVHRLRTEHYVYELPDSHPLLQQLGLDQREPDDPTPYLLAIWTPDEINEISKVPKPCCDPQMEGGLCNNEMCHRCTDEQENQSRYIRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPIHVERVQLWNLQRRMVFFGTSVSTIFKGLTTEEIQQCFWRGFVCVRGFDMETRAPRPLCPHLHIVARPKSRKTAAAEQVL >PAN19251 pep chromosome:PHallii_v3.1:3:17084393:17101454:1 gene:PAHAL_3G258700 transcript:PAN19251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERSAPQAALSSPMSSATEQHGSPSVQPSWNVPAGCTQVPISILVFHRRLTGRGLRPQLPPSHSFMPAPALPDASEGGASIQLAGSNFLSLGRASNIVAGDMMMNHAQLATPDRYYSEHLWANGLYDEASKTENGTEASQLEPSATTIFCDNHDDLEPAVPANTLETRLQHPHESTAVLAEITTDDDMHTYQPMQKRPKTQINQSEQTPLPTPAVPKERTLNQIEMQIAGAEKTEMFRNEETPARKMKTRRKKHRPKVIRENKLTKVQKSDSTSDGKSPNQKVKRKYVRKKRSLSSLEKCSGPATDQSISRGTGIAARRRTASVRRSLQFEPEEQGVQGGGPSTPSLHHHNYEKPVHAQSSFCSESEVQIEHGLQVDMENLPGGLAFGMSLRLNKLLDEYIHLPEATPKPAQEVSNASSGSLSTELAREQENVGKTCKPDDTSKSSLCNAERVVKTVLEGNKMNLELNYSDVDGFVSSAISMPEQSSKLSEVENRDGESSLTGTRDSIILRTAAEMLAFCQAGGIKKKRSARVRRNSFYSMMDLENNPSQASTRLQQPCMDALYESSYIKFMTKKRSIKERLHCSSFIHPNDELNNRLSAGSIIYGVSSGSKVSEETFPNSSPQTLDNERINFDTHCDVPEGSSANTSTGQYINYLQGVASKLKHLDLNTEHVHRTEMHLSRSTPAVISFGGTDGLSNALIPYGGGVMVPYERPLQLVRKQRPRAKVELDFETTRVWNLLMGKASEPDGTDVEKERWWQQEREIFQGRANSFIARMRLVQGDRCFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAATFPSRSVNSNCKDDVTTQNNEQTIRSSALGEKSIFDLFSDGARPDRGVGCEELSVTYEKIHMEPKDDTRASERIEGETYSFGYKSANGSFCNHQGTVIEHKEQEFPESSLVELTKPTELIQQTQIQKETSSSQSVTLETIQSRLSLTSGIPRNIAGGSSSYQQLESNFDCGRSLRRNDATASEIECQRLQMAEIDDYGFGKLGSPPSSAMPFILTVDAQQLNLRNEPNFSSASSNSPSDSASPKIKNGTSPLFMPFDSYGAECSGNRTAGTTLNSAKTSTELPGEMTVETTRREDEYTLKSGFTSYNGVPDTAAQASRPKKTRTTSKKNTENFDWDKLRRQACSEDHMKKRSCERRDSVDWEAVRCADVQRLSHAIRERGMNNILAERIQNFLNRLVRDHGSIDLEWLRDIPPTSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQEKSLVKSTNQFAFQNSSMYTMNSTHQPCLEGSIHARDFLPKNSEPIIEEPASPREEESPETMENDIEDVYEDGEIPTIKLNMEAFAHNLENCIKESNKELQSDDIAKALVAISTEAASIPVPKLKNVHRLRTEHYVYELPDSHPLLQQLGLDQREPDDPTPYLLAIWTPDEINEISKVPKPCCDPQMEGGLCNNEMCHRCTDEQENQSRYIRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPIHVERVQLWNLQRRMVFFGTSVSTIFKGLTTEEIQQCFWRECRSCDDQYSRPWYICVLNHRLQCPNSYMSLMIATWCLFNNEENSSWWLYD >PAN19247 pep chromosome:PHallii_v3.1:3:17084393:17101454:1 gene:PAHAL_3G258700 transcript:PAN19247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERSAPQAALSSPMSSATEQHGSPSVQPSWNVPAGCTQVPISILVFHRRLTGRGLRPQLPPSHSFMPAPALPDASEGGASIQLAGSNFLSLGRASNIVAGDMMMNHAQLATPDRYYSEHLWANGLYDEASKTENGTEASQLEPSATTIFCDNHDDLEPAVPANTLETRLQHPHESTAVLAEITTDDDMHTYQPMQKRPKTQINQSEQTPLPTPAVPKERTLNQIEMQIAGAEKTEMFRNEETPARKMKTRRKKHRPKVIRENKLTKVQKSDSTSDGKSPNQKVKRKYVRKKRSLSSLEKCSGPATDQSISRGTGIAARRRTASVRRSLQFEPEEQGVQGGGPSTPSLHHHNYEKPVHAQSSFCSESEVQIEHGLQVDMENLPGGLAFGMSLRLNKLLDEYIHLPEATPKPAQEVSNASSGSLSTELAREQENVGKTCKPDDTSKSSLCNAERVVKTVLEGNKMNLELNYSDVDGFVSSAISMPEQSSKLSEVENRDGESSLTGTRDSIILRTAAEMLAFCQAGGIKKKRSARVRRNSFYSMMDLENNPSQASTRLQQPCMDALYESSYIKFMTKKRSIKERLHCSSFIHPNDELNNRLSAGSIIYGVSSGSKVSEETFPNSSPQTLDNERINFDTHCDVPEGSSANTSTGQYINYLQGVASKLKHLDLNTEHVHRTEMHLSRSTPAVISFGGTDGLSNALIPYGGGVMVPYERPLQLVRKQRPRAKVELDFETTRVWNLLMGKASEPDGTDVEKERWWQQEREIFQGRANSFIARMRLVQGDRCFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAATFPSRSVNSNCKDDVTTQNNEQTIRSSALGEKSIFDLFSDGARPDRGVGCEELSVTYEKIHMEPKDDTRASERIEGETYSFGYKSANGSFCNHQGTVIEHKEQEFPESSLVELTKPTELIQQTQIQKETSSSQSVTLETIQSRLSLTSGIPRNIAGGSSSYQQLESNFDCGRSLRRNDATASEIECQRLQMAEIDDYGFGKLGSPPSSAMPFILTVDAQQLNLRNEPNFSSASSNSPSDSASPKIKNGTSPLFMPFDSYGAECSGNRTAGTTLNSAKTSTELPGEMTVETTRREDEYTLKSGFTSYNGVPDTAAQASRPKKTRTTSKKNTENFDWDKLRRQACSEDHMKKRSCERRDSVDWEAVRCADVQRLSHAIRERGMNNILAERIQNFLNRLVRDHGSIDLEWLRDIPPTSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQEKSLVKSTNQFAFQNSSMYTMNSTHQPCLEGSIHARDFLPKNSEPIIEEPASPREEESPETMENDIEDVYEDGEIPTIKLNMEAFAHNLENCIKESNKELQSDDIAKALVAISTEAASIPVPKLKNVHRLRTEHYVYELPDSHPLLQQLGLDQREPDDPTPYLLAIWTPDEINEISKVPKPCCDPQMEGGLCNNEMCHRCTDEQENQSRYIRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPIHVERVQLWNLQRRMVFFGTSVSTIFKGLTTEEIQQCFWRGFVCVRGFDMETRAPRPLCPHLHIVARPKSRKTAAAEQVL >PAN19246 pep chromosome:PHallii_v3.1:3:17084085:17101454:1 gene:PAHAL_3G258700 transcript:PAN19246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNHAQLATPDRYYSEHLWANGLYDEASKTENGTEASQLEPSATTIFCDNHDDLEPAVPANTLETRLQHPHESTAVLAEITTDDDMHTYQPMQKRPKTQINQSEQTPLPTPAVPKERTLNQIEMQIAGAEKTEMFRNEETPARKMKTRRKKHRPKVIRENKLTKVQKSDSTSDGKSPNQKVKRKYVRKKRSLSSLEKCSGPATDQSISRGTGIAARRRTASVRRSLQFEPEEQGVQGGGPSTPSLHHHNYEKPVHAQSSFCSESEVQIEHGLQVDMENLPGGLAFGMSLRLNKLLDEYIHLPEATPKPAQEVSNASSGSLSTELAREQENVGKTCKPDDTSKSSLCNAERVVKTVLEGNKMNLELNYSDVDGFVSSAISMPEQSSKLSEVENRDGESSLTGTRDSIILRTAAEMLAFCQAGGIKKKRSARVRRNSFYSMMDLENNPSQASTRLQQPCMDALYESSYIKFMTKKRSIKERLHCSSFIHPNDELNNRLSAGSIIYGVSSGSKVSEETFPNSSPQTLDNERINFDTHCDVPEGSSANTSTGQYINYLQGVASKLKHLDLNTEHVHRTEMHLSRSTPAVISFGGTDGLSNALIPYGGGVMVPYERPLQLVRKQRPRAKVELDFETTRVWNLLMGKASEPDGTDVEKERWWQQEREIFQGRANSFIARMRLVQGDRCFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAATFPSRSVNSNCKDDVTTQNNEQTIRSSALGEKSIFDLFSDGARPDRGVGCEELSVTYEKIHMEPKDDTRASERIEGETYSFGYKSANGSFCNHQGTVIEHKEQEFPESSLVELTKPTELIQQTQIQKETSSSQSVTLETIQSRLSLTSGIPRNIAGGSSSYQQLESNFDCGRSLRRNDATASEIECQRLQMAEIDDYGFGKLGSPPSSAMPFILTVDAQQLNLRNEPNFSSASSNSPSDSASPKIKNGTSPLFMPFDSYGAECSGNRTAGTTLNSAKTSTELPGEMTVETTRREDEYTLKSGFTSYNGVPDTAAQASRPKKTRTTSKKNTENFDWDKLRRQACSEDHMKKRSCERRDSVDWEAVRCADVQRLSHAIRERGMNNILAERIQNFLNRLVRDHGSIDLEWLRDIPPTSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQEKSLVKSTNQFAFQNSSMYTMNSTHQPCLEGSIHARDFLPKNSEPIIEEPASPREEESPETMENDIEDVYEDGEIPTIKLNMEAFAHNLENCIKESNKELQSDDIAKALVAISTEAASIPVPKLKNVHRLRTEHYVYELPDSHPLLQQLGLDQREPDDPTPYLLAIWTPDEINEISKVPKPCCDPQMEGGLCNNEMCHRCTDEQENQSRYIRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPIHVERVQLWNLQRRMVFFGTSVSTIFKGLTTEEIQQCFWRGFVCVRGFDMETRAPRPLCPHLHIVARPKSRKTAAAEQVL >PAN19250 pep chromosome:PHallii_v3.1:3:17084101:17101454:1 gene:PAHAL_3G258700 transcript:PAN19250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERSAPQAALSSPMSSATEQHGSPSVQPSWNVPAGCTQVPISILVFHRRLTGRGLRPQLPPSHSFMPAPALPDASEGGASIQLAGSNFLSLGRASNIVAGDMMMNHAQLATPDRYYSEHLWANGLYDEASKTENGTEASQLEPSATTIFCDNHDDLEPAVPANTLETRLQHPHESTAVLAEITTDDDMHTYQPMQKRPKTQINQSEQTPLPTPAVPKERTLNQIEMQIAGAEKTEMFRNEETPARKMKTRRKKHRPKVIRENKLTKVQKSDSTSDGKSPNQKVKRKYVRKKRSLSSLEKCSGPATDQSISRGTGIAARRRTASVRRSLQFEPEEQGVQGGGPSTPSLHHHNYEKPVHAQSSFCSESEVQIEHGLQVDMENLPGGLAFGMSLRLNKLLDEYIHLPEATPKPAQEVSNASSGSLSTELAREQENVGKTCKPDDTSKSSLCNAERVVKTVLEGNKMNLELNYSDVDGFVSSAISMPEQSSKLSEVENRDGESSLTGTRDSIILRTAAEMLAFCQAGGIKKKRSARVRRNSFYSMMDLENNPSQASTRLQQPCMDALYESSYIKFMTKKRSIKERLHCSSFIHPNDELNNRLSAGSIIYGVSSGSKVSEETFPNSSPQTLDNERINFDTHCDVPEGSSANTSTGQYINYLQGVASKLKHLDLNTEHVHRTEMHLSRSTPAVISFGGTDGLSNALIPYGGGVMVPYERPLQLVRKQRPRAKVELDFETTRVWNLLMGKASEPDGTDVEKERWWQQEREIFQGRANSFIARMRLVQGDRCFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAATFPSRSVNSNCKDDVTTQNNEQTIRSSALGEKSIFDLFSDGARPDRGVGCEELSVTYEKIHMEPKDDTRASERIEGETYSFGYKSANGSFCNHQGTVIEHKEQEFPESSLVELTKPTELIQQTQIQKETSSSQSVTLETIQSRLSLTSGIPRNIAGGSSSYQQLESNFDCGRSLRRNDATASEIECQRLQMAEIDDYGFGKLGSPPSSAMPFILTVDAQQLNLRNEPNFSSASSNSPSDSASPKIKNGTSPLFMPFDSYGAECSGNRTAGTTLNSAKTSTELPGEMTVETTRREDEYTLKSGFTSYNGVPDTAAQASRPKKTRTTSKKNTENFDWDKLRRQACSEDHMKKRSCERRDSVDWEAVRCADVQRLSHAIRERGMNNILAERIQNFLNRLVRDHGSIDLEWLRDIPPTSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQEKSLVKSTNQFAFQNSSMYTMNSTHQPCLEGSIHARDFLPKNSEPIIEEPASPREEESPETMENDIEDVYEDGEIPTIKLNMEAFAHNLENCIKESNKELQSDDIAKALVAISTEAASIPVPKLKNVHRLRTEHYVYELPDSHPLLQQLGLDQREPDDPTPYLLAIWTPDEINEISKVPKPCCDPQMEGGLCNNEMCHRCTDEQENQSRYIRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPIHVERVQLWNLQRRMVFFGTSVSTIFKGLTTEEIQQCFWRECRSCDDQYSRPWYICVLNHRLQCPNSYMSLMIATWCLFNNEENSSWWLYD >PAN15858 pep chromosome:PHallii_v3.1:3:889847:892923:-1 gene:PAHAL_3G016100 transcript:PAN15858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQDESENDDQGQPLDMKPLRSLAPMFPTPLGYDVTTQSTDPMLVFVTPFRPRASPEQSAASFGQPLPKSPIPLKATPISAAFPIPRPEYESSDEDCKLFSDHKKPTSTSRKTTKRTQQVGYSDAANIKRRSTKRSLNIELASCPSSSSDPNESVEEIMMMFDSLRRRILQLDEKEDAGRRADLKAGTLMMQNGLRINNLKTIGPVPGVEIGDIFFFRIEMCIVGLHAPAMAGIDYISAKHVGNNDTLAVSIISSGGYENDDNDMDILVYTGQGGNSRHKEKHDQKLERGNLALMNSMKRKNLIRVVRSAQDPFFSSGKIYIYDGLYRVEDSWMDKAKNGFSVFKYKLRRELGQPDGIAVWKMTEKWKANPATRDKAILLDLSSKVENIPVCLVNDVDDEKGPSYFNYVTGVEYLRPVSKTKPLQSCKCPSVCLPGDPNCSCSQLNGGDLPYTSSGLLVKHIPMLYECSSRCQCSQNCRNRITQKGVYLNFEVFWTGDCGWGVRSWDPIRAGTFICEYAGKVIDETNLNMDGEEDEYTFCASWPIDKVLRWNLGAELLEDASANVTTERLKRLPIVISAKSSGNVARFLNHSCSPNLIWQPVQYDHGDNSYPHIMFFAMKHIPPMTELTYDYGTRGAPPGIKGKFPNAPKLKPCLCGSTNCRGSF >PVH62428 pep chromosome:PHallii_v3.1:3:19764521:19766898:1 gene:PAHAL_3G290600 transcript:PVH62428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQASKTKYKGGLPFHSSHPSPLRHKGDKLARVSPRKMPLRAPPLRLPLLVLLLLLLVPALADFPDRYSSPRPGASAGLGNAPAQEYLDPTYPTPRPPPSAPSCVVPVLSYSFANTYGAPPATAAYAPPAGCPAPWSLVVLSFSAAIAGDQYDRVAAVWLDGAELLRTTTAEPTPDGIRWTIRKDVTRHSALLRSPPGGVLSVMLENLVNDQYTGVYNVSVSLEFHGVPAYLADAGSSSSAAGAVDSNPPTPTLPESYFQPADLILPISEATGNSIGFWFRIQNSSDSRSKLVSVPSSTYRAVLEVFVSPHSNDEFWYSNPPDIYIRENNLTTGRGNAAYREVVVSVDRHFAGSFVPFPVIYTGGINPLFWQPVAALGAFNLPTYDVELTPFLGLLVDGKAHEIALSVVDGIAEWLVDANLHLWLDPASSSVSAALDRYRTPRLSITRRYNTRLLDGSFKIRAKRKSRFSGWVKSSFGNFTTEVETELKATSVVEFTHQGRNKTVRLQAEQETEITVRSSETRKEVSKVETKAKFPLWLEMVTEDGENGTYVMKANLTHSLSIETDAEAEGLFEREARLADEQAAAGWMLVRDHDVLNGSAATTQAYRYSDGAGRVERAIDTLDGAVLSDNVTESYSALDVAASTSTAACCRVRRCHSIVAARCSDVAAM >PVH61825 pep chromosome:PHallii_v3.1:3:8519893:8524098:1 gene:PAHAL_3G132200 transcript:PVH61825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFDPWTIDREHRSCMTSGPWMTDFFNSRRGLHHIRRLPKRFVSFRARAARNSRVFLVPPPRTTNSFCRELAGFTATRSRARRWAAWEVGGECTSRTAPDWFQGAWLICCFVLAGGGGGAGAKVGPPALDVALAFPQATPASIFPPSVSDYYQLDDLLTDEEKALRKKVRGIMEKEIAPIMTEHWEKAEFPFHAIPKLATLGLAGGTTKGYGCPGLSLTASAISAAEVARVDASCSAIILMHAALVIPAIDLCGSEAQKQKYLPSLAQFKTIGCWALTEPGYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTIADMLIILARNADTNQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDVVLNKVFVPDEDRLPGINSFQDINKVFAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGDIQAMVLVGWRLCKLYESGKMTSGHSSLGKAWTSKKAREIVSLGRELLGGNGILADFLVAKAFCDLEPIFTYEGTYDINSLVTGREITGVASFKPAMLVKSRL >PVH61539 pep chromosome:PHallii_v3.1:3:3616888:3617482:1 gene:PAHAL_3G057100 transcript:PVH61539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRRSALPPFCPSIPDLTDQAKTPAPAHPSTTATAPLASAPSLSWRSSPMAAHAGTLAPPLPLRLPPCPLPRPGLLTPASSSLLPRARRLAVARAASGSDGGPPAEGDKDRKGSPSLPALSEIRWGELLSPEPTNAAAVVLTGALAWAGASLLLQLVAIFAAIFAAAVKYSFVAAVLLFILIALL >PAN18902 pep chromosome:PHallii_v3.1:3:15211173:15215838:-1 gene:PAHAL_3G234700 transcript:PAN18902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLHREARLG >PAN21134 pep chromosome:PHallii_v3.1:3:58153169:58155186:-1 gene:PAHAL_3G444100 transcript:PAN21134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRHGRRPHESTVSASFTVHLCSLAGCPTMEKMLHSAPIQATLPDCFIFPADKRPPATAAAASLPIIDLSRSRDEVRRAILDAGKEIGFFQVVNHGVSKQAMQDMEDVCREFFRLPAAEKAGLYSEDTHKATRIYSSTMFETGGERYWRDCLRLACSFPAGDSAMDWPEKPRRLREVVERFTVQTRGMGMEILRLLCEGLGLRHDYLEGDISGGDVVLHVNHYPPCPDPSTTLGLPPHCDRNLLTLLLPSMVPGLEVAYKGDWIKVQPAPDAFVVNFGCQLEVVTNGLLKSIEHRVVTNLGVARTTVATFIMPTTDCLIGPAGEFLSEENPPCYRTLTFGEFKRIYSVVKLGSSLNLTTNLKNVQKEI >PVH61651 pep chromosome:PHallii_v3.1:3:5635093:5637867:1 gene:PAHAL_3G087000 transcript:PVH61651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHLPSPPHSAAANRQRRRPPPLPALRPSHPVRCLLRPRLSPPPLGPPWPPRRRPPAHLLQLLHRHLPPPLPLFLPLRRIRFGASHSPSSLPLRRPPPALLPPLLNPFSLRCRLHTPQPPRGSTSHLRSPSTLSSSAVASSSRRPTQPTHPSTSTPAASLPPATCSTKCGSGASRLATEGGIDDDLCWHREWH >PAN17242 pep chromosome:PHallii_v3.1:3:7394845:7400023:-1 gene:PAHAL_3G115800 transcript:PAN17242 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MRFDLDGMPVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLIYCTRTVHEMEKTLAELRLLFGHLPPAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVREKAASDPESTPLCEFYETFDRAAAGGDLASLMPPGVYTLADLRALGRQRRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVIVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPDDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPVSFVASIHSQAGIDQKMLRFCYDRLHSLMMTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIRPVFDRFETVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWHEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGKKTLLTEEDLQNMAEDAMEM >PAN17791 pep chromosome:PHallii_v3.1:3:9978293:9984598:1 gene:PAHAL_3G155100 transcript:PAN17791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSASTSSVNWLVEDDILLKNAVETGASLESLAKGAVCFSRKFTLQEIQDRWNSLLYDPEVSTQASSRMAEYENELSTSDPAKAHKLFNSKAKDFSFQKRKIDSVKNLYYAMRKRVCTDPCNTAELGFLVAPCSCIANGNECVCGGLPNSIEPELSSVSRYGQVGASYNGGHTYPGMNGHSFHTKRAESMVRDGDDTNNIAYGYSDVGQMYEHHAYTANNHGNGEGNNVSLKSITDFQDSMQFQQLDSNQCGNGVVDSKALVIPNHFSGSVQEPIPLQVMGQPEGSEASAGAIWSGVQRRGTLTLPDDKNVKSENRDPLTFEANLDGGICTSGLDHASDFMDFPFFSNSEDFDILNGENFLNSPSEGNQEDLDDPAFKVVPGVRSTMQNLAHPDEANMSCDQIGPDHVESNVDVSGIMLAPTSLEVPCPGLYVECKLNTEDPEIPCNDDVVTPREYPLECCTSTLGQKSENTIYSVSPSTSPPSNAEHSNANDMALIKVEDMANVHPSLQTVKISSSISEQKEDSVAHDKGGVLGAKPSEGPSTSGGLSTTNIDTNDANTCMLALPSFSAAGFGKRSPSSLGQHESLNNSLGLTSQNSVQAPDQMQHNSLDGQPELGDEAALQNCMPSKAPSDLGIHDHIATVPTPAQAEECLDNENDVPNYYDLEALILDQDLIPWDQDSDLMHPEVTRFRHPESRKALIRLEQGARSYMNRAIISHGAFAVIYGLHLKYYIKDPEVTLGRETEDVKVDIDLGKEGRANKISRRQAVIKMDEAGSFHIKNIGKCPIFVNSKEIPSCKRINLSSDSLIEIKDMRFIFHINHDAVRQYIGCDLKPER >PAN19275 pep chromosome:PHallii_v3.1:3:17195050:17198949:1 gene:PAHAL_3G260200 transcript:PAN19275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAELRTVAVPSSPLPLRVLLLLLLLLSLLAVSAVDVVSAATAPLKFGINYGQIANNLPHPTQVSGLLRSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLQNLTDARKARAWVAQHVQPFLPGTRITCITVGNEVLSGKDTAAMQNLLPAMQAVYQAVSDLGLAAQVNVSTAHSVNILASSYPPSSGVFREELAQYIQPILNFHAEVRSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVVDPNTNLTYDNMLYAQIDAVYAAMKAMGHTDLTVRISETGWPSKGDDNEVGATVANAAAYNGNLMKRIAMGQGTPLRPDVPIDVFVFALFNEDMKPGPASERNYGLFYPNGTPVYNLGFNGASFSPSPTFSSSSKPTITFLMAVVVLLAGFSL >PAN16376 pep chromosome:PHallii_v3.1:3:3546742:3553212:-1 gene:PAHAL_3G056100 transcript:PAN16376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGPLVFAGKSVASSVIKEVVTKAFKYLHGYFSAENMEEMKNKIEERMPQIQAVLDVVSPDHIKDQSEALDRWFWKLRDAVEEAEDAIDELEYYELEEKAKDRKVSDWGSPFTKVKHKVVKSIKSVSVVDNTLKQFTHGDSLKRLKKAMEGLDKASASTMNFLEVVTCLKGATSGSPKQEDLMNNDRQTGSTSAVMKLFGREKEKKCILEWLTKETSVEEDEIVMSAKHIPILSLVGHGGMGKTTLVQSIYEEGDVVKDFEIIWVTVSTNFDATSVTRKILESLNRKTPKAGSLEALQQLLKDNLKSRKFLLTLDDVWEEEKRNEWEKLFAALRTGKSGSKILLTTRMASVAAVAAYVMGVGRECLTLEGLQEDENFDLFHHCVFAGLNSQSYGHLKLTGEQIARKLGGCPLVTKVVGGHLRGNMTIEFWDRFLHVGLQDFKGNADDVMKVLRLSYYNLPMELQICFRYCSIFPHGYKFTKKELVLMWIGSGLISTAGNERRRLEDIGEYCLFQLSRKSLFDQKCRIDRTSLINPRIEEYYVMHDLMHELAEYVSSGECKRITSLARIEDVKDTVRHLWIDKINSSYVEEVKKVANFKNLRTLIIGEDIFLSVYQDMKCAISSAIQNSRALRLLHLKCFDRFDFPRMAGNLKHLRYISLSQISLESIHGVLKLYHLVVLRGYCDLQLNINHVRDLANLDGLRYLYFSAGGLNEVPVNRLTSLQELNKYKVQGSDGNKISAIGNLRDLRELNVQGLENIDNNVAEKAKLKEKEYLFSLSLGWSASNGTQNRKDDLVLDQLEPNANISKLCIDGYEGLRTPFWLENLSTKKLVSLTLRNCINLEHLPSLIELVLLKHLKLYCLPKLQQIGQYSHMFSSSCMEFFLPTSLDTLVVTGCRGLKRLPILPPSLAYLYIGGVGLTKLPMIGKLCNDSTQTMSSKWLDISIVNCPCLTSLENSLLDQKQHLRAFRDVNISNCVHVETVPLTFEQMNGLRKLCIEDCPKLRMPRDARNKLLPSSLGCLHMCDSGDLELPILGSMQQLTNLSFMDLRFCSNLVSLPSVDVFQSLKSLRSIRICRCENLSSLGGLGSAPSLSWLRIIGCSCLAEARSSAMPGASASEDDSLVVSRNSLQIDRLEIDVPSLLLVEPLKSLCQTQKLYIEDASKTERLPEQWLLQNHSSIQYLMIHKAESLESLPLSMQDLSSLEQLNLFGAGQLRSLPNFPSSLQYLGIDECDSELEEKSRENGNPEWNKISHIPRVRIGNSYFILGKECSKKTYKTLRYKDYNALVSWVNQPEDDGNGVESVLHWWQKPRCLGRITS >PAN18935 pep chromosome:PHallii_v3.1:3:15308156:15310730:1 gene:PAHAL_3G236400 transcript:PAN18935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGRSMLLSLLLFAVTLSLLEMYRAKFASSELMTIAGGFVCALLFLLLLTFIGNYQEASGDRTGWGAVVVAQLVALIVAGTVHRVCITTCFLFSAGLLYEVDKLSGMTLARSESKVRRH >PAN16184 pep chromosome:PHallii_v3.1:3:2719193:2720377:1 gene:PAHAL_3G043200 transcript:PAN16184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSLSEQERREAARPPVPNGPPGSGESRTQRPAAAATGTDAAVPRGKRSPRARAETPRWGAFRLPAPTPPAATRCQDCGAIETAQWRSGPLGPRTLYNNCGVRRRLAGERWGKPRPRRPRKAAARAVSDQPPQTEESRLTPESPPDAQICEQKLAPAPNLVLVTSPPPATESLTAQATARTGSASDSEQPSPQEIPASESSSQQERAKRKSPSPTMEPLPPNNKKNKKTAEKLCKHCGSSETPQWSEGAKGPRTLRNACGVRNRQGRLLPEYRPQASPTFEAEHASSHSGVLELRRKRKNKQQEPPPPLAQPQPVDDSQDVDLMPQPLPRRVDDIASKLRAGARANNDRSASNNAGAPRRTGPVAKRGRIKIHPFLLDGPARPMIVEPEAPC >PAN19703 pep chromosome:PHallii_v3.1:3:19897124:19901125:-1 gene:PAHAL_3G291800 transcript:PAN19703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MAAVAPALPLHTSLRAGQASPCLLSPGPSVPGRRRTAPLRLSPLRPARPLFPISAVEKTKEAAAAEEAELEGMPPEFYDEEWQARQRERTKEWHAYRQKEEAEEERITSEYREIGTRLKAYPQEEVRKARILVSNFIRAGEDVEEEIEKAAERGDLTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVEAEILKSEATPAMRLLNELLNLHDGGDDDKWLKKCRKRMLEVFPREDPFTVVFPASFNMEKHEGRIELPPQDDDLLLRVDFVREVDELLKEVQAEQEKNRLETGYDPESVANMLKQQEKLQTIRQVESLLELASSLKW >PAN16201 pep chromosome:PHallii_v3.1:3:2782289:2788077:-1 gene:PAHAL_3G043800 transcript:PAN16201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAASAAPPSRRTRSRPPSASSRKSDDPSAAAANGNGNGKVASKPASPHQLTGERTVKKLRLSKALTIPEGTTVSDACRRMAARRVDAVLLTDAQGLLSGIVTDKDIATRVIAEGLRVEQTIMSKIMTHNPTYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIARCLYDAIARLEKAAEQGSAIAAAVEGVERQLGGNFSAPSALIETLRERMFKPSLSTIITENTKVAIVSPTDPVCVAAQKMREFRVNSVVVTTGNTLQGIFTSKDVLMRVVAQNISPELTLVEKVMTANPDCATLDTTILDALHIMHDGKFLHIPVIDRDGQIAACLDVLQLTHATIQLVEGGNGTVNDVANSVMQRFWDSALALEPPDEEFDSHSEVSLLLPSEAGDGKSSIYPPAIGNSFAFKLQDRKGRVHRFTCGSESLDELVSSVRQRLSIRDEKETVQLLYEDDEGDRVLLTTDSDLAGAVLYAKSSGLKVLKLHIEDPGLYTEVTKPSQELAPPPSRSGISPVHVGLMAGAVALSGVAVMVYLKRSQL >PAN19949 pep chromosome:PHallii_v3.1:3:21957633:21960226:1 gene:PAHAL_3G309900 transcript:PAN19949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRRKLKRSRAKVRVGLPRKKPREFLPAFDLPEALAAAAAEGGAGWDAEGSVVKNYAAFGVVANPNLLGAHSRGTPRLVQSAPLQAPDIAAARAPVPEFEPIDTGSDLENDDLKSALGKKRKDGKSAPLQPLTKIQRVCIGRLIEKYGDDYKAMFMDTKLNAMQHSVGTLKKLCERYHADGKIFVYPL >PAN19676 pep chromosome:PHallii_v3.1:3:19668415:19671128:1 gene:PAHAL_3G289900 transcript:PAN19676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSRRRRDDYYPPPPHYSYPPPPPPPHHHHHHHPPPPPPHHRAPPPPPPPPAASSYYYHPHPPPPHAYHGPWHPAPAPPPPLQQPQPPALTGPPPEYVEHQQAQKVKNYVNLHKDTIRLEPDGADPDRRLVAFTFDAVTDGRVTIYYFAKEGKDCSFSSVYPELQTLTKIPFQKGLAQRFIQPSGSGVDLGFFSLDELSNPSGEVYPLVVYAEAYPSPEEGGSSVNSTRAQITLAVLEKHNNDLRVKVIKQILWIDGVRYELQEIFGLVNSTEADVADADADDMGKECVICLTEPRDTAVMPCRHLCLCSECAKTLRFQSNKCPICRQPVEKLMEIKVRSPEP >PVH62615 pep chromosome:PHallii_v3.1:3:28622213:28625617:-1 gene:PAHAL_3G338200 transcript:PVH62615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGPRLKEFGIHDLVDVLSNANSIAHKKNKLNCRNRENSEDEYDPTNNDTDEEDLLDDDTPEQSRLVKKEFLQSHKLLEVQANVPALFDDNNHMTNELHAIAPSDGHNQMGNEDVQLVENDRRERGVNMGHGLEKMSRAMHGKLQIVIPEGGIRPVAPFAAAKFATECNIAVRNHMPVLKHWKDYKKNSALLQQFRGTLKETCQKNKNNRSNVKHHHTTGSRNYPVHVENLGDKYIDHEPDALELFKECHYSKKKKGFTLDVQLAIVKLSASREGEQSLSPTKVVADVLAEKTKKSSFLKNIGIRNACSRPSIRSIEAQLEVEKRANDDLRAVVDAQREQLDLLSKQVKETEQGRIREQDEMKKKQAEMEAKLQLVLKTS >PAN16693 pep chromosome:PHallii_v3.1:3:5149715:5151102:1 gene:PAHAL_3G077800 transcript:PAN16693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMTVAASDAEEHSGYDSLRRGGRGRWHGLRLFAMAPRPRDHRPRAAPTSLPFYLALAVCHCRGKCQNGGGGSEPATRLVYWSAVFGACEAEGIRRRGSSRAVPPLSARHRIADAHRRGSHARLRVRVRDREEGGVSAGTNGRASPAGPASIRAAGTGVRG >PVH62080 pep chromosome:PHallii_v3.1:3:13111204:13116448:1 gene:PAHAL_3G200200 transcript:PVH62080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWRLGRGAAAVVRMASSSSSLPSLPRLSIPAHRARHEAAMAASLGASRTLRNGGGRQMQDLVMQRWAEMVLCCGADWGCVPQLWNRVVLRKWLNIGAGSGDSDFSADERSEGEADREDMPGWKLELCNEERILAGLGASTTGANDVPYRLRRHRSEILRAQYVDVRELRICVGTWNVGGRFPPSDLDIEEWLDMEDPADIYVIGFQEIVPLNAGNIFGAEDNRPVSVWEQIIRETLNKNFSDPPSASRFNPSDAALSVEYESLIGSDNDSDGELQPLIEQDHNCRLQNKTDENFEAFPEEGLDKRIKRKRPEFVRIISKQMVGIFLSIWVRRSLRKHIQNLRVSTVGVGAMGYIGNKKDYMVRRPQLSD >PVH62078 pep chromosome:PHallii_v3.1:3:13111204:13116448:1 gene:PAHAL_3G200200 transcript:PVH62078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWRLGRGAAAVVRMASSSSSLPSLPRLSIPAHRARHEAAMAASLGASRTLRNGGGRQMQDLVMQRWAEMVLCCGADWGCVPQLWNRVVLRKWLNIGAGSGDSDFSADERSEGEADREDMPGWKLELCNEERILAGLGASTTGANDVPYRLRRHRSEILRAQYVDVRELRICVGTWNVGGRFPPSDLDIEEWLDMEDPADIYVIGFQEIVPLNAGNIFGAEDNRPVSVWEQIIRETLNKNFSDPPSASRFNPSDAALSVEYESLIGSDNDSDGELQPLIEQDHNCRLQNKTDENFEAFPEEGLDKRIKRKRPEFVRIISKQMVGIFLSIWVRRSLRKHIQNLRVSTVGVGAMGYIGNKKDYMVRRPQLSD >PVH62079 pep chromosome:PHallii_v3.1:3:13111204:13116448:1 gene:PAHAL_3G200200 transcript:PVH62079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWRLGRGAAAVVRMASSSSSLPSLPRLSIPAHRARHEAAMAASLGASRTLRNGGGRQMQDLVMQRWAEMVLCCGADWGCVPQLWNRVVLRKWLNIGAGSGDSDFSADERSEGEADREDMPGWKLELCNEERILAGLGASTTGANDVPYRLRRHRSEILRAQYVDVRELRICVGTWNVGGRFPPSDLDIEEWLDMEDPADIYVIGFQEIVPLNAGNIFGAEDNRPVSVWEQIIRETLNKNFSDPPSASRFNPSDAALSVEYESLIGSDNDSDGELQPLIEQDHNCRLQNKTDENFEAFPEEGLDKRIKRKRPEFVRIISKQMVGIFLSIWVRRSLRKHIQNLRVSTVGVGAMGYIGNKGSISVSMSIHQTPFCFVCCHLAAGEKDGDDLKRNSNVEEILRRTVFNPVHTVSMPMRIHDHERIIWFGDLNYRIDLSYERAHELISKQDWDGLFERDQMKRELRKGCTFDGWFEGVIRFPPTYKYEFDSGSYVNDESKSGRRTPACLLGGG >PAN18419 pep chromosome:PHallii_v3.1:3:13111204:13116447:1 gene:PAHAL_3G200200 transcript:PAN18419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWRLGRGAAAVVRMASSSSSLPSLPRLSIPAHRARHEAAMAASLGASRTLRNGGGRQMQDLVMQRWAEMVLCCGADWGCVPQLWNRVVLRKWLNIGAGSGDSDFSADERSEGEADREDMPGWKLELCNEERILAGLGASTTGANDVPYRLRRHRSEILRAQYVDVRELRICVGTWNVGGRFPPSDLDIEEWLDMEDPADIYVIGFQEIVPLNAGNIFGAEDNRPVSVWEQIIRETLNKNFSDPPSASRFNPSDAALSVEYESLIGSDNDSDGELQPLIEQDHNCRLQNKTDENFEAFPEEGLDKRIKRKRPEFVRIISKQMVGIFLSIWVRRSLRKHIQNLRVSTVGVGAMGYIGNKGSISVSMSIHQTPFCFVCCHLAAGEKDGDDLKRNSNVEEILRRTVFNPVHTVSMPMRIHDHERIIWFGDLNYRIDLSYERAHELISKQDWDGLFERDQMKRELRKGCTFDGWFEGVIRFPPTYKYEFDSGSYVNDESKSGRRTPAWCDRILSYGKGIRLLSYKRGELTLSDHRPVTAVYLVEVEVFRRRKLRRALTFTDAEVERYLSSEDDGFRNQKVVEAWDMHEP >PVH61835 pep chromosome:PHallii_v3.1:3:8833802:8837108:-1 gene:PAHAL_3G137500 transcript:PVH61835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFPFHGAFDRVCIWTAVMLFLMAMFNTAVILNKFTRFSGELFGMLITILFMQQAIKGMVGEFSVPEGNDESQPIFQFQWLYVNGLLGVIFSMGVLYTSLASTEARSSLYGTGWQRSLIADYGVPLMVILWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLLSVPPAYIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSLTTLICGLLGIPPSNGVLPQSPMHTRSLAVLKRQLIRKKMVQTAQEGMMNNATSSEVYGKMQEVFIKMDHGGDSVSASNELKDLKDAIIPEGDGAGKVPEAFDPQKHVDSYLPVRVKEQRVSNLLQSLLVGGCIGVTPLIRRIPTSVLWGYFAYMSIDSVPGNQFWERIQLMFVTPQRRYKVLEGAHASFVESVPFNIIFAFTLFQLIYLLLVFGMTWIPMAGILFPLLFFFLIVIRQHFIPKYFDPAHLRELDAAEYEELVGFTPDPSEGGDESVRSRDAQPEYASEILEEFTTHRGELKRRNSSFRDGRLLQLNSVRMTREFSRTLSRAPTQRQKH >PAN17518 pep chromosome:PHallii_v3.1:3:8833380:8838132:-1 gene:PAHAL_3G137500 transcript:PAN17518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPNKAPFSGVAEDFKGRAACYKRDWNNGFRSGFRILAPTLYIFFASAVPVIAFGEQLSKDTDGALTTVEALASTAICGIIHSIIGGQPLLIVGVAEPTIIMYSYIYNFAKSQPNLGEKMFLPWAGWVCIWTAVMLFLMAMFNTAVILNKFTRFSGELFGMLITILFMQQAIKGMVGEFSVPEGNDESQPIFQFQWLYVNGLLGVIFSMGVLYTSLASTEARSSLYGTGWQRSLIADYGVPLMVILWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLLSVPPAYIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSLTTLICGLLGIPPSNGVLPQSPMHTRSLAVLKRQLIRKKMVQTAQEGMMNNATSSEVYGKMQEVFIKMDHGGDSVSASNELKDLKDAIIPEGDGAGKVPEAFDPQKHVDSYLPVRVKEQRVSNLLQSLLVGGCIGVTPLIRRIPTSVLWGYFAYMSIDSVPGNQFWERIQLMFVTPQRRYKVLEGAHASFVESVPFNIIFAFTLFQLIYLLLVFGMTWIPMAGILFPLLFFFLIVIRQHFIPKYFDPAHLRELDAAEYEELVGFTPDPSEGGDESVRSRDAQPEYASEILEEFTTHRGELKRRNSSFRDGRLLQLNSVRMTREFSRTLSRAPTQRQKH >PAN17517 pep chromosome:PHallii_v3.1:3:8833623:8839388:-1 gene:PAHAL_3G137500 transcript:PAN17517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPNKAPFSGVAEDFKGRAACYKRDWNNGFRSGFRILAPTLYIFFASAVPVIAFGEQLSKDTDGALTTVEALASTAICGIIHSIIGGQPLLIVGVAEPTIIMYSYIYNFAKSQPNLGEKMFLPWAGWVCIWTAVMLFLMAMFNTAVILNKFTRFSGELFGMLITILFMQQAIKGMVGEFSVPEGNDESQPIFQFQWLYVNGLLGVIFSMGVLYTSLASTEARSSLYGTGWQRSLIADYGVPLMVILWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLLSVPPAYIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSLTTLICGLLGIPPSNGVLPQSPMHTRSLAVLKRQLIRKKMVQTAQEGMMNNATSSEVYGKMQEVFIKMDHGGDSVSASNELKDLKDAIIPEGDGAGKVPEAFDPQKHVDSYLPVRVKEQRVSNLLQSLLVGGCIGVTPLIRRIPTSVLWGYFAYMSIDSVPGNQFWERIQLMFVTPQRRYKVLEGAHASFVESVPFNIIFAFTLFQLIYLLLVFGMTWIPMAGILFPLLFFFLIVIRQHFIPKYFDPAHLRELDAAEYEELVGFTPDPSEGGDESVRSRDAQPEYASEILEEFTTHRGELKRRNSSFRDGRLLQLNSVRMTREFSRTLSRAPTQRQKH >PAN16093 pep chromosome:PHallii_v3.1:3:1839316:1844141:-1 gene:PAHAL_3G032600 transcript:PAN16093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGGGAGEAGARALLQRHQPFAPPPGEYHNFGAPAAGGDEMVEAVVLRTPLKRKQNREGNGAAEPTDWMMSPGYANAGSSPVPTPPSGKGLKSSAKPKATKGQKPCPQTPLSFGSPGNPSTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTVQEQNLDERINEMRDRLRELTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTPTISMDSLENPRTPLAAECSKAAGTEPTIQQGFTLPPDAPSSSQDIGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAHVEWDGIEKFNAEDFLEVSTPRQQDKPADVVDGPSCMS >PAN16092 pep chromosome:PHallii_v3.1:3:1839316:1843035:-1 gene:PAHAL_3G032600 transcript:PAN16092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPGYANAGSSPVPTPPSGKGLKSSAKPKATKGQKPCPQTPLSFGSPGNPSTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTVQEQNLDERINEMRDRLRELTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTPTISMDSLENPRTPLAAECSKAAGTEPTIQQGFTLPPDAPSSSQDIGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAPDVEWDGIEKFNAEDFLEVSTPRQQDKPADVVDGPSCMS >PAN16094 pep chromosome:PHallii_v3.1:3:1839987:1843990:-1 gene:PAHAL_3G032600 transcript:PAN16094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGGGAGEAGARALLQRHQPFAPPPGEYHNFGAPAAGGDEMVEAVVLRTPLKRKQNREGNGAAEPTDWMMSPGYANAGSSPVPTPPSGKGLKSSAKPKATKGQKPCPQTPLSFGSPGNPSTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTVQEQNLDERINEMRDRLRELTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTPTISMDSLENPRTPLAAECSKAAGTEPTIQQGFTLPPDAPSSSQDIGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAPDVEWDGIEKFNAEDFLEVSTPRQQDKPADVVDGPSCMS >PAN16118 pep chromosome:PHallii_v3.1:3:2433335:2436423:-1 gene:PAHAL_3G037900 transcript:PAN16118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLISARAGLRSSLPVRRSSAARPLPASQVPAWFTSPPFRSAMVCKSRGLVAAALEVSKDGSSAVLANRQPSKDAIETLRNADAVCFDVDSTVIMDEGIDELADFCGAGKAVAKWTAKAMTGDVPFEEALAARLSLIKPSLSQVEECLEKRPPRISPGMADLIKKLKANSTDVFLVSGGFRQMIKPVAFELGIPAENIIANQLLFGTSGEYAGFDPTEPTSHSGGKAKAVQQIKQNHGYKTVVMVGDGATDLEARQPGGADLFICYAGVQMREPVAAKADWVVFDFQELITKLP >PVH62148 pep chromosome:PHallii_v3.1:3:14094538:14096256:1 gene:PAHAL_3G217100 transcript:PVH62148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQQQVVIVGEEHCGGEDRELTVRKTTLFTPGDGLEAYDHRTGALAFRVETYGRGGECGGGAAAGDLALLDAEGEPVLTVRRRRPSLHNRWDGFLGDGAAARGGGHQKPLFSARRSSILGAGTGAAAVLVDLLAPGATGEFHVDGSFPRRCCRVVASAKAWAAADGGGGEAEEDVVVAEVRRKVDEDAHVVMGRDVFVLWVRAGFDAAFAMGIVLVLDRITGDEVDGDLGEDPLETTSPA >PAN21993 pep chromosome:PHallii_v3.1:3:63559385:63562742:1 gene:PAHAL_3G505900 transcript:PAN21993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytoene synthase 1, chloroplast precursor (EC 2.5.1.-) (Fruit ripening specific protein pTOM5) [Source: Projected from Oryza sativa (Os12g0626400)] MAGSSAVWAAHHASCRPHQPSSSSSQRPQARLLPLPPRRGGASLARPRASLAAAAATATATAAPARTASEEAVYEVVMRQAALVEGGVARKGEAAGARRRQRPRWAEEKEEEGLRGWGLLGDAYDRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNASYITPTALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPLDELAQAGLTEDDIFRGKVTDKWRRFMKGQIQRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVGKARKLLSLPVAYARAAVGS >PAN17131 pep chromosome:PHallii_v3.1:3:6988186:6991220:-1 gene:PAHAL_3G109700 transcript:PAN17131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHHLRRCGGGAFAALRRLRRIPSAAAAAPPATWRRPCPPPRLYSTAEMSQQLPANLVAMMEQRMKLIEQRSAYLQEQINQPAALPEEYSRANKEFRKLESTMELINGLRSKREEIEGLKSLVTNSREEKDMREMAAEELLEAVEEEKQLQHELFRALLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEIERQRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >PAN17134 pep chromosome:PHallii_v3.1:3:6988186:6991220:-1 gene:PAHAL_3G109700 transcript:PAN17134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINGLRSKREEIEGLKSLVTNSREEKDMREMAAEELLEAVEEEKQLQHELFRALLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEIERQRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >PAN17135 pep chromosome:PHallii_v3.1:3:6988837:6991220:-1 gene:PAHAL_3G109700 transcript:PAN17135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHHLRRCGGGAFAALRRLRRIPSAAAAAPPATWRRPCPPPRLYSTAEMSQQLPANLVAMMEQRMKLIEQRSAYLQEQINQPAALPEEYSRANKEFRKLESTMELINGLRSKREEIEGLKSLVTNSREEKDMREMAAEELLEAVEEEKQLQHELFRALLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEIERQRLHMDRSKLRSEQVI >PVH61739 pep chromosome:PHallii_v3.1:3:6988619:6990796:-1 gene:PAHAL_3G109700 transcript:PVH61739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQLPANLVAMMEQRMKLIEQRSAYLQEQINQPAALPEEYSRANKEFRKLESTMELINGLRSKREEIEGLKSLVTNSREEKDMREMAAEELLEAVEEEKQLQHELFRALLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEIERQRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >PAN17130 pep chromosome:PHallii_v3.1:3:6988619:6990503:-1 gene:PAHAL_3G109700 transcript:PAN17130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINGLRSKREEIEGLKSLVTNSREEKDMREMAAEELLEAVEEEKQLQHELFRALLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEIERQRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >PAN17133 pep chromosome:PHallii_v3.1:3:6988186:6991220:-1 gene:PAHAL_3G109700 transcript:PAN17133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHHLRRCGGGAFAALRRLRRIPSAAAAAPPATWRRPCPPPRLYSTAEMSQQLPANLVAMMEQRMKLIEQRSAYLQEQINQPAALPEEYSRANKEFRKLESTMELINGLRSKREEIEGLKSLVTNSREEKDMREMAAEELLEAVEEEKQLQHELFRALLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEIERQRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >PAN17132 pep chromosome:PHallii_v3.1:3:6988619:6990503:-1 gene:PAHAL_3G109700 transcript:PAN17132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINGLRSKREEIEGLKSLVTNSREEKDMREMAAEELLEAVEEEKQLQHELFRALLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEIERQRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >PAN16066 pep chromosome:PHallii_v3.1:3:1690543:1694934:-1 gene:PAHAL_3G030400 transcript:PAN16066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVALLRGPTNLASPASRGSASSSLRYLANADSDVLPGSGSPEDPAGSTGSRRLQERRGQEAAGGEEEEEEEERWSFLALLFELLRKSLLGCRTVGGGGGEGERGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKAWFREMPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLDWAVNLMADVVQEEQINKMNDRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLVQKTLKDRGESNPEDVLLPQKDPSDENGHQKPSVTLDSLLEDGSRRPSFAKEEPLLSSPAHSTGDKSNETNTTEGVTAQASEVVTSVEDSTSCLQPANASPTAIADASGANATNFLQGKGNRSLNRRRARKSKGQSGTRTTPAVEKSRGVSIVSRINSKVERIEAWR >PAN19771 pep chromosome:PHallii_v3.1:3:20410214:20414668:1 gene:PAHAL_3G298400 transcript:PAN19771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MRSPALDSATITTGHRVLSTSPSPSPTSTTRLSMDGGRAAAGGAGPSPVAASPHPTAPSSTPVDVSSFTHTPCYCEENIYMLCKELMRIGVADLMGTDLYVVFISNEEKKVPLWHQKASNSDDGFICWDYHVICIQSRRNKGEVLDLVWDLDSDLPFPSPFSQYVSDAIQPLAFGDSIYRRLFRVIHAPLFLQSFASDRSHMKDPAGNWIQLPPKYDPIVAADGTTNNLHEYIAMSVDDVADLESMVNDVYSNNHGVVLNETILPRFFSRLPHP >PVH62457 pep chromosome:PHallii_v3.1:3:20410214:20414668:1 gene:PAHAL_3G298400 transcript:PVH62457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MRSPALDSATITTGHRVLSTSPSPSPTSTTRLSMDGGRAAAGGAGPSPVAASPHPTAPSSTPVDVSSFTHTPCYCEENIYMLCKELMRIGVADLMGTDLYVVFISNEEKKVPLWHQKASNSDDGFICWDYHVICIQSRRNKGEVLDLVWDLDSDLPFPSPFSQYVSDAIQPLAFGDSIYRR >PAN19770 pep chromosome:PHallii_v3.1:3:20410214:20414668:1 gene:PAHAL_3G298400 transcript:PAN19770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MRSPALDSATITTGHRVLSTSPSPSPTSTTRLSMDGGRAAAGGAGPSPVAASPHPTAPSSTPVDVSSFTHTPCYCEENIYMLCKELMRIGVADLMGTDLYVVFISNEEKKVPLWHQKASNSDDGFICWDYHVICIQVKLNLIGVVFCFSLVCTANIKAAHCVSCSISCYFLMCIWKSRRNKGEVLDLVWDLDSDLPFPSPFSQYVSDAIQPLAFGDSIYRR >PAN16366 pep chromosome:PHallii_v3.1:3:3462922:3469271:-1 gene:PAHAL_3G055300 transcript:PAN16366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGDRRGGGPPCSDGGWETIGKKSKKPGQAAGRQWAPWGSTNAAPNTARSAMGGNGSSQPFGTSQAKSSHYGAANRGNPRPPPQTRAMERELQAPRPIVTPPLANGWQWQSRSRPSCSEGERDDVPPSGCDPEVEDVDGNYASDDDDDLSDDISDDDSDASEKSFETRKMNKWFKSFFEVLDALSVDQINEQTRQWHCPACKNGPGAIDWYKGLQPLMTHARTKGSTRVKLHRELAALLEEELSRRGTSVVPAGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEKDDDDKWKGMGNQELLDYFGEYAATKARHAYGPAGHRGMSVLIFESSAVGYMEAERLHRHFITQGTDRNTWQLRRVPFVPGGKRQLYGFLANREDMETFNKHCQGKSRLKYEMRSYNEMVVIPMKQMSEDNQQLNYLKNKVVKTEQRSKAVEDTLGVVTQRLRETMEENQFVRDKAKEKHLEYEQEMKYQEEFFHNQIESLHKITEAKEKEFEKLLQEERSKARRFDVDSGTTEDRRLRKEHVQKFIDGQVKDVAEFEAERDEVIKAHEEKKIKLKKEYMEKELELEKELDAALTGLMEKHKPDTFQASSS >PVH63085 pep chromosome:PHallii_v3.1:3:60410118:60411813:1 gene:PAHAL_3G469600 transcript:PVH63085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSRRPPLAAVILLLPAAIALLLSLSCCPVAAANYGGSRAVAAAAAGAAPVDSEWLRRRLEDEVAPELGGMLAQGANQRYITYKGLNKDGQACGGRGCAAKGASYTQACTYADLCRGAGG >PAN21845 pep chromosome:PHallii_v3.1:3:62512926:62520151:1 gene:PAHAL_3G494000 transcript:PAN21845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPARGGGGAYSCETAARTREWMEALAAFLGRHRPLLEAHVVNFFKDRMWELVDAEWMDCLRREPVESLLKLPSGCVQEHWPTTLREFVLTARSLVIPREQKAPQSLAPDIHVAPVGTVLAQGMNSKKKHEIENLAAVVHAIAKSCGAKTVVDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTVARAERIKKHYAAKCVEKQFLKVPRTVTCHVLSSDTLAAVTLDACKDDHGEHLRETKACTEKSPQIQEPTQSSPPLILAGLHACGDLSVNMLRVFVSCEQVKALVSVGCCYNLLSEDSYEGTNTCPGFPMSKAAKLSELVLGKSIRDLACQSAERWRSLTMDIALQNFDVHAFRAAFQMVLEKYFPEVSRLSPSIGRQGKALRRQRLRKVVESQMATEKIDDFSCSTLKEQNTNTDDVDSVIYGVDTGPDDIHHDECRKFSLFKDFTLSGLGRLGCGSVEDTSLLEIWKDVQPFSEYIGPFWCLRAALGPLVETYILLDRLLFLQEQSNLVEALLFPLFDPTMSPRNMAIIAWKLSANSSEA >PAN18770 pep chromosome:PHallii_v3.1:3:14606040:14613812:-1 gene:PAHAL_3G224600 transcript:PAN18770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNNRLLLRLRLAVGLLLLLLLLLLLPAASRARDTIAPGQPLRGNDTLVSSGTGSFALGFFSPPGSNNTYVGVWYAKLPVRTVVWVANRADPVRGAVGRSAAGATLSVSSTGCALAVADANGTVVWSASLPAGAAEGTCEARIQDDGNLVVSDQRGRVAWQGFDHPTDTLLPGMRLGVDFAAGKNMTLTAWASPSDPSPSPVVAAMDTSGDPEVFIWNGPTKVWRSGPWDGVQFTGVPDTVTYKSLGFSFRFVNDGQEATYSFHVRDASIVSRLALNSTAAGGGLLQRWTWLEAARAWNLYWYAPKDQCDAVSPCGPNGVCDPNAVPPCSCLRGFAPRSPATWALRDGRDGCARATPLDCANRTDGFAVLPHAKVPDTTLATVDFNASLEQCRQRCLRNCSCTAYASANLTGEPGRRGCVTWTGGLDDLRVYPGFGQDLYFRLAAADLASVSKSKKKVNIVVAIVVSITALAILLAIAGFIWKAKKTKPRKPGTWTAVSHSMEGSEGKNHGDDLELPLYDYETIAKATEGFSTENKLGEGGFGPVYKGKLEDGQEIAVKTLSRTSTQGLEEFKNEVLLIAKLQHRNLVRLIGCSISGPEKILIYEFMENKSLDYFLFDAAKSKLLDWQTRYRIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGSDDTEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIMLEIIAGKRNRGVYSYSGHLNLLAHAWSLLNEGKGLDLVDENLNGSFDSDEVLKCLKVGLLCVQENPDDRPLMSQVLTMLASTDTASLPTPKQPGFAARTAAAAEDTSWSKRDCSIVDSMTITMVEGR >PAN18772 pep chromosome:PHallii_v3.1:3:14606285:14613565:-1 gene:PAHAL_3G224600 transcript:PAN18772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNNRLLLRLRLAVGLLLLLLLLLLLPAASRARDTIAPGQPLRGNDTLVSSGTGSFALGFFSPPGSNNTYVGVWYAKLPVRTVVWVANRADPVRGAVGRSAAGATLSVSSTGCALAVADANGTVVWSASLPAGAAEGTCEARIQDDGNLVVSDQRGRVAWQGFDHPTDTLLPGMRLGVDFAAGKNMTLTAWASPSDPSPSPVVAAMDTSGDPEVFIWNGPTKVWRSGPWDGVQFTGVPDTVTYKSLGFSFRFVNDGQEATYSFHVRDASIVSRLALNSTAAGGGLLQRWTWLEAARAWNLYWYAPKDQCDAVSPCGPNGVCDPNAVPPCSCLRGFAPRSPATWALRDGRDGCARATPLDCANRTDGFAVLPHAKVPDTTLATVDFNASLEQCRQRCLRNCSCTAYASANLTGEPGRRGCVTWTGGLDDLRVYPGFGQDLYFRLAAADLASVSKSKKKVNIVVAIVVSITALAILLAIAGFIWKAKKTKPRKPGAGTWTAVSHSMEGSEGKNHGDDLELPLYDYETIAKATEGFSTENKLGEGGFGPVYKGKLEDGQEIAVKTLSRTSTQGLEEFKNEVLLIAKLQHRNLVRLIGCSISGPEKILIYEFMENKSLDYFLFDAAKSKLLDWQTRYRIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGSDDTEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIMLEIIAGKRNRGVYSYSGHLNLLAHAWSLLNEGKGLDLVDENLNGSFDSDEVLKCLKVGLLCVQENPDDRPLMSQVLTMLASTDTASLPTPKQPGFAARTAAAAEDTSWSKRDCSIVDSMTITMVEGR >PAN18894 pep chromosome:PHallii_v3.1:3:15182001:15184465:-1 gene:PAHAL_3G234000 transcript:PAN18894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGAQ >PAN16488 pep chromosome:PHallii_v3.1:3:4092909:4097604:-1 gene:PAHAL_3G064300 transcript:PAN16488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRTKTRKDSAFHVDFNILIQEISPWPPSESLKSLRSVVLFWENGERNSGKTSTVAPSIGSGSASGKIEFNEFITLQADFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDSSVPVPLNSRRSFKSNAQPMVYLRIQPLDGDNSSVSSRDALSKEASVDKDSKEFVSATMSEEYTEDTEFASFTDDDEEEVPYPHRSGGTVPTGSNRSQESLKGKDINLVGNEGTSSSYDSLREVASSSTKARSEEVAKYPTQVQKANGHPGNLSLSSDLPREQNPSLPPHNAFRSGRKMSFAYGMAESNQRHFGDRTYSTLTTDRARNMRFSMRVPDVNGSVTNKKVDPQKEEVKEVDSQDVAIAHENTASADDGLQVQEPIRISNNRNDSKVRELELKVELLEAELREAAAAEIGLYSIIAEHGSSVNKVHTPARRLSRHFVHALKNFSRDKMGSAARSATSGLVLAAKACGYDIARLSFWLSNCVVLRGIVTETSKQSGTANGINSAGYSSKTTYRKNSASMWESLNRKKGKLVSPEFDNWEDVDTFIAAIKKIESWIFSRIVETLWWQTFTPHMQSAYITSDLKTSSNAKRSYGRITVVGDQQQATISMDIWKKAFKEASERLCPVRAAGHECGCLPMLAKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRSLTDLFGMDMDDYPEVENADGENGFAESRKPFYLLNALSDLLMLPKDVLMDTSTRKELCPTFSSSIIKNILLGFAPDEFCPDPIQDSLLEALELEDHLEGNKGIHSIPCGASPILYSPPASGAILSVIGDPRKSGSAILRKSNTSDDELDELSSPLTFISNTSSNPLAKLKRISNSSTARYRLLHEVWKLDDQ >PAN16489 pep chromosome:PHallii_v3.1:3:4092909:4097604:-1 gene:PAHAL_3G064300 transcript:PAN16489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRTKTRKDSAFHVDFNILIQEISPWPPSESLKSLRSVVLFWENGERNSGKTSTVAPSIGSGSASGKIEFNEFITLQADFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDSSVPVPLNSRRSFKSNAQPMVYLRIQPLDGDNSSVSSRDALSKEASVDKDSKEFVSATMSEEYTEDTEFASFTDDDEEEVPYPHRSGGTVPTGSNRSQESLKGKDINLVGNEGTSSSYDSLREVASSSTKARSEEVAKYPTQVQKANGHPGNLSLSSDLPREQNPSLPPHNAFRSGRKMSFAYGMAESNQRHFGDRTYSTLTTDRARNMRFSMRVPDVNGSVTNKKVDPQKEEVKEVDSQDVAIAHENTASADDGLQVQEPIRISNNRNDSKVRELELKVELLEAELREAAAAEIGLYSIIAEHGSSVNKVHTPARRLSRHFVHALKNFSRDKMGSAARSATSGLVLAAKACGYDIARLSFWLSNCVVLRGIVTETSKQSGTANGINSAGYSSKTTYRKNSASMWESLNRKKGKLVSPEFDNWEDVDTFIAAIKKIESWIFSRIVETLWWQTFTPHMQSAYITSDLKTSSNAKRSYGRITVVGDQQQATISMDIWKKAFKEASERLCPVRAAGHECGCLPMLAKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRSLTDLFGMDMDDYPEVENADGENGFAESRKPFYLLNALSDLLMLPKDVLMDTSTRKELCPTFSSSIIKNILLGFAPDEFCPDPIQDSLLEALELEDHLEGNKGIHSIPCGASPILYSPPASGAILSVIGDPRKSGSAILRKSNTSDDELDELSSPLTFISNTSSNPLAKLKRISNSSTARYRLLHEVWKLDDQ >PAN16490 pep chromosome:PHallii_v3.1:3:4092696:4099218:-1 gene:PAHAL_3G064300 transcript:PAN16490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRTKTRKDSAFHVDFNILIQEISPWPPSESLKSLRSVVLFWENGERNSGKTSTVAPSIGSGSASGKIEFNEFITLQADFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDSSVPVPLNSRRSFKSNAQPMVYLRIQPLDGDNSSVSSRDALSKEASVDKDSKEFVSATMSEEYTEDTEFASFTDDDEEEVPYPHRSGGTVPTGSNRSQESLKGKDINLVGNEGTSSSYDSLREVASSSTKARSEEVAKYPTQVQKANGHPGNLSLSSDLPREQNPSLPPHNAFRSGRKMSFAYGMAESNQRHFGDRTYSTLTTDRARNMRFSMRVPDVNGSVTNKKVDPQKEEVKEVDSQDVAIAHENTASADDGLQVQEPIRISNNRNDSKVRELELKVELLEAELREAAAAEIGLYSIIAEHGSSVNKVHTPARRLSRHFVHALKNFSRDKMGSAARSATSGLVLAAKACGYDIARLSFWLSNCVVLRGIVTETSKQSGTANGINSAGYSSKTTYRKNSASMWESLNRKKGKLVSPEFDNWEDVDTFIAAIKKIESWIFSRIVETLWWQTFTPHMQSAYITSDLKTSSNAKRSYGRITVVGDQQQATISMDIWKKAFKEASERLCPVRAAGHECGCLPMLAKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAKLRMQMVKMALLNPESHSIS >PVH63035 pep chromosome:PHallii_v3.1:3:59597403:59597878:1 gene:PAHAL_3G458700 transcript:PVH63035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLPRVYTAASYALWWKPISLSVSSSLAFQARGACRSPYSAFQRRSTLFSLPAMTWPGGCRTYTSSFKSPLRNADFTSMWWTHHPF >PVH61517 pep chromosome:PHallii_v3.1:3:3296428:3297557:1 gene:PAHAL_3G052400 transcript:PVH61517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREFSWEDTKLTKETNLRYREPATLRILVTVVKGTLRSRSRFTTRKLFKSSPSVYNSQFRIS >PAN16323 pep chromosome:PHallii_v3.1:3:3358755:3360966:-1 gene:PAHAL_3G053700 transcript:PAN16323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLDCFGFIARKASKSSTQKEDGDTMKQKENDVAKEASSPKAGEKKGGKAVEKKSKDTGAPLVVPHFPQRSTPGLL >PAN21697 pep chromosome:PHallii_v3.1:3:61641989:61644013:-1 gene:PAHAL_3G483800 transcript:PAN21697 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MTVCRQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASELQLKAIRSTIEDNAATCSMFYSRLAPSHIFEAKQPLWKLPLNVLNDKIVLCVSAIGCPNAFIHTVREMGPLKIDRMDFSDHHFFNAHDLKIIQETVRNLMDQHGKDVIILITEKDYDRDPEALTTLDAKVWVLSSSLQIIPHKEHGEDEFMRKVKEILAITGRSKSHAVDWTPS >PVH63159 pep chromosome:PHallii_v3.1:3:61641989:61644013:-1 gene:PAHAL_3G483800 transcript:PVH63159 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MTVCRQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASELQLKAIRSTIEDNAATCSMFYSRLAPSHIFEAKQPLWKLPLNVLNDKIVLCVSAIGCPNAFIHTVREMGPLKIDRMDFSDHHFFNAHDLKIIQETVRNLMDQHGKDVIILITEKDYDRDPEALTTLDAKVWVLSSSLQIIPHKEHGEDEFMRKVKEILAITGRSKSHAVDWTPS >PAN21706 pep chromosome:PHallii_v3.1:3:61641989:61644013:-1 gene:PAHAL_3G483800 transcript:PAN21706 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MTVCRQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASELQLKAIRSTIEDNAATCSMFYSRLAPSHIFEAKQPLWKLPLNVLNDKIVLCVSAIGCPNAFIHTVREMGPLKIDRMDFSDHHFFNAHDLKIIQETVRNLMDQHGKDVIILITEKDYDRDPEALTTLDAKVWVLSSSLQIIPHKEHGEDEFMRKVKEILAITGRSKSHAVDWTPS >PAN21698 pep chromosome:PHallii_v3.1:3:61640287:61644707:-1 gene:PAHAL_3G483800 transcript:PAN21698 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MLRRRLSDTSAKIGVGANRAAVASSMLRTYGYIHHSKTFCAEKKPSSTSKLESGIIGVAILDDGMQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASELQLKAIRSTIEDNAATCSMFYSRLAPSHIFEAKQPLWKLPLNVLNDKIVLCVSAIGCPNAFIHTVREMGPLKIDRMDFSDHHFFNAHDLKIIQETVRNLMDQHGKDVIILITEKDYDRDPEALTTLDAKVWVLSSSLQIIPHKEHGEDEFMRKVKEILAITGRSKSHAVDWTPS >PAN21704 pep chromosome:PHallii_v3.1:3:61641989:61644197:-1 gene:PAHAL_3G483800 transcript:PAN21704 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MLRRRLSDTSAKIGVGANRAAVASSMLRTYGYIHHSKTFCAEKKPSSTSKLESGIIGVAILDDGMQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASELQLKAIRSTIEDNAATCSMFYSRLAPSHIFEAKQPLWKLPLNVLNDKIVLCVSAIGCPNAFIHTVREMGPLKIDRMDFSDHHFFNAHDLKIIQETVRNLMDQHGKDVIILITEKDYDRDPEALTTLDAKVWVLSSSLQIIPHKEHGEDEFMRKVKEILAITGRSKSHAVDWTPS >PAN21699 pep chromosome:PHallii_v3.1:3:61640287:61644754:-1 gene:PAHAL_3G483800 transcript:PAN21699 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEEKARQLVARLAATPDSAVRDLPFVHRALTVPLLSAASAALRLTLLLSRLRPRRDLPVPVVSVGNLTWGGNGKTPMVDFLARSFHRLGMSPLILTRGYAGGDEPKMLRRRLSDTSAKIGVGANRAAVASSMLRTYGYIHHSKTFCAEKKPSSTSKLESGIIGVAILDDGMQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASELQLKAIRSTIEDNAATCSMFYSRLAPSHIFEAKQPLWKLPLNVLNDKIVLCVSAIGCPNAFIHTVREMGPLKIDRMDFSDHHFFNAHDLKIIQETVRNLMDQHGKDVIILITEKDYDRDPEALTTLDAKVWVLSSSLQIIPHKEHGEDEFMRKVKEILAITGRSKSHAVDWTPS >PAN21705 pep chromosome:PHallii_v3.1:3:61640287:61644707:-1 gene:PAHAL_3G483800 transcript:PAN21705 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEEKARQLVARLAATPDSAVRDLPFVHRALTVPLLSAASAALRLTLLLSRLRPRRDLPVPVVSVGNLTWGGNGKTPMVDFLARSFHRLGMSPLILTRGYAGGDEPKMLRRRLSDTSAKIGVGANRAAVASSMLRTYGYIHHSKTFCAEKKPSSTSKLESGIIGVAILDDGMQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASELQLKAIRSTIEDNAATCSMFYSRLAPSHIFEAKQPLWKLPLNVLNDKIVLCVSAIGCPNAFIHTVREMGPLKIDRMDFSDHHFFNAHDLKIIQETVRNLMDQHGKDVIILITEKDYDRDPEALTTLDAKVWVLSSSLQIIPHKEHGEDEFMRKVKEILAITGRSKSHAVDWTPS >PAN21696 pep chromosome:PHallii_v3.1:3:61641989:61644013:-1 gene:PAHAL_3G483800 transcript:PAN21696 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MTVCRQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASELQLKAIRSTIEDNAATCSMFYSRLAPSHIFEAKQPLWKLPLNVLNDKIVLCVSAIGCPNAFIHTVREMGPLKIDRMDFSDHHFFNAHDLKIIQETVRNLMDQHGKDVIILITEKDYDRDPEALTTLDAKVWVLSSSLQIIPHKEHGEDEFMRKVKEILAITGRSKSHAVDWTPS >PVH62660 pep chromosome:PHallii_v3.1:3:37067612:37068384:-1 gene:PAHAL_3G361200 transcript:PVH62660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNMKLELWRHLKWQQASGVLCDKNVPQRLKGKFYRTAIRPAMLYSAKCWSTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRMPPEAPVRSGVLKRGDNVRRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSVWRLAINVPEP >PAN18708 pep chromosome:PHallii_v3.1:3:14282975:14287925:1 gene:PAHAL_3G220000 transcript:PAN18708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MAAKQAAPGEALAAQINAMSRSEMYDMMSKMKTMIDHDQETVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQSSDMVQPAAASAAPSSVKVTVPDHVSLPPPPLPANQQSVAQHSTPFPSGPSNAGSTMDLPTMSANAQSAQTKGYPIHQMPSSAPQSSQHPNMSLPHAPPQYSNLQSHVPIVHSQPQQPLQTPGIFNQQLQPPLPPMPRPQSMQSFAHQMHQQVPNSFGLTHGNAPQHILQQPMFHPGGNPQTSFLAGQPPLPSQPPPPQLYQASSHYNTQSTAPMQGDRSAPWGRGPEAPAAGSHFPGQLPGLPLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQVLQLRDMLRQ >PAN18709 pep chromosome:PHallii_v3.1:3:14282975:14287925:1 gene:PAHAL_3G220000 transcript:PAN18709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MAAKQAAPGEALAAQINAMSRSEMYDMMSKMKTMIDHDQETVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQSSDMVQPAAASAAPSSVKVTVPDHVSLPPPPLPANQQSVAQHSTPFPSGPSNAGSTMDLPTMSANAQSAQTKGYPIHQMPSSAPQSSQHPNMSLPHAPPQYSNLQSHVPIVHSQPQQPLQTPGIFNQQLQPPLPPMPRPQSMQSFAHQMHQQVPNSFGLTHGNAPQHILQQPMFHPGGNPQTSFLAGQPPLPSQPPPPQLYQQASSHYNTQSTAPMQGDRSAPWGRGPEAPAAGSHFPGQLPGLPLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQVLQLRDMLRQ >PAN21123 pep chromosome:PHallii_v3.1:3:58097057:58097868:1 gene:PAHAL_3G443000 transcript:PAN21123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27695) UniProtKB/Swiss-Prot;Acc:Q8VY77] MLLVCFSTTGMPLNMFGLGIGGGCGVGLGLGWGFGNAYGCQYRSSRVQFQGIEFQKKAQGDEAPKVVSSEIAEKSRPYG >PVH62973 pep chromosome:PHallii_v3.1:3:58095853:58097868:1 gene:PAHAL_3G443000 transcript:PVH62973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27695) UniProtKB/Swiss-Prot;Acc:Q8VY77] MVVSTFTGPGIGIGFGVGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGNAYGCQYRSSRVQFQGIEFQKKAQGDEAPKVVSSEIAEKSRPYG >PAN16993 pep chromosome:PHallii_v3.1:3:6377960:6388871:-1 gene:PAHAL_3G100500 transcript:PAN16993 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANMPVSEMRLPPHLAYLLAARRLDTAKDVLSLPEVELMAVLDAGVPTARAAVAHVSEAACPPCQTALALLEERVRLGGGGRLATTLCGLDEALGGGIPMGKLTEVVGPSGIGKTQFCLKLALLAALPEYYGGLDGRVVYIDTESKFSSRRMIEIGQKNFPQIFRQEGLAQKMAGRILVMRPASLADFTKSLEQMKVTLLQHDVKLLIVDSMAALMSLGNEKATAGFRQHPLRWTLSFLKSIAEFSRIPVVVTNQVRSQSNDDGYHFSFEVDRKDGNNCAERFDSHLIAALGIQWAHAVTVRLVFESHSGHRFIKVAKSPMSPAVAFPFAVESSGITLLSDEGIDVTGPEITSIRCQGQNILSR >PAN16994 pep chromosome:PHallii_v3.1:3:6381481:6388871:-1 gene:PAHAL_3G100500 transcript:PAN16994 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MGKLTEVVGPSGIGKTQFCLKLALLAALPEYYGGLDGRVVYIDTESKFSSRRMIEIGQKNFPQIFRQEGLAQKMAGRILVMRPASLADFTKSLEQMKVTLLQHDVKLLIVDSMAALMSLGNEKATAGFRQHPLRWTLSFLKSIAEFSRIPVVVTNQVRSQSNDDGYHFSFEVDRKDGNNCAERFDSHLIAALGIQWAHAVTVRLVFESHSGHRFIKVAKSPMSPAVAFPFAVESSGITLLSDEGIDVTGPEITSIRCQGQNILSR >PVH61701 pep chromosome:PHallii_v3.1:3:6381481:6388871:-1 gene:PAHAL_3G100500 transcript:PVH61701 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MGKLTEVVGPSGIGKTQFCLKLALLAALPEYYGGLDGRVVYIDTESKFSSRRMIEIGQKNFPQIFRQEGLAQKMAGRILVMRPASLADFTKSLEQMKVTLLQHDVKLLIVDSMAALMSLGNEKATAGFRQHPLRWTLSFLKSIAEFSRIPVVVTNQVRSQSNDDGYHFSFEVDRKDGNNCAERPQIHQGGQITYVSGSSISICC >PAN16996 pep chromosome:PHallii_v3.1:3:6381481:6388871:-1 gene:PAHAL_3G100500 transcript:PAN16996 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANMPVSEMRLPPHLAYLLAARRLDTAKDVLSLPEVELMAVLDAGVPTARAAVAHVSEAACPPCQTALALLEERVRLGGGGRLATTLCGLDEALGGGIPMGKLTEVVGPSGIGKTQFCLKLALLAALPEYYGGLDGRVVYIDTESKFSSRRMIEIGQKNFPQIFRQEGLAQKMAGRILVMRPASLADFTKSLEQMKVTLLQHDVKLLIVDSMAALMSLGNEKATAGFRQHPLRWTLSFLKSIAEFSRIPVVVTNQVRSQSNDDGYHFSFEVDRKDGNNCAERFDSHLIAALGIQWAHAVTVRLVFESHSGHRFIKVAKSPMSPAVAFPFAVESSGITLLSDEGIDVTGPEITSIRCQGQNILSR >PVH61700 pep chromosome:PHallii_v3.1:3:6385003:6388278:-1 gene:PAHAL_3G100500 transcript:PVH61700 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MGKLTEVVGPSGIGKTQFCLKLALLAALPEYYGGLDGRVVYIDTESKFSSRRMIEIGQKNFPQIFRQEGLAQKMAGRILVMRPASLADFTKSLEQMKVTLLQHDVKLLIVDSMAALMSLGNEKATAGFRQHPLRWTLSFLKSIAEFSRIPVVVTNQVRSQSNDDGYHFSFEVDRKDGNNCAERPQIHQGGQITYVSGSSISICC >PAN18249 pep chromosome:PHallii_v3.1:3:12397793:12399533:1 gene:PAHAL_3G189200 transcript:PAN18249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGQSQGTGMPSSQPPPHVLLVSFPLQGHVNPLLRLGARLAARGLLVTFTTFRHAGLRDPRDDGGACVVAGRLRFEYLRRSPEDDDPGRYQDPSDMLRHVADEGPAALAGLIRRQARAGRPVACVVNNPFVPWAHDVAGGMGIPCAMLWIQSCAVLSLYRHFYSSPEAFPSEADPDAPVAVPGLPAVAADELPLMVRPEYARNLWGDMLRAQLGGVGKTVSWVLVNTFYGLERSAIEALRPHTPVAPVGPLLEHDHHYGDDDDPAAADDDDDGCVAWLDAQPPRSVVYVAFGSLVNIGRGEMLAVAEGLAGAGRPFLWVVRDDSRELLPEGALAACSGRGKIVAWCPQGRVLGHGAVGCFVTHCGWNSVAEALAAGVPMVGYPWWSDQFTNAKLLVEEYKVGVRLPTPATRDALRGCVDEVMSGPQAAAFRMRAMAWKEEATASVADGGSSDRNLQAFVEDIRRCHEKRSEGKTFCL >PAN20667 pep chromosome:PHallii_v3.1:3:34030611:34032420:-1 gene:PAHAL_3G352300 transcript:PAN20667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLAGIENEVQRAYMADYLDKNGRTVFVIMTSIKSLTSAKEHIRQLVYNLENLAMNSEGAQEGNVVWMCNFSGWTPLSTPLWETRESLHIIQRYYPGLIGAAILSNPPKIFESFWKIVKQFLEPTLQEKIKFIYSNNAESQRIMADMFDMDKLESAFGGRNTASLDITKYAERMRRRDQLRRTCNHANRNT >PAN20666 pep chromosome:PHallii_v3.1:3:34029657:34032956:-1 gene:PAHAL_3G352300 transcript:PAN20666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLFQTRREATQQKPESSAEQQRKIDDVRELLGDLATEMPSFLSDGTIRRFLLSRNWSTKKAAKALKEAVKWRRQFKPETICWEDLAGIENEVQRAYMADYLDKNGRTVFVIMTSIKSLTSAKEHIRQLVYNLENLAMNSEGAQEGNVVWMCNFSGWTPLSTPLWETRESLHIIQRYYPGLIGAAILSNPPKIFESFWKIVKQFLEPTLQEKIKFIYSNNAESQRIMADMFDMDKLESAFGGRNTASLDITKYAERMRRRDQLRRTCNHANRNT >PVH63161 pep chromosome:PHallii_v3.1:3:61635481:61641584:1 gene:PAHAL_3G483700 transcript:PVH63161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRSSSEESDVKLKPPVSSEEGMEGSRPRRGSPARVSTVPIAVTPEGFWCCPSPAALHKSLKNPHHHHAGGGGNKQAPQHHKTPSAPPSKAPSVQTAPSVTDEPPAPADEPRHQDQAAAEAPAPAGGQQEPQHKICVGFGRPETSDLTVMLYGKEGIAVRMGVHREVLCQSSAFFASRLAGGHGPPAPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCVKSCLEYLEAVPWVGEEEDNVVASIRHLQSKNYGVSPLLRRISSENLNSPGDTLANIMEMVLTSTDDRGRREMKALVLNLLKDSSHCTDGSSDICSETLYSSCRGCLDRLHRLFTEASEEDYSVNVTRRITLETDNLLWLVEILVTQRICDGFVVMWSSQTELAELHPKLPAASRYTVSCITARLFVGIGRGEMLPSKNTRLSLLQVWLQPLIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRSMLLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNEAEAGNVLSSDRSSS >PVH63160 pep chromosome:PHallii_v3.1:3:61638557:61641585:1 gene:PAHAL_3G483700 transcript:PVH63160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRSSSEESDVKLKPPVSSEEGMEGSRPRRGSPARVSTVPIAVTPEGFWCCPSPAALHKSLKNPHHHHAGGGGNKQAPQHHKTPSAPPSKAPSVQTAPSVTDEPPAPADEPRHQDQAAAEAPAPAGGQQEPQHKICVGFGRPETSDLTVMLYGKEGIAVRMGVHREVLCQSSAFFASRLAGGHGPPAPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCVKSCLEYLEAVPWVGEEEDNVVASIRHLQSKNYGVSPLLRRISSENLNSPGDTLANIMEMVLTSTDDRGRREMKALVLNLLKDSSHCTDGSSDICSETLYSSCRGCLDRLHRLFTEASEEDYSVNVTRRITLETDNLLWLVEILVTQRICDGFVVMWSSQTELAELHPKLPAASRYTVSCITARLFVGIGRGEMLPSKNTRLSLLQVWLQPLIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRSMLLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNEAEAGNVLSSDRSSS >PAN21688 pep chromosome:PHallii_v3.1:3:61635484:61641584:1 gene:PAHAL_3G483700 transcript:PAN21688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRPRRGSPARVSTVPIAVTPEGFWCCPSPAALHKSLKNPHHHHAGGGGNKQAPQHHKTPSAPPSKAPSVQTAPSVTDEPPAPADEPRHQDQAAAEAPAPAGGQQEPQHKICVGFGRPETSDLTVMLYGKEGIAVRMGVHREVLCQSSAFFASRLAGGHGPPAPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCVKSCLEYLEAVPWVGEEEDNVVASIRHLQSKNYGVSPLLRRISSENLNSPGDTLANIMEMVLTSTDDRGRREMKALVLNLLKDSSHCTDGSSDICSETLYSSCRGCLDRLHRLFTEASEEDYSVNVTRRITLETDNLLWLVEILVTQRICDGFVVMWSSQTELAELHPKLPAASRYTVSCITARLFVGIGRGEMLPSKNTRLSLLQVWLQPLIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRSMLLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNEAEAGNVLSSDRSSS >PAN21694 pep chromosome:PHallii_v3.1:3:61635481:61641584:1 gene:PAHAL_3G483700 transcript:PAN21694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRSSSEESDVKLKPPVSSEEGMEGSRPRRGSPARVSTVPIAVTPEGFWCCPSPAALHKSLKNPHHHHAGGGGNKQAPQHHKTPSAPPSKAPSVQTAPSVTDEPPAPADEPRHQDQAAAEAPAPAGGQQEPQHKICVGFGRPETSDLTVMLYGKEGIAVRMGVHREVLCQSSAFFASRLAGGHGPPAPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCVKSCLEYLEAVPWVGEEEDNVVASIRHLQSKNYGVSPLLRRISSENLNSPGDTLANIMEMVLTSTDDRGRREMKALVLNLLKDSSHCTDGSSDICSETLYSSCRGCLDRLHRLFTEASEEDYSVNVTRRITLETDNLLWLVEILVTQRICDGFVVMWSSQTELAELHPKLPAASRYTVSCITARLFVGIGRGEMLPSKNTRLSLLQVWLQPLIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRSMLLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNEAEAGNVLSSDRSSS >PAN21687 pep chromosome:PHallii_v3.1:3:61638738:61641584:1 gene:PAHAL_3G483700 transcript:PAN21687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRPRRGSPARVSTVPIAVTPEGFWCCPSPAALHKSLKNPHHHHAGGGGNKQAPQHHKTPSAPPSKAPSVQTAPSVTDEPPAPADEPRHQDQAAAEAPAPAGGQQEPQHKICVGFGRPETSDLTVMLYGKEGIAVRMGVHREVLCQSSAFFASRLAGGHGPPAPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCVKSCLEYLEAVPWVGEEEDNVVASIRHLQSKNYGVSPLLRRISSENLNSPGDTLANIMEMVLTSTDDRGRREMKALVLNLLKDSSHCTDGSSDICSETLYSSCRGCLDRLHRLFTEASEEDYSVNVTRRITLETDNLLWLVEILVTQRICDGFVVMWSSQTELAELHPKLPAASRYTVSCITARLFVGIGRGEMLPSKNTRLSLLQVWLQPLIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRSMLLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNEAEAGNVLSSDRSSS >PAN21691 pep chromosome:PHallii_v3.1:3:61635481:61641584:1 gene:PAHAL_3G483700 transcript:PAN21691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTALKNSDGSSEESDVKLKPPVSSEEGMEGSRPRRGSPARVSTVPIAVTPEGFWCCPSPAALHKSLKNPHHHHAGGGGNKQAPQHHKTPSAPPSKAPSVQTAPSVTDEPPAPADEPRHQDQAAAEAPAPAGGQQEPQHKICVGFGRPETSDLTVMLYGKEGIAVRMGVHREVLCQSSAFFASRLAGGHGPPAPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCVKSCLEYLEAVPWVGEEEDNVVASIRHLQSKNYGVSPLLRRISSENLNSPGDTLANIMEMVLTSTDDRGRREMKALVLNLLKDSSHCTDGSSDICSETLYSSCRGCLDRLHRLFTEASEEDYSVNVTRRITLETDNLLWLVEILVTQRICDGFVVMWSSQTELAELHPKLPAASRYTVSCITARLFVGIGRGEMLPSKNTRLSLLQVWLQPLIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRSMLLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNEAEAGNVLSSDRSSS >PAN16913 pep chromosome:PHallii_v3.1:3:6090085:6093895:-1 gene:PAHAL_3G095900 transcript:PAN16913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDDGPAAAGGGVGAGRKFWRSASWSASRAAAEPPPDAAAAPGAGGQARRVPPPPPLTPRSMSAKARSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGASKVDGGPASAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCHPVMEKDARAAAFQVVRYEKVQGHIKVVREGLEPQEFWDSFSSTPLNSDSNTKVSKDQIDSASKNNPGSRKVESYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRHKFISRSLARVYSDSALIRDFDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPSNSSPPSFGLSPASSNLPHALVPSSRSPLSQSSNEETSKPGLESTRSPSKTSSIAERRGGFTLLKLPSLQKELVLPPRVPSSIRRTEEATDKSSTNGVKQLTGVSCSEKCTGNSSSSHSETRLIERSDGNSEACSNAQLLVYQWPSMEKLTTFARKDLDPKSVLIFVALNASKREAVKMVYVWVGGENESSKSVDAVDWQQVTGDFLHLKGLSDALPVKVFKEHETENLLEVLNVSKH >PAN16912 pep chromosome:PHallii_v3.1:3:6089415:6094263:-1 gene:PAHAL_3G095900 transcript:PAN16912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDDGPAAAGGGVGAGRKFWRSASWSASRAAAEPPPDAAAAPGAGGQARRVPPPPPLTPRSMSAKARSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGASKVDGGPASAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCHPVMEKDARAAAFQVVRYEKVQGHIKVVREGLEPQEFWDSFSSTPLNSDSNTKVSKDQIDSASKNNPGSRKVESYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRHKFISRSLARVYSDSALIRDFDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSVSSKSPLSQSSNEETSKPGLESTRSPSKTSSIAERRGGFTLLKLPSLQKELVLPPRVPSSIRRTEEATDKSSTNGVKQLTGVSCSEKCTGNSSSSHSETRLIERSDGNSEACSNAQLLVYQWPSMEKLTTFARKDLDPKSVLIFVALNASKREAVKMVYVWVGGENESSKSVDAVDWQQVTGDFLHLKGLSDALPVKVFKEHETENLLEVLNVSKH >PAN16914 pep chromosome:PHallii_v3.1:3:6090085:6093895:-1 gene:PAHAL_3G095900 transcript:PAN16914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDDGPAAAGGGVGAGRKFWRSASWSASRAAAEPPPDAAAAPGAGGQARRVPPPPPLTPRSMSAKARSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGASKVDGGPASAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCHPVMEKDARAAAFQVVRYEKVQGHIKVVREGLEPQEFWDSFSSTPLNSDSNTKVSKDQIDSASKNNPGSRKVESYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRHKFISRSLARVYSDSALIRDFDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPSNSSPPSFGLSPASSNLPHALVPSSRSPLSQSSNEETSKPGLESTRSPSKTSSIAERRGGFTLLKLPSLQKELVLPPRVPSSIRRTEEATDKSSTNGVKQLTGVSCSEKCTGNSSSSHSETRLIERSDGNSEACSNAQLLVYQWPSMEKLTTFARKDLDPKSVLIFVALNASKREAVKMVYVWVGGENESSKSVDAVDWQQVTGDFLHLKGLSDALPVKVFKEHETENLLEVLNVSKH >PAN16992 pep chromosome:PHallii_v3.1:3:6360048:6363737:-1 gene:PAHAL_3G100400 transcript:PAN16992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLNVAEVAPEKTAAMAASDSGSSESSVLNAEASGGGAAPAEEGSSSTPPPPAVLEFSILRSESDAAGADDDDDATPSPPHHHQQQQPQLITRELFPPAAGPPRPQPQHWADLGFFRAEPPRPQPDIRILPHPHATPPAPPPVQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDMKQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYDGELLSEVGTEAGADVDLNLSISQPASQSPKRDKNSLGLQIHHGSFEGAELKRTKVDTPPELAGRPHRFPVMTEHPPIWPAQSHPFFTNHESASRHLNRRPAEGGTGGVPSWAWKVTAPPPTLPLPLFSSSSSSAAASSGFSNTATTAALATPSASLRFDPPSSSSHQR >PAN17013 pep chromosome:PHallii_v3.1:3:6359688:6364313:-1 gene:PAHAL_3G100400 transcript:PAN17013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLNVAEVAPEKTAAMAASDSGSSESSVLNAEASGGGAAPAEEGSSSTPPPPAVLEFSILRSESDAAGADDDDDATPSPPHHHQQQQPQLITRELFPPAAGPPRPQPQHWADLGFFRAEPPRPQPDIRILPHPHATPPAPPPVQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDMKQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELLSEVGTEAGADVDLNLSISQPASQSPKRDKNSLGLQIHHGSFEGAELKRTKVDTPPELAGRPHRFPVMTEHPPIWPAQSHPFFTNHESASRHLNRRPAEGGTGGVPSWAWKVTAPPPTLPLPLFSSSSSSAAASSGFSNTATTAALATPSASLRFDPPSSSSHQR >PAN21177 pep chromosome:PHallii_v3.1:3:58458449:58461410:1 gene:PAHAL_3G447600 transcript:PAN21177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSDRSVRLTIEAQPSDPAGARGFLAGTPFEPQLSGSPSRPSVAAADGGEGDDTNNEASCGARGRMDDGAVAAAGPERRLTLLALRLAVLEKAASGLGALGFIWATVVLLGGFAITLERVDFWSVTAILLVEGARIFSRSHELEWQHQATWSLAAAGRSSARLVARSFRLVFRTGGCGTGKAVAAGGGGAAASCGRGRRRGGWTCTTWGPWSWSWSWSFLSGHVGRLFYWLQLASATACVALSGVRLARQDFGEAVDARTNRRSALDIFYGLALAEALLFLAEKAAWEWEISHGRLLERVAAECRLSGAPGLVAIRRFFYDAYSRCIEGSIFDGLRMDLVSFAEELIVGGSRDEQRIGVGILVNVAASPRLGDDALRRVGTSPAVVERLVEMLSWKGAAERGARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAADEECNLLGLLIIKKLARDHDNCSKIGSARGLLDKIIDFSAIGGGASPSSSPATGPASTLVTASRAKAVQRSLQVIKMLAETTGSTGKQLRREVAEIVFTVSNIRAVLQHAPAGHAGLRRLGAEVLTRLAMDADAREQIGSTGGVVAILLDMFLRLGAADAARAEAGEALAMLALESPRNCERILRAGGGASTVDRLVDALEDAAIGVGAGRVLTNLCAYAGGSGELFPQLRRATRGAAAALRGVAASDEPKPLEVSLGLAAQLVRLMGPRELARHLAAAGVTEAGLASRLAVVLAAHACPSIRAPRVRRFAVELVIALLRAAAPAMAGPMAAAGMGAELRRMAETTSELECFHVFSGSAGLSRHAVGLAALVDAALELIGAAADAEAEPAAHV >PAN19541 pep chromosome:PHallii_v3.1:3:18877355:18880091:-1 gene:PAHAL_3G281200 transcript:PAN19541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAVGGNGGDRDGEHKKRKKGGFKTMPFILGNDVCDRFATAGFGANLITYLTQQLHLPLVDASNLLTNFGGTSSLTPILGALAADSFAGRFWTIIAGSVFYQLGMLGLVVSALLPSLRPPPCPAPPGGPACRRASGWQLGVLYLSLLCTSLGSGGLRPCVVAFGTDQFDQEPPEEHQKQQEKQGTSGAEAVAERKRRYFNLYFFTMGVAALLAVTVVVYIQDNVGWGWGFGIPAVAMLVSIVVFVVGYPLYVRLKPGGSPFTRLAQVAAAAFRKRNVAAPEDAGMLYQDKELDALISTNGRLLHTDQLAFLDRAAIVTPGDISTSGQPDLWRLSTVHRVEELKSIVRMLPIWSAGILLATAGSHNGSFTIMQARTMDRRVTRRFEIPPATMSIFGTAAMLVSLALYDRAFVPLARRVTGLPSGITYFQRMGVGLAISILGVASAALVETKRRDAAVRHGLLDDPAAAVPLSVFWLVPQFAIHGVAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSIGHYLGTVLVTAVQRATRGRGEWLQDNINRGRIDSYYWLVTCLMVLNLGYYIVCFHFYTMKPLEVADEHGDHDKECELSSINKNGAGAGGLV >PAN17545 pep chromosome:PHallii_v3.1:3:8960079:8961563:-1 gene:PAHAL_3G139100 transcript:PAN17545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEHHQQHFLIVTYPAQGHITPARHLARRLAGACPGARVTICAPLSAFRRMFPGAAAVAGEERVDDGDEQVAYVAYSDGYDGGFDSAADSYARYMERARAAGERSLAAVLRRLRAGGRPVTCAVYTLLLPWVAGVAREHGVAATAVFWIQPATALAAYYHYFRGHRDAVAAAAASGDPGAAVRLPGLPPLRVRDLPSFLAITDEDNPFAFVLPEFRELIDALERGDDSSRPQPTYVLANTFDALEHDALASLRPHVDVFAVGPVLSFLHEADDAKRTLSPPRDVFDHDRNGYLNWLDSKPGKSVVYISFGSSSVMSKNQVAEISDAIARMKRPFLWVLRKDNCKDNEDDAAIKSLAAAAGAAGTVVEWCDQARVLSHPSVACFVTHGGWNSTLEGVACGVPLVVAPQYSDQGTSAWLVAERAGAGVRAAAREADGVVEAAEMARCVAAATSGAVASRAAAWREEVRAAVEGDGGSDRSLTEFLRRIVADGAGN >PAN21510 pep chromosome:PHallii_v3.1:3:60623492:60624848:1 gene:PAHAL_3G472700 transcript:PAN21510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQATNRPSVACAEPGAGGRRDRGRWCVRRAEAKLRAASKRRARSGRPLVHAAGGGGARRPASTVAERAAAASADACGGRKRSGWPTPVLAEGGGAAAVVGEDDARRPVGTATKQDAAWLGGDDMARSSEWMLSREVGWGTVVTSVWLGRATLSSSHRRRPRRAGHHCWCPAWPHIAARLDAGARPRGSAAAGVHQRSTGNGADSASSEARIRAREPEEGDVMGTGNGMMLLR >PAN17704 pep chromosome:PHallii_v3.1:3:9729902:9730716:1 gene:PAHAL_3G150700 transcript:PAN17704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGDGQMQMGKKEAGMEVVWGRRRAAPPLEEDGDEVERSRATKVKNDPLSVYEATLLKLRHGSVQAFTAAPPDGTNGGGDDGAASARSKQTD >PVH63039 pep chromosome:PHallii_v3.1:3:59668594:59671576:-1 gene:PAHAL_3G459400 transcript:PVH63039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAGAYHRIKNVLEESMSGLRHSDNMPSEKKRRLELIRRDLREGLDWDAAKTSSSGSRGQNQNDPVLKEVAEFAGEIVEEAKAVSHNKEEVQRVAQLVQKVIYLLPHLQPPLLTQNQATITKLLDNLKGAHQTITQQHRPLHCGPSALVPSSFWRQQAKRIAELGNTIEEVYQTLTLKVVRHITANA >PAN16918 pep chromosome:PHallii_v3.1:3:6106140:6109534:-1 gene:PAHAL_3G096200 transcript:PAN16918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVCVKGAVGAPDTLGDCPFSQRVLLTLEEKKVPYEMKLVDLSNKPEWFLKISPEGKVPVFNSGDGKWIADSDVITQVIEEKFPTPSLVTPPEYASVGSKIFPSFVKFLKSKDASDGSEKALLDELQALDEHLKAHGPYINGENVSAADLSLGPKLFHLQVALEHFKGWKIPENLTSVHAYTKALFSRESFVKTKPAKEHLIAGWAPKVNA >PAN17734 pep chromosome:PHallii_v3.1:3:9811140:9812162:-1 gene:PAHAL_3G152500 transcript:PAN17734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSCNGCRVLRKGCTDACTIRPCLQWIKAPDAQANATVFLAKFYGRAGLLNLIDAAPDDALRPAVFRSLLYEACGRIVNPVYGSVGLLWSGKWHLCQAAVEAVLRGAPIVQISDEDAAAASPAHLHKAAAASCYDIRHVAAKAKPAAAASVAADDAAAAPAASSAPADDTQSSKGGSVLRKVAKPGRTRFKRASSSSSPPSKRQAAKRSKPSGTDDDDDDLVMADRRHHDEEQHVQQMMADHASSDDDTHHHQEASAASLDTDAEAASHVSQAEQTPAAEEDQLQEEQQQPGLDLTLGFGLFAPVAAAARPPTPPADAGCSRGAWSAAEEPAAVGFQFL >PAN16791 pep chromosome:PHallii_v3.1:3:5627132:5628670:-1 gene:PAHAL_3G086900 transcript:PAN16791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWSASTAAVLGAGLLLCVMALAASAQPLQPGNMQVITMEGKRNSKFTCADARKNSNRPGCTATCPNRCPKKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDRDFCILSDAGLHINAHFIGKRNPTMSRDFTWIQALGVRFAHHHLYVGAARTARWDAAADHLALAFDDEDVALPAAAGTRWSPPTAPALSVTRTAHANTVVVELRGVFRIMANVVPITAEDSRVHGYGVTDDDSLAHLDLGFKFYDLTDDVHGVLGQTYRTDYVNRLNVTAKMPVMGGADTFLSSGLFETDCAVARFGRAGATASAAAGAGTTGIAMVTDSKYL >PAN17399 pep chromosome:PHallii_v3.1:3:8324314:8325654:1 gene:PAHAL_3G128800 transcript:PAN17399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHVADFGLAQRSRDGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDLYSFGVLLLEIMSARRVLDMTSPAGAVLITDWAWTLVKAGQAREVLDEALSTAESPWCGVMEKFVLVGILCAHVMVALRPTIGEAVRMLEEGDMDVPELPDQPLPYGHNVMFSEAGSNFSASPAFSGRWPRPWTMGTCSGDEPGKFLWIDRKI >PAN21901 pep chromosome:PHallii_v3.1:3:62865765:62869641:1 gene:PAHAL_3G498300 transcript:PAN21901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAMIFLVLIIFILVKEGSGTSSERAVSKPGCPNKCGNVNIPYPFGIGDHCAASSRNPYFIVTCNNTFQPSRPTVGDITESVEVINISLEHGMMRVYNDVSYTCFTSDTIISDNHPTGFNLNSTPFIPSTTRNHFTVIGCNTLGLIGGYMHNNSDSDQYVAGCYSYCQGLNSTSENAPCAGLGCCETAISPNLTDFAAVLPNRSSVWKFNPCFYAMLVEVGWYSFSKEDLAGHLTFIKERATRGVPVVADWAIRDGSCPKDGAKAHTDYACVSSNSYCVNASNGPGYLCNCNQGYEGNPYLHEGCQDIDECKLRKLDAKYKELYPCKNGVCRNKPGGYTCICRMGKISDGTNSGCRPVLGQTEQVVIGLSICAVVVVSLTCIFVIKLQRRKHMKEKDEYFKQNGGLRLYDEMRSRQVDTVLILSEKEIKKATNNFSEDNVLGCGGHGMVYRGTLDDNKEVAIKKSKVIDTNCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFISNGTLFEFLHGNESRSPAPLDLRLKIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVSDFGASALKSMDKNDFIMLIQGTLGYLDPESLVTHHLTDKSDVYSFGVVLLELMTRKKALYTDTSNEKKALSHTFILMFHQNKLQDMLDTEIVDDKVMVVLERLAELFMHCLSPKGDERPTMKEVAERLQILRRLQTQLVTKTHSIRPHYFYGGPSVPVPSDEMGYQSMETAKLVLDVDLPR >PVH62167 pep chromosome:PHallii_v3.1:3:14644391:14646577:-1 gene:PAHAL_3G225000 transcript:PVH62167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIQSEASTVSSSPAMDRRAQGLVAPVSVALAALATLSLLYLLRHASASCFPAPRSLALTLSLAPFPRNSCDAASRRVVPPDRRLAKLRVSPRWRRRTAALAASAFPPLRGLGFLAGPSRVLCLSAGAGHAVDALHAAGVGDVTGIDLVDFPPLVRRADPNRLPFSGGAFDLVFSDDPSAITGALFPSRLAAEAERAVRGGGGIALALDRQIETAAVAALFKRSRIVDVKDVTLDGSQVRLLILQSNGTTSIPH >PAN18588 pep chromosome:PHallii_v3.1:3:13823036:13826329:1 gene:PAHAL_3G212400 transcript:PAN18588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHQNGYWLKLSLVNGVLFTGGSEKQGVYFETIKKVFQYVLDRNDAGEPFPLFAQCLGFELVSMIVSKDNNILETFDAQNQVSTLQFPSYSFKGTVFQRFDSDLIEKVSSSCLVMQNHRYGISPKRLRENDELSSFFRVLTTSPDENGKVYVSTVQANKYPITCTQWHPEKAIFEWRKPMIPHSEDAIQVTQHFANHFISQARKSPNRPPADKVLDNLIYNYNPTFSGKISKSFEEVYIFS >PAN18587 pep chromosome:PHallii_v3.1:3:13821860:13826620:1 gene:PAHAL_3G212400 transcript:PAN18587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRSPNLLLLLLAAVLAPSSAGVIRLPSGKAGARACAAPPDPAAYDRPVIGIVSHPGDGAGGRISNGTATSYIGASYVKFVEAAGARVIPLVYNEPEERLLEKLSLVNGVLFTGGSEKQGVYFETIKKVFQYVLDRNDAGEPFPLFAQCLGFELVSMIVSKDNNILETFDAQNQVSTLQFPSYSFKGTVFQRFDSDLIEKVSSSCLVMQNHRYGISPKRLRENDELSSFFRVLTTSPDENGKVYVSTVQANKYPITCTQWHPEKAIFEWRKPMIPHSEDAIQVTQHFANHFISQARKSPNRPPADKVLDNLIYNYNPTFSGKISKSFEEVYIFS >PAN21716 pep chromosome:PHallii_v3.1:3:61696790:61697415:-1 gene:PAHAL_3G484700 transcript:PAN21716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESNAREGSAVPAIMDAEPASQLLCPRGGSWSLMFLPISDDREVFVEMPICHAEVLNTGTGLRRLATPFSSAQSKGKHARHDQRAERDGDWHARFL >PAN18204 pep chromosome:PHallii_v3.1:3:12175676:12178566:1 gene:PAHAL_3G185700 transcript:PAN18204 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSL1 [Source:Projected from Arabidopsis thaliana (AT1G28380) UniProtKB/TrEMBL;Acc:A0A178WFB2] MRGSSGARMTAQGAAEAAVGAVGCGYDLTGDLRLARAKPAGRLVELDTARARELSLPGGAVVAGVPAGIVADKGERTRFRSDVLSFAQMAEQVNQSLSLAGKIPSGAFNAMFDYRGCWHRDAAATRSLCFDGRFVELYSVEAVRAQLALQDRVKQDLPPFWDPPALAEFIDKYGTHVIVGVKMGGKDVVCVKQLKGSSLTQSDVQARLKKLADDKFSQDGAGDDRLAHGLNGNFGPGSAAWQSFRSPVVSHKDDVVCIHIRRGGADSGQGHGRWLSTITAYPDVISMSFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELQQFLEFQVPRHWAPEFGELPLCLQRRKNSLPSLQFTLMGPKLHVNTAKVDSGNRPVTGIRLFLEGKKNDRLGVHLQHLSVTPSTITVVGEAASAEDVTVNERDYIEPVRSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVRDTCCLKKVFFLRLGFSGVAAMKIRRSEWGGPSVVPRKSGSLSARLSAALSGGLAQVPPPPTEEEKVEVNSAIFPKGPPVPLPVQKMARHVDTTEVMRGPDDQPGYWVVTGAKLCVESGKVALKVKYSLLIAVQEDTDV >PAN21121 pep chromosome:PHallii_v3.1:3:58093330:58095641:-1 gene:PAHAL_3G442900 transcript:PAN21121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAAAIRSGELLACPVALRRAPLAVLVRVRGAPAARVAVALVRAEATAEGVGKAKGAGAGKKRPASGITRPKPISPELREFVGGAAELPRTEAIKLVWAHIKGNNLQDPNNKKIIICDDKLKKIFGGRDRVGFLEISGLLNPHFPK >PAN17562 pep chromosome:PHallii_v3.1:3:9012207:9014757:-1 gene:PAHAL_3G140200 transcript:PAN17562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGRSDEFCRCQACLGKYTLLGDEENPRLSMFDRRLPCFGCGIGWSCFLLGFLCPIIWYVAALLYCCKYYNRDPRERPGLAASAVLAVIFTAATIITLAVLLICCVNKRFLNSCAS >PAN18813 pep chromosome:PHallii_v3.1:3:14828388:14832609:1 gene:PAHAL_3G227700 transcript:PAN18813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNESFLDRMVSQLRSTRKYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTHHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQRNEYPFVEVFYNPEQAASQGKSVDPNITKYYVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH63306 pep chromosome:PHallii_v3.1:3:64501994:64512483:1 gene:PAHAL_3G518000 transcript:PVH63306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEYGTQHGLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEIHQIKRCMAQMVLLAGPGVVLSTFLLGSAVKLTFPYDWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIVSVLWLGFIFNDTIIEISLTLAVSYIAFFTAQDSLEVSGVLTVMTLGMFYAAFAKTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNVHFERHGTSWGFLLLLYVFVQISRLIVVSVLYPLLCQFGYGLDFKEAMILVWSGLRGAVALSLSLSVKRTSDAVQHYLKPEVGTMIMQFVFFTGGIVFLTLIFNGSTTQFLLHILGMDKLSATKLRVLKYTRYEMLNKALEAFGELRDDEELGPADWATVKKHITCLNDLDDDPEHPHDVDDKDDHVHTMNIRDIRVRLLNGVQAAYWAMLEEGRINQATANILMRSVDEAMDLVSRQPLCDWKGLKSNVQFPSYYRFLQMSRLPRKLVTYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMVHLDDALQTDLKKLKRNPPLVKMPRVSDLLNTHPLVGALPAAARDPLLSNTKETVRGHGTILYREGSRPTGIWLISIGVVKWTSQRLSRRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIDELRHSDPSIEVFLWQESALVIARLLLPQIFEKMAMHEIRVLVAERSTMNIYIKGEDIELEQNYIGILLEGFLKTRNQNLITPPAVLLPSNADLSLFGLESSAVNLVDYCHTAPSYQVEARARIIFFDMGRASEAEADLQRTASLLSHGHELPRTMSKEHSGLLRWPESFRRSRGGHSASLSEIRNQPDSFSARALQLSMYGSMVSPSGQGAQGHRRHRPRGMPVANKRHSSSYPRVPSRPANTRPLLSVQSEGSNMKRMAAPKDAGEATTTAPAPATSTDQQQQKVMQDDNSSDDSAGEEVIVRVDSPSMLSFRQSTAGVRSPTPSQDQ >PAN22137 pep chromosome:PHallii_v3.1:3:64501944:64512508:1 gene:PAHAL_3G518000 transcript:PAN22137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEYGTQHGLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEIHQIKRCMAQMVLLAGPGVVLSTFLLGSAVKLTFPYDWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIVSVLWLGFIFNDTIIEISLTLAVSYIAFFTAQDSLEVSGVLTVMTLGMFYAAFAKTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNVHFERHGTSWGFLLLLYVFVQISRLIVVSVLYPLLCQFGYGLDFKEAMILVWSGLRGAVALSLSLSVKRTSDAVQHYLKPEVGTMFVFFTGGIVFLTLIFNGSTTQFLLHILGMDKLSATKLRVLKYTRYEMLNKALEAFGELRDDEELGPADWATVKKHITCLNDLDDDPEHPHDVDDKDDHVHTMNIRDIRVRLLNGVQAAYWAMLEEGRINQATANILMRSVDEAMDLVSRQPLCDWKGLKSNVQFPSYYRFLQMSRLPRKLVTYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMVHLDDALQTDLKKLKRNPPLVKMPRVSDLLNTHPLVGALPAAARDPLLSNTKETVRGHGTILYREGSRPTGIWLISIGVVKWTSQRLSRRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIDELRHSDPSIEVFLWQESALVIARLLLPQIFEKMAMHEIRVLVAERSTMNIYIKGEDIELEQNYIGILLEGFLKTRNQNLITPPAVLLPSNADLSLFGLESSAVNLVDYCHTAPSYQVEARARIIFFDMGRASEAEADLQRTASLLSHGHELPRTMSKEHSGLLRWPESFRRSRGGHSASLSEIRNQPDSFSARALQLSMYGSMVSPSGQGAQGHRRHRPRGMPVANKRHSSSYPRVPSRPANTRPLLSVQSEGSNMKRMAAPKDAGEATTTAPAPATSTDQQQQKVMQDDNSSDDSAGEEVIVRVDSPSMLSFRQSTAGVRSPTPSQDQ >PVH61699 pep chromosome:PHallii_v3.1:3:6325173:6325595:1 gene:PAHAL_3G099700 transcript:PVH61699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGSHLPAYPGYRALRPLAGWRASPSSRSAPSPLPPLRLLLRSGPTKTHEDTFAARPLPPRPIPTRRHPHPAAAPPRSDGPRARHVAVVFLPHPTIYPPPHAAGRPTSPLSFPSPPNRRARVRVSLHPRTRVFRLGPGGS >PAN17643 pep chromosome:PHallii_v3.1:3:9461919:9467603:-1 gene:PAHAL_3G146100 transcript:PAN17643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFFFFGSSAANAGEENGTPGNDSKTKHKYTLEAGDEGKGSSGSCSTRLSRSRSHRQKRNKEEPGNPKQLRRSMSFSSPARNRCLDERCFSFSGDVPCSLYDESDAPQHPKDAVPNMWSPERNLVLREYAIKIPKEHSAMENDSPRSRCCSCSAGHSPVSSPIALRCRPTRVSNLLNKNEVLDRYIDRVHEDATVNEKQKQYSSAISMVSNLGRPPRPQPTVPSIPKSTKDTTESYLDVDLKDACLRQIAQEGRGDTCKITVMCNAGRNHISMSDAFERESTTSVEDIYEDFPDVRPPSVICPSTSPTSGEEETDDMLLHRAKEVESRFIVPCGEEYEFSMLRDKRMSSNDMLQLIQQLTEDRKQLAHELSSQIKVRVAERFSAKEQYKQLKKELDTRTRRLEKEKSEIQTTLEREMDRRSHDWSVRLSRFQSEEERLHGRVRELAEQNVSFQREVTFLEANKAEASTKAASLEVQNSKLNDDLEKLRMEHEKLQNSSVDLHARFAEVVEERDHIREYLKDKEGENKACHKVIARLQTTCNELERTITGLRQGCTAELDKKFVECASDKTRKLQMELIRLTGVEQKLRGEIRSCHLEVESLRQENITLLNRMQGAGNGASFCSIRLDQELQARVASLQMQGLSLLDKISQLCTKLLDLIKHKKHENESFSGNDVLTISDYTFEYQSIKGGTESLKRSLKTINYVLSEKLNVQQKSGEIAGSSPSREQMDDFELKLKEEAMLSRVLKEAVLSKELDIEQLEADLASSLRLQDVMRNEIQRVQDELSCITHKAKQLELQVSKKDEAMNEIQQDFQEYAKELAALRRTLETVTEERDLSWQEAKQLRRNISIMQNEVVSLKKKIEALDEDILLKEGQITILQDSIEKPFDIICSPRSMREFGME >PAN17642 pep chromosome:PHallii_v3.1:3:9461919:9467598:-1 gene:PAHAL_3G146100 transcript:PAN17642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFFFFGSSAANAGEENGTPGNDSKTKHKYTLEAGDEGKGSSGSCSTRLSRSRSHRQKRNKEEPGNPKQLRRSMSFSSPARNRCLDERCFSFSGDVPCSLYDESDAPQHPKDAVPNMWSPERNLVLREYAIKIPKEHSAMENDSPRSRCCSCSAGHSPVSSPIALRCRPTRVSNLLNKNEVLDRYIDRVHEDATVNEKQKQYSSAISMVSNLGRPPRPQPTVPSIPKSTKDTTESYLDVDLKDACLRQIAQEGRGDTCKITVMCNAGRNHISMSDAFERESTTSVEDIYEDFPDVRPPSVICPSTSPTSGEEETDDMLLHRAKEVESRFIVPCGEEYEFSMLRDKRMSSNDMLQLIQQLTEDRKQLAHELSSQIKVRVAERFSAKEQYKQLKKELDTRTRRLEKEKSEIQTTLEREMDRRSHDWSVRLSRFQSEEERLHGRVRELAEQNVSFQREVTFLEANKAEASTKAASLEVQNSKLNDDLEKLRMEHEKLQNSSVDLHARFAEVVEERDHIREYLKDKEGENKACHKVIARLQTTCNELERTITGLRQGCTAELDKKFVECASDKTRKLQMELIRLTGVEQKLRGEIRSCHLEVESLRQENITLLNRMQGAGNGASFCSIRLDQELQARVASLQMQGLSLLDKISQLCTKLLDLIKHKKHENESFSGNDVLTISDYTFEYQSIKGGTESLKRSLKTINYVLSEKLNVQQKSGEIAGSSPSREQMDDFELKLKEEAMLSRVLKEAVLSKELDIEQLEADLASSLRLQDVMRNEIQRVQDELSCITHKAKQLELQVSKKDEAMNEIQQDFQEYAKELAALRRTLETVTEERDLSWQEAKQLRRNISIMQNEVVSLKKKIEALDEDILLKEGQITILQDSIEKPFDIICSPRSMREFGME >PAN15627 pep chromosome:PHallii_v3.1:3:841685:845092:-1 gene:PAHAL_3G015200 transcript:PAN15627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAFKSTTRRDLHASSSSTSRSDPPPCPRRSRSRSVSAAPRARGHDSLQLQEDYANTRTNPLFDSAASPSASPSPPPGTTTSAGGGDVPRRGRGREPLKDGGRGGCGRARSVSVAPQRRHAVSVPSADGAGAVGARRASRPRSVADDARPYRGSEGSRQMDETTHSEASTAVSSPGQHLEHVIWQQNNSNVPVDPVLEIPPEFDPDSAEFISDISDYATEFRKKDVVEIPLEFDTDASELVSDTRNSAAKQHWEQMEIPLEFDTDASELVPDIWHHEVNQQLGQLEASFEFDPDTSELAPDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKDIVTTPQFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSPEDPQPNSILNVQPNRNSRFFHKGRSSFEGPHTLADQHDHHEELDKQTQCSISITGSDVSDNAIFSHTNAHGLKVRSNSNDDLDGLDTPRSRSSCFSFTHEPVKNVENCNVQQYLGNFGRGNTKELRETRSSCFADDYVSQRVNLDLLKDMSTFQNRMDYGGLLICNIRTF >PAN15654 pep chromosome:PHallii_v3.1:3:711693:713527:1 gene:PAHAL_3G012600 transcript:PAN15654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKLSGAAVLLIIVVPLFMYTGALLIGIQLGRALERRPDSGGVSFSIRGALAYLAKELWDSNRNIWGSGGRRGRGRDGSRVEQVVWVPFPASRSIRDESSAANTKQPKHPVSPSVVQGRDNTSTANNPKAHTNHTWPGGVISVGPWGGSGGQPFYMRGPVAPRLRSIILHHSISGIHSLACEYSLPGDETAANRIRTAGPWGRHQSAELHRATIKLSAGEHVTAVEGTIGRFGGVPDLVITSLTFRSSTGRTYGPYGNTAAAGTPFFSLPVADGAGIVGFWGRSGWLLDAIGVYVKPSSSIFKPGRLQDRQYTTMDMMK >PVH61923 pep chromosome:PHallii_v3.1:3:10185565:10189012:-1 gene:PAHAL_3G158500 transcript:PVH61923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLQTLAPSLRRAAAAAAATSSSSARAAPLSTAPAAFRRTSPLLSSPGDKPAPTKVEDVMPIATGLEREELEAELQGGKRFDMDPPVGPFGTKEEPAVIESYYNKRIVGCPGGAGEDEHDVVWFWLEKDKPHECPVCSQYFVLKVIGDGGDPDGHDDDEDEHH >PAN18209 pep chromosome:PHallii_v3.1:3:12207872:12213950:-1 gene:PAHAL_3G186100 transcript:PAN18209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPAPGELGAMLQAAGDFASYPGLHSDDTVRQFLERCPLPKLLGALQSEADVPGMVETVTECLDKVFSSRYGASLLPSYGAFIQAGLLTDSNSIRKLACKAVLYLLDKAEDSAAAVETVVQHNLYPVLINCLIEGDEEISAIILDAVKRLAEIPKGAEIIFPPDGQGSVQLDRVASQSSSLARIRILSLIAKLFTVSSYTATAIRDSNLLSIFEDEIKDRRDMLKTLSALEVLYELVEHPHSNTFLLKTNLIQLISDVINDSSADSVIRSRAALISGRLLSSADAFTVIDQSCVTNLLAVIDKILKMEESQNTDEIESALETLGLIGTTTQGAHFLLTSSNVARHVVETSFDRQGRGRQLAALHAFGSICGADRQEDQMKLDGQAEEYLKRLVYTTAANSSKLTPSALLLSILQQDPDIRIAGYRVISGLVVREWCLREVCLNSEIIRLVTDPTMETTKLGMEARYNCCVAINKSLLSSHLLHEKSLSELIGKLNDAVRRGPYLSERKRVEARPVVVPAERF >PVH63186 pep chromosome:PHallii_v3.1:3:61979278:61980262:1 gene:PAHAL_3G487600 transcript:PVH63186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCVELKRLWADLDHYDPIELPHPECVAWVKKWVEKKRVLQFLRANLPSLEEAIAAMAQEETRLKMIKDNSLNSPSPAYVVAGSQEARICYNYGEKGHLIRDCCQPLKPNRGRGRGRGMLRGGTSRGGASRGGRVSFRANHAVLDGCATESVTVSPNELDEFRRWKEIAMVSQLNNQETTSSSNTVADAVHTDSGKEDGKKSWSWNLA >PAN18076 pep chromosome:PHallii_v3.1:3:11619125:11620250:-1 gene:PAHAL_3G176300 transcript:PAN18076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDHRRGVTSVVAGDLLEPPVAATATTAGSSSYDEEEDDEEGFTFAAVPRLAAGGAFPDGRIGPVYPVFGRPRSPPLQEPEEDPGTATALVPLGQLLLEERGAPSGQPADDDGGLDGVPAETYCLWSPGASPAPGSRSPSPSPARCRKSGSTGSVLRWRHRFVGRSHSDGKEKFVFLDASSDSERNAGGGHGRMNRGRGGGGDCRKSTFLPYKQDLVGFFANAGALRRSYLPF >PAN19743 pep chromosome:PHallii_v3.1:3:20173634:20176811:1 gene:PAHAL_3G295200 transcript:PAN19743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSCRDLPAQLPTLPRRATAHAQLSFLRAPAPRQPLTSTASASTSASTLRPPLAATRDEAIASLVGHLEHDVAHGHQYRDDVQDEEEDDDARRRGARKHQEELPARWREIHGRDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDPYSRYCGSCKYPRRELFERLGMAGAARGYAVSRYLYATSNFRFPAFFPPSRAGAKIWSQSANWIGYVAVSTDEESARLGRRDVAIAWRGTVTRLEWVSDLMDFLRPVAEEGIPCPDPDVKVLAGFADLYTDKDPTCRFCKYSAREQVLTEVRRLVGRYAALGEDVSITVTGHSLGSALAMLSAYDIAESGANVAGQAAAAPVCVYSFAGPRVGNAAFRRRFESELGVKALRVVNVHDNVTRMPGILVNEGAPEAVRRVAERLLRVPWCYSHVGVELALDHKRSPFLKDTLDPACYHDLEAHLHLIDGYHGRGERFVLASGRDPALVNKACDFLKDHHGVPPCWRQDENKGMVRGRDGRWVQPDRHGWHLDDHDHDDPNHHHHHH >PVH62940 pep chromosome:PHallii_v3.1:3:57137315:57139374:1 gene:PAHAL_3G435500 transcript:PVH62940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPERFRGMQLQEEFDTYGGCEARLSKSPKFLTERSKIIEIVAAKDIIFALSQSGLCAAFDRVMNKRIAFFNLNPDEVIRSMLYNKYNDSLVTVSLYSSDSYGSLNCRTNPIEYVRRNELDAGFPIFESESLKWPGFVEFDRVNGEVPIYAAQDRIYKVFDLANYSFLYSIQDKSVQDIKISQGFMLVIYDRTLSYVSLKILSIVDGKPLKFFKHLLHPQQES >PAN21429 pep chromosome:PHallii_v3.1:3:60133035:60137353:-1 gene:PAHAL_3G464900 transcript:PAN21429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHRDEEAAASPLIAAPPAGGRSHAVDAHLLSSAFLFVFSAYSAAQNLETSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTRIGPKRALVVGSSGYVLFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARENNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNGKDGGSVTGKNVLFAVFLGCMIVGIVLMCLLSKRDEKRDNAPTHSSFGAMLKYIVAPLKDRRMLLTIPLIAYSGLQQAFVWAIFTKSIVTPVLGISGVGGAMAIYGAADVVCSLIAGRLTSGLHSAAFIVSVGAILQAVVLFWLLLFYSPMSGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMTTGLFISFGSFMFLTLVVEKSSTVRP >PAN18285 pep chromosome:PHallii_v3.1:3:12559448:12562803:1 gene:PAHAL_3G191800 transcript:PAN18285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSKRSELVAEAVDLYYSAGRNASPLCHVLAASSARLDSCSCPVRSGEQGEEKMPPPPPTPTPPLIRLGPPHPYLKTHGGKVARLHLYDWVVLTLLVAIDVGLNLIEPFHRFVGEDMMADLRYPLKSNTVPVWAVPIYAVIGPIIIIVGIYMKRRNVYDMHHAILGLLFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGVPKFNNITTQVICHGDPAVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIKAFDRRGHVAKLCIVLLPLLLATMVAISRVDDYWHHWQDVFASGILGLVVASFCYLQFFPPPSAEQGFWPHAYFDHILNPEGEGQVQSTTSSNHHQSLSGVSVAMEMGNTSQELGSMEEGHRAR >PAN17565 pep chromosome:PHallii_v3.1:3:9049859:9050350:1 gene:PAHAL_3G140500 transcript:PAN17565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVKKNNAAVPVQPNPQCPSEPKTDRAHDQMLRTDGQAAACCNCNAGAPAAVLMIFLACILVGTAREVRDRPRDLAFLAFAYADLAALFLCLRRAERLPREPSPEEGAEERRRLQLVVWALSGALSCAFAYRVSLIMPPGLVVAVWSMTSSVVVVGFFVLVL >PAN18271 pep chromosome:PHallii_v3.1:3:12486569:12493925:-1 gene:PAHAL_3G190700 transcript:PAN18271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMAGEGPIAAAIPRSPPQPAAGGGGGGGSAAEAPVLIFVYFHKAIRAELERMHAAAVRLATTGPAAGAGGGGVAALEARCRFLFAVYRHHCHAEDALDVQSDDAIRRELASCTGAIQTSLAQHMSKEEEQVFPLLIKKFSHEEQANLVWQFLCSIPVNMMADFLPWISASVSPDENQDIFDCLRKIVPEEKLLQEIVFAWIGGNSLRTIAQDFSDPYLKGSFTCEYSCDQTDKHGCTLEHSKIGKRKSTESSELVVHPIDEILYWHNAIRRELSDIAEEAKRIQQSGDFSDIGGFNMRLQFVADVCIFHSIAEDQVIFPAVDSELSFAQEHAEEERRFNKFRSLIEQIQIAGARSTVVDFYSELCSQADEIMQKIERHFSDEETKVLPKARINFSPEKQRELLYKSLCVMPLKLLEHVLPWFVAKLNDAEAVSFLQNMRLGAPSSETSLVNLLSGWACKGRLEDTSSPGKFICLASGTASCALDGNDSKTCQSFCPCYPSNNGVFSRPVKRASHGESSTNINRSHCSQSAGTQASPSNNRTCCIPRLRVESSYLGVNSFTPAKSFRSLPYNYSAPSLYSSLFSWETDTTFSGPDNICRPIDTIFKFHKAIRKDLEFLDVESGKLIDGNESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFQDISTVLSELSQLHESLSHPVGVEAGTDHTSNNEIDWARKRNELLTKLQGLCKSIRVTLSNHVHREELELWPLFDKHFSVDEQDKIIGRIIGTTGAEVLQSMLPWVTSALSLEEQNKMLDTWKQATKNTMFDEWLNEWWKGPSTSSDPSDNASTPSEESHFQENLEQNDQMFRPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLLQNLMTSRWIAAQQKSEPDAEEHNGCTRLPGCLPSYRDPEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMDRKAVVEMMCMLCLNVQPVGPNCQTPSCNGLSMAKYYCSICKFFDDERSIYHCPFCNLCRLGNGLGTDFFHCMKCNCCLGIKMLEHKCREKMLEMNCPICCDFLFTSSAPVKGLPCGHFMHSACFQAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEQLPEEYQDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKTDTVECSTSN >PAN18269 pep chromosome:PHallii_v3.1:3:12485936:12493925:-1 gene:PAHAL_3G190700 transcript:PAN18269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMAGEGPIAAAIPRSPPQPAAGGGGGGGSAAEAPVLIFVYFHKAIRAELERMHAAAVRLATTGPAAGAGGGGVAALEARCRFLFAVYRHHCHAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFEHLFALLQLDVQSDDAIRRELASCTGAIQTSLAQHMSKEEEQVFPLLIKKFSHEEQANLVWQFLCSIPVNMMADFLPWISASVSPDENQDIFDCLRKIVPEEKLLQEIVFAWIGGNSLRTIAQDFSDPYLKGSFTCEYSCDQTDKHGCTLEHSKIGKRKSTESSELVVHPIDEILYWHNAIRRELSDIAEEAKRIQQSGDFSDIGGFNMRLQFVADVCIFHSIAEDQVIFPAVDSELSFAQEHAEEERRFNKFRSLIEQIQIAGARSTVVDFYSELCSQADEIMQKIERHFSDEETKVLPKARINFSPEKQRELLYKSLCVMPLKLLEHVLPWFVAKLNDAEAVSFLQNMRLGAPSSETSLVNLLSGWACKGRLEDTSSPGKFICLASGTASCALDGNDSKTCQSFCPCYPSNNGVFSRPVKRASHGESSTNINRSHCSQSAGTQASPSNNRTCCIPRLRVESSYLGVNSFTPAKSFRSLPYNYSAPSLYSSLFSWETDTTFSGPDNICRPIDTIFKFHKAIRKDLEFLDVESGKLIDGNESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFQDISTVLSELSQLHESLSHPVGVEAGTDHTSNNEIDWARKRNELLTKLQGLCKSIRVTLSNHVHREELELWPLFDKHFSVDEQDKIIGRIIGTTGAEVLQSMLPWVTSALSLEEQNKMLDTWKQATKNTMFDEWLNEWWKGPSTSSDPSDNASTPSEESHFQENLEQNDQMFRPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLLQNLMTSRWIAAQQKSEPDAEEHNGCTRLPGCLPSYRDPEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMDRKAVVEMMCMLCLNVQPVGPNCQTPSCNGLSMAKYYCSICKFFDDERSIYHCPFCNLCRLGNGLGTDFFHCMKCNCCLGIKMLEHKCREKMLEMNCPICCDFLFTSSAPVKGLPCGHFMHSACFQAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEQLPEEYQDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKTDTVECSTSN >PVH62041 pep chromosome:PHallii_v3.1:3:12484032:12493925:-1 gene:PAHAL_3G190700 transcript:PVH62041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMAGEGPIAAAIPRSPPQPAAGGGGGGGSAAEAPVLIFVYFHKAIRAELERMHAAAVRLATTGPAAGAGGGGVAALEARCRFLFAVYRHHCHAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFEHLFALLQLDVQSDDAIRRELASCTGAIQTSLAQHMSKEEEQVFPLLIKKFSHEEQANLVWQFLCSIPVNMMADFLPWISASVSPDENQDIFDCLRKIVPEEKLLQEIVFAWIGGNSLRTIAQDFSDPYLKGSFTCEYSCDQTDKHGCTLEHSKIGKRKSTESSELVVHPIDEILYWHNAIRRELSDIAEEAKRIQQSGDFSDIGGFNMRLQFVADVCIFHSIAEDQVIFPAVDSELSFAQEHAEEERRFNKFRSLIEQIQIAGARSTVVDFYSELCSQADEIMQKIERHFSDEETKVLPKARINFSPEKQRELLYKSLCVMPLKLLEHVLPWFVAKLNDAEAVSFLQNMRLGAPSSETSLVNLLSGWACKGRLEDTSSPGKFICLASGTASCALDGNDSKTCQSFCPCYPSNNGVFSRPVKRASHGESSTNINRSHCSQSAGTQASPSNNRTCCIPRLRVESSYLGVNSFTPAKSFRSLPYNYSAPSLYSSLFSWETDTTFSGPDNICRPIDTIFKFHKAIRKDLEFLDVESGKLIDGNESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFQDISTVLSELSQLHESLSHPVGVEAGTDHTSNNEIDWARKRNELLTKLQGLCKSIRVTLSNHVHREELELWPLFDKHFSVDEQDKIIGRIIGTTGAEVLQSMLPWVTSALSLEEQNKMLDTWKQATKNTMFDEWLNEWWKGPSTSSDPSDNASTPSEESHFQENLEQNDQMFRPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLLQNLMTSRWIAAQQKSEPDAEEHNGCTRLPGCLPSYRDPEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMDRKAVVEMMCMLCLNVQPVGPNCQTPSCNGLSMAKYYCSICKFFDDERSIYHCPFCNLCRLGNGLGTDFFHCMKCNCCLGIKMLEHKCREKMLEMNCPICCDFLFTSSAPVKGLPCGHFMHSACFQAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEQLPEEYQDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKTDTY >PAN16694 pep chromosome:PHallii_v3.1:3:5153906:5158810:-1 gene:PAHAL_3G078000 transcript:PAN16694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVQLPNLAGVRLPAAAAIPQSCRGGRLIVSAAAPGGPVKEEEEANGAGKKEKIVIRVSDPVRERRLPPPLFSAPDEPSERPPDPEEGWRRGDEDGEEAKKQYYVNMGDAIRKLREELPVVFYREPSFDIYRDDIVFKDPLNNFKGIGNYKRIFRALRFTGQIFFKAVWIDIFSIWQPVDNVIMIRWIVHGIPRVPWEGNGRFDGTSEYKLDKNGKIYEHKVDNIARNSPTKFKVLPVVELIRSLGCPSTPKPTYFETSCLALMHWRHFG >PAN17300 pep chromosome:PHallii_v3.1:3:7630795:7633523:1 gene:PAHAL_3G119800 transcript:PAN17300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIIDGKAIAGDIRREVAAEVAALSSAHNVVPGLAVVIVGSRKDSQTYVNMKRKACAEVGICSVDVDLPEDISELALVAEVHRLNADPAVHGILVQLPLPKHINEEKILSEISIEKDVDGFHPLNIGKLAMKGREPLFVPCTPKGCMELLSRSGVTVKGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPDPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSVDDPTRKSGYRLVGDVDFTEVSKVAGYLTPVPGGVGPMTVAMLLKNTVDGAKRGIVE >PAN16939 pep chromosome:PHallii_v3.1:3:6153600:6153956:1 gene:PAHAL_3G097200 transcript:PAN16939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRNTSAARIPAALLLLLLLLPANPVSPASGASAPPAPATGGVELHEVSSVAGGVLVTSKVSSSDAPDAATSGGSRPLPPSSVMSRRALAAAKVIPPSGPSEGSNGYTLRPPAARQP >PAN15896 pep chromosome:PHallii_v3.1:3:995977:1000423:-1 gene:PAHAL_3G018400 transcript:PAN15896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSAQPNIGNGGDTKLCCRNPGAGGTDAANQFPDHRPPSAAPLPVPSSRCSPPATRHLRGSAALYSAEVVSLMGRTIKKAKKAKSKKTKKVEASSSSNPAVAPGPAKVWQPGVDTLEDGEELQFDPEAYNYLRGFDIGWSCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAEKASSNYIGIFKLSNISGKKREPIPASTVDGDTDADTDSSSDEEDEEINEDTKPILHLKKVAHAGCVNRIRSMTQKPHLCATWGDTGHVQVWDLSSFLNSLAEAESGAPAPKEDGIIHKHLPLKVFSGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTPNNWNVDANPFVGHTASVEDLQWSPTEADVFASCSVDGTIAIWDIRTGKKPCISIKAHKADVNVISWNRLASSMIASGCDDGSFSVRDLRSIQEDSLVAHFEYHKKAVTSIEWSPHEAASLAVTSEDHQLTIWDLSLERDAEEEAEFRAKMKEQANAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAIDGFNVLMPSNIDTTIPGNTDATMASAES >PAN19288 pep chromosome:PHallii_v3.1:3:17340617:17342787:1 gene:PAHAL_3G261500 transcript:PAN19288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATRAAGGVGGYDRQRELQAFDDTKAGVKGLVDAGVTAVPAIFHHAPDSLPVLQQAAADGSNVAATIPVIDLSCARREELVRGVKAAAETVGFFQVVNHGVAGGLLAETLAAVRRFNEAPAEAKRPYYFRGNARKVRFSSNFDLFQAPAANWRDTLFCDVAPEPPRPEELPEPVRHVMVEFGDAVLGLAERLLELLSEALGLAHDHLREMGCVEGVGVASNYYPPCPEPHLTLGSTRHTDASFLTVLVQDGMGGLQVLVDRGGGRRGWLDVPSLPGALVINIGDLLQLVSNGKFRSAEHRVLANKSRDTARVSVAAFCSADVIRSTRVYGPIGALSSPDGSDPPLYRSITIHEYLAHFLKKGLDGRHTLDHFLLKQPDSYCYVDAVHAAVTSQMKFREVLRIEKEGSTK >PAN19543 pep chromosome:PHallii_v3.1:3:18883048:18884648:-1 gene:PAHAL_3G281300 transcript:PAN19543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPDVEQQQQQARAAAGGRKVVDEVSGWLRVLDDGSVDRTWTGPPEALPLMQPVAPYAEPRDGHTLHDLPGEPNLRVYLPEVAAGGEGGARLPVILQLHGGGFCISHPSWLMYHHFYARLACAVPAVVVAVELPLAPEQRLPAHIDTGVAALRRLRSIALSEDGGGPAAALLRGAADVSRVFLIGDSSGGNLVHLVAAQAGQGDASQWAPLRVAGGIPIHPGFVRAARSRSELETKAASVFFTLDMLDKFLAYALPEGATKDHPFTCPMGPLAPPLASVPLPPMLVSVAEDDLIRDTNLEYCDALRAAGKEVEVLVNRGMSHSFYLNKYAVDMDPATGERARELIDAIKSFISRH >PAN18871 pep chromosome:PHallii_v3.1:3:15102193:15104859:1 gene:PAHAL_3G232400 transcript:PAN18871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPSRPSRKRFPRAPRRPPGQSPRFAARPRPWRASEQGPRVARRPPSPPAPADMGNCCCGGSSSAEPAPPDASAGSSRPGGSSSGGAAAALSATSAPTPAKPPAPVGPVLGRPMEDVKSTYTIGKELGRGQFGVTSLCTHKATGEKFACKTISKRKLSTKEDIEDVRREVQIMYHLSGQPGVVELKGAYEDKNSVHLIMELCAGGMLFDRIIAKGHYTERAAASLLRTIVEIIHTCHTMGVIHRDLKPENFLLLSKDENAPLMATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSVGVIVYILLCGVPPFWAESEHGIFNAILRGQVDFTSDPWPRISHGAKDLVRKMLNPDPKQRISAHDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRRGLAKKGTKLSEAEVHQLMDAADADGNGTIDYEEFITATMHMNRMDRDEHLYTAFQYFDKDNSGYITMEELEQALREKGLLDGRDIKEIVAEVDADNDGRINYTEFAAMMRKGDPEPSNPKKRRDVVL >PAN20002 pep chromosome:PHallii_v3.1:3:22311247:22313675:1 gene:PAHAL_3G312700 transcript:PAN20002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYFMTLLHNNYTGILQVHDVATPVVSNVFDSSGELIAGVASVGAVEKFLTPSWLYRFRHHISNAPLVMLDANLPPDSLEAACIMAYESGVPVLFEPVSVVKSRRIAPIAEYITCTSPNEIELVAMANSLSPPVKYNYHKMEQCKDKAEAVEYLFEMLSPAMFFLLEKGIKMLVVTLGSNGVFICCKEHTDFVKDQRKCKQMPFSKQLLEKLDGRFPSNNPVDLCRESCSRTCVFHLPAISASVISLTGAGDCLVGGVLSALCGGLDIIQSVAIGVAIAKASVESQANIPDHISATSVSDDAQKVLLSARTLWCK >PAN20000 pep chromosome:PHallii_v3.1:3:22307291:22313675:1 gene:PAHAL_3G312700 transcript:PAN20000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPANRRMEAVRRHLLLPPPPSQPQPSPLRPNPLSSHTVVEVEPRPVIIGGMVLDIHAKPSVPPHPGTTVPGTVKYVSGGVARNIAECMAKLGTRSFMISVVGNDMAGDFLLKYWRSAGLCTDGILQVHDVATPVVSNVFDSSGELIAGVASVGAVEKFLTPSWLYRFRHHISNAPLVMLDANLPPDSLEAACIMAYESGVPVLFEPVSVVKSRRIAPIAEYITCTSPNEIELVAMANSLSPPVKYNYHKMEQCKDKAEAVEYLFEMLSPAMFFLLEKGIKMLVVTLGSNGVFICCKEHTDFVKDQRKCKQMPFSKQLLEKLDGRFPSNNPVDLCRESCSRTCVFHLPAISASVISLTGAGDCLVGGVLSALCGGLDIIQSVAIGVAIAKASVESQANIPDHISATSVSDDAQKVLLSARTLWCK >PVH61688 pep chromosome:PHallii_v3.1:3:6128968:6132378:1 gene:PAHAL_3G096600 transcript:PVH61688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHVVLAGLVFFSSLHTAAPCSTATATGDTLAAGRALAAGNKLVSRNGKFALGFFRFRQSIAAAAGTAGNSTGGTTTTVSSSPGWYLGIWFNKIPVCTTVWIANRERPIAESELNTTQLRISTDGNLVIVSSNTTTESKLWSTEVVNSTDSVSALLNSTGNLALLPETPSNGAPLWQSFDYPTDVGLPTAKIGRNKLTGFNRSFVSKKSLIDPGFGSYSIDVGIDGAMRLTSRSSPPVVYWPWPAGRLAELVQALDGLMDSDPRTKGLLKPTFKDDDEEVYFSYTITDDSASVFVPIDISGQLKLNVWSKAKESWETVYAQPSDFCIAHGVCGPFTVCNGNSAPLFCDCLETFSRKSPRDWDLGDRTGGCARNTPLDCSNRSKTGSTDVFHPVSRVKLPFNAQSIEDATTPSNCAGACLNDCSCTAYSYSNSKCSVWHGELLDVSMDDGIGITGQDVLYLRLAARDFQSLEKKKKKKQRPGAIVAATASIVSFGLMVLILLVVVWRKRFKWFNVPLHDTRGSGGIIAFRYIDLVRATKNFSERLGGGGFGSVFKGVLNESTTIAAKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDNRLLVYEHMSNGSLDAHLFQSNATILNWSTRYQIAIGVARGLCYLHQSCRECIIHCDIKPENILLDASFAPKIADFGMAAFVGRDFSRVMTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGKRNTPEVNSKSSYQVVFFPVQAVSKLREGDLQSLVDPQLHGDFDFEEAERVCKVAFWCIQDNECDRPTMGEVVRVLEGLQELDMPPMPRLLAAITERSHAALL >PAN22131 pep chromosome:PHallii_v3.1:3:64463183:64464423:1 gene:PAHAL_3G517600 transcript:PAN22131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRPDEEANAANDQPVVVAVATPPTRMMMKKGSWSPEEDTLLTSLVEQHGPHRWSLISAAIPGRSGKSCRLRWCNQLSPDVHHRPFTPREDALILAAHARYGNKWATIARLLPGRTDNSIKNHWNSNLRRCRRRAAAAAAAAASTSRGAAAAAGPQPPRRINLLQQQSAENQPPPVADQQQCMMMGLAGPNPNNNSTDLLHGTAAAEPPTSLSLSLGPPLPPADPDQAAAADEVVAAAKQALLPAEPPGGMMMKMKAADLQPSPPLALPHHHQEGNAQLIAAVRQMVREEVQRACSLMAAAAWAKQGHHR >PVH62928 pep chromosome:PHallii_v3.1:3:56617152:56618811:-1 gene:PAHAL_3G431400 transcript:PVH62928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELASADWEPLRQCVILINIFSSLDQFLMMGKMGRYEYRDLAKSWLGKTQLAHTFCVHTQLPIHMHRLPT >PAN16773 pep chromosome:PHallii_v3.1:3:5531244:5540738:-1 gene:PAHAL_3G085300 transcript:PAN16773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) UniProtKB/Swiss-Prot;Acc:Q9LTB2] MGSVGVEEDAKAAVEAFLQRCAPSGDAAYAELRALLARLHDPATRRQARVFLAALRRRQQSSSDDRQEDFFRRFGFRIQELLLHGNTVAAFRSASAPGFQQRRKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPLKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDSEGKTLLDRVEFHESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIITENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPSGIMVFNMGGRPGQGVCQRLFERRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPICARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKSNPCEPPAGCLNFRKLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKQWLTSLAIEGRAESNHAEGTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLNATKDVGSRLFLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYKALSQTIELVEGHTSLISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQQMIGFSDPAMSILKAAEFFVPDSNESSVIHMDLDRSFLPVPSAVNASVFESFVRQNITDSETDVHSSIQQLVKDSYGLWVDGCSEIIYGNTSLALFNKLVLCCMQEQGTLLFPLGTNGNYISAAKFMNASTLTIPTTFGSGFKIEPKALSDTLKNVSRPWVYISGPTINPTGFLYSDADIQELLSVCAEYGARVVIDTSFSGLEYQTDGWSRWNLEGCLSSLKSSKPSFSVVLLGELSFQLIASGHDFGFVILSDSSLADTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDQHFSDLMVEQKEELKSRSNHLIKTLQGCGWDVASCCGGISMLAKPTAYIGKHFKADGFEGKLDASNIREAILRATGLCINSSSWTGIPDYCRFSFALESSEFERAMGCITRFKELVLGGDAQAQMNGH >PVH63088 pep chromosome:PHallii_v3.1:3:60458697:60460344:1 gene:PAHAL_3G470600 transcript:PVH63088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGHDEASDALDKRLKLVASKVNSKIFFHKDVVVEYMDMKSILGDIAEEKKKVEQERHRAVVVQRVENLAPVQVELMAAKGELAAAKEELAGAREQLTQRSQELDEKDEELAALMVRLQKMEARNNHTEQQNGTGPDPVQLQPTRVQQFSGHCGSRDTALEVLREKLIKGFMELEGARNFGVKEMGKLNEKPFQAACAVTLPPKEAELAASELYSTWEKLLNKPSWKPFKVVAVGGDCLNEVIDVDDEKLQELRREWGEGPYKCVVDALMERKEYNFNGAFPYDLWNYKEGRKATLGDVIEYLIDQVKQLTVARRRKSRGYNVRVITRISICSLRLSVTAPGSSISLSLVI >PVH61498 pep chromosome:PHallii_v3.1:3:3053398:3054519:-1 gene:PAHAL_3G047700 transcript:PVH61498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRHPLPFPFLSSPATLSSPVLASLLSIPGLPSPPPSPIGPSPPPPITLARQRCCTTAYPRGGARRPAAAPPHAVGQGATAASIGRPAQGATAASIGGVTRRRGTPAAAAGSRGRSPASIRRHAHGPGQRRRRRPMRRPTATTRRRRRLSATHHPRRAGQQLRGRCRECPPEVHVHQDALPRTTVGGGQEEHVDVRVLTGGVGGELVATGDADGRRRAGSRADAREKPMASQSNPSHNMKNNMKNYEPDEVTKRVVRSCLQQRAMTYA >PAN19350 pep chromosome:PHallii_v3.1:3:17789312:17790296:1 gene:PAHAL_3G267500 transcript:PAN19350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFVGGVEQGAGRVLKEAAGRCLRCGGTADLVETEKALKLFFVPVWRWPGKDPAYLCRECGLLAPGSLGAEPGPSLLPQEARCGACSRAVDPQFRFCPFCGSAL >PAN17788 pep chromosome:PHallii_v3.1:3:9958171:9966503:-1 gene:PAHAL_3G154800 transcript:PAN17788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWNYVVTAHKPTSVSHSCVGNFTSPNQLNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATIELFRPHNETQDFLFIATERYKFCVLQWDAEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLHGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFKAIPIKQSIIRAYGRVDPDGSRYLLGDNTGTLHLLVLTHERERVTGLKVEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADASGSFVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNIEDELEETEIEGFDAQTQTLFCQNAINDHLIQVTANSVRLVSCTSRELVDQWNAPAGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCLDLNPIGNNPQYSSLAAVGMWTDISVRIFSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGNLFSFLLNACTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNQTSMEESETHYIRLLDHQTFEFLSTYPLDQYECGCSIISCSFADDNNVYYCVGTAYVIPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEERARDYNANWMTAVEMLDDEAYIGAENSYNLFTVRKNSDAATDDERARLEVVGEYHLGEFVNRFRHGSLVMRLPDSEIGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTAEARNFLDGDLIESFLDLSRSKMEEVSKAMGVPVEELSKRVEELTRLH >PAN22100 pep chromosome:PHallii_v3.1:3:64153464:64159111:-1 gene:PAHAL_3G513500 transcript:PAN22100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANNKPKLEEEHGKAYAVAEEDAATTNHKMMMKKHLSDDDESAAGEPEENYRGWKAMPYVIGNETCEKLGTIGTTANLLVYLTTVYGMKSASAATLLSLWGGTVNLAPVLGAFLSDSYLGRYATIALASIASFVGMILLTLTAAVPSLHPHGGTGPSASHMAVLLVSFALLAVGAGGIRPCNLAFGADQFDPRTPAGRRGINSFFNWYYFTFTIAMMVSATVIIYLQSNVSWALGLAVPATLMGLSCALFFMGTRLYVRVRPEGSPFTSFAQVVVAAYRKRRLPAPKGELFDPPHRSSLVSKIAYTDQFLCLDKAAVLTAEDELTADGTTAVNPWRLCTLQQVEEVKCLVRLLPVWSSGIVYYIVLTNLGNYNVLQAMQTDRHLGRSGFQIPAGSFVVFNMLALTVWLPVYDGVLVPVLQRVTKREGGMTQLQRMGTGLVLSIATMLVAAAVERHRRAAGDATSCFVLVPQQILAGLSEAFAVIGQVDLYYKQFPENMRSVAGALLFLGFAAASYASGVMVTVVHRTTGGSDGRPDWLTQDLNQGRVDLYYLVIAGMAAVNLVYFVACARWYRFKESDSDAAAAVELEEKDKVSVTAGAAAPPPPV >PVH62722 pep chromosome:PHallii_v3.1:3:42824404:42825309:-1 gene:PAHAL_3G379500 transcript:PVH62722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNIFWDHAGHFHTNALHWEGFSHLLWESLRLFFYIEPPQYDGVEYREEGVPRCRVKMTIPQHPYCSQWQPIEVDVVGYRLVDTIETAALEAIHTFCNQHPAEVAGHPISLFPAIDSSDSEWNFRIAHYGHMLGDLAEETLRGTIRFMNVQHHYQILLHRGMSLLTGIAQGHYRNADRRVTQIEELQALVMEKEEIIAERNETIVHREDQINKSDAIITQRNTIIEFLQEQVHDLILEVDDAHAHIDELQQQPAPPAVPEAPEGEEEEPEEIEGVSDLDSEHENLEPNPQPDQSSFGS >PAN21366 pep chromosome:PHallii_v3.1:3:59650011:59655945:-1 gene:PAHAL_3G459200 transcript:PAN21366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPGEQPRAAATKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVSVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKENFCLIHVSLVLVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKAHEAIIKQLNLAGSAGPPELRDWTEMAESYDNLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDETAINAPDAHAKAWETLKGSACVLIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGLGDADSEEFNKDAPDHVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLTSKLYGCPPHVDERHRHRYEVNPSFVPMLENAGLHFVGCDESGKRMEIVELQGHPFYVGVQFHPEFKSRPRRPSPPFTGLIMAATKQLGTNSNNSNGYIGASE >PAN21365 pep chromosome:PHallii_v3.1:3:59649432:59656031:-1 gene:PAHAL_3G459200 transcript:PAN21365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPGEQPRAAATKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVSVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKENFCLIHVSLVLVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQVENILNIHDVPNLWHVPLILRNQKAHEAIIKQLNLAGSAGPPELRDWTEMAESYDNLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDETAINAPDAHAKAWETLKGSACVLIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGLGDADSEEFNKDAPDHVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLTSKLYGCPPHVDERHRHRYEVNPSFVPMLENAGLHFVGCDESGKRMEIVELQGHPFYVGVQFHPEFKSRPRRPSPPFTGLIMAATKQLGTNSNNSNGYIGASE >PAN17692 pep chromosome:PHallii_v3.1:3:9701255:9709788:1 gene:PAHAL_3G150100 transcript:PAN17692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPAPPPQGNLTTSDALTYLKDVKEKFQDRRHKYDEFLEVMRDFKSNKIDTAGVILRVKTLFHGHSDLILGFNAFLPKGYEIKLEDLDKKPVDFAMAISFVNKIKSRFQQEDHVYKSFLGILNMYRMHNKPIQDVYEEVATLFHGHPDLLEEFKHFLPENPTPPQAATVSRVRHDEKNTTMHSARSVQTIKRERAFPPTADPDSSVDRPDPEHVVQRCTEEKNRNACHSQDRRDYETNDKYDNRELYGRKPQRKVEGTGDDTLGGPSTSPLPFNDNYVLKSSNTQEFHFCEKVKVKLEPEAYQKFLKCLHIYSQEIITRSELKRLVKDILQHYPDLVNGFNEFLEHCENIDGFLEGILKERQTSRTVKTMEKDRDKEHDGQGDPEKERYKERGKPEKASPFNSKEGASHKATAFPSKEKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASNLTDIGAAVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDILLESVNSTIKRVEELVEKMDKSLKPDSSIHIDEHLTCLNMRCIERVYGDHGLDVVDVLRKNADVALPVILTRLKQKQEEWSRCQSDFNKIWAEIYAKNYHKSLDHRSFYFKQQDTKNLSAKVLLAEIKEINEKKRKGDDVLLAVAAGNRRPIVPNMSFEYVDSDIHEDLYQIIKYSCGELCSSSDQVDKVMRIWTTFLEPILGIQHRGHGTEDAGMVKAKSRARKVGLACGEKRNNATPNGTVAVKPANGDENILKECVQPPRAIFVNEATADAQDCSHEGEQTFGRGDDLPNAALHGRVQNTSSAADKVATAQNNSTKGSVGNTDLSPSEKNQCRANMDLVAGNTTSAGAKAVVEMKGGNETIPSTGKREAGTIKSLYGVGANPFNKYSGSHNNLKPEREEGELSPNGDFEEENFGVFQVEASDGTSKLKEGSACRPLQGRHKEVVKFAGENHDDADDEGDESAQRSTEDSENASEAGEDASGSESGGGEEFSREDHEEEEDDMDPDTNAQSEGGAEVTDAQDLDVGISLPFSERLHSTVKPLYKYVASTLQNHDDKDTHIFYGNDSFYVLFRLHQILYERILSAKTNSSTSEKKWKASKDTKSSDQYSKFISALYSLLDGSSDSTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQVVASDEMDNKLLQLYLYEKSRSPGRFLDLVYHENARVLLHDESIYRFECHSNPTRLSVQLMEYGNEKPEVTAVTFDPTFSQYLYNDYLSSIPDTKLADDVFLRRNKRKQGGNDDSPASLKTMDNIMFVNGLECKISCKTSKVSYVLDTEDFLFRMRKRRRAPSSGTMPAKANFAKAYSVKSQEFHRFLSRP >PVH61894 pep chromosome:PHallii_v3.1:3:9701277:9709786:1 gene:PAHAL_3G150100 transcript:PVH61894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPAPPPQGNLTTSDALTYLKDVKEKFQDRRHKYDEFLEVMRDFKSNKIDTAGVILRVKTLFHGHSDLILGFNAFLPKGYEIKLEDLDKKPVDFAMAISFVNKIKSRFQQEDHVYKSFLGILNMYRMHNKPIQDVYEEVATLFHGHPDLLEEFKHFLPENPTPPQAATVSRVRHDEKNTTMHSARSVQTIKRERAFPPTADPDSSVDRPDPEHVVQRCTEEKNRNACHSQDRRDYETNDKYDNRELYGRKPQRKVEGTGDDTLGGPSTSPLPFNDNYVLKSSNTQEFHFCEKVKVKLEPEAYQKFLKCLHIYSQEIITRSELKRLVKDILQHYPDLVNGFNEFLEHCENIDGFLEGILKEIFWNEGQTSRTVKTMEKDRDKEHDGQGDPEKERYKERGKPEKASPFNSKEGASHKATAFPSKEKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASNLTDIGAAVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDILLESVNSTIKRVEELVEKMDKSLKPDSSIHIDEHLTCLNMRCIERVYGDHGLDVVDVLRKNADVALPVILTRLKQKQEEWSRCQSDFNKIWAEIYAKNYHKSLDHRSFYFKQQDTKNLSAKVLLAEIKEINEKKRKGDDVLLAVAAGNRRPIVPNMSFEYVDSDIHEDLYQIIKYSCGELCSSSDQVDKVMRIWTTFLEPILGIQHRGHGTEDAGMVKAKSRARKVGLACGEKRNNATPNGTVAVKPANGDENILKECVQPPRAIFVNEATADAQDCSHEGEQTFGRGDDLPNAALHGRVQNTSSAADKVATAQNNSTKGSVGNTDLSPSEKNQCRANMDLVAGNTTSAGAKAVVEMKGGNETIPSTGKREAGTIKSLYGVGANPFNKYSGSHNNLKPEREEGELSPNGDFEEENFGVFQVEASDGTSKLKEGSACRPLQGRHKEVVKFAGENHDDADDEGDESAQRSTEDSENASEAGEDASGSESGGGEEFSREDHEEEEDDMDPDTNAQSEGGAEVTDAQDLDVGISLPFSERLHSTVKPLYKYVASTLQNHDDKDTHIFYGNDSFYVLFRLHQILYERILSAKTNSSTSEKKWKASKDTKSSDQYSKFISALYSLLDGSSDSTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQVVASDEMDNKLLQLYLYEKSRSPGRFLDLVYHENARVLLHDESIYRFECHSNPTRLSVQLMEYGNEKPEVTAVTFDPTFSQYLYNDYLSSIPDTKLADDVFLRRNKRKQGGNDDSPASLKTMDNIMFVNGLECKISCKTSKVSYVLDTEDFLFRMRKRRRAPSSGTMPAKANFAKAYSVKSQEFHRFLSRP >PAN15717 pep chromosome:PHallii_v3.1:3:131833:134421:-1 gene:PAHAL_3G002200 transcript:PAN15717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRVSPSPAAAANHVSGPAANPASVRVPAPRVSPSACRAAGKGKEVLSGVVFQPFEEIKGELSLVPQATDKSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNTRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHTVATRCNDPQLTDFIESEFLSEQVEAIKKVSEYVAQLRRVGKGHGVWHFDQKLLEEEA >PVH62480 pep chromosome:PHallii_v3.1:3:21216577:21217999:-1 gene:PAHAL_3G305000 transcript:PVH62480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGLDLTGSDLFSSLFACVGRGLPAMVQLVQFSKLLSVDEIQIRWSMCSKITNMLMLTTLIWYGACIRMESNFLEVRRFQSENGHLVEGRQARK >PVH61546 pep chromosome:PHallii_v3.1:3:3669901:3670747:1 gene:PAHAL_3G058200 transcript:PVH61546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPGPDPSKAVHERRLSFVPRLATPPPRSRRYPPPYPCSRRPASVVLRHPRTTARLSFFQDSNRRS >PAN19726 pep chromosome:PHallii_v3.1:3:20036994:20045369:1 gene:PAHAL_3G293800 transcript:PAN19726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLPQHHKPSKPSAPSCCSSWIRRSPPPSPPHKKPGGGGRSRYACRLVPLLVLTVYSVFTVLRIPSSSLVVTTADSERVERREDLEALKTHLPSNQNSLEAREETRSVASLPCSAFINGEAGYGEEGVLCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSSPEKVRPYTRKFEGSIMSTIDEVTILPVAGAYNASASAGDGGTLRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFDEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSRAASSSSSASPPVPLPLAPPSRPCPRPAKPKLLIFIRKQNRVLLNLPHVVTACRRAGFAPHVMNLRRQTPLPAIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAEYGLNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLKAARTHLRNTTACAKAAGAGAAAALR >PVH62827 pep chromosome:PHallii_v3.1:3:53077107:53080393:-1 gene:PAHAL_3G411000 transcript:PVH62827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRLELEEKKVEEEEMEDGEDNVVDVAREFTMSCCSPVLHKGLWYCLAEGGSLGVYDPKRINWSVLRKPVSFGPEFPHKNCYLVESSQELLAVLTGRNGTTIHVLKLKEKEMTWERMDSLGGRAIFTGTLASLSMARPPKVKANKVYLPKFYGRPQIIAAKLTASGGRLFFVPAQKEMQHPTSNKSVHNFMRDHDTCGDKDGAWCYDLELDSGVDKEISGCKNMLQYIWVHLGLASP >PAN21614 pep chromosome:PHallii_v3.1:3:61337607:61339940:-1 gene:PAHAL_3G479900 transcript:PAN21614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASACGGAGCGPHCSSTSAAGAEEGAPAERMGRLSIAAGATTTCGKCDGGGPAVAVSGGAGMCRECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNLQALPAFGVGVGFVDESALSVRPEHETETATEDIKSIVSSLSPGNKQVHFAPLEDVFSSGSKDKAARLKEVLGMIDDETGRDDFVQCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINSLVASFVSRLREENPSREHTILRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFHNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPAATDTYAHFFSLLPKFWTEKVDATSASNSSLRDQIEDYLLEEDDVN >PAN21613 pep chromosome:PHallii_v3.1:3:61336954:61340020:-1 gene:PAHAL_3G479900 transcript:PAN21613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASACGGAGCGPHCSSTSAAGAEEGAPAERMGRLSIAAGATTTCGKCDGGGPAVAVSGGAGMCRECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNLQALPAFGVGVGFVDESALSVRPEHETETATEDIKSIVSSLSPGNKQVHFAPLEDVFSSGSKDKAARLKEVLGMIDDETGRDDFVQCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINSLVASFVSRLREENPSREHTILRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFHNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPAATDTYAHFFSLLPKFWTEKVDATSASNSSLRDQIEDYLLEEDDVN >PVH63138 pep chromosome:PHallii_v3.1:3:61337866:61339940:-1 gene:PAHAL_3G479900 transcript:PVH63138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASACGGAGCGPHCSSTSAAGAEEGAPAERMGRLSIAAGATTTCGKCDGGGPAVAVSGGAGMCRECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNLQALPAFGVGVGFVDESALSVRPEHETETATEDIKSIVSSLSPGNKQVHFAPLEDVFSSGSKDKAARLKEVLGMIDDETGRDDFVQCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINSLVASFVSRLREENPSREHTILRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFHNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPAATDTYAHFFSLLPKFWTEKVDATSASNSSLR >PVH63139 pep chromosome:PHallii_v3.1:3:61336954:61340021:-1 gene:PAHAL_3G479900 transcript:PVH63139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASACGGAGCGPHCSSTSAAGAEEGAPAERMGRLSIAAGATTTCGKCDGGGPAVAVSGGAGMCRECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNLQALPAFGVGVGFVDESALSVRPEHETETATEDIKSIVSSLSPGNKQVHFAPLEDVFSSGSKDKAARLKEVLGMIDDETGRDDFVQCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINSLVASFVSRLREENPSREHTILRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFHNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPAATDTYAHFFSLLPKFWTEKVDATSASNSSLR >PVH63135 pep chromosome:PHallii_v3.1:3:61337607:61339940:-1 gene:PAHAL_3G479900 transcript:PVH63135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASACGGAGCGPHCSSTSAAGAEEGAPAERMGRLSIAAGATTTCGKCDGGGPAVAVSGGAGMCRECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNLQALPAFGVGVGFVDESALSVRPEHETETATEDIKSIVSSLSPGNKQVHFAPLEDVFSSGSKDKAARLKEVLGMIDDETGRDDFVQCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINSLVASFVSRLREENPSREHTILRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFHNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPAATDTYAHFFSLLPKFWTEKVDATSASNSSLRDQIEDYLLEEDDVN >PAN21612 pep chromosome:PHallii_v3.1:3:61337607:61339940:-1 gene:PAHAL_3G479900 transcript:PAN21612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASACGGAGCGPHCSSTSAAGAEEGAPAERMGRLSIAAGATTTCGKCDGGGPAVAVSGGAGMCRECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNLQALPAFGVGVGFVDESALSVRPEHETETATEDIKSIVSSLSPGNKQVHFAPLEDVFSSGSKDKAARLKEVLGMIDDETGRDDFVQCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINSLVASFVSRLREENPSREHTILRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFHNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPAATDTYAHFFSLLPKFWTEKVDATSASNSSLRDQIEDYLLEEDDVN >PVH63137 pep chromosome:PHallii_v3.1:3:61337607:61339940:-1 gene:PAHAL_3G479900 transcript:PVH63137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASACGGAGCGPHCSSTSAAGAEEGAPAERMGRLSIAAGATTTCGKCDGGGPAVAVSGGAGMCRECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNLQALPAFGVGVGFVDESALSVRPEHETETATEDIKSIVSSLSPGNKQVHFAPLEDVFSSGSKDKAARLKEVLGMIDDETGRDDFVQCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINSLVASFVSRLREENPSREHTILRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFHNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPAATDTYAHFFSLLPKFWTEKVDATSASNSSLRDQIEDYLLEEDDVN >PVH63136 pep chromosome:PHallii_v3.1:3:61336954:61340020:-1 gene:PAHAL_3G479900 transcript:PVH63136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASACGGAGCGPHCSSTSAAGAEEGAPAERMGRLSIAAGATTTCGKCDGGGPAVAVSGGAGMCRECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNLQALPAFGVGVGFVDESALSVRPEHETETATEDIKSIVSSLSPGNKQVHFAPLEDVFSSGSKDKAARLKEVLGMIDDETGRDDFVQCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINSLVASFVSRLREENPSREHTILRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFHNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPAATDTYAHFFSLLPKFWTEKVDATSASNSSLR >PVH61617 pep chromosome:PHallii_v3.1:3:5164426:5168075:1 gene:PAHAL_3G078100 transcript:PVH61617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELIICTVLYQCFLCQTLSIRRPLPSGKCNLFNGEWIPKPSGPSYTNASCRFIDDHQNCMMNGRPDKGYLQWKWKPYGCDLPPFNAVRFLDSMRNRAWGLIGDSILRNQVQSLLCLLSKAEEPVEVYHDKEYKHRRWHFQSYNFTVSLVWAPFLIKSDVFENENGLSTSEIQLHLDILDASWTSQYESFDYIIISGGQWFLKTAVYWENGAVVGCHYCRNKNLIELGFEHLYRKTLQKVFSFIISEKHKPVIFFRTWSPDHFENGEWFNGGSCNKVAPYKKREYRERYNEHVMRDIELKEFNKAEAALRGSGDVERLKLMDTYSLSTLRPDGHVGPYRTPYPFAKDSKNAVSVQNDCLHWCVPGPIDAWNDLVMKMALDR >PVH61619 pep chromosome:PHallii_v3.1:3:5164317:5168075:1 gene:PAHAL_3G078100 transcript:PVH61619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELIICTVLYQCFLCQTLSIRRPLPSGKCNLFNGEWIPKPSGPSYTNASCRFIDDHQNCMMNGRPDKGYLQWKWKPYGCDLPPFNAVRFLDSMRNRAWGLIGDSILRNQVQSLLCLLSKAEEPVEVYHDKEYKHRRWHFQSYNFTVSLVWAPFLIKSDVFENENGLSTSEIQLHLDILDASWTSQYESFDYIIISGGQWFLKTAVYWENGAVVGCHYCRNKNLIELGFEHLYRKTLQKVFSFIISEKHKPVIFFRTWSPDHFENGEWFNGGSCNKVAPYKKREYRERYNEHVMRDIELKEFNKAEAALRGSGDVERLKLMDTYSLSTLRPDGHVGPYRTPYPFAKDSKNAVSVQNDCLHWCVPGPIDAWNDLVMKMALDR >PAN16695 pep chromosome:PHallii_v3.1:3:5162700:5168076:1 gene:PAHAL_3G078100 transcript:PAN16695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPMWWSASPPSPWGRGAGKWWALGGPLAVKAVGFLLLAGLLFRVLCSFPSSRAPALQITEGKCNLFNGEWIPKPSGPSYTNASCRFIDDHQNCMMNGRPDKGYLQWKWKPYGCDLPPFNAVRFLDSMRNRAWGLIGDSILRNQVQSLLCLLSKAEEPVEVYHDKEYKHRRWHFQSYNFTVSLVWAPFLIKSDVFENENGLSTSEIQLHLDILDASWTSQYESFDYIIISGGQWFLKTAVYWENGAVVGCHYCRNKNLIELGFEHLYRKTLQKVFSFIISEKHKPVIFFRTWSPDHFENGEWFNGGSCNKVAPYKKREYRERYNEHVMRDIELKEFNKAEAALRGSGDVERLKLMDTYSLSTLRPDGHVGPYRTPYPFAKDSKNAVSVQNDCLHWCVPGPIDAWNDLVMKMALDR >PVH61616 pep chromosome:PHallii_v3.1:3:5162700:5168075:1 gene:PAHAL_3G078100 transcript:PVH61616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELIICTVLYQCFLCQTLSIRRPLPSGKCNLFNGEWIPKPSGPSYTNASCRFIDDHQNCMMNGRPDKGYLQWKWKPYGCDLPPFNAVRFLDSMRNRAWGLIGDSILRNQVQSLLCLLSKAEEPVEVYHDKEYKHRRWHFQSYNFTVSLVWAPFLIKSDVFENENGLSTSEIQLHLDILDASWTSQYESFDYIIISGGQWFLKTAVYWENGAVVGCHYCRNKNLIELGFEHLYRKTLQKVFSFIISEKHKPVIFFRTWSPDHFENGEWFNGGSCNKVAPYKKREYRERYNEHVMRDIELKEFNKAEAALRGSGDVERLKLMDTYSLSTLRPDGHVGPYRTPYPFAKDSKNAVSVQNDCLHWCVPGPIDAWNDLVMKMALDR >PVH61618 pep chromosome:PHallii_v3.1:3:5164849:5167651:1 gene:PAHAL_3G078100 transcript:PVH61618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELIICTVLYQCFLCQTLSIRRPLPSGKCNLFNGEWIPKPSGPSYTNASCRFIDDHQNCMMNGRPDKGYLQWKWKPYGCDLPPFNAVRFLDSMRNRAWGLIGDSILRNQVQSLLCLLSKAEEPVEVYHDKEYKHRRWHFQSYNFTVSLVWAPFLIKSDVFENENGLSTSEIQLHLDILDASWTSQYESFDYIIISGGQWFLKTAVYWENGAVVGCHYCRNKNLIELGFEHLYRKTLQKVFSFIISEKHKPVIFFRTWSPDHFENGEWFNGGSCNKVAPYKKREYRERYNEHVMRDIELKEFNKAEAALRGSGDVERLKLMDTYSLSTLRPDGHVGPYRTPYPFAKDSKNAVSVQNDCLHWCVPGPIDAWNDLVMKMALDR >PAN16696 pep chromosome:PHallii_v3.1:3:5162700:5168075:1 gene:PAHAL_3G078100 transcript:PAN16696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELIICTVLYQCFLCQTLSIRRPLPSGKCNLFNGEWIPKPSGPSYTNASCRFIDDHQNCMMNGRPDKGYLQWKWKPYGCDLPPFNAVRFLDSMRNRAWGLIGDSILRNQVQSLLCLLSKAEEPVEVYHDKEYKHRRWHFQSYNFTVSLVWAPFLIKSDVFENENGLSTSEIQLHLDILDASWTSQYESFDYIIISGGQWFLKTAVYWENGAVVGCHYCRNKNLIELGFEHLYRKTLQKVFSFIISEKHKPVIFFRTWSPDHFENGEWFNGGSCNKVAPYKKREYRERYNEHVMRDIELKEFNKAEAALRGSGDVERLKLMDTYSLSTLRPDGHVGPYRTPYPFAKDSKNAVSVQNDCLHWCVPGPIDAWNDLVMKMALDR >PVH62757 pep chromosome:PHallii_v3.1:3:45950167:45952926:1 gene:PAHAL_3G388700 transcript:PVH62757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAGDPHPRTTRKPSTGFTSAAARLLLRHSRTEAANGESIEFFSALRKCLPDPHISGQNAARGAAEPADGKGKARRGGSGGSADEVLSLSSGIGKHDYDWFLTPPGTPLWSPPASSSGHRQVSAAVRSRLAKAGSASHGKSTSRLGPTGLEERPTRSRLSNCSSATSVNNVVPSGRLLRVRTASASSINTASIASVSSTPLLSAESSPRTPGTARSPAATAIAQTRRRDKARVATSYVVIQSGASSKSKPGAPAPTCTRAHPTPGVSSPRSTASTSRQPSLLRRGDVAMARSRLASQSSGTGSRPQPRDVHPTSRGASGVASSSNGTKSRQVAPAVKQGGAAAASTTTQRWRENSLDNGSPIYSVGGKINDEKTRPHHTAAAAMGSGLTRTGSRKSANTTTVKRTVNDQNEDCRRQDARHGGADAPDHRRLALLQETRRSVTSRSRLGLMAATSTSGSISSGHQHAALAATIAKVAGPDAFPSTRYDAMLLREDPRNLTWLRGCDEGDDGSFGGLDLVDSSLEPFDVATGLSTTAVTI >PAN21225 pep chromosome:PHallii_v3.1:3:58756943:58759989:-1 gene:PAHAL_3G451200 transcript:PAN21225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEGRGPRRSRVAFVLVDGIGDVTIPSLGGRTPLEAARAPRLDALAAAGVAGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDENTGVIVSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPMDTPPRVFVPGEDEYKAGRENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLARLLWEAEKAGHYQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLRDYVGAIGEDNVLDTPLDDFPLPSVKSGEDLLDNMESTEHKSDKLKAFSGDSVYKFNEIATARGCLGRFPGSEMMGIIKKFIKAKND >PAN21324 pep chromosome:PHallii_v3.1:3:56827468:56834334:1 gene:PAHAL_3G433500 transcript:PAN21324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKVAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKQPPPGFYDTVGEDRPLEHVQFPTTIDELEGKRRADIEAQLRKQDIAKNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKIGNAGDPGLTEELGEGSTATRTLLASYSQTPKLGMTPLRTPQRTPSGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGHNLGLTPKGTPFRDELCINEAGEMQDDTKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPSITEDEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEIIRQSVIRSGESRSRSSFVPPTSLEQADELINEELLRLLEHDNAKYPLDETTQKEKKKGSKRQQNGGSLVPEIDDFEEAELKEAGSMVEEEIQYLRLAMGHENESFEDFVKAHDACQEDLMFFPTKNSYGLASVSGNADKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMNTASTEIECFQELQKQEHLAASYRILNLTKEVNKQKALERILQSRYGDLLSGFQRIQGQLEEHKRQLKIQEAREAENRAQEEEVVARDCAAEEEEKKSRSLEEEGVQTNIATDGEAAGSKGTTEDQMDVDNRNVDEEFVGPIPPAPDTEGDNDEVTIQENTSNAQSTDCASTDDGADKTDPAKPEGQDKADDSMAVDAGPQEEGKDEPATVGASHQHSKVG >PVH62935 pep chromosome:PHallii_v3.1:3:56827191:56834913:1 gene:PAHAL_3G433500 transcript:PVH62935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKQPPPGFYDTVGEDRPLEHVQFPTTIDELEGKRRADIEAQLRKQDIAKNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKIGNAGDPGLTEELGEGSTATRTLLASYSQTPKLGMTPLRTPQRTPSGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGHNLGLTPKGTPFRDELCINEAGEMQDDTKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPSITEDEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEIIRQSVIRSGESRSRSSFVPPTSLEQADELINEELLRLLEHDNAKYPLDETTQKEKKKGSKRQQNGGSLVPEIDDFEEAELKEAGSMVEEEIQYLRLAMGHENESFEDFVKAHDACQEDLMFFPTKNSYGLASVSGNADKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMNTASTEIECFQELQKQEHLAASYRILNLTKEVNKQKALERILQSRYGDLLSGFQRIQGQLEEHKRQLKIQEAREAENRAQEEEVVARDCAAEEEEKKSRSLEEEGVQTNIATDGEAAGSKGTTEDQMDVDNRNVDEEFVGPIPPAPDTEGDNDEVTIQENTSNAQSTDCASTDDGADKTDPAKPEGQDKADDSMAVDAGPQEEGKDEPATVGASPLSLLKNGDTKF >PVH62933 pep chromosome:PHallii_v3.1:3:56827191:56835189:1 gene:PAHAL_3G433500 transcript:PVH62933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKVAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKQPPPGFYDTVGEDRPLEHVQFPTTIDELEGKRRADIEAQLRKQDIAKNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKIGNAGDPGLTEELGEGSTATRTLLASYSQTPKLGMTPLRTPQRTPSGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGHNLGLTPKGTPFRDELCINEAGEMQDDTKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPSITEDEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEIIRQSVIRSGESRSRSSFVPPTSLEQADELINEELLRLLEHDNAKYPLDETTQKEKKKGSKRQQNGGSLVPEIDDFEEAELKEAGSMVEEEIQYLRLAMGHENESFEDFVKAHDACQEDLMFFPTKNSYGLASVSGNADKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMNTASTEIECFQELQKQEHLAASYRILNLTKEVNKQKALERILQSRYGDLLSGFQRIQGQLEEHKRQLKIQEAREAENRAQEEEVVARDCAAEEEEKKSRSLEEEGVQTNIATDGEAAGSKGTTEDQMDVDNRNVDEEFVGPIPPAPDTEGDNDEVTIQENTSNAQSTDCASTDDGADKTDPAKPEGQDKADDSMAVDAGPQEEGKDEPATVGASPLSLLKNGDTKF >PVH62934 pep chromosome:PHallii_v3.1:3:56830087:56834913:1 gene:PAHAL_3G433500 transcript:PVH62934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKQPPPGFYDTVGEDRPLEHVQFPTTIDELEGKRRADIEAQLRKQDIAKNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKIGNAGDPGLTEELGEGSTATRTLLASYSQTPKLGMTPLRTPQRTPSGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGHNLGLTPKGTPFRDELCINEAGEMQDDTKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPSITEDEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEIIRQSVIRSGESRSRSSFVPPTSLEQADELINEELLRLLEHDNAKYPLDETTQKEKKKGSKRQQNGGSLVPEIDDFEEAELKEAGSMVEEEIQYLRLAMGHENESFEDFVKAHDACQEDLMFFPTKNSYGLASVSGNADKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMNTASTEIECFQELQKQEHLAASYRILNLTKEVNKQKALERILQSRYGDLLSGFQRIQGQLEEHKRQLKIQEAREAENRAQEEEVVARDCAAEEEEKKSRSLEEEGVQTNIATDGEAAGSKGTTEDQMDVDNRNVDEEFVGPIPPAPDTEGDNDEVTIQENTSNAQSTDCASTDDGADKTDPAKPEGQDKADDSMAVDAGPQEEGKDEPATVGASHQHSKVG >PAN21323 pep chromosome:PHallii_v3.1:3:56827191:56834913:1 gene:PAHAL_3G433500 transcript:PAN21323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKVAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKQPPPGFYDTVGEDRPLEHVQFPTTIDELEGKRRADIEAQLRKQDIAKNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKIGNAGDPGLTEELGEGSTATRTLLASYSQTPKLGMTPLRTPQRTPSGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGHNLGLTPKGTPFRDELCINEAGEMQDDTKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPSITEDEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEIIRQSVIRSGESRSRSSFVPPTSLEQADELINEELLRLLEHDNAKYPLDETTQKEKKKGSKRQQNGGSLVPEIDDFEEAELKEAGSMVEEEIQYLRLAMGHENESFEDFVKAHDACQEDLMFFPTKNSYGLASVSGNADKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMNTASTEIECFQELQKQEHLAASYRILNLTKEVNKQKALERILQSRYGDLLSGFQRIQGQLEEHKRQLKIQEAREAENRAQEEEVVARDCAAEEEEKKSRSLEEEGVQTNIATDGEAAGSKGTTEDQMDVDNRNVDEEFVGPIPPAPDTEGDNDEVTIQENTSNAQSTDCASTDDGADKTDPAKPEGQDKADDSMAVDAGPQEEGKDEPATVGASVSEGNTAVSFNQAVSNVDNGMAPE >PAN20514 pep chromosome:PHallii_v3.1:3:25668226:25673026:1 gene:PAHAL_3G327100 transcript:PAN20514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKKSDKKAVLDFAAWSFNVTTSVGIIMVNKALMTKHGFSFATTLTGLHFVTTTLMTIAFRWLGLSQPSQLPLADLIKFVIFSNFSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEIVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNARGLIASVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGKRVDHFNFSSLALFFITLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFIFFGKEGLNLQVVLGMVLAVLGMIWYGNASAKPGGKERCSFLPVRSGSLKGSSEETDGAEK >PAN20513 pep chromosome:PHallii_v3.1:3:25668226:25673026:1 gene:PAHAL_3G327100 transcript:PAN20513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKKSDKKAVLDFAAWSFNVTTSVGIIMVNKALMTKHGFSFATTLTGLHFVTTTLMTIAFRWLGLSQPSQLPLADLIKFVIFSNFSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEIVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNARGLIASVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGKRVDHFNFSSLALFFITLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFIFFGKEGLNLQVVLGMVLAVLGMIWYGNASAKPGGKERCSFLPVRSGSLKGSSEETDGAEK >PVH61991 pep chromosome:PHallii_v3.1:3:11436236:11439333:-1 gene:PAHAL_3G173000 transcript:PVH61991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPHRNQHKSIGPFPRKLLVESQTERSEGKTETKGGGTGHLHPRHRSPETRLQIRRPVASSPRDHPSQPTALISSAISVHLRLHRQEVNRCRSFSPLPFLPHPLPRALRVPISSHGRHHGGQGSGMSEAEGAPETGGGSYGGKEQDRYLPIANIGRIMRRAVPENGKIAKDAKESVQECVSEFISFITSEASDKCMKEKRKTINGDDLIWSMGTLGFEEYVEPLKHYLKLYRETEGDTKGSKSSDHTGKKEILLNGEPGSSFDGV >PVH61988 pep chromosome:PHallii_v3.1:3:11438249:11439322:-1 gene:PAHAL_3G173000 transcript:PVH61988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPHRNQHKSIGPFPRKLLVESQTERSEGKTETKGGGTGHLHPRHRSPETRLQIRRPVASSPRDHPSQPTALISSAISVHLRLHRQEGSGMSEAEGAPETGGGSYGGKEQDRYLPIANIGRIMRRAVPENGKIAKDAKESVQECVSEFISFITSEASDKCMKEKRKTINGDDLIWSMGTLGFEEYVEPLKHYLKLYREVLIESPPLSLRAVYHCIRYEWNCCPVMGADMQYRSNLPRCLSGLFTIVFVMGGIATLLWVQIVIREFLIARKGNVDVCWKLLVSC >PVH61989 pep chromosome:PHallii_v3.1:3:11438249:11439322:-1 gene:PAHAL_3G173000 transcript:PVH61989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPHRNQHKSIGPFPRKLLVESQTERSEGKTETKGGGTGHLHPRHRSPETRLQIRRPVASSPRDHPSQPTALISSAISVHLRLHRQEVNRCRSFSPLPFLPHPLPRALRVPISSHGRHHGGQGSGMSEAEGAPETGGGSYGGKEQDRYLPIANIGRIMRRAVPENGKIAKDAKESVQECVSEFISFITSEASDKCMKEKRKTINGDDLIWSMGTLGFEEYVEPLKHYLKLYREVLIESPPLSLRAVYHCIRYEWNCCPVMGADMQYRSNLPRCLSGLFTIVFVMGGIATLLWVQIVIREFLIARKGNVDVCWKLLVSC >PVH61990 pep chromosome:PHallii_v3.1:3:11436202:11439333:-1 gene:PAHAL_3G173000 transcript:PVH61990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPHRNQHKSIGPFPRKLLVESQTERSEGKTETKGGGTGHLHPRHRSPETRLQIRRPVASSPRDHPSQPTALISSAISVHLRLHRQEGSGMSEAEGAPETGGGSYGGKEQDRYLPIANIGRIMRRAVPENGKIAKDAKESVQECVSEFISFITSEASDKCMKEKRKTINGDDLIWSMGTLGFEEYVEPLKHYLKLYRETEGDTKGSKSSDHTGKKEILLNGEPGSSFDGV >PAN20961 pep chromosome:PHallii_v3.1:3:55234170:55240893:-1 gene:PAHAL_3G423000 transcript:PAN20961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVMVSTATGAMNSLLSKLFKLLSYEFNLFRGIRNEIEFLKHELSYMNALLHNLANMEELDIQTHVWRNKVRELSYDIEDCIDIFMHHLGNNDEKAGLVHEATKMFKKLWVCHRVSKQIQQLKDRVVQENERRKRYKLDEGPSNSRVVEIDPRLPSLYAHDGQLVGVDGPRDTIIHWLIGDEGRGSSQQLKILSIVGFGGLGKTTLANSVFCKIKDKFDCTAFVSVSQKPNLPKILKDILSAIGGFMGDPDDNLQILIDKIREHLMYKRYLIIIDDLWSIPAWDTIKCAFVENNNGSGVITTTRIQDVATASCFPYGRHVYQMQCLDELYSRRLFFNRVFGTEDGCPEQFREISDDMLRKCKGVPLAITSIASLLANRSMHVETWEKIRKSLGYELDTNPTLEWMRHVLNLSYNDLSHELKTCLLYLGVYPEDHAIGKFDLVRKWIAEGFIREKHGLDPEEVAENCFNELVNRSMIQPFFDDSDEEWSCRVHDLMLDLIILKCSEENFTTIIDRQFKMKNGASQVRRISHQSNNSNIALVVDKMSLSQVRSYNSFPAADCMPLLSKFEHLRVLDIDHGSYAAPESICFALSAINHLFLLRYLKVRGFRLELPEKFGKLEHLMTLDISRGKLYPSHQSSDFTSLSSLRHLSLSMFGHGVVLRNGLSKLCNLRTLFHFDVRTNSADCIRDLGELANLRELSVSYSRPFGVQDNPDTRLLKYDILAASLDKLGSINLRDLDIVGCDSSATPTQFWDNCFTRPRNLQRLWLPKLSLPKVPNWMVHADRLENLKKLTVQELRHDDFLVLAQLPCLMYLFLEAKTIPERNTTVQSNTFPSLKKFHFWCELSRVTFEPAAMPRLQALRIALDEGSPVAGIQHLACLEEISVVMHVKCGHGSSIESACRDAIFRHPISEAIQVHLECIEYDQNGHRVSTGR >PAN18205 pep chromosome:PHallii_v3.1:3:12182198:12182503:-1 gene:PAHAL_3G185800 transcript:PAN18205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQPRDLSDFLTPKQLLAIVDDTVAERMLRVDERGSEPRSSFMVEGKAVGLGGTCRGDGGATGLRLIRGIAVRNWVEVAGHGAGPPLHMVELLSSTNLPS >PAN16484 pep chromosome:PHallii_v3.1:3:4073797:4078782:1 gene:PAHAL_3G064100 transcript:PAN16484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGPSGIVGISVRPNSSAACLVQKGGALSNSLPAATAVQVRQRHSKARNGQAIRCAMATGKEQGAGIHASSGDQNKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIELEGLEKKAKASGACQLVVKDLREEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSEPEYLEIGIVAGVPVSINGRDLSPASLLAELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELEALTLDRETMQWKDMVALKYAELVYAGRWFDPLRQSFDAFMEKITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >PVH62052 pep chromosome:PHallii_v3.1:3:12645131:12645469:-1 gene:PAHAL_3G193200 transcript:PVH62052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKARLLFAKLRARAGTSGVTTPLVVYERNCGRLMWDVLANTIEHGRR >PAN15637 pep chromosome:PHallii_v3.1:3:773206:776804:1 gene:PAHAL_3G013900 transcript:PAN15637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELRVDSFYARLRAAASAAAAGSSSPLLILPSAADADSLCAVRVLAHVLSADSIRFSIYPVASAARARDLLASFSAAAPLCCLLVNWGAHRDLRRVLPPAATAFVVDSHRPVHLHNLCAHNDRVVVLFTADDEHTADLSYDFDLSSLADASDLAAEGDADDHLRVPLEDDDDDSDASESDSDAEDGGGRRKRRRLSDDAEAVGGDPVRLFAKLRREYYRLGTFHGKPSGCLMYDLAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKESSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNKLCHPQALTKFCFFLMDALKERGARMKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRTAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >PVH61338 pep chromosome:PHallii_v3.1:3:773206:778057:1 gene:PAHAL_3G013900 transcript:PVH61338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELRVDSFYARLRAAASAAAAGSSSPLLILPSAADADSLCAVRVLAHVLSADSIRFSIYPVASAARARDLLASFSAAAPLCCLLVNWGAHRDLRRVLPPAATAFVVDSHRPVHLHNLCAHNDRVVVLFTADDEHTADLSYDFDLSSLADASDLAAEGDADDHLRVPLEDDDDDSDASESDSDAEDGGGRRKRRRLSDDAEAVGGDPVRLFAKLRREYYRLGTFHGKPSGCLMYDLAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKESSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNKLCHPQALTKFCFFLMDALKERGARMKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRTAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >PAN16037 pep chromosome:PHallii_v3.1:3:1556794:1559877:-1 gene:PAHAL_3G028000 transcript:PAN16037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIACVAAALIRVLYLAAVAAPAKSLLAAATVAFSLVNAPCLRYMNQAALGRSFTGTFCGDLLAGAMAHSWRLLVQGLTSFMFLCARADEYVRPPPSQLVLMAHDKPASHPQQVHISVVGAKNMRISWVTDDRSAPSVVEYGRSPGKYTASATGDHTTYHYFFYKSGAIHHVTIGPLEPGTTYYYRCGKAGGEFSLRTPPATLPIELVVIGDLGQTGWTASTLSHIGGGDYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEIEALPVVEFEPFAAYNARWRMPHEESGSRSNLYYSLDAAGGAAHVVMLGSYAAFGEGSEQHRWLEADLARVDRRRTPWLLVLLHAPWYNTNQAHQGEGERMRLAMERMLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALRFIKDHKSAHLSVFREASFGHGRLRIVNETSAVWTWHRNDDEYATVRDEVWLESLAAGKQSLATTTGRHTDEL >PAN18260 pep chromosome:PHallii_v3.1:3:12438441:12442672:1 gene:PAHAL_3G189900 transcript:PAN18260 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MALSELPLHHSFRLSSRPHLHRLLPLRLLSSRHSASSAAASASSPSSSSGNRAAPPAPSTGAPWLQKWAPSDPSQPAPAPAPAPTTSIDRIVHRLRNLGLASDDDDPSAAAATATAPPDGTEHLGDLLDRSWARPDRQFAAASFDDAVLPWERDEEAASGSRDEEDGAKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTTAIIEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSQTPNGASTPVKGEDGTLFIPDASSPAENESQGKDLAAQRANVSQLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPHRVLPTGMRSTLTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMAEEIKNLTGGTLLLRNKFYIVIYRGKDFLPTSVAAVLTEREELTKDIQSMEEQRRNISIAQPPDDGLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSEKQKLYRKLEHKLSIAQAKIHRAERLLSKIEASMVLANPCDDQEMITDEEKSVFRRIGLRLKSYLPLGVRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVQETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLESNIKQMKLDLGIEDYEEQEENSSDSENEDGTAVISASYDEDQDDFDESADEDEDEYDDYDDDEDEEVDS >PAN17803 pep chromosome:PHallii_v3.1:3:10008567:10012600:-1 gene:PAHAL_3G155700 transcript:PAN17803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Carboxy-lyase [Source: Projected from Oryza sativa (Os05g0591600)] MTPTAAVVVALEAPAPAPAAVVVAPAPSSTAGAAAAQETAVEAAADAPAQSSSGGGGGDGGSERRSRFRRICVYCGSAKGKKPSYQDAAIDLGNQLVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPTSLMPREVTGDPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEEARRIIISAPTAKELVMKLEDYVPEYDIGLVWEDQKQNGLVPELESGITSS >PAN21304 pep chromosome:PHallii_v3.1:3:57140755:57144172:-1 gene:PAHAL_3G435700 transcript:PAN21304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVSGGCVGGGDACALLAPSASSLRPPLRRAAGAKAHFCTFPHGASSNRMLTNSQLIIDRQSCSQSSTQTYALSRKDFSPITQEVEGFLHNVVNMGFVDRLKLAWKIIFPAPTIKENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENVIEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGESSGSVDVTFDFFVPNENY >PVH62107 pep chromosome:PHallii_v3.1:3:13402747:13408590:1 gene:PAHAL_3G206700 transcript:PVH62107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSHSATRSETMAARSSCSLLLLVLAGAAAGILSVHGDGTPDSTGFLSIDCGLPEKSSYVDAATKLPYVSDAGFTDAGYNRNVSAEYINPSFTKRYLNVRSFPGAKRSCYTLGSLTPGSKYLFRATFMYGNYDGLGKQPAFDLHLGVNFWQTVRIAAADSPEIAEVIAVVQGDSAQVCLVDVGSGTPFISGLDLRPVKGALYPQANATQGLVLHARRNFGPDEVAVVRYPDDPYDRVWLPWSDPAEWKEISTAEAVKGVVGSLFEEPSTVMQTAIVPLNASKSIEFSWDAEPSHVYPDPGYICMLHFAELQRLDSNATRQFDVTINGVPWYHAYTPLYLTFDTIYSGGLHRSSSNYSISIRATANSTLPPTVNAAEVFNVISTSSVGTDVQDVAAITAIKANYQVKKNWMGDPCVPKTLSWDGLSCSYGISSPPRIESVNLSFSGLSGDVSFYFAKLKALKYLDLSHNKLTGSIPDVLSQLPSLMVIDLTGNRLNGSIPPGLLKRIQDGSISMRYGDNPNLCSKGDSCESGKKKSNSMLVLYIAIPIVVFVVVGTLALLFFFMRRKKAKSSVKPRDVDDLQLKNRRFTYSELKAMTNNFHQELGKGAFGIVYDGFLKDKTRVAVKLMSESSKQGVGEFLTEAESLTKIHHKNIVTLIGYCKDSGCMALVYEYMSGGTLKDKLRGRDDSTGPLTWRQRLRIALDSAQGLEYLHKACSQRFVHRDVKTANILLDGNLDAKIADFGLLKAFHRDEDTHISQTRVVGTQGYFAPEYVGAERLTEKCDVYSFGVVLLEVITGKPAVMHCPEPTHIITWVRQRLSRGSIEDVMDARVQGGYDASVAWKAADLALKCAEQAPEQRPTMTDVVTQLQECLVLEDGSGGGRDAV >PVH62279 pep chromosome:PHallii_v3.1:3:16676288:16677792:-1 gene:PAHAL_3G254800 transcript:PVH62279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAATSPPPSMKLAQEDLKRVAAHMGGAAASPPPSVKLTQEDLKRVAAHRAVEFVEPGMALGLGTGSTAAHALDRLGDLLRAGALPGVAGVPTSLKTELHATRVGIPLLPLGGDGGPSRIHLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGEKFVVIVDESKLVPRLGCTGAVPVEVIPFGAPHTLGLIRKVFDGLPGFHARLRMVRKDAEEDTPFVTDNGNYIVEMFFEDGIRGDLHNISDQLLRITGVVEHGMFLSMATTVIVANKDGTITVMDKKN >PAN19657 pep chromosome:PHallii_v3.1:3:19557863:19559286:-1 gene:PAHAL_3G288100 transcript:PAN19657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGQRSMASALLFLNLIMYVVVAAIAGWAINYSIDESRNSLQVVTPPVRLFPIYFPIGNLATGFFVIFSLIAGVVGISTSLTGLHDVNQGLPANMMSAAAAALVTWTLTLLAMGLACKEISVSWRPASLRTLEAFTIILTGTQLLCAGSLHAGAHAAILTTPIGGRV >PVH61505 pep chromosome:PHallii_v3.1:3:3148975:3150521:1 gene:PAHAL_3G049200 transcript:PVH61505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDSKFLLDELKKCFDEQDARLEKRLTEDDEKWERRFQENGDKWERHFADAEVATNSRLSKLEWVVDTLEGERQDMEGSVDNIRLAVKKLNKHYEHISFELPPEAPLLPTTPLLAAGHPSTGLPADRPHGHRSDNHIREGEFGSVTTLIHSPGKGRGRGVVQET >PAN17920 pep chromosome:PHallii_v3.1:3:10953955:10959512:1 gene:PAHAL_3G165400 transcript:PAN17920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGPVTPGQVSFLLGLFPVLIAWIYSEILEYRKSLSHGKVHSDANLDNGTIKEDDKVVLLEGGQSKSPSTKFRNLSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDLMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAIKIACCFLSVILIWEIPGVFELLWAPFTFVLGYKDPSPAKANLPLLHEWHFRSGLDRYVWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTLSLLAGYLWYEYIYKLDKVTYNKYHPYTSWIPITAYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLCFIPDYPMLNFMLTTAIYLLLSYRVFEITNVLKGAFIPSRDNNRLYQNFIAGIAISVCLYFCSLILLKIPIV >PVH62352 pep chromosome:PHallii_v3.1:3:18145200:18147384:-1 gene:PAHAL_3G272400 transcript:PVH62352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLRHGPSRISFHLRWETLHCSSSPKSPGLQVQGIEVDEAPENSLLHGSSSAKGGALPLIRSSAARPSRGAMSSFAGQRSRPWVGMAGAGAASEPAGDSVARDDAAAASSMRGAGGAADAPTNASAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSSSSSSPRTDDDGGEGRGRGRLPPPACTTTATRRRTSSVTRPRWTTTPWRRRRT >PVH61463 pep chromosome:PHallii_v3.1:3:2455492:2465106:-1 gene:PAHAL_3G038100 transcript:PVH61463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVCEVCGDIGFKHLLLCCTDCKGACTHQYCLDKVLYDASLVDWLCNECHQRHSEGSYSRSLGKVSSERSSNHTHFGSTSQQPIINRVESARVFGSWGQRKSKSYTAKSTYLKKINSSKMNSLRQKCIRKKSNTRGMSINRRGCRDASIACTSGKASHSCKSIGAETAENKDGNRQVANENSVQTLKLNNDAGSNHLTPGLKQINHLKPHDDRSKCMKEMKILASTLDNSNESEPSNMNECFVTHEVNSKAGNKPSDEPLVTHQVGDRRGEFTIASSQNKSRGDVHFTHRYPNQQHDSFKANQNLNPGSAPELNRTGQSDLERKFSFSVGKAAVNQVSGLKDNSGTILSPKHTDHDKQTNQHAPVICKNMKDKSEKDHRTVVGAHQNKYCARNKDKLDPHGDNNINVLDDFMLERENKQIRPQLDHEASNELQKKSIAANGPQPFTPQNDSLGKAMSDLSNMGVLPKENNCLPSMRIESDNLMKNHSGPSKLLDKDNSCSSLEISSEAIVIKASGTEVEISDTLENFGKVKPRKRRRLILNDDDEVEEKKAEDVRKENADPHPPKCDEPMTKHRVNTEYCVEEAVQTGELNDQNLMNGRPVKRRRRYIAQNEDEEDILCSANSECALNEATNRSLNDGAKMVPQTSVATDHSQQCRPSHSESDDQQYYIYSQALDEPVWSGVFKIDTEVLMLDAHLSTKACQRVRDLSTSLQPVVELNKLPRSQAWPKRWKSFGPTDDNIGLFFFPHSLRQNEVSNRLVNNLINSDGALKVTVGIAELLIFPSSLLPEQYHFFQGNHYLWGVFRRRKDMADETVLVKEQDGSVKHAVEQGQQQEQYLLDQRDEAFYESSNQETFAVKHVVHIENQLLVECDHEAKKEAVEATTREGTNSPGRSWSSAKTESAKVRSDCSVEPRNDSELDALGDLDQQEDFTSLPERNASSITEQSSNSGPATASTKLIKPVEHGHGQPHSGSEPSTRKLFGFVNAQTPRSQQLIQEMVNEGELLFPVPEIATTDSITGHSTGAISSEMNPDIERPDLLCDPPQAFDFVSMGHSEPGADSEACLDLFPVRQEHIGWAPRVEVSTEVDLDLYLGKQPQAPSLPPLL >PAN16053 pep chromosome:PHallii_v3.1:3:1640385:1641135:-1 gene:PAHAL_3G029300 transcript:PAN16053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLVIQDRKEIKIMSVDGSQVLPDVFPVKAAAASVVDSGAARVKLVISKQELKKMLDKEGMSLDDMVSLMRKEASDREREECCGGWRPALESIPEGRDL >PAN18846 pep chromosome:PHallii_v3.1:3:14974504:14979239:-1 gene:PAHAL_3G230400 transcript:PAN18846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRKAIFRAKLRETKEKQQRRIDPSLVRYNEFDQPICRVCNITLKSEALWPAHQVSRKHHEAKAAATAKVAAGAGSRGNNTKQEQPVESHKQKSTSLPTNFFDNQGTKRQNDDTGPEGRSVRREVAVTQPKANDASTDKPSVRPDQMAKKGSHTNTNAKGILPGNFFDYADEDEAPAPAPNELSTSGEIANSNHMQVKGVPDGFFDNSKTGNSTQSSEPSSLSKDAKSSGTAQVKASLPEGFFDNKDADLRARGIQPQKVDMNDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQQEYRQRVDMLKKQLVESKAARTAKVNSKPVGMDTESSSDASSDEEDDNTDFAVDWRAQHLK >PVH61868 pep chromosome:PHallii_v3.1:3:9324732:9325046:1 gene:PAHAL_3G144900 transcript:PVH61868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIALLEFDTSDSFRIKLTFVHKQFHAPPLHRHATNAENRPVQQQLQIISLVKMEPEIRADLCIGDLMHRRWPVLPDPRKGTQTIGKMWHFTSHRVTGEHVAL >PAN18129 pep chromosome:PHallii_v3.1:3:11856079:11856966:-1 gene:PAHAL_3G180400 transcript:PAN18129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRDLRRKLYGHSASRRYHGRSRSRSPPPQRRGHRDRDDYHRDRDDYRRGGGGGGRGRGSRHDRYDDGGGRGSRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >PAN18130 pep chromosome:PHallii_v3.1:3:11855751:11858511:-1 gene:PAHAL_3G180400 transcript:PAN18130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRDLRRKLYGHSASRRYHGRSRSRSPPPQRRGHRDRDDYHRDRDDYRRGGGGGGRGRGSRHDRYDDGGGRGSRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >PAN18131 pep chromosome:PHallii_v3.1:3:11855751:11858511:-1 gene:PAHAL_3G180400 transcript:PAN18131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRDLRRKLYGHSASRRYHGRSRSRSPPPQRRGHRDRDDYHRDRDDYRRGGGGGGRGRGSRHDRYDDGGGRGSRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >PAN18132 pep chromosome:PHallii_v3.1:3:11856079:11856966:-1 gene:PAHAL_3G180400 transcript:PAN18132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRDLRRKLYGHSASRRYHGRSRSRSPPPQRRGHRDRDDYHRDRDDYRRGGGGGGRGRGSRHDRYDDGGGRGSRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >PVH61837 pep chromosome:PHallii_v3.1:3:8874101:8875973:-1 gene:PAHAL_3G138100 transcript:PVH61837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPPSAQEMSYYDHVQKRHEEKGCLYACLFTLCCCFCCYETCECCLETICCCC >PAN19646 pep chromosome:PHallii_v3.1:3:19533088:19534522:-1 gene:PAHAL_3G287600 transcript:PAN19646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFLNTYLDSLGTPNFRTGVNFAQAGCSVTPANPTSVSPFSFGLQIKQFFAFKNKVTKLLSKGDMYRRYIPQEDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKELYAQGARKFWIHNTGPLGCLPQNIALFGKDPTLLDELHCVARHNRAAKLFNLQLHALCTKLRGEFSGASITYVDIHTIKYSLIANYSRYAL >PVH62414 pep chromosome:PHallii_v3.1:3:19533002:19534522:-1 gene:PAHAL_3G287600 transcript:PVH62414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFLNTYLDSLGTPNFRTGVNFAQAGCSVTPANPTSVSPFSFGLQIKQFFAFKNKVTKLLSKGDMYRRYIPQEDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKELYAQGARKFWIHNTGPLGCLPQNIALFGKDPTLLDELHCVARHNRAAKLFNLQLHALCTKLRGEFSGASITYVDIHTIKYSLIANYSRYDLSAFCSTMKRSLKCYAWLKEKSCDEVALSILNKCSQ >PAN19643 pep chromosome:PHallii_v3.1:3:19533088:19535873:-1 gene:PAHAL_3G287600 transcript:PAN19643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSVVLLVVALLCLGGGGLVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESILPPYGSTFFGGPAGRFCDGRLILDFLMEAMDIPFLNTYLDSLGTPNFRTGVNFAQAGCSVTPANPTSVSPFSFGLQIKQFFAFKNKVTKLLSKGDMYRRYIPQEDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKELYAQGARKFWIHNTGPLGCLPQNIALFGKDPTLLDELHCVARHNRAAKLFNLQLHALCTKLRGEFSGASITYVDIHTIKYSLIANYSRYAL >PVH62412 pep chromosome:PHallii_v3.1:3:19533088:19534522:-1 gene:PAHAL_3G287600 transcript:PVH62412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFLNTYLDSLGTPNFRTGVNFAQAGCSVTPANPTSVSPFSFGLQIKQFFAFKNKVTKLLSKGDMYRRYIPQEDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKELYAQGARKFWIHNTGPLGCLPQNIALFGKDPTLLDELHCVARHNRAAKLFNLQLHALCTKLRGEFSGASITYVDIHTIKYSLIANYSRYAL >PVH62415 pep chromosome:PHallii_v3.1:3:19531818:19536467:-1 gene:PAHAL_3G287600 transcript:PVH62415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFLNTYLDSLGTPNFRTGVNFAQAGCSVTPANPTSVSPFSFGLQIKQFFAFKNKVTKLLSKGDMYRRYIPQEDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKELYAQGARKFWIHNTGPLGCLPQNIALFGKDPTLLDELHCVARHNRAAKLFNLQLHALCTKLRGEFSGASITYVDIHTIKYSLIANYSRYGFEHATQACCGYGGPPLNYDGKVPCGQTVSLNGKSVTAKGCSDTTEFVNWDGIHYTEAANFHIASQILTGKYSDPPFVDKMPFVIKPRF >PAN19642 pep chromosome:PHallii_v3.1:3:19533088:19535873:-1 gene:PAHAL_3G287600 transcript:PAN19642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSVVLLVVALLCLGGGGLVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESILPPYGSTFFGGPAGRFCDGRLILDFLMEAMDIPFLNTYLDSLGTPNFRTGVNFAQAGCSVTPANPTSVSPFSFGLQIKQFFAFKNKVTKLLSKGDMYRRYIPQEDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKELYAQGARKFWIHNTGPLGCLPQNIALFGKDPTLLDELHCVARHNRAAKLFNLQLHALCTKLRGEFSGASITYVDIHTIKYSLIANYSRYAL >PVH62413 pep chromosome:PHallii_v3.1:3:19533002:19535873:-1 gene:PAHAL_3G287600 transcript:PVH62413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSVVLLVVALLCLGGGGLVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESILPPYGSTFFGGPAGRFCDGRLILDFLMEAMDIPFLNTYLDSLGTPNFRTGVNFAQAGCSVTPANPTSVSPFSFGLQIKQFFAFKNKVTKLLSKGDMYRRYIPQEDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKELYAQGARKFWIHNTGPLGCLPQNIALFGKDPTLLDELHCVARHNRAAKLFNLQLHALCTKLRGEFSGASITYVDIHTIKYSLIANYSRYDLSAFCSTMKRSLKCYAWLKEKSCDEVALSILNKCSQ >PAN19644 pep chromosome:PHallii_v3.1:3:19531818:19536467:-1 gene:PAHAL_3G287600 transcript:PAN19644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSVVLLVVALLCLGGGGLVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESILPPYGSTFFGGPAGRFCDGRLILDFLMEAMDIPFLNTYLDSLGTPNFRTGVNFAQAGCSVTPANPTSVSPFSFGLQIKQFFAFKNKVTKLLSKGDMYRRYIPQEDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKELYAQGARKFWIHNTGPLGCLPQNIALFGKDPTLLDELHCVARHNRAAKLFNLQLHALCTKLRGEFSGASITYVDIHTIKYSLIANYSRYGFEHATQACCGYGGPPLNYDGKVPCGQTVSLNGKSVTAKGCSDTTEFVNWDGIHYTEAANFHIASQILTGKYSDPPFVDKMPFVIKPRF >PAN15995 pep chromosome:PHallii_v3.1:3:1370810:1374840:1 gene:PAHAL_3G024700 transcript:PAN15995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSPVKRLARRAAKGPLERAGLAGVAAAAVAAAALLLLLCAVSLRCSAAAPRRLWAGGVSIAAEAAAAAAAQTRRKVAAAGNEEECDLFDGEWVRAGGGYPLYDSRDCLFLDVGFRCSENGRPDASYTKWRWQPTRCDLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSTAVHNKSAIYEVNGSPITKHMGFLVFNFRDYNCTMEYYRSPFIVLQGRAPAGAPEVVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGAYFQEGDEVKMDMTVTDAYRRSIQTLSDWLHREVNTSKTHVIYRTYAPVHFRGGDWKTGGSCHLETLPDLTPPESLEEWADLLKPVNDVLGNHLRPKLSGLDMLNVTQMTAQRKDGHLSVYLSPSGPVPRYKQDCSHWCLPGVPDTWNELLYALIMKRHTKMDQNVSLSGTITVNTG >PAN16095 pep chromosome:PHallii_v3.1:3:1852678:1855590:1 gene:PAHAL_3G032700 transcript:PAN16095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGCAVQQELAGDAAAVMHQAVSLARRRGHAQVTPLHAASAMLADAGGLLRAACLRSRASSHPLQGKALELCFNVALNRLATAGAPAAMFHHCHAHHAGHRAPALSNALAAAFKRAQANQRRGGGSTSAEGQQVAARVELEQLVISILDDPGVSRVMREAGFSSAEVKANVEKAVSSSEQSSNTASSTSASPNPKPKDAKDKVDVIGDAARVLDCMASGRNRCVVVVGESAPAAKGVVKAVMDKVSKGDLRLQHDCLKNAQFVPFTAASFQRLPREEVEARVADLRALVREGCAAGKGVVLVLEDLGYAAEAWSAASWKRSDPRAHGQYYCPVEHAVMELSSLVRGGGGRGHDMFWLLGFGTYASYTSCRSGQPSLEAVLELHPVVVPEHSLALSLGGDSEITHCGADMVVATAASVPSWIRRSQQGPVLTGSELTLSFSSPASSSFCGFTHYDANMSCEPWHDLIDRRQPLLNHGHDGPMAESCDQQLLANPNPGSSNSVSKSNSSDAATETAARRRPKFTELTAENLKILCSAFERRVPRHRDLAAGIASAVLQRRSGVTRTTRPSSATWLLFQGRDDDGKAAMARELARLVFGSYAEFTCITAAKLTLAPSGSNSGDSLKRQRSPDKEHGYMQRFYEAIRENPHRVVMIDGVEHDSDEAGIKNAMATGTVRGCDGDTVSLEDAIVVSCQVSESRSRVSSPRAVKLRRFMGHVDSKAEDEGAEKGAVPRLGLDLNACAAMDEEGEESGSSSPNDVEILKAVDGVFFFQY >PAN15705 pep chromosome:PHallii_v3.1:3:426606:427895:1 gene:PAHAL_3G006900 transcript:PAN15705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATPRTSAATPTCTVPGLLVGLTKLCKLTKVCAAPALDDETKSELGTFCGEYDQRLLLIRLFEAMGSLKSAYIKLQRAHIPYDPAKLAFADEIIKSELDSVAALQRLCSSSCGIGSLVNERWSLVQELEAETRKRDSEIVLLKRELEALQRENSETEQADQERKAVHTDKGFVVPKEMTAVTPGALSELFKVAAASVHDFAELIATSILVPSDNCVNDAVERSWRRYSLEAHLSRTMLVGVITTTQEEEEEEEDKEGLKISGACFERIMRLCDPLDALMQYPSSGFSRFCRSRYLAAVPSETEAAMFRNLDQRAFVARGGHPRTWFYRAFATMARSAWALRVAMARCLELGHGNVVREYAEELMESVAEPASGVREGEEDDMEEMLSVAFTVTPGVKVGDTVVACRVLLCHCRHQVGFIQVQ >PVH62732 pep chromosome:PHallii_v3.1:3:44060546:44068246:1 gene:PAHAL_3G382300 transcript:PVH62732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLQSVITAPFMLRLAKSIDDAMQLANRYGCQHLDFGHAVILFVLSLVEMLIDCILDDCGLLNISTHEHGNIYTKNMDFGGKGPHDRGDEHREHLRRKNILMSIEVVEKATANKIAQVFLRLVYLNTPENFNYLLRKLQLIGALESKNVLPAYNLLDSLTMNIQNVISTRYQLDRSRLLGVLLSTQPCSSSVFSIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTLQVLNRATWQETFQALWISGLRLVQRGPEALEGPFPHLYSRLCMLLAIIPLSVATIVKEEVDKLDGGMVSAIKEELVSSLQILVQFSGLLSPPPATVHFANTAARKAAIVLSNLKSGNENLYGYSKDSSAIKAGNMLHLIVEACIARNLIDTSAYFWPGYVVPLEASSQAQESPWSSLTEGSPLVELKDALMVTPASSVAELEKLYSFAVSGSEEEKLAASKVLCGASLLRGWNIQEHVVQIVLKLLSSFLPLDSGSDGRYVQHMPMLHALISGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPPSDHRSCNFEKASVYSVFSSAFLSLLRLWKFHRPPIENALSRHGVFVRSELSLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYFQNQACIASTLSTVYNRPNVLHVANNILKIICHKVPKDGILSVNPQSTSNSSMGSSPPPGVPEDMCQWPTLPAWDVLEAIPFVLEAVLTACAHGRLSSRDLITGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVESEINEVLAKAGVNINISSRPRSVMPMLPLPIAALISLSITVKMEEFSHLHGIIGQGIEICATSSSWPSMQIIGALWSQKVRRWHDFIILACSQSPFTRDNTAVSQLIRSCFTSFLGPLVDGRSCYVANRGVADLLGKTLDERARLVVAPGFLYMRSCRLFPDNNFVCEEILKVVIERARALANDCSSERPGHLRSDCMPLSAASSLVEQISSLAATMLCHAGGLNLIRLLYEHILPTLLLSAGEDKLGSAGHVCSLFEGYALAYVLLWSGASIWGVGETSPAYASIYTSKRQRIVDRHLEFMAKVMEGNIVLGCGETTWRSYVLCFVGLLVDFVPAWILEVKLETLQKLASGLRKWHKGDLALSLLERGGNKAVTSVVESLLGYAGAQFSV >PAN20412 pep chromosome:PHallii_v3.1:3:44062767:44067875:1 gene:PAHAL_3G382300 transcript:PAN20412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQNVISTRYQLDRSRLLGVLLSTQPCSSSVFSIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTLQVLNRATWQETFQALWISGLRLVQRGPEALEGPFPHLYSRLCMLLAIIPLSVATIVKEEVDKLDGGMVSAIKEELVSSLQILVQFSGLLSPPPATVHFANTAARKAAIVLSNLKSGNENLYGYSKDSSAIKAGNMLHLIVEACIARNLIDTSAYFWPGYVVPLEASSQAQESPWSSLTEGSPLVELKDALMVTPASSVAELEKLYSFAVSGSEEEKLAASKVLCGASLLRGWNIQEHVVQIVLKLLSSFLPLDSGSDGRYVQHMPMLHALISGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPPSDHRSCNFEKASVYSVFSSAFLSLLRLWKFHRPPIENALSRHGVFVRSELSLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYFQNQACIASTLSTVYNRPNVLHVANNILKIICHKVPKDGILSVNPQSTSNSSMGSSPPPGVPEDMCQWPTLPAWDVLEAIPFVLEAVLTACAHGRLSSRDLITGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVESEINEVLAKAGVNINISSRPRSVMPMLPLPIAALISLSITVKMEEFSHLHGIIGQGIEICATSSSWPSMQIIGALWSQKVRRWHDFIILACSQSPFTRDNTAVSQLIRSCFTSFLGPLVDGRSCYVANRGVADLLGKTLDERARLVVAPGFLYMRSCRLFPDNNFVCEEILKVVIERARALANDCSSERPGHLRSDCMPLSAASSLVEQISSLAATMLCHAGGLNLIRLLYEHILPTLLLSAGEDKLGSAGHVCSLFEGYALAYVLLWSGASIWGVGETSPAYASIYTSKRQRIVDRHLEFMAKVMEGNIVLGCGETTWRSYVLCFVGLLVDFVPAWILEVKLETLQKLASGLRKWHKGDLALSLLERGGNKAVTSVVESLLGYAGAQFSV >PVH62731 pep chromosome:PHallii_v3.1:3:44059778:44068245:1 gene:PAHAL_3G382300 transcript:PVH62731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAELERRVMAAVKASAARGDPPLLQAAEAARCAREAAASGSASCGLALAEALVANLCFAHNTGSMWKLLDQAMSSRLVHPLHTLALLTPRVVPNRRQQPEAYRLYLELLGRYAVAPVYPERMERKSMLAKSIDDAMQLANRYGCQHLDFGHAVILFVLSLVEMLIDCILDDCGLLNISTHEHGNIYTKNMDFGGKGPHDRGDEHREHLRRKNILMSIEVVEKATANKIAQVFLRLVYLNTPENFNYLLRKLQLIGALESKNVLPAYNLLDSLTMNIQNVISTRYQLDRSRLLGVLLSTQPCSSSVFSIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTLQVLNRATWQETFQALWISGLRLVQRGPEALEGPFPHLYSRLCMLLAIIPLSVATIVKEEVDKLDGGMVSAIKEELVSSLQILVQFSGLLSPPPATVHFANTAARKAAIVLSNLKSGNENLYGYSKDSSAIKAGNMLHLIVEACIARNLIDTSAYFWPGYVVPLEASSQAQESPWSSLTEGSPLVELKDALMVTPASSVAELEKLYSFAVSGSEEEKLAASKVLCGASLLRGWNIQEHVVQIVLKLLSSFLPLDSGSDGRYVQHMPMLHALISGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPPSDHRSCNFEKASVYSVFSSAFLSLLRLWKFHRPPIENALSRHGVFVRSELSLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYFQNQACIASTLSTVYNRPNVLHVANNILKIICHKVPKDGILSVNPQSTSNSSMGSSPPPGVPEDMCQWPTLPAWDVLEAIPFVLEAVLTACAHGRLSSRDLITGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVESEINEVLAKAGVNINISSRPRSVMPMLPLPIAALISLSITVKMEEFSHLHGIIGQGIEICATSSSWPSMQIIGALWSQKVRRWHDFIILACSQSPFTRDNTAVSQLIRSCFTSFLGPLVDGRSCYVANRGVADLLGKTLDERARLVVAPGFLYMRSCRLFPDNNFVCEEILKVVIERARALANDCSSERPGHLRSDCMPLSAASSLVEQISSLAATMLCHAGGLNLIRLLYEHILPTLLLSAGEDKLGSAGHVCSLFEGYALAYVLLWSGASIWGVGETSPAYASIYTSKRQRIVDRHLEFMAKVMEGNIVLGCGETTWRSYVLCFVGLLVDFVPAWILEVKLETLQKLASGLRKWHKGDLALSLLERGGNKAVTSVVESLLGYAGAQFSV >PAN20410 pep chromosome:PHallii_v3.1:3:44062078:44068245:1 gene:PAHAL_3G382300 transcript:PAN20410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVYAWYFPYTKLPKFLVYYDGNFNFMIFFPKVCMHSLGELWYRPENFNYLLRKLQLIGALESKNVLPAYNLLDSLTMNIQNVISTRYQLDRSRLLGVLLSTQPCSSSVFSIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTLQVLNRATWQETFQALWISGLRLVQRGPEALEGPFPHLYSRLCMLLAIIPLSVATIVKEEVDKLDGGMVSAIKEELVSSLQILVQFSGLLSPPPATVHFANTAARKAAIVLSNLKSGNENLYGYSKDSSAIKAGNMLHLIVEACIARNLIDTSAYFWPGYVVPLEASSQAQESPWSSLTEGSPLVELKDALMVTPASSVAELEKLYSFAVSGSEEEKLAASKVLCGASLLRGWNIQEHVVQIVLKLLSSFLPLDSGSDGRYVQHMPMLHALISGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPPSDHRSCNFEKASVYSVFSSAFLSLLRLWKFHRPPIENALSRHGVFVRSELSLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYFQNQACIASTLSTVYNRPNVLHVANNILKIICHKVPKDGILSVNPQSTSNSSMGSSPPPGVPEDMCQWPTLPAWDVLEAIPFVLEAVLTACAHGRLSSRDLITGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVESEINEVLAKAGVNINISSRPRSVMPMLPLPIAALISLSITVKMEEFSHLHGIIGQGIEICATSSSWPSMQIIGALWSQKVRRWHDFIILACSQSPFTRDNTAVSQLIRSCFTSFLGPLVDGRSCYVANRGVADLLGKTLDERARLVVAPGFLYMRSCRLFPDNNFVCEEILKVVIERARALANDCSSERPGHLRSDCMPLSAASSLVEQISSLAATMLCHAGGLNLIRLLYEHILPTLLLSAGEDKLGSAGHVCSLFEGYALAYVLLWSGASIWGVGETSPAYASIYTSKRQRIVDRHLEFMAKVMEGNIVLGCGETTWRSYVLCFVGLLVDFVPAWILEVKLETLQKLASGLRKWHKGDLALSLLERGGNKAVTSVVESLLGYAGAQFSV >PVH62730 pep chromosome:PHallii_v3.1:3:44059778:44068245:1 gene:PAHAL_3G382300 transcript:PVH62730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAELERRVMAAVKASAARGDPPLLQAAEAARCAREAAASGSASCGLALAEALVANLCFAHNTGSMWKLLDQAMSSRLVHPLHTLALLTPRVVPNRRQQPEAYRLYLELLGRYAVAPVYPERMERKSMLAKSIDDAMQLANRYGCQHLDFGHAVILFVLSLVEMLIDCILDDCGLLNISTHEHGNIYTKNMDFGGKGPHDRGDEHREHLRRKNILMSIEVVEKATANKIAQVFLRLVYLNTPENFNYLLRKLQLIGALESKNVLPAYNLLDSLTMNIQNVISTRYQLDRSRLLGVLLSTQPCSSSVFSIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTLQVLNRATWQETFQALWISGLRLVQRGPEALEGPFPHLYSRLCMLLAIIPLSVATIVKEEVDKLDGGMVSAIKEELVSSLQILVQFSGLLSPPPATVHFANTAARKAAIVLSNLKSGNENLYGYSKDSSAIKAGNMLHLIVEACIARNLIDTSAYFWPGYVVPLEASSQAQESPWSSLTEGSPLVELKDALMVTPASSVAELEKLYSFAVSGSEEEKLAASKVLCGASLLRGWNIQEHVVQIVLKLLSSFLPLDSGSDGRYVQHMPMLHALISGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPPSDHRSCNFEKASVYSVFSSAFLSLLRLWKFHRPPIENALSRHGVFVRSELSLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYFQNQACIASTLSTVYNRPNVLHVANNILKIICHKVPKDGILSVNPQSTSNSSMGSSPPPGVPEDMCQWPTLPAWDVLEAIPFVLEAVLTACAHGRLSSRDLITGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVESEINEVLAKAGVNINISSRPRSVMPMLPLPIAALISLSITVKMEEFSHLHGIIGQGIEICATSSSWPSMQIIGALWSQKVRRWHDFIILACSQSPFTRDNTAVSQLIRSCFTSFLGPLVDGRSCYVANRGVADLLGKTLDERARLVVAPGFLYMRSCRLFPDNNFVCEEILKVVIERARALANDCSSERPGHLRSDCMPLSAASSLVEQISSLAATMLCHAAYLKDMR >PAN20411 pep chromosome:PHallii_v3.1:3:44062078:44068245:1 gene:PAHAL_3G382300 transcript:PAN20411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVYAWYFPYTKLPKFLVYYDGNFNFMIFFPKVCMHSLGELWYRPENFNYLLRKLQLIGALESKNVLPAYNLLDSLTMNIQNVISTRYQLDRSRLLGVLLSTQPCSSSVFSIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTLQVLNRATWQETFQALWISGLRLVQRGPEALEGPFPHLYSRLCMLLAIIPLSVATIVKEEVDKLDGGMVSAIKEELVSSLQILVQFSGLLSPPPATVHFANTAARKAAIVLSNLKSGNENLYGYSKDSSAIKAGNMLHLIVEACIARNLIDTSAYFWPGYVVPLEASSQAQESPWSSLTEGSPLVELKDALMVTPASSVAELEKLYSFAVSGSEEEKLAASKVLCGASLLRGWNIQEHVVQIVLKLLSSFLPLDSGSDGRYVQHMPMLHALISGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPPSDHRSCNFEKASVYSVFSSAFLSLLRLWKFHRPPIENALSRHGVFVRSELSLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYFQNQACIASTLSTVYNRPNVLHVANNILKIICHKVPKDGILSVNPQSTSNSSMGSSPPPGVPEDMCQWPTLPAWDVLEAIPFVLEAVLTACAHGRLSSRDLITGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVESEINEVLAKAGVNINISSRPRSVMPMLPLPIAALISLSITVKMEEFSHLHGIIGQGIEICATSSSWPSMQIIGALWSQKVRRWHDFIILACSQSPFTRDNTAVSQLIRSCFTSFLGPLVDGRSCYVANRGVADLLGKTLDERARLVVAPGFLYMRSCRLFPDNNFVCEEILKVVIERARALANDCSSERPGHLRSDCMPLSAASSLVEQISSLAATMLCHAAYLKDMR >PAN20971 pep chromosome:PHallii_v3.1:3:55890859:55903156:-1 gene:PAHAL_3G426400 transcript:PAN20971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLLRPHRHTHGPAAVSVRLSSRSQRHQPPPSPSAPCRSSSVLRPMAQDPSHPHRQSKDTAAPPPQQQQEPEQPEIAPHQPAAPPQPHQPPRDVVVQEAASTSSSTGSDAGSSWLQLGIGPSSTSPPPPSSRRKRQRTDDAAGPSTSVQPAAPPAPPQLQLSLQPGPSSSSPAAAVGAVVAAAPPPPAHEAGTWFLLRAAQNQRREPPLPQIARSYLRVRDGRMTVRVVMRYLVNKLALDDDSQLEITCRGQRLLPTMTLQQVRDTIWRPVPAEAAAVLPAPGSPSTNQIMTLFYGRS >PAN16011 pep chromosome:PHallii_v3.1:3:1439574:1440610:1 gene:PAHAL_3G026000 transcript:PAN16011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRCSNISSPLVAVILLLFLMVCFFHCAAAARLLPAAVAPLVHQENGAKAAAGDNGAAVTGDELSSPSEVRTQEMVVGAEEAEEPATETECEEGDDDCLRRRLLRDAHMDYIYTQHKGKP >PAN16636 pep chromosome:PHallii_v3.1:3:4834294:4837676:-1 gene:PAHAL_3G073800 transcript:PAN16636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLELDYIGLSPPPAAAAAVDAADDLKGTELRLGLPGSESPDRRVAAATATTLDLLPAKGAKRGFSDEVPPPSPPAASGKGKKVADEEEDDKKVAATPQPAAKAQVVGWPPIRSYRKNTMATTQQKGSKEDAEAKQGQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFSGFSNGKDGLSEYRKDGEYVLTYEDKDGDWMLVGDVPWEMFADSCRRLRIMKGSDAIGLAPRAADKSKNRN >PAN16634 pep chromosome:PHallii_v3.1:3:4826005:4830865:1 gene:PAHAL_3G073600 transcript:PAN16634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLLPLPFAAAAAASSSLHLAASRLRLPAVSVARREGLFGGRVVGGAVRAPARLARRGLCAGAEPGGSAGTAVSQEEAVEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTGFGHFGIAVDDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEVIRINGGQITREPGPLPGINTKITACADPDGWKTVFVDNIDFLKELEE >PAN16480 pep chromosome:PHallii_v3.1:3:4053908:4054623:1 gene:PAHAL_3G063800 transcript:PAN16480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLITHMVSASSTTTAAVPSPQTVSSDIANRVQEMQQARYFTFVMLVRMVQKKIPHNTTFLMPSGRLMSTASISQSQVLEFLSRHSITAPLKFNDLIRLPNGTSFRCHGINRVIRPTAARRVKGATCTRYAAPTSAAPEIPLAENQSLSTSSLRSPNTGYATIPAHEPAAESSQCSDTSMSKTRLAGTTLVTALMISIF >PAN19550 pep chromosome:PHallii_v3.1:3:18934597:18940113:-1 gene:PAHAL_3G281900 transcript:PAN19550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHKEVFRSLQELFPKVDHRILKAIAIEHRKDVDSAVVAVLDEVMPSMTSSAGALSAHHEVSPSMADSGRNLFANHSTHEVGSSSSAGHDIRVDEVDKSVHSAQDTSTEITTGAQENIDSELHVGRLASSHGMSEKLNLCSDTIPNGNQHDFVPNLDVVSSNTGPDRKLADSDNEVGYGGLSSECFSQLPMGENCAYNTSIKALHLHDQDSSNIPVGDHFPQNNPSKFFSGYEDINFDDDLFFSDLLAFGSNDLSSGILSTEKDSYTPVLDVPNPDEEGSSAGTSGVTEQRNASNVGTGCKQPSQDIVENDDILLSPKTDMLPDLNLNHFASTASTHSSHSVSIESLEDSIADARSNKNGLLPSLELVTKMIEDVELLEEKAKVAKHESSVAGTGILTKVEELKEMLTHAKEANDMHAGEVFGEKSILTTEARELQSRLQRLSDERNKYLVIIEEIHQTLDERLVAAQQEIAAAEKEKIEKEAAAHALLDEQEKMMNSIVEESRKLQKEAEDNLKLKEFLVERGRIVDMLQGEMSVICEDVSLLKRVVNERLSFSKLQRSTMSSLSSSLHSSLHKSWSSSDRTTEAAASLDKHIVAEAAIPVANKDLDDNVSTVEVSDGNDTADKGICKRANSNEDGDGWEIC >PAN20661 pep chromosome:PHallii_v3.1:3:33779778:33785319:-1 gene:PAHAL_3G351500 transcript:PAN20661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATIGESMPSVERAFEGQPYHGFWGQVTVRAMLVAIVLAGLFSMVTLKIYMKVGVVGAFNMPINILSFVVLRSLVGLMRRCGIAASPFTRQENIFLQTSTITCINISLSCGFGNYFVGLFTVVAKSLNDNPDKRDIVDVSVGTYGFFLLTVGMVAIMATLPLVQIMIVDYRLLFPTGSVVAHLINSFHTPQGAYVAKLQVKAIVRAFVASFCWSMFQWFYTGGDSCGFGVFPTFGLELYKRRFFFDFSTTFLGVGMIVPHVVNFGLLFGAIISGAILYPYLESKRGQWYFTDSPSTLNGVNGYKIFMGLTMVVTEGIFNFITLTTALIIDFYKKTEENDSGAAKYILKHPSLNYDDRKRLEVFIGNRVPAIAGVVGYIGFAVICSVISHWIFREIQFHHLALLFTIIPIFIFSNTYGTGLTDWSVAPTYAKFVLFIAAASYAAPGAVVVSLVACGAAFMSLNISSQAVQDHKTAYMTLTSPRAVFAGHVYGIVIGSIINPLIYAFFDLKAKKTAPIGTPKSEFPAPYAQVYRAIALLGMGGVKELPKHCITFSFITFLITLSIETLRLVSQRKDWKVQFYIPCMTAIALPFLSGPTFAVDMALGTLLRLIWTKVHRQSAELFSAAVAAGLVSGDGIWYLPSALLGLFKVEPPICMRFLPSGKEVQIANAFLNNLGTQGMT >PAN18025 pep chromosome:PHallii_v3.1:3:11456276:11462654:1 gene:PAHAL_3G173100 transcript:PAN18025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKIPREPKLAEWTDRASKCDKCQTPVRIAMVGKYTGLSDSYLSVLKALLHASVALNRKLVVDWVPSCDLEDSTAEETPDAYEKAWKSLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSIMKLNGANSTEFDPSTKTPCVIFMPEGSKTHMGATMRLGSRRTYFQVNKCKSAKLYGNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDHLLQRPCGVFSPTGRCICFPNANGPQRLKVQNGRVIKQLKGLVNGPYPATGNGAIPI >PAN18029 pep chromosome:PHallii_v3.1:3:11458375:11462609:1 gene:PAHAL_3G173100 transcript:PAN18029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKIPREPKLAEWTDRASKCDKCQTPVRIAMVGKYTGLSDSYLSVLKALLHASVALNRKLVVDWVPSCDLEDSTAEETPDAYEKAWKSLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSIMKLNGANSTEFDPSTKTPCVIFMPEGSKTHMGATMRLGSRRTYFQVNKCKSAKLYGNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDHLLQRPCGVFSPTGRCICFPNANGPQRLKVQNGRVIKQLKGLVNGPYPATGNGAIPI >PVH61992 pep chromosome:PHallii_v3.1:3:11459413:11462595:1 gene:PAHAL_3G173100 transcript:PVH61992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKIPREPKLAEWTDRASKCDKCQTPVRIAMVGKYTGLSDSYLSVLKALLHASVALNRKLVVDWVPSCDLEDSTAEETPDAYEKAWKSLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSIMKLNGANSTEFDPSTKTPCVIFMPEGSKTHMGATMRLGSRRTYFQVNKCKSAKLYGNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDHLLQRPCGVFSPTGRCICFPNANGPQRLKVQNGRVIKQLKGLVNGPYPATGNGAIPI >PAN18023 pep chromosome:PHallii_v3.1:3:11456276:11462595:1 gene:PAHAL_3G173100 transcript:PAN18023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKIPREPKLAEWTDRASKCDKCQTPVRIAMVGKYTGLSDSYLSVLKALLHASVALNRKLVVDWVPSCDLEDSTAEETPDAYEKAWKSLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSIMKLNGANSTEFDPSTKTPCVIFMPEGSKTHMGATMRLGSRRTYFQVNKCKSAKLYGNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDHLLQRPCGVFSPTGRCICFPNANGPQRLKVQNGRVIKQLKGLVNGPYPATGNGAIPI >PAN18024 pep chromosome:PHallii_v3.1:3:11456271:11462622:1 gene:PAHAL_3G173100 transcript:PAN18024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKIPREPKLAEWTDRASKCDKCQTPVRIAMVGKYTGLSDSYLSVLKALLHASVALNRKLVVDWVPSCDLEDSTAEETPDAYEKAWKSLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSIMKLNGANSTEFDPSTKTPCVIFMPEGSKTHMGATMRLGSRRTYFQVNKCKSAKLYGNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDHLLQRPCGVFSPTGRCICFPNANGPQRLKVQNGRVIKQLKGLVNGPYPATGNGAIPI >PAN18027 pep chromosome:PHallii_v3.1:3:11457025:11462609:1 gene:PAHAL_3G173100 transcript:PAN18027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKIPREPKLAEWTDRASKCDKCQTPVRIAMVGKYTGLSDSYLSVLKALLHASVALNRKLVVDWVPSCDLEDSTAEETPDAYEKAWKSLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSIMKLNGANSTEFDPSTKTPCVIFMPEGSKTHMGATMRLGSRRTYFQVNKCKSAKLYGNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDHLLQRPCGVFSPTGRCICFPNANGPQRLKVQNGRVIKQLKGLVNGPYPATGNGAIPI >PAN18026 pep chromosome:PHallii_v3.1:3:11457025:11462595:1 gene:PAHAL_3G173100 transcript:PAN18026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKIPREPKLAEWTDRASKCDKCQTPVRIAMVGKYTGLSDSYLSVLKALLHASVALNRKLVVDWVPSCDLEDSTAEETPDAYEKAWKSLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSIMKLNGANSTEFDPSTKTPCVIFMPEGSKTHMGATMRLGSRRTYFQVNKCKSAKLYGNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDHLLQRPCGVFSPTGRCICFPNANGPQRLKVQNGRVIKQLKGLVNGPYPATGNGAIPI >PAN18028 pep chromosome:PHallii_v3.1:3:11458375:11462595:1 gene:PAHAL_3G173100 transcript:PAN18028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKIPREPKLAEWTDRASKCDKCQTPVRIAMVGKYTGLSDSYLSVLKALLHASVALNRKLVVDWVPSCDLEDSTAEETPDAYEKAWKSLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSIMKLNGANSTEFDPSTKTPCVIFMPEGSKTHMGATMRLGSRRTYFQVNKCKSAKLYGNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDHLLQRPCGVFSPTGRCICFPNANGPQRLKVQNGRVIKQLKGLVNGPYPATGNGAIPI >PAN18347 pep chromosome:PHallii_v3.1:3:12819220:12821975:-1 gene:PAHAL_3G195900 transcript:PAN18347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAPRTAVLMVAAVVAVLLPDAAEPRILLTLNDFGAVGDGVADDTKTFRIWPLTLVGPCSSEIKLLISGNIVAPESPSDWGPGDRRQWLHFHKVQDLKVTGGGIIDGRGQQWWARSSCEEKKNRTAQPAPKAVHFEDCHGISVKGITLQNSQQYHLTFTRSSNVEANYLRVTSPEDSIDTKGIHLVDSKNVHVMDNLISTGDDCVSIVGNCTDVRLRAISCGPGHGISIGTLGVNGSVDYVEKIKVDTLFISNAENGVRVKTTKNGVGGFARKVKFESIVMRNVTNPIIIDQGNSDDPADSSEAPTAATAVQVEKINYIDIRGTSASEHAVTFSCSDAKPCRHLSLTNVNLTRVDGRKASSYCRKAFGKSTGTVIPESCLTKEDFVHHVPRHSEEDEEEDSDS >PAN18348 pep chromosome:PHallii_v3.1:3:12819459:12821941:-1 gene:PAHAL_3G195900 transcript:PAN18348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAPRTAVLMVAAVVAVLLPDAAEPRILLTLNDFGAVGDGVADDTKAFAGAWTAACAAADNVILNVPSGKTFRIWPLTLVGPCSSEIKLLISGNIVAPESPSDWGPGDRRQWLHFHKVQDLKVTGGGIIDGRGQQWWARSSCEEKKNRTAQPAPKAVHFEDCHGISVKGITLQNSQQYHLTFTRSSNVEANYLRVTSPEDSIDTKGIHLVDSKNVHVMDNLISTGDDCVSIVGNCTDVRLRAISCGPGHGISIGTLGVNGSVDYVEKIKVDTLFISNAENGVRVKTTKNGVGGFARKVKFESIVMRNVTNPIIIDQGNSDDPADSSEAPVHQTAATAVQVEKINYIDIRGTSASEHAVTFSCSDAKPCRHLSLTNVNLTRVDGRKASSYCRKAFGKSTGTVIPESCLTKEDFVHHVPRHSEEDEEEDSDS >PAN18345 pep chromosome:PHallii_v3.1:3:12819459:12821941:-1 gene:PAHAL_3G195900 transcript:PAN18345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAPRTAVLMVAAVVAVLLPDAAEPRILLTLNDFGAVGDGVADDTKAFAGAWTAACAAADNVILNVPSGKTFRIWPLTLVGPCSSEIKLLISGNIVAPESPSDWGPGDRRQWLHFHKVQDLKVTGGGIIDGRGQQWWARSSCEEKKNRTAQPAPKAVHFEDCHGISVKGITLQNSQQYHLTFTRSSNVEANYLRVTSPEDSIDTKGIHLVDSKNVHVMDNLISTGDDCVSIVGNCTDVRLRAISCGPGHGISIGTLGVNGSVDYVEKIKVDTLFISNAENGVRVKTTKNGVGGFARKVKFESIVMRNVTNPIIIDQGNSDDPADSSEAPQTAATAVQVEKINYIDIRGTSASEHAVTFSCSDAKPCRHLSLTNVNLTRVDGRKASSYCRKAFGKSTGTVIPESCLTKEDFVHHVPRHSEEDEEEDSDS >PAN18349 pep chromosome:PHallii_v3.1:3:12819459:12821941:-1 gene:PAHAL_3G195900 transcript:PAN18349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAPRTAVLMVAAVVAVLLPDAAEPRILLTLNDFGAVGDGVADDTKTFRIWPLTLVGPCSSEIKLLISGNIVAPESPSDWGPGDRRQWLHFHKVQDLKVTGGGIIDGRGQQWWARSSCEEKKNRTAQPAPKAVHFEDCHGISVKGITLQNSQQYHLTFTRSSNVEANYLRVTSPEDSIDTKGIHLVDSKNVHVMDNLISTGDDCVSIVGNCTDVRLRAISCGPGHGISIGTLGVNGSVDYVEKIKVDTLFISNAENGVRVKTTKNGVGGFARKVKFESIVMRNVTNPIIIDQGNSDDPADSSEAPQTAATAVQVEKINYIDIRGTSASEHAVTFSCSDAKPCRHLSLTNVNLTRVDGRKASSYCRKAFGKSTGTVIPESCLTKEDFVHHVPRHSEEDEEEDSDS >PVH62060 pep chromosome:PHallii_v3.1:3:12819459:12821670:-1 gene:PAHAL_3G195900 transcript:PVH62060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLSRGLRRRLDGRVRRRRQCHPQRALRQDLPDLAAHARRALQQRDQAARKPRSLINPQPLPFFSRRICNALRFRQISGNIVAPESPSDWGPGDRRQWLHFHKVQDLKVTGGGIIDGRGQQWWARSSCEEKKNRTAQPAPKAVHFEDCHGISVKGITLQNSQQYHLTFTRSSNVEANYLRVTSPEDSIDTKGIHLVDSKNVHVMDNLISTGDDCVSIVGNCTDVRLRAISCGPGHGISIGTLGVNGSVDYVEKIKVDTLFISNAENGVRVKTTKNGVGGFARKVKFESIVMRNVTNPIIIDQGNSDDPADSSEAPVHQTAATAVQVEKINYIDIRGTSASEHAVTFSCSDAKPCRHLSLTNVNLTRVDGRKASSYCRKAFGKSTGTVIPESCLTKEDFVHHVPRHSEEDEEEDSDS >PAN18351 pep chromosome:PHallii_v3.1:3:12819459:12821670:-1 gene:PAHAL_3G195900 transcript:PAN18351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLSRGLRRRLDGRVRRRRQCHPQRALRQDLPDLAAHARRALQQRDQAARKPRSLINPQPLPFFSRRICNALRFRQISGNIVAPESPSDWGPGDRRQWLHFHKVQDLKVTGGGIIDGRGQQWWARSSCEEKKNRTAQPAPKAVHFEDCHGISVKGITLQNSQQYHLTFTRSSNVEANYLRVTSPEDSIDTKGIHLVDSKNVHVMDNLISTGDDCVSIVGNCTDVRLRAISCGPGHGISIGTLGVNGSVDYVEKIKVDTLFISNAENGVRVKTTKNGVGGFARKVKFESIVMRNVTNPIIIDQGNSDDPADSSEAPQTAATAVQVEKINYIDIRGTSASEHAVTFSCSDAKPCRHLSLTNVNLTRVDGRKASSYCRKAFGKSTGTVIPESCLTKEDFVHHVPRHSEEDEEEDSDS >PAN18346 pep chromosome:PHallii_v3.1:3:12819220:12821975:-1 gene:PAHAL_3G195900 transcript:PAN18346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAPRTAVLMVAAVVAVLLPDAAEPRILLTLNDFGAVGDGVADDTKAFAGAWTAACAAADNVILNVPSGKTFRIWPLTLVGPCSSEIKLLISGNIVAPESPSDWGPGDRRQWLHFHKVQDLKVTGGGIIDGRGQQWWARSSCEEKKNRTAQPAPKAVHFEDCHGISVKGITLQNSQQYHLTFTRSSNVEANYLRVTSPEDSIDTKGIHLVDSKNVHVMDNLISTGDDCVSIVGNCTDVRLRAISCGPGHGISIGTLGVNGSVDYVEKIKVDTLFISNAENGVRVKTTKNGVGGFARKVKFESIVMRNVTNPIIIDQGNSDDPADSSEAPTAATAVQVEKINYIDIRGTSASEHAVTFSCSDAKPCRHLSLTNVNLTRVDGRKASSYCRKAFGKSTGTVIPESCLTKEDFVHHVPRHSEEDEEEDSDS >PAN17286 pep chromosome:PHallii_v3.1:3:7549342:7555845:1 gene:PAHAL_3G118600 transcript:PAN17286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAAASGGSAWANGGPCFGDMVWGKVKSHPWWPGHIYSVDLTDDEEVHSGRRDGLVLVAFFGDSSYGWFDPQELVPFEDHFAEKAAQGGSSRSSFAAAVAEAVDEVARRSALALLCPCREPEAFRPHPSDGRFFLVDVPGFDTDADYHPDQIQAARDRFVPWGALDYLLDAAVTQRNAAEVAARTVPGMEMAGLFMAYRRTVFAPRDDTYAEAFGVDPEKVLEAEKQAAADKAQRARPLKGGLRKTPEQAAPTPGRRRGCAGGAAARLMEKIVPGASAMKAKSSKKDQYLLKRRDAPEPAHRPPPPQLPDAPPPAPAPPALEDGPPGFRAGDPPTPPLPGSSLTDEEEFMLQRRAPLVEVPVAAQAAEGGAAAAAAAGDAAPKKATKAKKPRKREREDATDAGAATGGAAGEPKKKKKKKKLTDLDGAAAGSGKAAAFPAPNVTDPNGLDLTQVISDIRNLPLAPFNGADRRISDAARSFVLAFRSKYYKKSYENDPPEESKKSLDKPGAAADGQPVKKKKPVVIPGAGNDPTKAGVKRGPSDRQEERAVKKKAKLDKIRTLSSEKKAGGLEQRDSSAASPAAQPQARPGAKEKPEMAAAKKKEPAPAPRIRTPSPTALMMKFPIKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFRSDAEAALRYAKANAMFGQVDTQYHLREVEAAGREPPAPEAPPQRSDLRLMETAPFRPGSSGNGAPLPMSRAMPARPAGGQQPKSILKKSTDDGATAAARDAPRVKFMLDGGDGKLDSPAPPATGAAGGNGADSGSPGGKVPKSVGFAAQPPARTLQPPMRPAQPPLQQTPRTAAAAVTHQLPPPPLQPQQHLPYQPRSSDAGQQLAYPPRHTEAPLAFSNSQQPLPPYPPRHGEGPSALPGPPPLPYPPRSAGFTGQQLQHQQPPRSSSNAADEAALAWKRSKEEFKDEVWRLMTGIARMVEPLTDKNGFFPYHLFRAQ >PAN17284 pep chromosome:PHallii_v3.1:3:7553221:7555845:1 gene:PAHAL_3G118600 transcript:PAN17284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIVPGASAMKAKSSKKDQYLLKRRDAPEPAHRPPPPQLPDAPPPAPAPPALEDGPPGFRAGDPPTPPLPGSSLTDEEEFMLQRRAPLVEVPVAAQAAEGGAAAAAAAGDAAPKKATKAKKPRKREREDATDAGAATGGAAGEPKKKKKKKKLTDLDGAAAGSGKAAAFPAPNVTDPNGLDLTQSYENDPPEESKKSLDKPGAAADGQPVKKKKPVVIPGAGNDPTKAGVKRGPSDRQEERAVKKKAKLDKIRTLSSEKKAGGLEQRDSSAASPAAQPQARPGAKEKPEMAAAKKKEPAPAPRIRTPSPTALMMKFPIKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFRSDAEAALRYAKANAMFGQVDTQYHLREVEAAGREPPAPEAPPQRSDLRLMETAPFRPGSSGNGAPLPMSRAMPARPAGGQQPKSILKKSTDDGATAAARDAPRVKFMLDGGDGKLDSPAPPATGAAGGNGADSGSPGGKVPKSVGFAAQPPARTLQPPMRPAQPPLQQTPRTAAAAVTHQLPPPPLQPQQHLPYQPRSSDAGQQLAYPPRHTEAPLAFSNSQQPLPPYPPRHGEGPSALPGPPPLPYPPRSAGFTGQQLQHQQPPRSSSNAADEAALAWKRSKEEFKDEVWRLMTGIARMVEPLTDKNGFFPYHLFRAQ >PVH61771 pep chromosome:PHallii_v3.1:3:7549342:7555845:1 gene:PAHAL_3G118600 transcript:PVH61771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAAASGGSAWANGGPCFGDMVWGKVKSHPWWPGHIYSVDLTDDEEVHSGRRDGLVLVAFFGDSSYGWFDPQELVPFEDHFAEKAAQGGSSRSSFAAAVAEAVDEVARRSALALLCPCREPEAFRPHPSDGRFFLVDVPGFDTDADYHPDQIQAARDRFVPWGALDYLLDAAVTQRNAAEVAARTVPGMEMAGLFMAYRRTVFAPRDDTYAEAFGVDPEKVLEAEKQAAADKAQRARPLKGGLRKTPEQAAPTPGRRRGCAGGAAARLMEKIVPGASAMKAKSSKKDQYLLKRRDAPEPAHRPPPPQLPDAPPPAPAPPALEDGPPGFRAGDPPTPPLPGSSLTDEEEFMLQRRAPLVEVPVAAQAAEGGAAAAAAAGDAAPKKATKAKKPRKREREDATDAGAATGGAAGEPKKKKKKKKLTDLDGAAAGSGKAAAFPAPNVTDPNGLDLTQKSYENDPPEESKKSLDKPGAAADGQPVKKKKPVVIPGAGNDPTKAGVKRGPSDRQEERAVKKKAKLDKIRTLSSEKKAGGLEQRDSSAASPAAQPQARPGAKEKPEMAAAKKKEPAPAPRIRTPSPTALMMKFPIKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFRSDAEAALRYAKANAMFGQVDTQYHLREVEAAGREPPAPEAPPQRSDLRLMETAPFRPGSSGNGAPLPMSRAMPARPAGGQQPKSILKKSTDDGATAAARDAPRVKFMLDGGDGKLDSPAPPATGAAGGNGADSGSPGGKVPKSVGFAAQPPARTLQPPMRPAQPPLQQTPRTAAAAVTHQLPPPPLQPQQHLPYQPRSSDAGQQLAYPPRHTEAPLAFSNSQQPLPPYPPRHGEGPSALPGPPPLPYPPRSAGFTGQQLQHQQPPRSSSNAADEAALAWKRSKEEFKDEVWRLMTGIARMVEPLTDKNGFFPYHLFRAQ >PAN17285 pep chromosome:PHallii_v3.1:3:7549342:7555845:1 gene:PAHAL_3G118600 transcript:PAN17285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAAASGGSAWANGGPCFGDMVWGKVKSHPWWPGHIYSVDLTDDEEVHSGRRDGLVLVAFFGDSSYGWFDPQELVPFEDHFAEKAAQGGSSRSSFAAAVAEAVDEVARRSALALLCPCREPEAFRPHPSDGRFFLVDVPGFDTDADYHPDQIQAARDRFVPWGALDYLLDAAVTQRNAAEVAARTVPGMEMAGLFMAYRRTVFAPRDDTYAEAFGVDPEKVLEAEKQAAADKAQRARPLKGGLRKTPEQAAPTPGRRRGCAGGAAARLMEKIVPGASAMKAKSSKKDQYLLKRRDAPEPAHRPPPPQLPDAPPPAPAPPALEDGPPGFRAGDPPTPPLPGSSLTDEEEFMLQRRAPLVEVPVAAQAAEGGAAAAAAAGDAAPKKATKAKKPRKREREDATDAGAATGGAAGEPKKKKKKKKLTDLDGAAAGSGKAAAFPAPNVTDPNGLDLTQSYENDPPEESKKSLDKPGAAADGQPVKKKKPVVIPGAGNDPTKAGVKRGPSDRQEERAVKKKAKLDKIRTLSSEKKAGGLEQRDSSAASPAAQPQARPGAKEKPEMAAAKKKEPAPAPRIRTPSPTALMMKFPIKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFRSDAEAALRYAKANAMFGQVDTQYHLREVEAAGREPPAPEAPPQRSDLRLMETAPFRPGSSGNGAPLPMSRAMPARPAGGQQPKSILKKSTDDGATAAARDAPRVKFMLDGGDGKLDSPAPPATGAAGGNGADSGSPGGKVPKSVGFAAQPPARTLQPPMRPAQPPLQQTPRTAAAAVTHQLPPPPLQPQQHLPYQPRSSDAGQQLAYPPRHTEAPLAFSNSQQPLPPYPPRHGEGPSALPGPPPLPYPPRSAGFTGQQLQHQQPPRSSSNAADEAALAWKRSKEEFKDEVWRLMTGIARMVEPLTDKNGFFPYHLFRAQ >PVH62843 pep chromosome:PHallii_v3.1:3:53725000:53728780:1 gene:PAHAL_3G414100 transcript:PVH62843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEMLMQVLLVASAVAAFLAGYVRGDFQLMLLVYAGGVVLTALVTVPNWPFFNRNPLKWLDAAEAERHPRPQVSSAGAGAGGKKKSGKNK >PVH61683 pep chromosome:PHallii_v3.1:3:6011664:6017621:-1 gene:PAHAL_3G094500 transcript:PVH61683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MRTRNPTMSKSSSWPKTKTVVKKLLNLKNSEFHSDCFNESFGGQQQEMRRKSCSDRDGSLLTKTDLSGGWLVESSENLRPPPPPRYGSPAPTPSWRPPKELRMFVGTWNVGGRAPHGGLDLFDWLIDGPASSSPHIYVLGFQEIVPLNAGNVLGAEDKGPACQWLDLIRRALNPSSSSPESRGSHVLFPLDSLQKGRVSFSDLLAAEDSRLSTASELDDDASEPSTSNPESSSEEEAGDFGGAARRLRGHGYRLAASKQMVGIFLCVWVRADLLPCVTSLRISCVGRGIMGYMGNKGSISVSLTLQGGASLCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFAPSPLRFSRQAAAAALSPETILQHDKVIWLGDLNYRLTSGGGDTRELLERHDWQALLERDQLRTEQRAGRVFSGWEEGRIRFPPTYKYLAESDAYAMSLGASGSREKKRTPAWCDRILWRGEGMDQHWYARGESRFSDHRPVSSLFSARLTDADGNKPAALRGNFAQRTAARGFRRRSTMPPRAAAVVAAPRCAVEAEEMLLVPLSSRF >PAN16892 pep chromosome:PHallii_v3.1:3:6011741:6017316:-1 gene:PAHAL_3G094500 transcript:PAN16892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MRTRNPTMSKSSSWPKTKTVVKKLLNLKNSEFHSDCFNESFGGQQQEMRRKSCSDRDGSLLTKTDLSGGWLVESSENLRPPPPPRYGSPAPTPSWRPPKELRMFVGTWNVGGRAPHGGLDLFDWLIDGPASSSPHIYVLGFQEIVPLNAGNVLGAEDKGPACQWLDLIRRALNPSSSSPESRGSHVLFPLDSLQKGRVSFSDLLAAEDSRLSTASELDDDASEPSTSNPESSSEEEAGDFGGAARRLRGHGYRLAASKQMVGIFLCVWVRADLLPCVTSLRISCVGRGIMGYMGNKGSISVSLTLQGGASLCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFAPSPLRFSRQAAAAALSPETILQHDKVIWLGDLNYRLTSGGGDTRELLERHDWQALLERDQLRTEQRAGRVFSGWEEGRIRFPPTYKYLAESDAYAMSLGASGSREKKRTPACLSGRNNWSRLDWD >PVH61682 pep chromosome:PHallii_v3.1:3:6013421:6016719:-1 gene:PAHAL_3G094500 transcript:PVH61682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MRTRNPTMSKSSSWPKTKTVVKKLLNLKNSEFHSDCFNESFGGQQQEMRRKSCSDRDGSLLTKTDLSGGWLVESSENLRPPPPPRYGSPAPTPSWRPPKELRMFVGTWNVGGRAPHGGLDLFDWLIDGPASSSPHIYVLGFQEIVPLNAGNVLGAEDKGPACQWLDLIRRALNPSSSSPESRGSHVLFPLDSLQKGRVSFSDLLAAEDSRLSTASELDDDASEPSTSNPESSSEEEAGDFGGAARRLRGHGYRLAASKQMVGIFLCVWVRADLLPCVTSLRISCVGRGIMGYMGNKGSISVSLTLQGGASLCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFAPSPLRFSRQAAAAALSPETILQHDKVIWLGDLNYRLTSGGGDTRELLERHDWQALLERDQLRTEQRAGRVFSGWEEGRIRFPPTYKYLAESDAYAMSLGASGSREKKRTPAW >PAN16891 pep chromosome:PHallii_v3.1:3:6011663:6017622:-1 gene:PAHAL_3G094500 transcript:PAN16891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MRTRNPTMSKSSSWPKTKTVVKKLLNLKNSEFHSDCFNESFGGQQQEMRRKSCSDRDGSLLTKTDLSGGWLVESSENLRPPPPPRYGSPAPTPSWRPPKELRMFVGTWNVGGRAPHGGLDLFDWLIDGPASSSPHIYVLGFQEIVPLNAGNVLGAEDKGPACQWLDLIRRALNPSSSSPESRGSHVLFPLDSLQKGRVSFSDLLAAEDSRLSTASELDDDASEPSTSNPESSSEEEAGDFGGAARRLRGHGYRLAASKQMVGIFLCVWVRADLLPCVTSLRISCVGRGIMGYMGNKGSISVSLTLQGGASLCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFAPSPLRFSRQAAAAALSPETILQHDKVIWLGDLNYRLTSGGGDTRELLERHDWQALLERDQLRTEQRAGRVFSGWEEGRIRFPPTYKYLAESDAYAMSLGASGSREKKRTPAWCDRILWRGEGMDQHWYARGESRFSDHRPVSSLFSARLTDADGNKPAALRGNFAQRTAARGFRRRSTMPPRAAAVVAAPRCAVEAEEMLLVPLSSRF >PVH63303 pep chromosome:PHallii_v3.1:3:64412614:64413926:1 gene:PAHAL_3G517100 transcript:PVH63303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNEQVDEIDRERLVRRWIAEGFICEEHGQSKQEVAENHFYELVNRSMLQPVGIGYDGKDRACQVHDMMLELIISKSVEDNFIAFMGHGQNDLANRHGLIRRLSVHYIDQEQASVLANEDLSHVRSLTVITSACLKKLPSLAEFQALRVLHFQGCRNVQEYDMNGIDKLFQLKYLSFRNT >PAN19450 pep chromosome:PHallii_v3.1:3:18329888:18332045:-1 gene:PAHAL_3G274500 transcript:PAN19450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSTNRQVTFSKRRAGLVKKAREIGVLCDAEVGVVIFSSGGKLYDYCSPRTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRVKKENDNMQIELRHLKGEDLNSLQPTELIAIEEALQNGQTNQRDKLMDHWRMHKRNGKMLEDEHKLLSFRMAYQHQQDVELSGGMRELEIGYHQVQHDRDFTSQMPFTFRVQPSHPNLQEDE >PVH61424 pep chromosome:PHallii_v3.1:3:1972852:1973157:1 gene:PAHAL_3G033800 transcript:PVH61424 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 4L, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG01070) UniProtKB/Swiss-Prot;Acc:P26289] MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNFLNN >PAN18039 pep chromosome:PHallii_v3.1:3:11497169:11499995:1 gene:PAHAL_3G174000 transcript:PAN18039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETSAASCEGPVCKAVVGGDGDVDGKGAGAAVSARRRRRRLELRRLGRTAAAAEEGVSAKKVRPGSDRSSSDSSVEADHGAGLPACLSHGAVSVIGRRREMEDAFSVALSFLASPDAGAKGGGSDGEQDFFAVYDGHGGARVAEACRERLHVVLAEEVGLRRGARSDARWKEAMVASFARVDGEVTRDLAPPPKLTADADADPPYRTVGSTAVVAVVGQHRIVVANCGDSRAVLSRGGIAVPLSTDHKPDRPDELQRVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVSAEPEVTVVERTDQDEFLILASDGLWDVVSNEVACKIARNCLSGRAASMFPESVAGRTAADAAALLAELAMSRGSKDNISVVVVELQRLKRSGGGAA >PVH62597 pep chromosome:PHallii_v3.1:3:27251005:27251268:1 gene:PAHAL_3G333000 transcript:PVH62597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKQGTCITIQCRHYSGRSMLLLLWAAHQPLWMDAWTWIHGWMVLHVPIFSTEHKLSQHASARQVHDKPHRSEAVQAVPLISHQRF >PAN17469 pep chromosome:PHallii_v3.1:3:8608985:8610084:1 gene:PAHAL_3G133500 transcript:PAN17469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEHSSPHEFVRLPMNEGSSPVVNSDGPQELPQDIKLVVQNGNETIQILLKGHVIVRSSGRPQNNGGDAAGQGTATIGQQAAADSADADSLQNMSGWLMAAATLFLGMAYQAATKPPAWMPSAKDAFDVVFFGKQGDPSGSVTKKLAQRALGHLLLNTMAYGISLALVVLLPTMNKATPGLPQLVTYLVVTLAAVVTANMCNAISEDILVGNVVFGVIMVYGLGPVIFIVLYAPILVPRIRSLLACLLAPRRPAAIHSWLLDRQQQVLP >PVH62154 pep chromosome:PHallii_v3.1:3:14162171:14170152:1 gene:PAHAL_3G218300 transcript:PVH62154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPMDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTPGKGTKPLPDNTSRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWDKLYQMKAAFIPEVNGELDTQNFEKFEETGAQMQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKTSKPKRPTIKTLFESMDEEEPVQGSFLSMLPPKEGQPSSHSSIPPEQYQPRRK >PVH62155 pep chromosome:PHallii_v3.1:3:14161703:14170152:1 gene:PAHAL_3G218300 transcript:PVH62155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPMDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTPGKGTKPLPDNTSRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWDKLYQMKAAFIPEVNGELDTQNFEKFEETGAQMQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKTSKPKRPTIKTLFESMDEEEPVQGSFLSMLPPKEGQPSSHSSIPPEQYQPRRK >PAN18681 pep chromosome:PHallii_v3.1:3:14161610:14170152:1 gene:PAHAL_3G218300 transcript:PAN18681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPMDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTPGKGTKPLPDNTSRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWDKLYQMKAAFIPEVNGELDTQNFEKFEETGAQMQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKTSKPKRPTIKTLFESMDEEEPVQGSFLSMLPPKEGQPSSHSSIPPEQYQPRRK >PAN21206 pep chromosome:PHallii_v3.1:3:58700420:58703976:-1 gene:PAHAL_3G450100 transcript:PAN21206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALREAEAELTVYVHPSNAADVPRAVARQLSALLFSYEERFDGVLLAHETTLVDEDIKDDGSEVKGKKKIRAKILNGLVPYFGVRVHANLLIFSPQPDMILEGKVEMLSKESIHAIILGVFSVAIMSDDIREKFKFKRKSDGGRFVSRSDRQHVIKRGTMIRFLVKGVDTEMNCHITGSLIPPHTGSMRWLSVHDAEYAAEINSGNRRSRNVSIKIEQNEQEHRILKNEDSMVKSERAHKSRKRSIENR >PVH61848 pep chromosome:PHallii_v3.1:3:9075525:9076596:-1 gene:PAHAL_3G141100 transcript:PVH61848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASFGAGQVRSAMNDVEFLGPVWEPGSPPFPQAGGPRGNLTRPASFPRGGSSPSLESGRISPPVATRERREPSAAPPPRRLLRSPARAAVPPPLLGSALPLRLLIQTPPPAAPCSPLATPLRFRTPRRLDLRRRHHLGRRLHSHHGASTSSSSSSYILLILVTFRLIGCHLQDSLLADLFTRHCWPK >PVH61802 pep chromosome:PHallii_v3.1:3:8192215:8194931:-1 gene:PAHAL_3G126400 transcript:PVH61802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPSRMQLEGAAAAGDVTVEETDALDCGVCFLPLKPPIFQRNVGHVICSACRDHHGQGCHHELQG >PAN16938 pep chromosome:PHallii_v3.1:3:6152394:6152897:1 gene:PAHAL_3G097100 transcript:PAN16938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDKSNTTATAALVLLLLPLMLSSTVSDAARQLPGQGKWEAPIIYPRPQTPWNPPIIYPTPQTPWNPPVVYPSPIKPPPHSGGHLDVNSPSVDERVA >PAN17350 pep chromosome:PHallii_v3.1:3:8060450:8062490:-1 gene:PAHAL_3G124400 transcript:PAN17350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSAEHKVIGIAAAAEPGRPCCVECRTTATPMWRGGPTGPRSLCNACGIRYRKKRRQELGLDNNKKPQQNQQQPHPPQQPQQPQHQDHSLAPSAVKDNKSSGLQVVKKRRVLMGVEEAAILLMALSSSSRSTLLHG >PAN21263 pep chromosome:PHallii_v3.1:3:58927124:58933655:1 gene:PAHAL_3G453700 transcript:PAN21263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVIHCSVGNISLFHIGSIRTSREIQIRRFQGSARYSRVASPSPQRLLQPQTAFHLISIYKRRSWSSAQRQRTLSAATIGTDVTVEDQNPSPSGETSDENSEAGPDAVEASEQAEASMDQASSARKLGRNIRKSEMPPLTDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKESGRISLTMREGDDYVKPKKEAPNAATGGRSATATPRSSPRQTKERQDAKATSESKYAPGQSLNGTVKSTTRAGTFVTLPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDVEDDEDDLQTLNMELKRDWSRGTNAFELAFRRNKEISAFLDQREKTKVPEVQAATGLAVVEADSSASTTETEGKEEVSSSIEAATTSIEEAAPVDEESGGELSSVAEVATDIPAPVSEASSQEGIEDSTSVADAADDQTVESGSASTIGVELSSNGVPDSTGVSSVSETEDKPAEPEESSAVEEVPVTASIGSVEPATPAEASEKTGAEVAAAGVEQASTTTATISPSLVKQLREATGAGMMDCKKALAETGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKDLVDDLAMQVAACPQVQYVSIDDVPEEVVKKETDLEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWVKQTIATTGENMKVTRFARYNLGEGLEKKSQDFAAEVAAQTVAKPPPSAPSKEDKPAETTESAEKKPAVAVSAALVKQLRDETGAGMMDCKKALAETGGDLQMAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKDIEMQREDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKEMIASLGENIKVRRFIRYTLGED >PAN21264 pep chromosome:PHallii_v3.1:3:58927124:58933655:1 gene:PAHAL_3G453700 transcript:PAN21264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVIHCSVGNISLFHIGSIRTSREIQIRRFQGSARYSRVASPSPQRLLQPQTAFHLISIYKRRSWSSAQRQRTLSAATIGTDVTVEDQNPSPSGETSDENSEAGPDAVEASEQAEASMDQASSARKLGRNIRKSEMPPLTDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKESGRISLTMREGDDYVKPKKEAPNAATGGRSATATPRSSPRQTKERQDAKATSESKYAPGQSLNGTVKSTTRAGTFVTLPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDVEDDEDDLQTLNMELKRDWSRGTNAFELAFRRNKEISAFLDQREKTKVPEVQAATGLAVVEADSSASTTETEGKEEVSSSIEAATTSIEEAAPVDEESGGELSSVAEVATDIPAPVSEASSQEGIEDSTSVADAADDQTVESGSASTIGVELSSNGVPDSTGVSSVSETEDKPAEPEESSAVEEVPVTASIGSVEPATPAEASEKTGAEVAAAGVEQASTTTAATISPSLVKQLREATGAGMMDCKKALAETGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKDLVDDLAMQVAACPQVQYVSIDDVPEEVVKKETDLEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWVKQTIATTGENMKVTRFARYNLGEGLEKKSQDFAAEVAAQTVAKPPPSAPSKEDKPAETTESAEKKPAVAVSAALVKQLRDETGAGMMDCKKALAETGGDLQMAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKDIEMQREDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKEMIASLGENIKVRRFIRYTLGED >PAN21262 pep chromosome:PHallii_v3.1:3:58927108:58933655:1 gene:PAHAL_3G453700 transcript:PAN21262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVIHCSVGNISLFHIGSIRTSREIQIRRFQGSARYSRVASPSPQRLLQPQTAFHLISIYKRRSWSSAQRQRTLSAATIGTDVTVEDQNPSPSGETSDENSEAGPDAVEASEQAEASMDQASSARKLGRNIRKSEMPPLTDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKESGRISLTMREGDDYVKPKKEAPNAATGGRSATATPRSSPRQTKERQDAKATSESKYAPGQSLNGTVKSTTRAGTFVTLPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDVEDDEDDLQTLNMELKRDWSRGTNAFELAFRRNKEISAFLDQREKTKVPEVQAATGLAVVEADSSASTTETEGKEEVSSSIEAATTSIEEAAPVDEESGGELSSVAEVATDIPAPVSEASSQEGIEDSTSVADAADDQTVESGSASTIGVELSSNGVPDSTGVSSVSETEDKPAEPEESSAVEEVPVTASIGSVEPATPAEASEKTGAEVAAAGVEQASTTTATISPSLVKQLREATGAGMMDCKKALAETGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKDLVDDLAMQVAACPQVQYVSIDDVPEEVVKKETDLEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWVKQTIATTGENMKVTRFARYNLGEGLEKKSQDFAAEVAAQTVAKPPPSAPSKEDKPAETTESAEKKPAVAVSAALVKQLRDETGAGMMDCKKALAETGGDLQMAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKDIEMQREDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKEMIASLGENIKVRRFIRYTLGED >PAN21265 pep chromosome:PHallii_v3.1:3:58927108:58933655:1 gene:PAHAL_3G453700 transcript:PAN21265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVIHCSVGNISLFHIGSIRTSREIQIRRFQGSARYSRVASPSPQRLLQPQTAFHLISIYKRRSWSSAQRQRTLSAATIGTDVTVEDQNPSPSGETSDENSEAGPDAVEASEQAEASMDQASSARKLGRNIRKSEMPPLTDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKESGRISLTMREGDDYVKPKKEAPNAATGGRSATATPRSSPRQTKERQDAKATSESKYAPGQSLNGTVKSTTRAGTFVTLPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDVEDDEDDLQTLNMELKRDWSRGTNAFELAFRRNKEISAFLDQREKTKVPEVQAATGLAVVEADSSASTTETEGKEEVSSSIEAATTSIEEAAPVDEESGGELSSVAEVATDIPAPVSEASSQEGIEDSTSVADAADDQTVESGSASTIGVELSSNGVPDSTGVSSVSETEDKPAEPEESSAVEEVPVTASIGSVEPATPAEASEKTGAEVAAAGVEQASTTTAATISPSLVKQLREATGAGMMDCKKALAETGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKDLVDDLAMQVAACPQVQYVSIDDVPEEVVKKETDLEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWVKQTIATTGENMKVTRFARYNLGEGLEKKSQDFAAEVAAQTVAKPPPSAPSKEDKPAETTESAEKKPAVAVSAALVKQLRDETGAGMMDCKKALAETGGDLQMAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKDIEMQREDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKEMIASLGENIKVRRFIRYTLGED >PVH61294 pep chromosome:PHallii_v3.1:3:350881:352231:-1 gene:PAHAL_3G005600 transcript:PVH61294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSSMEPKMERMSSSVQSWVEEHKLASIGGVWAAAVGASVAYSRRRAPQRATSLRLIHARMHAQALTLAVLGGAAVMHYYSKSKSSADKMDLDFYSHLPPATDADGNENERWSW >PVH61293 pep chromosome:PHallii_v3.1:3:350898:352102:-1 gene:PAHAL_3G005600 transcript:PVH61293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKMERMSSSVQSWVEEHKLASIGGVWAAAVGASVAYSRRRAPQRATSLRLIHARMHAQALTLAVLGGAAVMHYYSKSKSSADKMDLDFYSHLPPATDADGNENERWSW >PAN17037 pep chromosome:PHallii_v3.1:3:6470921:6474680:-1 gene:PAHAL_3G101800 transcript:PAN17037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFTAPLVSGCWVARCRAPVPLREPRSANELADSSNALLPLRRTATWDRGAPWRSSPRRAMALIAAAAAGARPLLSSAARRTAASLSYAAYSAAGPAAGDIEDSPLPRRSPLAQFRERRALAVTDITATEWCEKQMESGLKHGKPERTEAMKAGSDRHAQLEDEKEG >PVH62492 pep chromosome:PHallii_v3.1:3:21676596:21678869:-1 gene:PAHAL_3G307900 transcript:PVH62492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMAVSPAVCAIVLLVAATCPVLGYVPQGAARRSAGARRSHGGARTYIVLVEPPPAGLQHADDEAAHRLWHESFLRGSGGGGRRRVRHSYTSVLSGFAARLTDGELAAVSRKPGFVRAFPERRVQLMTTRTPAFLGLEPGRGVWNATSYGEGAIIGFLDTGIDEKHPSFRDEGMPLPPARWKGACQPPVRCNNKLIGAASFVGDNTTTDDVGHGTHTTGTAAGRFVEGASAFGLGAGGGTAAGMAPGAHLAVYKVCDAQGCFESDLLAGMDAAVKDGVDVLSVSLGGVSTPLDKDPIAIGAFAAASKGVLVVCAGGNSGPLPSTLSNEAPWILTVAAGSVDRSFRATVRLGDGEMFEGESLAQDKHFSSMVYPLYYSQGMNYCDFFDANITGMMVVCDTETPVPPMSSIEAVREAGGVGVVFINEPDFGYTIVLEKYYNLPMSQVTAVDGTKIMGYAMKGSSRTNHTATIVFNSTVVGVKPAPTVAAFSSRGPSVASPGVLKPDIMAPGLNILAAWPSLVPVEGPESYSFNIISGTSMATPHVTGIVALVKKAHPDWSAAAIKSAIMTSSSAVDNEGNLIMDEEHQTASSYAVGAGHVVPAKAVDPGLVYDIGLHDYAGYICKLLGEAALKAIAGSANLTCAEVEPVGAAQLNYPAIVVPLRAEPFAVNRTVTNVGPARSSYTAKVDAPKGLTVKVEPAELEFTEVKERKTFTLTVSAAGASDEQKIAEGSLSWVSQDHVVRSPIVADSGITLMGC >PAN15898 pep chromosome:PHallii_v3.1:3:1000794:1005762:-1 gene:PAHAL_3G018500 transcript:PAN15898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPAKVYYDGCPGCAMDRRKESREGVPYKELLFVGTTTFASALPITSLFPFLYFMIRDLHVAQREEDIGFYAGFLGASYMIGRGLASVFWGIIADRIGRKPVIAFSVFSVIVFNTLFGLSVKYWMAIATRFLLGALNGFLAPVKAYSIEVCRPEQQALGISIVSTAWGMGVIIGPAIGGYLAQPVKQYPHLFHEKSVFGRFPYLLPCLFISFFATLVFISCAWLPETLHKHKGLERTVEMVEGPTAQESTEPPKKSLLKNWPLMSSIITYCVFSLHDTAYVEIFSLWTVSNRKYGGLSFSSKDVGQVLTVAGASLLVYQLFAYRWVDKTFGPIQSTRISSALSIPIIAAYPFMTHLSGIRLGVPLYIAAMLKSVFAITRVTGTSLLQNNAVPQEQRGAANGIATTAMSLSKAFAPAGAGIIFSWAQRRQHAAFFPGDQMVFLLLNLTELIGLILSFKPFLAVPQQYK >PAN19235 pep chromosome:PHallii_v3.1:3:17003622:17007906:1 gene:PAHAL_3G258200 transcript:PAN19235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFREPVPTERTTPSTRIGATSRSLLRELITGTLPGPDLYIPEENQGGDMYGTSPYPLIATGDVHLHGSNHIAQDGKVPQQDATRFMGAGYFDSGNAICSNQALMENTIPSESSAVTIDMAASQAYAEEDAATHNERGLWTPNEDSKLRELVNEQGEKKWSKIAKDLPGRIGKQCRERWLNHLKPGIKKDTFWTEEEDLMLVAWHRKLGPSWAEMAKHIPGRPENSLKNHWNATRRHVWKNRETIEMAVKDGTHPNVLVVYMVRECGVLKGAGAPASASDPPVPDPVPDGWQADDNPAATEPSPGSNSPDQCWLPMLCGGMLPPPIMEAPAPLPDHNNVESCVYATYDADGYVRYVHLQPTPGHDGYAPANQVAAAAEAAGYYNPLTFPYNPFAGQQVYAPEDGTSHYYSNSGAGGDEAHGNAGSVSAAPELAGMPFSGNAPAAQHNQRGRGGAI >PAN21834 pep chromosome:PHallii_v3.1:3:62449869:62452507:1 gene:PAHAL_3G493200 transcript:PAN21834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYVPDWSSSMGDAFATLNGGDDDGLMELLWCNGHVVMQSQAPRKPTRPEKVAAAAAAAAAQDDEAAAWFQYPAEDALERDLFTELFGEAQAADAGSGRACKEEAECGGEAAARRSGLMPPPWEKECPGELGDVAAGGECTATATEAGESSMLTVGSSFCGSNHVQTPRARATCGGAAAPGAAGKAGGSARDAATVTSSSMRPRSCTTKAGQPSATAHRSGKRRPSDATETEDAEFESADATCEPAQKLTTAKRRRAAEVHNLSERRRRDRINEKMKTLQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMGGGMAAAAAPVMFPAGVHQYMQRMVAPSHVASMPRMPFMAPPAVQSPPGADPYARYLAVDHLQPPPPPPAPMHYLQGMGFYQQQQSSAPPPPAVPAGSLPAATSRTSPPDGPLHKKYESCGMPEIQGITS >PAN21836 pep chromosome:PHallii_v3.1:3:62449869:62452507:1 gene:PAHAL_3G493200 transcript:PAN21836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYVPDWSSSMGDAFATLNGGDDDGLMELLWCNGHVVMQSQAPRKPTRPEKVAAAAAAAAAQDDEAAAWFQYPAEDALERDLFTELFGEAQAADAGSGRACKEEAECGGEAAARRSGLMPPPWEKECPGELGDVAAGGECTATATEAGESSMLTVGSSFCGSNHVQTPRARATCGGAAAPGAAGKAGGSARDAATVTSSSMRPRSCTTKAGQPSATAHRSGKRRPSDATETEDAEFESADATCEPAQKLTTAKRRRAAEVHNLSERRRRDRINEKMKTLQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMGGGMAAAAAPVMFPAGVHQYMQRMVAPSHVASMPRMPFMAPPAVQSPPGADPYARYLAVDHLQPPPPPPAPMGMGFYQQQQSSAPPPPAVPAGSLPAATSRTSPPDGPLHKKYESCGMPEIQGITS >PAN21988 pep chromosome:PHallii_v3.1:3:63546884:63551181:-1 gene:PAHAL_3G505800 transcript:PAN21988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEYVDENTMIPQNSTVLVHRLAGHPTDAINTSPIVLENDAMASDKTVAESTLKSSAFTETDDEEVAAIRNVIDAAEIKWGDSSSGGGYDGGQLGCHNGRPLEWEAPPPGYVCRICHIPGHFIWHCPSGSKPPPPGYICHKCGVPGHFIHSCPNYGDRKYDSRRTSSLIPIVSSFDDGIPAELVQAMFSSVSDSLPAELHCPLCKKVMTDAMLTSKCCYDSFCDKCIRDYIFAQAKCICGVEILADDLIPNQTLRSTISSMLSSRVGGLSSGTGNLASSISSDLDGKSISFTAPAVLKGDNMQHLDSTPSAATGGSFLITACKNPLGHHQKLTRSDLQSKTEETEKTSVKKTIVLTDAMKTAPEPRCQKQSPPDGVAILSGKLERKVVKTKSAKKKRKSGTTGNGDTNCAGYDCNIPFEPSCYNSSFGLGGLPWGADPYSMYFMPNMPSRGYPMGMYNVNDISNLPLHTPGMQGYPAIHYSSGFHPGVFQDHEPSAHARLSDSYKNIGPQSPKPELHHSRASAQKRGSRSGGRSVPEMRDSSIESHDYYAEYHSRKKAGTHPASSPRDGSQHRRAVDSGSFEFDDYNEEFHGRRKGRARSRSRKSSSKHSYRRHAYEGSTSSDEERNFKRRW >PAN21990 pep chromosome:PHallii_v3.1:3:63546884:63551672:-1 gene:PAHAL_3G505800 transcript:PAN21990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVHYRYRSGVQTFSVQVPGAFASVAELKRLIAATGRHGTGRTRGRGPRDGIALCDPRTGEEYVDENTMIPQNSTVLVHRLAGHPTDAINTSPIVLENDAMASDKTVAESTLKSSAFTETDDEEVAAIRNVIDAAEIKWGDSSSGGGYDGGQLGCHNGRPLEWEAPPPGYVCRICHIPGHFIWHCPSGSKPPPPGYICHKCGVPGHFIHSCPNYGDRKYDSRRTSSLIPIVSSFDDGIPAELVQAMFSSVSDSLPAELHCPLCKKVMTDAMLTSKCCYDSFCDKCIRDYIFAQAKCICGVEILADDLIPNQTLRSTISSMLSSRVGGLSSGTGNLASSISSDLDGKSISFTAPAVLKGDNMQHLDSTPSAATGGSFLITACKNPLGHHQKLTRSDLQSKTEETEKTSVKKTIVLTDAMKTAPEPRCQKQSPPDGVAILSGKLERKVVKTKSAKKKRKSGTTGNGDTNCAGYDCNIPFEPSCYNSSFGLGGLPWGADPYSMYFMPNMPSRGYPMGMYNVNDISNLPLHTPGMQGYPAIHYSSGFHPGVFQDHEPSAHARLSDSYKNIGPQSPKPELHHSRASAQKRGSRSGGRSVPEMRDSSIESHDYYAEYHSRKKAGTHPASSPRDGSQHRRAVDSGSFEFDDYNEEFHGRRKGRARSRSRKSSSKHSYRRHAYEGSTSSDEERNFKRRW >PVH63255 pep chromosome:PHallii_v3.1:3:63547301:63550001:-1 gene:PAHAL_3G505800 transcript:PVH63255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAGRPLEWEAPPPGYVCRICHIPGHFIWHCPSGSKPPPPGYICHKCGVPGHFIHSCPNYGDRKYDSRRTSSLIPIVSSFDDGIPAELVQAMFSSVSDSLPAELHCPLCKKVMTDAMLTSKCCYDSFCDKCIRDYIFAQAKCICGVEILADDLIPNQTLRSTISSMLSSRVGGLSSGTGNLASSISSDLDGKSISFTAPAVLKGDNMQHLDSTPSAATGGSFLITACKNPLGHHQKLTRSDLQSKTEETEKTSVKKTIVLTDAMKTAPEPRCQKQSPPDGVAILSGKLERKVVKTKSAKKKRKSGTTGNGDTNCAGYDCNIPFEPSCYNSSFGLGGLPWGADPYSMYFMPNMPSRGYPMGMYNVNDISNLPLHTPGMQGYPAIHYSSGFHPGVFQDHEPSAHARLSDSYKNIGPQSPKPELHHSRASAQKRGSRSGGRSVPEMRDSSIESHDYYAEYHSRKKAGTHPASSPRDGSQHRRAVDSGSFEFDDYNEEFHGRRKGRARSRSRKSSSKHSYRRHAYEGSTSSDEERNFKRRW >PAN21989 pep chromosome:PHallii_v3.1:3:63546884:63551673:-1 gene:PAHAL_3G505800 transcript:PAN21989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVHYRYRSGVQTFSVQVPGAFASVAELKRLIAATGRHGTGRTRGRGPRDGIALCDPRTGEEYVDENTMIPQNSTVLVHRLAGHPTDAINTSPIVLENDAMASDKTVAESTLKSSAFTETDDEEVAAIRNVIDAAEIKWGDSSSGGGYDGGQLGCHNGRPLEWEAPPPGYVCRICHIPGHFIWHCPSGSKPPPPGYICHKCGVPGHFIHSCPNYGDRKYDSRRTSSLIPIVSSFDDGIPAELVQAMFSSVSDSLPAELHCPLCKKVMTDAMLTSKCCYDSFCDKCIRDYIFAQAKCICGVEILADDLIPNQTLRSTISSMLSSRVGGLSSGTGNLASSISSDLDGKSISFTAPAVLKGDNMQHLDSTPSAATGGSFLITACKNPLGHHQKLTRSDLQSKTEETEKTSVKKTIVLTDAMKTAPEPRCQKQSPPDGVAILSGKLERKVVKTKSAKKKRKSGTTGNGDTNCAGYDCNIPFEPSCYNSSFGLGGLPWGADPYSMYFMPNMPSRGYPMGMYNVNDISNLPLHTPGMQGYPAIHYSGFHPGVFQDHEPSAHARLSDSYKNIGPQSPKPELHHSRASAQKRGSRSGGRSVPEMRDSSIESHDYYAEYHSRKKAGTHPASSPRDGSQHRRAVDSGSFEFDDYNEEFHGRRKGRARSRSRKSSSKHSYRRHAYEGSTSSDEERNFKRRW >PAN21991 pep chromosome:PHallii_v3.1:3:63546884:63551672:-1 gene:PAHAL_3G505800 transcript:PAN21991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEYVDENTMIPQNSTVLVHRLAGHPTDAINTSPIVLENDAMASDKTVAESTLKSSAFTETDDEEVAAIRNVIDAAEIKWGDSSSGGGYDGGQLGCHNGRPLEWEAPPPGYVCRICHIPGHFIWHCPSGSKPPPPGYICHKCGVPGHFIHSCPNYGDRKYDSRRTSSLIPIVSSFDDGIPAELVQAMFSSVSDSLPAELHCPLCKKVMTDAMLTSKCCYDSFCDKCIRDYIFAQAKCICGVEILADDLIPNQTLRSTISSMLSSRVGGLSSGTGNLASSISSDLDGKSISFTAPAVLKGDNMQHLDSTPSAATGGSFLITACKNPLGHHQKLTRSDLQSKTEETEKTSVKKTIVLTDAMKTAPEPRCQKQSPPDGVAILSGKLERKVVKTKSAKKKRKSGTTGNGDTNCAGYDCNIPFEPSCYNSSFGLGGLPWGADPYSMYFMPNMPSRGYPMGMYNVNDISNLPLHTPGMQGYPAIHYSGFHPGVFQDHEPSAHARLSDSYKNIGPQSPKPELHHSRASAQKRGSRSGGRSVPEMRDSSIESHDYYAEYHSRKKAGTHPASSPRDGSQHRRAVDSGSFEFDDYNEEFHGRRKGRARSRSRKSSSKHSYRRHAYEGSTSSDEERNFKRRW >PAN21987 pep chromosome:PHallii_v3.1:3:63547301:63550283:-1 gene:PAHAL_3G505800 transcript:PAN21987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKTVAESTLKSSAFTETDDEEVAAIRNVIDAAEIKWGDSSSGGGYDGGQLGCHNGRPLEWEAPPPGYVCRICHIPGHFIWHCPSGSKPPPPGYICHKCGVPGHFIHSCPNYGDRKYDSRRTSSLIPIVSSFDDGIPAELVQAMFSSVSDSLPAELHCPLCKKVMTDAMLTSKCCYDSFCDKCIRDYIFAQAKCICGVEILADDLIPNQTLRSTISSMLSSRVGGLSSGTGNLASSISSDLDGKSISFTAPAVLKGDNMQHLDSTPSAATGGSFLITACKNPLGHHQKLTRSDLQSKTEETEKTSVKKTIVLTDAMKTAPEPRCQKQSPPDGVAILSGKLERKVVKTKSAKKKRKSGTTGNGDTNCAGYDCNIPFEPSCYNSSFGLGGLPWGADPYSMYFMPNMPSRGYPMGMYNVNDISNLPLHTPGMQGYPAIHYSSGFHPGVFQDHEPSAHARLSDSYKNIGPQSPKPELHHSRASAQKRGSRSGGRSVPEMRDSSIESHDYYAEYHSRKKAGTHPASSPRDGSQHRRAVDSGSFEFDDYNEEFHGRRKGRARSRSRKSSSKHSYRRHAYEGSTSSDEERNFKRRW >PVH62386 pep chromosome:PHallii_v3.1:3:18828394:18838603:1 gene:PAHAL_3G280700 transcript:PVH62386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAATVEREGDGEHHQKRWKQGGYKTLPFIMANEICDRLATAGFNQLNTGDASNTLTNFGGTTSLTVVLGANGAFFADSYIARFWSIITGSIFYQLRMLGLVMFAVVPSLRPPPCAAAPDPDACRRPSGGPLAVVYVSMLCMCLGTGGIRPSIVAFGADQFDQQGAEAWANRKRRYFNIYFFTMGSASLLALTLVVYIQDNVRPGGSPFTRLAQVLAAAFRKRNAAVPEDTGLLYQDKELDAWAKDPVWQAVAAVDGAPRGGAQVHRPPAAHLVGRHHPRQRRLAQRLLTIMQARTMERHITRSLEIPPATLSIFTTGTTLVSIILYDRAFVPLARRATGLPSGVTYFQRMGIGLTIAVFGVAAAALAPRQAAEHGLLDTPKAVVPMSVFWLVPQYAIHGVADAFASVGQMEFLYDQSPESMCSTAVALFWLCGSFGSYLSTVLVTVVQRATRGRGDWLQDNINRGRIDNYYWLITVIMVLNLGHYLCCFYFYTLKPLEVAEEHGDRDKESGDLPSPQKSGAGMA >PVH62727 pep chromosome:PHallii_v3.1:3:43549750:43550622:1 gene:PAHAL_3G381100 transcript:PVH62727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPHLLINSLEHLGVTERPRYYSREYEHLGTLRCRVVLSIARSTRHPDIEPWRVTATGFQHRDAYPLGIRKALRYLCRIFEEHLIPTLMRLFPPAIRTQARMRNLERRRHQEDLLYHVVAYLVSLDKLFDEQARFLREQTHRAEQAELAVRMHQIRVAQAKARTAAAISSEAVAHENLRQIQDRRMQEWTSSGTPVPAIGETQVLIGTPIIGWGGLFGTPQAPPEGAKRSAAAAEEGAVEQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PVH61632 pep chromosome:PHallii_v3.1:3:5357746:5358222:1 gene:PAHAL_3G081800 transcript:PVH61632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVDDLSAAYDEFVAAAAAVMEARAQSGGEKTAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSASSGSGPAPLAAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAPGAAGSGGAATPNAGAGPGPGGQHPEEGGQ >PVH63234 pep chromosome:PHallii_v3.1:3:63143622:63144835:-1 gene:PAHAL_3G501900 transcript:PVH63234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMIYIIIPFIYFFSFSFLFPFHFFSIRSPISRCSARRLGFPGPATSPVRRRGGGACRALHLAPGRRAGSGSPPRPPLAAGEASVVADPRPTLIPGRWLTPTDFISANR >PVH63205 pep chromosome:PHallii_v3.1:3:62411770:62413059:-1 gene:PAHAL_3G492400 transcript:PVH63205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFRSAVLRRCKSLSRVAVGARPSPAPYSNLRSMSTRDAAVDADDGGGAAASGGAVVLVGSSRRRYVISEEHLSHPLIAALIGGDEGRRRKGEPAAVNCEVVLFDHLLWMLDNAADDLRGDDGAAMRELAQLYAC >PVH61560 pep chromosome:PHallii_v3.1:3:3777904:3778642:-1 gene:PAHAL_3G060100 transcript:PVH61560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDHFIKIRDDKKIVRSAKCKYCSCILKADSKSNGTSSLKKHFNVCKRNPHKFAKDPTQGTLQATQGEGVVTWRFDQDELRAAFAEMVIEDEQPFCFGEKPGLRKFMAKACPRFQLPSRRTCTRDVVRCFFQEKAKLKKFFKDSCQRICLTTDCWTSQQLDSYMTVTVSFIDDSWRLHKKVIGFFMVKGHKGDDIGKNVMRCMSEWGLDRVMTITVDNASANDTGMAYLMASICT >PAN15961 pep chromosome:PHallii_v3.1:3:1265105:1270290:-1 gene:PAHAL_3G023000 transcript:PAN15961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLLSRLLLSRGSSPTAHHHLPLLRALSSAPSPVSSDADLRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFKYAPLPEDLHAVSNWSATHEVHTRVLLQPDSLPALEDALATAHKERRKLRPLGSGLSPNGLALSRAGMVSLALMDKVLDVDVEKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGARLPPVDEQVISMKLVTPAKGTIELSKEKDPELFYLSRCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVRKNHKKWLSENKHIKYLWIPYTDAVVVVQCNPPSKWRTPKLTSKYGKDEALQHVRNLYRESLKRYRTETESNDPEIDTLSFTELRDKLLALDPLDKDHVMKVNKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTVAKPSMKDLDYIEKLLQLIEKEDIPAPAPIEQRWTAHSKSPMSPASSSEEDDVFSWVGIIMYLPTSDARQRKEITEEFFNYRSLAQSLWDDYSAYEHWAKIEVPKDKDELAELRARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLEPVHQAKQRVC >PAN17811 pep chromosome:PHallii_v3.1:3:10058286:10062732:-1 gene:PAHAL_3G156200 transcript:PAN17811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKAAAASGLQPERAAEKGPGAGAVVVVAVRAAAREISKTAVVWALTHVVQHGDSILLLVVIPPPSSGRKFWGFPFFAGDCASGHKAVLNQKSDVSELCSQMMLKLHDVYDPNKINVKVKILSGLPSGTVATESKRAQASWVVLDKELKHEEKRCLEELQCNIVVMKRSRPKVLRLNLVGSPEKESNSTPQLPPEPSTSDCNTASNINEQRSSIQGPSVTPSSSPESEAPFDTTDAGTSSVSSSDPATSPFCASDTTSSLTKEATKDNIQHSNVNISDSESEVSTPPAASSLQPWMADILQEPAPTRLLGNRPRRTPTADSLLEKIAKLDLLTEINAIRSRSDLNFRGNVRDAVSLSRRAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNKETLEWAARQKIAAGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDELIDPRLGGRFCENEVYCMLHAANLCIRRDPHLRPRMSHVLRILEGGDMVVDSGSDAGSRSWRLPNEHQHYQEQSSPAQHDSQRANETARSPWGQDRHNLSHRY >PAN17810 pep chromosome:PHallii_v3.1:3:10058286:10063326:-1 gene:PAHAL_3G156200 transcript:PAN17810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKAAAASGLQPERAAEKGPGAGAVVVVAVRAAAREISKTAVVWALTHVVQHGDSILLLVVIPPPSSGRKFWGFPFFAGDCASGHKAVLNQKSDVSELCSQMMLKLHDVYDPNKINVKVKILSGLPSGTVATESKRAQASWVVLDKELKHEEKRCLEELQCNIVVMKRSRPKVLRLNLVGSPEKESNSTPQLPPEPSTSDCNTASNINEQRSSIQGPSVTPSSSPESEAPFDTTDAGTSSVSSSDPATSPFCASDTTSSLTKEATKDNIQHSNVNISDSESEVSTPPAASSLQPWMADILQEPAPTRLLGNRPRRTPTADSLLEKIAKLDLLTEINAIRSRSDLNFRGNVRDAVSLSRRAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNKETLEWAARQKIAAGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDELIDPRLGGRFCENEVYCMLHAANLCIRRDPHLRPRMSHVLRILEGGDMVVDSGSDAGSRSWRLPNEHQHYQEQSSPAQHDSQRANETARSPWGQDRHNLSHRY >PAN16834 pep chromosome:PHallii_v3.1:3:5789554:5792101:1 gene:PAHAL_3G089900 transcript:PAN16834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPTAASGASYWCYQCDRFVRAAPQQEGGGGDSPSAAVACPACGGGFLEEMGAPPPRAAYLRRPRAHHHHHAAAVADLRLRRARRGGGGGAGGAGADTRAGPFNPVIVLRRSPAGGDADDAAAAASSFELFYDDGAGSGLRPLPESMSDFLMGSGFERLLDQLAQIEAGGLARARDHPPASKAAVESMPVVAVDAARVAAESYCAVCKEPFELGAEAREMPCSHIYHADCILPWLALRNSCPVCRHEMPTDAPRAGARAAGEGAEEEATVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSPSTERGAIRRILRNVFACFGRGHSSSRASSSQSHTMPELNDAASDRSAAFSHGSRSRSTSWRLEDGHADAMVQR >PAN18143 pep chromosome:PHallii_v3.1:3:11885224:11891078:-1 gene:PAHAL_3G181300 transcript:PAN18143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIDLNDTVEEDEAEAEAGNSCSQQSRSSSAATGTPPPPGPGAAVCLELWHACAGPVAPLPRKGSVVVYLPQGHLEHLGDAAAAAGGGTVPPHVFCRVVDLTLHADASTDEVYAQLALVAENEEVARRLRGGSEDGSGGDGEDGDTVRQRFSRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGSLANIAHAVATKSVFHIYYNPRLSQSEFIIPYSKFMKSFSQPFSAGLRFKMRYESDDATERRYTGIIAGIGDADPMWRGSKWKCLMVRWDDDVDFRRPNRISPWEIELTSSVSGSHLSAPNAKRLKPCLPHVNPDYLVPNGSGRPDFAESAQFHKVLQGQELLGYRTRDNDAIATSQPCEARNMHYIDERSCSNDASNNIPGVPRHGARTPLGNPGFPYHCSGFGESQRFQKVLQGQEVFRPYRGTLADACLRNNAFHQQDGSHAPSVANKWHTQLHGCAFRGSPAPMNPSQSSSPPSVLIFQQGNSKLSQFEFGHGPLDKNVDGRPAMLGHAGGIGGTEQTLMLQPHHVSEEVGNRHAIVEKFHSVGKDGTDNRDVNTNSCKIFGISLAEKVPSSKQKECGDANYPSPFLSLKQQVPKSLGNSCATVHEQRPVVGRVIDLSTMDMMI >PAN18144 pep chromosome:PHallii_v3.1:3:11885923:11890493:-1 gene:PAHAL_3G181300 transcript:PAN18144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIDLNDTVEEDEAEAEAGNSCSQQSRSSSAATGTPPPPGPGAAVCLELWHACAGPVAPLPRKGSVVVYLPQGHLEHLGDAAAAAGGGTVPPHVFCRVVDLTLHADASTDEVYAQLALVAENEEVARRLRGGSEDGSGGDGEDGDTVRQRFSRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGSLANIAHAVATKSVFHIYYNPRLSQSEFIIPYSKFMKSFSQPFSAGLRFKMRYESDDATERRYTGIIAGIGDADPMWRGSKWKCLMVRWDDDVDFRRPNRISPWEIELTSSVSGSHLSAPNAKRLKPCLPHVNPDYLVPNGSGRPDFAESAQFHKVLQGQELLGYRTRDNDAIATSQPCEARNMHYIDERSCSNDASNNIPGVPRHGARTPLGNPGFPYHCSGFGESQRFQKVLQGQEVFRPYRGTLADACLRNNAFHQQDGSHAPSVANKWHTQLHGCAFRGSPAPMNPSQSSSPPSVLIFQQGNSKLSQFEFGHGPLDKNVDGRPAMLGHAGGIGGTEQTLMLQPHHVSEEVGNRHAIVEKFHSVGKDGTDNRDVNTNSCKIFGISLAEKVPSSKQKECGDANYPSPFLSLKQQVPKSLGNSCATVHEQRPVVGRVIDLSTMDMMI >PAN20228 pep chromosome:PHallii_v3.1:3:48024728:48026172:-1 gene:PAHAL_3G393800 transcript:PAN20228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAVPVVLLLSLLCGLASHAVDAQYYWSPATATFYGGSDGSGTMGGACGYGNLYSAGYGLSNAALSTALFNDGAMCGACYTIVCDTSKSRWCKPGTSVTITATNFCPPNWALPSDNGGWCNPPRRHFDMSQPAWTSIAIYQAGIVPVNYQRVSCKRSGGMRFTINGRDYFELVTVTNVGGSGVVSQMWIKGTNTNWLTMSRNWGMNWQSTAYLNGQSLSFMVKTDDGRVVTVWNVVPSNWYFGATYTTSWANFY >PAN19446 pep chromosome:PHallii_v3.1:3:18309170:18312997:-1 gene:PAHAL_3G274200 transcript:PAN19446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENGVPRHCYPAAAAAAAMEVTSMELGHTAGSKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDECTGKRNYTYMDAVNANLSGIKVQICGFLQYANIIGVAIGYTIAASISMLAIKKANCFHVEGHGDPCSISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVANKGVQGSLTGISVGVVTPIDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATIVSVAVTTLFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSKYITGEVDVPLSLSGSAGRCYKMNLFRLTWRTAFVVATTVVSMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQLLSLACLIITVASAAGSVAGIISDLKVYKPFVTTY >PAN19444 pep chromosome:PHallii_v3.1:3:18309169:18312199:-1 gene:PAHAL_3G274200 transcript:PAN19444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENGVPRHCYPAAAAAAAMEVTSMELGHTAGSKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDECTGKRNYTYMDAVNANLSGIKVQICGFLQYANIIGVAIGYTIAASISMLAIKKANCFHVEGHGDPCSISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVANKGVQGSLTGISVGVVTPIDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATIVSVAVTTLFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSKYITGEVDVPLSLSGSAGRCYKMNLFRLTWRTAFVVATTVVSMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQLLSLACLIITVASAAGSVAGIISDLKVYKPFVTTY >PAN19445 pep chromosome:PHallii_v3.1:3:18309169:18312997:-1 gene:PAHAL_3G274200 transcript:PAN19445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHHTKLDQPYLSLCSSSLHLQAPLARARSEQGRRGAAGGDYFCKEMGENGVPRHCYPAAAAAAAMEVTSMELGHTAGSKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDECTGKRNYTYMDAVNANLSGIKVQICGFLQYANIIGVAIGYTIAASISMLAIKKANCFHVEGHGDPCSISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVANKGVQGSLTGISVGVVTPIDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATIVSVAVTTLFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSKYITGEVDVPLSLSGSAGRCYKMNLFRLTWRTAFVVATTVVSMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQLLSLACLIITVASAAGSVAGIISDLKVYKPFVTTY >PVH61993 pep chromosome:PHallii_v3.1:3:11475881:11476699:-1 gene:PAHAL_3G173700 transcript:PVH61993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRVGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLRSMLQKDGDIDENVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRMAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVRRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH62418 pep chromosome:PHallii_v3.1:3:19550131:19556987:-1 gene:PAHAL_3G288000 transcript:PVH62418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MAAAVHLRPLHSLALRPPAAKAAPSWLPLPAKPRARRRGNRLALLVCSASPPAPAAPSSSGGDNAAASAAARWAEWIPRAAAGRAGAGPEQVLRLISGAAATPVCQFVDKPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVVFLALLSVWVLPNHVWKDQLGRVALLSGFIFIMLGFGADGAPSLVQTRTPPPSVLGIPNIPCSTSGYSYTIMKLGPLQFTRKGLSVASTSASLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLTAMETIDIFFNYIRRIFKNIFDHAEQISKAMIARGFRGDPSNHKIYFLTESSFGFADLFSLLCLFALMGLASYSDQLV >PVH62420 pep chromosome:PHallii_v3.1:3:19549086:19557175:-1 gene:PAHAL_3G288000 transcript:PVH62420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MAAAVHLRPLHSLALRPPAAKAAPSWLPLPAKPRARRRGNRLALLVCSASPPAPAAPSSSGGDNAAASAAARWAEWIPRAAAGRAGAGPEQVLRLISGAAATPVCQFVDKPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVVFLALLSVWVLPNHVWKDQLGRVALLSGFIFIMLGFGADGAPSLVQTRTPPPSVLGIPNIPCSTSGYSYTIMKLGPLQFTRKGLSVASTSASLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLTAMETIDIFFNYIRRIFKNIFDHAEQISKAMIARGFRGDPSNHKIYFLTESSFGFADLFSLLCLFALMGLASYSDQLV >PVH62419 pep chromosome:PHallii_v3.1:3:19550131:19556987:-1 gene:PAHAL_3G288000 transcript:PVH62419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MAAAVHLRPLHSLALRPPAAKAAPSWLPLPAKPRARRRGNRLALLVCSASPPAPAAPSSSGGDNAAASAAARWAEWIPRAAAGRAGAGPEQVLRLISGAAATPVCQFVDKPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVVFLALLSVWVLPNHVWKDQLGRVALLSGFIFIMLGFGADGAPSLVQTRTPPPSVLGIPNIPCSTSGYSYTIMKLGPLQFTRKGLSVASTSASLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLTAMETIDIFFNYIRRIFKNIFDHAEQISKAMIARGFRGDPSNHKIYFLTESSFGFADLFSLLCLFALMGLASYSDQLV >PVH62417 pep chromosome:PHallii_v3.1:3:19549086:19557175:-1 gene:PAHAL_3G288000 transcript:PVH62417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MAAAVHLRPLHSLALRPPAAKAAPSWLPLPAKPRARRRGNRLALLVCSASPPAPAAPSSSGGDNAAASAAARWAEWIPRAAAGRAGAGPEQVLRLISGAAATPVCQFVDKPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVVFLALLSVWVLPNHVWKDQLGRVALLSGFIFIMLGFGADGAPSLVQTRTPPPSVLGIPNIPCSTSGYSYTIMKLGPLQFTRKGLSVASTSASLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLTAMETIDIFFNYIRRIFKNIFDHAEQISKAMIARGFRGDPSNHKIYFLTESSFGFADLFSLLCLFALMGLASYSDQLV >PAN21907 pep chromosome:PHallii_v3.1:3:62899163:62901208:1 gene:PAHAL_3G498800 transcript:PAN21907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MGVLAQLTAWEAGNLWRISWASILITLFSFTLSLITQMFVGHLSELEPAGASIGNIDIQGLPYGVMIGMSPEPRRYTAMGIVCQRALVLQLTTAISISSLYWYAGLFLQLIGQEVDVAVVGQLYARGLLPQLLAFALFCPMQRFLQAQNIVNPVAYITLVVLIFHTLSSWVAVPSCKETWIGLSLLAFRGLWGYAKLAFASAVMLERVTCINYWNWDFQIMLGLSYAASICVGNELGAGHPKVASVFVTLLVIILSTLYTSSTTVIEAVISLTPLLAFSIFLNGIQPILSGAAIGSGWQAIVAYVNVGAYYLIGLPIGCILGYKTSLGAAGIWWRLIIGVTVQTIALIILTARTNWDNEVEKAMQQLQQTGAVPVNDIIAGPKESTWLTLFWC >PAN17613 pep chromosome:PHallii_v3.1:3:9297223:9300020:-1 gene:PAHAL_3G144300 transcript:PAN17613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSGSMISSCGTSELTDEKKDSQTSASQHCPSCGHSLDCNPKDMIGLPAGVKFDPSDQELIEHLASLVEEGGSRAHPLINDFIPTIQGDDGICYTHPENLPGVTRNGLSKHFFHRPSKAYTTGTRKRRRIQSERGSHGSEDVGEARWHKTGKTRPVIVGGRQKGSKKILVLYSNYGKQGKPKKTNWVMHQYHLGDQEEKDGELVVSKVFYQTQLRSTTATVEHHTMDGEKVAEASKAMQNVLSSFAADATAVTVAMVPHRQQKRQRQADGHCSFAPAKMSHEVGVVGGQVAGDQGEKRDSHHVPSQHNVLSDLHAKPVPTMSFHVGTPLNTVSTAISPEAQDRSVVLDSRFYYPAILRRNEKNQEQQQKVGRRSAEMEGLIIACQSASTEAGASPESKEVQRPYHQHWPPDHSQDQHQLQCS >PAN17619 pep chromosome:PHallii_v3.1:3:9297223:9300020:-1 gene:PAHAL_3G144300 transcript:PAN17619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSGSMISSCGTSELTDEKKDSQTSASQHCPSCGHSLDCNPDMIGLPAGVKFDPSDQELIEHLASLVEEGGSRAHPLINDFIPTIQGDDGICYTHPENLPGVTRNGLSKHFFHRPSKAYTTGTRKRRRIQSERGSHGSEDVGEARWHKTGKTRPVIVGGRQKGSKKILVLYSNYGKQGKPKKTNWVMHQYHLGDQEEKDGELVVSKVFYQTQLRSTTATVEHHTMDGEKVAEASKAMQNVLSSFAADATAVTVAMVPHRQQKRQRQADGHCSFAPAKMSHEVGVVGGQVAGDQGEKRDSHHVPSQHNVLSDLHAKPVPTMSFHVGTPLNTVSTAISPEAQDRSVVLDSRFYYPAILRRNEKNQEQQQKVGRRSAEMEGLIIACQSASTEAGASPESKEVQRPYHQHWPPDHSQDQHQLQCS >PVH61864 pep chromosome:PHallii_v3.1:3:9296950:9301126:-1 gene:PAHAL_3G144300 transcript:PVH61864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSGSMISSCGTSELTDEKKDSQTSASQHCPSCGHSLDCNPKDMIGLPAGVKFDPSDQELIEHLASLVEEGGSRAHPLINDFIPTIQGDDGICYTHPENLPGVTRNGLSKHFFHRPSKAYTTGTRKRRRIQSERGSHGSEDVGEARWHKTGKTRPVIVGGRQKGSKKILVLYSNYGKQGKPKKTNWVMHQYHLGDQEEKDGELVVSKVFYQTQLRSTTATVEHHTMDGEKVAEASKAMQNVLSSFAADATAVTVAMVPHRQQKRQRQADGHCSFAPAKMSHEVGVVGGQVAGDQGEKRDSHHVPSQHNVLSDLHAKPVPTMSFHVGTPLNTVSTAISPEAQDRSSSRRLAADLLKWKD >PVH61865 pep chromosome:PHallii_v3.1:3:9296950:9301126:-1 gene:PAHAL_3G144300 transcript:PVH61865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSGSMISSCGTSELTDEKKDSQTSASQHCPSCGHSLDCNPDMIGLPAGVKFDPSDQELIEHLASLVEEGGSRAHPLINDFIPTIQGDDGICYTHPENLPGVTRNGLSKHFFHRPSKAYTTGTRKRRRIQSERGSHGSEDVGEARWHKTGKTRPVIVGGRQKGSKKILVLYSNYGKQGKPKKTNWVMHQYHLGDQEEKDGELVVSKVFYQTQLRSTTATVEHHTMDGEKVAEASKAMQNVLSSFAADATAVTVAMVPHRQQKRQRQADGHCSFAPAKMSHEVGVVGGQVAGDQGEKRDSHHVPSQHNVLSDLHAKPVPTMSFHVGTPLNTVSTAISPEAQDRSSSRRLAADLLKWKD >PAN21211 pep chromosome:PHallii_v3.1:3:58725518:58729000:-1 gene:PAHAL_3G450500 transcript:PAN21211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGAGDERPAAPAPGSYALYHFGISGSAVAAATAATHPLDVIKVRLQMQLAGQRGSLVGMGTIFTQLVEREGPRSLYLGLAPALTRSVVYGGLRLGLYEPCKHVCSYTFGSTNFAFKFASGVIAGALATALTNPMEVLKVRLQMSTSSTGTIGEMRKVLAQEGLKALWKGVGPAMARAGCLTASQMATYDESKQALMKWTPLEEGFQLHLISSCIAGTAGTLATAPVDMVKTRLMLQRETKGARVYRNGFHCAYQVVVTEGVKSLYKGGFATFARLGPQTTITFIVCEKLRELAGMTAI >PAN21210 pep chromosome:PHallii_v3.1:3:58725885:58727724:-1 gene:PAHAL_3G450500 transcript:PAN21210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAGQRGSLVGMGTIFTQLVEREGPRSLYLGLAPALTRSVVYGGLRLGLYEPCKHVCSYTFGSTNFAFKFASGVIAGALATALTNPMEVLKVRLQMSTSSTGTIGEMRKVLAQEGLKALWKGVGPAMARAGCLTASQMATYDESKQALMKWTPLEEGFQLHLISSCIAGTAGTLATAPVDMVKTRLMLQRETKGARVYRNGFHCAYQVVVTEGVKSLYKGGFATFARLGPQTTITFIVCEKLRELAGMTAI >PAN21861 pep chromosome:PHallii_v3.1:3:62596293:62597795:1 gene:PAHAL_3G495300 transcript:PAN21861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLPHPPVTRRKILPFKFLITFVLILSVSVIAVTQYFQNISYLLRPLWDTPPTPFTRIPHYYAPNISMPQLCQLHGWGILPSPRRVFDAVLFSNELDILEIRYRELLPYVDRFVILEANATFTGIPKSLSFYENIGRFAFAGSKIVYDMLSVGELDTHHLRQPFNVEAYHRRSLNMLIRRSGIAAGDVLIMADADEIPSPETVQLLKWCDGIPPVMHLEMKNYMYSFEFPVDDNSWRASAHVFTERTLYRHSRQSNLILADSGWHCSFCFRDIKEFIFKMKAYSHADRVKQQSFLNPDRIQKIICNGEDLFDMLPEEYTFRDLFKKMGPIPKSASAVHLPSYLIKNADKFKFLLPGGCLRSK >PVH61465 pep chromosome:PHallii_v3.1:3:2501106:2501654:-1 gene:PAHAL_3G038700 transcript:PVH61465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSTYLLEIKLLGNPKKVRKDVRCFCFDKVVDSDTTNLKDLLDEITDMYPPGYLEVAHVQYYDADLKSFPVVNTDQELMLMFQKHIDSKVVHMFIAYSDPSGCYEPIIEWEGYPSNSNQFSEPTIPSSSTQANEETSTENPITGNEHVGVDEEGLYLKINPVLDLAVVACKQKTGLYSRG >PAN22102 pep chromosome:PHallii_v3.1:3:64166567:64173092:1 gene:PAHAL_3G513600 transcript:PAN22102 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine kinase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55810) TAIR;Acc:AT1G55810] MGSKSVDQVLDAAAAGVHYSALRLDELNIQGSMSAEEQPTTSGLENGHQEPFVIGVAGGASSGKTTVCKMIIDQLRDQRVVVVTQESFYYGLTDQELIHVHDYNFDHPDAFDTELLLSCMKNLKRGKAVDIPNYNFKTYKSVPNARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPLFCDDKNRVRLL >PAN22101 pep chromosome:PHallii_v3.1:3:64166567:64173092:1 gene:PAHAL_3G513600 transcript:PAN22101 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine kinase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55810) TAIR;Acc:AT1G55810] MGSKSVDQVLDAAAAGVHYSALRLDELNIQGSMSAEEQPTTSGLENGHQEPFVIGVAGGASSGKTTVCKMIIDQLRDQRVVVVTQESFYYGLTDQELIHVHDYNFDHPDAFDTELLLSCMKNLKRGKAVDIPNYNFKTYKSVPNARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPLFCDDKNRVRLL >PVH61844 pep chromosome:PHallii_v3.1:3:9051608:9055797:-1 gene:PAHAL_3G140600 transcript:PVH61844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTGKGAYELGRLGKKFIEEIIKSKAFSSKQEKDWPRELVNMVGDVANRCVGSPLAATALGSVLRTKTTVCEWKDVLRRKKICDDRNGILPVLKLSYNCLPSHIRQCFAFCAMFPKDYEIDVEILIQLWMANGFIPEQQGEEHPEISGKNIFIELASRSFFQDVKGVPFEFKDTEVSRVTCKIHDLMHDVALDSMGKECAAITTKQSKSEDLPHLARHLLLSVYEPETLLNTSLEKGAPILQTLICEKNLDQDLQRLSKYRCARALRIKRASFTNARCLHHLRYLDLSSSDIKSLPEDISILYHLQTLNLSYCRSLKRLPKGMKYMTALRHLYTHECRQLKSMPADLRCLTSLQTLTCFVAGASSGCSKVGELGRLNNLGGQLELRQLENVREADAYAANLRNKEKVTRLTLTWTGGDKEAQNSDKEVLEGLKPHDGLKVLRIYSYSGDTCPTWMNKLQDIVELELSDCKKLEKLPAIWQLPALKVLRLCGLPNFETWWDTREVPGQKPVFPLLEKLSVKECKSLVSLPMAPLIIESSGGCDTAWCSAFPALREMELDHLEMFQRWEANEATVEENVIFPRLEKLSISDCESLATLPKASVIKPPFGGVETECRSAFPALKELVLVNLKTLEKWEAGEGTPGEDLTFIGLEKLTIRSCPELIILPEAPKLSLLKVSGASQQMLSLQAASRYIASLSSLDLRGDDEETESVADQNSSELVHGKGKWDRKSPLTRMVLGQYSLLFSHSSAPDLWTCFAQLVDLEIRECNGLVYWPEKVFKALVSLRTLGIGSCSKLTGRTQEASEQSAPEWRELLPCLESLRIWDCDSLVEVPNLPASLKSLMIYICHNLESIVLGQQEDTPSLSPGSSSEAGASRAVLKLSSSVNHRFLPFLESLSIRYCKGLSEVANLPPSIKTLAIGPCHNLRSLSGQLDALQRLDIFFCRELKSLESCLGRLPSLEALYLVHCSSLQSLPNGPQAYSSLRALRIESCPGIKLLPPILQQRLDHLEDKTLDARYEDLLGWQYAIRRRLACLK >PAN17385 pep chromosome:PHallii_v3.1:3:8294639:8297022:1 gene:PAHAL_3G128000 transcript:PAN17385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLEAEMSWNVLISPRELDRKGLLLRKAIIVRLLEDVTNRRASKEHGYYIAVNQLKAISEGKVRELTGDVLLPVTFTCITHKPTNGEVMVGYVDRILKHGVFLKSGPVESIFMAEKSMSDYKYIGGENTMFMNDHSKLEKDTTLRFKVMGFRWMEADRQFQLLATIAGDFLGPL >PVH61814 pep chromosome:PHallii_v3.1:3:8294638:8297048:1 gene:PAHAL_3G128000 transcript:PVH61814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLEAEMSWNVLISPRELDRKGLLLRKAIIVRLLEDVTNRRASKEHGYYIAVNQLKAISEGKVRELTGDVLLPVTFTCITHKPTNGEVMVGYVDRILKHGVFLKSGPVESIFMAEKSMSDYKYIGGENTMFMNDHSKLEKDTTLRFKVMGFRWMEADRQFQLLATIAGDFLGPL >PAN18315 pep chromosome:PHallii_v3.1:3:12688140:12693816:-1 gene:PAHAL_3G193800 transcript:PAN18315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRGAMEEEEEKGEVGLHRLFRFADGADAALMAAGAAGAVASGVAQPLMTLVFGEVVHAFGSGSRRDVLHRVSGVCLKFLYLAVGSWFACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFDKEITTGQLVQRMSGDTILIQDAIGEKVGKFLQLTATFVGGFVVAFSKGWLLAAVMLSSIPPIVIAGAAMSWTVSRLSSQGQAKYNQAGNVVEQTIGAIRTVASFNGENRAIALYNKYIRNAYISAVQEWTATGLGFGFAMFILFCSYGLTAWFGAKLIIDKGYDGGQVVSVWMAFMTGAMSLGEATPCVSAFASGRSAGYRMMQVIQRKPEIDPNGTDGVVLADIKGDIELSNVYFSYPSRPDQLIFDGFSLHVLCGKTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKSLRLGWLRGKIGLVSQEPLLFATSIRENITYGKEDATDEEIMAATKLANAANFIDKLPNGLDTMVGEHGAQLSGGQKQRIAITRAILKNPKILLLDEATSALDMESERAVQEALNRTMQGKTTIIVAHRLSTIKDADTISVVHHGKVVEQGTHTELLRNPTGAYSQLIQLQDITGEPDASDVDYQRSTSAVRSAYLKRSISGGASFGSTSMHLVTTASMIVPESTHIELLSKVSDEGEECRKVPLSRLISLNKPEMPVLLLGTLAVVVSGVIFPMLGVLISSSIKSFYEPPHQLQKDSRFWTLMYVASGVASFISLPVEYFLFGVAGGKLVERIRSLSFESIVHQEISWFDKPSNARLASKYSAIIYRISRIMMKPALHSCHCFFMSSGTIGARLSVDASNIRRLVGDSLALMVRSTVTVLAGFIIAIVANWRLALVATVVLPLGGLQGFLQIRFLEGFSADAKAMYEEATQVANDAVSGIRTVASFCAEHKVMKTYYGKCKDPVRQGIRQGIVSGLGFGVLFFVLYSTYALCFYVGAKFMVDGKATFTEVFRVFFALLMATIGVSQTSALGSDSAKAKESASSIFALIDRKSKIDPNSDNGMVLVDVAGELELRHVCFSYPSRREMQIFRDLNLRIPSGKTVALVGESGCGKSTIMALLERFYDPDSGMITLDGVDIKGLNVSWLRRQMGLVSQEPVLFSDTIRANIAYGKQGDATEEEIVAAAKAANAHQFISALPQGYDTSAGERGAQLSGGQKQRVAIARAVLRDSRILLLDEATSALDAESERAVREALDRAAAGRTTLVVAHRLSTIRGADAIAVLRDGGVVAQGTHEQLMASRDGAYASLVELRMRSERAGVSSSA >PAN18314 pep chromosome:PHallii_v3.1:3:12687973:12693968:-1 gene:PAHAL_3G193800 transcript:PAN18314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRGAMEEEEEKGEVGLHRLFRFADGADAALMAAGAAGAVASGVAQPLMTLVFGEVVHAFGSGSRRDVLHRVSGVCLKFLYLAVGSWFACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFDKEITTGQLVQRMSGDTILIQDAIGEKVGKFLQLTATFVGGFVVAFSKGWLLAAVMLSSIPPIVIAGAAMSWTVSRLSSQGQAKYNQAGNVVEQTIGAIRTVASFNGENRAIALYNKYIRNAYISAVQEWTATGLGFGFAMFILFCSYGLTAWFGAKLIIDKGYDGGQVVSVWMAFMTGAMSLGEATPCVSAFASGRSAGYRMMQVIQRKPEIDPNGTDGVVLADIKGDIELSNVYFSYPSRPDQLIFDGFSLHVLCGKTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKSLRLGWLRGKIGLVSQEPLLFATSIRENITYGKEDATDEEIMAATKLANAANFIDKLPNGLDTMVGEHGAQLSGGQKQRIAITRAILKNPKILLLDEATSALDMESERAVQEALNRTMQGKTTIIVAHRLSTIKDADTISVVHHGKVVEQGTHTELLRNPTGAYSQLIQLQDITGEPDASDVDYQRSTSAVRSAYLKRSISGGASFGSTSMHLVTTASMIVPESTHIELLSKVSDEGEECRKVPLSRLISLNKPEMPVLLLGTLAVVVSGVIFPMLGVLISSSIKSFYEPPHQLQKDSRFWTLMYVASGVASFISLPVEYFLFGVAGGKLVERIRSLSFESIVHQEISWFDKPSNASGTIGARLSVDASNIRRLVGDSLALMVRSTVTVLAGFIIAIVANWRLALVATVVLPLGGLQGFLQIRFLEGFSADAKAMYEEATQVANDAVSGIRTVASFCAEHKVMKTYYGKCKDPVRQGIRQGIVSGLGFGVLFFVLYSTYALCFYVGAKFMVDGKATFTEVFRVFFALLMATIGVSQTSALGSDSAKAKESASSIFALIDRKSKIDPNSDNGMVLVDVAGELELRHVCFSYPSRREMQIFRDLNLRIPSGKTVALVGESGCGKSTIMALLERFYDPDSGMITLDGVDIKGLNVSWLRRQMGLVSQEPVLFSDTIRANIAYGKQGDATEEEIVAAAKAANAHQFISALPQGYDTSAGERGAQLSGGQKQRVAIARAVLRDSRILLLDEATSALDAESERAVREALDRAAAGRTTLVVAHRLSTIRGADAIAVLRDGGVVAQGTHEQLMASRDGAYASLVELRMRSERAGVSSSA >PAN19619 pep chromosome:PHallii_v3.1:3:19369713:19380062:-1 gene:PAHAL_3G286200 transcript:PAN19619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGGMLAYAMLKVVTQQIGSIVGGQLKLQWDFSDDLRKMKMTLDSMEAVLQDAERRSIQDAAVRLWLKRLTDAMYGISDILGEFETTAEPPGWKIAARMPYLAVGSKILIANKMKKMRQEVENIKDQHQQFSFKTDSKSNVQPVPDERETDSYMEDQTLVVGRTEEKRKILSCLSEGIAQDITILPIYGIGGIGKTTLAKLVFNDTYFKDHSKVWIYVSQMLNVNKIGNSIISQLSKEESNLTERQMIRNHLGQLLTDPNYNKKIMIVLDDVWEENDSQLNELKTMLPVIKGGKVVVIVTTRDEHIARKICTVQPYKLLPLSDETCWAIIKRKSDFEARDDKEHLEQVGRDIAMKCGGVALAAQALGYMLKPLTFGEWESVRNSDIWNASTSEQESLAHHNVLACLLLSYRSMPPPLKLCFAYCAFFPKGYKLDKNDLIYQWIAHGFIAPSSIFSTRQLGEKYAKQLLGMSFLQHSKSSSGIQDDVIPMCITELLKLNYLNLGGSLIRALPESIGEMKCLMHIDLSSCLKIQKLPESFVNLKELVHLDLNNCRSLDIVPELFMGLEELAYLDLSKCHCVKGKVEDLGGLAKLQYLNLSGTFLGKKILSGLQKAMSNLTDLRYLGLSSMSSIVPGLSTIEMASFIDHVSSLTNLEHLKLSHNQNIVSLPETISSLRKLRTLDLSACNNLERLPDGMVKMDCLLLLKVEGCYNLDMSTLSQPNFFGRLSNLVVQTGGDSTSHHMLRHVKPNKRLKISRLECMTFAQLALSIEQMRNQRIEKLELEWNRNDERSFEDMNVLGKLLPPITLRHLELQGYYNSISFPAWMMSISQHLPNLVEIRMWGLPKCNSLPQFGQLRSLRELLIGGMESITKIEEGFYGGAGAFPQLWKFELRCMECLEVWNTMYSDKEHSVQVVMSPNLQRLTLYDCPKLKLKPCPPSAKQWEIVNCDNVLALWDEGTQTCASSYTGLRDVTVRNSNVPLHQWRLLHYLPALTSLRIESCSNLTCISQEIRRGFSTLQSLHLVDNGQPELPQWLGEVTTLRELDIRGYPELQAPLKIMKQLTSLRALLLFSCKDMISTPEWLGELTSLEELLISDCPKLSNLQMNIQYLSSLHSLSLNDCRKIQSLPECLGNLTSLRRLEIIDCRAIKSFPENIRKLPKIEHLNIFPPI >PAN19617 pep chromosome:PHallii_v3.1:3:19368592:19380607:-1 gene:PAHAL_3G286200 transcript:PAN19617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGGMLAYAMLKVVTQQIGSIVGGQLKLQWDFSDDLRKMKMTLDSMEAVLQDAERRSIQDAAVRLWLKRLTDAMYGISDILGEFETTAEPPGWKIAARMPYLAVGSKILIANKMKKMRQEVENIKDQHQQFSFKTDSKSNVQPVPDERETDSYMEDQTLVVGRTEEKRKILSCLSEGIAQDITILPIYGIGGIGKTTLAKLVFNDTYFKDHSKVWIYVSQMLNVNKIGNSIISQLSKEESNLTERQMIRNHLGQLLTDPNYNKKIMIVLDDVWEENDSQLNELKTMLPVIKGGKVVVIVTTRDEHIARKICTVQPYKLLPLSDETCWAIIKRKSDFEARDDKEHLEQVGRDIAMKCGGVALAAQALGYMLKPLTFGEWESVRNSDIWNASTSEQESLAHHNVLACLLLSYRSMPPPLKLCFAYCAFFPKGYKLDKNDLIYQWIAHGFIAPSSIFSTRQLGEKYAKQLLGMSFLQHSKSSSGIQDDVIPMCITELLKLNYLNLGGSLIRALPESIGEMKCLMHIDLSSCLKIQKLPESFVNLKELVHLDLNNCRSLDIVPELFMGLEELAYLDLSKCHCVKGKVEDLGGLAKLQYLNLSGTFLGKKILSGLQKAMSNLTDLRYLGLSSMSSIVPGLSTIEMASFIDHVSSLTNLEHLKLSHNQNIVSLPETISSLRKLRTLDLSACNNLERLPDGMVKMDCLLLLKVEGCYNLDMSTLSQPNFFGRLSNLVVQTGGDSTSHHMLRHVKPNKRLKISRLECMTFAQLALSIEQMRNQRIEKLELEWNRNDERSFEDMNVLGKLLPPITLRHLELQGYYNSISFPAWMMSISQHLPNLVEIRMWGLPKCNSLPQFGQLRSLRELLIGGMESITKIEEGFYGGAGAFPQLWKFELRCMECLEVWNTMYSDKEHSVQVVMSPNLQRLTLYDCPKLKLKPCPPSAKQWEIVNCDNVLALWDEGTQTCASSYTGLRDVTVRNSNVPLHQWRLLHYLPALTSLRIESCSNLTCISQEIRRGFSTLQSLHLVDNGQPELPQWLGEVTTLRELDIRGYPELQAPLKIMKQLTSLRALLLFSCKDMISTPEWLGELTSLEELLISDCPKLSNLQMNIQYLSSLHSLSLNDCRKIQSLPECLGNLTSLRRLEIIDCRAIKSFPENIRKLPKIEHLNIFPPI >PAN19620 pep chromosome:PHallii_v3.1:3:19368592:19380629:-1 gene:PAHAL_3G286200 transcript:PAN19620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGGMLAYAMLKVVTQQIGSIVGGQLKLQWDFSDDLRKMKMTLDSMEAVLQDAERRSIQDAAVRLWLKRLTDAMYGISDILGEFETTAEPPGWKIAARMPYLAVGSKILIANKMKKMRQEVENIKDQHQQFSFKTDSKSNVQPVPDERETDSYMEDQTLVVGRTEEKRKILSCLSEGIAQDITILPIYGIGGIGKTTLAKLVFNDTYFKDHSKVWIYVSQMLNVNKIGNSIISQLSKEESNLTERQMIRNHLGQLLTDPNYNKKIMIVLDDVWEENDSQLNELKTMLPVIKGGKVVVIVTTRDEHIARKICTVQPYKLLPLSDETCWAIIKRKSDFEARDDKEHLEQVGRDIAMKCGGVALAAQALGYMLKPLTFGEWESVRNSDIWNASTSEQESLAHHNVLACLLLSYRSMPPPLKLCFAYCAFFPKGYKLDKNDLIYQWIAHGFIAPSSIFSTRQLGEKYAKQLLGMSFLQHSKSSSPIGLHRDNVTLFNMHDLVHDLARSIMADGVLDTSKMGCTWRNSCRYALLIDSSRPLKLYVASPEKIRALRFLGYDRIGLRSAAFLSAKYLRVLDLSECYIPKLPNSIGELKQLRYLNAQGIQDDVIPMCITELLKLNYLNLGGSLIRALPESIGEMKCLMHIDLSSCLKIQKLPESFVNLKELVHLDLNNCRSLDIVPELFMGLEELAYLDLSKCHCVKGKVEDLGGLAKLQYLNLSGTFLGKKILSGLQKAMSNLTDLRYLGLSSMSSIVPGLSTIEMASFIDHVSSLTNLEHLKLSHNQNIVSLPETISSLRKLRTLDLSACNNLERLPDGMVKMDCLLLLKVEGCYNLDMSTLSQPNFFGRLSNLVVQTGGDSTSHHMLRHVKPNKRLKISRLECMTFAQLALSIEQMRNQRIEKLELEWNRNDERSFEDMNVLGKLLPPITLRHLELQGYYNSISFPAWMMSISQHLPNLVEIRMWGLPKCNSLPQFGQLRSLRELLIGGMESITKIEEGFYGGAGAFPQLWKFELRCMECLEVWNTMYSDKEHSVQVVMSPNLQRLTLYDCPKLKLKPCPPSAKQWEIVNCDNVLALWDEGTQTCASSYTGLRDVTVRNSNVPLHQWRLLHYLPALTSLRIESCSNLTCISQEIRRGFSTLQSLHLVDNGQPELPQWLGEVTTLRELDIRGYPELQAPLKIMKQLTSLRALLLFSCKDMISTPEWLGELTSLEELLISDCPKLSNLQMNIQYLSSLHSLSLNDCRKIQSLPECLGNLTSLRRLEIIDCRAIKSFPENIRKLPKIEHLNIFPPI >PAN19616 pep chromosome:PHallii_v3.1:3:19369713:19374052:-1 gene:PAHAL_3G286200 transcript:PAN19616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLAVGSKILIANKMKKMRQEVENIKDQHQQFSFKTDSKSNVQPVPDERETDSYMEDQTLVVGRTEEKRKILSCLSEGIAQDITILPIYGIGGIGKTTLAKLVFNDTYFKDHSKVWIYVSQMLNVNKIGNSIISQLSKEESNLTERQMIRNHLGQLLTDPNYNKKIMIVLDDVWEENDSQLNELKTMLPVIKGGKVVVIVTTRDEHIARKICTVQPYKLLPLSDETCWAIIKRKSDFEARDDKEHLEQVGRDIAMKCGGVALAAQALGYMLKPLTFGEWESVRNSDIWNASTSEQESLAHHNVLACLLLSYRSMPPPLKLCFAYCAFFPKGYKLDKNDLIYQWIAHGFIAPSSIFSTRQLGEKYAKQLLGMSFLQHSKSSSPIGLHRDNVTLFNMHDLVHDLARSIMADGVLDTSKMGCTWRNSCRYALLIDSSRPLKLYVASPEKIRALRFLGYDRIGLRSAAFLSAKYLRVLDLSECYIPKLPNSIGELKQLRYLNAQGIQDDVIPMCITELLKLNYLNLGGSLIRALPESIGEMKCLMHIDLSSCLKIQKLPESFVNLKELVHLDLNNCRSLDIVPELFMGLEELAYLDLSKCHCVKGKVEDLGGLAKLQYLNLSGTFLGKKILSGLQKAMSNLTDLRYLGLSSMSSIVPGLSTIEMASFIDHVSSLTNLEHLKLSHNQNIVSLPETISSLRKLRTLDLSACNNLERLPDGMVKMDCLLLLKVEGCYNLDMSTLSQPNFFGRLSNLVVQTGGDSTSHHMLRHVKPNKRLKISRLECMTFAQLALSIEQMRNQRIEKLELEWNRNDERSFEDMNVLGKLLPPITLRHLELQGYYNSISFPAWMMSISQHLPNLVEIRMWGLPKCNSLPQFGQLRSLRELLIGGMESITKIEEGFYGGAGAFPQLWKFELRCMECLEVWNTMYSDKEHSVQVVMSPNLQRLTLYDCPKLKLKPCPPSAKQWEIVNCDNVLALWDEGTQTCASSYTGLRDVTVRNSNVPLHQWRLLHYLPALTSLRIESCSNLTCISQEIRRGFSTLQSLHLVDNGQPELPQWLGEVTTLRELDIRGYPELQAPLKIMKQLTSLRALLLFSCKDMISTPEWLGELTSLEELLISDCPKLSNLQMNIQYLSSLHSLSLNDCRKIQSLPECLGNLTSLRRLEIIDCRAIKSFPENIRKLPKIEHLNIFPPI >PAN19618 pep chromosome:PHallii_v3.1:3:19369713:19380062:-1 gene:PAHAL_3G286200 transcript:PAN19618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGGMLAYAMLKVVTQQIGSIVGGQLKLQWDFSDDLRKMKMTLDSMEAVLQDAERRSIQDAAVRLWLKRLTDAMYGISDILGEFETTAEPPGWKIAARMPYLAVGSKILIANKMKKMRQEVENIKDQHQQFSFKTDSKSNVQPVPDERETDSYMEDQTLVVGRTEEKRKILSCLSEGIAQDITILPIYGIGGIGKTTLAKLVFNDTYFKDHSKVWIYVSQMLNVNKIGNSIISQLSKEESNLTERQMIRNHLGQLLTDPNYNKKIMIVLDDVWEENDSQLNELKTMLPVIKGGKVVVIVTTRDEHIARKICTVQPYKLLPLSDETCWAIIKRKSDFEARDDKEHLEQVGRDIAMKCGGVALAAQALGYMLKPLTFGEWESVRNSDIWNASTSEQESLAHHNVLACLLLSYRSMPPPLKLCFAYCAFFPKGYKLDKNDLIYQWIAHGFIAPSSIFSTRQLGEKYAKQLLGMSFLQHSKSSSPIGLHRDNVTLFNMHDLVHDLARSIMADGVLDTSKMGCTWRNSCRYALLIDSSRPLKLYVASPEKIRALRFLGYDRIGLRSAAFLSAKYLRVLDLSECYIPKLPNSIGELKQLRYLNAQGIQDDVIPMCITELLKLNYLNLGGSLIRALPESIGEMKCLMHIDLSSCLKIQKLPESFVNLKELVHLDLNNCRSLDIVPELFMGLEELAYLDLSKCHCVKGKVEDLGGLAKLQYLNLSGTFLGKKILSGLQKAMSNLTDLRYLGLSSMSSIVPGLSTIEMASFIDHVSSLTNLEHLKLSHNQNIVSLPETISSLRKLRTLDLSACNNLERLPDGMVKMDCLLLLKVEGCYNLDMSTLSQPNFFGRLSNLVVQTGGDSTSHHMLRHVKPNKRLKISRLECMTFAQLALSIEQMRNQRIEKLELEWNRNDERSFEDMNVLGKLLPPITLRHLELQGYYNSISFPAWMMSISQHLPNLVEIRMWGLPKCNSLPQFGQLRSLRELLIGGMESITKIEEGFYGGAGAFPQLWKFELRCMECLEVWNTMYSDKEHSVQVVMSPNLQRLTLYDCPKLKLKPCPPSAKQWEIVNCDNVLALWDEGTQTCASSYTGLRDVTVRNSNVPLHQWRLLHYLPALTSLRIESCSNLTCISQEIRRGFSTLQSLHLVDNGQPELPQWLGEVTTLRELDIRGYPELQAPLKIMKQLTSLRALLLFSCKDMISTPEWLGELTSLEELLISDCPKLSNLQMNIQYLSSLHSLSLNDCRKIQSLPECLGNLTSLRRLEIIDCRAIKSFPENIRKLPKIEHLNIFPPI >PVH61981 pep chromosome:PHallii_v3.1:3:11299891:11300923:-1 gene:PAHAL_3G170100 transcript:PVH61981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPDANLRLFRSPFCAKPLENNPLFILWPPCFLGLRRFRRPGSTQQHLPIVLLQWRILSPSLRRPPPLPPSRVRALRRRRFAQSQSRLSKSTQAVCESMDNKMDMVR >PAN15976 pep chromosome:PHallii_v3.1:3:1283860:1286338:-1 gene:PAHAL_3G023300 transcript:PAN15976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDPVFYDDGGSAAASPGRSICHAGCGRPSRVCLCPHLPPSPIPTSTTVVILHHPHALRRNPLSTLPLLERSLSNLHLLPGRRLLPSSTPLLPPPSPNPVLLLYPSPGAADLASWCRSTPPSARASPTLLLIDGTWRQAKEMHAASLPFLSSLGVIPVALPVDSGVDGDSMFESELVVKKEPHKGCMSTMEAVARALRLLEPEGKGEEIEEAMLGVLRAMVAFQAEHLQNRTVKPRVKMRKKKELKREELQSNARLM >PAN15977 pep chromosome:PHallii_v3.1:3:1283860:1286452:-1 gene:PAHAL_3G023300 transcript:PAN15977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDPVFYDDGGSAAASPGRSICHAGCGRPSRVCLCPHLPPSPIPTSTTVVILHHPHALRRNPLSTLPLLERSLSNLHLLPGRRLLPSSTPLLPPPSPNPVLLLYPSPGAADLASWCRSTPPSARASPTLLLIDGTWRQAKEMHAASLPFLSSLGVIPVALPVDSGVDGDSMFESELVVKKEPHKGCMSTMEAVARALRLLEPEGKGEEIEEAMLGVLRAMVAFQAEHLQNRTVKPRVKMRKKKELKREELQSNARLM >PAN21584 pep chromosome:PHallii_v3.1:3:61181555:61183459:-1 gene:PAHAL_3G478300 transcript:PAN21584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPRTKLKSKMAPTALVSLVLFCLSNVLITCSGSGGTGAETGIRMKLTHVDAKGSYTAEERVRRAVAASRQRLASMRATSGGGGVSALVHWATRQYIAEYLVGDPPQRAEALIDTGSDLIWTQSTACLRKVCARQDLPYFNASASGSFAPVPCRDRACAANYRHLCALDGGCTFVASYGAGSVIGFLGTDAFAFQSGSAALAFGCVSFTRVTPGALHGASGLIGLGRGRLSLVSQTGATRFSYCLTPYFHNDGASSHLLVGAAASLGGGGPVTSMPFVESPKDFPFSTFYYLPLEGITVGDTKLPIPSSAFDLRQVDKGYWAGGVIIDSGSPFTSLAEAAYEPLRDELAGQLNGSLVAPPADSGLALCVTRGDVDRVVPTLVLHFGGGADMALPPGNYWAPVDKSTACMAILEGYEQSIIGNFQQQNMHLLFDVAGGRFSFQTADCSTL >PVH62896 pep chromosome:PHallii_v3.1:3:55778708:55780056:1 gene:PAHAL_3G425700 transcript:PVH62896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIPTTSPKTSSRVPSCTQSCLWVRSPLELRMAQDSDDPPFVVSVVVWILVVILAIVALHCPLPRRVVR >PAN21734 pep chromosome:PHallii_v3.1:3:61745389:61751782:1 gene:PAHAL_3G485500 transcript:PAN21734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPASPLDGTILKLPGKLDRLLRHGCALPKGAADEIPLIKLDLEKIKAISSNLQEDDHAMMVRCWRKEVRELSYDMEDFIDQYEHAAAMNCASRSIRGREIITRRRKNKTSLPWIREKLRQRLWMANKIREFSERAQEALERHRLYNLDAIAGSASLRCIDDAYPTSWNGTPCGEDKAYVGIDAAMENLEELLSMTHDQEHQKLKVVSVVGFGGIGKTTLAMELYRKLGQQFECRAFVRTAQKPDMRRIFISMLSQVRPHQPPDNWTVHGLISTIRTHLQDKRYLIIVEDLWTTSTWDIVKHALPENNCCSRILTTTEIEDLALQSCDHDPKYVYKMQPLGEDNSRKLFFSSVFGPQDECPPELREISHDIIRKCGGLPLAIVTVANILSSQPGKQDRWDYVNKAIGYSLLTNPTWEGMKQIFDLSYNNLPQHLKACILYTGLYEEDIIIWKDDLVNQWIAEGFIQATGGQDKKEIARSFFDRLINGKLILPLDVNRNGEVLSCTVHHMVLNLVIRCKSIEENFVTAIHHSQATTTLADKVRRLSLQFGNAEDAITPTNMRLSHVRTLAFSGLFKCLPSVVQFRLLQVLVLHFWADKDSISFDITRISELFRLRYLKVTTNVTLELHPQMRGLKSLETLKIDARVCAVPSDIVLLPGLLHLSLPAETSLPNWIGCMTPLRTLGYFDLSSNSIQNVKSLVMLTNLGDLQLTCSTVQPENLNSKLQFVLTTIVGRLSNLKSLTLAPRAPSYAKSIGATGMTVHGGFSSLSPAPSLLRSLEVSPQICIFFYTPKSIGQLRKLCILKFGVRKIDRDGIDVLRGLPALAVLSLYVQTKPAARIVIGKTGFPVIKYFKLKCCDPFLKFEEGAMPNLLKLKLAFNASNADQQSTIPVGIEYLSELKEVSAKIGGVGPEESHRRPAELAFRDAFRVHASVQRVNVQCVKQIIECKDDQSSLTTVEYSPAPAGTSLLSSGWAHVSVAASPYEPQTARWNCPRERTVTASPHRDGYQWRKYGQKRIPETQFPRCYYRCTFHRERSCRATKQVQQCSVGDLCNPPLYGVMYFNQHTCDTMAGCEPEAAANPAMPAGLSGAGLVARQGGSLSLGLDERGVQEEHERQAFVSSLVYAGDDDELPQSSAGGRGTTSSAVTFDVAKGGASCAGAGGDAAVPETEAGDSPGVEDWYLSRPE >PAN21733 pep chromosome:PHallii_v3.1:3:61745389:61751782:1 gene:PAHAL_3G485500 transcript:PAN21733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGLGEISSMEAPASPLDGTILKLPGKLDRLLRHGCALPKGAADEIPLIKLDLEKIKAISSNLQEDDHAMMVRCWRKEVRELSYDMEDFIDQYEHAAAMNCASRSIRGREIITRRRKNKTSLPWIREKLRQRLWMANKIREFSERAQEALERHRLYNLDAIAGSASLRCIDDAYPTSWNGTPCGEDKAYVGIDAAMENLEELLSMTHDQEHQKLKVVSVVGFGGIGKTTLAMELYRKLGQQFECRAFVRTAQKPDMRRIFISMLSQVRPHQPPDNWTVHGLISTIRTHLQDKRYLIIVEDLWTTSTWDIVKHALPENNCCSRILTTTEIEDLALQSCDHDPKYVYKMQPLGEDNSRKLFFSSVFGPQDECPPELREISHDIIRKCGGLPLAIVTVANILSSQPGKQDRWDYVNKAIGYSLLTNPTWEGMKQIFDLSYNNLPQHLKACILYTGLYEEDIIIWKDDLVNQWIAEGFIQATGGQDKKEIARSFFDRLINGKLILPLDVNRNGEVLSCTVHHMVLNLVIRCKSIEENFVTAIHHSQATTTLADKVRRLSLQFGNAEDAITPTNMRLSHVRTLAFSGLFKCLPSVVQFRLLQVLVLHFWADKDSISFDITRISELFRLRYLKVTTNVTLELHPQMRGLKSLETLKIDARVCAVPSDIVLLPGLLHLSLPAETSLPNWIGCMTPLRTLGYFDLSSNSIQNVKSLVMLTNLGDLQLTCSTVQPENLNSKLQFVLTTIVGRLSNLKSLTLAPRAPSYAKSIGATGMTVHGGFSSLSPAPSLLRSLEVSPQICIFFYTPKSIGQLRKLCILKFGVRKIDRDGIDVLRGLPALAVLSLYVQTKPAARIVIGKTGFPVIKYFKLKCCDPFLKFEEGAMPNLLKLKLAFNASNADQQSTIPVGIEYLSELKEVSAKIGGVGPEESHRRPAELAFRDAFRVHASVQRVNVQCVKQIIECKDDQSSLTTVEYSPAPAGTSLLSSGWAHVSVAASPYEPQTARWNCPRERTVTASPHRDGYQWRKYGQKRIPETQFPRCYYRCTFHRERSCRATKQVQQCSVGDLCNPPLYGVMYFNQHTCDTMAGCEPEAAANPAMPAGLSGAGLVARQGGSLSLGLDERGVQEEHERQAFVSSLVYAGDDDELPQSSAGGRGTTSSAVTFDVAKGGASCAGAGGDAAVPETEAGDSPGVEDWYLSRPE >PAN21732 pep chromosome:PHallii_v3.1:3:61740704:61751768:1 gene:PAHAL_3G485500 transcript:PAN21732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATMRVLNSYKAGTSFVASVQTGLGEISSMEAPASPLDGTILKLPGKLDRLLRHGCALPKGAADEIPLIKLDLEKIKAISSNLQEDDHAMMVRCWRKEVRELSYDMEDFIDQYEHAAAMNCASRSIRGREIITRRRKNKTSLPWIREKLRQRLWMANKIREFSERAQEALERHRLYNLDAIAGSASLRCIDDAYPTSWNGTPCGEDKAYVGIDAAMENLEELLSMTHDQEHQKLKVVSVVGFGGIGKTTLAMELYRKLGQQFECRAFVRTAQKPDMRRIFISMLSQVRPHQPPDNWTVHGLISTIRTHLQDKRYLIIVEDLWTTSTWDIVKHALPENNCCSRILTTTEIEDLALQSCDHDPKYVYKMQPLGEDNSRKLFFSSVFGPQDECPPELREISHDIIRKCGGLPLAIVTVANILSSQPGKQDRWDYVNKAIGYSLLTNPTWEGMKQIFDLSYNNLPQHLKACILYTGLYEEDIIIWKDDLVNQWIAEGFIQATGGQDKKEIARSFFDRLINGKLILPLDVNRNGEVLSCTVHHMVLNLVIRCKSIEENFVTAIHHSQATTTLADKVRRLSLQFGNAEDAITPTNMRLSHVRTLAFSGLFKCLPSVVQFRLLQVLVLHFWADKDSISFDITRISELFRLRYLKVTTNVTLELHPQMRGLKSLETLKIDARVCAVPSDIVLLPGLLHLSLPAETSLPNWIGCMTPLRTLGYFDLSSNSIQNVKSLVMLTNLGDLQLTCSTVQPENLNSKLQFVLTTIVGRLSNLKSLTLAPRAPSYAKSIGATGMTVHGGFSSLSPAPSLLRSLEVSPQICIFFYTPKSIGQLRKLCILKFGVRKIDRDGIDVLRGLPALAVLSLYVQTKPAARIVIGKTGFPVIKYFKLKCCDPFLKFEEGAMPNLLKLKLAFNASNADQQSTIPVGIEYLSELKEVSAKIGGVGPEESHRRPAELAFRDAFRVHASVQRVNVQCVKQIIECKDDQSSLTTVEYSPAPAGTSLLSSGWAHVSVAASPYEPQTARWNCPRERTVTASPHRDGYQWRKYGQKRIPETQFPRCYYRCTFHRERSCRATKQVQQCSVGDLCNPPLYGVMYFNQHTCDTMAGCEPEAAANPAMPAGLSGAGLVARQGGSLSLGLDERGVQEEHERQAFVSSLVYAGDDDELPQSSAGGRGTTSSAVTFDVAKGGASCAGAGGDAAVPETEAGDSPGVEDWYLSRPE >PVH62440 pep chromosome:PHallii_v3.1:3:19997108:20000588:-1 gene:PAHAL_3G293300 transcript:PVH62440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERGLVAPDTQVYAFIMGGFVNAGDGDTALSLYGELKEKLGGGPILDGVVYGNLMKGYFLKGMEKEAMDCYEEVLGEGSKVRFGAVSYNMVLDALGRNGRLEDALKLFDRMCMEHDPPKTIAVNLGSFNVMVDAYCRAERFQDAIEVFGKMAEKRCAPDALSYNNLIDWLGKNELVGEAEGLHKEMAERGVNPDEYTYVLLIESCFKVDRVDDAVGYFNGMFDAGLRPNANAFNKVMGGLVKVDRLDEAQGFFDMMPEKEVKPNIASYELLLRAYVDAARLDDAIKIAKGILLDESVVFSDEMKALLEGALEKEGRDGDMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKREAENEESADGLSAEEAEVVESNSGTMGVSGEQSEGDEQKRQESVEASLGP >PAN18268 pep chromosome:PHallii_v3.1:3:12480402:12485224:1 gene:PAHAL_3G190600 transcript:PAN18268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGSAGASAPSAGGSSLAIAERQKPAPSCVAALFQMFARRKLFSSSSKKSKLLPSVRAQKFSPGRPAGGGEKTAAAKMRPLLLDSADYSRSKIESNGTSHYPQPGQDRNCGENEMCAPGVVARLMGLSSMPAVNHPRPTKATDSTELGDHWNSGPQDWSGTSRSMYTSPQKQQKTGQVLDDRRQDNGSQFNAPDTRPLWPRRHAHKVAKPIKSPRSMSSRNKARLIEAAVKVLETGLQSRTRRLSRPHAYLEYPCSNDDGEPGAAAVLQNLSDQFLRDMSDGDAQRLGAHNMGATSLHNSTSNKWIEEDTSRKSFLFRRSDQNVPCQIQPEGNGKYLLISSSENPVFEDSAKRTSNCVAVTNRDARRNQPRNISRESARHGPLKQNNLKQNTLPAACREADPGSMVQRNKHRSGERNATNTAQDFVSLNKKMTGSKSLRSKRKELDRFGESHINSENKNMTTKGRQSSSLHSDTSNKLKLKTVTPKAMEKGMIIAKGAGLVSENPKSASQNRARSDFQRQSESCNVSRVNKKSGIISSNSSSPVKVDATSLCGDNATRTGTAVQGSPVSACPKKHSSRDWQSTSTQRGLVSREVLQGISSPETTESVFFNKDELKNRDTPDGRAASSLFEKKWAVPVTEDSLSDALLWQRNAVDTVTYSFGDSSKRVQLCETYKKHEADAKGCSPSPSISRGSNKKSPTFILQSTCADDAFIPGIPLNTAEASFTDCHPMETCTPAARMQDVTVEINSRSSEPNVGQHDTRPFEPAFQDSKLKHPEEVTTTVELLLTNVRSSTRHKLKEPSKTFLLRTIESTLFTLAPGSKQDLNTTTKAAKEASSLRNLALDLVWDCLDSMCTHLCDSGYRSFTKQGLVCTEDRLAAEVGKEIARFSDMAGRGLDELAVSEVENAVEAGMNSMPEAFQIGAQIEQDLVQELVDEIGLDLFRRW >PAN18267 pep chromosome:PHallii_v3.1:3:12481421:12483877:1 gene:PAHAL_3G190600 transcript:PAN18267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGSAGASAPSAGGSSLAIAERQKPAPSCVAALFQMFARRKLFSSSSKKSKLLPSVRAQKFSPGRPAGGGEKTAAAKMRPLLLDSADYSRSKIESNGTSHYPQPGQDRNCGENEMCAPGVVARLMGLSSMPAVNHPRPTKATDSTELGDHWNSGPQDWSGTSRSMYTSPQKQQKTGQVLDDRRQDNGSQFNAPDTRPLWPRRHAHKVAKPIKSPRSMSSRNKARLIEAAVKVLETGLQSRTRRLSRPHAYLEYPCSNDDGEPGAAAVLQNLSDQFLRDMSDGDAQRLGAHNMGATSLHNSTSNKWIEEDTSRKSFLFRRSDQNVPCQIQPEGNGKYLLISSSENPVFEDSAKRTSNCVAVTNRDARRNQPRNISRESARHGPLKQNNLKQNTLPAACREADPGSMVQRNKHRSGERNATNTAQDFVSLNKKMTGSKSLRSKRKELDRFGESHINSENKNMTTKGRQSSSLHSDTSNKLKLKTVTPKAMEKGMIIAKGAGLVSENPKSASQNRARSDFQRQSESCNVSRVNKKSGIISSNSSSPVKVDATSLCGDNATRTGTAVQGSPVSACPKKHSSRDWQSTSTQRGLVSREVLQGISSPETTESVFFNKDELKNRDTPDGRAASSLFEKKWAVPVTEDSLSDALLWQRNAVDTVTYSFGDSSKRVQLCETYKKHEVSHFDPSCSQPLHNTETILMKTALLI >PAN18158 pep chromosome:PHallii_v3.1:3:11950102:11951060:-1 gene:PAHAL_3G182400 transcript:PAN18158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGVPHQAGVVALLLCVLLVLSSAVTTAEAARQLGRRDAVVVVTAAATATTATVKGRLGKVMREEMEVDDAVGVGESKRRSPGGPDPQHH >PAN17661 pep chromosome:PHallii_v3.1:3:9559188:9561597:1 gene:PAHAL_3G147500 transcript:PAN17661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYTIQISTKLIDQLARDDEKVKRKSRKPRPKKKTTVQQHEEPQDIAPKEFPSEPKTSSPALAPVPAPGWPVQPPPMFLPVTPAPPPPPAAMPEVESIRSILKESEAVLEKLDKQEAGARQELSKRAKELHDKEFKLPYQNPMPCTEERAGCLECYKSNAKDPLKCAEAVRRFEACALMAMKSTTAKAD >PVH62490 pep chromosome:PHallii_v3.1:3:21581233:21582706:1 gene:PAHAL_3G307500 transcript:PVH62490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRLPIPLHLPSPVCPSRSPAIALPPACATPAAASPAVSPAVPQAPAAGRAGALPRRGTPPGLHAAQAQPPSLDLATAQGVGQQGRRGAAIANGAQCSGTDGRRAGTRAQGRARSQPHST >PVH62339 pep chromosome:PHallii_v3.1:3:17766303:17766737:1 gene:PAHAL_3G267000 transcript:PVH62339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCRISKLSTSVVYNMVVELTVLEAEHFTEHLAGSGGYSSFNVGKGAVLHRAGPVS >PAN16142 pep chromosome:PHallii_v3.1:3:2538334:2540191:1 gene:PAHAL_3G039600 transcript:PAN16142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTDYQGSTSSPFSFGRSLLSLRRDTAMPSGEEADLEAFQRHVAATLAELLPGGEAGGDAAAGGEEFLSVAWIRRLLEAFVICQEEFRVVVAQARRRGALPAAAEKMVAEFHERAVKALDVCNAARDGVDQVRRWERLADIAASVLRGPAEIHEGQLRRARKALSDLSGLLVDDTAASGSGGVASFLASHRNRSFGRARASPSRSAVASATASASSSHFRSLSWSVSRTWSAARQLQAIGAGLAAPRAHEAGLAAPVYSMGCVLHLAAWALVAAVPCPDRGTALQAHHLPAAPPRAAFPWAPPLLSLQERLAEEGKRKDRRHACGLLKEIHSLEKSTQKLAEAIDAAPIPLFGDRETDVREAAAELAAVCEAMRDGLEPLEKQVREVFHRIVRSRVDGLDSSMHNAD >PVH63219 pep chromosome:PHallii_v3.1:3:62647660:62657581:1 gene:PAHAL_3G496100 transcript:PVH63219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNVWEADKMLDSYIYDYLLKRNLYNTAKAFQAESNVPSAPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDSAAAYLELIKAREQKQQSQQQVEMQQLLLQRHVQRQQQHPQEQQQQHPQLQRRQQKQHQRNENTDFSTSAQNGTAVADPQVRQNATAASGLSAKIYEDRMKITAQRDISDEALMKQRLTESIGPLLESNPTSRLKSPARSALASGQIVHRSIGGGSASLQQAQARSQPLLGSTQDMKAETNVALNLRAGADGSLFGVQGSNLVGNNLTLKGWPLTGLEQLRSGFLQHKSYMHSPQPLQHQLQFLTPQQQQILLQAQQNMTSSPIEMDNRQLQMLFSSRNLVPGRDGQSNAFAEIIPSVGQSLQNFCLPTQRTETDMLMKIAALHHHHQQQQSSSQQQLLQHPLLSQQQQSSTFNAGEQEKMGDGSVTVAFHGNKQVSKNKIGRKRKQPISSSTPANSSGTTNTAGASPRSTPSTPSAHSPGETISTPQVPHHASLSKALIVYGSDPQGSPTNPLVDMDHYVEDDSMEDNVEPILLHDGIDLRAAGSHCINSAKGYILREMSSAQASTSSILCCHLSSDGKLLATGGHDKKVFLWNAETLKQKSILEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSACVMSLDFHPNKDDLICSCDGDNEIRFWSIKHGNNVRIFKGGSAQLRFQPRYGGYLATTSDNMVSILDVETQACVRRFESHTKDVGSLCWDPTGEYVVSVSEDIVKVWSLNDKSCVNELNCSGRKLTSCAFHPTYPSLLVIGCYQSLELWDMAENRSMTIAAHGSLVSAVASSSSGLVASTGHDKYVKLWR >PVH63218 pep chromosome:PHallii_v3.1:3:62647660:62657581:1 gene:PAHAL_3G496100 transcript:PVH63218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNVWEADKMLDSYIYDYLLKRNLYNTAKAFQAESNVPSAPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDSAAAYLELIKAREQKQQSQQQVEMQQLLLQRHVQRQQQHPQEQQQQHPQLQRRQQKQHQRNENTDFSTSAQNGTAVADPQVRQNATAASGLSAKIYEDRMKITAQRDISDEALMKQRLTESIGPLLESNPTSRLKSPARSALASGQIVHRSIGGGSASLQQAQARSQPLLGSTQDMKAETNVALNLRAGADGSLFGVQGSNLVGNNLTLKGWPLTGLEQLRSGFLQHKSYMHSPQPLQHQLQFLTPQQQQILLQAQQNMTSSPIEMDNRQLQMLFSSRNLVPGRDGQSNAFAEIIPSVGQSLQNFCLPTQRTETDMLMKKIAALHHHHQQQQSSSQQQLLQHPLLSQQQQSSTFNAGEQEKMGDGSVTVAFHGNKQVSKNKIGRKRKQPISSSTPANSSGTTNTAGASPRSTPSTPSAHSPGETISTPQVPHHASLSKALIVYGSDPQGSPTNPLVDMDHYVEDDSMEDNVEPILLHDGIDLRAAGSHCINSAKGYILREMSSAQASTSSILCCHLSSDGKLLATGGHDKKVFLWNAETLKQKSILEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSACVMSLDFHPNKDDLICSCDGDNEIRFWSIKHGNNVRIFKGGSAQLRFQPRYGGYLATTSDNMVSILDVETQACVRRFESHTKDVGSLCWDPTGEYVVSVSEDIVKVWSLNDKSCVNELNCSGRKLTSCAFHPTYPSLLVIGCYQSLELWDMAENRSMTIAAHGSLVSAVASSSSGLVASTGHDKYVKLWR >PVH63220 pep chromosome:PHallii_v3.1:3:62647660:62657581:1 gene:PAHAL_3G496100 transcript:PVH63220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNVWEADKMLDSYIYDYLLKRNLYNTAKAFQAESNVPSAPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDSAAAYLELIKAREQKQQSQQQVEMQQLLLQRHVQRQQQHPQEQQQQHPQLQRRQQKQHQRNENTDFSTSAQNGTAVADPQVRQNATAASGLSAKIYEDRMKITAQRDISDEALMKQRLTESIGPLLESNPTSRLKSPARSALASGQIVHRSIGGGSASLQQAQARSQPLLGSTQDMKAETNVALNLRAGADGSLFGVQGSNLVGNNLTLKGWPLTGLEQLRSGFLQHKSYMHSPQPLQHQLQFLTPQQQQILLQAQQNMTSSPIEMDNRQLQMLFSSRNLVPGRDGQSNAFAEIIPSVGQSLQNFCLPTQRTETDMLMKIAALHHHHQQQQSSSQQQLLQHPLLSQQQQSSTFNAGEQEKMGDGSVTVAFHGNKQVSKNKIGRKRKQPISSSTPANSSGETISTPQVPHHASLSKALIVYGSDPQGSPTNPLVDMDHYVEDDSMEDNVEPILLHDGIDLRAAGSHCINSAKGYILREMSSAQASTSSILCCHLSSDGKLLATGGHDKKVFLWNAETLKQKSILEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSACVMSLDFHPNKDDLICSCDGDNEIRFWSIKHGNNVRIFKGGSAQLRFQPRYGGYLATTSDNMVSILDVETQACVRRFESHTKDVGSLCWDPTGEYVVSVSEDIVKVWSLNDKSCVNELNCSGRKLTSCAFHPTYPSLLVIGCYQSLELWDMAENRSMTIAAHGSLVSAVASSSSGLVASTGHDKYVKLWR >PAN19961 pep chromosome:PHallii_v3.1:3:22003412:22009426:-1 gene:PAHAL_3G310700 transcript:PAN19961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAEFKMEYANGAVDLEVDIVGPGGAAACSKLNTFEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPHNGDAAALLDAAASDNLDRLLKKKKVTDHWRKYISPLMWRCQWLELRMKDLQSQVSKYDKELATLKHEKELQTKMIELDCSSSRSVPFSSLCCRKTMKRRRRKRNEDKMDASSYISNHTVLSYFEKTEADGHSIEDNANLADDNTKGNNDADWLLGIEGGDTTVEQILLSIQAAQDRVFSLRSNLKQAMAKKNKVITLKVNTWVNGTQSSNCSPGKGKVAALHERSPQDTSDCDMDDSAMPDSALSSYGEASNMDIFESTMSLLSEGPHQMGEFRESSEDVLIDNQAAEEGYQNFEVISHPTKRLRVSVKREAGAHSEDESVGPVAAVKKEEAQEEATTSFSLHGAFLKPCFTGKRQERKPKKQMKRRRGCPTAAAAALISWRSKRIRKKKQL >PAN19962 pep chromosome:PHallii_v3.1:3:22003412:22008351:-1 gene:PAHAL_3G310700 transcript:PAN19962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAEFKMEYANGAVDLEVDIVGPGGAAACSKLNTFEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPHNGDAAALLDAAASDNLDRLLKKKKVTDHWRKYISPLMWRCQWLELRMKDLQSQVSKYDKELATLKHEKELQTKMIELDCSSSRSVPFSSLCCRKTMKRRRRKRNEDKMDASSYISNHTVLSYFEKTEADGHSIEDNANLADDNTKGNNDADWLLGIEGGDTTVEQILLSIQAAQDRVFSLRSNLKQAMAKKNKVITLKVNTWVNGTQSSNCSPGKGKVAALHERSPQDTSDCDMDDSAMPDSALSSYGEASNMDIFESTMSLLSEGPHQMGEFRESSEDVLIDNQAAEEGYQNFEVISHPTKRLRVSVKREAGAHSEDESVGPVAAVKKEEAQEEATTSFSLHGAFLKPCFTGKRQERKPKKQMKRRRGCPTAAAAALISWRSKRIRKKKQL >PAN19960 pep chromosome:PHallii_v3.1:3:22003703:22007913:-1 gene:PAHAL_3G310700 transcript:PAN19960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAEFKMEYANGAVDLEVDIVGPGGAAACSKLNTFEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPHNGDAAALLDAAASDNLDRLLKKKKVTDHWRKYISPLMWRCQWLELRMKDLQSQVSKYDKELATLKHEKELQTKMIELDCSSSRSVPFSSLCCRKTMKRRRRKRNEDKMDASSYISNHTVLSYFEKTEADGHSIEDNANLADDNTKGNNDADWLLGIEGGDTTVEQILLSIQAAQDRVFSLRSNLKQAMAKKNKVITLKVNTWVNGTQSSNCSPGKGKVAALHERSPQDTSDCDMDDSAMPDSALSSYGEASNMDIFESTMSLLSEGPHQMGEFRESSEDVLIDNQAAEEGYQNFEVISHPTKRLRVSVKREAGAHSEDESVGPVAAVKKEEAQEEATTSFSLHGAFLKPCFTGKRQERKPKKQMKRRRGCPTAAAAALISWRSKRIRKKKQL >PVH62763 pep chromosome:PHallii_v3.1:3:46990606:46991104:1 gene:PAHAL_3G391200 transcript:PVH62763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERVEMESSLGSHLKTVDQQEAHERQGRKKWITLPFIAGSMLGLGLAINGTSSNLLVYLLKEYNVESIDAAQIANIVRGCLDLAPVAGAVVSDSYFGSFPVILAGTAINVLVGVYMLAACA >PVH61961 pep chromosome:PHallii_v3.1:3:10914142:10916310:-1 gene:PAHAL_3G164700 transcript:PVH61961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSTPIVSFLMDDASNDFAGWRGRRAPSSTPRTSAASCATSAGPTHPHTCSASSPSATAAARPTCSSSASSSCATWPTSPPAGPRTSTPSSGASTPPSNPTPTGQFVSSVKRFSCHHLRRTLLSMRSDRARASRLYHRVKPMAVEVILNMAAKCPELKAKAQLPPTSYHLVLAQRCISMSCQRWCRAICAAMA >PVH62743 pep chromosome:PHallii_v3.1:3:44883798:44908035:-1 gene:PAHAL_3G384800 transcript:PVH62743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEGLVPITRAYLARYYDKYPLAPLPDAATDLAARLRTLSADLAAVAPIAPVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVSAGGSIHDRYALLWKQQMERRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYEQRKIEQDDIAVLQQAVIIYTEEFWKFTEFIGKVFVNAPFFISADDAGAVDARKCDEYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMVLDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVEPTVSAIEPL >PVH62742 pep chromosome:PHallii_v3.1:3:44883277:44908318:-1 gene:PAHAL_3G384800 transcript:PVH62742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEGLVPITRAYLARYYDKYPLAPLPDAATDLAARLRTLSADLAAVAPIAPVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVSAGGSIHDRYALLWKQQMERRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYEQRKIEQDDIAVLQQAVIIYTEEFWKFTEFIGKVFVNAPFFISADDAGAVDARKCDEYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVEPTVSAIEPL >PVH62745 pep chromosome:PHallii_v3.1:3:44883798:44908035:-1 gene:PAHAL_3G384800 transcript:PVH62745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEGLVPITRAYLARYYDKYPLAPLPDAATDLAARLRTLSADLAAVAPIAPDEELLEQEAAGIPAHKIDENLWKNREQMEEILFLLNTSRRPVALQQKSTPEDAEIISKLDDIEAKLKDMLKKLEQFQIKNTDNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVSAGGSIHDRYALLWKQQMERRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYEQRKIEQDDIAVLQQAVIIYTEEFWKFTEFIGKVFVNAPFFISADDAGAVDARKCDEYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMVLDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVEPTVSAIEPL >PVH62744 pep chromosome:PHallii_v3.1:3:44883277:44908318:-1 gene:PAHAL_3G384800 transcript:PVH62744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEGLVPITRAYLARYYDKYPLAPLPDAATDLAARLRTLSADLAAVAPIAPDEELLEQEAAGIPAHKIDENLWKNREQMEEILFLLNTSRRPVALQQKSTPEDAEIISKLDDIEAKLKDMLKKLEQFQIKNTDNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVSAGGSIHDRYALLWKQQMERRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYEQRKIEQDDIAVLQQAVIIYTEEFWKFTEFIGKVFVNAPFFISADDAGAVDARKCDEYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVEPTVSAIEPL >PAN16744 pep chromosome:PHallii_v3.1:3:5236006:5239213:1 gene:PAHAL_3G079300 transcript:PAN16744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKRKRKKTKEQKGEERQSPTSSCRAPTTLRLWMGSRHFLPPATTQSIVPTVAPMASATGGELRLVDRCIDAAARDALTVEAWRRQRRSLERLPAQLADALFRRLAARRVLFPSLLEVFKWSVEEVDLSGSLAVDAEWLSYLGSFRYLRVLKLADCKNVDNGAVWSLAGMNTLKELDLSRCSKISDAGLRHIVAIQSLEKLRLSGTKLTDNGVMLISSLTNLSFLDLGGIRITDKPLRSLQVLTRLEHLDIWGSEITNEGASVLKAFTGLRFLNLYWTSVNHLTVPPTMRCLNMSKCKIHSICYEDSEAPVALENFIVSEAEFGNIDKVFSGIQADSLLYLDMSGCDLSNLSFMEKMKNLEHLDLSSNRITDDAIEHIAKVGSNLKYLSLKGTGITSQALCVLAGTVPNLTSLSLSHTKIDDSALAYVSMMPLLSTIDLSYTSIKGFARAEVNADKILSMSAFEHLKYLESLNLEDTPLSAEVIPPLGLFAALKYLYLKSDFLSDPALHALSAASNLIHLGFCGNILSSSGLLQFVPPATLRVLDLRGCWILTGDAVSTFCMRHPMIEVRHELMQELKANSVGRSQLKARQSQQAKAKVANSFAGPSRLPNIRFVDERIKYNKEEMMELQNLVKPNSVMHGVRLPPELRRLT >PAN17114 pep chromosome:PHallii_v3.1:3:6924624:6925722:-1 gene:PAHAL_3G108400 transcript:PAN17114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATPLTCKTMLLMAFVVAAALSTASLAQSPLQNNFYGSSCPQAEATVRNVTEGIIRNDPTMGAAFMRLFFHDCFVRGCDASILLDPTSNNTQVEKKAIALRGYDAVSKIKAAVESVCPGVVSCADILAFAARDSAVVSGGFASFAMPSGRRDGVVSNFIEVLQNIPSPIFKLQELISNFAAKGLSVDDLVTLSAAHSFGQAHCSFVNGRLYPTVDPTMNGTYAAALKTVCPAPGSGGGDPVLNNNRVTDPNVLSNQYYGNLVTGQVLFVSDQQLMNSSYTAAKVANNSGDAATWMGQFAAALVKMGGIQVLTGTAGQVRKYCNVVNS >PAN16976 pep chromosome:PHallii_v3.1:3:6304068:6305769:-1 gene:PAHAL_3G099500 transcript:PAN16976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQQDQPQQPVVAGSTRWCPTPEQLMILEEMYRGGLRTPSASQIQQITAHLACYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSCAQYYAAAHHGHGHGFLAAPPAAPYGCSVFDQAAASQLLSPTSPTPAAAAAAAAAAAAYGYYYPATAAFAAAPASRCAGAATPPSPTQLFHYQAGGGGIAPVEALGRPEYSLGKLDNFGVALDDVVMSSAAAVDMGPPGFEVAPPPAAFCRPLKTLDLFPGGLKEEQHDVA >PVH63059 pep chromosome:PHallii_v3.1:3:60098682:60100498:1 gene:PAHAL_3G464400 transcript:PVH63059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSEKMSKSTGNFLTLEEAIKKYSSDATRFALADAVDGMDDANFVTETANSAVMRLTKEISWMEEVVAAESKLRAGAPTRYADRVFANEMNIAIKETEKSYSFFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRDMLWRFMNVQTRLITPICPHYAEHVWQKILKKEGFAIKAGWPVADTPDPTLRIANKYLQDSIVSMRKLLQKQESGSKKPRKGAAPAPPSEAKRMSIGLIYVNEHYSGWKEQCLRVLQ >PAN17021 pep chromosome:PHallii_v3.1:3:6490540:6501525:1 gene:PAHAL_3G102100 transcript:PAN17021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLASTCKDKLAFFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEQGQRHHGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEATDYYHVETKVRCLCSSTMLNDNMIKCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLNRADPFWVNTGNPLPPVKFMSSGVGNDGTSVSQSVEKTFQLSRADRETVQRPEYELQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDTRTFCFGVRIVRRRTVAQVLNLIPKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDASTRELSQWHMPDGTLCDSKEDTNPGAENLNEVKIEGTSDGHRSLKLGIKRNPNGIWQVSSKADDKKPSMVGNHIQNNTGFPAPNTVPMISSPTGSYRDGEDASVNQEGGGTQFDISLNQEFDSFARNFGQTYNTEDRQQQQQQHNAADVIVLSDSDEENDPIVQPPAVFGNAATDGNNFPFATSGAGSGYPERYQEDNGVGTSGLGLLSNNAGDFEINNWQMHSYPQPEQGFQFFGTDTDVANPFGASHNSFNIAPDDYSLDCNVGIEEPSAAHGISICRNSNDMHGSLVDNPLALAGDDPSLQILFPSQPSTVPLQEELNERANAPNGVHPDDWRISLTLAAGGGGNEESANVDGLQSQPKLPSKEAGVEPLIDSASALPSTNNDRCNGANLNPRRIENIFSHPRQPRSVRPRLCLSLDTDSE >PVH61728 pep chromosome:PHallii_v3.1:3:6801390:6801740:1 gene:PAHAL_3G106500 transcript:PVH61728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQRDHGADHPFGDPTAGDGFCGRNGIKYRVIPEAAGGQIWMLPTQPNPALPRDARDPTPPLCLPPPSSIPKHSPLPSSLTSVPLPTRSWPRRPGSSRFGGGIAMGARAVAAAAL >PAN15894 pep chromosome:PHallii_v3.1:3:988923:994166:1 gene:PAHAL_3G018200 transcript:PAN15894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYATGGELFDIIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITISEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSEDLQMQPAA >PAN15892 pep chromosome:PHallii_v3.1:3:988939:994146:1 gene:PAHAL_3G018200 transcript:PAN15892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYATGGELFDIIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITISEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSEDLQMQPAA >PAN15893 pep chromosome:PHallii_v3.1:3:988939:994166:1 gene:PAHAL_3G018200 transcript:PAN15893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYATGGELFDIIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITISEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSEDLQMQPAA >PVH61687 pep chromosome:PHallii_v3.1:3:6116493:6116786:-1 gene:PAHAL_3G096400 transcript:PVH61687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFFRSIKTMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQNFIIWLISLIPR >PVH62135 pep chromosome:PHallii_v3.1:3:13785968:13790602:-1 gene:PAHAL_3G211900 transcript:PVH62135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAPFSIREYAARARTEGGGWPFRGGAGALPPVKVRRFRWWEDVAAAAVEDEEGEVERRMAAKRRKRSVAELFAAVPRVARGQGCGKGKAAKRKLDGKGSGKDKVMLAVRVKASNGSKKKKKKAPPTGIDVREKEKSSGVKATSISVYQLFQHSIRKKKPKNSLSKKKGSQEVSVLLGRKSKKGNRKSVLERHKKDITNSIQAQSICKKQSKAGFSTVLDNTDIRCNSSSYKSKHVTFSDGTEVFRWTAHLPEVNTEQRQSVQTSQRSTREGHDHRNTDKPQLVCQQADAISGAVENTSSLSENVVSAGVHHAVPLTKPKDRTILGTSVDLNHCIETSNSSNCLNSISLACLSRKVPFQNFNGVNSHLDSDDGLSSDVECLGERNHMVSQASYAPASLTAKAISGDRSPESQPSSSCLREKSRSTLQERSVANYHLGTVHPELLRSGKDVMRSISSSIGSHKPAGGQGKDCVSAGRNMHFGDDYLGLPINSRGEFVKVHPGGTPNSVDIFKRQYMGESSLGPSAIPTVFTPSTGIDHDDLRPNHHARQIYSVDQSVFHADTRFTPAASTAYGLDFRQLPSSERAKIHYYRIPSNKYPCTNQQELSVECFCSGCIMHHNTQQRLHGMQSLWLSQNSGQNTQHNAETTMRLMGKTVTLGTSAIQCRDLDNETPRSSKKTRAEDQFFQGTRLNFFPQLFHGGAVDPPSACRISDGERQSTGNPSHFSFVPAAVPSFVLDTSSFRTNSYNQQPELVTANNRYARSGGWCNESEIGHRQPVMAKQVQSNAEDTLSGSMHRRHTQTLAPESSLNRRNDVRDFMEQRQVPSYLPQQFNRMTQRRPVSSFASSYPVQNATGLATRTKFTSLRPLPPSVIPSQACNADYAPPHGSITTFRPPVPVPYPVSNPRAPGNGIFEDQSMRWTMMGSNPEGLEDTRSKFKRPAEKDDVFLTLPKKPCTAAVKELNMLSFPGKGLEFRGYRTDSQARDAPICAGDDPEADLRLGNRELHATWSSPANAHRPLKLKPGAKHVVQPSAGGVYQESPWPVHPVTPLLAPEKDACTLGTSS >PVH61898 pep chromosome:PHallii_v3.1:3:9715339:9720347:1 gene:PAHAL_3G150400 transcript:PVH61898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEESKEASVSISNNDTGTNDMEDDDYDDDDGKHTVLLGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVNILNLTILTPGRPDLVLPIPLVRDDKGYTHTIWKTGVRVEKQKVMLGTFSPQQEPYTYEAEEDTTPSGIFARGSYSAKLKVRKPPNLWTMMGKSTWTWATASRSGRTGHPRHKTERKQGLALGGM >PAN17696 pep chromosome:PHallii_v3.1:3:9715339:9720347:1 gene:PAHAL_3G150400 transcript:PAN17696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEESKEASVSISNNDTGTNDMEDDDYDDDDGKHTVLLGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVNILNLTILTPGRPDLVLPIPLVRDDKGYAFALKDGSTYSFRFSFTVSNNIVSGLRYTHTIWKTGVRVEKQKVMLGTFSPQQEPYTYEAEEDTTPSGIFARGSYSAKLKFVDDDGKVYLDMGYCFEIRKDWPSSA >PVH61897 pep chromosome:PHallii_v3.1:3:9715339:9720347:1 gene:PAHAL_3G150400 transcript:PVH61897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEESKEASVSISNNDTGTNDMEDDDYDDDDGKHTVLLGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVNILNLTILTPGRPDLVLPIPLVRDDKGYAFALKDGSTYSFRFSFTVSNNIVSGLRYTHTIWKTGVRVEKQKVMLGTFSPQQEPYTYEAEEDTTPSGIFARGSYSAKLKVRKPPNLWTMMGKSTWTWATASRSGRTGHPRHKTERKQGLALGGM >PAN17695 pep chromosome:PHallii_v3.1:3:9715339:9720347:1 gene:PAHAL_3G150400 transcript:PAN17695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEESKEASVSISNNDTGTNDMEDDDYDDDDGKHTVLLGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVNILNLTILTPGRPDLVLPIPLVRDDKGYTHTIWKTGVRVEKQKVMLGTFSPQQEPYTYEAEEDTTPSGIFARGSYSAKLKFVDDDGKVYLDMGYCFEIRKDWPSSA >PAN18590 pep chromosome:PHallii_v3.1:3:13830661:13834758:-1 gene:PAHAL_3G212600 transcript:PAN18590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLAAGNFAALGPSGGGRRRSGSFGMKRIPRVMTVPGPLSDLDDDDEEQAATSSVASDVPSSAVGERLIVVANQLPVVARRRPDGRGWVFSWDEDSLLLRLRDGVPDEMEVFFVGSLRADVPPAEQDEVSQTLIDGFRCAPVFLSPELNERFYHHFCKGYLWPLFHYMLPFAAQLSPTTEAAASSDGGRFDRSAWEAYVLANKHFYEKVVEVINPEDDYIWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLQSVLRLPEQEQKVAELRQRFEGKAVLLGVDDTDIFKGINLKLLAFETMLRMHPKWQGRAVLVQIANPPRGKGKELETIKAEIRVTCERINRDFGQTGYSPVVFIDRNVPSAERLAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIETTAEALNEAISTSEQEKQLRHGKHYRYVSTHDVAYWSRSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFSKLNLDTIVMSYERAKSRAILLDYDGTLVPQASINKEPSAEIVRIINTLCSDSNNIVFIVSGRSRDSLGPMFASCPKLGLAAEHGYFLRWTRDEEWQTITRTSDFGWMQMAEPVMNLYTEATDGSYIETKETALVWHHQDADPGFGSTQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGLVAEKILTLMKEKGRQADFVLCVGDDRSDEDMFENIADVMKRNIVAPKTPLFACTVGQKPSKARFYLDDTFEVVNMLSSLADASDADPIIELEDDLATSVSLIDISDEPPNLGNRRDEGS >PAN19470 pep chromosome:PHallii_v3.1:3:18432088:18436514:1 gene:PAHAL_3G276100 transcript:PAN19470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERIPPPPFFQHSPSGVHSSPHRHNSMRSSSSDIERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNQTFIEPERIDHGSPLRIAGQPMNGQSMDLDGWSGMPKEHLGVIQSPSMVWNGAPGVVGSPVVKKVARIDVPIDKYPNEDKLRDKPGYEHLNDPLHVLVEAEFPADIVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSSHLSPSISPFNSTGMKRAKTGR >PAN19472 pep chromosome:PHallii_v3.1:3:18432088:18436514:1 gene:PAHAL_3G276100 transcript:PAN19472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERIPPPPFFQHSPSGVHSSPHRHNSMRSSSSDIERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNQTFIEPERIDHGSPLRIAGQPMNGQSMDLDGWSGMPKEHLGVIQSPSMVWNGAPGVVGSPVVKKVARIDVPIDKYPNYNFVGRLLGPRGNSLKRVEATTHCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPADIVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSSHLSPSISPFNSTGMKRAKTGR >PAN22085 pep chromosome:PHallii_v3.1:3:63967723:63969364:-1 gene:PAHAL_3G511700 transcript:PAN22085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAAGEVRHWAADVNGISLHVAERGPSTGPAVLLIHGFPELWLSWRHQVATLAARGFRALAPDLRGYGDSSVPADPAAYSIFHIVGDLVALLDHLRLPKVFVVGHDWGAQVAWHLCLFLPDKSFAKLGDGFYINQFQEPGRTERAFGRYDVATVLKKFYAAEIDELIAPPGVEIIDFLQAPSSPLPWMTDDELGQYAEKFQKTGFTGPLNYYCMMELLYGLCRNWRLTAPWSGAKIIVPAKFILSENDSGLQSFGTEKYVKSGGLKSNVPNVEVSIIEGHHFVQQEKAERVNSEILSFLDKFASEEASA >PAN18089 pep chromosome:PHallii_v3.1:3:11675691:11677261:1 gene:PAHAL_3G177400 transcript:PAN18089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPARSRSPATVPPPADNELRSTRISFRSRKIVKTPPAKPLATAAVAPPAPAPAPAPLPPVLPALSSPGEIASALRHLQAADPLLSAVIASTEAPTFAASPSLPAFHSLARSILYQQLATSAADAIYARFLALLPSASAAASAAASDAVTPAAVLALATADLRTIGVSGRKASYLHDLATRFAAGELYDSAVAAMDESALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPCGDLGVRKGVQELYKLKALPKPEELAAMCERWRPYRSVGAWYMWRLMESKSAAAKKKKGNASS >PVH61858 pep chromosome:PHallii_v3.1:3:9121533:9124737:-1 gene:PAHAL_3G142000 transcript:PVH61858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETRPRSPNYTKISPNLANQAQHGCGRSCGPHLSCYSSPRILPQIPQFTGCLAAAAGHIARPPRRSSRRQPHHNVRFLVRFCIATQGPEQPAYSSLPHLSPAAPWELAISWISGRSVPGTMSGGQDDPEGSDQNMDLEEGNTTTRIKRAKATNWPRVMSKFLLDWYLEKKRGMPPKTKFKKMHHVWCTSAVNSKFRTNYSVDQVHRHFRRFKEIWIIVTRYANVTGSRFNNKHKMLILPAATMASLPIAERAILAKPIPFFDHLLQLFNEGQLDAACMRDPIMDDDSHEELEAQIALNIIAQGADTRDQDGANLDIIELEGEDNHHEVAASSGGVPCEVMSDTSAPSAQPSGSFAESTMAALKPNAKKMKIISKTKPSPKPQALVPHDGRNVDALNSTLVGIRDSAPKLVRAAPTSTSDPNAPLWNMLKEIPLTHPDRLSVGMYLCKPESEVHRSFFMNMGKEYLESWARKFLAGEEPGAL >PAN17185 pep chromosome:PHallii_v3.1:3:7114264:7114925:-1 gene:PAHAL_3G111600 transcript:PAN17185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSANCIDILIAIILPPLGVFLKHGCGHEFWICLLLTFLGYIPGIIYAVYAITKY >PAN20544 pep chromosome:PHallii_v3.1:3:26106946:26110304:1 gene:PAHAL_3G329100 transcript:PAN20544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEKGAPVPAATPVEGGEAADGGRSAGVVGAALRRWRAQDLLERSGSALRAGAWALSLLAFLVMACNEHGDWKQFDRYEEYRYIVAVGLLAFVYTTLQLLRHAVRLTGGQDLQPKSGLLVDFAGDQVTAYLMMSALSAAIPITNRMREGADNVFTDSSAASISMAFFAFVCLAVSAIISGFKLAKQTYI >PVH62441 pep chromosome:PHallii_v3.1:3:20026552:20027634:1 gene:PAHAL_3G293700 transcript:PVH62441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKLLKRDLRRCQTLNNLKNLSLGDWCVDDDLHALIHLLGCSPVIQKLTLRLRLIGASASHHGTDESEVKVNCKHFMKFKITCVQGDTRVPSSEVYTGKCQISARNCYQAERVLVLGRSCRC >PVH62687 pep chromosome:PHallii_v3.1:3:39057331:39057732:-1 gene:PAHAL_3G368800 transcript:PVH62687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHEKGIREGKGRRFGGRQRWIWCSIWRRAAGFDARFGGGAGFGGRAERRTERARGRRRAGAERARERTRGSRTSPPELSAGRSERRRVEAPRAQAAGGAGDPTMKRKEPHSVRVSSGAMRLGNRAGTVSST >PAN19686 pep chromosome:PHallii_v3.1:3:19704513:19711317:-1 gene:PAHAL_3G290200 transcript:PAN19686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHGGGQAGTGDTPPEKQLNGFVRAVALIERLGNAFGTLAFTWATVVLLGGYPTVLRQDCDFWFATTIVFLEAARMFSRNNKLDYQLFFHTRGALKPLGWNGLTVVAIFTGVFVLLVSLNLPAWPALVLLVTPAVVRLFPEPATSSVRGDLLRRAISLLGPFVPILFLGLILFRAITKEGIDTSRMAELVASVLLFLVLLLLTISRLRFPCIIKLVDHALGSKQEFWHGIILNSCMLTTGVLLPFASQDDFPLGIITIELIAAAIVSLGNLQIPAAIVRVVLALIRLVSQDYYGDNVKKIDNSDPGDGAKINLAPSLNIFYGMVLGQGTLYSVACILELFSFIPRRSLARRGGFGGQWGLESVNLYYAYAFEKYMQGDVLAPKEINLNNFVVDSVNSETPKMQRHGIRMMHCLLQKQPARRWFILKLNGSMETMARLVNMLDWRSPQDTTVRLFAAKVTVELATSIRAVTVPGIIQVVSALLECGNQQKRGNPLLDTKVGHEKILDSVLNADDNQEERLDAAPDTAILMETQDRSTQQVGTAEQKSRIFRCCQGISKFWSIPQEEPLTEQDLLPALAMLILDDLASCDQGNCMEISRASGLIPKIIAFTSCRRSGTTYTDAQRKVLVKSSAKLLHRLTSVEGEIGITLRHMVFKYPFLLRNLAEILGDGTSSQEVRTLVEGIIRNLAIDENARKAIGRIQVIITLLMQAFLKPDRPSSTEADKLLREVAGQALAMLAMDSVNNCVAMLGETGHEFIGVLTSMIHIDRYRCVAASLLRNICHHARPELKEPDLMELSYCLRQALEIILVADEEAELEIFIGLSSQICKVIPRDFARELEDGHLKDIFVKRLVDALNANMEPSTHCPGIRRVILEQAINMMEHDSCYANCFIDRRMAEALSMVEETASEAENYSLFLGDVGLMEARMPLSSLVATAKQLLAIRRS >PVH63020 pep chromosome:PHallii_v3.1:3:59085031:59091566:1 gene:PAHAL_3G454600 transcript:PVH63020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASVVEEVLRRGVGSVGDDDVAARRAEEAAIRRHDAASWLRKTVGVVCAKDLPEEPSEEEFQLGLRNGIVLCNALNKVQPGAIPKVVGVLSESTAPADGPALCAYQYFENLRNFVVAVQNFGLPTFEVSDLEKGGKSVRVVDCILALKSFNESKKTGRQASCKYGGILKPLIPGNYFILKNSDAFMNKNTRNHSAEAIQNGFSGEQNASTDCFPESNELSTSNSLSTLVRAVLLDKKPEEIPLIVESLLGKVIQEYEHRFANQNLLECTGNLKGTVPLYGPDMLLESDYTSTSGQVKMDEEKQNILHAKEEIGFVVNGSKTAQQFQPEEEINFDLQHKQIRELRGTVSSIKSSMEQLKLQYSEEFSNLGKHLYTISNSASRYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISSSSSVAGMEERTITISAPTKYAKDGTKSFTFNKVFGPAATQDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFSLQAQRKGTINYDISVQMIEIYNEQVRDLLDDSGNRRLEIKNTSQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAVGETISTLKFAERVASVELGAAKANKESSEVRELKEQIACLKAALAKKEGEPENILSTQSSPGIYRIRKGNATPIFPKDRQPMEEVGNLEKVRNIFTPMQRRSKLNFSGILTENNSSNSVEKCTDLQKEMGLGDWVDKMTIGDDHFENSNSIVQLEPDTAQLPTSFYQRYSPVQQNCRAESLRSEGLYGFDSATSCSNQEMAMSTMGLKASGIANRGVSSIKKPEVTPMRSTNPASKSPLQQKKFQTPTRNRNQLTLSSIGGRRTPNSKINIAKGSINVKTDSGPR >PVH63018 pep chromosome:PHallii_v3.1:3:59085031:59091566:1 gene:PAHAL_3G454600 transcript:PVH63018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASVVEEVLRRGVGSVGDDDVAARRAEEAAIRRHDAASWLRKTVGVVCAKDLPEEPSEEEFQLGLRNGIVLCNALNKVQPGAIPKVVGVLSESTAPADGPALCAYQYFENLRNFVVAVQNFGLPTFEVSDLEKGGKSVRVVDCILALKSFNESKKTGRQASCKYGGILKPLIPGNYFILKNSDAFMNKNTRNHSAEAIQNGFSGEQNASTDCFPESNELSTSNSLSTLVRAVLLDKKPEEIPLIVESLLGKVIQEYEHRFANQNLLECTGNLKGTVPLYGPDMLLESDYTSTSGQVKMDEEKQNILHAKEEIGFVVNGSKTAQQFQPEEEINFDLQHKQIRELRGTVSSIKSSMEQLKLQYSEEFSNLGKHLYTISNSASRYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISSSSSVAGMEERTITISAPTKYAKDGTKSFTFNKVFGPAATQDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFSLQAQRKGTINYDISVQMIEIYNEQVRDLLDDSGNRRLEIKNTSQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAVGETISTLKFAERVASVELGAAKANKESSEVRELKEQIACLKAALAKKEGEPENILSTQSSPGIYRIRKGNATPIFPKDRQPMEEVGNLEVRNIFTPMQRRSKLNFSGILTENNSSNSVEKCTDLQKEMGLGDWVDKMTIGDDHFENSNSIVQLEPDTAQLPTSFYQRYSPVQQNCRAESLRSEGLYGFDSATSCSNQEMAMSTMGLKASGIANRGVSSIKKPEVTPMRSTNPASKSPLQQKKFQTPTRNRNQLTLSSIGGRRTPNSKINIAKGSINVKTDSGPR >PAN21275 pep chromosome:PHallii_v3.1:3:59085031:59091566:1 gene:PAHAL_3G454600 transcript:PAN21275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASVVEEVLRRGVGSVGDDDVAARRAEEAAIRRHDAASWLRKTVGVVCAKDLPEEPSEEEFQLGLRNGIVLCNALNKVQPGAIPKVVGVLSESTAPADGPALCAYQYFENLRNFVVAVQNFGLPTFEVSDLEKGGKSVRVVDCILALKSFNESKKTGRQASCKYGGILKPLIPGNYFILKNSDAFMNKNTRNHSAEAIQNGFSGEQNASTDCFPESNELSTSNSLSTLVRAVLLDKKPEEIPLIVESLLGKVIQEYEHRFANQNLQLECTGNLKGTVPLYGPDMLLESDYTSTSGQVKMDEEKQNILHAKEEIGFVVNGSKTAQQFQPEEEINFDLQHKQIRELRGTVSSIKSSMEQLKLQYSEEFSNLGKHLYTISNSASRYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISSSSSVAGMEERTITISAPTKYAKDGTKSFTFNKVFGPAATQDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFSLQAQRKGTINYDISVQMIEIYNEQVRDLLDDSGNRRLEIKNTSQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAVGETISTLKFAERVASVELGAAKANKESSEVRELKEQIACLKAALAKKEGEPENILSTQSSPGIYRIRKGNATPIFPKDRQPMEEVGNLEKVRNIFTPMQRRSKLNFSGILTENNSSNSVEKCTDLQKEMGLGDWVDKMTIGDDHFENSNSIVQLEPDTAQLPTSFYQRYSPVQQNCRAESLRSEGLYGFDSATSCSNQEMAMSTMGLKASGIANRGVSSIKKPEVTPMRSTNPASKSPLQQKKFQTPTRNRNQLTLSSIGGRRTPNSKINIAKGSINVKTDSGPR >PVH63019 pep chromosome:PHallii_v3.1:3:59085031:59091566:1 gene:PAHAL_3G454600 transcript:PVH63019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASVVEEVLRRGVGSVGDDDVAARRAEEAAIRRHDAASWLRKTVGVVCAKDLPEEPSEEEFQLGLRNGIVLCNALNKVQPGAIPKVVGVLSESTAPADGPALCAYQYFENLRNFVVAVQNFGLPTFEVSDLEKGGKSVRVVDCILALKSFNESKKTGRQASCKYGGILKPLIPGNYFILKNSDAFMNKNTRNHSAEAIQNGFSGEQNASTDCFPESNELSTSNSLSTLVRAVLLDKKPEEIPLIVESLLGKVIQEYEHRFANQNLQLECTGNLKGTVPLYGPDMLLESDYTSTSGQVKMDEEKQNILHAKEEIGFVVNGSKTAQQFQPEEEINFDLQHKQIRELRGTVSSIKSSMEQLKLQYSEEFSNLGKHLYTISNSASRYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISSSSSVAGMEERTITISAPTKYAKDGTKSFTFNKVFGPAATQDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFSLQAQRKGTINYDISVQMIEIYNEQVRDLLDDSGNRRLEIKNTSQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAVGETISTLKFAERVASVELGAAKANKESSEVRELKEQIACLKAALAKKEGEPENILSTQSSPGIYRIRKGNATPIFPKDRQPMEEVGNLEVRNIFTPMQRRSKLNFSGILTENNSSNSVEKCTDLQKEMGLGDWVDKMTIGDDHFENSNSIVQLEPDTAQLPTSFYQRYSPVQQNCRAESLRSEGLYGFDSATSCSNQEMAMSTMGLKASGIANRGVSSIKKPEVTPMRSTNPASKSPLQQKKFQTPTRNRNQLTLSSIGGRRTPNSKINIAKGSINVKTDSGPR >PAN19084 pep chromosome:PHallii_v3.1:3:16093146:16096807:1 gene:PAHAL_3G248300 transcript:PAN19084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >PAN16767 pep chromosome:PHallii_v3.1:3:5523177:5526141:-1 gene:PAHAL_3G085100 transcript:PAN16767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGGVAQQAELRRIEGNACFKKARLGAAIDCYTEAIALCPDVAVYWMNRALCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCALLDKEEFALAIKEFEKALNLLKSSSSTDKMAEDIWQVLAKAKYLDWEKHSTERVWRIQSLKEACESALQEQHFLSGTLVEDSDGSSNEYSEQIKLLAEVFSKATLADTPVDVPDYLCCQITFEIFRDPVITPSGVTYERAVLLEHLDKVGNFDPVTREPLKEHQLVPNLAINEAVQAYLKEHSWAYRLNC >PVH61643 pep chromosome:PHallii_v3.1:3:5524176:5526061:-1 gene:PAHAL_3G085100 transcript:PVH61643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGGVAQQAELRRIEGNACFKKARLGAAIDCYTEAIALCPDVAVYWMNRALCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCALLDKEEFALAIKEFEKALNLLKSSSSTDKMAEDIWQVLAKAKYLDWEKHSTERVWRIQSLKEACESALQEQHFLSGTLVEDSDGSSNEYSEQIKLLAEVFSKATLADTPVDVKAVLIINLVFVKPFSL >PVH61893 pep chromosome:PHallii_v3.1:3:9699059:9700153:1 gene:PAHAL_3G150000 transcript:PVH61893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSARESEAAHMTTGSSNQKDPLHRVAPADAAVVVVPRRWRRALVPAGHVAVCVGGASRRFAVWRAHLNHPVLRELLRQAEDHGFPSGACAGPIALPCDKGLFEHVLRHLSFPSKASRFVALEDVKSGALSCCCVAAAGDSLPLLHGIAADKTVW >PAN20996 pep chromosome:PHallii_v3.1:3:56242047:56244558:-1 gene:PAHAL_3G428800 transcript:PAN20996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEFLAVAARAALEWALATLLLANGAAFCLIAAAAARLRLGPPCILCARVHRLPCSASAPAGGERGALRLLLCDAHLAAVAAEPRIDPDRRDGDAGKGGLTEAGDPADKVSGLETHRVVSIGSEICEQDHDVEDVGQPRAAGRSRIARTSSIEDGGSSPLVSLFELAPIVAQPGGGGGGDSSVDPATAPELVAVDGDERLTVGQVVSALRDQRRELKALRAELVAERRARAEAEEYQRQLEEQGELDREAARLAMQLVHESETEKHGLQRQLDACRVRAQLESAAVDDFAGGEGCFRRGANGGDDGNNYQSLVDFMPGSVYSSSPDLANLLKLYTESGNGGGRRRQRDDHDEPAVAVVEEAEEEEEVVAVAVAGTAATEPSGNADATTAIVAESFHERSTNSCHIETVAEAS >PVH61402 pep chromosome:PHallii_v3.1:3:1532104:1533157:1 gene:PAHAL_3G027700 transcript:PVH61402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKEKDEGDGTTRERTILRDEDQTKFMFGWFIDYIKEQHAGFKIKKQHHFKCSEALNSQFNTGVRARRLLSKPIKFFNEMQELFLNSSADGSLTMDANTCLNETQADEDNDYDDDLCNELFSYAPPEDNLGDDSDTLPSPISGQPSMASQVAEQSSSSSGVKRLRSEGKVQKRDVRPKSRMSWVGDAITTALVKLQNEIKKPPPPPPSMRSSDDILWQRLENITLTTDQKLMVGTFLASKEQKGVRSFLSGSSEVTFQLWVFKFLSDLGM >PVH62580 pep chromosome:PHallii_v3.1:3:25915388:25916494:1 gene:PAHAL_3G327800 transcript:PVH62580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRPKSSLLQQTEENGPPSTVLASRGGKPIFCPVSSRPETRNPSPLARHRSLLAPRSAPIAPRPSPGTDRCQPGRPLIQLLEAMAFNYYQRTWCGSAAATTNYDEIEACAVVVSTLRKKRRWGGSVVGHKTKNRDRIGGNIQLNNDYFIERPLFNAEEFRRR >PAN21154 pep chromosome:PHallii_v3.1:3:58319444:58322633:-1 gene:PAHAL_3G445700 transcript:PAN21154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGMA >PVH61685 pep chromosome:PHallii_v3.1:3:6036266:6039193:1 gene:PAHAL_3G094800 transcript:PVH61685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAAAASAAATAARWAEGYPWREKLAKYKGELGKGVWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEDSGKS >PAN21329 pep chromosome:PHallii_v3.1:3:59245243:59247908:-1 gene:PAHAL_3G456700 transcript:PAN21329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAARWIAKRAIPCLSKAMEQSPDYEVKIIGHSMGAGIATILTYILRENEKLSSSTCIAFGPAACMTWDLAESGKDFVTTIVNRNDLVPSLGIATAAKLRTEVMASSWAHDLRKQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLQSQTSEAGSKPSADTHAVVKKRPALVCWSCVAAHKQTVESSKQTQDMENQTDTDVKTVKVTEEAAAEVVAVDLGELNLQESGEDDADREEKESALKETDKEEAMELLETLTDEKQELSPSTPAQEPHQLYPPGRILHMVGLQATEEATTSEQGAQEEVLALYETPRHLYSKIRLARSMVGEHYMPKYIKTMEQLIEKLPEEDIDDQLDSL >PAN21331 pep chromosome:PHallii_v3.1:3:59244753:59250072:-1 gene:PAHAL_3G456700 transcript:PAN21331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGMATAAGTAVLVYLVLSGRLCGDAAAGDGREDRLISSAVSAAAEARRRRREEARERWRRRREQARAGRPWPERAPDGWGAAAAVAARTVRFTWAETLGKWALGELAFGIKYYMRQQGNLQHEYAGSDSVLLDGPEVRQELIALLGYLKLCMYFSKKPYNVFLEFGGYEQNDVLIKKSKARLLKPAFTVVRDRSSKCFLLFIRGAISVKERLTAATGAEVPFHHVVVQEGRVSNVVLGYAHCGMVAAARWIAKRAIPCLSKAMEQSPDYEVKIIGHSMGAGIATILTYILRENEKLSSSTCIAFGPAACMTWDLAESGKDFVTTIVNRNDLVPSLGIATAAKLRTEVMASSWAHDLRKQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLQSQTSEAGSKPSADTHAVVKKRPALVCWSCVAAHKQTVESSKQTQDMENQTDTDVKTVKVTEEAAAEVVAVDLGELNLQESGEDDADREEKESALKETDKEEAMELLETLTDEKQELSPSTPAQEPHQLYPPGRILHMVGLQATEEATTSEQGAQEEVLALYETPRHLYSKIRLARSMVGEHYMPKYIKTMEQLIEKLPEEDIDDQLDSL >PAN21328 pep chromosome:PHallii_v3.1:3:59244753:59248673:-1 gene:PAHAL_3G456700 transcript:PAN21328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSKKPYNVFLEFGGYEQNDVLIKKSKARLLKPAFTVVRDRSSKCFLLFIRGAISVKERLTAATGAEVPFHHVVVQEGRVSNVVLGYAHCGMVAAARWIAKRAIPCLSKAMEQSPDYEVKIIGHSMGAGIATILTYILRENEKLSSSTCIAFGPAACMTWDLAESGKDFVTTIVNRNDLVPSLGIATAAKLRTEVMASSWAHDLRKQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLQSQTSEAGSKPSADTHAVVKKRPALVCWSCVAAHKQTVESSKQTQDMENQTDTDVKTVKVTEEAAAEVVAVDLGELNLQESGEDDADREEKESALKETDKEEAMELLETLTDEKQELSPSTPAQEPHQLYPPGRILHMVGLQATEEATTSEQGAQEEVLALYETPRHLYSKIRLARSMVGEHYMPKYIKTMEQLIEKLPEEDIDDQLDSL >PVH62539 pep chromosome:PHallii_v3.1:3:23212480:23212814:-1 gene:PAHAL_3G318000 transcript:PVH62539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFLQLFTGWKVHDELCFMDMFSVNKILHSCILLNYLVILMELCCCGSLTSSLLGL >PAN17598 pep chromosome:PHallii_v3.1:3:9194814:9197719:-1 gene:PAHAL_3G142900 transcript:PAN17598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNLRRQIFSMKKSLFDQFNQLEELQDDSSPNFVEEVVALFFKDSSRLLTNIEQALEKYPQDFYRLDSLVHQFKGSGSSIGALRMKNECSMFKAHCSDRNLEGCRRSLQKMKREHATLKQKLETYFQLLRQVGPRERAVNSWK >PAN17600 pep chromosome:PHallii_v3.1:3:9195449:9197385:-1 gene:PAHAL_3G142900 transcript:PAN17600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNLRRQIFSMKKSLFDQGYLDEQFNQLEELQDDSSPNFVEEVVALFFKDSSRLLTNIEQALEKYPQDFYRLDSLVHQFKGSGSSIGALRMKNECSMFKAHCSDRNLEGCRRSLQKMKREHATLKQKLETYFQCFDMDDEHLFLPMYRLLMRQLLRQVGPRERAVNSWK >PAN17599 pep chromosome:PHallii_v3.1:3:9194815:9197719:-1 gene:PAHAL_3G142900 transcript:PAN17599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNLRRQIFSMKKSLFDQGYLDEQFNQLEELQDDSSPNFVEEVVALFFKDSSRLLTNIEQALEKYPQDFYRLDSLVHQFKGSGSSIGALRMKNECSMFKAHCSDRNLEGCRRSLQKMKREHATLKQKLETYFQLLRQVGPRERAVNSWK >PAN18634 pep chromosome:PHallii_v3.1:3:14020850:14024421:1 gene:PAHAL_3G215600 transcript:PAN18634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAINGKSPIVVGPWGGTGGYPWDDGVYSTIRQIVITHGAAIDSIRIEYDLKGRSVWSETHGGTDGGSETDKVKLDFPDEVLVSVSGHYGSVCGTPVIIRSLTFQSNSSKYGPFGTEDGTPFSLPVSSGKIIGFYGRSGSYLNSLGFYLKQVHFPNPLNSPATPRSLPSAHSRNGYSFTGGDTGADLVLAVRDRGDSYAVYASNQPKQQYVNPSPDYSDGAVWNKMVSFPSYYGDRGAAAMSSPQTYGPWGGSGGTIFDDGVYTGVWQINLTRAVGISSMKVLYDRNGQAVWGNKHGFSGGVSPDKIVFDFPSEVLTHITGFYDSVIIMGPTVVRSLTFHTNKRTYGPYGDESGTYFSTSFTNGRIVGFHGREGWYIDGIGVHVQEGKVAPQRFVSRSTTATSPSFHYNMLAQAQSDTYTNNEVAYGMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQIYIMRGAFIGSIQVEYDRDGYSVWSARHGNSGHITHRVKLDYPHEVLTCVHGYYNTSMGDGPRVLRSLTFITNRGKYGPFGDEAGAYFSSAMTEGKVVGFHGRSGQHLDAIGVHMQHWIVDRRPAPKYVLSKYLF >PAN17990 pep chromosome:PHallii_v3.1:3:11306000:11307702:1 gene:PAHAL_3G170300 transcript:PAN17990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAMQLPKIDFSGVDPSAPGTGTWSAVRAQVMDALATFGCFDADFPALTPEQRAALFDGAVRLLFALPVDAKRRNYHGADKPFHGYLGGIPGYDGYESLAIVDGTKPEPVRDFAGLMWPDGGSNDGFCNAVHGVAARIFELEEAVRRMVMEGLGVAKYHDALSASTWHLFRMSEYQAPSAAEKTVRFGSHQDTNLLSIVCQHEVEGLEMQTRDGQWVLVKPSPTSLVVMVGQALRAWTNDRLHAPFHRISVAGDVTRYSAMLFSVPNFKIQAPDELVDDEHPPRFKPHDNNDYIRYCVSEEGARQEDKLKAFCGV >PAN17668 pep chromosome:PHallii_v3.1:3:9575493:9577923:1 gene:PAHAL_3G148200 transcript:PAN17668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRRSLLLLVLAAIAATATAAVGDAPFVVAHKKVALSRPGPGVERLAVTLNLYNQGAATAYDVSLNDDSWPQEAFEIVSGSTSKIVEKLDPGASASHNFVLEPKVQGRFQGSPAVIKYRVLTHAALQEAYSTPTLPLDILAERPPQQKFEWAKRLVGEYGPLVSVVSSVGMFVYLVTSPSKSSAVKGRKKKR >PAN16150 pep chromosome:PHallii_v3.1:3:2563898:2565893:-1 gene:PAHAL_3G040000 transcript:PAN16150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDLDLDLAVQVDCPPAPGEDFAFATSETDAAFLVLAHLPGYAKDEVEVRVGAGGAEFAVACARKDAFAVEAASGRVRVAHRQVVGGFRRVFGVPPGVDAGRISVGFEEDDGLLVVIMPKLRPGPPDDGGDEEARLDVESSGCECESSSGAGSESDDDVDVEMELELGDEASSLELGHEDWVDVESEEDEPEPPPRDVPVVTEVPVVTDVAVETPVPVVTDVAVEMSVEVEEDRDVPVETPVEVEEDRDVPVETPVEVEEDRDVPVETPVEVEAEPRVVDIECDVVFEPAEPEPLVETPIEVLGPPRREPEPPADVPNPPVDIPCDVESKPEPAVVQEPEEAKPPAEEPVQEPPAEEPVVEEPTPLAEPPAEEPVQEPPAEEPAVEETPAQEPVQEPVQEPPAVEPPKEPTPTPPVSPPGSESGSEEGSSDGDEPGGAGDGRRRRGRRGGRRPRRGRRRGLRLGMLVAPALILLALAVAAARRRRQQQRG >PAN16149 pep chromosome:PHallii_v3.1:3:2563342:2565893:-1 gene:PAHAL_3G040000 transcript:PAN16149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDLDLDLAVQVDCPPAPGEDFAFATSETDAAFLVLAHLPGYAKDEVEVRVGAGGAEFAVACARKDAFAVEAASGRVRVAHRQVVGGFRRVFGVPPGVDAGRISVGFEEDDGLLVVIMPKLRPGPPDDGGDEEARLDVESSGCECESSSGAGSESDDDVDVEMELELGDEASSLELGHEDWVDVESEEDEPEPPPRDVPVVTEVPVVTDVAVETPVPVVTDVAVEMSVEVEEDRDVPVETPVEVEEDRDVPVETPVEVEEDRDVPVETPVEVEAEPRVVDIECDVVFEPAEPEPLVETPIEVLGPPRREPEPPADVPNPPVDIPCDVESKPEPAVVQEPEEAKPPAEEPVQEPPAEEPVVEEPTPLAEPPAEEPVQEPPAEEPAVEETPAQEPVQEPVQEPPAVEPPKEPTPTPPVSPPGSESGSEEGSSDGDEPGGAGDGRRRRGRRGGRRPRRGRRRGLRLGMLVAPALILLALAVAAARRRRQQQRG >PVH61583 pep chromosome:PHallii_v3.1:3:4421729:4422775:1 gene:PAHAL_3G069300 transcript:PVH61583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTPPPYLMGSVSSPSQFSFLLLALTIRWYRAACTLSETLNICDVGSLSRCLYELDSHLDRLLASASKAKIDPPFPRETMRGILIQMTAASGCKDGSIKYWLSAGPGDFLLSPTGCTGPAFYAVAIAASAATRRSQQNDGVRAITTTVPMKHPFFAGIKSVNYLPNALALVEAEARGAYAPVWVDEDGCVAEGPTMNVAFVTADGDLVLPAFEKVLSGCTAKRLLALAPRLVEAGLLRSAGDARISADEARRCAEMMFVGSGLPVLPVVEWDGQQVGDGRVGKVSLALSELLRDDMKSGPDRIPVPYS >PAN16569 pep chromosome:PHallii_v3.1:3:4420782:4423408:1 gene:PAHAL_3G069300 transcript:PAN16569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEDRQVPVYASGIQVLEKLQEKWESTQRRYPAMYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTATIFDGCLYELDSHLDRLLASASKAKIDPPFPRETMRGILIQMTAASGCKDGSIKYWLSAGPGDFLLSPTGCTGPAFYAVAIAASAATRRSQQNDGVRAITTTVPMKHPFFAGIKSVNYLPNALALVEAEARGAYAPVWVDEDGCVAEGPTMNVAFVTADGDLVLPAFEKVLSGCTAKRLLALAPRLVEAGLLRSAGDARISADEARRCAEMMFVGSGLPVLPVVEWDGQQVGDGRVGKVSLALSELLRDDMKSGPDRIPVPYS >PAN22017 pep chromosome:PHallii_v3.1:3:63646013:63651467:-1 gene:PAHAL_3G507300 transcript:PAN22017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARCVPLPASPPAPASPETAKVPASIPTSSAASASPPLRAAVAPSSGRHQLAPPLRCSSSSSESPAPPNLGLLVEVEGVLADVYRFGNRQAFNVAFQSLGLDCANWTEPIYADLVRKAHGDEKRMLVLFFDGIGWPTSLPTSEKGSFMKSVLREKLKALEEFSASDSLPLRPGVEKFIDDALSEGVPVAILAAYGRNGEKISRSIVKKLGPERTSKIKIVGKSEVEGSFYGQLVLGKGVTSSLDEQLIKEAQKAASAEKQRIAEEVASILKLSVDITTSESSEKVIAALRAGSEYVGCDVQNCILVAGSQSGVLAAERIGMPCVVVRCSFTARAEFPSAKAVMDGFGGTDLTVSKLLSKKWS >PVH63261 pep chromosome:PHallii_v3.1:3:63646013:63651420:-1 gene:PAHAL_3G507300 transcript:PVH63261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARCVPLPASPPAPASPETAKVPASIPTSSAASASPPLRAAVAPSSGRHQLAPPLRCSSSSSESPAPPNLGLLVEVEGVLADVYRFGNRQAFNVAFQSLGLDCANWTEPIYADLVRKAHGDEKRMLVLFFDGIGWPTSLPTSEKGSFMKSVLREKLKALEEFSASDSLPLRPGVEKFIDDALSEGVPVAILAAYGRNGEKISRSIVKKLGPERTSKIKIVGKSEVEGSFYGQLVLGKGVTSSLDEQLIKEAQKAASAEKQRIAEEVASILKLSVDITTSESSEKVIAALRAGSEYVGCDVQNCILVAGSQSGVLAAERIGMPCVVVRCSFTARAEFPSAKAVMDGFGGTDLTVSKLLSKKWS >PAN22018 pep chromosome:PHallii_v3.1:3:63647125:63650189:-1 gene:PAHAL_3G507300 transcript:PAN22018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWSAALFSRKAHGDEKRMLVLFFDGIGWPTSLPTSEKGSFMKSVLREKLKALEEFSASDSLPLRPGVEKFIDDALSEGVPVAILAAYGRNGEKISRSIVKKLGPERTSKIKIVGKSEVEGSFYGQLVLGKGVTSSLDEQLIKEAQKAASAEKQRIAEEVASILKLSVDITTSESSEKVIAALRAGSEYVGCDVQNCILVAGSQSGVLAAERIGMPCVVVRCSFTARAEFPSAKAVMDGFGGTDLTVSKLLSKKWS >PAN22015 pep chromosome:PHallii_v3.1:3:63646013:63651420:-1 gene:PAHAL_3G507300 transcript:PAN22015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWSVWTGLDGGVSFSLHADYFPKAALFSRKAHGDEKRMLVLFFDGIGWPTSLPTSEKGSFMKSVLREKLKALEEFSASDSLPLRPGVEKFIDDALSEGVPVAILAAYGRNGEKISRSIVKKLGPERTSKIKIVGKSEVEGSFYGQLVLGKGVTSSLDEQLIKEAQKAASAEKQRIAEEVASILKLSVDITTSESSEKVIAALRAGSEYVGCDVQNCILVAGSQSGVLAAERIGMPCVVVRCSFTARAEFPSAKAVMDGFGGTDLTVSKLLSKKWS >PAN22016 pep chromosome:PHallii_v3.1:3:63646013:63651421:-1 gene:PAHAL_3G507300 transcript:PAN22016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWSAALFSRKAHGDEKRMLVLFFDGIGWPTSLPTSEKGSFMKSVLREKLKALEEFSASDSLPLRPGVEKFIDDALSEGVPVAILAAYGRNGEKISRSIVKKLGPERTSKIKIVGKSEVEGSFYGQLVLGKGVTSSLDEQLIKEAQKAASAEKQRIAEEVASILKLSVDITTSESSEKVIAALRAGSEYVGCDVQNCILVAGSQSGVLAAERIGMPCVVVRCSFTARAEFPSAKAVMDGFGGTDLTVSKLLSKKWS >PAN22014 pep chromosome:PHallii_v3.1:3:63647125:63650189:-1 gene:PAHAL_3G507300 transcript:PAN22014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWSVWTGLDGGVSFSLHADYFPKAALFSRKAHGDEKRMLVLFFDGIGWPTSLPTSEKGSFMKSVLREKLKALEEFSASDSLPLRPGVEKFIDDALSEGVPVAILAAYGRNGEKISRSIVKKLGPERTSKIKIVGKSEVEGSFYGQLVLGKGVTSSLDEQLIKEAQKAASAEKQRIAEEVASILKLSVDITTSESSEKVIAALRAGSEYVGCDVQNCILVAGSQSGVLAAERIGMPCVVVRCSFTARAEFPSAKAVMDGFGGTDLTVSKLLSKKWS >PAN19756 pep chromosome:PHallii_v3.1:3:20311151:20311715:1 gene:PAHAL_3G296800 transcript:PAN19756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGGLADDAELAALRDALAALGATAPLLVCGKRSIAPTGGADDGDASALTAFLTEEEKGPRARGPRGWRAGVEGIEVPAYDRHGRRFNMRLTRTESSSGYRLCGAGWLYFLRQNQLAEAMAAAKEMGRELEVDLWAFRSTELLPERHAEGDHHHPMGL >PAN21178 pep chromosome:PHallii_v3.1:3:58501737:58505439:-1 gene:PAHAL_3G447900 transcript:PAN21178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLGLALAAPHLALFGHRSPAAPRPRWGVARAPPQSHRCRRKPQLSVQPRARRTGSHAAGVLERGNKQEDRAAAIRERLLSGEAPTPSAYDTAWVAMVPAAAGSPPSPRYPGCVDWILRSQRRDDGSWGAGDPSLRKDALSSTLACVLALTTWGVGGDAVAKGLRFIGRNWSCVTDDSCAAPAGFDVIFPGMVARAIDMGLEIPLVRQADVDAVLRLRDSELRCMAASGGSQAFMAYVAEGLGDSLDWDEAAAAYQRKNGSFFDSPATTAAAAIHSHNDRALDYLDSVVAKFGSAVPTVYPRSAYSRLRMVDTLENMGISRSFLSEINSTLDMIYRSWLANDEEIMLDMATCAMAFRLLRLHGYDVSSDGLSQFSSESSFHGSIQGHLNDTEALLELLKASHVQITDGELILESIGSWSSELLKEQLRSGKISRTVDPVEVEHVLKFPFHSNVDRLEHRWHIEHFKEQGFQMLKSAYRTCHADEEIFSLAVDGFHSAQAMYQEELQCVERWAKEMRLDELDYARVMPLTCLFPSAATMFPAEMSEARIAAAKTNILATIVDDLFDVRESREEMENLVALIEMWDAYEQVGFCSERVEIVFRAVYDTSNDLAARAAAVQNRSVIHHIAERWVEMARVMMVEAGWRMSGSAAPSMEEYMAAAEPSFGLGTTVLTFLFFVGPELTEDVVRGAEYAELFRHMNICGRLLNDIQSCEREKRQGKINSVLLLARQHGGSVEAAKAEVRSVIAASRRELLRMLVREGGEVPWQCRREFWNISKVVHLFYMEVDGYASPKEMMRAANEVLFEPLRTAGKQYFK >PAN21179 pep chromosome:PHallii_v3.1:3:58501411:58505488:-1 gene:PAHAL_3G447900 transcript:PAN21179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLGLALAAPHLALFGHRSPAAPRPRWGVARAPPQSHRCRRKPQLSVQPRARRTGSHAAGVLERGNKQEDRAAAIRERLLSGEAPTPSAYDTAWVAMVPAAAGSPPSPRYPGCVDWILRSQRRDDGSWGAGDPSLRKDALSSTLACVLALTTWGVGGDAVAKGLRFIGRNWSCVTDDSCAAPAGFDVIFPGMVARAIDMGLEIPLVRQADVDAVLRLRDSELRCQAFMAYVAEGLGDSLDWDEAAAAYQRKNGSFFDSPATTAAAAIHSHNDRALDYLDSVVAKFGSAVPTVYPRSAYSRLRMVDTLENMGISRSFLSEINSTLDMIYRSWLANDEEIMLDMATCAMAFRLLRLHGYDVSSDGLSQFSSESSFHGSIQGHLNDTEALLELLKASHVQITDGELILESIGSWSSELLKEQLRSGKISRTVDPVEVEHVLKFPFHSNVDRLEHRWHIEHFKEQGFQMLKSAYRTCHADEEIFSLAVDGFHSAQAMYQEELQCVERWAKEMRLDELDYARVMPLTCLFPSAATMFPAEMSEARIAAAKTNILATIVDDLFDVRESREEMENLVALIEMWDAYEQVGFCSERVEIVFRAVYDTSNDLAARAAAVQNRSVIHHIAERWVEMARVMMVEAGWRMSGSAAPSMEEYMAAAEPSFGLGTTVLTFLFFVGPELTEDVVRGAEYAELFRHMNICGRLLNDIQSCEREKRQGKINSVLLLARQHGGSVEAAKAEVRSVIAASRRELLRMLVREGGEVPWQCRREFWNISKVVHLFYMEVDGYASPKEMMRAANEVLFEPLRTAGKQYFK >PAN21087 pep chromosome:PHallii_v3.1:3:57745915:57750716:-1 gene:PAHAL_3G439300 transcript:PAN21087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRGRGKVEVWRIENSVSRQVTFSKRRRGLAKKARELAVLCDADVALLVFSDKGRLHDFAAHDGSMERILDRYERYLLCEGGDVMEDRPEETQGNMSYDHIKLRSKIEALQKSQRNLMGEQLESLTFREVQQLEHQIDSALRSIRSRKDHVLLNSIEELRKKERFLMEQNTLLEKEKAALLDPSLHAKNSPASSTSAEGAAVPNLNICAADSDDEPAPPPAAPHGAATGLPWWLLRPPASGQRGG >PVH62084 pep chromosome:PHallii_v3.1:3:13131523:13136684:-1 gene:PAHAL_3G200700 transcript:PVH62084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLSLLLLHSSLPSRPTPSRLLSSAAAAASVPASGAPNARAHGTVSAVLEIVGPIELLFPASEARLYVRLVRRCARDALAAGAAAAHAHVVKRGFASDVLVSNVLLDSYAKGGSLAAGRQLFDEMPDRDVASWCTVIAAHASRGLFVEAIGIFKELLSSDQVKPNRFVISSVLNACARSGVMELGLMVHGLVVKSGLGADRFVEVGFVDMYAKCGNVGDAIRLFNKIPVKSSVAWNAMISGFVENNCFVEAAELFRDMHRVGMAVDVVTLRVVAGVAAVLGTFDLSRNIHVCALKVGLGLDCFVVSELIKSAGRVGETQYIGKLVAAVRRPDASLYSLAISSYHSNGCQVEAVTLAEVFLSSGLSLREGDMVTVLDICQIEEEVQQMHAFSLKTGRFCYTNVCNALMSVYSELGSLMCAESIFKTMQSPDIVSWAGVMAGCVKNLQYERACSYFKQLSDAGAPLDQHCIATVVNACTGLQDLDKGRQIHSLALKLGLLLADYVSASLVNMYAKCHSIEGAGELFSHAVFPQNLVVINAMLSGYCWNFLPEKALLLFYREYQFGLRPDQFTFSIVLGACSDIGAKKAGEQIHGYLVKVGSECLDVIVGNAIIDLYVKCGCIASACRFFHSMKSWNINSYAMLMLGYIQNRCSDEALQLFSKMQHSGLRANRVTFARILRGCADLCAIDLGRQLHASIIKMGLLSDVYVTNALVGMYTKSDVWTESIRTSQETLAGNVPEQDTTDNFSSEQRYASRDLEEVGLFTLDEENDHASLVDARKNYIAAASQFYGNPLPIHVVGRELGIETNIENGKNAKYNRSKLLLYYNDGNYQGNIYGSIKLFNLFQEGSRKSDHLVLVVSIDGGNSKIKDVGFVNVEPVKRYGSVPALVFPP >PVH62237 pep chromosome:PHallii_v3.1:3:15812496:15816073:1 gene:PAHAL_3G243800 transcript:PVH62237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKTPLINFVYDHSPVVGWHLKDLTCMYATANILGSLSCHYGTSLFQYQIIVRTGVVLPQLQLFWNVYYAVKVLSCCFNTRSLFLKVPMSTISDQKKRTLEALQQQYTAAKAKKLQDEQLKSHKKNKVDAPKPKFDMLRKGKAPELTPRQTSAQPSSHKGVAFSGSNCQQKPSASSGEEINPVYAELSCSLRENLFQDGISDFDNTEVVQSVIFDIIQKGGDSGKITKGAKKLKLEKGILLDNYVQRGPRLVDAQARSLLIHSKRSKHHMSLKQHKKCGSFDLDGAFHKYDLYKPMHEMWKAYIRELTKITPKKQLSENLLSADLHGALLIVAECKAASYQGVSGIMIRDTAETFGIISEDNRFRVVPKAGSVFILQAYCWKVTLIGDKLSPNEKLKEDQRQQRAQSQIR >PVH61788 pep chromosome:PHallii_v3.1:3:7831294:7832912:1 gene:PAHAL_3G122100 transcript:PVH61788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYVGQEPKSVAQQYSSLSPVQSSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPLPSPEPRYDEDVIGEEPGSDEKDEQEDEDRSESEREQEFSDGSEEDEQQAHDLQEDDEEELPQPHEEEQQRSDESDGDNGVEDEQQVGEEQQEEEEAPQAHGVDDAVAVAGDLAAPPPPSSPAPSLRSESSSVVVVGEMTVDRTHALDCGICFLPLKPPIFQCDVGHVVCSPCRDKLAAMVDSVRVACPHAAHGCADRSAYHDRGRHARECAHAPCRCPGRDCGFVGPAPALAEHAAAAHGWPCTAEAIAGCSFGVDLRDGFNLLTAVRGGAQHLLLLNGSTPFGRAISAVQVLPQVASTGSSSAAAPAASGAKCELELHYWRYKGILREHCLVSRFEVPSMDPSDALPDPSTSFQFFVPKSVRGHDEAAVHVNVVISINSS >PAN17728 pep chromosome:PHallii_v3.1:3:9800097:9805466:-1 gene:PAHAL_3G152300 transcript:PAN17728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQAPPPAAATDANTSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGTYMQQKNANVEVTLRIVYQPQALFRIRPVNRCSATIAGHTEAVLAVSFSPDGKCLASGSGDTSVRFWDLDTQTPLFTCKGHKNWVLCIAWSPDGKHLVSGSKSGELILWDPKTGKQLGSPLMGHRKWITAVSWEPAHLQAPCRRFVSASKDGDARIWDITTRKCVISLTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGPYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >PVH62769 pep chromosome:PHallii_v3.1:3:47286990:47291150:1 gene:PAHAL_3G391900 transcript:PVH62769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVPHGSRPRRRRGIDTTTTSQIHPLPLLADLRSPEKPTPKSRIRPHESPRVMASSRNPDAPAAPAPPEAQTPNPSIVPGDPPSPEMEATAEALTREEVLRRRRRRAARLAGVYRRLYWAMAEEVRARHRQYVWDLARSPLEAEQLPLPPGAPIPAVGEPPRSAPVPRRKKCGFTGCKVRAMATARFCHSHILSDPTQVLYKPCAYITKSGVQGGLVTCGRPIIKSAAPSFCNIHLQRSQKSIAQAYRKVGFNPPLTGKITPKFSVLVAECVRQIQDKRRQSLKAAAQQKCTRDGKVD >PAN15721 pep chromosome:PHallii_v3.1:3:150666:153608:1 gene:PAHAL_3G002600 transcript:PAN15721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEAIPKMTVLHAPVGVRSIISSLVAFFIVASSVTFLLDRGQEAQVQMAVEHGHQEMQVKVEAGLQEPAMRGTTDVGDAEECNWSRGRWVYDMSRPLYSGLKCSFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDATKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDARLDEMEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPVYKIGYKAATTDYSLMAKAKSYFKTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >PAN15927 pep chromosome:PHallii_v3.1:3:1117953:1123325:1 gene:PAHAL_3G020900 transcript:PAN15927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTENAASTKSVELVKQEDHLEFDDPDEEDEEEEVEYEEIEEEVEYEEVEEEEEEEEEEEKSEVACEGDAKHDSKMVDVDQKDEDEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKNLFESVGEVVEVRIRGKGDNKLYAFVNFRAKELALKAIQKLNNKDLKGKKIKVSSSQEKNRLFIGNVPKHWTLDDFKNAVEEVGPGVLKVNLPKAPRSDRHKGYGFIDYYNQPCAEYARQKMSTPEFKLDTNAPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKNLFEHLGEITKVILPPAKAGHENRYGFVHFKERYMAIKALKKTERYELDGQVLDCSLAKADKKDNTVSVPTVKGSPLLPSYTPLGYGLAGANPLGNGLAGAYNPLGNGLAGVYNPLGNGLAGAYGVLPARAAQPILYAPGAPSASTMTPMVLPDGRLVYVPQPAGQQTVHLASPPAQQGGRRYGGSGSGGGGSSSGGRRQRGDDRGSNSNNSRRGRHRPY >PAN15925 pep chromosome:PHallii_v3.1:3:1118705:1123325:1 gene:PAHAL_3G020900 transcript:PAN15925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTENAASTKSVELVKQEDHLEFDDPDEEDEEEEVEYEEIEEEVEYEEVEEEEEEEEEEEKSEVACEGDAKHDSKMVDVDQKDEDEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKNLFESVGEVVEVRIRGKGDNKLYAFVNFRAKELALKAIQKLNNKDLKGKKIKVSSSQEKNRLFIGNVPKHWTLDDFKNAVEEVGPGVLKVNLPKAPRSDRHKGYGFIDYYNQPCAEYARQKMSTPEFKLDTNAPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKNLFEHLGEITKVILPPAKAGHENRYGFVHFKERYMAIKALKKTERYELDGQVLDCSLAKADKKDNTVSVPTVKGSPLLPSYTPLGYGLAGANPLGNGLAGAYNPLGNGLAGVYNPLGNGLAGAYGVLPARAAQPILYAPGAPSASTMTPMVLPDGRLVYVPQPAGQQTVHLASPPAQQGGRRYGGSGSGGGGSSSGGRRQRGDDRGSNSNNSRRGRHRPY >PVH61387 pep chromosome:PHallii_v3.1:3:1117953:1123326:1 gene:PAHAL_3G020900 transcript:PVH61387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQRTVAGCQRRIGRRTRRQGWKRNELGNRGGGLQNCTSISFRPHRISFLLLRPRAVSPFAFQSANLLGAAPDLSEFPWNFELWKMSRRTENAASTKSVELVKQEDHLEFDDPDEEDEEEEVEYEEIEEEVEYEEVEEEEEEEEEEEKSEVACEGDAKHDSKMVDVDQKDEDEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKNLFESVGEVVEVRIRGKGDNKLYAFVNFRAKELALKAIQKLNNKDLKGKKIKVSSSQEKNRLFIGNVPKHWTLDDFKNAVEEVGPGVLKVNLPKAPRSDRHKGYGFIDYYNQPCAEYARQKMSTPEFKLDTNAPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKNLFEHLGEITKVILPPAKAGHENRYGFVHFKERYMAIKALKKTERYELDGQVLDCSLAKADKKDNTVSVPTVKGSPLLPSYTPLGYGLAGANPLGNGLAGAYNPLGNGLAGVYNPLGNGLAGAYGVLPARAAQPILYAPGAPSASTMTPMVLPDGRLVYVPQPAGQQTVHLASPPAQQGGRRYGGSGSGGGGSSSGGRRQRGDDRGSNSNNSRRGRHRPY >PAN15926 pep chromosome:PHallii_v3.1:3:1117953:1123325:1 gene:PAHAL_3G020900 transcript:PAN15926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTENAASTKSVELVKQEDHLEFDDPDEEDEEEEVEYEEIEEEVEYEEVEEEEEEEEEEEKSEVACEGDAKHDSKMVDVDQKDEDEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKNLFESVGEVVEVRIRGKGDNKLYAFVNFRAKELALKAIQKLNNKDLKGKKIKVSSSQEKNRLFIGNVPKHWTLDDFKNAVEEVGPGVLKVNLPKAPRSDRHKGYGFIDYYNQPCAEYARQKMSTPEFKLDTNAPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKNLFEHLGEITKVILPPAKAGHENRYGFVHFKERYMAIKALKKTERYELDGQVLDCSLAKADKKDNTVSVPTVKGSPLLPSYTPLGYGLAGANPLGNGLAGAYNPLGNGLAGVYNPLGNGLAGAYGVLPARAAQPILYAPGAPSASTMTPMVLPDGRLVYVPQPAGQQTVHLASPPAQQGGRRYGGSGSGGGGSSSGGRRQRGDDRGSNSNNSRRGRHRPY >PAN16778 pep chromosome:PHallii_v3.1:3:5567659:5569924:1 gene:PAHAL_3G085800 transcript:PAN16778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHADSNIRIMLVGNKTDLRHLRAVTTEDAQNFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDESGAAGGVKEGKTINVAAGDAATEKKQCCSA >PVH62604 pep chromosome:PHallii_v3.1:3:27843437:27844156:1 gene:PAHAL_3G335200 transcript:PVH62604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVESTYPHLQTKFNDPEYIKDRAILATTNEIVDEINEYIMSFIPGSEKEYFSADSISNCTDSCNDADILYSTEYLNSLNTNNFPTHRLKLKIGVPIILLRNLNQSLGLCNGTRLIVTNLGQNVIEAVIITGTHTGDKILILRINLTMRGSQWPFTLCRRQFPIKVCYSMTINKSQGQSLSNVGVYLKQPVFTHGQLYVAISRVKDRKGLKVLIENPDRSCGTKTKNIVYREILKII >PAN16539 pep chromosome:PHallii_v3.1:3:4307235:4309422:-1 gene:PAHAL_3G067600 transcript:PAN16539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSWTRGLKYVVVPRHAAQLVESRGLAIASKAKKGGKGGAADAAKTPALSKELKSTIVFGANILKEGSDPKIQPDSEYPEWLWHLLNKRPVLSELRRKDPKTLPYEDLKRFVKLDNRSRIKENNALTAKN >PAN20467 pep chromosome:PHallii_v3.1:3:23608434:23618062:1 gene:PAHAL_3G320300 transcript:PAN20467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTKSDLVSGSPDGHGYFNAQRGAYAAASLERSGSFREGGDGYAMFPASSSSRSAGVDSVSLLQSLAVDLRTVTVDHKTSRLDLKKSISSIFGTSTEDSTSISSLGRNLPNSIEEIRRMRSNLNDISNKARERSRAFGGAVTKLDKLCPNIVRKRSRGDGSSNERVLSSGGAIPKNVPQSHLNADDMEVGLQRGEERTKNAGQNRRIRTSIVEMDARTAGQSRGPGPVDRISDPGKATNGSSAVSEEKIRGLATSIDGWEKPKMKKKRSAIKADMSLAGVSRSADVDRESKQGMQHKFSSDGRARMASSPSFRSGTVAYGTSKADLLSAQNGLVGRPLNRSDQDSGFHPTNKRERQVVLDKEMPNPRTINNSHTRTHTHRFFRPNEDDSGGNITSLPKVNGSARGPRSNSGSLLKSSPNIHRLQASSDDWEHPSGTNKLISAGGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITTDGALVPGSLDSPVNEDSAGLPRRASVNGLQQTKRGDHGLSTGSEGDEPVVAEKKLRDKSKRAGELDDGHGSGFQKIAMLGHPSKRNKLSADEDIGDAARRQGRVGRGFTPTRPGTPVSIDKLENAPTTKQRSARTVSERNESKSGRPMMKKMSDRKGNARPRHTNSSLQSDSPVQSEDDHEELLAAANAALRSACSSPFWRQVEPFFAFLTAEDVAYPSQQIHLPDDSTSSRSIEGDEGQKYKGGLEYISQPSTPAASNKDDHTALPNGFGLNQSDNGIGVSWESSCIEPILDQLVHGIGVRGGSSVGQRLIQALIDEDKIESITNNAYISEGYPFDTHEIHFDEGGWKSHAHNYKLEPLMNFEASVRAPNGLMMDSDWKYNDGLSHKSSNVMDKTKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDIDTEICKLEGQLHKEVMEKKNLLLKLDGIVRTAKESQQREFSRRAMERLLLRAYEKYMAFCGPNVSSSKNVNRAGRHAAVSFVKRALARCRNYEEVGISCFDDPTFKDMFLSATSHRSSLDAASQDNNTTVKSVHRASASDASRASSHLTDLSFVKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGHNREGARSGRPPSSNAKGERKNKTKPKQKTANISAPSNSTPRDPQVPAKITPSGNGKDSTAAPAAARRDDPANTANDAEIPDLSNLELPGMDVDFGGWLNMDDDDGLQDLDLMGLEIPMDDINEINLMI >PAN20464 pep chromosome:PHallii_v3.1:3:23608434:23618062:1 gene:PAHAL_3G320300 transcript:PAN20464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTKSDLVSGSPDGHGYFNAQRGAYAAASLERSGSFREGGDGYAMFPASSSSRSAGVDSVSLLQSLAVDLRTVTVDHKTSRLDLKKSISSIFGTSTEDSTSISSLGRNLPNSIEEIRRMRSNLNDISNKARERSRAFGGAVTKLDKLCPNIVRKRSRGDGSSNERVLSSGGAIPKNVPQSHLNADDMEVGLQRGEERTKNAGQNRRIRTSIVEMDARTAGQSRGPGPVDRISDPGKATNGSSAVSEEKIRGLATSIDGWEKPKMKKKRSAIKADMSLAGVSRSADVDRESKQGMQHKFSSDGRARMASSPSFRSGTVAYGTSKADLLSAQNGLVGRPLNRSDQDSGFHPTNKRERQVVLDKEMPNPRTINKPNEDDSGGNITSLPKVNGSARGPRSNSGSLLKSSPNIHRLQASSDDWEHPSGTNKLISAGGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITTDGALVPGSLDSPVNEDSAGLPRRASVNGLQQTKRGDHGLSTGSEGDEPVVAEKKLRDKSKRAGELDDGHGSGFQKIAMLGHPSKRNKLSADEDIGDAARRQGRVGRGFTPTRPGTPVSIDKLENAPTTKQRSARTVSERNESKSGRPMMKKMSDRKGNARPRHTNSSLQSDSPVQSEDDHEELLAAANAALRSACSSPFWRQVEPFFAFLTAEDVAYPSQQIHLPDDSTSSRSIEGDEGQKYKGGLEYISQPSTPAASNKDDHTALPNGFGLNQSDNGIGVSWESSCIEPILDQLVHGIGVRGGSSVGQRLIQALIDEDKIESITNNAYISEGYPFDTHEIHFDEGGWKSHAHNYKLEPLMNFEASVRAPNGLMMDSDWKYNDGLSHKSSNVMDKTKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDIDTEICKLEGQLHKEVMEKKNLLLKLDGIVRTAKESQQREFSRRAMERLLLRAYEKYMAFCGPNVSSSKNVNRAGRHAAVSFVKRALARCRNYEEVGISCFDDPTFKDMFLSATSHRSSLDAASQDNNTTVKSVHRASASDASRASSHLTDLSFVKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGHNREGARSGRPPSSNAKGERKNKTKPKQKTANISAPSNSTPRDPQVPAKITPSGNGKDSTAAPAAARRDDPANTANDAEIPDLSNLELPGMDVDFGGWLNMDDDDGLQDLDLMGLEIPMDDINEINLMI >PAN20465 pep chromosome:PHallii_v3.1:3:23608434:23618064:1 gene:PAHAL_3G320300 transcript:PAN20465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTKSDLVSGSPDGHGYFNAQRGAYAAASLERSGSFREGGDGYAMFPASSSSRSAGVDSVSLLQSLAVDLRTVTVDHKTSRLDLKKSISSIFGTSTEDSTSISSLGRNLPNSIEEIRRMRSNLNDISNKARERSRAFGGAVTKLDKLCPNIVRKRSRGDGSSNERVLSSGGAIPKNVPQSHLNADDMEVGLQRGEERTKNAGQNRRIRTSIVEMDARTAGQSRGPGPVDRISDPGKATNGSSAVSEEKIRGLATSIDGWEKPKMKKKRSAIKADMSLAGVSRSADVDRESKQGMQHKFSSDGRARMASSPSFRSGTVAYGTSKADLLSAQNGLVGRPLNRSDQDSGFHPTNKRERQVVLDKEMPNPRTINNSHTRTHTHRFFRPNEDDSGGNITSLPKVNGSARGPRSNSGSLLKSSPNIHRLQASSDDWEHPSGTNKLISAGGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITTDGALVPGSLDSPVNEDSAGLPRRASVNGLQQTKRGDHGLSTGSEGDEPVVAEKKLRDKSKRAGELDDGHGSGFQKIAMLGHPSKRNKLSADEDIGDAARRQGRVGRGFTPTRPGTPVSIDKLENAPTTKQRSARTVSERNESKSGRPMMKKMSDRKGNARPRHTNSSLQSDSPVQSEDDHEELLAAANAALRSACSSPFWRQVEPFFAFLTAEDVAYPSQQIHLPDDSTSSRSIEGDEGQKYKGGLEYISQPSTPAASNKDDHTALPNGFGLNQSDNGIGVSWESSCIEPILDQLVHGIGVRGGSSVGQRLIQALIDEDKIESITNNAYISEGYPFDTHEIHFDEGGWKSHAHNYKLEPLMNFEASVRAPNGLMMDSDWKYNDGLSHKSSNVMDKTKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDIDTEICKLEGQLHKEVMEKKNLLLKLDGIVRTAKESQQREFSRRAMERLLLRAYEKYMAFCGPNVSSSKNVNRAGRHAAVSFVKRALARCRNYEEVGISCFDDPTFKDMFLSATSHRSSLDAASQDNNTTVKSVHRASASDASRASSHLTDLSFVKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGHNREGARSGRPPSSNAKGERKNKTKPKQKTANISAPSNSTPRDPQVPAKITPSGNGKDSTAAPAAARRDDPANTANDAEIPDLSNLELPGMDVDFGGWLNMDDDDGLQDLDLMGLEIPMDDINEINLMI >PAN20466 pep chromosome:PHallii_v3.1:3:23608365:23618178:1 gene:PAHAL_3G320300 transcript:PAN20466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTKSDLVSGSPDGHGYFNAQRGAYAAASLERSGSFREGGDGYAMFPASSSSRSAGVDSVSLLQSLAVDLRTVTVDHKTSRLDLKKSISSIFGTSTEDSTSISSLGRNLPNSIEEIRRMRSNLNDISNKARERSRAFGGAVTKLDKLCPNIVRKRSRGDGSSNERVLSSGGAIPKNVPQSHLNADDMEVGLQRGEERTKNAGQNRRIRTSIVEMDARTAGQSRGPGPVDRISDPGKATNGSSAVSEEKIRGLATSIDGWEKPKMKKKRSAIKADMSLAGVSRSADVDRESKQGMQHKFSSDGRARMASSPSFRSGTVAYGTSKADLLSAQNGLVGRPLNRSDQDSGFHPTNKRERQVVLDKEMPNPRTINKPNEDDSGGNITSLPKVNGSARGPRSNSGSLLKSSPNIHRLQASSDDWEHPSGTNKLISAGGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITTDGALVPGSLDSPVNEDSAGLPRRASVNGLQQTKRGDHGLSTGSEGDEPVVAEKKLRDKSKRAGELDDGHGSGFQKIAMLGHPSKRNKLSADEDIGDAARRQGRVGRGFTPTRPGTPVSIDKLENAPTTKQRSARTVSERNESKSGRPMMKKMSDRKGNARPRHTNSSLQSDSPVQSEDDHEELLAAANAALRSACSSPFWRQVEPFFAFLTAEDVAYPSQQIHLPDDSTSSRSIEGDEGQKYKGGLEYISQPSTPAASNKDDHTALPNGFGLNQSDNGIGVSWESSCIEPILDQLVHGIGVRGGSSVGQRLIQALIDEDKIESITNNAYISEGYPFDTHEIHFDEGGWKSHAHNYKLEPLMNFEASVRAPNGLMMDSDWKYNDGLSHKSSNVMDKTKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDIDTEICKLEGQLHKEVMEKKNLLLKLDGIVRTAKESQQREFSRRAMERLLLRAYEKYMAFCGPNVSSSKNVNRAGRHAAVSFVKRALARCRNYEEVGISCFDDPTFKDMFLSATSHRSSLDAASQDNNTTVKSVHRASASDASRASSHLTDLSFVKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGHNREGARSGRPPSSNAKGERKNKTKPKQKTANISAPSNSTPRDPQVPAKITPSGNGKDSTAAPAAARRDDPANTANDAEIPDLSNLELPGMDVDFGGWLNMDDDDGLQDLDLMGLEIPMDDINEINLMI >PVH61348 pep chromosome:PHallii_v3.1:3:862671:864336:1 gene:PAHAL_3G015700 transcript:PVH61348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHFITRHACGCGPERRRRRSPPPPERPDPDPAPPVHRRRVPVQPVHGHVAPHLHVHRPRPQHLPEAQPHGHPRLHRQRHGARGPLRGEPPRLLLAQPHAPAPHRRREHRRVPRRLPERGPRPRGEPLPGVGDRDAPAAAVVHADLPVRAVVRAHGGVELGGQRGRREGAVEAADGEGGDGEGGRAGAEDEVGGAGEGRGEEEQEEERGAGAAAGAAEEAAPGRARGELLVAARAVRGRDAEDLVLGHLDDVGAGRRRGRAVEGRVQRRGLRGRRAGGTGGRGVGVGGWLIGSSRRRRRHGVDAVGHGGRVMVKQAAGLLRAD >PVH63246 pep chromosome:PHallii_v3.1:3:63397171:63400398:1 gene:PAHAL_3G504400 transcript:PVH63246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAGKPKLPPPSSSNGRRKKRSLASVMGNDDDQQYIIPLYVEPPLLLIDQKHWMAESELILPKKDKDQEVCQQQEQEQEEEKCEKGALVQFRQERLVKRCSNCLSCETPRWRNGPSGIQMLCNACGLRLKPENRFATISEENYDQETKKEQDPGKRRDKKKKMIKKTYVSEELSSEKPEKRCTHCMSSKTPQWRSGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSCLHSNSHKKVMQMRQGIAYKE >PAN21980 pep chromosome:PHallii_v3.1:3:63397171:63400398:1 gene:PAHAL_3G504400 transcript:PAN21980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHPANAAADSLGDLFPHQAAALESDESNIEWLSGYVEDCFSSSTSYTNPAFAISAPTMANQGAGKPKLPPPSSSNGRRKKRSLASVMGNDDDQQYIIPLYVEPPLLLIDQKHWMAESELILPKKDKDQEVCQQQEQEQEEEKCEKGALVQFRQERLVKRCSNCLSCETPRWRNGPSGIQMLCNACGLRLKPENRFATISEENYDQETKKEQDPGKRRDKKKKMIKKTYVSEELSSEKPEKRCTHCMSSKTPQWRSGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSCLHSNSHKKVMQMRQGIAYKE >PVH62424 pep chromosome:PHallii_v3.1:3:19622598:19627025:-1 gene:PAHAL_3G289200 transcript:PVH62424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSPPAPAPLGAEDLADARLAPWPASPWPAPRRDGGGGRMNPLFTILPVSALAIGLVLLVAVAVILVATRRARPRKVDAGGSCNGDGKPGAPTSSCSSHNTRCGYAAAAGVGCIYAGRLGFSAPPPPRSRGAQVFTYRELERATDGFSEGNVVGRGASGAVFRGRLADGTPAAIKRLRLDHRRQGEREFRIEVDLLSRMDSPYLVGLLGYCADQSHRLLVFEFMPNGSLRSHLHPSASGGGGARQPLDWATRLGIALDCARALEFLHEHSSPAVIHRDFNCSNVLLDRNLRARVSDFGMAKLGSNKADGQVVTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTRRPPGQHVLVSWALPRLTNRQKLVQMVDPALQGQFALKDLVQVAAIAAMCVQTKAEYRPLMTDVVQSLIPIAKPTPAMSCSSTPIRAALEHVIFMGSSHCGSKTSS >PVH62719 pep chromosome:PHallii_v3.1:3:42369326:42382968:-1 gene:PAHAL_3G378100 transcript:PVH62719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGRPFSIMDFIWNELRRTMNDPHKFLPSAPYLMYMIERVTKVSFPKDCKHATLHLRPHSGDAPHAPPLHASATRNPRFDPAPSHSGVSSSSCHGHHDSFIKRALKSIFSMCKTATHEINENRHDIIEIKSHLGLPVDPYDELPEIDEPFIEWDVVDDAAVAAAHAPLPRPC >PAN20540 pep chromosome:PHallii_v3.1:3:26418416:26418996:1 gene:PAHAL_3G330100 transcript:PAN20540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSGNGGRLNPWAEPFVPSGVRYRGLQTAAEVEQEVEDFSPEWWRLVAASPAFRDRWLRDYGALGLLDDEGGPDEDAEVVDSFLPDELFSPPPPRQESEREEGAAGKRGGSGGLEVAAWGIDKWWRAHCGPPEAPRYAEKAPRRVAGGARVSPRPIQQPR >PAN18207 pep chromosome:PHallii_v3.1:3:12201524:12204940:1 gene:PAHAL_3G185900 transcript:PAN18207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKVKSRSSKSKDLDDSRGEKRKSDSRNHSSSSRVEQEGITYSNSYSDQEDGLGDDEIERFLHSRVKRGRGAVGSRMDEPGPYLDLLSHHKDEPSPDIRVEEKWERRVQGPEKPSFLRSKSPDDYWHKEVLDGKPSSSDPQSKKEKKRKSEKKDKRDKNKEKYKKKSKNCNHHRHKSQRRE >PVH62025 pep chromosome:PHallii_v3.1:3:12201655:12204872:1 gene:PAHAL_3G185900 transcript:PVH62025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKVKSRSSKSKDLDDSRGEKRKSDSRNHSSSSRVEQEGITYSNSYSDQEDGLGDDEIERFLHSRVKRGRGAVGSRMDEPGPYLDLLSHHKDEPSPDIRVEEKWERRVQGPEKPSFLRSKSPDDYWHKEVLDGKPSSSDPQSKKEKKRKSEKKDKRDKNKEKYKKKSKNCNHHRHKSQRRE >PVH62304 pep chromosome:PHallii_v3.1:3:17449980:17451766:1 gene:PAHAL_3G263200 transcript:PVH62304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATPKTSWFSLDWPVYEIRETFFSYLAENQYAKLSSTPVIPIDDPKVPLIHTCLNRLKGALNGTGSHRTCFSLRCIVTSSDDVIEHFRSDATYHRFTEILGSWSSGDYFKEEAIGLLHSLLSKKYGLPESIIHATYFSGDTSSGLSPDNESKTALQKCIGEERILPSMSKEDFWMSGETGPCGPYVGIFVDGSNSQDGVNGKFIEISRTVFVEFNRQADGVLSPLQAKHIITGINLQCLAAILQKKESLYELDDYDNIIDCISSRAGEEFDSYSGKVGEADTDGVDTAYRLLADHMRMISVTNAPGSQLGKCVMFRLVTNVNNYNISVWLVC >PVH62303 pep chromosome:PHallii_v3.1:3:17450010:17451455:1 gene:PAHAL_3G263200 transcript:PVH62303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATPKTSWFSLDWPVYEIRETFFSYLAENQYAKLSSTPVIPIDDPKVPLIHTCLNRLKGALNGTGSHRTCFSLRCIVTSSDDVIEHFRSDATYHRFTEILGSWSSGDYFKEEAIGLLHSLLSKKYGLPESIIHATYFSGDTSSGLSPDNESKTALQKCIGEERILPSMSKEDFWMSGETGPCGPYVGIFVDGSNSQDGVNGKFIEISRTVFVEFNRQADGVLSPLQAKHIITGINLQCLAAILQKKESLYELDDYDNIIDCISSVSFHVRFKLPFVANDNWLCNIIDFHGQ >PVH62301 pep chromosome:PHallii_v3.1:3:17449979:17451766:1 gene:PAHAL_3G263200 transcript:PVH62301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATPKTSWFSLDWPVYEIRETFFSYLAENQYAKLSSTPVIPIDDPKVPLIHTCLNRLKGALNGTGSHRTCFSLRCIVTSSDDVIEHFRSDATYHRFTEILGSWSSGDYFKEEAIGLLHSLLSKKYGLPESIIHATYFSGDTSSGLSPDNESKTALQKCIGEERILPSMSKRAGEEFDSYSGKVGEADTDGVDTAYRLLADHMRMISVTNAPGSQLGKCVMFRLVTNVNNYNISVWLVC >PVH62305 pep chromosome:PHallii_v3.1:3:17449980:17451766:1 gene:PAHAL_3G263200 transcript:PVH62305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATPKTSWFSLDWPVYEIRETFFSYLAENQYAKLSSTPVIPIDDPKVPLIHTCLNRLKGALNGTGSHRTCFSLRCIVTSSDDVIEHFRSDATYHRFTEILGSWSSGDYFKEEAIGLLHSLLSKKYGLPESIIHATYFSGDTSSGLSPDNESKTALQKCIGEERILPSMSKFNRQADGVLSPLQAKHIITGINLQCLAAILQKKESLYELDDYDNIIDCISSRAGEEFDSYSGKVGEADTDGVDTAYRLLADHMRMISVTNAPGSQLGKCVMFRLVTNVNNYNISVWLVC >PVH62302 pep chromosome:PHallii_v3.1:3:17449980:17451766:1 gene:PAHAL_3G263200 transcript:PVH62302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATPKTSWFSLDWPVYEIRETFFSYLAENQYAKLSSTPVIPIDDPKVPLIHTCLNRLKGALNGTGSHRTCFSLRCIVTSSDDVIEHFRSDATYHRFTEILGSWSSGDYFKEEAIGLLHSLLSKKYGLPESIIHATYFSGDTSSGLSPDNESKTALQKCIGEERILPSMSKEDFWMSGETGPCGPYVGIFVDGSNSQDGVNGKFIEISRTVFVEASRWRIESFASQTYHNRD >PAN19430 pep chromosome:PHallii_v3.1:3:18204595:18208948:-1 gene:PAHAL_3G272800 transcript:PAN19430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTASAGSSRGVPARVAARGGAGSGSPRASAAATAFAALRRRWRWAPSGASLERAAHAFLLASAALVLSCALYLYVFRYVGRGGRAVDAAGFVGDAGLGLGLGAGGGRCDVFDGTWVPDYTGRHPLYNSSECPFAERGFDCLANGRNDTGYLKWRWKPRRCEVPRFAARAALERLRGKRVVFVGDSMSRTQWESFICMLMTAVDDPRTVFEVNGNEITKIIRHLAVRFSSHSLTVEFFRSVFLVQQHPAPRHAPKRVKSTLRLDRMDNLSRKWLNSDVLIFNTGHWWTPTKLFDTGCYFQAGRSLKLGTSIDAGFRMALETWASWVEKRVDLNRTHVFFRTYEPSHWGDTSQKVCEVTEQPSSEAKGNDKSEFGATLADVVANMKVPITVLNVTLMGAFRSDAHVGTWSYPPTVLDCSHWCLPGVPDAWNELVFSYLLTNGWQNMAG >PAN18124 pep chromosome:PHallii_v3.1:3:11846983:11853034:-1 gene:PAHAL_3G180300 transcript:PAN18124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRTMVWRHQSVNPGWEQGHVQVQSESSYYGGPGIDSSNLGVQVAAGVPGNTANVGICDLRNHERQHVHNSYPHVGVTSSFVFPTAMHNPGMATAAVNIYIPQTQSFGLGNVLPPSLYHQVPAGTIDESSSSVNFGDSASGFIKRKNAVVAGNHHFLHGFAGSSSSAHVPQNPARGPWNASFQSNCLPNSAASNPPEFHSSNACHMGQCNTWIPQAANGVGHGVPQWGYSNSVANPPGTTDMPNGIVQGPLPHFSQNPLHSMQMQVPQIQVSHQQFLGNNVVHGLNPSAAGLPLDPRMLAFPFNSEHTFGHPMHPPLTNQVNSGVLRILPYQNATMLDRSRIHEVGHVIDEHQDMRLDVDNMTYEELVALEEQIGDVNTGLTESYIQENLRSTFYVPRAAGVSDEFSELSSENDACIICQEEYEAEELIGTLECGHQYHATCIKQWLMMKNLCPICKTTALSSDRSNG >PAN18125 pep chromosome:PHallii_v3.1:3:11846983:11853381:-1 gene:PAHAL_3G180300 transcript:PAN18125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRTMVWRHQSVNPGWEQGHVQVQSESSYYGGPGIDSSNLGVQVAAGVPGNTANVGICDLRNHERQHVHNSYPHVGVTSSFVFPTAMHNPGMATAAVNIYIPQTQSFGLGNVLPPSLYHQVPAGTIDESSSSVNFGDSASGFIKRKNAVVAGNHHFLHGFAGSSSSAHVPQNPARGPWNASFQSNCLPNSAASNPPEFHSSNGRPFLEGPSADVPSSFSSMAARPELVSHGNYVFPACHMGQCNTWIPQAANGVGHGVPQWGYSNSVANPPGTTDMPNGIVQGPLPHFSQNPLHSMQMQVPQIQVSHQQFLGNNVVHGLNPSAAGLPLDPRMLAFPFNSEHTFGHPMHPPLTNQVNSGVLRILPYQNATMLDRSRIHEVGHVIDEHQDMRLDVDNMTYEELVALEEQIGDVNTGLTESYIQENLRSTFYVPRAAGVSDEFSELSSENDACIICQEEYEAEELIGTLECGHQYHATCIKQWLMMKNLCPICKTTALSSDRSNG >PAN18128 pep chromosome:PHallii_v3.1:3:11846983:11853437:-1 gene:PAHAL_3G180300 transcript:PAN18128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRTMVWRHQSVNPGWEQGHVQVQSESSYYGGPGIDSSNLGVQVAAGVPGNTANVGICDLRNHERQHVHNSYPHVGVTSSFVFPTAMHNPGMATAAVNIYIPQTQSFGLGNVLPPSLYHQVPAGTIDESSSSVNFGDSASGFIKRKNAVVAGNHHFLHGFAGSSSSAHVPQNPARGPWNASFQSNCLPNSAASNPPEFHSSNACHMGQCNTWIPQAANGVGHGVPQWGYSNSVANPPGTTDMPNGIVQGPLPHFSQNPLHSMQMQVPQIQVSHQQFLGNNVVHGLNPSAAGLPLDPRMLAFPFNSEHTFGHPMHPPLTNQVNSGVLRILPYQNATMLDRSRIHEVGHVIDEHQDMRLDVDNMTYEELVALEEQIGDVNTGLTESYIQENLRSTFYVPRAAGVSDEFSELSSENDACIICQEEYEAEELIGTLECGHQYHATCIKQWLMMKNLCPICKTTALSSDRSNG >PAN16680 pep chromosome:PHallii_v3.1:3:4950601:4952599:-1 gene:PAHAL_3G075400 transcript:PAN16680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRAPAAVKPDRWDADDGPDELGVFAAERYFYGDDALWCERSSSSLSSSMFRTGTLEHDRSVVPTPTAGTSSSEASWNSRSALLPVPIEPSDEKLRAVAGAATPVAEAEPCSGAESGRAERRRASSSNSNMRRWLLGMAGCACGRGDGEESVSADEMEAGNDVLGAGGKKCNTEASEVFPQTEMIPEPVFEEDTAVTVRAGSGRWLLEGDKVLAGRDAFFPVEIAGHSHRRAANSLELPMPVVLHPAATASSGERRRVKSLEKFRPLGDDQGSALGPATQNSAFTIVAGNSPRGAADGGGSPGEDDDAAPSELGCAYPPSEASVVWSVVTADGAASGNFSSAASGYYYHYFNYGEDSTLRHAAAKNDHRRRRSGITTTGSSLLMACMNEKAVDAVGPARSVHRPGGRAGCRGEAGSCRRQPERPWWRVPTRRDAPPGR >PVH62877 pep chromosome:PHallii_v3.1:3:54893787:54894308:-1 gene:PAHAL_3G420700 transcript:PVH62877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSASSSSSASASSAISFEFESSQELTPEYDPIAAYEILAPLHWDAGEWDFQSWSEDDESLTDGEDLLLLLGDELEEDDEDDASWQEGLSSSEEKADSSSTEEDSVTGNFLLVGSSEDVDQDDEETEDDGSFTSSNNGDDGSDKNSSSDDSNVSMAPPTKRRKTSGVYW >PAN17629 pep chromosome:PHallii_v3.1:3:9377774:9380521:-1 gene:PAHAL_3G145100 transcript:PAN17629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKCSSCGNNGHNSRTCSGHRGHESSISTSSNSSASTSCGGLRLFGVQLQIASPPLKKCLSMECLSPAAYYGAAAASSLSPSVSSSSSSLVSIEESAERVSSGYTSDGLMGRIQERKKGVPWTEEEHRMFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSFTQKRRRSSLFDAVEGAKKVAMPRIASVSELQFPSLSPRSVDARTKGAMMVPPCLNLMSSTSPCAGGGRASQPQHPSSMNLMAKPQVQLQMPDLELKMSTSRLSDQPGPSRSMPFFGTVRVT >PVH63025 pep chromosome:PHallii_v3.1:3:59175101:59178802:-1 gene:PAHAL_3G455800 transcript:PVH63025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRREAAASLHRYLAWFVAHDGTERTLSLVAEKARQHGALGSADLACALRACRGRADRWPRVLEVHAASVVRGLGGDRLIGNLLIDLYAKNGLLRWARRVFEDLSARDHVSWVAMLSGYAQNGLGIEALGLFRLMHRSAVVPTPYVLSSVLSACTKAVLFAQGRLIHAQVYKQGFCSETFVGNALIALYLRFGSFKLAERVFSDMPFCDRVTFNTLISGHAQCEHGERALEIFDEMQSSGLRPDCVTIASLLAACASLGDLHNGKQLHAYLLKAGMSLDYITEGSLLDLYVKCGDIETAHEIFNSGDRTNVVLWNLMLVVYGQISDLEKSFEIFCQMQAAGIRPNQFTYPCILRTCTCSGQIELGEQIHSLSIKNGFESDMYVSGVLIDMYSKYGWLDKARRILEMLGKKDVVSWTSMIAGYVQHGLCEEALATFKEMQDCGIWPDNIGLASAASACAGIKAMCQGLQIHARVYVSGYAADISIWNTLVNLYARCGRSEEAFSLFRAIEHKDEITWNGLVSGFGQSGLYEQALKVFKQMGQAGAKYNVFTFVSSISASANLADIKQGKQVHCRAIKTGHTSETEVSNALISLYGKCGSIEDAKMEFSEMSERNEVSWNTIITSCSQHGRGLEALDLFDQMKQEGLKPNDVTFIGVLAACSHVGLVEEGLSHFKSMSNEYGITPIPDHYACVVDILGRAGQLDRARTFVEEMPISTDAMVWRTLLSACKVHKNIEIGEIAAKHLLELEPHDSASYVLLSNAYAVTGKWSNRDQVRKMMKDRGVKKEPGRSWIEVKSAVHAFFAGDRLHPLADQIYSFLADLNDRIAKIGYKQDNYHLFHEKEQEQRDPTSFVHSEKLAVAFGLMSLPPCMPLRVIKNLRVCNDCHNWMKFTSDITGREIVLRDVYRFHHFTNGSCSCGDFW >PVH61933 pep chromosome:PHallii_v3.1:3:10467953:10475907:1 gene:PAHAL_3G162100 transcript:PVH61933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFGEGGGEGSGGGDGDDARRGWDPLRSGSAPPTMEGGNAAAALAADAVFGGPAAGSFFSGVDGLGARLDEVSRRRGGVPQDRFGHSVSQSMRPPGLLYNGTTELEDRQFRPNRVDSVGPLANYPTLDVCSHWSDTDTDSVEFRRHVQSCFLSDSEKMNPYGTRDLNAPYLYESDLSDGLSGLRLTNNTVIDERSYEEQLQDEMLKRRQDLSTKLRDDNQCHMDGNFLHTPRSGRMDIHSPLMYGDGILRRQVSALDGSNVSRLNFHRIKDVDHLPFAEELATTGSDNLHRDTNPVRNANMANMINPMSNRYNSTDFEFVRNRKAFLNDVLAQQYLQDSLMIIYHEDPRFPYLRMQRSRSHFQPNSRDIQSDRGRQSSRFFHRRTATGLNLGSQVYHDNSLLKHLDLPLDNVDKNGVDSLELINVVGHVKEVSMDQYGSRFIQQKLDHASASDREKIFPEILSNTVALATDVFGNYVIQKFLEFATESQLIQLSEQLKGHILDLSLQMYGCRVVQKVLEVFDMDQKINVVQELKNSVLKCIADQNGNHVIQKCIECVSEDRIPFVIEPILSEIVDLCTHQYGCRVIQRVLEHCHDPSTQSAIMDKIVQNTSSLTDNKFGNYVVQHVLEHGKPEERSSIIQKLFGQVVNLSKQKFASSVIEKCLTYGTPEERDVIIGEIISSSQIFQELMKDQFGNYVVQRVLQTCDDKDLDMILSSIKLHLNKLMNYTYGKHIVARVEKLLVTGEKRARMAASTSCQNQQSTNCTDADANKHF >PAN16244 pep chromosome:PHallii_v3.1:3:3055368:3058978:1 gene:PAHAL_3G047800 transcript:PAN16244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGDGDKEAFFHALDRVPSGLHLDADFPSDDDDDEDDVRVSFASAMGDQSFQSFRKYQAAVLEEEEEEDEEAEDPSKYDMWMSDEPVSIQERRRRLHQGLGMASSRDLALRRHSMKKRPADVPRSVSRSVSRQLPPPAPAPTTASAPNVVVSTAAQAVAAVPPPPPKKAITRCRSDSNLVVRDDASVSVKPPSQPLRRVRSLPARPDAGDSAPLEKPQAVASRELPVAPLPAGPADKGQKGDGDVKKGDGDGKKGDDGGKNQDSEKEAAVVVAATPKDVPSTTQSGVLGLEEFEKFIGNTPIMKLMRRGTSQHQPAPPGAGVPPKAEKAGNKKKGGWLKNIKSVAIGFIQDKDTAMPKSVSTNASAGAPPPASSSERLKVHQYGKSSKELTGLYMCQEIQAHEGSIWSIKFSADGRRLASAGEDSVVRVWQVVETNAPPSSLALDGGKSGPLAPLPPAAADGSSMPALAQMSKKSTKGKSGRDTLPEHLVIPDKVFALAEQPACVLEGHKDDVLDLTWSKSDQLLSSSMDKTVRLWDTESKACLKTFAHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAVSYTPDGQGAIIGSHKGSCRLYKTTDCKLSAEAQIDIQNKKRKAQAKKITGFQFAPGNPAEVLVTSADSQIRVFDNVTMVQKFRGFKNTSSQITAAYTSDGRYAVCASEDSHVYLWRTTRVPPAPAIGIGMKPKTWCTIRSYENFYCKDVSAAVPWTHAPSPPGAGDGSPSACSPASRDKKQQGMVCNEESCSVAAKLEGGDPAGAAEPNKQGGGKGDSGNAWGLVVVTASLGGEIRVYQNFGMPFRIKGQGNLFY >PVH61499 pep chromosome:PHallii_v3.1:3:3055368:3058010:1 gene:PAHAL_3G047800 transcript:PVH61499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGDGDKEAFFHALDRVPSGLHLDADFPSDDDDDEDDVRVSFASAMGDQSFQSFRKYQAAVLEEEEEEDEEAEDPSKYDMWMSDEPVSIQERRRRLHQGLGMASSRDLALRRHSMKKRPADVPRSVSRSVSRQLPPPAPAPTTASAPNVVVSTAAQAVAAVPPPPPKKAITRCRSDSNLVVRDDASVSVKPPSQPLRRVRSLPARPDAGDSAPLEKPQAVASRELPVAPLPAGPADKGQKGDGDVKKGDGDGKKGDDGGKNQDSEKEAAVVVAATPKDVPSTTQSGVLGLEEFEKFIGNTPIMKLMRRGTSQHQPAPPGAGVPPKAEKAGNKKKGGWLKNIKSVAIGFIQDKDTAMPKSVSTNASAGAPPPASSSERLKVHQYGKSSKELTGLYMCQEIQAHEGSIWSIKFSADGRRLASAGEDSVVRVWQVVETNAPPSSLALDGGKSGPLAPLPPAAADGSSMPALAQMSKKSTKGKSGRDTLPEHLVIPDKVFALAEQPACVLEGHKDDVLDLTWSKSDQLLSSSMDKTVRLWDTESKACLKTFAHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAVSYTPDGQGAIIGSHKGSCRLYKTTGTARIGFMICIVAHCCREMCSLCCD >PAN18613 pep chromosome:PHallii_v3.1:3:13918674:13920752:1 gene:PAHAL_3G214100 transcript:PAN18613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNKLGSLLRHSALTAGAPTSSSPALFNAARLMSTKLFVGGLSWGTNDQSLREAFGSFGEVTEARVITDRETGRSRGFGFVNFSNSDDAKEAVTKMDGQELEGRSVRVNFANERPAGNRGGGGYGGGGYGGGGYGGGGYGGGNQSYGSGGGQDAF >PAN18612 pep chromosome:PHallii_v3.1:3:13918687:13920752:1 gene:PAHAL_3G214100 transcript:PAN18612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNKLGSLLRHSALTAGAPTSSSPALFNAARLMSTKLFVGGLSWGTNDQSLREAFGSFGEVTEARVITDRETGRSRGFGFVNFSNSDDAKEAVTKMDGQELEGRSVRVNFANERPAGNRGGGGYGGGGYGGGGYGGGGYGGGNQSYGSGGGQDAF >PAN18511 pep chromosome:PHallii_v3.1:3:13421276:13425821:-1 gene:PAHAL_3G207100 transcript:PAN18511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSTPRAMAPAAARSRLLLLCLAVAATAGVLRARAQPDSIGFINIDCGLLGTASYVDDATKLAYVPDAAFIDAGTNHNISAEYMTPTLSKRYHNVRSFPDGVRNCYTLRSIVAGLKYLLRATFKYGNYDNLARPPIFDLYIGVNFWTMVNVTDAGSAIMLEAIVLVPDDFVQVCLVNTGSGTPFISGLDLRPLKSTLYPQANATQGLVLYARLNFGPTNSTAIIRYPDDPHDRVWIPWVNTAAWNSVSTTLRVEDIADDDMFEVPTKVLQTAVTPRNASGNIEFSWDPEPQPKDPTPGYVANMHFSELELLPGNATRQFYVNLNGKPWYPKPYKPMYLISDTIYNSNPGRGFPHYNISINATANSTLPPMINAVEVFSVIPTTNVGTDSQDVSAITAIKAKYGVKKNWMGDPCVPKTLAWEGLTCSYAISSPPRITSLNLSFSGLNGDISSSFANLKAVQYIDLSHNNLAGSVPDSLSQLSSLTVLDLTGNKLSGSIPSGLLKRVQDGSLNLRYGDNPNLCTNGKSCQATKTKSKLAIYIAVPVVLVVVIVSVAVLLLCFCRRKKQGPTSNSVKPQNETTVSHAPAPSAGGGSYPQSSLQLENRRFTYKELEMITDSFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTKIHHKNLVSMIGYCKDGDYMALVYEYMSEGSLQEHISGNGRNTGFLSWRQRLRIAMESAQGLEYLHKGCSPPLIHRDVKGANILLNAKLEAKIADFGLTKAYRDDATHMSTNTLVGTLGYVDPEYHTTMQPTTKSDVYSFGVVLLELVTGRPAILRDPEPTSLIQWARQRLARGNIEGVADPRMRGDHDVNSVWKAADVALKCTAQASAQRPTMTEVVAQLQECLELEEARASGGDASGGYYAAAGGGPYSGYNAYAADGQSTDVSQTSTGFEVEHNFARVPTMPTGPAAR >PVH61955 pep chromosome:PHallii_v3.1:3:10740616:10741253:1 gene:PAHAL_3G163300 transcript:PVH61955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRSPLDSAWRKTLMILCSSLV >PAN17602 pep chromosome:PHallii_v3.1:3:9190333:9195074:1 gene:PAHAL_3G142800 transcript:PAN17602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding protein family [Source:Projected from Arabidopsis thaliana (AT1G52080) UniProtKB/TrEMBL;Acc:Q9SAU9] MHKPGGCSTSSGRGGSGGGGPSGGEAKDLPQLLLRVGAAVALSVAGLLVSRRERPPRQLLLPPRSPSSESDDAPNMKARTGLKELRILKNEDTRAKIISGNSVHTTTTTTTTTTTALVPFAPKCRSIADDEGYLLPEFDEMVLKEFGRGIDSIPTTPAARVREGVSNDHEIYKLRDLVRSLQEREKTLELQLMECYGLQEQDAAVRELENQLKISNVESKLYLLKIESLQSENQKLQTQLSDNSKIISELEATRAKCKLLKNKLALDAEQAKEKTTSLQKMVDSLQDKETDEKNNHIEVEKNLKRLEDLEKEATELRAANSRLQQENAHLIRRLELTRLPPVPKPKNSTEVKSLEEADRLKQENEKLTKEIEQLQSDRFADVEELVYLKWINACLRYEVRNKDAPSGKTVARDLSKTLSPKSELKAKQLIMEYANAGVEDNHLGHVEFGSECSSSRASSSGEPDDASIDIASMTKHKNPKKKKFFSKLRKLVLGKGKENRGVSTLERRVSISSCSFDDFTGRDSHDSYSSFMAEPNISDSRRHGDPVFSMHSCLDSVKSCPVGTEIGNERDHSEVKSVCSREERVNAFGHSARLDSGKAIAEDAEIHKFADALITSRSGSMSSRKSSSFRH >PAN17601 pep chromosome:PHallii_v3.1:3:9190333:9194946:1 gene:PAHAL_3G142800 transcript:PAN17601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding protein family [Source:Projected from Arabidopsis thaliana (AT1G52080) UniProtKB/TrEMBL;Acc:Q9SAU9] MHKPGGCSTSSGRGGSGGGGPSGGEAKDLPQLLLRVGAAVALSVAGLLVSRRERPPRQLLLPPRSPSSESDDAPNMKARTGLKELRILKNEDTRAKIISGNSVHTTTTTTTTTTTALVPFAPKCRSIADDEGYLLPEFDEMVLKEFGRGIDSIPTTPAARVREGVSNDHEIYKLRDLVRSLQEREKTLELQLMECYGLQEQDAAVRELENQLKISNVESKLYLLKIESLQSENQKLQTQLSDNSKIISELEATRAKCKLLKNKLALDAEQAKEKTTSLQKMVDSLQDKETDEKNNHIEVEKNLKRLEDLEKEATELRAANSRLQQENAHLIRRLELTRLPPVPKPKNSTEVKSLEEADRLKQENEKLTKEIEQLQSDRFADVEELVYLKWINACLRYEVRNKDAPSGKTVARDLSKTLSPKSELKAKQLIMEYANAGVEDNHLGHVEFGSECSSSRASSSGEPDDASIDIASMTKHKNPKKKKFFSKLRKLVLGKGKENRGVSTLERRVSISSCSFDDFTGRDSHDSYSSFMAEPNISDSRRHGDPVFSMHSCLDSVKSCPVGTEIGNERDHSEVKSVCSREERVNAFGHSARLDSGKAIAEDAEIHKFADALITSRSGSMSSRKSSSFRH >PAN17007 pep chromosome:PHallii_v3.1:3:6427673:6428750:-1 gene:PAHAL_3G101100 transcript:PAN17007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETFLEILLAILLPPLGVFLRYGIGVEFWICLLLTILGYIPGIIYAVYVLVA >PAN21984 pep chromosome:PHallii_v3.1:3:63410294:63416000:-1 gene:PAHAL_3G504600 transcript:PAN21984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIFLCLGSHLFQSSACLALGRPHTAPQRKLHTVTLRASKERKEEAMEAAVVSASGGAMGSLLRKLGELLTAEYKLLKEAKGQIMFLKAELESMYVFLKKISDSEEPDEQDKCWAKEVRELSYDIEDSISEFMLRVERDSSKPHGFKGFITRSTKLLTTMNTRHEIAKEFEGLKIRVKEASERRKRYKIDDTVPKQNNTTIDPRLLALHAETTSLVGVKGPRDQLIQLMDGEGVPAHQLKVLSIVGFGGLGKTTLANEIYHKLEEKFQSRAFVSVSQKPNIRKILRRILSQAGFVAPKDTNIEMWEESELIIALKNFLLDKRYLIVIDDIWDASAWDIIRCALPENTNGSRVITTTRIEAVARACCSNHIECVYKMKALGDQDSRSLFLKRIFGSEDMCPSYLNEVSSEILKKCGGLPLAIITTSSLLANQPNKLKKEQWEYVQKSLGSNFEVSPSLEGMRQILNLSYINLPHYLKTCMLYLGIYPEDYTIDKNDLTRQWVAEGFICRDRGIDPEDIAKSYFNELINRSMIQPVDTDYNGEVISCRVHDMMLDLILHKSREENFITIMDDMQDMTGHQNKIRRISLNLDDATNDTADTAARSVQLSQIRTLARFGTSSQLLSFKLFKHLRVLGVEISGWPNSDPSLDFTGIRHLFQLRFLKIVANGYHVVLPSKIGDLQQLETFDIGNEVDPFRPTRLPKLPSDIFNLSRLLHLAVPGYVILPDRIGNMKSLRTLGQFGLGNSLDSIKGLRELTNLTNLEISCGNHYSKSGYETAVRCWEVVHALENLCNLRHLHIYSRNDLVRSCFDVWRSVPAYLFHLQSFHANYVSCFSRVPKWFGQLHSIYDLVLTVQEVLEDDVGILSQLPSLIHLFLHIRRAPEAKIIIPGGSGLFPVLKHFRIICGRISYLTFEVETMPKLERLELYFNAEGWDRYGAVPAGIEHLPSLKEISVDIGGIGANESDRRAAESALRDTADMHPRRPVANIKVDNGAKWVVDEPEEEEGNGGSSSSST >PAN16505 pep chromosome:PHallii_v3.1:3:4138947:4140830:1 gene:PAHAL_3G064900 transcript:PAN16505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLHLTPAHASSIPDGFLLPADHLRPATAAVAVSLPVIDMSQGRDEVRRAILDAGKEHGFFQVVNHGVPEQVLRDMEAVCHEFFQMPAADKAEFYSEDKSKPNRLFSGTNYETLGERYWRDCLRLVYPLPSGDTKDWPHKPQRLREVVGNYTALARGLAMEILRLLCEGMGLRPDYFVGDISGGRVALDINSYPPCPDPSRTLGLPPHCDRDLITILLPGAVPGLEVAYRGDWIRVQPVPNSFVVNFGLQLEVVTNGTLKSVEHRAATNSAAPRLSVATFIVPLDDCVVGPAEEFVGEGNPPRYRTLRVGDFKRMHNVVNLGSSLNQITNLKNNQQKEI >PVH62498 pep chromosome:PHallii_v3.1:3:21840025:21841275:-1 gene:PAHAL_3G309000 transcript:PVH62498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNVGNPSSMLSRLIRLPVVLVWEKDYLKWLADNRPYLLRNHGGFSIGPERHVELATGTLSMNLEKLKMQMCDMKMGMCQMKIQNGEIKGNIADLKLEVADENKGIGKKKNGVWNW >PAN20684 pep chromosome:PHallii_v3.1:3:31165876:31167558:1 gene:PAHAL_3G345100 transcript:PAN20684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREQKGGVLHEKLQILRSVTHSHAGDNMSIIADASSYIKDLKQKIAKLNQEIASAEHANVCQPLVGVEVVENGFLINVFMDKCSPGLLGSILEAFDEIGLSVLEARATCAGSFRLQAVGEEEAGDPIDAHAVEQAVIQAIKNCPSK >PVH62891 pep chromosome:PHallii_v3.1:3:55444085:55448199:-1 gene:PAHAL_3G424500 transcript:PVH62891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFATASRARRPGAPTSAPPFLDLPLPLLLRPRGLQAPPACWPRRTARPMLTHAAGGGAHGSACGAWARRGSGREGRAAPAARATSALLSHPSLTLDPVLQPQKSKGTKPGCSPPARAPTLSAATSRSAAASRRGGGGYGCRRLCCRPGPAPARPAPVAATAVCGRVQKGDHRNVSHQLAATLVTFLSRATAYAHHPSAPTPLRRDSARKQTEADKQHGNATTDRLENSRSSTPGS >PVH62893 pep chromosome:PHallii_v3.1:3:55441855:55448220:-1 gene:PAHAL_3G424500 transcript:PVH62893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFATASRARRPGAPTSAPPFLDLPLPLLLRPRGLQAPPACWPRRTARPMLTHAAGGGAHGSACGAWARRGSGREGRAAPAARATSALLSHPSLTLDPVLQPQKSKGTKPGCSPPARAPTLSAATSRSAAASRRGGGGYGCRRLCCRPGPAPARPAPVAATAVCGRVQKGDHRNVSHQLAATLVTFLSRATAYAHHPSAPTPLRRDSARKQTEADKQHGNATTDRLENSRSSTPGS >PVH62892 pep chromosome:PHallii_v3.1:3:55442034:55448182:-1 gene:PAHAL_3G424500 transcript:PVH62892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFATASRARRPGAPTSAPPFLDLPLPLLLRPRGLQAPPACWPRRTARPMLTHAAGGGAHGSACGAWARRGSGREGRAAPAARATSALLSHPSLTLDPVLQPQKSKGTKPGCSPPARAPTLSAATSRSAAASRRGGGGYGCRRLCCRPGPAPARPAPVAATAVCGRVQKGDHRNVSHQLAATLVTFLSRATAYAHHPSAPTPLRRDSARKQTEADKQHGNATTDRLENSRSSTPGS >PAN19500 pep chromosome:PHallii_v3.1:3:18572160:18576616:-1 gene:PAHAL_3G277600 transcript:PAN19500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESARPSRMPIKSHRPDWKSELRTNCLQRVKKDRTNLLWKIRAQGRLPANDMNKVESAVRNIISDEIEKLKQSNEGQKDQEMDVIWEYQGPQAAKPAAMESEDILLEMERLLYEDLREELIRKELEALDEEDAYLAQAVFDHMQLNDNGVAENVKVWCPVCKQGELRDTHNLIYCTLCKLRLDLGEDKITLEFLRERLANAHTEHFDRGCKSAPKFCLQTMFGLTALYMQCEECRTFDIVV >PAN19499 pep chromosome:PHallii_v3.1:3:18572160:18576635:-1 gene:PAHAL_3G277600 transcript:PAN19499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESARPSRMPIKSHRPDWKSELRTNCLQRVKKDRTNLLWKIRAQGRLPANDMNKVESAVRNIISDEIEKLKQSNEGQKDQEMDVIWEYQGPQAAKPAAMESEDILLEMERLLYEDLREELIRKELEALDEEDAYLAQAVFDHMQLNDNGVAENVKVWCPVCKQGELRDTHNLIYCTLCKLRLDLGEDKCEECRTFDIVV >PVH62636 pep chromosome:PHallii_v3.1:3:31343899:31345127:-1 gene:PAHAL_3G345500 transcript:PVH62636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKEDWTRMLPKSSSQKTLRAAMQEERATMASRLLSKDPSSVSNPSFRVYYGVASAGSVPFMWESAPGTPKNSISDTTLPPLTPPPSYYSKKGAAAKTKFSKSQSSKKLLSSSKPASFVQSILPKLRRSHTMPSRSPTSAPPSKDGAQVQCTRSRSRLLASPRSSFSSNSRGDEDDDGGAASSPTSTLCFRTRHSGGGTGRLHGLLASVVGGQGTAAS >PAN17308 pep chromosome:PHallii_v3.1:3:7657495:7659678:-1 gene:PAHAL_3G120500 transcript:PAN17308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESANNDAPIATNNQPTTEEVTVERAATQEEEEERLRYLEFVQQAAAQALVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHAVPLDLLKFLDRKVGESVEEIDRRVPPVVKEAPTLARSAAKEVRQAGLVGTATGLAKSAIARAEPKARELYTRYEPVAERRAAEAWVALNRLPLVPSVTRAVLPTAAQLSAKYNSAVMDGAKRGNTVATYLPLVPTERLAKVFGCPVVDAAPVPEMQPIPSQ >PVH62449 pep chromosome:PHallii_v3.1:3:20216974:20219572:1 gene:PAHAL_3G296000 transcript:PVH62449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDV >PAN20220 pep chromosome:PHallii_v3.1:3:37058258:37072839:-1 gene:PAHAL_3G361100 transcript:PAN20220 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPVPKDGSPAKKRPTAATDSGGAPAAAGAGGEEGSPGQEPRKFLTWNANSLLLRMKSDWPAFSQFVARLDPDVICIQEVRMPAAGSKEAPKNPSELKDDTSSSRNEKQIVLRALSSSPFKDYHVWWSLSDSKYAGTAMFIKKKFEPKEVSFNLDRKSSKHETDGRVIIVEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQRIDKPLIWCGDLNVSHEEIDVSHPDFLISAKLNGYTPPNKEDCGQPGFTLAERHRFGNILTQGKLVDAYRHLHKEKDVDRGFSWSGHPIGKYRGKRMRIDYFIVSEQLKDRIISCEMHGHGIELEGVIVGLELIPIIGRYGTGARLSLAP >PAN20219 pep chromosome:PHallii_v3.1:3:37057604:37072839:-1 gene:PAHAL_3G361100 transcript:PAN20219 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPVPKDGSPAKKRPTAATDSGGAPAAAGAGGEEGSPGQEPRKFLTWNANSLLLRMKSDWPAFSQFVARLDPDVICIQEVRMPAAGSKEAPKNPSELKDDTSSSRNEKQIVLRALSSSPFKDYHVWWSLSDSKYAGTAMFIKKKFEPKEVSFNLDRKSSKHETDGRVIIVEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQRIDKPLIWCGDLNVSHEEIDVSHPDFLISAKLNGYTPPNKEDCGQPGFTLAERHRFGNILTQGKLVDAYRHLHKEKDVDRGFSWSGHPIGKYRGKRMRIDYFIVSEQLKDRIISCEMHGHGIELEGFYGSDHCPVSLELSKAATEAP >PAN20221 pep chromosome:PHallii_v3.1:3:37060653:37072839:-1 gene:PAHAL_3G361100 transcript:PAN20221 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPVPKDGSPAKKRPTAATDSGGAPAAAGAGGEEGSPGQEPRKFLTWNANSLLLRMKSDWPAFSQFVARLDPDVICIQEVRMPAAGSKEAPKNPSELKDDTSSSRNEKQIVLRALSSSPFKDYHVWWSLSDSKYAGTAMFIKKKFEPKEVSFNLDRKSSKHETDGRVIIVEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQRIDKPLIWCGDLNVSHEEIDVSHPDFLISAKLNGYTPPNKEDCGQPGFTLAERHRFGNILTQ >PAN20222 pep chromosome:PHallii_v3.1:3:37062929:37072839:-1 gene:PAHAL_3G361100 transcript:PAN20222 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPVPKDGSPAKKRPTAATDSGGAPAAAGAGGEEGSPGQEPRKFLTWNANSLLLRMKSDWPAFSQFVARLDPDVICIQEVRMPAAGSKEAPKNPSELKDDTSSSRNEKQIVLRALSSSPFKDYHVWWSLSDSKYAGTAMFIKKKFEPKEVSFNLDRKSSKHETDGRVIIVEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQRIDKPLIWCGDLNVSHEEIDVSHPDFLISAKLNGYTPPNKEVHEDNELM >PAN21351 pep chromosome:PHallii_v3.1:3:59595382:59596150:1 gene:PAHAL_3G458600 transcript:PAN21351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKSPASLPAALFLAAAALVLAASANQAQARPPAPAPAPAPSQAACPSGFSNVLAYLFAVPKYEAMGVFLSLSLYPPSSGLPGVVVARNTCVCYLENTLNPLGRVDCVSYSP >PAN16579 pep chromosome:PHallii_v3.1:3:4451764:4455462:1 gene:PAHAL_3G069900 transcript:PAN16579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLWKEKGSAFLQQRYFCFQIVRQCTDEDRDQGGLVAASVLADLQSKLHNLVEADGQSLRWNYAIFWQLARTKSGAVVLGWGDGSCREPHDGEIGFATSVGAGDASSVTRQRVRKRVLQRLHTAFAGADEEDYAPGIDQVTDTEIFFLASMYFSFPRHVGGPGKVFAAGKPLWIPNNDLKVFPANYCYRGFLANAAGFKTIVLVPFKAGVLEVGSIQNVSESAEALQTIRSLFLGTHSTSTASEKHEDNISVQISPGSTKIFGKDLSISQPSAIKAADPSKVDGGSRDEQKSSGGDCMLLPNLRKGLQNFTWSQARGLNSHQQKFGNGILVVTSETKQIGDGSAPSTGVSSFQLQKPQQILTQQPLQPRGPMQIDFRVGSSSKFGVLISQKAMLDGGNGDIDGLFKDEREDRQPRKRERKSTNGREEQPLSHVEAERQRREKLNKRFCALRAIVPNISKMDKASILEDAVTHITDLKKKLEKMEAEREKLLELGKVDTDEQTTRPDVDIQVAHGEVLVRVVSQIDNHPIKKVLQAFEEAEVKIGESKVTSNDGTVVHSFVIKSPSSEQHTRNKLLASISNAARSL >PAN16584 pep chromosome:PHallii_v3.1:3:4451777:4455462:1 gene:PAHAL_3G069900 transcript:PAN16584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEIKEVSIGGFWNEEDKVLCASVLGLDAFTYLTKCGGAISEGLVAASVLADLQSKLHNLVEADGQSLRWNYAIFWQLARTKSGAVVLGWGDGSCREPHDGEIGFATSVGAGDASSVTRQRVRKRVLQRLHTAFAGADEEDYAPGIDQVTDTEIFFLASMYFSFPRHVGGPGKVFAAGKPLWIPNNDLKVFPANYCYRGFLANAAGFKTIVLVPFKAGVLEVGSIQNVSESAEALQTIRSLFLGTHSTSTASEKHEDNISVQISPGSTKIFGKDLSISQPSAIKAADPSKVDGGSRDEQKSSGGDCMLLPNLRKGLQNFTWSQARGLNSHQQKFGNGILVVTSETKQIGDGSAPSTGVSSFQLQKPQQILTQQPLQPRGPMQIDFRVGSSSKFGVLISQKAMLDGGNGDIDGLFKDEREDRQPRKRERKSTNGREEQPLSHVEAERQRREKLNKRFCALRAIVPNISKMDKASILEDAVTHITDLKKKLEKMEAEREKLLELGKVDTDEQTTRPDVDIQVAHGEVLVRVVSQIDNHPIKKVLQAFEEAEVKIGESKVTSNDGTVVHSFVIKSPSSEQHTRNKLLASISNAARSL >PAN16582 pep chromosome:PHallii_v3.1:3:4451762:4455462:1 gene:PAHAL_3G069900 transcript:PAN16582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEIKEVSIGGFWNEEDKVLCASVLGLDAFTYLTKCGGAISEGLVAASVLADLQSKLHNLVEADGQSLRWNYAIFWQLARTKSGAVVLGWGDGSCREPHDGEIGFATSVGAGDASSVTRQRVRKRVLQRLHTAFAGADEEDYAPGIDQVTDTEIFFLASMYFSFPRHVGGPGKVFAAGKPLWIPNNDLKVFPANYCYRGFLANAAGFKTIVLVPFKAGVLEVGSIQNVSESAEALQTIRSLFLGTHSTSTASEKHEDNISVQISPGSTKIFGKDLSISQPSAIKAADPSKVDGGSRDEQKSSGGDCMLLPNLRKGLQNFTWSQARGLNSHQQKFGNGILVVTSETKQIGDGSAPSTGVSSFQLQKPQQILTQQPLQPRGPMQIDFRVGSSSKFGVLISQKAMLDGGNGDIDGLFKDEREDRQPRKRERKSTNGREEQPLSHVEAERQRREKLNKRFCALRAIVPNISKMDKASILEDAVTHITDLKKKLEKMEAEREKLLELGKVDTDEQTTRPDVDIQVAHGEVLVRVVSQIDNHPIKKVLQAFEEAEVKIGESKVTSNDGTVVHSFVIKSPSSEQHTRNKLLASISNAARSL >PAN16580 pep chromosome:PHallii_v3.1:3:4453305:4455092:1 gene:PAHAL_3G069900 transcript:PAN16580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEIKEVSIGGFWNEEDKVLCASVLGLDAFTYLTKCGGAISEGLVAASVLADLQSKLHNLVEADGQSLRWNYAIFWQLARTKSGAVVLGWGDGSCREPHDGEIGFATSVGAGDASSVTRQRVRKRVLQRLHTAFAGADEEDYAPGIDQVTDTEIFFLASMYFSFPRHVGGPGKVFAAGKPLWIPNNDLKVFPANYCYRGFLANAAGFKTIVLVPFKAGVLEVGSIQNVSESAEALQTIRSLFLGTHSTSTASEKHEDNISVQISPGSTKIFGKDLSISQPSAIKAADPSKVDGGSRDEQKSSGGDCMLLPNLRKGLQNFTWSQARGLNSHQQKFGNGILVVTSETKQIGDGSAPSTGVSSFQLQKPQQILTQQPLQPRGPMQIDFRVGSSSKFGVLISQKAMLDGGNGDIDGLFKDEREDRQPRKRERKSTNGREEQPLSHVEAERQRREKLNKRFCALRAIVPNISKMDKASILEDAVTHITDLKKKLEKMEAEREKLLELGKVDTDEQTTRPDVDIQVAHGEVLVRVVSQIDNHPIKKVLQAFEEAEVKIGESKVTSNDGTVVHSFVIKSPSSEQHTRNKLLASISNAARSL >PAN16583 pep chromosome:PHallii_v3.1:3:4451764:4455462:1 gene:PAHAL_3G069900 transcript:PAN16583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLWKEKGSAFLQQRYFCFQIVRQCTDEDRDQGGLVAASVLADLQSKLHNLVEADGQSLRWNYAIFWQLARTKSGAVVLGWGDGSCREPHDGEIGFATSVGAGDASSVTRQRVRKRVLQRLHTAFAGADEEDYAPGIDQVTDTEIFFLASMYFSFPRHVGGPGKVFAAGKPLWIPNNDLKVFPANYCYRGFLANAAGFKTIVLVPFKAGVLEVGSIQNVSESAEALQTIRSLFLGTHSTSTASEKHEDNISVQISPGSTKIFGKDLSISQPSAIKAADPSKVDGGSRDEQKSSGGDCMLLPNLRKGLQNFTWSQARGLNSHQQKFGNGILVVTSETKQIGDGSAPSTGVSSFQLQKPQQILTQQPLQPRGPMQIDFRVGSSSKFGVLISQKAMLDGGNGDIDGLFKDEREDRQPRKRERKSTNGREEQPLSHVEAERQRREKLNKRFCALRAIVPNISKMDKASILEDAVTHITDLKKKLEKMEAEREKLLELGKVDTDEQTTRPDVDIQVAHGEVLVRVVSQIDNHPIKKVLQAFEEAEVKIGESKVTSNDGTVVHSFVIKSPSSEQHTRNKLLASISNAARSL >PAN17714 pep chromosome:PHallii_v3.1:3:9742947:9744218:-1 gene:PAHAL_3G151000 transcript:PAN17714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRQLLPLLAVLVFAAACSVAAQPATAEAAPESTPGAGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHPSTTPLPAAIPIAPSPGNDAAAPPQGGVPKPVIESLPFFRFATLRGARQGMECSVCLARFDDADHLRLLPRCRHAFHLACVDRWLESNASCPLCRARVDADDASLGLKYPSSARIVFGEDGLSSGRFDDDAASGRDLLDIFVERVPSARFAGAAGPKQADEEAPARAPSPELDRHKHRIIVSDVVFKSRWSELNSAALIGLDTEMMRSMSSGRFSLPDSPEFNEAKLSTGATDEEVGGGAPSTRGETTERKRLLVDGRGGGWCSRSAAVDAVPAAASAASRMISSGVRSMSEIVSLPRLRGAARERLLEEENRRWLPIARRTARWFAGRARGEEAEPGAAGVHVVAAHV >PVH62684 pep chromosome:PHallii_v3.1:3:38690456:38690971:1 gene:PAHAL_3G367800 transcript:PVH62684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSVSSNSPSSSFIISITSPDSNTSREATPEFDPIASYEAHAPLHWDVEEWDYNTWSEDDEPLTDDEDLQILLHGDLDEDDDEDSWDDDFLSFSEEDAKETSIDDDSLAGGFLRGGSSTSEGDGDTSDDTSDDGCDSSSTGCGDGSSDDDTSASPPYKRRKTLGTYWW >PVH61732 pep chromosome:PHallii_v3.1:3:6849361:6850651:1 gene:PAHAL_3G107300 transcript:PVH61732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDPVDDENPTSHTNNNISSDNKNEQMSRVVSSHDQYTRTVFDFVHTDKTTTKSSRQPRLQGQASVAAPA >PAN17098 pep chromosome:PHallii_v3.1:3:6849362:6850650:1 gene:PAHAL_3G107300 transcript:PAN17098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDPVDDENPTSHTNNNISSISFQDNKNEQMSRVVSSHDQYTRTVFDFVHTDKTTTKSSRQPRLQGQASVAAPA >PAN15785 pep chromosome:PHallii_v3.1:3:54979:56244:-1 gene:PAHAL_3G001000 transcript:PAN15785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWLRRFPHDVVHRKENAGRRTSSTTTWRNRSSSFTARIIRCASSVVDATAKHDDDDDCPLPSSPPPPAPPPPPEDDRVTVVSAQAFSFRELAEAAGNFRQDKLIGEGGFGRVYKGRLPAGEQEEQQRVVAVKQLDRNGRQGNSEFVVEVLMLSMLHHPNLVRLVGYCAEGEQRLLVYEYMALGSLEDHLLLPMRRDDALLPWRTRMRVAHGAARGLEYLHDRAVIYRDLKSSNILLADDYSPRLSDFGLARLLPLPSSSSSSSSSSSSSSGTGRSRVMGTYGYCAPEYLRTGKLSAKSDVYSFGVLLLELITGRRAIDASQPDGEQSLVGWAATMFGDPRRFQELVDPRLVMAMQGPPASELKQAVGVAAMCLQENHALRPVMTDVVIALSFLAASTTHDHHHHHPPPLRPSPDPSYAS >PAN18650 pep chromosome:PHallii_v3.1:3:13950620:13952292:-1 gene:PAHAL_3G214700 transcript:PAN18650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLDSNLALFLLLVASCFVIVRLRLHRPGHNNGGRVLPPSPPGLPIIGNMHQLGRGHHHRKLQALARRHGDIFLLQLGAVPTLVVSSASTAEEVLRNHDHVFCGRPQQHTSRGILYDCRDVAFSPYGERWRQLRRIAVVHLLSVKRVDSLRLLREEEVASLMARIHAASSPEDVGGKLRAVNLSELIVNLSYTVTSRAAFGNKLGGMDPGTFRAMMKEVTDLLETIAVSDMFPRLWWLDWAVGLDARIKRTAGKLDDEGGEGFNLDRIDVKGLILDLFIAGIDTTSKAIEWAMAYLIKNPREMAKVQAEVRQIAAAQGVLEEQLWKMGRLQATLKEAMRLHPPVPLLIPHETIQDIKFHGYDIPAKTRVFINAWAIGRDKESWENAEEFMPERFMHNAIDYNGRDFRFIPFSAGRRGCPGIEFATRLAELALANLLYHFDWELPEGHDVKSFEVVESSGLSPALKSALTLVAKPLQVGTWQTSIGTLSQK >PVH61792 pep chromosome:PHallii_v3.1:3:7975817:7977879:1 gene:PAHAL_3G123000 transcript:PVH61792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSSIASFSLHKDQDQMNTYSFSVHGRDIFDLSMANDQVSHRLLDTFGKKDREILDYLTPDRIVSNGHWNFVYPSILQDNSDLLAKKRRNGFVVPLQYHQEQEKELISCLGISIEIPFMGVLRRNTIFAYFDDPRYRKDKRGSGIVKFRYRTLEEEYRTREEEYRTREEEYRTREEDSEDEYESTENKHRAREGEGEYEILEDEYRTLEDEYETLEDEYGILEDEYRTLEKDSEEEYGSPENEHRTREGEGEYEILEDKYRALEEDLEEEYGSSEDGSEKEYGTLEEDSEEDSEDEYGSPEEDSILKKRVLLSIKEQKNLV >PAN16447 pep chromosome:PHallii_v3.1:3:3870456:3877291:1 gene:PAHAL_3G061400 transcript:PAN16447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTLFPPPPMDEGSSGPPAPAPATLDLAPPPQEPEPPLLRRRPPVRVTSEFDSERRLFSHRFSCRVLDGLAKLRLRVSHAAGGGGIAWGPPDFALLARNFSVVVDPASRGAVLRGTADLAGSLRLRASHNTKEKQGEVSVTANLGDSPCKIELSSLVPPNGLPKATFFFPKGEVSIKEKILDEGDRILSVNGLVKSHVLNGVCTAVYNDNAVNIKYRYKDDEISFIPSISLPSNSLSFAFKRQLTPSDKLSYWYNFDTNYWGATYKHKENKHLKWKAGYESDNRLGYASLWVGDAGGSTKEVPLKAKVHFTLKVPQDNVQNSVVLVHVKKRWDF >PAN20630 pep chromosome:PHallii_v3.1:3:27856235:27856928:1 gene:PAHAL_3G335400 transcript:PAN20630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIGCSRRPCCSRVVQTRGGRHRNTVPDAPDGSPAPLWPRRPRRPRYASFATQPPSRRDASDGSLRRREAGDDLGPRGAACGAYRPCVARRPPAVSVSYFLTKYEVSRCCATRNAAAL >PVH61261 pep chromosome:PHallii_v3.1:3:78477:80946:-1 gene:PAHAL_3G001500 transcript:PVH61261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKRKKMVEREMAVKIVENTFRKMQEPASNVAVSRSHIESGHSVKSKKKSDDSGELNVKVRCPCGNSKPNDSMIKCVDPQCNIRQHVGCVVIPENEKSANSISPDLPSRFYCEMC >PVH61288 pep chromosome:PHallii_v3.1:3:343071:346032:1 gene:PAHAL_3G005300 transcript:PVH61288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATTAPLLLVSSRPYPHRHRHFPANPKPNALPPPLLSLRTSPAAPLVPLPPRRRRNVTAAYGDDDMDDDFGDFDAEDADGVGDDDDVDNEQDYDVDYDRLLAPVKPPPPSSLHGEEGDIAMVAADSFISTQDSASDTVVDYAVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKDFGRYNVTEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRADDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDHSNWF >PAN15827 pep chromosome:PHallii_v3.1:3:1336847:1337859:-1 gene:PAHAL_3G024200 transcript:PAN15827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPIDQLDAAAAASDGRVVTHHQPVFHGRTSSLQETRIERRPYDADAERSSRVITATLIYRPESSRSCKRARLAASSDAVLGLQEAGDGAGTPAAAECAVCLQDFAAEDKLRAMPCSHTFHQDCIFRWLLVNHVCPLCRHALPTQEQDDDEDERRRQEDAIDEIYREFYEVNDRYFGGQYLDPHYGDENHQQESMPPPPVPEEA >PAN22023 pep chromosome:PHallii_v3.1:3:63675306:63678358:-1 gene:PAHAL_3G507800 transcript:PAN22023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLPEAGAGDLRAEDDFRSCCGDEEEWEDTEESFTAGVAKGELDETSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPVLKVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELERPLQLAKEAIGIRYLSPYEVGICPICCEEKLGSQMVKTGCSHTFCYNCLTAYVEEKLLTSKQPIRCPQFRCKYLISASECKSFLPVSSYDSLERAFAEAGTSGMERFYCPFPNCSVLLDLSQHFSRASSSIQSDLSCVECPECHRDICINCGVPWHIMMGCDEYQSLPVEERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMTCWCGHEFCYSCGADYASGVQTCQCVFWDDEAIEPSSAARSSQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDNPCQSPPRCADSYIVDTMKDLHQLPWLERFVSVISDSYNDDYIQ >PAN22024 pep chromosome:PHallii_v3.1:3:63674977:63679617:-1 gene:PAHAL_3G507800 transcript:PAN22024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLPEAGAGDLRAEDDFRSCCGDEEEWEDTEESFTAGVAKGELDETSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPVLKVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELERPLQLAKEAIGIRYLSPYEVGICPICCEEKLGSQMVKTGCSHTFCYNCLTAYVEEKLLTSKQPIRCPQFRCKYLISASECKSFLPVSSYDSLERAFAEAGTSGMERFYCPFPNCSVLLDLSQHFSRASSSIQSDLSCVECPECHRDICINCGVPWHIMMGCDEYQSLPVEERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMTCWCGHEFCYSCGADYASGVQTCQCVFWDDEAIEPSSAARSSQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDNPCQSPPRCADSYIVDTMKDLHQLPWLERFVSVISDSYNDDYIQ >PAN22025 pep chromosome:PHallii_v3.1:3:63675306:63678358:-1 gene:PAHAL_3G507800 transcript:PAN22025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLPEAGAGDLRAEDDFRSCCGDEEEWEDTEESFTAGVAKGELDETSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPVLKVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELERPLQLAKEAIGIRYLSPYEVGICPICCEEKLGSQMVKTGCSHTFCYNCLTAYVEEKLLTSKQPIRCPQFRCKYLISASECKSFLPVSSYDSLERAFAEAGTSGMERFYCPFPNCSVLLDLSQHFSRASSSIQSDLSCVECPECHRDICINCGVPWHIMMGCDEYQSLPVEERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMTCWCGHEFCYSCGADYASGVQTCQCVFWDDEAIEPSSAARSSQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDNPCQSPPRCADSYIVDTMKDLHQLPWLERFVSVISDSYNDDYIQ >PAN22026 pep chromosome:PHallii_v3.1:3:63674977:63679617:-1 gene:PAHAL_3G507800 transcript:PAN22026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLPEAGAGDLRAEDDFRSCCGDEEEWEDTEESFTAGVAKGELDETSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPVLKVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELERPLQLAKEAIGIRYLSPYEVGICPICCEEKLGSQMVKTGCSHTFCYNCLTAYVEEKLLTSKQPIRCPQFRCKYLISASECKSFLPVSSYDSLERAFAEAGTSGMERFYCPFPNCSVLLDLSQHFSRASSSIQSDLSCVECPECHRDICINCGVPWHIMMGCDEYQSLPVEERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMTCWCGHEFCYSCGADYASGVQTCQCVFWDDEAIEPSSAARSSQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDNPCQSPPRCADSYIVDTMKDLHQLPWLERFVSVISDSYNDDYIQ >PAN21519 pep chromosome:PHallii_v3.1:3:60607708:60608746:-1 gene:PAHAL_3G472400 transcript:PAN21519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLTGVAATTARPSSGGGRGRRLRVASMATQKGPKPTPKTVSGTRRSGTTVFPLGEPGPRPATASGKAPVKLLTNVEKLRLLTKAERAGLLSAAERAGLSLSAVERLGLLSKAEELGALSAATDPGTPGALLVLAAPLLAAGPAVVYLVPEEQAWQVALQAVAALVCVVGGAAAVAASTFVSRLQSSSG >PAN18799 pep chromosome:PHallii_v3.1:3:14662721:14664510:1 gene:PAHAL_3G225700 transcript:PAN18799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKQSKKLRVLLIPFFATSHIGPYTDLAVRLASARPGVVEPAVAVTPANVPVVRSILERHGPEACRLVGIATYPFPRVDGLAPGVENLSAAGDDAWRIDDAAVDEALTRPAQEALLRERRPDAVVTDYHFYWNSGIAAELGMPCVAFAVIGPFSLLVMRLLDGAVGDGGSESQVVVVPGLPGPEIQIHVTELPEFLRLPLKPDERLNLGWAGLSRCLGVALNTYLDLEHPYCEMFVRVASLKRGYFVGPVSLPLPPAAAGTSESPCIRWLGSKPSCSVVYVCFGTYAAISADQLDQEAGARAGSVREAVPVGGACRRVRAAGRVGGARRREGHACQRVGAADGDTGPPRGGRVPDALRVELAAGGGGGRRADADVAAGVRPVHRGAAGDGRAQDRGAGLERAAEHEVRGEGGRAGGCRDAGGGEVPGARRRGGGGEGQGAGARGEGLRRRGGRRLVVPRPAPAHR >PAN19687 pep chromosome:PHallii_v3.1:3:19751308:19752122:-1 gene:PAHAL_3G290400 transcript:PAN19687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSALCCWCAHCGAVRRLRAEGDFASCASCGRVLLELRGDAPPAAAAAGLLRQRRCRRKRRREARTVGRGRKGPEVGARSGRGDVSDAESTVLTA >PAN19870 pep chromosome:PHallii_v3.1:3:21095955:21102140:-1 gene:PAHAL_3G304000 transcript:PAN19870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFSKSDPMLVVYTNINGKLEEIGRTEVILNSLEPSWITKATMSYQFEIVQPLIFRIYDVDTKYHHTPVKMLNLSQQDFLGEACCNLSEIVTKFNHSLTLKLRSDCGHGLHGTLTVYAEESDSSRMAIEMTLHCLNLENKDVFSKSDPFLRISKLVETAGPIPICKTEVVTDNLNPVWRPITLTSQQYGSKDNPLLVECFDFDSSGDHELIGAFQTTITQLENLYTSKSGANFYSHKGQRKMKGQLFVDKLQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRIPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDTDRRFPAWGFGAKTQGHVSHCFNLNTATNDCEVVGVEGIMSAYTSSLYSVSLAGPTMFGPVINKAAEIATQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFKQMEILDADNSKRLESSTGRIATRDIVQFVPMREVQGGPISVVQSLLEELPGQFLQYMRTRGIKPQQQALPGHASAPVCPPQQ >PAN19869 pep chromosome:PHallii_v3.1:3:21096041:21102086:-1 gene:PAHAL_3G304000 transcript:PAN19869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSVAGDVRGGMEAVGGGGGGRSHGGAAAAQQGGPNDAVDHFFEARGLRGLYTPVELSFSATKLRNMDAFSKSDPMLVVYTNINGKLEEIGRTEVILNSLEPSWITKATMSYQFEIVQPLIFRIYDVDTKYHHTPVKMLNLSQQDFLGEACCNLSEIVTKFNHSLTLKLRSDCGHGLHGTLTVYAEESDSSRMAIEMTLHCLNLENKDVFSKSDPFLRISKLVETAGPIPICKTEVVTDNLNPVWRPITLTSQQYGSKDNPLLVECFDFDSSGDHELIGAFQTTITQLENLYTSKSGANFYSHKGQRKMKGQLFVDKLQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRIPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDTDRRFPAWGFGAKTQGHVSHCFNLNTATNDCEVVGVEGIMSAYTSSLYSVSLAGPTMFGPVINKAAEIATQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFKQMEILDADNSKRLESSTGRIATRDIVQFVPMREVQGGPISVVQSLLEELPGQFLQYMRTRGIKPQQQALPGHASAPVCPPQQ >PVH62475 pep chromosome:PHallii_v3.1:3:21095750:21101549:-1 gene:PAHAL_3G304000 transcript:PVH62475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFSKSDPMLVVYTNINGKLEEIGRTEVILNSLEPSWITKATMSYQFEIVQPLIFRIYDVDTKYHHTPVKMLNLSQQDFLGEACCNLSEIVTKFNHSLTLKLRSDCGHGLHGTLTVYAEESDSSRMAIEMTLHCLNLENKDVFSKSDPFLRISKLVETAGPIPICKTEVVTDNLNPVWRPITLTSQQYGSKDNPLLVECFDFDSSGDHELIGAFQTTITQLENLYTSKSGANFYSHKGQRKMKGQLFVDKLQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRIPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDTDRRFPAWGFGAKTQGHVSHCFNLNTATNDCEVVGVEGIMSAYTSSLYSVSLAGPTMFGPVINKAAEIATQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFKQMEILDADNSKRLESSTGRIATRDIVQFVPMREVQGGPISVVQSLLEELPGQFLQYMRTRGIKPQQQALPGHASAPVCPPQQ >PAN19871 pep chromosome:PHallii_v3.1:3:21094384:21102294:-1 gene:PAHAL_3G304000 transcript:PAN19871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSVAGDVRGGMEAVGGGGGGRSHGGAAAAQQGGPNDAVDHFFEARGLRGLYTPVELSFSATKLRNMDAFSKSDPMLVVYTNINGKLEEIGRTEVILNSLEPSWITKATMSYQFEIVQPLIFRIYDVDTKYHHTPVKMLNLSQQDFLGEACCNLSEIVTKFNHSLTLKLRSDCGHGLHGTLTVYAEESDSSRMAIEMTLHCLNLENKDVFSKSDPFLRISKLVETAGPIPICKTEVVTDNLNPVWRPITLTSQQYGSKDNPLLVECFDFDSSGDHELIGAFQTTITQLENLYTSKSGANFYSHKGQRKMKGQLFVDKLQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRIPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDTDRRFPAWGFGAKTQGHVSHCFNLNTATNDCEVVGVEGIMSAYTSSLYSVSLAGPTMFGPVINKAAEIATQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFKQMEILDADNSKRLESSTGRIATRDIVQFVPMREVQGGPISVVQSLLEELPGQFLQYMRTRGIKPQQQALPGHASAPVCPPQQ >PAN15662 pep chromosome:PHallii_v3.1:3:331119:332066:-1 gene:PAHAL_3G005000 transcript:PAN15662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTALDADILPTLANNPTDLRGLRSRNQTIRHLRQWAIGQQPLLIPLTSYFSNSLERAARQALRMDIDYKLSEDRIGDFRARVQMCLDWAVEFPEVDPGSGDDSSGGSFDDISVKARSSPPTSPLRAWSQWTSPTPTRKMAPTAAQSELSS >PVH61473 pep chromosome:PHallii_v3.1:3:2658541:2660483:-1 gene:PAHAL_3G041800 transcript:PVH61473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFQTTTPLSHQALANDPKKQSRDGFQKAQPFGPRAILLRKMSDMENVWNELDDGSQHGFGVASCTPYTDLLGCQMTEGQDEATPHCDVQVSNNDTTESPSTGKRPKKAKQGRVSKSTAIRSSSYTPKEDEVICSAYLNVSKDPVVSVNQPSKTYWERICDYYNKNRGMYGQRTISSLQHRWGEISKDTCKFTGFYAEIERKNQSGKNEDDKIKDALQLYNGVLGHSFKFIHCWFILRHEQKWHEFVAEKKQHNKTRPEPSAEPVRPMAPATDTPQINAQNLVRPMGRDSAKRLRSANSSASSTGCLEVLQKIHSDRAKYEERQEEHIKDESKEMVERYERKLRLQEESINFQKDSMNFQKELLTKQVSIQEKMLALQEKERVDKVMMADLDKFPSWVRDYYVIEQKEIAARRLQAGQPSGEK >PAN21470 pep chromosome:PHallii_v3.1:3:60393797:60395861:1 gene:PAHAL_3G469200 transcript:PAN21470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDVLGGMDGLAEEDQMRMPAMVELLQIRDSLKFYYSLVERCFRDCVDTFRRKTLDKQEESCVRGCAEKFMKHSMRVGLRFTEINQGVATPD >PAN16120 pep chromosome:PHallii_v3.1:3:2438129:2443104:-1 gene:PAHAL_3G038000 transcript:PAN16120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGQTQPSRSNFGPRLKLADRLPLRKGRFRSKPMLKIQRECQNHQANMKYMNIVKRSRIVNDQRANVAKQLDEKNPPKDNNVRPQSALLAKNSESKHSGQPTTLSLENSLNLSPMDFKCSKTSRVRNIDLSEKMKMAAPSKSKDDSNATSRCLEQPHHVESSYPTQLNVMASLVHKANNVDLSNLNNGDLGSRSKGWSNPYQGEYINVRKDGTKKSNPIKKRRVSRKSNIATVSGLLVVDNNDPNKEKGRQDEVMTKEKGMIGYRSEIIAMSRNGHKQTSTTKTNEVPNSSSLLVGKKHVQGLRNNLGDNSSHLFGKNHSRLQGNNASFMEGRDCARVSSKHVLSNEFTETNLKLPNRDKLVGGNGKTNNGKCRRRKQPLLEEMLDTHGGIGSDGAVQHDLTCRSMKRWKCIEDTEDEEDNDGDQHATVVEHDEGAHLENLTFVPVMNQGRCTETNEGEVDGGYQNPFGVENDCEGVQRLGTYKSKKKRRKYIETNKYQDEMCDQHQVRGEDGNNELTQAALSKHCVERQCYCCSKPIDKHGWSGIFKIDDKEYISLTGHFSTKSCEKVRKLSLPRLVEVAKVPRLAAWPKIWKASKPTGDSIGLYFFPHEMRHDEELDQLVKEVMDKDLVLRAAIDEAEMLIFPSLLLPERHQRFQTKHYLWAAFKAKEDKGAVIVEQEEKEKQHDSCQLAEVQSEESDQEKILMKCDKPLVLVNKQLPANSIQEVVTCCIQGPTNMRLGREAPEERILRESSHQAVGTRASTAVATDATTVANAATVPSEATSFATNTVQAWKHAHSVFSIVVRQTPDLEPKVQQFIKDMESEGSLVAVMQGEAIGPR >PAN19670 pep chromosome:PHallii_v3.1:3:19633328:19644059:1 gene:PAHAL_3G289300 transcript:PAN19670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEQQKQQPQRPRRKGQKRKLEDEAAAAAIAAAAAAAASSLGSAGADDDNEEEDAGSAAPPEICCRHSHAALAREVRTQVDVLLRCASSWRHADRAAAKRATHVLAELAKNEEVVNAIVEGGAVPALVRHLEEPASAAAAGQEDQQLRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPPLVNLLRRQKNTTNSRVVNSVIKRAADAITNLAHENSNIKTSVRMEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKAQIVQCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLDLLLDLLISMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVQVTSEIAQDVLRAADQYLLEGLKRLCEYTIAKDVNLDNVSDMYDLSEAFHAVSLRHTCILYILEHFNKICTRAGSAQLIQRVIPELRNFLTKALSSRSPSDNTAQT >PVH62425 pep chromosome:PHallii_v3.1:3:19633328:19644059:1 gene:PAHAL_3G289300 transcript:PVH62425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEQQKQQPQRPRRKGQKRKLEDEAAAAAIAAAAAAAASSLGSAGADDDNEEEDAGSAAPPEICCRHSHAALAREVRTQVDVLLRCASSWRHADRAAAKRATHVLAELAKNEEVVNAIVEGGAVPALVRHLEEPASAAAAGQEDQQLRPFEHEVEKGAAFALGLLAPEHQQLIVDAGALPPLVNLLRRQKNTTNSRVVNSVIKRAADAITNLAHENSNIKTSVRMEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKAQIVQCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLDLLLDLLISMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVQVTSEIAQDVLRAADQYLLEGLKRLCEYTIAKDVNLDNVSDMYDLSEAFHAVSLRHTCILYILEHFNKICTRAGSAQLIQRVIPELRNFLTKALSSRSPSDNTAQT >PAN21221 pep chromosome:PHallii_v3.1:3:58747097:58748128:-1 gene:PAHAL_3G450900 transcript:PAN21221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSSAALLLHGRLHSRSPPSKARAFVAPPSNPSRPAASASARPSPARAPLLAAAAAASGGERDNRVQELRVPDSWLTPEGAAQESEWLRETLHRWLDDEYCPEPANVDISRTAARSYRESLAARRSDLGEILLKMAGDLEALSYRESFHGAFSAANAAVRLITQRMEELSGDGP >PAN21139 pep chromosome:PHallii_v3.1:3:58164864:58167397:-1 gene:PAHAL_3G444400 transcript:PAN21139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCPCFGSAQAAEREQRAEADRRESQEARAKAAEAAQRRQEEFDKSAAGRAAKAQMKAMKESKTSNQGEPVLKWQMGS >PVH62975 pep chromosome:PHallii_v3.1:3:58165826:58167206:-1 gene:PAHAL_3G444400 transcript:PVH62975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCPCFGSAQAAEREQRAEADRRESQEARAKAAEAAQRRQEEFDKSAAGRAAKAQMKAMKESKTSNQGEPVLKWQMGS >PVH62976 pep chromosome:PHallii_v3.1:3:58165523:58167397:-1 gene:PAHAL_3G444400 transcript:PVH62976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCPCFGSAQAAEREQRAEADRRESQEARAKAAEAAQRRQEEFDKSAAGRAAKAQMKAMKESKTSNQGEPVLKWQMGS >PAN18060 pep chromosome:PHallii_v3.1:3:11574630:11579135:-1 gene:PAHAL_3G175300 transcript:PAN18060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDEAVATQKTGNTTSSPKDQPAPSPYPDWSTMQAYYGPGVLPPTYFAPAIAPGHPPPYMWGPQPLMPHPFGTPYAAMYPHGAAYPHPLVPMVSNPLSVEPTKSANSKEKSSNKKLKEIDQTAVCAGSGNSKRTMSSSEDYSAEGSSDVNDQKVNKTSRKRSSVDGPGAETSAAARIEGVIAPNHTLANTAILPHHCFPTPVIKPSTTDVANSRAMGATISPSPGVIVPPHTGGPTDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEIGRLTESSEKLRLENSALMVKLKDPELPAPAEPSPNKAAASSTSPRPAAENFLSMIDSTASAPGVSRHTEHGEPKLRQLLDSNPSTDVAAVS >PVH62001 pep chromosome:PHallii_v3.1:3:11575181:11577455:-1 gene:PAHAL_3G175300 transcript:PVH62001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYYGPGVLPPTYFAPAIAPGHPPPYMWGPQPLMPHPFGTPYAAMYPHGAAYPHPLVPMVSNPLSVEPTKSANSKEKSSNKKLKEIDQTAVCAGSGNSKRTMSSSEDYSAEGSSDVNDQKVNKTSRKRSSVDGPGAETSAAARIEGVIAPNHTLANTAILPHHCFPTPVIKPSTTDVANSRAMGATISPSPGVIVPPHTGGPTDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEIGRLTESSEKLRLENSALMVKLKDPELPAPAEPSPNKAAASSTSPRPAAENFLSMIDSTASAPGVSRHTEHGEPKLRQLLDSNPSTDVAAVS >PAN17615 pep chromosome:PHallii_v3.1:3:9278490:9280884:1 gene:PAHAL_3G144000 transcript:PAN17615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKLLAAFLAALAALLAAGGGAGARELRLEMPSAAEMIVDDGMPLIHMLRPLLGSGGRLGLRARVPCDSWRFAVETNSLRDWRTIPARCERYVGNYMMGGHYRSDSRAVIDEAIAYAEGLQLAGKGKEVWVFDIDETTLSNLPYYAKHGFGVEPYNRTLFTAYVMDASAPALPETKRLYDRLLALGIKPVILTGRREDKREATAKNLAAAGYAGYEKLLLKPQDVRVHAVEFKSGERKKLEDAGYVIVGNIGDQWSDLLGAPEGARTFKLPDPMYYIG >PAN16465 pep chromosome:PHallii_v3.1:3:3951694:3955158:1 gene:PAHAL_3G062700 transcript:PAN16465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSWGTHDFDGSEIDNKATSLHNQFSTQLLLSSSNDVTVNREEIATSPCPDKEVPSAILNLPLKSLKQDATEEDAIRWRLEKNGFPFVLSDSECGDSSYDSSLSEQSSTISTPSTPFTVQSDTQSVDLDGTDIWVSSLDLDAEDSALLPGKEQFLDSLSSDFPSPSFSAVRSLQFGPSSSSPGTSERKEASESDEPIFWPFERTAYNSPEFEKFLSLSPRRNTMDMGYAEVRQLNPVLQRLRKNKLSSAKKSIEPHRGSNNSCPKGTKVSSQEKIQKAPAVPSRLSRTTKASAPSSHQVPSNCQRRRPPHLKLAPPRKVSTPKLQTDYPLKETGARGIPNLADKKSRIEELIGLDEFDGHEGIGSDSPDYQFCQWLSPRCHGTTIKHEPSA >PAN16466 pep chromosome:PHallii_v3.1:3:3951620:3955158:1 gene:PAHAL_3G062700 transcript:PAN16466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSWGTHDFDGSEIDNKATSLHNQFSTQLLLSSSNDVTVNREEIATSPCPDKEVPSAILNLPLKSLKQDATEEDAIRWRLEKNGFPFVLSDSECGDSSYDSSLSEQSSTISTPSTPFTVQSDTQSVDLDGTDIWVSSLDLDAEDSALLPGKEQFLDSLSSDFPSPSFSAVRSLQFGPSSSSPGTSERKEASESDEPIFWPFERTAYNSPEFEKFLSLSPRRNTMDMGYAEVRQLNPVLQRLRKNKLSSAKKSIEPHRGSNNSCPKGTKVSSQEKIQKAPAVPSRLSRTTKASAPSSHQVPSNCQRRRPPHLKLAPPRKVSTPKLQTDYPLKETGARGIPNLADKKSRIEELIGLDEFDGHEGIGSDSPDYQFCQWLSPRCHGTTIKHEPSA >PAN17338 pep chromosome:PHallii_v3.1:3:8018626:8026270:1 gene:PAHAL_3G123600 transcript:PAN17338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGDAVMARWLQSAGLQHLAASSAAGGSGGGDYRGGMAGLGGAGAGSVLPSLLMQGYGPQSIEEKQRLYTLLRSLNFNGESAPGSMSEPYTPTAQSFGGGNPVEGFYSPELRGDLGAGLLDLHAMDDTELLSEDVASEPFEPSPFMPKEIDDDEEDVTSGSQQVPVDNYGLATSEKESTARENNVAKIKVVVRKRPLNRKELSRKEEDIITVHDSSFLTVYEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVKDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNTRKEQSTGPTNTSSRESSSAPSYPLPAEAEEIPNQNQEKRPADTHRKGTETFTSNPSVEPDRNSFGMIPSYSNRGREENGAASGFNDRERYDLKSSQTGYTSKAQNLANTQEEEKVTKVSPPRRKAYREDKSDRQSNYAKKDNGPETGRAGYKTQQAKQPQQQQRPPSASASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPSR >PAN16511 pep chromosome:PHallii_v3.1:3:4322421:4323549:1 gene:PAHAL_3G067900 transcript:PAN16511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRRPPASINRHRHMAMSLHSPPPHLSRRSALALHLITRSPPLILALNHYRKMVHRPAAADHQAAGRVVGQAAAAVARGGAGRRHQQPAHPQEVVLRRLLPCNKGKACRFKRSCFSEEDDAASSAMLLLACVVCAPSI >PAN21277 pep chromosome:PHallii_v3.1:3:59098326:59105961:1 gene:PAHAL_3G454800 transcript:PAN21277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHLQEGGPCELEVTGQNGSVVVNGTHIIPGAKVPLRGGDEVIFGRGAKHAYIFEHPLRDTEVTNAALIGTQNSADTGPTILASGCNNLKGALVESAPENQSDFRCPNPPVTLPPSGWQTFKDGLKHGILNPNDIDVTLDHFPYYLSDSTKEILLSSSFPHLEKKFGKSLPTIRSLNQRILLSGPSGSEIYQEKLIKALAKHFDARLLILDSLTLGDTSSNNAKMDDAPCSSRDIEGTSNINTFREGDRVEYIGNGSLNLIPRGPAYGSRGKVVLAFEKNRLSKVGVRFDNPIAYGNDLGGLCEENHGFYCHAFQLRPDSSGGEEVDSVALGKLIEVISEESKSSNLIVLLKDVESLAKSRELHASLRSELPRGVLIIGSHTQADGRNDQSHPGGFYVPSLLSGNQAVLTIVQKTLGTGLHEISRSATNSEEHLNNLFPNKICIKLPQDEAQLSDLKKQLQRDTETLKAKANVLNIRKFLTSREIECNDLQELSIKDRLLTNEDVDKIVGSAIIHHLQHNKPPTDGKMILQIESLKHGLNMLQNTHRGSKRSKNALKDVVTENDFEQEVLSNVLSPNDTGVTFEDIGALDNVKETLRELVMLPLQRPELFSKGQLRKPVKGILLFGPPGTGKTMLAKAVATEAGANFINVSMSVITSKMFGESEKYVKAVFSLASKISPAVIFVDEVDSLLAKRGSQGEHEAMRKIKNEFMVNWDGLRTKEQERILVLGATNRPYDLDDAVIRRFPRRIMVSLPDASNRGKILKVLLSKETLAPDVDLESVANMTEGYSGSDLKNLCVTAAHCPIREILEKEKKEKSSAIAEGRPEPPLYGSEDVRPLRMDDLKSALGQVCASLPPDSVSTNQIVQWNNEFGDGGSRKKETLPYFM >PVH61490 pep chromosome:PHallii_v3.1:3:2953551:2954415:1 gene:PAHAL_3G046100 transcript:PVH61490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALLLLLPIIMVAVPAASAAGGEKSTHIKLYWHDVVSGPSPTAVPVARAPATNASRTGFGAVVVIDDPLTQGPDRKTSKPLGRAQGTYIAAGKDEVSLAMNMNFVFQAGRYNGSTVAIMGRNAVFDAVREMAIVGGTGVFRMARGYAQARTHTLDLKTGDATVEYNLYIKH >PAN20424 pep chromosome:PHallii_v3.1:3:47644344:47650531:1 gene:PAHAL_3G392600 transcript:PAN20424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLCVKNLPKGADERRLREVFSRKGEVTDTKVIRTKDGKSRQFAFIGFRTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPDTPRPWSRHSLKKPEYDSKNKPKAGAVDALPKSTKVQGTAADVRGSKGSVANDPKFQEFLEVMQPRSKAKMWANDTTGTLDTAVKDSVVATKESKRLQKNVPVSENDASSEDSSDEEMKNDSSSEDASEELQTKSKQDNDMTDADFFKSKIKKNWPDSESDDEDSGDNSNSTTDDENSSDESQDADNQFVDLKGGLDKKINVDKDTRMQHTDLQEVEDHDNKESEDLDGRQKETKTHEDEDASSITDEKKLALETGRLFFCNLPYATTEDDLVELCSQYGDVEQAHIVVDKNTKFSTGRGYVLFNLPDSAVRALDELDNSSFQGRLLRVKAAKPVNNKKLESTHATVDMKMNLKQQKWEQKKASEISGDTRAWNSFYMRQDTVVENVARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKSKRSNHVILVKNLPFSTSEEELAAMFQKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVDEDEKNVVGERIVTKAIVDQTVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLSQHFSTKIKSGSLKSVKVKKHVKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNDKDKSSTKLLVRNVAFEATDKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGDTLEELRARTAAQFVDEHSGFQRMSKKRKQSSLVDEGSV >PAN20422 pep chromosome:PHallii_v3.1:3:47644341:47650531:1 gene:PAHAL_3G392600 transcript:PAN20422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLCVKNLPKGADERRLREVFSRKGEVTDTKVIRTKDGKSRQFAFIGFRTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPDTPRPWSRHSLKKPEYDSKNKPKAGAVDALPKSTKVQGTAADVRGSKGSVANDPKFQEFLEVMQPRSKAKMWANDTTGTLDTAVKDSVVATKESKRLQKNVPVSENDASSEDSSDEEMKNDSSSEDASEELQTKSKQDNDMTDADFFKSKIKKNWPDSESDDEDSGDNSNSTTDDENSSDESQDADNQFVDLKGGLDKKINVDKDTRMQHTDLQEVEDHDNKESEDLDGRQKETKTHEDEDASSITDEKKLALETGRLFFCNLPYATTEDDLVELCSQYGDVEQAHIVVDKNTKFSTGRGYVLFNLPDSAVRALDELDNSSFQGRLLRVKAAKPVNNKKLESTHATVDMKMNLKQQKWEQKKASEISGDTRAWNSFYMRQDTVVENVARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKSKRSNHVILVKNLPFSTSEEELAAMFQKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVDEDEKNVVGERIVTKAIVDQTVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLSQHFSTKIKSGSLKSVKVKKHVKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNDKDKSSTKLLVRNVAFEATDKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGDTLEELRARTAAQFVDEHSGFQRMSKKRKQSSLVDEGSV >PAN20425 pep chromosome:PHallii_v3.1:3:47644344:47650531:1 gene:PAHAL_3G392600 transcript:PAN20425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLCVKNLPKGADERRLREVFSRKGEVTDTKVIRTKTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPDTPRPWSRHSLKKPEYDSKNKPKAGAVDALPKSTKVQGTAADVRGSKGSVANDPKFQEFLEVMQPRSKAKMWANDTTGTLDTAVKDSVVATKESKRLQKNVPVSENDASSEDSSDEEMKNDSSSEDASEELQTKSKQDNDMTDADFFKSKIKKNWPDSESDDEDSGDNSNSTTDDENSSDESQDADNQFVDLKGGLDKKINVDKDTRMQHTDLQEVEDHDNKESEDLDGRQKETKTHEDEDASSITDEKKLALETGRLFFCNLPYATTEDDLVELCSQYGDVEQAHIVVDKNTKFSTGRGYVLFNLPDSAVRALDELDNSSFQGRLLRVKAAKPVNNKKLESTHATVDMKMNLKQQKWEQKKASEISGDTRAWNSFYMRQDTVVENVARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKSKRSNHVILVKNLPFSTSEEELAAMFQKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVDEDEKNVVGERIVTKAIVDQTVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLSQHFSTKIKSGSLKSVKVKKHVKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNDKDKSSTKLLVRNVAFEATDKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGDTLEELRARTAAQFVDEHSGFQRMSKKRKQSSLVDEGSV >PAN20423 pep chromosome:PHallii_v3.1:3:47644343:47650532:1 gene:PAHAL_3G392600 transcript:PAN20423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLCVKNLPKGADERRLREVFSRKGEVTDTKVIRTKDGKSRQFAFIGFRTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPDTPRPWSRHSLKKPEYDSKNKPKAGAVDALPKSTKVQGTAADVRGSKGSVANDPKFQEFLEVMQPRSKAKMWANDTTGTLDTAVKDSVVATKESKRLQKNVPVSENDASSEDSSDEEMKNDSSSEDASEELQTKSKQDNDMTDADFFKSKIKKNWPDSESDDEDSGDNSNSTTDDENSSDESQDADNQFVDLKGGLDKKINVDKDTRMQHTDLQEVEDHDNKESEDLDGRQKETKTHEDEDASSITDEKKLALETGRLFFCNLPYATTEDDLVELCSQYGDVEQAHIVVDKNTKFSTGRGYVLFNLPDSAVRALDELDNSSFQGRLLRVKAAKPVNNKKLESTHATVDMKMNLKQQKWEQKKASEISGDTRAWNSFYMRQDTVVENVARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKSKRSNHVILVKNLPFSTSEEELAAMFQKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVDEDEKNVVGERIVTKAIVDQTVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLSQHFSTKIKSGSLKSVKVKKHVKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNDKDKSSTKLLVRNVAFEATDKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGDTLEELRARTAAQFVDEHSGFQRMSKKRKQSSLVDEGSV >PAN20421 pep chromosome:PHallii_v3.1:3:47644344:47650531:1 gene:PAHAL_3G392600 transcript:PAN20421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLCVKNLPKGADERRLREVFSRKGEVTDTKVIRTKDGKSRQFAFIGFRTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPDTPRPWSRHSLKKPEYDSKNKPKAGAVDALPKSTKVQGTAADVRGSKGSVANDPKFQEFLEVMQPRSKAKMWANDTTGTLDTAVKDSVVATKESKRLQKNVPVSENDASSEDSSDEEMKNDSSSEDASEELQTKSKQDNDMTDADFFKSKIKKNWPDSESDDEDSGDNSNSTTDDENSSDESQDADNQFVDLKGGLDKKINVDKDTRMQHTDLQEVEDHDNKESEDLDGRQKETKTHEDEDASSITDEKKLALETGRLFFCNLPYATTEDDLVELCSQYGDVEQAHIVVDKNTKFSTGRGYVLFNLPDSAVRALDELDNSSFQGRLLRVKAAKPVNNKKLESTHATVDMKMNLKQQKWEQKKASEISGDTRAWNSFYMRQDTVVENVARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKSKRSNHVILVKNLPFSTSEEELAAMFQKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVDEDEKNVVGERIVTKAIVDQTVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLSQHFSTKIKSGSLKSVKVKKHVKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNDKDKSSTKLLVRNVAFEATDKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGDTLEELRARTAAQFVDEHSGFQRMSKKRKQSSLVDEGSV >PAN18086 pep chromosome:PHallii_v3.1:3:11660663:11661717:1 gene:PAHAL_3G177100 transcript:PAN18086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQELAPCACGGFYGAGGCGGRCTGAAASAFSMLFPAGAEQCYYYKEEEEDGSPYGGGPVDCTLSLGTPSTRRAEAGAGAHARAAAGGGGAAPCKESSGRGSPARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPAPQEYACGGYARQQHQQQQPPQPQWGCYGAAAAKSASFGMYGGDVVDAAADGPCLSWMLNVMPSSPAFTVRERPTLFQYY >PVH63091 pep chromosome:PHallii_v3.1:3:60499575:60502962:1 gene:PAHAL_3G471200 transcript:PVH63091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAECSSRAFTVPDVQIYLPHRYLLIYGYTLFSCHFYPFAKGKRNKPLSTRLHCGESWDAPITLPSRAAMARWKPSSRWGKVAAGPGPAHPNRARGHAAPDPLPLPLPPLHLSPQSPIHFRRRPPPSRNLPRSLARPPASPRSPLGRSPTKPAAKPPASSSTTPPTHHSDAMGSSYDPSPSPGAVADDLAFYLSDLGPASPSAYLDLPPTPQHEKPQQPQHAQPDGGAAASPEDMVLPFISRMLMEEDIDDKFFYEYPDHPALLQAQQPFLDILSDDASSSPSAARSGASVTHPSTSSASSDAAANAPLTPAAVDSYAQFNGFDLDPAAFFSGGANSDLMSSAFLKGMEEANKFLPSQDKLVIDLDPPDDAKRFLLPAENKLAASGFNGTAPAAAVAVKVEEAVVPWPDAVPGGGGGGRGRKNRFDDDDEDLEMDRRSSKQSALEGDGDDRDVFDKYMITSHEMCVEQMEKLRIAMQEEAAKKEAAAGGGNGKSKAKGGGRRGGREVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHASPQGDATQRLAHCFAEGLQARLAGTGSMVYQSLMAKRTSAVDILQAYQLYMAAICFKKVVFIFSNQTIYNASLGKKKIHIVDYGIHYGFQWPCFLRRIACREGGPPEVRITGIDLPQPGFRPTQRIEETGRRLSKYAQEFGVPFKYQVIAASKMETIRAEDLNLDPEEVLIVNCIYQFKNLMDESVLIESPRDVALNNIRKMRPHAFIHGIVNGSFSAPFFVTRFREALFYYSALFDVLDTTTPRDSNQRMLIEQNIFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQLPLNPNIVQVVRDKVKECYHKDFVIDIDHHWLLQGWKGRILYAISTWVANDDAVSYF >PAN16517 pep chromosome:PHallii_v3.1:3:4224899:4229515:-1 gene:PAHAL_3G065900 transcript:PAN16517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAMRSGALGRWRSPKHLLPRLLSSSAASPARPQPAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGACADYMVVATGRSDWHVRNIAQALLYKIKQKQKGSDRKLMPSVEGQQGGKWVVIDSGSIIIHALEERARAYYDLESIWSKEVSPNTSVQELETSLVKTRRRNLSQKHMKSI >PAN16518 pep chromosome:PHallii_v3.1:3:4224576:4229713:-1 gene:PAHAL_3G065900 transcript:PAN16518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAMRSGALGRWRSPKHLLPRLLSSSAASPARPQPAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGACADYMVVATGRSDWHVRNIAQALLYKIKQKQKGSDRKLMPSVEGQQGGKWVVIDSGVGDLASEDTPEEPVAETHEEHLNSMIWYHDP >PAN15960 pep chromosome:PHallii_v3.1:3:1249226:1252627:1 gene:PAHAL_3G022700 transcript:PAN15960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGIHGEDSSPPVSVHEDQLEVAVNLIITDYCMPGMTGYDLLKKIKESTSLRDIPVVIMSSENIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYQQEQHQQSDSSSNECSNTTNSSSSSENSNSRKRKAEDNEEVLPQTNRSRHS >PAN21305 pep chromosome:PHallii_v3.1:3:57132400:57137314:1 gene:PAHAL_3G435400 transcript:PAN21305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPKRQLRVRLRVTARRRGGDGADGGRPGAGAGAAGRKRRLDAPALNSAAKLQRREIGCRQLAARGGGPAAAVPERFRNMRLQEEFDTYDDNAHLFVKLQFLRRRSKIIEIVAAKDIIFALAHSGLCAAFNRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKFFKHLLHRNKKIDFIEQFNEKLLVKQEDENFQILDVRTSELIEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEVVAEDGTVTPIGSINMSEIMTGKCIAKIAASDPALNVTPRRHNRKKQSSVWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHVWSN >PVH61796 pep chromosome:PHallii_v3.1:3:8107418:8108659:-1 gene:PAHAL_3G124900 transcript:PVH61796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPVESARVACPNAAYGCAARPAYYEQQAHRQLCLHTPCRCPGDTCSFIGPTEALLDHFAGVHGWPCSTKVRTGEMSSVRLKNGGSTSSASIAIRAAPPPGSTSGACSCWMWCASC >PAN20755 pep chromosome:PHallii_v3.1:3:52890167:52901622:-1 gene:PAHAL_3G409800 transcript:PAN20755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAGAAGGGGGTGGGEGSSSPAGVAIGPHHHGAAEEAMWQMTLGGGESMEHGPFPERIGEPDCSYYMRTGLCRFGATCKFNHPPNRKLAVAAARMKGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRVQLNVLGYPIRPNEKECAYYLRTGQCKFASTCKFHHPPPSNTMVAVRGSIYSPGQSATSPGQHTYPGAVTNWTLSRSASFIASPRWPGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPGTTQYYASSHQSETTGMGEHGMYPSYQAGSVPVGLYAVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFNHPKERLIPAPNCALSPLGLPLHPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYAAATSPTGDAPTMHYQLASSPGHSERLLDGGSGRSHRISQTDSQQIPSGNGSTEREAS >PAN20941 pep chromosome:PHallii_v3.1:3:55670323:55673913:1 gene:PAHAL_3G425200 transcript:PAN20941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAAPRRSSSAATAKRPAVAAAESAGVGAKAAQANKRAALGDVSNVAKGGAGTGGRAGGSRKASAAPAGTAASKLNSATSAAPVKKVSLASACNVGSGQGSAVKSATAKTGQAVSRHDSTTQKHYVPPVEVSTGVRELNVTPSPALCSSIMSPPYSEDSVSIDGTVSTCDSMKNPDYEYSNNGDSSMLTSLEGQANEHLCILEDRDETKWKKNAPDPMEIDRVCSVDNNEDDSRFYPALASDIYMLLREAEVAEEYRLVPDTLYLTVNYIDRYLSGNKISRQRLQLLGVACMLIAAKHEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNCLKFQMSAPTAKCFLRRFLRAAQACDEDPAYIEVLANYITELSLLEYNLLCYPPSQMAASAIFLAKYILYPTRHPWNQALALYARYKPSELCESVKALCHLFSTAPLNNLPAVREKYGQHKYKFVAKMQCPASIPTGFFEDATC >PAN20942 pep chromosome:PHallii_v3.1:3:55670323:55673913:1 gene:PAHAL_3G425200 transcript:PAN20942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAAPRRSSSAATAKRPAVAAAESAGVGAKAAQANKRAALGDVSNVAKGGAGTGGRAGGSRKASAAPAGTAASKLNSATSAAPVKKVSLASACNVGSGQGSAVKSATAKTGQAVSRHDSTTQKHYVPPVEVSTGVRELNVTPSPALCSSIMSPPYSEDSVSIDGTVSTCDSMKNPDYEYSNNGDSSMLTSLEGQANEHLCILEDRDETKWKKNAPDPMEIDRVCSVDNNEDDSRFYPALASDIYMLLREAESKERPSTDFMETIQNDINPSMRAILVDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNKISRQRLQLLGVACMLIAAKHEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNCLKFQMSAPTAKCFLRRFLRAAQACDEDPAYIEVLANYITELSLLEYNLLCYPPSQMAASAIFLAKYILYPTRHPWNQALALYARYKPSELCESVKALCHLFSTAPLNNLPAVREKYGQHKYKFVAKMQCPASIPTGFFEDATC >PAN21502 pep chromosome:PHallii_v3.1:3:60585604:60592023:-1 gene:PAHAL_3G472000 transcript:PAN21502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKPKPPILFFLLLLFLLASLAASQEFTYKGFAGGGGNPNLTLNGIAEVWPDGILRLTNETSRLLGHAFYPTPLRFLDRNGTAASFSTEFVVTVVPEFEQLGGHGYAFVITPDPRLPGSLPSQYLGLFNAADDGNATNHVFAIEFDTVQDFEFGDINGNHVGVDLNSLVSNKSASADPVNLKAGDTVAWVDYDGAARLLNVSIANGTSGVKPAKPLISFPVDLSGVFREQMYVGFSASTGLLASSHYVRGWSFRLGGGAAPKLDISSLPTLPRTKSGKNRTSLILAVAFSAFVALVVLAAAGAYGAYRYKNRDIIELWELDYGPHRFKYAELRRATRGFGERELLGSGGFGKVYRGVLPGSGETVAVKRVNHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDRHLFGGDHLKGSRLTWPVRHRILRDVASALLYLHEGWESVVLHRDVKASNVLLDADMSARLGDFGLAKLHERGANPSTTRVVGTLGYLAPELTRTGKATTAIDVFAFGALVLEVVAGRRPIEPRAPPEELVLAEWAWERYTAGEVEKVVDPRLGGAYDAAEVAAAVKVGLWCSHPSPAMRPTMREVARYLDGGEAGEVPEPPPPPPLPPACSGEVGFDDFVHSYPSSSFERAAAAGGGWDAGTQTSAATFPFSPLSMRSTYVSM >PAN21512 pep chromosome:PHallii_v3.1:3:60589786:60591852:-1 gene:PAHAL_3G472000 transcript:PAN21512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKPKPPILFFLLLLFLLASLAASQEFTYKGFAGGGGNPNLTLNGIAEVWPDGILRLTNETSRLLGHAFYPTPLRFLDRNGTAASFSTEFVVTVVPEFEQLGGHGYAFVITPDPRLPGSLPSQYLGLFNAADDGNATNHVFAIEFDTVQDFEFGDINGNHVGVDLNSLVSNKSASADPVNLKAGDTVAWVDYDGAARLLNVSIANGTSGVKPAKPLISFPVDLSGVFREQMYVGFSASTGLLASSHYVRGWSFRLGGGAAPKLDISSLPTLPRTKSGKNRTSLILAVAFSAFVALVVLAAAGAYGAYRYKNRDIIELWELDYGPHRFKYAELRRATRGFGERELLGSGGFGKVYRGVLPGSGETVAVKRVNHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDRHLFGGDHLKGSRLTWPVRHRILRDVASALLYLHEGWESVVLHRDVKASNVLLDADMSARLGDFGLAKLHERGANPSTTRVVGTLGYLAPELTRTGKATTAIDVFAFGALVLEVVAGRRPIEPRAPPEELVLAEWAWERYTAGEVEKVVDPRLGGAYDAAEVAAAVKVGLWCSHPSPAMRPTMREVARYLDGGEAGEVPEPPPPPPLPPACSGEVGFDDFVHSYPSSSFERAAAAGGGWDAGTQTSAATFPFSPLSMRSTYVSM >PAN21377 pep chromosome:PHallii_v3.1:3:59760159:59762982:1 gene:PAHAL_3G460300 transcript:PAN21377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSKVVRPEDVLESLKNDGSIDALRMKIIAQLKANEDMKKNTMMMVEQSKVLNTPGAEKKTKRELFDALRQELETPVLEKASKAVWELILDHGRLGKEITETVEKVFCRLSGIDMMPPPPPAAGAHQEKDDMAVDEGEKSREMDSFEPSSSRKRPFSDINRKGAGAVSNGSATDLHDESDDSDHKM >PVH62466 pep chromosome:PHallii_v3.1:3:20702433:20702903:-1 gene:PAHAL_3G301000 transcript:PVH62466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRAGPPPSPLLSARMMSSCVPKPGGAGVGERASTGRSPGMRSLGRRGSGVEDEDAGVGEGRRHGGGVIQRSGDYGVAGAAAWRSGSRSGSGAATKRNAGGAGAAAWRGGSGSGSGAATKRSAGGAGVASWRGADGARSSMRRWRCGRRPPTRMA >PVH61346 pep chromosome:PHallii_v3.1:3:849724:857359:-1 gene:PAHAL_3G015400 transcript:PVH61346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAKANVDAETRDGGGGGGGAGGAGGGGVGSFSEQRLVEKLNKLNSTAASIQTLSQWCIFHRKKAKRVVDTWERRFSSATKDKKVSFLYLSNDILQNSKRKGGDFVNEFWRVLPRSLKHVYENGGEDGKKVVARLIGIWDERKVFGTRIESLKDDILGDNPPILDNNGNSSNPSSNPPSNSKVSRKDSGTIVKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCKSTVCVLERINKDIDDASTNGNQPTSTLISDLQEQEMTLKQCIEQLESVDTARISLINQLKQALSEQESKSVVLRSQLQVARAEAERVIQLRQQMGGALATSATQSSPTPLMITPPEQTAGMVQGSGVRTAPPQSQPLTSATSLPPTVSTVGDESKRSAAAMADKLASLSAPVLSSILSSLAAEQAASINGGSPSGEFSGGPPGFQIEKRPRLEKQAGDMGAPFFGQAPQVQQQIGAVPTTLGGTQPPTPVPFPPPPPPLPSLLPPLLQQFGQNTGGMIGMGGPFGMMAGSMPPPPPLSNILPAGFPGPSGPPPPPPLPPAQSQPQQQQQSTQAPQQSPTSTGFFLSSGMSFFPPVQVQQSPSAQRQ >PVH61347 pep chromosome:PHallii_v3.1:3:850181:856906:-1 gene:PAHAL_3G015400 transcript:PVH61347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAKANVDAETRDGGGGGGGAGGAGGGGVGSFSEQRLVEKLNKLNSTAASIQTLSQWCIFHRKKAKRVVDTWERRFSSATKDKKVSFLYLSNDILQNSKRKGGDFVNEFWRVLPRSLKHVYENGGEDGKKVVARLIGIWDERKVFGTRIESLKDDILGDNPPILDNNGNSSNPSSNPPSNSKVSRKDSGTIVKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCKSTVCVLERINKDIDDASTNGNQPTSTLISDLQEQEMTLKQCIEQLESVDTARISLINQLKQALSEQESKSVVLRSQLQVARAEAERVIQLRQQMGGALATSATQSSPTPLMITPPEQTAGMVQGSGVRTAPPQSQPLTSATSLPPTVSTVGDESKRSAAAMADKLASLSAPVLSSILSSLAAEQAASINGGSPSGEFSGGPPGFQIEKRPRLEKQAGDMGAPFFGQAPQVQQQIGAVPTTLGGTQPPTPVPFPPPPPPLPSLLPPLLQQFGQNTGGMIGMGGPFGMMAGSMPPPPPLSNILPAGFPGPSGPPPPPPLPPAQSQPQQQQQSTQAPQQSPTSTGFFLSSGMSFFPPVQVQQSPSAQRQ >PVH63232 pep chromosome:PHallii_v3.1:3:63035852:63038248:1 gene:PAHAL_3G500300 transcript:PVH63232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAVRIRNGAAETYACRFTETARLRQERALGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTDDGDLETVGRYDFDGQLGCAMIAHPKLDPASGELFALSYDVIKKPYLKYFYFRPDGTKSDDVEIPLEQPTMIHDFAITENHVVVPDHQVVFKLGEMFRGGSPVVLDKEKTSRFGVLPKYATDASEMVWVDVPDCFCFHLWNAWEEPETDEVVVVGSCMTPADSIFNDSDDRLESVLTEIRLNTRTGASTRRAVLPPTSQVNLEVGMVNRNMLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTRFEYGEGRFGGEPCFVPTEGAPARGEDDGYILSLVRDERAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFIGARELEAQA >PAN22072 pep chromosome:PHallii_v3.1:3:63892283:63894766:1 gene:PAHAL_3G511000 transcript:PAN22072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGAAAADTNGKDGAINPTPTRPPPPPPGRAALPLKLLRPLLLLAVLATGFLAVVVLLLGGSTYSVLPRLSVPDALSSAPSSRQRAHSQACAGDGANSPLERWARPPAGAWHNMTDEELLWAASWQPRIGRYPYRRVPKVAFMFLTRGPLPLAPLWEKFFAGADRALYSVYVHATPGYRPDFPPASAFYRRQVPSQVAAWGQTSMCDAERRLLANALLDPGNERFVLVSESCVPLYGFPVVYHYLTRSRQSFVGAFDDPGPHGRGRYRAGLAPEVRPDQWRKGAQWFELDRALAVDVVADERYYPKFREHCRPPCYVDEHYLPTVLSVEAPGRIANRSVTWVDWSRGGAHPATFGEADVGEAFLKRLTTPGKEQGTCTYNGQPADVCFLFARKFAPSTLKPLLTLAPKMLGYG >PAN18119 pep chromosome:PHallii_v3.1:3:11826791:11827782:1 gene:PAHAL_3G179900 transcript:PAN18119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAPGSHQPNNEAANILENVWATIMTESATPASSTAASSEVGEEKPEAILQRLPSLGRWISMGAEEWDELLLGGTALTSDASGELLVASPASQEDRRDRRASSKAVACKSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFTTAEEAALAYDKAALRMRGPRAHLNFPLDVVQRELAGNGCVEASRVLRRRRRRGNNAAAVDTRSNGSVSATGGCDQTMVSFACGKKDQGTSMVQERSMSDPGAVIEFEDIGGEYWDYLFAPL >PAN18431 pep chromosome:PHallii_v3.1:3:13153398:13155580:-1 gene:PAHAL_3G201100 transcript:PAN18431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSRQFGARAAGHVEPEVLASDTSFTVNEVEALYELYKRMSFSIIKDGLIHKEEFQLALFRNSKKANLFADRVFDLFDLKRTGVIDFEEFVRSLSVFHPKAPTSEKTAFAFKLYDLRGTGYIEKEELRELVLALLDESDLCLSDSNVEAIVDNTFSQADSNGDGKVDPEEWEEFVKKNPAALRNMSLPYLQDITMAFPSFITRSEAKY >PAN21672 pep chromosome:PHallii_v3.1:3:61590538:61594012:1 gene:PAHAL_3G482600 transcript:PAN21672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYFPLGTMLWVLCVLQFMFHMAGGCAIEERIALMRIRSLLVEANSEVPASWGRSGDCCSWERVRCNNSTRVSGLNLDSVYRNDHIGDPCWNLNLTMFNSFHELQQLDLFQNSACLQNFEGLQGLTKLRYLNLSNNRLIENNILESLGKLASLEVINFEKTGLSGALQNIAFRNLKKLRDLRLGFNLMNGSIPASLFELSCLEYLDLSYNLLQGHIPKMDLTGTLKSLRELHLGSNRLNGKFDFFWLRNCAMLKDVDLSGNAELAIDVKFLTSVTPFQLRALMLSGCKLDNTIISGPNLFGTQRHLQFLDLSNNNFSGSLPNWMFTNEAPLLYLGLAHNSLVGSLDHLMWQQQSSLQMINISMNYFTGQLPMDISSVFPNLTALDASYNNISGHLPPSLCNIKSLEFVDLSNNKLTGEVPACLFNECWSLEFLRLSNNNLGGPILGGANTHLSFAAGLYLDSNYFEGPLPNNLSGEMSIMDFHDNKLSGELDVSFWNTPSLEFLSVASNGLTGQIYPTICNLTGLHYLDVSNNDFEGSIPNCSSKLMLYFLNMSSNTLSGFPSYFVNSSNVVALDIGYNRFMGSLDWIQHLYKIQLLLLGRNMFEGHISAELCHLQHLNIIDLSHNRLSGSLPPCIGAIPFGYHTDDDNFFFMFYGISFDVRLSSMDYDIPLVLYDTNNILQGFTFSTKGNIYTYSRGFYNLMSGIDLSANMLSGEIPWELTQMSSLEVFSVAYNNLSGCVPSSGQFSSFSMESYLGNTDLQNSSRGNQCSPAPGSMEVEDVGEAADDPVLYIIGAASFVLAFWATVAFLFCLPFGQRVMLQL >PVH63156 pep chromosome:PHallii_v3.1:3:61590538:61594012:1 gene:PAHAL_3G482600 transcript:PVH63156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSIPASLFELSCLEYLDLSYNLLQGHIPKMDLTGTLKSLRELHLGSNRLNGKFDFFWLRNCAMLKDVDLSGNAELAIDVKFLTSVTPFQLRALMLSGCKLDNTIISGPNLFGTQRHLQFLDLSNNNFSGSLPNWMFTNEAPLLYLGLAHNSLVGSLDHLMWQQQSSLQMINISMNYFTGQLPMDISSVFPNLTALDASYNNISGHLPPSLCNIKSLEFVDLSNNKLTGEVPACLFNECWSLEFLRLSNNNLGGPILGGANTHLSFAAGLYLDSNYFEGPLPNNLSGEMSIMDFHDNKLSGELDVSFWNTPSLEFLSVASNGLTGQIYPTICNLTGLHYLDVSNNDFEGSIPNCSSKLMLYFLNMSSNTLSGFPSYFVNSSNVVALDIGYNRFMGSLDWIQHLYKIQLLLLGRNMFEGHISAELCHLQHLNIIDLSHNRLSGSLPPCIGAIPFGYHTDDDNFFFMFYGISFDVRLSSMDYDIPLVLYDTNNILQGFTFSTKGNIYTYSRGFYNLMSGIDLSANMLSGEIPWELTQMSSLEVFSVAYNNLSGCVPSSGQFSSFSMESYLGNTDLQNSSRGNQCSPAPGSMEVEDVGEAADDPVLYIIGAASFVLAFWATVAFLFCLPFGQRVMLQL >PAN21671 pep chromosome:PHallii_v3.1:3:61590539:61594012:1 gene:PAHAL_3G482600 transcript:PAN21671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYFPLGTMLWVLCVLQFMFHMAGGCAIEERIALMRIRSLLVEANSEVPASWGRSGDCCSWERVRCNNSTRVSGLNLDSVYRNDHIGDPCWNLNLTMFNSFHELQQLDLFQNSACLQNFEGLQGLTKLRYLNLSNNRLIENNILESLGKLASLEVINFEKTGLSGALQNIAFRNLKKLRDLRLGFNLMNGSIPASLFELSCLEYLDLSYNLLQGHIPKMDLTGTLKSLRELHLGSNRLNGKFDFFWLRNCAMLKDVDLSGNAELAIDVKFLTSVTPFQLRALMLSGCKLDNTIISGPNLFGTQRHLQFLDLSNNNFSGSLPNWMFTNEAPLLYLGLAHNSLVGSLDHLMWQQQSSLQMINISMNYFTGQLPMDISSVFPNLTALDASYNNISGHLPPSLCNIKSLEFVDLSNNKLTGEVPACLFNECWSLEFLRLSNNNLGGPILGGANTHLSFAAGLYLDSNYFEGPLPNNLSGEMSIMDFHDNKLSGELDVSFWNTPSLEFLSVASNGLTGQIYPTICNLTGLHYLDVSNNDFEGSIPNCSSKLMLYFLNMSSNTLSGFPSYFVNSSNVVALDIGYNRFMGSLDWIQHLYKIQLLLLGRNMFEGHISAELCHLQHLNIIDLSHNRLSGSLPPCIGAIPFGYHTDDDNFFFMFYGISFDVRLSSMDYDIPLVLYDTNNILQGFTFSTKGNIYTYSRGFYNLMSGIDLSANMLSGEIPWEVGNLSHVKSLNLSHNFFIGQIPATFANMRAIESLDLSHNELSGPIPWQLTQMSSLEVFSVAYNNLSGCVPSSGQFSSFSMESYLGNTDLQNSSRGNQCSPAPGSMEVEDVGEAADDPVLYIIGAASFVLAFWATVAFLFCLPFGQRVMLQL >PAN21670 pep chromosome:PHallii_v3.1:3:61590539:61594012:1 gene:PAHAL_3G482600 transcript:PAN21670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSIPASLFELSCLEYLDLSYNLLQGHIPKMDLTGTLKSLRELHLGSNRLNGKFDFFWLRNCAMLKDVDLSGNAELAIDVKFLTSVTPFQLRALMLSGCKLDNTIISGPNLFGTQRHLQFLDLSNNNFSGSLPNWMFTNEAPLLYLGLAHNSLVGSLDHLMWQQQSSLQMINISMNYFTGQLPMDISSVFPNLTALDASYNNISGHLPPSLCNIKSLEFVDLSNNKLTGEVPACLFNECWSLEFLRLSNNNLGGPILGGANTHLSFAAGLYLDSNYFEGPLPNNLSGEMSIMDFHDNKLSGELDVSFWNTPSLEFLSVASNGLTGQIYPTICNLTGLHYLDVSNNDFEGSIPNCSSKLMLYFLNMSSNTLSGFPSYFVNSSNVVALDIGYNRFMGSLDWIQHLYKIQLLLLGRNMFEGHISAELCHLQHLNIIDLSHNRLSGSLPPCIGAIPFGYHTDDDNFFFMFYGISFDVRLSSMDYDIPLVLYDTNNILQGFTFSTKGNIYTYSRGFYNLMSGIDLSANMLSGEIPWEVGNLSHVKSLNLSHNFFIGQIPATFANMRAIESLDLSHNELSGPIPWQLTQMSSLEVFSVAYNNLSGCVPSSGQFSSFSMESYLGNTDLQNSSRGNQCSPAPGSMEVEDVGEAADDPVLYIIGAASFVLAFWATVAFLFCLPFGQRVMLQL >PVH63193 pep chromosome:PHallii_v3.1:3:62157592:62158542:1 gene:PAHAL_3G489600 transcript:PVH63193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACFFPFLLLLLPAAATAPARRPLFREYIGAEGQNVTFADVPVHPGVDFHFILAFAIDYAADPANASAPPRPTDGRFLAYWDEANLTPAAVAAAKRGGGCGNNVRVALSLGGDTVRGANATFRASSVDAWVANAVVSLTDILTTYGLDGVDVDYEHFGERETPEVFAECVGRLVRALRALGVISFASIAPFANPDVQAHYGELWRRYGREFEYVNFQFYAYAANTTVPQLLGYYDEQSRRYAGGGGKVLLGFGTDPASGGLGPGKGFFRACRALRRQGRLHGVFVWAADNSAADGFRYERVAQRFLAGALPGFT >PAN19507 pep chromosome:PHallii_v3.1:3:18611324:18614440:1 gene:PAHAL_3G278100 transcript:PAN19507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSASSVPPASAGSVITVASPSTGGSISGGAGAGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKILNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISILQHRLRQVQQELARASYELSKYQAAAEAAAASVAVGSNGATAAGMPDFVGNPVPNCTQNFINGNSTAPAIGGTGFAHPDHFASVQMLARSYDGEAAAARLGMNGAGGVYGFGYSSAMGAGHGVVSGLGQIGSGQFLKPGTAGGDEQPTAAQ >PAN19934 pep chromosome:PHallii_v3.1:3:21729336:21732173:-1 gene:PAHAL_3G308300 transcript:PAN19934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 40S ribosomal protein S4, X isoform (Single copy abundant mRNA protein) (SCR10) [Source: Projected from Oryza sativa (Os05g0368300)] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPSGFMDVVSIPKTGENFRLLYDTKGRFRLHSIRDDEAKFKLCKVRSVQFGQKGIPFLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDSLGHQFATRMGNVFTIGKDKKPWVSLPKGKGIKLSIIEEARKRNAEAAAEA >PVH62810 pep chromosome:PHallii_v3.1:3:52217788:52219815:1 gene:PAHAL_3G406700 transcript:PVH62810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BLUS1 [Source:Projected from Arabidopsis thaliana (AT4G14480) UniProtKB/Swiss-Prot;Acc:O23304] MFTRSSTLYGSIDRVRDPIRSNHLARALSVPAIYCRRRRLPVCSARDRSMLQLLALLRPPSAMAGDPGGEVKYPLDAESYRLLCKIGSGVSAVVYKAVCLPLGSSAVVAIKAIDLERSRANLDDVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMGAGSLHSILSHGFPDGLPEPCVAVVLKDTLRALCYLHEQGRIHRDIKAGNILVDSDGSVKLADFGVSASIYETGPAASSGPIHAPPPAALGSSCFNDLAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLMRITSRVRLEDAEATTSASAKRKKFSKAFKDMVSSCLCQEPAKRPSAEKLLRHPFFRGCRSNDYLVRNVLAAVPSIEERCKDANLCGCARGARCVSPCRHAAGSVVKNRRISGWNFNEENLEFDPAEAGAAEKRCGLPFHEEEDDDPAELESNSAGDGNEDSRATATTTRGSHDEKAAATFKEVVVPQLMTILASLEMQRGMVMHVLENGSCHVADGINGCGGMAASNPGEEMLLGYVRQLEHRVEELGTEVEEEVARNARLEKQLQERVSAHKTDSSSQTSGSN >PVH61559 pep chromosome:PHallii_v3.1:3:3773810:3774604:1 gene:PAHAL_3G059900 transcript:PVH61559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFHIPPPRKWSHKHIILVTLVGSLVFIAITAAIAISLSPAHIFFSIKDPTFANKADEDTKFYNFTLVVNNSSPGMEVHYGALSAELWYSDKARVPAKVDRGALLDDGTRQPPRNVTSIAVSADGGTNGNGIEWPNCTVLVTASVWFKSRWWISTRWYDVRANCTPVNFGSHSTSPVSCE >PAN21605 pep chromosome:PHallii_v3.1:3:61290108:61296242:1 gene:PAHAL_3G479600 transcript:PAN21605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLEERFGGVQPKNSSEEALRRWRRLCSVVKNPKRRFRFTANLEKRGEAEAIKHANHEKLRVAVLVSKAALQFIQGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLIIHGGVDGIAEKLSTSKTDGLSTDEDSIKRRQDIYGINKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVAVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFSVITFIVLSQGLFSKKYHEGLLLSWSGDEALELLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMIDKSLVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNGPQNASKLCSELPEIVVKTLLESIFNNTGGEVVFNQDGKYQILGTPTETALLEFALALGGDFKAKRDETKIVKVEPFNSTKKRMSVILELPGGGCRAHCKGASEIVLAACDKFIDDTGSVHPLDKTTADKLNGIIDSFAGEALRTLCLAYREMEEGFSIVDHIPLQGYTCIGIVGIKDPVRPGVKESVATCRAAGIMVRMVTGDNINTAKAIARECGILTEDGIAIEGPEFREKSLDELLKLVPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNNDLMKREPVGRTGKFITNVMWRNILGMSFYQFFVMWYLQTQGKNAFGLEGSDTDVVLNTIIFNSFVFCQVFNEISSREMEKINVLKGMTRNYIFMAVLTSTVIFQFIMVQFLGEFANTTPLNLHQWLASVFLGLVGMPIAATIKLIPVGSS >PVH61634 pep chromosome:PHallii_v3.1:3:5361874:5362422:-1 gene:PAHAL_3G082000 transcript:PVH61634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDGDIDEDVRHRISAGWLKWRQVSGVLCDKKVPQRLKGKFYRTAIHPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRSPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN17685 pep chromosome:PHallii_v3.1:3:9657496:9658331:1 gene:PAHAL_3G149600 transcript:PAN17685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASLRGMIRSMSTASLPSTIGSMATASLPSVTVQQLREASNSRVLFIVGVALLATAAALLIASSYAVAIVKRRRAAGLKQQPQPQPQQGSVAEGTARSSRGEPSAAAAVDDTASAGASGNPSSGSSRSRSATVEAAESSSILDDDDDYDEECSSDQGMSLETESRTAGGSRGSPSLSDWCSLHAAES >PAN16233 pep chromosome:PHallii_v3.1:3:3197914:3198305:-1 gene:PAHAL_3G050400 transcript:PAN16233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLYNVHNSWYCSLHSDLQKFMLRYRFRKSCLDTHFEGTISFLCHLLLVGSFLI >PAN19420 pep chromosome:PHallii_v3.1:3:18116423:18119224:1 gene:PAHAL_3G271900 transcript:PAN19420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDDGEEGDEGDEY >PAN17727 pep chromosome:PHallii_v3.1:3:9796919:9799374:-1 gene:PAHAL_3G152200 transcript:PAN17727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGPALESLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEDLDARLDLSKLRAHPLKPVIH >PAN17726 pep chromosome:PHallii_v3.1:3:9796919:9799375:-1 gene:PAHAL_3G152200 transcript:PAN17726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGPALESLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNIVVGEVDEDLDARLDLSKLRAHPLKPVIH >PVH62089 pep chromosome:PHallii_v3.1:3:13277724:13280338:-1 gene:PAHAL_3G203900 transcript:PVH62089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPPHRPYRVKKNARLPHALRSRLPLALATAGALAPRPNPRRPLSPPHAIVALPPPPPAPLPSPALVGSSPPPCLPRTPPWTQLRCRRRTCSPTACRQSHGRRGTHPLRHRSTSTAPLSSLLVHGPPRLIPASRAADERGDAPLSPNPRAAPGSPASSQPRAASSPNARSSLALRPRKVPLAQPAAVPHSPASRGASPPTCSQPSLSPASRGASPPTRGRPSLSPTSRGHLPQPAAGSELSGIVAAAVPAPVAAAEGGDGMAVQASGGANGGGRPAPRPSARQRASGDGGHHHRRAKAYCFRLPLVLGFRDFFHGIDKPAAQKVSNGLRALFNSVKILAISVTFSSKKNMESVMKLLKCFPFLETLHILGNKRREGEVHTIGPNN >PVH62090 pep chromosome:PHallii_v3.1:3:13278963:13280325:-1 gene:PAHAL_3G203900 transcript:PVH62090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPPHRPYRVKKNARLPHALRSRLPLALATAGALAPRPNPRRPLSPPHAIVALPPPPPAPLPSPALVGSSPPPCLPRTPPWTQLRCRRRTCSPTACRQSHGRRGTHPLRHRSTSTAPLSSLLVHGPPRLIPASRAADERGDAPLSPNPRAAPGSPASSQPRAASSPNARSSLALRPRKVPLAQPAAVPHSPASRGASPPTCSQPSLSPASRGASPPTRGRPSLSPTSRGHLPQPAAGSELSGIVAAAVPAPVAAAEGGDGMAVQASGGANGGGRPAPRPSARQRASGDGGHHHRRAKAYCFRLPLVLGFRDFFHGIDKPAAQKKVSNGLRALFNSVKILAISVTFSSKKNMESVMKLLKCFPFLETLHILGNKRREGEVHTIGPNN >PVH62625 pep chromosome:PHallii_v3.1:3:30021081:30023631:-1 gene:PAHAL_3G342300 transcript:PVH62625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCPLLVLLFPPPATTSGCLHPVGLLHSHRLLWTSDLGPALQRLLPSTAWSAAVRTSIRNPALHGRLLFLSTPSVTCRRRASVTCRRPCTCALPGSQRPPGLAQRRPCSPKPEQPAPVSSNVAPFPPNADVPLLAFLLALRPQKWAPGVPLVLPGPRHDLLPLEPNPHGASSVPSSSEGPCATIETRLDHSRRRRLPANPGTRRKLF >PAN19094 pep chromosome:PHallii_v3.1:3:16125728:16127389:1 gene:PAHAL_3G248800 transcript:PAN19094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRREEGDGEALREEEGEEDYIDMDLSSAAGAPAAREFEFMSAPLDRWGEPLASPADELFYKGKLLPLHLPPRLQMVEELLDGRDGGRFRGGREVLGGFSTAPATPYESCNASPANSCYVSGELNVEEYFQEYAAGLADAAAAAGERKPWSRKLKFMRQLNLGLKLKASKAYLKTIFAAKPGNQDDKNVPGAARGVQELVHGHGHLRPWRKNPFGQVRSNRCIALHSAVAGAGGGSGRATPTAERHKEREHGHRRSFSSVIVRYSSSNKMSPAPAPALPSSSSSSCSSSSSSSASSSVRTSSESDDGAGPALRRSSSASSEVENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSAASKIAAESDGLDELVEICRG >PAN21506 pep chromosome:PHallii_v3.1:3:60521368:60522289:1 gene:PAHAL_3G471500 transcript:PAN21506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYMDDKSKMLFKKGSRRSTASEGSPAAGFKARTSRGPAARSVPGRLASLVKEQRARFYIMRRCVTMLVCWRD >PVH62554 pep chromosome:PHallii_v3.1:3:24619285:24620214:1 gene:PAHAL_3G322500 transcript:PVH62554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPANAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTFETAALEAIKLFCNQHPMEVAAYPIGLFPTIDAGNLEWDFRTNHLGHMLGDLAEKTVRIITRFMDVQHHYQMLLRHGVSQLTGVAQSHYRNADHQVTQIEELQALINESDHIITQRDTVIEFLQAQIHDVILEADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSVGNFDDF >PAN16022 pep chromosome:PHallii_v3.1:3:1494380:1496654:1 gene:PAHAL_3G026900 transcript:PAN16022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASSLLLPSSVRDLASCVSDGAVRVACTTPASTLVTASAAASSSPSTHSVAVSYHATPLSPSAPPLLLRLTWSHSPVGPPTLSFAGPTASCPAVLLRRRKGTRSLPSYDQHHPPLALFWDLAAAKYGASSPEPLSGFYFVAVANAEVVLAVGDLAAEFVKTKFEGQIPKARFLPVSRADRVVAAPNAMHTARVRFAEGAPEHEVSVGCATTSGGGEELWVSVDGKRAVHARRLRWNFRGNQTVFVDGAPVDVLWDLHGWWFRDPPGCAVVMLRARSALESRLWLEEEAAAPGFALVVQALKAPH >PAN19982 pep chromosome:PHallii_v3.1:3:22150553:22153977:1 gene:PAHAL_3G311300 transcript:PAN19982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDEALRSIELAKSAFASGDRQRAEKLVRIAQRLDPSVPLDDLLSPAEKFDILNGASCQGKTGRGQACEHPKTPKESVGHVNVDQVYTEENIRAVQDIRKKKDYYAVLGVERRCTVEEIRKAYRRLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRKTYDQTGTIEDHEFNEQYPNAMRRGMARRRTQARSGFYNYEEDFDPDEIFRSFFYGTHDNLFRAQNTYRARGTVRQQQQRREHTVQGGSGINLTVLVHLAVVLIIVSLAFIPVQQPEYSLQKTYYFPISKVTQKHGVEYFVSKQDFDQKFPQGSQSREILEQYVFKDYKSLLGRYCHVERQRRQWAKDYPTPNCDKLRNLSVA >PAN19018 pep chromosome:PHallii_v3.1:3:15790796:15792717:-1 gene:PAHAL_3G243400 transcript:PAN19018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGPGRKEMGRAAAAPTSRATGTHQARVNGSANAKPGSGSRRSRSGQRGPGGPDNMRHNYRGVRQRPWGKWVAEIREPNSGRRHWLGTFSTPVDAALAYDRAAEAFLGNLAHLNFPADHAAAVTVATAAPAQWQPASCSPAPATTADVFEENEVKPLIDVAQGGAGAEVASQPQQQGASWLSPEPLLDDDPDDISMYIDFDAVDHMMPCYHGIKVEDCQPD >PAN19019 pep chromosome:PHallii_v3.1:3:15790184:15793079:-1 gene:PAHAL_3G243400 transcript:PAN19019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGPGRKEMGRAAAAPTSRATGTHQARVNGSANAKPGSGSRRSRSGQRGPGGPDNMRHNYRGVRQRPWGKWVAEIREPNSGRRHWLGTFSTPVDAALAYDRAAEAFLGNLAHLNFPADHAAAVTVATAAPAQWQPASCSPAPATTADVFEENEVKPLIDVAQGGAGAEVASQPQQQGASWLSPEPLLDDDPDDISMYIDFDAVDHMMPCYHGIKVEDCQPD >PAN21373 pep chromosome:PHallii_v3.1:3:59742528:59746536:-1 gene:PAHAL_3G460000 transcript:PAN21373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGNVNLGESNVRPLEVFMCSIVRKMGYGEGFKWVSQYIK >PAN16079 pep chromosome:PHallii_v3.1:3:1776597:1778837:-1 gene:PAHAL_3G031700 transcript:PAN16079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHHLAQGHPQAWPWGVAMYTNLHYHQHQYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGAGGVGGGDGSEKGLLLAFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVRFERVRGGLGVGDRLFIGCRRRGESAAPAPTPPPPVRAPAPALNAGEQQPWSPMCYSTSGSSYPTSPASPYAYHSDMAHAGEADAKSSGTPTAPSRKLRLFGVNLDCGPEPEPETEAATAMYGYMHPSPYAAVSTVPNYWGSS >PVH62937 pep chromosome:PHallii_v3.1:3:56968079:56971195:-1 gene:PAHAL_3G433900 transcript:PVH62937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFAWRPYLPILVFLCHTAAHLHASEVIPGTDELVYNGFFKADLNLDGSALLINDLLSLTNMPDGTNGHAFCSYPLSFQRIPGGSISSFSTTFVVTIGFRRYKGHGLAFMLSSTNDISDNSAGQFLGLPSSSSDDHVFFAVELDTVLNPEFKDIDNNHVGINVDSLLSVESHTAGFYDSSGDFKSVELRSGKPVQVWVDYDSKSYRLNVTLALYSMPKPELPLLSTPLNLSSLLSLSSLYAGFSAASDKFTSDHYVLGWSFKLDGEAQPLDYSQLPLGLVKDLKHHSRFQYSGEMTILGAPTIIPAVVLIVLAVLICRYLRNRKEDKEFEIMCGPPSFTYKELFTATDGFNDKVLLGKGGFGRVYEGVLSNSAQSIAIKRVSPESKQGKREFMAEIAILGRVRHRNLVQLLGYCRYKKELLLVYDCMPNGSLDKYLYNKGKPVLDWAQRLRIIKGVASGLCYLHEDWERVIIHRDIKASNVLLDNSMNGRLSDFGLARFHDHGVDAHTTRVAGTWGYIAPELARLGKATKATDVFAFGIFMIEVVCGRQPIGMAVDGGEPFVLADWVLSMWKSGSVTGAVDPKLEDYDQEDAELVLKLGLLCSHSLPKLRPCMRLVMLYLERGARLNEFCPASLSIDPDEDKEIDHVLCSSGASTITVLSGGR >PAN21316 pep chromosome:PHallii_v3.1:3:56968079:56971203:-1 gene:PAHAL_3G433900 transcript:PAN21316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFAWRPYLPILVFLCHTAAHLHASEVIPDGTNGHAFCSYPLSFQRIPGGSISSFSTTFVVTIGFRRYKGHGLAFMLSSTNDISDNSAGQFLGLPSSSSDDHVFFAVELDTVLNPEFKDIDNNHVGINVDSLLSVESHTAGFYDSSGDFKSVELRSGKPVQVWVDYDSKSYRLNVTLALYSMPKPELPLLSTPLNLSSLLSLSSLYAGFSAASDKFTSDHYVLGWSFKLDGEAQPLDYSQLPLGLVKDLKHHSRFQYSGEMTILGAPTIIPAVVLIVLAVLICRYLRNRKEDKEFEIMCGPPSFTYKELFTATDGFNDKVLLGKGGFGRVYEGVLSNSAQSIAIKRVSPESKQGKREFMAEIAILGRVRHRNLVQLLGYCRYKKELLLVYDCMPNGSLDKYLYNKGKPVLDWAQRLRIIKGVASGLCYLHEDWERVIIHRDIKASNVLLDNSMNGRLSDFGLARFHDHGVDAHTTRVAGTWGYIAPELARLGKATKATDVFAFGIFMIEVVCGRQPIGMAVDGGEPFVLADWVLSMWKSGSVTGAVDPKLEDYDQEDAELVLKLGLLCSHSLPKLRPCMRLVMLYLERGARLNEFCPASLSIDPDEDKEIDHVLCSSGASTITVLSGGR >PAN17420 pep chromosome:PHallii_v3.1:3:8421613:8423364:-1 gene:PAHAL_3G130500 transcript:PAN17420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLSLASALAVLLSGTAVCACLDVGFYDRTCPSAEAVVQQTVAAAFRNNSGVAPALIRLHFHDCFVRGCDGSVLIDSTPNSRAEKDAGPNNPSLRFFDVVDSAKAAVEARCPGVVSCADILAFAARDGVALAGGLGYQVPAGRRDGRVSLEADALNDLPPPFFNATELVDSFTSKNLTLEDMVVLSGAHSIGVSHCIHFFDRLYNFTNTTDGIDPALSKAYAFLLQRICPRNTSQFFPTTTTFMDLITPTKLDNKYYAGLINNLGLFRSDAALLTNATMKALVDSFIRSEATWKSKFARSMVKMGQISVLSGTQGEIRRNCRVINPVNAADVLAGSGSSGLSGVATS >PAN16669 pep chromosome:PHallii_v3.1:3:4992482:4997709:-1 gene:PAHAL_3G076000 transcript:PAN16669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTGAPAPTTPPAPPANATTPPPPTPSAPPPSAPAAPTPPTPAAPSPPAPSAPPPSSPSVPAPATPAASPPAPSSSTPATPSAPSPSSPGGGTPAAPSPPSDTPSPPSSGGGGGKSPSTPSSGRSPSTPSHSPPKSHSGGGGGGGGNGPSTSLVVGVAVGGFVLLLLASFICLCCLRKKRRRAPPPPHYGYPPPPPPPYKEDPYGGTYQNWQQQNAPPPPPEHVVKMHPSPPPAYANRPPQPPPPPPPAMLNSSGGSGSNYSGGEILPPPSPGAALGFSKSTFTYEELVRATDGFSDANLLGQGGFGYVHRGLLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKDRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDSTQTYMDDSLVDWARPLLMRALEDGEYDSLVDPRLAKDFNPNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKKFRKMAFNTNNYTSSQYSAPTSEYGQIPSASSSEGHQTQEMESGAMKKSAYSGYSSGYSGAS >PAN21898 pep chromosome:PHallii_v3.1:3:62838223:62841236:1 gene:PAHAL_3G498000 transcript:PAN21898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPTMKESMVPVLLTLCIFFLAEVMPDEASSRPSVSLPGCPDKCGNVSIPYPFGIGKECAATSLNSYFHLGDQESAVEVTDISLEHGEMHVLSPISHICFASSNTTFNKLGGGYNLQSTPFLPSPSRNRFMVIGCNTLGLISGYQGAASQYAAGCYSYCEGINSTSDGAPCAGMGCCEAAIPANLTAFGVAFEINQSKVWGFNPCFYAMVVEVGWYSFRKQELVGNLGFIDSRAKRGAPIIADWAIRNSSCPEEGKEPPSGYACASANSYCTAANNGPGYLCQCSKGYEGNPYIPNGCQDIDECWLRTQDSKYEELYPCRKGVCHNTPGSYFCKCKMGTRSDGTNFGCQSLHASAGLVIGLKLYDEMRARKVHTIHILTEKEIKKATDNYSEDRVLGCGGHGMVYRGTLDDHKEVAIKKSQVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFVSNGTLSKFLHGADRTSPIPLDLRLKIAKQSAEALAYLHSSISCTILHGDVKSANILLDDQHNAKIADFGASAQKSMDENEFIMFVQGTLGYLDPESFISHQLTEKSDVYSFGVVLLELITRKRAMFEDRFNEKKSLAYTFLLMFHHNKHQLMLDIKIIDEAVMTVLEKLAQLAEVAERLQMLRRLHMDATSDCEDSYYVKKHKGSPSMAAPLDEMTYSSVEASTLIFA >PVH62618 pep chromosome:PHallii_v3.1:3:29265021:29266035:1 gene:PAHAL_3G339800 transcript:PVH62618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVPSTARLFKSLPPHRRPQGTGIAAVAGWTLAGLATAIWLVQPFDWIKKTLFEKQPPEESNN >PAN21871 pep chromosome:PHallii_v3.1:3:62715719:62721193:-1 gene:PAHAL_3G496500 transcript:PAN21871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGLWKQAWRWVLSQKHILAWAHTAACGSRERLAFLVDRHWPAVSRACATSSRLALAALRQWRGCMARGVLAVASLGPAAVFVILWSFFVCMTSPAWALFALLSLGAAGAVVHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLVAGGCMCSLKHARYVIPILTTYAIYCVAVRVGWLGVFLTLNLSFLTNDLLNKLLQGYEGCTEEEQFEDMKDSDPVMDEFYRSCEFPPTPDSEPETVSSAKPYCSAPTQDVLHVQKEEPPSKVVKSDSSSLDEIKRIMDGSNYYEVLGIPRNRSIDQKSLKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKKNSYDEQLRKEESQNMTPRSRVVSQQSGVEFLSEESRRIQCTKCGNFHIWICTKRSKTKARFCQGCDQFHQAKDGDGWVETRFSTSVKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRMPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGIKSWRRSS >PAN21872 pep chromosome:PHallii_v3.1:3:62715800:62721233:-1 gene:PAHAL_3G496500 transcript:PAN21872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGLWKQAWRWVLSQKHILAWAHTAACGSRERLAFLVDRHWPAVSRACATSSRLALAALRQWRGCMARGVLAVASLGPAAVFVILWSFFVCMTSPAWALFALLSLGAAGAVVHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLVAGGCMCSLKHARYVIPILTTYAIYCVAVRVGWLGVFLTLNLSFLTNDLLNKLLQGYEGCTEEEQFEDMKDSDPVMDEFYRSCEFPPTPDSEPETVSSAKPYCSAPTQDVLHVQKEEPPSKVVKSDSSSLDEIKRIMDGSNYYEVLGIPRNRSIDQKSLKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKKNSYDEQLRKEESQNMTPRSRVVSQQSGVEFLSEESRRIQCTKCGNFHIWICTKRSKTKARFCQGCDQFHQAKDGDGWVETRFSTSVKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRMPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGIKSWRRSS >PAN19766 pep chromosome:PHallii_v3.1:3:20383793:20384621:1 gene:PAHAL_3G297900 transcript:PAN19766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTEMVAQPWPSRKRLPKDAPEGCPQSLMGAIKRALRNASNRKGKYILEPTPGMTFDCVSEAQEFYNIYSWEVGFGTKKGDKYGKSMQEFQCQRHGSDNRVQYQTMKKKCPALLRLHRTINFGWYVSHHKSEHNQFRVLC >PAN18595 pep chromosome:PHallii_v3.1:3:13841536:13844377:1 gene:PAHAL_3G212800 transcript:PAN18595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVSRWLRELRDHTDQSIVVMLIGNKSDLRHLVTVSTEDAKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQIVSKKTVEAPEDGAAGPGKGEKINVKDDVSAMKRVGCCSN >PVH61600 pep chromosome:PHallii_v3.1:3:4817622:4819553:1 gene:PAHAL_3G073200 transcript:PVH61600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALVDPAHLQALMLACAHSCALRLSPAAPASPVEPVDLGKLRTAIAHSFIVVSVFCGARYLTDAGEGEGEEQRFLGLGLDLGLGRQGEQRLVGFGRAVSDLGLTASVHDVVVHPSLQRRGIGQKIVDKITSVLHSRGIYDISALCTEKERSFFEACGFGDDMMGSTTMLYTRNVQMLYNKNTHK >PVH61601 pep chromosome:PHallii_v3.1:3:4817622:4819553:1 gene:PAHAL_3G073200 transcript:PVH61601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALVDPAHLQALMLACAHSCALRLSPAAPASPVEPVDLGKLRTAIAHSFIVVSVFCGARYLTDAGEGEGEEQRFLGLGLDLGLGRQGEQRLVGFGRAVSDLGLTASVHDVVVHPSLQRRGIGQKIVDKITRSFFEACGFGDDMMGSTTMLYTRNVQMLYNKNTHK >PVH61599 pep chromosome:PHallii_v3.1:3:4817622:4818891:1 gene:PAHAL_3G073200 transcript:PVH61599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALVDPAHLQALMLACAHSCALRLSPAAPASPVEPVDLGKLRTAIAHSFIVVSVFCGARYLTDAGEGEGEEQRFLGLGLDLGLGRQGEQRLVGFGRAVSDLGLTASVHDVVVIFDLLQ >PAN16527 pep chromosome:PHallii_v3.1:3:4265747:4267599:1 gene:PAHAL_3G066700 transcript:PAN16527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFEIIPSPLPEENNEFNFSNLYLFHTPPGPNRNQFGVTSSDAATGLGAIGVNNWPIYDGAGPNAAIVARAQGLHVYAGNWNNVFSIVFEIQRFKGSTLQVMGISVENGEFAIVGGTGQFAMASGVIYKNFHEQRSDGNIIRLTIQGSSPLLKGWSPPPSQVTKVGPLGGDGGVDQDITDTPGRLESITVQSGVVIDAIAFSYADQAGQKRSAGPWGGSGRCSNTIQLAPSEFVTGISGTVGLYRSCNVIASLTFVTNVKTYGPFGLGDGTPFTVPVEDNHGVVGFFGRSSRYLDAIGAYVQPQQ >PVH61578 pep chromosome:PHallii_v3.1:3:4265747:4267600:1 gene:PAHAL_3G066700 transcript:PVH61578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFEIIPSPLPEENNEFNFSNLYLFHTPPGPNRNQFGVTSSDAATGLGAIGVNNWPIYDGAGPNAAIVARAQGLHVYAGNWNNVFSIVFEIQRFKGSTLQVMGISVENGEFAIVGGTGQFAMASGVIYKNFHEQRSDGNIIRLTIQGSSPLLKGWSPPPSQVTKVGPLGGDGGVDQDITDTPGRLESITVQSGVVIDAIAFSYADQAGQKRSAGPWGGSGRCSNTVLQANDSLFFVTMQIQLAPSEFVTGISGTVGLYRSCNVIASLTFVTNVKTYGPFGLGDGTPFTVPVEDNHGVVGFFGRSSRYLDAIGAYVQPQQ >PAN18676 pep chromosome:PHallii_v3.1:3:14145339:14145641:-1 gene:PAHAL_3G218000 transcript:PAN18676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLSRPPTAMAGLVRGEWTSAPPTNTLLSQCRISTAVDFIIGILRLTGDRGPHGTRPRRARRRAPSASTTLGGGPWKMRSASTPSRPPRAPHFPSRERR >PVH61406 pep chromosome:PHallii_v3.1:3:1652140:1654260:-1 gene:PAHAL_3G029700 transcript:PVH61406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARRRLFTKPEPATTSPSPRLHMSCCLWVVLLTVLLSSSGASSAKHTTRSEEGNCRYDLTSIATLSCVLPDGVWRPPSHTCCNALLYAIDQVPSSDVRGACCLCRYLKEKEGAPTKLATAYVMCNGEDKHIVTEWSFPITTCLIDCGHGIISSLGIEHEDTPVVQALGTGNEGQEHVSGIGQAHVTTKVITEVVQGNRKCKRTPIWAPAIC >PVH61301 pep chromosome:PHallii_v3.1:3:449099:450081:1 gene:PAHAL_3G007400 transcript:PVH61301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAGKQQQQLAVVVVAVALLLLLMAAPSVINARVTCGQVLSCVTPCISYAMGRGSEPPPACCSGVSNLNAAANNTADRQATCKCLKQITSTMPALKPDIVAGIPSKCGVDIPYPIASSTDCSKVQ >PAN17555 pep chromosome:PHallii_v3.1:3:8987463:8989447:1 gene:PAHAL_3G139600 transcript:PAN17555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSPSGSRAAAPSTEGGTFTDAGAEDVGDSKLSAFLFDVSLQVQGGLQNMLKMSSEIERCDGEIEAEVGRARDAVAEKGRALDEDRERVQKAALAALDILSGGRGAI >PAN18651 pep chromosome:PHallii_v3.1:3:14086168:14089251:-1 gene:PAHAL_3G216900 transcript:PAN18651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNASTGLLKGLRRVLEQQKISAVFCRRSQAWSSTVSFSDLDEKGDMDFDNDYTDSKRELQPQTVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVIGPEDLPKPAQWHRIAVHSDHLGAYAVQKLVKNSAVYVEGDIETRVYNDSINDQVRNIPEICVRRDGKIRLVKSGDSAGNISLDELREGLF >PAN18692 pep chromosome:PHallii_v3.1:3:14086715:14087940:-1 gene:PAHAL_3G216900 transcript:PAN18692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNASTGLLKGLRRVLEQQKISAVFCRRSQAWSSTVSFSDLDEKGDMDFDNDYTDSKRELQPQTVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVIGPEDLPKPAQWHRIAVHSDHLGAYAVQKLVKNSAVYVEGDIETRVYNDSINDQVRNIPEICVRRDGKIRLVKSGDSAGNISLDELSKFPEYLEILIS >PAN18652 pep chromosome:PHallii_v3.1:3:14086308:14089293:-1 gene:PAHAL_3G216900 transcript:PAN18652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNASTGLLKGLRRVLEQQKISAVFCRRSQAWSSTVSFSDLDEKGDMDFDNDYTDSKRELQPQTVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVIGPEDLPKPAQWHRIAVHSDHLGAYAVQKLVKNSAVYVEGDIETRVYNDSINDQVRNIPEICVRRDGKIRLVKSGDSAGNISLDELREGLF >PAN19841 pep chromosome:PHallii_v3.1:3:20917651:20919740:1 gene:PAHAL_3G302700 transcript:PAN19841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGAASMFATALLLGVFANISPSVESVGVSYGMSGDNLPPASTVVGMYKANGIPLMRIYAPDQAALEAVGGTGIRVVVGAPNDVLSSLAASPAAAASWVRNNIQAYPDVTFRCVCVGNEVEGGAAQNLVPAMENVRAALAAAGLDGIKVTTSVSQAILGGYKPPSAADFTAEAQGFMGPVLGFLARTGAPLMASVYPYFTYATNPSAMDLSYALFTAPGTVLQDGTYGYQNLFDATVDSFYVAMGKNGGSGVTLVVSESGWPSAGGVAASPENAALYNQNLINHVGRGTPRHPGAIETILFSMFNENLKESGVEQNWGLFYPNTQRVYPISFN >PAN18892 pep chromosome:PHallii_v3.1:3:15170980:15173227:1 gene:PAHAL_3G233800 transcript:PAN18892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKARIHADPVLEVDQFDCLPDSLVLLILNKVEDVRSLGRCSAVSKRFCGLVSLVHDVYVKIDRVVAVDGDAEDTLNLSSPKPRNIFSHFLKLMLFTIIKPFHNMRNPNGNGRPLFAQLSQHSPAQVLRNFTHIRNLRVELPSGDVGTEEGVLLKWRAEYGSTLQSCVILGGTRVDRRPVGGEHEPSLEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLCMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSTEGSNGGHTSRKETDAFISGAFDGPFKVAVKALMKRRTYLLEMNGF >PVH62348 pep chromosome:PHallii_v3.1:3:17949589:17952311:1 gene:PAHAL_3G269600 transcript:PVH62348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLYVLGLGMLAFSTFLSSGGHHQCTTTAGGQACAPSTLQVAFFYASLYIVAVAQGGHKPCVQAFGADQFDQSDPKESVSRSSFFNWWYFGMCAGTAVTLVLLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTNAKGGLFARAAEAFAEWRSRRKAGPLEQASQERDRAAAEAPRFSAGVDEEDQAVVSNAGFVKEAKAILRLFPIWATCLIYAVAFAQSSTFFTKQAATLDRRIGDHFKVPPAALQSFISITIVVFIPIYDRVIVPVSRRYSGKPSGITMLQRIGAGMFLSLLSIVIAALVETRRLRVSRDAGLVDKPNVPLPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPNKLRSLGLALYLSIFGVGSFISSALVSAIDRATTARGQSWFSNNLNRGHVDYFYWLLAALSALELLAYVFFAVIYKYKNKGAVHASVAG >PVH62349 pep chromosome:PHallii_v3.1:3:17950243:17952285:1 gene:PAHAL_3G269600 transcript:PVH62349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLGMLAFSTFLSSGGHHQCTTTAGGQACAPSTLQVAFFYASLYIVAVAQGGHKPCVQAFGADQFDQSDPKESVSRSSFFNWWYFGMCAGTAVTLVLLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTNAKGGLFARAAEAFAEWRSRRKAGPLEQASQERDRAAAEAPRFSAGVDEEDQAVVSNAGFVKEAKAILRLFPIWATCLIYAVAFAQSSTFFTKQAATLDRRIGDHFKVPPAALQSFISITIVVFIPIYDRVIVPVSRRYSGKPSGITMLQRIGAGMFLSLLSIVIAALVETRRLRVSRDAGLVDKPNVPLPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPNKLRSLGLALYLSIFGVGSFISSALVSAIDRATTARGQSWFSNNLNRGHVDYFYWLLAALSALELLAYVFFAVIYKYKNKGAVHASVAG >PVH62346 pep chromosome:PHallii_v3.1:3:17946873:17952310:1 gene:PAHAL_3G269600 transcript:PVH62346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGAGVVADGSVAEAVDHRGRPASRASTGGWKSASFIIAVEIAERFSFYGVSANLITYLTGPLGEGVAAAASALNAWNGTAQLLPLLGGAIADAWLGRYRTIVLASLIYILGLGMLAFSTFLSSGGHHQCTTTAGGQACAPSTLQVAFFYASLYIVAVAQGGHKPCVQAFGADQFDQSDPKESVSRSSFFNWWYFGMCAGTAVTLVLLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTNAKGGLFARAAEAFAEWRSRRKAGPLEQASQERDRAAAEAPRFSAGVDEEDQAVVSNAGFVKEAKAILRLFPIWATCLIYAVAFAQSSTFFTKQAATLDRRIGDHFKVPPAALQSFISITIVVFIPIYDRVIVPVSRRYSGKPSGITMLQRIGAGMFLSLLSIVIAALVETRRLRVSRDAGLVDKPNVPLPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPNKLRSLGLALYLSIFGVGSFISSALVSAIDRATTARGQSWFSNNLNRGHVDYFYWLLAALSALELLAYVFFAVIYKYKNKGAVHASVAG >PVH62347 pep chromosome:PHallii_v3.1:3:17949430:17952049:1 gene:PAHAL_3G269600 transcript:PVH62347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGTDPLLARADGMADAAVDHRGLPAERGATGGWRSALFIIAVEIAERFAFYGVSSNLISYLTGPLGEGTAAAAAAINAWNGVAQLLPLLGGALADKWLGRYRTIVMASLLYVLGLGMLAFSTFLSSGGHHQCTTTAGGQACAPSTLQVAFFYASLYIVAVAQGGHKPCVQAFGADQFDQSDPKESVSRSSFFNWWYFGMCAGTAVTLVLLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTNAKGGLFARAAEAFAEWRSRRKAGPLEQASQERDRAAAEAPRFSAGVDEEDQAVVSNAGFVKEAKAILRLFPIWATCLIYAVAFAQSSTFFTKQAATLDRRIGDHFKVPPAALQSFISITIVVFIPIYDRVIVPVSRRYSGKPSGITMLQRIGAGMFLSLLSIVIAALVETRRLRVSRDAGLVDKPNVPLPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPNKLRSLGLALYLSIFGVGSFISSALVSAIDRATTARGQSWFSNNLNRGHVDYFYWLLAALSALELLAYVFFAVIYKYKNKGAVHASVAG >PAN16794 pep chromosome:PHallii_v3.1:3:5637789:5640693:1 gene:PAHAL_3G087100 transcript:PAN16794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 14-3-3 protein 7 [Source: Projected from Oryza sativa (Os01g0209200)] MAAPASPSREELIYTAELSEEAQRYDDMLQAMSCVARLGTELTLLERGLFSRAYHYVIDEKCKARRILASFQLQERKKGNLKAEKAAMEFRLKVEAEIEEACYLVVNIIDKQLLPVSSSSADNLVFYHQMKGNCYRTLAKVKDAALGFRKRNRYGTFAELKNRAERLEVSEQSLKAYNLAREVATGNLCPTNPIRLALVLNVSGFFYHLLRSPERAYQIAKQALGDAESELESVGGDSKAASMHTKDFMGLLRDRLALWNSEKENGNDEGIGIGHKDAEDTTESSKADEQQQSDGRVMGHEEKLKEAEQLPEISDEDDDMYRMARCTSGKNMTRTQRLIWCALDRCTTKKVPK >PAN18017 pep chromosome:PHallii_v3.1:3:11434847:11435364:-1 gene:PAHAL_3G172900 transcript:PAN18017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREDEKAKPVTVKIIETVYVEADTADDFKSVVQRLTGKGAVAEPEQSSRPPASRDAQSRTGQGHGSRGDRKAAGGASGTRQNG >PVH62856 pep chromosome:PHallii_v3.1:3:54133080:54135593:-1 gene:PAHAL_3G417100 transcript:PVH62856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSTEKRRPINPPQRASTGTTPTNHGMEKSKVLVVGGTGFIGRRLVAASLAQGHPTFVLMRPEIGLDVDKLQTLLSFKAKGARLVEASLDDHAGLVAAVRQVDVVVSALSGWHIRSQHKLVEAIKEAGNVKRFLPSEFGTDPSRMGHALEPGRALLDEKMELRRAIEAASIPHTYISANCFAAYFCPNLAQIGTLLPPKDKTWILAVK >PVH62855 pep chromosome:PHallii_v3.1:3:54134600:54135553:-1 gene:PAHAL_3G417100 transcript:PVH62855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSTEKRRPINPPQRASTGTTPTNHGMEKSKVLVVGGTGFIGRRLVAASLAQGHPTFVLMRPEIGLDVDKLQTLLSFKAKGARLVEASLDDHAGLVAAVRQVDVVVSALSGWHIRSQHKLVEAIKEAGNVKRFLPSEFGTDPSRMGHALEPGRALLDEKMELRRAIEAASIPHTYISANCFAAYFCPNLAQIGTLLPPKDK >PVH61718 pep chromosome:PHallii_v3.1:3:6476113:6476907:-1 gene:PAHAL_3G101900 transcript:PVH61718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSPSATPRQELPVEIVSDEEMAFIDAALAAAAAGGRPLVSSTARRAAAQLSCAAHSAAGGDIEDSPVPRRSLLARFRERRALAVTDITATEWCEKQMEFMLEHGKPERTEAMKAGLERHAQLEQEVVERVEVAIRSEEELWTVKFMNFIMGTNQLMFKGITREIPV >PVH61783 pep chromosome:PHallii_v3.1:3:7793979:7797015:1 gene:PAHAL_3G121600 transcript:PVH61783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLRDGESFPVRELPRRLLVVAVRKKATTARASAGVHKTSKGSGSSHPAMPPPPPSRMQPEGAAVAGDMTVEETNALDPRRCRVCGINTGGYSRCHAMEHIVESIRFPCPNAIHGCTARTTYYDQHFHCQTCPHRPCHCPSETCGFVGSTAAPLDHFNEAHGWPCTTKVKAATMNYKDDDDYEFKPQLHDGFNFLLADCATADSKQGTIHGGLYLFLLNAVRQQLGCIITVLCIHPHHDETGRGCGPSPLKEIQCELSYSRHVYIKSRHKVSTLEVECTDLSNGLPNPDECFQFVVPKSVLAGGNTIEVGGQIVISCFVYIPTLE >PAN19607 pep chromosome:PHallii_v3.1:3:19309148:19311158:-1 gene:PAHAL_3G285700 transcript:PAN19607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLVSNPVSGGSGCAFVPVAAALRPPSATLSWGWGRAGCRRRKLNLARASTDGSGSGAAAAEASTVGDNMEGEESGGGEGFASADSSAGKQPPPVNPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGSALYTVFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFSNYTFTSGP >PAN19608 pep chromosome:PHallii_v3.1:3:19308125:19311371:-1 gene:PAHAL_3G285700 transcript:PAN19608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLVSNPVSGGSGCAFVPVAAALRPPSATLSWGWGRAGCRRRKLNLARASTDGSGSGAAAAEASTVGDNMEGEESGGGEGFASADSSAGKQPPPVNPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGSALYTVFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLIPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQSSE >PAN18869 pep chromosome:PHallii_v3.1:3:15085550:15089153:-1 gene:PAHAL_3G232100 transcript:PAN18869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGEAVLSAFMQVLFEKVITAAIGELKFPPDVKEELQNLSSSLSTIQAHVEDAEERQLKDKAARSWLAKLKEVAYEMDDLLDEYAAEALQSKLGGPSNQDHLKKVRSCFSCFWLDNCLFNRKIVQQIRKIEEKLDRLVKERQIFGSNIISGTERQEIKDRPKTSSLIDDSSVFGREKDKETIVKMLLTPNNSNHASLSILPIVGMGGLGKTTLTQLVYNDARVKEHFHLRLWLCVSENFDEMKLTKETIESVASGFSSATTNMNLLQEDLSEKLQGKRFLLVLDDVWNEDPEKWDRYRCALLTGEKGSRIVVTTRNNDVGKLMGGMTPYHLKQLSDNDCWQLFKNHAFVDGDSSAHPQLEIIGKDIVKKLKGLPLAAKAIGSLLCTRHTEDDWKNILQSEIWELPSDKNNILPALRLSYSHLPAILKQCFAFCSVFPKDYVFERGRLVQIWMALGFIQAQGRRRLEDIGGSYFDELLSRSFFQHHKDGYVMHDAMHDLAQSVSIDECIRLEDPPRSPVRSARHLSFSCHNRSWTSFEAFIEFKKARTLLLLNGYKSMTSSIPKDMFCKLKYLHVLDLHRRDITELPESVGNLKMLRYLNLSGTGITRLPSSIGRLFSLQTLKLQHCHVLDYLPESITNLVNLRCLEARTELVAGIAGIGNLTCLQHLEEFVVRKDKGYKISELKELQGITGHICIKNLENVASAEEANEASLSKKTYINTLHLVWSDRRRLTSKKADKDMQILEYLQPHHELSELTVKAFAGFYFPSWLSRLTHLQTIHLSDCTNCSVLPALGVLPLLKFLDIGGFHGIIQINQEFSGTSGIKGFPSMKELVFEDMSNLETWTSVQDGQLLPTLTELAVIDCPLLAEFPSFPSSVVKLKISESGFTILPEIHTPSSQFPLSLVCLQIHQCPNLTSLEHGLLCQKLLALEQLIITGCPELTDLPAEGFRGLTALKSIHIYDCPKLEPPRQHSLLPSILEDLRISSCSNLINPLLQEFHEISSLKNLAITDCANLHYFPVKLPASLQKLEIFHCSNLRYLPPGLEEASCLTAITILKCPLIPCLPEQALPQSLKELYIKECPLLTKSCQGEDWHKISHVPTIEIEDDSMMTEWSIRRRLS >PVH62771 pep chromosome:PHallii_v3.1:3:48378651:48379684:1 gene:PAHAL_3G394200 transcript:PVH62771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRERGGLRWLAPQMAPRDLLPLAPPRLPWALKLPRPSYRCYNSGHLTLAAAAERGLPAAAHSGSGHSLARFKVVGGRQVAGGGPRRRGGGAGRRNEATGRPWWRSEDRGEERRERGGKERKEDQS >PAN17474 pep chromosome:PHallii_v3.1:3:8633094:8637675:-1 gene:PAHAL_3G134000 transcript:PAN17474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTRRAQSGKSHSWWWDSHISPQNSKWLSENVEEMEKQVKEMLELIGGGEFSAEKAKAFYQQRPLLVTHVKNFHRMYRAISVRYDNVIGELRKSIPPSLQSHGSFGVSESDSETQSSSSPESDMQENMPQQKQKPGPDYLDVSVGSGVSSDVSKKGSEGSSSSSDSNSELDEAKEENGSILYALSQKIIELEDELHEARGKLNALEENHAHCQDYYVTNSKVAEHEEKLKTPDMESNNMQKDLEERDSALESPTEIDSGNEAFEAVLLEHKHEIEVLKGAMASAAKQFEVELAHRDLEIDKCKHELEVVSEKYFHDKSTLEDEHRRLQGVIKNMEGDLAKLSEEKLQLESRIEELEQAAHSLEHSASEIVKLHEVTRNTQAELEKVTEEKEFLKERANEFEQLCRALKISGTEVAMLPETIKNLEAQLEKALEENSILQDRIKELEQVMYDSLEKHSHEQSCLSSDLLKLSEAKASLEDKLSSVAAELMQVYADKEEESLNNEKQISVLNHDIADLRSKLELLSSEKATVDDRLANLLVDITTRDEKMKQMDDHLNQLQFEHAKLMAESGSARKSLSEVRVRVSELEEEVEKQKLVISESAEGKREAIRQLCFSIEHYRSGYQQLRQLLQGHRRPLVMAT >PVH61830 pep chromosome:PHallii_v3.1:3:8632972:8638076:-1 gene:PAHAL_3G134000 transcript:PVH61830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTRRAQSGKSHSWWWDSHISPQNSKWLSENVEEMEKQVKEMLELIGGGEFSAEKAKAFYQQRPLLVTHVKNFHRMYRAISVRYDNVIGELRKSIPPSLQSHGSFGVSESDSETQSSSSPESDMQENMPQQKQKPGPDYLDVSVGSGVSSDVSKKGSEGSSSSSDSNSELDEAKEENGSILYALSQKIIELEDELHEARGKLNALEENHAHCQDYYVTNSKVAEHEEKLKTPDMESNNMQKDLEERDSALESPTEIDSGNEAFEAVLLEHKHEIEVLKGAMASAAKQFEVELAHRDLEIDKCKHELEVVSEKYFHDKSTLEDEHRRLQGVIKNMEGDLAKLSEEKLQLESRIEELEQAAHSLEHSASEIVKLHEVTRNTQAELEKVTEEKEFLKERANEFEQLCRALKISGTEVAMLPETIKNLEAQLEKALEENSILQDRIKELEQVMYDSLEKHSHEQSCLSSDLLKLSEAKASLEDKLSSVAAELMQVYADKEEESLNNEKQISVLNHDIADLRSKLELLSSEKATVDDRLANLLVDITTRDEKMKQMDDHLNQLQFEHAKLMAESGSARKSLSEVRVRVSELEEEVEKQKLVISESAEGKREAIRQLCFSIEHYRSGYQQLRQLLQGHRRPLVMAT >PAN17475 pep chromosome:PHallii_v3.1:3:8633237:8635327:-1 gene:PAHAL_3G134000 transcript:PAN17475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTRRAQSGKSHSWWWDSHISPQNSKWLSENVEEMEKQVKEMLELIGGGEFSAEKAKAFYQQRPLLVTHVKNFHRMYRAISVRYDNVIGELRKSIPPSLQSHGSFGVSESDSETQSSSSPESDMQENMPQQKQKPGPDYLDVSVGSGVSSDVSKKGSEGSSSSSDSNSELDEAKEENGSILYALSQKIIELEDELHEARGKLNALEENHAHCQDYYVTNSKVAEHEEKLKTPDMESNNMQKDLEERDSALESPTEIDSGNEAFEAVLLEHKHEIEVLKGAMASAAKQFEVELAHRDLEIDKCKHELEVVSEKYFHDKSTLEDEHRRLQGVIKNMEGDLAKLSEEKLQLESRIEELEQAAHSLEHSASEIVKLHEVTRNTQAELEKVTEEKEFLKERANEFEQLCRALKISGTEVAMLPETIKNLEAQLEKALEENSILQDRIKELEQVMYDSLEKHSHEQSCLSSDLLKLSEAKASLEDKLSSVAAELMQVYADKEEESLNNEKQISVLNHDIADLRSKLELLSSEKATVDDRLANLLVDITTRDEKMKQMDDHLNQLQFEHAKLMAESGSARKSLSEVRVRVSELEEEVEKQKLVISESAEGKREAIRQLCFSIEHYRSGYQQLRQLLQGHRRPLVMAT >PVH61829 pep chromosome:PHallii_v3.1:3:8633005:8637270:-1 gene:PAHAL_3G134000 transcript:PVH61829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTRRAQSGKSHSWWWDSHISPQNSKWLSENVEEMEKQVKEMLELIGGGEFSAEKAKAFYQQRPLLVTHVKNFHRMYRAISVRYDNVIGELRKSIPPSLQSHGSFGVSESDSETQSSSSPESDMQENMPQQKQKPGPDYLDVSVGSGVSSDVSKKGSEGSSSSSDSNSELDEAKEENGSILYALSQKIIELEDELHEARGKLNALEENHAHCQDYYVTNSKVAEHEEKLKTPDMESNNMQKDLEERDSALESPTEIDSGNEAFEAVLLEHKHEIEVLKGAMASAAKQFEVELAHRDLEIDKCKHELEVVSEKYFHDKSTLEDEHRRLQGVIKNMEGDLAKLSEEKLQLESRIEELEQAAHSLEHSASEIVKLHEVTRNTQAELEKVTEEKEFLKERANEFEQLCRALKISGTEVAMLPETIKNLEAQLEKALEENSILQDRIKELEQVMYDSLEKHSHEQSCLSSDLLKLSEAKASLEDKLSSVAAELMQVYADKEEESLNNEKQISVLNHDIADLRSKLELLSSEKATVDDRLANLLVDITTRDEKMKQMDDHLNQLQFEHAKLMAESGSARKSLSEVRVRVSELEEEVEKQKLVISESAEGKREAIRQLCFSIEHYRSGYQQLRQLLQGHRRPLVMAT >PVH62969 pep chromosome:PHallii_v3.1:3:57915041:57915898:-1 gene:PAHAL_3G441200 transcript:PVH62969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARIRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLRQIQAAHAEARAAAAISSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPVIGWGTLFGNPQAPSENPESSAATAERDGAAQPSADGNPENGGGLLTLSTPEEGQPRE >PVH62045 pep chromosome:PHallii_v3.1:3:12554842:12555940:-1 gene:PAHAL_3G191700 transcript:PVH62045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGGGGGGGSPGAERRRVALRALLAGGEASSSAVPPAAAEVDAVRTPSKGLLRGLRCTSAAASQAIAPATAVDAARPSADWRGLGCTSAQAHAPAAAAEATRSAADWRGLGCAAVAASQAHAPAAAVDAARRSEERRGRRRRSGRERRKARGAGGGGGVVGGGMGGDVWCTPGIPFAAEASSVDCVVAPHQTAGARRRADAERPRRERPGAPPARRITMREHMSSSPMNSPPHHDILFIDADRAPSGRNTSGRRHSHARLEEEVTMQLCRICSA >PAN18382 pep chromosome:PHallii_v3.1:3:12553024:12556262:-1 gene:PAHAL_3G191700 transcript:PAN18382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGGGGGGGSPGAERRRVALRALLAGGEASSSAVPPAAAEVDAVRTPSKGLLRGLRCTSAAASQAIAPATAVDAARPSADWRGLGCTSAQAHAPAAAAEATRSAADWRGLGCAAVAASQAHAPAAAVDAARRSEERRGRRRRSGRERRKARGAGGGGGVVGGGMGGDVWCTPGIPFAAEASSVDCVVAPHQTAGARRRADAERPRRERPGAPPARRITMREHMSSSPMNSPPHHDILFIDADRAPSGRNTSGRRHSHARLEEEMMMFRTRILLGRMGMYDQYQDWRLDVDNMTYEELLDLEDRIGYVSTGLREDEITRSLRMVKYSAFNPKHFSTEMDRRCSICQEEFEANEETGKLSCRHSYHVHCIKQWLSQKNACPVCKTTVSNT >PAN20142 pep chromosome:PHallii_v3.1:3:23077257:23078632:-1 gene:PAHAL_3G316800 transcript:PAN20142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQRSAGLLLASLAFAICMLIPCCCSSKEAMELFERACHCFDDPNIYGQCAAELRLNAEGAFHVQRNEVDEYCGGPCMEETKLALQCVEEVAAQSFRFSNGASVLAVRQALGTGCGYGPDRGTFEIRERKDCVGGGGGGADEYYYHKPRDHAQQKPAAGRFYGEEGQQPYEQGAGYGEGEEHCYGYGDAGRLAEHRGFLRTTVPVLVASAALLLKL >PAN19421 pep chromosome:PHallii_v3.1:3:18119323:18121353:-1 gene:PAHAL_3G272000 transcript:PAN19421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSCSASVGLLLAAHCALLLALAGAASAGGGVALSSAFYDASCPGAHDVVRRVIQDARVSDPRIPASLVRLHFHDCFVNGCDGSLLLDNDLPAIQTEKNVVANNNSARGFPVVDDIKAALENACPGIVSCADILALAAEISVELAGGPRWGVLLGRRDGTTTNVESANNLPSPFDPLNVLQEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRENCTAGQPEGALENLDEATPNLFDNKYYGNLLEGRAQLPSDQVMLSGPAAAATTAPIVHRFAGNQQDFFRNFAASMMKMGNISPLTGSDGEIRRNCRRVNGKGY >PVH61506 pep chromosome:PHallii_v3.1:3:3157321:3158351:-1 gene:PAHAL_3G049300 transcript:PVH61506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVHIEKRPENFSFREILPHHASLQKSVALPSPTTMSLPFQIEIFCFEEVIPYR >PAN18955 pep chromosome:PHallii_v3.1:3:15432367:15436414:1 gene:PAHAL_3G237900 transcript:PAN18955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKASSAASGAAYEEQRRKRVLENLKHLEDLGISEMSKSLLQAARLQKQNKGEVRASPKPRKKFDATEVRRSSRAKATVSYKDDFGELDTFLRHKRRSGGKNADPGREYTGRVSSYEQQQRAFRRAEKLQDGLDPNNPSFVKTMVRSHVSSCFWLGLPTSFCKKNLPPSEFRMVLEDEDGVEFDAVYIGKRTGLSGGWRGFAMHHNLEDGDSLVFELTEHDRFKIYIIKAMDEDDVEEAESDDKNASGGTKEESAQEDSPAAEPPKGAKRRKLRGRR >PAN18954 pep chromosome:PHallii_v3.1:3:15432367:15434826:1 gene:PAHAL_3G237900 transcript:PAN18954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKASSAASGAAYEEQRRKRVLENLKHLEDLGISEMSKSLLQAARLQKQNKGEVRASPKPRKKFDATEVRRSSRAKATVSYKDDFGELDTFLRHKRRSGGKNADPGREYTGRVSSYEQQQRAFRRAEKLQDGLDPNNPSFVKTMVRSHVSSCFWLGLPTSFCKKNLPPSEFRMVLEDEDGVEFDAVYIGKRTGLSGGWRGFAMHHNLEDGDSLVFELTEHDRFKNKAKSKVPDMNSREESMFAEAPPNESSKHGK >PVH62505 pep chromosome:PHallii_v3.1:3:21999334:22000412:1 gene:PAHAL_3G310500 transcript:PVH62505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRPGRCGGDAVACLVPPERTGREPPDAIDPAPSVPLSRPFVDRVPLQPSPSSSAQALPAAPFLPAGPRSRLWPDAGIQGAAGPSHDRIQAEHIMERSPVATPPEIEEGLSSGSPSARTPRVEVLMPLPRISPTNRTRVSTTDLARINRLK >PAN19995 pep chromosome:PHallii_v3.1:3:22246693:22250369:1 gene:PAHAL_3G312100 transcript:PAN19995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVLVANSSRPLRRSFLESERAPAFTDGMDGCRASPAPASLPDNDDLLLEILLRLPPLPSSLPRASLVCKRWRRLLSDPQFLRRFRAHHRKPPMLGFFFVDFDNDDGPIPVFTPTLATPDRIPPARFSFPNHSREGLFFLECRHGLALLFNWRKLESVVWDPITGFRLSIAIPTEMKRNDRHHHFKCDLYNGAVMRNCCSGAFKVVTVFSNRLYKLAWACLYDSESGKWGNIISTAVPSSTYLAQPSVLVGNAVCWLLHFRGAGVLRFDTDKQSLDVIQMPEDIHDTDDSCVDLLRTADGGLGIAILSKQRIQLWGQTAVSDRVVGWVLQKTIELDKLISLTPLMETQHPTTIVGFDEDNNVFFLSTAIDTFMIQLESMKFTTLPKDDSIRLYYPYTSFYTTGWGIGGGDDRSEMLNNARADFPV >PAN19994 pep chromosome:PHallii_v3.1:3:22246711:22250369:1 gene:PAHAL_3G312100 transcript:PAN19994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCRASPAPASLPDNDDLLLEILLRLPPLPSSLPRASLVCKRWRRLLSDPQFLRRFRAHHRKPPMLGFFFVDFDNDDGPIPVFTPTLATPDRIPPARFSFPNHSREGLFFLECRHGLALLFNWRKLESVVWDPITGFRLSIAIPTEMKRNDRHHHFKCDLYNGAVMRNCCSGAFKVVTVFSNRLYKLAWACLYDSESGKWGNIISTAVPSSTYLAQPSVLVGNAVCWLLHFRGAGVLRFDTDKQSLDVIQMPEDIHDTDDSCVDLLRTADGGLGIAILSKQRIQLWGQTAVSDRVVGWVLQKTIELDKLISLTPLMETQHPTTIVGFDEDNNVFFLSTAIDTFMIQLESMKFTTLPKDDSIRLYYPYTSFYTTGWGIGGGDDRSEMLNNARADFPV >PVH63048 pep chromosome:PHallii_v3.1:3:59788872:59789535:1 gene:PAHAL_3G460900 transcript:PVH63048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVSQPYRIQNMGATENTEQTRIQPARRQRRNRFAYRPRSISPGKKSTFSPFTS >PAN17091 pep chromosome:PHallii_v3.1:3:6810032:6817025:-1 gene:PAHAL_3G106800 transcript:PAN17091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPKNIFTIKVEDGKPGKDGHPAAGPVFRSILAKDGFPQLEPDMKTSWDVFRVAADKYPNNRMLGWRPLKDGVPGPYLWKSYKEVYDEVLQVGSALQQLGVQPGSRVGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDYIIDHAEIDVIFIQDKKIKEILSPNCKSAKRLKALVAFTSATREQIKDADEIGMKVYSWDDFLKVGKVNPCQPCPPQENDICTIMYTSGTSGQPKGVMLTHESHALYVKGVDLFMDQFDDKMTTDDVFLSFLPLAHILDRMIEEYFFHKGASIGYYHGDLNALRDDIQELKPTLLVGVPRVYERIYEGILKAIAELRPLRRVIFNALYNRKLASMKSGYSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSTEIEEFLRVTTCAYFIQGYGLTETLGPSTVCYIDDMALVGSVGVPATYTEIRLEEVPEMGYDPLGVPSRGEICIRGKSVFAGYYKNPELTNEAIVDGWFHTGDIGEMTPDGILKVIDRKKNIFKMSQGEYVAVEYLEKVYGFPPLVEDIWVYGDSFRSNLVAVVNPHEENTMKWAESNGYKGSFSEICKLEGLKEYILKELAAIAQKNKLRGFEYIKGIVLDPIPFDIERDLVTATMKKRRNNMLKYYQSEIDTIYKKLETQKNAAKAN >PAN17090 pep chromosome:PHallii_v3.1:3:6810030:6817130:-1 gene:PAHAL_3G106800 transcript:PAN17090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPKNIFTIKVEDGKPGKDGHPAAGPVFRSILAKDGFPQLEPDMKTSWDVFRVAADKYPNNRMLGWRPLKDGVPGPYLWKSYKEVYDEVLQVGSALQQLGVQPGSRVGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDYIIDHAEIDVIFIQDKKIKEILSPNCKSAKRLKALVAFTSATREQIKDADEIGMKVYSWDDFLKVGKVNPCQPCPPQENDICTIMYTSGTSGQPKGVMLTHESHALYVKGVDLFMDQFDDKMTTDDVFLSFLPLAHILDRMIEEYFFHKGASIGYYHGDLNALRDDIQELKPTLLVGVPRVYERIYEGILKAIAELRPLRRVIFNALYNRKLASMKSGYSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSTEIEEFLRVTTCAYFIQGYGLTETLGPSTVCYIDDMALVGSVGVPATYTEIRLEEVPEMGYDPLGVPSRGEICIRGKSVFAGYYKNPELTNEAIVDGWFHTGDIGEMTPDGILKVIDRKKNIFKMSQGEYVAVEYLEKVYGFPPLVEDIWVYGDSFRSNLVAVVNPHEENTMKWAESNGYKGSFSEICKLEGLKEYILKELAAIAQKNKLRGFEYIKGIVLDPIPFDIERDLVTATMKKRRNNMLKYYQSEIDTIYKKLETQKNAAKAN >PAN18669 pep chromosome:PHallii_v3.1:3:14112193:14116367:1 gene:PAHAL_3G217400 transcript:PAN18669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDLAGSRRWRCDLCGDDRWLSGAAGDDHFDRLPDALLLVIFNRIGDVKALGRCSIVSRRFHELVPLVDSVLVRVDCVIPDEPPSSSSPSAPSSPTASVRARGVFSQIARIVLGGIVKPIQALGQILSPAHSASGFSASSASSSSSFPPSSTSYSPLPPGDVSHHSPSEVLRSFKELRHLRIELPAGELGTDDGVMLKWKADFGSTLGSCVILGASSASPSPAGSDGASTAPSVDSGRSEPEECDDSGSIPESFYTNGGLRLRVVWTISSLIAAAGRHYLLQPIVADHTTLERLDLTDADGQGVLTMDKCQLQELRVRPVSSSAASHRTLMPELSMWLWYAPCIELPGGLVLNGATLVAIKPSEEATRDMVGNGAATAAWVLDAFEEPYRTAARMLLKRRTYTLEMNSF >PAN18668 pep chromosome:PHallii_v3.1:3:14112193:14116367:1 gene:PAHAL_3G217400 transcript:PAN18668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDLAGSRRWRCDLCGDDRWLSGAAGDDHFDRLPDALLLVIFNRIGDVKALGRCSIVSRRFHELVPLVDSVLVRVDCVIPDEPPSSSSPSAPSSPTASVRARGVFSQIARIVLGGIVKPIQALGQILSPAHSASGFSASSASSSSSFPPSSTSYSPLPPGDVSHHSPSEVLRSFKELRHLRIELPAGELGTDDGVMLKWKADFGSTLGSCVILGASSASPSPAGSDGASTAPSVDSGRSEPEECDDSGSIPESFYTNGGLRLRVVWTISSLIAAAGRHYLLQPIVADHTTLERLDLTDADGQGVLTMDKCQLQELRVRPVSSSAASHRTLMPELSMWLWYAPCIELPGGLVLNGATLVAIKPSEEATRDMVGNGAATAAWVLDAFEEPYRTAARMLLKRRTYTLEMNSF >PAN18670 pep chromosome:PHallii_v3.1:3:14112193:14116367:1 gene:PAHAL_3G217400 transcript:PAN18670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDLAGSRRWRCDLCGDDRWLSGAAGDDHFDRLPDALLLVIFNRIGDVKALGRCSIVSRRFHELVPLVDSVLVRVDCVIPDEPPSSSSPSAPSSPTASVRARGVFSQIARIVLGGIVKPIQALGQILSPAHSASGFSASSASSSSSFPPSSTSYSPLPPGDVSHHSPSEVLRSFKELRHLRIELPAGELGTDDGVMLKWKADFGSTLGSCVILGASSASPSPAGSDGASTAPSVDSGRSEPEECDDSGSIPESFYTNGGLRLRVVWTISSLIAAAGRHYLLQPIVADHTTLERLDLTDADGQGVLTMDKCQLQELRVRPVSSSAASHRTLMPELSMWLWYAPCIELPGGLVLNGATLVAIKPSEEATRDMVGNGAATAAWVLDAFEEPYRTAARMLLKRRTYTLEMNSF >PVH62614 pep chromosome:PHallii_v3.1:3:28351144:28352464:1 gene:PAHAL_3G337400 transcript:PVH62614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGKAQLSHHWPWRAACLLTGRSTEGPRGPLRACCRPRRGGALAARPTPAARSPDEPRENWERHARQTRRRPRSTRSGRAGGAPCVGAVRAPRAPGGRQLAICDVGDGN >PVH62009 pep chromosome:PHallii_v3.1:3:11830201:11831658:1 gene:PAHAL_3G180000 transcript:PVH62009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAPRPAAGAAARSNPGRSTPASSVASLLRPLRRPTPASSFDSFKGLTTNSSSSAARAKPAPSSPPVFDDNIFDTVLGLRPSQLLRAVRRRRVLGGGPGYDDVFATGTTSPPSTTSPPTPPPPPPSRAARGSRFSSPWRASRMSSATSSSATRCRLIPAASSAEGAVGRWIAMDGQHDGSRDRGSQRTHFSLGSQGRA >PVH62290 pep chromosome:PHallii_v3.1:3:17219113:17219460:-1 gene:PAHAL_3G260400 transcript:PVH62290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRGCLVLMPLLLLAAVIAAAAAGTEWANEGHHRGHRSHRTPAAAPAGLYPSHGPAPAPGATSPQGAEAPAPAPEAGDHSDGAAAVPVSWPVALLAAAGVAAVMMV >PAN18444 pep chromosome:PHallii_v3.1:3:13200915:13202986:1 gene:PAHAL_3G202200 transcript:PAN18444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVVEMFSNAVLGELVSRSMSSLFAKCEKETAAAAQEDLQLLRRLLMRSATIVEEAERRRVPSRAMLLQLKALRGETFRGYYVLDVVRCRAALGGGGRRRDGEDDGDDVARRAFALSRFNPAKRVRSRSGAPEATESPPVLGGPSPEVELHRLVRSLEAMIGDMKEFVVFLTSYPPLYRQPYSAHMFVDKCMFGRHMEKETVMEFLLKKEPPGAEHLGVLPIVGPAHIGKSTLVEHVSDDERVRNHFSLILFYTGNDLEDVTVSSFRDKCLIKHHTDNASAERLLIVIEILDDVDDATWNGLYSSQRSIAQGSKMIITSRSERIERFGTAQALRMKCLSVEAYWYLFKMAAFGGDDPAQHPKMASLALEMASLMQGSFIFASVGAALLSANFNTQSWSRILTRLRQYTQKNASLIREYPGDINAKECPRYTWNVIKEKPDKYFMLYDIYHRGSGVEVPDISFLDLQGGHAQPPAGKYDILLTKSRIPPYFNYVCACEIRDM >PVH62584 pep chromosome:PHallii_v3.1:3:26007950:26008243:-1 gene:PAHAL_3G328700 transcript:PVH62584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLDTLSYALSRSIKTMCKSFFPSLYLPINCRTKKIASMVDLPSMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PVH61510 pep chromosome:PHallii_v3.1:3:3176367:3177324:1 gene:PAHAL_3G049900 transcript:PVH61510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKAPPSLLVLRLAILAAVLITILVMHVEPAQAGFTFLMHLHARAGSRQPTSTPPSPIPHTHISHCCK >PAN16273 pep chromosome:PHallii_v3.1:3:3176368:3177108:1 gene:PAHAL_3G049900 transcript:PAN16273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKAPPSLLVLRLAILAAVLITILVMHVEPAQAGSRQPTSTPPSPIPHTHISHCCK >PAN18136 pep chromosome:PHallii_v3.1:3:11861880:11865998:1 gene:PAHAL_3G180600 transcript:PAN18136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKAAAKSKRSHTVHGRRAHQTPAAAAAHRQKRAAAAATSSGPRSRNLPSNWDRYDDEGEAEEPAAAPEWTGEVAPRSKGADFGFLLEQARAQPREARGLRAPWLPSQDLPFDFMQASTSMFEAKGEGILSWCADDNFILEDDLAPDFEVPFLSMDLHALANQLSKLKLSQRLFVEEDILPADLADVSEDNEILIECGTSVESDPKGSSVGDNLNFELRKDASHHEYAGNTYSDVQMKSECQSQCFEHEATTSPKSSTHLVNSDTEEDKAYTKNMDTDPSTGHSKRLEFEVGSAEEELDMLLNSFSGTHLSSSNLDELVGHDSTSQGTKIRWSEKKVTPSKSFKSPVLAPVDDALDDLLSETSLPVQNEGFATQSSTSQPTVKSGQNFDFGYAKKIDVISSIDDSVDNLLEDTPSCLSEPKETTTAGPNSTPHDSVPPHSGPSNASGDFDSWFDSL >PVH62985 pep chromosome:PHallii_v3.1:3:58399268:58402766:-1 gene:PAHAL_3G446900 transcript:PVH62985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAVEFSVEKVAEDCKQSTVDVGDRTYVIGRSDDDSKSSIIIKILEKLTQTWVVPTVLGTQPTLTESQSAIPVNDEKILIIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNIYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLKNARAELDQSNSPGLFDHLLVNDDLETCYENLKLLSLDDDQEDSDDLFIKDGKQTTNYCIVSKHDSEILLQPEPNEAKNGATSLLALDLSSLSGGAPGRTRGLKIRSINSF >PAN21166 pep chromosome:PHallii_v3.1:3:58399268:58402766:-1 gene:PAHAL_3G446900 transcript:PAN21166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAVEFSVEKVAEDCKQSTVDVGDRTYVIGRSDDDSKSSIIIKILEKLTQTWVVPTVLGTQPTLTESQSAIPVNDEKILIIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNIYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLKNARAELDQSNSPGLFDHLLVNDDLETCYENLKQLLSLDDDQEDSDDLY >PVH62984 pep chromosome:PHallii_v3.1:3:58397544:58402852:-1 gene:PAHAL_3G446900 transcript:PVH62984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAVEFSVEKVAEDCKQSTVDVGDRTYVIGRSDDDSKSSIIIKILEKLTQTWVVPTVLGTQPTLTESQSAIPVNDEKILIIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNIYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLKNARAELDQSNSPGLFDHLLVNDDLETCYENLKLLSLDDDQEDSDDLFIKDGKQTTNYCIVSKHDSEILLQPEPNEAKNGATSLLALDLSSLSGGAPGRTRGLKIRSINSF >PVH62986 pep chromosome:PHallii_v3.1:3:58400085:58402725:-1 gene:PAHAL_3G446900 transcript:PVH62986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAVEFSVEKVAEDCKQSTVDVGDRTYVIGRSDDDSKSSIIIKILEKLTQTWVVPTVLGTQPTLTESQSAIPVNDEKILIIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNIYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLKNARAELDQSNSPGLFDHLLVNDDLETCYENLKQLLSLDDDQEDSDDLFIKDGKQTTNYCIVSKHDSEILLQPEPNEAKNGATSLLALDLSSLSGGAPGRTRGLKIRSINSF >PAN21167 pep chromosome:PHallii_v3.1:3:58400085:58402725:-1 gene:PAHAL_3G446900 transcript:PAN21167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAVEFSVEKVAEDCKQSTVDVGDRTYVIGRSDDDSKSSIIIKILEKLTQTWVVPTVLGTQPTLTESQSAIPVNDEKILIIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNIYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLKNARAELDQSNSPGLFDHLLVNDDLETCYENLKQLLSLDDDQEDSDDLFIKDGKQTTNYCIVSKHDSEILLQPEPNEAKNGATSLLALDLSSLSGGAPGRTRGLKIRSINSF >PVH61343 pep chromosome:PHallii_v3.1:3:798074:802129:-1 gene:PAHAL_3G014500 transcript:PVH61343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHGEQEKELLSSVVADIRSYSGSDPLRPWLRGVRKMERALPPASLREKLPRFLQKCAQEFQDDVRYRDDPRYLRVWIQLMDYVADAKPLLKKMERNGIGLKRASFYMAYALYYEKHKRFSDAEKMYNLGIQNLAEPIGELHKAHEQFILRMESYKRRKDKLQERMPRKADSSAKMPTKAGPSATSTKQVEGESRNSTQPKSKTTQKSGSSSTTLGCHPPLGPAKVGMLSRGNSGANYNLSRCNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAINSMFLEPVEPETTLKRHSNREKTNSNQQTSAFDIFVDEDEPNHNNPKMVHSNSMKQEHPKFGQQTRGFEIFIDEDSPNGNDQNAGHYKNSKKENMKSNQETSGFQIFVDENEANCNVQNAMCHKKNRCPPRPLHDSSRHKGQNDFQKPFVGGFAILPDDEEEQCEKSDDGVKSNSRTVQPACDNNTLLCPVQADSGTLYHERPHPASSGLQEDMVIHRFVGSTTDDEAKVENACHHGLVDPTVNLKEAMDDINNMFGRPLNFKGERTMKKANTLSDRKAAPVSGFSILADDDLKENTCKANQSNSCKFGDENGLFEPTITTRDVMAEINDMFGMPLDF >PVH61345 pep chromosome:PHallii_v3.1:3:831883:834436:1 gene:PAHAL_3G015000 transcript:PVH61345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAMKRRPCSSESDKTSEKRMETTVSDPVTEPLLGNGPHEEKNKRYEPATWSDFWDGTREECLRWAHLLLIFIAQSARKIVNALSEFGSLIARLFEREGNPSIDSMSLYSSMLSGDFVERLKLLRQRLDVPYDCSSVKHQDALKELWSLAYPHRQLPPLKSDLWKDMGWQNSDPSTDFRAGGFMSLENLIYFARNYPDSFHRLLHKAEGKRAEWEYPFAAGGVNISYMLVQMLDLQSGKISTKAGVHFVQLLEYDEAAFGILFCVAFQVLDAQWLARRASYMEFNEVLKSTRVQLEKELTMGCILSVQDLPSFRMLKR >PAN17279 pep chromosome:PHallii_v3.1:3:7534074:7538338:-1 gene:PAHAL_3G118300 transcript:PAN17279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDPWVKEYNEASRLADDISSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLARIPPKSITDKEMHKREDMLSNLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLVKYL >PAN17278 pep chromosome:PHallii_v3.1:3:7534590:7536661:-1 gene:PAHAL_3G118300 transcript:PAN17278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDPWVKEYNEASRLADDISSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLARIPPKSITDKEMHKREDMLSNLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLVKYL >PAN17280 pep chromosome:PHallii_v3.1:3:7534074:7538430:-1 gene:PAHAL_3G118300 transcript:PAN17280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDPWVKEYNEASRLADDISSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLARIPPKSITDKEMHKREDMLSNLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLVKYL >PVH62510 pep chromosome:PHallii_v3.1:3:22365488:22365742:-1 gene:PAHAL_3G313200 transcript:PVH62510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEEHAWISIFKEMPRRFKDKLVAGATCFSTLPEPLAALIKQEELTGTVTRAPCCAPH >PAN20286 pep chromosome:PHallii_v3.1:3:38865319:38874036:-1 gene:PAHAL_3G368600 transcript:PAN20286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLCCIAPVSLEHAADHLHHQQPPPRILAAAPAPPLPQPAAAVAGVLQKWVNYGKGWRSRYFVLEDGVLSYYKLRGGGGGAGGAGEAVAFAAARVIGEGAALRRAREEAAAAGKQWRPFGEVHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNAWIDALLVAKDRFPRSLTSNDLGPMADIMLSTEKLRVRLLQEGLGEAVVKECESIMMSELLELHNQIKSRQQQHSILIDRLRQLETEKVELERTVVHETKERETHGYANGRQSDFYSVLSGGSTSESDPDTASQVAEVETEEDEVTYFDTRDFLSAESLRSASCRRKELVTNGCNGSEYVGDSVTNTVKTTKYPFVLRRDKLPEPKEKEKPIGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRILHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDRGLRFFSEKVSHHPVVVACHCEGRGWRFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRINGSGQYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDETMYYVLGDPSVKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPHLLKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWKPRWFKKDDDDSYRYVGGYWEAREKGNWEGISDIFGQNNVSPGLP >PVH62541 pep chromosome:PHallii_v3.1:3:23338686:23348802:-1 gene:PAHAL_3G318800 transcript:PVH62541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGSASSYKLQLALAVLVGASSTAAAAYYLHCRAVAQVGGDLARSASTRRRRPRAPAGAAGGKPPTTRRAGGGSASLPDLSAFYDGVGRGGGLAAGGYLVEEEEEEGLVGPHANGGALDPADFLQIPEGLPRLHVGPDGNKQLARSGSNRRVAVIRPNSPKSPAASTSAFESADGSDEDDATQNGGKLDNGYINTNGNLVSSHHSLVMEGEHKGNASVENGTAKPSSVANLIRSHSISNDLHAVQPDPVAADILRKEPEQESFIKLLTAPKEIPTADEIEVFKILQKCLELRDSYLYREEFAPWEKEIINDPCTPKPNPNPFTYVPEPKSEHVFQMVDGVVHVYEDKDYTERIYPVADATTFFTDLHYILRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDVDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYFKRGPAGNDIQRTNVPHIRVQFRDMIWRNEMKLVYLNNNILISEELDQ >PAN21294 pep chromosome:PHallii_v3.1:3:23338623:23348804:-1 gene:PAHAL_3G318800 transcript:PAN21294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGSASSYKLQLALAVLVGASSTAAAAYYLHCRAVAQVGGDLARSASTRRRRPRAPAGAAGGKPPTTRRAGGGSASLPDLSAFYDGVGRGGGLAAGGYLVEEEEEEGLVGPHANGGALDPADFLQIPEGLPRLHVGPDGNKQLARSGSNRRVAVIRPNSPKSPAASTSAFESADGSDEDDATQNGGKLDNGYINTNGNLEGEHKGNASVENGTAKPSSVANLIRSHSISNDLHAVQPDPVAADILRKEPEQESFIKLLTAPKEIPTADEIEVFKILQKCLELRDSYLYREEFAPWEKEIINDPCTPKPNPNPFTYVPEPKSEHVFQMVDGVVHVYEDKDYTERIYPVADATTFFTDLHYILRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDVDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYFKRGPAGNDIQRTNVPHIRVQFRDMIWRNEMKLVYLNNNILISEELDQ >PAN21295 pep chromosome:PHallii_v3.1:3:23339945:23348802:-1 gene:PAHAL_3G318800 transcript:PAN21295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGSASSYKLQLALAVLVGASSTAAAAYYLHCRAVAQVGGDLARSASTRRRRPRAPAGAAGGKPPTTRRAGGGSASLPDLSAFYDGVGRGGGLAAGGYLVEEEEEEGLVGPHANGGALDPADFLQIPEGLPRLHVGPDGNKQLARSGSNRRVAVIRPNSPKSPAASTSAFESADGSDEDDATQNGGKLDNGYINTNGNLEGEHKGNASVENGTAKPSSVANLIRSHSISNDLHAVQPDPVAADILRKEPEQESFIKLLTAPKEIPTADEIEVFKILQKCLELRDSYLYREEFAPWEKEIINDPCTPKPNPNPFTYVPEPKSEHVFQMVDGVVHVYEDKDYTERIYPVADATTFFTDLHYILRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDVDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVFPIFFSAIFHAHMQHESCVHVYVHTSDFSIYLRKHA >PVH62327 pep chromosome:PHallii_v3.1:3:17588114:17588428:1 gene:PAHAL_3G264500 transcript:PVH62327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDVVPPRSDMLLLGSMRSMRSSSMRYTEPHGHTEETPVPLLRSTCSSAPLPYEPKRSKYGFMIKMKEEEDKLGYLEGDLWRTSTLMMVPSNYWAFIRTYIKY >PAN21629 pep chromosome:PHallii_v3.1:3:61459556:61462253:1 gene:PAHAL_3G481200 transcript:PAN21629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEKGCESCREWREHYYREHMDVTKIRFFKFMTGDFVRGISIPAKFVKNFNGQITKGLDLKVPSGETWHVCVDKRDDELLLTSGWKDFVKAHELKENDLLIFTCRAGQHAEPYTLTDSEEASMAPQLVGSTHMASTSKKYNCKTKPRKEPQSLNSSSYHVKHEGIEEEESDDMYADSKFYYSRMANQFTVEEKENILSLASIQPENPAFVAVLQKSHCQRRSNFLVT >PAN21630 pep chromosome:PHallii_v3.1:3:61459556:61462253:1 gene:PAHAL_3G481200 transcript:PAN21630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEKGCESCREWREHYYREHMDVTKIRFFKFMTGDFVRGISIPAKFVKNFNGQITKGLDLKVPSGETWHVCVDKRDDELLLTSGWKDFVKAHELKENDLLIFTCRAGQHAEPYTLTDSEEASMAPQLVGSTHMASTSKKYNCKTKPRKEPQSLNSSSYHVKHEGIEEEESDDMYADSKFYYSRMANQFTVEEKENILSLASIQPENPAFVAVLQKSHCQRRSNFLIVPSRFAADHLQERTEEIILCRPSRKDKWSVRYYYKTYTRGFQSLPFFKFVHGNKLREGDACVFELMKGAKRVTMRVHVIRKVGDRFVLVG >PAN21633 pep chromosome:PHallii_v3.1:3:61459556:61462253:1 gene:PAHAL_3G481200 transcript:PAN21633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEKGCESCREWREHYYREHMDVTKIRFFKFMTGDFVRGISIPAKFVKNFNGQITKGLDLKVPSGETWHVCVDKRDDELLLTSGWKDFVKAHELKENDLLIFTCRGNSSFEISIFEASSCEKLSSLFANRIGPNLHKHLNDTAGQHAEPYTLTDSEEASMAPQLVGSTHMASTSKKYNCKTKPRKEPQSLNSSSYHVKHEGIEEEESDDMYADSKFYYSRMANQFTVEEKENILSLASIQPENPAFVAVLQKSHCQRRSNFLIVPSRFAADHLQERTEEIILCRPSRKDKWSVRYYYKTYTRGFQSLPFFKFVHGNKLREGDACVFELMKGAKRVTMRVHVIRKVGDRFVLVG >PAN21631 pep chromosome:PHallii_v3.1:3:61459677:61461380:1 gene:PAHAL_3G481200 transcript:PAN21631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEKGCESCREWREHYYREHMDVTKIRFFKFMTGDFVRGISIPAKFVKNFNGQITKGLDLKVPSGETWHVCVDKRDDELLLTSGWKDFVKAHELKENDLLIFTCRGNSSFEISIFEASSCEKLSSLFANRIGPNLHKHLNDTAGQHAEPYTLTDSEEASMAPQLVGSTHMASTSKKYNCKTKPRKEPQSLNSSSYHVKHEGIEEEESDDMYADSKFYYSRMANQFTVEEKENILSLASIQPENPAFVAVLQKSHCQRRSNFLVT >PAN21632 pep chromosome:PHallii_v3.1:3:61459556:61462253:1 gene:PAHAL_3G481200 transcript:PAN21632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKGCESCREWREHYYREHMDVTKIRFFKFMTGDFVRGISIPAKFVKNFNGQITKGLDLKVPSGETWHVCVDKRDDELLLTSGWKDFVKAHELKENDLLIFTCRGNSSFEISIFEASSCEKLSSLFANRIGPNLHKHLNDTAGQHAEPYTLTDSEEASMAPQLVGSTHMASTSKKYNCKTKPRKEPQSLNSSSYHVKHEGIEEEESDDMYADSKFYYSRMANQFTVEEKENILSLASIQPENPAFVAVLQKSHCQRRSNFLIVPSRFAADHLQERTEEIILCRPSRKDKWSVRYYYKTYTRGFQSLPFFKFVHGNKLREGDACVFELMKGAKRVTMRVHVIRKVGDRFVLVG >PVH62310 pep chromosome:PHallii_v3.1:3:17481206:17484073:1 gene:PAHAL_3G263800 transcript:PVH62310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PAN19313 pep chromosome:PHallii_v3.1:3:17481206:17484073:1 gene:PAHAL_3G263800 transcript:PAN19313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PAN19316 pep chromosome:PHallii_v3.1:3:17481162:17484073:1 gene:PAHAL_3G263800 transcript:PAN19316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PVH62308 pep chromosome:PHallii_v3.1:3:17481206:17484073:1 gene:PAHAL_3G263800 transcript:PVH62308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PAN19314 pep chromosome:PHallii_v3.1:3:17481206:17484092:1 gene:PAHAL_3G263800 transcript:PAN19314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PAN19315 pep chromosome:PHallii_v3.1:3:17481206:17484092:1 gene:PAHAL_3G263800 transcript:PAN19315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PAN19312 pep chromosome:PHallii_v3.1:3:17481206:17484073:1 gene:PAHAL_3G263800 transcript:PAN19312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PVH62311 pep chromosome:PHallii_v3.1:3:17481204:17484073:1 gene:PAHAL_3G263800 transcript:PVH62311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PVH62309 pep chromosome:PHallii_v3.1:3:17481206:17484073:1 gene:PAHAL_3G263800 transcript:PVH62309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PAN19311 pep chromosome:PHallii_v3.1:3:17481206:17484073:1 gene:PAHAL_3G263800 transcript:PAN19311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSWVPPSLPEPAAASGLVLLDKRCYIADLPNTTTAESTTSSGLPIKVTFRAARPPLVSHFCVHCPGLDFRRTGPKIVATDADLVLLRVPLGPNSTEWDYFVYRPRTQWLDLLPNRHARRFHDSATALISREDGAWYVVAALGGRGPLYYGRTLLRWEFDLHLYRSSDSKGWISKRLSVNEFVRDRLVPLPPAVNRLYHETEKTITIGGEHGTVAWVDPWRGIFFCDVLKERPLLQDVPLPAPARGNWDRLLKNRDLSCLRDVTISRNKDLIKYVELEFLEREELIATPVPVCYTDWVRQLNNPKSKVIRDGWKSTTWNMAIPVGLSEGWHWQRDCEVVVKDINLEAGDLCLSDLMATLSSKTTRTWKELPVANPILSMDDDVVYLISETRPRNMDKLAVMFAIDVRKATLRGLAELDVQKITMDFCTSEICRGT >PAN20677 pep chromosome:PHallii_v3.1:3:39851519:39854004:-1 gene:PAHAL_3G370600 transcript:PAN20677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLKNGNLDTTAAICPENGRPARPFPQTSRFPCPGGTGCMNQPLVFHNRTALDGDVRWLRGGVFGTYELDAADPGSGDVSYYSVTWEREVGGGGWAFHHKLRTSKKYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPAWCRPSRIDQCPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARRAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTARGQGWVGDPRAWELDVGALSLALYFYQDSGTPPAKRRWTSLDVGTEIYVSEKAEAEWTLSGFDVLVPDHCTRAQQGTSNSCW >PAN20676 pep chromosome:PHallii_v3.1:3:39851455:39854051:-1 gene:PAHAL_3G370600 transcript:PAN20676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWPAAANSLLVAVLSCCAAARAVRTHGGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDLETSAGEDGQPAYEVVHRVTDADNSLRAGDPFPGAPASATVTDVDRYAAAKELYLGDRCQVPDSPAPWQFWMVMLKNGNLDTTAAICPENGRPARPFPQTSRFPCPGGTGCMNQPLVFHNRTALDGDVRWLRGGVFGTYELDAADPGSGDVSYYSVTWEREVGGGGWAFHHKLRTSKKYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPAWCRPSRIDQCPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARRAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTARGQGWVGDPRAWELDVGALSLALYFYQDSGTPPAKRRWTSLDVGTEIYVSEKAEAEWTLSGFDVLVPDHCTRAQQGTSNSCW >PAN20678 pep chromosome:PHallii_v3.1:3:39851482:39854004:-1 gene:PAHAL_3G370600 transcript:PAN20678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWPAAANSLLVAVLSCCAAARAVRTHGGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDLETSAGEDGQPAYEVVHRVTDADNSLRAGDPFPGAPASATVTDVDRYAAAKELYLGDRCQVPDSPAPWQFWMVMLKNGNLDTTAAICPENGRPARPFPQTSRFPCPGGTGCMNQPLVFHNRTALDGDVRWLRGGVFGTYELDAADPGSGDVSYYSVTWEREVGGGGWAFHHKLRTSKKYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPAWCRPSRIDQCPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARRAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTARGQGWVGDPRAWELDVGALSLALYFYQDSGTPPAKRRWTSLDVGTEIYVSEKAEAEWTLSGFDVLVPDHCTRAQQGTSNSCW >PVH61393 pep chromosome:PHallii_v3.1:3:1286480:1287194:-1 gene:PAHAL_3G023400 transcript:PVH61393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQDSWQTKHVSADATSEHCQKNWNSFAASAHTKRNHPIHGRPDSGTSRPLVAAHEVSLPGLAPLQIRSSPAFLVDGTRSEHSKASVGREKPKVLVNTADLLEAAALQDMRQHLDVFAVGPMVPLLQQAGAGAEDRIHLHLHLCEQDHKAQVRSRRCCVSLWVGGAKRVI >PAN20048 pep chromosome:PHallii_v3.1:3:22764005:22768478:1 gene:PAHAL_3G314600 transcript:PAN20048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQISSLLQGLARSLSVGRDRDRRGDGGAGGDGKAAAPAVLRTSGTVWGEGSETFAAVCSRRGEKGTNQDCSIVWEGFGCQEDTIFCGIFDGHGPWGHYVAKAVRDSLPPSLLCHWQEALTLASLIDGEKKLSDCRFDLWKQSYVAACAAVDDELRRSRRLDAVYSGSTALSVVKQGDLMVVANVGDSRAVLGTTSDDGALAAVQLTVDFKPNLPQEKERIRRCNGQVYCLADEPGVYRVWQPSRDSPGLAMSRAFGDYSVKDCGVISAPEVTQRKISSRDQFVILATDGVWDVLSNEEAVQIVAGTPDREKAAKRLVECAVRAWRRRRRGIAVDDCSAICLFFHSPPS >PAN20049 pep chromosome:PHallii_v3.1:3:22764005:22768478:1 gene:PAHAL_3G314600 transcript:PAN20049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQISSLLQGLARSLSVGRDRDRRGDGGAGGDGKAAAPAVLRTSGTVWGEGSETFAAVCSRRGEKGTNQDCSIVWEGFGCQEDTIFCGIFDGHGPWGHYVAKAVRDSLPPSLLCHWQEALTLASLIDGEKKLSDCRFDLWKQSYVAACAAVDDELRRSRRLDAVYSGSTALSVVKQGDLMVVANVGDSRAVLGTTSDDGALAAVQLTVDFKPNLPQEKERIRRCNGQVYCLADEPGVYRVWQPSRDSPGLAMSRAFGDYSVKDCGVISAPEVTQRKISSRDQFVILATDGVWDVLSNEEAVQIVAGTPDREKAAKRLVECAVRAWRRRRRGIAVDDCSAICLFFHSPPS >PVH61769 pep chromosome:PHallii_v3.1:3:7532738:7534073:1 gene:PAHAL_3G118200 transcript:PVH61769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKVYGWAISPFVSRALLALEEAGVDYELVPMSRPAGDHRRPEHLARNPFGQVPVLEDGDLTLFESRAIARHIFRKHKPELLGAGSLERSAMVDVWLEVEAHQLHPAAGAVVVECVFAPLLGRARNQVAVDENLEKLRKVLEVYEARLAQSTYLAGDFLSAADLSHFTVMHYFMATEYAAIVEALPHVRAWWEELAARPAARKVAGFMPLGAGPAKKDL >PAN17277 pep chromosome:PHallii_v3.1:3:7530182:7534024:1 gene:PAHAL_3G118200 transcript:PAN17277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKVYGWAISPFVSRALLALEEAGVDYELVPMSRPAGDHRRPEHLARNPFGQVPVLEDGDLTLFESRAIARHIFRKHKPELLGAGSLERSAMVDVWLEVEAHQLHPAAGAVVVECVFAPLLGRARNQVAVDENLEKLRKVLEVYEARLAQSTYLAGDFLSAADLSHFTVMHYFMATEYAAIVEALPHVRAWWEELAARPAARKVAGFMPLGAGPAKKDL >PVH62170 pep chromosome:PHallii_v3.1:3:14659367:14660559:1 gene:PAHAL_3G225500 transcript:PVH62170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTASCAAIIASDLDGPLTELRNDLQKGLSTTMLDLADNTSQYGAQMPVGNVVQAVVMNLCSFSELLIHASKGSLCTEYSSMMTDYPMEMYQENGGAYYSAVESEHETTGYQSADDLDDDGYGADVDQQQTHFKIKCSSDDVCIADFDDNISKECFNNARDCIGCVSEYPELG >PAN17901 pep chromosome:PHallii_v3.1:3:10887926:10890546:1 gene:PAHAL_3G164300 transcript:PAN17901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGAGAGGHAGGLYGDPAAADHFAAFDHDDFFQRSPCAGEGGGGDGLMTPYSSITDYLQGSLDPAGLAAHLDAPCRSGDDAVKQGMEAVPVRLVRHDGQTGAPVTPNSSVLSSSSCEAGGADEEPRRRRKAGRPEEEEEEEQEEIDADEGSAADRNCKQRGKEKKARGEKKPREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAARCGVKKRVERSHQDPSTVITTYEGQHTHPSPASLLIRGGGGAYAAPARLGFRPDLRAMIDYAHATRVTPGSLLLPPAAGGLLPPTPRLLQEHRRSSSHLAAYGSVLDLIPSEMGSEHA >PVH62776 pep chromosome:PHallii_v3.1:3:48495425:48496848:-1 gene:PAHAL_3G394900 transcript:PVH62776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEHHDRSTRRQLDFALGINMAGVASRHQAKNKEDKPSNRPQKEKKEYVSEEQVRYVRNQRPTSSDLLRKYEYQYQQRLRRESKDDEYEHHTGKRLRKHEDARDHWHCPFFRYCWDSGINRLPTIKDCPECGSMKPETRESVFGRLGPAPTQQERVRSPRREDEEEDRYHHPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLKKAQPDLAEQVHYVQEKKPRASRKEWRPKSTKADKKKPQAKNYKHLKALYLKGYINGQPVNKMLVDMGAAVNIMPYLVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN16592 pep chromosome:PHallii_v3.1:3:4485383:4486531:1 gene:PAHAL_3G070600 transcript:PAN16592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIFLQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVSGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >PAN16591 pep chromosome:PHallii_v3.1:3:4481738:4486531:1 gene:PAHAL_3G070600 transcript:PAN16591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDSVECLSLPDAAMDVDDVDTHPHHGHHAHLGLPLHPAHLPSAGAGRAFPKVNAGAGAAAAAAAAAAAAAGAAAAAGAGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVSGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >PVH61934 pep chromosome:PHallii_v3.1:3:10496283:10496695:-1 gene:PAHAL_3G162200 transcript:PVH61934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGALFHGVVISYEQHHTMAVSIHKYLTHDAAQEINFCEISEISCLSVGSERIFFAKIIKFEQILFKFI >PAN19913 pep chromosome:PHallii_v3.1:3:21566130:21577169:1 gene:PAHAL_3G307300 transcript:PAN19913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRRGDMGYEEGELELEEGEAALGGADGYGGYGYGYGYGNGGGGGQLIDADATTYIDEKVQKLLGHFRKKFDGPITLENLGPLYGRSGSFLPAYLAFPQYRNPADPLNHASASRSPYLPTEAAQKNHFVKTKLDSSRKNDYYQISNECNGNLSGQMLNRSINCSEQKAPKIRIKVNNNKSLARNTAAIYSGLGLDISPSSSTEDNLDGTAEAPVPEVLPDESPRTIFEIMTCHFIPGGHLLSPLTGNVMELRQKPKAMIKHEAPEFHVGKAELHRGRGQTASATLDIKDKNAKEVKYDEKKDRVPKFKSLKCRVNKPSAVNKGTMPHVQDVSDDTGSDFLPTIIKTKHSVEGSEIFTGEISDQMQGPKKGLLKGYISDKNKDNKKEPSLDHGFSYKISYDSEEYNNQHSIGSSHLESIPSKTSSLERDKEKVVHVKEELSQYKSKEMRSLFSAESVDIMEGNVGRNYSGLIKGKNKKVSSSQAALSGKKLKFKAQKQLNEDRDRKSNGEDQDYALDHRIDLANSYPKDKSVKLEKKTISFGETDNKSVVGNGGELKISTLFDNKSDPLPLVYRNGTAESSTALTAPAPVVINEQWVCCDKCENWRLLPYGMNPDILPKKWRCSMQSWLPGMNSCKITEDETTRALRALYMVPAPENNIKDGGHDATAGIGAAIAPIFKGNMQYISISGKLKGSHDGANVGNTFDLADMSKPSKKPHFPSSRKPDGVNCFPKLKEKRKIAESSDKGEIVEKDQSNPMRISVGVDLDNLRASKKMKKESNGPVMKHQPLEFEISKSSPPANVTLKDMQKCVGISPGMGKYGSSSSDKHSHGEDKDFSDRVIKTSETENSGLPDSSIKKRKLKRRQSSQHDLDPGHSNADRNVKQNIIETSVVKKKPMPELKLSKTDRTAAHYRDTVAETDDDRISADKECLSEQYQENTCFQYPLLSESSPRRNACHVQTSTAATSSSSKVSNSHKCKAVFEEMRASPVESVSSSPLRTSDKNPLSRHKSYSWAEAENVHSQESGKKGSSCSNRNTAVGSDSDQAKAHASGLFNGDTGHHVQNDRELLKDKQDLTNACLINKGSGRSIKNVQLNPERKVNRDALPLHDNRDHKQPTGRQNGKTPPHFDSNRSDHANLTYGNIKPDNIPHNDLKINPSTVKGNKQQQLLNNASNGDASYKENQIEKSVIENLETRKQVTVDGDASNLTNASVLLKEARDLKHLSKRLKGKGDDFESTSMCFEAGLKFLHVASLWEGPTVDSSKQGDSVQAMKLYSETGNLCVFCASEFERLKKMANAALAYKCVEVAYMKAAFFKHPGAIKDRHALQAASLMVPPAESPSSSASDIDNLNNQSTVAKAVSARGVYSPQIASNPISRNNHHLMGLLSYAEDTNNAFEGTRKSQNSFSAYLSGIGKGKVNGVSLVREVLDFSFHDVKGLLQLIRHSLECINHESVK >PAN20952 pep chromosome:PHallii_v3.1:3:55107087:55107947:-1 gene:PAHAL_3G422100 transcript:PAN20952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYLLTVRKALRYLCRIFEGHLTATPVRFFPPAIRTPVWEARMRSLERRRHEEGPRYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTQNGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPENPESPAAAVERDAAAQPLTDGNPEDGEQGFLTLPAPEEGTPRE >PAN17675 pep chromosome:PHallii_v3.1:3:9599736:9601879:1 gene:PAHAL_3G148700 transcript:PAN17675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYMMRLGTGGGQQLSRVEPIPDRRSRFWQMDAQPGARIDLICPQPRRASRPPFLMDSLNRPSPKPNGALPLYRTDSTCDILDLILNKNDPDVDTDSSSQAGFFCGSPPVRTNNPVIHDPLFGKKTPSFSPLGSSFGKMGVGRVEVGSPSCGASSPKVRIEGFACGNKEPTNCAVTFA >PAN21266 pep chromosome:PHallii_v3.1:3:58931831:58935963:-1 gene:PAHAL_3G453800 transcript:PAN21266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLLPLLLLLALLPSPAPAAASARDKAPVEPDLGRCPGLEGGLPPFAAALRASCPVSAEGCPAEEVNGEELVGVLDGNKEYTAVLFYASWCPFSQRMRPLFDDLSSMFPRIKHLAVGESNVMPAIFSRYAVRTLPSIIIAHGSYTFWPLGSKDLDSMANFYTAVTGQEPVAYIGPRKWSAAQSTHYANLWNSSISEAVKREPYLAFSILFICLRIFLFFFPKFFALIKGFWTQYFQQINLGILAKLNQLLECVPHAVDVRKVWSKLRLVAGAKNARVWASSLASVSLGGQSSPRAAVGLMGSTL >PAN18684 pep chromosome:PHallii_v3.1:3:14174981:14181857:1 gene:PAHAL_3G218600 transcript:PAN18684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERRYTEQEEALEIKSLRRIIAAYANYQDAAERDVKRYERSFKMLPPAHKELLFHLGLKYQRLRWCISMNAAFIMNMLEAFEPPFDMSQYVNVSGHDHPSNMHDHSHVDCTHSSGRGDCSSISISRSNSQLDGQHCNPKEDASTHESSRETESKKDEEEHMAGCSQPLGSDLGTSQGVDVSCNGDTDASTAAYCQDKDVSSSSAVDNVTPRRCTGSLFKLNVPPIDVDKVRCIVRNIVRDWAEEGQKERDECYKPILEELNRLFPNRSNQRPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMLICSSFILNHTQEANEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSAGITEGFSMCAGDFVEVYNEESQESAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKKVAYHYGFVMEVEKMIETTYTANMRAMMQNRYRAAFWTMRKNASRAKAQKHC >PVH62276 pep chromosome:PHallii_v3.1:3:16620851:16625046:-1 gene:PAHAL_3G254000 transcript:PVH62276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYPHDPYDRIWFPWFDATMWGRLSTTQDVRIDDTFEAPSKVMQTAITPRDASMNIEFSWDYTWQPKGRPSLGYIPIMYFSEPVPLAGNAVRQFYINIDGELWYKEGFTPKYLYSVAVYNTGPYGGSIRYNVSINATANSTLPPIINAVELFTLISSANVGTDSQDVSAITTIKTKYLVKKNWMGDPCVPRTYGWDGLTCSYAISDPARITGVNMSFSGLNGGISSVFANLKAVQYVDLSHNNLTGSIPDALSQLPSLTVLDLTGNQLSGSIPPGLLQRIQDGSLNLIYADNPNLCTDSNTCQTEKGKSMLAIYIAVPMALAVVIVLLFCLLLRRKKRESMNTFVRRRSETSTSLAPTRDEHIHDSLHLENRRFTYKDLERITNNFQRVIGRGGFGYVYEGFLEDGTQVAVKLRSQSSNQGAKEFLTEAQILTRVHHKNLVSMIGYCNDGKYMALVYEYMSEGTLQEHIAGKSLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKTTNILLNAKLEAKVADFGLSRAFNHDVSTHISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFSVVLLELITGKLPILHNPQPTSVIQWTRQHLARGDIEGVLDVCMGGDHDVNSAWKAIEVALQGTAQASTQRPTMTDVVAQLQECLALEEGCTGGNTEGSGDPRFGYSVHVADRSTDASQGSSAFEVDHSFGMGVGPAAR >PVH62275 pep chromosome:PHallii_v3.1:3:16621034:16624167:-1 gene:PAHAL_3G254000 transcript:PVH62275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYPHDPYDRIWFPWFDATMWGRLSTTQDVRIDDTFEAPSKVMQTAITPRDASMNIEFSWDYTWQPKGRPSLGYIPIMYFSEPVPLAGNAVRQFYINIDGELWYKEGFTPKYLYSVAVYNTGPYGGSIRYNVSINATANSTLPPIINAVELFTLISSANVGTDSQDAVSAITTIKTKYLVKKNWMGDPCVPRTYGWDGLTCSYAISDPARITGVNMSFSGLNGGISSVFANLKAVQYVDLSHNNLTGSIPDALSQLPSLTVLDLTGNQLSGSIPPGLLQRIQDGSLNLIYADNPNLCTDSNTCQTEKGKSMLAIYIAVPMALAVVIVLLFCLLLRRKKRESMNTFVRRRSETSTSLAPTRDEHIHDSLHLENRRFTYKDLERITNNFQRVIGRGGFGYVYEGFLEDGTQVAVKLRSQSSNQGAKEFLTEAQILTRVHHKNLVSMIGYCNDGKYMALVYEYMSEGTLQEHIAGKSLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKTTNILLNAKLEAKVADFGLSRAFNHDVSTHISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFSVVLLELITGKLPILHNPQPTSVIQWTRQHLARGDIEGVLDVCMGGDHDVNSAWKAIEVALQGTAQASTQRPTMTDVVAQLQECLALEEGCTGGNTEGSGDPRFGYSVHVADRSTDASQGSSAFEVDHSFGMGVGPAAR >PVH62515 pep chromosome:PHallii_v3.1:3:22779865:22783488:1 gene:PAHAL_3G314800 transcript:PVH62515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIFIANSMMDMYEKFGFSEKASAIFEKIDAPIMVPWNAMIANLAQNGAESEAFRLFIEMQKNGKCPNAFHRSEPASSLFEGGLSKHGEADTCRELSKDGHLFHLLFACASSQRCAAAHEGTWDEQERHDLRAVAVWWVSSVATMVAMRPESPREPGPYVRHLCELSCLWFVTGKLHLLSTRRLSTMVNRVPGDDDKKLWGHIHIHVAERDILHDNGQDS >PVH62514 pep chromosome:PHallii_v3.1:3:22779865:22783488:1 gene:PAHAL_3G314800 transcript:PVH62514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIFIANSMMDMYEKFGFSEKASAIFEKIDAPIMVPWNAMIANLAQNGAESEAFRLFIEMQKNGKCPNAFHRSEPASSLFEGGLSKHGEADTCRELSKDGHLFHLLFACASSQRCAAAHEGTWDEQERHDLRAVAVWWVSSVATMVAMRPESPREPGPYVRHLCELSCLWFVTGKLHLLSTRRLSTMVNRDLCHFDDNSQ >PVH62516 pep chromosome:PHallii_v3.1:3:22779865:22783488:1 gene:PAHAL_3G314800 transcript:PVH62516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIFIANSMMDMYEKFGFSEKASAIFEKIDAPIMVPWNAMIANLAQNGAESEAFRLFIEMQKNGKCPNAFHRSEPASSLFEGGLSKHGEADTCRELSKDGHLFHLLFACASSQRCAAAHEGTWDEQERHDLRAVAVWWVSSVATMVAMRPESPREPGPYVRHLCELSCLWFVTGKLHLLSTRRLSTMVNRVPGDDDKKLWGHIHIHVAERDILHDNGQDS >PAN18989 pep chromosome:PHallii_v3.1:3:15603268:15605979:-1 gene:PAHAL_3G240800 transcript:PAN18989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRKPPERFRRREDRPAGGQGGPRPGGPGPAGAAAAPAPNAPRP >PAN15864 pep chromosome:PHallii_v3.1:3:896054:903001:1 gene:PAHAL_3G016300 transcript:PAN15864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDACSYKWKPMGFKEASSTTIFCLLLLFCLGCRCLASELVATQAATLKVDASPQSARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNNVALRMEALCDDCPTAGVGIYNPGFWGMNIEDGKAYNLVMYVKSPETMDLTVSLTSSDGLQNLASTTITVSGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVVWFDQVSLMPEDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYIKFYNAIRQAYPDIQMISNCDGSSKPLDHPTDLYDFHVYTDSKTLFNMKSTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDRTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMLHPITISSSYSGSLAASAITWQDSENSFLRVKVVNFGSDAVSLTISTTGLQASVNALGSTTTVLTSWNVMDENSFSNPNKVAPVKSELSNAAEQMQVTLAPHSFSTFDLALAPSKLVAEM >PAN15863 pep chromosome:PHallii_v3.1:3:896054:903001:1 gene:PAHAL_3G016300 transcript:PAN15863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKEASSTTIFCLLLLFCLGCRCLASELVATQAATLKVDASPQSARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNNVALRMEALCDDCPTAGVGIYNPGFWGMNIEDGKAYNLVMYVKSPETMDLTVSLTSSDGLQNLASTTITVSGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVVWFDQVSLMPEDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYIKFYNAIRQAYPDIQMISNCDGSSKPLDHPTDLYDFHVYTDSKTLFNMKSTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDRTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMLHPITISSSYSGSLAASAITWQDSENSFLRVKVVNFGSDAVSLTISTTGLQASVNALGSTTTVLTSWNVMDENSFSNPNKVAPVKSELSNAAEQMQVTLAPHSFSTFDLALAPSKLVAEM >PAN18342 pep chromosome:PHallii_v3.1:3:12813057:12814019:-1 gene:PAHAL_3G195600 transcript:PAN18342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFIVASLLLLLASAAAVEGRAVFDDDTPRDRVLIGGDEVATACNQVHFRTMCRRLTKLPGVATPRQLLLASIRVASDRAKDAKIRVAEYAARTHASGPMKSITDTCREGYDNVLQSLEETRQLIEAKGTRFDLNSKVSDAATHADECNDAFADFPDIKSPFAAMQQNVYRLVNNVLNLAVVVQHAEAHQAKLPDGPHVH >PAN19529 pep chromosome:PHallii_v3.1:3:18791360:18797135:1 gene:PAHAL_3G280300 transcript:PAN19529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRNNIVLKEPQFTYTVDMDVKKLLDMEPRAWDFIARLEPKLGAVEYMPKEKLASDLVSMLNASNKGYDHELGIRDTHNDSICPQDKKPRVAVIGSGPSGLFASLVLGELGADVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMQTFVHFGAPPNILVDGKPHLGTDKLVPLLRNFRHHLRELGVTIRFNARVDDLIVEDRQVKGIVVSDSELRPGSGSQKLSFDAVVLAVGHSARDTYNMLQQHNVDMSPKSFAVGLRIEHPQELINSIQYSELAAEVQKGRGRIPVADYKIVKSVGEKNADELDIAEQSRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRASKWANSALVVTVSSHDFKPFRSHGPLAGVEFQREFERRAAVMGGGNFVVPAQRVTDFISNRLSVTTLPPSSYRLGVRPSKLHELFPPYITEALQQSIIMIDREMPGFVAKEALLHGVETRTSSPLQISRYGETYESTSLQGLYPIGEGAGYAGGILSAAVDGMYCGFALAKQLSLFHGDIESFLGKAQNQTGFVKY >PVH62385 pep chromosome:PHallii_v3.1:3:18789637:18798056:1 gene:PAHAL_3G280300 transcript:PVH62385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSNPPQSPSSAMALAFTTASASGPRLSPFSLPPPYPLRASPSCGLDAVTAPFPRPRRCGCGAAVRCAKRTGKRRYPSEKKRLDRRHKELLRQAAPVEGREGRESGYWRLSKLAVPARDDPGKDFTGISLPLLQAIAKAIKFPVASMLPDEAFTVIRKSFDARKVLKEPQFTYTVDMDVKKLLDMEPRAWDFIARLEPKLGAVEYMPKEKLASDLVSMLNASNKGYDHELGIRDTHNDSICPQDKKPRVAVIGSGPSGLFASLVLGELGADVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMQTFVHFGAPPNILVDGKPHLGTDKLVPLLRNFRHHLRELGVTIRFNARVDDLIVEDRQVKGIVVSDSELRPGSGSQKLSFDAVVLAVGHSARDTYNMLQQHNVDMSPKSFAVGLRIEHPQELINSIQYSELAAEVQKGRGRIPVADYKIVKSVGEKNADELDIAEQSRSCYSFCMCPGGQTYMQVVLTSTDPSELCINGMSFSRRASKWANSALVVTVSSHDFKPFRSHGPLAGVEFQREFERRAAVMGGGNFVVPAQRVTDFISNRLSVTTLPPSSYRLGVRPSKLHELFPPYITEALQQSIIMIDREMPGFVAKEALLHGVETRTSSPLQISRYGETYESTSLQGLYPIGEGAGYAGGILSAAVDGMYCGFALAKQLSLFHGDIESFLGKAQNQTGFVKY >PAN19530 pep chromosome:PHallii_v3.1:3:18789637:18798056:1 gene:PAHAL_3G280300 transcript:PAN19530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSNPPQSPSSAMALAFTTASASGPRLSPFSLPPPYPLRASPSCGLDAVTAPFPRPRRCGCGAAVRCAKRTGKRRYPSEKKRLDRRHKELLRQAAPVEGREGRESGYWRLSKLAVPARDDPGKDFTGISLPLLQAIAKAIKFPVASMLPDEAFTVIRKSFDARKVLKEPQFTYTVDMDVKKLLDMEPRAWDFIARLEPKLGAVEYMPKEKLASDLVSMLNASNKGYDHELGIRDTHNDSICPQDKKPRVAVIGSGPSGLFASLVLGELGADVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMQTFVHFGAPPNILVDGKPHLGTDKLVPLLRNFRHHLRELGVTIRFNARVDDLIVEDRQVKGIVVSDSELRPGSGSQKLSFDAVVLAVGHSARDTYNMLQQHNVDMSPKSFAVGLRIEHPQELINSIQYSELAAEVQKGRGRIPVADYKIVKSVGEKNADELDIAEQSRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRASKWANSALVVTVSSHDFKPFRSHGPLAGVEFQREFERRAAVMGGGNFVVPAQRVTDFISNRLSVTTLPPSSYRLGVRPSKLHELFPPYITEALQQSIIMIDREMPGFVAKEALLHGVETRTSSPLQISRYGETYESTSLQGLYPIGEGAGYAGGILSAAVDGMYCGFALAKQLSLFHGDIESFLGKAQNQTGFVKY >PAN20005 pep chromosome:PHallii_v3.1:3:22345320:22349656:-1 gene:PAHAL_3G313000 transcript:PAN20005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHRLRLLLLAVAAAAAACGAASAKPTAYQALADFDFPPGILPKGVVAYTLDNSTGAFTATLDASASGAGKSVCEFSIQGSYSLRYQTKITGKIKPDHLYNLQGVSVKVLFFWLNIVEVSRSGDNLEFSVGIASADFGIENFLECPTCGCGFDCNDLLMLKPGAATAKLRLRGAF >PAN15989 pep chromosome:PHallii_v3.1:3:1345439:1348551:1 gene:PAHAL_3G024300 transcript:PAN15989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDVLKVQTCVLKVNIHCDGCEKKVKKILHKIDGVYQSSVDAEQGKVTVSGLMDPDTVIKKLNKAGKPAQLWGAKPGLVSQVQKLQLGGGGKGQQPKDAGGKGQPKDAGGKGQPKGGAGAGNGAGGGGGGAKDAKMAMPQPTPQQLQQLQQLHQQMQMKGMKLPPQLMGMGGKMPFPAAAPAAAKDPKAVKFNVPEDDEFGDDGSEFDDEFDDDFDDEDFEDEGLDDDWYDDPKMMAKPMPMPMGAGGGDKKGANGGGGGKKGGGGNEIPVQIKGSANNGGKKDSGAKQNQGGGGGNSKNGGGAHPPQNGKGGGNQPGQAKKGGGAGGPPAGVGGPMMGGMPPPQQPGMMMRPPNMMGGAGFPGMGQMGGMPMGHPHMGANGMQPAGGSAAAHGMPAGGMMPGAGFYPGGSGGGGMPSGPEMMQAAGNPMAQQQYMSMMAQQQQPQMMMNGHGPHGHHGHHVHGGAGYPPMGYGYGYGRPAMPYPPPMYYPQPHPHDNMFSDENPNSCSMM >PAN15990 pep chromosome:PHallii_v3.1:3:1345654:1348551:1 gene:PAHAL_3G024300 transcript:PAN15990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDVLKVQTCVLKVNIHCDGCEKKVKKILHKIDGVYQSSVDAEQGKVTVSGLMDPDTVIKKLNKAGKPAQLWGAKPGLVSQVQKLQLGGGGKGQQPKDAGGKGQPKDAGGKGQPKGGAGAGNGAGGGGGGAKDAKMAMPQPTPQQLQQLQQLHQQMQMKGMKLPPQLMGMGGKMPFPAAAPAAAKDPKAVKFNVPEDDEFGDDGSEFDDEFDDDFDDEDFEDEGLDDDWYDDPKMMAKPMPMPMGAGGGDKKGANGGGGGKKGGGGNEIPVQIKGSANNGGKKDSGAKQNQGGGGGNSKNGGGAHPPQNGKGGGNQPGQAKKGGGAGGPPAGVGGPMMGGMPPPQQPGMMMRPPNMMGGAGFPGMGQMGGMPMGHPHMGANGMQPAGGSAAAHGMPAGGMMPGAGFYPGGSGGGGMPSGPEMMQAAGNPMAQQQYMSMMAQQQQPQMMMNGHGPHGHHGHHVHGGAGYPPMGYGYGYGRPAMPYPPPMYYPQPHPHDNMFSDENPNSCSMM >PAN19002 pep chromosome:PHallii_v3.1:3:15692861:15695162:-1 gene:PAHAL_3G241900 transcript:PAN19002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSFLHVNSSLVLSVAALIIAVLCNLVRHLNASKWPVITKESVLRLLGIRLGDIPTTVILDSAAAVDALVRRADAFSDRPAGGGATTIISNGRLQIITTVPYGPHWVALRRNLSSEAFHPVRGLARAAPHRARALAALVDDIAARSAGGGGGAVPVRECLYAALFALNAATCFGDGVDFELVEAMRAAQQEFLRILPSFRVFATFRKVARLLYHDRWKQLVHSRRRQEEMYLPLIRARQERRGTATATTTSYVDTLLDLEVPDEGDPRERRKLSDGEMVGLVSEYLGAATGTVLALLEWTLVNLVLRPGIQSRLRRELGAASGGACAYLRAVVMESLRRHPPVPSVQRHMSRDVVVGSTPVTRGTLVNFSLEDIGRDGKIWTSPEEFIPDRFMPGGEGEGVRLTVGGSKEAAKVMMMPFGAGRRICPGMGYAMLHIEYFLANLITAFEWHPVEGEAVDLRAEHGFFTTMLRPLRARAVPRVKQA >PAN17996 pep chromosome:PHallii_v3.1:3:11321482:11323136:-1 gene:PAHAL_3G170800 transcript:PAN17996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSISCSLKPPSPVKEASARLQPPPPATGTPWSGGLRRACVAAAASVVIATAGAGGDAALAWDGAAVPRIAGDDAVAVDARAPPRWSDRRECPPWRANSLENIVPENLPRPSARRRFNSIRAPDRAPALAPEAVAPFLAQHSGLGCFSL >PAN18317 pep chromosome:PHallii_v3.1:3:12696700:12697981:1 gene:PAHAL_3G194000 transcript:PAN18317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELLHCPDCHRATEVVLDHATGDTVCTECALVLEAHYIDEGSEWRSFADDGGGEDRDPSRVGGPNDPFLSNAPLVTRITYSGPQKVQAVGGNALPRMRVNVGGADHEQSLVEAFRAIADMADRLGLVATIRDGAKDVYKKLDEAKACPRGKKRDVFYAACLYIACRNDGKPRTYKELATVTRAGASAKKEIGRMTTLIKKVLGEEAGQQVLDIGVVRAADYLRRFCSRLGMGNQEMRAAQEAARRLEAGLDVRRNPESIAAAISYMVVQRAAATKTVKDVSMATGVAEATIKEAHKDLTPHAEMLFA >PAN19353 pep chromosome:PHallii_v3.1:3:17801086:17805372:-1 gene:PAHAL_3G267800 transcript:PAN19353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGRWLDMASLMLASADLLLTSPFRVPDKDLECILSVICSLVTKAGSEDQALQITDLICAKLTQQADDKPALRLKVLFSLYNLLPSPYGKAFVYKKALELATAGKAAECIIPSFKNIDSFVSEWGIGNLEQRELYLAIARILKDHKGTTKEYFNFLNKYLATFKGSDDDSATIGDAKEEAVAAIIEFVKSSTLFQCDLLNMPAVAQLEKDEKYQLVYELLKIFLTKRLDSYLEFQTANSALLKDYGLVHEECITKMRLMSLLDLSSHCSSEIPYSAITSALQINDDEVEQWIVKAIAFKILDCRIDQLNQTVIVSRHTERIFGMPQWQGLRTKLGVWRGHVASAINTIQANKVTDEGTQGMQGLMIR >PAN20869 pep chromosome:PHallii_v3.1:3:53883971:53885386:1 gene:PAHAL_3G415700 transcript:PAN20869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLIRLFHGGTMKENGEFENISENLELFDGPPCFKDLVGRVTSKFVCRGDEVQLRGHFDCGKARPHYVVMKLNSESHLNQYKEVVERWYVGRLSLAYCVCLVHMKITHPLWSRT >PAN16748 pep chromosome:PHallii_v3.1:3:5442905:5445065:-1 gene:PAHAL_3G083200 transcript:PAN16748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLCSACEAAEASVLCCADDAALCARCDREVHAANRLAGKHQRLPLLAPGGQSAAAVSPPKCDICQECDAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQELEDPAPEQPEPPSPPPAKSDPAPPLYGEGDFSWAAGVTGSLADWSGVNEQFGSPAPRHAEAGSRATPKRSPRAPAFGAGHGQGRVAGGVMDWPLGEFFRGVSDFNCGFSFGESGTSKADSGKLGGSAGGSPYYRSSSEDRDANELFGQVPEIQWSVPELPSPPTASGLHWQHGGGPDSTAFVPDICSPDSFPTAAAAGAKPQRNR >PAN21972 pep chromosome:PHallii_v3.1:3:63353543:63356169:-1 gene:PAHAL_3G503800 transcript:PAN21972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRGAAREFEDFDPAVEWKLPGEDQDVVEISLPGFRKDQVRVQVDNHGVLRATGERPARGGRWARFKKDLRLPDNCDADGVRARFEGEKLIITLPIVAAAGKTPSPEPETSPSPPPAPPRWPPAAYSEPPTKQRQSPPLPPPPRRPPGYSQSPPRRRPSPPPLAPPRAPAYERPPPQAPSQPPPPPTRPPSYSEPAPPRPSPPPPPPPRLPSYSKPTPPLRPPSPPPPPPPPPPRIYFEPPRRVSPPRAPTEPPPPPPPPPPPPPPRTYFEPPRRRVSPPRAPTEPPPPPPAPPRTYFEPPRRRVSPPRAPTEPPPPPPPPSTYFEPRRRVSPPRAPTEPPPRRPASPPPAPPPPPPKYEQPPSRRPPPPAPPAPPRQTYSDPPPRQPPSPPPPPPRLPAHSKLPPAPAPRPSPPPAPPRPPISPEPPRRSPPPPEPPRAPIFSDLPPPSPQPPRPAPSKPAVDEWTKATAAEPKPSPPPHGRAMPERAISVSPPSPAPLPTRHADEAPKKQLQDATAPAAVPTGRAEETPKEPLQDTKVPEEDGKISRALPEEKKKSKKKKGTQEQTGGKFGKNQAQALGDAPATTSAEPARQLLVNAVAAAAVLVGIIWSAWRNLSS >PAN16864 pep chromosome:PHallii_v3.1:3:5913319:5918350:1 gene:PAHAL_3G092300 transcript:PAN16864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSPPSSASAPASHHLRLWLRRRGRAGAAGATFAVALLAAALLLTLSYYASAPLASDDSATGRSPALVGLTLVRRAQEKGALCLDGSAPGYHLQRGSGTGSQSWLIHLEGGGWCRNLKSCASRQRSILGSSRYMERQVEFTGILRDDKSQNPDFYNWNKVKIRYCDGASFSGNVKDELQNGTRFFFRGQRIWEAVMNELVFKGLRNAKQAFLTGCSAGGLATYIHCDAFRALLPKDSRVKCLADGAFFLNVEDISGKRTMQSFYSDVVRLQGLRERFSHCNSNMEPGKCFFPREFVKHIANPVFILNPAYDAWQVQHALAPEASDPQHSWLDCRLDIAKCSSEQLEILQGFRKELHDAIREVKQKRDWGFYINSCFVHCQSLNSFTWHSPTSPRVNNKSIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFAKPFKI >PAN18417 pep chromosome:PHallii_v3.1:3:13097234:13108323:1 gene:PAHAL_3G200100 transcript:PAN18417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPGSPRTPRVADPEDSQTRAAPYRLRRQKSEILRAQYIDVRELRICVGTWNVGSICPPSDLDIQEWLDTDEPADIYVLGFQEIIPLEVGYMIGTEDTRPVAVWEHIIHEALNKKCPDKSKFERHSDSPSPARFNPSDYVLEMDNGLLSESSNDSDGELHLLGSAKPSGSAHCLQTLDLACDVSIDNRVERKRSQYVRLISKQMVGVFLSVWVRRSLQKHIHNVRVSIVGVGTRGFIGNKGSVSVSMSIHATHFCFVCCHLAAGEKNGDELKRNGNVEDIHRRTVFGNPVHIVGVPQRIYDHERIIWLGDLNYRLNLSYERTHELISKQDWDGLFEKDQLEKELGKGCTFDGWVEGAISFPPTYKYEFNSEKYVSDAEKELGKGCTFDGWVEGAISFPPTYKYEFNSEKYVSDATKSGRRTPAWCDRILSYGKGTRLLSYKRAELTFSDHRPVTAVYMADVEVFVHRKFQRALTFTNTEVDDHLLLGKETVVEPLNHETGNFPAQVPSKVMAMRYRISESA >PVH62994 pep chromosome:PHallii_v3.1:3:58616672:58618414:1 gene:PAHAL_3G448700 transcript:PVH62994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFDVEKAEMMLEAEELKRRVEELQSNKDLMEDEIKNLQLDVLTANKKYSLSEAEVERLGMELSALIETKEADAKAFDAQNAENMKKLEDLRKKLDEIQTNKDLVEGVNDKLQTEILTVEEKYSQSEAEVKCLKHILAALVETKEAAAKAFDAEKVEIMKELDNLKRKLEEIQAIKDLTESKNDELRSEILAMKHKHSLFEQEVKSLKMELDALEVAKEAAVNKKAEILKELDDLKRKVEEVHANKDFVEGENDKLRLEISTAIQKQSMYEAEANNLKVELGALVEAKEADAKAFDAEKAKAMKELEGLKKKVEEIQTKKDLVEGEKDKLRLEILIVEQKHSMSQLEVKRLNMELVALAEEKETVVKSFDAEKAKLMKESEDLKRRMEEIQVIKEAAEEAWRGKDAEVNRLRDELVNIRVSMSQLQASYDGLDAKHSRLNDEKSSIQKALEAEKVEACKLKSKIQELENYNAGKDGETEKLKAALEEKKSEIDTMSKDIEQLHLAVAEAQEKNKGSILSCLSSCRSK >PVH63097 pep chromosome:PHallii_v3.1:3:60632895:60637438:-1 gene:PAHAL_3G473000 transcript:PVH63097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSWSAVSAYVSEYWSVIIATAVFACVGAVTIYYVVNQLNKNISLSLIKAIRVRAKRYKKWKDKVPAASHVWRKEVIPRSKGLKCCVCLKSVSPPQYSGATIHQCDICGAATHPSCSGNAHKDCKCVSMAGLNHVLHQWAVQWIDTADHSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHSSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGILSSITSGANELASTVRETIMIRRKRYKNGTASADSDSSGAIELPSDVEGDSQEANNAAKRRDDQTNGKLDEVHQSSESEKDKQLVSDNTAATGRSNGQHENSHVQNNQKYEIVNVPSDSRPLLVFVNKRSGAQSGDSLRQRLQILLNPVQVFELSKQQSPEVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSVLQDIEHAAVTVLDRWKITIKDKQGKLMAPPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGAKNIMDNTFDYFPWDVKLVIDGSKIDIPQDSEGILVANIRSYMGGVDLWKNEDDVSDTYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAKRLAQGHHIKIEISTTMPIQVDGEPWSQEPCTIEVSHRNQAFMLKRVSEEPLGHAASVMADILENAENSGIISAMQKRTLLQEIASRLL >PAN21525 pep chromosome:PHallii_v3.1:3:60632895:60637440:-1 gene:PAHAL_3G473000 transcript:PAN21525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSWSAVSAYVSEYWSVIIATAVFACVGAVTIYYVVNQLNKNISLSLIKAIRVRAKRYKKWKDKVPAASHVWRKEVIPRSKGLKCCVCLKSVSPPQYSGATIHQCDICGAATHPSCSGNAHKDCKCVSMAGLNHVLHQWAVQWIDTADHSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHSSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGILSSITSGANELASTVRETIMIRRKRYKNGTASADSDSSGAIELPSDVEGDSQEANNAAKRRDDQTNGKLDEVHQSSESEKDKQLVSDNTAATGRSNGQHENSHVQNNQKYEIVNVPSDSRPLLVFVNKRSGAQSGDSLRQRLQILLNPVQVFELSKQQSPEVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSVLQDIEHAAVTVLDRWKITIKDKQGKLMAPPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGAKNIMDNTFDYFPWDVKLVIDGSKIDIPQDSEGILVANIRSYMGGVDLWKNEDDVSDTYLPQSMHDKKLEVVSFTGMLHLGRLQGFLARKD >PAN21524 pep chromosome:PHallii_v3.1:3:60632895:60637439:-1 gene:PAHAL_3G473000 transcript:PAN21524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSWSAVSAYVSEYWSVIIATAVFACVGAVTIYYVVNQLNKNISLSLIKAIRVRAKRYKKWKDKVPAASHVWRKEVIPRSKGLKCCVCLKSVSPPQYSGATIHQCDICGAATHPSCSGNAHKDCKCVSMAGLNHVLHQWAVQWIDTADHSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHSSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGILSSITSGANELASTVRETIMIRRKRYKNGTASADSDSSGAIELPSDVEGDSQEANNAAKRRDDQTNGKLDEVHQSSESEKDKQLVSDNTAATGRSNGQHENSHVQNNQKYEIVNVPSDSRPLLVFVNKRSGAQSGDSLRQRLQILLNPVQVFELSKQQSPEVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSVLQDIEHAAVTVLDRWKITIKDKQGKLMAPPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGAKNIMDNTFDYFPWDVKLVIDGSKIDIPQDSEGILVANIRSYMGGVDLWKNEDDVSDTYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAKRLAQGHHIKIEISTTMPIQVDGEPWSQEPCTIEVSHRNQAFMLKRVSEEPLGHAASVMADILENAENSGIISAMQKRTLLQEIASRLL >PAN21412 pep chromosome:PHallii_v3.1:3:60015233:60020991:1 gene:PAHAL_3G463100 transcript:PAN21412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSALTGVMTSVISKLTALLGQEYTKLTGVQREVNFMKDELSSMNALLQRLAEVDGDLDVQMKEWRRQVQEMSYDIEDCIDDFMHRAGHNSTVDSAGLVHRVIQQLKALRVRHQIASQIQNLKARVEDASKRRMRYKLDERAFQSSTTTAIDPRLPSLYVEPDGLVGIDQPRDELIGLLMEEEGASVQQLKVISIVGPGGLGKTTLANEVYHTLEDQFQCRAFVSLSQQPDVKKILRNIFSQVSLQELFNMEMWDEEKLINTIREFLKNKRYFIVIDDVWSTQAWKTIKCALYMNNCGSRIITTTRIISIAKSCCSHHDHVYEIIPLSADDSKCLFFKRIFGSEDICPPQLEEVSNEILEKCSGLPLAIVTIASLLANKASTKEEWDRICNSIGSTLEKDPDLEEMRRILSLSYDDLPHHLKTCLLYLSIFPEDYEIERDQIVKRWIAEGFINTEGGQDLEEIGASYFNDLINRSMIQPMQIKYDGRVASCRVHDMILDLLISKSIEENFVTFMSGKCQRLFLQGKARRLSLNYYSEEQAMVPTTMIISHCRSLSIFGYSEHMPRLSKFRVLRVLDIENGEEMEHKYFEHIRRLLHLKYLRLHLRSIVTLPEQLGELQHLRTLDLGETKITKFPKSIVQLQNLTCLRASNMELPENIGNLHDLQELCEIKINQNCSASSLLGLGSLTKLRILGVRWCIVKTDTDSRAFIDNLLSSLRKLGRLNLRSLCIQGYYGYSIDFLLDSWFPTPHLLQKFQMSLNYYFPRIPVWIAPLGRLTNLKINVDPVDEKTWEILGNLPSLMFLFVTSKAAALKERFVVSSSMFICLKEFHFTCWNTGPGMMFEAGAMPILEKMRVPFNAGSGLNFGIQQLSSLRHLVVEIICSGATVQEVDALEESIRSAADLLPNRPTLEVRTWDEENMAEEESMTEEIHTRG >PAN21410 pep chromosome:PHallii_v3.1:3:60015260:60020653:1 gene:PAHAL_3G463100 transcript:PAN21410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSALTGVMTSVISKLTALLGQEYTKLTGVQREVNFMKDELSSMNALLQRLAEVDGDLDVQMKEWRRQVQEMSYDIEDCIDDFMHRAGHNSTVDSAGLVHRVIQQLKALRVRHQIASQIQNLKARVEDASKRRMRYKLDERAFQSSTTTAIDPRLPSLYVEPDGLVGIDQPRDELIGLLMEEEGASVQQLKVISIVGPGGLGKTTLANEVYHTLEDQFQCRAFVSLSQQPDVKKILRNIFSQVSLQELFNMEMWDEEKLINTIREFLKNKRYFIVIDDVWSTQAWKTIKCALYMNNCGSRIITTTRIISIAKSCCSHHDHVYEIIPLSADDSKCLFFKRIFGSEDICPPQLEEVSNEILEKCSGLPLAIVTIASLLANKASTKEEWDRICNSIGSTLEKDPDLEEMRRILSLSYDDLPHHLKTCLLYLSIFPEDYEIERDQIVKRWIAEGFINTEGGQDLEEIGASYFNDLINRSMIQPMQIKYDGRVASCRVHDMILDLLISKSIEENFVTFMSGKCQRLFLQGKARRLSLNYYSEEQAMVPTTMIISHCRSLSIFGYSEHMPRLSKFRVLRVLDIENGEEMEHKYFEHIRRLLHLKYLRLHLRSIVTLPEQLGELQHLRTLDLGETKITKFPKSIVQLQNLTCLRASNMELPENIGNLHDLQELCEIKINQNCSASSLLGLGSLTKLRILGVRWCIVKTDTDSRAFIDNLLSSLRKLGRLNLRSLCIQGYYGYSIDFLLDSWFPTPHLLQKFQMSLNYYFPRIPVWIAPLGRLTNLKINVDPVDEKTWEILGNLPSLMFLFVTSKAAALKERFVVSSSMFICLKEFHFTCWNTGPGMMFEAGAMPILEKMRVPFNAGSGLNFGIQQLSSLRHLVVEIICSGATVQEVDALEESIRSAADLLPNRPTLEVRTWDEENMAEEESMTEEIHTRG >PAN21411 pep chromosome:PHallii_v3.1:3:60015260:60020653:1 gene:PAHAL_3G463100 transcript:PAN21411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSALTGVMTSVISKLTALLGQEYTKLTGVQREVNFMKDELSSMNALLQRLAEVDGDLDVQMKEWRRQVQEMSYDIEDCIDDFMHRAGHNSTVDSAGLVHRVIQQLKALRVRHQIASQIQNLKARVEDASKRRMRYKLDERAFQSSTTTAIDPRLPSLYVEPDGLVGIDQPRDELIGLLMEEEGASVQQLKVISIVGPGGLGKTTLANEVYHTLEDQFQCRAFVSLSQQPDVKKILRNIFSQVSLQELFNMEMWDEEKLINTIREFLKNKRYFIVIDDVWSTQAWKTIKCALYMNNCGSRIITTTRIISIAKSCCSHHDHVYEIIPLSADDSKCLFFKRIFGSEDICPPQLEEVSNEILEKCSGLPLAIVTIASLLANKASTKEEWDRICNSIGSTLEKDPDLEEMRRILSLSYDDLPHHLKTCLLYLSIFPEDYEIERDQIVKRWIAEGFINTEGGQDLEEIGASYFNDLINRSMIQPMQIKYDGRVASCRVHDMILDLLISKSIEENFVTFMSGKCQRLFLQGKARRLSLNYYSEEQAMVPTTMIISHCRSLSIFGYSEHMPRLSKFRVLRVLDIENGEEMEHKYFEHIRRLLHLKYLRLHLRSIVTLPEQLGELQHLRTLDLGETKITKFPKSIVQLQNLTCLRASNMELPENIGNLHDLQELCEIKINQNCSASSLLGLGSLTKLRILGVRWCIVKTDTDSRAFIDNLLSSLRKLGRLNLRSLCIQGYYGYSIDFLLDSWFPTPHLLQKFQMSLNYYFPRIPVWIAPLGRLTNLKINVDPVDEKTWEILGNLPSLMFLFVTSKAAALKERFVVSSSMFICLKEFHFTCWNTGPGMMFEAGAMPILEKMRVPFNAGSGLNFGIQQLSSLRHLVVEIICSGATVQEVDALEESIRSAADLLPNRPTLEVRTWDEENMAEEESMTEEIHTRG >PAN21413 pep chromosome:PHallii_v3.1:3:60016179:60020588:1 gene:PAHAL_3G463100 transcript:PAN21413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRIAKPMSIFQQMVSALTGVMTSVISKLTALLGQEYTKLTGVQREVNFMKDELSSMNALLQRLAEVDGDLDVQMKEWRRQVQEMSYDIEDCIDDFMHRAGHNSTVDSAGLVHRVIQQLKALRVRHQIASQIQNLKARVEDASKRRMRYKLDERAFQSSTTTAIDPRLPSLYVEPDGLVGIDQPRDELIGLLMEEEGASVQQLKVISIVGPGGLGKTTLANEVYHTLEDQFQCRAFVSLSQQPDVKKILRNIFSQVSLQELFNMEMWDEEKLINTIREFLKNKRYFIVIDDVWSTQAWKTIKCALYMNNCGSRIITTTRIISIAKSCCSHHDHVYEIIPLSADDSKCLFFKRIFGSEDICPPQLEEVSNEILEKCSGLPLAIVTIASLLANKASTKEEWDRICNSIGSTLEKDPDLEEMRRILSLSYDDLPHHLKTCLLYLSIFPEDYEIERDQIVKRWIAEGFINTEGGQDLEEIGASYFNDLINRSMIQPMQIKYDGRVASCRVHDMILDLLISKSIEENFVTFMSGKCQRLFLQGKARRLSLNYYSEEQAMVPTTMIISHCRSLSIFGYSEHMPRLSKFRVLRVLDIENGEEMEHKYFEHIRRLLHLKYLRLHLRSIVTLPEQLGELQHLRTLDLGETKITKFPKSIVQLQNLTCLRASNMELPENIGNLHDLQELCEIKINQNCSASSLLGLGSLTKLRILGVRWCIVKTDTDSRAFIDNLLSSLRKLGRLNLRSLCIQGYYGYSIDFLLDSWFPTPHLLQKFQMSLNYYFPRIPVWIAPLGRLTNLKINVDPVDEKTWEILGNLPSLMFLFVTSKAAALKERFVVSSSMFICLKEFHFTCWNTGPGMMFEAGAMPILEKMRVPFNAGSGLNFGIQQLSSLRHLVVEIICSGATVQEVDALEESIRSAADLLPNRPTLEVRTWDEENMAEEESMTEEIHTRG >PAN16981 pep chromosome:PHallii_v3.1:3:6342950:6345434:1 gene:PAHAL_3G100000 transcript:PAN16981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPIRISLIVSFFGILAFVLGVIAENKKPAAGTPIPGKDVVICKFPSDPTLAMGSLSLVALVVAAIVGHVAIFFPYSGKSVPHGALFQSTSLTVFFIVAELVSALAIAMLLWATVTEGLHRSNTIHHDMNYQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARADYLDEDDNKGEYGQVYAAEVDASKV >PVH63259 pep chromosome:PHallii_v3.1:3:63604501:63608534:1 gene:PAHAL_3G506600 transcript:PVH63259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAFTVDLDKPLVFQVGHLEEQYQEWVHQPIVSKEGPRFFENDVLEFLTRTKWWAVPLIWLPVVYWCLSTSIQMGRTITEVAMMVVFGIFLWTLIEYVLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNLIKLFSTPSTTPGLFGGGLLGYVIYDCTHYYLHHGQPSSDPAKHLKKYHLNHHFRIQTKGFGITSTLWDHVFGTLPSTKAADKSI >PVH63260 pep chromosome:PHallii_v3.1:3:63604501:63608534:1 gene:PAHAL_3G506600 transcript:PVH63260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAFTVDLDKPLVFQVGHLEEQYQEWVHQPIVSKEGPRFFENDVLEFLTRTKWWAVPLIWLPVVYWCLSTSIQMGRTITEVAMMVVFGIFLWTLIEYVLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNLIKLFSTPSTTPGLFGGGLLGYVIYDCTHYYLHHGQPSSDPAKHLKKYHLNHHFRIQTKGFGITSTLWDHVFGTLPSTKAADKSI >PVH63258 pep chromosome:PHallii_v3.1:3:63604505:63608505:1 gene:PAHAL_3G506600 transcript:PVH63258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAFTVDLDKPLVFQVGHLEEQYQEWVHQPIVSKEGPRFFENDVLEFLTRTKWWAVPLIWLPVVYWCLSTSIQMGRTITEVAMMVVFGIFLWTLIEYVLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNLIKLFSTPSTTPGLFGGGLLGYVIYDCTHYYLHHGQPSSDPAKHLKKYHLNHHFRIQTKGFGITSTLWDHVFGTLPSTKAADKSI >PVH62689 pep chromosome:PHallii_v3.1:3:39473276:39478689:-1 gene:PAHAL_3G369700 transcript:PVH62689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLAEEEVNCNPRTGTMGGRFHPAQVLVAALLAWACLLRPTVAATGMGHQADRIGRLPGQPAVDFPMYSGYVTVDEHAGRALFYWLQEVPVEAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRSDGTTPFLNKFRWNRAANILFLDSPAGVGFSYTNTTSDLYNSGDRRTAHDSYTFLVKWFERFPKYRYRDFYIAGESYAGHYVPELSQLVYRHNKGVKKPHINFKGFMVGNAVTDDYHDQVGTFESWWNHGLISDATYRQLEAACVHGSIEHMSQPCDAAYDAATAEQGHIDPYSLYTPTCNQTSPSTARKNRKLKGHYPYDPCTERHSMVYYNRPEVQRALHANVTGINYTWTTCSETISTNWRDSPKSMLPIYKELIAAGLRIWVFSGDTDSVVPLTATRYSIDALGLPTVVSWYPWYNKKEVGGWSQVYKGLTLVTIRGAGHEVPLHRPRQALVLFQHFLRGKPMPKNGTVV >PAN18839 pep chromosome:PHallii_v3.1:3:14944635:14948428:-1 gene:PAHAL_3G229500 transcript:PAN18839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSYANGGSAAAALAAEEKLDELRRLLGKSDGDPLRVVGVGAGAWGSVFCALLQDAYGRHRDRVQVRVWRRAGRAVDRADAERLFEVINAREDVLRRLIRRCAYLKYVEARLGDRTLHADEILRDGFCLNMLDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFGEIGRYWKERITQPLIISLAKGIEASLDPVPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAREPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLCVMHPETKKPVAPVELCPILKTLYKILIKRELATDSILQAIRDESMYDPRERIEMAQRQSLYRPSLLGLPKGDAKA >PAN19225 pep chromosome:PHallii_v3.1:3:16970552:16974387:-1 gene:PAHAL_3G257700 transcript:PAN19225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERAVASPRALAELGAGSVLNAGGSAPREQASPADWAAMVDGMQRHALASRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTIVSGLQGQPPADHPHGYPFLASVRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFVISDWEGIDRLCEPREPRGSDYRYCIAQSVNAGMDMV >PAN19227 pep chromosome:PHallii_v3.1:3:16971553:16974387:-1 gene:PAHAL_3G257700 transcript:PAN19227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERAVASPRALAELGAGSVLNAGGSAPREQASPADWAAMVDGMQRHALASRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTIVSGLQGQPPADHPHGYPFLASVRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFVISDWEGIDRLCEPREPRGSDYRYCIAQSVNAGMDMV >PVH62287 pep chromosome:PHallii_v3.1:3:16970556:16973426:-1 gene:PAHAL_3G257700 transcript:PVH62287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFVISDWEGIDRLCEPREPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDIVFLVETGEVPMSRIDDAVERILRVKFISGVFEHPFSDHSLLDIVGCKEHRLLAREAVRKSLILLKNGKDQKAPFLPLAKTAKRILVAGTHADDIGYQCGGWTIAWHGDSGKITLGTSILEAIKESLGVQTEVVYEKCPTEAMIENGDFSYAVVVVGEVPYAEWTGDRTDLSIPFNGSELISRVASKIPTLLVVISGRPLVIESQVLEKIEALVAAWLPGSEGMGITDCLFGDHDFVGTLPVTWYRSVDQLPINAGDANYDPLFPVGYGLKMFQSDDDST >PAN19226 pep chromosome:PHallii_v3.1:3:16970731:16973633:-1 gene:PAHAL_3G257700 transcript:PAN19226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERAVASPRALAELGAGSVLNAGGSAPREQASPADWAAMVDGMQRHALASRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTIVSGLQGQPPADHPHGYPFLASVRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFVISDWEGIDRLCEPREPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDIVFLVETGEVPMSRIDDAVERILRVKFISGVFEHPFSDHSLLDIVGCKEHRLLAREAVRKSLILLKNGKDQKAPFLPLAKTAKRILVAGTHADDIGYQCGGWTIAWHGDSGKITLGTSILEAIKESLGVQTEVVYEKCPTEAMIENGDFSYAVVVVGEVPYAEWTGDRTDLSIPFNGSELISRVASKIPTLLVVISGRPLVIESQVLEKIEALVAAWLPGSEGMGITDCLFGDHDFVGTLPVTWYRSVDQLPINAGDANYDPLFPVGYGLKMFQSDDDST >PAN19228 pep chromosome:PHallii_v3.1:3:16971607:16973633:-1 gene:PAHAL_3G257700 transcript:PAN19228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERAVASPRALAELGAGSVLNAGGSAPREQASPADWAAMVDGMQRHALASRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTIVSGLQGQPPADHPHGYPFLASVRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFVISDWEGIDRLCEPREPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDIVFLVETGEVPMSRIDDAVERILRVKFISGVFEHPFSDHSLLDIVGCKEHRLLAREAVRKSLILLKNGKDQKAPFLPLAKTAKRILVAGTHADDIGYQCGGWTIAWHGDSGKITLDRQKAS >PVH62286 pep chromosome:PHallii_v3.1:3:16968550:16974387:-1 gene:PAHAL_3G257700 transcript:PVH62286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERAVASPRALAELGAGSVLNAGGSAPREQASPADWAAMVDGMQRHALASRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTIVSGLQGQPPADHPHGYPFLASVRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFVISDWEGIDRLCEPREPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDIVFLVETGEVPMSRIDDAVERILRVKFISGVFEHPFSDHSLLDIVGCKEHRLLAREAVRKSLILLKNGKDQKAPFLPLAKTAKRILVAGTHADDIGYQCGGWTIAWHGDSGKITLGTSILEAIKESLGVQTEVVYEKCPTEAMIENGDFSYAVVVVGEVPYAEWTGDRTDLSIPFNGSELISRVASKIPTLLVVISGRPLVIESQVLEKIEALVAAWLPGSEGMGITDCLFGDHDFVGTLPVTWYRLVLVLSRNMFEPEALLILPVATLCKHHKSQLICRRMSYT >PAN17609 pep chromosome:PHallii_v3.1:3:9223654:9227451:-1 gene:PAHAL_3G143300 transcript:PAN17609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAPAERVVAELREACAAPAARLNEVAAAMEAEMRAGLREEGGSKIKMIISYVDNLPTGNEEGFFYALDLGGTNFRVLRVQLAGKEKRVAKRESKEVSIPPHLMSGNASELFGFIASALASFVADEGRNNVFEDKQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQTAMEKQGVDMRVAALINDTVGTLAAGRYNDEDVVIGVILGTGSNAAYVEEASAIPKFEGELPKSGNMVINTEWGNFSSSCLPITEYDQALDEESLNPGEQIFEKLISGMYLGEIVRRVLLKIASQSTLFGKVNQTKLKTRFILRTPDISAMHHDDTPDLRIVAEKLADNLKIKDTSLETRKMVVEICDIVTTRSARLAAAGIVGILRKIGRAVPGDERRSVVAIDGGLFEHYAEFRQCLEGTLVELLGEEASRSVAVKLTKDGSGLGAALIAAAHSQR >PAN18918 pep chromosome:PHallii_v3.1:3:15258517:15261039:1 gene:PAHAL_3G235800 transcript:PAN18918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQHQGPSELFTTRTSFPMEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANVSTSKNAIGCATIADRMPGTSAPTMSSTNVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSNECFSDAITDIKESSSVHRLGPKQIRFVESSTSNYLTAAEGFIKEHRLQHHGVPKAYDDSSLFCRKGSHEHENQIALNRSLSECRMAHLQNEKGYNKAEFGYESDTEMAPEYITPQKNGGGSTTSSASGSKGDAEKTYLEEPNCTRQAVEYPRESKLLDFEHSCPGKTLDLNTHNVDDADQAYRHFDLNGFSWS >PAN18919 pep chromosome:PHallii_v3.1:3:15258517:15261039:1 gene:PAHAL_3G235800 transcript:PAN18919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQHQGPSELFTTRTSFPMEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANVSTSKNAIGCATIADRMPGTSAPTMSSTNVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSNECFSDAITDIKESSSVHRLGPKQIRFVESSTSNYLTAAEGFIKEHRLQHHGVPKAYDDSSLFCRKGSHEHENQIALNRSLSECRMAHLQNEKGYNKAEFGYESDTEMAPEYITPQKNGGGSTTSSASGSKGDAEKTYLEEPNCTRQAVEYPRESKLLDFEHSCPGKTLDLNTHNVDDADQAYRHFDLNGFSWS >PAN21912 pep chromosome:PHallii_v3.1:3:62919713:62922660:-1 gene:PAHAL_3G499400 transcript:PAN21912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLRSKCSSVGRTLMGSLGNNLYGAASSSVETVTRPSHCDAISQQIRTFIQMRTNLKVVDNSGAKRVMCIQAKRGKYGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >PAN21916 pep chromosome:PHallii_v3.1:3:62974552:62978390:1 gene:PAHAL_3G499600 transcript:PAN21916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVASHGAFQGESPLDYALPLIILQICLVVVVTRSLAYLLRPLRQPRVIAEIIGGILLGPSALGRSTKFLHTVFPPESMTVLDTLANIGLLFFLFLVGLELDLSAIRRTGRKALAISLSGIALPFALGIGTSFAFRATIVKDAPHAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMALSAAAVDDVMAWILLALAIALSGSASPIISLWVLLTAAGFVIGAFVLLKPVLAWMAHRCREGEPIKELYVCATLAIVLAGGFLTDVIGIHALFGGFVVGVVIPKEGPFAGMLIEKVEDLVSGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIANACIGKIGGAVATSLLVKIPVREAVTLGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAVYKPARPSVPYKRRTVECAPGDADGELRVLACFHSSRNIPTLLNLVEASRGTGHRRLVMYAMHLVELSERSSSITMVQRARRNGVPFFNSADREGQLVVAFEAFQQLSSVRVRAMTAISDLETIHRDVIDSAAGKRAAIVVMPYHKALQQDGSFQSLGSAYHAVNKRVLREAPCSVAILVDRGLGGPAQVSAQNVSFSVAMLFFGGPDDREALAYATRMAEHPGVAVTLARCRPNRPHSDEESADDEAAIEAFKSKVGAVKDGSVHFEEREACAKEVVLETIHSLTKFNVFVVGRMPPTEPLVERPDELGPVGSYLVSPEFRTSASVLVIKRYDPATNPKSKRFDPKARPPAATEEDVLDEAEMGRSAVVPVPWSPVSDCAGHGVR >PAN16532 pep chromosome:PHallii_v3.1:3:4283387:4285759:1 gene:PAHAL_3G067100 transcript:PAN16532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAASYPAGGLIAAASPPQRHGGRLQQRRARPLMTLSTSAVRRSDRIRPANAVTMDGWAARLEAPVAVVTGASRGIGRAIAVALGKAGCKVVVNYAKSGMEAEEVCREIEESGGTAFSFAADISCETEVESMMRTVIDAWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAATVMMKRRKGRIINIASVSGIIGNVGQANYCAAKAGVIGLTKAMAREYGSRNINVNAVAPGWVASDMTAKLGDDVERKALETIPLGRFGRPEEVAGLVEFLAVHPAASYITGQVLPVDGGLSI >PAN16533 pep chromosome:PHallii_v3.1:3:4283387:4285759:1 gene:PAHAL_3G067100 transcript:PAN16533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAASYPAGGLIAAASPPQRHGGRLQQRRARPLMTLSTSAVAVRRSDRIRPANAVTMDGWAARLEAPVAVVTGASRGIGRAIAVALGKAGCKVVVNYAKSGMEAEEVCREIEESGGTAFSFAADISCETEVESMMRTVIDAWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAATVMMKRRKGRIINIASVSGIIGNVGQANYCAAKAGVIGLTKAMAREYGSRNINVNAVAPGWVASDMTAKLGDDVERKALETIPLGRFGRPEEVAGLVEFLAVHPAASYITGQVLPVDGGLSI >PAN17269 pep chromosome:PHallii_v3.1:3:7495920:7504313:1 gene:PAHAL_3G117400 transcript:PAN17269 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MACVAALLASPALLPFPSTASASCSCRLRPRPAVVARAPRQQPRGRRALRRFDEVEGVSKKRRGIGGGAGGAAGSQASSSRRDRGLAVDFKESQVAEFEDIEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHSSSGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWKGTEALSFGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASTVLRKGDVIVSFDGIAVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRDGNSMKVQTILQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVIKLNGTAVKNIHHLAHLVDTCQDKFLTFEFEDDFLVVLHREEAAAASSDILKEHAIPSVRSSDLSEPYVEAKNEVQKTSEDFGESPVTNFEMGIDCLLWA >PAN18112 pep chromosome:PHallii_v3.1:3:11775343:11776633:1 gene:PAHAL_3G179300 transcript:PAN18112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERKVDPAAFGFPGPVRPGATRVGWIGIGVMGGAMAKHLLAAGFAVTVYARTPGKAESLVAAGAGLADSPAAVAAASDVVFTMVGNPGDVRAVVLDPTTGALAGLRPGGVLIDCTSSSPSLAREIAAAASSAGCYAVDAPVSGGDVGARDGTLAIFAGGDEAVVAWLAPLFAHLGKATHMGAPGSGQSSKIANQIAVAGAVVGLGESVAFANAAGLDASLFLDAVSKGAAGSRIMDIFGDRVLRREFEYGGSVRYIVKDLGMALEVGDGQEEANVLPGAALYHQMFSAMVANGDGDLSLQALITVVERLNGIGK >PVH62938 pep chromosome:PHallii_v3.1:3:57069173:57070334:-1 gene:PAHAL_3G434400 transcript:PVH62938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSCRVCRRAVVRRGRGDVTRARGAALCDGARAAGRGSDGRRGTRGHRARGGVAWRGAAGGAALRGRACVRRGEARARAGRARRHGAGGEAGAAQRAARLRARAVAVRVRVRAVRRRARVRRRARESRSARARARGEGRWCSGVERGGGNRKEGRRKEKGGKGEKKEKEREEKEKGKRKRNRGKERKENEGGRRAGAGRGGDRGRSATRGSARREGNSGGGGRKRVGANRGRSRGRSATRVRRLRAARGEQHRAGADCGKTVAPAWDGAALGRWAAWAGAAEARARRVRGGGARGGGRRRRQGARGGAGGEAAAGPGAAGAGGGGGRGGGSRGSEEVR >PVH63250 pep chromosome:PHallii_v3.1:3:63469979:63476162:-1 gene:PAHAL_3G505100 transcript:PVH63250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIFLCLGSHLFQSSACLALGRPHTAPQRKLHTVTLRASKERKEEAMEAAVVSASGGAMGSLLRKLSELLTAEYKLLKEAKGQIMFLKAELESMYVFMKKISDSEEPDDQDKCWAKEVRELSYDIEDSISEFMLRVERDSSKPHGFKGFITRSTKLLTTMNTRHEIAKEFEGLKIRVKEASERRTRYKIDDTVPKQNNTTIDPRLLALHAETTSLVGVKGPRDQLIQLMDGEGVPAHQLKVLSIVGFGGLGKTTLANEIYHKLEEKFQSPAFVSVSQKPNIRKILRSILSQAGFVAPKDTNIEMWEESELIIALKNFLLDKRYLIVIDDIWDASAWDIIRCALPENTNGSRVITTTRIEAVARACCSNHIECVYKMKALSDQDSRSLFLKRIFGSEDMCPSYLNEVSSEILKKCGGLPLAIITTSSLLANQPNKLKKERWEYVRKSLGSNFEVSPSLEGMRQILNLSYINLPHYLKTCMLYLGIYPEDYTIDKNDLTRQWVAEGFICKERGIDPKDIAESYFNELINRSMILPVDTDYNGEVISCRVHDMMLDLILHKSREENFITIIDDMQDMTGHQNKIRRISLNLDDATNDTADTAARSVQLSQIRTLARFGTSSQLLSFKLFKHLRVLGIEISGWSKSDPSLDFTGIRHLFQLRFLKIVAEGCHVVLPSKIGDLQQLETFDIGNGKNPYKRTWLPKLPSDIFNLSRLLHLVVPSHVILPDRIGNMKSLRTLGRFDLGNSMDSIKGLRELTNLTNLEISCYYHYSKSRDETAAKCWEVVHALENLCNLRHLHIYSFNDLVRSCFDVWRSVPAYFFHLQSFHAKWVSWFSRVPKWIGQLHSIYDLDLTVQEVLEDDVGILSQLPSLIHLVLHIRRAPEAKIIIPGGSGLFPVLKHFRIICGRISYLTFEAETMPKLERLELCFNAKGWDRYGAVPAGIEHLPGLKEISVDIGGSGANESNRRAAESALRDTADMHPRRPVANIKVNKGRGWVFDEPEEEEEEGNGGSSSSST >PAN18724 pep chromosome:PHallii_v3.1:3:14333383:14334237:-1 gene:PAHAL_3G220900 transcript:PAN18724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREASRRGILGCTKWMEEEEESGEGLSIWSIDWLFDGRWWWYCLSCSRSYHCIRSRSDQSTISFCRVILLVSNQ >PAN20936 pep chromosome:PHallii_v3.1:3:55448564:55452370:-1 gene:PAHAL_3G424600 transcript:PAN20936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEASKKKAAQKKAAAAAKRGGKAPASSSSSSSSAAAAADKAANGVAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSHEIEASDMSALQAVVSCDEERVKLEKEAEILAAQDDGGGEALDRVYERLDAMDAATAEKRAAEILFGLGFTKQMQAKKTKDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDRVLVFRFTDVGKLPPPVLQFVEVKFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQYHQHLAEKLDLDMSALAYMMKEYPGTEEEKMRAAVGRFGLSGKAQVMPMKNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWDGDIMDFKAHLKSKAGLSD >PVH63228 pep chromosome:PHallii_v3.1:3:62895915:62898814:1 gene:PAHAL_3G498700 transcript:PVH63228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLSKSPLLPRRRLLLLLLLQPRRPCANATPSAAAGEIAPAPATNEVPLGDDLAEESRSRLVRDTCRLLELRDSWNAKREAQLRHLLRVLSPPQVRAVLRAQAQRDARAAFEFFRWADRQWKYRHAPEVFDEMLALLSRTRLHDPARRVMRLMIRRGMRRGPQQFAHLMLSYSRAGKLRSAMRVLQLMQKDGCAPDISICNVAVNVLVVAGRVDKALEFAERMRRVGVDPDVVTYNCLIKGLCGARRVIDALEMIGSMLQNGCPPDKISYFTVMSFLCKEKRVAEVRNLLERMRNDAGLFPDQVTYNMLIHVLAKHGHADEALGFLRESEGKRFRVDEVGYSAIVHSFCLNGRMAEAKEIVSEMISKGCHPDVVTYSAVVDGFCRTGELDQARKMMKHMYKSGCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVVQMLQKGFFPTTVEINLLIHALCKEGKPAEAKDFMQQCQSKGCSINVVNFTTVIHGFSRQGDLESALSLLDDMYLTNRHPDVVTYTVVVDALGKKGKMKEATDLVQKMLKRGLLPTPVTYRTVIHRYCERGKVEELLILLDKMLARQEFSSAYNQVIEKLCAFGKLSEAYNLLSKVLRTASKRDAQTCHVLIEGFLNRGLPLQSYNVACRMFQRNLIPDLKLCQNVESKLALAGETQAAGKLIIKFVERGPLHGLVPCTEICAQGSWHESYITVTCQQLLRSWISMQLDVTTRSSTL >PAN22042 pep chromosome:PHallii_v3.1:3:63800966:63808973:1 gene:PAHAL_3G509100 transcript:PAN22042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNGDADDLGSGWFEVKKKHRSSSKYTLQRSSGGSSNKIPNLPPRSQASYNSDSLRWHDRPQHPPPSVNANVGVDVDESGSGETTNGHAEGCNDVGANDLKSVLNASALEYVVERPEELLVAQEASETPKTGLADHANPSVPHESSTCSDSLAKCADLSQHVKCSPKTEPVGALSNTPVKFGDFDEVPGLPLPLDSCRDNSSSRDLSHGEDAAHFRNEQKDESKLKLETDSCTTVDEASPIIIQGTETPKDDTRGPQDKHEIPDSMLNVSGSTASTDSVSLSCSNNDHEVPVTSSSVASTESRTLLLDHAPASADFGSETAESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSAGVAKEGMPLTVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQMELACKQAGITAERFTSSSSEEVLGSSSKLTTASATVRNISLKVESQVKLPDSSEKKITGEKQIRDAFKSDKSHPQSMPSYSARSRRGSLEPISEIEKHTFKNSKEMPENKFDRLKSTDVVKKSTVHLDKEKQITSPWKSMDAWKEKRNWEDILKSPARSSRVSHSPGVGRKVTDRARVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLSRVNEWQAVRSSKLREVMNARHQRGESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLYDSEMRRAEKLQVIKTKQKEDTAREEAVLERRKFLEAEKMQRLAEIQRKKEEAIFRREEERKASSAAREARAAEQQRRKEIRAKVQQEEAELLAQKLAEKLRESEQRRKYHLEQIRERASMDLRDQPSPFQRRFPSKDGQNRSNYTNSGEDSQTTGNSSAADSMVKSSNNVQMKRRIKKIRQRLMALKHEFIEPPIGESTGITHRAALGAAKAKLSRWLQDLQRLRQARKEGAASIGLIVGDITKYLEGKDLELHASRQVGLLDFIASALPASHTSKPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSASLENYIKVAASNSGSSNILSNKTSTENTESSGEVLDGFLWTVTTIVGHVHLDDEQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCKCRTLGGIIVQEYEYLSSQDSMGNQLMALDQSGDTKLPSLCSELTEENKSCKLHDLSIPGDRKLVDETRKDLIAISDGLNNPAMQPLDLGITTEKRSEIPSQGDENSTTDSSLEARKLNNVGSVYNNSPGKGNETNLKHPVILLLSAMAETGLVSLPSLLTAVLLQANNRSSSDQTLAILPSNFEEVATGVLKVLNNMARLDINLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAENQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAAALIAVCYGCDQNLSVVQQEISTDMLRSLLKSCQTSGLTSPDSFAIDGSGNNSGDNTQSLLDTRNSQGDLPIRSSRKIGRPVVGKGVSGGIRFNRNRVQKDGRGRGVDDGPLKQRAGEASSNFMLHRKIPASFLDRAEEFFCSEK >PAN22043 pep chromosome:PHallii_v3.1:3:63800966:63808973:1 gene:PAHAL_3G509100 transcript:PAN22043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNGDADDLGSGWFEVKKKHRSSSKYTLQRSSGGSSNKIPNLPPRSQASYNSDSLRWHDRPQHPPPSVNANVGVDVDESGSGETTNGHAEGCNDVGANDLKSVLNASALEYVVERPEELLVAQEASETPKTGLADHANPSVPHESSTCSDSLAKCADLSQHVKCSPKTEPVGALSNTPVKFGDFDEVPGLPLPLDSCRDNSSSRDLSHGEDAAHFRNEQKDESKLKLETDSCTTVDEASPIIIQGTETPKDDTRGPQDKHEIPDSMLNVSGSTASTDSVSLSCSNNDHEVPVTSSSVASTESRTLLLDHAPASADFGSETAESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSAGVAKEGMPLTVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQMELACKQAGITAERFTSSSSEEVLGSSSKLTTASATVRNISLKVESQVKLPDSSEKKITGEKQIRDAFKSDKSHPQSMPSYSARSRRGSLEPISEIEKHTFKNSKEMPENKFDRLKSTDVVKKSTVHLDKEKQITSPWKSMDAWKEKRNWEDILKSPARSSRVSHSPGVGRKVTDRARVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLSRVNEWQAVRSSKLREVMNARHQRGESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLYDSEMRRAEKLQVIKTKQKEDTAREEAVLERRKFLEAEKMQRLAEIQRKKEEAIFRREEERKASSAAREARAAEQQRRKEIRAKVQQEEAELLAQKLAEKLRESEQRRKYHLEQIRERASMDLRDQPSPFQRRFPSKDGQNRSNYTNSGEDSQTTGNSSAADSMVKSSNNVQMKRRIKKIRQRLMALKHEFIEPPIGESTGITHRAALGAAKAKLSRWLQDLQRLRQARKEGAASIGLIVGDITKYLEGKDLELHASRQVGLLDFIASALPASHTSKPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSASLENYIKVAASNSGSSNILSNKTSTENTESSGEVLDGFLWTVTTIVGHVHLDDEQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCKCRTLGGIIVQEYEYLSSQDSMGNQLMALDQSGDTKLPSLCSELTEENKSCKLHDLSIPGDRKLVDETRKDLIAISDGLNNPAMQPLDLGITTEKRSEIPSQGDENSTTDSSLEARKLNNVGSVYNNSPGKGNETNLKHPVILLLSAMAETGLVSLPSLLTAVLLQANNRSSSDQTLAILPSNFEEVATGVLKVLNNMARLDINLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAENQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAAALIAVCYGCDQNLSVVQQEISTDMLRSLLKSCQTSGLTSPDSFAIDGSGNNSGDNTQSLLDTRNSQGDLPIRSSRKIGRPVVGKGVSGGIRFNRNRVQKDGRGRGVDDGPLKQRAGEASSNFMLHRKIPASFLDRAEEFFCSEK >PAN16335 pep chromosome:PHallii_v3.1:3:3427861:3430641:1 gene:PAHAL_3G054700 transcript:PAN16335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRTAVVYDAESGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLLGFSCVTYYTSALLADCYRYPDPVDGAVNREYIDAVRCYLGRKNVLLCGCAQYVNLWGTLVGYTITASTSMIAVKRVNCFHREGFGAGDCNPSGSTYMVVFGLFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGDVRGTLAGAAVDAPREKAFNVLLALGNIAFSYTFADVLIEIQDTLRAPPAENKTMKRASFYGLGMTTVFYLLLGCTGYAAFGNDAPGNILTGYAFYEPFWLVDIANICVIVHLIGAYQVFAQPIFARLESCVACRWPDAKFINATYYVRVPCLRSSGSSPPTTVAVAPLKLVLRTILIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLKIRRGELRWWMLQAMSFVCLLISVAASIGSVQDIVHNLKAAAPFKTSD >PAN16162 pep chromosome:PHallii_v3.1:3:2619689:2621816:1 gene:PAHAL_3G041100 transcript:PAN16162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGRRLVPAAFVAAALVLVVAAVFVSSAEAETAAASLRGGGPFDSIFAFGDSFTDTGNNPVVFGWYDIFDVVMRPPYGMTFFGGLPTGRNCNGRLVIDFIAQGLGLPLVPPYLSHKGSFRQGANFAVGSATGLNSSFFHIGDAPGANPFPLNISLEVQLGWFEELKPSLCKTDQECKDFFGRSLFFVGEFGINDYQYSFGKKSMQEIRAFVPDLIQTISMGAERVIKHGAKTLVIPGMIPSGCAPPVLVTFADSDASEYDAATGCLKEPNEIVTLHNSLLRDAVEKLRAKHPDVAIIHTDLFNHVMEMVQSPEKFGFKRDALTICCGGPGRYHYNLSVVCGDEAATTCEDPSTRLFWDGVHLTEAAYHYIAEDWLNTIVGSVSARASS >PVH63195 pep chromosome:PHallii_v3.1:3:62244319:62245714:1 gene:PAHAL_3G490400 transcript:PVH63195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGADRRLAWLPEGKWYSFSKVVDVDTTNFKDLVEKIKDKYPCGYGDVVKLFYYAADTKSNIEVASDQELLDMFDKHISTKKYYLSIAYHAPSVEPPSIPISDDCVDFPCTPSIPVPLAIDGSHSHFTQTLACSEINTEDNFLENPKLENEHVGVDEEGLYIDIEDGNATDWDEDGKAEADHNYEGLSDSKADSNSNSDYVEDEADEMVKDKLAPHNPEIVYDKADPPMAVKKNPHEYDCHSTRRSGKMKCATKYWVCEKKKDWVLENPKVTAKELQRRIKDEYKVLVHYKRVYHGRELALTELFGDWKENFDKLV >PAN17723 pep chromosome:PHallii_v3.1:3:9783621:9784755:1 gene:PAHAL_3G151800 transcript:PAN17723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLLLAVDVAALAVVLLTAPASPFSLHGHFSAGGSVRTQHHQQQADAADHGGEHHVPADGALAHEFLEAHNRLRAKYGVPPLRWSSKLARYARRWSSMRRFDCVMMHSPASPYGENVFWGSGSDWRAADAVAKWATEASYFDWRAQACHPGQVCGHFTQLVWNDTEYVGCGRSECLTGGVFITCSYDPPGNWKGEVPLT >PVH61439 pep chromosome:PHallii_v3.1:3:2142750:2145694:-1 gene:PAHAL_3G035000 transcript:PVH61439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGRSGAEIKHPRVLLPFTCDSLRIPDAGGTATARSWGAGGRSSRTRVASKRGGNCCLRELPAPSPPAVGATASSKDASRKPQFIRVHSRDFMEKLLIPAEFVQQYLSDENLNNRTAIIFGPLGKVSHIELEKNSSDVFFAGAWSQFLVFHYITEADALLLRYEGNMVFTVKVFGLDGYQRDSKHKETRAKQVSTSAEIEEQERQEAPSFSIQKHCKNKVPGSAGEKKPKGIVTPINEESSWMKPVYEIGPPLFVKKQINANTLKELALAKPFCDAIGLHGPCIIITLKTSMSNTESWKVHAVQRKDMGYRLLQGWRLFCLDNSIELGDICTFTVIETTVWDVIATRCKETINHLCNYLPPVFCEAIGILKPCTVTLKTSMSCTRSWQARVAPYDGSSHHVSGPGWRQFCRENRIKVGEVCTINIFKTTLWHVIISSPE >PVH61440 pep chromosome:PHallii_v3.1:3:2142310:2146311:-1 gene:PAHAL_3G035000 transcript:PVH61440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGRSGAEIKHPRVLLPFTCDSLRIPDAGGTATARSWGAGGRSSRTRVASKRGGNCCLRELPAPSPPAVGATASSKDASRKPQFIRVHSRDFMEKLLIPAEFVQQYLSDENLNNRTAIIFGPLGKVSHIELEKNSSDVFFAGAWSQFLVFHYITEADALLLRYEGNMVFTVKVFGLDGYQRDSKHKETRAKQEIEEQERQEAPSFSIQKHCKNKVPGSAGEKKPKGIVTPINEESSWMKPVYEIGPPLFVKKQINANTLKELALAKPFCDAIGLHGPCIIITLKTSMSNTESWKVHAVQRKDMGYRLLQGWRLFCLDNSIELGDICTFTVIETTVWDVIATRCKETINHLCNYLPPVFCEAIGILKPCTVTLKTSMSCTRSWQARVAPYDGSSHHVSGPGWRQFCRENRIKVGEVCTINIFKTTLWHVIISSPE >PVH61438 pep chromosome:PHallii_v3.1:3:2142750:2145694:-1 gene:PAHAL_3G035000 transcript:PVH61438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGRSGAEIKHPRVLLPFTCDSLRIPDAGGTATARSWGAGGRSSRTRVASKRGGNCCLRELPAPSPPAVGATASSKDASRKPQFIRVHSRDFMEKLLIPAEFVQQYLSDENLNNRAWSQFLVFHYITEADALLLRYEGNMVFTVKVFGLDGYQRDSKHKETRAKQVSTSAEIEEQERQEAPSFSIQKHCKNKVPGSAGEKKPKGIVTPINEESSWMKPVYEIGPPLFVKKQINANTLKELALAKPFCDAIGLHGPCIIITLKTSMSNTESWKVHAVQRKDMGYRLLQGWRLFCLDNSIELGDICTFTVIETTVWDVIATRCKETINHLCNETPSASSRKHNTMNNESSNKGEKRPKVSMTALNKTSPRGCVFEIGPPAWIKKEINSTTVENRLYLPPVFCEAIGILKPCTVTLKTSMSCTRSWQARVAPYDGSSHHVSGPGWRQFCRENRIKVGEVCTINIFKTTLWHVIISSPE >PVH61437 pep chromosome:PHallii_v3.1:3:2142206:2146311:-1 gene:PAHAL_3G035000 transcript:PVH61437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGRSGAEIKHPRVLLPFTCDSLRIPDAGGTATARSWGAGGRSSRTRVASKRGGNCCLRELPAPSPPAVGATASSKDASRKPQFIRVHSRDFMEKLLIPAEFVQQYLSDENLNNRTAIIFGPLGKVSHIELEKNSSDVFFAGAWSQFLVFHYITEADALLLRYEGNMVFTVKVFGLDGYQRDSKHKETRAKQEIEEQERQEAPSFSIQKHCKNKVPGSAGEKKPKGIVTPINEESSWMKPVYEIGPPLFVKKQINANTLKELALAKPFCDAIGLHGPCIIITLKTSMSNTESWKVHAVQRKDMGYRLLQGWRLFCLDNSIELGDICTFTVIETTVWDVIATRCKETINHLCNETPSASSRKHNTMNNESSNKGEKRPKVSMTALNKTSPRGCVFEIGPPAWIKKEINSTTVENRLYLPPVFCEAIGILKPCTVTLKTSMSCTRSWQARVAPYDGSSHHVSGPGWRQFCRENRIKVGEVCTINIFKTTLWHVIISSPE >PVH61436 pep chromosome:PHallii_v3.1:3:2142750:2145694:-1 gene:PAHAL_3G035000 transcript:PVH61436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGRSGAEIKHPRVLLPFTCDSLRIPDAGGTATARSWGAGGRSSRTRVASKRGGNCCLRELPAPSPPAVGATASSKDASRKPQFIRVHSRDFMEKLLIPAEFVQQYLSDENLNNRTAIIFGPLGKVSHIELEKNSSDVFFAGAWSQFLVFHYITEADALLLRYEGNMVFTVKVFGLDGYQRDSKHKETRAKQVSTSAEIEEQERQEAPSFSIQKHCKNKVPGSAGEKKPKGIVTPINEESSWMKPVYEIGPPLFVKKQINANTLKELALAKPFCDAIGLHGPCIIITLKTSMSNTESWKVHAVQRKDMGYRLLQGWRLFCLDNSIELGDICTFTVIETTVWDVIATRCKETINHLCNETPSASSRKHNTMNNESSNKGEKRPKVSMTALNKTSPRGCVFEIGPPAWIKKEINSTTVENRLYLPPVFCEAIGILKPCTVTLKTSMSCTRSWQARVAPYDGSSHHVSGPGWRQFCRENRIKVGEVCTINIFKTTLWHVIISSPE >PVH61441 pep chromosome:PHallii_v3.1:3:2142310:2146311:-1 gene:PAHAL_3G035000 transcript:PVH61441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGRSGAEIKHPRVLLPFTCDSLRIPDAGGTATARSWGAGGRSSRTRVASKRGGNCCLRELPAPSPPAVGATASSKDASRKPQFIRVHSRDFMEKLLIPAEFVQQYLSDENLNNRAWSQFLVFHYITEADALLLRYEGNMVFTVKVFGLDGYQRDSKHKETRAKQEIEEQERQEAPSFSIQKHCKNKVPGSAGEKKPKGIVTPINEESSWMKPVYEIGPPLFVKKQINANTLKELALAKPFCDAIGLHGPCIIITLKTSMSNTESWKVHAVQRKDMGYRLLQGWRLFCLDNSIELGDICTFTVIETTVWDVIATRCKETINHLCNETPSASSRKHNTMNNESSNKGEKRPKVSMTALNKTSPRGCVFEIGPPAWIKKEINSTTVENRLYLPPVFCEAIGILKPCTVTLKTSMSCTRSWQARVAPYDGSSHHVSGPGWRQFCRENRIKVGEVCTINIFKTTLWHVIISSPE >PAN20798 pep chromosome:PHallii_v3.1:3:53213686:53215619:-1 gene:PAHAL_3G412000 transcript:PAN20798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEIEHAYLPIRGLKLHIAHIGKGELGTLLFVHGLPEVWYSWRHQMVAAAAAGFRAIAPDLPGYGLSEPPSDLAQASWEGLIKDLLSILDSLAISKVFLVAKDFGAKPAFDLALCHPDRVCGVVTFGVPPLVESLSLSGLPGDSTYTDGGAESDFGRFDVRRIMRTIYILFSRSEIPVAKLGQEIMDLADDSTPMPCWFGEEDLSSYTNLYEKSGFITALQIPYRTKPAKAEYAKPRFEMPMFVIMGQKDYILRFPALKDYISSEKLKEIASDHEITYIPEGSHFVQEQFPELVNQLMIDFLCKHA >PAN18524 pep chromosome:PHallii_v3.1:3:13517167:13519918:1 gene:PAHAL_3G208100 transcript:PAN18524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCESEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMANIMKDFDEPGHLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALIIGIYDEPMTPGQCNLVVERLGDYLIEQGM >PAN18649 pep chromosome:PHallii_v3.1:3:14077600:14081010:-1 gene:PAHAL_3G216600 transcript:PAN18649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPGKPAFTPSKGKPSVVMFVGLQGSGKTTTCTKYADYYRRKGFNPALVCADTFRAGAFDQLKQNASKAKIPFYGSYIESDPVKIAVEGVDRFRKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPNLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKMDGHAKGGGALSAVAATRSPVIFIGTGEHIPDFEVFDVKPFVSRVLGMGDLSGLMDKIQDVMPADELVPKLTEGAFTLRLLYEMFQNLQNMGPLGQLFSMIPGLSAQFIEKGKEKEGQAKIKRYMTIMDSMTQKELDNTNPKLMNESRINRIARGSGRLVKEVVDMLEEHKRIAKMWSKLPINNIRRPNNRDSLKPLVNALPPNMLNQLGGLNGLQNMMKQMGSQKR >PAN18648 pep chromosome:PHallii_v3.1:3:14077599:14081099:-1 gene:PAHAL_3G216600 transcript:PAN18648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSIARALARMKDATVVDEKVLADCLNEISRALLQADVRFETVRDVKANIKTIVNLDALAAGTDKRRIVQKLCRMLDPGKPAFTPSKGKPSVVMFVGLQGSGKTTTCTKYADYYRRKGFNPALVCADTFRAGAFDQLKQNASKAKIPFYGSYIESDPVKIAVEGVDRFRKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPNLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKMDGHAKGGGALSAVAATRSPVIFIGTGEHIPDFEVFDVKPFVSRVLGMGDLSGLMDKIQDVMPADELVPKLTEGAFTLRLLYEMFQNLQNMGPLGQLFSMIPGLSAQFIEKGKEKEGQAKIKRYMTIMDSMTQKELDNTNPKLMNESRINRIARGSGRLVKEVVDMLEEHKRIAKMWSKLPINNIRRPNNRDSLKPLVNALPPNMLNQLGGLNGLQNMMKQMGSQKR >PAN18647 pep chromosome:PHallii_v3.1:3:14077834:14080526:-1 gene:PAHAL_3G216600 transcript:PAN18647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPGKPAFTPSKGKPSVVMFVGLQGSGKTTTCTKYADYYRRKGFNPALVCADTFRAGAFDQLKQNASKAKIPFYGSYIESDPVKIAVEGVDRFRKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPNLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKMDGHAKGGGALSAVAATRSPVIFIGTGEHIPDFEVFDVKPFVSRVLGMGDLSGLMDKIQDVMPADELVPKLTEGAFTLRLLYEMFQNLQNMGPLGQLFSMIPGLSAQFIEKGKEKEGQAKIKRYMTIMDSMTQKELDNTNPKLMNESRINRIARGSGRLVKEVVDMLEEHKRIAKMWSKLPINNIRRPNNRDSLKPLVNALPPNMLNQLGGLNGLQNMMKQMGSQKR >PAN19720 pep chromosome:PHallii_v3.1:3:20023453:20026551:1 gene:PAHAL_3G293600 transcript:PAN19720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAREAVQTCVLSMRWLHIWQPLRRLNIEGREFTSMMGFMKFMDNLLLCRGYVPLDSFRWTSNGGVSINDDIANLCVSYALCCNVRELEINEYHQLLNLDHSYFTSAHLRIPNLSGVSITDIFIERLFSGCPALENLVMVDCHVLATKFSSTTLKNLIIMSRSLDGCNYGGGFEDLVIDAPNLISLHLEDLPFLAPCLVNVPSLVTASISLEEESFSSFDAKYSIVGCSVKCYEVEVAFSSLQL >PAN17287 pep chromosome:PHallii_v3.1:3:7558706:7560228:-1 gene:PAHAL_3G118700 transcript:PAN17287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVIDLSKLDGAERAETMAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSDCYRLREAGFKASEPVRTLDALVEAEQRGEAVTPVDYMDWEDIFYIHDGNQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLEKGAIQRAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDQVGGLEVLKDGEWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAVAGGEAYPKYVFGDYMDVYTKQKFQAKEPRFEAVKAPKSSPAA >PAN20861 pep chromosome:PHallii_v3.1:3:53961447:53964385:1 gene:PAHAL_3G416000 transcript:PAN20861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLRLPAAAAAVAVLVAVALPASRAASPAADDAPEYRNHTVGGADGWFFDAKANASSGNYSGWANGETFYLGDYLIFKTNDNSSVVHTTNATTYDLCDPTDDLGPATYIYGGGGGGGGGLEQNNTIPVPLVQEGTNYFFSDADDGAQCQKGMRFEIKVEHGRGLPPSLKNPPPAPKERVLAPPPAGTAFSGTGGVEPGDGAGDNGGAGRSGAGRAAAAGGRLLGAAVAVALAALVAA >PVH62851 pep chromosome:PHallii_v3.1:3:53961447:53964386:1 gene:PAHAL_3G416000 transcript:PVH62851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLRLPAAAAAVAVLVAVALPASRAASPAADDAPEYRNHTVGGADGWFFDAKANASSGNYSGWANGETFYLGDYLIFKTNDNSSVVHTTNATTYDLCDPTDDLGPATYIYGGGGGGGGGLEQNNTIPVPLVQEGTNYFFSDADDGAQCQKGMRFEIKVEHGRGLPPSLKNPPPAPKERVLAPPPAGTAFSGTGGVEPGDGAGDNGGAGRSGAGRAAAAGGRLLGAAVAVALAALVAA >PAN20860 pep chromosome:PHallii_v3.1:3:53961447:53964385:1 gene:PAHAL_3G416000 transcript:PAN20860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLRLPAAAAAVAVLVAVALPASRAASPAADDAPEYRNHTVGGADGWFFDAKANASSGNYSGWANGETFYLGDYLIFKTNDNSSVVHTTNATTYDLCDPTDDLGPATYIYGGGGGGGGGLEQNNTIPVPLVQEGTNYFFSDADDGAQCQKGMRFEIKVEHGRGLPPSLKNPPPAPKERVLAPPPAGTAFSGTGGVEPGDGAGDNGGAGRSGAGRAAAAGGRLLGAAVAVALAALVAA >PVH62535 pep chromosome:PHallii_v3.1:3:23191849:23192577:-1 gene:PAHAL_3G317700 transcript:PVH62535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWIDGVCHAEPGLPKLLILSLERIGVMEPPEYAYREYTSKSILRCDMMVFVGKSTCYPDVDPWFISTSSFRFPDTYRKAAHKALRLLRVIYKHHLQRTPMGFFPPTEGRGRTWIARIRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKATQELEEQRIRAARAEYSLAALQAQMQEDENRRGIGGWIEEEEEPEETHWDKGTQTEDEVMCLPIKKRPIRVEEESP >PVH61376 pep chromosome:PHallii_v3.1:3:1036879:1041054:-1 gene:PAHAL_3G019100 transcript:PVH61376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRARPMTGLLVFMGVNLVLVSTISPVYDFVCFHPYWERRRERRRREREAVQLRGSLKTTN >PVH61378 pep chromosome:PHallii_v3.1:3:1040189:1040877:-1 gene:PAHAL_3G019100 transcript:PVH61378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRARPMTGLLVFMGVNLVLVSTISPVYDFVCFHPYWERRVLLYRENAAGGNVKLCN >PVH61377 pep chromosome:PHallii_v3.1:3:1039463:1041091:-1 gene:PAHAL_3G019100 transcript:PVH61377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRARPMTGLLVFMGVNLVLVSTISPVYDFVCFHPYWERRRERRRREREAVQLRGSLKTTN >PAN15908 pep chromosome:PHallii_v3.1:3:1039229:1041054:-1 gene:PAHAL_3G019100 transcript:PAN15908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRARPMTGLLVFMGVNLVLVSTISPVYDFVCFHPYWERRRERRRREREAVQLRGSLKTTN >PVH61375 pep chromosome:PHallii_v3.1:3:1039273:1041054:-1 gene:PAHAL_3G019100 transcript:PVH61375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRARPMTGLLVFMGVNLVLVSTISPVYDFVCFHPYWERRRERRRREREAVQLRGSLKTTN >PAN21333 pep chromosome:PHallii_v3.1:3:59271454:59273748:-1 gene:PAHAL_3G456800 transcript:PAN21333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKQKPGEAAARYPVADVAEAVAFLSEIKARLEEDPGVRDELLDLLVGFGEGRGDAHAVKSQTAGLLREHPDVLDRFSAFLSRAKPPVVPAEGLAATRPRRSSTCRTERGSRCRSVPAEEGPDVAGGGHAQGHRQPRKSATAGAGGCSASTGDDPRIKEALAFQDRVLETLGSEGLAKVRAVLLAEDMYADVVYARARDAFGPAHDGLLQEFATMFLPGQKEWEAHEARRLARQRRADAADRKMLPTDDGDHRRGAVCVSESSGAGAAARSEVDRDVTCKAVKKKRHADDGDYRGHALRVGQSSGGARGHRHGHDDDYAPRCRTKKARADDDGHRRHGVSHGEPPGSGAAARVLDAGVHGHGDKKKPRRRAPNGGEGSSAAAAAPPPGPEPSPGDDALVRQFRVLWVFNTLYSTLVDTMARAEALLHGAAGGFPTSVEELFPRRESREFLSEYYGDDWGVMRVLLEGGESTRPALEAVLESLTRKEEEAVAEEVRERRRQDAERAAERLSGLVTGRVHHEEHRRQDAADGGASGQSTRAPGGDSNRSMDPARHNAQGNVRTR >PAN17419 pep chromosome:PHallii_v3.1:3:8417889:8419751:-1 gene:PAHAL_3G130400 transcript:PAN17419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRWCLRFAALLAALLPAAACLDFGFYDRTCPSAEAIVQQTVAAAFRNDSGVAPALIRMHFHDCFVRGCDGSVLIDSTTNPNNTAEKDAAPNNPSLRFFDVIDRAKAALEAQCPGVVSCADILAFAARDSVALAGGLGYQLPAGRRDGRISRDTDALNDLPPSFFNATQLADSFASKNLTVEDLVVLSGAHSIGVSHCSSFAGVPDNPADRLYNFSSPDKIDPALSKAYAFLLKSICPSNSSQFFPTTTTLMDLITPTKLDNKYYVGLSNNLGLFISDAALLTNATMKALVDSFVRSEATWKAKFARSMLKMGQIEVLTGTQGEIRRNCRVINPSNATATAAGSHQVVAGSGSSGFTGVAAE >PVH63216 pep chromosome:PHallii_v3.1:3:62620852:62622715:-1 gene:PAHAL_3G495600 transcript:PVH63216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAMAAPATQLRRARRPQCAPRRSQRSSFRVRLLSTPRQLGRTLLWPSRTADHRTPKRGEKGRRRGRWPMGAPAAAGNGVCGHGPLGAVLQPQGNDVHAGRCWSTGLQMDMEPRGVP >PAN16224 pep chromosome:PHallii_v3.1:3:2930231:2935789:1 gene:PAHAL_3G045600 transcript:PAN16224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAASMRKPAAWRRAGPRGGGLRRRGAVVLLLALAYAAGMLMFLLAGRLSGGGSGVEVTGSSSPLQRGRRRHADAQPPPQPGSVYRSHLVFERLWPAMRDDATLAASASSLASSASWRRSMLMTSQYQNSGEPWMPCVNSRLTRSELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPTFHLNSVWRDSSKFGDIFDEDHFIETLREHVRVVKKLPEDVLMRFNHNISSIPNMRTKAYSSPKHYVQKVLPKLLELGVVRIAPFSNRLSQSVPSNIQALRCLVNYHALRFSESIRTLADDLVGRLMKKSSLTGGKYVSVHLRFEEDMVAFSCCTYDGGLKEKTEMDNARERSWRGKFRRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYHSEKYMTPLRQMFPLLATKDSLALPEELAQFKGHSSRLAALDYTVCVQSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWDRFKQNMQEILQHSDVRSVAFRKPNDSIYTFPMPDCMCQQDGLR >PAN16210 pep chromosome:PHallii_v3.1:3:2832754:2836556:1 gene:PAHAL_3G044600 transcript:PAN16210 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71990 [Source:Projected from Arabidopsis thaliana (AT1G71990) UniProtKB/TrEMBL;Acc:Q0V7Y9] MLFRKRINYVAPMLASAAILLLLLSGYFELPSISSLSTPAPLLPGAGATRFPTALDSVGSRDRDPFTSLLEAFNAWDAAVGCPRIRAKLAAAELPAANATVEASASAALTGGAAWGGARCEELKTRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEASRKPTGFEDIFIGYHANDDVQITYAGKSFHTSRSYHMSTEKRNDALIYWSSSRCLPHRDKVAKDFLSLVPYHSFGRCLNNVGGPDMALSMYPVCSTNDNGAPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLKELSSYVKALANDPVAYAEYHAWRRCGVLGNFGRAREMSLDTLPCRLCELVSKRGGKSADAL >PAN16426 pep chromosome:PHallii_v3.1:3:3726340:3727578:-1 gene:PAHAL_3G059300 transcript:PAN16426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAASLMATEATDHLAGGQMQARRQQGGRPAFAVCRQQRAAAAAAKQGQRQNVPPSTKPPPTAGGLSAEAFLVLACVAVSLIVLPLVLPPLPPPPPLLLLVPVCLLLLLAALATFMPSDVRTMASSYL >PAN17752 pep chromosome:PHallii_v3.1:3:9876154:9878585:-1 gene:PAHAL_3G153800 transcript:PAN17752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRSPPGAADRNAASPKLLDLGAADDMPARSPKLLRSNSSKKVTAASSLERAILSFKNWEPDAAAACAAARAPAADHAAPPTPVRRIHGARPGRLALGPQSPLAAARRQPPEPGARSPLHEAAATTVQKMFKGHRTRRSLADCAIVVEELWWKLYDQASLDRKSVSFFAGGKQETAASRWVRAGKRIAKVGKGLCKDDKAQQLALRHWLEAIDPRHRYGHNLHLYYDIWFQSSSTEPFFYWLDIGAGRDIHHPSCPRTKLNSQLVMYLGMNERAAYEVVVEDGRLTYLQSGLLVNTTDESKWIFVLSTSRSLYVGRKKKGQFQHSSFLAGGATSAAGRLVAKDGVLKAIWPYSGHYLPTEENFNEFISFLQEHNVDLTNVKRCSVDDDEYPSLKRKQASDVEPSSQQEEEPKETAGPTAMAVTEEGADAPPEAAEAVSNETSGGRGLVKWTSGAGARIGCVRDYPAELQSRALEQVNLSPNRPAAQPWPIPSPRPSPRIRLSPRVQYMGMAASPGVRPLKQQCLGIRPPTVHLTLPSSKASNSSVNPSRN >PAN21112 pep chromosome:PHallii_v3.1:3:57982305:57985911:-1 gene:PAHAL_3G441700 transcript:PAN21112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVKDISGAICLMAVALVLLGTWPVVLAVLERRGRLPQHTFLDFSVTNFLAAVLIALTFGQIGPDTPETPNFLTQLTQNNWPSVLFATVGGVTLSLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEVLFPGVGCFLIAAILGSLVHHSNAADNQEKLAKSLANYSKNTRNTASYDDLTKHLLEKEGPKDLEEAKLDAPENTQKLEKVEAGTAEFLVHLEEKRSIKVLGSHTLLGLGIVVFAGVFYALFTPAFNLATNDQWHTLPAGVPHLVVYTAFFYFSLACFAVSVALNVWFLYRPMVGVPRSTVAAYLRDGEGRWLALLAGLVCGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLASMLLMFAVAMVVLMASSNHRRPL >PAN19337 pep chromosome:PHallii_v3.1:3:17712399:17717440:-1 gene:PAHAL_3G266300 transcript:PAN19337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDKEYAPVPLGQASAEAAAPDPEDPVKSPPRPSSPATSTRKACFAVLQSWVSRKFMTGCVVLFPIAVTFFITWWFIQFVDGFFSPLYAKLGFDIFGLGFLTSLVFILLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGIEIIVSGGMTMPQVITSLEPTPCKSQNIRLDRVMTV >PVH61299 pep chromosome:PHallii_v3.1:3:434496:435818:1 gene:PAHAL_3G007200 transcript:PVH61299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIASSDKTTRHLIGMQRTEARSRGINDGWMENYWCREYVPKKSTSRLPFLSPGLAWKKASY >PAN20139 pep chromosome:PHallii_v3.1:3:23085281:23098984:1 gene:PAHAL_3G317000 transcript:PAN20139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGIEEADDALPVPTPLNGRRYRPVGSSDRAVVQMTSMEPGSSSAASIDAVVTPQPPRNLKPDANLTVDPSLREGSPDDHATSGGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQKSVTVVNNTLVNGTETAGTSTISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPSGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGSEPHAATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMESSSTGAQQDDSHEAYTSAQQRIRTYLDEMKETAQRERQPLMENGRQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >PAN20138 pep chromosome:PHallii_v3.1:3:23083354:23098984:1 gene:PAHAL_3G317000 transcript:PAN20138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGIEEADDALPVPTPLNGRRYRPVGSSDRAVVQMTSMEPGSSSAASIDAVVTPQPPRNLKPDANLTVDPSLREGSPDDHATSGGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQKSVTVVNNTLVNGTETAGTSTISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPSGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGSEPHAATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMESSSTGAQQDDSHEAYTSAQQRIRTYLDEMKETAQRERQPLMENGRQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >PAN20140 pep chromosome:PHallii_v3.1:3:23083142:23098984:1 gene:PAHAL_3G317000 transcript:PAN20140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYRCRSFDHVASHRAVTQINKNQNWVKYMTPRRSQIGRNLKPDANLTVDPSLREGSPDDHATSGGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQKSVTVVNNTLVNGTETAGTSTISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPSGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGSEPHAATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMESSSTGAQQDDSHEAYTSAQQRIRTYLDEMKETAQRERQPLMENGRQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >PVH62761 pep chromosome:PHallii_v3.1:3:46701967:46702701:1 gene:PAHAL_3G390600 transcript:PVH62761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWASGVCHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDIIVFVEKSTRYPDVDPWFISTTGFRFPDTYQKAARKALRRLRVLYRHHLQRTTMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKLTQELEEQRTRAASAEYSLAALQALMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH61296 pep chromosome:PHallii_v3.1:3:384602:385549:1 gene:PAHAL_3G006100 transcript:PVH61296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCNLAGAHHVEARRHRERRKEYMLLVFGDSFADAGNRLMRSAKSRASRGWYYPYGSSDSAHRNRATGRLSDGLVQSDFLARMLGNDDESPPPYSPSEVPDGSGVNFALPFSGVLNGPQEEMALGTQIEQFTRLVNRRDIEDVDLDDSVALVSVSNGHDYSHVSDTTSSEQMNAYIRDVTDGIVDAVKRLQDLGVSKVLVNSLPPLGCTPWRSRLISYARCDSSGNTIASTHNALLAHKLSE >PAN18847 pep chromosome:PHallii_v3.1:3:14979932:14988235:-1 gene:PAHAL_3G230500 transcript:PAN18847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTVLSDDEDDIEEVDEEDPRTSRRDRDDGDEDDDEEDDEEGQDEFEKDGFIVDDEDEEDEEGEEEEQKSDDERRKKKRKKKRESEDFMLDEDDYMLLQDNNITGISRPKPGNKFKRLKKAGRESEMDERGLSDEDGTGKKRTGKERVEYSLFGDAQDSVPYEEDIIEDDQQVDETEEPDDDEDEMADFIVEEDEIDGNGQVVRRKKFKKKVPRQAAGVSSSALQEAQDIFGDVDDLLARRKQEMEREAINSGELRGKRLEDEFEPFILAEKYMTTKDEQIKENDVPERIQLSEELTGYPPTDTTMIEEESVWIHSQLTGDGFLSFFGNEHVNKDIDQKDIVNVLNMLHVNKFEIPFIAMYRKEMCPSLLRDLDSNDQDNKKDAPKMRWHKLLWAVQTLDRKWLLLQKRKVALELYYEKRFDDEKRRIDDVTRQELNRQLYNSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVASQFGRSAEQLGHHLTLTKIPEAGELDSGKGSPEEVAANFTCAMFETAQDVLRGARHMAAVEIGCEPIIRKHIRGIFMNKAVVSTSPTHEGNTIIDPYHQLSGVKWLREKPLCKFVDAQWLLIQKAEEEKLLKVTVKLPENAKKELMSDARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKHWLHMEYGKQLWNKVTVAPWKKKDADKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAETQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVIFRIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMIATLCGPGKEILSWKLHALEHFLTPDEKYDVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFIAGLGPRKASALQKDLVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDLLEDTRIHPESYVLAKNLAKDVYAEDAPHEVNEMDDDEQEMAIEHVREDPRNLKRLNIDEYIKSLPEEFRKKETLCDIMDELVCGFSDWRTLYTEPSPDEEFWMLSGETEDTISEGRIVQVTVRNIQENKIICTFDSGLKAIVMADNYSDEGFDPESSQLHEGDVLTGKIRNVNKNRFMVYLTCKASEMRRRPFSRGDQDPYYHEQDLTSQTVEDKARKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVFAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLSYRKFRKGLKNEVDELLRAEKAENPMRIVYSFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGFRFRKRDFDNIDRLVSYFQKNIDKPPPDAGPSMRNVAAMVPMKNSAWGSGGGAGGANDGWRGDSNNDRDRSFSGRSGGRFDSRNNSGGRGRGRGRGRGNFGNDDNGGGWTDNIGSGGGGWGTGGSGGSSWGAGGSGGGSGGGDAAGWGGAGGDNNSGGGGWGTAAGGTDGAGGGSGGGWGAAAGGSNDSGWGSAKKAVSAQDGGSGWGSGSGGGW >PAN18848 pep chromosome:PHallii_v3.1:3:14980328:14987807:-1 gene:PAHAL_3G230500 transcript:PAN18848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTVLSDDEEDDIEEVDEEDPRTSRRDRDDGDEDDDEEDDEEGQDEFEKDGFIVDDEDEEDEEGEEEEQKSDDERRKKKRKKKRESEDFMLDEDDYMLLQDNNITGISRPKPGNKFKRLKKAGRESEMDERGLSDEDGTGKKRTGKERVEYSLFGDAQDSVPYEEDIIEDDQQVDETEEPDDDEDEMADFIVEEDEIDGNGQVVRRKKFKKKVPRQAAGVSSSALQEAQDIFGDVDDLLARRKQEMEREAINSGELRGKRLEDEFEPFILAEKYMTTKDEQIKENDVPERIQLSEELTGYPPTDTTMIEEESVWIHSQLTGDGFLSFFGNEHVNKDIDQKDIVNVLNMLHVNKFEIPFIAMYRKEMCPSLLRDLDSNDQDNKKDAPKMRWHKLLWAVQTLDRKWLLLQKRKVALELYYEKRFDDEKRRIDDVTRQELNRQLYNSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVASQFGRSAEQLGHHLTLTKIPEAGELDSGKGSPEEVAANFTCAMFETAQDVLRGARHMAAVEIGCEPIIRKHIRGIFMNKAVVSTSPTHEGNTIIDPYHQLSGVKWLREKPLCKFVDAQWLLIQKAEEEKLLKVTVKLPENAKKELMSDARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKHWLHMEYGKQLWNKVTVAPWKKKDADKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAETQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVIFRIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMIATLCGPGKEILSWKLHALEHFLTPDEKYDVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFIAGLGPRKASALQKDLVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDLLEDTRIHPESYVLAKNLAKDVYAEDAPHEVNEMDDDEQEMAIEHVREDPRNLKRLNIDEYIKSLPEEFRKKETLCDIMDELVCGFSDWRTLYTEPSPDEEFWMLSGETEDTISEGRIVQVTVRNIQENKIICTFDSGLKAIVMADNYSDEGFDPESSQLHEGDVLTGKIRNVNKNRFMVYLTCKASEMRRRPFSRGDQDPYYHEQDLTSQTVEDKARKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVFAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLSYRKFRKGLKNEVDELLRAEKAENPMRIVYSFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGFRFRKRDFDNIDRLVSYFQKNIDKPPPDAGPSMRNVAAMVPMKNSAWGSGGGAGGANDGWRGDSNNDRDRSFSGRSGGRFDSRNNSGGRGRGRGRGRGNFGNDDNGGGWTDNIGSGGGGWGTGGSGGSSWGAGGSGGGSGGGDAAGWGGAGGDNNSGGGGWGTAAGGTDGAGGGSGGGWGAAAGGSNDSGWGSAKKAVSAQDGGSGWGSGSGGGW >PAN22078 pep chromosome:PHallii_v3.1:3:63904251:63907073:1 gene:PAHAL_3G511300 transcript:PAN22078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGQSTSGSSSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGPDIPNRPAGQRPPTAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAAPRHGPHGQQQQADVYLKALLILVGFLVIASLITF >PAN22077 pep chromosome:PHallii_v3.1:3:63904251:63907074:1 gene:PAHAL_3G511300 transcript:PAN22077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGQSTSGSSSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGPDIPNRPAGQRPPTAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAAPRHGPHGQQQQADVYLKALLILVGFLVIASLITF >PAN22080 pep chromosome:PHallii_v3.1:3:63904251:63907073:1 gene:PAHAL_3G511300 transcript:PAN22080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGQSTSGSSSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGPDIPNRPAGQRPPTAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAAPRHGPHGQQQQADVYLKALLILVGFLVIASLITF >PAN22079 pep chromosome:PHallii_v3.1:3:63904251:63907073:1 gene:PAHAL_3G511300 transcript:PAN22079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGQSTSGSSSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGPDIPNRPAGQRPPTAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAAPRHGPHGQQQQADVYLKALLILVGFLVIASLITF >PAN18789 pep chromosome:PHallii_v3.1:3:14696731:14699304:-1 gene:PAHAL_3G226000 transcript:PAN18789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTERRRRRAQLLLLMAAAAVVVVGSALASAQEAALRVGFYRATCPIAEDVVLAEMRLILMEDDTLAPSLLRMHYHDCFVQGCDGSIMLQSRKGKTERDALPNRSMRGYDAIERIKARLETVCPLTVSCADIIAMAARDAVYLSHGPWYDVETGRRDGNVTAAEYVENDLPPPDSNIIDVKTFFSVKSLNSKDIAVLFGCHSIGTSHCGPIRKRLYNFTGNMDQDPSLDPAYATELRKLCPPPRPGDNDGVPVGGKTKVPLDPGSNYTFDLSYYRHVLATGGLFQSDGSLLHDPVTRGYVEKVANASSPDEYYADFAAAMLKMGRTDVLVGDLGEIRPTCGIFVD >PAN20723 pep chromosome:PHallii_v3.1:3:52190859:52217459:1 gene:PAHAL_3G406400 transcript:PAN20723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAAEEEARAVEELRQALAARDLLPPKFDDKHTMRRFLKARGFDIDKTIDMWSEMLKWRKEFGADSILTDFVFDELEDVLLYYPHGFHGVDRDGRPIYIEILGKVDPNKLLNVTTVERFLKYHVQSLERLFAEKYPACSVASKKHVDTITTILDVKGVNWMKVSKLAREVVLHINKIDGDNYPEILHRMFIVNAGSGFRLLWGALRGLIDPNTAEKIEVLGETYRCRLLEQIDKSQLPDFLGGSCSCSCEGGCLRSNKGPWNQMMTSDNLSEAAPMETGHLSSENLVCQDMEPDVQMKLENSQSSGSSSIPLKMLSSPNTPVTRKENVVTPRLITVSSTVTCFQLCHSVWYHRLLKLLVDVIKVIFVFLWRLLSLAPLFSALRRVASHCIKTSSTGEHVHMPGMKSSGPIDKDCTAPCLERLKRLEHMVMELNQRSPRIPPEKEDLIEESMRRIRSIECDIKKTQRALNRTSLKQLKLEQRVENWKDSMLSNSCRFSYCKAI >PVH62809 pep chromosome:PHallii_v3.1:3:52190859:52217459:1 gene:PAHAL_3G406400 transcript:PVH62809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAAEEEARAVEELRQALAARDLLPPKFDDKHTMRRFLKARGFDIDKTIDMWSEMLKWRKEFGADSILTDFVFDELEDVLLYYPHGFHGVDRDGRPIYIEILGKVDPNKLLNVTTVERFLKYHVQSLERLFAEKYPACSVASKKHVDTITTILDVKGVNWMKVSKLAREVVLHINKIDGDNYPEILHRMFIVNAGSGFRLLWGALRGLIDPNTAEKIEVLGETYRCRLLEQIDKSQLPDFLGGSCSCSCEGGCLRSNKGPWNQMMTSDNLSEAAPMETGHLSSENLDMEPDVQMKLENSQSSGSSSIPLKMLSSPNTPVTRKENVVTPRLITVSSTVTCFQLCHSVWYHRLLKLLVDVIKVIFVFLWRLLSLAPLFSALRRVASHCIKTSSTGEHVHMPGMKSSGPIDKDCTAPCLERLKRLEHMVMELNQRSPRIPPEKEDLIEESMRRIRSIECDIKKTQRALNRTSLKQLKLEQRVENWKDSMLSNSCRFSYCKAI >PAN20939 pep chromosome:PHallii_v3.1:3:55660243:55667928:-1 gene:PAHAL_3G425100 transcript:PAN20939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTILHNAVVVTMDGELRVLRDGAVAVVGDRIAAVGPTADVLAAFPSAERTLDLGGRIVLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQFVSEMARAVELLGLRACLTKSTMDCGEGLPTNWSSCSTDDCIQSQKELYEKHHKTADGRIRIWFGLRQIMNATDRLLFETRDVAQKLNTGIHMHIAEIPYENQLIVRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNESEIGHFSKAGVKVSHCPASAMRLLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTALPSETVLKMATINGAKAVLWDNEIGSLEVGKKHCQHCLLYEDGEYRVCYVQWSLDYEGS >PAN20938 pep chromosome:PHallii_v3.1:3:55660555:55667653:-1 gene:PAHAL_3G425100 transcript:PAN20938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTILHNAVVVTMDGELRVLRDGAVAVVGDRIAAVGPTADVLAAFPSAERTLDLGGRIVLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQFVSEMARAVELLGLRACLTKSTMDCGEGLPTNWSSCSTDDCIQSQKELYEKHHKTADGRIRIWFGLRQIMNATDRLLFETRDVAQKLNTGIHMHIAEIPYENQLIVRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNESEIGHFSKAGVKVSHCPASAMRLLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTALPSETVLKMATINGAKAVLWDNEIGSLEVGKKADLVVVNPFTWSMVPLHDSIANIVYCMRTENIESVMCNGRWIMKDHKIMNLNEEEVISSAVKRANDLLVRAGINLPKRMNYV >PVH62171 pep chromosome:PHallii_v3.1:3:14660605:14661575:1 gene:PAHAL_3G225600 transcript:PVH62171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSPKMVIAPVLIHWHWCMYVWDFGRNKIIVLDPMDMPLGEEYMATKHRHSVSIMRAAMQEAKQRYFPNTPANMETWGIEYLTVCEARQHYIRSVRHVLREIL >PVH62472 pep chromosome:PHallii_v3.1:3:20971756:20973513:1 gene:PAHAL_3G303200 transcript:PVH62472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDVFIVKDLESLQLNAQVIHYLFSTLSKEMQEVIIEEEDIREDAHLIWELLEEMYTEPQSDDRAREAEMSPEECSSSSPTCSEPQVTLMKKQNDQSNTVLAFLQTLVRPVGQISQTDSSRGAEDLCSEGTNQQESSKVTTSPTPSCPRREKKNQLMGLNELLTEELDELKKSHDLLLNRYEALANDYVWALKLSSHLVPVESSYVVLKCKFEKITSEHMALQTNHKELESSHEKLVESYAALHVAHEVVMASVKLYQPPTHTCTCSHVENMLFCDKSCCSQATQFLVEHVVVECCDDLITQENDELKREVEKLKLELTKLKRKGQVQPSQDNCDIMVKKLEKGTNVTTSALQQGQVKKRKNKTMLARRQRYLAKTRACFRCKEKGHMIAACLMTQSEADSDQIVQDAKINEETRRVKHCTCYTCREKGNFGKVCPKGNSPKSNLVHYDFANLGKEKAGTCAISVIDSPQTSIRAIWIPKHFVTNLVGPNKIWVLKGSC >PAN18320 pep chromosome:PHallii_v3.1:3:12711502:12715336:1 gene:PAHAL_3G194300 transcript:PAN18320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMAQRGGANQQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPEKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTHTTKQTKVTIQRRRSVLDDDD >PAN18237 pep chromosome:PHallii_v3.1:3:12343949:12350161:-1 gene:PAHAL_3G188100 transcript:PAN18237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKQRARHGEERRPPASTDGAAAAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGAAAHSSVTSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVAITFLLFQNRDDARQFMKHLHPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWHPFMGPLRFIQVLFLCAVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLVIFWSSVGIALVIFLLAWSWRNHQKFRRKQL >PVH62293 pep chromosome:PHallii_v3.1:3:17316688:17318285:1 gene:PAHAL_3G261300 transcript:PVH62293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MQGPTACFSLSNKATLLEPESDWGVELNRGRHRKNPPPMASDSSPPAAASSPQGPGDKLAVFWQEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIAPFVSWHSGRPAHASELLSFHSSEYIEELVQANATGAKKFCEGTFLNPGSWGAALLAAGTTLSAVKHILDGHGNLAYALVRPPGHHAQPDRADGYCFLNNAGLAVHLALDSGRSKVAVVDIDVHYGNGTAEGFYRTENVLTISLHMRHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIDKFQPQLLVFVVGQDSSAVLPLYHQGNLNDIQKPQSILDRVI >PVH62294 pep chromosome:PHallii_v3.1:3:17316688:17319856:1 gene:PAHAL_3G261300 transcript:PVH62294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MQGPTACFSLSNKATLLEPESDWGVELNRGRHRKNPPPMASDSSPPAAASSPQGPGDKLAVFWQEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIAPFVSWHSGRPAHASELLSFHSSEYIEELVQANATGAKKFCEGTFLNPGSWGAALLAAGTTLSAVKHILDGHGNLAYALVRPPGHHAQPDRADGYCFLNNAGLAVHLALDSGRSKVAVVDIDVHYGNGTAEGFYRTENVLTISLHMRHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIDKFQPQLLVFVVGQDSSAFDPNGRQCLTMEGYRKIGQIMRSMANRHSNGQILIVQEGGYHITYSAYCLHATLEGVLDLEAPLLDDPIAYYPEDERYTKKVVDMIKDSWKESVPFLKDI >PVH61415 pep chromosome:PHallii_v3.1:3:1792453:1795401:1 gene:PAHAL_3G032000 transcript:PVH61415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEMPRPENPVGSSRDVCREASSRRHRCLTAPSVQLWSRICVQCSDGTARDLLEFHDLLQLRVLGLFVHASRHADEGSRWQNHSRGMPRRPSPSPQMTTRTVRQLFSVSPGSIQSSE >PVH61555 pep chromosome:PHallii_v3.1:3:3737846:3742151:-1 gene:PAHAL_3G059500 transcript:PVH61555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MAKNPKAADSRRGRPPTRRPQPRRAKAVPAASSADIEDLAPAVPGAAGAAAAAPAVLRAQLLRWYDAHRRDLPWRRASGGEEERAYAVWVSEVMLQQTRVPVVVGYYERWMARWPTVRSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGEFPRTASALREVVPVVDGNVVRVISRLYAISDNPKESSTMKRFWEIAGQLVDPSRSGDFNQAMMELGATLCSKSKPGCSGCPVSSHCQALALSLENPSVKVTDFPRVVPKTKPRSDFAAVCVVQIAQGLEEEVTDAKGTDNLFLLIKRPEEGLLAGLWEFPLVLVEEGKTDSLNRRKAMDKYLTKLLSIDVGWKSDVILREDVGEHVHIFSHIRLTMYVELMIINLKDGVDRLCKKEDDSTKLKFTNESSVESMGLTSGIRKVYNMVKAFKEKRLSVSEKGLVPTRKRSRRLK >PVH61556 pep chromosome:PHallii_v3.1:3:3737847:3742151:-1 gene:PAHAL_3G059500 transcript:PVH61556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MAKNPKAADSRRGRPPTRRPQPRRAKAVPAASSADIEDLAPAVPGAAGAAAAAPAVLRAQLLRWYDAHRRDLPWRRASGGEEERAYAVWVSEVMLQQTRVPVVVGYYERWMARWPTVRSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGEFPRTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAISDNPKESSTMKRFWEIAGQLVDPSRSGDFNQAMMELGATLCSKSKPGCSGCPVSSHCQALALSLENPSVKVTDFPRVVPKTKPRSDFAAVCVVQIAQGLEEEVTDAKGTDNLFLLIKRPEEGLLAGLWEFPLVLVEEGKTDSLNRRKAMDKYLTKLLSIDVGWKSDVILREDVGEHVHIFSHIRLTMYVELMIINLKDGVDRLCKKEDDSTKLKFTNESSVESMGLTSGIRKVYNMVKAFKEKRLSVSEKGLVPTRKRSRRLK >PAN21387 pep chromosome:PHallii_v3.1:3:59861231:59869322:1 gene:PAHAL_3G461600 transcript:PAN21387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEELLRKIRELEVGQAQLKQEMSKLIPGVGQRRRSQSVSPRRGVSVPPPPSKGTPPGRRLSGGFEGGPRAWARGSSSFPHSSPLQKEGRAPGSGDASTSARLPERQYSRVLQSLGQSVHILDLDGRIIYWNQSAENLFGYPASEALGQDALMLLVDSRDHNVVNDIFRRISMGESWTGKFPVKNRGGDRFSAIATNTPFYDEDGSLVGIICLSSDSRHLEQIFCRPPTPARPQPESSRTSCDGSCSNTSRTTNLLNRSTFDPQQPQQSTLASKITNLATKVTNKVRSRVRTDENGIEREGGSGESQCSDRGAKEEPTSSGTTTPRGDAPRGPFAMEHSPGKSTNPNSDESGEGKVGLHRILSSKAEALLNKKGISWPWKGRENEGPDDRNHVILPWLHGDQENGLNHRKVSDSSIASDAQGAENSQPSKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEELIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRSGTKLDVRRRIHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLDIPSGVDQQWTSIILSCWESDPQQRPSFQELLERLRELQRHYAIQQRNAKNSVEE >PAN19028 pep chromosome:PHallii_v3.1:3:15847089:15847471:-1 gene:PAHAL_3G244200 transcript:PAN19028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTAAACALIAWLLLAHPWLQAPPSALGADECWVLDRRRYRYCFRTARCRRACAEDRFADGRCKHGFPYLVPLCECLRPRCAAAAEGATSHAALGSVLE >PAN20055 pep chromosome:PHallii_v3.1:3:22821765:22826278:1 gene:PAHAL_3G315100 transcript:PAN20055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDDEPVDSMEIDGPQQLKVEGPAAVPERFNADYLRVYYAKLFPYGDFFKWLCYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCSDCWPLMTIAIKILDTSLRDDFGFSHILWVYSGRRGVHCWVCDSRARKLSDEQRAAVADYFRVYKGGENTLKKVSLAGPVLHPFLVRSYTDVLESFFEDKLLLSQQLFASEERCQKILDLIPDENVASELHDKWQGNRRSSISKEDVNAARWKQLKTTLQSGKHKAQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPSNCDDFDPTAVPTLSQLLGELNAAGFQTDSENNWERTSLEKSIRFFRTSFLQPLLKACKEELESAYNAKLQQSKNSLNW >PAN17639 pep chromosome:PHallii_v3.1:3:9431799:9432804:-1 gene:PAHAL_3G145900 transcript:PAN17639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLLAVAAVIALGAGHGAAFDPNPLQDFCVADTTSKVRVNGVPCKDPATVTPDDFFFAGVDRPGGAASRRYGFTALPVQIPGLNTLGASHARVDVAPGGVFPPHYHPRASETAVVLDGAVYFGFVTSYPDNRVYAKVLRKGDVFAVPQGLVHFLYNNGTAPAALYATLSSQNPGLVLLADALFGAGLPDDLLAKTFLTDLDTAHKIGAKFRS >PAN18190 pep chromosome:PHallii_v3.1:3:12092126:12094181:-1 gene:PAHAL_3G184800 transcript:PAN18190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGAFGGNRGVRPVPPEKGVFPLDHLNECDLEKKGYLACLKSTGFQSEKCRQFSKRYLECRMERNLMAKQDMSELGFRNLDEVDTAPDKNGKLETLPNEADEKKIAS >PVH63215 pep chromosome:PHallii_v3.1:3:62595327:62595686:-1 gene:PAHAL_3G495200 transcript:PVH63215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKKKKRIEREHALGAQEQGQRSHLREEEEGEDEEAKGEDEDQGGKPPRAPLPPPPLHRPLLRLRSRWLI >PVH62873 pep chromosome:PHallii_v3.1:3:54771989:54773179:1 gene:PAHAL_3G419800 transcript:PVH62873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVATRVASICDMIEEHRKSGAAICSRRAAAISAMIDDVAATAEGRPGTGGRRKRRMERACSYEELGELGVGLSGVVVRARDRAMGECVAVKSLHPERAGGLGKLLREACFMAASGGHPSLVALRGIARTPGTMDDHSLVMDYVGPSLLDVLQARGRPFPEADVRGVMRQLLAGAVAMHRHRIAHRDIKSENILVGDGGAVRICDFGSAKSMAERDPPGRIAGTMEYMAPEVLAKNADQDLPVDAWSLGCVMAELLTGELLFRGEDEADQLREIFDVLGVPEERVCRALGPRALAGEVKQWRARQQRMRHRNRLRELLPDELLSDDGFVVLKGLLTCDPKKRMTAAAALRCTWFTDNVEDARARPAASAVSMIDATARRRRVDCSHHLLHDLTTA >PAN16161 pep chromosome:PHallii_v3.1:3:2605602:2608902:1 gene:PAHAL_3G040900 transcript:PAN16161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRSVHRGIKMGGCLRVVPAAFMAVALVAVAVAVFPSSAEPEAAASLRGGPFDSIFAFGDSFTDTGNNPVVWGWYNVFDEVMRPPYGMTFFGGRPTGRSSNGRLIVDFIAQGLGLPLVPPYLSHKGSFRQGANFAVGEATALSSSFFHLGETDISLQVQLGWFEELKPSLCKTEQECRDFFSRSLFLVGEFGITDYHISFGMRKSMQEIKAFIPDVIQTISMGAERVIQHGAKTLLVPGMIPSGCVPSILVALADTDAPEYDATATCLKEPNEIVMIHNSLLRDAIEKLRAEHPDVSIIYTDMFNHVMEMIKSPERFGFKRDVLTVCCGGPGRYHYNLSVVCGDEAATTCEDPSTRLFWDGVHLTEAAYHYIAENWLNTVVGSVSARASS >PVH61285 pep chromosome:PHallii_v3.1:3:318222:327008:-1 gene:PAHAL_3G004900 transcript:PVH61285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSTAAPTAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPAPVAYLPQVVVLCEQRHEGIDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSSSSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQAVQGHLHNRSLANRPIQATKHPSLHYASLDRSLCSLPITTLEVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACIHACLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEILIYLLSEPQPEVRASAVFALGNLLDMGSTSSNGVDDDSDDDEKVKAEINVIQSLLQVSSDGSPLVRCEVAIALTRFASGHNKHLKSVAAEYWKPQTNSLLKSLPSLANISSPNNIYSPNNIRQGSSGLASHIGPVLRVGSDSSATGRDGRVSTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPVDSVIYSQYISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGAHQGETSVPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKSHPMNSPEGLADPLLSSAATPSNAELSILPQSTIYNWSCGHFARPLLTGSDDNEEAHARREEREQIALDCIAKCQRSSACKMTSQIASWDTRFDSGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGCLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYLARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >PVH61283 pep chromosome:PHallii_v3.1:3:317384:327342:-1 gene:PAHAL_3G004900 transcript:PVH61283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSTAAPTAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPAPVAYLPQVVVLCEQRHEGIDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSSSSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACIHACLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEILIYLLSEPQPEVRASAVFALGNLLDMGSTSSNGVDDDSDDDEKVKAEINVIQSLLQVSSDGSPLVRCEVAIALTRFASGHNKHLKSVAAEYWKPQTNSLLKSLPSLANISSPNNIYSPNNIRQGSSGLASHIGPVLRVGSDSSATGRDGRVSTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPVDSVIYSQYISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGAHQGETSVPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKSHPMNSPEGLADPLLSSAATPSNAELSILPQSTIYNWSCGHFARPLLTGSDDNEEAHARREEREQIALDCIAKCQRSSCKMTSQIASWDTRFDSGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGCLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYLARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >PVH61286 pep chromosome:PHallii_v3.1:3:317452:327299:-1 gene:PAHAL_3G004900 transcript:PVH61286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSTAAPTAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPAPVAYLPQVVVLCEQRHEGIDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSSSSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQAVQGHLHNRSLANRPIQATKHPSLHYASLDRSLCSLPITTLEVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACIHACLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEILIYLLSEPQPEVRASAVFALGNLLDMGSTSSNGVDDDSDDDEKVKAEINVIQSLLQVSSDGSPLVRCEVAIALTRFASGHNKHLKSVAAEYWKPQTNSLLKSLPSLANISSPNNIYSPNNIRQGSSGLASHIGPVLRVGSDSSATGRDGRVSTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPVDSVIYSQYISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGAHQGETSVPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKSHPMNSPEGLADPLLSSAATPSNAELSILPQSTIYNWSCGHFARPLLTGSDDNEEAHARREEREQIALDCIAKCQRSSCKMTSQIASWDTRFDSGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGCLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYLARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >PVH61284 pep chromosome:PHallii_v3.1:3:318222:327008:-1 gene:PAHAL_3G004900 transcript:PVH61284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSTAAPTAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPAPVAYLPQVVVLCEQRHEGIDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSSSSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACIHACLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEILIYLLSEPQPEVRASAVFALGNLLDMGSTSSNGVDDDSDDDEKVKAEINVIQSLLQVSSDGSPLVRCEVAIALTRFASGHNKHLKSVAAEYWKPQTNSLLKSLPSLANISSPNNIYSPNNIRQGSSGLASHIGPVLRVGSDSSATGRDGRVSTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPVDSVIYSQYISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGAHQGETSVPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKSHPMNSPEGLADPLLSSAATPSNAELSILPQSTIYNWSCGHFARPLLTGSDDNEEAHARREEREQIALDCIAKCQRSSACKMTSQIASWDTRFDSGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGCLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYLARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >PVH61842 pep chromosome:PHallii_v3.1:3:9001798:9001989:-1 gene:PAHAL_3G139900 transcript:PVH61842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLARPARACVAAVMSPATAAQSEAAVPRAPARRVPVDGRAVDQAVAYVLMAAALAVTYLAH >PAN16645 pep chromosome:PHallii_v3.1:3:4898068:4900128:1 gene:PAHAL_3G074600 transcript:PAN16645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLGPPAIRDVRPPPTPTPYHPFFDLFVTGPGVQGAKKAAAPKAQPPMARTENGSATYAGSGSPCLDFFFQVVPGTPAERVRGLLAAAWSRDPITALRLVANLRGVRGTGKSDREGFYDAALWVHARHPRTLACNVPALAEFGYLKDFPSCSTASSAATTRAKEKAAADKKRRRAKELRAARLAARIRYRARVRIPPPAPQPVSLVPPKPLLADFVSAMLSTKFNLGDVVPVEAMEAEEEESHDQAPMEEPKPVAVEAKKPKKKATPSMKAKKAKKAAKLAVQSLETYSGDRDYRFLFDCIAQFFADLLASDLDQLAQGGGKRAKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPDYVLHRLRREALVPLRRTLELPKVYMSAQRRSELPYKRVASVAMRRYKALFKKHDEARFGKYLEDVEAGKAKIAAGALLPHEIAGYKGEDDEVSELQWRRMVEDLREKGSLSNCIAVCDVSGSMTGTPMEVCVALGLLISELSEQPWAGRVITFSSEPEIHTIKGDTLQRKLSFVKSMEWGFSTNFQAVFDRILRTATDARLAPEKMIRTIFVFSDMEFDQVSARPWETDYQAIRRKFRDAGYGDVVPQIVFWNLRDSLSTPVTSTQQGVAMVSSFSKNLVKLFLENDGVVNPEAMMAAAIAGEEYQKLAIFD >PAN22031 pep chromosome:PHallii_v3.1:3:63701653:63702119:1 gene:PAHAL_3G508200 transcript:PAN22031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHWRKPKYHDVFCLRGFNWSRASRALCYRYISLLSLLHTAPPFSSTIAHVANSADLLPQHPVEII >PVH61978 pep chromosome:PHallii_v3.1:3:11137529:11138982:-1 gene:PAHAL_3G168100 transcript:PVH61978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGGPTTLAATQVDSKTTTARPASAGTRGQGRRGGGRVRTASASSAPRIWVRRRQTPREELGSGARGGGPSSPRRLGSRGGGAARARQDLATRQREAAGGRRIRPGRITERWEGAEARSSPDLAGRTTSSAVGHLAGGAWWRRARPETGERRRVRLEAGREAARGSGGAGGGGSRGQRGERRRALPAARKRRRARSGKGRGRGEEKERKK >PAN19476 pep chromosome:PHallii_v3.1:3:18438561:18440212:-1 gene:PAHAL_3G276200 transcript:PAN19476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGRRGARWSLPPARSRVLGKLGPSFGAGAGCGVGVGVGLIGGAGVGPGFPGLRLGFGVGTGCGIGIGFGYGFGKGVAYDENGKYSNIGRSNQKSKGPPSE >PAN19477 pep chromosome:PHallii_v3.1:3:18437893:18440297:-1 gene:PAHAL_3G276200 transcript:PAN19477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGRRGARWSLPPARSRVLGKLGPSFGAGAGCGVGVGVGLIGGAGVGPGFPGLRLGFGVGTGCGIGIGFGYGFGKGVAYDENGKYSNIGRSNQKSKGPPSEDQIDILVDEVIENTKKLIKATLKEIDKWRKA >PVH62874 pep chromosome:PHallii_v3.1:3:54806396:54807257:-1 gene:PAHAL_3G420000 transcript:PVH62874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPMLQFAMLLESKGVVPGEAGGHGTMYEPSGAPARTPPAKLRPARCQAAPRLNDTRLDSSTADLDLSIANLNSSTTDSIRAAMTAAPRSAVVAAASTSRRP >PAN17181 pep chromosome:PHallii_v3.1:3:7100306:7101771:1 gene:PAHAL_3G111300 transcript:PAN17181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWVRTITTPFRKMLNPQRDGKKTPRHHHHQSPSAMEHSGEMERSQLYGEVMACTYEDVQVMWSMLDKARICSAAAS >PAN16087 pep chromosome:PHallii_v3.1:3:1828880:1834903:1 gene:PAHAL_3G032400 transcript:PAN16087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGELLSKLLLLLFGYAMPAFECFKTVETRPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGTAFFMDVLRYVVSEKPEGSNAEKNKKSGWSPFATKRRPPSPPPQESLFESNPDAAVVAEALRATIGAAGGGAKPRRAPNGKHY >PAN16088 pep chromosome:PHallii_v3.1:3:1828880:1834903:1 gene:PAHAL_3G032400 transcript:PAN16088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGELLSKLLLLLFGYAMPAFECFKTVETRPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGTAFFMDVLRYVVSEKPEGSNAEQKNKKSGWSPFATKRRPPSPPPQESLFESNPDAAVVAEALRATIGAAGGGAKPRRAPNGKHY >PAN16042 pep chromosome:PHallii_v3.1:3:1591817:1592546:1 gene:PAHAL_3G028400 transcript:PAN16042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGMGGSDGDDRKKANKVIADIDEDELFELDITLLDGRHRGHSAAVADEDDDAAGQHALLANCLLPARSVSNAVPVDASSSALSSSYPYSGYYSSRRLIVFAGGGGIGRRLFLGRPGNSARFCFSSRGFETYFQRY >PAN18151 pep chromosome:PHallii_v3.1:3:11918089:11919369:1 gene:PAHAL_3G181800 transcript:PAN18151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATARHDDVESQHDERDDANREEAQRPLLEKRSLADGGGMSPIQRAISQTYQSTAHLATLLPTGTVLAFQLLSPIVTARGQCIRANRAMAGTLLALCALSCFVLSFTDSFRDAGGAVRYGFATFRGLWVIDGGAPLADPRAAAGYRIRFLDFVHAAVSVMIFAAVALFDQNVVSCFYPVPSEDARQVLTVLPVAIGVVGSMLFVAFPTTRHGIGFPLSKH >PAN20469 pep chromosome:PHallii_v3.1:3:23643226:23643981:-1 gene:PAHAL_3G320500 transcript:PAN20469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSNSPPAAAEVAEGSSTGGDGRKKGKAPKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTSPPPPSLAAPRSHSHSSASASAPPALRPLLPRPPLHQQSGAGAPAAPAFHHHQFQYHLLPPPQQPTPPLYYAGTATASTVTTTVPPRVEPQEPVAVAPAVGSSTSLLEPQAGTPEEAAAEAGWEYNVGEEEEDYAAALLWDEPEPFFWFDVFLK >PAN16906 pep chromosome:PHallii_v3.1:3:6076583:6077570:-1 gene:PAHAL_3G095400 transcript:PAN16906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKISLKLLVNAKTKTVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMHGSVGRLYQSVELIGTSYLQPGTDKSDLLQPKVLHPDARELLLLQGGGADGDGEPALARFRLYTCAGYCATATMEAKATCPQCKLAMSTEVAFVLPFAAPAAAGSSSSSDESGGYVKGVVTYMVTDGLEVTPMSAISSITMINKFAGKDVELAEKFVTVGTAEGLALLKAALRSDTVLSDVFLRKK >PAN17064 pep chromosome:PHallii_v3.1:3:6708451:6710437:-1 gene:PAHAL_3G105400 transcript:PAN17064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPFAAGLAALWCLGCAISSGEGQPDYRAALANSLLYFEGQRSGKLPPGQRVQWRGDSALADGRDHGVDLTGGYYDSGDNVKFGLPMAFTVTMLAWGVVEYARPLAAAGELRNALAAVRWGADYLARAHAAEETLYVQVGDGDSDHSCWQRPEDMDTPRTAYSVDASRPGSDVAAETAAALAAAAVAFRQLDGGYSATLLGHAEQLFRFAKNRRGLYHNSVPGAGEFYPSSGDEDDLIWAAVWLFVATGREDYKAFIAGDGNSGEVQSTLSWDKKFLGAQALVAKLILEGKLPDAGNPAVMKRSLEQFLCNVVQHGVDAKLSPGGMLWMQPWNNLQYVTSAAFVAAAHADHLAGASLRCGGAALPPALLLSFARSQAHYILGANPGRMSYMVGYGPRFPAQVHHRGASVPSIKSSPGKITCKGGFDYFSKSTPNPNVLVGAIVGGPDGNDHYNDSRQNFQQTEPSTVTVAPIVGVLARLLHN >PAN18745 pep chromosome:PHallii_v3.1:3:14400254:14401803:-1 gene:PAHAL_3G222300 transcript:PAN18745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLVEKQPDLAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNYEAAELVYRKAQTIEPDANRACNLGLCLIKLGRHGEARQTLEDVLLHRIYGSDDEKVVARAEQLLHELNPFNCVSSPFDVGQSVHEEIMERLDLVMNEWTPFRSRRLPVFEEIAGFRDQIAC >PAN18744 pep chromosome:PHallii_v3.1:3:14400356:14401329:-1 gene:PAHAL_3G222300 transcript:PAN18744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLVEKQPDLAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNYEAAELVYRKAQTIEPDANRACNLGLCLIKLGRHGEARQTLEDVLLHRIYGSDDEKVVARAEQLLHELNPFNCVSSPFDVGQSVHEEIMERLDLVMNEWTPFRSRRLPVFEEIAGFRDQIAC >PAN18746 pep chromosome:PHallii_v3.1:3:14400254:14402349:-1 gene:PAHAL_3G222300 transcript:PAN18746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRRRGGGGVGGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKQPDLAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNYEAAELVYRKAQTIEPDANRACNLGLCLIKLGRHGEARQTLEDVLLHRIYGSDDEKVVARAEQLLHELNPFNCVSSPFDVGQSVHEEIMERLDLVMNEWTPFRSRRLPVFEEIAGFRDQIAC >PVH62488 pep chromosome:PHallii_v3.1:3:21518217:21521721:1 gene:PAHAL_3G307000 transcript:PVH62488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLLPQRLPRIFRQVEQDVETVIHVLQPGPIGIVEHKFTDVEILEARATVKRAVDNWQRNWTLERNLGSASFDKWKK >PAN22104 pep chromosome:PHallii_v3.1:3:64188458:64194848:1 gene:PAHAL_3G513800 transcript:PAN22104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGNLEAVLKEAVDLENCPLEEVFENLRCSREGLSTQQAQQRLEIFGPNKLEEKEESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTSIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMFRVSKGAPEQILHLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYQAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDAKMFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >PAN19660 pep chromosome:PHallii_v3.1:3:19563910:19570750:-1 gene:PAHAL_3G288300 transcript:PAN19660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTFPTSTPFFAAHHGPRRSRPSVSAAVYSRSRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKIVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVDNALRDAKLQFKDIDEVILVGGSTRIPAVQEIVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVERMVDEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGEVKGKVESKLQELKDAVAGGSTQTMKDALSALNQEVMQLGQSLYSQQGAQGAGPAPGADATAGSAGSSEKPGDEGDVIDADFTDSK >PAN21656 pep chromosome:PHallii_v3.1:3:62330955:62332249:1 gene:PAHAL_3G491200 transcript:PAN21656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSGGGRSTDLYYCDCPFSLLAPPHPAPAGDDSSSGSSSDMEDPPLELPAADPARGGGTPPFTHAVPPPLELPAAHPARGGGAPPFTRAVPPPLIRVPGAGPRGRFDLDPRRFRCQLGVSVGGGLPGRRQAGDGERERSGVALPGEIYNALKAIRPRLARADLLRAYSALVRDDRKFRSLMALPEDMRKEWLLMEVAERRP >PAN15725 pep chromosome:PHallii_v3.1:3:163725:167740:1 gene:PAHAL_3G002800 transcript:PAN15725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVCLIAGCSICWFNTVCFVLCIRSFSASNRPLALSLSISFNGLSAAFYTLFANALSPLSPSVYLLLNAMLPFAISILALPAILLCHTQESQHHSMPNHDRRVFLGLYILAFVTGTYLVVFGSFTATRSAAWVILTGAMVLLALPLIIPACSNCSYLDAHGPDPASPLNHDDPHKPLLISNNHQTESDAVMEITMEHQLQGSCGTLLHKGRLAVLGEEHSAKRLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSQLTMLLAVYSSFSFFGRLLSALPDVLHRKVSLARTGWLAAALVPMPMAFFLMWKQQDGSTLIVGTALVGLSSGFIFAAAVSVTSELFGPKSVGVNHNILITNIPLGSFLYGQIAAMVYDANGQRMSVMDNRTGTIDTMIMCMGVRCYSTTFFVWGCITLLGLASSIVLFKRTKPAYATTASRSSCKHQVSS >PAN15727 pep chromosome:PHallii_v3.1:3:163725:167878:1 gene:PAHAL_3G002800 transcript:PAN15727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEEEEGGRRRSGGGGEQRTMEGEAEAWRRWAVLVATVWIQALTGTNFDFSAYSSALKSSLGISQEALNYLATASDLGKAFGWSSGLALLYIPLHAVLLVAAVLGLAAYALQYGCLVSVNLAAIPYPMMFLVCLIAGCSICWFNTVCFVLCIRSFSASNRPLALSLSISFNGLSAAFYTLFANALSPLSPSVYLLLNAMLPFAISILALPAILLCHTQESQHHSMPNHDRRVFLGLYILAFVTGTYLVVFGSFTATRSAAWVILTGAMVLLALPLIIPACSNCSYLDAHGPDPASPLNHDDPHKPLLISNNHQTESDAVMEITMEHQLQGSCGTLLHKGRLAVLGEEHSAKRLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSQLTMLLAVYSSFSFFGRLLSALPDVLHRKVSLARTGWLAAALVPMPMAFFLMWKQQDGSTLIVGTALVGLSSGFIFAAAVSVTSELFGPKSVGVNHNILITNIPLGSFLYGQIAAMVYDANGQRMSVMDNRTGTIDTMIMCMGVRCYSTTFFVWGCITLLGLASSIVLFKRTKPAYATTASRSSCKHQVSS >PAN21855 pep chromosome:PHallii_v3.1:3:62619091:62621301:1 gene:PAHAL_3G495500 transcript:PAN21855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNENEKLLERFTCCFNVATYVLLGCPCRFAQLIEYTGVTGPYVSLEMHSRLRVAVWPIYKAVGGRWRVTTGFCSCEVARPATGTVSSRAPPGRSVAYSSSGLSSSAPKNATECSSITTKPSCTIRIHPVLS >PAN16853 pep chromosome:PHallii_v3.1:3:5861818:5864409:1 gene:PAHAL_3G091200 transcript:PAN16853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETNGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >PAN16852 pep chromosome:PHallii_v3.1:3:5861824:5864148:1 gene:PAHAL_3G091200 transcript:PAN16852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETNGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >PVH61781 pep chromosome:PHallii_v3.1:3:7762937:7766012:1 gene:PAHAL_3G121200 transcript:PVH61781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGGDVDMPRKKAMKVVSVVGFGGLGKTTLAKAIYDQLKLHFERSAFVPVGRNPDVKKVLRDILIDLDRGKYANSDLMMLDEKQLMEELKEFIKEKRCFIIIDDIWDKESWKLIKGAMQHGHCGSRVVVTTRTYEVAAQADEEYKIQPLSRDNSKKLLYARIANGEGKYFDSPSAEACDKILKKCGGVPLAIITIASLLASKPWEDWSEVYNSIGFGQGGNDDVDNTRKILSFSYYDLPSHLKPCLLYLSIFSEDQEIDKNSLIWMLVAEGFVVHEEQAAGIGLFELGERYFNELINRSMIQPVEGGLGYVDGCRVHDMVFDLVRSLSSQENFVTVLDGNDERQKLPGRLVARRLALQRIKEHRVINC >PVH62253 pep chromosome:PHallii_v3.1:3:16186380:16191482:-1 gene:PAHAL_3G249500 transcript:PVH62253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEEAPPILLIAIGLDNGFIYCIKGDIARECITRFKLQVEAASNGSTSLPITGLGFRVEGQAHQLFAVTPISVSLFSLHVQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVNGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRTQKGTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYTILIIADKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQDYDEAMSQYIHTIGHLEPSYVIQKFLDAKHIYNLTNYLEKLHDRGLASKDHMTLLLNCYTKLKDVEKLNNFIKDEEGIGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRYLKILLKDLGRYDEALQYVSGLEANQAGLTVKEYGKILVERRPSETVEILLRLCTDVGDPTTRGSNNMHLLMIPSPMDFVNIFVHSPQYLMEFLENYIKAVKDSPAQTEIHNTLLELYISNALSFSSVSQENGFENHNIKEINAKETANGYKSGTREKAILGKQDTKIAKSIADRRRKGLALLKSAWTSEMQEPLYDVDLALILCNTHAFKDGLLCLYEKLKLCKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLCGDLLKYFGELGEDCSKEVKEVLTYIEKDDVVPPIVVL >PVH62846 pep chromosome:PHallii_v3.1:3:53804025:53804885:-1 gene:PAHAL_3G415000 transcript:PVH62846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEESPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELTVRIQQIRAAHAEARAAAMVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPESSAAADDGDAAMQPLTDGNPEDGEREPLALPAPEEDTPRK >PAN17478 pep chromosome:PHallii_v3.1:3:8643370:8645521:1 gene:PAHAL_3G134200 transcript:PAN17478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAAHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSDGRIVPDGVNAKLLGNHGRLEKRAPGQAFLEAVA >PAN17890 pep chromosome:PHallii_v3.1:3:10405383:10411021:1 gene:PAHAL_3G161800 transcript:PAN17890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNLLVSALGVGVGVGLGLASARWAAPTHGEGGGGAGAGAAEVEAELRRLVLDGRESEVTFDEFHNFHYYLSDQTKEVLISAAFVHLKKAELSKHIRNLSAASRAILLSGPTEPYLQSLAKALSHYFKARLLILDATDFSLRIQSKYGGSSKVMLRNQSVAETTFGKMSGLIGSFMTYPKKDESREPLRRQTSNTDLRAKGSDGSTSMPSLRKNASVSSDMSDLASQCSGHSVRRTSSWCFGEKVLIQSLYKVMISVSENDPIILYIRDVDHFLSKSQRTYSMFQKMLAKLSGQVLILGSRLLNCDADNRDVDERVSTLFPYHVDIKPPEEETHLDFWKNQMEEDTKKFQMQDNRNHIIEVLSANDLDCDDLSSICFADTMVLSNYIEEIIVSAVSYHLIHNKDPEYKNGKLILSSKSLSHGLSIFQGEHGGKDTLKLEETKDGLKGALGSKKTETDKSATVPVGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARSGEHEAMRKIKNEFMSHWDGLLSKSGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKVDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELQRREKEEKEKAAATKENAEAPESKKENSESKENSESKEEKPDGKADNSEAKAEGSHKEAAIDLRPLTMEDLKQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >PAN17891 pep chromosome:PHallii_v3.1:3:10406034:10411021:1 gene:PAHAL_3G161800 transcript:PAN17891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNQSVAETTFGKMSGLIGSFMTYPKKDESREPLRRQTSNTDLRAKGSDGSTSMPSLRKNASVSSDMSDLASQCSGHSVRRTSSWCFGEKVLIQSLYKVMISVSENDPIILYIRDVDHFLSKSQRTYSMFQKMLAKLSGQVLILGSRLLNCDADNRDVDERVSTLFPYHVDIKPPEEETHLDFWKNQMEEDTKKFQMQDNRNHIIEVLSANDLDCDDLSSICFADTMVLSNYIEEIIVSAVSYHLIHNKDPEYKNGKLILSSKSLSHGLSIFQGEHGGKDTLKLEETKDGLKGALGSKKTETDKSATVPVGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARSGEHEAMRKIKNEFMSHWDGLLSKSGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKVDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELQRREKEEKEKAAATKENAEAPESKKENSESKENSESKEEKPDGKADNSEAKAEGSHKEAAIDLRPLTMEDLKQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >PAN17892 pep chromosome:PHallii_v3.1:3:10407450:10411021:1 gene:PAHAL_3G161800 transcript:PAN17892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSENDPIILYIRDVDHFLSKSQRTYSMFQKMLAKLSGQVLILGSRLLNCDADNRDVDERVSTLFPYHVDIKPPEEETHLDFWKNQMEEDTKKFQMQDNRNHIIEVLSANDLDCDDLSSICFADTMVLSNYIEEIIVSAVSYHLIHNKDPEYKNGKLILSSKSLSHGLSIFQGEHGGKDTLKLEETKDGLKGALGSKKTETDKSATVPVGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARSGEHEAMRKIKNEFMSHWDGLLSKSGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKVDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELQRREKEEKEKAAATKENAEAPESKKENSESKENSESKEEKPDGKADNSEAKAEGSHKEAAIDLRPLTMEDLKQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >PAN21104 pep chromosome:PHallii_v3.1:3:57795494:57799852:-1 gene:PAHAL_3G440400 transcript:PAN21104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVLACASSPLTCHEVLQDPLLSSLSSIRAGLTMYVIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSFTNLLAAVLIALTFGQLGDSKDGMPNFFTQLSQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINKAEILFAGVACFLVAVILGSAVHASNAADNEEKLNASNKLGANGSVEPSKGVPDKDAPKDLENGASGTKHVTRAEAGTAEYLIELEERRSIKVFGSSTFIGLSIVFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFVAAVATLMASSGHRSTK >PVH62964 pep chromosome:PHallii_v3.1:3:57795935:57799852:-1 gene:PAHAL_3G440400 transcript:PVH62964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVLACASSPLTCHEVLQDPLLSSLSSIRAGLTMYVIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSFTNLLAAVLIALTFGQLGDSKDGMPNFFTQLSQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINKAEILFAGVACFLVAVILGSAVHASNAADNEEKLNASNKLGANGSVEPSKGVPDKVPDAPKDLENGASGTKHVTRAEAGTAEYLIELEERRSIKVFGSSTFIGLSIVFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFVAAVATLMASSGHRSTK >PAN17858 pep chromosome:PHallii_v3.1:3:10252035:10253774:-1 gene:PAHAL_3G159700 transcript:PAN17858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLATAREARLYGPALAVRRWEYVNAGAYAFAALLLAASLAALSAGGGVSRAGFAVAAVALAAVAAVNAHDLAAHLAGVDWRVGLARYDAQLGLVEFLVPALHAAGCVLAVAGMALLVSQGEGAGYSYGREKHAANMLLAAALLWLLGSVLNSCQVYERADGRAQLLQSSVQVPLLLGSLLFLVAAVVNRRRVSSSWRREPVILVGRSWAWLCLVGSLLWLAAALLNGLKVFMMHQSDGLRLEKLRGGAQERLSRDLEGRVPLNWEEVARRRALPTELR >PVH63002 pep chromosome:PHallii_v3.1:3:58730287:58731774:1 gene:PAHAL_3G450600 transcript:PVH63002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFAAALGAESVVEVEGVVAAPRDPVLRATARHVEVRFRRIHCVARASRSLPFSLDDAEAEAELEGPGTGRARVGQDTRFNQRALDLRTPANQAIFRIQFQVENKFREFLLSKGFVGIRTPKLNAGASEGGAAVFKLQYNGQPACLAQSPQLHKQMAISGGFGRVFEVGPLFRAENSKTHKHLCEFTGLDTEIEIKEHYFEVCDVIDGLFVAIFKHLNESCKRELEEINKQFPFEPLKDKHVLACSCNFLFMIGIRHLVFRFCPWPMRTAQVVLNTAAVPGADLEAHL >PVH61484 pep chromosome:PHallii_v3.1:3:2847680:2848501:1 gene:PAHAL_3G045000 transcript:PVH61484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQALLHLPWLSCVCVCMVAVAALLASPAAASRALAVGSGDPTVGFTAVRLSEGNFVLQRPYDVPGGDRYRFDGGVRQLWVLSSDKPHDPHSNTSPRTEIRMTGYDYSSGVWQFEGYGYVPSGTTGVSVMQVFGGGESATTLMLHVYDGALRYYDRQVVEDNIYDRWFRLNVVHDVDASTVAVYVDGVERLRVAGRGGDSHYFKFGVYAQNHASSCMESRWKNIRIFRKD >PAN20947 pep chromosome:PHallii_v3.1:3:55719392:55722026:-1 gene:PAHAL_3G425500 transcript:PAN20947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLQHPWAFAFGLLGNIISFMTFLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNELLLITINAAGIVIESIYIVMYFVYADKKAKWFTAKIMLGLNVGFFGAILLVTLLLFKGDKRIVTLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVIGFTFGVVQMVLYVVYMNKTPVVAEGKDAGGKLVTAAADEHVLVNIAKLSPALPEKSSEVHPVFEMGAPRRCATEAARAAAPNRDVVDVFVSRHSPAVGVA >PAN21966 pep chromosome:PHallii_v3.1:3:63317412:63320067:1 gene:PAHAL_3G503300 transcript:PAN21966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEMERCISSLCASLSTVLDHADSSSRELADAVSRRPIHLDSATTAFLQKLDRLAEAAGADVARLESMVFGAVSFEELLGHCGEALSVYARHADAIESRLASFGYEPPEVEPEVDAEDGGAGEVGDPGNGGFSVSSSVLRSDRRRFDNDDDALFGGSLKNLGFSDATLATLSSEVTDYNESPKKLYKNPDSADDGQKIMNEPELIAPQNERNDQGNSFKEMIRASKEEYEQLPPYMKSLASWEELYEGILKLNSYFGSNNALNQDDTGAIGLGRKGRACLLMLLRLNQLTMETVDGSTCYTIRKMNQ >PAN21128 pep chromosome:PHallii_v3.1:3:58117650:58124180:-1 gene:PAHAL_3G443400 transcript:PAN21128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGEDSSSSRKGKEREEDGAGESHREEGVAVMEVEVDLGDLYGAAAGWVEARTSCPHLGTMPPTGAAELARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLCHYQEKGHCLALSFSDLSVWCFGCDSYLDVQAILELRPVYEIAHLLKFGERPPFRSLEVLDLSTGENRSSSSAA >PAN21129 pep chromosome:PHallii_v3.1:3:58120389:58124121:-1 gene:PAHAL_3G443400 transcript:PAN21129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGEDSSSSRKGKEREEDGAGESHREEGVAVMEVEVDLGDLYGAAAGWVEARTSCPHLGTMPPTGAAELARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLCHYQEKGHCLALSFSDLSVWCFGCDSYLDVQAILELRPVYEIAHLLKFGERPPFRSLEVLDLSTGENRSSSSAA >PAN21127 pep chromosome:PHallii_v3.1:3:58120035:58124121:-1 gene:PAHAL_3G443400 transcript:PAN21127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGEDSSSSRKGKEREEDGAGESHREEGVAVMEVEVDLGDLYGAAAGWVEARTSCPHLGTMPPTGAAELARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLCHYQEKGHCLALSFSDLSVWCFGCDSYLDVQAILELRPVYEIAHLLKFGERPPFRSLEVLDLSTGENRSSSSAA >PAN16417 pep chromosome:PHallii_v3.1:3:3702012:3703089:1 gene:PAHAL_3G058800 transcript:PAN16417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREGLARGLEVEAALATEVAWELKEAVASMAAGSLDMDVDSPPHLPIETSANKISLLSFLCHWFHYSFHIYSFFPGLYSAMNPSSDRD >PAN17818 pep chromosome:PHallii_v3.1:3:10098080:10101602:-1 gene:PAHAL_3G156800 transcript:PAN17818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQFDLLGDVDNDDPAHLLAAAEKKAAAAPKPAPAPVKLPTKPPPPAQAVREVRNYGAPPRDGAGRGGPGRGRGGRGGRTGPRRDFGDADANGFEGGYGGGFGDGGVARGENGEGRQAERGRGPRQPYRGGGRRGGYADGQAGDEFGRPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEGLAQDAVEAVNVEETAAAAEDEKKPEDAPQSEVEKDKEGVENEEEEKEPEDKEMTLEEYEKVLEEKRKALLTLKAEERKVEIDKELQSMQQLSVKKDADEVFIKLGSDKDLKKKENAERDERAKKSLSINEFLKPAEGERYYNPSGRGRGRGRGRGERGGFRGGYNGGYRGPVSAPAIQDQAQFPALA >PAN17345 pep chromosome:PHallii_v3.1:3:8043894:8045925:-1 gene:PAHAL_3G124000 transcript:PAN17345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPPPPSQSPAQTPPPPQHQQQPAPGAGGREDMLACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTAEEMLRKEITTMEEELKTKSELTAKHTKLIEGWRKELKEQLGKHITELERV >PAN21417 pep chromosome:PHallii_v3.1:3:60045007:60049601:1 gene:PAHAL_3G463300 transcript:PAN21417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPLRGIKNDLHGRLACYKQDWTGGFRTGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFNFAKDRPELGRNLFLAWTGWVSVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVASWRFANGMFAIVLSFGLLLTALRSRKARSWRYGAGWLRGFIADYGVPLMVLVWTGVSYIPYRSVPKGIPRRLFSPNPWSPGAYDNWTVVKDMAHVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKSMSQNASLSQLYGSMQDAYQQMQTPLVYQQPSVRRGLNELKDSTVQLASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRLSNLLQATMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFSLAAQDIDVALGRAQSAEILDDMVTRSRGEIKRLNSPKITSSGGTPVAELKNTRSPSISEKAYSPRLTELRHERSPLGGRNSPRTPSKLGEGSTPK >PVH61785 pep chromosome:PHallii_v3.1:3:7823707:7824196:1 gene:PAHAL_3G122000 transcript:PVH61785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSQGDAMPRSVARDRQKNSSSSQRDHCTPEILCVKVSDNAWRRLERRRQKMDSPFLTFYVNFVAGLSP >PVH61321 pep chromosome:PHallii_v3.1:3:590590:594311:1 gene:PAHAL_3G010400 transcript:PVH61321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVFPPLRWLILLPPVFLCVLLSYLYAILWLRPERLREKLWSQGVKGPKPSFLFGNIVEMRRIQKELAMSVQELQAGTTDKFSSDYTATLFPYFLHWSRIYGSIFLYSTGSIQVLNMTDPDMVKELANFKSFDIGRPLFLQKERRALLGMGILTSNGELWAHQRKVIAPEFFMDKVKGMLHVMVEAAMPMLTSWENITGKKGGSAEIVVDESLRNFSADVISRTSFGSNFAAGKEIFNKIRQLQIAMAEQSMLGVPGVRYLPTKTNREIWSLDRSIRRLILNVALKHEQDSVALSTKDLLHSIIKGAKARHFASQTPEDFIIDNCKNIYFAGHETTSTTAAWCLMLLASHPEWQSRARTELLDVCQGKPIEFDMLRKFKMITMVIQETLRLYPPAAFVAREALNDLKLGSLNIPKGTNIRIPVALAHRDPVLWGPNSDRFDPGRFANGIAGACKAPHMYMPFGVGARTCAGQNLPMVELKVVLSLLLSKFEFALSPNYVHCPAFRLTIEPGNGVPLIFQKLY >PVH62277 pep chromosome:PHallii_v3.1:3:16642761:16644078:-1 gene:PAHAL_3G254100 transcript:PVH62277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSKPRAAAARPYWLLLLCLAQAAIAGVMQARAQPDSNGFISIDCGLPGTTAYVDNTTKLAYAPDAAFTDAGENRNISAEYITPGLARGYHNVRSFPDGARNCYTLRSLTAGNKYLLRAGFMYGNYDGLDRPPVFDLHIGVNFWTTVIVSSSDTAPWQSEAIVVVPDNFVQVCLINTDSGTPFISGLSLRPLKISLYPQVNAMQGLVLLTRHNFGEAGDIVVR >PAN21307 pep chromosome:PHallii_v3.1:3:57060963:57062536:1 gene:PAHAL_3G434300 transcript:PAN21307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLGGMMRGKWSLETKKLFMDWAKSRPGAAALYMKPFVTYDKLCEIYASDLGKGAKAKGRGDPFELHEEHSSADMTEATHQSENDVDSHCQQPCHGSNPSNGSKSTCSRKRVFLDDDVFASEFSNAAKSIKTLVDAETTNAAAMNALQVAYAKELEAQKQTADRREQLFNELAKYNEFRRDQIVKAALIIGQDEAKLNIFFTTPEQFKSEFIRQVLQSVK >PAN18834 pep chromosome:PHallii_v3.1:3:14920129:14923318:1 gene:PAHAL_3G229000 transcript:PAN18834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPPTSLSLVARAATRAAAAAATPLRRGGLAAACQPARSLAFAAGDARLAVHVASRCRQASSARGTRAMATMAKKSVGDLTEADLEGKRVFVRADLNVPLDENQNITDDTRVRAAVPTIQYLIGKGAKVILSSHLGRPKGVTPKFSLAPIVPRLSELLGIQVQKADDVIGPEVEKLVSALPNGGVLLLENVRFYKEEEKNDPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLMLPTDVVIADKFAPDANSQIVPASSIPDGWMGLDIGPDSVASFNAALDTTQTVIWNGPMGVFEFDKFAVGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAATVTV >PAN19232 pep chromosome:PHallii_v3.1:3:16992323:16995409:-1 gene:PAHAL_3G258000 transcript:PAN19232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRRFNPVEQCACEGRSRQAVAADLDGTLLLSRSAFPYYLLIALEAGGPLRAAAVLLSVPLVYLTYVAVSEPLAVRALLYVAVAGLRAADVEAAARSVLPRFYAGDVHPEGWRVFRSFGRRCVVTASPRVMVEPFAKAFLGADVVIGTELEVGEDGRATGFVAEPGVLVGEHKRRAVEREFGDAVPDVGMGDRESDFNFMSVCKEAYIVTRQKYRAVPREQLRSRAVLHDGRLARRPTATSTLLTFLWVPLGFALALLRARLHLLLPPRAASYACKLTGVKLVVRGHPPPPPRKGRPGVLFVCNHRTALDPVAVAVALGRTVSCFMDSAPRFPGLVSLVRAAVAPSGRVREADAARVRRLLEFGDVVIFPEGATCREPFLLRFGALFAELTDRVVPVAINARESMFHGSTARGDRSMDPYFFFMNPRPTYEVTFLNQLPRELTCGGGRSPVEVANYVQKVLAAQLGFECTSITPGRTSTGWSTALTAAPRAQR >PAN20843 pep chromosome:PHallii_v3.1:3:53828987:53832813:1 gene:PAHAL_3G415300 transcript:PAN20843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLILLPFLLLAAAAAMAASRVTAESNNTSGCPDSCSGISIQYPFGIGTGCFRNGFEIVCDNVTGMPVLAGTTRPVPVDLLSIKTAEARVMLPVAWQCFSSAKTVYDSSDGDVNFNSDDVYRISNTHNQLVGIGCNTLGYTQSQRSEGNGYYPYAYYTGCLSFCNNSQSATDGACAGVGCCHVEIPPGLTNNNMGFELYNHSEVLAFSPCDYVFLVDKDSYTFRKADLKMDTNTKMPVWLDWAIRDNLTCEQAKKKESYACMSTNSECLDSSNGPGYVCNCSKGYQGNPYIADGCTDINECDEHNKEYPCRGVCENTPGSYECKCPRGTHSADPLNIPCNPNFPLAAKIVTDEIQPVAIKKPKIDVKVANQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHDNNRLPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMIAIEESYTSTIIGNLGYIDPEYVQTGLYTSKSDVYSFGVVLLELITRKKILDPDINNLLGNSLDTYTKKRGVIELVDPEISAKGTIGIFHSLADIIVQCLNLDVDLRPDMADVAERLQFLLK >PAN20841 pep chromosome:PHallii_v3.1:3:53828987:53832813:1 gene:PAHAL_3G415300 transcript:PAN20841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSEKSNTIMPTRLILLPFLLLAAAAAMAASRVTAESNNTSGCPDSCSGISIQYPFGIGTGCFRNGFEIVCDNVTGMPVLAGTTRPVPVDLLSIKTAEARVMLPVAWQCFSSAKTVYDSSDGDVNFNSDDVYRISNTHNQLVGIGCNTLGYTQSQRSEGNGYYPYAYYTGCLSFCNNSQSATDGACAGVGCCHVEIPPGLTNNNMGFELYNHSEVLAFSPCDYVFLVDKDSYTFRKADLKMDTNTKMPVWLDWAIRDNLTCEQAKKKESYACMSTNSECLDSSNGPGYVCNCSKGYQGNPYIADGCTDINECDEHNKEYPCRGVCENTPGSYECKCPRGTHSADPLNIPCNPNFPLAAKIVTGAIGGLFIVAIMVFIFLIGKEKRKMKEFFRKNGGPIIEKVNKIKVFKKVELEPILKTSNRIGQGGFSEVYKGYLTDEIQPVAIKKPKIDVKVANQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHDNNRLPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMIAIEESYTSTIIGNLGYIDPEYVQTGLYTSKSDVYSFGVVLLELITRKKILDPDINNLLGNSLDTYTKKRGVIELVDPEISAKGTIGIFHSLADIIVQCLNLDVDLRPDMADVAERLQFLLK >PAN20840 pep chromosome:PHallii_v3.1:3:53828987:53832813:1 gene:PAHAL_3G415300 transcript:PAN20840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLILLPFLLLAAAAAMAASRVTAESNNTSGCPDSCSGISIQYPFGIGTGCFRNGFEIVCDNVTGMPVLAGTTRPVPVDLLSIKTAEARVMLPVAWQCFSSAKTVYDSSDGDVNFNSDDVYRISNTHNQLVGIGCNTLGYTQSQRSEGNGYYPYAYYTGCLSFCNNSQSATDGACAGVGCCHVEIPPGLTNNNMGFELYNHSEVLAFSPCDYVFLVDKDSYTFRKADLKMDTNTKMPVWLDWAIRDNLTCEQAKKKESYACMSTNSECLDSSNGPGYVCNCSKGYQGNPYIADGCTDINECDEHNKEYPCRGVCENTPGSYECKCPRGTHSADPLNIPCNPNFPLAAKIVTGAIGGLFIVAIMVFIFLIGKEKRKMKEFFRKNGGPIIEKVNKIKVFKKVELEPILKTSNRIGQGGFSEVYKGYLTDEIQPVAIKKPKIDVKVANQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHDNNRLPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMIAIEESYTSTIIGNLGYIDPEYVQTGLYTSKSDVYSFGVVLLELITRKKILDPDINNLLGNSLDTYTKKRGVIELVDPEISAKGTIGIFHSLADIIVQCLNLDVDLRPDMADVAERLQFLLK >PAN20842 pep chromosome:PHallii_v3.1:3:53828987:53832813:1 gene:PAHAL_3G415300 transcript:PAN20842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSEKSNTIMPTRLILLPFLLLAAAAAMAASRVTAESNNTSGCPDSCSGISIQYPFGIGTGCFRNGFEIVCDNVTGMPVLAGTTRPVPVDLLSIKTAEARVMLPVAWQCFSSAKTVYDSSDGDVNFNSDDVYRISNTHNQLVGIGCNTLGYTQSQRSEGNGYYPYAYYTGCLSFCNNSQSATDGACAGVGCCHVEIPPGLTNNNMGFELYNHSEVLAFSPCDYVFLVDKDSYTFRKADLKMDTNTKMPVWLDWAIRDNLTCEQAKKKESYACMSTNSECLDSSNGPGYVCNCSKGYQGNPYIADGCTDINECDEHNKEYPCRGVCENTPGSYECKCPRGTHSADPLNIPCNPNFPLAAKIVTDEIQPVAIKKPKIDVKVANQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHDNNRLPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMIAIEESYTSTIIGNLGYIDPEYVQTGLYTSKSDVYSFGVVLLELITRKKILDPDINNLLGNSLDTYTKKRGVIELVDPEISAKGTIGIFHSLADIIVQCLNLDVDLRPDMADVAERLQFLLK >PAN20839 pep chromosome:PHallii_v3.1:3:53828987:53832813:1 gene:PAHAL_3G415300 transcript:PAN20839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLILLPFLLLAAAAAMAASRVTAESNNTSGCPDSCSGISIQYPFGIGTGCFRNGFEIVCDNVTGMPVLAGTTRPVPVDLLSIKTAEARVMLPVAWQCFSSAKTVYDSSDGDVNFNSDDVYRISNTHNQLVGIGCNTLGYTQSQRSEGNGYYPYAYYTGCLSFCNNSQSATDGACAGVGCCHVEIPPGLTNNNMGFELYNHSEVLAFSPCDYVFLVDKDSYTFRKADLKMDTNTKMPVWLDWAIRDNLTCEQAKKKESYACMSTNSECLDSSNGPGYVCNCSKGYQGNPYIADGCTDINECDEHNKEYPCRGVCENTPGSYECKCPRGTHSADPLNIPCNPNFPLAAKIVTGAIGGLFIVAIMVFIFLIGKEKRKMKEFFRKNGGPIIEKVNKIKVFKKVELEPILKTSNRIGQGGFSEVYKGYLTDEIQPVAIKKPKIDVKVANQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHDNNRLPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMIAIEESYTSTIIGNLGYIDPEYVQTGLYTSKSDVYSFGVVLLELITRKKILDPDINNLLGNSLDTYTKKRGVIELVDPEISAKGTIGIFHSLADIIVQCLNLDVDLRPDMADVAERLQFLLK >PAN20844 pep chromosome:PHallii_v3.1:3:53828987:53832813:1 gene:PAHAL_3G415300 transcript:PAN20844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLILLPFLLLAAAAAMAASRVTAESNNTSGCPDSCSGISIQYPFGIGTGCFRNGFEIVCDNVTGMPVLAGTTRPVPVDLLSIKTAEARVMLPVAWQCFSSAKTVYDSSDGDVNFNSDDVYRISNTHNQLVGIGCNTLGYTQSQRSEGNGYYPYAYYTGCLSFCNNSQSATDGACAGVGCCHVEIPPGLTNNNMGFELYNHSEVLAFSPCDYVFLVDKDSYTFRKADLKMDTNTKMPVWLDWAIRDNLTCEQAKKKESYACMSTNSECLDSSNGPGYVCNCSKGYQGNPYIADGCTDINECDEHNKEYPCRGVCENTPGSYECKCPRGTHSADPLNIPCNPNFPLAAKIVTGAIGGLFIVAIMVFIFLIGKEKRKMKEFFRKNGGPIIEKVNKIKVFKKVELEPILKTSNRIGQGGFSEVYKGYLTDEIQPVAIKKPKIDVKVANQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHDNNRLPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMIAIEESYTSTIIGNLGYIDPEYVQTGLYTSKSDVYSFGVVLLELITRKKILDPDINNLLGNSLDTYTKKRGVIELVDPEISAKGTIGIFHSLADIIVQCLNLDVDLRPDMADVAERLQFLLK >PAN16758 pep chromosome:PHallii_v3.1:3:5494298:5498095:1 gene:PAHAL_3G084300 transcript:PAN16758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRACVLFSLLLLLLPGVAICSTAQTTAPLPPTTPPPPPAAPRPPHRHRHVAPPRSPLTPPPSSFAPPPPPPPRHKHTPVTPAPVAVPPAAAQAPPPTPTPIPTPAPPVATSPTPKYPSSSANPSTDPYPFTNYPFFPAVPAPPPPAAETQPSSSGDGGLPTFPANISNLVAPTPRASGSRRFPVLQALLLSLLSLCLLLLSALLSIHLLRRLRHRGRPGAAASSAASAHRSNAGDDDDGDEEGRRLKPPPMPTSSSNPSTEFLYLGTLATPPPAQQAPGTSSSLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTVPPAAAADASSSSLSPSSPSASSPTLGSSPVHLRPPSIPQPRGRAPNPSPPKRRPSPPKGAAEPVAAHAWNPFVPVPPRAAVASSDDGDSDDKDVRKSRPLHSDKLKPSSLHMKDEVIQLYLNNSSAVAAPREVCLLGAPRCHGIGMVVGALGVSKEQLRDALLEGNAHGLGVETLRMLTQMVLSNEEELKLKYFKDDSLTKLCPIEAFVKAMLDVPFAFKRVDAMLYIASFYLEVNQLRLSYATLEGACQEMRSSRLFHKVVEAVMNFGNFMSINAGSPSSHGLEPNTVLKIVDVKGADGKAALVQFVVQEILKPEGYNVLQHGSATSKMNTSTMQGDAESRKHGLEVVSKLAAELSNTKKAASIDIVMLSRSVSDLGMGLGKVHDVLRLNSMVTSAESARRFHNNMSTFLRQAEEEILKLQSQESICLSLVKEMAEYFHGESATDEAHMFRTFAGVREFLAMLDRICKEAGEISGNSWVSATTASWTAAPMGMTP >PAN17082 pep chromosome:PHallii_v3.1:3:6655308:6655701:-1 gene:PAHAL_3G104300 transcript:PAN17082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVNDRIESKPQTYGSLSASTRVIHQLVVRSCQPHISSIMQYAPPRHLIVGSASFSVSFDCLILWVLGLNGQSVLVYSSHVSHSQTGNGA >PAN17922 pep chromosome:PHallii_v3.1:3:10959817:10963243:-1 gene:PAHAL_3G165500 transcript:PAN17922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYNTGTALRFGPPHVATLEPRVASGVESTSVVAAGSVLPRRRRRRAVGRAGVAGRRAERRVHGGVAPPVPHRPVQPGDEALALPLEVPQEPCRHAPASPVVRRRRRQRRCRHLGGGVAGRGGGEVLHHGLGQARGGGPAAVPQPHARDGAAHRGGHGIGGGCAGAGQERGAQGDDVLSGRRGGVHRGGRGEVVPGHLDGDAPDLLARERRVREERALHAAAGVLQDALGAAVGHEEEVEPLAGDIAPRGAGAHDVDAEALGGLVLREQGRARDGDHGHDAEHDALRGRGGGRHPRGPNSVDGDVVDVNRGGRRGGGGGNGEEERSLHCCGGARPGKDRAFPCRCL >PAN17921 pep chromosome:PHallii_v3.1:3:10959766:10963660:-1 gene:PAHAL_3G165500 transcript:PAN17921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYNTGTALRFGPPHVATLEPRVASGVESTSVVAAGSVLPRRRRRRAVGRAGVAGRRAERRVHGGVAPPVPHRPVQPGDEALALPLEVPQEPCRHAPASPVVRRRRRQRRCRHLGGGVAGRGGGEVLHHGLGQARGGGPAAVPQPHARDGAAHRGGHGIGGGCAGAGQERGAQGDDVLSGRRGGVHRGGRGEVVPGHLDGDAPDLLARERRVREERALHAAAGVLQDALGAAVGHEEEVEPLAGDIAPRGAGAHDVDAEALGGLVLREQGRARDGDHGHDAEHDALRGRGGGRHPRGPNSVDGDVVDVNRGGRRGGGGGNGEEERSLHCCGGARPGKDRAFPCRCL >PAN16780 pep chromosome:PHallii_v3.1:3:5569983:5573300:-1 gene:PAHAL_3G085900 transcript:PAN16780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDTYISTIGVDFKIRTVELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNIKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTETAKAFADSLGIPFIETSAKESINVEEAFLTMSSEIKKRMATQPSVERRPTVHVHMKGQPIQQKSSCCSS >PAN17959 pep chromosome:PHallii_v3.1:3:11132242:11134907:1 gene:PAHAL_3G168000 transcript:PAN17959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLAVVFFCILVLASAAIAFLLIRYCLRRRISSSTAGDAEAPQARAVAPPPEPEPELSLPSSELRAAKEKGKEKTLLKLEPRRLTWREVEALTGGFDEAAVVGRGGSSTVYLARLRHGAPVAVKVHRWCGGERRLRAFRQELGLLRRIHQPHIVALLAYSDDHEEGGALVLEYLAGGTLADRLHGGATAPLPWAHRMRILHDVACALEHLHDASTGVVHGDVSASNVLLDGNGLSARLCDLGSSCEGFSAAVAPSRAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEAITGMPAAGSGSTKNLTARVLPRVKPEGVDGLVDSSLGEDYDVEEAGDVARIAVECVGAQPGLRPTMEQVRAAIAEKAARSISKADYGHHIQLSKLLEIT >PAN18951 pep chromosome:PHallii_v3.1:3:15419035:15421241:-1 gene:PAHAL_3G237700 transcript:PAN18951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLHWLEAILPLGIIGGMLCVMGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLVEQSSGN >PAN17754 pep chromosome:PHallii_v3.1:3:9883886:9887904:1 gene:PAHAL_3G153900 transcript:PAN17754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMVARHRSANAICSQLPATFPFRAPRSDTGAGSVLRNLHKRYRSSYASSFPRWIRDLDSPSEASLLKEIYRSDPERVVQIFERHPSLHSNSSALSEYIKALVSLDRLEDSLLLKTMQRGLASSVMDEERRTGLAAIASVGRQTKDGALGTANAPIHMVTAETGQFKEQLWKTFRSIALTFIVISGIGALIEDRGISKGLGLNEEVQPSMSSKTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFNAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILDTHMSKVLKADDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMKDLEFAKDRIMMGSERKSAVISDECRKMTAYHEGGHALVAIHTDGANPVHKATIVPRGMALGMVTQLPEKDQHSVSRKQMLARLDVCMGGRVAEELIFGKSEVTSGASSDLKQATRLARAMVTKYGMSERVGLVSYSDDNGNSMSAQTRGMIDKEVKDILERAYNNAKTILTTHDRELHALANALLEHETLSGAQIKKLLAQVNNSGNKQKKAAKVPQKTPAAPPPPQSPAAAAAAAAQEAAAKAKGVAGTAAAAQAAAAEGVAGIGS >PAN17753 pep chromosome:PHallii_v3.1:3:9883054:9887903:1 gene:PAHAL_3G153900 transcript:PAN17753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLRPSRFSSPWRPAWSLGRRCRGREEPTPAPSPSPWTWTTPITRPRPPPPPVVALPSGASPGSLRRRPLPLFPMAWRLAISKVARHRSANAICSQLPATFPFRAPRSDTGAGSVLRNLHKRYRSSYASSFPRWIRDLDSPSEASLLKEIYRSDPERVVQIFERHPSLHSNSSALSEYIKALVSLDRLEDSLLLKTMQRGLASSVMDEERRTGLAAIASVGRQTKDGALGTANAPIHMVTAETGQFKEQLWKTFRSIALTFIVISGIGALIEDRGISKGLGLNEEVQPSMSSKTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFNAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILDTHMSKVLKADDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMKDLEFAKDRIMMGSERKSAVISDECRKMTAYHEGGHALVAIHTDGANPVHKATIVPRGMALGMVTQLPEKDQHSVSRKQMLARLDVCMGGRVAEELIFGKSEVTSGASSDLKQATRLARAMVTKYGMSERVGLVSYSDDNGNSMSAQTRGMIDKEVKDILERAYNNAKTILTTHDRELHALANALLEHETLSGAQIKKLLAQVNNSGNKQKKAAKVPQKTPAAPPPPQSPAAAAAAAAQEAAAKAKGVAGTAAAAQAAAAEGVAGIGS >PVH62434 pep chromosome:PHallii_v3.1:3:19919820:19921993:1 gene:PAHAL_3G292200 transcript:PVH62434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETAFVCLEELAQRSMVHVHSRDKIRGCISGVQMHDVVREWAIQQSRKEGFLKMCKNQDDVSDGIFAYRFSLLEFFDDRICISTPNVRSMLGFGLPSVTLGTLRFLRTLYMSDSNLEKISKVIGRLIHLRFIGLKWCKSVVLPSSTGQLLNLQSIDLTGTDIPCVPKSLWDIPTLRHVVIPKVETNVPTTVGVDEQSNLQTLCIHRVGHKSLMRTRSMGCLRLIRSLMHMPQLRTLALALIFYRWTSSPACRTTVILITSVCSCGNPQLRFQIALSSRRTFATCSWASMVLGTRGMQICCQL >PVH62351 pep chromosome:PHallii_v3.1:3:18044643:18059656:1 gene:PAHAL_3G271000 transcript:PVH62351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGVAEAVAAVAVVLVGLAAACTTSAVATGSGRWSSEEGWGAPGEVTYGHRALVLNGTRRMLFAGEMHYPRSTPEMWPKLIAKAKEGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLVRFIKEIQAQGLYVNLRIGPFIEAEWRYGGFPFWLHDVPDITFRSDNEPFKQHMQRFVTDIVNMMKHEQLYYPQGGPIIISQIENEYQMVEPAFGSSGQRYVSWAAAMAVNLQTGVPWTMCKQNDAPDPVINTCNGLICGETFVGPNSADKPALWTENWTSRYLIYGNDTKLRSPEDIAFAVAYFLARKNGSYVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAVVKQSSEPLLFGKYSGFLLGQEQEAHVFETESKCVAFLVNFDKRQMPKVTFRHISFQLAPKSISILSDCRRVVFETAKVKAQHGSRTAEVVQSLSDINTWKAFKEPIPLDVKKAMYTTNQLLEQLSVTKDETDYLWYTVSYDYRPIGDGQLVLLNVESRAHIVHAFVNNQHVGYVHGSHDEGGNIILKTRIFLKEGQNTISLLNVMVGSPDSGAHMERRVFGIRKVTIQKGKQPELLKNKLWGYQVGLFGERNHICTQGESHSIEWATINNTTYHPLTWYK >PVH61603 pep chromosome:PHallii_v3.1:3:4839364:4839693:1 gene:PAHAL_3G073900 transcript:PVH61603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYENELLREAACIYSNTPCLASSMVGVKECWCFLEPTPAVDANIWEEKLAEQSKGKHTADDGMPAAAACPQSARVRVSV >PAN19604 pep chromosome:PHallii_v3.1:3:19286977:19291178:-1 gene:PAHAL_3G285300 transcript:PAN19604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPSPHMEKIGSRGATAFAAEVISLLLLLTPFGAAAATGMAGRNCTRSCGDIDIPYPFGVEPGCYHAAGFNLTCSHQENPPKLFVGDGTTVQVLEISVPGGRVRINGRRVRLDFDGGSANVNGTWGSLPHGGPYILSESAANRLVVVGCGVQVDLRAGDRDDLVSSCTAVCPFVPMQQSGGGTLGNIVLGPVGNCTGIGCCDTNIVLGYSAYNLLGSLYGDGWYIKLSTSAYITDGVFNYSRDMIIDGNKVPEALPATLDWIISNSTCPTNASAPECRSDNSFCRNRNYISGADFQRPFDGYVCSCSDGYQGNPYILGGCQDIDECRSSEIHPCYGDCKNIVGSYICTCPSGYTGNASIPNGCKDIDECEHQEAYSCYGICQNSPGRFHCQCPDGTYGNASIKGGCVTIKNSFTGLSIGLGVGGGTSILLLALGGPYIMSKVKLQKVKKMKQNNFKQNHGLLLQKLISLNTDIGERMIITLRDIEKATNNFDSTRVIGGGGHGVVFKGILDLHVVAIKKSKIVVQREISEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLCHHLHVEGPISLSWYHRMRIATEIAKALSYLHSSTSMPIFHRDVKSSNILLDDALTAKVSDFGASRYIPTDRTRVTTAVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPYVYRSIDDDGLVSHFVSLFTQGKLVDIIDPQVMEEEDGEIQEIATLAATCTKLKGEDRPTMREVEMALESLLVKKRQVPYNGTPTRNEGDETIAHYMSTDNQASRQYTMEEEMLLSASYPR >PVH62948 pep chromosome:PHallii_v3.1:3:57297886:57302276:1 gene:PAHAL_3G436700 transcript:PVH62948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAGVVRLAAASRVLVLALSILARLLFRPYDTSASLSPPCLSSPASSSDPNASVSAAVSSLAVWDGVHFARPAECGYEYEQSFAFLPLLPASLALLARSLFAPLVPVLGYRAVLVLSGYVLNNVAFVAAAAYFYRLSVLILKDRRAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGLFYLFSGANTVAVIMLALSGSARSNGALNAGYFCFQALLQAYDAAVRKKRPLLVVLALVAAALRSIFIFLPFFAFQAYGYLSICVHGSSEELRPWCKAKVPLLYGYIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAIYSIIHYTKILHQLLQTTSIHRQIITALEGRSLELYKRTDDMPVLRSELPAGLTNKAHGNSKVKQRKSVATETASAPFHDTLYTNQNIKENQDEGSILLVPFVLHLAFMTFTAFFVMHVQVSTRFLSASPPIYWAAAHILASPNCCSKRWGYLICVYFIAYILLGSLLFSNFYPFT >PVH63273 pep chromosome:PHallii_v3.1:3:63829035:63829748:-1 gene:PAHAL_3G509600 transcript:PVH63273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHATMTIAVLCLLLFSGRLVAASKSFSGGGYGGETGGGESAAAAEPPPETAYQNAEPATPSSESSSSSTPSSESSSTPSSGGGGYGLDPAGEPENGMNQKAIDDILKEHNLFRAKEHVPPLAWNETLAKFSQQYAETLKGPCKPVHSTSPYGENLMFGTGGITWKTTVDEWDGEKKNYHYGSNTCDPGKMCGHYTAVVWKSTTTVGCGRVKCNNGDTMIMCSYWPPGNYDGVKPF >PAN16425 pep chromosome:PHallii_v3.1:3:3905005:3906225:-1 gene:PAHAL_3G062000 transcript:PAN16425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDRATSSSMDSSELRKVFQMFDKNGDGQITKKELGESLRNLGIYIPDDELDAVMGKIDANGDGCVDVEEFGMLYRSIVGEGQGADGDKRDEEEDMREAFNVFDQNSDGYITVEELRSVLASLGLKQGRTAEDCRKMISKVDADGDGRVDFTEFKQMMRGGGFAALGR >PAN16568 pep chromosome:PHallii_v3.1:3:4418555:4420225:1 gene:PAHAL_3G069200 transcript:PAN16568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLHRLISVVLRLAAAGAAAAAAIIMVTSHETTSFFGIEMEAKYSYTPSFVFFVVAFAVAFAYSLLVLLVRPGSTASRLVLLSDVIVGMLLVGAVAAAGAISDIGRNGNTHAGWLPICGQVRAYCGHVMGALIAGFVSLVVYFLIIMYSLHAVAEPLCSCH >PAN19200 pep chromosome:PHallii_v3.1:3:16795234:16798968:-1 gene:PAHAL_3G256000 transcript:PAN19200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRRASQLLKEIDSSEAGQLAPFNSDMFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQGLMEEFLE >PAN19201 pep chromosome:PHallii_v3.1:3:16796379:16798678:-1 gene:PAHAL_3G256000 transcript:PAN19201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRRASQLLKEIDSSEAGQLAPFNSDMFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQGLMEEFLE >PAN21587 pep chromosome:PHallii_v3.1:3:61184975:61189759:-1 gene:PAHAL_3G478400 transcript:PAN21587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDVFQTDGNSIRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNEESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAVNNGLDCTKHCAALETTISLLPAEKGSVSCGLLLKLLRASCLLGSGENYREDLIKRIGTQLDRASVSDLLLPADSSDDAMYNVDMVTSILEEFMLHHKDGSEPKLQEDDDTMDVADNLINSISISSKLGAVTKLIDAYLSEIAKDPGLPLEKFIALAESLPPASRPVHDALYRAIDVYLKEHPTLSKSEKKQICALMDCRKLSADASAHAVQNERLPLRVVVQVLFFEQVRQSSASAAVPSAAHSFLLPREDGTSCGSSRSATTTATEDEQWAGVVGAPASTSGGDASSLRSVSLAASKRGDGAGGKKKAKGGAVVPAPAKRVLGKLWSGKASNGENSGSDDTSESPAGSLNQEETKSTPSRITRHSVS >PVH63124 pep chromosome:PHallii_v3.1:3:61184976:61189327:-1 gene:PAHAL_3G478400 transcript:PVH63124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDVFQTDGNSIRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNEESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAVNNGLDCTKHCAALETTISLLPAEKGSVSCGLLLKLLRASCLLGSGENYREDLIKRIGTQLDRASVSDLLLPADSSDDAMYNVDMVTSILEEFMLHHKDGSEPKLQEDDDTMDVADNLINSISISSKLGAVTKLIDAYLSEIAKDPGLPLEKFIALAESLPPASRPVHDALYRAIDVYLKMLHGLQTQEHPTLSKSEKKQICALMDCRKLSADASAHAVQNERLPLRVVVQVLFFEQVRQSSASAAVPSAAHSFLLPREDGTSCGSSRSATTTATEDEQWAGVVGAPASTSGGDASSLRSVSLAASKRGDGAGGKKKAKGGAVVPAPAKRVLGKLWSGKASNGENSGSDDTSESPAGSLNQEETKSTPSRITRHSVS >PVH63123 pep chromosome:PHallii_v3.1:3:61185185:61188396:-1 gene:PAHAL_3G478400 transcript:PVH63123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDVFQTDGNSIRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNEESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAVNNGLDCTKHCAALETTISLLPAEKGSVSCGLLLKLLRASCLLGSGENYREDLIKRIGTQLDRASVSDLLLPADSSDDAMYNVDMVTSILEEFMLHHKDGSEPKLQEDDDTMDVADNLINSISISSKLGAVTKLIDAYLSEIAKDPGLPLEKFIALAESLPPASRPVHDALYRAIDVYLKMLHGLQTQEHPTLSKSEKKQICALMDCRKLSADASAHAVQNERLPLRVVVQVLFFEQVRQSSASAAVPSAAHSFLLPREDGTSCGSSRSATTTATEDEQWAGVVGAPASTSGGDASSLRSVSLAASKRGDGAGGKKKAKGGAVVPAPAKRVLGKLWSGKASNGENSGSDDTSESPAGSLNQEETKSTPSRITRHSVS >PAN21585 pep chromosome:PHallii_v3.1:3:61184976:61188857:-1 gene:PAHAL_3G478400 transcript:PAN21585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDVFQTDGNSIRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNEESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAVNNGLDCTKHCAALETTISLLPAEKGSVSCGLLLKLLRASCLLGSGENYREDLIKRIGTQLDRASVSDLLLPADSSDDAMYNVDMVTSILEEFMLHHKDGSEPKLQEDDDTMDVADNLINSISISSKLGAVTKLIDAYLSEIAKDPGLPLEKFIALAESLPPASRPVHDALYRAIDVYLKEHPTLSKSEKKQICALMDCRKLSADASAHAVQNERLPLRVVVQVLFFEQVRQSSASAAVPSAAHSFLLPREDGTSCGSSRSATTTATEDEQWAGVVGAPASTSGGDASSLRSVSLAASKRGDGAGGKKKAKGGAVVPAPAKRVLGKLWSGKASNGENSGSDDTSESPAGSLNQEETKSTPSRITRHSVS >PAN21586 pep chromosome:PHallii_v3.1:3:61184976:61189759:-1 gene:PAHAL_3G478400 transcript:PAN21586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDVFQTDGNSIRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNEESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAVNNGLDCTKHCAALETTISLLPAEKGSVSCGLLLKLLRASCLLGSGENYREDLIKRIGTQLDRASVSDLLLPADSSDDAMYNVDMVTSILEEFMLHHKDGSEPKLQEDDDTMDVADNLINSISISSKLGAVTKLIDAYLSEIAKDPGLPLEKFIALAESLPPASRPVHDALYRAIDVYLKEHPTLSKSEKKQICALMDCRKLSADASAHAVQNERLPLRVVVQVLFFEQVRQSSASAAVPSAAHSFLLPREDGTSCGSSRSATTTATEDEQWAGVVGAPASTSGGDASSLRSVSLAASKRGDGAGGKKKAKGGAVVPAPAKRVLGKLWSGKASNGENSGSDDTSESPAGSLNQEETKSTPSRITRHSVS >PAN18646 pep chromosome:PHallii_v3.1:3:14073421:14076397:-1 gene:PAHAL_3G216500 transcript:PAN18646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATPISVKPPSPPPAAPPPAALEPRDLPTHAGAATEVEPSSMNQLAVAVTPDPKRQKVEETADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKDAYAETVQTTRKVLLSRQKRMSLKINRRSEANAEAMEDAHHSSSSTPPKRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCDDCQNPFGKNEGIMAEDSKRYLYTGADLDHSEGEHEFVVERSPRLQSPISKESSFHQTPPHLRASSREAHVFPQAVSHWQALPRSWHCSNKRNNNDRAMDDSANYKNSNNDWQLPKHEDSYSISKCVQILNGMVELSQVEKSVAPDVFLLPGNREIFISLGGDVRALWLKRKIQHLT >PAN22109 pep chromosome:PHallii_v3.1:3:64211071:64214422:1 gene:PAHAL_3G514400 transcript:PAN22109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPRARTRWSALAASALIQCCAGSSYCFGVYSPALKASQRYDQSALDAVAFFKDVGANAGVLSGFLAAWAPAGRRRPWLVLLAGAVLCAAGYLPMWLAVAGVAPAPLPLMCLYMLLAAQAQTFFNTADVVSAVENFPDRRGTVIGIMKGFLGLSGAILVQIYRTLHIDPTRFILMLAILPTAITLMLMYFVDVHSAHERYNKKFLDAFSLIAVTVATYLMIIIICDQVFTISSAVQSVSFVILLLLVLSPVAVALKAQKPESMQHEESTSEQRVGLLREEVAEVSDSAGSSTALGGSNLDLSAGKENLNVLQAMGKLNFWLLFLAMACGMGSGLATVNNISQIGGSLGYTSKETSTLVSLWSIWNFSGRFGAGFISDHFLRLRGVGRPFFIGATLLIMSVGHAIISSGLPSSLYIGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRVAGYIYDMESPPDEHACQGKHCFALSFMIMACVCLFGSAVAFVLFIRTRKFYRQVVFARLQSFLEK >PAN16412 pep chromosome:PHallii_v3.1:3:3670918:3679470:-1 gene:PAHAL_3G058300 transcript:PAN16412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAFSRDTDCSVSRHATNCTVLQSKAAEGAPEQEAPATAVVVGEILNPPACSFESPSPPAFTAPMATSCHHSRLEMGTMVGGCVGPSELHLRKELTALQKARFLQDPETCSTWRSPLSSRSLVAASRVTHNGGISSSLAPKHIESTRAPPKSDKKRRKVYLYNWRQNSNKSSEGGIKLDEDGRQPSGELSLDSPCNSHGVNSKSDACLDAPDSNFQSSTSCTPVKRIARRRKGILSKKGAVRNPAVSKLLDLQVNSCDQSEDTENCKSQELFQGGYFSHPTSPLFAACGCVSSANPSKLLKMGRREGSSFSCTPVSTSSYYMHGRRNTNTFGSWDARTATSLDGDESNQSALLGSQRSNLPCYSSKRRKRRGSEGSNYSPSLSAILRRKGSSLLCGTHTLHKKRSFGSMKWAHSKKSARGMPLLGTSCDFGSSSFDSSSDELSTNIGELDMESSSRLDGKRWSSCKSQDMINLSAQGADLAAADQRSLTQKYRPKAFREIVGQNIAAHSLSNAVMMERIAPAYLFQGPRGTGKTSTARIFSAALSCLATVDTKPCGICKECTEFFSGNGTNLIEVDASNRKSVSRIKHLLDTIPPSGSSSRYKVFVVDECHMVSSSVWSAFMKFLDEPLPRVVFIFITIDPDNLPRAVISRCQKYVFSRIKDIDTVCRLRKICIKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDSAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSSCCNASVVGGRSLTDAELERLQQALKILSDAEKQIRVSSERPTWFTAALLQIGCGRSSDMNQPKSSTREHTKAATDAMSEAARESSSSRTVSHSSPAFGISKKTLEHKAVSVHSSPQVLASHSSRSRLNDNLIYGECMSVDRVPLNSNQLNGNCSKQWAMVNENSDNLGQIWIRCIENCHSKTLQQLLLDHGRLVSIKQFEGHAIAFIAFEDCEIKSRAQRFLSSITNSIETVLECNVEVKMGPQAELIDGELTSEAGPKVRRIESDVLGCSPNSDRLKSIVNSSRRSFDHPDEGKEELEKYKNTPCADERMHSVSVTLNSGIPKVRGLEVPTQVPKESINGEQRLESAWLQVSEKHTPDLSQVVENQYQRKSSMSLVLPSCLADEDLTHEIQALKIVDSYGSRKHQSGRSENGFSISPSKLHRKDDMADCDKESICSEPGRPGCRGLFPCWKAQKPKGTKAKRLVREKSS >PVH61548 pep chromosome:PHallii_v3.1:3:3673939:3679470:-1 gene:PAHAL_3G058300 transcript:PVH61548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAFSRDTDCSVSRHATNCTVLQSKAAEGAPEQEAPATAVVVGEILNPPACSFESPSPPAFTAPMATSCHHSRLEMGTMVGGCVGPSELHLRKELTALQKARFLQDPETCSTWRSPLSSRSLVAASRVTHNGGISSSLAPKHIESTRAPPKSDKKRRKVYLYNWRQNSNKSSEGGIKLDEDGRQPSGELSLDSPCNSHGVNSKSDACLDAPDSNFQSSTSCTPVKRIARRRKGILSKKGAVRNPAVSKLLDLQVNSCDQSEDTENCKSQELFQGGYFSHPTSPLFAACGCVSSANPSKLLKMGRREGSSFSCTPVSTSSYYMHGRRNTNTFGSWDARTATSLDGDESNQSALLGSQRSNLPCYSSKRRKRRGSEGSNYSPSLSAILRRKGSSLLCGTHTLHKKRSFGSMKWAHSKKSARGMPLLGTSCDFGSSSFDSSSDELSTNIGELDMESSSRLDGKRWSSCKSQDMINLSAQGADLAAADQRSLTQKYRPKAFREIVGQNIAAHSLSNAVMMERIAPAYLFQGPRGTGKTSTARIFSAALSCLATVDTKPCGICKECTEFFSGNGTNLIEVDASNRKSVSRIKHLLDTIPPSGSSSRYKVFVVDECHMVSSSVWSAFMKFLDEPLPRVVFIFITIDPDNLPRAVISRCQKYVFSRIKDIDTVCRLRKICIKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDSAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSSCCNASVVGGRSCLAFGQKAKQKLKQNRALALAFSYKMDCFMLNWPVLKT >PAN16409 pep chromosome:PHallii_v3.1:3:3671478:3677731:-1 gene:PAHAL_3G058300 transcript:PAN16409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMVGGCVGPSELHLRKELTALQKARFLQDPETCSTWRSPLSSRSLVAASRVTHNGGISSSLAPKHIESTRAPPKSDKKRRKVYLYNWRQNSNKSSEGGIKLDEDGRQPSGELSLDSPCNSHGVNSKSDACLDAPDSNFQSSTSCTPVKRIARRRKGILSKKGAVRNPAVSKLLDLQVNSCDQSEDTENCKSQELFQGGYFSHPTSPLFAACGCVSSANPSKLLKMGRREGSSFSCTPVSTSSYYMHGRRNTNTFGSWDARTATSLDGDESNQSALLGSQRSNLPCYSSKRRKRRGSEGSNYSPSLSAILRRKGSSLLCGTHTLHKKRSFGSMKWAHSKKSARGMPLLGTSCDFGSSSFDSSSDELSTNIGELDMESSSRLDGKRWSSCKSQDMINLSAQGADLAAADQRSLTQKYRPKAFREIVGQNIAAHSLSNAVMMERIAPAYLFQGPRGTGKTSTARIFSAALSCLATVDTKPCGICKECTEFFSGNGTNLIEVDASNRKSVSRIKHLLDTIPPSGSSSRYKVFVVDECHMVSSSVWSAFMKFLDEPLPRVVFIFITIDPDNLPRAVISRCQKYVFSRIKDIDTVCRLRKICIKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDSAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSSCCNASVVGGRSLTDAELERLQQALKILSDAEKQIRVSSERPTWFTAALLQIGCGRSSDMNQPKSSTREHTKAATDAMSEAARESSSSRTVSHSSPAFGISKKTLEHKAVSVHSSPQVLASHSSRSRLNDNLIYGECMSVDRVPLNSNQLNGNCSKQWAMVNENSDNLGQIWIRCIENCHSKTLQQLLLDHGRLVSIKQFEGHAIAFIAFEDCEIKSRAQRFLSSITNSIETVLECNVEVKMGPQAELIDGELTSEAGPKVRRIESDVLGCSPNSDRLKSIVNSSRRSFDHPDEGKEELEKYKNTPCADERMHSVSVTLNSGIPKVRGLEVPTQVPKESINGEQRLESAWLQVSEKHTPDLSQVVENQYQRKSSMSLVLPSCLADEDLTHEIQALKIVDSYGSRKHQSGRSENGFSISPSKLHRKDDMADCDKESICSEPGRPGCRGLFPCWKAQKPKGTKAKRLVREKSS >PAN16407 pep chromosome:PHallii_v3.1:3:3670918:3678025:-1 gene:PAHAL_3G058300 transcript:PAN16407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMVGGCVGPSELHLRKELTALQKARFLQDPETCSTWRSPLSSRSLVAASRVTHNGGISSSLAPKHIESTRAPPKSDKKRRKVYLYNWRQNSNKSSEGGIKLDEDGRQPSGELSLDSPCNSHGVNSKSDACLDAPDSNFQSSTSCTPVKRIARRRKGILSKKGAVRNPAVSKLLDLQVNSCDQSEDTENCKSQELFQGGYFSHPTSPLFAACGCVSSANPSKLLKMGRREGSSFSCTPVSTSSYYMHGRRNTNTFGSWDARTATSLDGDESNQSALLGSQRSNLPCYSSKRRKRRGSEGSNYSPSLSAILRRKGSSLLCGTHTLHKKRSFGSMKWAHSKKSARGMPLLGTSCDFGSSSFDSSSDELSTNIGELDMESSSRLDGKRWSSCKSQDMINLSAQGADLAAADQRSLTQKYRPKAFREIVGQNIAAHSLSNAVMMERIAPAYLFQGPRGTGKTSTARIFSAALSCLATVDTKPCGICKECTEFFSGNGTNLIEVDASNRKSVSRIKHLLDTIPPSGSSSRYKVFVVDECHMVSSSVWSAFMKFLDEPLPRVVFIFITIDPDNLPRAVISRCQKYVFSRIKDIDTVCRLRKICIKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDSAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSSCCNASVVGGRSLTDAELERLQQALKILSDAEKQIRVSSERPTWFTAALLQIGCGRSSDMNQPKSSTREHTKAATDAMSEAARESSSSRTVSHSSPAFGISKKTLEHKAVSVHSSPQVLASHSSRSRLNDNLIYGECMSVDRVPLNSNQLNGNCSKQWAMVNENSDNLGQIWIRCIENCHSKTLQQLLLDHGRLVSIKQFEGHAIAFIAFEDCEIKSRAQRFLSSITNSIETVLECNVEVKMGPQAELIDGELTSEAGPKVRRIESDVLGCSPNSDRLKSIVNSSRRSFDHPDEGKEELEKYKNTPCADERMHSVSVTLNSGIPKVRGLEVPTQVPKESINGEQRLESAWLQVSEKHTPDLSQVVENQYQRKSSMSLVLPSCLADEDLTHEIQALKIVDSYGSRKHQSGRSENGFSISPSKLHRKDDMADCDKESICSEPGRPGCRGLFPCWKAQKPKGTKAKRLVREKSS >PAN16406 pep chromosome:PHallii_v3.1:3:3671478:3679293:-1 gene:PAHAL_3G058300 transcript:PAN16406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAFSRDTDCSVSRHATNCTVLQSKAAEGAPEQEAPATAVVVGEILNPPACSFESPSPPAFTAPMATSCHHSRLEMGTMVGGCVGPSELHLRKELTALQKARFLQDPETCSTWRSPLSSRSLVAASRVTHNGGISSSLAPKHIESTRAPPKSDKKRRKVYLYNWRQNSNKSSEGGIKLDEDGRQPSGELSLDSPCNSHGVNSKSDACLDAPDSNFQSSTSCTPVKRIARRRKGILSKKGAVRNPAVSKLLDLQVNSCDQSEDTENCKSQELFQGGYFSHPTSPLFAACGCVSSANPSKLLKMGRREGSSFSCTPVSTSSYYMHGRRNTNTFGSWDARTATSLDGDESNQSALLGSQRSNLPCYSSKRRKRRGSEGSNYSPSLSAILRRKGSSLLCGTHTLHKKRSFGSMKWAHSKKSARGMPLLGTSCDFGSSSFDSSSDELSTNIGELDMESSSRLDGKRWSSCKSQDMINLSAQGADLAAADQRSLTQKYRPKAFREIVGQNIAAHSLSNAVMMERIAPAYLFQGPRGTGKTSTARIFSAALSCLATVDTKPCGICKECTEFFSGNGTNLIEVDASNRKSVSRIKHLLDTIPPSGSSSRYKVFVVDECHMVSSSVWSAFMKFLDEPLPRVVFIFITIDPDNLPRAVISRCQKYVFSRIKDIDTVCRLRKICIKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDSAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSSCCNASVVGGRSLTDAELERLQQALKILSDAEKQIRVSSERPTWFTAALLQIGCGRSSDMNQPKSSTREHTKAATDAMSEAARESSSSRTVSHSSPAFGISKKTLEHKAVSVHSSPQVLASHSSRSRLNDNLIYGECMSVDRVPLNSNQLNGNCSKQWAMVNENSDNLGQIWIRCIENCHSKTLQQLLLDHGRLVSIKQFEGHAIAFIAFEDCEIKSRAQRFLSSITNSIETVLECNVEVKMGPQAELIDGELTSEAGPKVRRIESDVLGCSPNSDRLKSIVNSSRRSFDHPDEGKEELEKYKNTPCADERMHSVSVTLNSGIPKVRGLEVPTQVPKESINGEQRLESAWLQVSEKHTPDLSQVVENQYQRKSSMSLVLPSCLADEDLTHEIQALKIVDSYGSRKHQSGRSENGFSISPSKLHRKDDMADCDKESICSEPGRPGCRGLFPCWKAQKPKGTKAKRLVREKSS >PAN16408 pep chromosome:PHallii_v3.1:3:3671478:3677731:-1 gene:PAHAL_3G058300 transcript:PAN16408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMVGGCVGPSELHLRKELTALQKARFLQDPETCSTWRSPLSSRSLVAASRVTHNGGISSSLAPKHIESTRAPPKSDKKRRKVYLYNWRQNSNKSSEGGIKLDEDGRQPSGELSLDSPCNSHGVNSKSDACLDAPDSNFQSSTSCTPVKRIARRRKGILSKKGAVRNPAVSKLLDLQVNSCDQSEDTENCKSQELFQGGYFSHPTSPLFAACGCVSSANPSKLLKMGRREGSSFSCTPVSTSSYYMHGRRNTNTFGSWDARTATSLDGDESNQSALLGSQRSNLPCYSSKRRKRRGSEGSNYSPSLSAILRRKGSSLLCGTHTLHKKRSFGSMKWAHSKKSARGMPLLGTSCDFGSSSFDSSSDELSTNIGELDMESSSRLDGKRWSSCKSQDMINLSAQGADLAAADQRSLTQKYRPKAFREIVGQNIAAHSLSNAVMMERIAPAYLFQGPRGTGKTSTARIFSAALSCLATVDTKPCGICKECTEFFSGNGTNLIEVDASNRKSVSRIKHLLDTIPPSGSSSRYKVFVVDECHMVSSSVWSAFMKFLDEPLPRVVFIFITIDPDNLPRAVISRCQKYVFSRIKDIDTVCRLRKICIKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDSAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSSCCNASVVGGRSLTDAELERLQQALKILSDAEKQIRVSSERPTWFTAALLQIGCGRSSDMNQPKSSTREHTKAATDAMSEAARESSSSRTVSHSSPAFGISKKTLEHKAVSVHSSPQVLASHSSRSRLNDNLIYGECMSVDRVPLNSNQLNGNCSKQWAMVNENSDNLGQIWIRCIENCHSKTLQQLLLDHGRLVSIKQFEGHAIAFIAFEDCEIKSRAQRFLSSITNSIETVLECNVEVKMGPQAELIDGELTSEAGPKVRRIESDVLGCSPNSDRLKSIVNSSRRSFDHPDEGKEELEKYKNTPCADERMHSVSVTLNSGIPKVRGLEVPTQVPKESINGEQRLESAWLQVSEKHTPDLSQVVENQYQRKSSMSLVLPSCLADEDLTHEIQALKIVDSYGSRKHQSGRSENGFSISPSKLHRKDDMADCDKESICSEPGRPGCRGLFPCWKAQKPKGTKAKRLVREKSS >PVH61547 pep chromosome:PHallii_v3.1:3:3670918:3679470:-1 gene:PAHAL_3G058300 transcript:PVH61547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAFSRDTDCSVSRHATNCTVLQSKAAEGAPEQEAPATAVVVGEILNPPACSFESPSPPAFTAPMATSCHHSRLEMGTMVGGCVGPSELHLRKELTALQKARFLQDPETCSTWRSPLSSRSLVAASRVTHNGGISSSLAPKHIESTRAPPKSDKKRRKVYLYNWRQNSNKSSEGGIKLDEDGRQPSGELSLDSPCNSHGVNSKSDACLDAPDSNFQSSTSCTPVKRIARRRKGILSKKGAVRNPAVSKLLDLQVNSCDQSEDTENCKSQELFQGGYFSHPTSPLFAACGCVSSANPSKLLKMGRREGSSFSCTPVSTSSYYMHGRRNTNTFGSWDARTATSLDGDESNQSALLGSQRSNLPCYSSKRRKRRGSEGSNYSPSLSAILRRKGSSLLCGTHTLHKKRSFGSMKWAHSKKSARGMPLLGTSCDFGSSSFDSSSDELSTNIGELDMESSSRLDGKRWSSCKSQDMINLSAQGADLAAADQRSLTQKYRPKAFREIVGQNIAAHSLSNAVMMERIAPAYLFQGPRGTGKTSTARIFSAALSCLATVDTKPCGICKECTEFFSGNGTNLIEVDASNRKSVSRIKHLLDTIPPSGSSSRYKVFVVDECHMVSSSVWSAFMKFLDEPLPRVVFIFITIDPDNLPRAVISRCQKYVFSRIKDIDTVCRLRKICIKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVGVVSEEKLLDLLEIAMSSDSAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSSCCNASVVGGRSLTDAELERLQQALKILSDAEKQIRVSSERPTWFTAALLQIGCGRSSDMNQPKSSTREHTKAATDAMSEAARESSSSRTVSHSSPAFGISKKTLEHKAVSVHSSPQVLASHSSRSRLNDNLIYGECMSVDRVPLNSNQLNGNCSKQWAMVNENSDNLGQIWIRCIENCHSKTLQQLLLDHGRLVSIKQFEGHAIAFIAFEDCEIKSRAQRFLSSITNSIETVLECNVEVKMGPQAELIDGELTSEAGPKVRRIESDVLGCSPNSDRLKSIVNSSRRSFDHPDEGKEELEKYKNTPCADERMHSVSVTLNSGIPKVRGLEVPTQVPKESINGEQRLESAWLQVSEKHTPDLSQVVENQYQRKSSMSLVLPSCLADEDLTHEIQALKIVDSYGSRKHQSGRSENGFSISPSKLHRKDDMADCDKESICSEPGRPGCRGLFPCWKAQKPKGTKAKRLVREKSS >PVH62341 pep chromosome:PHallii_v3.1:3:17781666:17782189:1 gene:PAHAL_3G267400 transcript:PVH62341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGSGDETAAAATVTLISSDNGRFETIRHMIEDGCTDGGIPLPNVTANVLARVLEYCNKHASSPDAAGSSAAAATDTATASKDLESFDREFIDVDQATLYDLVLAANYLNVKGLLDLICQKITDMIKGKSVEEIRRKFNIKNDFTPEEEMEIRRENEWAFSD >PAN16054 pep chromosome:PHallii_v3.1:3:1643269:1644539:1 gene:PAHAL_3G029400 transcript:PAN16054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPRAAIVFLVAASSLAVALSNGGSGPAYGYAVGSATGPENWGKLSPEYRLCEQGKQQSPIDIVTKQVVPNPSLDTLDRTYTASHGTLVNDGHDISLKFDGAKVGAITVAGKVYNFDKLHWHSPSDHTINGQRFPLELHLVHKSADGAVAVIGILYELGAPDSFYYQLRSKLAEMAGDKCNFAEEESHVQTGLVLLRSLQKRTGSYFRYKGSLTVPPCTENVIWNVLGKVRQISQEQLQLLKAPLPGSDARPVQPLNGRTVQFFNPPNSTISFQM >PVH61772 pep chromosome:PHallii_v3.1:3:7564045:7565729:1 gene:PAHAL_3G118800 transcript:PVH61772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVIDLSKLDGAERAETMAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSDCYRLREAGFKASEPVRTLDALVEAEQRGEAVTPVDDMDWEDIFYIHDGNQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLEKGAIQRAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDQVGGLEVLKDGEWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAVASGEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAPKSSPAA >PVH62538 pep chromosome:PHallii_v3.1:3:23212069:23212287:-1 gene:PAHAL_3G317900 transcript:PVH62538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNLNKCITSHAKLLVYHVVGASSLALGWYDANKYLLLSLLDPVTLGTASPFCDLYAYVW >PVH62464 pep chromosome:PHallii_v3.1:3:20683567:20688556:-1 gene:PAHAL_3G300800 transcript:PVH62464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAASSIFSSTPPRSLPFPPAPAPAPARKLLAAAALTLSSQKLLRQPCPPASCAPAPPPERGEKPDPIKLAFARAAAYKKERDSPSQSPAPPPTPTPPPPPPPSQPQASAGDVGSKEAFKRALEYRNGNGTGSRAGGGEAPLLGGSPDFGQNALLSEDVTFGKKGEYEFDETDFLGLDFFEKKRYKGPPPGMAPAFEPLKDDDFPEVEIIIGDPSRFKKSQPSTEIQPVDDRESENSQSTSETNETDKVEKAPPSTVIEPEEDEDVYRPTVRSWGMFPRPQNISKAYGGGRNIRLGGETQSAEEKAAKDKRTKELIAAYRNRQNMVIDAKTKAECLEALREGDEMMNTGRLKQALPYYEKVMNAVDFKLSAD >PAN19808 pep chromosome:PHallii_v3.1:3:20682201:20688725:-1 gene:PAHAL_3G300800 transcript:PAN19808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAASSIFSSTPPRSLPFPPAPAPAPARKLLAAAALTLSSQKLLRQPCPPASCAPAPPPERGEKPDPIKLAFARAAAYKKERDSPSQSPAPPPTPTPPPPPPPSQPQASAGDVGSKEAFKRALEYRNGNGTGSRAGGGEAPLLGGSPDFGQNALLSEDVTFGKKGEYEFDETDFLGLDFFEKKRYKGPPPGMAPAFEPLKDDDFPEVEIIIGDPSRFKKSQPSTEIQPVDDRESENSQSTSETNETDKVEKAPPSTVIEPEEDEDVYRPTVRSWGMFPRPQNISKAYGGGRNIRLGGETQSAEEKAAKDKRTKELIAAYRNRQNMVIDAKTKAECLEALREGDEMMNTGRLKQALPYYEKVMNAVDFKVQGSNEHV >PAN19809 pep chromosome:PHallii_v3.1:3:20682600:20688556:-1 gene:PAHAL_3G300800 transcript:PAN19809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAASSIFSSTPPRSLPFPPAPAPAPARKLLAAAALTLSSQKLLRQPCPPASCAPAPPPERGEKPDPIKLAFARAAAYKKERDSPSQSPAPPPTPTPPPPPPPSQPQASAGDVGSKEAFKRALEYRNGNGTGSRAGGGEAPLLGGSPDFGQNALLSEDVTFGKKGEYEFDETDFLGLDFFEKKRYKGPPPGMAPAFEPLKDDDFPEVEIIIGDPSRFKKSQPSTEIQPVDDRESENSQSTSETNETDKVEKAPPSTVIEPEEDEDVYRPTVRSWGMFPRPQNISKAYGGGRNIRLGGETQSAEEKAAKDKRTKELIAAYRNRQNMVIDAKTKAECLEALREGDEMMNTGRLKQALPYYEKVMNAVDFKTELHGRAALQWSICLDSLCRSKEAMSMYSKLKNHPNSEISKKANMFVFSFQAMDFMKVNSTPVPKSTGYETYFTKFGGQKNYYASLDEPEVGLDQVIPYMLFLVSPIFIVAFAALRKSFQL >PAN21119 pep chromosome:PHallii_v3.1:3:58087894:58090249:-1 gene:PAHAL_3G442700 transcript:PAN21119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGKIVRTALHAFFRHYHPASSAASLLALPFSAAALLSRSHPALLAPSHFLSRRLRRVLVAAGFPPASQLLFLLSHRLSQRACAFLAALPFSLSFLLLAKACAVHCCSAPPPLQRRRRERPSDAVVQVVQASYPAMVRTQLVNCLALLLANAGVFAALLAAFNAAEALQVLGAPSGSGSYGGSGRAVLALSAAGVIVYSVALANASAVCNLATIVAAAEGRGGSHAMLKALLMLLRAGDAATAVAASLPASLAAAAIEALFELRVMRPYTLTGKVTPGMLCEGLLVAYIHAMICVLDTVITSMVYQTCKASHSCHLLELELDGKEDLRV >PAN21791 pep chromosome:PHallii_v3.1:3:62052849:62056296:1 gene:PAHAL_3G488800 transcript:PAN21791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGADDDVVEVSCGGDGRDPGAYAAVLKRRLDLYCAAVAKSMEAKSQESSLGYPNSQASDTSQLISQASFDDDGDGATIVTNSNIIDHEDFRGNPANSGTSKEQSDDDGDLEENTDPANTKKMRRMLSNRESARRSRKRKQVHMTDLESQVSKLTSENASLLKRLADMTQKYKDATLDNRNLTVDVETMRRKVWGIVK >PAN21792 pep chromosome:PHallii_v3.1:3:62052849:62056296:1 gene:PAHAL_3G488800 transcript:PAN21792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGADDDVVEVSCGGDGRDPGAYAAVLKRRLDLYCAAVAKSMEAKSQESSLGYPNSQASDTSQLISQASFDDDGDGATIVTNSNIIDHEDFRGNPANSGTSKEQSDDDGDLEENTDPANTKKMRRMLSNRESARRSRKRKQVHMTDLESQVSKLTSENASLLKRLADMTQKYKDATLDNRNLTVDVETMRRKVWGIVK >PAN21794 pep chromosome:PHallii_v3.1:3:62052701:62057755:1 gene:PAHAL_3G488800 transcript:PAN21794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGADDDVVEVSCGGDGRDPGAYAAVLKRRLDLYCAAVAKSMEAKSQESSLGYPNSQASDTSQLISQASFDDDGDGATIVTNSNIIDHEDFRGNPANSGTSKEQSDDDGDLEENTDPANTKKMRRMLSNRESARRSRKRKQVHMTDLESQVSKLTSENASLLKRLADMTQKYKDATLDNRNLTVDVETMRRKVNIAEEAVRRLTGTTLLLSTTCDKTASSMRLTSCPSDAAILLTSGEMGTKPDSLQRVASLEDLQKRIHRDSIHSEIASTFSDPEALANG >PAN21796 pep chromosome:PHallii_v3.1:3:62052701:62057755:1 gene:PAHAL_3G488800 transcript:PAN21796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGADDDVVEVSCGGDGRDPGAYAAVLKRRLDLYCAAVAKSMEAKSQESSLGYPNSQASDTSQLISQASFDDDGDGATIVTNSNIIDHEDFRGNPANSGTSKEQSDDDGDLEENTDPANTKKMRRMLSNRESARRSRKRKQVHMTDLESQVSKLTSENASLLKRLADMTQKYKDATLDNRNLTVDVETMRRKVNIAEEAVRRLTGTTLLLSTTCDKTASSMRLTSCPSDAGSASVTTEDSMEHFLQAQLQSDLIKLDLPNAAILLTSGEMGTKPDSLQRVASLEDLQKRIHRDSIHSEIASTFSDPEALANG >PAN21795 pep chromosome:PHallii_v3.1:3:62053641:62057755:1 gene:PAHAL_3G488800 transcript:PAN21795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCWLQFAFWQCADMLHVWFGKHTGPSVTFEPSTTIVFYIFYCHVLLSLIPHADDGDGATIVTNSNIIDHEDFRGNPANSGTSKEQSDDDGDLEENTDPANTKKMRRMLSNRESARRSRKRKQVHMTDLESQVSKLTSENASLLKRLADMTQKYKDATLDNRNLTVDVETMRRKVNIAEEAVRRLTGTTLLLSTTCDKTASSMRLTSCPSDAGSASVTTEDSMEHFLQAQLQSDLIKLDLPNAAILLTSGEMGTKPDSLQRVASLEDLQKRIHRDSIHSEIASTFSDPEALANG >PAN16689 pep chromosome:PHallii_v3.1:3:5050839:5054541:-1 gene:PAHAL_3G076900 transcript:PAN16689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLGFPLFGLKPLGPTRCGSQGKLLEPQKRHGPTRLGSLFPPILFLFSPTPRLAPPALRVLSPRYELFADSSPPGLESAAMASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDVLKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKVDRSKYETTARSWTQKYAMG >PAN19886 pep chromosome:PHallii_v3.1:3:21321930:21322499:1 gene:PAHAL_3G305500 transcript:PAN19886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYTSSLYSVSLAGPTMFGPVINKAAEIATQSLQYANNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIAGVGNADFKQMEVEQNFGNLHY >PVH61810 pep chromosome:PHallii_v3.1:3:8233684:8241900:-1 gene:PAHAL_3G127000 transcript:PVH61810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVMGAMGSLLPKLGELLKEEYGLQKGVRKKIQSLSQELEAVHAVLRRIGDVPPEQLDDLVKLWVRDVRESSYDMEDIVDTFLVCVDDGAEPADPHRLRRLRKKVGGLFKKSKARRKISCLIQEIYEKLDEVAARHGRFTVDSIVAKPAAATTIDPRILNLFKRATELVGIEGPKDRLINMLSLGGDVDMPRKKAMKVVSVVGFGGLGKTTLAKAVYDQLKLHFERSAFVPVGRNPDVKKVLRDILIDLDRGKYANSDLMVLDEKQLMEELEEFIKEKRCFIVIDDIWDKESWKLIRCALQDGHCGSRVVVTTRTYEVAAQADQEYKIQPLSCDNSKKLLYARIADGEGKYFDSPSAEACDKILKKCGGVPLAIITIASLLASKPWKDWSEIYNSIGFGQGRNDDVDNTRKILSFSYYDLPSHLKPCLLYLSIFSEDQEIEKNRLIWMWIAEGFVVHEEQAAGIGSFELGERYFNELINRSMIQPVERLEKGYVDGCCVHDMVFDLVRSLSSQENFVTVLDGDDERQKLPGSIARRIALQRIEQRSGGQLLANIAVDKIRSFITSECNFGPSSCPHTPVLRVLDMQGCYNGEKIHEGMINHLGSMLHLRYLRLASPVGETNIKLPREVRYLKFLQTLDLWEFRINELPEDVGQLTQLVCLHVGPGTRIPDGLIGKLTSLQELVRWLPADDDYDDARRMQFVKELGMLRELRVLRTKIHVTDESMERALLEFLGNLHNIRMMRIDGAPLYAFESMTSHEGFITCRHLQLLHLYCLVFSGLPKWINPSLAPNLSYLYVRVQAVKGQDMEILARLPELRSLTLILCDETKLVNIKIPCTAQGVGYYFRKLRILKIGGAPSWFDLRDCISNGSVASAIMPSLESLEFKVSVRLLKDAAMLSFDRLLIGFETLGRTSLQSVTVRVNCEGARILDVEDVEDALERTAAVHPKRPYLGTAREQEEEMLSSTYQEARMDVSRTPDFVLKAWKSADIVDFGHIRALHIPPDPEASSTKVLHLLYANKGKYLLTLSSNATLKLWKWGPSEKNPRGRPTTSVPPLLWKPKEDILMTNDTTEANTGAAVACIALSKNECYIVSASGGKISLFNAKTFKVLTTFMAPPPASTFLALYPQDNNIIAIGMEDSSIQIYNIYTNEVQRVLMGHQKKVTGLTFSQSMNVLVSSGADAQLCVWSTHNWENKKSRYIRPPSNGSALVGDTMVQFHYDQTHLLVVHESQLAIYDGKLECLHLWSPRDALPSPISSAVYTSDGLLVYAGFRDGAIGIFEAESLRLRCRIAASAYIPSSVSSGGGVVYPMAVSVYPWLNPNQIALGMSDGAVHVLEPLED >PAN19236 pep chromosome:PHallii_v3.1:3:17022607:17027958:1 gene:PAHAL_3G258300 transcript:PAN19236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGGEQPEDAIVADAGNGKGGEALRAMGVAGDDAEQQQRDGGFSMKSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVLLQIFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLGMTTYTAWYLTIAAAVHGQVDGVTHSGPNKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKYIYLLATLYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTGWRDAAVILMLVHQFITFGFACTPLYFVWEKVVGMHDTGSVLKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYVIPALAHMLTYRSASARLNAAEKPPSFLPSWSGMFVVNAFVVAWVLVVGFGLGGWASVTNFVKQVDTFGLFARCYQCPPKPHAGSPLPAPPHH >PAN15765 pep chromosome:PHallii_v3.1:3:401349:408033:1 gene:PAHAL_3G006500 transcript:PAN15765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLCSVQRWSRRVATMLPWLVIPLIAIWAITQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVPTGWPCTQDCGYWLDLRCSSSNNNSFLAFSWRLLSSFSSTVVSWFLRKIFRFTSSGDGEGLGPDGKRLAKRGENVGKAEESRVEKARRKAEEKRLARLEREMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKSATPVGEKDARREAERRRQERRKKEDKGSSKSNSDCEDIDRRLGREGDRKRDFDRKSDLDKREGYKPHYFEANNHSNKTLESRTKYFGRMTGGFLSSSRGFGSGSFFGRSAQAAAPQVNKVSRPVVPATDQGNALKRETQHTATQATAKSATAGEIRNSWANFNRPVSPNVQPHPTSLKKSWHQLFSRSASVSPCPDVTTSARDLNRKPEPNEAQISNAHIFLSQYPPLESKPCSSQSMQFPGFPPLNGAPPIKPLPHFPAGHMPFYDDAESTVFEEPEKFEDPCYDPDAIALLGPVSESLDNFPSDLDCGFISSDVTKESHGKPSPIESPLSRSRTVEEKPIKPPHLSVTKGPGGSILPEASSEQGTWQMWSTPLVQETLGLQGPQSQWLRQHTNQFNHSVNLFNGGTESSLSTDLNDNDPWLQKAPFQQLPPDTPSLFLPHEVQGKAIHNDLVFGSPNKSAREHPFGPPGHSWPKEEQVLNGALEAKHISSPPCAHVGGGGLFSSTSPDVQSVWSFNEKETA >PAN21369 pep chromosome:PHallii_v3.1:3:59677514:59680896:1 gene:PAHAL_3G459500 transcript:PAN21369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWATRFLAAVCFFAAGVLFVPEAILGSASGAGALTAAKLAHLLSFATSWGAALWATFIGGIIMFKNLPRHMFGNLQSKMFPAYFTLISACAAISVAAFAYLHPWKTASTVERYQLGFLLSALGCNLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNQQVAKSNPTLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKIEL >PAN19932 pep chromosome:PHallii_v3.1:3:21721672:21724922:-1 gene:PAHAL_3G308100 transcript:PAN19932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMGSSSSLPSPAATGRPRSPAAPLPLPQLQASRPLKPPYSVESIFRTFAIRRAALIRALTTDVEAFFQKCEPAGMQLLYLCGNTDGSWEVKRPELCVPPSQPPPPLGINISRDYTKKRHEWLQGVAVHCDAWLINISFFFGLHLTAKERDRLFTMISSLQTVQEALLASKTYRRICHLEGKRSRGPVEENEEEEEEATSEYKNFCASCGDRYRSNAFWIRCNVCDRGYHGRCVKMTASKAEHIEHYECPECCSEKVGHDYDVDPMLSDLFKRY >PAN18774 pep chromosome:PHallii_v3.1:3:14615012:14618492:1 gene:PAHAL_3G224700 transcript:PAN18774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKVYVVFYSTYGHVAKLAEEIKKGAASVEGVEVKIWQVPETLSDEVLGKMGAPPKTDAPIITPQELADADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLASKPAGIFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKMFGMDSVQGGSPYGAGTFAGDGSRWPTEVELEHAFHQGKYFAGIAKRLKGGST >PAN17215 pep chromosome:PHallii_v3.1:3:7246637:7247233:-1 gene:PAHAL_3G114200 transcript:PAN17215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKNRFLADGEVSVQKVDKIEPVYNLVRRPSMYTNPTAVTVVAKPPADVEVSGQKMFRVPLEGVPSKKDIDDYIAMKKKQFVEPDP >PAN15802 pep chromosome:PHallii_v3.1:3:1205150:1206665:-1 gene:PAHAL_3G022100 transcript:PAN15802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFPEDTVSSATSSPASSLYSPSPHGYGSWVQELSHDQQGVRLIGLLYQCAAEVAAGAFDRANHCLEQITQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLSTANSAEAHLIPAARRHLFDMLPFMKLAYLTTNHAILEAMEGEKFVHVVDLSGPASNPVQWIALFHALRSLRSLRGGPPHLRITAVHDGKEFLANMAGVLAKDAEALDIPFQFSAVEARLDELDPDALRQLLRVRSGEALAISVVAAPGGRRRGQEIIARSSPSSFCELLERELNTRLQLSPDTSSVVSSLWPQSPVLQAAAAAAQQRPAAAKLGSFLQAVRALSPKIMVVAEPEANHNAAAFLERFEEALNYYASLFDCLERASAAHRCAAERAKVERLVLGEEVRGVVAREGAERKERHERLAQWARRMEGAGMERVGMSYGGMMEARKLLQSLGWGGSYEVVHDARGEAFFFCWHQRPLYSVSAWRPAAGRHSGGRLGGS >PAN16865 pep chromosome:PHallii_v3.1:3:5918570:5922811:-1 gene:PAHAL_3G092400 transcript:PAN16865 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase, XA21-mediated disease resistanc [Source: Projected from Oryza sativa (Os05g0112000)] MGHGVSCARTGDEHDYFRAAQLGDLDALAALLAADTSLARRATLYDRLSALHIAAANGRLEVLSMILDNGVPPDVVNRHKQTPLMLAAMHGKIDCVLRLLQAGANILMFDSVHGRSCLHHAAYFGHVDCLQAILSAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQMLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYAVALKRNYEACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILKGTKYSLPSPSHCDADVVDDASSEVSDSELCCICFDQACTIEVQDCGHQMCAPCTLALCCHSKPNPTTLTLPSPACPFCRGSISRLLVARTSTPSDPEKAAFSPQLSRRRSRRSHNLSDGGSSSFKGLSSAMGSFSKIGRGSSRMVDSDSGSLDKPEHDL >PAN19435 pep chromosome:PHallii_v3.1:3:18237415:18240570:1 gene:PAHAL_3G273200 transcript:PAN19435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVKFIFGVSGNVIALFLFLSPVPTFWRIIRRRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVSTINGAGAAIEVVYVVVFLVFASSRRTRLRMLGLASAVAAVFAAVALVSLLALRGEGRKLLCGIAATVCSICMYGSPLSIMRLVVKTKSVEYMPFLLSLAVFLCGTSWFVYGLLGRDPFVAIPNGCGSFLGAVQLILYAIYRNSGGNKAGAGRGGKQHAAGGADDDVEMACGSDAKGSNSKVADGVDGAARKEDRLV >PVH61911 pep chromosome:PHallii_v3.1:3:9870696:9875209:1 gene:PAHAL_3G153700 transcript:PVH61911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRIGNRFRVGRKLGSGSFGEIFLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGIGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQAQMTSAPPRAIAPAVGQSSGMAPVANNNRHSGTEEGRRSGWSDMDATRRQVPPPAINAGSLAKQKSPVRHEQSTSKDAVFDFFGTFKRIFKAACCL >PAN17751 pep chromosome:PHallii_v3.1:3:9870696:9875209:1 gene:PAHAL_3G153700 transcript:PAN17751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRIGNRFRVGRKLGSGSFGEIFLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGIGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQAQMTSAPPRAIAPAVGQSSGMAPVANNNRHSGTEEGRRSGWSDMDATRRQVPPPAINAGSLAKQKSPVRHEQSTSKDAVFSSSTFLGRSSGSSRRPAVSSSREPSTEAEQTRSRTTDASPGAFQRSGAPRWSPQMPDSSDGRRSSSGRRHSSNPKNYESTIRGMQGLNFDGDDRVHY >PVH62637 pep chromosome:PHallii_v3.1:3:31454144:31455211:-1 gene:PAHAL_3G345600 transcript:PVH62637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDHCLLLLRFTTMYVYHVCSVDYFVDSKMSDNADWNDENTRLVCELFAEQVTAHNRSGTHLNKSGYKNVMEKFKDKTGLDYSRRQFKNKWDKMRREYANWKRLVKETGLGWDNEKKTYTAPDSRWKQLNKDYPGINKFKDGPLQFEELKTIMFEDIRNSGDDHWAPSSGAAPASQQDAKPDEADDRDEDCDDNEASDDCDEYSPEPSRGKRPAPANRKDKGKKPKTSGGHWVQKELSKLVSLSARSTASCESLAKKDESSGCSIKDVMALVRECGAVPGTKEHFIASQVFVKRAEREMFLTLETPEERFQWLSMKHMWMTRNDSSM >PAN16329 pep chromosome:PHallii_v3.1:3:3391052:3398703:1 gene:PAHAL_3G054400 transcript:PAN16329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANGRLQKQALLPPRSPFPAAAAAATPAPHAELGPIARPRDAHHRHGHQRTSSESFLADEQPSWLDDLLDEPESPARAHGRPGHRRSSSDSFALFEGGGGAAAGMYDIALDGMRGGGGGQLASWAGAPEFFPEPTSFGRPQGRPWESRQMYRQGGGMPMPGREKNGGRHGPSSSFGDLEHGHVPNGVDRKGHGDAAHDQRIGAERKEGLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQSLQTEGIEVTAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRYQQEMFEREIGRLRSLFQQQQQQQQQHVPQQQAPTHSHSSSRDLDSQFANLSLKHSDPNSGRDAVSGLRI >PAN20063 pep chromosome:PHallii_v3.1:3:22880200:22884831:1 gene:PAHAL_3G315500 transcript:PAN20063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNDAATTVPSEPESVGGRMSSDTRPLLSSPSPSPSPSPSSASAAPVQESIEELDRRYAPYARRDAYGPMGLAPVGAVEAFRLAVAAVVLVPLRVVAGMLVLVVYYLVCRVCTLRVEEEREGGEGDGYARLEGWRREGVVRCGRALSRAMLFVFGFYWIPEYDCRFPDAEDGHADQSKEMERPGAIVSNHVSYVDILYHMSASFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNAPMMLLFPEGTTTNGDYLLPFKTGAFIAKAPVQPVILRYPYKRFNPAWESMSGARHVFLLLCQFVNYLEVIHLPVYYPSEQEKNDAKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGNSLPRVLHQKDD >PAN21097 pep chromosome:PHallii_v3.1:3:57773756:57777187:-1 gene:PAHAL_3G440000 transcript:PAN21097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKEKHVLIACQATSGRITRSKAAANRTRSGAAPSVPLPLKAEQKHAAKGKMKRETSDENSYADAGASAPQPKKRIVLKNVTNISCANACKKCTAVTKLQPRPSQKVGQCINKQCSNKNPKLLPLAAGGSLFVNDSNSAEETQKVDLLAQKKKQIGLVEKEGAVSLQNIEQNRDSAFHEAFFEERNARNKLEIAALKAGGSDGLNIVDIDKNNGDPQMCVTYVAEIYRNLMASELIRRPRPNYMETLQQDITTSMRGLLIDWLVEVSEEYKLVADTLYLTVYLIDQFLSQNCIQMQKLQLLGITSMLIASKYEEFCAPSVEEFCIITDSTYQKAEVLEMERKVLDDLGFHLSVPTTNMFLRRFLRAAQASCIAPSSTLCYLANYLAELTLIDYGFLKFLPSVVAASSVFLARWTLNQSDHPWNPTLEHYTSYKSSNIRMCVCALQKLQHNTNNCPLKSIREKYGQQKFECVANLRSPELLQSLFT >PVH62962 pep chromosome:PHallii_v3.1:3:57773248:57778321:-1 gene:PAHAL_3G440000 transcript:PVH62962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKEKHVLIACQATSGRITRSKAAANRTRSGAAPSVPLPLKAEQKHAAKGKMKRETSDENSYADAGASAPQPKKRIVLKNVTNISCANACKKCTAVTKLQKVGQCINKQCSNKNPKLLPLAAGGSLFVNDSNSAEETQKVDLLAQKKKQIGLVEKEGAVSLQNIEQNRDSAFHEAFFEERNARNKLEIAALKAGGSDGLNIVDIDKNNGDPQMCVTYVAEIYRNLMASELIRRPRPNYMETLQQDITTSMRGLLIDWLVEVSEEYKLVADTLYLTVYLIDQFLSQNCIQMQKLQLLGITSMLIASKYEEFCAPSVEEFCIITDSTYQKAEVLEMERKVLDDLGFHLSVPTTNMFLRRFLRAAQASCIAPSSTLCYLANYLAELTLIDYGFLKFLPSVVAASSVFLARWTLNQSDHPWNPTLEHYTSYKSSNIRMCVCALQKLQHNTNNCPLKSIREKYGQQKFECVANLRSPELLQSLFT >PAN21096 pep chromosome:PHallii_v3.1:3:57773248:57778321:-1 gene:PAHAL_3G440000 transcript:PAN21096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKEKHVLIACQATSGRITRSKAAANRTRSGAAPSVPLPLKAEQKHAAKGKMKRETSDENSYADAGASAPQPKKRIVLKNVTNISCANACKKCTAVTKLQPRPSQKVGQCINKQCSNKNPKLLPLAAGGSLFVNDSNSAEETQKVDLLAQKKKQIGLVEKEGAVSLQNIEQNRDSAFHEAFFEERNARNKLEIAALKAGGSDGLNIVDIDKNNGDPQMCVTYVAEIYRNLMASELIRRPRPNYMETLQQDITTSMRGLLIDWLVEVSEEYKLVADTLYLTVYLIDQFLSQNCIQMQKLQLLGITSMLIASKYEEFCAPSVEEFCIITDSTYQKAEVLEMERKVLDDLGFHLSVPTTNMFLRRFLRAAQASCIAPSSTLCYLANYLAELTLIDYGFLKFLPSVVAASSVFLARWTLNQSDHPWNPTLEHYTSYKSSNIRMCVCALQKLQHNTNNCPLKSIREKYGQQKFECVANLRSPELLQSLFT >PAN17499 pep chromosome:PHallii_v3.1:3:8769580:8772945:-1 gene:PAHAL_3G136200 transcript:PAN17499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILLHGSLHVTIFEAEEISNSSRPSSQAPGFLRKLAETIEDTVGVGKGNSKIYATIGLDKARVGRTRTLTDETARPRWYESFHVYCAHLASDVVFTIRAKSTIGASTVGVGYLPVRDIFGGQEVDRWLPLCDDDDKDRKPLEGGSKVHVKLQYFDISKDHSWGRGVRSGKYPGVPYTFFSQRQGCKVTLYQDAHVSDGFVPRIPLDGGRCYEPHRCWEDIFDAISGAKHLIYITGWSVYTEITLVRDGSRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGVLKKDGLMATHDEETMNYFQGTDVHCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPAPAAAGRKKRIVSFVGGLDLCDGRYDTPLHSLFRTLDTVHHDDFHQPNFATAAIAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDILIQLRDLADKIIPPSPVTFPEDGEAWNVQLFRSIDGGAAFGFPDTPDDATRAGLVSGKDQIIDRSIQDAYIHAIRRARSFIYIENQYFLGSSYCWKPDGIRPEDIGALHLIPKELSMKVVSKIEAGERFAVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDANPKDYLTFFCLGNREAKKPGEYVPTEEAEPDTDYIKAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAASRPARGQVHGFRMSLWYEHLGAVDDAFTRPDSLECVRKVNAMADRYWDLYAGDGPDRDLPGHLLTYPVGVAADGAVTQLPGMEFFPDTQARVLGAKSDYLPPILTT >PAN19231 pep chromosome:PHallii_v3.1:3:16988423:16990970:1 gene:PAHAL_3G257900 transcript:PAN19231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYNVLTPHQASPPHTAIAPDKRGPRMAPPVPADAVVEAASAVAENGMARKDVPAPAIFVVSKQTVRPAAGASAVGDLRLSVSDMPMLSCHYIQKGLFFPPPGVAMAPLVSSLAAALSRALGVFPALAGRLVTLDDGSIVIRCGEGAAVEFYHAVAPALSLGDFLVPGADVPTRLTNGLFPMDRTVSYGGHARPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGAPVREPDFRRNFFGESTAVLRFPGGGGPAVTFDADAPLRERILHFSAAAIRELKATANRWKGTGQDSEVNGKATHDSKAQGGCGQISSFQSLCAHIWRAVTRARRLLAADKTTTFRMAVNCRHRLHPPISPLYFGNAIQSVATTATVAELASNDLGWAAAKLHATVAAHEDGAIRRAAAKWEAAPQCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRALAVRSGRANKFDGKMSAFPGQAGDGSVDVEVCLAPDTMACLLRDEAFLQFVSSPAS >PAN16192 pep chromosome:PHallii_v3.1:3:2755575:2761129:-1 gene:PAHAL_3G043500 transcript:PAN16192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDRAGWLWRRKSSDKSPGGSDSSMSVSSHSEQCSDDQVHNHGIILYHGQSPEASSRNKYDYSQETGTARSLNGKLAAGANLNDSSSEHGQSVGPHVSSNVRDEEIKETMRSLNEKLSAALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLAREEQEDKIREIVSKKSQVPQSENSELQNHITELQMRLELTRLEASSSMLLQHDLQEKLQVIEKENLDLKAKLQAIEKENMDLKAKLLVQSKDLKILMLEKDLSNQAAETASKQHLESVKKIARVEAECRRLQHLTRKITLINDSRPTQNNVCMESLTDSQSDHGEHMVAVDNDLRNSDSWALALIAELDQFKNGKNGSRNLVNNPVEIDIMDDFLEMERLAALPESDRTSSNFEMETDSDKAVARSLSLKVETEELQNQVTDLQEKFDASESEKRELETALMEVRNQLDISCDALVAAKNRLVEMQLQLDSANNSKLAALEDAERLDSEKKALELQLESKSVEVEELLMAVTSLEKNAVQKELESQLELMSAQATELHLTMASLEERIHTERALSVKHKEKEEAALNAKEELEEQLCSANTEMGKLRDIVKALENEVKKEKALHEELTAQLTVKMETTVDAVKQSLEAQLCSANAEAGKLRDVVKELENEVEKEKALHEELAAQIEVKTEAAKTAEAVKESLEAKLCSANAEIQKLQDIIKAQQSELEKEKALYEELLAQLEMKIEAERTRSVESAKESLEEQLQLVNSEAANLHDMVAALEHDVEKEKAFSAELQMQLEALEAVKKVLESEAESALQDARNLNLKVESLEAKLEEQMSSAGEFTTKAEALQSERMAMEHKLKAADRELIKLTNKVSLLHREIEQERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGDLKVKKEKELANAAGKLAECQKTISSLERQLKSLTDLDSVVLEPERLESSREMPLPLDFRNGDAEFAMFADDLYDFELPNSNTSCFSPLPPIQPSSPPSEMSVFAGGLSTLSSYRSKRASRR >PAN16194 pep chromosome:PHallii_v3.1:3:2755577:2762267:-1 gene:PAHAL_3G043500 transcript:PAN16194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDRAGWLWRRKSSDKSPGGSDSSMSVSSHSEQCSDDQGQSPEASSRNKYDYSQETGTARSLNGKLAAGANLNDSSSEHGQSVGPHVSSNVRDEEIKETMRSLNEKLSAALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLAREEQEDKIREIVSKKSQVPQSENSELQNHITELQMRLELTRLEASSSMLLQHDLQEKLQVIEKENLDLKAKLQAIEKENMDLKAKLLVQSKDLKILMLEKDLSNQAAETASKQHLESVKKIARVEAECRRLQHLTRKITLINDSRPTQNNVCMESLTDSQSDHGEHMVAVDNDLRNSDSWALALIAELDQFKNGKNGSRNLVNNPVEIDIMDDFLEMERLAALPESDRTSSNFEMETDSDKAVARSLSLKVETEELQNQVTDLQEKFDASESEKRELETALMEVRNQLDISCDALVAAKNRLVEMQLQLDSANNSKLAALEDAERLDSEKKALELQLESKSVEVEELLMAVTSLEKNAVQKELESQLELMSAQATELHLTMASLEERIHTERALSVKHKEKEEAALNAKEELEEQLCSANTEMGKLRDIVKALENEVKKEKALHEELTAQLTVKMETTVDAVKQSLEAQLCSANAEAGKLRDVVKELENEVEKEKALHEELAAQIEVKTEAAKTAEAVKESLEAKLCSANAEIQKLQDIIKAQQSELEKEKALYEELLAQLEMKIEAERTRSVESAKESLEEQLQLVNSEAANLHDMVAALEHDVEKEKAFSAELQMQLEALEAVKKVLESEAESALQDARNLNLKVESLEAKLEEQMSSAGEFTTKAEALQSERMAMEHKLKAADRELIKLTNKVSLLHREIEQERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGDLKVKKEKELANAAGKLAECQKTISSLERQLKSLTDLDSVVLEPERLESSREMPLPLDFRNGDAEFAMFADDLYDFELPNSNTSCFSPLPPIQPSSPPSEMSVFAGGLSTLSSYRSKRASRR >PAN16191 pep chromosome:PHallii_v3.1:3:2755754:2762214:-1 gene:PAHAL_3G043500 transcript:PAN16191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDRAGWLWRRKSSDKSPGGSDSSMSVSSHSEQCSDDQGQSPEASSRNKYDYSQETGTARSLNGKLAAGANLNDSSSEHGQSVGPHVSSNVRDEEIKETMRSLNEKLSAALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLAREEQEDKIREIVSKKSQVPQSENSELQNHITELQMRLELTRLEASSSMLLQHDLQEKLQVIEKENLDLKAKLQAIEKENMDLKAKLLVQSKDLKILMLEKDLSNQAAETASKQHLESVKKIARVEAECRRLQHLTRKITLINDSRPTQNNVCMESLTDSQSDHGEHMVAVDNDLRNSDSWALALIAELDQFKNGKNGSRNLVNNPVEIDIMDDFLEMERLAALPESDRTSSNFEMETDSDKAVARSLSLKVETEELQNQVTDLQEKFDASESEKRELETALMEVRNQLDISCDALVAAKNRLVEMQLQLDSANNSKLAALEDAERLDSEKKALELQLESKSVEVEELLMAVTSLEKNAVQKELESQLELMSAQATELHLTMASLEERIHTERALSVKHKEKEEAALNAKEELEEQLCSANTEMGKLRDIVKALENEVKKEKALHEELTAQLTVKMETTVDAVKQSLEAQLCSANAEAGKLRDVVKELENEVEKEKALHEELAAQIEVKTEAAKTAEAVKESLEAKLCSANAEIQKLQDIIKAQQSELEKEKALYEELLAQLEMKIEAERTRSVESAKESLEEQLQLVNSEAANLHDMVAALEHDVEKEKAFSAELQMQLEALEAVKKVLESEAESALQDARNLNLKVESLEAKLEEQMSSAGEFTTKAEALQSERMAMEHKLKAADRELIKLTNKVSLLHREIEQERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGDLKVKKEKELANAAGKLAECQKTISSLERQLKSLTDLDSVVLEPERLESSREMPLPLDFRNGDAEFAMFADDLYDFELPNSNTSCFSPLPPIQPSSPPSEMSVFAGGLSTLSSYRSKRASRR >PAN16197 pep chromosome:PHallii_v3.1:3:2755767:2759515:-1 gene:PAHAL_3G043500 transcript:PAN16197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLNEKLSAALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLAREEQEDKIREIVSKKSQVPQSENSELQNHITELQMRLELTRLEASSSMLLQHDLQEKLQVIEKENLDLKAKLQAIEKENMDLKAKLLVQSKDLKILMLEKDLSNQAAETASKQHLESVKKIARVEAECRRLQHLTRKITLINDSRPTQNNVCMESLTDSQSDHGEHMVAVDNDLRNSDSWALALIAELDQFKNGKNGSRNLVNNPVEIDIMDDFLEMERLAALPESDRTSSNFEMETDSDKAVARSLSLKVETEELQNQVTDLQEKFDASESEKRELETALMEVRNQLDISCDALVAAKNRLVEMQLQLDSANNSKLAALEDAERLDSEKKALELQLESKSVEVEELLMAVTSLEKNAVQKELESQLELMSAQATELHLTMASLEERIHTERALSVKHKEKEEAALNAKEELEEQLCSANTEMGKLRDIVKALENEVKKEKALHEELTAQLTVKMETTVDAVKQSLEAQLCSANAEAGKLRDVVKELENEVEKEKALHEELAAQIEVKTEAAKTAEAVKESLEAKLCSANAEIQKLQDIIKAQQSELEKEKALYEELLAQLEMKIEAERTRSVESAKESLEEQLQLVNSEAANLHDMVAALEHDVEKEKAFSAELQMQLEALEAVKKVLESEAESALQDARNLNLKVESLEAKLEEQMSSAGEFTTKAEALQSERMAMEHKLKAADRELIKLTNKVSLLHREIEQERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGDLKVKKEKELANAAGKLAECQKTISSLERQLKSLTDLDSVVLEPERLESSREMPLPLDFRNGDAEFAMFADDLYDFELPNSNTSCFSPLPPIQPSSPPSEMSVFAGGLSTLSSYRSKRASRR >PAN16198 pep chromosome:PHallii_v3.1:3:2755767:2762580:-1 gene:PAHAL_3G043500 transcript:PAN16198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDRAGWLWRRKSSDKSPGGSDSSMSVSSHSEQCSDDQVHNHGIILYHGQSPEASSRNKYDYSQETGTARSLNGKLAAGANLNDSSSEHGQSVGPHVSSNVRDEEIKETMRSLNEKLSAALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLAREEQEDKIREIVSKKSQVPQSENSELQNHITELQMRLELTRLEASSSMLLQHDLQEKLQVIEKENLDLKAKLQAIEKENMDLKAKLLVQSKDLKILMLEKDLSNQAAETASKQHLESVKKIARVEAECRRLQHLTRKITLINDSRPTQNNVCMESLTDSQSDHGEHMVAVDNDLRNSDSWALALIAELDQFKNGKNGSRNLVNNPVEIDIMDDFLEMERLAALPESDRTSSNFEMETDSDKAVARSLSLKVETEELQNQVTDLQEKFDASESEKRELETALMEVRNQLDISCDALVAAKNRLVEMQLQLDSANNSKLAALEDAERLDSEKKALELQLESKSVEVEELLMAVTSLEKNAVQKELESQLELMSAQATELHLTMASLEERIHTERALSVKHKEKEEAALNAKEELEEQLCSANTEMGKLRDIVKALENEVKKEKALHEELTAQLTVKMETTVDAVKQSLEAQLCSANAEAGKLRDVVKELENEVEKEKALHEELAAQIEVKTEAAKTAEAVKESLEAKLCSANAEIQKLQDIIKAQQSELEKEKALYEELLAQLEMKIEAERTRSVESAKESLEEQLQLVNSEAANLHDMVAALEHDVEKEKAFSAELQMQLEALEAVKKVLESEAESALQDARNLNLKVESLEAKLEEQMSSAGEFTTKAEALQSERMAMEHKLKAADRELIKLTNKVSLLHREIEQERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGDLKVKKEKELANAAGKLAECQKTISSLERQLKSLTDLDSVVLEPERLESSREMPLPLDFRNGDAEFAMFADDLYDFELPNSNTSCFSPLPPIQPSSPPSEMSVFAGGLSTLSSYRSKRASRR >PAN16193 pep chromosome:PHallii_v3.1:3:2755754:2762580:-1 gene:PAHAL_3G043500 transcript:PAN16193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDRAGWLWRRKSSDKSPGGSDSSMSVSSHSEQCSDDQGQSPEASSRNKYDYSQETGTARSLNGKLAAGANLNDSSSEHGQSVGPHVSSNVRDEEIKETMRSLNEKLSAALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLAREEQEDKIREIVSKKSQVPQSENSELQNHITELQMRLELTRLEASSSMLLQHDLQEKLQVIEKENLDLKAKLQAIEKENMDLKAKLLVQSKDLKILMLEKDLSNQAAETASKQHLESVKKIARVEAECRRLQHLTRKITLINDSRPTQNNVCMESLTDSQSDHGEHMVAVDNDLRNSDSWALALIAELDQFKNGKNGSRNLVNNPVEIDIMDDFLEMERLAALPESDRTSSNFEMETDSDKAVARSLSLKVETEELQNQVTDLQEKFDASESEKRELETALMEVRNQLDISCDALVAAKNRLVEMQLQLDSANNSKLAALEDAERLDSEKKALELQLESKSVEVEELLMAVTSLEKNAVQKELESQLELMSAQATELHLTMASLEERIHTERALSVKHKEKEEAALNAKEELEEQLCSANTEMGKLRDIVKALENEVKKEKALHEELTAQLTVKMETTVDAVKQSLEAQLCSANAEAGKLRDVVKELENEVEKEKALHEELAAQIEVKTEAAKTAEAVKESLEAKLCSANAEIQKLQDIIKAQQSELEKEKALYEELLAQLEMKIEAERTRSVESAKESLEEQLQLVNSEAANLHDMVAALEHDVEKEKAFSAELQMQLEALEAVKKVLESEAESALQDARNLNLKVESLEAKLEEQMSSAGEFTTKAEALQSERMAMEHKLKAADRELIKLTNKVSLLHREIEQERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGDLKVKKEKELANAAGKLAECQKTISSLERQLKSLTDLDSVVLEPERLESSREMPLPLDFRNGDAEFAMFADDLYDFELPNSNTSCFSPLPPIQPSSPPSEMSVFAGGLSTLSSYRSKRASRR >PVH62813 pep chromosome:PHallii_v3.1:3:52375939:52376757:-1 gene:PAHAL_3G407600 transcript:PVH62813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDEKSRGFRLSRTKTEYMMCDFSPTRHEDRDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWQQASSVLCDKKRLKGKFYRTAIRPAMLYGAECWPTKRRYVQQLSVAEMRMLRWFYGHTRRDRVRNEEIRDRVGVAPIEEKLIQYRLRWFGYVQRRPPEVPVLSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN18860 pep chromosome:PHallii_v3.1:3:15033710:15036746:-1 gene:PAHAL_3G231600 transcript:PAN18860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNHHAAAAQQPANRGAAVQAGKQKIAAAGRRRALGDIGNVVTDVLDGKIQLPEGINRPITRSFGAQLLKNAALANKNVMPPAKPVAARAVPKPVRKAPAKPVPRPEQPPKIATSSDENRKPSQAAAGSSNSAQKNSRKKVVCTLTTVLTARSKTACGIKQKELIEDIDKLDGNNQLAMVDYVEDIYKFYKASEHESRPSDYMGSQPEVNPKMRAILADWMVEVHRRFELMPETLYLTIYIVDRYLSLQPVLRRELQLVGIAAMLIASKYEEIWAPEVNDFISLSDDAFSRQQILIMEKAILNNMEWNLTLPTLYHFLVRFAKAAGRGDKQLGHMILFFGELALMDYRMVTIRPSVVAASAVYAARCTLRKSPLWTGTLKHHTGLHEQQLMEGAKILVSSHAAAPEGKLKTVYQKYSSEQFECVALHPPAADPGFV >PAN19088 pep chromosome:PHallii_v3.1:3:16109472:16111206:-1 gene:PAHAL_3G248500 transcript:PAN19088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRLLLLLLAAAGALAFPAAAEIKTESFREDPRASIMFEKFGFSKSGAVRIIVTGAAVSSPVARADPKQLGFFLLSDESLLHAIDEAQEGPSREKRAAATSGGGEDPDGGSAGAGAGCVLSSPYVKKLFTFHDMKGGHYNKSFPVTRPDEYTLFFANCAPEALVSMRVRTEMYNVNADGSKDYLPVGQAPVPAIYGFFAFCYAAFLAAWGYLTLSRDRVNAHQIHHLMSGLLVARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVVLFAVIALVGTGWSFLKPVLQDREKKVLMAVIPLQVTANIAAAVIGETGPFMQGWVTWNQILLFVDVACCCAVLFPVVWSIRSLRETSKTDGKAARNLSKLTLFRQFYVVVIGYLYFTRIVVYALKTVASYKYRWVSILAEEVATLAFYLFMFYTFRPAEKSHYFSVDDDEEEAAEMVLREEEFEL >PVH61384 pep chromosome:PHallii_v3.1:3:1100434:1102243:-1 gene:PAHAL_3G020300 transcript:PVH61384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVVPSVLIPPQHPTRPAASGCRSGQRGGFFLEAAVNPGGIYPPSRLRLRQRLRLPGAATTNRRAMSPTPAAAPSGDEEFTEVVVVRHGETSWNASRIVQGGGESLNQLTERCVSYLNKIAQEHIGILQT >PAN19794 pep chromosome:PHallii_v3.1:3:20567211:20570960:1 gene:PAHAL_3G299800 transcript:PAN19794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKMGRFFESVGNFFTGGDNIPWCDRDIIAGCERELADAATEEQRNDSLMRLSWALVHSRQTDDVNRGIIMLEASLDNSGSPLQTREKLYLLAVGHYRNGDYSKSRQLVERCLQIQPDWRQAISLKKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAAVARKK >PVH61588 pep chromosome:PHallii_v3.1:3:4475663:4475962:1 gene:PAHAL_3G070400 transcript:PVH61588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCTSFSAASVLRVSGTLLVSLLLSCRLSYCRNLICLTRVVPVRRYALLFLQDFCRTFGDAEMPKSSIKSLHDVILGRCSSDLLLWRNRVTTPVDKLFF >PAN18962 pep chromosome:PHallii_v3.1:3:15459474:15464052:1 gene:PAHAL_3G238400 transcript:PAN18962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLLGSEIGKNDYDWLLTPPGTPRIPALEVAEKTPSSNILPKRTTARSSSTTRASRLSVSQTENGHSTVPTRPARSNSVSRPSIQSTLMNGNNRTSVLNTSISSVTSRPTTPSRRSSTSAAPRQSVPASRPVPARSSTPVKTRPSTPAKTRPSTPTRTRPTAPNSSTDSAAAKTTSAHNSRPSTPNSRSRIMPNSSSGPTHATSRPSPSSGTMSRPGSSSGNVHGISRATSLSSTSVPSMSRSNSRSSTPTRQPATRSSAPAIGRSPSVGRSSSISSFTSVSRSAASSGRNSAPSSAPSSRPSSPNPRPRAPVRPLDIPDFPSETPPNLRTKLPERPLSAGRSRPGMALGIRSNPNTEPSATSAPVKKVSVPAVSRSKFSDPSSKTPTLTNGHQNRQAERSVIDSQPNRPSRPATGTDNGFGRSISKRSLDMAIKHMDIRQNLGGIRGASLFPQSIRSAAAKARPARASDPGHGISNGDRHYADNGSSVNGHLSGDSNGAPSRNGGSSTASPDRASVGTKETLSELDMYGSSRYEAMLLREDARNTSWLHGFDDKPDQSPLFDHRFEPLPEPFSPL >PAN20253 pep chromosome:PHallii_v3.1:3:45532194:45534157:1 gene:PAHAL_3G387100 transcript:PAN20253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFRGNIVMTPIAINPANRRQIRPHGDWQWDDICWEGRNRLRPVNVTLGTLCRFHYPGMVTIGGVLQLALKWEHYKLQLDDQGVTTAARVWNEFWKRYRLPEGEEQCLQARARSVFDKAPTKVVRDMMSNARIQCVSLY >PAN18952 pep chromosome:PHallii_v3.1:3:15425531:15429583:1 gene:PAHAL_3G237800 transcript:PAN18952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLHGTDACFSPARAMSPQVRPPGPPDVGSQYLADLLQEHQKLGPFMQVLPICSRLLNQEIVRVSNMRRQHGAGDFERFPITSPNQMHPSPPIQNFCGNGFSPWNGMHSERVGVPQGAMGWQGTPQSPSSYIVKKILRLEVPTDTYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDSGKEEQLKGRPGYEHLSEPLHILIEAELPANVIDSRLAKAQEILEELLKPVDESQDYYKRQQLRELAMLNSPLREESPHPGGAPPSPFSNGGMKRVKQ >PVH63102 pep chromosome:PHallii_v3.1:3:60747527:60749079:1 gene:PAHAL_3G474300 transcript:PVH63102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALATEDVSAGGHNLLQGDPDGLERVPEQGVPYGLELLHALPRRLDQILRPQLRLRSRAAVTPSRRRAQKDQTTPASIRLQPLASARLGSGPGAGAAGHRRRSTAGLPATPAPRSLPSHGPPTTPAMGIQLFDGGGARHRRAAQRSRRPGASGARDAIAYAVDPGSSAPRHATVARPRAAAGELRPEAHNAGATEKAPPSATGGSRPPFPGRSERKRKDADV >PVH62282 pep chromosome:PHallii_v3.1:3:16690775:16694844:1 gene:PAHAL_3G255200 transcript:PVH62282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MRQAYITARRPHRIPPRPPPHCSRFRSPSAHRTRRALAMPSPATAAAAAASAPLHRRVCARQHLPPASRCFLPSRAVLNSARLFTAPAPLPIHAPRVPPTAARAASPDAAAARSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIETPVAVASVEFIDPREPVLVVPILRAGLALAELASSVLPATKTYHLGLCRDEETLQPSIYLNNLPDKIPEGSRVLVVDPMLATGGTIVAAIDLLIERGVTSKQIKVSAVAAPPALQKLNNKFPGLHVYTGIIDPEVNEKGFIIPGLGDAGDRSFAT >PVH62283 pep chromosome:PHallii_v3.1:3:16690775:16694844:1 gene:PAHAL_3G255200 transcript:PVH62283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MRQAYITARRPHRIPPRPPPHCSRFRSPSAHRTRRALAMPSPATAAAAAASAPLHRRVCARQHLPPASRCFLPSRAVLNSARLFTAPAPLPIHAPRVPPTAARAASPDAAAARSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIETPVAVASVEFIDPREPVLVVPILRAGLALAELASSVLPATKTYHLGLCRDEETLQPSIYLNNLPDKIPEGSRVLVVDPMLATGGTIVAAIDLLIERGVTSKQIKVVSAVAAPPALQKLNNKFPGLHVYTGIIDPEVNEKGFIIPGLGDAGDRSFAT >PVH62281 pep chromosome:PHallii_v3.1:3:16690830:16694114:1 gene:PAHAL_3G255200 transcript:PVH62281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MRQAYITARRPHRIPPRPPPHCSRFRSPSAHRTRRALAMPSPATAAAAAASAPLHRRVCARQHLPPASRCFLPSRAVLNSARLFTAPAPLPIHAPRVPPTAARAASPDAAAARSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIETPVAVASVEFIDPREPVLVVPILRAGLALAELASSVLPATKTYHLGLCRDEETLQPSIYLNNLPDKIPEGSRVLVVDPMLATGGTIVAAIDLLIERGVTSKQIKVVSAVAAPPALQKLNNKFPGYE >PAN20924 pep chromosome:PHallii_v3.1:3:55287378:55288076:-1 gene:PAHAL_3G423200 transcript:PAN20924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAACFCIFQCQNGHVACFSCWSRLTNKCHICSNEANFVRNIALEKVVESVKSACSYAKWGCSKLVSYSLRNAHEESCLFSPSKCPIPGCGYRGFTGWWSGHFHTNHNNDSLRFSYGQCFKVSLEISVPFLVLLSEDDHLFLLLNKNMMPYGHALSVGCLRTGNLNWNFFYEMKATSEGNTENSLQLKASVTNTEDWRGLHPTEAFLLVPYAFCRSGKLTLFVSIERVADVR >PAN20925 pep chromosome:PHallii_v3.1:3:55286987:55291029:-1 gene:PAHAL_3G423200 transcript:PAN20925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFDDADDDPPAAASGSEKRKREDGPDEAAAGWGPPKARIFADRGGGPEGGAVVGAERAEGSGRKAVETVVGGEADRISVQIDPDVLDCSICFEPLRPPLYQCQNGHVACFSCWSRLTNKCHICSNEANFVRNIALEKVVESVKSACSYAKWGCSKLVSYSLRNAHEESCLFSPSKCPIPGCGYRGFTGWWSGHFHTNHNNDSLRFSYGQCFKVSLEISVPFLVLLSEDDHLFLLLNKNMMPYGHALSVGCLRTGNLNWNFFYEMKATSEGNTENSLQLKASVTNTEDWRGLHPTEAFLLVPYAFCRSGKLTLFVSIERVADVR >PAN22115 pep chromosome:PHallii_v3.1:3:64278361:64280890:1 gene:PAHAL_3G515100 transcript:PAN22115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPEGTLIYAMVARGTVVVAEHTSYTGNFRDIAAQCLHRLPAGNNRFTYTCDAHTFNFLVADGYAYCVVATESAGRQIPMAFLEMIKEDFNKRYAGGKAATATANSLSRDFGPRLGDQMQYCSDHPEEVSRLSRVKAQVDQVKGIMLENIDKVIDRGEQIDGLVTRTEQLHDQAADFRQQGARVRRKMWYQNMKVKLIVLGIVIALILIIILSVCHGICK >PVH62460 pep chromosome:PHallii_v3.1:3:20474066:20477086:1 gene:PAHAL_3G299100 transcript:PVH62460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVASAGSFHHQRAAAAAAAAVGASAADDPVFPLIAAAQRTLVDADAASGKPPASSAGAIQFWHPEPQAAAADGSTGKKALAMLDRGRGGAGSGSGAATCHDCGNQAKKGCAHNRCRTCCNSRGFDCETHVRSTWVPAARRRERLQLAGGGAGASPPPPAPVAAKKPRLACQTATATTNSRTSTSNATTPRSFDTTSSHQDASFKDNLPRQVRGPAVFRCVRVMSVDDGGGGGGGTGEVAYQAAVTINGHLFRGLLYDHGADTDGRAAAAATVQLGTSDLHLGSASAAAPNLYSGASAPLILGGLGYGNTP >PAN18322 pep chromosome:PHallii_v3.1:3:12304942:12317659:-1 gene:PAHAL_3G187600 transcript:PAN18322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAQGLALKLRAAPPAATGSKAHRDRTTVRAAAYGGALQHRRCGGCMSLDVGRFLRVAPLPAAQQRARALPWAERAARRHERVVASAAGVRSMSKIPERSIGLYDPSFERDSCGVGFIAELSGEPSRKTIDDAIEMLERMSHRGACGCEKNTGDGAGILVALPHAFFSEVSKDAGFELPQLGEYAVGMFFMPTDEKRREKSKLVFLEIAKSLGHDVFGWRQVPTDNSDLGKSALDTEPVIEQVFVSKSTYSKADFEQQMYILRRLSIKSIREALGLQRGGPKDFYMCSLSSRTVVYKGQLKPTQLKGYFFADLGDQSFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCKGLGLSRDEMSKLLPIVDATSSDSGAFDNVLELLIRSGRSLPEAVMMMIPEAWHNDINMDPERKALYEYFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDIPNEDVMRKGRLNPGMMLLVDFENHCIVDNDALKKQYSRAHPYGEWLDRQKIELVDIIDSVPETERIGPRIVALPQKNENKEAVGIHGILAPLKAFGYTLETLEMLLLPMAKSGVEALGSMGNDTPLAVMSNREKLTYDYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTEHQCHRLKLEGPLLHIDEVEAIKKMNFRGWRSKVLDITYPKKYGRKGLEQTLDKLCAQAREAIHEGYTILVLSDRGFSPDRVPVSPLLAVGAVHQHLVSNLERTRIGLLVDSAEPREVHHFCTLIGFGADAICPYLAIEAICWLQIEGKIAAKDDGQPYPQEELINKYFYASNYGIMKVLAKMGISTLASYKGAQIFEALGLASEVASKCFEGTPNRVEGATFEMLAQDALDLHELAFPSRTLPPGSADANALPNPGDYHWKKNGEVHLNDPFSIAKLQEAARLDSREAYKEYSRRTQELNKSCTLRGMLKFREIPVRIPLDEVEPASEIVKRFCTGAMSYGSISWEAHTTLAKALNIMRGKSNTGEGGEQPSRMEPLPDGSMNPMMSAIKQVASGRFGVSIYYLTNSIELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVAHGLRGRAVLQTDGQLKVGRDVVIACLLGAEEFGFSTAPLIVLGCIMMRKCHTNTCPVGIATQDPVLREKFAGKPEHIINFFFMLAEEVREIMSQLGFRTINEMVGRSDMLEVDLDVLKGNEKLQNIDLSLILKPAAEISPEAVQYCVEKQDHGLDMALDNKLIASSRAALEKGFRVFTETPVRNTNRAVGTMLSHEVTKLYRMAGLPPDTIHVKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPHRNSQFIPQENIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGMFSTRCNHELVDLYSVVEEDDIVTLRMMIEQHRLNTGSVLAKDILSNFEDLLPKFVKVFPRDYKRVLENMKVEKVAKEAEQKARKAGLGKKAGEVIKASNGISVIMKKVKDKTSSSRPTQVSNAEKPRGFVTYEREGISYRDVNERVEDWNEVTNELVPGPLLNTQSARCMSCSTPFCHQENFGAGCPLGNKIPEFNELVYQNRWHEALYRLLETNNFPEFTGRVCPAPCEASCVLGIIENPVSIKSIECAIIDKGFKEGWMVPRPPLQRTGKRVAIVGSGPAGLAAADQLNKMGHLVTVFERADRIGGLMMYGVPNMKADKAGIVQRRVNLMAEEGITFIVNAHVGTDPRYSIERLRSESDAVILACGATRPRDLPIPGRELSGIHFAMDFLHANTKSLLDSNLEDGKYISARGRKVAVIGGGDTGTDCIGTSIRHGCSNLVNLELLPEPPRERAPDNPWPQYLRIFRIDYGHQEAASKFGKDPRNYQILTKRFIGDKNGKVTALEVVRVEWGKVNGRFQFKEIEGYCQETGFRARRAIKLQGSVWKLCNKCGGRVCCWRLQAWAITSCLGNCRGEGSCSSC >PAN18232 pep chromosome:PHallii_v3.1:3:12305411:12316954:-1 gene:PAHAL_3G187600 transcript:PAN18232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAQGLALKLRAAPPAATGSKAHRDRTTVRAAAYGGALQHRRCGGCMSLDVGRFLRVAPLPAAQQRARALPWAERAARRHERVVASAAGVRSMSKIPERSIGLYDPSFERDSCGVGFIAELSGEPSRKTIDDAIEMLERMSHRGACGCEKNTGDGAGILVALPHAFFSEVSKDAGFELPQLGEYAVGMFFMPTDEKRREKSKLVFLEIAKSLGHDVFGWRQVPTDNSDLGKSALDTEPVIEQVFVSKSTYSKADFEQQMYILRRLSIKSIREALGLQRGGPKDFYMCSLSSRTVVYKGQLKPTQLKGYFFADLGDQSFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCKGLGLSRDEMSKLLPIVDATSSDSGAFDNVLELLIRSGRSLPEAVMMMIPEAWHNDINMDPERKALYEYFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDIPNEDVMRKGRLNPGMMLLVDFENHCIVDNDALKKQYSRAHPYGEWLDRQKIELVDIIDSVPETERIGPRIVALPQKNENKEAVGIHGILAPLKAFGYTLETLEMLLLPMAKSGVEALGSMGNDTPLAVMSNREKLTYDYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTEHQCHRLKLEGPLLHIDEVEAIKKMNFRGWRSKVLDITYPKKYGRKGLEQTLDKLCAQAREAIHEGYTILVLSDRGFSPDRVPVSPLLAVGAVHQHLVSNLERTRIGLLVDSAEPREVHHFCTLIGFGADAICPYLAIEAICWLQIEGKIAAKDDGQPYPQEELINKYFYASNYGIMKVLAKMGISTLASYKGAQIFEALGLASEVASKCFEGTPNRVEGATFEMLAQDALDLHELAFPSRTLPPGSADANALPNPGDYHWKKNGEVHLNDPFSIAKLQEAARLDSREAYKEYSRRTQELNKSCTLRGMLKFREIPVRIPLDEVEPASEIVKRFCTGAMSYGSISWEAHTTLAKALNIMRGKSNTGEGGEQPSRMEPLPDGSMNPMMSAIKQVASGRFGVSIYYLTNSIELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVAHGLRGRAVLQTDGQLKVGRDVVIACLLGAEEFGFSTAPLIVLGCIMMRKCHTNTCPVGIATQDPVLREKFAGKPEHIINFFFMLAEEVREIMSQLGFRTINEMVGRSDMLEVDLDVLKGNEKLQNIDLSLILKPAAEISPEAVQYCVEKQDHGLDMALDNKLIASSRAALEKGFRVFTETPVRNTNRAVGTMLSHEVTKLYRMAGLPPDTIHVKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPHRNSQFIPQENIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGMFSTRCNHELVDLYSVVEEDDIVTLRMMIEQHRLNTGSVLAKDILSNFEDLLPKFVKVFPRDYKRVLENMKVEKVAKEAEQKARKAGLGKKAGEVIKASNGISVIMKKVKDKTSSSRPTQVSNAEKPRGFVTYEREGISYRDVNERVEDWNEVTNELVPGPLLNTQSARCMSCSTPFCHQENFGAGCPLGNKIPEFNELVYQNRWHEALYRLLETNNFPEFTGRVCPAPCEASCVLGIIENPVSIKSIECAIIDKGFKEGWMVPRPPLQRTGKRVAIVGSGPAGLAAADQLNKMGHLVTVFERADRIGGLMMYGVPNMKADKAGIVQRRVNLMAEEGITFIVNAHVGTDPRYSIERLRSESDAVILACGATRPRDLPIPGRELSGIHFAMDFLHANTKSLLDSNLEDGKYISARGRKVAVIGGGDTGTDCIGTSIRHGCSNLVNLELLPEPPRERAPDNPWPQYLRIFRIDYGHQEAASKFGKDPRNYQILTKRFIGDKNGKVTALEVVRVEWGKVNGRFQFKEIEGSQEIIEADLVLLAMGFLGPEADIAKKLGLEQDERSNFKAQFGNFATNVEGVFAAGDCRRGQSLVVWAIAEGREAAAAVDKYLSREKTNAAEEVAAPSPSEGLVQPVAA >PAN20506 pep chromosome:PHallii_v3.1:3:25542613:25543649:1 gene:PAHAL_3G325800 transcript:PAN20506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPVQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQQRRDGGGGGPDDVDAVVPPSSLVAAGGGGAGDHHHHVMAAAMQQHQAAMVAPDDVDAFLMQNAGAGAIIPPQLMGYGAAPAGAMGEPLKRESLWT >PVH62950 pep chromosome:PHallii_v3.1:3:57317985:57320117:-1 gene:PAHAL_3G437000 transcript:PVH62950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLWLMSILYIPQAFSLSFDFDFSKQRWEAAAKLSLQGETKFENAIELTKKGSENSVGRASYLEPVAIWDEVSGELTSFTTTFSFQILPDPDRTGGDGMAFFLGHYPSEIPASSAGGSLGLFSSDTPNAFGNSRAVAVELDTFMDADYDSSSNHIGIDVNSLISTAYTDTNLPGRNLTSGRVMTCQISYVNSTQRLAAELQIGNASYRVDSVVDLRQQLPSIVAVGFSAATSVRSELHRVLAWSFESTLEGPRGPAAVPSPSSPFTKGVTWKIVVAITGAEVTVIVLIVIAGYVCLRRRSGRKANTSYETTPANVARSFSYRELAEATHNFAEEQKLGEGGYACVYRGELATPRRSVAIKKFKPGTSSAICTRAFDDEIKVISQVRHRNLVELVGWCSDGKKHRLLLVYELVAQGNLDEHLHGSRSWLSWTMRYKIILNLARALQYLHEDCSVCVLHGDIKSSNILLDSRYVAKLADFGLARFIDHETELKTTCTIAGTLGYVDPDFVTTGKRSRESDVYSFGIVLLEIVSGRRPAVVDHQTMVTPLLLWVWGKHHGNAILEAADATLREESTVGERGQMERALLLGLWCAHPDPIQRPPIAEAVRALQSRDVEIPHLALPAFMAGPSGFAAEDDRSRASETSDGGTSSSTTAIRGELQCTR >PAN20212 pep chromosome:PHallii_v3.1:3:37011397:37020136:-1 gene:PAHAL_3G360900 transcript:PAN20212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADANTQPPDAAASPIASISPSSVGAADADADAIEKQLAGLGIAVAGGGGFPEPSGWDDVPAPVPVGVAVASGDEVAGEKVQGPPPAAAGAADGKVRFPRRPGEPDCSYYLKFGTCRFGIKCKFNHPSRKKKSSRARGSGSSGSGSNSSSNKTSSPDDDQAPREEYEGLVPDISDSVNFDDKGSSSNPGNHRKTSYEVVDMKKGRMESKEKISEELEKGVYFKKLDETNITNQKILKGVKDKRKETFSEGSAQEECKYYSTPGGCKFGKACKYLHLEGKEGKAEVENVELNFLGLPLRPGEKECPFYMRTGSCKFATNCKFHHPDPSNVPSKEPVLEHENGDNPQQNVQGPSQPSVPIWPEQRALNEQHVPFLAPAPSYTAGMIPPQGMYHSPDWTGYHQVPVNPYYPPAVPFPHFPAPHMNHPMYKAADIPGHQQLPSDEYPERPGQPECQHFVKSGFCKYRMKCRFHHPKSRQPAPLTGLSPIGLPIKPDQPVCTYYGRFGVCKYGPSCMFNHPFNFGPPVPAAGPPLPGQYHPTPGNFTV >PAN20213 pep chromosome:PHallii_v3.1:3:37011397:37020246:-1 gene:PAHAL_3G360900 transcript:PAN20213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADANTQPPDAAASPIASISPSSVGAADADADAIEKQLAGLGIAVAGGGGFPEPSGWDDVPAPVPVGVAVASGDEVAGEKVQGPPPAAAGAADGKVRFPRRPGEPDCSYYLKFGTCRFGIKCKFNHPSRKKKSSRARGSGSSGSGSNSSSNKTSSPDDDQAPREEYEGLVPDISDSVNFDDKGSSSNPGNHRKTSYEVVDMKKGRMESKEKISEELEKGVYFKKLDETNITNQKGVKDKRKETFSEGSAQEECKYYSTPGGCKFGKACKYLHLEGKEGKAEVENVELNFLGLPLRPGEKECPFYMRTGSCKFATNCKFHHPDPSNVPSKEPVLEHENGDNPQQNVQGPSQPSVPIWPEQRALNEQHVPFLAPAPSYTAGMIPPQGMYHSPDWTGYHQVPVNPYYPPAVPFPHFPAPHMNHPMYKAADIPGHQQLPSDEYPERPGQPECQHFVKSGFCKYRMKCRFHHPKSRQPAPLTGLSPIGLPIKPDQPVCTYYGRFGVCKYGPSCMFNHPFNFGPPVPAAGPPLPGQYHPTPGNFTV >PAN18911 pep chromosome:PHallii_v3.1:3:15234359:15238066:-1 gene:PAHAL_3G235200 transcript:PAN18911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPWAGGRPPALARLLLLLSLLTLPAGRSGAARAGGKGSSVYPAAVVYPHHSRQISWKPRVFLYQNFLSYDEANHLISLARAELKRSAVADNMSGKSTLSEVRTSSGTFLRKGQDPIVASIEDKIAAWTFLPKENGEDIQVLRYKHGEKYEPHYDYFTDNVNTVRGGHRYATVLLYLTDVEEGGETVFPLAEEFDDGKDVTLSECSQKGIAVKPRRGDALLFFNLSPDGTTDSMSLHAGCPVIKGEKWSATKWIRVASFDKVHHTRGNCTDENESCAKWAALGECIKNPEYMVGTAALPGYCRRSCNVC >PAN16590 pep chromosome:PHallii_v3.1:3:4479642:4480147:1 gene:PAHAL_3G070500 transcript:PAN16590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLGHRRQPSVIPEDAAILQFEEVIMVDDTITESQKRSPKDDTPYVGTAKKVNNKSILVDVKGTNDKDGVKDLAAQVKTTLEIKDI >PVH61335 pep chromosome:PHallii_v3.1:3:748413:754323:-1 gene:PAHAL_3G013300 transcript:PVH61335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVSGILKVVGSKLAPLLIKEYSLIVGVQKHLEELNDQVQEINCWLEAVGDEVARNGPALNWLTKLKDIAYGVDDIVDEFQLEAERHDAHGVGGVVSNYLYAKPKSLILQCKAASKLKAVKKRFDGIVKQRTEFSTIANSLLASHPARDMNHNTANMTSLPTVDVASVLGRDQEKHQIISELVETNDQQRIKTVSIIGLGGSGKTTLAKLVFNDCSIIEKHFEVRLWVHVSQEFDVEKLIKKLFEAFADNNPGQHALPYMSKTISDKLTGKRFLLVLDDVWSESQILWEQFMVYLKVGAHGSRILVTTRSRKVAEVEGSAYQFDLPFLSLNDSWKLFQQSLVMPPEGLGIEFVDVGKNIVKKCGGVPLAIKALAGVLRGKELIGEWQAMRDSNLLHFMGEERGVSVSACLRLSYFHLSSHLKQCFTICSLFPKGHKIDKEQLIDLWIAHDMITLESGVDYLDYIGHKCFNSLVKMSFLQDVNEYYGRVTCGMHDLVHDLARSILDDEISLDVPKDPICSTKSYRYFSLTEQAQNLPPKNLFQKARSIYVDNCDDAIFGALKNARHLRSITMGPIHMEAIPIAILQVKNLKYLVISSLRCEALPEAISDIWSLQALHVTFSDLLELPKSIGKMQELRKLNLFCCRELKCLPDSIGDCQMISSIDLSYCKKLRVLPESIGRIEKLRVLRLGNTKIERLPSSITTLRNLECLDLHQCCELAELHEGIIYLDKLQVLKLTYCKELRGMPVGIGQLSRLQKLGLFVVGEGEKFAAISELGNVGWISDDLTIRGIEHVMEPDDAHKACLKQKANLRRLDLQWSACVRGEESTKLEQAVLDGLEPPPGIKKLKINRYSGRECARWMQNQAGGGVQGLPYLPFLKVMKLYDLPNLKHLDGLAELPCLEELELEYMPSLESISGGPFPSLVRLAMNGLPSLGEVCMVAEKSMPDGEEGGGCSNYTPHLGEVLRVGSCVSDVDIRGCHKLEVKPYLPMSLQRLVLHRTNEQLLQSPCECNGSSSFSGFSHLKKLLLRDITGCGRGWELLQHMTALESLDVASCSAIDTLPESLGGLRSLQLLAIESCESLCGLPQSMGRLTSLQSLEVSGCSAIRMLPGSLGELQSLQELTIMQCKSLSTLPQSMGHLISLRSLTVNSCSSISSLPNSLGELQSLQELTIRRCKTLSSLPQSVIRYWRAAGVGLPSRIHVPPQLP >PVH61307 pep chromosome:PHallii_v3.1:3:523608:524177:-1 gene:PAHAL_3G008800 transcript:PVH61307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSLPSPPPEQELLPIYSTSSDDEDDHIDDLVIATGGLQSYGDGERRRPFAVPPAPAVEFVPWLGIGGGPAPAPAASIDALPTVEVSEPGAVCAICKEELPLAAAARRLPCGHLYDSSCIVPWLEVHNSCPICRSRLPSFNNPPEPRDREVVPLPSSEQDAGSSDQLRAPPAASDEGEEEAVVLSIRV >PVH63030 pep chromosome:PHallii_v3.1:3:59230506:59231820:1 gene:PAHAL_3G456500 transcript:PVH63030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRRASDEADRTVSRLTSSRHSPRAPPPLGAMARSPASSSSSYTDSSGSSSDSGSSSSGSDRRRRHRHRSSRRKEGASSSSSSALKARKDRRSRHKRRRRERERRRSPSDDDSYSSTSSYDSNREVSGRSRKHKKSSRSRKSRERERSKDRHHKRDKSKHKEVNFLFIQKLIFLLRWFSMI >PVH63028 pep chromosome:PHallii_v3.1:3:59230480:59232886:1 gene:PAHAL_3G456500 transcript:PVH63028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRRASDEADRTVSRLTSSRHSPRAPPPLGAMARSPASSSSSYTDSSGSSSDSGSSSSGSDRRRRHRHRSSRRKEGASSSSSSALKARKDRRSRHKRRRRERERRRSPSDDDSYSSTSSYDSNREVSGRSRKHKKSSRSRKSRERERSKDRHHKRDKSKHKELGFVNG >PVH63029 pep chromosome:PHallii_v3.1:3:59230480:59232886:1 gene:PAHAL_3G456500 transcript:PVH63029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRRASDEADRTVSRLTSSRHSPRAPPPLGAMARSPASSSSSYTDSSGSSSDSGSSSSGSDRRRRHRHRSSRRKEGASSSSSSALKARKDRRSRHKRRRRERERRRSPSDDDSYSSTSSYDSNREVSGRSRKHKKSSRSRKSRERERSKDRHHKRDKSKHKEKKESGGGDGPVQLSKFLGRDKEKEEGAQRSAISGKKIMMKLEKTKEDKAAESKRNELLKFLNASYD >PAN16020 pep chromosome:PHallii_v3.1:3:1485740:1487849:1 gene:PAHAL_3G026700 transcript:PAN16020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGEGDPAIAVVVGVLCVASAVVVVGGAKGGELATQLLNGFTATHAAGAAAAFEPVLYATDGVFAFGFLRVGSASLDLAVVHLPSSFPLWRATPARLGDWSRPATLTFDSSLVLTDQDDGVLWQTLNTIGDTVVLLNSSNLVVRRYAKPLPAWQSFDHPSDTLVLDQNFTVSSPPLISSSRRFAFRLGKTYMALHMEFYGGRTTPMYWQHTALEAQPQNATEPPVYGRLDARGFFGLYLEGGGQKVDVLSFDTFVQNLTGVFRRMTLDDDGNLRAYYWTDGAKDWISDYKAIAGRCELPTSCGAYGLCVPGDAQCQCLDNSTGTSPPCHAEETADLCAANGQQLDFEVVRRKRVTVAYKEELPSETNMTAEECEVACAGNCSCWGAVYNGGSGYCYLIDFPVETLVYEADDRKLGYFKVRKLPSPKRTRMSPGVTAATAVLSLVLVGLAVAGARSGYRLWERRRRKRAGMEQELVPGPYKDLKTMGSSNNSFKQGMNQ >PVH62798 pep chromosome:PHallii_v3.1:3:51132749:51133166:-1 gene:PAHAL_3G403500 transcript:PVH62798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGEGESRQGDRGQWWGAGEGGRWRRGTQRLTVVRMASYSTSRSSAARARAGSGSRGSIWRRRRVGGAAAGRGNGGRRRGSQGGERKRRRGGREQRGRERRQQGAGKGQRGGGRGIRVWGGGGGE >PAN19889 pep chromosome:PHallii_v3.1:3:21336382:21340731:1 gene:PAHAL_3G305700 transcript:PAN19889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDVLVEIHPRELRFLFEVKKQSSCCVHLVNKSDQYVAFKVKTTSPKRYCVRPNVGVILPLASCDFTVTMQAVKNAPPDLQIKDKFLVQTTVVPFGTADEDIVPAFFSKESDRYIEEKKLKVVLVSMTQPQVEQLINGVSHAKETVGVPVTEEILDNVNEAPVVVNEVSHPLKAKFPPLRGSPATFSETSAPVKECPTVLQDFLVPSNQSSFTLSESAPNLQETSAISVESQFASTGTSADLKSPPLEYTPAPSEVPSLSDIESTNTDNIHISHVTEDVHTLQMQLNNLGVKLEEAETLIIKLMEQTRTTIQERDKLRKEMIFLKRAGAAQVQSNTGFPLLFVVYMAVVGMSLGYLLHLV >PVH62239 pep chromosome:PHallii_v3.1:3:15889925:15890542:-1 gene:PAHAL_3G245300 transcript:PVH62239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMHSKLFIFLEKMELSFLEPMDRIIHQDMDLILLEMMDFILLLIWLFFR >PAN20703 pep chromosome:PHallii_v3.1:3:41265134:41268884:-1 gene:PAHAL_3G375000 transcript:PAN20703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIACLRELCSCCCPDADSEPVSQETPSTMAPPAATAGVDDQTAAAAITHRTLEVGTGVRLHVAEAGPAGAPTVLLLHGFPELWYTWRHQMRALAAGGYRAVAPDLRGYGGSDAPAAGQYTALHVVGDLVGLMDALGEERVFVAAHDWGALTAWSLCLFRPDRVRALVALSVAYTPRSASRRPVDGLRALFGDDYYICRIQEPGAIEAEFGRLGTELVLRKFLSYRSPGPLFIPKSGWGSPDDEVPLPSWVTEEDLKYYTSEFERTGFTGGLSYYRALNKTWELTSPWTGAEINVPVKFIIGDLDLTYHSPGIQDFIHRGGFKKFVPLLDDVVVMKDVGHFINEEKPKEVSEHIISFIKKFN >PAN18980 pep chromosome:PHallii_v3.1:3:15564192:15565016:1 gene:PAHAL_3G239800 transcript:PAN18980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAALVGVVAAALLVVADASTIAVSEASTTMGLSRNASAIVGGSCNVSLDATQATLSDFHFHTHLVEDSLSALGRVQMVAPGTSCLGSMGNVLTRTTAPLQRPKAEPAAPSTLPVLPPASPPAWPFDPTGPGQRKSPPKRRQPVPVPTPPSAEPSPSPCAGAPPPPPPPPTRNGGEPSRSSAPAPHPPPPPASPSSSPPPPVRSSAGRTLRPPPPPPGPDGGGAVASADAPFSDLQRVVRAFLKAAKALCKQREARQQQQKSSCSRKKSLDA >PVH61594 pep chromosome:PHallii_v3.1:3:4556630:4560340:1 gene:PAHAL_3G071800 transcript:PVH61594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFSAMDPLGWLEYESYSPQNCYPPSKTPPCQDFLCFYNLFSRAFRIFFILSFLIVCFCIRACGAAVAVAASHEWLARASQMNHPSAQATPPCLLDIRYQQSSPHLSCRNGESAATMATRRPTPHGFPPHLAGVAAAAFLLLALALQAPLAAAAQDQPPPPPWLLCGPEPVSGKYTANSTYQANINRLAATLPRNASSAAFLYATGSVGAVPDIVYALALCRGDANASACGRCVATAFRGAQEGCPLFKDAMAFYDLCQLRVSNRNFFLDDDYIVNTYILQGPQLAAPAGARSAAGAFDATVGRLVNATADYAAENSSARFATGVVGFGDESGPRIYALAQCTPDRTVDICRTCLNTIISQLLPSYFGGRNGGGVFGVWCSFRYEVYPFFSGQPLLQLPMFVATPAAPASRRQDKSRNKTGTVLAVVMPTTGALLAITVFWFWRRRRSSDEQSFPTYSTSSDDIHGADMLLHDLSTLRVATEDFAQSKILGKGGFGMVYKGILPDGQEIAVKRLCQSSRQGIEELKSELVLVAKLHHKNLVRLIGVCLQEQQKILVYEYMPNRSLDGILFDPERNKELDWAKRFNIVNGIARGLQYLHEESQLKIVHRDLKASNILLDSNYVPKISDFGLAKIFGGDQSKYVTLRVAGTYGYMAPEYAMRGLYSIKSDVFSFGVLVLEIVTGRRNGGSYSTEQDIDLINTVWEHWTRGNAMDLVDPSLMLSESDGPPPTEQMLMCIHIGLQCVQRKPSARPSMSWVNVMLSSGTVCLPSGLSRSAFFIQEVTVSVSDTSDGDSATWPGAASGCADDDSAATHQ >PVH61595 pep chromosome:PHallii_v3.1:3:4556630:4560340:1 gene:PAHAL_3G071800 transcript:PVH61595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFSAMDPLGWLEYESYSPQNCYPPSKTPPCQDFLCFYNLFSRAFRIFFILSFLIVCFCIRACGAAVAVAASHEWLARASQMNHPSAQATPPCLLDIRYQQSSPHLSCRNGESAATMATRRPTPHGFPPHLAGVAAAAFLLLALALQAPLAAAAQDQPPPPPWLLCGPEPVSGKYTANSTYQANINRLAATLPRNASSAAFLYATGSVGAVPDIVYALALCRGDANASACGRCVATAFRGAQEGCPLFKDAMAFYDLCQLRVSNRNFFLDDDYIVNTYILQGPQLAAPAGARSAAGAFDATVGRLVNATADYAAENSSARFATGVVGFGDESGPRIYALAQCTPDRTVDICRTCLNTIISQLLPSYFGGRNGGGVFGVWCSFRYEVYPFFSGQPLLQLPMFVATPAAPASRRQDSTSSDDIHGADMLLHDLSTLRVATEDFAQSKILGKGGFGMVYKGILPDGQEIAVKRLCQSSRQGIEELKSELVLVAKLHHKNLVRLIGVCLQEQQKILVYEYMPNRSLDGILFDPERNKELDWAKRFNIVNGIARGLQYLHEESQLKIVHRDLKASNILLDSNYVPKISDFGLAKIFGGDQSKYVTLRVAGTYGYMAPEYAMRGLYSIKSDVFSFGVLVLEIVTGRRNGGSYSTEQDIDLINTVWEHWTRGNAMDLVDPSLMLSESDGPPPTEQMLMCIHIGLQCVQRKPSARPSMSWVNVMLSSGTVCLPSGLSRSAFFIQEVTVSVSDTSDGDSATWPGAASGCADDDSAATHQ >PVH61645 pep chromosome:PHallii_v3.1:3:5547093:5549246:1 gene:PAHAL_3G085500 transcript:PVH61645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESMDALTTKLEGFDAMMQKILDKVTGLEAWKTAADASMDALISKANATATHLRRLEVPPPPLQAASTAYSPPPPPAWVNPFDLNLAPRQAARPSASALERPSGHPHDSSHRDAGGGILGSHPRHPVTGGGAGISQALFHLEVRINGLFQVCQQGFLWPRES >PAN16602 pep chromosome:PHallii_v3.1:3:4502869:4507914:1 gene:PAHAL_3G070900 transcript:PAN16602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNPDAGGGAKVVVAAAQAERRVALGDLTNVAAGGRRLGGALTEKEVGEATKLKPCSTNAEWLKEKLLEKQGHRERAEMELPKLKKIEAKADKLELEFGSCKVLLSDKPDVSPYGDVHQKQALTNLDEIGEATLAKDSAESGTGEVSWLEHPLAAVSKERDKPMKDQSMLTKQETRNADDISLKDMLSGLNGMDKIFIALERTMDELISRHQDEHIFNERLSIERSKVQSLEQEIDQLHSQVALLQSKLDHGDNSASSTEVPCAVDALSVDSETKAKLNETEAKLCAVEELKGQAGGAEKQPIFIEIRDDEDTCMWYDDDEKPSLISNDSGSGEPGSELWQFTENDEWFFRGNKLMLSSGMKKHLRELCGYAPPEIPFYVYQMNKSNLKRRGRMRLSAKYISRPLLSCMDKGVGFAHFEVDGQDRGTVRVQLNADGRASLTMGWENVVAAKDIKVGDICAFHFRISDGVLKLSVHVFHAVRHFVCVR >PVH61590 pep chromosome:PHallii_v3.1:3:4503400:4507925:1 gene:PAHAL_3G070900 transcript:PVH61590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPKLKKIEAKADKLELEFGSCKVLLSDKPDVSPYGDVHQKQALTNLDEIGEATLAKDSAESGTGEVSWLEHPLAAVSKERDKPMKDQSMLTKQETRNADDISLKDMLSGLNGMDKIFIALERTMDELISRHQDEHIFNERLSIERSKVQSLEQEIDQLHSQVALLQSKLDHGDNSASSTEVPCAVDALSVDSETKAKLNETEAKLCAVEELKGQAGGAEKQPIFIEIRDDEDTCMWYDDDEKPSLISNDSGSGEPGSELWQFTENDEWFFRGNKLMLSSGMKKHLRELCGYAPPEIPFYVYQMNKSNLKRRGRMRLSAKYISRPLLSCMDKGVGFAHFEVDGQDRGTVRVQLNADGRASLTMGWENVVAAKDIKVGDICAFHFRISDGVLKLSVHVFHAVRHFVCVR >PVH61589 pep chromosome:PHallii_v3.1:3:4502869:4507914:1 gene:PAHAL_3G070900 transcript:PVH61589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNPDAGGGAKVVVAAAQAERRVALGDLTNVAAGGRRLGGALTEKEVGEATKLKPCSTNAEWLKEKLLEKQGHRERAEMELPKLKKIEAKADKLELEFGSCKVLLSDKPDVSPYGDVHQKQALTNLDEIGEATLAKDSAESGTGEVSWLEHPLAAVSKERDKPMKDQSMLTKQETRNADDISLKDMLSGLNGMDKIFIALERTMDELISRHQDEHIFNERLSIERSKVQSLEQEIDQLHSQVALLQSKLDHGDNSASSTEVPCAVDALSVDSETKAKLNETEAKLCAVEELKGQAEKQPIFIEIRDDEDTCMWYDDDEKPSLISNDSGSGEPGSELWQFTENDEWFFRGNKLMLSSGMKKHLRELCGYAPPEIPFYVYQMNKSNLKRRGRMRLSAKYISRPLLSCMDKGVGFAHFEVDGQDRGTVRVQLNADGRASLTMGWENVVAAKDIKVGDICAFHFRISDGVLKLSVHVFHAVRHFVCVR >PAN16603 pep chromosome:PHallii_v3.1:3:4504089:4507925:1 gene:PAHAL_3G070900 transcript:PAN16603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPKLKKIEAKADKLELEFGSCKVLLSDKPDVSPYGDVHQKQALTNLDEIGEATLAKDSAESGTGEVSWLEHPLAAVSKERDKPMKDQSMLTKQETRNADDISLKDMLSGLNGMDKIFIALERTMDELISRHQDEHIFNERLSIERSKVQSLEQEIDQLHSQVALLQSKLDHGDNSASSTEVPCAVDALSVDSETKAKLNETEAKLCAVEELKGQAGGAEKQPIFIEIRDDEDTCMWYDDDEKPSLISNDSGSGEPGSELWQFTENDEWFFRGNKLMLSSGMKKHLRELCGYAPPEIPFYVYQMNKSNLKRRGRMRLSAKYISRPLLSCMDKGVGFAHFEVDGQDRGTVRVQLNADGRASLTMGWENVVAAKDIKVGDICAFHFRISDGVLKLSVHVFHAVRHFVCVR >PAN21423 pep chromosome:PHallii_v3.1:3:60079535:60082102:1 gene:PAHAL_3G464100 transcript:PAN21423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGYVVGVLGGAILAHAAYATIQYRAVLKITEEEFTRPPMDVMMELLLGLALCMWAGLAVPAKFLSVLPHSEENRIVSLPANLDFMIFNHRGRALPSDPDLKLKK >PVH61922 pep chromosome:PHallii_v3.1:3:10175595:10181630:-1 gene:PAHAL_3G158300 transcript:PVH61922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPVQLLLALAAVAAAFACAGADPPQQERSALQAFLAGTPHERALGWNASVPACAWTGVRCDAANATVVELRLPGIGLIGSVPLGTLGGLRGLQVLSLRDNRLLGDIPSDLFGLPRLRSLYLQGNLLTGAIPAEVGRLASLEHLALSRNSLTGAVPSALNNLTRLRSLRLDGNRLSGSLPSLSFRQLEVFNVSYNDLNGSIPSSLARFSPESFAGNVGLCGPPLVDQPCQPSPPGVPVKSSKKKRKLSGAAVVAIAVGAGAAALLALVLLALCAVHRHRRAATGEEEVKTTPPTRGLTPSTASGEMTGGDFTSSSKDISVAAAAAAGGAAERSRLVFVGKQGPGQHHYSFDLEDLLRASAEVLGKGSLGTSYKAVLEEGTTVVVKRLRDVAVARREFAACVEAAAGAAAEHRNLVPLRGYYYSKDEKLLVVDYLPGGSLSARLHGSRGTGRTPMDWEARMRTALCAARGVAHLHTAHSLAHGNVKSSNLLLRPDPDAAALSDYCLHQIFPPAPARPGGSGGGYRAPELVDARRPTFRSDVYSLGVLFLELLTCKSPAHHASLEGGDGAVDLPRWVQSVVREEWTAEVFDAELVRMGGSAEEEMVALLQVAMACVSTAPDARPDAPDVVRMIEEISGGHGRTTTEESEGTRGASEEERSRGPTP >PVH62142 pep chromosome:PHallii_v3.1:3:14001622:14004918:1 gene:PAHAL_3G215300 transcript:PVH62142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERASGGGGVARVEGTCGSCRRGKMKAAPKLLHFLSWRALAALPAPPTPPPPQHLVTPPAASTPPPPSRSPRHQPLLYGPVTLQPTSASATSPRYFRNRIAPPPPTPASAPAPNDPRNPAVPQPTSASAPPPRYFRNPTAPPPPTPASAPPAIYHRIRAAPLPPTLASAPPTPPSKLYRATGAGIDRRAKYPVSHASNFVCATAPGQTHRRLPCMGPDLREPHRGNIFVALAGTHLRDAAHHAVAILTVLLHLHGRRSG >PAN17224 pep chromosome:PHallii_v3.1:3:7309258:7313587:-1 gene:PAHAL_3G114600 transcript:PAN17224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDLSLLAVTAASPVVLPPSKDLHGVLPFQGKRPQDAAAQLCAPLQHPQHHLEGLPVQMMVPGGHQGQALPAAYQAFAMPDAATLIDVQDSHPDSVRLSLGIAEQCARQEKILKFLMSGADVKELDESLLAEFTGQQILAINLGSQPYIPDDKLTICEFGLDLDEPQQYLPEKQLLIPDPLMDFVQSHGSALTIDQNGRILFAGHGDEMRDLLSLLLEFNMSKRETSGCKTAFLVPYFGRKRRSRANSQVSNPNLASTAADVSKSAEVKSKSSSKKKQRGKNIKERELYQRNYIHASEAFLSILLDKDKSSSTILSLKKAGPEITELLTQCSIGIAGTGLAILLSVMCKMATGMRTPFASARLLSTSVGFGLFWLSWAVNGLRDTIASIFRSPSNMNIEEDEVAVRIQKSMNEILFRAITLLAITALKFA >PAN17529 pep chromosome:PHallii_v3.1:3:8895242:8898983:1 gene:PAHAL_3G138500 transcript:PAN17529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVVSERESQTDSHPLLMEHVIGLPRDDVPSSSTPRRDNHDGMDQLPLDSESSSGTTAASNSPNAPVARRDDNRGPRRQSPLNSGFWISVELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLHSNHLATVQESTNQNYIPNNIPESNSFAEFSAPRVSEAGVVTGTNGDSRNNVVTVNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGRSSAHEAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGDGNEGGSGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSMTAPAMGFGRRHSDNRVGNDIESQQ >PAN17533 pep chromosome:PHallii_v3.1:3:8895242:8898984:1 gene:PAHAL_3G138500 transcript:PAN17533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVVSERESQTDSHPLLMEHVIGLPRDDVPSSSTPRRDNHDGMDQLPLDSESSSGTTAASNSPNAPVARRDDNRGPRRQSPLNSGFWISVELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLHSNHLATVQESTNQNYIPNNIPESNSFAEFSAPRVSEAGVVTGTNGDSRNNVVTVNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGRSSAHEAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGDGNEGGSGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSMTAPAMGFGRRHSDNRVGNDIESQQ >PVH61839 pep chromosome:PHallii_v3.1:3:8895242:8899002:1 gene:PAHAL_3G138500 transcript:PVH61839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVVSERESQTDSHPLLMEHVIGLPRDDVPSSSTPRRDNHDGMDQLPLDSESSSGTTAASNSPNAPVARRDDNRGPRRQSPLNSGFWISVELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLHSNHLATVQESTNQNYIPNNIPESNSFAEFSAPRVSEAGVVTGTNGDSRNNVVTVNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGRSSAHEAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGDGNEGGSGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSMTAPAMGFGRRHSDNRVGNDIESQQ >PAN17528 pep chromosome:PHallii_v3.1:3:8895242:8898984:1 gene:PAHAL_3G138500 transcript:PAN17528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVVSERESQTDSHPLLMEHVIGLPRDDVPSSSTPRRDNHDGMDQLPLDSESSSGTTAASNSPNAPVARRDDNRGPRRQSPLNSGFWISVELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLHSNHLATVQESTNQNYIPNNIPESNSFAEFSAPRVSEAGVVTGTNGDSRNNVVTVNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGRSSAHEAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGDGNEGGSGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSMTAPAMGFGRRHSDNRVGNDIESQQ >PAN17532 pep chromosome:PHallii_v3.1:3:8895242:8898983:1 gene:PAHAL_3G138500 transcript:PAN17532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVVSERESQTDSHPLLMEHVIGLPRDDVPSSSTPRRDNHDGMDQLPLDSESSSGTTAASNSPNAPVARRDDNRGPRRQSPLNSGFWISVELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLHSNHLATVQESTNQNYIPNNIPESNSFAEFSAPRVSEAGVVTGTNGDSRNNVVTVNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGRSSAHEAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGDGNEGGSGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSMTAPAMGFGRRHSDNRVGNDIESQQ >PAN17530 pep chromosome:PHallii_v3.1:3:8895242:8899002:1 gene:PAHAL_3G138500 transcript:PAN17530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVVSERESQTDSHPLLMEHVIGLPRDDVPSSSTPRRDNHDGMDQLPLDSESSSGTTAASNSPNAPVARRDDNRGPRRQSPLNSGFWISVELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLHSNHLATVQESTNQNYIPNNIPESNSFAEFSAPRVSEAGVVTGTNGDSRNNVVTVNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGRSSAHEAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGDGNEGGSGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSMTAPAMGFGRRHSDNRVGNDIESQQ >PAN17531 pep chromosome:PHallii_v3.1:3:8895242:8899003:1 gene:PAHAL_3G138500 transcript:PAN17531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVVSERESQTDSHPLLMEHVIGLPRDDVPSSSTPRRDNHDGMDQLPLDSESSSGTTAASNSPNAPVARRDDNRGPRRQSPLNSGFWISVELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLHSNHLATVQESTNQNYIPNNIPESNSFAEFSAPRVSEAGVVTGTNGDSRNNVVTVNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGRSSAHEAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGDGNEGGSGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSMTAPAMGFGRRHSDNRVGNDIESQQ >PVH61840 pep chromosome:PHallii_v3.1:3:8895242:8898983:1 gene:PAHAL_3G138500 transcript:PVH61840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVVSERESQTDSHPLLMEHVIGLPRDDVPSSSTPRRDNHDGMDQLPLDSESSSGTTAASNSPNAPVARRDDNRGPRRQSPLNSGFWISVELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLHSNHLATVQESTNQNYIPNNIPESNSFAEFSAPRVSEAGVVTGTNGDSRNNVVTVNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGRSSAHEAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGDGNEGGSGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSMTAPAMGFGRRHSDNRVGNDIESQQ >PAN18288 pep chromosome:PHallii_v3.1:3:12571906:12575548:1 gene:PAHAL_3G191900 transcript:PAN18288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPIRLGPPHPYLKTHGSKVARLHLFDWVVLALLVAIDVGLNLIEPFHRFVGEDMMTDLRYPLKSNTVPVWAVPIYAVIGPIIIIVGIYMKRRNVYDMHHAILGLLFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGVPKFNNITTQVICHGDPAVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIKAFDRRGHVAKLCIVLLPLLLAAMVAVSRVSDYWHHWQDVFAGGILGLVVASFCYLQFFPPPSGDPGFWPHAYFEHILNPEGEGQVQSTTNSNHRRSLSGGPVAMEMGNTNQELGSMEEGRPAG >PAN18287 pep chromosome:PHallii_v3.1:3:12571906:12575548:1 gene:PAHAL_3G191900 transcript:PAN18287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPIRLGPPHPYLKTHGSKVARLHLFDWVVLALLVAIDVGLNLIEPFHRFVGEDMMTDLRYPLKSNTVPVWAVPIYAVIGPIIIIVGIYMKRRNVYDMHHAILGLLFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGVPKFNNITTQVICHGDPAVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIKAFDRRGHVAKLCIVLLPLLLAAMVAVSRVSDYWHHWQDVFAGGILGLVVASFCYLQFFPPPSGDPGFWPHAYFEHILNPEGEGQVQSTTNSNHRRSLSGGPVAMEMGNTNQELGSMEEGRPAG >PAN18286 pep chromosome:PHallii_v3.1:3:12571906:12575642:1 gene:PAHAL_3G191900 transcript:PAN18286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPIRLGPPHPYLKTHGSKVARLHLFDWVVLALLVAIDVGLNLIEPFHRFVGEDMMTDLRYPLKSNTVPVWAVPIYAVIGPIIIIVGIYMKRRNVYDMHHAILGLLFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGVPKFNNITTQVICHGDPAVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIKAFDRRGHVAKLCIVLLPLLLAAMVAVSRVSDYWHHWQDVFAGGILGLVVASFCYLQFFPPPSGDPGFWPHAYFEHILNPEGEGQVQSTTNSNHRRSLSGGPVAMEMGNTNQELGSMEEGRPAG >PAN19089 pep chromosome:PHallii_v3.1:3:16112888:16113511:1 gene:PAHAL_3G248600 transcript:PAN19089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGARLRVRRSSEPESKPLVFRMERMGELSRACGRDLHAISEISLVLVTARAARTERDDLDQHLALGTRRPARSHG >PAN17508 pep chromosome:PHallii_v3.1:3:8808086:8811839:1 gene:PAHAL_3G136800 transcript:PAN17508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCVIHQNHRMRVVAIAALHLLQLQSITPVLLADTNLALPGCISKCGEVRVPYPFGVGAGCYRQGFKLTCNETYNPPKLFLELDNTRAEVLDISLHHGKLYVDNGIVSLTGSNRYNMTWGIPLDESIFTVSPFWNNFVIMGCGFEFLVSLPHVNDTVVRCTSSCLRGRPVVATDGLCSGVGCCEASMPGAGNMYSIELASYTASNDLARQGQPFNATLVMVENEWWDKDNHSMLLQKAVSDGLVTSWDISSSAGPVQTMAVVKWNFSNLSCADAWSSSDFGCRSSNSYCHDHWTGESSGYICRCSNGYEGNPYIPNGCQDIDECTDPDKYECFGHCINTDGSYNCICPRGTSGNPQKPHGCIKAAEKFSGLAVATGFGSGACLLLLTFSAILLRRKLRAQKAKQLRDFFFRKNRGLLLQQLVDKDIAERMIFSLEELEKATNTFDEDRKIGKGGHGTVYKGILSDQRVVAIKRSMRAIQSETDNFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYEHLHVCSSLSLPWRERLRIALEVARSLAYLHSAASMSIIHRDIKATNILLDDNLTAKVSDFGASRGIPIDQTRVTTAIQGTFGYLDPECYNTRQLTEKSDVYSFGVMLLELLTREKPHIYMSPSGCSLVEQFLLLQNQDKLSEILDPQVTKEGDEDAREVAEVAAMCISPSGEDRPTMKQVEMRLEVLQNASTNIGNDPRTEEHIVNILSAGQKSGSTDGSRRFSMEREILLSMDFPR >PVH62250 pep chromosome:PHallii_v3.1:3:16096942:16106752:1 gene:PAHAL_3G248400 transcript:PVH62250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVAGAAAGSDAPHAEVVSMGQINPSPCPPLSSSHQPWSSASGSVTVSWNNQVDKASQDTVYYDPQRDVSVAGGNQNVGSSAPHAAQSSMGMTDASHSHVPYSSSVQHSYNPVEYANYYYSYPQAANDSSVQQGANQHPGAAYQPLTSFQNSGSYIDPTSNTYYNAGGHQTVPGYGSGSYYYQNNTWDSGSSGNNYAQSYQNYSSSDTNALQSSTSMPANSVPYQQQYNQWPYYYNQSVPSASSNPVTGKSTTDNVAVNTPAGYSYPSSQPPPPGTTSWKINSVASVAPPMQAPGVSGPQNQYANQAQDHANQAPGVPWSQNHYAYQAQAYPQKTMNSNHAPLINPEDPQRTVDPIGRSSNTLSNHVSENFQPNLQGSMTTNTSSESKIQIPTNPRIAPGFSMVIPKSEKKNLGPDLSKKPAYVSVSMPTNDAKATQVGPDARSMPFSLRNYTIRNLNRCKDDAQRAACRSIMEEITRKAIADGTLLTKNWDTEPLLPLPESVAGTTEASANHSSLFSSTPTPRKRVKSRWEPAVDEKVTNKVEQIAKGLVNSNIHNSFEPKNRTGSSWDHGKFLQSHQAPLNKVNQRPAKKQKFTSNPSQVQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFESSQDSSLKSRNSSANKDAIANMHRRRAVSSHLGRTYEEGTRAVEDMDWDALTIKGTCQEIEKKYLRLTSAPDPSIVRPEEVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHAAVLSGNYVLFFKLYKKAPNLNSCLMDLHVERMRFEAMKCMSRSYRPTVPVGYVAQILGFLRTDSEGCTADGDDGIEECEKWLKAHGTVLSGDNGGELQIDMKASSATLYMPEPENAVAHGDASLAVDDFLARTS >PVH62248 pep chromosome:PHallii_v3.1:3:16096915:16106755:1 gene:PAHAL_3G248400 transcript:PVH62248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIIIATHKLQMILLSSKEQTNIQTVPGYGSGSYYYQNNTWDSGSSGNNYAQSYQNYSSSDTNALQSSTSMPANSVPYQQQYNQWPYYYNQSVPSASSNPVTGKSTTDNVAVNTPAGYSYPSSQPPPPGTTSWKINSVASVAPPMQAPGVSGPQNQYANQAQDHANQAPGVPWSQNHYAYQAQAYPQKTMNSNHAPLINPEDPQRTVDPIGRSSNTLSNHVSENFQPNLQGSMTTNTSSESKIQIPTNPRIAPGFSMVIPKSEKKNLGPDLSKKPAYVSVSMPTNDAKATQVGPDARSMPFSLRNYTIRNLNRCKDDAQRAACRSIMEEITRKAIADGTLLTKNWDTEPLLPLPESVAGTTEASANHSSLFSSTPTPRKRVKSRWEPAVDEKVTNKVEQIAKGLVNSNIHNSFEPKNRTGSSWDHGKFLQSHQAPLNKVNQRPAKKQKFTSNPSQVQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFESSQDSSLKSRNSSANKDAIANMHRRRAVSSHLGRTYEEGTRAVEDMDWDALTIKGTCQEIEKKYLRLTSAPDPSIVRPEEVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHAAVLSGNYVLFFKLYKKAPNLNSCLMDLHVERMRFEAMKCMSRSYRPTVPVGYVAQILGFLRTDSEGCTADGDDGIEECEKWLKAHGTVLSGDNGGELQIDMKASSATLYMPEPENAVAHGDASLAVDDFLARTS >PAN19086 pep chromosome:PHallii_v3.1:3:16096915:16106776:1 gene:PAHAL_3G248400 transcript:PAN19086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIIIATHKLQMILLSSKEQTNIQTVPGYGSGSYYYQNNTWDSGSSGNNYAQSYQNYSSSDTNALQSSTSMPANSVPYQQQYNQWPYYYNQSVPSASSNPVTGKSTTDNVAVNTPAGYSYPSSQPPPPGTTSWKINSVASVAPPMQAPGVSGPQNQYANQAQDHANQAPGVPWSQNHYAYQAQAYPQKTMNSNHAPLINPEDPQRTVDPIGRSSNTLSNHVSENFQPNLQGSMTTNTSSESKIQIPTNPRIAPGFSMVIPKSEKKNLGPDLSKKPAYVSVSMPTNDAKATQVGPDARSMPFSLRNYTIRNLNRCKDDAQRAACRSIMEEITRKAIADGTLLTKNWDTEPLLPLPESVAGTTEASSANHSSLFSSTPTPRKRVKSRWEPAVDEKVTNKVEQIAKGLVNSNIHNSFEPKNRTGSSWDHGKFLQSHQAPLNKVNQRPAKKQKFTSNPSQVQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFESSQDSSLKSRNSSANKDAIANMHRRRAVSSHLGRTYEEGTRAVEDMDWDALTIKGTCQEIEKKYLRLTSAPDPSIVRPEEVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHAAVLSGNYVLFFKLYKKAPNLNSCLMDLHVERMRFEAMKCMSRSYRPTVPVGYVAQILGFLRTDSEGCTADGDDGIEECEKWLKAHGTVLSGDNGGELQIDMKASSATLYMPEPENAVAHGDASLAVDDFLARTS >PAN19085 pep chromosome:PHallii_v3.1:3:16096942:16106752:1 gene:PAHAL_3G248400 transcript:PAN19085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIIIATHKLQMILLSSKEQTNIQTVPGYGSGSYYYQNNTWDSGSSGNNYAQSYQNYSSSDTNALQSSTSMPANSVPYQQQYNQWPYYYNQSVPSASSNPVTGKSTTDNVAVNTPAGYSYPSSQPPPPGTTSWKINSVASVAPPMQAPGVSGPQNQYANQAQDHANQAPGVPWSQNHYAYQAQAYPQKTMNSNHAPLINPEDPQRTVDPIGRSSNTLSNHVSENFQPNLQGSMTTNTSSESKIQIPTNPRIAPGFSMVIPKSEKKNLGPDLSKKPAYVSVSMPTNDAKATQRSMPFSLRNYTIRNLNRCKDDAQRAACRSIMEEITRKAIADGTLLTKNWDTEPLLPLPESVAGTTEASSANHSSLFSSTPTPRKRVKSRWEPAVDEKVTNKVEQIAKGLVNSNIHNSFEPKNRTGSSWDHGKFLQSHQAPLNKVNQRPAKKQKFTSNPSQVQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFESSQDSSLKSRNSSANKDAIANMHRRRAVSSHLGRTYEEGTRAVEDMDWDALTIKGTCQEIEKKYLRLTSAPDPSIVRPEEVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHAAVLSGNYVLFFKLYKKAPNLNSCLMDLHVERMRFEAMKCMSRSYRPTVPVGYVAQILGFLRTDSEGCTADGDDGIEECEKWLKAHGTVLSGDNGGELQIDMKASSATLYMPEPENAVAHGDASLAVDDFLARTS >PVH62249 pep chromosome:PHallii_v3.1:3:16096942:16106752:1 gene:PAHAL_3G248400 transcript:PVH62249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIIIATHKLQMILLSSKEQTNIQTVPGYGSGSYYYQNNTWDSGSSGNNYAQSYQNYSSSDTNALQSSTSMPANSVPYQQQYNQWPYYYNQSVPSASSNPVTGKSTTDNVAVNTPAGYSYPSSQPPPPGTTSWKINSVASVAPPMQAPGVSGPQNQYANQAQDHANQAPGVPWSQNHYAYQAQAYPQKTMNSNHAPLINPEDPQRTVDPIGRSSNTLSNHVSENFQPNLQGSMTTNTSSESKIQIPTNPRIAPGFSMVIPKSEKKNLGPDLSKKPAYVSVSMPTNDAKATQRSMPFSLRNYTIRNLNRCKDDAQRAACRSIMEEITRKAIADGTLLTKNWDTEPLLPLPESVAGTTEASANHSSLFSSTPTPRKRVKSRWEPAVDEKVTNKVEQIAKGLVNSNIHNSFEPKNRTGSSWDHGKFLQSHQAPLNKVNQRPAKKQKFTSNPSQVQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFESSQDSSLKSRNSSANKDAIANMHRRRAVSSHLGRTYEEGTRAVEDMDWDALTIKGTCQEIEKKYLRLTSAPDPSIVRPEEVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHAAVLSGNYVLFFKLYKKAPNLNSCLMDLHVERMRFEAMKCMSRSYRPTVPVGYVAQILGFLRTDSEGCTADGDDGIEECEKWLKAHGTVLSGDNGGELQIDMKASSATLYMPEPENAVAHGDASLAVDDFLARTS >PAN19087 pep chromosome:PHallii_v3.1:3:16096942:16106752:1 gene:PAHAL_3G248400 transcript:PAN19087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVAGAAAGSDAPHAEVVSMGQINPSPCPPLSSSHQPWSSASGSVTVSWNNQVDKASQDTVYYDPQRDVSVAGGNQNVGSSAPHAAQSSMGMTDASHSHVPYSSSVQHSYNPVEYANYYYSYPQAANDSSVQQGANQHPGAAYQPLTSFQNSGSYIDPTSNTYYNAGGHQTVPGYGSGSYYYQNNTWDSGSSGNNYAQSYQNYSSSDTNALQSSTSMPANSVPYQQQYNQWPYYYNQSVPSASSNPVTGKSTTDNVAVNTPAGYSYPSSQPPPPGTTSWKINSVASVAPPMQAPGVSGPQNQYANQAQDHANQAPGVPWSQNHYAYQAQAYPQKTMNSNHAPLINPEDPQRTVDPIGRSSNTLSNHVSENFQPNLQGSMTTNTSSESKIQIPTNPRIAPGFSMVIPKSEKKNLGPDLSKKPAYVSVSMPTNDAKATQVGPDARSMPFSLRNYTIRNLNRCKDDAQRAACRSIMEEITRKAIADGTLLTKNWDTEPLLPLPESVAGTTEASSANHSSLFSSTPTPRKRVKSRWEPAVDEKVTNKVEQIAKGLVNSNIHNSFEPKNRTGSSWDHGKFLQSHQAPLNKVNQRPAKKQKFTSNPSQVQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFESSQDSSLKSRNSSANKDAIANMHRRRAVSSHLGRTYEEGTRAVEDMDWDALTIKGTCQEIEKKYLRLTSAPDPSIVRPEEVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHAAVLSGNYVLFFKLYKKAPNLNSCLMDLHVERMRFEAMKCMSRSYRPTVPVGYVAQILGFLRTDSEGCTADGDDGIEECEKWLKAHGTVLSGDNGGELQIDMKASSATLYMPEPENAVAHGDASLAVDDFLARTS >PAN15761 pep chromosome:PHallii_v3.1:3:387864:390675:1 gene:PAHAL_3G006200 transcript:PAN15761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAPLFLLLLLLLVVSVPAQAKDADNGPVYKEQISSTKTPVHRKSSSPICSACENFTTHALSYLNEKQTQDKIMEFLHDACSQSFSLKQKCVEFMDSYATILFSKITEINPKEFCKQYGLCRDIALFSGVTSDNTCVFCHHLLDEIVSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDVCALLQACPASKRTVNSDLEGVLLSDA >PAN15762 pep chromosome:PHallii_v3.1:3:387864:390675:1 gene:PAHAL_3G006200 transcript:PAN15762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAPLFLLLLLLLVVSVPAQAKDADNGPVYKEQISSTKTPVHRKSSSPICSACENFTTHALSYLNEKQTQDKIMEFLHDACSQSFSLKQKCVEFMDSYATILFSKITEINPKEFCKQYGLCRDIALFSGVTSDNTCVFCHHLLDEIVSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDVCALLQACPASKRTVNSDLEGVLLSDA >PVH61394 pep chromosome:PHallii_v3.1:3:1295214:1301501:-1 gene:PAHAL_3G023800 transcript:PVH61394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRARLSLISRQPNTCGLHRESLAEPWYNQSARKHENPVVPCSVWSRLQKPANPRGHIDKRSLQHRRAAVTRCPPASSHLRAVPVPPVRLYRFPTAGPPCPRLSASGRGAVRRRRRLSSLVVPFASSAAIANSEQGYRKGEKMSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDAKSRSTKEDQAVSFRTATAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMEEGVNNDIPTTLHRSKADCPIPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDLKGKNNLVSGDYDEAVKPSQTNGSALKHQSQKDMPPPPPPPQNNNFNGNEKQSAPVARADDDDIFVGDGVDYSVPNKEMSQSPVSEDMDESPHNHQKQTYFTEPKYGPVPPSEPAQAWQQPNGYDAVQAQMAAAGYQGDWSGYVYAEQQLAYPEQYVQQSTQEYDVLADPSISQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDARERDPNFISDSYSECYPGYQEYNNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKHNKDQKLTNDLHKINKILARKKGEKDGADDGGHYDDDLPSAKKQRG >PVH61395 pep chromosome:PHallii_v3.1:3:1295542:1301474:-1 gene:PAHAL_3G023800 transcript:PVH61395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRARLSLISRQPNTCGLHRESLAEPWYNQSARKHENPVVPCSVWSRLQKPANPRGHIDKRSLQHRRAAVTRCPPASSHLRAVPVPPVRLYRFPTAGPPCPRLSASGRGAVRRRRRLSSLVVPFASSAAIANSEQGYRKGEKMSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDAKSRSTKEDQAVSFRTATAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMEEGVNNDIPTTLHRSKADCPIPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDLKAGKNNLVSGDYDEAVKPSQTNGSALKHQSQKDMPPPPPPPQNNNFNGNEKQSAPVARADDDDIFVGDGVDYSVPNKEMSQSPVSEDMDESPHNHQKQTYFTEPKYGPVPPSEPAQAWQQPNGYDAVQAQMAAAGYQGDWSGYVYAEQQLAYPEQYVQQSTQEYDVLADPSISQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDARERDPNFISDSYSECYPGYQEYNNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKHNKDQKLTNDLHKINKILARKKGEKDGADDGGHYDDDLPSAKKQRG >PAN20232 pep chromosome:PHallii_v3.1:3:36263107:36266352:-1 gene:PAHAL_3G358600 transcript:PAN20232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVQDGHYDSTSQSTDSLRVEPIYESFLCPLTKQVMRDPVTIDSGVTFEREAILKWLNECLSSGRRLVCPVTKKELSSTDLNPSIALRNTIDEWMNRNEAAKLDVAHKSLTSDSTESDILQALQYVDEICQRSRSSRQVVRRDGLISMIAELLKNSSTKVRQKALETLRSIAKDDDDNKVEIAAGDNIRTIVKFLNHGQTQEKEKAVSLLYELSENKALSERIGSVSGAILILVGLSSSKVENLLIVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSPDMQLSMAAYLGELVLSNDVKVLVAQTAGSTLVNIMKNGNREAREAALKALNQISSYDASAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSANILANVVASGARFESIPLDHNRQTLVSEDIVHNLLHLISNTGPAIECKLLQVLVGLTDSSTSVQNIVDAIKSSGAIVSLIQFVEAPQREVRMNSIKLLNNISPYMGQELADAFRGNFSQLSSLVRVIADNNGVSEEQAAAAGLVADLPMRDSVLTRRLLQDGAFATIISKVIRIRQGEIRGGRFVNPFLEGLVRIVSRITFVLDDNPDIIDVAREYNLTALFTDLLQMNGLDTVQIVSATALEKLSYQSKHLTKVLPAPNPGLCFSIFPCLSQKTVATGVCRVHCGICSARESFCLLEGKAVEKLVACLDNNNEKVVEAALAALSTLLDDGVDIDQGVMVLCDAEGINPILEVLCENRNEALRQRAVWAVERILRMDEIAYEISGNQNVGTALVEAFRHGDYRTRQVAERALKHVDKLPNFSGIFSKMGAQ >PVH62649 pep chromosome:PHallii_v3.1:3:36262720:36267394:-1 gene:PAHAL_3G358600 transcript:PVH62649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVQDGHYDSTSQSTDSLRVEPIYESFLCPLTKQVMRDPVTIDSGVTFEREAILKWLNECLSSGRRLVCPVTKKELSSTDLNPSIALRNTIDEWMNRNEAAKLDVAHKSLTSDSTESDILQALQYVDEICQRSRSSRQVVRRDGLISMIAELLKNSSTKVRQKALETLRSIAKDDDDNKVEIAAGDNIRTIVKFLNHGQTQEKEKAVSLLYELSENKALSERIGSVSGAILILVGLSSSKVENLLIVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSPDMQLSMAAYLGELVLSNDVKVLVAQTAGSTLVNIMKNGNREAREAALKALNQISSYDASAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSANILANVVASGARFESIPLDHNRQTLVSEDIVHNLLHLISNTGPAIECKLLQVLVGLTDSSTSVQNIVDAIKSSGAIVSLIQFVEAPQREVRMNSIKLLNNISPYMGQELADAFRGNFSQLSSLVRVIADNNGVSEEQAAAAGLVADLPMRDSVLTRRLLQDGAFATIISKVIRIRQGEIRGGRFVNPFLEGLVRIVSRITFVLDDNPDIIDVAREYNLTALFTDLLQMNGLDTVQIVSATALEKLSYQSKHLTKGEFLSLGGEGSGEVGCLLG >PVH63239 pep chromosome:PHallii_v3.1:3:63262975:63264145:1 gene:PAHAL_3G502800 transcript:PVH63239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRERWRRRNRRSPPFLALRRAPPEAGALEAGPDLLLDLGELVVLGRPVAEVHGVAVPVLGHRRERPGGGVRGDELVHLLPLGLRGAGRAPAPPPGADGAAAERGGHAHRRENDELHHGAGEAAAHGGALRRRPARHLPAPGLLPRAPPLALPPRLHGRGPTQPTLLLLPRRGGRRHRLRRRRAPPVPARLLMVVRPWLGHRPRSRAAARRRRRRRRRQRPRRGAITGL >PAN21469 pep chromosome:PHallii_v3.1:3:60392390:60393589:1 gene:PAHAL_3G469100 transcript:PAN21469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGSCNCGSSCGCGGGCGKMYPDLAEKSAAAAPATATMVLGVAPEKGGLEEGAEKAAESGETGHGCSCGSGCKCSPCNC >PAN16104 pep chromosome:PHallii_v3.1:3:2349372:2354327:-1 gene:PAHAL_3G036400 transcript:PAN16104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTGPGLPEGMEALSRQASALREALGRSEENTQGMVAALGSFDSRVSAIEASIRPAQVRTQAITMAHENIDRTIENAEAILAQFDIVRRAEAVILRGPHENLKIFLEAVDLLKGVVNFFSLNKNFKSCEGVLNQVNNLLTKSALKIEEEFRQLMGTYSKPIEPNHLFDCLLKHLMVSRRDSEAVGEQPSKSFETAIHPTLIPSGILPLLHDIACQLVQDGNQQSCYRIYRDARGSALELSLRNLGIEKLSKDDVERMQRVALQVNIGTWTQCMQITVKVLLAGERKICNQIFDGITFNKEQCFAELAKGSVMTLLSFGDTVARSKRSHENLFILLEMYGVMHELQSEVEVIFQGKFCSEMREAALNLTKSLAQVAQEILVDFEDAVVKDSSKTNMQNGTVHPFTFEVIKYVKSLLDYQSTLKILFQQPETGSETESQLAVVIMKIMQALQNNLNGKSKQYKDPALSHIFLMNNLHYMVTSVRRSQAKDILGDDWIQRHRKIVQQNANQYKRVAWARILQTLTIQATGGTGSSAPSDVSSSGVSRSMIKERFKSFNAQFEELHAIQSQWTIPDQELRDNLRLAVAEVFLPAYRSFINRFGNLVQREKNPHKHIKHSPEALEQLLGQFFQGQQVGEQKH >PAN16107 pep chromosome:PHallii_v3.1:3:2349121:2354512:-1 gene:PAHAL_3G036400 transcript:PAN16107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTGPGLPEGMEALSRQASALREALGRSEENTQGMVAALGSFDSRVSAIEASIRPAQVRTQAITMAHENIDRTIENAEAILAQFDIVRRAEAVILRGPHENLKIFLEAVDLLKGVVNFFSLNKNFKSCEGVLNQVNNLLTKSALKIEEEFRQLMGTYSKPIEPNHLFDCLLKHLMVSRRDSEAVGEQPSKSFETAIHPTLIPSGILPLLHDIACQLVQDGNQQSCYRIYRDARGSALELSLRNLGIEKLSKDDVERMQRVALQVNIGTWTQCMQITVKVLLAGERKICNQIFDGITFNKEQCFAELAKGSVMTLLSFGDTVARSKRSHENLFILLEMYGVMHELQSEVEVIFQGKFCSEMREAALNLTKSLAQVAQEILVDFEDAVVKDSSKTNMQNGTVHPFTFEVIKYVKSLLDYQSTLKILFQQPETGSETESQLAVVIMKIMQALQNNLNGKSKQYKDPALSHIFLMNNLHYMVTSVRRSQAKDILGDDWIQRHRKIVQQNANQYKRVAWARTLTIQATGGTGSSAPSDVSSSGVSRSMIKERFKSFNAQFEELHAIQSQWTIPDQELRDNLRLAVAEVFLPAYRSFINRFGNLVQREKNPHKHIKHSPEALEQLLGQFFQGQQVGEQKH >PAN16108 pep chromosome:PHallii_v3.1:3:2349121:2354511:-1 gene:PAHAL_3G036400 transcript:PAN16108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTGPGLPEGMEALSRQASALREALGRSEENTQGMVAALGSFDSRVSAIEASIRPAQVRTQAITMAHENIDRTIENAEAILAQFDIVRRAEAVILRGPHENLKIFLEAVDLLKGVVNFFSLNKNFKSCEGVLNQVNNLLTKSALKIEEEFRQLMGTYSKPIEPNHLFDCLLKHLMVSRRDSEAVGEQPSKSFETAIHPTLIPSGILPLLHDIACQLVQDGNQQSCYRIYRDARGSALELSLRNLGIEKLSKDDVERMQRVALQVNIGTWTQCMQITVKVLLAGERKICNQIFDGITFNKEQCFAELAKGSVMTLLSFGDTVARSKRSHENLFILLEMYGVMHELQSEVEVIFQGKFCSEMREAALNLTKSLAQVAQEILVDFEDAVVKDSSKTNMQNGTVHPFTFEVIKYVKSLLDYQSTLKILFQQPETGSETESQLAVVIMKIMQALQNNLNGKSKQYKDPALSHIFLMNNLHYMVTSVRRSQAKDILGDDWIQRHRKIVQQNANQYKRVAWARVALVRQHHQMLAAVEFQGV >PVH61455 pep chromosome:PHallii_v3.1:3:2350243:2354327:-1 gene:PAHAL_3G036400 transcript:PVH61455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTGPGLPEGMEALSRQASALREALGRSEENTQGMVAALGSFDSRVSAIEASIRPAQVRTQAITMAHENIDRTIENAEAILAQFDIVRRAEAVILRGPHENLKIFLEAVDLLKGVVNFFSLNKNFKSCEGVLNQVNNLLTKSALKIEEEFRQLMGTYSKPIEPNHLFDCLLKHLMVSRRDSEAVGEQPSKSFETAIHPTLIPSGILPLLHDIACQLVQDGNQQSCYRIYRDARGSALELSLRNLGIEKLSKDDVERMQRVALQVNIGTWTQCMQITVKVLLAGERKICNQIFDGITFNKEQCFAELAKGSVMTLLSFGDTVARSKRSHENLFILLEMYGVMHELQSEVEVIFQGKFCSEMREAALNLTKSLAQVAQEILVDFEDAVVKDSSKTNMQNGTVHPFTFEVIKYVKSLLDYQSTLKILFQQPETGSETESQLAVVIMKIMQALQNNLNGKSKQYKDPALSHIFLMNNLHYMVTSVRRSQAKDILGDDWIQRHRKIVQQNANQYKRVAWARASVSSTITCIMFLYDLGLFIFLQSCYIFFIQHGVLSV >PVH63062 pep chromosome:PHallii_v3.1:3:60128870:60132118:-1 gene:PAHAL_3G464800 transcript:PVH63062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHIRNGGGPSAVCQRARHRMWSTATHDTTHRHTGLAHLLPAGVWLCLNNSSALLMTAPAVGVAAALLVLAAAAAVHCSAATGEDAPGTGSSAAGRGRPVVPAMYVFGDSLVDAGNNNFLPPPAPRALPPNCIDLPRTVLRRTGRFTNGYNLADIIAQHLGFKMSPPAYLSLTPLSSLDLLRGRGGANYASGGSGILDITGNGTITLRKQVELFAENKATIIRTGLVDRERLDDLLARSLFLISSGGNDFDAFDNGVPMSQAPEFIAGMVADYLKYINELYELGARRLALLDLVPAGCLPSQRAITANGECDANGNSLSQMFNALLRTEIAKAVVASMPFLKYSIASLYNTYSDMIANPALAGLREVKRGCCGGGKFNGEVECTMASSLCGNRDEYLFWDMVHGTQEAYRWAVLSFFHGSTRDAEPINLAQLMQEPLSMATAPCSSI >PVH61496 pep chromosome:PHallii_v3.1:3:3039473:3039976:-1 gene:PAHAL_3G047500 transcript:PVH61496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDTASTLGTPVDGAAAGEKEETHIRVYWHDVVSGPDPTAVQVARAAATNASKTFFGAVVVIDDPLTEGPGLGSSRLLGRAQGAYVGAGKGAMALLMAMNFVFQAGTYNGSSVTIMGRNEVFTAVREMPIVGGTGVLRMARGYAQARTHTLDLKTGDATVEYNLYIRH >PAN17119 pep chromosome:PHallii_v3.1:3:6946217:6947993:-1 gene:PAHAL_3G109000 transcript:PAN17119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATATRARAPVVRLQLAVAAVAVAWACCGFRSSEAQLQVGYYNATCPGAESLIETIVHAAVRKDAGNGPGLIRLFFHDCFVRGCDASVLLDDPTGTPGNATVEKTSPPNFPSLRGFAVIDRAKRVVERRCPGTVSCADILAFAARDAARIMGGIRFAMPSGRLDGRVSNASEAIANLPPASFNLTQLVARFASKNLTATDMVTLSGAHSIGRSHCSSFSGRLYPQLDAAMNATMGAALRGRCPAATGRRDRVVDLDFRTPLQLDNQYYRNVQTHEAVFTSDQSLVDRSDTAALVALYAANRKLWSQQFAAAMVKMGSIEVLTGPPGEIRLKCNKVN >PVH62543 pep chromosome:PHallii_v3.1:3:23427098:23427858:1 gene:PAHAL_3G319400 transcript:PVH62543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGTKISRRPLNTMVAAALVLSLVPSSHPPPAPLATRAAPTSARAEQVDPTADSLGQCQSRLIRTRTLAGEHCRRSLPELKCCRPIQARTPPIRARPRRFVILHTRSSPAQAHPPPQGRLQISSAHSPFVTSSSGRRFCVLEFYSSRVDATATAMAYPPLMLWNASEIPATRSDQRRLAQKARSTLVPRLLIVTRSC >PVH62694 pep chromosome:PHallii_v3.1:3:40600962:40602386:-1 gene:PAHAL_3G372900 transcript:PVH62694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYGRSTHCQLDFTFGINMAGHTSRQQEADSRDRPQIEERDYITEEQVRHIRNQRPVSSHLLRKYQYQYQQRLQRETEEEEYERRTGKRLSKREDTRDHWYCPFFKYYWDSGMKRLPTLEDCPECKSHKQDARSASVFQRLGPVQPRHGQAESSRIGGNSEGEEDKCHRPHWCPDGLNWSQKRRVQWSCSLEETEAQYLETLRKARPDLAKKVHRPQKAEMSSSKKVWHPKKSKADVKTSADVHMVFVLLAEFHAPSHEEVPVAQLDLGPQPVIFEKPRERKYKHVKALYLKGYINGQPISRMLVDTGVAVNIMPYSVLRRLGYSVGDLIKTNITLSDFNGQTSEAQGVLSVDLTVGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCYIPSTMHQCLIQWDGDKVEVVHADYPVEVSHAAMSVWDAEDQEPISGISLEGCDRVEATKNRVSLVLSTGLTE >PAN17480 pep chromosome:PHallii_v3.1:3:8653171:8655619:1 gene:PAHAL_3G134400 transcript:PAN17480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase, Indole-3-acetic acid (IAA) biosynthesis, Grain developmen [Source: Projected from Oryza sativa (Os05g0169300)] MAARESGGMAAARCAGRIGVVASVAVNLALLAMYIRRRYFGGGRSDKDIVPSKGKPPVTSESVVNLDHGDPTLYEEFWHGTGDRATIVIPGWQTMSYFSDLGGFCWFVEPGFEREVRRLHRLVGNAVVDGYYFLAGTGSTQLFQAALYALSPAEDGTPMSVVSPAPYYSSYPSVTNFLNSALYRWDGDANMFNGDTCIELVCSPNNPDGGIRKAVIKSNSGKTIHDFAYNWPQYTPITEAASHDIMLFTVSKCTGHAGTRLGWALVKDMEVAQKMIKFMELNTIGVSKDSQLRAAKILKAVCDGYELSPASEVNRLFHFAQRKMAERWSKLRATVAASGIFSLPNEVSDYCTFAKEKVTANPPFAWLRCHKDGLDDLEAFLRENKIITRGGPRFGVDEKVVRVSMLDTDEAFNMFIGRIASLK >PAN16509 pep chromosome:PHallii_v3.1:3:4182091:4183327:1 gene:PAHAL_3G065400 transcript:PAN16509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAITVLPVADGPKLKEIMKTNAESGNKLLVLEFMAPWSEPCNYMRNVLDGNPKGIGLANELQDYADFYELDITKFRQFAQRMTVEALPTFLLLKQGYKILGRVVGVDKEELRRSIKEHEDKSPGGGLEKVNPEEDIDKPLSLFSSPYAFLRARLRSFF >PAN16510 pep chromosome:PHallii_v3.1:3:4182224:4183320:1 gene:PAHAL_3G065400 transcript:PAN16510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAITVLPVADGPKLKEIMKTNAESGNKLLVLEFMAPWSEPCNYMRNVLDGNPKGIGLANELQDYADFYELDITKFRQFAQRMTVEALPTFLLLKQGYKILGRVVGVDKEELRRSIKEHEDKSPGGGLEKVNPEEDIDKPLSLFSSPYAFLRARLRSFF >PAN20003 pep chromosome:PHallii_v3.1:3:22318224:22319556:1 gene:PAHAL_3G312800 transcript:PAN20003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKFQGFARCFIGSERAAASAVRISAGPSLPVPPAPASVPLPDNDDLLREILLRLPPLPSSLPRASLVCKHWRRLVSDPGFLRRFRDHHRTPPLLGYFFSGSGGPVFTPTLAPPNCIPPERFSLPEQPAGERLFVLGCRHGLALLINRRRLHATVWDPITNRKFTVAYPPEFTADNGAHCFRGAVLSSAGGDGYDDGHLRPFKVILISTHINDGHTSVFMCVYESLTGKWGNTILTIIPSYLFNLPNVLVGNAALCGFFLWPDGILELDLDRHTLGTIQTPTSSLPVDSSLFRVVRTQDRGLGLAILSRLSVQLWGRKADSDGGAAGWVLQKTVEVDKLLSLPPSMMANVPARILGYDEDNNAVHLATSTGAYAVQLESMQFTELSSVYSISGHPYTSFYTAGNSLCLKFKLHK >PAN16706 pep chromosome:PHallii_v3.1:3:5216452:5218803:-1 gene:PAHAL_3G078900 transcript:PAN16706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLVGGGAAARGAGRLGAGDPPAVASGGGEADHVRRLHRHAPADHQCSSTLVKHIKAPVHLVWELVRSFDQPQRYKPFVSRCVVRGDQLEIGSLRDVNVKTGLPATTSTERLEQLDDDEHILGVKFVGGDHRLQNYSSIITVHPESIDGRPGTLVIESFVVDVPEGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEH >PAN16763 pep chromosome:PHallii_v3.1:3:5517399:5519135:1 gene:PAHAL_3G084800 transcript:PAN16763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQRLPMPDMDGCVTFPLTRPHAVLLVLVLLAAAAVATAPASKQQCHSGDRAALLAVKASFGNASYFVSWTADIPCCHWFGVRCDATSSSATATGGRRVVSLAIMRDAGVSGPVPGAAIARLTGLQELLFLHVPGLSGAIPPALARLSALTDLTISRTGVSGPVSAFLGELRALRSLDLSFNALTGAIPASLAALPRLASINLGRNRLTGAIPPLLLSKAGPEAFLTLSHNRLSGTVPAEFAAVSFVQVDLSRNALTGDASVLFGGGRTLLVAVNLSRNALSFDMSRLEFPERLASLDVSHNAIRGGVPAAAGNLSQLMFFNVSYNQLCGELPSGMASFEVYSFRHNKCLCGAPLPACQA >PAN16787 pep chromosome:PHallii_v3.1:3:5595533:5597898:-1 gene:PAHAL_3G086500 transcript:PAN16787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTDAQFKNEETTEQVGKVKIVACDSKLLSQ >PVH61432 pep chromosome:PHallii_v3.1:3:2075628:2076013:1 gene:PAHAL_3G034400 transcript:PVH61432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIISNLLISNKKIAIAFSILTTEPGETIRVTKKHRVCSDCHTTIKLVSKITKREIMLE >PAN18101 pep chromosome:PHallii_v3.1:3:11725467:11728746:1 gene:PAHAL_3G178300 transcript:PAN18101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMRLAIPAPAAAIVAPPRLRVRAAGNGGSLPAASVALRTETAALRGCASLPLKPQPLGAGAGAGQPSRRRGPAAVCHSSAHLSARTMQWISAGASAVLLLAKGTAINKSFLVPFFALQAPGSIISWIKGDYGQWTAFLALLVRLFFFIPGELELPLSTMLLVSVAPYQLMNLRGTQGGAVLSLAIAGFLAFQHFTRVGGLGKAFEQGSVIATLAIICITIIPLMLLF >PAN19422 pep chromosome:PHallii_v3.1:3:18123756:18125472:-1 gene:PAHAL_3G272100 transcript:PAN19422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSSFRFSPLVLLLAAHCGLLMLASAHGYPPSGAGSALSSTFYDASCPSAHDVVRRVIQDARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPAIQTEKAVPANDNSARGFPVVDDIKAALEHACPGVVSCADILALAAEISVELAGGPRWRVLLGRRDGTTTNIESANNLPSPFDSLDVLQEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRENCTAGQPEGTLENLDDVTPNLFDNKYYSNLLHGRAQLSSDQVMLSDPAAPTTTAPIVHRFASNQKDFFRNFAASMIKMGNISPLTGKDGEIRKNCRRVNSKGY >PVH63096 pep chromosome:PHallii_v3.1:3:60628959:60632031:-1 gene:PAHAL_3G472900 transcript:PVH63096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLQGQSSDWVTIEFSHPNPSNDDWIGVFSPSGFSSEICQPENWEDLPPFLCTAPIKFQYANFTNDGYNRTGKGSLKLQLINQRADFAFALFSGGLSAPKLVAVSNKVTFENAKAPVYPRLAQGKSWNEMTVTWTSGYSIKEALPFVEWGPKGGHQMLSPAGTLTFGRNSMCGSPARTVGWRDPGYIHTSFLKELWPDALYTYRLGHRLSDGTHIWSKSYSFRASPYPGQDSLQRVVIFGDMGKAEVDGSDEYGNYEQASLNTTKQIISDLENIDMVIHIGDLSYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPDTGSFYGYNDSGGECGVPAQTMFYVPAENRAKFWYSTDYGMFRFCIADTEEDWRPGTVQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGREGLQDLWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNHYSGRFNATTHVVVGGGGASLSPFRATVPYWSFFRDFDFGFVKLTAFNSSFLLFEYKKSRDGNVYDHFTISRDYRDVLACSTDNCPRTTISV >PAN21523 pep chromosome:PHallii_v3.1:3:60628959:60632448:-1 gene:PAHAL_3G472900 transcript:PAN21523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVALWEVLASVLACAGVLHAGEQPLSRIAIRRATVAVADSASVKARPAVLGLKGQSSDWVTIEFSHPNPSNDDWIGVFSPSGFSSEICQPENWEDLPPFLCTAPIKFQYANFTNDGYNRTGKGSLKLQLINQRADFAFALFSGGLSAPKLVAVSNKVTFENAKAPVYPRLAQGKSWNEMTVTWTSGYSIKEALPFVEWGPKGGHQMLSPAGTLTFGRNSMCGSPARTVGWRDPGYIHTSFLKELWPDALYTYRLGHRLSDGTHIWSKSYSFRASPYPGQDSLQRVVIFGDMGKAEVDGSDEYGNYEQASLNTTKQIISDLENIDMVIHIGDLSYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPDTGSFYGYNDSGGECGVPAQTMFYVPAENRAKFWYSTDYGMFRFCIADTEEDWRPGTVQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGREGLQDLWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNHYSGRFNATTHVVVGGGGASLSPFRATVPYWSFFRDFDFGFVKLTAFNSSFLLFEYKKSRDGNVYDHFTISRDYRDVLACSTDNCPRTTISV >PAN17491 pep chromosome:PHallii_v3.1:3:8737669:8737932:-1 gene:PAHAL_3G135700 transcript:PAN17491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVKILLLVSLIPLVLRGVSLLGNVIPSPDEQPPSSRNGAGVSVSASGEGRGRLSGFSQRRFGGDGGFFRDDKRFSPTGSNPLHNL >PVH61895 pep chromosome:PHallii_v3.1:3:9712529:9714599:-1 gene:PAHAL_3G150300 transcript:PVH61895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPDIYPLTGLQIGDIQSYISRAFLYFAPLSKKVFILVDNQPWLSAKQSRSARLWQFMVTKYRMSPFANSRAKQVPTLAAAAAAASAAAAVGESDAMRRWFAVADLSRALHGFLVFEVSWRDVHGINYLNELLTDTSLALEARYMKKWEFYSAEQAAGCTHLWFLGRATEARALRGYLAALHAHSDPSEQLEECGIALRRTCSSSSLSAVSEDDDDGPAGGEPGHSGGMPRYSSEADYIGSPSASARARRARAEAPFVAPAQYSDTLILFRFRDSLLPVKLRQIIMSDIRLLTLLESGLPPWVIFFQSYPLLCQLYRPWMRPLVRSLYLLASLVTVLIGFYDLYKNVPLLKSAAARICGPLFGWIETWDMMTRIQYLGTILFLRNLRKCLQSLLALLRAAQAVLRAVAAPLADAAGPLLSACAELGGLVAEGLAPAWALLVDLAEVLWAPFDLVLDSVAGCLGPLLQVAMLPARAAAALAGCAGTLLSATYNFSKDIWETMSSIFELNHMSEAAQQSAFDMSQIKTLWNDLFSQIFRALRGILNGISVFFASCNRHRLRKHTV >PAN17701 pep chromosome:PHallii_v3.1:3:9712163:9715124:-1 gene:PAHAL_3G150300 transcript:PAN17701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGMEPLPDIYPLTGLQIGDIQSYISRAFLYFAPLSKKVFILVDNQPWLSAKQSRSARLWQFMVTKYRMSPFANSRAKQVPTLAAAAAAASAAAAVGESDAMRRWFAVADLSRALHGFLVFEVSWRDVHGINYLNELLTDTSLALEARYMKKWEFYSAEQAAGCTHLWFLGRATEARALRGYLAALHAHSDPSEQLEECGIALRRTCSSSSLSAVSEDDDDGPAGGEPGHSGGMPRYSSEADYIGSPSASARARRARAEAPFVAPAQYSDTLILFRFRDSLLPVKLRQIIMSDIRLLTLLESGLPPWVIFFQSYPLLCQLYRPWMRPLVRSLYLLASLVTVLIGFYDLYKNVPLLKSAAARICGPLFGWIETWDMMTRIQYLGTILFLRNLRKCLQSLLALLRAAQAVLRAVAAPLADAAGPLLSACAELGGLVAEGLAPAWALLVDLAEVLWAPFDLVLDSVAGCLGPLLQVAMLPARAAAALAGCAGTLLSATYNFSKDIWETMSSIFELNHMSEAAQQSAFDMSQIKTLWNDLFSQIFRALRGILNGISVFFASCNRHRLSIYNHAQSRLRHMLRVTRLAPSSCRCKHRGRRRPGKNSEGDAVVECDVCK >PAN17700 pep chromosome:PHallii_v3.1:3:9712163:9715123:-1 gene:PAHAL_3G150300 transcript:PAN17700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPDIYPLTGLQIGDIQSYISRAFLYFAPLSKKVFILVDNQPWLSAKQSRSARLWQFMVTKYRMSPFANSRAKQVPTLAAAAAAASAAAAVGESDAMRRWFAVADLSRALHGFLVFEVSWRDVHGINYLNELLTDTSLALEARYMKKWEFYSAEQAAGCTHLWFLGRATEARALRGYLAALHAHSDPSEQLEECGIALRRTCSSSSLSAVSEDDDDGPAGGEPGHSGGMPRYSSEADYIGSPSASARARRARAEAPFVAPAQYSDTLILFRFRDSLLPVKLRQIIMSDIRLLTLLESGLPPWVIFFQSYPLLCQLYRPWMRPLVRSLYLLASLVTVLIGFYDLYKNVPLLKSAAARICGPLFGWIETWDMMTRIQYLGTILFLRNLRKCLQSLLALLRAAQAVLRAVAAPLADAAGPLLSACAELGGLVAEGLAPAWALLVDLAEVLWAPFDLVLDSVAGCLGPLLQVAMLPARAAAALAGCAGTLLSATYNFSKDIWETMSSIFELNHMSEAAQQSAFDMSQIKTLWNDLFSQIFRALRGILNGISVFFASCNRHRLSIYNHAQSRLRHMLRVTRLAPSSCRCKHRGRRRPGKNSEGDAVVECDVCK >PVH62961 pep chromosome:PHallii_v3.1:3:57769992:57772073:1 gene:PAHAL_3G439900 transcript:PVH62961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAVACATSSCLLLITCHNKVLEMFVVEDKAGAIAVMLASLLLLGTWPALLTLLERRGRLPQHTYLDYSLTNFLAAVLIALTFGQLGAGEPDFFAQLSQDNWPSVMFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISCSMVVVIGTTLNYFLDNRINRAEILFPGVACFLIAVILGSAVHASNAADNKEKLRASGIEEPSKEDLEDGSSGAKHAPSSKAEAGTAEYLIQLEERRSIKVSRSSTFFGLGIVFFSGVCLSLFSPAFNLATNDQWHALPGGVPHLAVYTAFFYFSISCFIINVGLNILFLYRPMAGAPESSLRAYLSDWKGRQWALLAGLLGGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRKSSRRTYILLGLMLFMFVAAVAVLMASSGHRGNK >PAN15740 pep chromosome:PHallii_v3.1:3:282453:287021:1 gene:PAHAL_3G004100 transcript:PAN15740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICCSKAKAPAGDLDDGEQGFPWMHDDLFHRHLWTSAAVSMHTKQGWKGANQDAMTVSQDFAGHKGHIFCGVFDGHGPLGREVARRVRDTLPLKLSSALKPKTEEEEDPSTHTSKLTTEEDHSSNTDLDSCDKSDSTSFSDDTTDEKLLFSTWKNVFVKAFDQVDEELRQHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRMIAVQLTTDLKPDLPSELARILDCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVYYRKLSEKDEFLVLATDGIWDMLSNKEVVKIVSSASDPSKAARQLIDRAVRSWRRKYPTSMVDDCAVVCLFLNRPASRPDEKAPQAVSSFTGSFRKVVSGGRGVEASEEGTTVWRALEGVARANSVMRLPRIGRVLSWRRRSSNSLMDEDDDDRD >PAN20796 pep chromosome:PHallii_v3.1:3:53075466:53076981:1 gene:PAHAL_3G410900 transcript:PAN20796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAGRSLAEVVREDRAGRAFTEAEARRVVRRLLEGAAAMHARGVLHRDLKPDNVLLDARGGVKICDFGLSRAAAADDAPLTPGVATLWYRAPELILGSRDYDAGVDTWAIGCIMAELLAGAPLFPGRSEMDQLNRVFDTLGMQDMASWPSFARLPRAESGLCRRARPPSRLREMFPALSAAGFDVLSGLLACRPDRRLAAADALRCPWFADATAAPEAVPADQLRAASCAAAIASSVTGVAEAIIA >PAN19933 pep chromosome:PHallii_v3.1:3:21726609:21728360:1 gene:PAHAL_3G308200 transcript:PAN19933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAIKGLFISCDVPMAQFIVNLNASMPASEQFIVHMLDPTHMFVHPHVAEMIRSKIAEFRDQNSYEKPQ >PVH62495 pep chromosome:PHallii_v3.1:3:21726609:21728373:1 gene:PAHAL_3G308200 transcript:PVH62495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAIKGLFISCDVPMAQFIVNLNASMPASEQFIVHMLDPTHMFVHPHVAEMIRSKIAEFRDQNSYEKPQ >PAN20767 pep chromosome:PHallii_v3.1:3:35231081:35236409:1 gene:PAHAL_3G356500 transcript:PAN20767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSGAILRHISSLKDMLDKVNEEIEQNIQRTREIESEIMKHSETEKDYLDKESVLLKEVSVAEFELNGLIQVAAAETDLLKVTEGNLEFQKVALNGIQKRLSDKMERFINESRGFQANMVKGSNEDLVILLKEKGLLDDENENLKIKINTIHSSSKEYIAEILDEVNTENSVLESELRYRISEYKDILKDINNLKVLFSSSNS >PVH62800 pep chromosome:PHallii_v3.1:3:51147930:51176592:-1 gene:PAHAL_3G403800 transcript:PVH62800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASGSSHPQIDQFFPAKKRRPSSRKDDAPRPGAQHGSPSGAKGSLEGYLVRSPSTRATVAASAAPAGSQRGGDAGARRSLTAAMDVDVASSAPAPAVEGADLELRRFTTDFLSHCCSAIPPLTDDSEYHEQLEKKQKRSASQSFLVPYDNASAKKQCIAHCSGLEALKESDDSVAFKKQCINHHGGSEAVEQESVEGVKVSCVGFSALQRCSFTPDTTQKKVGFSLAPGETPKSVSRNSLTSPGEEFWNAAIEFADGISAQADKVRGKPEFDATEDKSSCAVAVCSKTLPRSGKDERDCLNTVGSNDTHQMEKLSNKVEFLAANSQHINSSPLPVKHLDFFHEDDIQVSGLKCEEKGRNEANNVQTNHVELKDGGLQRKENIRKGSIDPVNLMKTRALDLHADSAAMIQCHGVFKSTTEGNVHSTRESDKDSHQNKSLAAYSNGCLPMKDTKSKFVSQEVETSTPTSSVPLKDHSKLSSWLPPELCAVYMKKGISELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAQHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEDGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKMATHGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNQIFDKDMNVVRVLPKVADLGGKDPDHIVEMCNEVVLQGHSVLLFCSSRKGCESTARHVAKFLKVTSVGPSDVSSEFSDAASAIEALRRCPSGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEEVKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFLEWNNETKIYSSTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDLEPDWELYYERFMQLSSLEQSVGNRVGVVEPFLMHMAHGAAMPVRGRPQRNTGLRNKSPAQAGGSSLINEQTLRVSKRFYVALMLSRLAQEIPVADVCEAFKVTRGMVQALQENAGRFASMVSAFCQRLGWNDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARITAFSAFKSLGVEVPQFTAPALPAIEDSPTRDMIVSPCGDQIKCNKLGFGTDAVDDKNNSSDYGASRTAYSLREQHPDSSIQMKENLGIANSAKITTQEAASPSSTEIIAGWSSTTVADKGPVNAYNFPGGFDCFLNQWSAVSEFSFDVHFIKKSMKPSSTLFEVFGLAVCWENSPIYYCNFPKDLVTTGINDSSEMWGHFQRRWKKIADIMQRKSVKKMTWNLKLQIQALKSAYVSCQRLARFHLDHKMLDNIEVLDNSYVLLSPISVYNGLDLCLVAWVLWPDEESRTVPNLEKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALYTVLKKLLVSQNLNDLVDTIEGPLVNVLADMELWGIGADMDACLHARHIIIKKLKELEKEAYRLAGKTFSLNATADIADILYTHLKLPVPKVCEKGKLHPSTDKQSLDHLRDLHPIVPVIKEHRTLAKLLNGTLGSICSRAQFCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHLVEFNTGKSDKDYSIVSEVDRHQINAREFFIPTQENWLLVTADYSQIELRLMAHFSKDPTLIELLSKPNGDVFTMIASRWVGKEEALISYKERETTKRFIYGILYGMGANSLAEQLECSTEEAAQKIQSFKRFFPGVSSWLHEAVASCRQKGYVETLMGRRRFLTKIMAGNSKEKAKAQRQAINSICQGSAADIIKVAMIRVHSVITNRTREVDSTDEVTRNFSEIGGKCHLILQVHDELVLEVDPCMVEQAGRLLQICMEEAASLWVPLRAKIKVGKTWGSLEPFYPEPR >PVH62799 pep chromosome:PHallii_v3.1:3:51146805:51176658:-1 gene:PAHAL_3G403800 transcript:PVH62799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASGSSHPQIDQFFPAKKRRPSSRKDDAPRPGAQHGSPSGAKGSLEGYLVRSPSTRATVAASAAPAGSQRGGDAGARRSLTAAMDVDVASSAPAPAVEGADLELRRFTTDFLSHCCSAIPPLTDDSEYHEQLEKKQKRSASQSFLVPYDNASAKKQCIAHCSGLEALKESDDSVAFKKQCINHHGGSEAVEESVEGVKVSCVGFSALQRCSFTPDTTQKKVGFSLAPGETPKSVSRNSLTSPGEEFWNAAIEFADGISAQADKVRGKPEFDATEDKSSCAVAVCSKTLPRSGKDERDCLNTVGSNDTHQMEKLSNKVEFLAANSQHINSSPLPVKHLDFFHEDDIQVSGLKCEEKGRNEANNVQTNHVELKDGGLQRKENIRKGSIDPVNLMKTRALDLHADSAAMIQCHGVFKSTTEGNVHSTRESDKDSHQNKSLAAYSNGCLPMKDTKSKFVSQEVETSTPTSSVPLKDHSKLSSWLPPELCAVYMKKGISELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAQHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEDGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKMATHGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNQIFDKDMNVVRVLPKVADLGGKDPDHIVEMCNEVVLQGHSVLLFCSSRKGCESTARHVAKFLKVTSVGPSDVSSEFSDAASAIEALRRCPSGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEEVKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFLEWNNETKIYSSTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDLEPDWELYYERFMQLSSLEQSVGNRVGVVEPFLMHMAHGAAMPVRGRPQRNTGLRNKSPAQAGGSSLINEQTLRVSKRFYVALMLSRLAQEIPVADVCEAFKVTRGMVQALQENAGRFASMVSAFCQRLGWNDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARITAFSAFKSLGVEVPQFTAPALPAIEDSPTRDMIVSPCGDQIKCNKLGFGTDAVDDKNNSSDYGASRTAYSLREQHPDSSIQMKENLGIANSAKITTQEAASPSSTEIIAGWSSTTVADKGPVNAYNFPGGFDCFLNQWSAVSEFSFDVHFIKKSMKPSSTLFEVFGLAVCWENSPIYYCNFPKDLVTTGINDSSEMWGHFQRRWKKIADIMQRKSVKKMTWNLKLQIQALKSAYVSCQRLARFHLDHKMLDNIEVLDNSYVLLSPISVYNGLDLCLVAWVLWPDEESRTVPNLEKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALYTVLKKLLVSQNLNDLVDTIEGPLVNVLADMELWGIGADMDACLHARHIIIKKLKELEKEAYRLAGKTFSLNATADIADILYTHLKLPVPKVCEKGKLHPSTDKQSLDHLRDLHPIVPVIKEHRTLAKLLNGTLGSICSRAQFCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHLVEFNTGKSDKDYSIVSEVDRHQINAREFFIPTQENWLLVTADYSQIELRLMAHFSKDPTLIELLSKPNGDVFTMIASRWVGKEEALISYKERETTKRFIYGILYGMGANSLAEQLECSTEEAAQKIQSFKRFFPGVSSWLHEAVASCRQKGYVETLMGRRRFLTKIMAGNSKEKAKAQRQAINSICQGSAADIIKVAMIRVHSVITNRTREVDSTDEVTRNFSEIGGKCHLILQVHDELVLEVDPCMVEQAGRLLQICMEEAASLWVPLRAKIKVGKTWGSLEPFYPEPR >PVH62802 pep chromosome:PHallii_v3.1:3:51148767:51176678:-1 gene:PAHAL_3G403800 transcript:PVH62802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MDVDVASSAPAPAVEGADLELRRFTTDFLSHCCSAIPPLTDDSEYHEQLEKKQKRSASQSFLVPYDNASAKKQCIAHCSGLEALKESDDSVAFKKQCINHHGGSEAVEESVEGVKVSCVGFSALQRCSFTPDTTQKKVGFSLAPGETPKSVSRNSLTSPGEEFWNAAIEFADGISAQADKVRGKPEFDATEDKSSCAVAVCSKTLPRSGKDERDCLNTVGSNDTHQMEKLSNKVEFLAANSQHINSSPLPVKHLDFFHEDDIQVSGLKCEEKGRNEANNVQTNHVELKDGGLQRKENIRKGSIDPVNLMKTRALDLHADSAAMIQCHGVFKSTTEGNVHSTRESDKDSHQNKSLAAYSNGCLPMKDTKSKFVSQEVETSTPTSSVPLKDHSKLSSWLPPELCAVYMKKGISELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAQHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEDGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKMATHGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNQIFDKDMNVVRVLPKVADLGGKDPDHIVEMCNEVVLQGHSVLLFCSSRKGCESTARHVAKFLKVTSVGPSDVSSEFSDAASAIEALRRCPSGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEEVKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFLEWNNETKIYSSTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDLEPDWELYYERFMQLSSLEQSVGNRVGVVEPFLMHMAHGAAMPVRGRPQRNTGLRNKSPAQAGGSSLINEQTLRVSKRFYVALMLSRLAQEIPVADVCEAFKVTRGMVQALQENAGRFASMVSAFCQRLGWNDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARITAFSAFKSLGVEVPQFTAPALPAIEDSPTRDMIVSPCGDQIKCNKLGFGTDAVDDKNNSSDYGASRTAYSLREQHPDSSIQMKENLGIANSAKITTQEAASPSSTEIIAGWSSTTVADKGPVNAYNFPGGFDCFLNQWSAVSEFSFDVHFIKKSMKPSSTLFEVFGLAVCWENSPIYYCNFPKDLVTTGINDSSEMWGHFQRRWKKIADIMQRKSVKKMTWNLKLQIQALKSAYVSCQRLARFHLDHKMLDNIEVLDNSYVLLSPISVYNGLDLCLVAWVLWPDEESRTVPNLEKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALYTVLKKLLVSQNLNDLVDTIEGPLVNVLADMELWGIGADMDACLHARHIIIKKLKELEKEAYRLAGKTFSLNATADIADILYTHLKLPVPKVCEKGKLHPSTDKQSLDHLRDLHPIVPVIKEHRTLAKLLNGTLGSICSRAQFCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHLVEFNTGKSDKDYSIVSEVDRHQINAREFFIPTQENWLLVTADYSQIELRLMAHFSKDPTLIELLSKPNGDVFTMIASRWVGKEEALISYKERETTKRFIYGILYGMGANSLAEQLECSTEEAAQKIQSFKRFFPGVSSWLHEAVASCRQKGRAACYSLRRCICYLYD >PVH62801 pep chromosome:PHallii_v3.1:3:51146805:51176658:-1 gene:PAHAL_3G403800 transcript:PVH62801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASGSSHPQIDQFFPAKKRRPSSRKDDAPRPGAQHGSPSGAKGSLEGYLVRSPSTRATVAASAAPAGSQRGGDAGARRSLTAAMDVDVASSAPAPAVEGADLELRRFTTDFLSHCCSAIPPLTDDSEYHEQLEKKQKRSASQSFLVPYDNASAKKQCIAHCSGLEALKESDDSVAFKKQCINHHGGSEAVEQESVEGVKVSCVGFSALQRCSFTPDTTQKKVGFSLAPGETPKSVSRNSLTSPGEEFWNAAIEFADGISAQADKVRGKPEFDATEDKSSCAVAVCSKTLPRSGKDERDCLNTVGSNDTHQMEKLSNKVEFLAANSQHINSSPLPVKHLDFFHEDDIQVSGLKCEEKGRNEANNVQTNHVELKDGGLQRKENIRKGSIDPVNLMKTRALDLHADSAAMIQCHGVFKSTTEGNVHSTRESDKDSHQNKSLAAYSNGCLPMKDTKSKFVSQEVETSTPTSSVPLKDHSKLSSWLPPELCAVYMKKGISELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAQHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEDGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKMATHGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNQIFDKDMNVVRVLPKVADLGGKDPDHIVEMCNEVVLQGHSVLLFCSSRKGCESTARHVAKFLKVTSVGPSDVSSEFSDAASAIEALRRCPSGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEEVKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFLEWNNETKIYSSTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDLEPDWELYYERFMQLSSLEQSVGNRVGVVEPFLMHMAHGAAMPVRGRPQRNTGLRNKSPAQAGGSSLINEQTLRVSKRFYVALMLSRLAQEIPVADVCEAFKVTRGMVQALQENAGRFASMVSAFCQRLGWNDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARITAFSAFKSLGVEVPQFTAPALPAIEDSPTRDMIVSPCGDQIKCNKLGFGTDAVDDKNNSSDYGASRTAYSLREQHPDSSIQMKENLGIANSAKITTQEAASPSSTEIIAGWSSTTVADKGPVNAYNFPGGFDCFLNQWSAVSEFSFDVHFIKKSMKPSSTLFEVFGLAVCWENSPIYYCNFPKDLVTTGINDSSEMWGHFQRRWKKIADIMQRKSVKKMTWNLKLQIQALKSAYVSCQRLARFHLDHKMLDNIEVLDNSYVLLSPISVYNGLDLCLVAWVLWPDEESRTVPNLEKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALYTVLKKLLVSQNLNDLVDTIEGPLVNVLADMELWGIGADMDACLHARHIIIKKLKELEKEAYRLAGKTFSLNATADIADILYTHLKLPVPKVCEKGKLHPSTDKQSLDHLRDLHPIVPVIKEHRTLAKLLNGTLGSICSRAQFCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHLVEFNTGKSDKDYSIVSEVDRHQINAREFFIPTQENWLLVTADYSQIELRLMAHFSKDPTLIELLSKPNGDVFTMIASRWVGKEEALISYKERETTKRFIYGILYGMGANSLAEQLECSTEEAAQKIQSFKRFFPGVSSWLHEAVASCRQKGYVETLMGRRRFLTKIMAGNSKEKAKAQRQAINSICQGSAADIIKVAMIRVHSVITNRTREVDSTDEVTRNFSEIGGKCHLILQVHDELVLEVDPCMVEQAGRLLQICMEEAASLWVPLRAKIKVGKTWGSLEPFYPEPR >PAN20391 pep chromosome:PHallii_v3.1:3:51147930:51176592:-1 gene:PAHAL_3G403800 transcript:PAN20391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASGSSHPQIDQFFPAKKRRPSSRKDDAPRPGAQHGSPSGAKGSLEGYLVRSPSTRATVAASAAPAGSQRGGDAGARRSLTAAMDVDVASSAPAPAVEGADLELRRFTTDFLSHCCSAIPPLTDDSEYHEQLEKKQKRSASQSFLVPYDNASAKKQCIAHCSGLEALKESDDSVAFKKQCINHHGGSEAVEESVEGVKVSCVGFSALQRCSFTPDTTQKKVGFSLAPGETPKSVSRNSLTSPGEEFWNAAIEFADGISAQADKVRGKPEFDATEDKSSCAVAVCSKTLPRSGKDERDCLNTVGSNDTHQMEKLSNKVEFLAANSQHINSSPLPVKHLDFFHEDDIQVSGLKCEEKGRNEANNVQTNHVELKDGGLQRKENIRKGSIDPVNLMKTRALDLHADSAAMIQCHGVFKSTTEGNVHSTRESDKDSHQNKSLAAYSNGCLPMKDTKSKFVSQEVETSTPTSSVPLKDHSKLSSWLPPELCAVYMKKGISELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAQHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEDGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKMATHGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNQIFDKDMNVVRVLPKVADLGGKDPDHIVEMCNEVVLQGHSVLLFCSSRKGCESTARHVAKFLKVTSVGPSDVSSEFSDAASAIEALRRCPSGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEEVKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFLEWNNETKIYSSTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDLEPDWELYYERFMQLSSLEQSVGNRVGVVEPFLMHMAHGAAMPVRGRPQRNTGLRNKSPAQAGGSSLINEQTLRVSKRFYVALMLSRLAQEIPVADVCEAFKVTRGMVQALQENAGRFASMVSAFCQRLGWNDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARITAFSAFKSLGVEVPQFTAPALPAIEDSPTRDMIVSPCGDQIKCNKLGFGTDAVDDKNNSSDYGASRTAYSLREQHPDSSIQMKENLGIANSAKITTQEAASPSSTEIIAGWSSTTVADKGPVNAYNFPGGFDCFLNQWSAVSEFSFDVHFIKKSMKPSSTLFEVFGLAVCWENSPIYYCNFPKDLVTTGINDSSEMWGHFQRRWKKIADIMQRKSVKKMTWNLKLQIQALKSAYVSCQRLARFHLDHKMLDNIEVLDNSYVLLSPISVYNGLDLCLVAWVLWPDEESRTVPNLEKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALYTVLKKLLVSQNLNDLVDTIEGPLVNVLADMELWGIGADMDACLHARHIIIKKLKELEKEAYRLAGKTFSLNATADIADILYTHLKLPVPKVCEKGKLHPSTDKQSLDHLRDLHPIVPVIKEHRTLAKLLNGTLGSICSRAQFCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHLVEFNTGKSDKDYSIVSEVDRHQINAREFFIPTQENWLLVTADYSQIELRLMAHFSKDPTLIELLSKPNGDVFTMIASRWVGKEEALISYKERETTKRFIYGILYGMGANSLAEQLECSTEEAAQKIQSFKRFFPGVSSWLHEAVASCRQKGYVETLMGRRRFLTKIMAGNSKEKAKAQRQAINSICQGSAADIIKVAMIRVHSVITNRTREVDSTDEVTRNFSEIGGKCHLILQVHDELVLEVDPCMVEQAGRLLQICMEEAASLWVPLRAKIKVGKTWGSLEPFYPEPR >PAN16157 pep chromosome:PHallii_v3.1:3:2589312:2593451:-1 gene:PAHAL_3G040500 transcript:PAN16157 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit C [Source:Projected from Arabidopsis thaliana (AT1G12840) UniProtKB/Swiss-Prot;Acc:Q9SDS7] MATRYWIVSLPVQSPGATASSLWSRLQDSVSRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFVEGVSHKIRRQIEDLERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKETVGSIQMQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMVASEHLVTLLAIVPKYSQKDWLGSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQEAMRTSLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSAKSEKKVRSILEELCGNVHSIYWKSEDDVSIAGLGGESEVHPYVSFTINFV >PVH62274 pep chromosome:PHallii_v3.1:3:16612228:16614611:1 gene:PAHAL_3G253600 transcript:PVH62274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASGGGWRARRPGARAGGSRRRGSGRGGGRGTASPPSAASGARWRTPCPSGWTSCTAAPPPPVARLCQDRMHELVADEYNKAGSGEGAAAAGAAEPAWKEVMEKGFARMDDEAASWAATRSGNDLACRCELQKPARCDHAGSTALVAVVGSTSVVVASAGDSRAVLSRGGVPVPLSVDHKPDRPDELERIQAAGGRVIFWDGARVLGVLAMSRAIGDGYLKPFVTAEPEVTVTESTDEDECLILASDGLWDVVTNEMACEVVRACFRSNGPPSPGARPSGVLPPAAAAAAREGDDGPAAVKGVDRADSDRACSDAALLLAKLALARRSSDNVSVVVVDLRRGS >PAN19164 pep chromosome:PHallii_v3.1:3:16612228:16614611:1 gene:PAHAL_3G253600 transcript:PAN19164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEICCDEAKSTPASAVTALTSRRPRVELGGAGACRPTPAAGEDGSGGKRRRVACAAPGRSCRRLAAAGFGSRWWPRYGVTSVCGLRREMEDAVSIRLDFLHGGASSSGKHHFFGVFDGHGCCHVARLCQDRMHELVADEYNKAGSGEGAAAAGAAEPAWKEVMEKGFARMDDEAASWAATRSGNDLACRCELQKPARCDHAGSTALVAVVGSTSVVVASAGDSRAVLSRGGVPVPLSVDHKPDRPDELERIQAAGGRVIFWDGARVLGVLAMSRAIGDGYLKPFVTAEPEVTVTESTDEDECLILASDGLWDVVTNEMACEVVRACFRSNGPPSPGARPSGVLPPAAAAAAREGDDGPAAVKGVDRADSDRACSDAALLLAKLALARRSSDNVSVVVVDLRRGS >PVH62963 pep chromosome:PHallii_v3.1:3:57788161:57789860:-1 gene:PAHAL_3G440200 transcript:PVH62963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFFPEENNRGGPNLVVCSSSSPSARPRRGPGGGGTGSRAQRPSSPTTSSSRSSRACRTGPSAVSGASHLPPRPPRALISHPDHRARLPQTLAGFFRVEPHQAAPHIRRRRGTASPTRPATGPALIDASFSFLPDREREHLVLLDGCSGLLLCRCHRFPGKDEFDYLVVNPATEKWVAVPVSRRWSEKVLLVCLGFEPAFSSHFHVFEFQLEKEEDDDDAGDGCVLVVKIYSSATGVWSYKQSGWSFGITPLYDSKSVFVNGVMHVAFDGLVGAVDVEDKTWRAIDFPRCEDSPFFDDAAGFIDLSQGRLHLAIGGDVVGDKLAIWVLEDGDSGEWTLKHTVSFKHLVGKEYVDLGLREFVVVAIHPDRKMVFFVFGHDKKTLMSYDMDSGKVHIIHDLGDSG >PVH61367 pep chromosome:PHallii_v3.1:3:963578:968582:-1 gene:PAHAL_3G017700 transcript:PVH61367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSPDHISVGSAPKKSSTSSRGRQRNFSSSTCKDFLRKFVDNELLTSSLEDWFSGHSEDFDFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALYASTSDVFEATAYLALEDFLHAGIKGLWETFWGPDEAMPFSVACIHSTSSKFYPAEKAISSGKLDGVCATAVLLKNLKHSQGRWDHIVVLALLRPDIGMVSAQGDQEPSSAGLGEALFFALRVLLSRSLSRSSTVLRNSDCVYLLLVDSQFGGVVKVQGDLNKLDFDLNNVYDCAAEWIKKHAKISVSSIDRVWNKLGNANWGDIGTLQVLSALFQSMVQFYGEPKYSLDELATEHSSRLQSRRSERHLVDRQANGNGLFRFQQRSHSPEIVEVQEEAAVDLRPQETLKLEIGSVVLMDDAYSQKGFQINDILTDSDPPIYTSTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYIPQMVSSGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEEALRCCHDCLSALAAAASSGIRHGDIRTENVIRVNNGSRHPYFVLIGWGHAILEDRDRPVMNLFFSSTFALQEGKLCAASDAESLIYLLYFCCGGVCPELDSVESALQWRETSWSRRVIQQRLGDVSAVLKAFADYVDSLCGTPYPMDYDIWLKRLRRTINEDHGKEVDTSSS >PVH61368 pep chromosome:PHallii_v3.1:3:963578:968475:-1 gene:PAHAL_3G017700 transcript:PVH61368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSPDHISVGSAPKKSSTSSRGRQRNFSSSTCKDFLRKFVDNELLTSSLEDWFSGHSEDFDFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALYASTSDVFEATAYLALEDFLHAGIKGLWETFWGPDEAMPFSVACIHSTSSKFYPAEKAISSGKLDGVCATAVLLKNLKHSQGRWDHIVVLALLRPDIGMVSAQGDQEPSSAGLGEALFFALRVLLSRSLSRSSTVLRNSDCVYLLLVDSQFGGVVKVQGDLNKLDFDLNNVYDCAAEWIKKHAKISVSSIDRVWNKLGNANWGDIGTLQVLSALFQSMVQFYGEPKYSLDELATEHSSRLQSRRSERHLVDRQANGNGLFRFQQRSHSPEIVEVQEEAAVDLRPQETLKLEIGSVVLMDDAYSQKGFQINDILTDSDPPIYTSTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYIPQMVSSGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEEALRCCHDCLSALAAAASSGIRHGDIRTENVIRVNNGSRHPYFVLIGWGHAILEDRDRPVMNLFFSSTFALQEGKLCAASDAESLIYLLYFCCGGVCPELDSVESALQWRETSWSRRVIQQRLGDVSAVLKAFADYVDSLCGTPYPMDYDIWLKRLRRTINEDHGKEVDTSSS >PAN17527 pep chromosome:PHallii_v3.1:3:8889595:8893944:1 gene:PAHAL_3G138400 transcript:PAN17527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQTSGKPIDLLMEKVLCMNILSSEYFKELYRLKTYHEVIDEIYSCVEHVEPWMTGNCRGPSTAFCLLYKFFTMKLTIKQMHGLLKHPDSPYIRAIGFLYLRYVADPKTLWTWYEPYLRDDEEFSPGSNGRVTTMGVYVRDLILGQYYFDSLLPRIPLPVTRQVTANLEKMKLPTKLSGATGDSSRQGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTITHDDTRRSYSPSHRSGSREGPDRDRSDRELDRSSRDLDRSSRDQERSSRDRDRDRDIRDYHRRERDSRDRDYYRSRHSDERRDDRRDRESSRCRRSSSRHRSRSRSRGRRSRSRSRSRSRSRSRNEQRSSPFGDGHKEKAATVSSNLAKLKDLYGDVTEKKEDGDAEKLRRDSCAEEVIRLGGPRWR >PVH61838 pep chromosome:PHallii_v3.1:3:8889595:8893956:1 gene:PAHAL_3G138400 transcript:PVH61838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQTSGKPIDLLMEKVLCMNILSSEYFKELYRLKTYHEVIDEIYSCVEHVEPWMTGNCRGPSTAFCLLYKFFTMKLTIKQMHGLLKHPDSPYIRAIGFLYLRYVADPKTLWTWYEPYLRDDEEFSPGSNGRVTTMGVYVRDLILGQYYFDSLLPRIPLPVTRQVTANLEKMKLPTKLSGATGDSSRQGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTITHDDTRRSYSPSHRSGSREGPDRDRSDRELDRSSRDLDRSSRDQERSSRDRDRDRDIRDYHRRERDSRDRDYYRSRHSDERRDDRRDRESSRCRRSSSRHRSRSRSRGRRSRSRSRSRSRSRSRNEQRSSPFGDGHKEKAATVSSNLAKLKDLYGDVTEKKEDGDAEKLRRDSCAEEVIRLGGPRWR >PAN18794 pep chromosome:PHallii_v3.1:3:14720900:14721223:-1 gene:PAHAL_3G226400 transcript:PAN18794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCACQASRSRHCAEADPWSDDDNGEPAWAERRESCEERKRAGVEVTVRISKRRLQRLMAEAAAGGAGGGMTVEKVLAEIVSAGGEVVVDGRWEPALRTIPEAVQS >PAN20882 pep chromosome:PHallii_v3.1:3:54025611:54030447:-1 gene:PAHAL_3G416700 transcript:PAN20882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHFLILLAIVSSFAEAGDDGNFSATALSMLPGAFMPVGPYYCSTTGNYTLKSPYQVNLGKLLEDLQSGAIANRAGFNYGVAGEAPDAVFGLTMCYADLNWTQCQNCLQAATTGELICPFSREMKAREDACVLRYSNESFFSVADVISAFHFSDISNSVTNVAGVNATLWSLMPRLAAEAAVSELRLAKGSQVSKGSKGISQVIYGLAQCTRDLNASECSRCLTYFVEELLSSSLSVKINYAVKGYSCNVAYKIGEDFDSIIRPMAPSTIAQPPSGVTGGSIAFIISTGTLVCILFRHRSIKAREREVDVSDDDPLEDNTFEKETGPRRFRYRELATAAGFFSDKEKLGEGGFGSVYKGYLKDMDLPVAIKRVSKSSRQGRKEYISEVKIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLNSHIHSQNNVLSWQLRHDIVLGIGSALVYLHQDWEQCVLHRDIKPSNILLDASFNAKLGDFGLARMVDHERQSHTTALAGTMGYMDPECMLSGSASTTSDVYSFGVVLLEICCGRQPIVVVQDNGEYTTMHLVQWVWECYGRGRIIDAADARLNGEFDGDEMERVMIMALWCAHPDRTLRPSIRQVIGVLRMEAPLPSLPTNMPVATFMPPMHHLQRESRATTGCSSGSAGTKHSSITTKTSSLPR >PAN20863 pep chromosome:PHallii_v3.1:3:54028091:54030383:-1 gene:PAHAL_3G416700 transcript:PAN20863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHFLILLAIVSSFAEAGDDGNFSATALSMLPGAFMPVGPYYCSTTGNYTLKSPYQVNLGKLLEDLQSGAIANRAGFNYGVAGEAPDAVFGLTMCYADLNWTQCQNCLQAATTGELICPFSREMKAREDACVLRYSNESFFSVADVISAFHFSDISNSVTNVAGVNATLWSLMPRLAAEAAVSELRLAKGSQVSKGSKGISQVIYGLAQCTRDLNASECSRCLTYFVEELLSSSLSVKINYAVKGYSCNVAYKIGEDFDSIIRPMAPSTIAQPPYPSPRTRLTLGIIAGVTGGSIAFIISTGTLVCILFRHRSIKAREREVDVSDDDPLEDNTFEKETGPRRFRYRELATAAGFFSDKEKLGEGGFGSVYKGYLKDMDLPVAIKRVSKSSRQGRKEYISEVKIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLNSHIHSQNNVLSWQLRHDIVLGIGSALVYLHQDWEQCVLHRDIKPSNILLDASFNAKLGDFGLARMVDHERQSHTTALAGTMGYMDPECMLSGSASTTSDVYSFGVVLLEICCGRQPIVVVQDNGEYTTMHLVQWVWECYGRGRIIDAADARLNGEFDGDEMERVMIMALWCAHPDRTLRPSIRQVIGVLRMEAPLPSLPTNMPVATFMPPMHHLQRESRATTGCSSGSAGTKHSSITTKTSSLPR >PVH63122 pep chromosome:PHallii_v3.1:3:61138814:61144479:-1 gene:PAHAL_3G477800 transcript:PVH63122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVAAWQGASRHDRAAQRRPREGAVAQQAGASLLTVPQILASTAPAARVRHGKGKLCSASHSQIKGVKEQIRSLSLELESVHTLLRKVAQVPWDQIDEQVKVWTREVREASYDMEDLLDTFLARVEGREPADPSRLKRAMNKMGNLFSSTKARRDIAGAIEGIKKQLQEVAERHARYKVDKALAKPAATTSTINPRLAAMYKEVTQLIGINESMDKVISMLTSSSQEDGVSDNKIMKMVSIAGVGGLGKTTLAKASYDKIKSHYYFKAFVSVGRNPDLAKVLKDILFDLDKKQYENIHNTGRGADLLIRELREFLENKRYFLVIHDIWEPRSWEIIKLALIENNCGSAIITTTRKFDVAIEAGEVYKLQPLSYDNSKKLFYTRIFGSQGKCLDNKMDELSDNFLKKCDGVPLAIITMATLLVGKPMEEWSVVYSSIGFGHKDNRHVENTRRILSYSYYDLPPHLRTCLFMWVAEGFIHEKQRASSLFEIGEEYFNDLINRSMIQGEGSKGIMYGSIVGCRVHDMILDLIRSLSREENFVTIASNDEGTAKHAGMPTVRSFVAFNCRSDKSLSLLGFKLIHVLALELCGCIKLEHLGYLLHLRYLSLRRSRIDDEFLKGVGALKFLQTLDLTNTTHTRLRLSTLDHLTQLVCLRATDLIVGADWVGKLMSLEELDVLLYVHVHDRDVRQFVKELGRLRELRVFRMVLGGYDDRMDESMQTDLVESLCNLQKIQHLRFIFRMDVDTAKWEAAGFVLSPHLRVLSMPNIKFSTLPSCINPSRLPNITTLYLNVDAMDEQDLESLGRLPELLDLSLTIYSTVTISNIAGNGYFQKLRCLDMFNSMLKFLPSKEDSSVSLHISNGRDDIPFGYEKTDERRAAPTVMPSLEKISSTIFVWAFKDGSYGCDTLGLEHPPSLHTAQFFMQYDEYDGKSDAEIKRYNASWLPSSPSAWYSTSFYLFVYLIRFQILAGSTDEEGQPRRRNTRNFNLNHNSIAQSYVIVTPSFCKLDSSGWNDLYDCPHTQCTCTFVPYT >PAN19116 pep chromosome:PHallii_v3.1:3:16263521:16264193:-1 gene:PAHAL_3G250800 transcript:PAN19116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKAEKAPKAEKKPKAEKRLPASKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >PAN19866 pep chromosome:PHallii_v3.1:3:21084400:21087800:1 gene:PAHAL_3G303800 transcript:PAN19866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKTASELSGSTSKLEFDIYEEVGIPNSTVAINFLQPLGASNWTYVIFSIIPYPIFSTMSPTWLSILRASFMSLVVEQSKLHLTESLFGNSTNFEVFKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQEKTNELKHQMKSGLRLNPYENLYIKLTNSKGSTVAPPTIVEVSIVLEVGNHQPSIPRMKQLAQTIANSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSEGGTDAPSPAPMPYQDHPHHHHRRRHHCHRHHHHEHHHHHHNSHEDKKHFAPSPAPVYSPVQQPKYRSPSPSCPYGYTKKPKNKAPVAPTAEPVASNQYYASPATIPCAVPPPSISPSPSVHHSPNNPGRHNSALSPSPALAKPHLHTAPRVHRHHPTPTPAVAPAPHSSYATQRHSCLWQWALALLMCMLMGLP >PAN19864 pep chromosome:PHallii_v3.1:3:21081718:21087799:1 gene:PAHAL_3G303800 transcript:PAN19864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEGGGRQQPTPAEGGQGGSGGGGGGGRGRGIGGRCSSWCRGAARPQCVAALLLGAAVALSALFLLPPFAGRRGGAAAPDPGGAFAADIVASFMLQKTASELSGSTSKLEFDIYEEVGIPNSTVAINFLQPLGASNWTYVIFSIIPYPIFSTMSPTWLSILRASFMSLVVEQSKLHLTESLFGNSTNFEVFKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQEKTNELKHQMKSGLRLNPYENLYIKLTNSKGSTVAPPTIVEVSIVLEVGNHQPSIPRMKQLAQTIANSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSEGGTDAPSPAPMPYQDHPHHHHRRRHHCHRHHHHEHHHHHHNSHEDKKHFAPSPAPVYSPVQQPKYRSPSPSCPYGYTKKPKNKAPVAPTAEPVASNQYYASPATIPCAVPPPSISPSPSVHHSPNNPGRHNSALSPSPALAKPHLHTAPRVHRHHPTPTPAVAPAPHSSYATQRHSCLWQWALALLMCMLMGLP >PVH62474 pep chromosome:PHallii_v3.1:3:21081717:21087800:1 gene:PAHAL_3G303800 transcript:PVH62474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEGGGRQQPTPAEGGQGGSGGGGGGGRGRGIGGRCSSWCRGAARPQCVAALLLGAAVALSALFLLPPFAGRRGGAAAPDPGGAFAADIVASFMLQKTASELSGSTSKLEFDIYEEVGIPNSTVAINFLQPLGASNWTYVIFSIIPYPIFSTMSPTWLSILRASFMSLVVEQSKLHLTESLFGNSTNFEVFKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQEKTNELKHQMKSGLRLNPYENLYIKLTNSKGSTVAPPTIVEVSIVLEVGNHQPSIPRMKQLAQTIANSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSEGGTDAPSPAPMPYQDHPHHHHRRRHHCHRHHHHEHHHHHHNSHEDKKHFAPSPAPVYSPVQQPKYRSPSPSCPYGYTKKPKNKAPVAPTAEPVASNQYYASPATIPCAVPPPSISPSPSVHHSPNNPGRHNSALSPSPALAKPHLHTAPRVHRHHPTPTPAVAPAPHSSLIPNLKNIQSAKLFYFYCSNFAAYATQRHSCLWQWALALLMCMLMGLP >PAN19863 pep chromosome:PHallii_v3.1:3:21084400:21087147:1 gene:PAHAL_3G303800 transcript:PAN19863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKTASELSGSTSKLEFDIYEEVGIPNSTVAINFLQPLGASNWTYVIFSIIPYPIFSTMSPTWLSILRASFMSLVVEQSKLHLTESLFGNSTNFEVFKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQEKTNELKHQMKSGLRLNPYENLYIKLTNSKGSTVAPPTIVEVSIVLEVGNHQPSIPRMKQLAQTIANSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSEGGTDAPSPAPMPYQDHPHHHHRRRHHCHRHHHHEHHHHHHNSHEDKKHFAPSPAPVYSPVQQPKYRSPSPSCPYGYTKKPKNKAPVAPTAEPVASNQYYASPATIPCAVPPPSISPSPSVHHSPNNPGRHNSALSPSPALAKPHLHTAPRVHRHHPTPTPAVAPAPHSSLIPNLKNIQSAKLFYFYCSNFAGETSVLQL >PAN19865 pep chromosome:PHallii_v3.1:3:21083204:21087799:1 gene:PAHAL_3G303800 transcript:PAN19865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKTASELSGSTSKLEFDIYEEVGIPNSTVAINFLQPLGASNWTYVIFSIIPYPIFSTMSPTWLSILRASFMSLVVEQSKLHLTESLFGNSTNFEVFKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQEKTNELKHQMKSGLRLNPYENLYIKLTNSKGSTVAPPTIVEVSIVLEVGNHQPSIPRMKQLAQTIANSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSEGGTDAPSPAPMPYQDHPHHHHRRRHHCHRHHHHEHHHHHHNSHEDKKHFAPSPAPVYSPVQQPKYRSPSPSCPYGYTKKPKNKAPVAPTAEPVASNQYYASPATIPCAVPPPSISPSPSVHHSPNNPGRHNSALSPSPALAKPHLHTAPRVHRHHPTPTPAVAPAPHSSYATQRHSCLWQWALALLMCMLMGLP >PAN21931 pep chromosome:PHallii_v3.1:3:63072474:63082025:-1 gene:PAHAL_3G500700 transcript:PAN21931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDARMATESDSDSDARSGGGGGGGSGSGSETPSVSPSTPGTPTAAAAAASPAPVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFVYGGLRGGVLLDDLLVAEDLAAAETTSAANQAAAVAASGNVQREPGRYAYSDEQSGQTVTVSSPDGAVVLGTPVAPPVNGDMYTDNSPENAIIQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDREQSPDAASSGKQTSSLIKPDPALLNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVPNNDRGSLAWI >PVH61920 pep chromosome:PHallii_v3.1:3:10136800:10137168:-1 gene:PAHAL_3G157800 transcript:PVH61920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGYAEQLLGRVSSLVQTCTRRVSRATRRLLRHRKKPAAALCARGAAIDKKGSEVALWSRRILMGERCQPLDFVGAIHYDSFGRRLARPPTPRSASSLSCRSSGSAAASDEDASYLENADA >PAN16508 pep chromosome:PHallii_v3.1:3:4177347:4179956:-1 gene:PAHAL_3G065300 transcript:PAN16508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIAVASTLLLITVGLLHASPPAGAARGSLTRGAAIAVEDHATDFLVSPDGTFACGFYNVSPTVFTVSVWFARATGRTVVWTAAPARPVHSRGARLALDRRGGVLVLTDYDGAVVWNSTSGGAQTASRARLHDTGNLVLEDAGGNTLWQSFDSPTDTLLPAQRFTAARHLVSRGGRGRLLAAGYYSLGFSDYAMLSLFYDNHNFSSIYWPNPYNNYVANKRKIYNFTREAALDAIGQFLSSDDAGFVAADLSAGVRRRLTLDADGDLRLYSLDAATGAWTVAWMAFGNPCIIHGVCGANSVCLYAPAPACVCAPGHERADPGDWTKGCRPVFRHDCSRPTKLVALPHTDFWGYDLNDGEIIPFDECAQRCLGTCACVAFQHKQNMECYLKSVLFNGRTFPGLPGTVYIKVPADFDMPEFQVHQWRGHGGALAIDEDIPRCDTGNGSAEVLLHVSTNNGARDVGKPVWPYLYGFLSAILVVEAVIIGFGCWLFSSRGLFRPSRVWAVEEGYKLITNNFQRYTYSEIKRATGNFTDVIGSGGSGVVYKGILEDDRVVAVKVLKNVSQSEQEFQSELSVIGRIYHMNLVRMWGCCSEGKHRILVSEHIENGSLADMLFDREASDTVLDWNQRFQIALGVAKGLAYLHSECLEWIIHCDMKPENILLDKDLEPKITDFGLAKLLNRDGSDANLSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISEWMIHGVKFADMVTRMVVKAVHEKMETGDQERWVKDLIDHRLNGEFDHVQAKAMLKIAISCLEEDRGKRPNMSTALQALMSVEDEAREDM >PAN20951 pep chromosome:PHallii_v3.1:3:55803110:55804868:-1 gene:PAHAL_3G425900 transcript:PAN20951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKEPAVTKPRLPPGFRFRPTDEELVVHYLRRRALASPLPAAVDIPDVRILAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVVVAVPARAGGQGKAQAVLVGMKRSLVFYRGKPPTGSKTDWVMHEYRLAGAGLAPCRRAAGSQAAEASRPAEGWVLCRVFRKKGSASANAAAAAAADAEASPADGGSDGEAEDAGEEEGGRTFIDFFARADADAAGRRLQQQERRASSPVVSSSCLTDASHEQHGREQETTSRGA >PAN18573 pep chromosome:PHallii_v3.1:3:13741778:13748556:-1 gene:PAHAL_3G211400 transcript:PAN18573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNTPCPVEGNGEIKNGVSSSQNPEAVEHQVMYTSQTVQNTMGIRKNYKRAANRGKKGSQGLTGQTYTLRSSGNNVRMLRSTSSSKTTPTEHAQTPVQPAAKRRKRGRPSKSNKSSTDEFSQIRKRVRYILNRMNYEQSLIEAYASEGWKNQSLDKIRPEKELERAKAEILRCKLRIREVFQNLDSLLSKGKIDESLFDSEGEISCEDIFCATCGSKDVTLGNDIILCDGACDRGFHQNCLNPPLRTEDIPEGDEGWLCPACDCKIDCIDIINELQGSDLSIDDSWEKVFPEAAALANGSKQDDAFDLPSDDSDDNDFDPNMSEEHVASKEEGSSEEEEEEDGGSDSDDSNFLTSSNSDGSGPLTTDKKKVDDLGLPSEDSEDDDYDPAGPDSDNDSDKDIQKNKSTSDESDFTSDSDDFCEEIAKSGGHDEVSSPPLPDVKVDDMERSTPQANTENSNDDPMETEMDQSVVLPVSGRRQTDRLDYKKLYDEAYGEAPSDSSDDEEWSGKSTPTKGNEESEADSPAVKSLHPDSLQGSVDEKHGDLTSNGSNSATRKGHFGPVINQKLHEHFKTDPYPSRSLKESLAEELGLTFQQVSRWFESRRHFTKAASSRKGICPDNHSPENTNSPVAASKQLDEPEETETEKPSVCKNKNATIFGKVGSPKVGSRKNRCKSASGGDVSGSKVDSAEDQVPGLDLADKARQKAIQREMMKKKKGR >PAN21000 pep chromosome:PHallii_v3.1:3:56310429:56312834:1 gene:PAHAL_3G429200 transcript:PAN21000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEVSMCTPAFAWRVVQSRWFVVFASIVVMAASGSTYIFALYSKVLRSKLGYNQETLNKLSFFKDLGTNVGVISGLVQQVAPTWAVLLIGAGMNLAGYLMIYLALTGRTAAPPVWLMCFYICFGANALTFSNTGALVACVKNFPESRGIVIGLLKSFVGLSGAIYTQLYLAIYGDDAASLVLLVAWLPAAFNIFTVYTIRVLPYARRRDGEGAYNTPFYHFLYLSLALAAYLLVMIVVQKQVHFSHAAYVVTSTALLIILFSPVGVVVREEYKAVSQLEESLQQPTAIAVEEPKATAAEKDDDEPSPPLCGGGGMGCIANMFKPPALGEDYSIMQALVSVEMLVLFVISVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRAGAGYISEFLLARYRFPRPLVLTAVLLVSCVGHLFIAFGVPQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSSLFNFGSAASPAGAYVLNVVVTGRMYDAEATRQHGGVAAVGDKICKGVVCFKRPFLIITAVTFAGALVSLVLVWRTRNFYRGDIYAKFKVAPAAAADGSSNGGVQMVQGTEASASEAKTKKKEVVNEDL >PAN20727 pep chromosome:PHallii_v3.1:3:52238473:52239571:1 gene:PAHAL_3G406900 transcript:PAN20727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLFFLRCSFHLPCMRCSSLRRCFDSCYKYARPDTGRPPLPTTATTTTSSHPAQRQASKVMERRARRRHVPAFGEWNYHCSPSSPDDAPLEPARAAEWWCSPEPAEARSDAWFRYSPPPPRRPAPRKARRTQEKRPQYCSGKGGGVAVAARVREEPAGADLVVVARPAARASRRVVRPVDEDLYQVTSPEFVVSTRRPRQKRAARSLWMGCLGGLGCIA >PAN18414 pep chromosome:PHallii_v3.1:3:13082697:13086266:-1 gene:PAHAL_3G199800 transcript:PAN18414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSLLSLLPAVSISPRARRRQAKHHRAPTASHLLCSRRAAAPSPPQVHASSYSSADGGGGRSGGDELHLLEKPSPSVAEDAEEEPEPVPVLSTEEALAPFLKFFQVKSTDPDAEADAAAGGRAESDAADEAARTSGVSAGGRGIRYYDPKPGDFVAGVVVRNDCRTLDVDIGAGGEPALMLTKEAVPVPGEEFGYVACDIGSERAAEFAIEGRVGVVVRQVGAGEEDGELTSGRNGKEKRAAVMGVGTIVFAEVLGRTLGGRPLLSVRRLFRRVAWHRARQIKQLNVPIKVKIYEWNAGGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKVGQEMHVCITRIDEANNELIISEKEAWAVTYLREGTLLQGTVRKLFAYGAQIRIGETNRGGLLHMSNITNGQLRSVADVLKVGETVKALVIKSASPERIALSTKDLESEPGLFITNKEAEGVF >PAN18413 pep chromosome:PHallii_v3.1:3:13082439:13086332:-1 gene:PAHAL_3G199800 transcript:PAN18413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSLLSLLPAVSISPRARRRQAKHHRAPTASHLLCSRRAAAPSPPQVHASSYSSADGGGGRSGGDELHLLEKPSPSVAEDAEEEPEPVPVLSTEEALAPFLKFFQVKSTDPDAEADAAAGGRAESDAADEAARTSGVSAGGRGIRYYDPKPGDFVAGVVVRNDCRTLDVDIGAGGEPALMLTKEAVPVPGEEFGYVACDIGSERAAEFAIEGRVGVVVRQVGAGEEDGELTSGRNGKEKRAAVMGVGTIVFAEVLGRTLGGRPLLSVRRLFRRVAWHRARQIKQLNVPIKVKIYEWNAGGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKVGQEMHVCITRIDEANNELIISEKEAWAVTYLREGTLLQGTVRKLFAYGAQIRIGETNRGGLLHMSNITNGQLRSVADVLKVGETVKALVIKSASPERIALSTKDLESEPGLFITNKEKVFSEAEEMAQRYREQIAEPPRPAEAEGSCNDAVPFDDEAQSYANWKWLKF >PAN18976 pep chromosome:PHallii_v3.1:3:15511406:15514661:1 gene:PAHAL_3G239400 transcript:PAN18976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSAPACAASELVAKGRESAAVLQALLVGQHPAAGAVATPRGLRELTEQILRCCDRALAALRCGTEEDAAAAAGGTRKRKPERSYSPAAAPATSSKRMRGERGTRVEKGRTMEDGFIWRKYGQKEINGSKYPRLYFRCTYKDDHGCMARRQVQRAEADPSVFLITYFGDHTCCRGDDEPLAPFVINFGSSSSDGQPSRSSPWPSCDDDDPVICKSTDLCNSPEEELRSSMDNECEFIDQSTSVPELTSMSSMDGCLDWTLCDGESPFDIGEFIVQDFDYFGLL >PAN17832 pep chromosome:PHallii_v3.1:3:10144899:10148568:-1 gene:PAHAL_3G158100 transcript:PAN17832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MWQRHQALLRRLPPLRTGAQSGGGLGCYYGSAPEGRKGKTAPLQARGMVDKFRMRAKGGDGGNGCVSLRRSRSDRQGRPDGGNGGKGGDVILECSRSIWDFSGLQHHMRGGRGGNGVSKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSFSVNKPTRVLDPWDIPGVAEHSSASSNQIDNVVMKGFDGGLSHRYIALKHNTDGNEAEKESNSHPENKKYLHTRSESGFTNTDCDVRTYPCQEEIDDKDQTESEDGEFWEDEDEFDIDDEEEEDEERQEQDVQYSVAEMTKPGQRLIIARGGEGGLGNAFIMKEMRPSKAYRQDKIARLSTGQPGTETFLILELKSIADVGLVGLPNAGKSTLLSALSRAQPEIADYEFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGIPPWEQLQDLVTELEHYQEGLTRRPSLIVANKIDEEGADEMYEELKRRVHGVPIFPVCAILQEGVPNLRVGLRNLMDDSDPQSMDLRKITVQ >PAN18109 pep chromosome:PHallii_v3.1:3:11752376:11756872:-1 gene:PAHAL_3G179000 transcript:PAN18109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPASASARPLSLPLTVPFPRYSSRVLPLPSSRLLPSRRVALAPARPGAALLSSLSDAREQDDDDEEEFYEEGDEQQEYDDDEEEQEYDEDEELVEVGYVSGAHGVRGDVLVTPRTDFPELRFATPGTRWLRARAAGKQQVREFELVRGKAHTGKKCWIVSFDGIDNLDEARQIVGSAILVKAGDRPEIEADEFYSLDLVGMRVIVKDTGKLVGTVGQVFNFGGGDLLQVMIGSAEGIVVDPDSENQDSTSSREHVWIPFAEDIVPDVDMESREMWITPPKGLLELNSRSDKRSKKERRAMEWKDRKRLQRRVIAGKKVLSEMDQGHVLEGLLSGDKVQKASLAEQIGCVDFQLFRHAVHCVSKQIESSSKNLLPNSSLSRKKVIKIPHKSFINLGEKSEHAFSRELKEGLETLLKSKAAIVLVRNDSDSDAESLSLLSSFSELMKVWVLEELELPIISISSEANRKKVLMKSPWEIIKKPTGSGGIFSLLSSNKILDSLNEMGVQYIQICSSSNRPVIGHPLLFGAVASRGADVGIKLSKSSEPGDDFDLILSIDQLNKMCRDVTQLRFSACPEQNAHVELVNGQWVAVQPEAANSHRLHADVTSVLNSCAVDKVCVMEIIEK >PAN18108 pep chromosome:PHallii_v3.1:3:11752692:11756801:-1 gene:PAHAL_3G179000 transcript:PAN18108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPASASARPLSLPLTVPFPRYSSRVLPLPSSRLLPSRRVALAPARPGAALLSSLSDAREQDDDDEEEFYEEGDEQQEYDDDEEEQEYDEDEELVEVGYVSGAHGVRGDVLVTPRTDFPELRFATPGTRWLRARAAGKQQVREFELVRGKAHTGKKCWIVSFDGIDNLDEARQIVGSAILVKAGDRPEIEADEFYSLDLVGMRVIVKDTGKLVGTVGQVFNFGGGDLLQVMIGSAEGIVVDPDSENQDSTSSREHVWIPFAEDIVPDVDMESREMWITPPKGLLELNSRSDKRSKKERRAMEWKDRKRLQRRVIAGKKVLSEMDQGHVLEGLLSGDKVQKASLAEQIGCVDFQLFRHAVHCVSKQIESSSKNLLPNSSLSRKKVIKIPHKSFINLGEKSEHAFSRELKEGLETLLKSKAAIVLVRNDSDSDAESLSLLSSFSELMKVIENRVSPPFVIVSQPGHVESVTNCLIENNYFGLDAQKVWVLEELELPIISISSEANRKKVLMKSPWEIIKKPTGSGGIFSLLSSNKILDSLNEMGVQYIQICSSSNRPVIGHPLLFGAVASRGADVGIKLSKSSEPGDDFDLILSIDQLNKMCRDVTQLRFSACPEQNAHVELVNGQWVAVQPEAANSHRLHADVTSVLNSCAVDKVCVMEIIEK >PAN20268 pep chromosome:PHallii_v3.1:3:49442483:49451867:-1 gene:PAHAL_3G397500 transcript:PAN20268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFLAVVGRIGTFLLWVLFLVLQTATRIVGSLLAGPAEQQEDPLQESAAVARRRSPPASPRRDPYEPASAPPPQLWDPPPLPYSPSAPAADEYSSSSSFRRRSAPPPLPAEDVVVSSSAYSRPPPGASHAHSVSAPPLRAIETRAVPARAAPAGGKRPRLERKYSRIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKNSFNGMSLHHIGDTPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFAPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTAIMSKKITQSKKETEFALSALMEIPLQYKATLELGILGRRLSKSPERVPLPPPFASYSTVSRAAPSRANSYRSVPSRPREEPTVDSTITASVTSPPAVETRVSEPQMCPVCLSKPRDMAFGCGHQTCSECGPQVADCPICRRPIDTRVKLY >PAN20267 pep chromosome:PHallii_v3.1:3:49443273:49451659:-1 gene:PAHAL_3G397500 transcript:PAN20267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFLAVVGRIGTFLLWVLFLVLQTATRIVGSLLAGPAEQQEDPLQESAAVARRRSPPASPRRDPYEPASAPPPQLWDPPPLPYSPSAPAADEYSSSSSFRRRSAPPPLPAEDVVVSSSAYSRPPPGASHAHSVSAPPLRAIETRAVPARAAPAGGKRPRLERKYSRIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKNSFNGMSLHHIGDTPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFAPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTAIMSKKITQSKKETEFALSALMEIPLQYKATLELGILGRRLSKSPERVPLPPPFASYSTVSRAAPSRANSYRSVPSRPREEPTVDSTITASVTSPPAVETRVSEPQNLQNMKLSCRLCTSYVFILG >PVH63074 pep chromosome:PHallii_v3.1:3:60285134:60286492:1 gene:PAHAL_3G467200 transcript:PVH63074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKDLNLILMQGKFLLTFQKNLINGFDSFLGFDVFKQCILTNLVTQCNFVELRMVHSEYAWTINGSRCSNGT >PVH63150 pep chromosome:PHallii_v3.1:3:61419706:61423152:1 gene:PAHAL_3G480800 transcript:PVH63150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDHQDYGMSAHKMSGNSCKRCSHCDSHYYWHHLDDRQKHFFKLMLGDFQQKMRPDLLMALPRNSLTHTQMQDKETIVFPATNAAADATAFKLEDPDGNLYSVKVSQDLNKLVFRYGWGALCSAYELEQGDMVVFRYSGDSHFKVLIFDPSGCEKEFFRIVMNPSCGVRQRVIPHEQTPSGEGLARHRTAEEMTYPDDTVSPMDSGVLQTSSGARAILAKGCILTSAQKAKLDAFEKKIRPGIPLYVTNMNKANLSNGYMVICKDYSDKYLPHEDQTITLCHSPGSRKRDANLKISADGAYIFSTGWRSLARGNELQDGDAWALEASMSEGRVTVSVHPLHGSHNPPELGASPPLQLDTHPGYMVSKHTNLTPEQKKRCFNKDFAMEHLPRVPQTMRLRRPGVSCSWEAELQVRNECKVHQLCRGWKQFVDDNALRQGELCLFQPLGGGGEDDGELAMNVHILRGH >PVH62682 pep chromosome:PHallii_v3.1:3:38347033:38348249:1 gene:PAHAL_3G366800 transcript:PVH62682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGPRSPARKRIKTAPGVTLPPDMLFEVFLNLPASSVCRFRTVCRSCPRSWHVDLLDLAGTVARRTPLAESGAKELLTCGDLACLIGTGNGIARVLDPATGAVTVLPPSLSEENKAGEGWGRRESFHVVAYAFGRVSSTGEWRSRRSPPVLVAADRMDSMAVIDGVVYFLALQIEQLPFFVNNSVSAEPGSIASFNLETEEWMPILRGSLNGRQPPLLTLTELNGFFVTVHSDRCQRSSMDLWFLNDSKQKNWVNRYSIRLDLCPRRRVFSTNPLFADDRKILLLVRPKGVLMVYDLQTGSCKDLDHINCVAVGLYKGSMLSSRSVQDQE >PVH62013 pep chromosome:PHallii_v3.1:3:11917189:11918025:1 gene:PAHAL_3G181700 transcript:PVH62013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQCLHRARFSYNPKSFGMQRDMPIGRLGVPVRDLPGTTLLLPDLHEAPIAHREKEAAGQPPGARRALPLLNRAGLAMQAWRRAPEACALRVAWPERHRKQATAELSRGNTQARPAVSRASNRKLPRFVYRPAEEPVPCKPMRSPTGKCLTRSADLASVHMDFLFRGL >PVH62910 pep chromosome:PHallii_v3.1:3:56189685:56191394:-1 gene:PAHAL_3G428400 transcript:PVH62910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHPTPSYIPSAVQLVPKQTHQQRRARPDEMATRRRGSRVEESAWVAEVEAAVVDGGASEEESARWRRHCIYHVPACIKDLNPKAYRPQVVSLGPYHHGEPQLRPLDAHKRRALVHFLRRARRPLAEFAAAVAGGAAEEYERLEGAYEGLGGEWRGAAGEERFVELMVTDGCFLLEVMRAASGWEVNDYAAEDPVFSPHGLLYTVPYIRRDMIMIENQLPLLVLDRLLAVETGKDGNEELINRMVLLFLSPTAWPLTTGVGLALHPLDVLRRSLLYGPSPAPRAPPPRDSSPAPPDDIIRSAEELYEAGVRFKRSATGSLLDIRFHRGTLYLPPIAVDDTTEYMLLNLMAFERLHAGAGNDVTAYVFFMDNMVDTARDVALLTARRVVHNAVGSDKAVARLLNGLSRDVVLEPQSALDGVHREVNAYCRKPWNRWRSNLVRTYFRSPWSFLSLAAAVFLLVMTVLQTVYTVVPYYKDNS >PAN19413 pep chromosome:PHallii_v3.1:3:18093276:18096660:1 gene:PAHAL_3G271400 transcript:PAN19413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNPGCTVFIGNLDDRVPERVLYEILIQVGRVVDLHIPRDKETSRQKGYAFAEYETEEIAQYAVKLFSGLVRLHNKTLRFAISGQDKPSSNSNMPVTPRLNPVPAPKAPHLMRSSDTPASQQTVVNGRIAGYGISPSHSYDAHSQAPSSGLPSRGLSNGTYEYSRHVLGSVLNDASSRGTREPVPYPSY >PAN21936 pep chromosome:PHallii_v3.1:3:63098228:63103636:-1 gene:PAHAL_3G501000 transcript:PAN21936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFRSHEGFGQVHAGASSNGAQLPWWAPAPQLLLYGEALGQGKVPPEAAPCREARFQVVPGAQAPLGPPVPPPPPAKAAAERGLPEVLKFSVAQGKGEKGSEHSATVALPSPFVIYNGHFELGLGQSTVSANNPYADQHCGLLSPYPVGATPGGCMRIPLNMPTEAPIYVNAKQYEGILRRRRARAKAERENRLVKARKPYLHESRHLHALRRARGSGGRFLNTKKESNAKDAGGDGKAMVSNSLMRQVASPSSEIQQSDLGNPSSVSSLSGSEVSSIYDHEDVDHYHSFDHLRTPFFTPLPSIMDSEHGVGNPFKWPTASEGCCDLLRA >PAN21938 pep chromosome:PHallii_v3.1:3:63098923:63102390:-1 gene:PAHAL_3G501000 transcript:PAN21938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFRSHEGFGQVHAGASSNGAQLPWWAPAPQLLLYGEALGQGKVPPEAAPCREARFQVVPGAQAPLGPPVPPPPPAKAAAERGLPEVLKFSVAQGKGEKGSEHSATVALPSPFVIYNGHFELGLGQSTVSANNPYADQHCGLLSPYPVGATPGGCMRIPLNMPTEAPIYVNAKQYEGILRRRRARAKAERENRLVKARKPYLHESRHLHALRRARGSGGRFLNTKKESNAKDAGGDGKAMVSNSLMRQVASPSSEIQQSDLGNPSSVSSLSGSEVSSIYDHEDVDHYHSFDHLRTPFFTPLPSIMDSEHGVGNPFKWPTASEGCCDLLRA >PAN21937 pep chromosome:PHallii_v3.1:3:63098228:63103588:-1 gene:PAHAL_3G501000 transcript:PAN21937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFRSHEGFGQVHAGASSNGAQLPWWAPAPQLLLYGEALGQGKVPPEAAPCREARFQVVPGAQAPLGPPVPPPPPAKAAAERGLPEVLKFSVAQGKGEKGSEHSATVALPSPFVIYNGHFELGLGQSTVSANNPYADQHCGLLSPYPVGATPGGCMRIPLNMPTEAPIYVNAKQYEGILRRRRARAKAERENRLVKARKPYLHESRHLHALRRARGSGGRFLNTKKESNAKDAGGDGKAMVSNSLMRQVASPSSEIQQSDLGNPSSVSSLSGSEVSSIYDHEDVDHYHSFDHLRTPFFTPLPSIMDSEHGVGNPFKWPTASEGCCDLLRA >PAN21940 pep chromosome:PHallii_v3.1:3:63098923:63102390:-1 gene:PAHAL_3G501000 transcript:PAN21940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFRSHEGFGQVHAGASSNGAQLPWWAPAPQLLLYGEALGQGKVPPEAAPCREARFQVVPGAQAPLGPPVPPPPPAKAAAERGLPEVLKFSVAQGKGEKGSEHSATVALPSPFVIYNGHFELGLGQSTVSANNPYADQHCGLLSPYPVGATPGGCMRIPLNMPTEAPIYVNAKQYEGILRRRRARAKAERENRLVKARKPYLHESRHLHALRRARGSGGRFLNTKKESNAKDAGGDGKAMVSNSLMRQVASPSSEIQQSDLGNPSSVSSLSGSEVSSIYDHEDVDHYHSFDHLRTPFFTPLPSIMDSEHGVGNPFKWPTASEGCCDLLRA >PAN21939 pep chromosome:PHallii_v3.1:3:63098923:63102390:-1 gene:PAHAL_3G501000 transcript:PAN21939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFRSHEGFGQVHAGASSNGAQLPWWAPAPQLLLYGEALGQGKVPPEAAPCREARFQVVPGAQAPLGPPVPPPPPAKAAAERGLPEVLKFSVAQGKGEKGSEHSATVALPSPFVIYNGHFELGLGQSTVSANNPYADQHCGLLSPYPVGATPGGCMRIPLNMPTEAPIYVNAKQYEGILRRRRARAKAERENRLVKARKPYLHESRHLHALRRARGSGGRFLNTKKESNAKDAGGDGKAMVSNSLMRQVASPSSEIQQSDLGNPSSVSSLSGSEVSSIYDHEDVDHYHSFDHLRTPFFTPLPSIMDSEHGVGNPFKWPTASEGCCDLLRA >PVH61392 pep chromosome:PHallii_v3.1:3:1274421:1277623:1 gene:PAHAL_3G023100 transcript:PVH61392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPVDIDPGRRAPAPATPVTTAARDAYFLWELRKYVLLQATLAASVTYSAGLSPPGGFWDDNDGVRLAGDPVLQVTYARRYTLFFYFNATAFIASIITVNLLLVHSLSRRRWWLRALQAAMILDQLGLMGAYAAGSCRDVAMSAYIVALVAMVSSYVCAHVLLFTLCALRRHDSGGDGEDVMPEEAHEAVERSRKYLLIFATLVATVTYQAGLSTPGGFLSDSQDSDHLAGDPMLHDHHPDRFMGFFYFNTTAFVASLVVIMLLMSRTVTRHGFQSCALWVCTGAALIGLTGAFSVGSSRSVKTSIYVIALVAAILLYIGLQFLVFLCKPVEKWVHSVQETLQKYLRLDRTESQQDHGVHAVSDPQALNADQLLQKSRMYLLLLGILAASVTYQAGLNPPGGFWQADAADGPHHYLAGDPVLHITYPRRYLVFFYCNATAFVASLAILILLLSNIFSTQGIKYCALQVAMILDLLGLIGAYAAGSCRQVSKSVYISVLVVPVFLYVGIHVLVFMLEVFPNHATWREMVKAKLGQFVPNWLKKLFDLQAGEEDEDLEWKLEKSRKLLLLLAILAASLTYQAGMSPPGGFWQENKTGHAVGNPVLSDNYPRRFLAFFYCNATAFVASLAIIMLLVNRKLSARGIQSHALRVCVILDLIGLMGAFAAGSSRKVSTSIYVLVLVFAVLVCIALQVLLVVSESVQHLLQKLLSFFGVLDEESSDIFPRKATIGGARDLWGEKLPKYLLLLAALAATVTYQAAMNPPGGLWDDGQTAHTAGDPVLRSSYPRRYKAFFYCNATSFMASLVIMVLLLIRRVCRAKPAILALHTAMILNLFGLMGAYAAGSCRRVRTSAYILALVIGVSAYIVVLVVVSIGVAKWLERVMDKLAERMIWCFSPEDL >PAN20652 pep chromosome:PHallii_v3.1:3:46434650:46435951:1 gene:PAHAL_3G389700 transcript:PAN20652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRPRREIPCPEAAATASDPEGDDDDDDDGTLTSPLAAPSPAPLEPEPQAADAAAPFCPFASPTSVLRYGPDEVAGAPALPAFDFLYGELGDIGAAAAPSKAAAAEFDWLPWWEGEDFVTATALTPSAAAVSVV >PVH63005 pep chromosome:PHallii_v3.1:3:58736245:58741230:-1 gene:PAHAL_3G450700 transcript:PVH63005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMSVSAVSPAATAVARPRTLVCVPATARAPREMAAELAAAAALGADVAELRLDLLAGFAPRRDLPIILAEPRPLPALVTYRPKWEGGEYEGDDERRLEALMLAMELGAEYVDIELKAADKFMRLLSGKKPENCKLIVSSHNYENTPSAEELADLVAQIQATGADIVKIATTATEIVDVARMFQILAHCQEKQVPIIGLVMNERGFISRVLCPKYGAYLTFGSLEKGRESAPAQPTAADLINLYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLNTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTMVRRPDGKLVGYNTDYVGAISAIEDGIRASQQTDPTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPVDNENFQAITSSLDNPNTMKPRL >PVH63003 pep chromosome:PHallii_v3.1:3:58737247:58741114:-1 gene:PAHAL_3G450700 transcript:PVH63003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMSVSAVSPAATAVARPRTLVCVPATARAPREMAAELAAAAALGADVAELRLDLLAGFAPRRDLPIILAEPRPLPALVTYRPKWEGGEYEGDDERRLEALMLAMELGAEYVDIELKAADKFMRLLSGKKPENCKLIVSSHNYENTPSAEELADLVAQIQATGADIVKIATTATEIVDVARMFQILAHCQEKQVPIIGLVMNERGFISRVLCPKYGAYLTFGSLEKGRESAPAQPTAADLINLYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLNTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTMVRRPDGKLVGYNTDYVGAISAIEDGIRASQQTDPTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDRLMRDIVLTKT >PVH63004 pep chromosome:PHallii_v3.1:3:58736462:58741230:-1 gene:PAHAL_3G450700 transcript:PVH63004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMSVSAVSPAATAVARPRTLVCVPATARAPREMAAELAAAAALGADVAELRLDLLAGFAPRRDLPIILAEPRPLPALVTYRPKWEGGEYEGDDERRLEALMLAMELGAEYVDIELKAADKFMRLLSGKKPENCKLIVSSHNYENTPSAEELADLVAQIQATGADIVKIATTATEIVDVARMFQILAHCQEKQVPIIGLVMNERGFISRVLCPKYGAYLTFGSLEKGRESAPAQPTAADLINLYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLNTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTMVRRPDGKLVGYNTDYVGAISAIEDGIRASQQTDPTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDRLMRDIVLTKT >PVH62192 pep chromosome:PHallii_v3.1:3:15137215:15139543:1 gene:PAHAL_3G232800 transcript:PVH62192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASAKEGENGHMASSPELAARNGGGSSSAGVAAARPPPLSPPDAVMLEQPPPVPYLFAPQVPVTPFQRPTEFSPVFNHSPINGTDESTTNHSQEKGIPTLITWSQGGNEVFLEGSWDNWTSRRALERSGKDHTILLVLPSGIYHYRMIVDGELRYIPEKPCVTDERGQLANLLDVHVSKDTIFLFHHSNL >PAN18882 pep chromosome:PHallii_v3.1:3:15136906:15140430:1 gene:PAHAL_3G232800 transcript:PAN18882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASAKEGENGHMASSPELAARNGGGSSSAGVAAARPPPLSPPDAVMLEQPPPVPYLFAPQVPVTPFQRPTEFSPVFNHSPINGTDESTTNHSQEKGIPTLITWSQGGNEVFLEGSWDNWTSRRALERSGKDHTILLVLPSGIYHYRMIVDGELRYIPEKPCVTDERGQLANLLDVHDYVPESLDSVAEFEAPPSPEHSYDLQYPGDEEFAKEPPTLPPQLLMSVLGDTDNTDDQAPKPQHVVLNHLFIEKGWGSQSLLALGVTHRFESKYVSFVLYKPLRR >PVH62191 pep chromosome:PHallii_v3.1:3:15136906:15140430:1 gene:PAHAL_3G232800 transcript:PVH62191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASAKEGENGHMASSPELAARNGGGSSSAGVAAARPPPLSPPDAVMLEQPPPVPYLFAPQVPVTPFQRPTEFSPVFNHSPINGTDESTTNHSQEKGIPTLITWSQGGNEVFLEGSWDNWTSRRALERSGKDHTILLVLPSGIYHYRMIVDGELRYIPEKPCVTDERGQLANLLDVHVRLCSRKSRQRSRIRGTSVTRAQLRPAISGRRGIRQGATHPPSPASHVCPW >PAN22141 pep chromosome:PHallii_v3.1:3:64512514:64517121:-1 gene:PAHAL_3G518100 transcript:PAN22141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGLSANNAAAAAHDDDDTNSAPFPDTVQVGGSPEYKVDRKLGKGGFGHVFLGRRLTAARSSASAAQEVAIKFEHTSSKGCSYGPPCEWQVYTALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSLGQSMSSEMVACIAVESISILESMHSKGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRESASGQHVGYDQRPDAFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMSTSPESLCGICPQPFKQFLETVVNMKFDEEPNYSKLISLFDSLIGPNPSIRPINTDGAQKVGQKRARLLNDDDGHVKKKIRLGAPATQWISVYNSRYHYNVADTRLAQHVEKGNEDGLLISSISSCANLWAIIMDAGTGFTAQVYELSPHFLHKEWIMEQWDKSFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATSGSRWAIVMSRSAGFSEQVVELDFLYPSEGIHRRWDNGYRITAMAATMDQSALILSKPRRRPRDETQETLRTTQFPSQHVKEKWAKNLYLAGICYGRTVA >PAN22138 pep chromosome:PHallii_v3.1:3:64512514:64517121:-1 gene:PAHAL_3G518100 transcript:PAN22138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGLSANNAAAAAHDDDDTNSAPFPDTVQVGGSPEYKVDRKLGKGGFGHVFLGRRLTAARSSASAAQEVAIKFEHTSSKGCSYGPPCEWQVYTALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSLGQSMSSEMVACIAVESISILESMHSKGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRESASGQHVGYDQRPDAFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMSTSPESLCGICPQPFKQFLETVVNMKFDEEPNYSKLISLFDSLIGPNPSIRPINTDGAQKVGQKRARLLNDDDGHVKKKIRLGAPATQWISVYNSRSPMKQRYHYNVADTRLAQHVEKGNEDGLLISSISSCANLWAIIMDAGTGFTAQVYELSPHFLHKEWIMEQWDKSFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATSGSRWAIVMSRSAGFSEQVVELDFLYPSEGIHRRWDNGYRITAMAATMDQSALILSKPRRRPRDETQETLRTTQFPSQHVKEKWAKNLYLAGICYGRTVA >PAN22139 pep chromosome:PHallii_v3.1:3:64512816:64516641:-1 gene:PAHAL_3G518100 transcript:PAN22139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGLSANNAAAAAHDDDDTNSAPFPDTVQVGGSPEYKVDRKLGKGGFGHVFLGRRLTAARSSASAAQEVAIKFEHTSSKGCSYGPPCEWQVYTALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSLGQSMSSEMVACIAVESISILESMHSKGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRESASGQHVGYDQRPDAFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMSTSPESLCGICPQPFKQFLETVVNMKFDEEPNYSKLISLFDSLIGPNPSIRPINTDGAQKVGQKRARLLNDDDGHVKKKIRLGAPATQWISVYNSRSPMKQRYHYNVADTRLAQHVEKGNEDGLLISSISSCANLWAIIMDAGTGFTAQVYELSPHFLHKEWIMEQWDKSFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATSGSRWAIVMSRSAGFSEQVVELDFLYPSEGIHRRWDNGYRITAMAATMDQSALILSKPRRRPRDETQETLRTTQFPSQHVKEKWAKNLYLAGICYGRTVA >PAN17937 pep chromosome:PHallii_v3.1:3:11009095:11009388:1 gene:PAHAL_3G166400 transcript:PAN17937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRNHTFFTVPSVVRRDHQRSHGIKDRIKGVVQRCLHIDDFESEGWKYTSSEKAIKKTLCLLFRA >PAN20488 pep chromosome:PHallii_v3.1:3:25169573:25171599:1 gene:PAHAL_3G324200 transcript:PAN20488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLALACVPIAVVWANAGQILILIGQDHDIAEEAGAYSRWLIPCLVPYVPLACHIRFMQTQGIVVPVMASSGVTALSHVFLCWALVFKAGMGSKGAALSNTISYCVNLAMLALYVRLSGACTRTWTGFSTEAFKELRRFTELAIPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGSLLFMIPFGLCTAISTRVSNELGAGQPHAAKLGTRVVMCIAMSAGVVLAFTMILLRNFWGYMYSNEPEVITYIAKMIPVLAISFFTDGLHSSLSGVLTGCGEQKVGARVNLGAFYMVGVPMAVLLAFVLHLNGMGLWLGIVCGSLTKLMLLMWITVRINWEKEATKAHSVHLFP >PAN18677 pep chromosome:PHallii_v3.1:3:14146929:14149280:-1 gene:PAHAL_3G218100 transcript:PAN18677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGDTCTRGCRFCAVKTSNKPPAPDALEPLKTAMAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVRILKELKPGILVECLTSDFRGDLDAVSSLANSGLDVYAHNIETVKSLQRIVRDPRAGYDQSLAVLKHAEISKAGMVTKSSIMLGLGETDEEVKQAMADLRAVDVDILTLGQYLQPTERHLTVRGYVTPEKFDFWKEYGESLGFLYVASGHLVRSSYRAGELFLCLALAFTRT >PAN20969 pep chromosome:PHallii_v3.1:3:55881197:55882252:1 gene:PAHAL_3G426300 transcript:PAN20969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDRAGGPHHHRPSPPPSSDAGKRRRLPNVRLAGSVPPPSHLPHPRRVPVVPATRSRVPLHLRHQQNNSHHQAPSADQPQTLPKPSADSGDDLALALAAAFPRKPRAPEAAAAGKANERADETESEPEPETETEEYGEAGEEVDDVAGWLWRMGMGRYAAAFEAHEVDAAVLPCLTMDDLRDMGIGAVGARRKLFCAIQRLAPPPPPPPPRR >PVH63231 pep chromosome:PHallii_v3.1:3:62986446:62988187:1 gene:PAHAL_3G499800 transcript:PVH63231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MALRPLLHHLLLSSPPHRRPNLPPSPPPSSSSATTRRSAAAALLLLAAAAAAPPPRPARAADEPGGEDVDESRVVRLFQEASPSVVFIKDLVVAEPQGRGGGRDEEVDEEEGGAKVEGTGSGFVWDSAGHIVTNYHVVAKLAGDGSVSHYCKVFLEDSSGKSYSKEGRLIGCDPAYDLAVLKVDVDSDKLRPALIGTSRGLRVGQSCFAIGNPYGYEHTLTTGFLIGG >PAN21918 pep chromosome:PHallii_v3.1:3:62986341:62991096:1 gene:PAHAL_3G499800 transcript:PAN21918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MALRPLLHHLLLSSPPHRRPNLPPSPPPSSSSATTRRSAAAALLLLAAAAAAPPPRPARAADEPGGEDVDESRVVRLFQEASPSVVFIKDLVVAEPQGRGGGRDEEVDEEEGGAKVEGTGSGFVWDSAGHIVTNYHVVAKLAGDGSVSHYCKVFLEDSSGKSYSKEGRLIGCDPAYDLAVLKVDVDSDKLRPALIGTSRGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPSGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >PAN19156 pep chromosome:PHallii_v3.1:3:16559877:16566212:1 gene:PAHAL_3G253200 transcript:PAN19156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEDDDSDVILLAHQLPVDMDGPDEGRLAHLLPPLHRAPPPPPPPPFRPPPPPQAVASAEHRLSFRGWLGAPRHWDLWVAKLRPLHAPLWRRLGIHDAVLTSTYRIKPDASLVLHLASFWCPATSTFAFPWGEATLTLHDAALIAGLPATGSPVPAPLQPEWRPDEAALNGVRLGFNRSACKKAHLSAWIKHFLTDHNDPVLEHAAFLALWLTRFVLPGQPESTMRQAVFPIAVRLARGERVALAPAVLASLYRDLRDIKAFLVAAGAAATTGNADMLSSLSLYSPLYILHLWIWERFPALRPGRENPQGDGEPMAARWHDLHRKVSPTLIREVLSSRDNFLWQLPYAASLKKYSGWVCSSDLTGNDQLRLLAHCLRPCELVGMDCIEQYLPHRIARQFGLDQDVPMDVRRANQDWVVAWQTYELEGKNVSLFIPQSEPGVTARYAQWWRQQLPPSDLHAGAPSISVESKISKRKVKKTPAAMEAEAEKERRMKKVRVSPSDKKRRLEELYDPKFSGWLAAGRSGISDAAGGSCKKGYLQKYDMESDEALLPNVGATNDDVVLLLPRMQTPNPVVFVPKKYDIMNTALGDGGNSIVDMPPETSNDELERDATAMQKEEKLNNNNPVDSSLDITNKPEGDTVAMKLEKETMEISVVRSVGTTDRPEEGATVVMELEKEAMETHHIPEDDTTKVPQSGYEKYTMVMELEKEAMERHNIPGDDTTKIPQLEYEKLRDETPIEEDAEEKPCADGKDLAEKDVDESTEVYKVKQAEWEAHNLLTEKDGDNITDALGEGYDLLVEKDCDNITDALAVEQAAEGQSTSLTEEGTHGHVEEITLVEQVDGQSEGATKIATECIPEEIAQAHEKESDNDMMIYSKNSANGETPCSSAPVQLKGGTMAKQCNQNVELNNQRELSSDAAAMKVEGVYDHKTTDMHEETALTRKHDHKIIGENRATSILEGSHMLDSGVKSDSIALEVDEIHTAGGLQNKEISDLDKEMAPKQKQDDIIIWENKETMVSEGSHMLDSRVKSNLVTLKNDETHAGGGIPNQESLGLDKEMALKQKQDHIIECENKETTELRGILMLDNGMKPDLVILDVDETAPAEGNENQDISDFNKQQGMNGTQDLVTVIENNEVNISEGEDIPVCSGYQIRPAIENNKMNMSEDARIPDCSEHRIDPTGIEVNEVESTKKLQNQELLDNKEQLAVEEGQHLGTTIENNEMNLPNEANVLVCGENQINSTGTDVIEVKSTNGIQNQDLLDNKEVSLPASIQDQELLDNKEDQITEKRMELKIAYESGVSLEEAYKLGDGVDTCAVAVNVSVSMQNKETCTIELAIEDKQHHEVEHVNEERILEDTVMIDSGGLKSDATDVEVDMAGSKEGTMNQCAETAMQEKQDQEMAGEDTNRDVADMNALECRVKPDGAVKMAHETLRTTESIDIAGSKISSEDKEKAASFEEHNITEVSGFELNQTTGMEPEGALQLEPKNLVEVKQENLENETGRSIFKENDDVSCKDQTSACVMISSSNIDDQCEDDNGWGEESTKSYDKLASDSINTACRHPVKFGKSSNEEVKRAHNIRSMYLKDIKESLGRIRAEPSNRVQATNFGYPSRHAVQESHSACKEIKVPLRDSGRDFGRDRALELVVTSPAEETSRWRQEQYALQILEDVQNARIAEKTRMEMEIRVLKSQIASMEKQVMNLDHFSEVKSRSKRH >PVH62271 pep chromosome:PHallii_v3.1:3:16559877:16566212:1 gene:PAHAL_3G253200 transcript:PVH62271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEDDDSDVILLAHQLPVDMDGPDEGRLAHLLPPLHRAPPPPPPPPFRPPPPPQAVASAEHRLSFRGWLGAPRHWDLWVAKLRPLHAPLWRRLGIHDAVLTSTYRIKPDASLVLHLASFWCPATSTFAFPWGEATLTLHDAALIAGLPATGSPVPAPLQPEWRPDEAALNGVRLGFNRSACKKAHLSAWIKHFLTDHNDPVLEHAAFLALWLTRFVLPGQPESTMRQAVFPIAVRLARGERVALAPAVLASLYRDLRDIKAFLVAAGAAATTGNADMLSSLSLYSPLYILHLWIWERFPALRPGRENPQGDGEPMAARWHDLHRKVSPTLIREVLSSRDNFLWQLPYAASLKKYSGWVCSSDLTGNDQLRLLAHCLRPCELVGMDCIEQYLPHRIARQFGLDQDVPMDVRRANQDWVVAWQTYELEGKNVSLFIPQSEPGVTARYAQWWRQQLPPSDLHAGAPSISVESKISKRKVKKTPAAMEAEAEKERRMKKVRVSPSDKKRRLEELYDPKFSGWLAAGRSGISDAAGGSCKKGYLQKYDMESDEALLPNVGATNDDVVLLLPRMQTPNPVVFVPKKYDIMNTALGDGGNSIVDMPPETSNDELERDATAMQKEEKLNNNNPVDSSLDITNKPEGDTVAMKLEKETMEISVVRSVGTTDRPEEGATVVMELEKEAMETHHIPEDDTTKVPQSGYEKYTMVMELEKEAMERHNIPGDDTTKIPQLEYEKLRDETPIEEDAEEKPCADGKDLAEKDVDESTEVYKVKQAEWEAHNLLTEKDGDNITDALGEGYDLLVEKDCDNITDALAVEQAAEGQSTSLTEEGTHGHVEEITLVEQVDGQSEGATKIATECIPEEIAQAHEKESDNDMMIYSKNSANGETPCSSAPVQLKGGTMAKQCNQNVELNNQRELSSDAAAMKVEGVYDHKTTDMHEETALTRKHDHKIIGENRATSILEGSHMLDSGVKSDSIALEVDEIHTAGGLQNKEISDLDKEMAPKQKQDDIIIWENKETMVSEGSHMLDSRVKSNLVTLKNDETHAGGGIPNQESLGLDKVVQEMALKQKQDHIIECENKETTELRGILMLDNGMKPDLVILDVDETAPAEGNENQDISDFNKQQGMNGTQDLVTVIENNEVNISEGEDIPVCSGYQIRPAIENNKMNMSEDARIPDCSEHRIDPTGIEVNEVESTKKLQNQELLDNKEQLAVEEGQHLGTTIENNEMNLPNEANVLVCGENQINSTGTDVIEVKSTNGIQNQDLLDNKEVSLPASIQDQELLDNKEDQITEKRMELKIAYESGVSLEEAYKLGDGVDTCAVAVNVSVSMQNKETCTIELAIEDKQHHEVEHVNEERILEDTVMIDSGGLKSDATDVEVDMAGSKEGTMNQCAETAMQEKQDQEMAGEDTNRDVADMNALECRVKPDGAVKMAHETLRTTESIDIAGSKISSEDKEKAASFEEHNITEVSGFELNQTTGMEPEGALQLEPKNLVEVKQENLENETGRSIFKENDDVSCKDQTSACVMISSSNIDDQCEDDNGWGEESTKSYDKLASDSINTACRHPVKFGKSSNEEVKRAHNIRSMYLKDIKESLGRIRAEPSNRVQATNFGYPSRHAVQESHSACKEIKVPLRDSGRDFGRDRALELVVTSPAEETSRWRQEQYALQILEDVQNARIAEKTRMEMEIRVLKSQIASMEKQVMNLDHFSEVKSRSKRH >PAN20404 pep chromosome:PHallii_v3.1:3:52027821:52036213:1 gene:PAHAL_3G405700 transcript:PAN20404 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MDGYGEAATAAMEVETEASPCAGLSSPVTSDSPSRNPDGSVISRRLGLKNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYLGECKGHDGTIHEISFSVPSSPQVICSCSSDGTVRAWDTRNFKQISLLRGGASQEMFTFAFGGSSGNLLAAGSNAQVLLWDWRNSKQVACLEESHMDDVTQVKFAPNQQSKLISAAVDGLVCVFDTDGDIDEDNHLLSVMNAETSIAKVGFFGNMYQKLWCLTHIETLSIWDWNDGSRELNVEDARSLATDKWNLDHVDYFVDCHYSLPDDRIWLIGGTSSGTLGYFPVRNDPAGAIGPAEAILEGGHTGVVRTVYRAAGTHGSLGQNRGIFGWTGGEDGRLCCWRSDEIAEANKSWISSSLVSKLQKKIKSRHQPY >PAN19788 pep chromosome:PHallii_v3.1:3:20551369:20554248:1 gene:PAHAL_3G299500 transcript:PAN19788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGSSELYLLSRFAPSSSSSGQLRGRERAMDHQDLSAELDPALLMSASTSSSSPPDSASPSFSFSHLSPPHYTLAVSNLSCPAPRRRSSILPSFLPSCFSISPATDVGGSGEGLLKSVSFTASSSNILAVVGPSGAGKSTLLRILSGRGTGSEIAKPGTVSLNGHAVTSRAQLRRLCGFVTQDDNLLPLLTVRETILFAARFRLRAATTARERLDRVEALMQELGLSEVADSYVGGGDGCGATAARGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAVDVLALLHEVSRARRQVVVLSIHQPSYRMLGYISSLLLLSRGAVAHSGTLKSLEDALARLGNKIPMQLNPLELAMEVTGELEEDHRRARFPALAAQHGDHHDDEDAMSLLNSSARLDVPDRGYCSRVTEVSALTVRCWRTMYRTRELFAARAAQAVVGGLGLGSVYFRLSPDNPDGVALRLGLFAFTLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSGPLYWLAGLRAAPLGAFAFFVLAVWLIVLMASSLVLFLSAVSPDFVLGNALICVFLGVFFLFSGYFIPRGSIPRYWAFMYYVSMYRYPLDLLLINEYGGGARGRCVAWVGGNGGVCLRTGADVLRDRGIDEGMKWVNVGVMLGFFLLYRVMCWAVLVRRASKTTL >PAN15853 pep chromosome:PHallii_v3.1:3:866442:870287:-1 gene:PAHAL_3G015800 transcript:PAN15853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFDTNNLMLQIKRIVYPYVRFGYQSACDYPVVLSIGVLLLFLHKACPSLFIFLLSSSPVFLLTALLLGALLSYGEPSALVIGEETLENLKKSPPKAKVSVIESSVEEVQNVAVTCAAKSFESPVVCIQERTSDIFVHDTHRDEENVISMSADTVLPAETSEPTKNEVIVEREEEQHVKEICEKVEHQHFESANTERCHYEVNNQYQFGELMSSCWQPVMRQEPCSDSESDLSESSSDASITDIIPMLDELNPPVNFGTDHPSSTFRDNLNSSSDDEEDELEEDGDLSSDEDRAEEKKDDGNNWRDFMDSNSSDMENNGNMESLMERRKAKNILKFELNRKLMDMQAADAIQKMEEASRFRVQVPSISTPRPSEEMVELPQIPDSAPSVILPWRKPFDVPFDQIVDRNSHLQETWTPRLCFPSTQRRKHENLYLRQSTYLRHHNSIKPEKPEVSEKDAGDYHSDSDSEPALNNGKLFGSLEPHVGDEIKILSAAISEVCVLEVNHGIKEGTESTVSINGTDSFYIQKYISSTSEANGSVSAGCEQLLMCTLSEEYNTEKHTIEANSISEVNSLFKCRMEEVLVQSISESGIDQPLTVKLEHDLNDTSSAESVMPVIEARSVEDLSSLFAQLNGEALECAASDSSYDNEPIQERSSEPLPVENGHTPELPTKDGNSCLTLDNLVAEKVECKSKELLTEDGELPVLEASSVEEMNSLFRQLQDEARAQMPHSSELIFGERNGKADSGVPVPGANSNEGISSAFVHLRNDDDKIPGDGEVILDSVERNSGLHAMDTNILNGDGTSVSDSTKAIEVKESLERA >PVH61470 pep chromosome:PHallii_v3.1:3:2594290:2595195:-1 gene:PAHAL_3G040600 transcript:PVH61470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRTNLNRSTSRTDRINSTCSYHHPRSMASSSSSLSSTSSSRPFPLAGGAAMMALALVVLCLVVNPTLAVATGSCDDLAAGFVKVELPESDFAVQSPYDVPVEQRYRYDTCTGVRTFWVYAGDKPFNNVTTTNPRTEVRLRGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNENGAAHATAMMLHVYNGTLRHYSGEAVEDGIYDRWFRLNVVHDVGASTVAVFVDGAARLAVAVAPSASHYFKFGVYVQHHDVSPRVESRWRNVTVYTKPY >PAN16785 pep chromosome:PHallii_v3.1:3:5588443:5593916:-1 gene:PAHAL_3G086300 transcript:PAN16785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVFDPPEMERETESKFGTCTSIRKDVVFEQDPGSSYRLWGNMKFEEDGHLLSQDYQTRPMESNYVTNLRSHAYSEDPLPLGRECRRQKSHLPISSSSWENSSALEAAPPPSSPDALGHAFGKMTTKTNTLSARPDYRASYPATAPHMRKHTGEVELDYGLDHSDHCCRRSDRFTAFSSRNGQSVEHCSELLDYARGPHYVDGINPISHQWCFDDGGPSVPRGLQYGDEIPSLSSKKHNGLPSRSSQWHYDPQTPLFSRRQEYGDEIPSLSPNWRYRYKIPLHSGHWCHDAEAPARSRYRQGASHWNGHSRQNFARINTNEQAKVTTSKHAFMKHRMVNRVVNSSVHYRTNMKDNRCRNPEDIKDQVRGPRASKLNNTSASSSVKDISSPLVCRDQFNTSDFSVQYKQAKFFMIKSYSEDDIHKGIKYNVWASTANGNDKLDAAYHEAQILMKENGENCPVFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWKEDKWNGFFPIIWHIIKDIPNRLFRHIILEHNDNRPVTFSRDTQEIGLAQGMQMLKIFKDHLQGTSILDDFDFYEEKDNARRAQKGGNSESTHQARFSEDLEPMGNLEARMESWSLYENWD >PAN16786 pep chromosome:PHallii_v3.1:3:5588723:5592828:-1 gene:PAHAL_3G086300 transcript:PAN16786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVFDPPEMERETESKFGTCTSIRKDVVFEQDPGSSYRLWGNMKFEEDGHLLSQFPLQDYQTRPMESNYVTNLRSHAYSEDPLPLGRECRRQKSHLPISSSSWENSSALEAAPPPSSPDALGHAFGKMTTKTNTLSARPDYRASYPATAPHMRKHTGEVELDYGLDHSDHCCRRSDRFTAFSSRNGQSVEHCSELLDYARGPHYVDGINPISHQWCFDDGGPSVPRGLQYGDEIPSLSSKKHNGLPSRSSQWHYDPQTPLFSRRQEYGDEIPSLSPNWRYRYKIPLHSGHWCHDAEAPARSRYRQGASHWNGHSRQNFARINTNEQAKVTTSKHAFMKHRMVNRVVNSSVHYRTNMKDNRCRNPEDIKDQVRGPRASKLNNTSASSSVKDISSPLVCRDQFNTSDFSVQYKQAKFFMIKSYSEDDIHKGIKYNVWASTANGNDKLDAAYHEAQILMKENGENCPVFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWKEDKWNGFFPIIWHIIKDIPNRLFRHIILEHNDNRPVTFSRDTQEIGLAQGMQMLKIFKDHLQGTSILDDFDFYEEKDNARRAQKGGNSESTHQARFSEDLEPMGNLEARMESWSLYENWD >PAN17034 pep chromosome:PHallii_v3.1:3:6571477:6578309:-1 gene:PAHAL_3G103200 transcript:PAN17034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPDRRDYLYREGRRHDGGGGGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPPSASSEGYYRQAGGAYDRSYPDEPPLGYTPSRSDRYWTEDDGGGYKGFGRYGGGGGRRDGRDIRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGNRVDKGDRDNLPRVTPWRRRESRSEVADAAGAGPVSVGQTTRSAPSEKEASAQPPAGAAPHGADEEAPRKKARLGWGQGLAKYEKQKVQGPADLAEAVADGSPADSEQKAAFPVPPPPPEPPAAPAPLPEPPAAPAPPPEPPAAPAPPPPPAPPAAPAPPATPAPEPLAPVQPAAPVPAAVYVPAPVSAPSPARCPSPVDAPSSAAPFCTSAPEDKSYEQMAHMAIHPTKDVPEAGDKTFNTEFSIKFDQLGSDPINSLANMLADLLQHDDSCSGDSKGPTNASKLLLLKESISKEIEKTELEIDLLEGELKSVSTKAGTAVEGSPTGVTYAEILSPSSGTSKVPVCVEISETSHVMKEPVELISSPKPPVVQDANAKGSDMMEIENAPVRNAKTVSSEESAVSPVVAEGPACAAADLSPLKASEGAGSQIDMDNDRLETSPCHDNADSIKTEVSDDPPVRQCSHHDHKYSLTSANNNIAKVTNESLFKLLPADTLCLDLLASSHLLSQRKNDHHIKERLGVCKNRLRLKEQILTLKFKAYRHLWKEDLRLLSAKKQRSKSNKRIDQSNRASHIGSQRQRSSNRSRLAMPAGNLSTFSTPEMSDVASKLFSEFQIKRCRNYLKMPALIIDEREKECLRFVSKNSLVDDPVLVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFLMHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTGNFLGAKSGKKWNPEANAASLDMLGVASVVAAHGLEYANRVEKVSAKSLIRTAYGSSISFAAKKSSDREGIDSVPLNERESVAADVLAGICGTLSPEGMGSCITSSADLGQKIGMTRMEHILASEFDRSIDEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHRGAADVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSKIEMDVCPTEKGIGGIITKQPELNPSNGFDAVNGKTGEDEKKADKNCGIVDHERFDEGTHQAACGPIDINCPESTEKLHGKDDAVDQVNMHNNSAISSSTDQAMAVQSEVGSCLHSIEVLHQTSKAPSGSGTDASGMEECSNHALDNKLLKAGNSGASSCIASDTCDNVQFANMTGASTISPTFASSYKHSVPADMAPTKPKPLVAPLTPKDLMPVQFSSAVPDPTVIRFDGIASITMPNFEDSGSRVSSALGPKDMSKYPAFKDPTGNQHDTLFRNVDGFTNHLTTELPIFSERTASGTASTSQTDRFTLTKFQNGKSSSLGLPNTSDGIQWARKHEEVLQGSLRSCSQNTSSEGDEQQKRPGDVKLFGKILNHQSYLQSSVPSCNGNKSKPPSPKVDMSSVRLSNNPRDRVVCSSRPGVTHLGLEERTARSYGHLDVSTTQPEPLLMMAKCQSLAGVPFYSAKNGAVGVFSDYQQPSIQPHQSDPKRLERFSDPQKRNGMELISGFQQPGKISRFGGAGILVSGVSDPVAALKAQYGPSSKVMGNDVDPWKDIGSR >PVH61721 pep chromosome:PHallii_v3.1:3:6571137:6578309:-1 gene:PAHAL_3G103200 transcript:PVH61721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPDRRDYLYREGRRHDGGGGGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPPSASSEGYYRQAGGAYDRSYPDEPPLGYTPSRSDRYWTEDDGGGYKGFGRYGGGGGRRDGRDIRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGNRVDKGDRDNLPRVTPWRRRESRSEVADAAGAGPVSVGQTTRSAPSEKEASAQPPAGAAPHGADEEAPRKKARLGWGQGLAKYEKQKVQGPADLAEAVADGSPADSEQKAAFPVPPPPPEPPAAPAPLPEPPAAPAPPPEPPAAPAPPPPPAPPAAPAPPATPAPEPLAPVQPAAPVPAAVYVPAPVSAPSPARCPSPVDAPSSAAPFCTSAPEDKSYEQMAHMAIHPTKDVPEAGDKTFNTEFSIKFDQLGSDPINSLANMLADLLQHDDSCSGDSKGPTNASKLLLLKESISKEIEKTELEIDLLEGELKSVSTKAGTAVEGSPTGVTYAEILSPSSGTSKVPVCVEISETSHVMKEPVELISSPKPPVVQDANAKGSDMMEIENAPVRNAKTVSSEESAVSPVVAEGPACAAADLSPLKASEGAGSQIDMDNDRLETSPCHDNADSIKTEVSDDPPVRQCSHHDHKYSLTSANNNIAKVTNESLFKLLPADTLCLDLLASSHLLSQRKNDHHIKERLGVCKNRLRLKEQILTLKFKAYRHLWKEDLRLLSAKKQRSKSNKRIDQSNRASHIGSQRQRSSNRSRLAMPAGNLSTFSTPEMSDVASKLFSEFQIKRCRNYLKMPALIIDEREKECLRFVSKNSLVDDPVLVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFLMHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTGNFLGAKSGKKWNPEANAASLDMLGVASVVAAHGLEYANRVEKVSAKSLIRTAYGSSISFAAKKSSDREGIDSVPLNERESVAADVLAGICGTLSPEGMGSCITSSADLGQKIGMTRMEHILASEFDRSIDEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHRGAADVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSKIEMDVCPTEKGIGGIITKQPELNPSNGFDAVNGKTGEDEKKADKNCGIVDHERFDEGTHQAACGPIDINCPESTEKLHGKDDAVDQVNMHNNSAISSSTDQAMAVQSEVGSCLHSIEVLHQTSKAPSGSGTDASGMEECSNHALDNKLLKAGNSGASSCIASDTCDNVQFANMTGASTISPTFASSYKHSVPADMAPTKPKPLVAPLTPKDLMPVQFSSAVPDPTVIRFDGIASITMPNFEDSGSRVSSALGPKDMSKYPAFKDPTGNQHDTLFRNVDGFTNHLTTELPIFSERTASGTASTSQTDRFTLTKFQNGKSSSLGLPNTSDGIQWARKHEEVLQGSLRSCSQNTSSEGDEQQKRPGDVKLFGKILNHQSYLQSSVPSCNGNKSKPPSPKVDMSSVRLSNNPRDRVVCSSRPGVTHLGLEERTARSYGHLDVSTTQPEPLLMMAKCQSLAGVPFYSAKNGAVGVFSDYQQPSIQPHQSDPKRLERFSDPQKRNGMELISGFQQPGKISRFGGAGILVSGVSDPVAALKAQYGPSSKVMGNDVDPWKDIGSSSIPFASLTCQQWHSAVGSLEVCPQADYICLSGRVLFCQFMQRPHIIVIW >PAN17033 pep chromosome:PHallii_v3.1:3:6570316:6580081:-1 gene:PAHAL_3G103200 transcript:PAN17033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPDRRDYLYREGRRHDGGGGGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPPSASSEGYYRQAGGAYDRSYPDEPPLGYTPSRSDRYWTEDDGGGYKGFGRYGGGGGRRDGRDIRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGNRVDKGDRDNLPRVTPWRRRESRSEVADAAGAGPVSVGQTTRSAPSEKEASAQPPAGAAPHGADEEAPRKKARLGWGQGLAKYEKQKVQGPADLAEAVADGSPADSEQKAAFPVPPPPPEPPAAPAPLPEPPAAPAPPPEPPAAPAPPPPPAPPAAPAPPATPAPEPLAPVQPAAPVPAAVYVPAPVSAPSPARCPSPVDAPSSAAPFCTSAPEDKSYEQMAHMAIHPTKDVPEAGDKTFNTEFSIKFDQLGSDPINSLANMLADLLQHDDSCSGDSKGPTNASKLLLLKESISKEIEKTELEIDLLEGELKSVSTKAGTAVEGSPTGVTYAEILSPSSGTSKVPVCVEISETSHVMKEPVELISSPKPPVVQDANAKGSDMMEIENAPVRNAKTVSSEESAVSPVVAEGPACAAADLSPLKASEGAGSQIDMDNDRLETSPCHDNADSIKTEVSDDPPVRQCSHHDHKYSLTSANNNIAKVTNESLFKLLPADTLCLDLLASSHLLSQRKNDHHIKERLGVCKNRLRLKEQILTLKFKAYRHLWKEDLRLLSAKKQRSKSNKRIDQSNRASHIGSQRQRSSNRSRLAMPAGNLSTFSTPEMSDVASKLFSEFQIKRCRNYLKMPALIIDEREKECLRFVSKNSLVDDPVLVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFLMHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTGNFLGAKSGKKWNPEANAASLDMLGVASVVAAHGLEYANRVEKVSAKSLIRTAYGSSISFAAKKSSDREGIDSVPLNERESVAADVLAGICGTLSPEGMGSCITSSADLGQKIGMTRMEHILASEFDRSIDEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHRGAADVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSKIEMDVCPTEKGIGGIITKQPELNPSNGFDAVNGKTGEDEKKADKNCGIVDHERFDEGTHQAACGPIDINCPESTEKLHGKDDAVDQVNMHNNSAISSSTDQAMAVQSEVGSCLHSIEVLHQTSKAPSGSGTDASGMEECSNHALDNKLLKAGNSGASSCIASDTCDNVQFANMTGASTISPTFASSYKHSVPADMAPTKPKPLVAPLTPKDLMPVQFSSAVPDPTVIRFDGIASITMPNFEDSGSRVSSALGPKDMSKYPAFKDPTGNQHDTLFRNVDGFTNHLTTELPIFSERTASGTASTSQTDRFTLTKFQNGKSSSLGLPNTSDGIQWARKHEEVLQGSLRSCSQNTSSEGDEQQKRPGDVKLFGKILNHQSYLQSSVPSCNGNKSKPPSPKVDMSSVRLSNNPRDRVVCSSRPGVTHLGLEERTARSYGHLDVSTTQPEPLLMMAKCQSLAGVPFYSAKNGAVGVFSDYQQPSIQPHQSDPKRLERFSDPQKRNGMELISGFQQPGKISRFGGAGILVSGVSDPVAALKAQYGPSSKVMGNDVDPWKDIGSSSIPFASLTCQQWHSAVGSLEVCPQADYICLSGRVLFCQFMQRPHIIVIW >PVH61722 pep chromosome:PHallii_v3.1:3:6570693:6580081:-1 gene:PAHAL_3G103200 transcript:PVH61722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPDRRDYLYREGRRHDGGGGGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPPSASSEGYYRQAGGAYDRSYPDEPPLGYTPSRSDRYWTEDDGGGYKGFGRYGGGGGRRDGRDIRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGNRVDKGDRDNLPRVTPWRRRESRSEVADAAGAGPVSVGQTTRSAPSEKEASAQPPAGAAPHGADEEAPRKKARLGWGQGLAKYEKQKVQGPADLAEAVADGSPADSEQKAAFPVPPPPPEPPAAPAPLPEPPAAPAPPPEPPAAPAPPPPPAPPAAPAPPATPAPEPLAPVQPAAPVPAAVYVPAPVSAPSPARCPSPVDAPSSAAPFCTSAPEDKSYEQMAHMAIHPTKDVPEAGDKTFNTEFSIKFDQLGSDPINSLANMLADLLQHDDSCSGDSKGPTNASKLLLLKESISKEIEKTELEIDLLEGELKSVSTKAGTAVEGSPTGVTYAEILSPSSGTSKVPVCVEISETSHVMKEPVELISSPKPPVVQDANAKGSDMMEIENAPVRNAKTVSSEESAVSPVVAEGPACAAADLSPLKASEGAGSQIDMDNDRLETSPCHDNADSIKTEVSDDPPVRQCSHHDHKYSLTSANNNIAKVTNESLFKLLPADTLCLDLLASSHLLSQRKNDHHIKERLGVCKNRLRLKEQILTLKFKAYRHLWKEDLRLLSAKKQRSKSNKRIDQSNRASHIGSQRQRSSNRSRLAMPAGNLSTFSTPEMSDVASKLFSEFQIKRCRNYLKMPALIIDEREKECLRFVSKNSLVDDPVLVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFLMHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTGNFLGAKSGKKWNPEANAASLDMLGVASVVAAHGLEYANRVEKVSAKSLIRTAYGSSISFAAKKSSDREGIDSVPLNERESVAADVLAGICGTLSPEGMGSCITSSADLGQKIGMTRMEHILASEFDRSIDEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHRGAADVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSKIEMDVCPTEKGIGGIITKQPELNPSNGFDAVNGKTGEDEKKADKNCGIVDHERFDEGTHQAACGPIDINCPESTEKLHGKDDAVDQVNMHNNSAISSSTDQAMAVQSEVGSCLHSIEVLHQTSKAPSGSGTDASGMEECSNHALDNKLLKAGNSGASSCIASDTCDNVQFANMTGASTISPTFASSYKHSVPADMAPTKPKPLVAPLTPKDLMPVQFSSAVPDPTVIRFDGIASITMPNFEDSGSRVSSALGPKDMSKYPAFKDPTGNQHDTLFRNVDGFTNHLTTELPIFSERTASGTASTSQTDRFTLTKFQNGKSSSLGLPNTSDGIQWARKHEEVLQGSLRSCSQNTSSEGDEQQKRPGDVKLFGKILNHQSYLQSSVPSCNGNKSKPPSPKVDMSSVRLSNNPRDRVVCSSRPGVTHLGLEERTARSYGHLDVSTTQPEPLLMMAKCQSLAGVPFYSAKNGAVGVFSDYQQPSIQPHQSDPKRLERFSDPQKRNGMELISGFQQPGKISRFGGAGILVSGVSDPVAALKAQYGPSSKVMGNDVDPWKDIGSSSIPFASLTCQQWHSAVGSLEVCPQADYICLSGRVLFCQFMQRPHIIVIW >PAN17035 pep chromosome:PHallii_v3.1:3:6571477:6578309:-1 gene:PAHAL_3G103200 transcript:PAN17035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPDRRDYLYREGRRHDGGGGGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPPSASSEGYYRQAGGAYDRSYPDEPPLGYTPSRSDRYWTEDDGGGYKGFGRYGGGGGRRDGRDIRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGNRVDKGDRDNLPRVTPWRRRESRSEVADAAGAGPVSVGQTTRSAPSEKEASAQPPAGAAPHGADEEAPRKKARLGWGQGLAKYEKQKVQGPADLAEAVADGSPADSEQKAAFPVPPPPPEPPAAPAPLPEPPAAPAPPPEPPAAPAPPPPPAPPAAPAPPATPAPEPLAPVQPAAPVPAAVYVPAPVSAPSPARCPSPVDAPSSAAPFCTSAPEDKSYEQMAHMAIHPTKDVPEAGDKTFNTEFSIKFDQLGSDPINSLANMLADLLQHDDSCSGDSKGPTNASKLLLLKESISKEIEKTELEIDLLEGELKSVSTKAGTAVEGSPTGVTYAEILSPSSGTSKVPVCVEISETSHVMKEPVELISSPKPPVVQDANAKGSDMMEIENAPVRNAKTVSSEESAVSPVVAEGPACAAADLSPLKASEGAGSQIDMDNDRLETSPCHDNADSIKTEVSDDPPVRQCSHHDHKYSLTSANNNIAKVTNESLFKLLPADTLCLDLLASSHLLSQRKNDHHIKERLGVCKNRLRLKEQILTLKFKAYRHLWKEDLRLLSAKKQRSKSNKRIDQSNRASHIGSQRQRSSNRSRLAMPAGNLSTFSTPEMSDVASKLFSEFQIKRCRNYLKMPALIIDEREKECLRFVSKNSLVDDPVLVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFLMHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTGNFLGAKSGKKWNPEANAASLDMLGVASVVAAHGLEYANRVEKVSAKSLIRTAYGSSISFAAKKSSDREGIDSVPLNERESVAADVLAGICGTLSPEGMGSCITSSADLGQKIGMTRMEHILASEFDRSIDEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHRGAADVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSKIEMDVCPTEKGIGGIITKQPELNPSNGFDAVNGKTGEDEKKADKNCGIVDHERFDEGTHQAACGPIDINCPESTEKLHGKDDAVDQVNMHNNSAISSSTDQAMAVQSEVGSCLHSIEVLHQTSKAPSGSGTDASGMEECSNHALDNKLLKAGNSGASSCIASDTCDNVQFANMTGASTISPTFASSYKHSVPADMAPTKPKPLVAPLTPKDLMPVQFSSAVPDPTVIRFDGIASITMPNFEDSGSRVSSALGPKDMSKYPAFKDPTGNQHDTLFRNVDGFTNHLTTELPIFSERTASGTASTSQTDRFTLTKFQNGKSSSLGLPNTSDGIQWARKHEEVLQGSLRSCSQNTSSEGDEQQKRPGDVKLFGKILNHQSYLQSSVPSCNGNKSKPPSPKVDMSSVRLSNNPRDRVVCSSRPGVTHLGLEERTARSYGHLDVSTTQPEPLLMMAKCQSLAGVPFYSAKNGAVGVFSDYQQPSIQPHQSDPKRLERFSDPQKRNGMELISGFQQPGKISRFGGAGILVSGVSDPVAALKAQYGPSSKVMGNDVDPWKDIGSR >PAN19050 pep chromosome:PHallii_v3.1:3:15964243:15969941:1 gene:PAHAL_3G246000 transcript:PAN19050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAAEGAVAGFRGEHSFARAASSAFGAAELASGFDGPQLPQLRLRASCGGSGAIASPCSSSSDSFVSMRSTPSETLNPSGLWSPPRAPSEASEFGTAREYDTTDPFADNWLYDNHLLHSKPESEGSEGEDKFIVGPDVSLQRSEMRELSDGCGHRHVHRDRIADSDGCAEVNACSSPPCGCCYGVKKNDEELVRDSCSAVYGRYQIMDDHTELLDECVAEAFRFRLNAFDDASDSLVDFKKAEGDGLDLSALEKELQMLTPYLADADALENTGLEHDFRGNEKLDVRMVTNEEIADAEEFLKDSYSIHPFPESSDPLDVYGVEDFVTADTNVQNSSIHKIQEDSKVDPAFSRFHQEYEVFDLKIFHRKNRTGFEENKEFPIVMDSVIAGRYRVTEYLGSAAFSKVVRAHDLRTGVDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDDHHILRLYDYFYFQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLPRIQAIARQCLQALVYLHHLNIVHCDLKPENILLKSYSRCEIKVIDLGSSCFMSDNLNMYIQSRSYRSPEVILGLPYDKKIDIWSLGCILAELYTGEVLFPNESVPIILARMIGTIGPIDMEMLALGQETQKYFTDDYDLFHKNEETGQLEYLIPEKSSLRRHLQCPDKKFVDFLSYLLQINPGKRPTAIEALQHRWLSVVYS >PAN19825 pep chromosome:PHallii_v3.1:3:20792557:20795584:1 gene:PAHAL_3G301700 transcript:PAN19825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGDTVESIRSMQIRQVLMQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQDTAEVEILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGYLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >PVH61806 pep chromosome:PHallii_v3.1:3:8212931:8214589:1 gene:PAHAL_3G126800 transcript:PVH61806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLYLKDGLNTVILPDEKQGTTARWLCLFLLDVVRPLLGCTISMLLIHPHAADEHQGSCSMKMKCELTYSWNLSLSCGDQVEHSQESKFTATCTDRSTGLPNPDEDFQFVVPNSVLADDDKEKTIKECHSRQGLLLEQGVI >PVH61807 pep chromosome:PHallii_v3.1:3:8213097:8213624:1 gene:PAHAL_3G126800 transcript:PVH61807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLYLKDGLNTVILPDEKQGTTARWLCLFLLDVVRPLLGCTISMLLIHPHAADEHQGSCSMKMKCELTYSWNLSLSCGDQVEHSQESKFTATCTDRSTGLPNPDEDFQFVVPNSVLADDDKEKTIKECHSRQGLLLEQGVI >PVH61808 pep chromosome:PHallii_v3.1:3:8212922:8214587:1 gene:PAHAL_3G126800 transcript:PVH61808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLYLKDGLNTVILPDEKQGTTARWLCLFLLDVVRPLLGCTISMLLIHPHAADEHQGSCSMKMKCELTYSWNLSLSCGDQVEHSQESKFTATCTDRSTGLPNPDEDFQFVVPNSVLADDDKEKTIKSLASLSSVLLAGRSGGTAKCLDRRLPAGGRW >PVH62998 pep chromosome:PHallii_v3.1:3:58625087:58627832:1 gene:PAHAL_3G449100 transcript:PVH62998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSASIQNRTWFEDLFADDRVRTLSHQVSTLQDRVWELEHKNTQLLGDKGKLEKQLEETKAAARAITSQKEDVERSLKGENDKLRSEVLTAEEKYSQSEVEVEKLKKELCALVEANEVAMKAFDVEKAEMMLEAEELKRRVEELQSNKDLMEDEIKNLQLDVLTANKKYSLSEAEVERLGMELSALIETKEADAKAFDAQNAENMKKLEDLRKKLDEIQTNKDLVEGVNDKLQTEILTVEEKYSQSEAEVKCLKHILAALVETKEAAAKAFDAEKVEIMKELDNLKRKLEEIQAIKDLTESKNDELRSEILAMKHKHSLFEQEVKSLKMELDALEVAKEAAVNKKAEILKELDDLKRKVEEVHANKDFVEGENDKLRLEISTAIQKQSMYEAEANNLKVELGALVEAKEADAKAFDAEKAKAMKELEGLKKKVEEIQTKKDLVEGEKDKLRLEILIAEQKHSMSQLEVKRLNMELVALAEEKETVAKSFDAEKAKLMKESEDLKRRMEEIQVIKEAAEEAWRSKDAEVNRLRDELVNIRVSVSQLQASYDGLDAKHSRLNNEKSSIQKALEAEKVEACKLKSKIQELENYNAGKDGETEKLKAALEEKKSEIDTMSKDIEQLHLAVAEAQEKNKGSILSCLSSCRSK >PVH62129 pep chromosome:PHallii_v3.1:3:13644767:13648987:-1 gene:PAHAL_3G210100 transcript:PVH62129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARALGALLHRASSLSSSASALRRASLLRGNEATGNAGLFRRHEARRRISTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAIKKGGPSPSSNAALAAILEKARELDIPREILERNIKRASEKDQDTYTEKVYEVYGFGGVGMIVEVLTDKITRSVADIRNVVKDCGAKLADPGSVTFRFKQARVVNIKVTDADKDQLLSVALDAGADDVLEPNFDDDDDDSEEGIERFYKIVTTSENYPVVLSKLQEEGVKFETDNGYELLPVNPVEVDDEAMELNKELVSKLLELDDVDAVYTDQK >PAN18116 pep chromosome:PHallii_v3.1:3:11808922:11811503:-1 gene:PAHAL_3G179600 transcript:PAN18116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFKRKGLLLASACCVILLLLTPTQGDSNSEQSYKIAQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRASSNVKSAKSVKLLFYCHISSQIVAVVLATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGMRFRSAWYLTHWLLGIGICVVGVANVYIGLHTYEERTGRSARLWTVLLTVEVAAMAFVYLFQDRWSYVVRQAEAALGDEQSEGSTMYPANDHKEVIVVP >PAN16808 pep chromosome:PHallii_v3.1:3:5675501:5677846:-1 gene:PAHAL_3G088000 transcript:PAN16808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKPYVIVVIVQLIYTGMFVVSKAAFDHGMNTYVFIFYRQAAASLLLLPIALLLERKNVRSLSPMLLLKLFFLALIGITFSLNLYNVSMKFTSATVASAATNAMPVITFCFALLLKMEVVKLRSSSGIAKLAGVSLCLAGVFAIAFYAGPALSPVNHHRAFAASHHAPASTSSAPSSRMTWVKGTFLMVLANMAFSLWIVLQGRLLKEYPNKMLVTVTQCAFSAAQSFVVAVAAERDFSKWSLRLDISLLAVLYTGFVVTGVTYYLQAWCVELKGPVFLAVWTPLCFVFTVFCSSFFLGEIIHLGSIVGGILLVGGLYSVLWGKSKEAKIASCGKVNAVDDDDNGHHKPQEEEPTTASIVEQV >PAN20799 pep chromosome:PHallii_v3.1:3:53230499:53232715:1 gene:PAHAL_3G412100 transcript:PAN20799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQIEHAHLPIRGLNLHIAQVGKGELGTVVFLHGFPEIWYSWRHQMLAAAATGYRAVAPDWRGYGLSDRPPEHEDEDEQASSCWDDLVADVLAVLDALSVPSAFVVAKDFGAVPAYYFALQHPGRTRGVACLGISFSHGPWSFDAMPEGFYILRWQEPGRAEADFGRHDVRRVVRTIYVLFAGADVPVAKEGQEIMDLADESAPLPEWFTEEDLDVYASLYHKSGFRYPLKMYRSLHKMPCLPDAKFQVPVLTVTGGKDYSSKLAGFEDDAESCTVDRFVPGVKTAFIPEGSHFVQEQLPEQVNELLLGFFEEHPVAAAT >PAN18718 pep chromosome:PHallii_v3.1:3:14314755:14319638:1 gene:PAHAL_3G220600 transcript:PAN18718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPPSSRDPSPQRRRPASSAGPPKRGGLLLGRYELGRLLGHGTFAKVYHARHADTGETVAIKVLDKEKALRNGLVPHIKREIAILRRVRHPNIVRLYEVMATKSKIYFVMEYVRGGELFARVAKGRLKEDIARRYFQQLISAVGFCHARGVFHRDLKPENLLVDERGDLKVSDFGLSAVADQFHTDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSRDLTSLLNRVLDTNPETRITVAEIMESRWFLKGFRPVRFYVEDDQVHSLADDENEVPDLGPSQPPPQPPPPQQEDDGDDSGWESDSSVASCPATLSSEERRRPLGSLTRPVSLNAFDIISFSRGFNLSGLFEERGNEVRFVSAQPMQTIVTKLEEIAKVKSFAIRRKDWRVSLEGTRESEKGPLTIAAEVFELTPKLVVVEVKMKAGDREEYEDFCERELKPGMQHLVHHTASVPDIPSDTE >PAN17515 pep chromosome:PHallii_v3.1:3:8826189:8827200:-1 gene:PAHAL_3G137300 transcript:PAN17515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPCAAASVSFPARPAASAAAAGSSARPRAGVVRASGGGEGGNGKWWAPLLGWSGKADYIEAPAPAAKEDAAAAAARGRAFVGGLTEEKARELRARMAQTESFHDAMYHSAIASRLARSA >PAN20826 pep chromosome:PHallii_v3.1:3:53738821:53742541:1 gene:PAHAL_3G414300 transcript:PAN20826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERSPRAAGEPAREPGPAPELERKNEDGVEDPEDPESTGGGGGISSLEQPLLKRSTTLTASHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGIIASLINLAIENITGLKMLQMVTLVREKRYWAGFLYFAGINFGLTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSIGAVSSGMDLGKEGPLVHIGACLANLLSQGGEGRWRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGMFGEGGLILFDVSDVTVRYHVGDLLPVTLVGVLGGLLGALYNHVLHQVLRLYNLINAKGRLAKLVLALAVSVFTSAGLYLLPFAVPCTPCDAVHGAACPTVGKSGNFKQFNCPDGFYNDLASLLHATNTDATRNIFSTGTAGEFRLDSLLIFFAIYCVLGLFTFGIAVPSGLFLPIILMGSAYGRITALMLARFVHIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPETWMKDLTVGELAAAKPRAVTLQVVEKVSTIVEVLRSTAHNGFPVLDRPRPGVSELHGLVLRNHLMAVLRKRWFLAEKRRTEEWEAREKFSSTELAEKAGSVDEVQLSPEELDMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGLEISPIVGILTRQDLRAHNILGAFPHLANKRKVH >PAN21248 pep chromosome:PHallii_v3.1:3:58839133:58840167:1 gene:PAHAL_3G452500 transcript:PAN21248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVSRLSTEKAVVIFTRSQCPMCHTVLSLFSDLGVCAAVHELDKDPRGREIERELACRLGRAPGVPAVFVGGNLIGSTDRVMSLHLAGKLVPLLKGAGAI >PVH61620 pep chromosome:PHallii_v3.1:3:5173520:5175268:-1 gene:PAHAL_3G078400 transcript:PVH61620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRRRADQSSPAPAPAPPLRTPKRQPVVVFAHGAGAPSSSDWMVHWKEMVRDALDAVEVVIFDYPYMSGGKRRAPPKAEKLVDHHLGVVKDAAAKYQGHPLILMGKSMGSRVSCMVASSDDIDVSAVVCLGYPLKGVNGAVRDETLLQLKVPTMFVQVM >PAN16701 pep chromosome:PHallii_v3.1:3:5173460:5175268:-1 gene:PAHAL_3G078400 transcript:PAN16701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRRRADQSSPAPAPAPPLRTPKRQPVVVFAHGAGAPSSSDWMVHWKEMVRDALDAVEVVIFDYPYMSGGKRRAPPKAEKLVDHHLGVVKDAAAKYQGHPLILMGKSMGSRVSCMVASSDDIDVSAVVCLGYPLKGVNGAVRDETLLQLKVPTMFVQGSKDGLCPLDRLESTRKKMTCKNELHVIDGGDHSFKIGKKYLESRGLNQHDVEMEAVKAISQFVQNSFSESCT >PVH61881 pep chromosome:PHallii_v3.1:3:9533239:9533514:-1 gene:PAHAL_3G147000 transcript:PVH61881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYGTIPASSSTPAPKGSPTALDSTSSSANATGASPAAARRPWREFADPRALSTPRGLADARHRARANLARFAANYGLVSLAVFSAFLLW >PVH61870 pep chromosome:PHallii_v3.1:3:9394394:9397820:-1 gene:PAHAL_3G145300 transcript:PVH61870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSGSASVSVDVERISFGGKEHLVRTRCGSVTVAVYGDEDKPALITYPDVGLNYMSCFQGLFFCPEAASLLLHNFCIYHINPQGHELGAAQIPSDVPVPSVDDLADQVADVIDFFSLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCKAPSWSEWLYNKLLLNLLYYYGARGLVKECLLQRYFSKKVRGDAQYPESDIVQACKNEA >PAN17630 pep chromosome:PHallii_v3.1:3:9395536:9397631:-1 gene:PAHAL_3G145300 transcript:PAN17630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSGSASVSVDVERISFGGKEHLVRTRCGSVTVAVYGDEDKPALITYPDVGLNYMSCFQGLFFCPEAASLLLHNFCIYHINPQGHELGAAQIPSDVPVPSVDDLADQVADVIDFFSLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCKAPSWSEWLYNKLLLNLLYYYGARGLVKECLLQRYFSKKVRGDAQYPESDIVQACKNLLDEKQGENIWRFLQSINEYVVRHLFLVLSQLELISGIWMLIWIPPPPGGMT >PAN17631 pep chromosome:PHallii_v3.1:3:9395100:9397631:-1 gene:PAHAL_3G145300 transcript:PAN17631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSGSASVSVDVERISFGGKEHLVRTRCGSVTVAVYGDEDKPALITYPDVGLNYMSCFQGLFFCPEAASLLLHNFCIYHINPQGHELGAAQIPSDVPVPSVDDLADQVADVIDFFSLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCKAPSWSEWLYNKLLLNLLYYYGARGLVKECLLQRYFSKKVRGDAQYPESDIVQACKNLLDEKQGENIWRFLQSINERHDLTDSLRKLQCRTLIFVGENSEFHEDAIHLTTKLDRRYCALVEVQDCGSLVTEEQPYAMLMPMEYFLMGYGLYRPYQLSGSPRSPLSPCCISPELLSPESMGVNLKPIKTRVTIDF >PAN19096 pep chromosome:PHallii_v3.1:3:16162705:16163744:1 gene:PAHAL_3G248900 transcript:PAN19096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSSSASYIRMVHHLIEKCICFNLNKEECMDALEKHANINPVITSTVWKELEKENKEFFETYNKDRAERNIEAETMQRIQKMLAEAAASKTSDDDES >PVH62923 pep chromosome:PHallii_v3.1:3:56550332:56555891:-1 gene:PAHAL_3G430900 transcript:PVH62923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKSNQDNPELRPVLGSITGAMFANHGEQSAGCSSPEEGWVSSQVALHDMAMVGSNRHGVGIIDNANLFDNWSLTAAFENMNLSSTDATADSAANSGRAASRYGHWPPGLMISSADNKRNTQLQPAFDQDSFVTSSLMINNAEHMKPRFRGQNLPSYTGMHGPDNAYVTGISLPSASPVQQLHFIDRWAQTYAPYQQMDSKFRRHDIDTEAVMHSQYSYQQMPQISDVHWINSNGYGVVNSSSKSAASPHLRAPSIHHLGHRSPDIYWNSHMVPNRNNRLNSTHVDNCPCIIYPDCLCETCEYCQNQLSEKLKHPYGLRRSYKGLLQNHTLDKVKLKSSPEKILMKFGGANSGRNTNPGFELDGCAETNQRIDCNGYNRHLNFQNNLHFDLQSSQCQSPLGSEFTMKSAQLNYNSVDEVVGELYLLAKDQNGCRFLQRIFTEGSQEDAQKVFDGVIEHIDELMVDPFGNYLVQKLLEQCNDDQKMHILYEITEMPGQLIEVACNMHGTRVVQKVIETISTSAEVSLVVSALSSGAITLMMDANGSHVAHRCLQKLSPEYKAFLLNAATECCVELAKDRQGCCIIQKCIIHANKEQKNRLLYSITSKAFDLAEHQYGNYVIQYILELKVTWATDEILDKLEGHYGYLSMQKCSSNVVEKCLKEAREPKRAKIIHELINDPKLPHILVDQFGNYVIQTAFRECEDATVEAALIKAIKPHVGALRNNMYGKRILSKTCLKNRKF >PVH62924 pep chromosome:PHallii_v3.1:3:56550741:56554930:-1 gene:PAHAL_3G430900 transcript:PVH62924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKSNQDNPELRPVLGSITGAMFANHGEQSAGCSSPEEGWVSSQVALHDMAMVGSNRHGVGIIDNANLFDNWSLTAAFENMNLSSTDATADSAANSGRAASRYGHWPPGLMISSADNKRNTQLQPAFDQDSFVTSSLMINNAEHMKPRFRGQNLPSYTGMHGPDNAYVTGISLPSASPVQQLHFIDRWAQTYAPYQQMDSKFRRHDIDTEAVMHSQYSYQQMPQISDVHWINSNGYGVVNSSSKSAASPHLRAPSIHHLGHRSPDIYWNSHMVPNRNNRLNSTHVDNCPCIIYPDCLCETCEYCQNQLSEKLKHPYGLRRSYKGLLQNHTLDKVKLKSSPEKILMKFGGANSGRNTNPGFELDGCAETNQRIDCNGYNRHLNFQNNLHFDLQSSQCQSPLGSEFTMKSAQLNYNSVDEVVGELYLLAKDQNGCRFLQRIFTEGSQEDAQKVFDGVIEHIDELMVDPFGNYLVQKLLEQCNDDQKMHILYEITEMPGQLIEVACNMHGTRVVQKVIETISTSAEVSLVVSALSSGAITLMMDANGSHVAHRCLQKLSPEYKAFLLNAATECCVELAKDRQGCCIIQKCIIHANKEQKNRLLYSITSKAFDLAEHQYGNYVIQYILELKVTWATDEILDKLEGHYGYLSMQKCSSNVVEKCLKEAREPKRAKIIHELINDPKLPHILVDQFGNYVIQTAFRECENAQDATVEAALIKAIKPHVGALRNNMYGKRILSKTCLKNRKF >PVH62262 pep chromosome:PHallii_v3.1:3:16346609:16348149:1 gene:PAHAL_3G251800 transcript:PVH62262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSHDEEDTAEATRDAQLRCATATTESALITTANYGLTMAHGLLPTLLGQTAVGYQNRIQSSGSCLDGPDDGALLTACVWDRRVPGGVFFFQAAISVPASRAADFIRDVQRLRDLDPEALCGLEVYGGVLMRYVRASAALLGKPEDSVDFDMTYYRSRDPAAPRLHEDALEEIEQMALRKYGGLPHWGKNRNAAFEGAAGRLGAARAGEFLRVKAAYDPDGLFSSEWSDQVLGVGGAGGVSVVRGGCALDGLCVCSEDAPCAPSEGYFCEPGRVYTEARVCRYRGNHY >PAN17678 pep chromosome:PHallii_v3.1:3:9610370:9611568:1 gene:PAHAL_3G148900 transcript:PAN17678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) UniProtKB/Swiss-Prot;Acc:Q9AR07] MEDEVVLLASSSSAVVSMNGGGEGNTSYADNSNFQQLIASVTRKARRDMAAALYRARGRPASLAIADLGCATGPNALLMVSDAVDAVLAESKSGGDEGAPQLHVFLNDLPANDFNAVFRLLPSSPLAGTGCLVSAWPGSFYRRVFPDASLDYVVSSSSLHFLSKAPSMATEHLNRGRVHVTEAGPAAVLDAYRAQFHADFLAFLSCRAAETRARGLLLLTFVARRDARPTAHDCYLWDLLADALMDMAAAGLVDEEKVHSFNAPYYAPCPDDLARVIAKEGSFALRTMQLFDTTRRRLLGPSHPTSDGDDDDEFPRWLAAETAGTYRQGSRRAYAADPLRLGRHGRALLQVPPPARGILPQEVYQEQG >PAN19680 pep chromosome:PHallii_v3.1:3:19674735:19677169:1 gene:PAHAL_3G290000 transcript:PAN19680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAMLEDDVFFAELSKRISLLITDDDEGADFAAAAPLTGFASLGHVPPRQQQGGGASLLAPPPYTLYHQHQHHHGASYGGDSAARAAAWQQQQHGSKGTGVFIPRSTPGAAHPKKKGKNRGAAAAAKAARAAQAGANALAAGGPAKKRA >PAN18435 pep chromosome:PHallii_v3.1:3:13162461:13165720:-1 gene:PAHAL_3G201300 transcript:PAN18435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAIRRLLASRSGALAAAKSTPSSSYSYSAAAPPSRPRFPTPKEIHQGLDEFVVGQDKAKKVLSVAVHNHYKRIYNESSNKCSSKSFVRGGVGTSGDDEIELEKSNILLIGPTGSGKTLLAKTLARYVNVPFVIADATAITQAGYSGEAVESVIYKLLVVADFNVEAAERGIVYIDEVDKLTKKAECNEDRRDVSGEGVQQALLKIFEGTVINVPRKKSRDNVPHGYVEVDTRNILFICGGAFFGLEKIVSERHQHCPVGFGIPIYHELRNCGWTTALQGSCSIDAVENDDLIAYGLISEFIGRLPIAVGLTNLSEEQLVQVLREPKNAIGKQYKKLLKMNNVKLHFTENALHLIAKKAAAKETGARGLRSLMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGPLHHRGCGAKIFRDNGALELYVYQNNIKLPGLIQSNPSHRRFFQICLLVALSATKLWIYQTFPCFSSIYEWIVLMLCKANIFMQ >PAN18436 pep chromosome:PHallii_v3.1:3:13161667:13166032:-1 gene:PAHAL_3G201300 transcript:PAN18436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAIRRLLASRSGALAAAKSTPSSSYSYSAAAPPSRPRFPTPKEIHQGLDEFVVGQDKAKKVLSVAVHNHYKRIYNESSNKCFVRGGVGTSGDDEIELEKSNILLIGPTGSGKTLLAKTLARYVNVPFVIADATAITQAGYSGEAVESVIYKLLVVADFNVEAAERGIVYIDEVDKLTKKAECNEDRRDVSGEGVQQALLKIFEGTVINVPRKKSRDNVPHGYVEVDTRNILFICGGAFFGLEKIVSERHQHCPVGFGIPIYHELRNCGWTTALQGSCSIDAVENDDLIAYGLISEFIGRLPIAVGLTNLSEEQLVQVLREPKNAIGKQYKKLLKMNNVKLHFTENALHLIAKKAAAKETGARGLRSLMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGPLHHRGCGAKIFRDNGALELYVYQNNIKLPGLIQSNPSHRRFFQICLLVALSATKLWIYQTFPCFSSIYEWIVLMLCKANIFMQ >PAN18434 pep chromosome:PHallii_v3.1:3:13162461:13165351:-1 gene:PAHAL_3G201300 transcript:PAN18434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAGNLVVQVLSVAVHNHYKRIYNESSNKCSSKSFVRGGVGTSGDDEIELEKSNILLIGPTGSGKTLLAKTLARYVNVPFVIADATAITQAGYSGEAVESVIYKLLVVADFNVEAAERGIVYIDEVDKLTKKAECNEDRRDVSGEGVQQALLKIFEGTVINVPRKKSRDNVPHGYVEVDTRNILFICGGAFFGLEKIVSERHQHCPVGFGIPIYHELRNCGWTTALQGSCSIDAVENDDLIAYGLISEFIGRLPIAVGLTNLSEEQLVQVLREPKNAIGKQYKKLLKMNNVKLHFTENALHLIAKKAAAKETGARGLRSLMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGPLHHRGCGAKIFRDNGALELYVYQNNIKLPGLIQSNPSHRRFFQICLLVALSATKLWIYQTFPCFSSIYEWIVLMLCKANIFMQ >PVH62482 pep chromosome:PHallii_v3.1:3:21330221:21333236:-1 gene:PAHAL_3G305600 transcript:PVH62482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PAN21053 pep chromosome:PHallii_v3.1:3:56545501:56547961:-1 gene:PAHAL_3G430800 transcript:PAN21053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILKANAGSLTNFEVLDFLRSRGAKVDPMGCLGVVAASECKVYEYLLQTPACNQTRESIYEFVKRSEGFRLADADKLNVINWRPSSAADAYAMIEECGRRFNRDERGETCDEDERVQEFLDMVKEVLPPPPPKAEAEAEAEAAAEAGPGAEAEAEAEAMQE >PAN19999 pep chromosome:PHallii_v3.1:3:22298957:22299848:-1 gene:PAHAL_3G312600 transcript:PAN19999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSNTEAAAGASPGIRRCAACKNQRRRCSQDCILAPYFPASDPQRYACVQRVFGASNVARMLQNLPIHERGKAADMMVVEAHRRVQDPVYGCAGIVGRLQGEIRAVQCELARTQAQIAVHAAAAARARSPVGAAQLNAPAQAALTQQQQEQGDALAMQQDPFHGLDPLLVDDYGVDVNLVDDEMNTD >PVH61841 pep chromosome:PHallii_v3.1:3:8973354:8973888:1 gene:PAHAL_3G139300 transcript:PVH61841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGAPTKKPRLCSAGDTSGSGGGAAPEDRLSALPDAMLHHVMSFLRAWEVARTCVLSRRWRHLWASAPCVDLRIWRLGRHRWPPEEFAKFSYRFLLERDASAPVDTLRLLSSPGCAGGRNDYGSPISVGGKDYFSDDVDISSGTQRTNPTLISGASTSCPAT >PAN19034 pep chromosome:PHallii_v3.1:3:15868292:15868752:1 gene:PAHAL_3G244800 transcript:PAN19034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRWCRCFANRIRRVPKDPSSAISSSTVLPPPVDEACLCRHSMEGEVVDEACLCRHSWRGGSVPSPSSRRSHGLHHSVPPAKLTAHCAIALLPPPSDSSCNGMPTERRSREI >PAN21684 pep chromosome:PHallii_v3.1:3:61628812:61630788:1 gene:PAHAL_3G483500 transcript:PAN21684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGEVAHFSHPQHRLRLEHHDTPFRCDGCREVGIGARFRCPHPGCDHDLHRQCALPLSPSPPPLRHPFYPRCAFAFLARAPGAPGSRYCNACGRDVAGYVYHCRTCGFDLHPCCAALPHALDAGGGVRLYLHPDSKAGVACHRCGHRGRSWSYRSHCRSFSLHVACVMDMLVESWHGVGRHKGVYDGGLVVPGGGGCRVPAIRGAAKSVHASRGGYASWGRKKGKVKRCCEIAGFAGQVVISAVLGDPTALIAGVIGSLIAL >PAN19807 pep chromosome:PHallii_v3.1:3:20670664:20675722:-1 gene:PAHAL_3G300700 transcript:PAN19807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSKEAPKKKPKDPLLTPPSKPRGSFLDEPGRPWNRGGAAMSPAPASVPSYMRGTSSSDAKAGRRGRPVASPARRMTVASVSASASPARRMTVASASPATRRPAVRVLTRGKVLFPEEAPVSGSGLGRATCSSTMKEVKFPDALDLAPGATDAEGPAALRVCPYTYCSLNGHRHSPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKKSGEKSSGGSGGGGAKIAPLIDEEAVGDFFVEVYAGPRVSSDMSCSDMSLDEMDATVRRMEFVVFDRCGADEDSEKGKDLAVCNDGDPEPHLRFQEKHGAFRDSLSECSGADTSSDFVEELPWMRYHGYEYDDSLDDEIAEEQRMREEEAGGAEISAEQEVEQRTSGRLADDFEEDAAEEQEQDYVENASNLVSGSEIISDQDVACRLEACQEADGRDEDNILDKCCGEASAGQRMAEEQLSEDVYKSEIPDQEVAGWADTILEESCKEDTSEDQEANDDECSVESDGESEVIQEQDAEDEESTPDDDYEMEISEDTISIDGCREDFSEEVTSRAVPEDDSTADNAFEQYVGTVDDAFEQDGAPADGHNDAQKEFCITRSKLEVTSKETATAQKTYQDGSIDDMVPKEMEITTCKLEEGFEESGNSQESNRGGISTCVDDAQVELDITTCKSKDASEESNATEKSGLNDNAENVTDGAEMGPEITKCNLEDASEESGTDQDTAEDDESACYSGDAQSDLQITKSISLDTSKESAIAQEADQSDSSANVSSDAQEAIGDADSAYISNNAQDDLEISKYNLEDASKESVIAEEAGQSHSSANVSSGAQNESEITTSESAVIAISDGHENDSRISKCISEDVFEESVIGQEADHDESSAYVRDGTQNEYEVTTCHSEGTQVESDVIQEDEDGINTAGGQKKSEITACESGSASLKPAMPHEADGDINIIHASDGLQKDTTMPKHDACEDLRATEEAGLQKLDACEDICAAEEADQSLQIPADFADAKEPSIDDICGAFSGMNLKGDVYFDPSESATCPRNKLIISRRRRTPEEEEYLRGFNPRAPNFLPLELDPDAEKVDLKHQMMDERKNAEEWMIDYALRRAVTNLAPARKKKVELLVQAFETVLPHDEDDKKSITPTRPVQACN >PAN20483 pep chromosome:PHallii_v3.1:3:25049341:25052896:-1 gene:PAHAL_3G323900 transcript:PAN20483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRRRRRRRRRVVGVLLLVALVSVLPDLGSCNDAGQGTLNPEEGIRSDSEARIIRGDRTRKILQEQQNKYWSGYFGNKAAWPDFTNNNPSTFTPQYPQWPPWPWTPKVTPPMSFPPSPAPAPSPAVVAKPLPLPAHDGNPSHSIALPPQPPAAVVPAGTGSRPVVAGVAPGAGHSGHVSRPVYVIAAAGASLLAAVSVALFVVCYRSSKVVTVRPWATGLSGQLQKAFVTGVPSLKRSELELACEDFSNIIGSLSDYMVYKGTLSSGVEIAVVSTTKKFAKEWSKHCETQFRKKITSLSRVNHKNFVNLLGYCQEEQPFTRMMVFEYAPNGTLFEHLHVREDGHLDWPTRLRVAVGVAYCLEHMHQLSPPEILRTLDTSTICLTDDFAAKISDVFFCDEPREEASLSLSLSPALADRESVVYSYGMVLLETMTGRFTASGGGLLEGWAAGYLRGERQLRDVMDPALRRSFHAATVDRLDGVIRGCTDREPRRRLTMPEVARRLREITAMPPDAATPKVSPLWWAELEIISTEVN >PAN21034 pep chromosome:PHallii_v3.1:3:56670001:56672784:1 gene:PAHAL_3G432200 transcript:PAN21034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRVFLDKRISQEVLGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPQVLQRKRARIAEKKKRIAKKQSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAAAKASTATSA >PVH62393 pep chromosome:PHallii_v3.1:3:19050036:19051682:-1 gene:PAHAL_3G283200 transcript:PVH62393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEYLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCLKPDKRNKDNKSKHRHDSSDDEEEERRNKNKIFGKKKTHDKKTKLFPKKKGHTKKNSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKRKKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAYKYQELEFAYEVIDPSLEKFAHEKANASTSCDDLLIDANATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSIFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQE >PAN16756 pep chromosome:PHallii_v3.1:3:5484491:5487974:-1 gene:PAHAL_3G084000 transcript:PAN16756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGNVAIALGSGIAGSVLMSNEKIGDLLSGAFKSLKKHGKDGGDAKSGSDQHTAQLISQVNNLREEIKYLASRPVTVVASATKSGPGACTLTAIVVAGVIGYAYIKWKGWKLSDMMFVTKRGLSDACNVVGSQLDQVSDTVVVTRKHLAGRIDRVHISLDETQQIIEGTRDEVTVIHGDLSAFQEDLESVNLVVRTLESKMGRLESSQDQTVDGIHHLCEFTRKMEPVQNRNVQVSSSIPASIEHSSGRIVRATCLTRPAPCLALEEISPVAKSPRAELPQVSPAAESPGAEALQEQKGVSRTWSTSREGLSLVMSTSTETSMNTANPTSSSRFGGLRLPGLSFFRAPALS >PAN15870 pep chromosome:PHallii_v3.1:3:1512644:1513730:1 gene:PAHAL_3G027300 transcript:PAN15870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHPEVEWLWWMDGDTLVTDMGFELPLARYEGTHLVVHGNSYLLFQQRSWVAISTGSFLLRNCQWSLELLDAWAVMGPRGRARDEAGKLLTATLYGRPAFEADDQSALIHLLLTEKERWMEKVYLENEYYLHGLWAGLVDTYEQVMEKHHPGYGDDRWPFVTHFVGCKPCSCRGGGGRSSRGGGNSSDEYPPERCVSGMERAFNFADNQVLRLYGFRHESLASSDVRRVTNRSANPLEAKEEALSFLRKPKDPVVQSHDVRKNRKRKGKRDSVLDRILKRLGWRSEF >PAN20783 pep chromosome:PHallii_v3.1:3:53008963:53011697:1 gene:PAHAL_3G410500 transcript:PAN20783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEQVGEEEEGPAGLVAPPANFGMVDAGVYRSGFPDAASFGFLRGLGLRSVVYLCPEPYPEANAAFLEAEGIRLFQFGIEGNKDPYVSIPVDAIVGALRVLLDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSIFEEYHRYAAGKSRLSDLRFIESFDVTCMRDCMLRLIYRYHDCLQKSKRLQYDVR >PAN20782 pep chromosome:PHallii_v3.1:3:53008963:53011702:1 gene:PAHAL_3G410500 transcript:PAN20782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEQVGEEEEGPAGLVAPPANFGMVDAGVYRSGFPDAASFGFLRGLGLRYLCPEPYPEANAAFLEAEGIRLFQFGIEGNKDPYVSIPVDAIVGALRVLLDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSIFEEYHRYAAGKSRLSDLRFIESFDVTCMRDCMLRLIYRYHDCLQKSKRLQYDVR >PAN19259 pep chromosome:PHallii_v3.1:3:17137560:17140880:-1 gene:PAHAL_3G259300 transcript:PAN19259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKRKDVWGLLLVLLLGQLVAFSMAASSFTSSLIANLGVDAPLTQSFFAYLLLTLVYVPIVLRRRQKLQIAWYRYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVIILTWYALGTRYSFLQFVGAGTCVAGLALVLLSDAESTGEPDPSKIPLLGDALVIAGTVCFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLISMVQILVFERKSLEAVTWSPTMISLFAGFAVAIFIFYTITPFVLKMSGATLFNLSLLTSDMWAVAIRVLFYHQQINWLYYLAFTVVAVGLIIYSLNESSSDNETAASAEAAAQYQQLQSEDNSTGSGQNSGIQERTHKEELHIC >PVH62569 pep chromosome:PHallii_v3.1:3:25621615:25621875:-1 gene:PAHAL_3G326300 transcript:PVH62569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSCSESLVVVDWIRLLFMKQVLFGHIYMYISLCCPFQRSDPVLTQSALHSRYRGGGDEPITARTNLYTCLCTQEFDTSHLPDRV >PAN16085 pep chromosome:PHallii_v3.1:3:1812613:1814666:1 gene:PAHAL_3G032200 transcript:PAN16085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGLVSIFSRLAVDSSPAAGPAPPPWPWPPCGTNPRTASFRAGSGGGEPCCTTTPGAAGVSRGGPATTGNNKLMVRGAAPGEMYKTVNSVYMDDPAADVDFFSLAGDDDEEEGELLDDVDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDPGPLPASNSILATAAPSPSRKAPPAAEAKTKTVTLPDPEPEDDGDDAKTRQPSAPSKSLAEGSVAVAVDSGDPYGDFRASMEEMVSVHGLRDWAALEELLAWYLRINGKQHHHLIVDAFVDLLLGLASSSPSDTAAETSTSTSSSSSSSSGSASAGSRCTTSTSTSTSTAATTTSAAGDAAIVAATAVTTAAAAAAQCGGGNEAAACCSSSSSSCAAGPDIQDDVEEEEKASSAARALAAASAPLRVPQSIA >PVH62053 pep chromosome:PHallii_v3.1:3:12664367:12665934:-1 gene:PAHAL_3G193600 transcript:PVH62053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAATQRSNRRRRPKKFLYKPKPPPEPHPFLLHLKSLPSPIAAAAALLSAPRHLHDHPFAACVLYRLARARLFPLVLPLLAALRSLRVPLQPTAFAALIDHLGAASRPDAALLVFRAVPAFCSHSNTTFHALLHSLVCNDRVNAARDMLPQAPKLGVRTNAVSYNIILKGVCHRDGFSGARAVLEEMLASGVRPTVVTFNTLVGTACREGELAAAERLVDEMARRGVTPNAVTYALLMQGLCDVGRYDEAKKLMFDMEYRDCQPEAANYGVLMSACALRGDAEGVRRLISDMRKRKLKPDDASYNVLIRCLCDAGRVDEAHRALVEMQLKDSAAPSAATYRVLLDGCCEARDFELGLRVFNAMLASGHCPLARTFRHLVRGLGEDGKGEEACFVLEQMGLRGVRLDAQGWQSIAACVCSSSSSSEMKLIDELVSSA >PVH61417 pep chromosome:PHallii_v3.1:3:1866599:1867211:1 gene:PAHAL_3G032900 transcript:PVH61417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHDCHSVWLARHYRASGLCLGSHPNLHSSPSSIGLNHTHNLSFFPFHAARLNPSLLPPASQSRGSSAGAPAPLPFFVSPLPSCRFPAAGNFPLAG >PAN16782 pep chromosome:PHallii_v3.1:3:5581514:5583700:1 gene:PAHAL_3G086100 transcript:PAN16782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLTRTGVLPWLQSKIVDPVLQVIRRGAEPKQLAFSAALGVTIGIFPICGTTVILGGVAVAMLGSRCNAVTVMVLNLAATPLELSLIIPFLRLGEAITGSGHFPLTADALKNVLTGHASKDVLLSIVHAMLGWLIAAPFVLGVLYMVSVPCFKVLVDRFGGIPSSPRTPIKAV >PVH61647 pep chromosome:PHallii_v3.1:3:5579026:5583700:1 gene:PAHAL_3G086100 transcript:PVH61647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLTRTGVLPWLQSKIVDPVLQVIRRGAEPKQLAFSAALGVTIGIFPICGTTVILGGVAVAMLGSRCNAVTVMVLNLAATPLELSLIIPFLRLGEAITGSGHFPLTADALKNVLTGHASKDVLLSIVHAMLGWLIAAPFVLGVLYMVSVPCFKVLVDRFGGIPSSPRTPIKAV >PVH61649 pep chromosome:PHallii_v3.1:3:5579026:5583700:1 gene:PAHAL_3G086100 transcript:PVH61649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLTRTGVLPWLQSKIVDPVLQVIRRGAEPKQLAFSAALGVTIGIFPICGTTVILGGVAVAMLGSRCNAVTVMVLNLAATPLELSLIIPFLRLGEAITGSGHFPLTADALKNVLTGHASKDVLLSIVHAMLGWLIAAPFVLGVLYMVSVPCFKVLVDRFGGIPSSPRTPIKAV >PVH61648 pep chromosome:PHallii_v3.1:3:5578460:5583700:1 gene:PAHAL_3G086100 transcript:PVH61648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLTRTGVLPWLQSKIVDPVLQVIRRGAEPKQLAFSAALGVTIGIFPICGTTVILGGVAVAMLGSRCNAVTVMVLNLAATPLELSLIIPFLRLGEAITGSGHFPLTADALKNVLTGHASKDVLLSIVHAMLGWLIAAPFVLGVLYMVSVPCFKVLVDRFGGIPSSPRTPIKAV >PAN19941 pep chromosome:PHallii_v3.1:3:21846298:21848433:1 gene:PAHAL_3G309100 transcript:PAN19941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVMASSATSVAPFQGLKSAAGLPVSRRSSRAGFGKYVSNGGRIRCMQVWPTENNKKFETLSYLPPLSTEDLLKQIDYLIRNNWVPCLEFSKVGFVFRENATSPGYYDGRYWTMWKLPMFGCTDATQVYAELEEAKKAYPDNYIRILGFDNVRQVQCVMFLAYKPPSSEA >PAN21491 pep chromosome:PHallii_v3.1:3:60461745:60463508:1 gene:PAHAL_3G470700 transcript:PAN21491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRASAAALRAARWLLPLAVPACCVVWMLLAFAPPTPPALAARRRESFQVSVGGIVGAMERRAAVTPPVLGNEDIVHALPQLPERQETIDTAPAPPGSRARADTSPPPPPERELIVDASSSPPPKLEEIVAASPPPPNRQLRVGTPPSPPPPQPARQERQPRAAKRAAVADRCAGRYIYVQELPSRFNTDLLRDCRYLSEWTDMCKHVANAGMGPRLTRTGGVLPPTGWYDANQFTLEVVFHNRMRQYGCLTADASRAAAVYVPYYAGLDVGRHLWGFSNNVRDALAEDLVDWLRSTPAWAAHGGRDHFLVGGRIAWDFRRQDGGEWGSRLLLLPEATNMTALVLESGPWHVGDVGVPYPTYFHPSRAAEVASWQRAVRRARRPWLFAFAGARRPGEGGTLRDTVIDQCARSRRCGLLQCGRGRRNDCYAPGNVMRHLKSAAFCLQPPGDSYTRRSAFDAMLAGCVPVFFHPGSAYTQYRWHLPADHARYSVFLPGDSVRNGTVRVEDVLRRFGRAEVAVMREQVVRMIPGIVYRDPRTPAGGFRDAFDIAVDGMIDRVGRIKRGLPPWEDDDDQRRWNGYFNSR >PAN19262 pep chromosome:PHallii_v3.1:3:17143144:17146329:-1 gene:PAHAL_3G259600 transcript:PAN19262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSATILIGGFCASSAVLRLMGVKKKAVWGLLLVLLLGQIISFSVAASSFASSLIANLGVNAPLTQLLFAYLLLTIVYVPIILHRREKLQIAWYWYLALAFIDVQGNYLVVKAYQYSYITSVTFLDCFTVVWVIILTWYVLATRYSLWQFVGAGACVAGLALALLSDAKSPDEQDPGKIPLLGDALVIAGTVCYAFNNVGQEYCVKNKDRVEIVAMLGLFGSLVSVVQIFLFERKSIEAITWSSTMISLFAGFAGANFTFCSVTPFVLKMSGATLFNLSLLTSDMWAVAIQILFYHQQINWLYYIAFIVVTIGLIIYSLNESSSYDNQASIEAAAQYQQLQDEDNLTENGSNSGRQERTHNDEIGIC >PVH63121 pep chromosome:PHallii_v3.1:3:61133727:61135789:-1 gene:PAHAL_3G477700 transcript:PVH63121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVASGDAPLLLFAVAFVSLLVAAAVLSKRGRWRGNVAPSPPSRPLLGHLHLLGKPLHRSLAALAAAHGSGGGGAAPLLSLCLGARRALLVSTHGAAEECFTARDAALAGRPRLLAGERLGYGYTMVVWAPHGEHWRAVRRFLAAEIFSASRLAARAAGRRAEVAALVGSLLRGGAAVALRPRLFELVLNVMLRAVTGERARRADVRRFQEIVEETFAASGAPSIGDFFPALRWVDRLRGVDAALVRLQATRDAFVGGLVEDQRRRRDDDGSGRDTEKKSIVDELLSLQEIDPEYYTDTVIKGIVLILLTAGTDTSALTTEWAMALLLTHPEAMQKARAEVDANVGTARMVEESDITNLPYLQCVVKETLRLFPVAPIIPAHEAMEDCTVDGFDVRRGTMILVNAWAIHRDPELWDAPEEFRPGRFLDAGTVTAVTAPLLPFGLGRRRCPGEGLAMRLVSLTLAALVQCFEWDVGEDGAIDMAEGIGLTMPMATPLSAVCRPRKFVERMLSAWT >PAN21577 pep chromosome:PHallii_v3.1:3:61133287:61135789:-1 gene:PAHAL_3G477700 transcript:PAN21577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVASGDAPLLLFAVAFVSLLVAAAVLSKRGRWRGNVAPSPPSRPLLGHLHLLGKPLHRSLAALAAAHGSGGGGAAPLLSLCLGARRALLVSTHGAAEECFTARDAALAGRPRLLAGERLGYGYTMVVWAPHGEHWRAVRRFLAAEIFSASRLAARAAGRRAEVAALVGSLLRGGAAVALRPRLFELVLNVMLRAVTGERARRADVRRFQEIVEETFAASGAPSIGDFFPALRWVDRLRGVDAALVRLQATRDAFVGGLVEDQRRRRDDDGSGRDTEKKSIVDELLSLQEIDPEYYTDTVIKGIVLILLTAGTDTSALTTEWAMALLLTHPEAMQKARAEVDANVGTARMVEESDITNLPYLQCVVKETLRLFPVAPIIPAHEAMEDCTVDGFDVRRGTMILVNAWAIHRDPELWDAPEEFRPGRFLDAGTVTAVTAPLLPFGLGRRRCPGEGLAMRLVSLTLAALVQCFEWDVGEDGAIDMAEGIGLTMPMATPLSAVCRPRKFVERMLSAWT >PAN21576 pep chromosome:PHallii_v3.1:3:61133824:61135476:-1 gene:PAHAL_3G477700 transcript:PAN21576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVASGDAPLLLFAVAFVSLLVAAAVLSKRGRWRGNVAPSPPSRPLLGHLHLLGKPLHRSLAALAAAHGSGGGGAAPLLSLCLGARRALLVSTHGAAEECFTARDAALAGRPRLLAGERLGYGYTMVVWAPHGEHWRAVRRFLAAEIFSASRLAARAAGRRAEVAALVGSLLRGGAAVALRPRLFELVLNVMLRAVTGERARRADVRRFQEIVEETFAASGAPSIGDFFPALRWVDRLRGVDAALVRLQATRDAFVGGLVEDQRRRRDDDGSGRDTEKKSIVDELLSLQEIDPEYYTDTVIKGIVLILLTAGTDTSALTTEWAMALLLTHPEAMQKARAEVDANVGTARMVEESDITNLPYLQCVVKETLRLFPVAPIIPAHEAMEDCTVDGFDVRRGTMILVNAWAIHRDPELWDAPEEFRPGRFLDAGTVTAVTAPLLPFGLGRRRCPGEGLAMRLVSLTLAALVQCFEWDVGEDGAIDMAEGIGLTMPMATPLSAVCRPRKFVERMLSAWT >PVH61279 pep chromosome:PHallii_v3.1:3:297300:299575:-1 gene:PAHAL_3G004400 transcript:PVH61279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAAAAVGRLLTGVLRPNNHLPPLTVKLLHARLLRLHLLTDLSPLLLRALSSTGLHLHALRVHSLLPNPSHLTFPFALKAASRLPDPLSAGLQLHGRSLKLPYHSNPHVLTSLLSLYARCGLLHDAQKAFDEMSHPSTVSWTALITAYMDAGRVQEAVGVARKAFASGMRPDSFTAVRVLTACARVTDLVTGEAVWRVVEQEGIAGNVFVATAALDLYVKCGEMQKARVVFDKMQNKDAVAWGAMVGGYASNGHPREALELFFAMQAEGMTPECYTVAGALSACTRLGALDLGRRAARMVHWDEVLDNPVLGTALIDMYAKCGSTGEAWMVFQQMRKRDIIVWNAMILGLGMTGHEKIAFALVGQMEKSGMTPNDNTFIGLLCSCTHTGLVKDGRRYFHNMTQSYRISPRIEHYGCMVDLLSRAGLLEEAHQLIEDMPMQANAVIWGALLGGCKIHRDAGLAEHALKQLILLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLEMKARGLRRSLHLAGLNLMVRSTSSMSEITHIPSQIKFMKSSMNWAWK >PVH61738 pep chromosome:PHallii_v3.1:3:6967482:6970636:1 gene:PAHAL_3G109300 transcript:PVH61738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPIIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGAIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >PAN20657 pep chromosome:PHallii_v3.1:3:33767451:33768124:-1 gene:PAHAL_3G351300 transcript:PAN20657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVADSSPSVEQGFEGQPYPGFWRQVTLRSMAIAAVLATIFSLVTLRIYMTIGVVGALNMPANVLGYFSVKSLVAMLRRHGIAAAPFTRQENIFLQTCVMTCVNIAISAKSLSNHPDEEDIIDRVPTGKYALFLFLTGLVAVTSMLPLVQVNYFPLTRSLLCSHSSVTMCMTGLPKVL >PVH63076 pep chromosome:PHallii_v3.1:3:60286580:60287282:-1 gene:PAHAL_3G467300 transcript:PVH63076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWDWRLEEDGEVLDSSSARWSPTASISISASAVVERTESMAAGPGPTSWATEAS >PAN16272 pep chromosome:PHallii_v3.1:3:3166763:3171586:-1 gene:PAHAL_3G049800 transcript:PAN16272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERRYCPCASHGGFLMLVLLFFLFFFHHAPMAAAADAPPPLPPQKAQDILINLSSVVGTNRWNSSTPVCDWTGVTCTRSGSGSSLAVTNITLSNYGISNRSIFASICPIYTLQSLDLSRNSFTDLGDLLSTSSCRMKEGLLSLNLSSNKLSHRLSDLSGFPQLEVLDLSFNLFPSENLSAYLGSFPRLRSLNLSSNKLIGDIPVSMAGSLAELVLSGNQFSGSIPLALFNYGNLSLLDLSQNDLNGAVPDEFKSLPKLQTLLLSGNNLSGKIPPSLNVTTLTRFAANKNSFSGLIPTWITKNVRMLDLSYNHLNGSIPPDFLSHPGLQSVDLTSNMLEGTIPNSLSRSLFRLRLGGNKLGGNIPNSICDGMSLAYLELDNNQLMGNIPSELAKCKKLSLLNLASNQLQGPLPDGISSLEELVVLKLQNNSLNGHIPNTFSASLSTLNLSQNSFTGQIPSRVFELQKLSTLDLHGNNISGGIPISISSSTSLIELNLGYNALTGTIPTMATTLSTSLNLSHNYLSGSIPSNLGSLIELEILDLSYNNLSGEVPSSLGSMQSLTQLVLSYNHLSGSVPRFRQDVEIDIEGNADLINGNGNNNSISTTGKKKPHNVVIIIFTIAGAVVGLCVLAVIVMMSLSKRIYRVEDEGLSTGESVSQIINGHLITMNSIHTSAIEFMKAMEAVCNHQNIFLKTRFCTYYKAVMPNGSTYSVKKLNSSDKIFQNGNQEKFAREIEVLGKLTNSNVMVPLAYILTVDSAYLIYEHAYKGTVSDLLHGEKSDVIDWPSRYSIALGVAQGLTFLHGCTQLVLLLDLSTRTIHLKSTNEPQIGDIELCKIIDPSRSTGSFSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSNGTELAKWALSLSARPDQREQILDTRVSGTSIAVHSQMLSVLNIALSCVAFSPDARPKMRNVLRMLFNAK >PAN16910 pep chromosome:PHallii_v3.1:3:6082795:6087773:1 gene:PAHAL_3G095700 transcript:PAN16910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAGVEATAAPGLPVAAGRDRDKDKDDRRRWAARCGFAVLGIMSTLLVYGVLQEKIMRIPYGAEKEFFRYSLFLVFCNRITTSMVSGMVLLASKKSLDPVAPLHKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVIVTLGCSLFILYPASMDVSPFNKGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCLLSLTGLIVQNHLIPAVDFMFRHPDCFSDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYTKSFLRSKPQKPAVASLPRGSSPNPPNNS >PVH62081 pep chromosome:PHallii_v3.1:3:13116930:13119077:1 gene:PAHAL_3G200300 transcript:PVH62081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKAELIPPARAPPTGQPRAGVDDDRPAGSLAAPSAAATAGLPRRALLSRWLRFATSLRFYEDNRHGVNGQPTQSGPHVSDRTAGTDPGWTVFEIPSTWSVSHIPSNPGTAPLPRGAAPRSAAAFWPVLEVLPLSSRASASLCVKATEVCPRSRLARAAGFCVVPARYLHQRRRYPAQLCLQPRLCRRASSGAPCCRCSYSSRPACHARIAAGGTPRTRLRNKKQRSA >PAN19216 pep chromosome:PHallii_v3.1:3:16879296:16887093:-1 gene:PAHAL_3G256800 transcript:PAN19216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIIRRRKYLSDHVNTPILSSSPFSTFQRGRSGLEVEPRTAQKPLEQSSGDSKCEKEQYSVNLVKKDLLGLGNGFLRSPAHVIPLSHRGIGRNEFGLPLGARSLLQSVRTASTATAGQPKMDTDDEQSEDQKQIKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKAGQSVMQKFWARILGIGPALRAVASMSRADWAAKLKHWKDEFISTLQHYWLGTKLLWADAKISSRLLVKLAGGKSLSRRERKQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRRGERVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIQPFGTDHYLRFMLRKQLRDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLQDWLDLSLNHAVPSSLLILSRAFTVSGRMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQKKEEEEKLKEPEAAEEDVALKEMIDATAREEELRKAKEHDKEKLCNISRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYFAAREETDHDAEVAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVRLASHTEEHNDEEEEARQ >PVH61562 pep chromosome:PHallii_v3.1:3:3876601:3882496:-1 gene:PAHAL_3G061500 transcript:PVH61562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERTEGDELIVDYVDCLMSLDTNARAGPSDGLIFGAPVVEGAVGGGTEPDAMRDFASAEDPKEPVLGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEEVVVMKRFVCSREGVYKKKQTSPDEATRKRERMSMREGCNAMMEVVRESDHWVVSKLEKAHNHDLGTCSTNVGCLRARGLLGGSDKVTMVGPDEMAFLRQNVLGEGGDAQGLIDYLKKTQASDPAFSHAIQVDKNGCVVNVFWADARAKAAYRHFGDAVTFDTSYKKNKYMMPFVTFSGVNHHLQPVIFGCALLMEETEFSFVWLFETWLSAMGGKVPCSLVTDQNRAMKAAIGKVFPNSCHRFCKWNILSRTRQKLTHVYTEHPTLRDELESCVLESETIATFETKWTSIIDKYDLRKNSWLQAIYNIRQKWVPLYLMDTFFGEIAPTWKLETMNDFYKKYFNAKTTLEVFLNQFNLSMASQYEDEAKADMDTYLNKATTKTASLIEKQAASTYTKAVFSKFQEEFTESLGFIIQKTGDGCISKYSITKDEDPSETFYVTYNASNKMAKCSCKYFEFSGILCRHILGVYIIVDPRTLPPDYFLRRWTRKARDDDALLEENNNYHDEDPSQSITSRYNVLCVDAIRCAEKGAGSEAVYKAAKDILQKAYQEIIAYERNPGRGSQRDAININEDVTIDDAMNDQSMPDSGRKVTNLLGQFLDSSWSPV >PVH63009 pep chromosome:PHallii_v3.1:3:58872632:58877055:1 gene:PAHAL_3G453000 transcript:PVH63009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGLGVGSISLIVLAVGSIAPSLLKAAIGAIFAAFPDYQDRITMMDTVIAVFWKQPVEQFLKAAPCLVAYLMGIPILGYSLDIWKEHVNLIDEHAVTKANLRRAIDGKELDRPLPRFVTLSSGSTLRSKRRIRSIYVE >PAN17439 pep chromosome:PHallii_v3.1:3:8491238:8491881:1 gene:PAHAL_3G131600 transcript:PAN17439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSLVCQICVEFLNIRVGKTGTGKLIPQRARRQLVIHTVRQLQLERYMYKLNHSDISGEWSIQQKGYKRSNGSKQIDSKEIAET >PVH62517 pep chromosome:PHallii_v3.1:3:22787325:22791552:1 gene:PAHAL_3G314900 transcript:PVH62517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLIALRRCRNLFAMTIRGTLGDDIGSLTELRVLDLSSNPDLGGPLPAAMGKLVKLEELALIGCSFSGPIPSELGNLSQVKFFALNSNKLTGSIPPSLGKLSSVTWLDLADNLLTGPLPNSKDNGTGLDQLLEAEHFHFNRNNLEGSIPEYLFNSNMKLKHILFDINRFVGPIPPSIGAIPTLEVLRLNNNGFMGQVPSLKNLTKLHVLMLSNNKLNGSIPNLAGMRLLENVDMSNNSFTPSNIPSWFSNLTNIMTLTMQSVGISGQVPQELFSFRKLQHLILSDNQLNGTLDMGSNINEGLDLVDIQNNGVTSVTVYDSFKGQFLKLQGNPLCNNSLLSDTMPCTGLQTEAPRQPRTIEVQCAHPFIETIVFRAPSFGDVVQYLPELQNNLTDKLNSCTPNQLSLAHSNDGEYLNVEIKACPVNQKRFNYSQVLNCFNLTRQTYKPPELFGPYYARAHHYPFHDKASRAVLIGIVTGSVLLVVGLTLVGFYAVRQKKRAQKLVSINNPFASWGSMGEDIGEAPKLKSARFFTLEELKLCTNDFREINAIGAGGYGRVYRGKLPDGQLVAIKRSKEGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGEKMLVYEFISNGTLSEALYGMKGIQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDEKMTAKVADFGLSLLVSDSEEGQLCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIMAKPPIHDNKYIVRELKMALDMEDRTHCGLQDVMDPILAKMGGLLGFPRFLKLALQCVEEMGTSRPSMNTIVREIEGIMQDYGLIPASMSTSSSFSIESRMMKVGPKLPYSSASTSHSTFDMDSRAFEYSAVFPSSHGSLKP >PAN20051 pep chromosome:PHallii_v3.1:3:22786068:22791780:1 gene:PAHAL_3G314900 transcript:PAN20051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKQQLLLLLFVLSAVGSRIASADTNPQDAAALRSLMKKWKNLPSSWGKSNDPCGASWDGILCDGNRRVTSLNLFAMTIRGTLGDDIGSLTELRVLDLSSNPDLGGPLPAAMGKLVKLEELALIGCSFSGPIPSELGNLSQVKFFALNSNKLTGSIPPSLGKLSSVTWLDLADNLLTGPLPNSKDNGTGLDQLLEAEHFHFNRNNLEGSIPEYLFNSNMKLKHILFDINRFVGPIPPSIGAIPTLEVLRLNNNGFMGQVPSLKNLTKLHVLMLSNNKLNGSIPNLAGMRLLENVDMSNNSFTPSNIPSWFSNLTNIMTLTMQSVGISGQVPQELFSFRKLQHLILSDNQLNGTLDMGSNINEGLDLVDIQNNGVTSVTVYDSFKGQFLKLQGNPLCNNSLLSDTMPCTGLQTEAPRQPRTIEVQCAHPFIETIVFRAPSFGDVVQYLPELQNNLTDKLNSCTPNQLSLAHSNDGEYLNVEIKACPVNQKRFNYSQVLNCFNLTRQTYKPPELFGPYYARAHHYPFHDKASRAVLIGIVTGSVLLVVGLTLVGFYAVRQKKRAQKLVSINNPFASWGSMGEDIGEAPKLKSARFFTLEELKLCTNDFREINAIGAGGYGRVYRGKLPDGQLVAIKRSKEGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGEKMLVYEFISNGTLSEALYGMKGIQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDEKMTAKVADFGLSLLVSDSEEGQLCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIMAKPPIHDNKYIVRELKMALDMEDRTHCGLQDVMDPILAKMGGLLGFPRFLKLALQCVEEMGTSRPSMNTIVREIEGIMQDYGLIPASMSTSSSFSIESRMMKVGPKLPYSSASTSHSTFDMDSRAFEYSAVFPSSHGSLKP >PVH62381 pep chromosome:PHallii_v3.1:3:18760014:18761123:-1 gene:PAHAL_3G279700 transcript:PVH62381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSRLSARERAGVGAHGRAYLIRSRLARRGESLAVKGRCCGPPCGKVALGSDQIREAIRGRPCLLVLGAGSGPRADPIARARLGRCGLRRQLIMPCAVAMPGPRAAASAAAFVPPCRSSSSNVA >PAN20649 pep chromosome:PHallii_v3.1:3:24173577:24176536:-1 gene:PAHAL_3G322000 transcript:PAN20649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSWSLVLPFGLLAFLLAYVGEAAVVEHTFIVGNLSISQLCQTAMIITAVNGQLPGPTIEAREGDTVVVHLVNESPYDMTIHWHGIFQRGTPWADGPAMVTQCPVKPGGNYTYRFNVTDQEGTLWWHAHISLLRATVYGALVIRPRGGAEAYPFSKPHGEETVLLGEWWNANVEDLDRMAFLTGNPPRNADAYTINGKPGDFYNCSNGNQTYRFQVQRNATYLLRIINAALNTPMFFKVANHSFTVVGADAAYTTPYETDVVVIAPGQTVDALMVTGAAVGLYYMAASPYDSAIPQGPPFSMTTGTAVVEYVGSAGEETPQLPSRPEYNDTDTAFRFFSNLTALVLPGKPTVPLSVDTRMFVTVGLGNSDCQPAQLLCNTSGTRLPIFSSSMNNASFVLPNSVSMLQAHYANASAGVYTRDFPDQPPVIFDYTADASDTATLKYTTKSTKVRTLRYNETVEIVLQNTRLIAKESHPMHLHGFNFFVIAQGFGNYDQATATPQFNLVNPQERNTVAVPTGGWAVIRFVANNPGMWFMHCHFDAHLDLGLGMVFEVQDGPTAETSVPPPPFDLPQC >PAN21281 pep chromosome:PHallii_v3.1:3:59114794:59119684:-1 gene:PAHAL_3G455100 transcript:PAN21281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKPTASMASDPFVVLETTSSSAHPFTDPLDELGKPAKYQGKSHESTGTDSSLFEDPSTFNQASKSEPLFSEVDNVTKDRNDSSRGRDSNPVQSFPKRNSAQQPSVEDFENIFPKSQSARYSDVHVDIGTEKYSGNGINDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPPLAIKQKQHGSKSKRKDDEYLRRSRKNYDHQRSSSNKAGVSSVDELEDFAMGKSQNAHAFNEEEFERSTAAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNREHQEQDNEARSYAQDREDKERKERLEQEREMRQKEEKEREQRRLEEERELERQRERERARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQQEARERAAVDAKERAEAKERAAAEAKEKAASQARDRAAAERAAVERAQQEARKRAERAAVERAAAESRERQAAAAAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPDSMFDTQTQNRGTSTSASMKKASSTTNIADDLSAIFGGAPTSSDEFQEIDGESEERRRARLERHQRTRERAAKALAEKNERDMQQQREQAERHRIAETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >PAN21282 pep chromosome:PHallii_v3.1:3:59114800:59122171:-1 gene:PAHAL_3G455100 transcript:PAN21282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFQGLLARDFGLRPQGKAAPMSAARSSGPSGSAWASTRSASASAAPAAPSYDDLFGPAASAPAPTKPAPEPSASFDAIFDSYKEPSSAAPPPKPKHSSMPVYDKPVYDDDIFDGVPGVKSSSAPYDDVFGGSQGHAPPPAFDDLLGGFGKKSQGREEAEEKRKPKPAAASTGFDDLIPGFGGRSSPRQRETVGAKEKKVSMSTSKPTASMASDPFVVLETTSSSAHPFTDPLDELGKPAKYQGKSHESTGTDSSLFEDPSTFNQASKSEPLFSEVDNVTKDRNDSSRGRDSNPVQSFPKRNSAQQPSVEDFENIFPKSQSARYSDVHVDIGTEKYSGNGINDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPPLAIKQKQHGSKSKRKDDEYLRRSRKNYDHQRSSSNKAGVSSVDELEDFAMGKSQNAHAFNEEEFERSTAAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNREHQEQDNEARSYAQDREDKERKERLEQEREMRQKEEKEREQRRLEEERELERQRERERARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQQEARERAAVDAKERAEAKERAAAEAKEKAASQARDRAAAERAAVERAQQEARKRAERAAVERAAAESRERQAAAAAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPDSMFDTQTQNRGTSTSASMKKASSTTNIADDLSAIFGGAPTSSDEFQEIDGESEERRRARLERHQRTRERAAKALAEKNERDMQQQREQAERHRIAETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >PVH62357 pep chromosome:PHallii_v3.1:3:18266503:18267783:-1 gene:PAHAL_3G273700 transcript:PVH62357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALGARLRVIHPYNEFPINQSVIVLQAVQSPQRKEKEKMGLGVRLLPPLWPLQMMLLAAATASVKFASQFGKSMKDKRAAIRACLHLPGVIKRSLKRQTGPGAEDGSQGEAVVPVARAPVPRAQGLRFRSWQAAQHASTSRQLTRFSN >PAN19539 pep chromosome:PHallii_v3.1:3:18862845:18864277:1 gene:PAHAL_3G281000 transcript:PAN19539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRFGAGHCNALLAFVPATRPSRTGGPFGRLSSATPGNGNGQAPSPVGFRPTWRRNGRHGRAGGGRTRSLHLARELHSGRRWPP >PAN16523 pep chromosome:PHallii_v3.1:3:4255671:4256720:1 gene:PAHAL_3G066400 transcript:PAN16523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIVPSHEPPASARGRARQPSLATTASPYVVEDCLGLLQVLSDGTVLRFPPPPFPAGDGCDDGRVEWRDAVYDAGNNLGVRMYRPRRRVAADNKGAAGHKMKTKLPVLVYFPGGGFCFGSYSYPKNHALCLRMAAELPAVVCSFDHRLAPEHRLPAAFEDAAAALLWLPGQVLRSPWLAGRADPRRVFVSGTSSGACVAHQMAVRFGTAGLHPLKVAGYILLMPYFLSEEPTPSELSAPATALLSRERSDRYVRLAMPAGWDKDHPLVNPFGPYSPSLAAADFGRVLVVAAERDLVRDKNLEYAERMKALGKDVRLALFPGQEHAFCAIKPLSAAADEVIRLIKRFI >PVH62468 pep chromosome:PHallii_v3.1:3:20719630:20724318:1 gene:PAHAL_3G301300 transcript:PVH62468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWSPASAAVEPRSVQLLLVGVALVATSFYAGTLFGSSASPALVLPPLSRPGLPDSSRSKDAPMFTNRVSLTYRTKPVRVPDHGVDVCPLEYNEYVPCHDAAFISSLKNLDRSRHEDLESICPPREKRLFCLVPPPNDYKIPIRWPTSRDYVWHSNVNHSHLADVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNNTGDLRSAGVVKVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFAVERGIGAMISVLATKQLPYPGNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWKKLVNITTAMCWKLIAKHVQTAIWVKPEDESCRQKNADMKLLNICESNDNVLPSWKSPLMNCVRLNKDKSEIQKLHSRPDRLSFYFKSLEMIGVTPARFEKNNEFWKNQVHKYWSLFDVEKTSIRNVMDMNAKYGGFAAALSNDPVWIMNVVPYTMSNTLPVIYDRGLLGSYHDWCEPFSTYPRSYDLLHAFHLISHYESRNEDCLLEDIMLEMDRIIRPQGFIIIRDEKNTLLRIIDLAPKFLWDVSTHMLENEENGTELVLICRKKFWAIV >PAN19817 pep chromosome:PHallii_v3.1:3:20719630:20724318:1 gene:PAHAL_3G301300 transcript:PAN19817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWSPASAAVEPRSVQLLLVGVALVATSFYAGTLFGSSASPALVLPPLSRPGLPDSSRSKVADAPMFTNRVSLTYRTKPVRVPDHGVDVCPLEYNEYVPCHDAAFISSLKNLDRSRHEDLESICPPREKRLFCLVPPPNDYKIPIRWPTSRDYVWHSNVNHSHLADVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNNTGDLRSAGVVKVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFAVERGIGAMISVLATKQLPYPGNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWKKLVNITTAMCWKLIAKHVQTAIWVKPEDESCRQKNADMKLLNICESNDNVLPSWKSPLMNCVRLNKDKSEIQKLHSRPDRLSFYFKSLEMIGVTPARFEKNNEFWKNQVHKYWSLFDVEKTSIRNVMDMNAKYGGFAAALSNDPVWIMNVVPYTMSNTLPVIYDRGLLGSYHDWCEPFSTYPRSYDLLHAFHLISHYESRNEDCLLEDIMLEMDRIIRPQGFIIIRDEKNTLLRIIDLAPKFLWDVSTHMLENEENGTELVLICRKKFWAIV >PAN16387 pep chromosome:PHallii_v3.1:3:3600045:3605295:-1 gene:PAHAL_3G056900 transcript:PAN16387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTEEATTDWTPLKKQSGPVILCAPGKPNHEARHSERLPCSNRGPISSTAGDAMASSAAAGRRLLLLRHHHLLPRRYISSSSAADSLDDGGGGGRLKIFDRDLKRRHRDRAAWAMRETDGFVDAVAENLLDRLEDCRKAFPSALCFGGSAGPVRRLLRGRGGIEKLIMMDMSADMVRKWRESENATDDGPETHFVVGDEEFLPIKESSQDLIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDQYTVKYNSALELVEHLRAMGETNALFQRNPVLKRDTALATAAIYQSMFGLEDGSIPATFQVIYMTGWREHPSQQKAKRRGSATISFSDIQKQFAPSEN >PAN17804 pep chromosome:PHallii_v3.1:3:10030563:10033538:1 gene:PAHAL_3G155800 transcript:PAN17804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEGGGFFSSSASGYSHGLALLLLGRKSEEKHDKGSPCSHYRLVGQEAEHECPVPSGKNDVPGKCASFICFGCTPAKLVGASPPKLGSSNIPGSSSEQPSSSSTGTATTNGSINGSGRKGCLKNNPRRDSSERSTLSCSEEPRESLEEVQTLRSGMERRKVQWTDACGKELFEIREFEVSDDGLSEDDLENEGFRKCECVIQ >PAN19926 pep chromosome:PHallii_v3.1:3:21681281:21683215:-1 gene:PAHAL_3G308000 transcript:PAN19926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPLVTSSPRSALPAQPLHAQATGASTGPPLPLPASSPGSPLPAASMETPVPAAPAPLPPPARPTPPPYTAEEGIFREFACRRALTTDEKMFSRECNAGSETLYLYGNSDGSWELRPPKLLIPPGQPDPRMLGVKLVRGDMKHPKWLRHIAMHCDAWLIRISFFLGANLGTRARDHYEWQMFICIPFT >PAN19930 pep chromosome:PHallii_v3.1:3:21679593:21682981:-1 gene:PAHAL_3G308000 transcript:PAN19930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPLVTSSPRSALPAQPLHAQATGASTGPPLPLPASSPGSPLPAASMETPVPAAPAPLPPPARPTPPPYTAEEGIFREFACRRALTTDEKMFSRECNAGSETLYLYGNSDGSWELRPPKLLIPPGQPDPRMLGVKLVRGDMKHPKWLRHIAMHCDAWLIRISFFLGANLGTRARQRLCAMINSLQTVNEKVAASDTYHHICHLEKMSYRLVVAQNEEIEDEDEGCGTEPTICGSCGNRYHTNGFWICCDVCDRWFHGKCVKVTAAQAEHIDKYECPECCSDKKGHDYNADPMLSVLYKQY >PVH62493 pep chromosome:PHallii_v3.1:3:21679312:21682470:-1 gene:PAHAL_3G308000 transcript:PVH62493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRECNAGSETLYLYGNSDGSWELRPPKLLIPPGQPDPRMLGVKLVRGDMKHPKWLRHIAMHCDAWLIRISFFLGANLGTRARQRLCAMINSLQTVNEKVAASDTYHHICHLEKMSYRLVVAQNEEIEDEDEGCGTEPTICGSCGNRYHTNGFWICCDVCDRWFHGKCVKVTAAQAEHIDKYECPECCSDKKGHDYNADPMLSVLYKQY >PAN19929 pep chromosome:PHallii_v3.1:3:21679312:21683215:-1 gene:PAHAL_3G308000 transcript:PAN19929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPLVTSSPRSALPAQPLHAQATGASTGPPLPLPASSPGSPLPAASMETPVPAAPAPLPPPARPTPPPYTAEEGIFREFACRRALTTDEKMFSRECNAGSETLYLYGNSDGSWELRPPKLLIPPGQPDPRMLGVKLVRGDMKHPKWLRHIAMHCDAWLIRISFFLGANLGTRARQRLCAMINSLQTVNEKVAASDTYHHICHLEKMNEEIEDEDEGCGTEPTICGSCGNRYHTNGFWICCDVCDRWFHGKCVKVTAAQAEHIDKYECPECCSDKKGHDYNADPMLSVLYKQY >PAN19925 pep chromosome:PHallii_v3.1:3:21679312:21683214:-1 gene:PAHAL_3G308000 transcript:PAN19925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPLVTSSPRSALPAQPLHAQATGASTGPPLPLPASSPGSPLPAASMETPVPAAPAPLPPPARPTPPPYTAEEGIFREFACRRALTTDEKMFSRECNAGSETLYLYGNSDGSWELRPPKLLIPPGQPDPRMLGVKLVRGDMKHPKWLRHIAMHCDAWLIRISFFLGANLGTRARQRLCAMINSLQTVNEKVAASDTYHHICHLEKMVGCCTE >PVH62494 pep chromosome:PHallii_v3.1:3:21679849:21682981:-1 gene:PAHAL_3G308000 transcript:PVH62494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPLVTSSPRSALPAQPLHAQATGASTGPPLPLPASSPGSPLPAASMETPVPAAPAPLPPPARPTPPPYTAEEGIFREFACRRALTTDEKMFSRECNAGSETLYLYGNSDGSWELRPPKLLIPPGQPDPRMLGVKLVRGDMKHPKWLRHIAMHCDAWLIRISFFLGANLGTRASRQRLCAMINSLQTVNEKVAASDTYHHICHLEKMVGCCTE >PAN19278 pep chromosome:PHallii_v3.1:3:17227231:17228821:1 gene:PAHAL_3G260600 transcript:PAN19278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDLAPGFRFYPTEEELVCFYLRNKLDGVRRGDIERVIPVADVSALDPWQLPEAHRGAFSGAVEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGLVYAADGRPIGTKKTMVFYRGRAPAGAKTKWKLNEYKALEVEDEDAAGVPAPSHALQTRSEFTLCRLYTQSGCPRQFDRRPSAAAAVGGGSENPTAAAALANGEEMGRKRKRAPPSDDDTTSSDGDGSTQQILRQRGTDSEGLNDDMAYWSEFLDYDWL >PAN17063 pep chromosome:PHallii_v3.1:3:6704670:6707025:1 gene:PAHAL_3G105300 transcript:PAN17063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLQSFLAVAPVAVSGAGSGSGARLAPSSRRARVSACLATPPPPPTAAASGAARRELSAASLAVVEDEARYLVGTYNRSRVVIDGGRGCKLYDLDGREYLDMAAGIAVTALGHADPDVTATLARQSATLIHASNVQYTRPQVALAKRLVEASFADRAFFANSGTEANEAAIKFSRKFQRVANPDTDAPPAEFLAFSNCFHGRTMGSVALTSKSQYREPFAPVMPGGTFVEYGNLQEAKKVIQSGRLAAVFVEPVQGEGGIHSATQEFLQGLREACDEAGALLVFDEVQCGLGRTGYLWAHEAYGVSPDIMTLAKPLANGLPIGAVLVKEKVAAAISYGDHGTTFGGNPLVCQAALTVLDKIQKPGFLAEVSKKGENFKQLLRTKLSGNPHVKEVRGVGLIVGIELDVPAGPLVDACLDAGVIVLTAGKGNVVRLVPPLIISEKELEQAANVIRDCLPALDVATS >PAN20830 pep chromosome:PHallii_v3.1:3:53757687:53758462:1 gene:PAHAL_3G414700 transcript:PAN20830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVDDSSRLLPTKRSRHRREFILAKTLLLRRSSPPVPRPGAPPSDEVPSHPCRSSSPAADQIPARVLHPRARCSVTSIFCLL >PVH61741 pep chromosome:PHallii_v3.1:3:7082749:7084035:1 gene:PAHAL_3G110800 transcript:PVH61741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPALLSSPAAQQLPPWRPHRRRLVPRPACAWRSSLILAHRSGAPYRPQPQPRLVPAQSHPPPPLPAAGAVRRDAETGLALLLVVLAAVMSCFLSLTILSFTACRIVFSLYRLCINWKQQQIS >PAN17176 pep chromosome:PHallii_v3.1:3:7082715:7085351:1 gene:PAHAL_3G110800 transcript:PAN17176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPALLSSPAAQQLPPWRPHRRRLVPRPACAWRSSLILAHRSGAPYRPQPQPRLVPAQSHPPPPLPAAGAVRRDAETGLALLLVVLAAVMSCFLSLTILSFTACRALHKLETAANKLAKVVAEEAPGTLSSLKLSFLEINDLTSQLKNLRKRLTISRFWSYRTG >PAN17175 pep chromosome:PHallii_v3.1:3:7082714:7085351:1 gene:PAHAL_3G110800 transcript:PAN17175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPALLSSPAAQQLPPWRPHRRRLVPRPACAWRSSLILAHRSGAPYRPQPQPRLVPAQSHPPPPLPAAGAVRRDAETGLALLLVVLAAVLCLSSCLLPLDFPSSCWCWGAIGQQ >PAN18203 pep chromosome:PHallii_v3.1:3:12171161:12174374:1 gene:PAHAL_3G185600 transcript:PAN18203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGRRRDLTPRSISASQSQMAPPRASPTHRPRLALPLIILLLIPAAAAAAAGDTSLSTFSYSRHCPGLPAALDLPAGDGPALPALQLSTGYFSGGARVFGPETSSQPRSFSLLPSSVARTANASLLHVSATLPVSGGRRRFRYGLDGRNLFEYDGQARHFRPRLPRFTGRRGSITFGLEGYYSTASGELCMVGTGSGRAADGKPVHFLPVVLRLGFPSPANLTRSFVTGRLESVDTISPIEPVSLVAYAEEGYAYAESASCPPPPAGRLDALQVFEGRNFSCAHLSSMLKQPFRLDYRNGSESTASSLGLHQRYMYVNRLQCKDDGAVRAYVAFTNQTEASRYYFMLGEKAVVADGFWDRQRSRLCLKGCHVVNSGPSRADLAVGECGIGMSFWFPAVWSLQQRSFAAGLVWNTSLKSGEAIAAGSSAITPNYRGNLAGLKYNYTKVDEAMKHYEKSGLNKNRKGKFPDSSSYRDLVFRFFVQKGGGSGYASPVTIGSMLFDGNSLVVPDPFSHHVTTEMKQRLINVSYDIYYVGNWSLESFHRRHISAEGVYDTKTGSLCMIACRVLNVSSDCEILVTAQFSSLDAKVAQHVKGTIRSLRKKTDPLFFETLDIASYGMYVEQVDESIWRMDLESTMALISMTLACIFIAVQLFHVNKVPEALPAMSITMLVVLALGYMIPLVLNFEALFKNSNKQTFPLAGGGWLEVNEVMVRIITMITFLLQLRLLQLAWSARSVDVSKAESWAAERKVLWICLPLYIIGGIITWVVHMRFNHSRRMLRQVVQIKPVGHAFWEDLVSYGGLILDGFLLPQAILNAFSDSKVRALSLGFYIGSTMIRSLPHVYDVFRRKHFVPSLRPSYMYASPHDDLFSLAWDIVIPCGALLLSVVVFFQQRLGGGFFLCSKSRKTREYEMVSTVSS >PAN19387 pep chromosome:PHallii_v3.1:3:18006500:18009540:1 gene:PAHAL_3G270500 transcript:PAN19387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAMPPRPFMVPGPGGPMPPPQQQFGLVETRPPLAAVLRPRFNIPGLHPSAAAAAAASGAGKIASTYDLVEPMRFLYVNVVKARDLPVSATGAIDPFVEVKLGNFKGTTAVKAASHSPSWQQVFAFSAAHLQSHLLEVFVRAKDLVGDDLVGRVAFDLAEVPVRVPPDSPLAPQWYRLETKRGEKMPHGEIMLSVWLGTQADEAFPDAWHSDAHAAAGPAAVASTRAKVYFSPKLVYLRVAAMGAQDLIPHDTSRPMSACVKLQLAGQVRRTRPGAPPGAPNPIWNEEFMFVVSEPFDEPLVITVEDRVAPGRDEMLGRIILPLQAAMPRHDHFGKPVEPRWYNLMRPSDDPEKKEVKFASKIQIRMSLDFGYHVLDESTYYSSDLQPSSKPARKPSIGMLELGVLGARNLIPMKPKDGRTTDAYCVAKYGPKWVRTRTVLDTLNPQWNEQYTWEVFDPCTVITVVVFDNGQIGSKNGGGPDQRIGKVRIRLSTLETDRVYTHFYPLLVLHPSGLKKTGELHLAVRFTCMAWVNMMALYGRPLLPKMHYTQPIPVMQLDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRITSLFYGFLAMLKWYEGIRSWRNPITTMLVHMLFLILICYPELILPTFFLYMFMIGLWNYRYRPRHPSHMDTKLSHAELTHPDELDEEFDTFPTSRPADIVRMRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLMVITMLYLLRHPRFRSRMPSLPFNFYRRLPAKSDMLL >PAN19688 pep chromosome:PHallii_v3.1:3:19752362:19760657:-1 gene:PAHAL_3G290500 transcript:PAN19688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGRARAVSLAAAVRVVAATARPASSAAAAAGVGAMALIVQGEDTAFGSLEWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAVAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVICYPIAYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAAEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKPKTEPPAEKTEPNREAVGPAQLTAPLLSNAEERADNVVVDIERPHNRQVNGNPTSNTVPRSSEDIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAAGAQNRQGQQPAGILKKPTEGESNPSKQVNLVEPLLENRR >PVH62887 pep chromosome:PHallii_v3.1:3:55340885:55341433:-1 gene:PAHAL_3G423900 transcript:PVH62887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRTVAAALFLVSFAAVAASVASSASASEAAAPRKLLSGDGMIPITTNDLLPATSAKSLTTGRASGVAIQRELLSGGGMIPITTNDLLPASSAKSLTTGRASGVAIQRELLSGDGMIPITTKDLLPASSAKSLTTGRASGVAIQRELLTKGDFDIGRSGGTEALTKARGGSIGIQTVPAA >PVH61267 pep chromosome:PHallii_v3.1:3:99665:101783:-1 gene:PAHAL_3G001800 transcript:PVH61267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTKELLEYSSSTTDHQLQSSSAEAEDAAAAAADVPHDGSSSNNSSTVELDEAGGGGDSSRKTAASPSSVRPYVRSKNSRLRWTPELHHCFLRAVDRLGGQVRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDSGQVIGGYSWRDDHHPLQQEGGQVYNLGHLSCLHHGQTTGAASTTTLLSARFGAWPHHQPYWLHGHHVLGSKPYYSSAAEADPPFLRTRAHYGTGASILQGCSSYQNDRSMNNQIRLSREEDNNHHDPLDLELTLDIGPRQDNNKRIKRSGSSWGREDGEENARDPQVESATDTGLSLLSSHLILLRGPVVAALS >PVH61472 pep chromosome:PHallii_v3.1:3:2611706:2612041:1 gene:PAHAL_3G041000 transcript:PVH61472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECPYSKQIWSAAATWAGCPSLSPAIWSANFDLQSWFCHLLKVQQQYRKGVGSLVLLIVWSLWRERNNRIFRKAELSVPRFISFLRDAIRMWIFAGAKFLSSLVGHIFCE >PVH61974 pep chromosome:PHallii_v3.1:3:11045255:11046324:-1 gene:PAHAL_3G167100 transcript:PVH61974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAQRAAAICVKLDRDDDPSGAGAGWCKRRRLWTTADYEPTRALGEGGFGAVVEARHRATGQAVAVKALRVPVPAPDGAGAAKARADAADEALREAEFLAACRGHPSLVDLHALAINHVRRGVGPNLHDVLNDGRLRGGRPFPESDVRRITRQLLGGAKHMHERRIMHRDIKPGNILVVAGASGEPISVKICDLGLAALVTNPPPYELLGKTDYAAMVDMWSLGCVMAELRTGTPLFDGNDDNDVVLRIFSVLGVPGPRSWPAFKSLPLAGTVTVPPVRHRNRLRQFFPKERLSADGFDVLKRLLSCNADKRPSATAALRSPWFTKDIDSKASVSSAAVAKVDGDG >PVH62088 pep chromosome:PHallii_v3.1:3:13238850:13239272:-1 gene:PAHAL_3G203100 transcript:PVH62088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSCRQLAIRHFAMGMEELDEFEVLWPETCCHARELPPPPVPVQLVRPSEAPAAARSCPVDVPNPKAARACRWDGPRDADHDGGGGGKANIVPPHLLLSGRRWSETAWTLRPAGVGPAVQPSARPAPPPQLRAADDRLH >PVH61861 pep chromosome:PHallii_v3.1:3:9270093:9272032:1 gene:PAHAL_3G143700 transcript:PVH61861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKDRAMLDTISQAVLRPSGHHIPVPSFQLWLISEKREHLLVALQHSTHGETGMEEINQCITGRADHLSPPATPPPSRSSHKNHSCSLRSLSSKTGKALPRVRLRAAMRRRPPFHSRAAAAAAVLLLLLLSPAPTSQLGLGSAIAAWINGAPPPSPSPPAASSSSAAPSQQEYTALQALKAAVTEDPRGALSSWQGANVCAYRGVYCSAPPDGAAAAGAPTVVAGIDLNRAGLRGALPEAVSLLAHLTFLHLNSNRLGGAVPDSLRDLQYLTELDLSNNLFSGPFPASTLLIPSLVYLDLRFNAFSGELPPEVFAKDLDALFLNDNQFEGQIPETLWSSPATVITLANNRFTGPVPAAYGYGAGGRVREVLFLNNNLTGCVPEALGFLPSIQVLDLSYNALSGHLPGTLSCLSGIEVLNVAHNQLTGELPDLLCDLRRITNLSVSFNFFSGISQRCDRQLGSHGVFDFVGNCVPGRDMQRPQPECEGFPGEGGLSCLRIPGARPTGCGGDAAVSVGVGVGVGVGVGGLPFGLPGAAAGAGGAATVTVP >PAN21025 pep chromosome:PHallii_v3.1:3:56703936:56716741:1 gene:PAHAL_3G432500 transcript:PAN21025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTPPPLEQQQEDEEMLVPHQELPVAGPEPAPQPMEVVAQTETANTAESQPAEDPQTSRFTWTIESFSRLNTKKHYSDVFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLNDQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPLQFQRFWLWAKRQNHTYRPNRPLTPHEEAQSVGQLREVSNKAHNAELKLFLEVELGPELCPIRPPEKSKEDILLFFKLYNAEKEELRFVGRLFVKALGKPSEILTKLNEMAGFSPSEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKAPVPDGDTQVRYPDVPSFLEYVHNRQVVHFRCLDKPKDDDFSLELSKLHTYDDVVERVAHQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLMVVREGDTAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDVVSARFQRRDVYGAWEQYLGLEHTDTTSKRSYTANQNRHTYEKPVKIYN >PAN19134 pep chromosome:PHallii_v3.1:3:16351351:16352010:-1 gene:PAHAL_3G251900 transcript:PAN19134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKKHKHKHKEKEKEKGGTEQAAHFKPCADVKGIRFGGQFIVKSFTVRRASPLELLRLLDIPPSYLSECQSLPFPSTTTYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESESMKAAVDQLWPAMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMRADDLRRILQSVVDLKDFLDHTTMLAIPSQKSITLQSRTAVAH >PAN18884 pep chromosome:PHallii_v3.1:3:15143723:15146143:1 gene:PAHAL_3G233000 transcript:PAN18884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >PAN21665 pep chromosome:PHallii_v3.1:3:62369452:62371624:1 gene:PAHAL_3G491800 transcript:PAN21665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLLLHLLLAAVAALWAAAAGQDDNVLRPGAPSCSTTGNYTDGSQYKKNLDQLLAALPAAAGDNGWFYKGSAGVGADEVFGLIMCFADRNATQCRDCLARAPAGITTVCPGSRNVSAAYDACVLRYSSAPIPATADLNAVLAVFLTIRGVPVTSDAVRAAWVPLMSKLTGGVAASPLRIANGSTPYTGPQAREMYGLAQCTRDLNASECSNCISSYTDRLGELFPNNTGGAIKGYSCYLVYLVVPLDITLPPAPAVGPPSLSPPPPAAPGPSSSSKTGIVIGVSVSFLVILGFSMWFLLRRRRRKQAKILEEGREQELGDGGFFDDEPEIEDEFEKGTGPKRFRYGELSIATDNFSDRQKLGEGGFGSVYRGFLREMNLHVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGSELLLVYELMPNGSLDTHLYSASDGELLPWPLRHEIVLGLGSALLYLHQDWEQCVLHRDIKPSNVMLDASFHAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRASAESDVYSFGVVLLEIACGRRPLVARRGEEDREDDVIHLVQWVWEFYGRGDILDAADARLKGEFDAREMETVMVVGLWCAHPDRSLRPSIRQAVNVLRLEAPLPSLPTRMPVATYMPPPDAFYYTSSVATTGGGSSTGTGTGTTQSSTTETSILLK >PAN18443 pep chromosome:PHallii_v3.1:3:13197815:13199613:1 gene:PAHAL_3G202100 transcript:PAN18443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSSAVVGELVSRSISFLFSKLEKDTAATAQEDLQQLRLLLLRSGAIVQDAEQRYVPSKAMLQQLKALRDETFRGHYVLDVVSCRAALGGGGGEDVGGGEEAGRRACFSLSRFNPAKRVRFPSNDASENSSVLGGASPGELQQVVRSLGIMIGDMKEFVMFLGSYPPLYRQPYSAHMFVEKCMFGRHMEKETVMEFLLKNEPPPPGAEHLGVLPIVGPAHIGKSTLVEHVCDDEKVRDHFSLILFYTGNDLKDVTVSSFKDKCLIKHHADNASSDERVLVVIELLDDVDDETWSNLYSSQRSIAQGSKMIITSRSERIERFGTAQALRLKCLSVEAYWYLFKTAAFGGDDPGQHPKMASIALEMANLMQGSFIFASTGAALLNANFSTKSWSRILTGLKGYMQKNASLIGEYPDDINGKDYPRYTWNVMKKKPDKYFMLHDIYQRGSGQEVPDISYLDLQSGRANPPAGKYDILLTKSRIPPYFNYMCACEIRDM >PVH61355 pep chromosome:PHallii_v3.1:3:927928:931874:1 gene:PAHAL_3G016800 transcript:PVH61355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMVSALALLLAPRPVSTRPTSPHLHSRRLALPPPRPATLSAQAATHPRRARHLQQLHAASCCNNSAPAAGTSGGSATSAKDWRFYLAWYLMSLDKNPIATKAVTSAVLTLAGDLICQLVIDRVPELDLRRTFVFTFLGLALVGPTLHVWYLYLSKLVTISGASGAIARLILDQFIFSPIFIGIFMSLLVTLEGKPSLVVPKLKQEWLSSVLANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVIAK >PVH63285 pep chromosome:PHallii_v3.1:3:64138985:64140124:1 gene:PAHAL_3G513300 transcript:PVH63285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPASRTEEGARASSIPALQQPLPRGAGRRRGGGGAAAGRLRGGGAGRGGGRRGGAGRLGAGRGAQAGGGTARGGAGRAGRRDGSARGGAHGPPAAAGRLRGGAGRHGAAAGRRRGAGRRRDGAGRGGARELAAPFGVAAGEAGEARAVEEEARGGGRGRGGGGGAGGGGGGARRRAGQGRRGRRAAEEEEARGQGRRGRRGRWRRRRAAAGGAGEAGEARGGGGGGARAGEAGEARGQGRRGRRGRWRRRRAAAGGAGEAGEARGGGGGGARAGEAGEARGRWRRRRAGRGGGGGAGGGGGGTRRRAGQGRRGRRAAEEEEARGQGRRGRRAGKGGGGGARRGRHGRWRRRRGGGRGRGGGAGEAVEEEARGGGQGWK >PVH61950 pep chromosome:PHallii_v3.1:3:10643830:10649247:-1 gene:PAHAL_3G162700 transcript:PVH61950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPWLLLLCVAGVVQARAQPDATGFISIDCGLPATSSYVDDASGLRYVSDDGFVDDGAGVNRNIRTDNKRVYRDLRSFPEGVRNCYTLQSLEAGRKYLIRAWFMYGNYDDQGQPPIFDLYLGVNFWATVNTNSRNYFEVITLVPDDFVQVCLVNTGVGVPYISALELRPVNSSLYPGANTMQSLSVIARTNFGGLVIRYPDDPLDRRWYPDGLNTTTISTADAVRNRDNDPFQVPSKVMQTAVTPPTGNTMTVYWNWSYTYDLMTKDPTPSYLSTLFISELQLLPNKTVRQFFYHYRDDDDDDTQTYSPKYLYTEPIYCMQPFHAQTKYSFTLKTTANSTLPPIINAFEAFVVISTAELGTDSRDVSAIMAIKAMYKVHKNWIGDPCAPNTFVWDGLTCSYVNSSRPRITKVNMSSLGLSGFISSAFANLTAVQYLDLSNNNLSGTVPDSLSQLSSLQFLDLTGNHLSRSIPPGILKRIQDGTLILNYDNNSDSSRMSQGKSKLAMYIAIPVVLVVVIVSLVQLTYHILRRQNRASNVARVQSSLQFENRRFTYSELETITNNFETLLGEGGTASVFRGVLEEGTQAQILTRIHHKNLVSMMGYCKDGEHMALVYEYMPEGTLQEHISGNAHNGRLLTWRQRLRIAVESAQGLEYLHNGCSPTFVHRDVKPTNILLNAKLEAKIADFGFSKVFIHENHTHISTDRVVGTFGYIDPEYYTKYAVDGQK >PVH61948 pep chromosome:PHallii_v3.1:3:10644565:10649071:-1 gene:PAHAL_3G162700 transcript:PVH61948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPWLLLLCVAGVVQARAQPDATGFISIDCGLPATSSYVDDASGLRYVSDDGFVDDGAGVNRNIRTDNKRVYRDLRSFPEGVRNCYTLQSLEAGRKYLIRAWFMYGNYDDQGQPPIFDLYLGVNFWATVNTNSRNYFEVITLVPDDFVQVCLVNTGVGVPYISALELRPVNSSLYPGANTMQSLSVIARTNFGGLVIRYPDDPLDRRWYPDGLNTTTISTADAVRNRDNDPFQVPSKVMQTAVTPPTGNTMTVYWNWSYTYDLMTKDPTPSYLSTLFISELQLLPNKTVRQFFYHYRDDDDDDTQTYSPKYLYTEPIYCMQPFHAQTKYSFTLKTTANSTLPPIINAFEAFVVISTAELGTDSRDVSAIMAIKAMYKVHKNWIGDPCAPNTFVWDGLTCSYVNSSRPRITKVNMSSLGLSGFISSAFANLTAVQYLDLSNNNLSGTVPDSLSQLSSLQFLDLTGNHLSRSIPPGILKRIQDGTLILNYDNNSDSSRMSQGKSKLAMYIAIPVVLVVVIVSLVQLTYHILRRQNRASNVARVQSSLQFENRRFTYSELETITNNFETLLGEGGTASVFRGVLEEGTQVAVKLLSGLPEQVPEEFLTEAQILTRIHHKNLVSMMGYCKDGEHMALVYEYMPEGTLQEHISGNAHNGRLLTWRQRLRIAVESAQGLEYLHNGCSPTFVHRDVKPTNILLNAKLEAKIADFGFSKVFIHENHTHISTDRVVGTFGYIDPEYYTKYAVDGQK >PVH61951 pep chromosome:PHallii_v3.1:3:10643830:10649247:-1 gene:PAHAL_3G162700 transcript:PVH61951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKQSTWEQCCTHRCPEQSIMPIKSNGGKAVAAPTLRRRRGASSCSARRNRIDCGLPATSSYVDDASGLRYVSDDGFVDDGAGVNRNIRTDNKRVYRDLRSFPEGVRNCYTLQSLEAGRKYLIRAWFMYGNYDDQGQPPIFDLYLGVNFWATVNTNSRNYFEVITLVPDDFVQVCLVNTGVGVPYISALELRPVNSSLYPGANTMQSLSVIARTNFGGLVIRYPDDPLDRRWYPDGLNTTTISTADAVRNRDNDPFQVPSKVMQTAVTPPTGNTMTVYWNWSYTYDLMTKDPTPSYLSTLFISELQLLPNKTVRQFFYHYRDDDDDDTQTYSPKYLYTEPIYCMQPFHAQTKYSFTLKTTANSTLPPIINAFEAFVVISTAELGTDSRDVSAIMAIKAMYKVHKNWIGDPCAPNTFVWDGLTCSYVNSSRPRITKVNMSSLGLSGFISSAFANLTAVQYLDLSNNNLSGTVPDSLSQLSSLQFLDLTGNHLSRSIPPGILKRIQDGTLILNYDNNSDSSRMSQGKSKLAMYIAIPVVLVVVIVSLVQLTYHILRRQNRASNVARVQSSLQFENRRFTYSELETITNNFETLLGEGGTASVFRGVLEEGTQAQILTRIHHKNLVSMMGYCKDGEHMALVYEYMPEGTLQEHISGNAHNGRLLTWRQRLRIAVESAQGLEYLHNGCSPTFVHRDVKPTNILLNAKLEAKIADFGFSKVFIHENHTHISTDRVVGTFGYIDPEYYTKYAVDGQK >PVH61949 pep chromosome:PHallii_v3.1:3:10644565:10649150:-1 gene:PAHAL_3G162700 transcript:PVH61949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKQSTWEQCCTHRCPEQSIMPIKSNGGKAVAAPTLRRRRGASSCSARRNRIDCGLPATSSYVDDASGLRYVSDDGFVDDGAGVNRNIRTDNKRVYRDLRSFPEGVRNCYTLQSLEAGRKYLIRAWFMYGNYDDQGQPPIFDLYLGVNFWATVNTNSRNYFEVITLVPDDFVQVCLVNTGVGVPYISALELRPVNSSLYPGANTMQSLSVIARTNFGGLVIRYPDDPLDRRWYPDGLNTTTISTADAVRNRDNDPFQVPSKVMQTAVTPPTGNTMTVYWNWSYTYDLMTKDPTPSYLSTLFISELQLLPNKTVRQFFYHYRDDDDDDTQTYSPKYLYTEPIYCMQPFHAQTKYSFTLKTTANSTLPPIINAFEAFVVISTAELGTDSRDVSAIMAIKAMYKVHKNWIGDPCAPNTFVWDGLTCSYVNSSRPRITKVNMSSLGLSGFISSAFANLTAVQYLDLSNNNLSGTVPDSLSQLSSLQFLDLTGNHLSRSIPPGILKRIQDGTLILNYDNNSDSSRMSQGKSKLAMYIAIPVVLVVVIVSLVQLTYHILRRQNRASNVARVQSSLQFENRRFTYSELETITNNFETLLGEGGTASVFRGVLEEGTQVAVKLLSGLPEQVPEEFLTEAQILTRIHHKNLVSMMGYCKDGEHMALVYEYMPEGTLQEHISGNAHNGRLLTWRQRLRIAVESAQGLEYLHNGCSPTFVHRDVKPTNILLNAKLEAKIADFGFSKVFIHENHTHISTDRVVGTFGYIDPEYYTKYAVDGQK >PAN16204 pep chromosome:PHallii_v3.1:3:2797832:2802263:-1 gene:PAHAL_3G044000 transcript:PAN16204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPQGPGRGKASGANAHARLPPPVTTGSAGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHLVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKSYGPEADVWSAGVILYILLCGVPPFWAESEAGIFRQILRGKLDLESEPWPSISDSAKDLVRKMLIRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREESLVSAFAFFDKDGSGFITIDELSQACQQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGTGRRTMRNSLHVDLGELLKPSEN >PAN21394 pep chromosome:PHallii_v3.1:3:59952812:59956132:1 gene:PAHAL_3G462300 transcript:PAN21394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MDATRQPDQRRGDGGGDRYGVLLYYKYAEVSDAAALAAFYEEHCRSLALVGRVRVGPDGVNATLGGRMTALEKHIVEMSSNTLFEGTDFKLASCEDPVDERVARECGFTSLSVRVVKELVTLCSNPTSAPPEISSAGRHLSAAEFHSVLQNVAGTSLDAVASAEKNEVVVVDARNVYETRIGKFNVPNVETLDPEIRQYSDLPPWIDEHTEKLRGKSILMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQFPDGGYFEGKNFVFDHRISVGSLKENILGTCLICGSSYDDYSSRCRCSHCRMLVLVCPTCQDSTKEYVCELCQKNGKEPCQISRRQDCEIQTGLSEPSGFEKPSIRNHKETSEVPWSNDSEQLKKLRILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYQPIQSHCSDKPSPPSATPKWKFAWLIAPNSRCNTEQDWKAADAPFDPLQYQQQTEGFEESYAYLENAISEMGCLDGILGFSQGAAMGALFCREQQKKCGAPKFRFGMFCSGYPAPVGSFDGEPIKLPSLHCFGNGEGHDRQIANRASTELAGLFQQDCCSVIEHDMGHIIPTRSPYIDQIKDFLSRFI >PAN21395 pep chromosome:PHallii_v3.1:3:59952868:59956109:1 gene:PAHAL_3G462300 transcript:PAN21395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MDATRQPDQRRGDGGGDRYGVLLYYKYAEVSDAAALAAFYEEHCRSLALVGRVRVGPDGVNATLGGRMTALEKHIVEMSSNTLFEGTDFKLASCEDPVDERVARECGFTSLSVRVVKELVTLCSNPTSAPPEISSAGRHLSAAEFHSVLQNVAGTSLDAVASAEKNEVVVVDARNVYETRIGKFNVPNVETLDPEIRQYSDLPPWIDEHTEKLRGKSILMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQFPDGGYFEGKNFVFDHRISVGSLKENILGTCLICGSSYDDYSSRCRCSHCRMLVLVCPTCQDSTKEYVCELCQKNGKEPCQISRRQDCEIQTGLSEPSGFEKPSIRNHKETSEVPWSNGKVKCYTDSEQLKKLRILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYQPIQSHCSDKPSPPSATPKWKFAWLIAPNSRCNTEQDWKAADAPFDPLQYQQQTEGFEESYAYLENAISEMGCLDGILGFSQGAAMGALFCREQQKKCGAPKFRFGMFCSGYPAPVGSFDGEPIKLPSLHCFGNGEGHDRQIANRASTELAGLFQQDCCSVIEHDMGHIIPTRSPYIDQIKDFLSRFI >PAN16289 pep chromosome:PHallii_v3.1:3:3228410:3230515:1 gene:PAHAL_3G051300 transcript:PAN16289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVGRLVRVEVLVTLSCTLLITLVLLGSSRRASHSAAFRLVVWSALMLSYPAVSYTIGLMQSGAFSSELIVVWACFLLGCADGIASCSVDDSDQQARTMLNQTAQIIYVFFLLFSYASSLPSHLKIILLLICLLIVAKLGVRVKSFLSVGRDRVLSIENRLITMYMRDKKNLLVSGEADLEDGRGEYKFNVPNSVVTIEMVWQCKGQLLNSDNPQARRLKDLCLSFALFKQLRRRLSGCSLRLHGQTHFDGSAEYERHIFPDHDTGNDVDSQERMYRIVEVELGFLFDFFYARYPSPRESLVPETILFLAVLATSLCTLFILTLFNYHSPDSDMNISTTGFDIWLTRMVIILFVLLESFQYSMLVFSDWHKVTMLSRYVQNKSWQKRPILEMLLGLMCRVTLKRQYWSNSVGQYSLLHTCIRSENELIFRLPLPSLIRRFLVRNMMMTRKDLPMTVKHSIHSHGACLSSLLHGQITLQSVDRIQTGILQELCPTISNQSVIRSMLIWHIATTLCSYKSEASMVAAERDTIKHHEVATTLSDYCAYLLFYAPELVTKNYRSTQISMEVLQSTAQQCLGGCQKLMSIAPSDNVMEHVKKLATGGEFITHVWAWLTHNGIMTQPTEFFP >PAN19042 pep chromosome:PHallii_v3.1:3:15898403:15902613:-1 gene:PAHAL_3G245400 transcript:PAN19042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGAYAAQLPAVPEWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQEYLVRRASLSATAHGATAKTEPFYPEATLVMFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFVPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGVFAGSVPWFTMMILHKKSALLMRVDDTLAVFHTHAVAGLLGGVLTGLLATPRLLEIESPVPGLRGAFYGGGIRQVGKQLAGAAFVVAWNVVVTSLILLAIGLVVPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDAARHDASRVGAGGGMEREGSVEQRLSGMGARGVTIQL >PVH62502 pep chromosome:PHallii_v3.1:3:21947072:21948133:-1 gene:PAHAL_3G309700 transcript:PVH62502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSPLHRSIDGRRWDAERLLGRLIVIVHAAFLDAGFVPLGAHGSRSRRPGSTVPKQAGRTASALSLRYGAPQLLHRQGSEAAALLRMLAHGRKHLILYVKWDPWPVERCVLVDALAAAALLTGGLDGTARALRRDARLAGLWRGISEDLCRGALVDMCRRNGVALEPSFMSLPGDAKAAVLSRLASGADLASVERVCTGLRCLVAERDRQLWKPRYDALALRAASWLLPAADCSRSPETSWKERYVTARRWKPQTWHLGTPGDAEVVRSYVVYCYFPPPFHFRPPRQRPVDRVDESEQEADPVADRRNRIAAGRGKRAAGRGRSPSSRVQENRRRGGAIHAPSSRYRWKHR >PVH62655 pep chromosome:PHallii_v3.1:3:36506295:36508231:1 gene:PAHAL_3G359400 transcript:PVH62655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFWVMYPGFFRKTIWFFMDPLIHYVRYQGKAILASKGTLLLKKKWKSYLVNFSQYFFSFWTQPQRIRLNQLTNSCFDFLGYLPSVPINTLLVRNQMLENSFLIDTRMKKFDTTVPATSLVGSLSKAQFCTGSGHPISKPVWTDLSDWDILDRFGQICRNLFHYHSGSSKKRILYRLKYILRLSCARTLARKHKSTVRTFMQRLGLVFLEEFFTEEEQVFCLMFTKTTRFSFNGSHSERIWYLDIIRINDLVNPLTLN >PAN21521 pep chromosome:PHallii_v3.1:3:60616956:60621296:-1 gene:PAHAL_3G472600 transcript:PAN21521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHGNPKNGEVDNFRRMILNQIAGDEENVPCNTPRNSVHSSFGGSSGRAVASTSSSLNTDSVSPGPGEYLRDPGSILSLQPWIFKRSSSKSNNERMHASGSRAFGTGKNLVDCFRDAHAVEVSARSPGHVSGPGRVCGALRSRSCGDSMKPLVLMDNSCVPHLYSENFEIEECTFAPVPSPASARPFVVTDGRRIISKSRYEPVPVPFNIGFDKEEFRDTSMIPGSMIGIAPLPGLKKFKQEGRESRTARPGVSGSQRSSKSYGQSGLRDRLLLFSTGVSIGILASSLSNKKEFDMLKGTVKRMENLVQDLQDELEMKEGLTVKELPNETSGKQYDDNNKIHVDPEPMSKIEAELEAELARLELNITSKRLEEETSDFNEVDQEFIGDIVCGELKVDMVPRDLTYYSSESDHGRDSRESSPDYTRGTNCPVSPRDLSIRLHKVIQHRLEDRIKELESALAHRQKQASLQMMVADRIFDERICSNSESGSSSNQESPMFIQETSSTAEPYCLNLSGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVTEDYLVDRGLIWGLEEDSSRKPKEVPTWEQVLKSVDPSRAQESDGDAEDETDDDSKMLIQQIVERTKQGSPVLINAQKLLFSVDQ >PAN18743 pep chromosome:PHallii_v3.1:3:14398066:14399500:1 gene:PAHAL_3G222200 transcript:PAN18743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFENARLVRLKSHLGTYLCAADDAEAVSHGYRRNSRGTVWAVELAGDEYVRLQCQRGLYLGAADTAAALDAATPSCRVVQGLPSTPNDSAFLWTPRREEGERGAGCLTLSGPLGRLLRASFWETPRDNTVTLDFEVGPEESTWVVEVVPAEQAAPPSPCRAQSCDARLEAAAATLDTASSAFVRLYSAKESKTKLEEPPSIEEPLHMPARRTIFHNTAREDGGVDDFDEGTWRYFTFDEQSLAALRRRLQQETKYKDFVICRRSGGDASRLFPVVLDLPPGNNEMEFVLVLVPSRAASDLRWP >PVH63204 pep chromosome:PHallii_v3.1:3:62408389:62411769:-1 gene:PAHAL_3G492300 transcript:PVH63204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGAAMARLAVTARAFSASASAAGGVAMVQGASRGIGLEFVRQLLRRSDEGRVVATCREPGNAAELQKLKEEHAPGRLAVLPLDVTDESTIEAAAASIQETHGSLDLLINSTGILSIPNVIQPETTLSKVQKSSLLLAYEVNAVGPILVIKHMWPLLKVGGRSETGRGFSLVANMSARVSSIGDNGLGGWHSYRASKTALNQLTKTVSVEFGRKDNIACILLHPGTVDTDLSRPFQRNVPKDKLFTREFSVQKLLSIIDSVKKSDNGKFFAWDGQEIPW >PAN20807 pep chromosome:PHallii_v3.1:3:53366509:53385880:-1 gene:PAHAL_3G412800 transcript:PAN20807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASLSAPSRWLPPPLGSLSLLLLLGCLAASVPLRAAEAAGVLRQVVDGGGDGDSGAFYEPFNVTYDHRAVILGGKRRMLVSAGLHYPRATPEMWPSLIAKCKEGGADVIETYIFWNGHEPAKGQYYFEGRFDIVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPYKAEMQTFVTKIVNIMKEEKLYSWQGGPIILQQIENEYGNIQGRYGQAGKRYMLWAAQMALALDTGVPWVMCRQTDAPEQILDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYVDWGETLPHRPAQDIAFAVARFYQRGGSLQNYYMYFGGTNFERTAGGPRQITSYDYDAPIDEYGILRQPKWGHLKDLHAAIKLCEPALIAVDGSPQYVKLGPMQEAHVYSSGKVHTNGSISENGQICSAFLANIDEHKYVSVWIFGKSYSLPPWSVSILPDCENVAFNTARVGAQTSVFTVESGSPSYSSRHKPRTLSLIGDPYLSSTWWTSKEPIGKWGEGSFAAQGILEHLNVTKDISDYLSYTTSVNISEEDIAYWNSKGILPSLTIDQIRDVARVFVNGKLAGSKVGHWVSLNQPVQLVQGPNELTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGLSNGDIDLTDSLWIYQIGLKGEFSRFYSPENQGYAKWSSMQNDDTQTPFTWFKTMFDAPEGNDPVAIGLGSMGKGQAWVNGHLIGRYWSIVAPESGCPSSCSYAGTYGDSKCRSNCGMATQSWYHIPREWLQESGNLLVLFEETGGDPSQISLQVHYTKTICSKISETYYPPLSSWSRAANGRATLNTVAPELHLQCDEGHVISKITFASYGTPSGGCQNFSVGNCHATTALDLVTEACVGNNKCSISVTNDVFGDPCRKVVKDLAVEAECSPPSATKEPRDDM >PAN21459 pep chromosome:PHallii_v3.1:3:60321123:60325327:1 gene:PAHAL_3G468000 transcript:PAN21459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDASVQSDIKLWPFKVISGPGEKPMIVVQHKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIRDEKIASKLAADDKKKIEDAIDAAISWLDANQLAEADEFEDKMKELESLCNPIIAKMYQGAGADMGGAAGMDEDAPAGSGGPGPKIEEVD >PAN19602 pep chromosome:PHallii_v3.1:3:19259861:19266956:1 gene:PAHAL_3G285100 transcript:PAN19602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQCVSAQRVFPFGKGKSEGNKSMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACQQYQEAGRSLPQGLWDEILDGLAWVEEYMGARLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEHMKAAKGVELDNDLTASDLKELVAQYKDVYVQAKGEPFPADPKKQLELAVLAVFNSWDSPRANKYRSINNITGLRGTAVNVQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKDQMPEAYAELVENCNILESHYKDMMDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDVVNEGLVERRAAIKMVEPGHLDQLLHPQFENVAAYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKPCILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVNDAEKSVAIGDMVLHEGDWLSLNGSTGDVILGKQPLAPPALSGDLETFMSWVDEVRQLKVMANADTPEDALAARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQNALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDIVRELCAETGANQEEALARIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAALAMTSQGVQVFPEIMVPLVGTPQELGHQVALIRQVADKVFTAMGKTISYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPVYLSQGMLQHDPFEVLDQRGVGELVKFATERGRKTRPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLI >PAN19601 pep chromosome:PHallii_v3.1:3:19253216:19266943:1 gene:PAHAL_3G285100 transcript:PAN19601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSRAVCGLQMPCLNGREAPAGRRSVAAPRARNAKRGVIRSVSGSASGSGRGQHCAPANAVADAAPITATKRVFPFGKGKSEGNKSMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACQQYQEAGRSLPQGLWDEILDGLAWVEEYMGARLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEHMKAAKGVELDNDLTASDLKELVAQYKDVYVQAKGEPFPADPKKQLELAVLAVFNSWDSPRANKYRSINNITGLRGTAVNVQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKDQMPEAYAELVENCNILESHYKDMMDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDVVNEGLVERRAAIKMVEPGHLDQLLHPQFENVAAYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKPCILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVNDAEKSVAIGDMVLHEGDWLSLNGSTGDVILGKQPLAPPALSGDLETFMSWVDEVRQLKVMANADTPEDALAARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQNALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDIVRELCAETGANQEEALARIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAALAMTSQGVQVFPEIMVPLVGTPQELGHQVALIRQVADKVFTAMGKTISYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPVYLSQGMLQHDPFEVLDQRGVGELVKFATERGRKTRPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLI >PAN15632 pep chromosome:PHallii_v3.1:3:826228:830917:-1 gene:PAHAL_3G014800 transcript:PAN15632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFLFVLLCFAAAAAESSRSPATSLFVLGDSTVSCSASILPLNLTAPSLPAGPCLFPSARRLLPDHLAAKMGLSPPPLISTLNGTAAAAARGVNFGGQYGDRGMFRMGAVGQQLRLAAETLQLLQLEAGTPQDASAAAAGAVFVLSFGTDAYARLLARGPAEADAAAPKHGRRGLGRLLADRIARAVSELYEADVRRVAVMGVAPLGCAPRVMWEGPRGLGGGRGCVEEANELIEGYNARLAARLDDLRPQLPGAAVVFCDVYKGMMEILSNPRRYGLEDTREACCGLGPFKATVGCLSKEMACAAPERHLWWDLYSPTEAVDALVANWSWGSLSSSPPPDSASGSGSGVTMMSICSPMSLQQLAYG >PAN15633 pep chromosome:PHallii_v3.1:3:826461:830910:-1 gene:PAHAL_3G014800 transcript:PAN15633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFLFVLLCFAAAAAESSRSPATSLFVLGDSTVSCSASILPLNLTAPSLPAGPCLFPSARRLLPDHLAAKMGLSPPPLISTLNGTAAAAARGVNFGGQYGDRGMFRMGAVGQQLRLAAETLQLLQLEAGTPQDASAAAAGAVFVLSFGTDAYARLLARGPAEADAAAPKHGRRGLGRLLADRIARAVSELYEADVRRVAVMGVAPLGCAPRVMWEGPRGLGGGRGCVEEANELIEGYNARLAARLDDLRPQLPGAAVVFCDVYKGMMEILSNPRRYAGLEDTREACCGLGPFKATVGCLSKEMACAAPERHLWWDLYSPTEAVDALVANWSWGSLSSSPPPDSASGSGSGVTMMSICSPMSLQQLAYG >PAN18370 pep chromosome:PHallii_v3.1:3:12888007:12892297:-1 gene:PAHAL_3G197100 transcript:PAN18370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGRNHYHTRGSSRGGSGGTAAERDLLLQWGNRKRLRCVKVQRRDVEAAATAAAEKAAIGQRRAAAAAAVAAAQHHPTGHAHHRVLRNSEEFATMKSPAQQQQNNGIHTVASPDRERPGRGCNNNGAPQTFPDDKKGSSSGSEGSIWPKFAIALSNREKEEDFLVFKGSKLPQRPKKRAKVIQRTVNFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHDMDSDSE >PAN21483 pep chromosome:PHallii_v3.1:3:60442559:60445621:1 gene:PAHAL_3G470400 transcript:PAN21483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQTGSIEEQTRLSERPLGNDAINLEVTEECPREGLVNSPPVGQTSFVMVRGEDDLEAVRDELIKGFLEIDTGGRKIGIKEMEKLNEKAFKAACLAKVPPEEVATASYELYSSWQQQLGDLSWYPFKTVIVDGNHQEIVNVDDDKLQELKRAWGSGAHDAVVNTLVEMKQYDRLSDGSIANELWNYKEGRRATTRECINYMSNQVKQLTMTKRRRIRRLNGKA >PAN20104 pep chromosome:PHallii_v3.1:3:47394969:47395517:-1 gene:PAHAL_3G392200 transcript:PAN20104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPARARLVLFAAVALLFTGLPPQALGKAGGGGAVNPQVAGICSKTPFPEVCKSTAGRHATKYPVIDNLAVLNMQVDAFSKRTAQARKHVTKSSRTIPPAQAQALTFCDTMYMNTQDTIGAAQRAITFKDTGTAKIMLQLAVQDFDSCDRPFTQAGVPNPMGKFDKELNQMANNCMTLANMI >PVH63072 pep chromosome:PHallii_v3.1:3:60222356:60222769:-1 gene:PAHAL_3G466200 transcript:PVH63072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAVTIVAGAASLAVAYGQLLSRPDDLALACAAFTAMFLMVHYAAQAMDDGSGSLSSGSGGGDAERERGKRVALAMALVLYGLACAEVWNAAASREVAVAALASWCGAAVLLLVYLVVASAGCHRAVGSDVACPSV >PAN16255 pep chromosome:PHallii_v3.1:3:3089735:3091560:-1 gene:PAHAL_3G048400 transcript:PAN16255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPPPPLQPPPPPHPRNPRGEGHGGGEMPYQDADHRLRALAGRAEGFGRHAIGGLHGAVYHVTSLEDDGRGSLREACRAAEPLWIVFEVSGTIHLQSYLRVSSHKTIDGRGQRVVLTGKGLQLKSCHHVIVCNLIFEGGRGHDVDGVQIKPGSTNIWIDRCTLADYDDGLIDITRQSTDITVSRCHFARHDKTMLIGADPTHIGDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRSWGIYAVCASVEAQVVSQCNIYEAGGGPPKKTTVFKYMPEKAGDREDVVAGSIRSEGDAFLNGALPCLVDGPGAHEAVFRPEDYYRHWTMEPASPALKDIIQLCAGWQPVPRPPDDC >PVH62787 pep chromosome:PHallii_v3.1:3:50462753:50463108:-1 gene:PAHAL_3G401700 transcript:PVH62787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNIANNFIDSFYKEKRVTLVDHERLQVKEQLQLKAELYAKEVVYGSQPSGSNFPAPEDSSATAPSNIMIDRASTPSEIEEGDSRGDMPKDGEV >PAN18016 pep chromosome:PHallii_v3.1:3:11433613:11434262:1 gene:PAHAL_3G172800 transcript:PAN18016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEREGAVVKKGHEEGLKMAVALLEEFGLPLGLLPLEDVIEVGFVRDTGYMWISQRKKVEHQFKKISKQVSYDVEITAYVKPKGIKKLKGVKAKELMLWPPVNEMTVDDPPTGKIHFKSLAGVTKTFSVDAFAAGQ >PAN20629 pep chromosome:PHallii_v3.1:3:27859332:27862508:-1 gene:PAHAL_3G335600 transcript:PAN20629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G01930) UniProtKB/Swiss-Prot;Acc:Q9LZV3] MVATLMEAMLFKEKSRGKVWLIHFLVRCFAVAATILLLTDGSHAHADGVEMLGNDVDAPPPVQRTDVNSSEAILRDDQWRMVKTRGSQFVIGDRPFYVNGFNAYWLMILAADPSTRGRVTEVFQQAAAVGLTVCRTWGFNDGGWRALQKSPAVYDEDVFKALDFVVSEARKYRMRLILSLINNWDGYGGKAQYVKWARDAGLNLTSDDDFFSDQTVKGYFKNHVKNMLTRVNTYTNVTYKDDPTIFAWELMNEQRCTSDPTGNKLQAWIQEMAFHVKSIDPDHLLEVGAEGFYGPSSPARLQANPNTYAGQVGTDFIRNHRVLGVDFASVHIYPDTWMSGASVEAQLKFAQSWMQAHIADAEGALGMPVVFTEFGVSTKARSAFNATSRDQFIQAVYGLLLDSTRRGGAGAGALLWQVFPEGTEYMDDGYGVVLPKAKATAGIMSAHSKRLVVFNSRCAWSCRWGCRKEEEQSETRRMLMT >PAN17952 pep chromosome:PHallii_v3.1:3:11071687:11073375:1 gene:PAHAL_3G167400 transcript:PAN17952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMRSINTLLLAASFSQDSGTISARHLEAIARSICLNCLSISTHPDQCSGARTTATMASGGSSSNRGSSRGWTQRQNKQFECALAVYDRETPDRWHNVARYMGGAKSADEVRRHFDQLVADVAQIEAGRVPFPRYGAAAGGGFADDAAASRSRYLKFQ >PAN17206 pep chromosome:PHallii_v3.1:3:7212129:7214026:-1 gene:PAHAL_3G113300 transcript:PAN17206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTFAVRRHAPELVAPAVPTPRETKRLSDIDDQDGLRAHVPTVFFYRGARRRDDDPAAVIRRALGEALVPYYPLAGRLREVAGRKLVVDCTAEGVLFVEADADVRLAELEAATGVIREPLPCMDQLLFDVEGSSGMLNCPFLLIQVTRLLCGGFVFALRLNHVMCDATGIAQFIFAVAELARGLPSPTVSPAWSRELLEARSPPRPAFPHREYDAVPPTAAAPPPGDVISRTFTFTRADIAAIKEGLPPHLRDKVTTFEAVAAGVWRARTVALDLPADDELRLAVVANFRRVRELGLPAGYYGNACIFLMAVTTAGALRAGSLGDAVELVREAKVAVTAEYVRSTADHLVLRGRPNVAPANLLLVSDSRHAGFQRVDFGWGEPVYGGPVHTQPSTALLIAARNVDGEDELVVPIMLTQPAMDRFASEIEMLVTGGSGSILAS >PAN19848 pep chromosome:PHallii_v3.1:3:20986344:20990619:1 gene:PAHAL_3G303300 transcript:PAN19848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRAQWLSVAVGCAAAAVTCAVAAALVARRASARCRWNRAVEVVRGFEKGCATPTERLQRVVNSLAVEMFAGLASEDASKVRMLLTCVDELPDGSEEGIYYAIDLGGTSFRVMKLELGSGSMVINKKVEYRPIAEELTKGSSEDLFDLIASALKNFIEREGGKDEGRALGFTFSFPVRQASISSGSLIRWTKEFSIEEAVGKDVAQCLNEALVRNGLNLQVTALVNNAVGTLAMGHYYDEDTVAAVIIGAGTNASYIERSAAITKCRGLLTNSDLTVVNVEWGSFRPPQIPLTPYDICFNVEKKRNHYDQAFEKMISGVYLGEIARLVLQGMAQESDVFGSSVNFLSTPFIFSTPCLAAIREDDSPDLRVVGRVLEEQLKIQDLPLKTRRLVVRICDIVTRRAARLAAAGIVAILQKMGRDGTLCGTTNVRKIRGEPKRSVVAIEGGLYQGYSVFREYLNEAVDEILGDEIASTVSLRVMEEGSGIGAALLAASYSSTRQNSA >PVH61519 pep chromosome:PHallii_v3.1:3:3297571:3298992:1 gene:PAHAL_3G052500 transcript:PVH61519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTDLPQALVQRGLRKELMPRHLAFVMDGNRRWAEARGLTTAEGHEAGGRALKKILQLSVAWGIRAITVFAFSQENFRRPEEEVDCCMELIEQGIRDEMEEYTRNGIRLHVIGDPSTRPASLQDAVREAEEMTRNNSRFHMILATCYSGRWDIVQACRQLAAKVQDKLLNPEDIDEAMLAGHLSTNVLGEFACPDLLIRTSGELRLSNFLLWQSAYTELYFSNRMWPDFDEDEYLEALKNFQRRERRFGQRKPSSQESN >PAN16301 pep chromosome:PHallii_v3.1:3:3280856:3287249:1 gene:PAHAL_3G052000 transcript:PAN16301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLHKGPQGRSPSPTVAQKNANSKKATPCRWVRARLFGGLPCKFPERQSPRNSTASSAAAAATMSTPSGNGGSASAYYDVYGHDAKPDVVFKEAALNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKSSTQPSTLDGEGKLSSLDDLGDIPFPVTYYTLSEKDLEDNGYSLNLSGFVPTVSAPSGSSPHKILALDCEMCVTGAGFELTRVTLVDIKGTVVLDKLVKPANSIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVYKETILVGHSLENDLTALQISHRLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELALLKIKNGPDFGSQPSLARRKLMSILHENGKKCSLIDDVSVLERYSDTSCNSIAVFSDDDALSRSIKEVKNDKVSFIWTQFSGLISYFRRRAQDPEKLKSCVAEAIALKTCDGKTASKKAKRQICPELKEVLCQLDKKIKELYVALPHNAMLIICTGHGDTPLVQRLRKMLHHEENTIETRESIVQALGDLQAQAEVALCFCCVKH >PAN16300 pep chromosome:PHallii_v3.1:3:3281334:3287201:1 gene:PAHAL_3G052000 transcript:PAN16300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLHKGPQGRSPSPTVAQKNANSKKATPCRWVRARLFGGLPCKFPERQSPRNSTASSAAAAATMSTPSGNGGSASAYYDVYGHDAKPDVVFKEAALNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKSSTQPSTLDGEGKLSSLDDLGDIPFPVTYYTLSEKDLEDNGYSLNLSGFVPTVSAPSGSSPHKILALDCEMCVTGAGFELTRVTLVDIKGTVVLDKLVKPANSIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVYKETILVGHSLENDLTALQISHRLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELALLKIKNGPDFGSQPSLARRKLMSILHENGKKCSLIDDVSVLERYSDTSCNSIAVFSDDDALSRSIKEVKNDKVSFIWTQFSGLISYFRRRAQDPEKLKSCVAEAIALKTCDGKTASKKAKRQICPELKEVLCQLDKKIKELYVALPHNAMLIICTGHGDTPLVQRLRKMLHHEENTIETRESIVQALGDLQAQAEVALCFCCVKH >PAN16303 pep chromosome:PHallii_v3.1:3:3280869:3287225:1 gene:PAHAL_3G052000 transcript:PAN16303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLHKGPQGRSPSPTVAQKNANSKKATPCRWVRARLFGGLPCKFPERQSPRNSTASSAAAAATMSTPSGNGGSASAYYDVYGHDAKPDVVFKEAALNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKSSTQPSTLDGEGKLSSLDDLGDIPFPVTYYTLSEKDLEDNGYSLNLSGFVPTVSAPSGSSPHKILALDCEMCVTGAGFELTRVTLVDIKGTVVLDKLVKPANSIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVYKETILVGHSLENDLTALQISHRLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELALLKIKNGPDFGSQPSLARRKLMSILHENGKKCSLIDDVSVLERYSDTSCNSIAVFSDDDALSRSIKEVKNDKVSFIWTQFSGLISYFRRRAQDPEKLKSCVAEAIALKTCDGKTASKKAKRQICPELKEVLCQLDKKIKELYVALPHNAMLIICTGHGDTPLVQRLRKMLHHEENTIETRESIVQALGDLQAQAEVALCFCCVKH >PVH61514 pep chromosome:PHallii_v3.1:3:3280856:3287249:1 gene:PAHAL_3G052000 transcript:PVH61514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLHKGPQGRSPSPTVAQKNANSKKATPCRWVRARLFGGLPCKFPERQSPRNSTASSAAAAATMSTPSGNGGSASAYYDVYGHDAKPDVVFKEAALNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKSSTQPSTLDGEGKLSSLDDLGDIPFPVTYYTLSEKDLEDNGYSLNLSGFVPTVSAPSGSSPHKILALDCEMCVTGAGFELTRVTLVDIKGTVVLDKLVKPANSIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVYKETILVGHSLENDLTALQISHRLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELALLKIKNGPDFGSQPSLARRKLMSILHENGKKCSLIDDVSVLERYSDTSCNSIAVFSDDDALSRSIKEVKNDKVSFIWTQFSGLISYFRRRAQDPEKLKSCVAEAIALKTCDGKTASKKAKRQICPELKEVLCQLDKKIKELYVALPHNAMLIICTGHGDTPLVQRLRKMLHHEENTIETRESIVQALGDLQAQAEVALCFCCVKH >PVH61515 pep chromosome:PHallii_v3.1:3:3281334:3287201:1 gene:PAHAL_3G052000 transcript:PVH61515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLHKGPQGRSPSPTVAQKNANSKKATPCRWVRARLFGGLPCKFPERQSPRNSTASSAAAAATMSTPSGNGGSASAYYDVYGHDAKPDVVFKEAALNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKSSTQPSTLDGEGKLSSLDDLGDIPFPVTYYTLSEKDLEDNGYSLNLSGFVPTVSAPSGSSPHKILALDCEMCVTGAGFELTRVTLVDIKGTVVLDKLVKPANSIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVYKETILVGHSLENDLTALQISHRLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELALLKIKNGPDFGSQPSLARRKLMSILHENGKKCSLIDDVSVLERYSDTSCNSIAVFSDDDALSRSIKEVKNDKVSFIWTQFSGLISYFRRRAQDPEKLKSCVAEAIALKTCDGKTASKKAKRQICPELKEVLCQLDKKIKELYVALPHNAMLIICTGHGDTPLVQRLRKMLHHEENTIETRESIVQALGDLQAQAEVALCFCCVKH >PAN16207 pep chromosome:PHallii_v3.1:3:2813954:2816966:-1 gene:PAHAL_3G044300 transcript:PAN16207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLLLPLPSARPVSRPAPRPCLHLPFTKRHRLPAALRVKCRAEQAPPAAPPTPRWHAALAAAAGLYPAYVTAGAFVAVARPEAFRWFVDMAPGSYTATLGFIMLATGLTLQLKDFAALLCDRPLAILFGCAAQYTIMPAVGSIISRALGLPPSLSAGLILLGCCPGGTASNVVTLVAQGDVPLSIVMTVCSTLAAVFLTPLLTKILAGAYIPVDAVKLSLSTLQVVVAPIMLGSSIQTAFPSVVQFVTPFSPLMAVLASSLLACSVFSENFVRLRSTIADASSVNGDFFSGDIGVVMLSVILLHFAGFFVGYAAAAIGGLKEKQRRAISIEVGMQNSSLGVVLAAAHFSSPLVALPPAISAVIMNIMGSTLGLVWQYITPSGSENETTDIHNA >PVH62057 pep chromosome:PHallii_v3.1:3:12732425:12734319:1 gene:PAHAL_3G194600 transcript:PVH62057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAMSSGRWTRVRTLGRGASGAEVFLAADEASGELFAVKAAPASAGAAAEALRRERGVMAGLRSPHVVPCIGGRGGRDGSYELFLEFAPGGTLADAAARGGGRLAECDAAAYAADVARGLAYVHAAGLVHGDVKPRNVVIGGEGRAKLADFGCARRAGAAAGPFGGTPAFMAPEVARGEEQGPAADVWALGCTVLEMATGRAPWSGVVGDVLAAVRLIGYTEAAPEVPRWLSAEARDFVARCLARRPGDRPTAAELLEHSFLASAGGREAAKGKWVSPKSTLDAAFWESDSDDEDHDAPSSQSTSERIGALACPASALPDWDADEGWIDVLSTSDEAPCVAGGAGSEEEASAEAEPRDIDADSGAGAHNVGESDAPAEHERHHLCANSGCHDPIPCKSLCDADNAMDFDFAQTLLCPSPFLNSEIPVLPKALRSSSRTRRRSHGRRRSVFTRKFHGRCKIRCCFAWGRGRCAARVAVPVTLTRKRRARTQRPIPGPALSFPATARAEGSNAAESLASTRKSAASARTQKGFLPLRSNFAHPAVKNLRTP >PAN18873 pep chromosome:PHallii_v3.1:3:15097961:15102237:-1 gene:PAHAL_3G232300 transcript:PAN18873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGFRSCNSVQLPICQSIQLARVSDIELSARSVPKTLPTQPQLELGQLASLTASGSGDKSKDKLGQKALRRLAQNREAARKSRLRKKAYVEQLENSRLKLAQLEQELQRARQQGIFIPNPGDKPHSTGENGALAFDTDYARWQEDHSKQINELRGALNSHAGDDDLRRIVDSIMAHYGEAFRLKGVAAKADAFHVLSGMWKTPVERCFLWLGEFRPSELLKLLASRLEPLTEQQLASICSLQQSSQQAEEDLSQGVKALQQSVAEALALGSPCPAGSSGNAADCSGQMAVAIGKLGTLENFLQEADNLRLQTLQQIQSVLTTRQSARALLAISDYFSRLRALSSLWIARPRE >PAN18872 pep chromosome:PHallii_v3.1:3:15097961:15101209:-1 gene:PAHAL_3G232300 transcript:PAN18872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASPGTDTDLDTDEKNQMLELGQLASLTASGSGDKSKDKLGQKALRRLAQNREAARKSRLRKKAYVEQLENSRLKLAQLEQELQRARQQGIFIPNPGDKPHSTGENGALAFDTDYARWQEDHSKQINELRGALNSHAGDDDLRRIVDSIMAHYGEAFRLKGVAAKADAFHVLSGMWKTPVERCFLWLGEFRPSELLKLLASRLEPLTEQQLASICSLQQSSQQAEEDLSQGVKALQQSVAEALALGSPCPAGSSGNAADCSGQMAVAIGKLGTLENFLQEADNLRLQTLQQIQSVLTTRQSARALLAISDYFSRLRALSSLWIARPRE >PAN18875 pep chromosome:PHallii_v3.1:3:15098479:15100361:-1 gene:PAHAL_3G232300 transcript:PAN18875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASPGTDTDLDTDEKNQMLELGQLASLTASGSGDKSKDKLGQKALRRLAQNREAARKSRLRKKAYVEQLENSRLKLAQLEQELQRARQQGIFIPNPGDKPHSTGENGALAFDTDYARWQEDHSKQINELRGALNSHAGDDDLRRIVDSIMAHYGEAFRLKGVAAKADAFHVLSGMWKTPVERCFLWLGEFRPSELLKLLASRLEPLTEQQLASICSLQQSSQQAEEDLSQGVKALQQSVAEALALGSPCPAGSSGNAADCSGQMAVAIGKLGTLENFLQEADNLRLQTLQQIQSVLTTRQSARALLAISDYFSRLRALSSLWIARPRE >PAN18874 pep chromosome:PHallii_v3.1:3:15098479:15100361:-1 gene:PAHAL_3G232300 transcript:PAN18874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASPGTDTDLDTDEKNQMLELGQLASLTASGSGDKSKDKLGQKALRRLAQNREAARKSRLRKKAYVEQLENSRLKLAQLEQELQRARQQGIFIPNPGDKPHSTGENGALAFDTDYARWQEDHSKQINELRGALNSHAGDDDLRRIVDSIMAHYGEAFRLKGVAAKADAFHVLSGMWKTPVERCFLWLGEFRPSELLKLLASRLEPLTEQQLASICSLQQSSQQAEEDLSQGVKALQQSVAEALALGSPCPAGSSGNAADCSGQMAVAIGKLGTLENFLQEADNLRLQTLQQIQSVLTTRQSARALLAISDYFSRLRALSSLWIARPRE >PAN16148 pep chromosome:PHallii_v3.1:3:2560580:2563057:1 gene:PAHAL_3G039900 transcript:PAN16148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGIEHLWSEWAVQILVLLSLSLQIFLFISADFRRRNASTVLRALLWLAYLAADSTGTYTIGHLSIGGLARAHGLVPFWAPFLLLHLGGQDTITAYALEDNRLWLRHLQTLLVQALGVSYVIYRYISWQPQPQATHRNLVAASILMLVAGAAKYVERIWALKCTDNEGMNDFLDKRCEESYGAPSYDDAIGDLEKMDAEEGILYGARTLLGLCLRMFLAHNPGTSHYENGVTGYFLGRKQIYEVVHMELSLVYDIIYTKARVVHTWYGFCTRVCSLLVVASAFLLFQGVSKDGYARADVAITYVLLVGAILVELTSGVSAICSTWTCHYLYWWRWHRLHGVIISLRRLVKARRRRAWPATIGQFNMIDYCAGPISVSETLSRVKIHQLPSPKQVSARLKDLLLDEILRIAERHVGMEEPMNALGQNPELPALDADFDARIIIWHTATSAILFAVHVRDNDSDHAEAVEVLSDYMMFLLAKHPEMLPGPNRRPVYAGALVWLNSICDNNIGPPLNRTRAELARDLLKEGREPDNPVRRHKSPCKIGAELAIDLLNKGWGTQHLLQAIFGLWVEMMCFAGSHCNKDSHIRNLTRGGEFLTIVWVLTRHLGEITRDRRGLRL >PAN21712 pep chromosome:PHallii_v3.1:3:61773035:61782690:-1 gene:PAHAL_3G485800 transcript:PAN21712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MTSETKASRVLLAFLLVGAAAAAGGHDDAVARRTMEEFAGFPASDGGEGPSTAFRVDSEGLQRQIDELASFSDSPAPSVTRILYSDKDVQARRYIKGIMNQLGLAVREDAVGNIFGRWEGSEAVLGAVGTGSHVDAIPFSGKYDGVVGVLGALEAISLLKRSGFQPKRSLDVIMFTSEEPTRFGISCLGSRLMAGIEELAQSLRKVVDNQNVSFLDAAESAGYKMHPEDLQSVFLKKDSYSAFIELHIEQGPILEKEGIPIGIVTAIAAPASITVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNDVIEKIRRSATEISKNRGVVLSEFKIINQDPPALSDKSVVEAMEFSAKQLNLEYKKMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALTMAKLSLE >PVH63040 pep chromosome:PHallii_v3.1:3:59696278:59698580:-1 gene:PAHAL_3G459600 transcript:PVH63040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPSFARGRNLITYAVDKLMEFDKSRDSYICGVKILSRVVRLDRKGFYGQQVLAKELLTGSPSLIPHLLETMGPRSPYDRDIREHAARVVAHVAGSIHLDSFPGGVIGCVSALLPEEYEEGGDHWLWEDLERQWLLEECERQRWFLRLPEPPVTIDGMVEASKVLVEQGLLILRKLAADEDNCRVISNAPGLVCKIMAPLTSAKLHGDYHDQWYDIANESMKLVLSLMAAPGTGEVQSQISSNMKAITGILDCRECAVLLKRRATQILLDLSVEPQPPGCRVFIWMLLHIFVLPDDCFDRTISSVGRVKKRRYIRRLAGEKLQAAEQSVGGVISDLGTAICENDPYMAHAAMVLGHLWAHCSDEYREQVKQGVFDVMPKVLREILRKPPARPAADDLENGSDSSRDQQDLDRREDIKLKKSLISFCWTIYKKSGWELTARMDEIAAEVLSGLGESPRGITGLLYAAERQD >PAN18545 pep chromosome:PHallii_v3.1:3:13622766:13624885:-1 gene:PAHAL_3G209600 transcript:PAN18545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPAECAGGGRVKKEADLFLVDDLLDLPCDDEEEEVQEAVVEGGGGEGSKAGDCGTGAGGGGGEDGAAGNASNDSSTVTALDSCSNSISGLADGDFSGGLCEPYDQLAELEWLSNYMGEDNFPTEDLKKLQLITGIPPASASAATASVPAPAPAAAATQPGGGVLSPEAPVPGKARSKRSRVAPCSWASRLLVLPPTPASPPSPASAAISPSESGTAAPAFPAKKPSKPTKKKEAPTTSAPNTAAAAAASAGEGRRCLHCETDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKESYPHPHPHPHPHHQYQPQPQALGHVGAGAAAGLMHAPGPLLLDGPAGPLIGDDFLIHNRIGPDFRQLI >PVH61592 pep chromosome:PHallii_v3.1:3:4541482:4542235:-1 gene:PAHAL_3G071400 transcript:PVH61592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAASTINVHAAAWLRRLPRRPATPPPPPAQLGNHHPRAQLQPRRGLPHLRLGGARGYRRMARRIPAARPDGYSTSEGELEEEPDEWAREEILEPDAAGEEGDDSDGSEVEGFMLDFGALRDELGKKEEKGADDEGGK >PAN16002 pep chromosome:PHallii_v3.1:3:1401355:1403843:-1 gene:PAHAL_3G025300 transcript:PAN16002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSRPHQLLRPLLRGFHASALALARPEPHEFSKPSGYLGSWEPAGEPREAWAQLDRLRKGYARDVRQLRREYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEEDFRQALMKERAEKLESWRNKEKLREQKKAEQKELLRKKSSVWLAEDKLEDQILDAIKNTTPL >PAN21456 pep chromosome:PHallii_v3.1:3:60313293:60317351:-1 gene:PAHAL_3G467900 transcript:PAN21456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPATRLPEADSLPDGFVESSGACTASPPSPAPTSEDPPHVTLDSGRPAATSPGGRETLGDPSLPAPTVEDASSAAAEALEALSLHAAAEPERALGEHGSGNAARDAEESLKQSCAAEQEASPTAQKQKESGEPKRKVVKRSKLEKDRELFELAQQYHKVVAERDAAIAVKEKLESLCREFQRQNKMLKEECQRVSTEGQNMRMELSDKFNNAIKDVSVKLEEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKLQQHQEKAAQEHTQMQLYAEQVSQLMTTEKNLRLQLASDGERFQQFQDALSKSNEVFETYKQEMEKMISVIKNLKKENEFLKGKCENSDIALVKLIEERELTKKQIEKLKNQKEKLESLCRSLQAERKQGSSASIPEAPSSQEDVAVTSQDS >PVH62070 pep chromosome:PHallii_v3.1:3:12975863:12976857:1 gene:PAHAL_3G198200 transcript:PVH62070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTLDLHSDSGGGVPIQSTRTHPMRLLGRIRLLLVSSFASWLSESRFRSSPSPSSPLTAALGLGGAYCYLLARIFLA >PAN15924 pep chromosome:PHallii_v3.1:3:1115753:1117322:1 gene:PAHAL_3G020800 transcript:PAN15924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGDDAAPAKAAMEVSSSSTSASPAPPPSVLRSVLLSYAYVGIWISLSFSVIVYNKYILDPKMYGWPFPISLTMIHMAFCATLAAALVRVLRVVDVPASPPMTPRLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVALQLAAVAAEATRLVLIQILLTSRGMSLNPITSLYYIAPCCLLFLAVPWYAVELPRLRAAAASAAGLARPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAKEAERKAAATAVPKPDDAEAATRLLPEKDGGDGDRKN >PAN19830 pep chromosome:PHallii_v3.1:3:20840683:20841994:-1 gene:PAHAL_3G301900 transcript:PAN19830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIHGEDEKTRCRTAEEEDVKKGLMQEEGSLLSSEMPPLVQHQNFWVSPKLFKSMQLVQEEFVPRADDIILATYPKCGTTWLKALAFAITNRSRHMLAHHPLLTRHPQDTVPFLELPNRRIQPLAELEAISSLRLLATHLPFPLLPASVTAVGSRVVYMCRDPKDVFVSKWHFENRMSEKLFIELGLSFHLFCEGISVCGPIWNHYLEYWNESKARPDKVLFLKYEEMMSDPVKQVKRLAEFLGAPFTDTEERSGVVDEVVKLCSFEHLTSLEVNSTGVADLIGGFPMENSTYFRRGKVGDWENHLSAEMAQQLDSIVEEKLKGCGLTF >PAN21361 pep chromosome:PHallii_v3.1:3:59646277:59648497:-1 gene:PAHAL_3G459100 transcript:PAN21361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLLWLALLCASLAFATCAGIRLELTHVDAKENCTVGERMRRAAERTHRRLTSMGGVTAPVRWGGASQYIAAYLIGDPPQRAEAIIDTGSNLVWTQCSLCRPAGCFRQNLPYYNPARSRTDRAVACGDAACAMGFETRCARDGRTCAVDTGYGGGDIAGVLGTEAFTFQSETVSLAFGCITESRLVPGFLNGASGIIGLGRGALSLVSQLGDTRFSYCLTPYLRDTISPSHLFVGAPVSLDTSGGAPPVTSVPFAENPREAPFNTFYYLPLVGMSVGDARLAVPASAFALRQVARGVWAGGALIDSGAPFTLLVDAAYRAVRAELARQLGGSVVPPRTEGWDLCVARGDTGRLVPPLVLHFGGGGGDLVVPPGNYWGPADDATDCMVLLNSEALNATLPLMKETTIIGNYMQQDMHVLYDLDKGVLSFQTADCSTV >PAN21646 pep chromosome:PHallii_v3.1:3:61530236:61535408:-1 gene:PAHAL_3G482200 transcript:PAN21646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGARPGATDYSFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSSPPGSPSASSSPLPVVRPLTPSSLCSAIHPRAHPAPRSAAPATAAGGAVVDSPTSVIENHQAAAHHSAELPPSSPSDDEGAGDGGAQALPPKPRHQAAVTFAETSGSLLQSSSDEGEYEDEEDDDDDAAAGEARPRAAAGQSSGSLSPAHWRGGRSRGCYRCGKGGGFWGRDKESCLACGARYCAGCVLRAMGSMPEGRKCLDCIGRPVAESRRDALGRGSRVLRRLLSAPEVELVMRSERECAANQLRAEDVYVNGSRLSPEELVVLQGCPCPPSRLRPGFYWYDKVSGFWGKEGHKPHCIISANLNVGGSLDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKATNQCGEEAAHMVNRAIPDYLEQRTVQKLLLVGSGASTILKQAKFLYKNKPFSVDEREDLKLIIQSNIYNYLGILLEGRERFEDEALVDRRRNSQHDPSSSGRCESGFSDEVTEYSLIPRLKAFSDWILKAMALGNLEDIFPAASREYAPVVDELWKDHAIQATYKRRSELPFLPPAANYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTEFSFPQISLGGQGADEPDPQDTLLRYQLIRINNRGLHENCKWLQMFDDVRLVIFCVAASDYDEYYEDANGTIVNKMIESRELFESIALHPTFEQMDFLLLLTKFDLLEQKINSSPLTSCDWFDDFTPLISRNLLNGSSRSTRSSQTGATLAQMAAHYMATKFKRLFSSLTGRKLYVSYVNALDQESVRSAICYSREIIKWEEEKPVFGASETVYSEEPSTFTH >PVH61397 pep chromosome:PHallii_v3.1:3:1362523:1366248:1 gene:PAHAL_3G024500 transcript:PVH61397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGDSVDLRTNNRHVIKTIQVQDGDVFDCIDIHQQPAFDHPLLKNHVIQMKPHTYPSGVHNNFTSNATKLVTQLPTVGCPTGTIPMLRRIVEGDPIKSSHFHTMNNELNWKLVGIKTSSYAIYGTRVSINVYEPEVKGKNGDLSASWTLLVSQESNNDGLGAGSIVCPSFHGDNSARFHITWSNDAHQEPCYDLLCPGFVQTSQSIGLGGKLQPISIYNGPQYKIDILIFKLSSLFTGMKDKADAVFWGGYVHGPTVEMNPPQVGSGHFAEEGFGKAAFVRDIKVVNENNVLVTPDTRKSFAQSSRTKCYTVADYGQDKNGMHVYFGGPGNCN >PVH61681 pep chromosome:PHallii_v3.1:3:6008528:6008817:1 gene:PAHAL_3G094400 transcript:PVH61681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMERGEGDARIWGENLSKRMASSRGIKQKQQRTTTKGGYAFQVKRKQPRAQAK >PAN21910 pep chromosome:PHallii_v3.1:3:62912273:62917646:-1 gene:PAHAL_3G499200 transcript:PAN21910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEASGGGRGHADPPAASSPVPAAARKTVRVSDVRDFIIPSHAADHQGRSAVAEEGSPTGSSVSAEAAAAAGEARAPEYHPGAAGSPSPPVGVPCEGALQDTPDYIRRGAARHRVAPLELFSAVTSPPAEDAASAESRGVSRTGGARQAETVVGAPSELERLQVEEGESGCCGQLKQQYGLLLREKEECRRLLEDLMRENVLKTRECREAQESLRDLQMELMRKSMYVGSLASAVEGQVKEKSRLCHFVNELSEKFKVLKLEHQNLRQESLEYKKCVLDATQMSKTIQQYVNQYATLECEFKDLKEKFSDEAKERKDLYNKLIELKGNIRVFCRCRPLNAEEIAEGASMAIDFESAKDGELIVKGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRIIKEREGIFLYEITVSVLEVYNEQIHDLLLTGSQPGATTKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMVKGENLINGECTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATRTPHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMVGRAKQDSKNKDAQIKSMEETIQSLEAKNKAKDLLTMNLQEKIKELESQLLVERKIARQHVDNKIAQDHLQKQHILKEEGSYLRSVMAERNLNSTAEKPSAARKDFGIAKQMFSDSNTDTYSFKQLMSLGEEKENNPEAGQLPQMAKARRVSLCNGGAYPQPMNQTSRRTSLIPLPRRNSLMPLPAAKNAAVVPPPLDNITENLSSPPLCSPPVVSNDKGSRSKRINSILRRSLQKKVIIRPTMAAQAGRKASVIATTQGTDGARKAARRVPVSGGAGQRVQQNRDKERGWNNATSLRNNF >PVH61952 pep chromosome:PHallii_v3.1:3:10707892:10711906:-1 gene:PAHAL_3G162900 transcript:PVH61952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFALGLTKTAVEGTLSQVQTAKDEEAKLKVKVQQDLVFITGEFQIMQSFLNVATKERAKNDVVRTWLRQLRELAFDVEDCIEVVVHLDKDKSMWCWHVLPSCISSPRPLDEVAIEIKLIKDRVEAVSQRNTRYNLILGSETISSRSSTTTVLLPTPATSNGNVLSDFSVVLRQVWKDAGKIRKIDIQELLTRGEGNTGSLQFISLWENGDNNLEMSYIINEAYHDPEICKRFKLRAWVKITRPFSQDEFMNTLLTQLLLAGCRSHPATTKDVTNTAEVLQQVLKDQRYLLVLEGLSDLVWWNGIRMCLPENNNDSRIVVTTPQTGIATLCTGKPYLVSELRRSPSLYAFYKRVRGSRHDMGELLWKIKRGGLIFVECHNMNVVVLKRLYRSIRTGCEEFDGVRFKMHRWVPVVSPFNLIDFSRRLLLDVRDEFYCGDLKELETNSCGYDPKDYNKIISPNEVNMVIRIADEDVIKRCHQLLREVDSYLLVIYGLATKEDWDSIKEIFFSEPIKGCVVAAFNCTSVMLECINNNMDDDRLVRDPRYHDGNEERERRPEVLLPTTLFRIISHF >PAN21255 pep chromosome:PHallii_v3.1:3:58883187:58886625:1 gene:PAHAL_3G453100 transcript:PAN21255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALRRVRPPPPAPSPPARPPPPPPPRAPPAAAGGAPSNGDVREPTNNAHGVLEERDPSYEEMLKHMVGRITTKPGGKPEMGDASIVQRYDRPLPKVRTSKADPGQSGSRQLPSGALNVQHIQEIIQLYQGKSSTHHGPMSVDDIASKFRVEASVVRNIVQFVSLPQDETAKKKEEF >PAN15783 pep chromosome:PHallii_v3.1:3:49201:49521:-1 gene:PAHAL_3G000900 transcript:PAN15783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSQSQSSVGGAGAGARPPTVGPRGTAAAAAGMRRRRATASAGGGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAAASA >PAN18548 pep chromosome:PHallii_v3.1:3:13628900:13632358:1 gene:PAHAL_3G209700 transcript:PAN18548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRRNSPQNPTRRKGEEPWLAASLRPANFLPGLAIGFLLGLLLDLSSSWKPKGRPAPAPAAAPARGSSSKRASKGSSGSGGEELKMVLVVRQDLKMGAGKIASQCAHAATGLYAELMSSNRGLLRQWEQLGQAKIVLTCKNQQEMNRLKETAEHRGIPTFIVADAGRTQVLAGSKTVLAIGPGRKADIDSVTGKLRLL >PAN18547 pep chromosome:PHallii_v3.1:3:13628976:13632358:1 gene:PAHAL_3G209700 transcript:PAN18547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRRNSPQNPTRRKGEEPWLAASLRPANFLPGLAIGFLLGLLLDLSSSWKPKGRPAPAPAAAPARGSSSKRASKGSSGSGGEELKMVLVVRQDLKMGAGKIASQCAHAATGLYAELMSSNRGLLRQWEQLGQAKIVLTCKNQQEMNRLKETAEHRGIPTFIVADAGRTQVLAGSKTVLAIGPGRKADIDSVTGKLRLL >PAN18546 pep chromosome:PHallii_v3.1:3:13628900:13632358:1 gene:PAHAL_3G209700 transcript:PAN18546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRRNSPQNPTRRKGEEPWLAASLRPANFLPGLAIGFLLGLLLDLSSSWKPKGRPAPAPAAAPARGSSSKRASKGSSGSGGEELKMVLVVRQDLKMGAGKIASQCAHAATGLYAELMSSNRGLLRQWEQLGQAKIVLTCKNQQEMNRLKETAEHRGIPTFIVADAGRTQVLAGSKTVLAIGPGRKADIDSVTGKLRLL >PAN18550 pep chromosome:PHallii_v3.1:3:13628976:13632358:1 gene:PAHAL_3G209700 transcript:PAN18550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRRNSPQNPTRRKGEEPWLAASLRPANFLPGLAIGFLLGLLLDLSSSWKPKGRPAPAPAAAPARGSSSKRASKGSSGSGGEELKMVLVVRQDLKMGAGKIASQCAHAATGLYAELMSSNRGLLRQWEQLGQAKIVLTCKNQQEMCWLDLKQFLQ >PAN19701 pep chromosome:PHallii_v3.1:3:19886361:19890792:1 gene:PAHAL_3G291600 transcript:PAN19701 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MASSLPAPPAPPPASWRGGLPPRRPPPRCGPLLVRAAAARSWRYRFRTDDDGVVDVAVAGKDGGAGYAVAVEVPGRGREGGLVLRAAGSGEGVPLAPAPAPGGALAAELSYDGARAPFHVSFLLADAAGAEVRTHRGTSFRVPVGVGRGRPAPLGLSLSEDGAANFAVYSKSAKGVVLCLFHGRGAGGDEPALEVELDPYVHRTGDVWHVSLESVEGYASYGFRTGLFALFGIDRPLLDPYAKVIENFVPDDTVNVDGLSVPSIRCLASLENAPGYNWGRDKHPCLPLEKLVVYRANVALFTKDKSSGLPENVAGTFSGLAAKVEHFRHLGINAVLLEPVFPFHQVKGPYFPYHFFSPMSLYSSERSSASAIKSMKDMIKTLHRNGIEVLLEVVFTHTAEGGAECQMISIRGIDGSSYYIADGIVGCKASVLNCNHPVTQKLILDSLRHWVLDFHVDGFSFINAPFLVRDPGGDGLPRPPLLEAIAFDPVLSKTKIIADPWSPLDISNVQFPFPHWKRWAEINTRFSMDVRKFLKGEALISDLATRLCGSGDLFSSRGPAFSFNYVSRNSGLTLVDLVSFSSDELGSEFSWNCGEEGPSENNAVLQTRLRQIRSFLFILFVSLGIPVLNMGDECGHSAAGSTSYKDRGPLNWKAMKTMFVKEVTGFISFLSALRSRRADIFQRREFLKLENIHWYGSNLSEPRWEDPTSNFLCMHINPELDENVPDSVRGDLYICFNANEESVSATLPALAEGSMWLRLVDTSLAFPGFFSSESSPKVHQVLGFSSYQVKAHSCVLFESKRVLS >PVH62260 pep chromosome:PHallii_v3.1:3:16324223:16327545:-1 gene:PAHAL_3G251500 transcript:PVH62260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQIPPVSRLVYLAVAIALLAASTAEAASRRPGPVAVNVTGVLSAFPELADFTRLLASSPVLAELAGRSSLTLLAVPNGKLPQSPSAYAAASGADLADVLRYHVLLEYLAPADLRRLPASGKLVTTLFQTTGRAPADLGAVNVTTAGATLAVVRSPAPFPGSNATVLGAVTAVPYNLSVLAVDGLIAPSGFDLAASETRPPVAVNITRILADARAFNVAASMLEASGVAGEFEADERGAGITVFAPTDDAFAGLPAGDRLQSLPAERKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEFSNAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFTRTEAPGGSDSSSVIAATAAASSPPPAATAPEAAESARTPPTKLSSPPALRGGGQDYDTASAPVRATGWRCIALVYLLLLPLRLLLPETGTTLSLARLTAAVVLDLPTALASGRDDPYIPSPPGTSTVRQEPGHMGGLLAYDNERRVSHAAGKLAVTRV >PAN21673 pep chromosome:PHallii_v3.1:3:61595316:61601057:1 gene:PAHAL_3G482700 transcript:PAN21673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTNFLHLKTVPSENGLKPVENPSDNLLDMACESPFSGKVKFMCSFGGKILPRPSDGKLRYVGGETRLISINRSFSWKELMQKTLTIYCQPHIIKYQLPDEDLDALISLSCDEDFQNMMEEYDTLEKANGSVRLRIFLVSLTECEDPSLDSKSLESEPEYHFVAAVNNLAHLDRSISGNNLMSQSNHQFYSSPVPYGDSPLCPTNTETGAKDSVGATLNEPSSQFFLAPYTQQMVAELSTTSSPSLGQQRTMQQSRMQPPADESTRNHEHVNRSEICNGLNLKAMPPGHLNKKQNDADRSIGTGPPMHHPHIERQVKGLAGNDSDLISRTNYDISTPVEASLYSEKASVHAENAGLAPGLQEPTAQILGMPHAFSDPLIKNINDVPASNLSLPADSYITQSFSDKICQTNELERTISRSRPAFECVKLPDIARTDETNYLVSNHIDQQYGQGVIGSASSQAPVSYQHENLSSNVTRKGHDGGPVIQQQDKCYHLDKNAGPIVAPCSNFVDAGLIYHTRDARLSSDELDALESSVPKPMCATDHSLSYLLNVSQGGDSNPGSHIEKLNSGPIEYGTTGYGHGNDKVAPEPHILLPVNTSEAFALQRSMVNGEASVYQNGNLRPSSVHNSGLAASPHIGLIDTDLSMNLHGNGGLSLSSSQNPVTDGVPRRDDLIRDCGNIACTEGVIGFDRTVISNESIKLPHRMHDNAQIIVPFIVEDVTDNVPLGIPSSSSGGPQVVIATEERQEVIISSQKDDDTRSIEPEFATEDHDGAVDGSISDAVVAELEASMYGLQIIKNGDLEELRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQEKLTNDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRRKLTIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIVLWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPENCDPDWRKLMEQCWSANPDARPSFTEVTDRLRAMPSVLQSRGQAPGTR >PAN17637 pep chromosome:PHallii_v3.1:3:9424972:9431297:1 gene:PAHAL_3G145800 transcript:PAN17637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPGPIAPMSDSDEAPPAVHRRPPRPARGSGGAVERFAAALRRRVRSGASVAARASFAADSGDEFVAGEPSSSSRRRDTSGDASSAGGEAAGGGGGGGGAGGVDFSAFTFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRSGFWFNATSLRDWPLLMCCLSLPVFPLGAFAVEKLAFNNIITDAAATSLHIFLTTAEIVYPVLVILMCDSAVLSGFLLMFIACIVWLKLVSFAHTNHDIRKLITSGKKVDNELSAADIDNLQAPTLGSLAYFMMAPTLCYQPSYPRTPCIRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYCLFHLWLNILAEILRFGDREFYKDWWNAKTIDEYWRKWNMPVHKWIVRHIYFPCMRNGISKEVAVFISFFVSAVLHELCVAVPCRILKFWAFLGIMLQIPLIVLTSYLKNKFRDTMVGNMIFWFFFCIYGQPMCVLLYYHDVMNRIN >PAN17638 pep chromosome:PHallii_v3.1:3:9424972:9431299:1 gene:PAHAL_3G145800 transcript:PAN17638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPGPIAPMSDSDEAPPAVHRRPPRPARGSGGAVERFAAALRRRVRSGASVAARASFAADSGDEFVAGEPSSSSRRRDTSGDASSAGGEAAGGGGGGGGAGGVDFSAFTFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRSGFWFNATSLRDWPLLMCCLSLPVFPLGAFAVEKLAFNNIITDAAATSLHIFLTTAEIVYPVLVILMCDSAVLSGFLLMFIACIVWLKLVSFAHTNHDIRKLITSGKKVDNELSAADIDNLQAPTLGSLAYFMMAPTLCYQPSYPRTPCIRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYCLFHLWLNILAEILRFGDREFYKDWWNAKTIDEYWRKWNMPVHKWIVRHIYFPCMRNGISKLCVAVPCRILKFWAFLGIMLQIPLIVLTSYLKNKFRDTMVGNMIFWFFFCIYGQPMCVLLYYHDVMNRIN >PAN18958 pep chromosome:PHallii_v3.1:3:15436357:15444213:-1 gene:PAHAL_3G238100 transcript:PAN18958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGGALCAVILVVLLPCLDVALGQSTDPSEVNALRAIKGRLVDPMNNLKNWNRGDPCRSNWTGVFCHKVNDDAFLHVTELQLFKRNLSGTLAPEVSLLSQLKTLDFMWNNLTGSIPKEIGNITTLKLILLNGNQLSGILPDEIGNLQNLNRLQVDQNQLFGPIPKSFANLRSVKHIHMNNNSLSGSIPSELSRLPLLLHLLVDNNNLSGPLPPEFAEAPALKIFQADNNNFSGSSIPTTYSNISTLLKLSLRNCSLQGAIPDLSSIPQLGYLDISWNQLTGPIPTNKLASNITTIDLSHNMLNGTIQQNFSGLPNLQILSLEDNNLDGSVPSTIWNGVTLTGNRSLVLDFQNNSLKKIPATFDPPPNVTVMLYGNPVCGNTNGALITNLCQPMSVYQHTLKKEQGSTFSCQPCPADKNYEYNPSSPIPCFCAVPLGVGLRLKSPGITDFRPYEDAFDINLTSLLQLFLYQINIEHYIWEVGPRLNMHMKLFPSNSSLFNTSEIVRLRHILAGWEITLSDVFGPYELLNFTLGSYADEFPNAASTGLSKAALGIILAGSIAGAIALSVVATTLIARRRSRHRTVSKRSSTNNFDLSAQVGQGGYGKVYKGILADGAIVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMSNGTLRDHLSAKSKRPLSFGLRMKIALGAAKGILYLHTEANPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVEGTLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGLKPIEHGKNIVREVNSACQSGRISEIIDSRMGLYPPECVRRFLSLATKCCQDETDDRPSMWEIVRELEIILRMMPEEDLVLLETSETDSTGVSKSLSASASGTLFISSQVSGSLDASSGMISGRVTPR >PAN18959 pep chromosome:PHallii_v3.1:3:15436626:15443132:-1 gene:PAHAL_3G238100 transcript:PAN18959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGGALCAVILVVLLPCLDVALGQSTDPSEVNALRAIKGRLVDPMNNLKNWNRGDPCRSNWTGVFCHKVNDDAFLHVTELQLFKRNLSGTLAPEVSLLSQLKTLDFMWNNLTGSIPKEIGNITTLKLILLNGNQLSGILPDEIGNLQNLNRLQVDQNQLFGPIPKSFANLRSVKHIHMNNNSLSGSIPSELSRLPLLLHLLVDNNNLSGPLPPEFAEAPALKIFQADNNNFSGSSIPTTYSNISTLLKLSLRNCSLQGAIPDLSSIPQLGYLDISWNQLTGPIPTNKLASNITTIDLSHNMLNGTIQQNFSGLPNLQILSLEDNNLDGSVPSTIWNGVTLTGNRSLVLDFQNNSLKKIPATFDPPPNVTVMLYGNPVCGNTNGALITNLCQPMSVYQHTLKKEQGSTFSCQPCPADKNYEYNPSSPIPCFCAVPLGVGLRLKSPGITDFRPYEDAFDINLTSLLQLFLYQINIEHYIWEVGPRLNMHMKLFPSNSSLFNTSEIVRLRHILAGWEITLSDVFGPYELLNFTLGSYADEFPNAASTGLSKAALGIILAGSIAGAIALSVVATTLIARRRSRHRTVSKRSLSRFSVKIDGVRCFTFDEMAIATNNFDLSAQVGQGGYGKVYKGILADGAIVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMSNGTLRDHLSAKSKRPLSFGLRMKIALGAAKGILYLHTEANPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVEGTLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGLKPIEHGKNIVREVNSACQSGRISEIIDSRMGLYPPECVRRFLSLATKCCQDETDDRPSMWEIVRELEIILRMMPEEDLVLLETSETDSTGVSKSLSASASGTLFISSQVSGSLDASSGMISGRVTPR >PAN18957 pep chromosome:PHallii_v3.1:3:15436357:15444213:-1 gene:PAHAL_3G238100 transcript:PAN18957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGGALCAVILVVLLPCLDVALGQSTDPSEVNALRAIKGRLVDPMNNLKNWNRGDPCRSNWTGVFCHKVNDDAFLHVTELQLFKRNLSGTLAPEVSLLSQLKTLDFMWNNLTGSIPKEIGNITTLKLILLNGNQLSGILPDEIGNLQNLNRLQVDQNQLFGPIPKSFANLRSVKHIHMNNNSLSGSIPSELSRLPLLLHLLVDNNNLSGPLPPEFAEAPALKIFQADNNNFSGSSIPTTYSNISTLLKLSLRNCSLQGAIPDLSSIPQLGYLDISWNQLTGPIPTNKLASNITTIDLSHNMLNGTIQQNFSGLPNLQILSLEDNNLDGSVPSTIWNGVTLTGNRSLVLDFQNNSLKKIPATFDPPPNVTVMLYGNPVCGNTNGALITNLCQPMSVYQHTLKKEQGSTFSCQPCPADKNYEYNPSSPIPCFCAVPLGVGLRLKSPGITDFRPYEDAFDINLTSLLQLFLYQINIEHYIWEVGPRLNMHMKLFPSNSSLFNTSEIVRLRHILAGWEITLSDVFGPYELLNFTLGSYADEFPNAASTGLSKAALGIILAGSIAGAIALSVVATTLIARRRSRHRTVSKRSLSRFSVKIDGVRCFTFDEMAIATNNFDLSAQVGQGGYGKVYKGILADGAIVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMSNGTLRDHLSAKSKRPLSFGLRMKIALGAAKGILYLHTEANPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVEGTLPAHVSTVVKGTPTRAMSTALALFFLRC >PVH63304 pep chromosome:PHallii_v3.1:3:64460018:64461076:1 gene:PAHAL_3G517500 transcript:PVH63304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWSLLCKWLWEIEMGEGLWQEIVRKKYIKEHCVSQLKVKPTNSPVWNDLLKVREIYLQGRVMVVGNGKMTDFWRDTWCGACSLQDKFPELFEICNENSLSVAGMAQRGWRLSFRRWLDERKQNQLRRLLDMINSFAIGREDDRPKWNWEKSGSFSVKSTYKHLFSDESNEPNKRIWRAKIPLKIKLFMWLVFQNAILTKDNLLRRGWSGDEKCMFCSCDESITHLFFECSMAKYVWSMVAMVVGADCRPTTFEQFWVWVKKIMPMAGKYHMVGLAGICWALWRTRNNVCIEDKKVRSPIDIASSFISFWAELQAEGDRAELEEGAELLRGIALSMHPREAPPGDIGMVLLQ >PAN20551 pep chromosome:PHallii_v3.1:3:25976451:25979504:1 gene:PAHAL_3G328300 transcript:PAN20551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDQKQAMPMQAQALQPGRKKRPRRSRDGPTSVAAVIKRWAEHNKLLEHGAEGAKRARKAPAKGSKKGCMKGKGGPENTQCGYRGVRQRTWGKWVAEIREPNRVNRLWLGTFPTAEDAARAYDEAARAMYGEVARTNFPRQSAATSSQVAPAAASTQVAAVVDSLLPSTSCESTTTSNHSDIASTALKPEVADISSSLKVEYPMEAGSHRSEMISGSWVQHEDSHPSSTQASTPNVADKEVFEPLEPIANIPEGEDDGFDIEEMLRMMEADPQNKGGVDASMGQPFYLDGLDSSVLESMLQSEPEPYSMSEETDMFLAGFESPGFFEGL >PAN19456 pep chromosome:PHallii_v3.1:3:18373711:18374711:-1 gene:PAHAL_3G275100 transcript:PAN19456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARRLGGLLPLVLLLLTVISASESDPTVLAAAAGDASGQPSAYKMLEGFGFPRGILPEGVTGYTYRPSDGAFEVFLGGDCEFDVDGGYRLTYRRRIYGNVEGGSIRNLGGVSVRMFLLNWGIDRVVMEDAAHLMFYVGPLSQAFPADNFEESPQCRGRRCGGDGAAVGAAAV >PAN19798 pep chromosome:PHallii_v3.1:3:20593955:20598416:1 gene:PAHAL_3G300300 transcript:PAN19798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASSSSPAAVKMASDGVWQGENPLDFALPLLAVQIAVVLAVTHGLALALRPLRQPKVVAEILGGILLGPSALGRWGAFRRTIFPEWSAAALDTVSGLGLLLFLFLVGLELDFRAVRRAGPRSVAVAVAGIVPPFLSAPGLAALLRLAVPASAHAAFLTLCVFVGAALSVTALPVLACILKELGLLGAPLGETAMAAAAVNDVFAWALLALAVAVSGGGGGARGSPLAPVYILASGSAFVAFMLCALRPLMARLARRAGPDRGAALASSGAVVACALLAGAATDAIGVHPVFGAFVFGLSVPREGGLAERAGEKVAPLVSGLMLPLYFATSGLHTDIDTVRGAAAWGMVALVVAVAFLGKFGGTFAVAAWTGMARREAATLGVAMSAKGLVELIVLNIGKERKVLDDATFAIFVIMALTTTVLATPFMTALYRSTPTATTPESDGTELKGGDACPA >PAN19033 pep chromosome:PHallii_v3.1:3:15864671:15867663:-1 gene:PAHAL_3G244700 transcript:PAN19033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDAARSSRRMDLNLYLGLPRASRARRPDLGSDLALGTPMLSSSSPSSSAASADAPPPEGEPFHPPYSPSRADLVRPPTPAHEPYDPFAPEALPPYMPPPPLPAPGALPVLADELEFGFSDAHLGLVERLADRPSSSTASSSFRPDRAERYRRLMCLTGSRYIRPRRFRSDLPPLSSEAPSMENDAVPQPPEPEEPVHDTVEENKVVADGAVVGVSEDEGAEHGKSAAMFECNICFEMAAEPVVTSCGHLFCWPCLYQWLHVHSSHKECPVCKGEVTEGNITPIYGRGNSGSDVEKKVAEDGNASGPKIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGFLSTWRRILDQHLMNSVSRFEGPPEATAQEIPQNASRFSRMTTRLRARRLQREAENPTSITSSAPGSGGQPGNHTSDLPRRTLSPFPSEGMDLLRHFDFDLDDSERFVTAFSELRRIARPSHYGASTSSNPPNPEPADGTHIVTGLAADQASNSSTMAVIQEDAAFTESAGEPSNAGSSRSLRRRRASDALGSLDVDGGDLHQNKRRRLN >PAN17939 pep chromosome:PHallii_v3.1:3:11015610:11016164:1 gene:PAHAL_3G166500 transcript:PAN17939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRVPARSYGGGFVTKAASPRSHILTEMGSPLAPATTRMFPGLMSRCIIRRSCMCLAPPRSCRVMRRTSDSGNGRPPRCRPSLRTSWRLGPTHSMTSATSLVPWLMASAWRLTRDGWPRQAARNSASRSASSAASALALAAPAPSSAGTGARSAFTATAWPVERCRASTTAPKPPSPSARVGS >PAN18576 pep chromosome:PHallii_v3.1:3:13749917:13750360:-1 gene:PAHAL_3G211500 transcript:PAN18576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVAPAAAMEMEELQEADVLWPDLDERHGQDHCHCHGRQQQLEAGNKQPQGAAGDPRRRPAGSSAPVGIRAGTTPGGGPPSWARSCYDSDESAAAFVPPHVVLAARRRCPEGRAASSVCVGQGRTLKGRDLQSVRTAVLRMTGFLET >PAN18589 pep chromosome:PHallii_v3.1:3:13827152:13829129:1 gene:PAHAL_3G212500 transcript:PAN18589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQLAETDAMRRIPAQNGLCGGAPPARGPPSGRCFRVNAGNRRGLGRRRLPLSSRKMFAVMTCILAVPSSRSFANPVCSAAGDRRSFAAASIGSPGRRTKGE >PAN18914 pep chromosome:PHallii_v3.1:3:15238185:15243565:-1 gene:PAHAL_3G235300 transcript:PAN18914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPDDGKMKGCQPKLFGTKDKKVVKRTDRASCSTAKCGSGNSKSPSSSPFRKLSEVRSIRLSHFLSHSSNATKNEHIRIFVSTWNVGGKAPTSELKLDDFLPADDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLALINRALNRQVGTDADIFQNKPSPSLDSTSSQSTPGLDASSSNRSRTSSGSVIFQKSLKSIRKSYMPSRRKQLKFCNCPVEMAKKSYKDACFRCPQAYANEMDSSEEDELDDKLNDIFGLSDDGVTSAASASRDQLKYNLISCKQMVGIFVTVWAKKELVQHIGHLRTSCVGRGIMGYLGNKGCISVSMTLYQTSFCFICSHLASGEKEGDELRRNIDVLEILRLTQFRRICRRSGRRIPERILDHDRAIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIQRASGRVFKGWSEEKIYFAPTYKYSCNSDSYAGETATSKKKRRTPAWCDRILWHGDGIAQLSYFRGESKFSDHRPVCGTFIVDVEMLDGKSKRRSSNTNIRIGAEELLPTSKHNKGART >PVH63108 pep chromosome:PHallii_v3.1:3:60889855:60894232:-1 gene:PAHAL_3G476000 transcript:PVH63108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQDDMVLRKVFNKKHTDRVRSYLEPLDNIMETYHEALGEHGHDWQGFILDLEPVQDTLATELYSAKRTLFYEVKPSLWGGCQEAEFGCGEFPTASAAPDGESDDVWQHFTRINTKDPDVVYAACYRCDRVLRAHSKNGTSHLRRHLKTHTCTCNNNPSSTTEDQEILRELRANLDLYKQGKMEGRVVDSPDLNASVDPWDLPTPRYFTSSLSRKTHQGRWEEIKSNDKLIAIRIGQLPVPQYAGLRRTLEFHHDDGTKTDWIMLEYHQVDEYNTHDLLLEGSMVFRKVIQIFKELERMWNGDDEEEERYIGEREEEVEACMSTLLRDCLLGEVGQSDQSRVGKRKRTGAPEGGSEVWLYFTKIYTMDPDRVYAVCHSCDRGYKGHSKNGTSHLKRHNKTCSSKHRKV >PVH63109 pep chromosome:PHallii_v3.1:3:60890479:60893895:-1 gene:PAHAL_3G476000 transcript:PVH63109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPGSIRHAMQKKPGSSRRWTHSGYWEENEDEFIAIRSKGGGESSSSPSSSPCYEGVRRTLEFYEHNGTKTDWVIHEYNHLTDNMFIQDDMVLRKVFNKKHTDRVRSYLEPLDNIMETYHEALGEHGHDWQGFILDLEPVQDTLATELYSAKRTLFYEVKPSLWGGCQEAEFGCGEFPTASAAPDGESDDVWQHFTRINTKDPDVVYAACYRCDRVLRAHSKNGTSHLRRHLKTHTCTCNNNPSSTTEDQEILRELRANLDLYKQGKMEGRVVDSPDLNASVDPWDLPTPRYFTSSLSRKTHQGRWEEIKSNDKLIAIRIGQLPVPQYAGLRRTLEFHHDDGTKTDWIMLEYHQVDEYNTHDLLLEGSMVFRKVIQIFKELERMWNGDDEEEERYIGEREEEVEACMSTLLRDCLLGEVGQSDQSRVGKRKRTGAPEGGSEVWLYFTKIYTMDPDRVYAVCHSCDRGYKGHSKNGTSHLKRHNKTCSSKHRKV >PVH63128 pep chromosome:PHallii_v3.1:3:61256349:61257187:1 gene:PAHAL_3G479000 transcript:PVH63128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSSCQCETHARVHVQRTDGRQRWRTARHSARNPFFDGVQTNQTILLVLLDSGRVTISPVLLAHGGKRDYMSPVANELWSRGVGVRQRHYQVRPRVLYVCTKGLFSLCS >PAN19071 pep chromosome:PHallii_v3.1:3:16048811:16058130:1 gene:PAHAL_3G247600 transcript:PAN19071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCRKRDDSDPEGPGEPEPPADKRPCTAEPSTSAAAAAAGAPPPPAGARPAEHGGSDMDTSSSGHAGDADGEADDGDGDGDGDGDGGSSCESDGDGSPRPRGARALKFHQMVEAVAAEGAGQDALVAALTELCEALSFCAEDAGGYFPTEAAARALVRRAGGGDGAGATPDVILLSVRAITYLCDAMPRAADAVVRHGLLPVLCSRLLSIEYLDVAEQCLQAFEKISRRQPAQCLQAGMITAVLAYIDFFTASIQRVAVSAVANACKKVPADCSHFVVDSVPTLCNLLQSEDKMVVEKVAACLISIVDSFSSSVDLLDQLCHQGIIEKVLPLIHTGGLTALSPSTCSNLIGLLAKLACSSLVAVKSLFELNVGSTIKGILVASDLSHGMPYLPLEKQNNQVNEALKLANQLIPSAARDVEDTQIILAKEKIITDEPRFLCQFSRDILPVLIKAVNSGANSYICYGCASIVNNICYFSKPEMLQDLLKETNIASFLAGLLSRKDHHVLTSSLKIIEILMQKLPDAYLGFFIKEGVVYAVEALLTQEDSSRSTHLLDDIQQSEPQPFIRNKSTCFCYAFDAHRYEAAETRTCMIGNGSLYTFARHVKTTYFTKEVVSSEKGLTEIVQKLKTCCAVLNETTDKSSERENLQNEEYLSTILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYFQAVENMNCSSDHFLAVVKRFQSFARMSFSRMGQSWSDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRSNISDIPTRHSTIIPCIRVRFKKDEDETNLSSYDSVVNLEISSSLHTIEEFLWPKVSTDMNSQKAESPPSGPALESYVNDGSLERDSTPQSSPPSEGLICENQNPAVEPCPKQGTSSSGQAGRNTISVSDNALQPKLVFSLKGKELDRSVTLYQSILQDQINAGSDIILDMQFWRSVHDITFRTAANPETDRTALNPEADSPKDSSTAMSSKNDSTTGFKWQMLPFFSSMLLGKLPCKLDRSCPLYDILFMLHILEGLNRYSFHLASDERNHSFAQGTITNLDDLKAVVFSIPQQEFVSAKLTDKLEQQMHDPLVSRSCCLPLWCTELMSACPFLFSFEARWKYFQLAAFGSLKNHYGHIMDAIVNSVTERGSPHSRKKFKVDRDDILVSAAEMMKSYAKSNALLEVEYKEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELPCEAVTNDAHVSRFVVAPKGLFPRPWSASADCASFQEVSKQFHLLGQVVAKAIKDGRILDIPFSKAFYKLILGQELNIYDIQSFDSELAISLMEFQAIACRRKYAESNLMRDCQIISDLTYRGCRIEDLAIDFALPGYPDYMLSSGSSSGSLNVENLEEYVHHVVEATVKSGIARQMEAFKSGFNEVFPLNKLQVFSEDELDRLLCGEQDTWDFGKLVDHIKFDHGYTSSSPPVINLLEIIQEFGSHQRRAFLQFITGSPRLPPGGLAALNPKFTVVRKHNSNDADSDLPSVMTCANYLKLPPYSSKEKMREKLIYAITEGQGSFHLS >PAN20143 pep chromosome:PHallii_v3.1:3:23074231:23076334:1 gene:PAHAL_3G316700 transcript:PAN20143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPLSSSSTSSSGATFCSSISKKARFSPLLLALALFLLCFSFLYGEDLKELLGRQAQVASQLIINSNSSRNNGGDEQPAAPPPEVAEEGKTKRKWHGRLAFALNDDDEDEGCDVFSGSWVRDDAAHYPLYREEDCPYIHPQLTCQAHGRPDTAYQRWRWQPHDCTLPAFDAARVLEALRGKRMLFVGDSLGRGQFASMVCLLQSAIPDAGARSFRMSPDQQHTVFAAGDYNATVEFYWAPFLLESNSDNAVVHRISERMVRRGSIDYHGRHWRGADVIVFNTYLWWCTGLRFRILNRPWESAGTEEAVTWVSTEEAYGMAFRDMLQWVRDNMDLNTTRVFFTSMSPTHQKSQDWGDAPGGNCYNETAMISDPGYWGSDGRRSVMRVIREILDGDGADVPLTFLNVTQLSMYRKDAHTSIHKRQWSQPTAEQLADPKTYADCVHWCLPGLQDTWNELLYSKLFYP >PAN17239 pep chromosome:PHallii_v3.1:3:7361563:7366919:-1 gene:PAHAL_3G115500 transcript:PAN17239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKGSRKGKKAWRANISTDDIDDFFEKQTHDAHAGAGAIPSLPSDSLFYVDKPAASNSAASATDTTIKDIPAKRKIEKKREKVLYHESLLKRNPFVQPIPSSVVSKKDKKKAKKKELQETHGEKSVPMEDDSAEKNLDIWAGDGNGDTKAKKRSTTSVIPAVEVEAPGCSFNPPFEAHQDALAQAVADERHKMLMKELGPTPVPLIVPGEAITEEDKFFLDADDGDEDVADDDGDQDADTSVGQRKNKTKKVTRVEMNKRARRKERLRAEAEAKKMENISKEIDSLPNIIDEIAKEDEEKEKRRIRRTVVKQERLKSGPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCCNLTRDRYKSIEKRGILAPSKRIRKTSSCFPTLSTKLLYIMKGSPYG >PAN17238 pep chromosome:PHallii_v3.1:3:7362189:7366919:-1 gene:PAHAL_3G115500 transcript:PAN17238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKGSRKGKKAWRANISTDDIDDFFEKQTHDAHAGAGAIPSLPSDSLFYVDKPAASNSAASATDTTIKDIPAKRKIEKKREKVLYHESLLKRNPFVQPIPSSVVSKKDKKKAKKKELQETHGEKSVPMEDDSAEKNLDIWAGDGNGDTKAKKRSTTSVIPAVEVEAPGCSFNPPFEAHQDALAQAVADERHKMLMKELGPTPVPLIVPGEAITEEDKFFLDADDGDEDVADDDGDQDADTSVGQRKNKTKKVTRVEMNKRARRKERLRAEAEAKKMENISKEIDSLPNIIDEIAKEDEEKEKRRIRRTVVKQERLKSGPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCCNLTRDRYKSIEKRGILAPSKRISKRR >PVH61862 pep chromosome:PHallii_v3.1:3:9275183:9275520:-1 gene:PAHAL_3G143900 transcript:PVH61862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVRECRLQAGAPVGSWAEACGLQSGTMKSGWASFFFPSLKPTLIFHHLLPPGRKNRRAPAPSHGRLTVLQLEPRLPAARFISLDTLYSLSFSQPRFGRS >PAN20379 pep chromosome:PHallii_v3.1:3:37145448:37152906:1 gene:PAHAL_3G361600 transcript:PAN20379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHPTLSHAHPHRHPARPNPTTGLLRLLPSRRRARPRTAGRLVVSASSTSAPPPSADRSEAASSLERCLSATAPGMGTGAAAPASAPPRAPPVMKGGRKQYGAFGAVTLEKAKLDLSQRRKKIMPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGEEEEGGLFRRRIVVQELFNREFVEAVLQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLSIFARPTTTRSFSRALPGWLSRGLVGRTLADPAFPHKMAFEFIASFSSSVWWEMNIRKERFQQEWDLAVVNALTASCCNLMVLGLLAPCRSYGSTSRFDFQNTIEKLPNNIFEKSYPLREFDIPKRISAFFYKAAELSLVGFVAGSVQGGMLKVLSERKGRRLSVTIPSVSTNALGYGAFLGLYANLRYQLLYGLDQYMVKRFDVLGVAIFFSTAARLMNIQIGEASRRTWLGEEADPQYSDRLLRAYKRPVEVNVDQQDSRWFISKDAMVSGLGLLGIKQGGPETTLSKPRRKRVVRKKVASG >PAN17779 pep chromosome:PHallii_v3.1:3:9949388:9957507:-1 gene:PAHAL_3G154700 transcript:PAN17779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKSQVEQLLRYIVEDVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDVELMDLLFSFVKPDHPHSTLLAGYFSKVVICLMLRKTAPLMNYVQEHPDIVVQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLETTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPALAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVDGMLESFGDLLKLLDITSAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSEIAEKELISQSAIKHCIDLFFQYPYNNFLHHHVENIIVSCLEVKRNQLIDHVLNDCGLVGKVLAAEKKLSLSVDSNGPTLPSEGKEPPRIGNMGHITRIANKLIQLANSSSMIQNHLQENSEWSEWQTGVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDSSLFTNSNWFTFDGDRGINDRLAASVPSPSPNSEETSLNMEETDEVLIGEATGTESQLESVSLENGPVEVTKELAGVAEQNDACADDEKLLCTEEENVSQEAEACEQPMDVQDGQTDTQGGDAAEASSTEGAADEPCGSPEPGHALTGASSESRDTDHQSANTSGSNEIAHESGLPVKVDDGKIVEVATTNE >PAN17781 pep chromosome:PHallii_v3.1:3:9950075:9957200:-1 gene:PAHAL_3G154700 transcript:PAN17781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKSQVEQLLRYIVEDVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDVELMDLLFSFVKPDHPHSTLLAGYFSKVVICLMLRKTAPLMNYVQEHPDIVVQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLETTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPALAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVDGMLESFGDLLKLLDITSAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSEIAEKELISQSAIKHCIDLFFQYPYNNFLHHHVENIIVSCLEVKRNQLIDHVLNDCGLVGKVLAAEKKLSLSVDSNGPTLPSEGKEPPRIGNMGHITRIANKLIQLANSSSMIQNHLQENSEWSEWQTGVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSPSPNSEETSLNMEETDEVLIGEATGTESQLESVSLENGPVEVTKELAGVAEQNDACADDEKLLCTEEENVSQEAEACEQPMDVQDGQTDTQGGDAAEASSTEGAADEPCGSPEPGHALTGASSESRDTDHQSANTSGSNEIAHESGLPVKVDDGKIVEVATTNE >PAN17782 pep chromosome:PHallii_v3.1:3:9949328:9957661:-1 gene:PAHAL_3G154700 transcript:PAN17782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKSQVEQLLRYIVEDVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDVELMDLLFSFVKPDHPHSTLLAGYFSKVVICLMLRKTAPLMNYVQEHPDIVVQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLETTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPALAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVDGMLESFGDLLKLLDITSAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSEIAEKELISQSAIKHCIDLFFQYPYNNFLHHHVENIIVSCLEVKRNQLIDHVLNDCGLVGKVLAAEKKLSLSVDSNGPTLPSEGKEPPRIGNMGHITRIANKLIQLANSSSMIQNHLQENSEWSEWQTGVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDSSLFTNSNWFTFDGDRGINDRLAASVPSPSPNSEETSLNMEETDEVLIGEATGTESQLESVSLENGPVEVTKELAGVAEQNDACADDEKLLCTEEENVSQEAEACEQPMDVQDGQTDTQGGDAAEASSTEGAADEPCGSPEPGHALTGASSESRDTDHQSANTSGSNEIAHESGLPVKVDDGKIVEVATTNE >PAN17780 pep chromosome:PHallii_v3.1:3:9950075:9957200:-1 gene:PAHAL_3G154700 transcript:PAN17780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKSQVEQLLRYIVEDVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDVELMDLLFSFVKPDHPHSTLLAGYFSKVVICLMLRKTAPLMNYVQEHPDIVVQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLETTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPALAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVDGMLESFGDLLKLLDITSAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSEIAEKELISQSAIKHCIDLFFQYPYNNFLHHHVENIIVSCLEVKRNQLIDHVLNDCGLVGKVLAAEKKLSLSVDSNGPTLPSEGKEPPRIGNMGHITRIANKLIQLANSSSMIQNHLQENSEWSEWQTGVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSPSPNSEETSLNMEETDEVLIGEATGTESQLESVSLENGPVEVTKELAGVAEQNDACADDEKLLCTEEENVSQEAEACEQPMDVQDGQTDTQGGDAAEASSTEGAADEPCGSPEPGHALTGASSESRDTDHQSANTSGSNEIAHESGLPVKVDDGKIVEVATTNE >PAN18993 pep chromosome:PHallii_v3.1:3:15616602:15617702:-1 gene:PAHAL_3G241000 transcript:PAN18993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRFRLADMMPNSWFYKLRDMRRARGRPPGAGAVGGAAGAMLPPPPPSPRVARAASSPRRGSAALPHRTSYYYPTRDRELPAPRPRAAAATEEDRELLPQPESPPTYCSSRRRHQVGPVRVGRGLEALGAEAHGGTQRRRDMYVGRDGSDDDGEEGVGEVRRPAATAPSEAILSGGGKVIASDTDIVIDLRAEGTTERVLRPIVTRLARTEVVRYELKDRHVDGGEATPRASSASEQGSRSQPRRSSVSSGRRLKTRVNSPRLASARSRKSKPATPPASPRKTPPPPPPPPLAESFAVVKASADPRRDFRESMEEMIAEKGIRDAADLEDLLACYLALNAAEHHDLIVEVFEQIWAGLAAGGYP >PAN21246 pep chromosome:PHallii_v3.1:3:58829128:58830544:1 gene:PAHAL_3G452300 transcript:PAN21246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVSRLSTEKAVVIFTRSQCPMCHTVSSLFSELGVCAAVHELDKDPRGRDMERELARRLGRAPPVPAVFVGGNLVGSTDKVMSLHLAGKLVPMLKGAGAIWL >PVH62579 pep chromosome:PHallii_v3.1:3:25904327:25906618:-1 gene:PAHAL_3G327600 transcript:PVH62579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLGKKAKSTAPSSPATTGIDVVPDGVLKHILGFLPSPEAVRMCVLARRWRHLRRHATGLRVSCLAGGEVGRAGSPSPKRIRDFVDRILLLRGHAPLETTATGDDASCLSRWLRHVVECKVRTLRLENVWVDGFELEDRPLVSRRLTRLELEGLDLKNGFCDFSGCPSLQYLVIESCEMWDADKVSSESLKHWRMTSCIFSKDSSTVIHARSLVSLRLDGHLYRAPVLEIMPSFQEAFVRVIHENLDSGYSDDYSGACDDEDCYSCYGAVDGNYKCVLLEGLSEAENLALISESKASIYERDLKQCPTFSRLKTLLLNDRWCVAPAFPALTCILKHSPVLEKLTLHLFSKGPGHKMEMIGRYNPTDKTAAIPEHLKEVQVEWKCFENGLQLNLLIGCGLAHNDLKFILVQSIVPYA >PVH62979 pep chromosome:PHallii_v3.1:3:58241404:58243743:1 gene:PAHAL_3G444800 transcript:PVH62979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFQGTTTKCTACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERKNENAIKVSSAFAGTREKCVGCSKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKTSQAGSLEDEEAEY >PAN19908 pep chromosome:PHallii_v3.1:3:21515095:21516868:1 gene:PAHAL_3G306900 transcript:PAN19908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIALVVGIAAGGATLLAAAAVLLALWCAARRRARRNRNSDTGSSDPSTLVEWGKGGRSSLAPEPEPEQQVTRQFSLEELVQATKNFSDANIVGAGSFGLVYMGLLLDGTIVAIKRRVGAARQDFADAVRCFSEIRHRNIVTLIGYCQEGGLQMLVYEYLPNGSVCGHLYDNGKGSTTRLEFKQRLSIAIGAAKGLNHLHSRDPPLIHKNFKTNNVLVDENFIAKVADVGLVRLLRGSDDAGPSHGFSSSVYQDPEVQSVAQFSESSDVYSFGVFLLELITGREAASLMPPESREYLAHWVISTDM >PAN19909 pep chromosome:PHallii_v3.1:3:21514244:21518047:1 gene:PAHAL_3G306900 transcript:PAN19909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIALVVGIAAGGATLLAAAAVLLALWCAARRRARRNRNSDTGSSDPSTLVEWGKGGRSSLAPEPEPEQQVTRQFSLEELVQATKNFSDANIVGAGSFGLVYMGLLLDGTIVAIKRRVGAARQDFADAVRCFSEIRHRNIVTLIGYCQEGGLQMLVYEYLPNGSVCGHLYDNGKGSTTRLEFKQRLSIAIGAAKGLNHLHSRDPPLIHKNFKTNNVLVDENFIAKVADVGLVRLLRGSDDAGPSHGFSSSVYQDPEVQSVAQFSESSDVYSFGVFLLELITGREAASLMPPESREYLAHWMEAHFSSNELIDPRLGGNFTSEGMKELVGLAFQCLNPSSRRRPRMRLVATELDRILETEMSMTTFMGDGTAIVTLGSQLFTS >PVH62487 pep chromosome:PHallii_v3.1:3:21514033:21517238:1 gene:PAHAL_3G306900 transcript:PVH62487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIALVVGIAAGGATLLAAAAVLLALWCAARRRARRNRNSDTGSSDPSTLVEWGKGGRSSLAPEPEPEQQVTRQFSLEELVQATKNFSDANIVGAGSFGLVYMGLLLDGTIVAIKRRVGAARQDFADAVRCFSEIRHRNIVTLIGYCQEGGLQMLVYEYLPNGSVCGHLYDNGKGSTTRLEFKQRLSIAIGAAKGLNHLHSRDPPLIHKNFKTNNVLVDENFIAKVADVGLVRLLRGSDDAGPSHGFSSSVYQDPEVQSVAQFSESSDVYSFGVFLLELITGREAASLMPPESREYLAHWVISTDM >PAN19910 pep chromosome:PHallii_v3.1:3:21514033:21517959:1 gene:PAHAL_3G306900 transcript:PAN19910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIALVVGIAAGGATLLAAAAVLLALWCAARRRARRNRNSDTGSSDPSTLVEWGKGGRSSLAPEPEPEQQVTRQFSLEELVQATKNFSDANIVGAGSFGLVYMGLLLDGTIVAIKRRVGAARQDFADAVRCFSEIRHRNIVTLIGYCQEGGLQMLVYEYLPNGSVCGHLYDNGKGSTTRLEFKQRLSIAIGAAKGLNHLHSRDPPLIHKNFKTNNVLVDENFIAKVADVGLVRLLRGSDDAGPSHGFSSSVYQDPEVQSVAQFSESSDVYSFGVFLLELITGREAASLMPPESREYLAHWMEAHFSSNELIDPRLGGNFTSEGMKELVGLAFQCLNPSSRRRPRMRLVATELDRILETEMSMTTFMGDGTAIVTLGSQLFTS >PVH62677 pep chromosome:PHallii_v3.1:3:38202043:38202846:1 gene:PAHAL_3G365900 transcript:PVH62677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGEMPLEPRASWFSPKCVEAQQLTGHLGVNHCFGAGCASGTKSRQTLNTRYDPNRGQGRPVRRWGISFIVERETARITS >PAN17463 pep chromosome:PHallii_v3.1:3:8574773:8577937:1 gene:PAHAL_3G133100 transcript:PAN17463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEQKREAPCGIYTYKHHCSMGVDVHEVFVKKSRLRIVLSYIGIVFLLVNVSQPLLAKESLSLGSVWNITFAVLVAKRLQYKPVKKESVVIMPAFGVQLEIHFWSGRVDRRFVPIGKILKPLINECVTPVTCYWSLALLLRDEEELLLVFQKSRPPVKMLVPVWKALCTLTNSKCSSPSRVDKPNCSET >PAN17464 pep chromosome:PHallii_v3.1:3:8574773:8577937:1 gene:PAHAL_3G133100 transcript:PAN17464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEQKREAPCGIYTYKHHCSMGVDVHEVFVKKSRLRIVLSYIGIVFLLVNVSQPLLAKESLSLGSVWNITFAVLVAKRLQYKPVKKESVVIMPAFGVQLEIHFWSGRVDRRFVPIGKILKPLINECVTPVTCYWSLALLLRDEEELLLVFQKSRPPVKMLVPVWKALCTLTNSKCSSPSRVDKPNCSET >PAN18282 pep chromosome:PHallii_v3.1:3:12550048:12552711:1 gene:PAHAL_3G191600 transcript:PAN18282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNSEESRSVEAMSIFGQSIDVRRPVRSRRRATQKKFSPAGESPASPSVERLHVHQRRPLAERERARAEAELSRASTMASELERQLEQANAKARSHRSESELQRTRAAGSGGSRSKKVLADAEAPDAVQAQDQSNSLYVEVMHELDRVKRELRKLQREVEEAREAKAKAEAERDAETPTPRVLSSGSRPLDRVKREAGEESEDRGIAELAEADGSRKGMQSQDTWTRVQSLQKDTSRASDPDERFATASSSDVGLEPAEMAMVPATGEADHVENGESALTVTRHGEHDDRSSLQAAAEAELTSARIELESIKEEGIRFTNSTERTRRETARVAEEIDRLTEQEKRASAQVQQLSARLVRARSRLDAATAADERAEAMLSKLSAALRQLGEETEAAEKERELMELENRCVREHAETVGAEIAASEQRIRESVKELEAARASEAAATVKLRAIVGSATQARAAAMSQRSGSVTIPRFEYEYLTGRTEVVRAVAVKKVAAAEAWVEALHAGEKEVVMRAEAIEREIGEMTAGGCG >PVH62268 pep chromosome:PHallii_v3.1:3:16544534:16547108:1 gene:PAHAL_3G252800 transcript:PVH62268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRRRLRCLSPACLFLAAAVALLAMPGLAAARTRRYTFNVTMATVTRLCVTKSIPTVNGLFPGPKVVVREGDRLVVQVHNNINSNVTFHWHGIRQLRSGWADGPSYITQCPIRPGQSYAYDFRVVGQRGTLWWHAHFSWLRATLYGPLVILPPRGVPYPFPKPDREVPLMLGEWFNADPEAVIKQALRTGGGPNVSDAYTFNGLPGPTYNCSAAAGDTFRLRVRPGRTYMLRLVNAALNDELFFAVANHTLTVVGADASYVKPFAATTLVISPGQTMDVLLAAAAASPPAPAYAIAVAPYTNTVGTFDNTTAVAALEYAPLQGAAALLALPPPALPLYNDTSAVANFSAKFRSLASARYPARVPRSVDRKFFFAVGLGADPCRSRVNGTCQGPNGTRFAASMNNVSFTMPRTSLLQAHYQRRYGGVLTANFPAAPPVPFNYTGAPPNNTFVMHGTRVVPLRFNTSVEVVLQDTSILGAESHPLHLHGYDFFVVGQGFGNYDAANDTARYNLVDPVQRNTVSVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLAMAWLVNDGPLPNQKLPPPPSDIPKC >PAN19391 pep chromosome:PHallii_v3.1:3:18031443:18036657:1 gene:PAHAL_3G270800 transcript:PAN19391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] MDVASTIPFQGLAYLITGEVREGAAYSLLGVLRLWRLRKVKQFFTRLEKDIRFSYFWVRCARLVAVTLFLVHCAGCLYYLIADRYPDRDKTWIGAAIPNFRQASLRIRYISAIYWSITTMTTVGYGDLHAENTVEMLFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSVRAASSFVGRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSIYKSICEQLFLPVVKDVYLFKGVSREGLLCLVTKMKPEYIPPREDVIVQDEAPDDVYVVVSGEVEAVRFDGIDERVEATLGARDIFGEASALSDRAQGFSFRTRTLSQLLRLKQATLKEAMQSRPADSVVIIKNFLKHQVEMHGMKVEDLLGENSSEQDDDANNVLTVAAMGNSGLLEDLLRAGKDADVGDAKGRTALHIAAAKGYEDCVLVLLKHACNVNIKDAQGNTALWHAVASGHHRIFNILYNFARVSSPRAGGDVLCLAARRNDVGALRELLRLGLDVDSEDHDGATALRVAMAEGHADAARFLIMNGASVDKAGLDGGGGSCPGGARLTMSPGELRELLRKRELGHQITIIDPPAAVPVPGGSSSGHSRQGRFPSASTDSARLPRVSIYKGHPFARTQSSEAGKLINLPATMEELRAIIREKLKVVDAEKALIVNDEGAEVDSIDVIRDNDKLFVVTEENLRRLVATGSVSASS >PAN19390 pep chromosome:PHallii_v3.1:3:18031443:18036657:1 gene:PAHAL_3G270800 transcript:PAN19390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] MKMSSGGFESASTISAGGSAGSGSRSSGWGSGSFNLRNLSKVILPPLGGPSGHSQFHAGTDKWVISPLDSRYRCWETFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIVLTFFVAYIDPRTQLLVRDRKKIALRYLSTFFIMDVASTIPFQGLAYLITGEVREGAAYSLLGVLRLWRLRKVKQFFTRLEKDIRFSYFWVRCARLVAVTLFLVHCAGCLYYLIADRYPDRDKTWIGAAIPNFRQASLRIRYISAIYWSITTMTTVGYGDLHAENTVEMLFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSVRAASSFVGRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSIYKSICEQLFLPVVKDVYLFKGVSREGLLCLVTKMKPEYIPPREDVIVQDEAPDDVYVVVSGEVEAVRFDGIDERVEATLGARDIFGEASALSDRAQGFSFRTRTLSQLLRLKQATLKEAMQSRPADSVVIIKNFLKHQVEMHGMKVEDLLGENSSEQDDDANNVLTVAAMGNSGLLEDLLRAGKDADVGDAKGRTALHIAAAKGYEDCVLVLLKHACNVNIKDAQGNTALWHAVASGHHRIFNILYNFARVSSPRAGGDVLCLAARRNDVGALRELLRLGLDVDSEDHDGATALRVAMAEGHADAARFLIMNGASVDKAGLDGGGGSCPGGARLTMSPGELRELLRKRELGHQITIIDPPAAVPVPGGSSSGHSRQGRFPSASTDSARLPRVSIYKGHPFARTQSSEAGKLINLPATMEELRAIIREKLKVVDAEKALIVNDEGAEVDSIDVIRDNDKLFVVTEENLRRLVATGSVSASS >PAN17299 pep chromosome:PHallii_v3.1:3:7623564:7625993:1 gene:PAHAL_3G119700 transcript:PAN17299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEEGLEPLRYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDMQQHKVVVIGNVSADALVKKLLKTGKHAEPWPEPAPPAAATDAPGGSPGSGGKKKKKKSKSKNPGNNKPADPAPAEGGSGPCPPDKAEGGSGPCDEASDGEHDKPEGDGGGGNGPAGAGDAHDGGAGGKVPPLAMTPQGPQPIAPAANGNGNGGGGGKKKGKKGGGNGNGNGNPNANANANANANGDGAGAGAIVEVHPPPDAPTKPAAGNSGPLTTVVDAGPYPPPPAAAMSYPGYYPAGVHPPAYVMSYSTAHPSSALRSSAYYHPMAGAAYTTAGGGYFYSTAPVSAAPGSYYMFSEENANACSIM >PAN22036 pep chromosome:PHallii_v3.1:3:63730375:63733501:-1 gene:PAHAL_3G508600 transcript:PAN22036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLGSTALKNSVLFRPLFIFLASSFIFWAAPSGWVFLASSERSNARKRRCGFSWCKTTIVLKINGEDTSVISPTLGFNIKTIKYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLDLAAMEKSRHWQIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD >PAN22035 pep chromosome:PHallii_v3.1:3:63730783:63733398:-1 gene:PAHAL_3G508600 transcript:PAN22035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLDLAAMEKSRHWQIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD >PAN20153 pep chromosome:PHallii_v3.1:3:25362772:25369096:1 gene:PAHAL_3G325000 transcript:PAN20153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGGDGGSSGGRFPILQANRDPESNWEVDVAKRLEEYLFKICSGEVTGEDGAHSVNFAEAALLLQGSIQVYSRKVEYLYSLVLHALEFLSQKKQDQHENGSAHGNENDASTISNEEDDVFMGLDDVPVEARISLDNNVDRDDLQRKNVRPPANLLVFEGDCLDSEASELDSYLLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGKENNLAHQGSSVPSKNRPSVFTSPNGRSGGTGRKSAPGKVQEDLDPTQENPGQSNANKTEDNTNACGNGWSDPVDHDFPGDYIPPDPDDLDDPGDPVGEDSDDEDPWKPLNPYEPGNLKIKPYRRVKGSARQVIGTAKRKTLTSLFPMAKMDGVIVPEHAMSFEAQQSQQEEIHASQSPPPYEMLMRSFEYGEQGNPDVFGDSNYDIGPDIGVGFDDPDDSDSPICGDIGVAIESPTCPSERKEEPPNGTQVSQENMDTHESLDDLCRSHLNALLASIAEIEQQSEMDARVSTWKERIEHALEEQDKNPPFDIGSYGEQILDTLSSSTDNMGIASFSEIVSGRPKYEVARTFSALLQLVNGRSVDLDKGQATNELVCHTAENPFHVKLIGPNRRPEMEARFARKRGKSPLQNPGQGGESSLAQREPPKKPAHKNGKIPVKTAIRLTPDGKRRRRSAAHLMQPINLESSG >PAN19613 pep chromosome:PHallii_v3.1:3:19322374:19324596:1 gene:PAHAL_3G286100 transcript:PAN19613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSICRRRLLRLHRIPSAAGTNPSQPNPMNALLSRGYSSAFPAGSPISEPCPATVSYLISRGLSPTAAAARKLRIRSTERTDAVLALFRSYGFSDVHITKIVRQAPVILNLDPDRILRPKLDFFASLGVQPPRFATTPILLTRSLNKHLVPCIQFLRGIVGTDRDVCRAIFRNPRALGADLEKQMRPCVDTLRRLGLREESISKLLILGMSVLLISPDRMCEIFKDLKALGARVNTTGFLYGIRARSCLSRETWLRKVALYQSFGVSEGELLKALKQQPTMMLHSEENITKKLRFYLDELKLELSDVMGHPVLMGCSVEKCIKPRCAVLDVLMREGKIEPRIKLLSALLCTTSKFSQRYVLRYAHDVPDVVKAYKGGIKFEGFRDHGGLVPVKP >PAN19611 pep chromosome:PHallii_v3.1:3:19322374:19324596:1 gene:PAHAL_3G286100 transcript:PAN19611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSICRRRLLRLHRIPSAAGTNPSQPNPMNALLSRGYSSAFPAGSPISEPCPATVSYLISRGLSPTAAAARKLRIRSTERTDAVLALFRSYGFSDVHITKIVRQAPVILNLDPDRILRPKLDFFASLGVQPPRFATTPILLTRSLNKHLVPCIQFLRGIVGTDRDVCRAIFRNPRALGADLEKQMRPCVDTLRRLGLREESISKLLILGMSVLLISPDRMCEIFKDLKALGARVNTTGFLYGIRARSCLSRETWLRKVALYQSFGVSEGELLKALKQQPTMMLHSEENITKKLRFYLDELKLELSDVMGHPVLMGCSVEKCIKPRCAVLDVLMREGKIEPRIKLLSALLCTTSKFSQRDHGGLVPVKP >PAN19612 pep chromosome:PHallii_v3.1:3:19322374:19324596:1 gene:PAHAL_3G286100 transcript:PAN19612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSICRRRLLRLHRIPSAAGTNPSQPNPMNALLSRGYSSAFPAGSPISEPCPATVSYLISRGLSPTAAAARKLRIRSTERTDAVLALFRSYGFSDVHITKIVRQAPVILNLDPDRILRPKLDFFASLGVQPPRFATTPILLTRSLNKHLVPCIQFLRGIVGTDRDVCRAIFRNPRALGADLEKQMRPCVDTLRRLGLREESISKLLILGMSVLLISPDRMCEIFKDLKALGARVNTTGFLYGIRARSCLSRETWLRKVALYQSFGVSEGELLKALKQQPTMMLHSEENITKKLRFYLDELKLELSDVMGHPVLMGCSVEKCIKPRCAVLDVLMREGKIEPRIKLLSALLCTTSKFSQRDHGGLVPVKP >PAN16312 pep chromosome:PHallii_v3.1:3:3309658:3311551:-1 gene:PAHAL_3G053000 transcript:PAN16312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNDDEKPPTSNTGSTKGLVKIKPAKYFKDAAALTADTVTAEVEINATSSTTVREGLDLVAVLDVSGSMTKDGKIESVKKAMLFVIMKLTPVDRLSIVTFSDGATRLSPLRTMTPDAQNDLKALVNSLGANGGNNIQAGLETGLAVLADRVNTKARTANIFLMSDGHQTSGDARQVDPGQVAIYTFGFGRDTDHQLMSDIATKSPGGTFSTVPDGSKVSLPLSQLLAGLLTVVAQDVHLTITPKTEEGDVDTVVVAPSAEYTQATNATTGVITIKFGALFAGEGRRVVMTLTLKDVSAFSEEYDAPLAEVQHSFTAQGRPRDLQVQQDIQIKRTPFPSQAPGVSSKVPAEIARRQHAEAIRQARLLAEVGQLEDARYKLVDAQKALENNALDGGQRLVNSLRAELQQLIRLMDSEETYKAQGRPYALASETCHGRQRYAARGGDEEDVRLFATPRMDAYSEQAKSFEKDPTGPAASAADEDVKQD >PVH62469 pep chromosome:PHallii_v3.1:3:20849004:20850060:1 gene:PAHAL_3G302100 transcript:PVH62469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVNHKNLQPPLGYPTVDNSEQQGAAAAPRGGRARARGRKTSFIDGCIAALCCCWLCDLCCD >PAN17650 pep chromosome:PHallii_v3.1:3:9514977:9519816:-1 gene:PAHAL_3G146600 transcript:PAN17650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPLHHPKHRHHDDDLLPYRRSDDEAKPRRPYTPTFPSSSSSPGSASRLLVLFAAICLVLAAVSFAFAVSASRARPPPPQPPPAAAFRCGRAEDSLRSFLASSSPGRNYSAAGREKVLAVVGVYTEHGSAARRAALRATWFPPNPEGIVSLEHGTGLTFRFVAVRPKDKQKMEDLQKEAGMHHDFLFIDADEDTKSPQKMLAFFKAVYHMFDAEFYVKADDDIYLRPDRLAALLAKERPEHRTYVGCMKKGPVVNDPNMKWYESSWELLGNEYFVHASGSLYALSSEVVEAVATAKSESLRMFDYEDVTVGAWMLAMNVKHEDNRAMCDPTCTPTSIAVWDKKCSGSCNITNKIKQLHNTTLCSKSPTLPPEVEEEE >PAN17651 pep chromosome:PHallii_v3.1:3:9516257:9519816:-1 gene:PAHAL_3G146600 transcript:PAN17651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPLHHPKHRHHDDDLLPYRRSDDEAKPRRPYTPTFPSSSSSPGSASRLLVLFAAICLVLAAVSFAFAVSASRARPPPPQPPPAAAFRCGRAEDSLRSFLASSSPGRNYSAAGREKVLAVVGVYTEHGSAARRAALRATWFPPNPEGIVSLEHGTGLTFRFVAVRPKDKQKMEDLQKEAGMHHDFLFIDADEDTKSPQKMLAFFKAVYHMFDAEFYVKADDDIYLRPDRLAALLAKERPEHRTYVGCMKKGPVVNDPNMKWYESSWELLGNEYFVHASGSLYALSSEVVEAVATAKSERFVSYSFLGFLFNHNMGNHYPRIVCIQETQCR >PVH62746 pep chromosome:PHallii_v3.1:3:44921799:44922533:-1 gene:PAHAL_3G385000 transcript:PVH62746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSPRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERSGRTWIARMRGLGREEEGLEDAVSHLSIYLTGLDALCREQSAQLKKLIHGVEKLTQELEEQRTRAANAEYSLAALQVQMQEYESRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN16279 pep chromosome:PHallii_v3.1:3:3202937:3205984:1 gene:PAHAL_3G050600 transcript:PAN16279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSEELRTSFSDLVVSSPTRTEGQTNSSGDSSSEGGLQVTCFTEDLHDVTLHFQIVRFSKQIYVWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKGLGYMR >PVH63252 pep chromosome:PHallii_v3.1:3:63518755:63522497:-1 gene:PAHAL_3G505500 transcript:PVH63252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATITTATLDSGALYMLAVILLVLSLQGSPAGATDTITATQPLSGQQKLVSQGGKFALGFYQPGASNATVIVAVLLNTGNLVLAHASNTSSMLWQSFDHPTDTQLPGMKFGRNKVTGASDRQVSWRDHADPSPGIFSIVMDPNVEAQYLFIWNNSRPYFTPGKFNPQTGAFSGIPAMTAISQPNSIYSYEYVSNDREEYFLLTIKDDAIFLRTVIDPSGQQKGMVWLEEKQDWMLYFAQPDPCAVYSFCGAFSWCAMGSVPMCSCLRGFSAQSPTEWSSGNYTGGCTRDVALPCSSSGGSSVPSVSRRHEEDRFYMISNVRLPDGSQTVQAASKSDCEVACLDTCSCLAYSYNGTCSFWHTDLMNLQEDPDSKGDSIFIRLPASEIPRTKSTRGRTIGVVIAVSALALGVCLVAVSRLLRRRRRIKGLHYIGVNLTAFRYRDLQLITRNFSDKLGGGSFGSVFKGVLQDGTAVAIKKLEGVRQGEKQFRAEMSTIGKIHHVNLIRLLGFCSEGEHRLLVYEYMPHGSLDGYLFKTSSDDVLPAWNTRYQIAIGIAKGLTYLHDKCRDCIIHCDIKPQNILLDASFAPKVSDFGLAKLLGRDFSRVMTTMRGTIGYLAPEWISGTAITAKADVFSYGMLLFEIISRRRNVEYGKQHTDKFFPILVAEKIQEGDVKAALLDADMRGDANLEELERACMVACWCVQEDESSRPTMGAVVQMLEGLLQVNMPPVPRYLQVLADSADKSAIHSWTELSPSL >PVH62181 pep chromosome:PHallii_v3.1:3:14923989:14925262:-1 gene:PAHAL_3G229100 transcript:PVH62181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLVARKRLKGKELKDAAALLSLAPPGSHDEASLIWSNTAGMHSQMTPSAPDHLAAPILESSYGVPAPSYMVPRTPDPCLPLFPVTPTGEGQKRVMATADPRDQGSTSNVVPAHRPASTLPFGWSNGGSFSHGIQRAPAPHLPTSAMASMAGAGRWPTMPAVASTNGYGIINRTSASIAPRPAYLAPPFSQPNSSGMGPNGVMRAPAPHLAALYMPAAPGFRRWSTSASSVTGTALPLPATQTAIANTIGGLAPSYPVPAGAMVAHRGLPIRMEYAGAYHDTATTLALGVQAAAAGAQATSSVPAPAAVDTRDGGRASAFNPWCPRGFEPDDGPSSSSRQAQELQGGSNQGEKRTKPLLDLFKL >PVH61815 pep chromosome:PHallii_v3.1:3:8297251:8299266:-1 gene:PAHAL_3G128100 transcript:PVH61815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPRLGATSVLRHPSNRLESFPTKATTTAFRSPSSVLHLATAPSTVLQQPTTAQTEPGSCRRGRSSRMAGAGGERWVGLATDFSEGSRAALRWAADNLLHAGDQLLLLHVIKEADYEQSEAILWESTGSPLIPLSEFSNPITAKKYGAKPDAETLDLLNTVAREKEVMVVVKVLWGDPREKLCQAINEMPLSCLVIGSRGLGKLKRVLLGSVSDYIVNNATCPVTVVKPKDG >PVH63224 pep chromosome:PHallii_v3.1:3:62723405:62727743:-1 gene:PAHAL_3G496600 transcript:PVH63224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSLSSRPLTFLRPNRTKPPLHRLLRHKPLASSSASALTPPTPRADPSPTPDPDPTPLFLRSASHPVPAAALVSFRRRAAALVPPSAPHLHRHLRWLLADAAADPSSDPALLRAPLDDLEAMWTRHVRERRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMIRKVEGFADGWWADLGTGSGAIAVAVARELGHEGRVFAVDVSEVAVEVATLNVQRYGVQGKVEIRHGSWFKPLEDVKGKLMGVISNPPYIPTDDLPGLQPEVGWHEPKLALDGGKEGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDLISTKWNSSFHNVEAVLDFAEIKRFVTGYRR >PAN21874 pep chromosome:PHallii_v3.1:3:62723347:62727743:-1 gene:PAHAL_3G496600 transcript:PAN21874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSLSSRPLTFLRPNRTKPPLHRLLRHKPLASSSASALTPPTPRADPSPTPDPDPTPLFLRSASHPVPAAALVSFRRRAAALVPPSAPHLHRHLRWLLADAAADPSSDPALLRAPLDDLEAMWTRHVRERRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMIRKVEGFADGWWADLGTGSGAIAVAVARELGHEGRVFAVDVSEVAVEVATLNVQRYGVQGKVEIRHGSWFKPLEDVKGKLMGVISNPPYIPTDDLPGLQPEVGWHEPKLALDGGKEGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDLISTKWNSSFHNVEAVLDFAEIKRFVTGYRR >PAN21873 pep chromosome:PHallii_v3.1:3:62725566:62727690:-1 gene:PAHAL_3G496600 transcript:PAN21873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSLSSRPLTFLRPNRTKPPLHRLLRHKPLASSSASALTPPTPRADPSPTPDPDPTPLFLRSASHPVPAAALVSFRRRAAALVPPSAPHLHRHLRWLLADAAADPSSDPALLRAPLDDLEAMWTRHVRERRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMIRKVEGFADGWWADLGTGSGAIAVAVARELGHEGRVFAVDVSEVAVEVATLNVQRYGVQGKVEIRHGSWFKPLEDVKGKLMGVISNPPYIPTDDLPGLQPEVGWHEPKLALDGGKEGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDLISTKWNSSFHNVEAVLDFAEIKRFVTGYRR >PAN16221 pep chromosome:PHallii_v3.1:3:2911615:2917599:1 gene:PAHAL_3G045400 transcript:PAN16221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPGVGPGGGAGGGAAAGLKTYFKTPEGRYKLQYEKTHSAVLHYSHGGKTVSQLTVAYLKEKPASQGSQPSTPSSSSGMRSAAARLLGSGNGSKALSFGGGNGANRAVAGSSRLGGGLGTSTNLGGSQGVTNYDGKGTYIVFNAADTLFISDLNSQEKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDPGRKPVAAQHYNKGDKDGSSNGSRCTSVAWVPEREGIFVVSHSDGNLYVYDKNKDGNTDCTFPAVKDQSQFMVVHAKSSKSNPVARWHICQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGRSYYGALLCCTWSSDGKYLLTGGEDDLVQVWSMDDRKIVAWGEGHNSWVSGVAFDSYWSPPSSDGNGENVYRFGSVGQDTQLLLWDLALDEIVVPLRHPSSASPTFSGGSPAHWENACPPTGVLQPSPRMRDVPKLSPLVAHRVHADPLSGLVFTNESILTICREGLIKIWARPDQSENNQQSNSSEFILGSPVPKDRVITSSNKAGSSFKKPSSVLVT >PAN16960 pep chromosome:PHallii_v3.1:3:6258411:6261856:-1 gene:PAHAL_3G098400 transcript:PAN16960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGGTRLYVGRLASRTRSRDLEYLFSRYGRIREVELKRDYAFIEFSDPRDADDARYNLDGRDVDGSRIIVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSIRRERSYSRSPSPRRGRGRSRSYSRSRSRSRSYSCSRSLSGSPRGGRHDRGERRSRSLSYSRSPMRSASPPAKERSPTPDVSRSPRSPSPRSQVSPPLRDNGERNGSDRGDSPGGMEKENSRSRSRSRSPSDGNRSPVANGRSPSPRDDPSPSPMGDRSPSPKGNGNNNDDDDHQASPIGSKSP >PAN16959 pep chromosome:PHallii_v3.1:3:6258413:6261856:-1 gene:PAHAL_3G098400 transcript:PAN16959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGGTRLYVGRLASRTRSRDLEYLFSRYGRIREVELKRDYAFIEFSDPRDADDARYNLDGRDVDGSRIIVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSIRRERSYSRSPSPRRGRGRSRSYSRSRSRSRSYSCSRSLSGSPRGGRHDRGERRSRSLSYSRSPMRSASPPAKERSPTPDVSRSPRSPSPRSQVSPPLRDNGERNGSDRGDSPGGMEKENSRSRSRSRSPSDGNRSPVANGRSPSPRDDPSPSPMGDRSPSPKGNGNNNDDDDHQASPIGSKSP >PAN16481 pep chromosome:PHallii_v3.1:3:4055706:4059279:-1 gene:PAHAL_3G063900 transcript:PAN16481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGADTPSKTSKASAPQEQQPPATSGAATPAVYPDWSSFQAYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYAMTSPNGNADATGTTAAAGDTDGKPSEGKDKSPTKRSKGSLGSLNMLTGKNPTEHGKTSGASANGATSQSGESGSESSSEGSEGNSQNDSHHKESGHEQDGDVRSSQNGASRSPSEGKLNQTMAIMPMPSSGPVPGPTTNLNIGMDYWANTASSTPAIHGKVTPTTVTGAVVPAEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRADVLKQENASLRDEVNRIRKEYEELLSKNNSLKEKIEGKQHKTDEAGLNNKLQHSGDDSQKKGN >PAN16454 pep chromosome:PHallii_v3.1:3:4055165:4060836:-1 gene:PAHAL_3G063900 transcript:PAN16454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGADTPSKTSKASAPQEQQPPATSGAATPAVYPDWSSFQAYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYAMTSPNGNADATGTTAAAGDTDGKPSEGKDKSPTKRSKGSLGSLNMLTGKNPTEHGKTSGASANGATSQSGESGSESSSEGSEGNSQNDSHHKESGHEQDGDVRSSQNGASRSPSEGKLNQTMAIMPMPSSGPVPGPTTNLNIGMDYWANTASSTPAIHGKVTPTTVTGAVVPAEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRADVLKQENASLRDEVNRIRKEYEELLSKNNSLKEKIEGKQHKTDEAGLNNKLQHSGDDSQKKGN >PVH62383 pep chromosome:PHallii_v3.1:3:18762768:18763540:1 gene:PAHAL_3G279900 transcript:PVH62383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCARRSARLSSAAAAARRSLDPSFCPRCCPLRVPFSLRQNWGRGEHMSAAEVAGGAARAGKSRESRIGICKVPSGLLLPRPGNNAALVSVHPGSCCCQDAHYRLHAQWSWTCGWRVSEIHFGTFLVFSATGFSRFLWSGLWRVAPSGQFHHAEPRPQEASQTDRPTTDCTRVSP >PVH62382 pep chromosome:PHallii_v3.1:3:18762770:18763540:1 gene:PAHAL_3G279900 transcript:PVH62382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEVAGGAARAGKSRESRIGICKVPSGLLLPRPGNNAALVSVHPGSCCCQDAHYRLHAQWSWTCGWRVSEIHFGTFLVFSATGFSRFLWSGLWRVAPSGQFHHAEPRPQEASQTDRPTTDCTRVSP >PAN19819 pep chromosome:PHallii_v3.1:3:20764284:20775049:1 gene:PAHAL_3G301400 transcript:PAN19819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLMVDRVHSSLRLFMHRNAVFLCERLCAQFPSETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEDTLCPVNEPNIEVPSGATGHYLLGVIYRCTGRMSAAAEQFTQALTLDPLLWAAYEELCILGVAEDADECFSEATALRLQQEHASTSALEKSNFANENRILSSSLPSSLGDIIPKQMKQLHANNAAEVPGYPHVRATALHVQNSTPSNIAQFDNPSPIASQTSSIVPPPLFRNVHAYQNTISGDAPAKQKANGANQPLRRKYLDEARLKKVSGRLFNQSSDSMPRRSARLSRDTTINSNSNSNISQFGGNGTDHSSGKLRVNSSTPSKLCSTAVRSMQVRKGKPRATENFDEGSRYEVIDEMWTDNVPAPSSSVSTTEGRFFEQDKAERIMSQDSKVAIGIRELLGLLRTLGEGFRLSCLFKCQEALEVFRKLPESQFNTGWVLCQVGKAYFELVDYLEADHYFELAHRLSPCTLDGMDIYSTVLYHLNAEMRLSYLAQELISIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRFAYAHTLCGHEYSALEDYENSLKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHSLKRNEEALEMMEKAIAADKKNPLPKYQKALILLGLQKYTEALEELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKSAMEKVHLPDELMDDDL >PVH63254 pep chromosome:PHallii_v3.1:3:63527084:63527421:-1 gene:PAHAL_3G505700 transcript:PVH63254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIISGAVQTRRSIKGASIEIRAAQSTSSLAVGSPTGLLHFLEVTRHWHQANKHTDVACYSWLACRTHGR >PAN15973 pep chromosome:PHallii_v3.1:3:1277785:1283313:-1 gene:PAHAL_3G023200 transcript:PAN15973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTKKMSQLPAPASRLWEASIRKLQTIRRVGTVVPAPGTVDGADAATPCLLSLSSSASNTIYQYQEDGEESDTSNEGGNDSDAGEDDDAALGEPAHAEQLLPSGDFYQGSLRGDLPHGAGKFLWTDGSMYEGSWRRGRASGRGKFSWTSGATYEGDFAGGYMHGNGTYIGEFGDTFAGLWASNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRQGHEYIGTWKAGDMHGCGTVIWADGDRYDGAWEDAKPKGQGTFRWADGGMYIGTWCEESGAVHADGVYYPPSGGPAVPVPREPCEPITALLQELEGCEGKKASLMPLHKILTWPGVEAVQKKPVWRPPKVSADHGRMSSVSRRMSSASLDMDSLQAEATAAESEETRTDRSCLRTSSCMRTPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDEALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPSYYKHVRAFEHTLLTKFFGLHCVKLTGAIQKKVRFVIMGNLFCSNYAIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLEGSWFQEFCRQVDKDCELLEQERIMDYSLLVGIHFKDRCKDSTNADNGPPHTAAEDSEENRNTSLKLGICMPSRVENIVKNPESESLLIGELTGEFQDVFLFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIFRAFTEEVQ >PAN15972 pep chromosome:PHallii_v3.1:3:1278657:1283039:-1 gene:PAHAL_3G023200 transcript:PAN15972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTKKMSQLPAPASRLWEASIRKLQTIRRVGTVVPAPGTVDGADAATPCLLSLSSSASNTIYQYQEDGEESDTSNEGGNDSDAGEDDDAALGEPAHAEQLLPSGDFYQGSLRGDLPHGAGKFLWTDGSMYEGSWRRGRASGRGKFSWTSGATYEGDFAGGYMHGNGTYIGEFGDTFAGLWASNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRQGHEYIGTWKAGDMHGCGTVIWADGDRYDGAWEDAKPKGQGTFRWADGGMYIGTWCEESGAVHADGVYYPPSGGPAVPVPREPCEPITALLQELEGCEGKKASLMPLHKILTWPGVEAVQKKPVWRPPKVSADHGRMSSVSRRMSSASLDMDSLQAEATAAESEETRTDRSCLRTSSCMRTPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDEALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPSYYKHVRAFEHTLLTKFFGLHCVKLTGAIQKKVRFVIMGNLFCSNYAIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLEGSWFQEFCRQVDKDCELLEQERIMDYSLLVGIHFKDRCKDVGTFEVIRLKNLFAGYRQHQC >PVH61613 pep chromosome:PHallii_v3.1:3:5125422:5126156:1 gene:PAHAL_3G077400 transcript:PVH61613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPAERRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKITQELEEQRARAATAEYFLAALQTQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRAEEESP >PAN16257 pep chromosome:PHallii_v3.1:3:3095009:3097935:-1 gene:PAHAL_3G048600 transcript:PAN16257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRPLSFTRCAPSPLGCVGLGGGRRRTVLVALRRDAAGRELLTWALVKAAAAGDRVVAIHVAVTHAAAAPGEMAAEEKVRASDSLASVLGAYRGYCDRNQIDLELRVCEGPSIKRALVAEATSSGAAHLILGVTKSSRPSGSSATAVARYCAKRVPPSCMVTAVSNGAVVYRRDAVQQQLLSPYSAMVETPRRLYRKILDARMTTGDKSQDDMVIGDGRALRRNMSVAMSALVSPRVTLAPGPVRCHGVESPKLAAGWPLLKKDSMPTLPESSEISVVQWAMQLPTRCSEVYSDKISDEGEEEKQLPEELVSLREKYSSKYRMFSYRELAKITNGFSPERLVGKGGAGRVYRGCTDDGKELAVKVLKSSDDVTKDFISEIEILSCVEHKNAMSLIGLCIDGGKLMLVYDYMPRGSLEEMLHGEKKGKGALGWPERFKVAIGVARALESIHGGGDHRPVIHRDIKSSNILVSDDFEPKLCDFGLAMWADEAAAQVTGDDVAGTFGYLAPEYFMHGKMSDKLDVYAFGVVLLELISGRKPVSAGGPKGKESLVMWASSVIQEGKLMDLVDPSLPLADGEVERTALAAALCIRRAHQHRPSMSNVVKLLTGDDDAVGWAKSQVGVPGDNDHNGCGVATSPDKKDIQSYINLVLRDVIDDDASSVGSADFIGANMSLEEYLKGRWSRSSSFDG >PAN19540 pep chromosome:PHallii_v3.1:3:18865778:18868967:1 gene:PAHAL_3G281100 transcript:PAN19540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPAADRNADGDEQGRRKKKGGFRTMPLILASEVCDRFAMAGFSANLITYLTQQLHLPLVEASNTLTNFGGTSALTPILGALAADSFAGRFWTIIAGSAIYQVGMVGLVVSALLPTLRPPPCSPPATPCQRASGWQLAVLYLSLLCTSLGSGGIRPSVVAFGADQFEHEQQDGAEAAKAAAERKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIGMLVSIVVFLVGYPIYVLLKPDGSPFTRLAQVVAAAFKKRDVAVPEDPGMLYQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDIAASGQPNLWRLSTVHRVEELKSIVRLLPIWSAGIMLATAGSHNYTFTIMQARTMDRHMGPHFQFPPATLSIFSTAAMLVTLAFYDRVFVPLARRVTGLPSGITYFQRMGVGLAISILSVASAALVETKRRDAAARHGLLDDPAAVVPLSVFWLVPQFAVHGIGDGFSSVAHMEFLYDQAPESMRSSAVALFWLAGSIGNYMGTVLVTAVQRATRGRGEWLQDNINRGRIDNYYWLVTCIMVLNFGYFLVCFHFYTMKPLEVAGEHDDRDKECELSSLQKNGGGGANGMV >PVH62011 pep chromosome:PHallii_v3.1:3:11884616:11885264:1 gene:PAHAL_3G181200 transcript:PVH62011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHLWSAGAGRGVRHQHAGCTRLRYRPWPDHVSACNLSRLLVLLYSSTSACRDDRCPSASGEIQSAGTRSRRIQQTCRP >PAN16285 pep chromosome:PHallii_v3.1:3:3216418:3219241:-1 gene:PAHAL_3G050900 transcript:PAN16285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLQTCCLLVTSHFTHVGSQILVSLALKMEVSPGPFSLVAEEDSEELRKDGAEEILEEITDLVNDTIFDTGSYNISFTKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLSSISNPNGSSSGHQVGALFSATIGCGAEVESLDGSPPQKISICSIDNPVNASFFESYESAHSMHDLTGSIAEKLGVLAPPVRIDSQAKYGALARGDGAIYLRFPHKGYKEKIWDHAAGSIVVTEAGGIVTDAAGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQDAIKEKNQAASLL >PAN16286 pep chromosome:PHallii_v3.1:3:3216418:3221302:-1 gene:PAHAL_3G050900 transcript:PAN16286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAARLGLVLPHAALLGGSAAASTRALNPSRARLPYPTPPPFPTCCRPSSSSTYAARPRSSPRCGSPRLLAVRAMASGNPYAAELAAAKKAVTLAAHLCQAVQQDIVHSGIQSKADKSPVTVADYGSQILVSLALKMEVSPGPFSLVAEEDSEELRKDGAEEILEEITDLVNDTIFDTGSYNISFTKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLSSISNPNGSSSGHQVGALFSATIGCGAEVESLDGSPPQKISICSIDNPVNASFFESYESAHSMHDLTGSIAEKLGVLAPPVRIDSQAKYGALARGDGAIYLRFPHKGYKEKIWDHAAGSIVVTEAGGIVTDAAGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQDAIKEKNQAASLL >PAN18000 pep chromosome:PHallii_v3.1:3:11339976:11342472:1 gene:PAHAL_3G171200 transcript:PAN18000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARTEQAPPLQRQTTTTTTAAAASGMPDFKQSVKLKYVKLGYHHLITHGAYLLLVPLPGLVAAHLSTFTPRDLADLWQSLQYNLVSVVVCSTLLVIAATAYVLTRPQPVYLVDFACYKPEEERKCSRARFMNCTESLGSFTPANIEFQRKIIERSGLGDDTYLPEAVLNIPPNPSMANARKEAEMVMFGALDELFAKTGVRPKDIGILVVNCSLFNPTPSLSAMVINHYKLRGNIVSYNLGGMGCSAGLISIDLAKDLLQSHPNTYAVVISMESITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRGSERRRSKYQLVHTVRTHKGADDRCFGCVTQREDEDGKVGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVARRVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLSDWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRSVNPAKEKGLRNPWMEEIDRYPVPVPKVSAI >PVH61982 pep chromosome:PHallii_v3.1:3:11339977:11342472:1 gene:PAHAL_3G171200 transcript:PVH61982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARTEQAPPLQRQTTTTTTAAAASGMPDFKQSVKLKYVKLGYHHLITHGAYLLLVPLPGLVAAHLSTFTPRDLADLWQSLQYNLVSVVVCSTLLVIAATAYVLTRPQPVYLVDFACYKPEEERKCSRARFMNCTESLGSFTPANIEFQRKIIERSGLGDDTYLPEAVLNIPPNPSMANARKEAEMVMFGALDELFAKTGVRPKDIGILVVNCSLFNPTPSLSAMVINHYKLRGNIVSYNLGGMGCSAGLISIDLAKDLLQSHPNTYAVVISMESITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRGSERRRSKYQLVHTVRTHKGADDRCFGCVTQREDEDGKVGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVARRVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLSDWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRSVNPAKEKGLRNPWMEEIDRYPVPVPKVSAI >PAN16502 pep chromosome:PHallii_v3.1:3:4114449:4119214:-1 gene:PAHAL_3G064600 transcript:PAN16502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFAQLSEREEWSGLEPGRKYFFTRNHSTIVAFAIGGKYVAGNGFHVIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTIAGRVIIREKTDGGVSYAHKLVRVQEPILRIPTLAIHLDRTISSEGLKINNQSHLVPVLATSIKNEMQKIVGENGPKESLENKNTKHHPLLLQLIAKEANCEPDEICDFELQLCDTQPSAVAGAMKEFIFSGRLDNLCMSFCSLKALIDSTSAEQSLDHESGVRMVALFDHEEVGSDSAQGAGSPAMLDTLSRITGSFNSSNSKLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFRDIAERHQLPVQIQCTRF >PAN16501 pep chromosome:PHallii_v3.1:3:4113694:4119298:-1 gene:PAHAL_3G064600 transcript:PAN16501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFAQLSEREEWSGLEPGRKYFFTRNHSTIVAFAIGGKYVAGNGFHVIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTIAGRVIIREKTDGGVSYAHKLVRVQEPILRIPTLAIHLDRTISSEGLKINNQSHLVPVLATSIKNEMQKIVGENGPKESLENKNTKHHPLLLQLIAKEANCEPDEICDFELQLCDTQPSAVAGAMKEFIFSGRLDNLCMSFCSLKALIDSTSAEQSLDHESGVRMVALFDHEEVGSDSAQGAGSPAMLDTLSRITGSFNSSNSKLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFRDIAERHQLPVQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCATDDINHAYEHFKAYFEEFTELNSKVKVDY >PAN18984 pep chromosome:PHallii_v3.1:3:15584471:15584941:1 gene:PAHAL_3G240300 transcript:PAN18984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKPGGGACVAEDAMSRFSLDASAGGRHSTLLDEYERLVFEAQLNRAIVLRRCYSEPSPVRVVQPQHKPDGGDGARTPPASDQGQGGEARRRDAGGGRFWRLHETLPRWLEALRPVLRWLRSAWERRRRKEPTADAARRPPPTVPRVQLLDYLR >PAN16554 pep chromosome:PHallii_v3.1:3:4374227:4382265:1 gene:PAHAL_3G068500 transcript:PAN16554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASPAASPPRRRHSHRDDDSPRHRKRRVSPSPPRSPSPGADADRRRRSRGSPPEPDRRRRGGDAEPSEEKENGHAKPGRNEEGDDRPPRRSRVSDGEEDGDRRRRRARVSDDEKEDGRRRRRARDSDEERDDRRGKRNRERERDSRRSRRRSPSSESGSSPDDRRRRRHRRDEGSRRRDDRRRRDDDRGERRRSPEKREPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMLREVEDKSSPEYQRLTWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDDLDPETNLNVFRANPNFVEDEKAYENLKRSILGAESSEDEEGSDAASDDEDEEESDEEEDEEQMEIRDRTETNLVNLRRTIYLTIMSSVDFEEAGHKLMKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQGSFESIFPKDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRLIMQQQKPESSESESSGSESGSESSSSGSSSESESESSSDDGDRRRSKKRRKRT >PAN17821 pep chromosome:PHallii_v3.1:3:10110301:10113980:-1 gene:PAHAL_3G157100 transcript:PAN17821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGADAEQAQKRAAAAAYDYEGDARWSDYWSNVLVPPNLASRPDVIDHLKRKFYQRYIDRDLVVEPMSSAGSTQQSRPDVRSSSSPSNENLRARNSGSTSRSAPPRPPPTQTDSAVNPLRFDARTIHFSINAWVLVVAGLGMLPILPKHLADRACKLSLLGTILSSGYSLYSTYGKPRAWNMPAIQAWLQSVLATKDFIHLMFSFMLFTSQLHLKIAALPVLCWALDHVARFLRRNFARSSFYRRYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWRRSIIQTFMYWQVLKLMYHAPVTSSYHQSAWAKIGRIVNPYIHRYCPFLQTPISAIQRWWFRQ >PVH62345 pep chromosome:PHallii_v3.1:3:17917113:17925797:1 gene:PAHAL_3G268900 transcript:PVH62345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAVSSDAESAGELLLRAAALVPWTRYALAALALAAALLYRFLELHFLGDLLRGLRGGRVALTFHPESQVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVRDGGTIALDWLLASDLEDADSCDESISKDDLTPLLVVIPGLTSDSSAAYVKHLVFSMASKGWNVVVSNHRGLGGISITSDCFYNAGWTEDMREVVNFLHQEYPEAPLFTVGTSIGANIVVKYLGEEGESTPVVGAASICSPWDLLVTNRFISRKLVQRCYDRALAIGLKGYAKLHQPVLARLANWEAITSSSSIREFDRHATCVVAKYETVDTFYRKCSSANYIGNVSVPLLCISALDDPLCTREAIPWDECRANKNVVLATTPNGGHLAFFEGLTARRLWWVRPVSEFLCALHDSSYMHRQKAQEHGLHSPLESSIDKSPYVNFMEDGMVAAVTNDGPDNNDSLHNQIVGEIELSDGMVAIQQNECTGEIQNESYSGMGDKKNSEENVTSVQGHEGNHRQREEPYANNIGDAIAPVRRSINQLTRSQGKSVWLLAYIAVVTSWPLLGTLGFFLFRKRFSNSLLAKKLKKL >PAN19368 pep chromosome:PHallii_v3.1:3:17917113:17925796:1 gene:PAHAL_3G268900 transcript:PAN19368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILAMNPLYVKHLVFSMASKGWNVVVSNHRGLGGISITSDCFYNAGWTEDMREVVNFLHQEYPEAPLFTVGTSIGANIVVKYLGEEGESTPVVGAASICSPWDLLVTNRFISRKLVQRCYDRALAIGLKGYAKLHQPVLARLANWEAITSSSSIREFDRHATCVVAKYETVDTFYRKCSSANYIGNVSVPLLCISALDDPLCTREAIPWDECRANKNVVLATTPNGGHLAFFEGLTARRLWWVRPVSEFLCALHDSSYMHRQKAQEHGLHSPLESSIDKSPYVNFMEDGMVAAVTNDGPDNNDSLHNQIVGEIELSDGMVAIQQNECTGEIQNESYSGMGDKKNSEENVTSVQGHEGNHRQREEPYANNIGDAIAPVRRSINQLTRSQGKSVWLLAYIAVVTSWPLLGTLGFFLFRKRFSNSLLAKKLKKL >PAN19369 pep chromosome:PHallii_v3.1:3:17917113:17925796:1 gene:PAHAL_3G268900 transcript:PAN19369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAVSSDAESAGELLLRAAALVPWTRYALAALALAAALLYRFLELHFLGDLLRGLRGGRVALTFHPESQVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVRDGGTIALDWLLASDLEAADADSCDESISKDDLTPLLVVIPGLTSDSSAAYVKHLVFSMASKGWNVVVSNHRGLGGISITSDCFYNAGWTEDMREVVNFLHQEYPEAPLFTVGTSIGANIVVKYLGEEGESTPVVGAASICSPWDLLVTNRFISRKLVQRCYDRALAIGLKGYAKLHQPVLARLANWEAITSSSSIREFDRHATCVVAKYETVDTFYRKCSSANYIGNVSVPLLCISALDDPLCTREAIPWDECRANKNVVLATTPNGGHLAFFEGLTARRLWWVRPVSEFLCALHDSSYMHRQKAQEHGLHSPLESSIDKSPYVNFMEDGMVAAVTNDGPDNNDSLHNQIVGEIELSDGMVAIQQNECTGEIQNESYSGMGDKKNSEENVTSVQGHEGNHRQREEPYANNIGDAIAPVRRSINQLTRSQGKSVWLLAYIAVVTSWPLLGTLGFFLFRKRFSNSLLAKKLKKL >PVH61926 pep chromosome:PHallii_v3.1:3:10246443:10248846:1 gene:PAHAL_3G159500 transcript:PVH61926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAPSLCLLAAVLLLLSPAGAQETCSGAAPAPPRRGAWMSVASFGAIGDGRTLNTAAFARAVARIERRRGARGGTLLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLVDPLPSYGRGRELPGGRYTSLIHGNGLQDVFITGENGTIDGQGSVWWDMWKKRTLPFTRPHLLELMYSTDVIVSNVVFQDSPFWNIHPVYCSNVVIANVTVLAPHDSPNTDGIDLDSSNNVCIEDSYISAGDDLISIKSGWDEYGIAFARPSSDITIRRITGSGPFAGFAVGSETSGGVENVFVEHLNFFSMGVGIHIKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDTSYNPNVLPVVDSVTIKNVWGQNIRQAGLIRGIRSSVFSRICLSNVKLYGSASIGPWKCRDVSGGALDVQPSPCTELASTSETGFCTT >PAN18058 pep chromosome:PHallii_v3.1:3:11557549:11558382:1 gene:PAHAL_3G175100 transcript:PAN18058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGQHALLLLSAVVASLVPGSTAGVYHIVGAGKGWRVPPNKTYYDDWAHTRHISIGDKLMFLYRSGVHNIVEVPTRALFDACSMRNITSRYQNGPTIIELTQPGQRFYFCGVGEHCEVGQKLAINVVLVAPPPPDTPNSAAAATARLARRAGLAAACLVAALLMAV >PAN20619 pep chromosome:PHallii_v3.1:3:28208392:28219463:-1 gene:PAHAL_3G336900 transcript:PAN20619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRVDKATNELLLGPDWTLNIDICDAVNSDHGQAKEVIKALKKRLQHKNANVQFLALTLLETLIKNCGDHVHVQVIERNILEEMMKIVKKKADMQVRDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDAAPIFTPPVTHPASLPSYLQAGYGMLVNSSSRLDVAMSSNGASLSMLDLERMLGAVELLSEMLRAVDPNDHDAVNDEIITELVNQCRSDQKKVLSLVSSLRDEELLGQALDLNDKLQILLEKHDAMVSGSPLPAEVTDVVSELSVGTTPNLGQEVAPTAAVAPKIVSTNVLNYEEEDEDDEFSLLARRNSRFRPTNSENASSSLGTSSSTIHEGIPSSEASVPSTTSSSIPSNALSPPDPPAPVRTSLEDQVMSDLLALTISSNSSPPYTPVTPEPALNQGGSTVSGYPQPYHVNQGHASAHYVAPWAQPQSQTATIQQQTSSPSHLPYNSLAYPPPPWASHDSIESNPFVVASSQHQSSSNSPINVPPNLRPLQQSHSFAVPLRTASLDSPINRNLKQPLSAGARRPSYVSSNKFFDDLFDRNSDGSLKLGSTVGSSTSSPYKAQ >PVH62610 pep chromosome:PHallii_v3.1:3:28208106:28212260:-1 gene:PAHAL_3G336900 transcript:PVH62610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDAAPIFTPPVTHPASLPSYLQAGYGMLVNSSSRLDVAMSSNGASLSMLDLERMLGAVELLSEMLRAVDPNDHDAVNDEIITELVNQCRSDQKKVLSLVSSLRDEELLGQALDLNDKLQILLEKHDAMVSGSPLPAEVTDVVSELSVGTTPNLGQEVAPTAAVAPKIVSTNVLNYEEEDEDDEFSLLARRNSRFRPTNSENASSSLGTSSSTIHEGIPSSEASVPSTTSSSIPSNALSPPDPPAPVRTSLEDQVMSDLLALTISSNSSPPYTPVTPEPALNQGGSTVSGYPQPYHVNQGHASAHYVAPWAQPQSQTATIQQQTSSPSHLPYNSLAYPPPPWASHDSIESNPFVVASSQHQSSSNSPINVPPNLRPLQQSHSFAVPLRTASLDSPINRNLKQPLSAGARRPSYVSSNKFFDDLFDRNSDGSLKLGSTVGSSTSSPYKAQ >PAN20617 pep chromosome:PHallii_v3.1:3:28206886:28212414:-1 gene:PAHAL_3G336900 transcript:PAN20617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIVKKKADMQVRDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDAAPIFTPPVTHPASLPSYLQAGYGMLVNSSSRLDVAMSSNGASLSMLDLERMLGAVELLSEMLRAVDPNDHDAVNDEIITELVNQCRSDQKKVLSLVSSLRDEELLGQALDLNDKLQILLEKHDAMVSGSPLPAEVTDVVSELSVGTTPNLGQEVAPTAAVAPKIVSTNVLNYEEEDEDDEFSLLARRNSRFRPTNSENASSSLGTSSSTIHEGIPSSEASVPSTTSSSIPSNALSPPDPPAPVRTSLEDQVMSDLLALTISSNSSPPYTPVTPEPALNQGGSTVSGYPQPYHVNQGHASAHYVAPWAQPQSQTATIQQQTSSPSHLPYNSLAYPPPPWASHDSIESNPFVVASSQHQSSSNSPINVPPNLRPLQQSHSFAVPLRTASLDSPINRNLKQPLSAGARRPSYVSSNKFFDDLFDRNSDGSLKLGSTVGSSTSSPYKAQ >PAN20620 pep chromosome:PHallii_v3.1:3:28206885:28212414:-1 gene:PAHAL_3G336900 transcript:PAN20620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIVKKKADMQVRDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDAAPIFTPPVTHPASLPSYLQAGYGMLVNSSSRLDVAMSSNGASLSMLDLERMLGAVELLSEMLRAVDPNDHDAVNDEIITELVNQCRSDQKKVLSLVSSLRDEELLGQALDLNDKLQILLEKHDAMVSGSPLPAEVTDVVSELSVGTTPNLGQEVAPTAAVAPKIVSTNVLNYEEEDEDDEFSLLARRNSRFRPTNSENASSSLGTSSSTIHEGIPSSEASVPSTTSSSIPSNALSPPDPPAPVRTSLEDQVMSDLLALTISSNSSPPYTPVTPEPALNQGGSTVSGYPQPYHVNQGHASAHYVAPWAQPQSQTATIQQQTSSPSHLPYNSLAYPPPPWASHDSIESNPFVVASSQHQSSSNSPINVPPNLRPLQQSHSFAVPLRTASLDSPINRNLKQPLSAGARRPSYVSSNKFFDDLFDRNSDGSLKLGSTVGSSTSSPYKAQ >PVH62611 pep chromosome:PHallii_v3.1:3:28206886:28212260:-1 gene:PAHAL_3G336900 transcript:PVH62611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDAAPIFTPPVTHPASLPSYLQAGYGMLVNSSSRLDVAMSSNGASLSMLDLERMLGAVELLSEMLRAVDPNDHDAVNDEIITELVNQCRSDQKKVLSLVSSLRDEELLGQALDLNDKLQILLEKHDAMVSGSPLPAEVTDVVSELSVGTTPNLGQEVAPTAAVAPKIVSTNVLNYEEEDEDDEFSLLARRNSRFRPTNSENASSSLGTSSSTIHEGIPSSEASVPSTTSSSIPSNALSPPDPPAPVRTSLEDQVMSDLLALTISSNSSPPYTPVTPEPALNQGGSTVSGYPQPYHVNQGHASAHYVAPWAQPQSQTATIQQQTSSPSHLPYNSLAYPPPPWASHDSIESNPFVVASSQHQSSSNSPINVPPNLRPLQQSHSFAVPLRTASLDSPINRNLKQPLSAGARRPSYVSSNKFFDDLFDRNSDGSLKLGSTVGSSTSSPYKAQ >PAN20618 pep chromosome:PHallii_v3.1:3:28206886:28219463:-1 gene:PAHAL_3G336900 transcript:PAN20618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRVDKATNELLLGPDWTLNIDICDAVNSDHGQAKEVIKALKKRLQHKNANVQFLALTLLETLIKNCGDHVHVQVIERNILEEMMKIVKKKADMQVRDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDAAPIFTPPVTHPASLPSYLQAGYGMLVNSSSRLDVAMSSNGASLSMLDLERMLGAVELLSEMLRAVDPNDHDAVNDEIITELVNQCRSDQKKVLSLVSSLRDEELLGQALDLNDKLQILLEKHDAMVSGSPLPAEVTDVVSELSVGTTPNLGQEVAPTAAVAPKIVSTNVLNYEEEDEDDEFSLLARRNSRFRPTNSENASSSLGTSSSTIHEGIPSSEASVPSTTSSSIPSNALSPPDPPAPVRTSLEDQVMSDLLALTISSNSSPPYTPVTPEPALNQGGSTVSGYPQPYHVNQGHASAHYVAPWAQPQSQTATIQQQTSSPSHLPYNSLAYPPPPWASHDSIESNPFVVASSQHQSSSNSPINVPPNLRPLQQSHSFAVPLRTASLDSPINRNLKQPLSAGARRPSYVSSNKFFDDLFDRNSDGSLKLGSTVGSSTSSPYKAQ >PVH61518 pep chromosome:PHallii_v3.1:3:3295213:3296427:1 gene:PAHAL_3G052300 transcript:PVH61518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILCIWPAIWMPSGRRPLAGTRGPSRCRRRPLVPPPSCFLRSAPSRSSGSPGAPALPLPRPPAYGPSSLAPTGSAIARTAPTTDAGRKRAPQSMVQRGGNWLQKGNSEFGCISRKSMDLNRLGSFRFLSVQLAARNAISNHPAASIIHGITALRVQFNQAAISISATAASPSNLKF >PVH63292 pep chromosome:PHallii_v3.1:3:64288886:64291792:-1 gene:PAHAL_3G515400 transcript:PVH63292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGGTGTSASAMEWAMSELMTNPKVMSPGYNYGLASMELTLAQLLHSFDWSLPDGMDQVDMSEAPGLGVRRKTPLLLCATPYYAFAAASSSSSSSS >PVH62657 pep chromosome:PHallii_v3.1:3:36586956:36587690:-1 gene:PAHAL_3G359900 transcript:PVH62657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIAWIRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRAEEESP >PAN22120 pep chromosome:PHallii_v3.1:3:64296474:64297403:1 gene:PAHAL_3G515700 transcript:PAN22120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVARHHLEQQPTGATSMMARVERLDLVLGYLEEMMQQQHRRTSRSTTTATSSPSTPAATGGGLMMMSDDSSAASTPRGSKSWRRASWCRPAKEALEEAQAKGTLVDRIAFLEDRVLKMEEDMDIITPEKMMTMSGCGHDATSRDHRSSKKKGLKSFVKSCVRGKLKTKD >PAN19441 pep chromosome:PHallii_v3.1:3:18285734:18290154:1 gene:PAHAL_3G273900 transcript:PAN19441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAQLVGRWVESYTGMSADNIKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIMLREKLHIFGVLGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLLYAAIVLAAAFVLIFRFAPQYGQTHIMVYIGICSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFLFVVVSCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPVRLPKHADEDGYRTEGIPLRSAADGIPLRSPRATDSFRSS >PAN17095 pep chromosome:PHallii_v3.1:3:6835998:6841174:-1 gene:PAHAL_3G107100 transcript:PAN17095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRKPRRRDAASLQPAGVFNLGDLVEVLPDEPGLRGAHFAAVVVGPSSKPRGYTIEYDALLESEGSDRKLRETVPARSLRPRPPPLRAPASGEAPAVHAAVDALLDDAWWLGVALGGADGAGKVKVCFPETREVMEFDAADVRPHLEWADGEWCSPDSMEIPRTMPYTKGMQIEVSKVEDDSVVAWLPAVVAKTIWKNNLLVEYTVSKSDGIALSEEIVDVKHVRPCPPQASAISFCINDEVEAFQGGGWWLGVITEVHPELKYTIKPAHLGVEVQMSQKLLRLRYDWVDGQWKQESQNALKPKFTLGVKVEVSSDDEGFRGAWFEATVLKSAGSKFLVEYATLKANDETKPLTEAVDARHIRPSPPCIPVVDGFKLLDEVDAFCNDGWWVGVVSKVISNQKYMVYFRPWKEEMEFEHGQLRFHCDWMGGRWMRASPALEM >PAN17096 pep chromosome:PHallii_v3.1:3:6838812:6841174:-1 gene:PAHAL_3G107100 transcript:PAN17096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRKPRRRDAASLQPAGVFNLGDLVEVLPDEPGLRGAHFAAVVVGPSSKPRGYTIEYDALLESEGSDRKLRETVPARSLRPRPPPLRAPASGEAPAVHAAVDALLDDAWWLGVALGGADGAGKVKVCFPETREVMEFDAADVRPHLEWADGEWCSPDSMEIPRTMPYTKGMQIEVSKVEDDSVVAWLPAVVAKTIWKNNLLVEYTVSKSDGIALSEEIVDVKHVRPCPPQASAISFCINDEVEAFQGGGWWLGVITEVHPELKYTIKPAHLGVEVQMSQKLLRLRYDWVDGQWKQESQMLFVGHIKLEVDVTTLKLLFDL >PAN15953 pep chromosome:PHallii_v3.1:3:1227797:1230705:1 gene:PAHAL_3G022300 transcript:PAN15953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLLIYIAQSGLERGRHARQVKQCRPGSGSSPITNLQQPACVVAQCKFTLLPAASLLLLLLHHHLLLLPLVAMRAEVLLLAALVAAAALLSSLDSRSDVRLLEVGDGDLELVPLDGAAGPESIIFDKSGEGPFTGVSDGRVLRWKPEERRWEEHSCSAPELLDSCRSSQDPGREHECGRPLGLKFNSETGELYVADAYRGLRVVGPEDNVSRPLVPPEWQGSRPFSFANGVEIDYETGAIYFTETSARFRRREFLNIVISGDNTGRLLKYDPKSTGVEVLVDGLAFANGLAMSRDGTYLLLAETTTGKILRYWIKTPKASTLEEVVQLSWFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRWLILKLPMRHVQRASWLLNRLGRQVIALRLNEEGKTIEAISVHGALQKVFRSVSEVEERDGSLWIGSVMSPFLGVYRL >PAN15808 pep chromosome:PHallii_v3.1:3:1226705:1230705:1 gene:PAHAL_3G022300 transcript:PAN15808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSPKPALHRLFVDVPMRTIGLAVLAAALAAFLSLDSRRGRDGDVAVLEIRAGDGGRLELIPVDGGAAGPESVAFDGAGGGPYAGVSDGRVLRWLPTERRWIERSSSCAPELLDSCRSSQDPGREHECGRPLGLKFNSETGELYVADAYRGLRVVGPEDNVSRPLVPPEWQGSRPFSFANGVEIDYETGAIYFTETSARFRRREFLNIVISGDNTGRLLKYDPKSTGVEVLVDGLAFANGLAMSRDGTYLLLAETTTGKILRYWIKTPKASTLEEVVQLSWFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRWLILKLPMRHVQRASWLLNRLGRQVIALRLNEEGKTIEAISVHGALQKVFRSVSEVEERDGSLWIGSVMSPFLGVYRL >PVH61572 pep chromosome:PHallii_v3.1:3:4147255:4148637:-1 gene:PAHAL_3G065000 transcript:PVH61572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFWSQFSSQGQFNGEVNYTPTIDLTAMNGTPPDVSVNVEQQDAQSGEAASMAATGGKKKGIVSRSKNFAQDEDEALCSAYLNVSKDAAVGVNQTYKSYWTRISDYYNEVSRNPTVRSLSSLQHRWGDIQKDTARFCGFYSEIVRRNQSGQSEDDKVKDALQMYAGIAGSPFKLIHCWLILRHSAKWNDWLAKEWNKGTKNKASVHDVTQDSPGASGQEGTGETRQKRLRTSPAGSSSSSSAYIDVLQKIHEDRSKYDARVEAATIEEAQAIATRAERKLALQEKHVSIQEKQLEIATELLNLQKEDREDKVMSLDVEKMSPWVRDYYIRKQKEIAARAASGAGSSDLS >PAN19132 pep chromosome:PHallii_v3.1:3:16340258:16344509:-1 gene:PAHAL_3G251700 transcript:PAN19132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELPCCHGFGLIKCKGIRGGDCVCHQFHLIPFAPPPVFKFEPPPGPRPQRSPSSPIPHRSSRRTTPTPRLRIPNSSSSRLAARATLAVILSPMAAAATSARSAAPSSQPVRVVLRVRPFLPSEATSAAAPCVSLLGSHPGGEVTVQLKDQHTSRSEHYKLDAFFGQEDSISQIFDREVSAVIQGIFEGVNATVFAYGATGSGKTYTMQGTEDFPGLIPLAASTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAKEIMALDDKDGNMQLKGLSWVPVRSMEEFQELYSIGVQRRKVAHTGLNDVSSRSHAVLSLRVSADVVKGRINLIDLAGSEDNRRTFNEGIRLQESAKINQSLFTLSNVISALNKNELRIPYRESKLTRILQDSLGGSSRAVMIACLNPAEYQESVNTVSLAARSRHIGNFTSSASKQETPKVKVDMEAKLRAWLESKGKTKSIQRMDGLFSPIASKTPFSVSHMKQPASSRISCRSKAMDQDGGKIKKILFDPEVHVPTEKIPREHMQNEVNTPKKAVLPSVTQCKEKHEASLRKALSPISSNVVPTNQQISDNVNRAILLEPQTPKETSKIEKIPGATLLDKFNALGSNLKEALVQQYLDFLNVANKEELQQLKGIGVRRAEYILELREESPTPFKTLADLENIGLSSKQIQDILRKTASGIFK >PAN19131 pep chromosome:PHallii_v3.1:3:16339802:16344544:-1 gene:PAHAL_3G251700 transcript:PAN19131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELPCCHGFGLIKCKGIRGGDCVCHQFHLIPFAPPPVFKFEPPPGPRPQRSPSSPIPHRSSRRTTPTPRLRIPNSSSSRLAARATLAVILSPMAAAATSARSAAPSSQPVRVVLRVRPFLPSEATSAAAPCVSLLGSHPGGEVTVQLKDQHTSRSEHYKLDAFFGQEDSISQIFDREVSAVIQGIFEGVNATVFAYGATGSGKTYTMQGTEDFPGLIPLAASTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAKEIMALDDKDGNMQLKGLSWVPVRSMEEFQELYSIGVQRRKVAHTGLNDVSSRSHAVLSLRVSADVVKGRINLIDLAGSEDNRRTFNEGIRLQESAKINQSLFTLSNVISALNKNELRIPYRESKLTRILQDSLGGSSRAVMIACLNPAEYQESVNTVSLAARSRHIGNFTSSASKQETPKVKVDMEAKLRAWLESKGKTKSIQRMDGLFSPIASKTPFSVSHMKQPASSRISCRSKAMDQDGGKIKKILFDPEVHVPTEKIPREHMQNEVNTPKKVLPSVTQCKEKHEASLRKALSPISSNVVPTNQQISDNVNRAILLEPQTPKETSKIEKIPGATLLDKFNALGSNLKEALVQQYLDFLNVANKEELQQLKGIGVRRAEYILELREESPTPFKTLADLENIGLSSKQIQDILRKTASGIFK >PAN20728 pep chromosome:PHallii_v3.1:3:52267665:52269315:1 gene:PAHAL_3G407100 transcript:PAN20728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKARRRHVPAFGEWNYYYYSSSPEEPQLPYSGAPAAAGDSAWWYAAAEPEACSDAWFRYSPPPRRPPAPKKARRPVTAPAPAEQKLPACCNYSNGAGNGGVPATEARARASRAVAAPAKGAARRVVRPVDADLYRVPPPDDTAVSSRPRRKRAARSLWMGCLGGFNCVA >PAN21797 pep chromosome:PHallii_v3.1:3:62058980:62065930:-1 gene:PAHAL_3G488900 transcript:PAN21797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVAGPIAGRLAALVTDETALLWGFKDDVDSMRKTMEKLQALKQDADRRESLNEGERRETVRVWIKNFKSAAYDVEDLLDELDAIKLMKQNQPKIKVFFSFYNPLLVRLTVVHKMKKLNRHLGDIEEEGHRLDLVPRDIPIWTAGGTTQDTISSTNGYRSIIEMVGRDTEKQHILELLLNSDAEEDISIIPIVGLGGMGKTTLAQAKFDLRRIRDKIILEQNRSISTGTSERYAAPTDDDLQTILEQMQSILPTKRYLIILDDLWEEGVDNLNNLKQMLQYGARGSKIILTARRQQVVENLKLDDVVERRTIFPVHRSEQIILDSLSVEDCWNVMRQTALHNNDVGGLENIGRKIAERCGGLPLLARSLGFLMFHEVSTLAWEEIRDREIILDMEEDKKTFQSLMLSYYYMSLEFKLCFTYCAVFPKGFIISSDHLIQQWRALGYIPESTKGRRCINYLLGMSFLQISSAPEHASLPDKLTMHDLMHDLARIILEKKLLVLDISEKMAWNGLEKHYCQHMRLINYQKQGCSNKIEGEPAPTNISLPSTVHRLLLLRYLDVSSLPITALPKSFHKLQNMQTLIMSNCTLETLPDNIGCLLKLCHLDLSSNYSLNKLPMSFGRLCALSFLSLSKCSELKELPESIRKLQSLRHLDMSGYCALQKLPDDFGVLPKLLLLNMSGCSKLVKLPEKLNLMSLEHLNLSSCHELQNLPQDFGNNLPKLEFLHLCDCHKVQVLPEPNLSDCHDLKELPECFGNLSDLHSLNLSSCSKLPSLPESFGDLSKLKHLNLSYCVRFEKLPSSFCNLKLQTLYMSALQSLRDLPYGIGNMTSLTWFEATTGNPDIDLLSVPVILSHLQLHQRTEHYVHDVHVVDYGMCSGIVNLGKSTCHHLEIRDLHNVQRPGDAESAKLRDNPDLRELILDWGHAKTTENKRDAEVLENLVPPRTVERFGLHGYMSRNFPNWILDISSYLPYLTSIRLMNLTCDSPPPLGRLPNLRLLFMANIPNIRKIGKEFYGEEGTCRNSDEVLPLHGFGWLSSSTLPFRAQIRSRNFSPEKWGRLHHLATVKELLITGDNRLLALSLLEATPCFPSLRYLHLEFPNLEILPEWLGQLTTLEELSISHCHNLRSLPESIRNLTALEDTAHLGQSKSGPRLEDTWPQDFSHPRSHV >PAN21956 pep chromosome:PHallii_v3.1:3:63254239:63260222:1 gene:PAHAL_3G502700 transcript:PAN21956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQIAPAADHVCYVHCNFCNTVLAVSVPGNSMLNIVTVRCGHCTNLLSVNLRALMHSLPEQQDQLQLFDSQENIKAHGINGSHLEFGSSSSKFRLPMMYSPQNEHHLLQEQTLNARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGREGGKKLVDEAVSASPAPKKIQGLY >PVH63238 pep chromosome:PHallii_v3.1:3:63254239:63260222:1 gene:PAHAL_3G502700 transcript:PVH63238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQIAPAADHVCYVHCNFCNTVLAVSVPGNSMLNIVTVRCGHCTNLLSVNLRALMHSLPEQQDQLQENIKAHGINGSHLEFGSSSSKFRLPMMYSPQNEHHLLQEQTLNARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGREGGKKLVDEAVSASPAPKKIQGLY >PVH61495 pep chromosome:PHallii_v3.1:3:3012236:3015067:-1 gene:PAHAL_3G047000 transcript:PVH61495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGDRSPSPPSPAAYLPDELVLEILARLPAKSLRRFKSVSRSWRGLISDPANRSRFAQTLSGFFFHPAALEGPPWRFCGLSSPGAADGGGGLSTVETALSFLPSSRGEMELLDSCNGLLLLRCCSPTPPSSRGQAPGEFRAWHNTTYAALGFDPAVSPHFLVFQLVEQEDPCYGFVEAVDIYSSGTGRWALHRSSVVSVDVKGQSWKVTPAPRGVDDDECGRVAQSQGRLLFVHHDYPGDALAIYVRDDRKEWVLKQREWPGRGKRLVSYDMKHGVMHATCSLEEQVPDKYDRRFSPYVPFYSRVSLLPSPN >PAN17227 pep chromosome:PHallii_v3.1:3:7319245:7326032:1 gene:PAHAL_3G114800 transcript:PAN17227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 16 [Source:Projected from Arabidopsis thaliana (AT5G19010) UniProtKB/Swiss-Prot;Acc:Q8W4J2] MDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKARRYLSSMRRKKPVPFTQKFPNADPLALNLLERMLAFEPKDRPSAEEALADPYFKNIANVDREPSAQAVTKLEFEFERRRVTKDDIRELIYREILEYHPKMLREFLEGTESSGFMYPSAVDHFKKQFAFLEEHYAKGSTGTPPERQHNSLPRPSVVYSDNRQQSTANITEDLSKCLIRENTQKTQQHSASVAANKFPLHVPHGAATRPGKAVGSVLQYNPGTASATEQYDQRRVARHPAIAPNNIPSGSSYPRRNQTCKSETGDAERMDVNQAGQPKPYAANKLPATVDGRGGHW >PAN17226 pep chromosome:PHallii_v3.1:3:7319312:7326033:1 gene:PAHAL_3G114800 transcript:PAN17226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 16 [Source:Projected from Arabidopsis thaliana (AT5G19010) UniProtKB/Swiss-Prot;Acc:Q8W4J2] MDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKARRYLSSMRRKKPVPFTQKFPNADPLALNLLERMLAFEPKDRPSAEEALADPYFKNIANVDREPSAQAVTKLEFEFERRRVTKDDIRELIYREILEYHPKMLREFLEGTESSGFMYPSAVDHFKKQFAFLEEHYAKGSTGTPPERQHNSLPRPSVVYSDNRQQSTANITEDLSKCLIRENTQKTQQHSASVAANKFPLHVPHGAATRPGKAVGSVLQYNPGTASATEQYDQRRVARHPAIAPNNIPSGSSYPRRNQTCKSETGDAERMDVNQAGQPKPYAANKLPATVDGRGGHW >PAN17228 pep chromosome:PHallii_v3.1:3:7319329:7326032:1 gene:PAHAL_3G114800 transcript:PAN17228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 16 [Source:Projected from Arabidopsis thaliana (AT5G19010) UniProtKB/Swiss-Prot;Acc:Q8W4J2] MQHDLKKKAPSEMDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKARRYLSSMRRKKPVPFTQKFPNADPLALNLLERMLAFEPKDRPSAEEALADPYFKNIANVDREPSAQAVTKLEFEFERRRVTKDDIRELIYREILEYHPKMLREFLEGTESSGFMYPSAVDHFKKQFAFLEEHYAKGSTGTPPERQHNSLPRPSVVYSDNRQQSTANITEDLSKCLIRENTQKTQQHSASVAANKFPLHVPHGAATRPGKAVGSVLQYNPGTASATEQYDQRRVARHPAIAPNNIPSGSSYPRRNQTCKSETGDAERMDVNQAGQPKPYAANKLPATVDGRGGHW >PAN20745 pep chromosome:PHallii_v3.1:3:52742620:52744020:1 gene:PAHAL_3G409100 transcript:PAN20745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIKTDLLAWRQITHQHLEPRDLGTAIKQRLGNGVEGHPVCTKLGSTNGEVPYRVSVRGRVNLMRLCEFLKEYRFHFVEVEAFTRGQASSSSVSGRTDSATVHFDVNINYM >PAN17895 pep chromosome:PHallii_v3.1:3:10848635:10850251:-1 gene:PAHAL_3G163800 transcript:PAN17895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKPSKPKASTPSAFADAAKAKAKPKAVDASAGKAENGGLGAAPPGRCRWLRWACCGLAVLGALAAVVMLALSLTVLKVRDPTLSMESVAVRWFSVRFDARAARPLRINVTLAGSIAIRNPNYESMRFGASDTEIFVDGVAGPVGVGRAPPGEVPARGASRVTADLDVFVDRVGSAVVGEVLFGGGEVRLASRTAVDGRVSVLGGLYGRRTVRVAMRCRVALRVSAAVVVAGSPACVADFGR >PAN18194 pep chromosome:PHallii_v3.1:3:12099040:12102335:1 gene:PAHAL_3G185100 transcript:PAN18194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYFSRFQNLGWQLRQYLTELIENLLGYIDWIPQRLEIQFSASHGGSLPGTTGHIHEGAYALSISDTTTEEQTANGISEGTIFRKRFSSIYQRSYGSSSNLLHGFGVVRRLAFRVRDQWSLFSSEVHAKLTRILHRFWTTLQGSREDIGWLQRTQASLCSVDGTGRFKEILREIRNGLHCLPDTLVYLFIPGLFSNHSPLYFTNTKRFFSKMGLACHIAKIHSEASVEKNAWELKQYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPVASDILREGQIADKETRRIMELIVCKLIKGDMRALEDLTYAKRKDFISKHKLPVDELPIISFHTEASTAPTVLATLTRIAQAELLPWLPLPRFFLSASEFVESMLASLKVPVVAPVSAAMAVTALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVYSTLKKGSAEADAGEMCEALLAMLVEIGRNKKFL >PAN19985 pep chromosome:PHallii_v3.1:3:22167069:22176467:1 gene:PAHAL_3G311500 transcript:PAN19985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAPITAVPPRASISSLISFIQHHLRALLADPAALHSARRRCLALLAPRAPRHRRRHDDDPPRTTELKNEDDDEAVLAALHGAIDAFLPPASGDDSATCLAGVEEALQAPALLPEHGETAGLGNRRVAACAYFYLALLRCAQGDAWQMAMDLLQAVAVCPAAVAAAATGEDGGGLAPRALWEGLFDEAVLTRAGGAGEEDAARRVARRYKDWLMYYKVVAAAPDAGGGAENGGCLQLGRSGSSVISRWLNSSEDRTTKSIDHEGMNTASGSRFGSHDGFAELKDFLSIADQDFQEDTKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEESDSEAAPYDKGRSAKIMPIDTDFLSAKLHERSSQNKNLTWCTSPENVMIYAPESPIYHVDDSKTKPNCLQSNRSHGSLNNLSNSVLELKSAGSYSTSNYSAKDSMFPQCSPRCDLRCFSNFSTKFIKKSPLSDLVSRGSMSRKFKTSTTSEDWSDVSSRWGKDGQVDFLERFEKAVSKLLVSDGLDSCLDAGSEVTTIWQLLNTYEVRHRSSVRQDILDQLLDSISTSKKDKVIRASVYVLLLMISEDRNMMRGIKRKDFHLSNLASALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHIACNSDTQKWPAMLPLTPTSASIALIEILVTAFDYITNNVHLASLSSPPILSKLVDVAKNNKLEEGVALAAILIRCGRLNGNCKKFLSQATPVDPFLHLLRRKEHRAKCAALEYFHEMLQIPRSSANSLLQEIRRQGGIAIMHTLMASLHQTEPEHRVLAASLLLQLDIMEKSDGRSVFQDEAMEVLLDSLSSHENTKVQALSASFLSNLGGTYSWSGESYTAAWVAKKAGLTSTSHRNTIRSIDWLDSCLQDTEIITWSSKSARAIIKIGIPFIRALAKGMQSKVKGTSNDCLVCTAWLGSELAALGDIAIRYSACEILLHDIASHLHPGLELDERVLACMCLYNYTSGKGKQMLMSLSEGSRESLRRLSSFTWMAKELLQVTDYFLSSKSRVSCVHTQILEIGQPGNGTATAISFFRGQLFAGYSNGTIRAWDIKGQMAIIIREVKEHKKAVTCFALSETGENLLSGSADKSIRVWEMAQHKLECVEVIQTREGVQKLDICGDKILVLTQNNVLKFSCASRSSQTLYRGKHIKSLAVCQGKAYLGCTDLSIQELDMSVESKIEIRAPDRSWRIRKKSISAIVVYKDWMYCAGAQVEGSALKDWKKRCKPSMTLPIPKGTSVEAMAVVEDFIYLNCSKSPSIIQIWLREKQQKVGRLSAGSKITSLFAANDMIFCGTETGLIKAWIPL >PAN19986 pep chromosome:PHallii_v3.1:3:22169309:22176467:1 gene:PAHAL_3G311500 transcript:PAN19986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCLQLGRSGSSVISRWLNSSEDRTTKSIDHEGMNTASGSRFGSHDGFAELKDFLSIADQDFQEDTKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEESDSEAAPYDKGRSAKIMPIDTDFLSAKLHERSSQNKNLTWCTSPENVMIYAPESPIYHVDDSKTKPNCLQSNRSHGSLNNLSNSVLELKSAGSYSTSNYSAKDSMFPQCSPRCDLRCFSNFSTKFIKKSPLSDLVSRGSMSRKFKTSTTSEDWSDVSSRWGKDGQVDFLERFEKAVSKLLVSDGLDSCLDAGSEVTTIWQLLNTYEVRHRSSVRQDILDQLLDSISTSKKDKVIRASVYVLLLMISEDRNMMRGIKRKDFHLSNLASALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHIACNSDTQKWPAMLPLTPTSASIALIEILVTAFDYITNNVHLASLSSPPILSKLVDVAKNNKLEEGVALAAILIRCGRLNGNCKKFLSQATPVDPFLHLLRRKEHRAKCAALEYFHEMLQIPRSSANSLLQEIRRQGGIAIMHTLMASLHQTEPEHRVLAASLLLQLDIMEKSDGRSVFQDEAMEVLLDSLSSHENTKVQALSASFLSNLGGTYSWSGESYTAAWVAKKAGLTSTSHRNTIRSIDWLDSCLQDTEIITWSSKSARAIIKIGIPFIRALAKGMQSKVKGTSNDCLVCTAWLGSELAALGDIAIRYSACEILLHDIASHLHPGLELDERVLACMCLYNYTSGKGKQMLMSLSEGSRESLRRLSSFTWMAKELLQVTDYFLSSKSRVSCVHTQILEIGQPGNGTATAISFFRGQLFAGYSNGTIRAWDIKGQMAIIIREVKEHKKAVTCFALSETGENLLSGSADKSIRVWEMAQHKLECVEVIQTREGVQKLDICGDKILVLTQNNVLKFSCASRSSQTLYRGKHIKSLAVCQGKAYLGCTDLSIQELDMSVESKIEIRAPDRSWRIRKKSISAIVVYKDWMYCAGAQVEGSALKDWKKRCKPSMTLPIPKGTSVEAMAVVEDFIYLNCSKSPSIIQIWLREKQQKVGRLSAGSKITSLFAANDMIFCGTETGLIKAWIPL >PAN19987 pep chromosome:PHallii_v3.1:3:22170304:22176467:1 gene:PAHAL_3G311500 transcript:PAN19987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDTDFLSAKLHERSSQNKNLTWCTSPENVMIYAPESPIYHVDDSKTKPNCLQSNRSHGSLNNLSNSVLELKSAGSYSTSNYSAKDSMFPQCSPRCDLRCFSNFSTKFIKKSPLSDLVSRGSMSRKFKTSTTSEDWSDVSSRWGKDGQVDFLERFEKAVSKLLVSDGLDSCLDAGSEVTTIWQLLNTYEVRHRSSVRQDILDQLLDSISTSKKDKVIRASVYVLLLMISEDRNMMRGIKRKDFHLSNLASALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHIACNSDTQKWPAMLPLTPTSASIALIEILVTAFDYITNNVHLASLSSPPILSKLVDVAKNNKLEEGVALAAILIRCGRLNGNCKKFLSQATPVDPFLHLLRRKEHRAKCAALEYFHEMLQIPRSSANSLLQEIRRQGGIAIMHTLMASLHQTEPEHRVLAASLLLQLDIMEKSDGRSVFQDEAMEVLLDSLSSHENTKVQALSASFLSNLGGTYSWSGESYTAAWVAKKAGLTSTSHRNTIRSIDWLDSCLQDTEIITWSSKSARAIIKIGIPFIRALAKGMQSKVKGTSNDCLVCTAWLGSELAALGDIAIRYSACEILLHDIASHLHPGLELDERVLACMCLYNYTSGKGKQMLMSLSEGSRESLRRLSSFTWMAKELLQVTDYFLSSKSRVSCVHTQILEIGQPGNGTATAISFFRGQLFAGYSNGTIRAWDIKGQMAIIIREVKEHKKAVTCFALSETGENLLSGSADKSIRVWEMAQHKLECVEVIQTREGVQKLDICGDKILVLTQNNVLKFSCASRSSQTLYRGKHIKSLAVCQGKAYLGCTDLSIQELDMSVESKIEIRAPDRSWRIRKKSISAIVVYKDWMYCAGAQVEGSALKDWKKRCKPSMTLPIPKGTSVEAMAVVEDFIYLNCSKSPSIIQIWLREKQQKVGRLSAGSKITSLFAANDMIFCGTETGLIKAWIPL >PAN19984 pep chromosome:PHallii_v3.1:3:22167069:22176467:1 gene:PAHAL_3G311500 transcript:PAN19984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTASGSRFGSHDGFAELKDFLSIADQDFQEDTKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEESDSEAAPYDKGRSAKIMPIDTDFLSAKLHERSSQNKNLTWCTSPENVMIYAPESPIYHVDDSKTKPNCLQSNRSHGSLNNLSNSVLELKSAGSYSTSNYSAKDSMFPQCSPRCDLRCFSNFSTKFIKKSPLSDLVSRGSMSRKFKTSTTSEDWSDVSSRWGKDGQVDFLERFEKAVSKLLVSDGLDSCLDAGSEVTTIWQLLNTYEVRHRSSVRQDILDQLLDSISTSKKDKVIRASVYVLLLMISEDRNMMRGIKRKDFHLSNLASALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHIACNSDTQKWPAMLPLTPTSASIALIEILVTAFDYITNNVHLASLSSPPILSKLVDVAKNNKLEEGVALAAILIRCGRLNGNCKKFLSQATPVDPFLHLLRRKEHRAKCAALEYFHEMLQIPRSSANSLLQEIRRQGGIAIMHTLMASLHQTEPEHRVLAASLLLQLDIMEKSDGRSVFQDEAMEVLLDSLSSHENTKVQALSASFLSNLGGTYSWSGESYTAAWVAKKAGLTSTSHRNTIRSIDWLDSCLQDTEIITWSSKSARAIIKIGIPFIRALAKGMQSKVKGTSNDCLVCTAWLGSELAALGDIAIRYSACEILLHDIASHLHPGLELDERVLACMCLYNYTSGKGKQMLMSLSEGSRESLRRLSSFTWMAKELLQVTDYFLSSKSRVSCVHTQILEIGQPGNGTATAISFFRGQLFAGYSNGTIRAWDIKGQMAIIIREVKEHKKAVTCFALSETGENLLSGSADKSIRVWEMAQHKLECVEVIQTREGVQKLDICGDKILVLTQNNVLKFSCASRSSQTLYRGKHIKSLAVCQGKAYLGCTDLSIQELDMSVESKIEIRAPDRSWRIRKKSISAIVVYKDWMYCAGAQVEGSALKDWKKRCKPSMTLPIPKGTSVEAMAVVEDFIYLNCSKSPSIIQIWLREKQQKVGRLSAGSKITSLFAANDMIFCGTETGLIKAWIPL >PVH61258 pep chromosome:PHallii_v3.1:3:58930:60079:-1 gene:PAHAL_3G001200 transcript:PVH61258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFDSKHVYVTQLTDYLREGPNKIALSRNDSYFLLGYQNCQEEISGTAGSS >PVH61752 pep chromosome:PHallii_v3.1:3:7224802:7225056:1 gene:PAHAL_3G113700 transcript:PVH61752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESMKMLTKFWKLCCIKAMVTGEFLPRNVVVRKNWVEQRLSNDLGRFLG >PVH62211 pep chromosome:PHallii_v3.1:3:15372790:15375473:1 gene:PAHAL_3G237000 transcript:PVH62211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVEVWATTVFPLRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGTKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLLAGVVFVLVCFYAVRPLMWWIVRRVPEGETISDVHVTLILTGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGQLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTRVRDPVTVGLLVLVFTMASFAKIMGTILIAISYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSASNQSRNAGSASSDHIFNAFENYEESVGGVSIQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILTSAPCSVGILVDRGLSAAAARMASVHHVALLFFGGPDDREGLAYAWRMVEHPGVCLTIVRFIPPDYKAPALAPPQHMAPRGAPASNVHARAITIVPDAAKSERQMDEEYLNEFRTRNIGNDAILYMEQVVANSEETLAAIRDLDSAHELYIVGRHPGEEGSALTSALAEWMESPELGPIGDLLVSSEFSKMVSVLVMQQYVITTPQPAVGPAVPVTDDPVRQYVTNANQRTAVTGRGGWGGSAADF >PAN18261 pep chromosome:PHallii_v3.1:3:12453922:12455886:1 gene:PAHAL_3G190000 transcript:PAN18261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLANRITTLVRAPMAAAGARPLHLHRIPPRGQEEEEKEAAAEEEDQRQQRRRSSRGSLSCSASSSSERAAAGVVVIVGATGTGKTKLSIDAARALGGEVVNADKIQLYAGLDVTTNKVPLEDRRGVPHHLLGAVRPEAGELPPSAFRSIAATTASSIVARRRVPVVAGGSNSLIHALLADRFDASAGDPFARGRGDRPALRFPCCLLWVDVEEALLAEYLDRRVDDMLGAGMVEELREYFAATTPAERAAHAGLGKAIGVPELGGHFAGRRSFRAAIDDIKANTRDLAAAQVSKIRRMADDWGWPVRRLDASATVRARLGGAGPDAESASWERDVRGPGLAAIRSFLRDGGSAANCDGEAEERMAPCCDVVG >PAN19437 pep chromosome:PHallii_v3.1:3:18247833:18252517:-1 gene:PAHAL_3G273400 transcript:PAN19437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGRPENGELDAWFKSLMVSSSNQRGQAESGGPLPTLSGWKDLPVELLLRIISIVGDDRMVIVASGVCTGWRDALGWGVTNLSLSWCKQNMNSLMISLAHKFTKLQVLTLRQNKPQLEDSAVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPRLTRLNISGCSNFSDTALTYLTCRCKNLKCLNLCGCVKAVSDRALQAIAQNCGQLQSLNLGWCDNITDKGVTSLASGCPDLRAVDLCGCVLITDESVVALANGCPHLRSLGLYFCQNITDRAMYSLANSRVKSKRGRWDAVKDGLANLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRALLPNHAY >PAN16206 pep chromosome:PHallii_v3.1:3:2809903:2812393:-1 gene:PAHAL_3G044200 transcript:PAN16206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRNNLPPHSSQGTAKPPNYGSLDPSTMADPQFVAFLQATYAAQVAQAAQPAYFSAPAYIDLESSSASWPPRAASLATLHAQLRPPIGFPAMPPTPNFDNSPTQVKSRTSNFTIAEDKAICSAFINVSKDPIVGVNQSSEAYWDRVHKFLYSNTPVERQRPPQSIRKRWGTIQKDTARFCGYKAEQDRKNQSGKTEEDRIEDAKKQYHALVGKPFAFMHCWESLRGQRKWLDLVGAKGKDADNNGEESAPDLVDLGFPEEDANDSRPIGRDSAKKRRRSELQSSSTSSTASAYVEVLQKMTDHKGKQIVAEVEWATAFNDREDRKLTLEEKKREDGIMKMDLSALDPYQRRYFRREIKAILARTRADDDEQEMDDDFGA >PAN19374 pep chromosome:PHallii_v3.1:3:17942136:17942976:-1 gene:PAHAL_3G269500 transcript:PAN19374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARLLLALSLVVVLLLVETTAPHGQAHAIDCGASCSYRCSKSGRPKMCLRACNTCCQRCGCVPPGTSGNEDVCPCYANMTTKNGKHKCP >PVH62729 pep chromosome:PHallii_v3.1:3:43738827:43739450:1 gene:PAHAL_3G381600 transcript:PVH62729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGPRHRGVGWSGAGTRWCPAICAQAARSPRTEVKEAGLEEGGRWSCSSDFSDDIEEVQVLVQELKTCCC >PAN22007 pep chromosome:PHallii_v3.1:3:63621085:63621974:-1 gene:PAHAL_3G506900 transcript:PAN22007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAAPSALFLVLAAFAAGASAATFSITNNCGYTVWPAGIPVGGGTQLDPSQTWTVDVPAGTSGRFWGRTGCSFSGGSGHCDSADCAGALSCSVSGQPPATLAEFTIGGAQDFYDISLVDGFNQPMDFSCSTGVNLHCGGSGCPDAYLFPKDDNKTHACSGNSNYQVTFCA >PVH61673 pep chromosome:PHallii_v3.1:3:5871321:5872547:1 gene:PAHAL_3G091600 transcript:PVH61673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLFFLAPPVAASSPPVVSRAETSLSSSFNGSCIAAERDALLSFKAGITSDPSRRLRSWRGQDCCQWYGVRCSSRTGHVVKLDLRNDYLDDDLSSNDDRCVGR >PVH61527 pep chromosome:PHallii_v3.1:3:3436496:3436693:-1 gene:PAHAL_3G054800 transcript:PVH61527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCHPPPPPTPLWNYVDPQGNTRGPFPLTWLFRWSGFFDKDFKVWRAAETAEQTILLTDALFMYL >PAN19281 pep chromosome:PHallii_v3.1:3:17264589:17265894:1 gene:PAHAL_3G260800 transcript:PAN19281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGHPARIIGAPAASGLPSDDTHELGGDAVEDDDDDSRLGEAPPPPPTPTPPPWPVAPPDDGPPRDRDRLSRSSCSFDPTLPLFLLIFRSTIKPCRALTTTQQPRACAPSSWSAVAGAAGVEAGQASSQLGGVCLGICGVVVQLRFVLYNERGLEEGVPQLRWRR >PAN15753 pep chromosome:PHallii_v3.1:3:332453:335606:-1 gene:PAHAL_3G005100 transcript:PAN15753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESPSTQGEPSTSATVDDSCSADRVEDSQLFLSLPALNQAASFLAHTASFLTQCLPVPGYVGLSEEDQELVTLPPASAVDRLSVQNPSVASAGTNSSLGQADCSGSPSQENTGQMVPSHVFQNGTSLFQGLVERAWKTVRGSADDIGWLQQDQSLPTAEDGTARFLEILDSVRKNEHKLPDSVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDSAAALSLYWPQLKDKVAGLVLAQSPYGGSPVASDILREGQLGDYVRLRKIMEILVSKVLKGDVQALEDLTYERRKEFLRQHPLPQEVPIVSFHTEASITPSVLIALSHVAHLELPIAADGNSTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPGDEADTSQVCEALLTLLVEVAQKRRHETAMKDE >PAN21713 pep chromosome:PHallii_v3.1:3:61684769:61690832:1 gene:PAHAL_3G484400 transcript:PAN21713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAANLLSRSLLPALNPSPSAHRNRGSAAAAVSFPRPRRHGRLSSVRASVSTASPSPPPQPAAAAAAPKHCFRRGADGYLYCEGVRVEDAMAAAARSPFYLYSKPQVLRNFAAYREALQGLRSVVGYAVKANNNLPVLRLLRELGCGAVLVSGNELRLALQAGFDPTRCIFNGNGKTLEDLKLAAESGVFVNVDSEFDLENIVRAARATGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDIKLVGVHCHLGSTITKVDIFRDAAILMVNFVDEIRAQGFELEYLNIGGGLGIDYHHTDAVLPAPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPSPGAEVATFDIVGPVCESADFLGKDRELPTPDEGAGLVVHDAGAYCMSMASTYNLKLRPPEYWVEEDGSIVKIRHEEQLDDYMKFFDGLPA >PAN16980 pep chromosome:PHallii_v3.1:3:6325730:6331368:1 gene:PAHAL_3G099800 transcript:PAN16980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVRTGAGVRLPRLDGGGEGSPEATEDDEESRATPSQESGADGFSGGEEEEGEEEEEENGGGGEEPEEAEDELGEEELELEEEEEEGDSGMGSDELEVTELGEPGAEMCQVGDQSVAVPLELYDLAGLSDVLSLDAWNTLLSEDERIRLAALLPDMDQETFARTLVELLSGQNFHFGSPLAALFKQLKGGLCDPRVNLYRRGTRFAERRKHYYWLQSYHNSMVRGLWEIKDCWKGREGYSLDERLRMLDALKAKQQQRKALALAWRSGSETDSGSRESGKQVLNQLKLDKIGQKKAGKLAKERSKGLLRVNMLKGVDEEYGEGSGRDAAVALSRQDNVYGYDSGTHRGKLHRSIDGLYSEELGYERDSSRTRFPRLLPKPVKKKELTTSYDGNLYGNNYHDNNNASPYYYGRNPGPNQGVTLAAAYDPPYFDTRRNARYSERDWVQGGKGVQSKALTGDEMHWTASTHTGQVDDWQKGKLAGDYRSRKDQAGYGLKVKSYKSIEQQTNDARIGSDPRSKISQVKMAGKSSSQFDRISQKHSRGNAAYSQSEETESDSSEQFEDSGDVHFLERKPEHHHSGFHRQAHGVKKSKKLSKVVKMNYPTAGADLEPSRSKGFKGKVSEAGYLRDVDVKMTEQISDVMKPPAASGERKRKGMANLETHVHDNSELHEINENANDSFRLTESERLASKSGHAIQDSNGDFGGTERVSGSSGSKKTKGRVEVPSLDEHSEHVPSGPKMADNISGSKKKSKKKPESTTDAVTVAEPAADVPEDNVVAVEPEKIEKPKKKYVPISPTIHTGFSFSVVHLLTAVKKAMVSPAEDTPAAAKQPDGEDGKKWFNNEEQNKTPQEQSMTEQAQQVLDGADASAAEQNVPSNSLALTVQEIVNRIRSNPGDPRILETQEPLQDLVRGVLKVLSSRTAPLGAKSWKALVAYEKSNKSWFWVGPVPSVSSYDDPDEETSAEAWCIPHKMLVKLVDAFSNWLKSGQETLKQIGSLPPPPPPNPANLDLKERFKELRAQKSLNTISPSSDEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPARTGTRADVCTLLRDSQYLNHEEANKEAAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKDPSDPAEPGAANDDFDDDGTGTPLANNAKKQKTDHGDPTVSGEANDEGDNATQNPSCGGLEGDPDLNVPSSKNYEESVGVVYIDARPDDAGSNSVDAKPGSRADDNPASWQSVPEQNKNSTALPENTSMDATLS >PVH62735 pep chromosome:PHallii_v3.1:3:44511700:44512276:-1 gene:PAHAL_3G383100 transcript:PVH62735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRFKSRSDCGSQMPTHISDSMSVDTIPLPRDGAMSGRIRVLTEEGQIVLRTDKEQQAFAMMRDRSFKHTCVFDEELLTKTGMDNEFASIFHKVSWSEFWSITEPV >PAN20914 pep chromosome:PHallii_v3.1:3:54994686:54995540:1 gene:PAHAL_3G421600 transcript:PAN20914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSIKLIAAIFLLLLVARAECQRCTPSSISVEQTNTGKKAGGIDTVFQVTVTNRCRCAVNNVYLRSNGFSSSTPVDPKIFRRAGSGYLLGDGQRIPSSKSVTFQYAWDHYFKMAPASVQAQC >PAN20374 pep chromosome:PHallii_v3.1:3:37380408:37388384:1 gene:PAHAL_3G362400 transcript:PAN20374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNQHTGSSGRQCARSFTHSPLQFALEVARLRMSAIAPAISAVLLLLAALASAAAAADAPVKKVGMRFVRPANEEEARWLQDRYAGTHQQLGAGPIRMRRATAEEAKWPDRMSGNAGTAGESGGGDDGCDDYIEFDDDNPYVEAVRAWVSRLAKRITLEDAQAENPFRDL >PVH61349 pep chromosome:PHallii_v3.1:3:859911:862670:1 gene:PAHAL_3G015600 transcript:PVH61349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSWRHHTLLQALLSRGPLSEREFHAVFAALSGKNPATHQQLFNDTLLKINKDLAYLQFELRACINQYDGIVYYGVVNNIADEESKLGTKYSVPQIAFYKGLLEAIVQEAGNDGSITSIDALNVRLDNQVIIVDGSQDSQSRLPSSITNFSLTQKEKTLDELIRDCWLSYTSTGKIGLGTRSFLDLRSWFRGNDIPSCVVCNEACIKASSCPNEECNVRIHEYCLRKKFSQRKASRACPGCSTEWPCQDGEAGGNGVNEPGEDEAPSANHSSRKRRKQVKAELVEENNNAGPSTAVPRSRTLRSAKAEVVEAAQEASSAGASQATRTSKRRKK >PAN19244 pep chromosome:PHallii_v3.1:3:17057269:17063728:1 gene:PAHAL_3G258600 transcript:PAN19244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGASSSGGARDGGESVVIDYGRRRTTCGYCRSSGPTSISHGMWANSLKADDYQALLDRGWRRSGCFLYKPEMERTCCPSYTIRLKANDFICSKEHGRVLKKMQRFLDGELDPQVGSPQCKASPTKRSLSEPLNSPTSKVSKVSANEFQAATCPNFLKEDEFICCLSNKINEAIDTYFQGVILDSTVQLPKAVVKTVKPQVKKKVGEAMQENKAGVQDLVYTCNISFQIMAAVRRALPKEKNANQTEVLADLSPNSVAEKLVMTMERLGGLAGFEVKACNGHLNFYSAANLAMQNHTSVVVPAQASDKASRSKQSAVNKISAKHPQKRKSLEIRMSTSHFDQEEFVLYRKYQTKVHKEKTVTESSYRRFLVDTPIVFVPPKSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWIRYDLAKPLLDKSQYSILSDYATMQDETPQPQICGPSDDSSAKIDHHESPSDEDDEDLNDYESEIMVDEVLVDSEKADTTECGSSIKDIENITLDLNGSKVKYKDLQQVFGPIERRHVNALGGQLSRYAKVVGKELSDRMVYCLS >PAN19245 pep chromosome:PHallii_v3.1:3:17057269:17063728:1 gene:PAHAL_3G258600 transcript:PAN19245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGASSSGGARDGGESVVIDYGRRRTTCGYCRSSGPTSISHGMWANSLKADDYQALLDRGWRRSGCFLYKPEMERTCCPSYTIRLKANDFICSKEHGRVLKKMQRFLDGELDPQVGSPQCKASPTKRSLSEPLNSPTSKVSKVSANEFQAATCPNFLKEDEFICCLSNKINEAIDTYFQGVILDSTVQLPKAVVKTVKPQVKKKVGEAMQENKAGVQDLVYTCNISFQIMAAVRRALPKEKNANQTEVLADLSPNSVAEKLVMTMERLGGLAGFEVKACNGHLNFYSAANLAMQNHTSVVVPAQASDKASRSKQSAVNKISAKHPQKRKSLEIRMSTSHFDQEEFVLYRKYQTKVHKEKTVTESSYRRFLVDTPIVFVPPKSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWIRYDLAKPLLDKSQYSILSDYATMQDETPQPQICGPSDDSSAKIDHHESPSDEDDEDLNDYESEIMVDEVLVDSEKADTTECGSSIKDIENITLDLNGSKVKYKVHTHNLTSNMKDEHGPRRAVNRNGGMSAADTDFAFVVFIRKLARTFSKCLGQSRGDTSMR >PAN16021 pep chromosome:PHallii_v3.1:3:1488275:1489712:1 gene:PAHAL_3G026800 transcript:PAN16021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPHHVPRYQRDYSLPDAFYNGRPRGAAAGEVNDALLFLAVPAGWLIRLVAFLGELVASAILSLVFPVAILIGVLRALPAVVASNLRRAARGLLAAACTFAALVAALFVSVLLGFVLVRHWVEEPVTVRQPLYFDYTEAQPSAALALGGARGVALPAGHAVRVSMALLLPYSYHNREVGMFQIKAEAISVSGSTIASAAQPYMLRYKSNPVRLAQSAVMCVPLTLGMRSETQTANLKVLQYREGHGRHKRTGLIRVMLQPRAGTVQLPQVYRAEVVVQTTLPWIKSLARGLKWTLCVWVSFSVYIVLVVFAICWVRPPAASARNRRSSELQANGKMASDLDTGDVGESPGKELSEDFTLKRRERRSKRKAQFRTQPHGVSIELEFTKGSTSGVAVVDTAQAMNGP >PAN16851 pep chromosome:PHallii_v3.1:3:5855895:5860029:1 gene:PAHAL_3G091100 transcript:PAN16851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAQLTPGGVPAVSRHVNGEETLQAVLQVIDVRHVANKNNDNPSASDRFRMLLSDGVYSLQSMLATAENQRIRDGSIRKGSIIHLQEYTCSTIRGRRITIVLKLDVLQSECEIIGSPKPYELINPPTEQAPNLPANAAQVNGGAYSSGPGTLGSSVAPRVAQVANNVSHGGSYGGHPGTVSPPIGRAVEPVPNVSSGGSYGSHGTASAHNTMNADMVQSNLQQPSLNSHQNQRFAVPAMAGGSGTPVNTYGRPAQPFYQQPPPGHMNRGPATKNEATRAVPVAQLNPYQERWTIKARVTSKTDLRHYTNNKGPGKVFSFHLLDAQGGEIRATCFNVQADQFFDLIEVDKVYLISRGSLKPAQKKFNPLNHEYEITVDFRTSIEVFPSDDSSIPRQQYNFHQISEIENIEVGSIVDLVGIVTSVCPSATITRRDGSEAQKRTLQLKDMSGRSVEVTLWGKYCDAEGQKLQSLCDSGLNPVLALKSVRVTEFSGRSVSTISSTQLKIDPDFPEADKLRHWYAREGKTAACVSLSAASSMGRTDIRKAVVQIKDENLGRSEKPDWITVKGAISHVNTESFCYPACTLEVNGRPCNKKVINNGDGTWLCERCDQRLQKCEYRYLLQCQIQDHTGLTYANAFHESGVEILGYSAEELYNIKEEDAEQFAEIIQGVRWQQFLFKLKVYEETFNDEQRVKCQISKAEKLDLSRECSYLLKAIGALLQDDTGSPSEVQGAMAYNAGLNNSGTGQRVPASNSAYATSMATPRYGESVNQLGQQANTYGGVSAPFSATRNVQTCMACGSSGHNAQNCPSGIYRQQQQQQPAGSTASPYGSSPGDAGSGPCYRCNQPGHFARNCPGVGAAPQQQPYGSGVASGAYGRQSYVRATNY >PVH62513 pep chromosome:PHallii_v3.1:3:22624202:22624519:-1 gene:PAHAL_3G314500 transcript:PVH62513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPRGSRGNSPRWGSPQKLDFNILPAEGDGSDEESFDPSPGPAEGGSQSSCARDHLETPPSVCSPTPTTGSQTREGFGAEGCSAVTPAPLR >PAN18663 pep chromosome:PHallii_v3.1:3:14096206:14100716:-1 gene:PAHAL_3G217200 transcript:PAN18663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQQSVNAGKAKVDMQVDLTHMLCEALLLPPLRSSSVALSQIVGRISLKHPSLFGRSEKLDVILDKGINDSNVVVAFRRPRPEWLSQQSFVIQHSMTPEVAVHGFPADNFTRSGSRGINLSRLSFGLELNEPATSNWTSGTSVKFEHIRPVNNQGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLVANCEYTIPLAKHLEGSIFMDCGSDLGSARHVPGNPALRQGKPGFGIGFGYGLHFNTDLGQIRVDYAMNAFSRKTIYFGINSSGGS >PAN21778 pep chromosome:PHallii_v3.1:3:61992455:61998922:-1 gene:PAHAL_3G487800 transcript:PAN21778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTGPASPEQPPALEPAEAKVEEGAQAKEEEGEEEVVKADGEGEEGEQEEEKGGRGGGRSRRRRGGAGGDGAVVMVKRELLARCMTCPLCRRLLRDATTISECLHTFCRKCIYKKLNDEDLDHCPVCKIDLGCTPAEKLRADHNIQDVRSKVFPFKRKKINAEEAESPITLPIKRKERSISSLVVNTPRITPAGSTGRRTRAVTRKAAALRGLGPIIADPLKKDSDNSNKKTENSSLLDSLSKMPQTRRQVLSNGDTSSHPSGKDKAGDSKDLDKAELWKPLNCLVEAASKTKPRTSAQSPAFKGDKPSESPISEHSSRTKAREPPQKSKVEDDKKDDPEPIVLLRKKGPGRKRKHPLPSANAASSAAAIQNGKKFIPIWFSLIASFDQKGDPPLPQIPAHYLRIKDGSIPASSIQKYIMQKLSLLSESEVEISCCGQSVNPAQPVRNLVERWLRVGPVRPLQTVIGSSGGDYVMVISYGRPKSA >PAN19509 pep chromosome:PHallii_v3.1:3:18627080:18628809:-1 gene:PAHAL_3G278300 transcript:PAN19509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTNSAVWFTMSFVLIAAIAIKIAKGRTMFGSSRCTMPTPPVVDGISLIRFLHMLLTKGLRATIHDQHKRMGSVFTISFFGQKLTFLVGPEILSHFYQGPDSEISHGNMLDFMIPMFGKEVGYGVDFTTRYEQIRFYIDALTRPSKLRVHVGPMIQEVEGYFAKWGQDGIIDLKHEFSMLLMLISSRCLLGKEVRNKMFDEIHTLFHELDSNGMSLSSVLFPYAPTPANRRRDRARSKLSEIFTEIVRSRRCSNQVEEDVLQNLIDAKYKDGRSTTEGEVTGMIMLLIFGGKHTSTAATTWTGARLLSSERSLTAVAEEQKQIVRKYGEHIDYNVLLEMETLRCSIKEALRMHPPAAADFRKVHKNFTVETKEGHKYEIPRGHTVASPILFNSNLPHIYKDPDVYDPSRFGRERQEDKIGGKFTYAAFSCGRHTCAGEAYTYMQIKAIWSHLLRNFELKLIYPFPETDWGKLMPEPKGKVMVSYKRRPLPIN >PVH63151 pep chromosome:PHallii_v3.1:3:61469614:61470978:-1 gene:PAHAL_3G481500 transcript:PVH63151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAREAIVEPAKGADLKHVLFWYLKLLCRFHPFERIKEISFGKLFWHFIYCKVR >PAN17310 pep chromosome:PHallii_v3.1:3:7664226:7670234:-1 gene:PAHAL_3G120700 transcript:PAN17310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTDDTLVAFMEEQNPTSAVAKEEPPKKAESVIATANEAASLAPLVDENPAPMEKEASKKVEMLAAAEEGKEAFKKVMVNEEDKEMRGVGLEGKDRSFAGLEVVNGEVEDDTGGDNGGEVEKVEEDSKGGSLGATEAMNDDVSEVPTMQDTKLVGFALEPVPMPMVQSKLENGELEEEDASLASPDVLESEEGGELQEEQQKEEQVGVGVEAKVVDKIEDDIEFVVAKVKPEPESEKVKEVGSRGTDGGKLGEKEATDDTMVVGGEEGPEGSAEKDVDVPTSEASTVIVNDGRIVEFTLEGADNVFENIPRIEQNAKGQVTANKVVEDVGVNEHTMVENIADVVEEGIDIKKHIEDMKNDVSGELEAQETEVVISMVEPTPMPSVESKSEDCELREEDASIASHEALEGERVQLWEEEREEEVGAIVQAKVADDAGTAMTEDEKPKPKCEKFEEVGFGDENGGKLGEKKEVEVSIASIEAADLEDKLASFTEANRELSYQKESTNNIISMGGEEAMEELIQKEVDVPTSEVSIVVVSDGSVEEVIPSSADSVLENSPWIQQNAKVVDDIGVEKPLDYKNFIGVTEDIDIKMHKEVENVAIVVEVVDIEKHKEAVKDDVSGEPVVQDTCAIVSMVEPVPIPGMESNLENSELGEADASLASPNAPKGDKRGELWEDEEVGVVVHVHAKVANKVADDVESAVVEENPEPEDEKVEEVGSRSRDGGQLGKQKMVEVSVVNVEAVELEDKLAPFAEANIELGYEKQASDDTVTAGGGETLKESTEKFVDVPMSEIVNDGCIEEAAIESTNSVLEDSLGIEQYAEGQATASEVVEGVGVEKPSDVENVVAVVEDTDVKKYAEVEHAAAVVEGIDVEKLIKIENVTATRIDHTLPQELAPESSKKSNDSKESDCSSEVIDCEEKVDDDGIIKIVTTAEDGVGNEAYEHDDGANFDISPAWMAILESTESTKQIMKKFAEDCSCGSVSGLRDFTNSMDRQIILDGSKENDDNDDGAKKGFDSATLAALLEGATSETSYGNIKVSSQDGSEILTMDEPAGLGSSTLSLMPTAPCQHAQSNLFSSAELAVTADPTEEMTEEEKNLHDKVELIQVKFLRLVYRLGATFEETVTSQVLYRLSLVEGIMHMHGRQRNQDFNLDNARKKAFILEAEGKEDLNFTCNILVLGKTGVGKSATINSIFGEDKSKTDAFSSATTSVQEYIGDVHGIKIRIIDTPGFRASVMDQGSNRKILTAIKKYTKKCPPDIIVYVDRLDSLSHDFNDLPLLKTITSVLGSSVWFNAIVALTHAASAPPEGLNGAPVTYEVLMAQRSHIIHQSIRQAAGDVRLMNPIALVENHPSCRRNHEGHKVLPNGQSWRHQMLLLCYSSKILSEANSLLKSQDPNPREIFGFHFQPLPFMLSSLLQSRAHPKLSAEQGGNEGDFHIELDDYSNVQQDNDEEKHDQLLPFKPLTKAHLARVTRKQKKQWKDEICKFKEMKKRGETDLDDYGYANIADNVSVPLPNIVLPPSFDCDNPTYRYRFLEPTSTILSRPVLDAHGWDHDYGYDGVSMEETLAILDRFPANVAVQVTKDKKEFTIHMDSSIAAKHGENASSLAGFDIKTVGRQPVYILHGETKIIKNIKKNETTGGFSVTFLGDTVATGLKVEDQLSLGKRLSLVASTGAMQVQGDTAYGANLEACLNDKHYRIGQSRSTLGLSLMKWRRDLALGANLQSQFSIARDSKMALRLGLNNKLSGQITVRTSTSDQVQIALLGLVPVAASIYKSFRPSEPSSI >PVH61826 pep chromosome:PHallii_v3.1:3:8533649:8534164:1 gene:PAHAL_3G132500 transcript:PVH61826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQDLRASPPKKIKAKALSIRLRTPVPRLAMPALKFRGEQSTKVMAAIARGQDQTDVLMIPLPPLGKLGAEVNLDTPSSSSHGGIRDVNTALCRPFSEEERTDALFWIGPPEGFFQRNWNPRKISLKRVRRQMGLTSLQLC >PAN17859 pep chromosome:PHallii_v3.1:3:10253775:10256487:-1 gene:PAHAL_3G159800 transcript:PAN17859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTVTTPSRPLAAGCRRAAGPLRSGPAVLSLKGPRRRAPSTSCSAVASPAKQRAAAKLPPPQRPSRAAAAEEEEKGVRTDYNEVAAALENIYKLSPAVVEEKHGDDEGKKKDRKKRKGGVGRSTVIVRSRRRRRGSRMDLGKRVEMKSREGDAGGKQDEERGFEEMLLREHSVSTDMGSLDWKRMKIPPVLSSAQSARLFKTMQPMKAIFEVQESLREDLQRDPTDAELAETTGMTVQQLRRRLDVGRAARNKLIKHNLRLVLYAINKYYPDMASDERFDDLCQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSNFTRFPFAMESERQEINKAREELAFELGRAPTEEEVIGRVGISQERYRDVLRMTRPTYSLHSRNRVTQEELINEVTDDDAIGVDAGKHNTLLRLAIDDLLDSLKPKESLVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVDYLRRYM >PVH62143 pep chromosome:PHallii_v3.1:3:14019954:14020774:1 gene:PAHAL_3G215500 transcript:PVH62143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPRARARARADANTAAGHSILLWVDIFRISMRRRWWRHTLRTRPPSRGRERPAMAVSVRCVRRPSPIRSRRGRRVRRFASGERRSLARCWWWWFALPRDSSPTPTRTSQVRCPPVHKVGIKNPTNYIVLGEFQHTPTHAARRITSEKPFYDRIDIIKYVAPLVSHR >PAN18006 pep chromosome:PHallii_v3.1:3:11403430:11406595:1 gene:PAHAL_3G172100 transcript:PAN18006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSPMLGSVANRWRELQGAGSWAGLLDPLDIDLRANIIAYGELTQATYDGFNQEKRSPNCGACVFGYADLLASSGAAAAGSYAVTKFIYATSALPVPEAFLVLPLPELLPESWSRESNWMGYVAVATDDGVAALGRRDILVAWRGTMRSLEWVNDFDFAPVSAAPVLGSAAAANPAAMVHRGFLSVYRSSNPDSKYNQSSARDQVLEEVSRLMALYKDEVTSITVTGHSLGASLATLNAVDLAANGVNAPADSSQPPCPVTAFVYASPRVGDGNFRSALASFPDLRALHVKNAGDVVPMYPPLGYVDVAVPLPIDTGRSPYLRQPGTIPTRHNLECYLHGVAGEQGSAGGFKLEVDRDVALANKGEDALTSKYPVPADWWVAKNKFMVKGADGRWALQDFEQI >PAN18759 pep chromosome:PHallii_v3.1:3:14545289:14548983:-1 gene:PAHAL_3G223800 transcript:PAN18759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPSSTSSQGHHVNGKQSIDPPGPAIVKNGPRHRPLTPMRQCRGVLCLVVMLLTAFMMMVYLSPITTFLVRLFSVHYSRKSTCFLFGMWLALWPFLFEKVNKTKFIFSGESVPPKGRVLLFANHRTEVDWMYLWDFALRKGHLQCIKYMLKKSLMKLPVFNWSFHLIEFIPVERKWEIDEAIIRRRLSEFKNPRDPLWLAVFPEGTDYTEKKCIRSQEYAVEHGLPILKNVLLPKTKGFNCCLQELRSSIDAVYDITIAYKHRLPTFLDNVYGIDPSEVHIHINGIQVSDIPTSEDEVAAWLIERFRLKDELLSNYSKLGHFPNEGTEGELSTLKCLANFTAVVSATGILTYLTLFSSVWFKVFVAFSCVLLTVTTCYSIHLPQLIGSPEVSTRAKVA >PAN16952 pep chromosome:PHallii_v3.1:3:6087774:6088960:1 gene:PAHAL_3G095800 transcript:PAN16952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTSVPPSTSPHGAGAATSSSSTSSPSVHAEEEQMPPPSASSSSSTSSPSVHAEGEQMPPLSASSSSSSLNVHAEEEQMPSPSSSSGAASSACDEHDVIVEMADAAADVDAAPAPQQDVRAAAAPPPRAAASNKQGARGWADAGEDEVDDD >PAN21590 pep chromosome:PHallii_v3.1:3:61201725:61207940:1 gene:PAHAL_3G478600 transcript:PAN21590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNQPPPPPVAAPPPMMPMQPMMAPAVGAGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPAMGGTAGDKARVIQSFLFMSGINTLLQTLIGTRLPTIMNASFAFVVPVLSIAREMDANDFTNNHQRFVHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVGAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVQNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGGGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEARGYDSDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFIPSRFL >PAN21593 pep chromosome:PHallii_v3.1:3:61201933:61207929:1 gene:PAHAL_3G478600 transcript:PAN21593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNQPPPPPVAAPPPMMPMQPMMAPAVGAGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPAMGGTAGDKARVIQSFLFMSGINTLLQTLIGTRLPTIMNASFAFVVPVLSIAREMDANDFTNNHQRFVHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVGAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVQNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGGGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEARGYDSDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFIPSRFL >PAN21592 pep chromosome:PHallii_v3.1:3:61201933:61207928:1 gene:PAHAL_3G478600 transcript:PAN21592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNQPPPPPVAAPPPMMPMQPMMAPAVGAGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPAMGGTAGDKARVIQSFLFMSGINTLLQTLIGTRLPTIMNASFAFVVPVLSIAREMDANDFTNNHQRFVHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVGAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVQNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGGGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEARGYDSDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFIPSRFL >PAN21594 pep chromosome:PHallii_v3.1:3:61201933:61207926:1 gene:PAHAL_3G478600 transcript:PAN21594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNQPPPPPVAAPPPMMPMQPMMAPAVGAGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPAMGGTAGDKARVIQSFLFMSGINTLLQTLIGTRLPTIMNASFAFVVPVLSIAREMDANDFTNNHQRFVHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVGAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVQNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGGGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEARGYDSDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFIPSRFL >PVH63125 pep chromosome:PHallii_v3.1:3:61201881:61207929:1 gene:PAHAL_3G478600 transcript:PVH63125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNQPPPPPVAAPPPMMPMQPMMAPAVGAGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPAMGGTAGDKARVIQSFLFMSGINTLLQTLIGTRLPTIMNASFAFVVPVLSIAREMDANDFTNNHQRFVHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVGAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVQNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGGGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEARGYDSDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFIPSRFL >PAN21591 pep chromosome:PHallii_v3.1:3:61201859:61207942:1 gene:PAHAL_3G478600 transcript:PAN21591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNQPPPPPVAAPPPMMPMQPMMAPAVGAGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPAMGGTAGDKARVIQSFLFMSGINTLLQTLIGTRLPTIMNASFAFVVPVLSIAREMDANDFTNNHQRFVHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVGAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVQNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGGGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEARGYDSDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFIPSRFL >PAN21589 pep chromosome:PHallii_v3.1:3:61201858:61207943:1 gene:PAHAL_3G478600 transcript:PAN21589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNQPPPPPVAAPPPMMPMQPMMAPAVGAGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPAMGGTAGDKARVIQSFLFMSGINTLLQTLIGTRLPTIMNASFAFVVPVLSIAREMDANDFTNNHQRFVHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVGAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVQNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGGGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEARGYDSDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFIPSRFL >PAN21141 pep chromosome:PHallii_v3.1:3:58210453:58210937:1 gene:PAHAL_3G444700 transcript:PAN21141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYEQEAEENKEK >PAN17712 pep chromosome:PHallii_v3.1:3:9733445:9738488:-1 gene:PAHAL_3G150900 transcript:PAN17712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHDGEADELPPPPPVPPNVVPIKADDVLGESPPNKPVKPKKLPMVRPGVGRKGQPIQLYSNHFKVLVKSTEDFFFHYDVKLKYEDGRPVDGKVVGRKVIDKLQQTYHCELSNKDFAYDGEKSLFTVGALPQVNNEFTVVLEDTSTVKTAANGSPEGNDSPGGGDRKRVRRSYQPKTFKVELTFAAKIPMSAIGQAIRGEESENSLEALRVLDIILRQHSAKQGCLIVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPIFDFLLANQKVDHPSRIDWQKAKRALRNLRIKTIHSNGEFKIVGLSEQNCKEQTFPLKQRDGSNDTVEITVYDYYMKKGIELRYSGDFPCINAGKPKRPTYFPIELCSLVPLQRYTKALSTLQRSSLVEKSRQKPDERMRVLNDALQHSNYDSDPMLRACGISVAPKFTQIEGRILQAPKLKAGNGEDIFPRNGKWNFTNKKLIQTCSVDKWAVVNFSARCDIQNLIRDLMRNASAKGIQMDEPFEVFEESPSMRRAPVSRRVDDMFEQIKSKLPGAPRFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPTRVNDPYLLNLLMKINAKLGGMNSLLQIEASRAIPHVSNVPTIILGMDVSHGHPGQDRPSIAAVVSSCQWPHISKYRASVHTQSARQEMMASLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIIFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQTGSPENVPPGTVVDNKVCHPRNFDFYMCAHAGMIGTTRPTHYHVLHDEIGFSADDMQEFVHSLSYVYQRSTTAISVVAPICYAHLAAAQIGTFLKFEEMSDASSSQGGHTSVGSAPVPELPRLHEKVRSSMFFC >PVH61899 pep chromosome:PHallii_v3.1:3:9733083:9739885:-1 gene:PAHAL_3G150900 transcript:PVH61899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHDGEADELPPPPPVPPNVVPIKADDVLGESPPNKPVKPKKLPMVRPGVGRKGQPIQLYSNHFKVLVKSTEDFFFHYDVKLKYEDGRPVDGKVVGRKVIDKLQQTYHCELSNKDFAYDGEKSLFTVGALPQVNNEFTVVLEDTSTVKTAANGSPEGNDSPGGGDRKRVRRSYQPKTFKVELTFAAKIPMSAIGQAIRGEESENSLEALRVLDIILRQHSAKQGCLIVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPIFDFLLANQKVDHPSRIDWQKAKRALRNLRIKTIHSNGEFKIVGLSEQNCKEQTFPLKQRDGSNDTVEITVYDYYMKKGIELRYSGDFPCINAGKPKRPTYFPIELCSLVPLQRYTKALSTLQRSSLVEKSRQKPDERMRVLNDALQHSNYDSDPMLRACGISVAPKFTQIEGRILQAPKLKAGNGEDIFPRNGKWNFTNKLIQTCSVDKWAVVNFSARCDIQNLIRDLMRNASAKGIQMDEPFEVFEESPSMRRAPVSRRVDDMFEQIKSKLPGAPRFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPTRVNDPYLLNLLMKINAKLGGMNSLLQIEASRAIPHVSNVPTIILGMDVSHGHPGQDRPSIAAVVSSCQWPHISKYRASVHTQSARQEMMASLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIIFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQTGSPENVPPGTVVDNKVCHPRNFDFYMCAHAGMIGTTRPTHYHVLHDEIGFSADDMQEFVHSLSYVYQRSTTAISVVAPICYAHLAAAQIGTFLKFEEMSDASSSQGGHTSVGSAPVPELPRLHEKVRSSMFFC >PVH62020 pep chromosome:PHallii_v3.1:3:12036840:12041628:1 gene:PAHAL_3G184100 transcript:PVH62020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPPPPVGHFSLSHAKAFFFITINKAAAPPPARARLPLLLLPSPNERTKRGLASLPCPAASPLPHPSSSAELNPSRRRPRLHPMPPPLEARDYIGLGAAAPSSSSSCSGSGEGAGPHLALRLGLPGSESPGRGAGAEHVDAALTLGPAPPRGGAKRGFADSLDRPAKRDADAGDAAGAVSGEENKGVAEAAAGAPRAAKVQVVGWPPVRRQSYRKNTLAASATKTKGEDESRSEAGCCYVKISMDGAPYLRKVDLKTYSSYEDLSLGLEKMFSCFITGKSSSGKPSRERLTDGSRADALQDQEYVLTYEDKDADWMLVGDLPWDLFTTTCRKLRIMRGSDAAGMAPRSLEEVGRNK >PAN18916 pep chromosome:PHallii_v3.1:3:15248931:15252859:1 gene:PAHAL_3G235500 transcript:PAN18916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAAIVRYWCHECEHAVDFEETMVEAMAEEIKCPACDGGFIEEMNGEEFEGLTSQRSERDLSQWGTSDNPFEQPGATADSEDDEEEEDDDDDMGREFEGFIRRHRRASALRRVLDSIQDDLRADRERDNSILINAFNQALALQGSVLDPDEVRDDQGGSSNDDGLLEEYVLGAGLSLLLQHLAESDPNRYGTPPARKEVVEALPTVKIEEVVSCSVCLDDLELGSQAKQMPCEHKFHSPCIMPWLELHSSCPVCRFELPSEETKDLNELSNVVRMESIHEEIRADGPGNDSESSNRAWALVPWFNGLFSAPEPQTARGALTDQQPPSATGTNPNAGES >PVH62901 pep chromosome:PHallii_v3.1:3:55984077:55984895:-1 gene:PAHAL_3G426900 transcript:PVH62901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSWTKTEYMMCDFSSTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRVLAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRLAMLYGAECWPTKRRHVQQLSVAEMRMLHWFCEHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDTVKRGRGRPRLTWDEMVMIDLKEWNIAKELAMDRSVWRLAINVPEP >PVH61513 pep chromosome:PHallii_v3.1:3:3227442:3227781:1 gene:PAHAL_3G051200 transcript:PVH61513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILIVVPPKTVLTSVQHVSGFAIYVSSLLSLKKNRRCIPFDFKKVVYIYTRDRSE >PVH63233 pep chromosome:PHallii_v3.1:3:63055877:63060567:1 gene:PAHAL_3G500400 transcript:PVH63233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALLGGRIESLPEPRIHYYPIRSHASDRWMNAFALMGTSKILCSDAGGWAAMYNAEAHSFLGLPELKSAKGPRYVAASIPLLTWNVTPRTATADFEIHPDVDSAMFGGRLRGDHTDSLYMMDMVPGEACSFEVLACYPGSRWRWRPLPLPPFLDDPNYRTPDGISFAVVGDGASTICVSSDRATYCFDTVLPFRSRLEHDAELGMWFGISARRPGELCALDLSAVASGSSCGEPPAVRRVGLDVDPPGNWVLINEALVSLGSGRFCIAKFFDVIDEWDDYEAHVVAFTGVEVVRSDEDEEGGLSMVNHKTECLLTDDIECVL >PVH62861 pep chromosome:PHallii_v3.1:3:54400580:54402415:-1 gene:PAHAL_3G418000 transcript:PVH62861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMIQLCGGSDVLISAYNTGGHWVTVIISMKYKEVWAFDARMEEMMKANKKKPKTKPKLTHRIDVNCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFKAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAFYL >PAN17525 pep chromosome:PHallii_v3.1:3:8876970:8880929:-1 gene:PAHAL_3G138200 transcript:PAN17525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQFLGKISQKSLKSGSGGRGSPLQKSPPSCGANGAKAELRASPPPQASAVAAAPGSETREDVFLRKLNVCCVVFDFAAERGRDSPDVERKRQVLVSLVDCVSGAEEPLTEAMISACVRMFAINLFRVFPPKLHSGGATDEDEPFFDPSWYHLQVVYELLLRFVMSPVVDVKVARKYMDNSFISRLLDLFDSDDPRERECLKAVLHRIYGKFMGNRSFIRKAVSNIFYRFVFEADHYNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCITQFIEKEPKLTGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMADFQKCVVPLFRRIAQCLNSSHFQVAERALFLWNNKHLFDLISQNRQVILPIIYPALERNARWHWNQSVLNVTMNVRKMFFDMDERLLLTCETNFQAEEKRRAASEERRRLVWERLERNAAFQSVTGDIGFAVPPTSAPLVAPAMT >PAN17437 pep chromosome:PHallii_v3.1:3:8482864:8484244:-1 gene:PAHAL_3G131400 transcript:PAN17437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLAVLLMLAAAVLSAAPVARGQAASAPAPAPAAPKTITAILTKAGQFTKFLQLLQSTREAEQITNQLKGKSSSGGLTVFAPPDNAFTALPVGTLNSLSDQQKTSLVQFHVVSMVMPASQLETVSNPLRTQAGDTGPGKYPLNITADGTNVNVSTGVVNATLDGTPLYAGDRLVVYQVNKVLLPWALYGPALPPAPAPAPAESKKKKKAAPDAAADAPAADTAAGTTASEAARGVPGVGAGSWVAVAVAAAMWWAM >PAN17970 pep chromosome:PHallii_v3.1:3:11214620:11216063:1 gene:PAHAL_3G168900 transcript:PAN17970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRIPQPKEIEGPSAASVAAPLTDALAPPPVPRDADEDGAPVPVFSGEETSSDEGEFEFEFPFVSRESPAGTAAPADELFADGRIRPFYPVFGRGVGVGGCVHAAGIHDGTARSAPAAAPRVRGQLGRLFLEETRARNLSTSSTASSSSSAAADDDRDGLEGAAPESYCVWRPGSGSSAPASPSPRPPRKSGSTGSMARWRRISDLVVGRSHSDGKEKFLFFATPQHEAPSKDKPKPRPTPAAPRKPTPTTAEVDTVTAAHRISYLPKGGGTGGVVVPGGTPRRTFLPYREELVGFFANVNGVSRSHQHPF >PVH62868 pep chromosome:PHallii_v3.1:3:54556146:54560468:-1 gene:PAHAL_3G418900 transcript:PVH62868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHFLILLAIVSSFPYSTAAPDLTLTISAGIFIPVGPYYCSTTGNYTLKSPYQVNLRKLMDDLQSGAIANHAGFNYTVAGEAPDAVFGLTMCYADRNWTQCQNCLQAATSEVELICPFSREMKAREDACVLRYSNESFFSVADLTSAFHVSDTSNSSVTNVAGVNATLLSLMSRLAAEAAVSELRLAKGSQVYKGSKGISQVIYGLAQCTRDLNASECSRCLTYFVEELLRSSSLSVEINDAVKGYSCNVAYKIGEDFDSSIRPAIASAPMAPSTIAQPPDPSPGTRPTLGIVAGVTGGSIAFVICTGTLVCILLRHRSIKAREREVDVFDDDPLEDNTFDKGTGPRQFRYRELATAAGFFSDEEKLGEGGFGSVYKGYLKDMDLWVAIKRVSKSSQQGRKEYISEVKIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLNSHIHSQNNVLSWQLRSGIVLGIGSALVYLHQDWEQCVLHRDIKPSNILLDASFNAKLGDFGLARMVDHERQSHTTALAGTMGYMDPECMLSGSASTTSDVYSFGVVLLEICCGRRPIIVVQDSEEYATMHLVQWVWECYGRGRIIDAADARLNGEFDGDEMERVMITALWCAHPDRTLRPFIRQAISVLRMEAPLPSLPTNMPVATFMPPMHHLQRESGATTGSSSGSAGTKHSSIATKTSSLLR >PAN20878 pep chromosome:PHallii_v3.1:3:54558095:54560403:-1 gene:PAHAL_3G418900 transcript:PAN20878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHFLILLAIVSSFPYSTAAPDLTLTISAGIFIPVGPYYCSTTGNYTLKSPYQVNLRKLMDDLQSGAIANHAGFNYTVAGEAPDAVFGLTMCYADRNWTQCQNCLQAATSEVELICPFSREMKAREDACVLRYSNESFFSVADLTSAFHVSDTSNSSVTNVAGVNATLLSLMSRLAAEAAVSELRLAKGSQVYKGSKGISQVIYGLAQCTRDLNASECSRCLTYFVEELLRSSSLSVEINDAVKGYSCNVAYKIGEDFDSSIRPAIASAPMAPSTIAQPPDLFHEKDPSPGTRPTLGIVAGVTGGSIAFVICTGTLVCILLRHRSIKAREREVDVFDDDPLEDNTFDKGTGPRQFRYRELATAAGFFSDEEKLGEGGFGSVYKGYLKDMDLWVAIKRVSKSSQQGRKEYISEVKIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLNSHIHSQNNVLSWQLRSGIVLGIGSALVYLHQDWEQCVLHRDIKPSNILLDASFNAKLGDFGLARMVDHERQSHTTALAGTMGYMDPECMLSGSASTTSDVYSFGVVLLEICCGRRPIIVVQDSEEYATMHLVQWVWECYGRGRIIDAADARLNGEFDGDEMERVMITALWCAHPDRTLRPFIRQAISVLRMEAPLPSLPTNMPVATFMPPMHHLQRESGATTGSSSGSAGTKHSSIATKTSSLLR >PVH61784 pep chromosome:PHallii_v3.1:3:7801176:7802879:1 gene:PAHAL_3G121700 transcript:PVH61784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLNHSNAFSLLPKNWWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYISFGPINSASARMNAAEKPPFFLPSWTGMFVVNVFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKRYQCPKPPVPAAAAPLPHH >PAN18867 pep chromosome:PHallii_v3.1:3:14989261:14990569:-1 gene:PAHAL_3G230600 transcript:PAN18867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAADGPSPPPPRTGEAEPPAAPEKRAPAGRDEEDQGGEGRPEPKRRRARARITALESVPRAAEVAAAAAAAAAAAAEAASREDEPAGGCDGGESFSFHARGFSSAQTTPKFGSFNHPGAAAELVAFHLMKASRRRVDPEEAGDGRTAAGGDEEEEAAAAAAAAEGSDGNSR >PAN18948 pep chromosome:PHallii_v3.1:3:15412045:15414597:-1 gene:PAHAL_3G237500 transcript:PAN18948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLPPAAALLLRRSLGATARLSAPRCLVRALLHEEQPNVGTNSLMTVRYLQWCGSDNDDDDEAIEAFKHYCSTTASSSASDASASTAYVEKLCKSGNLLDAVRVVRHLRDEQIHVCLHTFNMLLQQAAEANSFALFAKVFRYLLLSKLAPDSTSYMNVAKALQKLDGCELILKFVREILEITQDRDPTVMNRIIFATAKYGDIDKSLIIFEELKKDRTSLDVVTFNTILDMLGKAGRVNQMLHEVKQMEELGHYPDIVTYNTVINCLRRLGRLDLCKRFAGEMLERGVNPDLRTYTALIDSFGRAGHITEALEMLDLMKMSHKPSVYVYRALISDLKKAGQCELAQKLSEEMNSSASDLFGPEDFKQKNKGRWFRNKR >PAN21156 pep chromosome:PHallii_v3.1:3:58329178:58333909:-1 gene:PAHAL_3G445900 transcript:PAN21156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGANFHQHPPSSQGMLPPRHGPRPSGPQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSRDTWPAEPNQSNGGTAAAGIVSKAVEKEKEVTNGVSKLQVIRGPSSRVGGMLLREVARERVDLVAEKMKVMPEEHLEEIKNELRSILEGTGGSQHVEEFLYLQKLVQDRADLTPSMLSLAHHVQLEILVAIKTGIQAFLHPSVTIPQGRLVEVFLYKRCRNIACQSALPAEDCKCNVCSSRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIHNGQIGMGQSVKSSIGHAEMLFRCRACQRTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDQKGRVLFRKCADLIERLRNAPADSINSRVILQALQELEMDSPKISENEDSGRLITPQEACNRIAEVVQEAVRKMELVAEEKMRLYKKARLAVDACDRELEEKVREAQELKAERLRKKQQVEELESIVRLKQAEAEMFQLKASEARQEAERLQSIALAKSKTAEQDYASIYLKRRLEEAEAEKQFLFEKIKLQENQKPLPHQASSSASGAGGGDPAQTMMLSKIQDLLKNVRSMPAKTEGH >PVH61354 pep chromosome:PHallii_v3.1:3:925826:926390:1 gene:PAHAL_3G016700 transcript:PVH61354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCFMLQRCIVITVHCSQLSACMPAQPLRALRCFPGQSFQPLMLASADPRPACAWIDA >PAN16470 pep chromosome:PHallii_v3.1:3:3973231:3974513:-1 gene:PAHAL_3G063000 transcript:PAN16470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRDPLVVGSVVGDIVDYFPATALLRVLYGGREMTCGSELRPSQVASEPAVHITGGRDGRALYTLVMVDPDSPSPSNPSKREYLHWLVTDIPEGAGADRGHEVVAYESPRPTAGIHRFVFIVFRQVVRQAIDAPGWRANFNTRDFAACYSLGAPVAGAYFNCQREGGCGGRRYR >PAN22069 pep chromosome:PHallii_v3.1:3:63880675:63883704:1 gene:PAHAL_3G510600 transcript:PAN22069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) UniProtKB/TrEMBL;Acc:F4K2Q7] MCWEMRPQLGTTRRTIWRTWPVLCSHHKLSTSSHSAKKVAMATASPPPAEKKRNKDTVIVISGPTGAGKSRLALEVARRLGGEIISADSVQVYRGLDVGSAKPSAAEMSAVPHHLIDILDTTDDYSAGAFFRDARRATQDVLDRGRVPVVAGGTGLYLRWYIYGKPDVPQSSMDTTSAVWSELVSFRESGQWEEAVELVVQAGDPKALDLSVNNWNRLSRRLEIIRSSGSPPSAFTLPYESFHEQHDTELTEASADGNCEAGKLDYDFFCIFLASSRVELYRSIDLRCEEMLIDTGGLLSEASWLLDIGLHPHINSATRAIGYKQAMEYLLQCRQNGSESTPQEFLQFLAKFKSTSRNFAKRQITWFRNEMIYQWVDASQPFEKVVRFICDAYHDRGARVVPESLEMKRESCMHRTRDLKTYRSENRVFRGDDDCSHILDWIRRTQRR >PVH61672 pep chromosome:PHallii_v3.1:3:5872548:5874508:1 gene:PAHAL_3G091700 transcript:PVH61672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLVMLRLRSNNFSGHIPIEITWLLSIRILDLANNTFSGVMPRSLANLKALTTTVVALDIKENPFRELYEYETFSSAGRYNNDSLSLVIKGQVLEYRENAIYLMSIDLSCNGLTGQIPEEIGSLLRLVNLNLSSNFLSGNIPYKIGNLQSLESLDLSNNQLSGEIPPGLSDLTSLSYLNLSYNNLSGRITSGHQLDTLKTDDPASMYIGNPGLCGHPLPNACPRDQPAQEDPARWHEDDSTQMDFHLGLTVGFLAGLWIIFCGILFKKAWRYAYFKTFDKLYDKVHVFFVVTWSETQVHRETEGVFVF >PAN16990 pep chromosome:PHallii_v3.1:3:6350821:6354457:1 gene:PAHAL_3G100200 transcript:PAN16990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGEETGCGDGATTAARAGAPLRDIRRYKCEFCGVVRSKKSLIRAHVLQNHKDEVDGLEDYQEGGDGASRKVSHDCKECGMRFKKPSHLKQHMQSHSLERPFACHVDGCPLSYSRKDHLNRHLLTHQGKLFVCPIEGCDRKFNIKGNMQRHVQEMHKDGSPCESKKEFICPEVNCGKTFKYASKLKKHEESHVKLDYTEVICCEPGCMKTFTDVECLKAHNQSCHQHVQCDVCGTKQLKRNFKRHRQMHEGSCITERVKCRFEDCKCSFSKKSNLEKHVKAVHEQRRPFVCQFSGCGKKFSYKHVRDIHEKSSAHVHTEGDFVEADEQRPRSAGGRKRKPVSVETFMRKRVAAPDDAPAHVDGTEYLRWLLSG >PAN16989 pep chromosome:PHallii_v3.1:3:6350821:6354457:1 gene:PAHAL_3G100200 transcript:PAN16989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGEETGCGDGATTAARAGAPLRDIRRYKCEFCGVVRSKKSLIRAHVLQNHKDEVDGLEDYQEGGDGASRKVSHDCKECGMRFKKPSHLKQHMQSHSLERPFACHVDGCPLSYSRKDHLNRHLLTHQGKLFVCPIEGCDRKFNIKGNMQRHVQEMHKDGSPCESKKEFICPEVNCGKTFKYASKLKKHEESHVKLDYTEVICCEPGCMKTFTDVECLKAHNQSCHQHVQCDVCGTKQLKRNFKRHRQMHEGSCITERVKCRFEDCKCSFSKKSNLEKHVKAVHEQRRPFVCQFSGCGKKFSYKHVRDIHEKSSAHVHTEGDFVEADEQRPRSAGGRKRKPVSVETFMRKRVAAPDDAPAHVDGTEYLRWLLSG >PAN18247 pep chromosome:PHallii_v3.1:3:12385929:12389379:1 gene:PAHAL_3G189000 transcript:PAN18247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRVVSSLLRSASRIRAASPAAPRPRAPPHRPSPAGYLFNRAAQYASSAAAQAAPATPPPATGKTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDERGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >PAN18870 pep chromosome:PHallii_v3.1:3:15095005:15097835:1 gene:PAHAL_3G232200 transcript:PAN18870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAGAAAAAAVASGISARPAAPRRAPAGRRARLPVVRAAISVEKGEKAYTVQKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDKVNAALIETLKKGTSFGAPCVLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAYTGREKIIKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATYETLTAPYNDAEAVKKLFEDNKGEIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQNGSLLVFDEVMTGFRLAYGGAQEYFGITPDVTTMGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLTEPGTYEYLDKITGDLVRGILDAGAKTGHEMCGGHIRGMFGVFFTGGPVHNFGDAKKSDTEKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTIEAAEKVLKRI >PAN21724 pep chromosome:PHallii_v3.1:3:61717993:61725182:-1 gene:PAHAL_3G485100 transcript:PAN21724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPQRTTELVDGDDAQSFVQKLQLSVSKGLPHAVPVPALRTEEHELVKSVFQVIQGFETSLLYWDSNVPGYREKAGIYVMHLSLTGLRSVLSPLLFASTCLKEVELFVRKVRMCPHRIPTLDAFASSIDSWLTRLREAALKEEEQLFISVNRTITLLGLIVSMSSLCSGAEHLSQVVHGVVPDGFWDSGALMASSEVSVHILNHLFKKLNEVCLVEDGEGEPHHMLLVIFAGSLLPYLQCLDSWLYDGILDDPYEEMFFFANSEVTIDQPAFWEMSYMLRIRDSRADGSTNSTDNESIRKKESSNQESTTAGACLKVNDQGCVDILCPIFLKDIARAIVSAGKSFQLVQHVQDVHRIQTHKDTYASNLYQSTNCSSQEKFWTDTSSLRIQDGHPRSEDALEESTSQFGNDSREMGLLTLSEIFLICLSGLLENGDHVYEYLRRLHADSVPNNKAFVESESNVQETKDICVEGSTEKTWVKLLKNATSGRKYDGIEKTISRNTAMDKPILVPGDPQDASSNAVEAGRFTLSCYENPAITACREVLLRNPNSWSELNISESFHLPPLNDGNMRRAIFADGHSAGTCTIGDTQPTTSFPRLDGTDYKFGFQFNDLDYVRQEDDRRTLEVLYAFPTLLPCANENVPLSEILPMQKDSTLASRVLKFIQNMSLKDRLQPMVIIQECLSQCVKRQVDHIGKQILSKLMGEWRLMDELFVLRAIYLLGSGDMLQQFLITIFDKLDRGSSWDDDFELNNLLQESIRNSADKMLLTAPDSLVVSLATNNNDEGTSTSKKGRALGFGIDALDMLNFTYKVSWPLDLIVNTDALKKYNQVMGFLLKVKRAKFVLDETRKWMWKARGRTAHNFKQHLIVAQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHVAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNVEQTLSTGGTAPAVRTRCEMEMDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYFMSDNGSFSAIPGSRQR >PAN16710 pep chromosome:PHallii_v3.1:3:5232582:5235365:1 gene:PAHAL_3G079200 transcript:PAN16710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVVALLGLLALASAVGMASGAGGHDYALALKKSILYFEAQRSGVLPPNQRVTWRENSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGKQMAAAGELRNAMDAVKWGTDYFVKAHPEPDVLYGEVGDGDTDHSCWQRPEDMSTSRQAFRIDPQNPGSDLAGETAAAMAAASLVFRSTYPGYANLLLEHSKQLFAFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLYEATEEGCYLEYLARNSDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGAHAATLQRYRQNAEFFVCSCVGKGAVNVPRTPGGMMYHQRWNNLQFVTSASFLLTVYADYATRLPGSSGGVRCPGGAARPYEILAFVRSQVNYILGDNPRGTSYMVGYGGSFPRQVHHRGASIVSVRRDPSFVSCQEGYSSWYPRQAGNPNVLEGAIVGGPDEYDDFADERNNYEQTEAATYNSAPLLGVLARLAGSCGTGLEEYQLPPAAVNQTSPPPHRRRPHHAPPSPIEIEQNVTRTWVVRRATYNRYSVTVTNRSRKTVRELHLGVSELRGRLWGLDKARYGYVPPKWLPALRAGESLRFVYVQPGTPANVWVTGFKLV >PAN18253 pep chromosome:PHallii_v3.1:3:12408399:12413258:1 gene:PAHAL_3G189400 transcript:PAN18253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTAAAAARLLIVLAAFLGAAPRGADALRSLGVGGAGAAGGAHGDAAVDLDATNFTAFLQASPESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGIIVMARVDCALKVNLDLCNKFSVDQYPFLVWGPPAKFDSPQWKPKQENSELELIDDRRTAERLLKWINKKMRSSFSLDDKRYENESALPKNASDPEQIVRAIYDVEEATAHAWQIILENKMIKPETRDSLIRFLQILVSHHPSKRCRRGSADLLINFDDHWHTNLSLNSQESSTLLTSVAGDNICGKGVPRGYWMFCRGSKKETRGFSCGLWVLLHSLTVRIGDGESQSTFTSICDFIHNFFICEECRKHFYEMCSSVSVPFKSARDLTLWLWRAHNKVNERLMKEEKDLDTADPSFPKVIWPPKQLCPSCCRSSSRTADGAMQVEWDEDEVFHFLVDYYGKKLVSSYRETSMDSHLLVTKQVGSISDDSSAASAATVPIGAALGVAVASCTFGALACFWRTQQKNRKQRKNWN >PAN18252 pep chromosome:PHallii_v3.1:3:12409970:12412853:1 gene:PAHAL_3G189400 transcript:PAN18252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLLRCPACRNYKPHYEKVAKLFNGPDAAHPGIIVMARVDCALKVNLDLCNKFSVDQYPFLVWGPPAKFDSPQWKPKQENSELELIDDRRTAERLLKWINKKMRSSFSLDDKRYENESALPKNASDPEQIVRAIYDVEEATAHAWQIILENKMIKPETRDSLIRFLQILVSHHPSKRCRRGSADLLINFDDHWHTNLSLNSQESSTLLTSVAGDNICGKGVPRGYWMFCRGSKKETRGFSCGLWVLLHSLTVRIGDGESQSTFTSICDFIHNFFICEECRKHFYEMCSSVSVPFKSARDLTLWLWRAHNKVNERLMKEEKDLDTADPSFPKVIWPPKQLCPSCCRSSSRTADGAMQVEWDEDEVFHFLVDYYGKKLVSSYRETSMDSHLLVTKQVGSISDDSSAASAATVPIGAALGVAVASCTFGALACFWRTQQKNRKQRKNWN >PAN18336 pep chromosome:PHallii_v3.1:3:12767687:12769681:-1 gene:PAHAL_3G195200 transcript:PAN18336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSCGGVQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDLILALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPIANAGAAEAGAALPDARDPGHKPAAGDSDDGLAQKQPAVFDPFPLADLGFDLGAASVAALYCGPYDDGVGKAPPDAGGFVADYSSVLDVSENLGYGESSSNSSNWTCAEMSSAVLDSEVLHWASGGGAKPEPYTELEQQQQHSGGYGGGEQAVDDDDALEHKFSLPCGQEQSLAHFDFNLEYF >PAN21001 pep chromosome:PHallii_v3.1:3:56314186:56315953:-1 gene:PAHAL_3G429300 transcript:PAN21001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEETQKYKEGKFIFEKSKIIKENW >PAN21554 pep chromosome:PHallii_v3.1:3:60919755:60921982:1 gene:PAHAL_3G476100 transcript:PAN21554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSPADPPARSRPRLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPVLGFLVLPALWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFTKWASGTLDNALYPVLFLDYLRSGGGLALAPPLRSLAVLVLTAALTYLNYRGLHLVGLSALALTAFSLSPFVALTVLAAPKIRPARWLAIDVGAVNLRGYFNSMFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAAEWTDGFFSEVGQRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSKHGTPTFSILCSATGVVILSFMSFQEIIEFLNFLYGLGMLVVFAAFVKLRVKNPDLPRPYRIPVGTAGAAVMCVPPVVLITTVMCLASARTVIVNAVVVAAGVALYYGVEHAKRRAWVEFLAPVPPPPDSSHGSTTASDAADVEDVRAGLLADEPADEAPPRSHSAVQMTAFTGYSETATRSLMKSEP >PAN21555 pep chromosome:PHallii_v3.1:3:60919913:60921488:1 gene:PAHAL_3G476100 transcript:PAN21555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSPADPPARSRPRLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPVLGFLVLPALWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFTKWASGTLDNALYPVLFLDYLRSGGGLALAPPLRSLAVLVLTAALTYLNYRGLHLVGLSALALTAFSLSPFVALTVLAAPKIRPARWLAIDVGAVNLRGYFNSMFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAAEWTDGFFSEVGQRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSKHGTPTFSILCSATGVVILSFMSFQEIIEFLNFLYGLGMLVVFAAFVKLRVKNPDLPRPYRIPVGTAGAAVMCVPPVVLITTVMCLASARTVIVNAVVVAAGVALYYGVEHAKRRAWVEFLAPVPPPPDSSHGSTTASDAADVEDVRAGLLADEPADEAPPRSHSA >PVH62034 pep chromosome:PHallii_v3.1:3:12399722:12401043:-1 gene:PAHAL_3G189300 transcript:PVH62034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVARWALLLLLGLALLAPAALAADNKNKDKDKDKDKEKSPPPIQSPPPPVVSSPPPPLPSPSPVPVPPSPSSDVVYCKNTTAYPTCTAPATCPKRCKQSCHMDCETCKPICDCNMPGAVCEDPRFIGGDGNTFYFHGRRGRDFCLLSDADLHINAHFIGSHVPGARRDPSWVQAIAVQFSGHRLYVGARRTAAWDDEADRLAIVFDGAPVEVQGVANARWEAPSSPLSVTRTKAANGVVVELARVFKITANAVPITEEDSRVHNYGLRDGDCLAHLDLAFRFYSLTDDVHGVLGQTYRSSYVNRLDVSARMPVMGGERDFAASGLFATDCPVARFAHGRGVDALAVASDELAGVKCSTGLHGVGVVCKK >PAN16797 pep chromosome:PHallii_v3.1:3:5645480:5651447:-1 gene:PAHAL_3G087300 transcript:PAN16797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKGPSSDVLRASISSAPSTSSHGSGQDECDSLGDVYVWGEVICDNSVRTGSDTVIRSTGRSDILLPKPLESSLVLDVYHVDCGVKHAALATKNGEVFTWGEDSGGRLGHGTREDSVHPRLVESLTVSNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGNDVGHWIPKRISGALESLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGDLKSISYPREVESLSGLKTIAVACGVWHTAAIVEVIVTRSSSSVKLSAGKLFTWGDGDKHRLGHGDKEARLKPTCVATLIDHDFYRVACGHSLTIALTTSGQVLSMGNAVYGQLGNPHSDGRIPCLVEDKIAGEHVLQIACGSYHVAVLTSRSEVFTWGKGANGRLGHGDIEDRKVPTLVEALKDRAVRYIACGANFTAAICQHKWVSGADQSQCSSCRQPFGFTRKRRNCYNCGLVHCNSCTSRKALRAALAPNSGKPYRVCDSCFLKLNNASDPNSANRRKDPVPYQPGESNGDTKVAKATLPSNMDMIRSLDIKAGRQGKKTDGLSFLRNPQVSSLLQLSDIALSGGLDMNRSAPRAVRIPATRSVTTSRAVSPFSRKPSPPRSTTPVPTAHGISLSKSATDNIVKANELLNQEVERLRAQVDNLRNQCELQDLELQKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDHCAYNVNETKQAHIPNGIESHVASYSSINGIHPPRNELLNASIAHSPNSGRSSHSNGISGQHKLLGNVSENSDCSTHSLRITSPHDSELPSRRARSSSDETLTAGSRMDDNLSMDARSLQNGEDSYKPRGTISLPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVKSSERVSSAASTRSAY >PAN16796 pep chromosome:PHallii_v3.1:3:5645167:5651352:-1 gene:PAHAL_3G087300 transcript:PAN16796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKGPSSDVLRASISSAPSTSSHGSGQDECDSLGDVYVWGEVICDNSVRTGSDTVIRSTGRSDILLPKPLESSLVLDVYHVDCGVKHAALATKNGEVFTWGEDSGGRLGHGTREDSVHPRLVESLTVSNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGNDVGHWIPKRISGALESLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGDLKSISYPREVESLSGLKTIAVACGVWHTAAIVEVIVTRSSSSVKLSAGKLFTWGDGDKHRLGHGDKEARLKPTCVATLIDHDFYRVACGHSLTIALTTSGQVLSMGNAVYGQLGNPHSDGRIPCLVEDKIAGEHVLQIACGSYHVAVLTSRSEVFTWGKGANGRLGHGDIEDRKVPTLVEALKDRAVRYIACGANFTAAICQHKWVSGADQSQCSSCRQPFGFTRKRRNCYNCGLVHCNSCTSRKALRAALAPNSGKPYRVCDSCFLKLNNASDPNSANRRKDPVPYQPGESNGDTKVAKATLPSNMDMIRSLDIKAGRQGKKTDGLSFLRNPQVSSLLQLSDIALSGGLDMNRSAPRAVRIPATRSVTTSRAVSPFSRKPSPPRSTTPVPTAHGISLSKSATDNIVKANELLNQEVERLRAQVDNLRNQCELQDLELQKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDHCAYNVNETKQAHIPNGIESHVASYSSINGIHPPRNELLNASIAHSPNSGRSSHSNGISGQHKLLGNVSENSDCSTHSLRITSPHDSELPSRRARSSSDETLTAGSRMDDNLSMDARSLQNGEDSYKPRGTISLPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVKSSERVSSAASTRSAY >PAN16640 pep chromosome:PHallii_v3.1:3:4871243:4878160:1 gene:PAHAL_3G074200 transcript:PAN16640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGEFQTSLVQQMIWSGTGSSGNTTTTTSNIMSSLKPCHEDQEASPNQLPSLSSPSVLFSQQFPHTSSGQLVHMNGAAGSLPSLHDGGGGQDESHMPESWSQMLLRGLVGDHERYSATAALLSKGLESWGDQAAAGAGASMAALKEEGSGMPHAGYNFYGSHLAGGDHEMPAAGGAKSQLSQMLLASSPRSCITTSLGSNMLDFSNSAPAPELRSHHHHSDNSSECNSTATGSAIKKPRVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYMGHGNGTSMQNGPMGERNPGLFPEYPGQLLNHNSNTGAQQPAVQQPADEQQGGVNEEAKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >PAN15916 pep chromosome:PHallii_v3.1:3:1074379:1077792:1 gene:PAHAL_3G019900 transcript:PAN15916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLGLGDLPKLSINRLGSFSQPSAYRRAAADDRNTRKYNSSCNGGAPMVFHANSHAWHQQCRQADSSCDAVEVELRDLPRKVMWDLPSFVKIVEVGPRDGLQNEKGNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKEVLKGIQLMPNVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRDVTAAAKKHGLLIRGYVSCVIGCPVEGAIDPSKVAYVAKELYNMGCSEISLGDTIGVGTPGSVVAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQMGLSIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISKHLGRPLGSKTAAALRKLTT >PAN15917 pep chromosome:PHallii_v3.1:3:1074379:1077792:1 gene:PAHAL_3G019900 transcript:PAN15917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLGLGDLPKLSINRLGSFSQPSAYRRAAADDRNTRNSCNGGAPMVFHANSHAWHQQCRQADSSCDAVEVELRDLPRKVMWDLPSFVKIVEVGPRDGLQNEKGNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKEVLKGIQLMPNVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRDVTAAAKKHGLLIRGYVSCVIGCPVEGAIDPSKVAYVAKELYNMGCSEISLGDTIGVGTPGSVVAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQMGLSIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISKHLGRPLGSKTAAALRKLTT >PAN17984 pep chromosome:PHallii_v3.1:3:11264763:11267690:1 gene:PAHAL_3G169600 transcript:PAN17984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to PIN1-like auxin transport protein [Source: Projected from Oryza sativa (Os05g0576900)] MISWHDLYTVLCAVVPLYVAMILAYGSVRWWGVLTPEQCSGINRFVSVFAVPLLSFHCIAASNPYVMNLRFVAADTLQKVLVLAALAAWSCIPARGGRTRAPTIDWSITLFSLATLPNTLIMGLPLLVAMYGRYCGDLLVQVVVLQCIVWYTILLVLFEFRAARVLIAGQCPDTAASIAEVHVDPDVVSLAGSQAEAQAEVSPDGKTRLVVRRSTSRQSLAVAGTPRPSNLTGVEIYSVSSSRNATPRGSSSAHADVYAAGFAPLHSASMRMSSFGAADLFSLHSSRQHTPRASNFDEHAVRARSAAAVAPSYDPKDMPTFEWSSGASAASEVNGLPVFRGGDHRAKDVRRLVPSEEPPVGLSRAMRPEERVGSFKAEAVQDALAKLESGSTEQRQNGKDAGGENAGGAGAGGQQKAPAGVMMQLIVTMVWRRLIRNPNTYASLVGLTWSLISFRFHIAMPIIVKNSISILSDAGLGMAMFSLGLFMAMQPKIIACGNSVAAITMAIRFLFGPAVMAVTSAAVGLRGTLLCIAIVQAALPQGIVPFVFAKEYDLHAAILCTGVIFGMLVGLPIALVYYIILGFL >PAN18678 pep chromosome:PHallii_v3.1:3:14152997:14158363:-1 gene:PAHAL_3G218200 transcript:PAN18678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVRADGGGGGGAASSSSPAENSRFDAAQYSFFGKGPMEGPELGGFLEDGGADGDGGGFGGHDDGGYQFSSMGEEIDCMSNLSEIDDLASTFAKLNRSISGTRNLGVIGDRRSISRESSLTTDWVPDSDFTSWVDQGMLDGDEFLDSKQWCSQLQSSPHFGESKPLSRTSSYPDQPLQHRSSEPILLHRSTSFTSYPPPGGSAGLPYPAQGLTRHSSIPSPAAGHHMGSPSSSLSGSPYHMHGLSHGLPYGRSTSYTAADPSMNNLVQNDWLNQAGPLAFDHLNRRSSLLQPQLSLPSSSMSSLLYSQQHQRLPPVQPSFQNYLNLQPHLFYHHQSPEIMGNFDHIPTVPSPRDKRSRSGRGKRSIRLPQQPSDASSQHSESVGIKFRSKYMSSEEIESILKMQHSASHSNDPYIDDYYHQACKAKRSVNSQKCNFCPISIKDFPSKSRSGGDQHSYLQVDANGGVSFSAIRRPRPLLEVDLPGSGDGLYDHKSSTRPLEKEPMLAARITVEDSLRLLLDVDDIDRFLQSSQPQDNSFQLRRRRQVLLEGLAASLQLVDPFGPNKPGQSSGLAPKDDLIFLRIVSLPKGRKLLARYLRLLVPGSELTRIVCMAVFRHLRSLFGGLPSDSGAAETTTCLAKTVSSCVHHMELSALSACLAAVVCSSQQPPLRPLGSSAGDGASLIIKSVLDRATELLADPHSAANYSRSTRSLWQASFDAFFGLLTKYCDSKYESIVQRFAMQGSNSLGGPEATKAVSREMPVELLRASLPHTNDQHRQTLLDFARKSTHVSGFSPNASRGHESVPG >PAN21866 pep chromosome:PHallii_v3.1:3:62623006:62623409:1 gene:PAHAL_3G495700 transcript:PAN21866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKVSSALCLTLLLMVPLLTPIFGRKEACDEWLSDTYRMLLLCSSRICNEHCIGEGATRGKCGLLIVRSFCFCTKECD >PVH62203 pep chromosome:PHallii_v3.1:3:15283378:15289678:-1 gene:PAHAL_3G236300 transcript:PVH62203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLNSNISGATSNLRDSTGRSFASSFSGQSGSLPGFHHSGSHNIHGNLNLTNISGSLAPRNNSMAGIPSPGVQQPGGSISSGRFPSNNLQASISQIPHGHSGISNRGASPVLGNLGPRMTNSGNIVGGSNIGRSISSAGLSMPGIASRMNLSGNSGSGAINIQGSNRMSSMLQQASPQFMNLLGSSYPTPGGSLSQNQVQAGNSSLGSSGMLHDGGSGDNAPFDINDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGYKGSSSDYAIDMHHKDHLHENVNIMQAQHYPMARSSGFNLGSSYPPRQHQQSANSVQNAGLENIGLRPANSPSPSSNSGVYEQFMQQYHQPQTQNSLRLQATSGPQSFKDQSQKSVQGTQAAPDPYSLLGLLSLIRLKEPGPTALALGIDLTSLGLNLNSQDNLYKTFGSPWSNEPAKGEPDYQIPSCFSAEPPPPLQPLHFQKFHPLTLFYIFYSMPKDVAQLYAANELYNKGWFYHKDYRVWLTRAPNAAPLVKTPLHERGSYICFDPNIWDTVHKDNFVLHYEAVEKRPVLPSSAQNLRREL >PVH62202 pep chromosome:PHallii_v3.1:3:15283378:15289677:-1 gene:PAHAL_3G236300 transcript:PVH62202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLNSNISGATSNLRDSTGRSFASSFSGQSGSLPGFHHSGSHNIHGNLNLTNISGSLAPRNNSMAGIPSPGVQQPGGSISSGRFPSNNLQASISQIPHGHSGISNRGGMNVGGNPGFSSSMNAIGGSIQGLSSNLANVGNRNSAPGLAASPVLGNLGPRMTNSGNIVGGSNIGRSISSAGLSMPGIASRMNLSGNSGSGAINIQGSNRMSSMLQQASPQFMNLLGSSYPTPGGSLSQNQVQAGNSSLGSSGMLHDGGSGDNAPFDINDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGYKGSSSDYAIDMHHKDHLHENVNIMQAQHYPMARSSGFNLGSSYPPRQHQQSANSVQNAGLENIGLRPANSPSPSSNSGVYEQFMQQYHQPQTQNSLRLQATSGPQSFKDQSQKSVQGTQAAPDPYSLLGLLSLIRLKEPGPTALALGIDLTSLGLNLNSQDNLYKTFGSPWSNEPAKGEPDYQIPSCFSAEPPPPLQPLHFQKFHPLTLFYIFYSMPKDVAQLYAANELYNKGWFYHKDYRVWLTRAPNAAPLVKTPLHERGSYICFDPNIWDTVHKDNFVLHYEAVEKRPVLPSSAQNLRREL >PVH61388 pep chromosome:PHallii_v3.1:3:1140047:1145472:1 gene:PAHAL_3G021100 transcript:PVH61388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILLNYSAFRIWILHYLHCLCIISTSVLGEAMLLRLALSFKSGSGLQVIIPLLQELQKTVITSRRMSIIHSGYNRPFYTEIFNKQTQRRCLVNNTHTT >PAN21069 pep chromosome:PHallii_v3.1:3:57301717:57306073:-1 gene:PAHAL_3G436800 transcript:PAN21069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGLALLLDLTSRLPRASASSSSAHSHAGLSAAAFAATAAAAFSSTGVPLSARHLFDFPGFTVAHCDAGTTAGWNGTPELINDLNTKILNSIQQARTDYIQYPTKEYPSELKPLFSAFGLKNFTITTLRSFLLYYLPLIQPKPHTDTDDEDEDLLQDAPPEEKHVDLVTPFHNSVKQIMRETSIVTTRRVLERIVIRHVSQRTAWKLLKDASKSAKRKAARGMSTPQYTFCVARTTFRAHALGVAAAWVVQSIIEVYRCFIRKPSDETLPSDDDEQFDDMDKFRLFGRKVYGITVKSCFSLVLASVGAGIGALLHPVHGQWFGCALGDVAGPVIAIIVFEKMQLPL >PAN16276 pep chromosome:PHallii_v3.1:3:3192288:3196404:1 gene:PAHAL_3G050300 transcript:PAN16276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MEKLWVPSLPILGGRMLPLLRHCCAFGSHHPLTWRSIFQTSGREQKQIRSWSAQCSADNEGASVSEKDNPHKKLALPDNSLLDARILYCTSPALGHNKEAHPESNKRVPAIVDALEKLELSPKHRGSQVLEIQNFNPACLDDVARVHSRSYITGLEKAMSKASEEGLIFIEGTGPTYATETTFQESLLSAGAGITLVDSVVAASKLGPNPPLGFALVRPPGHHAVPQGPMGFCVFGNIAVAARHAQHQHGLKRVMIIDFDVHHGNGTSDAFYDDPDIFFLSTHQFGSYPGTGKINQVGQGDGEGATLNLPLPGGAGDYAMRCAFDEVIAPSAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLASSIKQLAKELCGGRCIFFLEGGYNLQSLSSSVADTFCAFLDEPSLAAQFDDPAMLFEEPTWKIKEAIEKAKGIHSL >PVH61512 pep chromosome:PHallii_v3.1:3:3192288:3196404:1 gene:PAHAL_3G050300 transcript:PVH61512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MLPLLRHCCAFGSHHPLTWRSIFQTSGREQKQIRSWSAQCSADNEGASVSEKDNPHKKLALPDNSLLDARILYCTSPALGHNKEAHPESNKRVPAIVDALEKLELSPKHRGSQVLEIQNFNPACLDDVARVHSRSYITGLEKAMSKASEEGLIFIEGTGPTYATETTFQESLLSAGAGITLVDSVVAASKLGPNPPLGFALVRPPGHHAVPQGPMGFCVFGNIAVAARHAQHQHGLKRVMIIDFDVHHGNGTSDAFYDDPDIFFLSTHQFGSYPGTGKINQVGQGDGEGATLNLPLPGGAGDYAMRCAFDEVIAPSAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLASSIKQLAKELCGGRCIFFLEGGYNLQSLSSSVADTFCAFLDEPSLAAQFDDPAMLFEEPTWKIKEAIEKAKGIHSL >PVH61824 pep chromosome:PHallii_v3.1:3:8515744:8517640:-1 gene:PAHAL_3G132100 transcript:PVH61824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASACQPFQEGEQHLPLRHGGSVLPPVNRGTALPLRQASSVPPPDARELLPPPSSSGRSATEARALKVHSEAERRRRERINAHLAALRRMIPDARQMDKATLLARVVCQLKDLKRKAAETRQPQPIPAEANGITVDCYTAGAAAGGYGRPATYIRACVSCDDRPGLLADLAGAFRGLRLRPTRADMASLGGRARCEFMLCREEGDVGSAGRVKALEAGVRQALTCVAFPETAYGCNYRSRRQRVLESHYALGHELGVGDHRGW >PAN17447 pep chromosome:PHallii_v3.1:3:8515981:8517138:-1 gene:PAHAL_3G132100 transcript:PAN17447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASACQPFQEGEQHLPLRHGGSVLPPVNRGTALPLRQASSVPPPDARELLPPPSSSGRSATEARALKVHSEAERRRRERINAHLAALRRMIPDARQMDKATLLARVVCQLKDLKRKAAETRQPQPIPAEANGITVDCYTAGAAAGGYGRPATYIRACVSCDDRPGLLADLAGAFRGLRLRPTRADMASLGGRARCEFMLCREEGDVGSAGRVKALEAGVRQALTCVAFPETAYGCNYRSRRQRVLESHYALGHELGVGDHRGW >PAN16572 pep chromosome:PHallii_v3.1:3:4429769:4431599:1 gene:PAHAL_3G069500 transcript:PAN16572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADWSWARRAWEKWAPKHVGPEGKQVQAALLLNYDPSGPSRLLPVIAEQEGTRLTAVDMQPFLDFVKRGNMQTEFFSIRTNQYLVTSIHENWFCARCVNSTKSGGEGAIVIQIGAYLLVSMYDGSLASASQAMVATDQFAIQFIRRTH >PAN17513 pep chromosome:PHallii_v3.1:3:8818001:8821165:-1 gene:PAHAL_3G137100 transcript:PAN17513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRARGAAGALLRLAGATAGVQSGGAPQLARAAFTRGFLDLHKMANKEAIEKEKARLKDEMSRGYFADISEIRKNHGKIATASKVIIPEVDAMKFPDLAVESPYGGALHLPLVVPALQDDDVEAGDGVIPDASLVCLSFRASSQKMAESWSSPFLDAFSADKNIHVYEVSFIDSWLLSSGPVRRAFLKVMRKSNNPRRHVVYAFGDHYDFRKKLQIINFLTGYIYLVDREGRIRWQGFGSATEEELSSLTASASILLDEK >PAN17512 pep chromosome:PHallii_v3.1:3:8818345:8820551:-1 gene:PAHAL_3G137100 transcript:PAN17512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGYFADISEIRKNHGKIATASKVIIPEVDAMKFPDLAVESPYGGALHLPLVVPALQDDDVEAGDGVIPDASLVCLSFRASSQKMAESWSSPFLDAFSADKNIHVYEVSFIDSWLLSSGPVRRAFLKVMRKSNNPRRHVVYAFGDHYDFRKKLQIINFLTGYIYLVDREGRIRWQGFGSATEEELSSLTASASILLDEK >PVH62085 pep chromosome:PHallii_v3.1:3:13177194:13182729:-1 gene:PAHAL_3G201700 transcript:PVH62085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAAPGAEATALLCRRPAPSSISGRNRLAVSRRPRHRNLRTGAQPPQQSTPSANYRNRVNIQRDRAGASSDDEHQQKSEDENGLPNIQLEDLVEMIQNTEKNILLLNQARLQALERADKIIKEKEALQQEINNLEIKLSETGAQSELSSKGMSDAEALEFDVLKEENMLLKDDITFFKEKLIEITETEESLFKLEKERALLDASLRELECAFIAAQSDMLKLGPMQHDAWWEKVENLEELLESTANQVEHAALILDGYHDFQDKVDKLEASLGATNISEFCLYLVDLLKKRVKSIEERFQACNREMHSQIELYEHSIVEFHDTLSKLIKESEKKSMEHYAEGMPSEFWSRISLLIDGWSLEKKIANNDANILREMAWKRDNRLREAYLSSRGKSERELIDSFLKMALPGTSSGLHIVHIAAEMAPVAKVGGLADVIAGLGKALQKKGNLVEIILPKYDCMQHNQINNLKVLDVVVQSYFEGNMFANKIWTGTVEGLPVYFIEPQHPGKFFWRAQYYGEHDDFKRFSYFSRVALELLYQSGKKVDIIHCHDWQTAFVAPLYWDVYANLGFNSARICFTCHNFEYQGTAPAQDLAYCGLDVEHLDRADRMRDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSEGGRGLQDTLKIHSKKFVGILNGIDTDTWNPSTDRFLKVQYSANDLYGKSANKAALRKQLKMSSANASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFILLGSSPVPNIQREFEGLQTNFRTITISGCF >PAN18396 pep chromosome:PHallii_v3.1:3:12980383:12984797:1 gene:PAHAL_3G198400 transcript:PAN18396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGKDSLDLSGLGAAVPNSNELSAEDKANLVASIKNTLEGLASRHMDVLEGLEPKVRKRVEKLREIQGQHDELEAKFFEERAALEAKYQKLYEPLYSKRYEIVNGVIEVEGITESADETPAEHKSGDETPAEQKEEKGVPAFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRISEPKGFKLEFHFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKVLKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDDDFEGLIDGDEEDEEEDDEDEDEEDEESEDDNDTKKTKGAAGGDGQQGERPAECKQQ >PAN18686 pep chromosome:PHallii_v3.1:3:14185724:14188682:-1 gene:PAHAL_3G218800 transcript:PAN18686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPVDPIMMYKYKAEVLLKEYLLADSYVLYAAVLGGILMCKLSYDITHMISSIYFKGYASLTKMRKIEWNNRGMSTAHAIFITIMSVYLVFLSGLFCDQLDGPVTFRSSHLSNFTLGVSVGYFIADLAMIFWFYPSLGGMEYVFHHMLSLVCAVYAMLSGEGQLYTYMVLISETTTPGINLRWFLDVAGRKNSKAYLINGIAMFVTWLVARIILFIYLFYHIFMNYDQVKQMDTFACLLISVAPTILFIMNVMWFSKILRGLKKTLAKRHVD >PAN21143 pep chromosome:PHallii_v3.1:3:58245642:58249265:-1 gene:PAHAL_3G444900 transcript:PAN21143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPLAAAGTALEDLPEDALLCILALLAPPDAAAAACACRRLAAAASSPALPLALALRLGLPASPARPVLPAPPSPAAARRLLRSLHRLRRLLGLWRRLPSSGSASPQPHPPAPSLAAFEWAPARAALAASLLAPSARGGVARSPFVTLSIADSGDTVAELGDVPVCVNFVGNNHIVVEPAAAGEDDEIEVVSGSPPEEMYAHFANRRSPGSGRRRRGKHGRRGGLMEAEHFVRIADAEPTRARPLQGLWKGICEDRTLEFYLIAYDDIGGVTCRRFSDPRGQNSGYSPVFWTTDTTFLEPPFSEQELDNYSSRYHIQGVTSNHADAENRVISRILCINSSHDVVDPQLSTPLDDARSVEGRIWLYEDGTFGFGFVGTNSVIDLRHVSSAGCVLDT >PVH61655 pep chromosome:PHallii_v3.1:3:5666487:5668485:-1 gene:PAHAL_3G087800 transcript:PVH61655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQQGRRMKKKAYAVAVAIQVILASTVLLSKAAFDGGLSMFVYVFYRLAAASLFLTPLAILLESQNKVFWNTLSMILYNGSLKYTSATVASAMCNSIPAITFFLALLMRCYNSIIMARELMIPASSFDNLHICTYERHHYNGRMEVIKFRSSSGMAKTAGIALCLAGVLVVALCAGRPLSPLGRHRVLASHGDRQAAEHVSKGVWIAGTFVMLLSCVGWSLWIVFQQGLLLKEYPNKLLATLIQCLFGAMQSGLVAAVAERGHPSRWKLGLDLSLVAVAYSGIVGTGACYYLQTWCVGMEGPVFLAMWNPLSLLLTVLCSSLLGETVHLGSILGGILLVGGLYCVLWGKNKEETLAAMASGHLEQSREQGDADAASCTSTSVIFKNQDEDKEAGGRLEPFNHIT >PVH61656 pep chromosome:PHallii_v3.1:3:5666774:5668485:-1 gene:PAHAL_3G087800 transcript:PVH61656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQQGRRMKKKAYAVAVAIQVILASTVLLSKAAFDGGLSMFVYVFYRLAAASLFLTPLAILLESQNKVFWNTLSMILYNGSLKYTSATVASAMCNSIPAITFFLALLMRCYNSIIMARELMIPASSFDNLHICTYERHHYNGRMEVIKFRSSSGMAKTAGIALCLAGVLVVALCAGRPLSPLGRHRVLASHGDRQAAEHVSKGVWIAGTFVMLLSCVGWSLWIVFQQGLLLKEYPNKLLATLIQCLFGAMQSGLVAAVAERGHPSRWKLGLDLSLVAVAYSGIVGTGACYYLQTWCVGMEGPVFLAMWNPLSLLLTVLCSSLLGETVHLGRQVSWVGFCWLGASTACSGARTRRKH >PAN19748 pep chromosome:PHallii_v3.1:3:20188778:20193506:-1 gene:PAHAL_3G295600 transcript:PAN19748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSSKATAAASSSSSAASEAGGEVKRGNGSGKGRRVRSLLPLPSSACFRGSTEPGEGDASSAAPPPAGESNKGGETASLPSLVHSAKSDEDALAVPKSRSGEETAAPSSDSERDQDDDALQNHVATSTSAAAANQLPNPSDRPRPRFGANFGLSRAVSLGSSVACSILSSGLSASANPGESHREVTNSSDAVISQQGGALTAGIDSTLDMLRDSVTAQARAARQARRNQLESEDASLRNSNRRIGSEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAVWPSGNGSARQDLATMQRTNSDRSSELRSDPSANYNSSSETLREANNRDLLERRSAFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGNCNCRTSSRPGNPDEETSTRASISRIVMLAEALFEVLDEIHQQSAALSSSRPSFSSIGSVPAPREIVECLPVKVYRKPLKHRTEEAAQCYICLVEYEEGDCVRVLPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSDVSSIGKVG >PVH61421 pep chromosome:PHallii_v3.1:3:1970847:1971128:1 gene:PAHAL_3G033500 transcript:PVH61421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYLASYLNLLVSSLFVTVLYLGGWNLSIPYISFFGFFQMNKMIGILEMII >PAN17724 pep chromosome:PHallii_v3.1:3:9785308:9790125:-1 gene:PAHAL_3G151900 transcript:PAN17724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCGLPTQGNKPTHRIQYTERASSRGQCNSKLIQRTHSPSLHSTAAELRPILPLLLPRQQPPPPASLSGSIRVQEEKMVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALLRVAELSGHNGSNLVFHKVDLRDRHALEDIFSSHRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKVSGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYAGSSENGN >PVH61474 pep chromosome:PHallii_v3.1:3:2660570:2661321:-1 gene:PAHAL_3G041900 transcript:PVH61474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWAHCSRAQRIAHCVSEKRGTSLPSRRSARPPPPPSLPSQLSLPSSPSPSLVHLGRTEACFCCAVPRRRLGCIGPPPPDLLFSGGRSTATTTTGADGDPARTDHPHRFSGGRRSSCKSRRRTRSSSPPSAESSTAPAPSAAGAPRSHLPLVWINLVGVACFMTSLGVVRVPFVLSL >PAN19467 pep chromosome:PHallii_v3.1:3:18421784:18423637:-1 gene:PAHAL_3G275900 transcript:PAN19467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSIYPLSAQLLGLPLLPSHPDASSADAALHGVNFASAAAGILDNTGQNFVGRIPFNQQIKNFEQTLDQLGRKLGGASRLAPTLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYAKQLGTLYNLGARRFVIAGVGSMACIPNMRARSPRNVCSPDVDDLIIPFNNKVKAMVNSLNANRPNAKFIYVDNYAMISEILRNPWSYGFSVIDRGCCGIGRNRGMITCLPFLRPCLNRNTYIFWDAFHPTERVNVLLGRAAFNGGNDVVYPMNIQQLAAWQP >PAN19468 pep chromosome:PHallii_v3.1:3:18421818:18425411:-1 gene:PAHAL_3G275900 transcript:PAN19468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALLLLLALGGAAAQVFPPWNGTFPGFGPGSGGGAGAAAGAGATGVPAMFVFGDSLTDNGNNNDLQSLAKANYPPYGIDFAGGPTGRFSNGFTMVDEIAQLLGLPLLPSHPDASSADAALHGVNFASAAAGILDNTGQNFVGRIPFNQQIKNFEQTLDQLGRKLGGASRLAPTLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYAKQLGTLYNLGARRFVIAGVGSMACIPNMRARSPRNVCSPDVDDLIIPFNNKVKAMVNSLNANRPNAKFIYVDNYAMISEILRNPWSYGFSVIDRGCCGIGRNRGMITCLPFLRPCLNRNTYIFWDAFHPTERVNVLLGRAAFNGGNDVVYPMNIQQLAAWQP >PAN16305 pep chromosome:PHallii_v3.1:3:3292713:3294429:1 gene:PAHAL_3G052200 transcript:PAN16305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQPHPIHTPTTTRPASVSLHSIRHPRSETKPSPPLPLTCCQSTKKARTATPHQVREKAMAGVNSTSVVGLKPAAAVPQAAASSPAKRVQVAPKDRAAEGRRAALLGLAAVFAVTATTGSAKAGIIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFITDDLEIECEGKEKYKCGSNVFWKW >PVH61566 pep chromosome:PHallii_v3.1:3:3979336:3980855:-1 gene:PAHAL_3G063100 transcript:PVH61566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRLSSSCCLLLAVLMLGAVAAATAFDEAAAAAGVGLGHGARFARKPGRAAAEKPEPQPLPQPKPEPKPEPKPEPKPEPMPHPEPKPEPKPEPMPHPEPKPEPKPEPMPHPEPKPEPKPEPMPHPEPKPEPKPEPMPHPEPKPEPKPEPMPHPEPKPEPKPKPEPKPMPHPEPKREPHPKPMPKPEPKPEPKPEPKPKPEPKPKPEPKPEPKPMPEPKPEPKPEPKPEPKPMPEPKPEPKPMPEPKPEPKPQPKPMPQPKPKPEPKPEPKPEPKPEPKPDPPHFPPAADN >PAN16942 pep chromosome:PHallii_v3.1:3:6156068:6159127:1 gene:PAHAL_3G097300 transcript:PAN16942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) UniProtKB/Swiss-Prot;Acc:Q8VYR2] MAATASASAADPGTAFKLLLSCPAGLPRSRVSVKFGQSFDRIPHPDAALEESISEIWNQRLQWNPSLYNGTKFRYGGHAVHYKDDSKKEYCVLLHLGLTDYRTFVGTNLNPLWENFLVPSEDDSVRCQYMSNPLGNGAIVETSDQKIIVLQRSHNVGEFPGYYVFPGGHSETSQKKSALWAIKLMKKTWLLSLNEFHKRCLMESSVKWLRKLEFLLVP >PAN16943 pep chromosome:PHallii_v3.1:3:6155755:6160131:1 gene:PAHAL_3G097300 transcript:PAN16943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) UniProtKB/Swiss-Prot;Acc:Q8VYR2] MAATASASAADPGTAFKLLLSCPAGLPRSRVSVKFGQSFDRIPHPDAALEESISEIWNQRLQWNPSLYNGTKFRYGGHAVHYKDDSKKEYCVLLHLGLTDYRTFVGTNLNPLWENFLVPSEDDSVRCQYMSNPLGNGAIVETSDQKIIVLQRSHNVGEFPGYYVFPGGHSEPEEIGIVGHQTDEENLAPLTERVSQEMFDGIIREVVEETGVPASSLTDPVFIGVSCREMNVRPTAFFFTKCGIDSTGVNELYSKAQDGYESTKLYAVTMEELRGMSQRMPGCHNGGFALYELMRNEAKSL >PVH61690 pep chromosome:PHallii_v3.1:3:6155755:6160131:1 gene:PAHAL_3G097300 transcript:PVH61690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) UniProtKB/Swiss-Prot;Acc:Q8VYR2] MAATASASAADPGTAFKLLLSCPAGLPRSRVSVKFGQSFDRIPHPDAALEESISEIWNQRLQWNPSLYNGTKFRYGGHAVHYKDDSKKEYCVLLHLGLTDYRTFVGTNLNPLWENFLVPSEDDSVRCQYMSNPLGNGAIVETSDQKIIVLQRSHNVGEFPGYYVFPGGHSEPEEIGIVGHQTDEENLAPLTERVSQEMFDGIIREVVEETGVPASSLILSSLEFLAEK >PAN16538 pep chromosome:PHallii_v3.1:3:4304654:4306339:-1 gene:PAHAL_3G067500 transcript:PAN16538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQCLQLLILFAHLLLSPSAASGGKVSAVIVFGDSTVDPGNNDHILTVVKGDFPPYGRDFDGGVATGRFSNGRLVTDFISEGFGLPSSVPAYLDTSCTIDQLAMGVSFASAGTGLDDLTADISNVIPVRQQLDYFREYKERLAIARGASEADAIIAGALYYFSIGNNDIGVNYFLLPQRRAQFSPPEYAAFLVGIAGAAVREVYRLGGRKIQLAGILPLGCVPAMRTVNLHRPGECMEDFNQFALLFNAELRKAASKLSGELSEARVVYSDMYSLVSTIIANPWKYGFENVARGCCGTGLIEASFLCVLDEPLTCEDTDKYVFFDSVHPTERIYKMEASQMLNTSLAAFL >PVH61692 pep chromosome:PHallii_v3.1:3:6185234:6185861:1 gene:PAHAL_3G097700 transcript:PVH61692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEGISVRLYCALLADPLCGSYLCVLMIWGPLVLVGLHDPSQSDPKTSHGGGRRAENWRSFSSKVLAFSVPNATRLAAVPGTPHKYKKSSWKASCWQKPKHPQTISWTVQSFVSKPRERGDHLRGAP >PAN19768 pep chromosome:PHallii_v3.1:3:20389305:20408400:-1 gene:PAHAL_3G298300 transcript:PAN19768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYQTENGDITKVNSVERAAYAFIPQTPIRSTDAHLEEFSEAMRTVAKTLRQVVEGKAAAQAEAAEWKRKYELEVASKEHKHHNVIKGCSNYGKDKLEELSSQMALETASIDQTSCCGNHGICSHQILQDECPGPNRIADEKIVGRKAPFRLLWGCDGDKNDQHRRDFVSFEKGDIKTAERSNKQILLKWESPPQTVLLVTKPNSNSVLALCAEMVRWLKEHNNMNVIVEPRVSKELLTEDSYFNFIQTWNNDQEVKALHTKVDLIVTLGGDGTVLWAGSLFKGPVPPVVAFSLGSLGFMTPFPSEQYRECLANVLKRSFSITLRSRLQCHVVRNAAKDEVEIEEPILVLNEVTIDRGMSSYLTYLECYCDGSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPCNSRGQAWASFDGKGRIQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPPA >PAN19017 pep chromosome:PHallii_v3.1:3:15782922:15784904:1 gene:PAHAL_3G243300 transcript:PAN19017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGEEKLLATVQHIVQTLGSSDTMTEDILKVFSNYDGRLSLDKLYAARAAAAAAASGGGGGGAGGIGGEHSMPASPPLPPPPAAAAAGVSAAGARPPVTSMERTVRTLDRQISQFVAMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRALLDRADELLSRCMARMEDEFRALIERPDDAAPVVPGGFGSDASDEEDDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSIANVHQIAKRMVDAGFGPWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFIAAVRTQALQLISFGDAISSSSRAPERLFRVVDMYEAVRDILPDLDPVFSDPYSATLRAEVSTMCNTLGSSIKGIFMELENLIRRDPARVAAPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGANGGAPVAVDPDRPTSSLAVHIAWIMDVLHKNLDTKSKIYRDPSLASIFLLNNGKYIIQKVNDSELGVLLGDEWIKQMTTRVRRWSVDYQRTTWGKVTTVLQTGSPGIGGLPAKAMLQKLRMFNTYFEEIFATQSEWVIADEQLRVDIRAAVEDSVMPVYASLIAKLKSSPETGRDWFIKYTPEDVVARIRHLFEGAAK >PVH61304 pep chromosome:PHallii_v3.1:3:512285:514978:-1 gene:PAHAL_3G008600 transcript:PVH61304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSVPAYLSDLGSSHRAVRTQQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTRDPPLFSVTYFNHHTCSTSSNPMGSTRDTAAQSSSRKAVSICFSPHTASEQPAFLTSSAMPVSPNVHSFGANQQPERSPYASQFQWTDASSPSTSNSQVQMEVDSFSAASASSSSRVALPRTLLPIGQSRCIEYFHFL >PVH61305 pep chromosome:PHallii_v3.1:3:512285:514979:-1 gene:PAHAL_3G008600 transcript:PVH61305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSVPAYLSDLGSSHRAVRTQQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRCTYKNDMKCPATKQVQQKDTRDPPLFSVTYFNHHTCSTSSNPMGSTRDTAAQSSSRKAVSICFSPHTASEQPAFLTSSAMPVSPNVHSFGANQQPERSPYASQFQWTDASSPSTSNSQVQMEVDSFSAASASSSSRVALPRTLLPIGQSRCIEYFHFL >PAN17298 pep chromosome:PHallii_v3.1:3:7614804:7622724:-1 gene:PAHAL_3G119600 transcript:PAN17298 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MEPVAAAGTGGDVSADGLIAELLDMGFDFDDIAAAVGAVGPRRAEALEVLLGGSCAGAGQARRGGGTPSRPASRAALPRPAGKGTQLRNPRGRLRQSSITDHIASGAGSRNGSGREASTSFPCSETPVDHRVPVGVDVCSKLGPELQSLVENLGADCSHKDKITAVLQKHFGFSSLKGFQMEVLDAWFAHKDCLVLAATGSGKSLCFQIPALLTTKIVVVISPLISLMHDQCMKLAKHGISACFLGSGQPDSRVEGKAMAGMYKIVYVCPETVLRLMEPLKKLAEKPGIALFAVDEVHCVSKWGHDFRPDYRKLSVLRENFNSTKLKFLKHDIPVMALTATATIPVREDIVKSLKMSEDTKIVLTSFFRPNLQFSVKHSKTSASSYRKDFQELIGTYNASRKFKGKENQLLHEIDPGSESSSYDSLNDSASDDEDSNIDSASCGDKNVGKTKTGMPLVKENTENQLDLYPGVDDFDVSCGEFLEGSQSESSAFPAQSNEASLSECLDQGPTIVYVPTRKETVELANFLCKSGVRAAAYNAKMPKSHLRQVHQQFHCNGLEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCTLYCNFLRAPTLLPNKRSEEQTKAAYRMLRDCFHYSLNTSTCRAKILVKYFGEEFGPDRCDMCDVCINGPPQMHDFKEEAIVFMNVLQGRSGDETEDMIYNSVPHYRSGRRRFGKEPNFRMVVGHIREKLPRYVATDKIWWQGLARILEGMGYIQEAAGKPRVSIQHPELTEDGLKFLNSRSEEPLPAHPDAAMLLAMNDPRPFSGSSEWGRGWADPEIRRQRLAGRRAGRRKRTRRSGGSGGQHPTPTSFTTARERLAAILSKKRRR >PVH61774 pep chromosome:PHallii_v3.1:3:7614294:7623041:-1 gene:PAHAL_3G119600 transcript:PVH61774 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MEPVAAAGTGGDVSADGLIAELLDMGFDFDDIAAAVGAVGPRRAEALEVLLGGSCAGAGQARRGGGTPSRPASRAALPRPAGKGTQLRNPRGRLRQSSITDHIASGAGSRNGSGREASTSFPCSETPVDHRVPVGVDVCSKLGPELQSLVENLGADCSHKDKITAVLQKHFGFSSLKGFQMEVLDAWFAHKDCLVLAATGSGKSLCFQIPALLTTKIVVVISPLISLMHDQCMKLAKHGISACFLGSGQPDSRVEGKAMAGMYKIVYVCPETVLRLMEPLKKLAEKPGIALFAVDEVHCVSKWGHDFRPDYRKLSVLRENFNSTKLKFLKHDIPVMALTATATIPVREDIVKSLKMSEDTKIVLTSFFRPNLQFSVKHSKTSASSYRKDFQELIGTYNASRKFKGKENQLLHEIDPGSESSSYDSLNDSASDDEDSNIDSASCGDKNVGKTKTGMPLVKENTENQLDLYPGVDDFDVSCGEFLEGSQSESSAFPAQSNEASLSECLDQGPTIVYVPTRKETVELANFLCKSGVRAAAYNAKMPKSHLRQVHQQFHCNGLEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCTLYCNFLRAPTLLPNKRSEEQTKAAYRMLRDCFHYSLNTSTCRAKILVKYFGEEFGPDRCDMCDVCINGPPQMHDFKEEAIVFMNVLQGRSGDETEDMIYNSVPHYRSGRRRFGKEPNFRMVVGHIREKVRCN >PVH61777 pep chromosome:PHallii_v3.1:3:7615153:7623041:-1 gene:PAHAL_3G119600 transcript:PVH61777 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MEPVAAAGTGGDVSADGLIAELLDMGFDFDDIAAAVGAVGPRRAEALEVLLGGSCAGAGQARRGGGTPSRPASRAALPRPAGKGTQLRNPRGRLRQSSITDHIASGAGSRNGSGREASTSFPCSETPVDHRVPVGVDVCSKLGPELQSLVENLGADCSHKDKITAVLQKHFGFSSLKGFQMEVLDAWFAHKDCLVLAATGSGKSLCFQIPALLTTKIVVVISPLISLMHDQCMKLAKHGISACFLGSGQPDSRVEGKAMAGMYKIVYVCPETVLRLMEPLKKLAEKPGIALFAVDEVHCVSKWGHDFRPDYRKLSVLRENFNSTKLKFLKHDIPVMALTATATIPVREDIVKSLKMSEDTKIVLTSFFRPNLQFSVKHSKTSASSYRKDFQELIGTYNASRKFKGKENQLLHEIDPGSESSSYDSLNDSASDDEDSNIDSASCGDKNVGKTKTGMPLVKENTENQLDLYPGVDDFDVSCGEFLEGSQSESSAFPAQSNEASLSECLDQGPTIVYVPTRKETVELANFLCKSGVRAAAYNAKMPKSHLRQVHQQFHCNGLEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCTLYCNFLRAPTLLPNKRSEEQTKAAYRMLRDCFHYSLNTSTCRAKILVKYFGEEFGPDRCDMCDVCINGPPQMHDFKEEAIVFMNVLQGRSGDETEDMIYNSVPHYRSGRRRFGKEPNFRMVVGHIREKLPRYVATDKIWWQGLARILEGMGYIQEAAGKPAAPSLCVLLI >PVH61776 pep chromosome:PHallii_v3.1:3:7614294:7623052:-1 gene:PAHAL_3G119600 transcript:PVH61776 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MEPVAAAGTGGDVSADGLIAELLDMGFDFDDIAAAVGAVGPRRAEALEVLLGGSCAGAGQARRGGGTPSRPASRAALPRPAGKGTQLRNPRGRLRQSSITDHIASGAGSRNGSGREASTSFPCSETPVDHRVPVGVDVCSKLGPELQSLVENLGADCSHKDKITAVLQKHFGFSSLKGFQMEVLDAWFAHKDCLVLAATGSGKSLCFQIPALLTTKIVVVISPLISLMHDQCMKLAKHGISACFLGSGQPDSRVEGKAMAGMYKIVYVCPETVLRLMEPLKKLAEKPGIALFAVDEVHCVSKWGHDFRPDYRKLSVLRENFNSTKLKFLKHDIPVMALTATATIPVREDIVKSLKMSEDTKIVLTSFFRPNLQFSVKHSKTSASSYRKDFQELIGTYNASRKFKGKENQLLHEIDPGSESSSYDSLNDSASDDEDSNIDSASCGDKNVGKTKTGMPLVKENTENQLDLYPGVDDFDVSCGEFLEGSQSESSAFPAQSNEASLSECLDQGPTIVYVPTRKETVELANFLCKSGVRAAAYNAKMPKSHLRQVHQQFHCNGLEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCTLYCNFLRAPTLLPNKRSEEQTKAAYRMLRDCFHYSLNTSTCRAKILVKYFGEEFGPDRCDMCDVCINGPPQMHDFKEEAIVFMNVLQGRSIWPEKVWERT >PVH61775 pep chromosome:PHallii_v3.1:3:7614804:7622724:-1 gene:PAHAL_3G119600 transcript:PVH61775 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MEPVAAAGTGGDVSADGLIAELLDMGFDFDDIAAAVGAVGPRRAEALEVLLGGSCAGAGQARRGGGTPSRPASRAALPRPAGKGTQLRNPRGRLRQSSITDHIASGAGSRNGSGREASTSFPCSETPVDHRVPVGVDVCSKLGPELQSLVENLGADCSHKDKITAVLQKHFGFSSLKGFQMEVLDAWFAHKDCLVLAATGSGKSLCFQIPALLTTKIVVVISPLISLMHDQCMKLAKHGISACFLGSGQPDSRVEGKAMAGMYKIVYVCPETVLRLMEPLKKLAEKPGIALFAVDEVHCVSKWGHDFRPDYRKLSVLRENFNSTKLKFLKHDIPVMALTATATIPVREDIVKSLKMSEDTKIVLTSFFRPNLQFSVKHSKTSASSYRKDFQELIGTYNASRKFKGKENQLLHEIDPGSESSSYDSLNDSASDDEDSNIDSASCGDKNVGKTKTGMPLVKENTENQLDLYPGVDDFDVSCGEFLEGSQSESSAFPAQSNEASLSECLDQGPTIVYVPTRKETVELANFLCKSGVRAAAYNAKMPKSHLRQVHQQFHCNGLEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCTLYCNFLRAPTLLPNKRSEEQTKAAYRMLRDCFHYSLNTSTCRAKILVKYFGEEFGPDRCDMCDVCINGPPQMHDFKEEAIVFMNVLQGRSGDETEDMIYNSVPHYRSGRRRFGKEPNFRMVVGHIREKLPRYVATDKIWWQGLARILEGMGYIQEAAGKPRVSIQHPELTEDGLKFLNSRSEEPLPAHPDAAMLLAMNDPRPFSGSSEWGRGWADPEIRRQRLAGRRAGRRKRTRRSGGSGGQHPTPTSFTTARERLAAILSKKRRR >PAN19173 pep chromosome:PHallii_v3.1:3:16660688:16661646:1 gene:PAHAL_3G254300 transcript:PAN19173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >PAN21145 pep chromosome:PHallii_v3.1:3:58258673:58261963:1 gene:PAHAL_3G445100 transcript:PAN21145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPAAAAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFSILYARYRAGVVTRDMLALPKSRFVAIGLLEALGVASGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSARQIIGCLLVTSGVILAVASGANEGQFLSEVQLIWPALMITSSAFQAGASILKEAVFIDGAERLKGMRPDIFVVNSFGSGFQALFVFLLLPFLSNLRGIKFAELPGYVNGGAECFLNVGESPIDCGGAPFLPLLFIVVNMAFNISLLNLVKMSSALVASLTSTSAVPISIYILSLPLPYIPHGAELSTSFVIGAVVMLMGLILYNLPHSLSKQSKAD >PAN21146 pep chromosome:PHallii_v3.1:3:58258673:58261963:1 gene:PAHAL_3G445100 transcript:PAN21146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPAAAAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFSILYARYRAGVVTRDMLALPKSRFVAIGLLEALGVASGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSARQIIGCLLVTSGVILAVASGANEGQFLSEVQLIWPALMITSSAFQAGASILKALFVFLLLPFLSNLRGIKFAELPGYVNGGAECFLNVGESPIDCGGAPFLPLLFIVVNMAFNISLLNLVKMSSALVASLTSTSAVPISIYILSLPLPYIPHGAELSTSFVIGAVVMLMGLILYNLPHSLSKQSKAD >PVH62512 pep chromosome:PHallii_v3.1:3:22522447:22523031:-1 gene:PAHAL_3G313900 transcript:PVH62512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLGFGSLSPAAAAPAPEVEDTSGHWAPHGAVLTAFVVGINVLVIVLIFFFFCRFFSGKGGDSASDDTDDGELPVASPWASHRRRRREAPAARALEDVALALPVYVYSSSADADGGGKKAPECAVCIVELRDGDSARSLPRCGHRFHADCVGAWLRLHATCPLCRATVMAPAAGGEARNAKDDVGVGGADCPL >PVH62664 pep chromosome:PHallii_v3.1:3:37355582:37357663:-1 gene:PAHAL_3G362200 transcript:PVH62664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVFKLFFVPVWRWPKKDSVCLYRECSLLAPGSLGAEPSPSLLPREELWLWELRRPAGQLTTSMLSPILYDMLLSISLLLHYYALAI >PVH62665 pep chromosome:PHallii_v3.1:3:37355583:37357656:-1 gene:PAHAL_3G362200 transcript:PVH62665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVFKLFFVPVWRWPKKDSVCLYRECSLLAPGSLGAEPSPSLLPREELWLWELRRPAGTSTSLLISSQVLSHVPHCLNVLLMFAGQLTTSMLSPILYDMLLSISLLLHYYALAI >PAN17444 pep chromosome:PHallii_v3.1:3:8501138:8510111:-1 gene:PAHAL_3G131900 transcript:PAN17444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGSKSIDGIGAEAAGVGAAGGGGEAGEGAAAPEAWHGGAQLYVSLKMENARISGDLVPHVCGSEPIIGSWDPARALAMERELASMWQLSFVVPPDHETLDFKFLLKPKDAATPCIIEEGPTRLLTGGMLEGDVRVALFKHNGDDEVLEFRVFNKADIVSPLELAASWRVYKENFQPSRVRGIPDISINVAPTHGTEEGSGATLELDLEHYVVPTPTAPPNEYPANLAATPASLIQTGALQTNDMLLNDGIQSPSSVSADFDGHSNHKKNTETWATDSSKKIQTSGLVESKSVGTFTPLQKPDGQKGMFIDRGVGSPKLPKSTSACSLASGLGFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETRHFNVGKYRRLKHGANLSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRCMLMKMAEGNCKIIFLETICNDPSIIERNIRLKIQQSPDYADQPDYEAGLQDFMERLMNYEKVYEPVGEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEAGELYAKKLANFIEKRLKSEKTATIWTSTLQRTILTASPILGFPKIQWRALDEINSGVCDGMTYEEIKKTMPEEFESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >PAN17443 pep chromosome:PHallii_v3.1:3:8501138:8510111:-1 gene:PAHAL_3G131900 transcript:PAN17443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGDVRVALFKHNGDDEVLEFRVFNKADIVSPLELAASWRVYKENFQPSRVRGIPDISINVAPTHGTEEGSGATLELDLEHYVVPTPTAPPNEYPANLAATPASLIQTGALQTNDMLLNDGIQSPSSVSADFDGHSNHKKNTETWATDSSKKIQTSGLVESKSVGTFTPLQKPDGQKGMFIDRGVGSPKLPKSTSACSLASGLGFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETRHFNVGKYRRLKHGANLSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRCMLMKMAEGNCKIIFLETICNDPSIIERNIRLKIQQSPDYADQPDYEAGLQDFMERLMNYEKVYEPVGEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEAGELYAKKLANFIEKRLKSEKTATIWTSTLQRTILTASPILGFPKIQWRALDEINSGVCDGMTYEEIKKTMPEEFESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >PAN17445 pep chromosome:PHallii_v3.1:3:8501814:8509787:-1 gene:PAHAL_3G131900 transcript:PAN17445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGSKSIDGIGAEAAGVGAAGGGGEAGEGAAAPEAWHGGAQLYVSLKMENARISGDLVPHVCGSEPIIGSWDPARALAMERELASMWQLSFVVPPDHETLDFKFLLKPKDAATPCIIEEGPTRLLTGGMLEGDVRVALFKHNGDDEVLEFRVFNKADIVSPLELAASWRVYKENFQPSRVRGIPDISINVAPTHGTEEGSGATLELDLEHYVVPTPTAPPNEYPANLAATPASLIQTGALQTNDMLLNDGIQSPSSVSADFDGHSNHKKNTETWATDSSKKIQTSGLVESKSVGTFTPLQKPDGQKGMFIDRGVGSPKLPKSTSACSLASGLGFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETRHFNVGKYRRLKHGANLSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRCMLMKMAEGNCKIIFLETICNDPSIIERNIRLKIQQSPDYADQPDYEAGLQDFMERLMNYEKVYEPVGEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEAGELYAKKLANFIEKRLKSEKTATIWTSTLQRTILTASPILGFPKIQWRALDEINSGVCDGMTYEEIKKTMPEEFESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEHLFVGRCHYIP >PAN17442 pep chromosome:PHallii_v3.1:3:8501755:8508841:-1 gene:PAHAL_3G131900 transcript:PAN17442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTRCSSSGCSTRRTSCRRSNSQLAGGCTRRTFSLPESGESLTSVSMLHPLMELRFLFPVFLQEGSGATLELDLEHYVVPTPTAPPNEYPANLAATPASLIQTGALQTNDMLLNDGIQSPSSVSADFDGHSNHKKNTETWATDSSKKIQTSGLVESKSVGTFTPLQKPDGQKGMFIDRGVGSPKLPKSTSACSLASGLGFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETRHFNVGKYRRLKHGANLSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRCMLMKMAEGNCKIIFLETICNDPSIIERNIRLKIQQSPDYADQPDYEAGLQDFMERLMNYEKVYEPVGEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEAGELYAKKLANFIEKRLKSEKTATIWTSTLQRTILTASPILGFPKIQWRALDEINSGVCDGMTYEEIKKTMPEEFESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >PVH61902 pep chromosome:PHallii_v3.1:3:9790932:9793080:-1 gene:PAHAL_3G152000 transcript:PVH61902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRAAQSNKQSCSLSSQKEEQISDASGRGHKATPISFPPANLRAGFQLHVLERAAVATTELEKRLMISMQNIENYLETQTETMAGKHPIAYCKMCSLRAGRVEGKRSKIDPSLKRVDVDHSPRMQVGVQGTNERRPNLTRLHPSPGPVLASRPPLLLRHILKFEMIRPPCSVCASFSVLFTTTTAPSSLTPMHTSDSYIKDQKLYPDMHVTREISVDHRMR >PVH62845 pep chromosome:PHallii_v3.1:3:53748321:53750323:-1 gene:PAHAL_3G414400 transcript:PVH62845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYAIVVDEADGSFLVIGFIFTFTAAVFSSRTGKWVSINMESVMMAKSDVKHEEDEEDEDVYHEDYEDVIFYSFHSFSSDGMAAGRFAYWRSDTKKHRHFNPVERILVLDTATMEWSVITAPIPAGESYCLADMPEHGGLCLFSSKEQCLQLWVRNSVDGWVLKKEFSLLSEWMKKIRRAEWMKRVRVLAARAGYVYMEFWSIRKANSYFLVLNMRTMKMSVFPNNPEDPHRGPAFPFFMRLEPLLGPDEDQNVHLNSV >PAN19011 pep chromosome:PHallii_v3.1:3:15667422:15668159:-1 gene:PAHAL_3G241300 transcript:PAN19011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSPRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERSGRTWIARMSRLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIHGVEKLTQELEEQRTRAATAEYSLAALQAQMQEYETRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH61573 pep chromosome:PHallii_v3.1:3:4161099:4161995:1 gene:PAHAL_3G065200 transcript:PVH61573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMAVPPPSRANPSRRGIAWEICPRTPPRLQIEYQEDQRQYPRCPKLARPQILPEFTVSMGRNYFKQTVALTNACKEDVYKYEKAENLERRFWCQLHQDFYSSVVMRKGKAPIISCKYVDWAYFEKINNPFFNQDIAKCKGFCLYDIMGFRYDWNEEILAQFHSSLFYDARLLGLGSEDEKRDPIHVENQLKPNQLPALFYNPILAEAGNASTLQPFYYTMNQFFHATIDAKDGDATALRYFACNLLARVMPGGRPFSIMDFIWNELRRTMNDP >PVH61591 pep chromosome:PHallii_v3.1:3:4535714:4537167:-1 gene:PAHAL_3G071300 transcript:PVH61591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADLRSELVSQLFGSLQDCTSHAISELQTHHSAQLIDIDRRGSAVNISDCKKDTLPRKDKLFLKCQATSYIVHQKNLFPLFGRYGDSTSYRTPVPHYDGHQWRKYGQKNIKNSMHQRSYYRCTYKHEQNCMATKTVQQQEHNTTEPGMYTVVYYGHHTCKANTGPAPPHVIETSTPQSAMSSDSIIGSQEIVSPHTGSHKILENEHATLQWTEDMQGLLEKIADVPLDSDIWEMDRF >PAN18392 pep chromosome:PHallii_v3.1:3:12972278:12974585:-1 gene:PAHAL_3G198100 transcript:PAN18392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSSPPLHARLLPLPLPPNPGSALPAGGARRVAPPRPLRHRCLATPPSRNGSSSSPETEWCPVPLEQRPVNEYEALASSLPFSWAAGDLRVYCSRLALTGAAFALFVGLPVAAFGGRGGAGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAGSLILCILLYVNTENPKEPYDNTGGRAIPGVYSDTAARSFEPDAFCGEPDLS >PVH62733 pep chromosome:PHallii_v3.1:3:44295096:44295956:-1 gene:PAHAL_3G382700 transcript:PVH62733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPSLLINALESLGVTERPRYYSREYEHHGTLRCRVILVIAKSNRYPHIQPWQVTAIGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPVWEARMRSLEPALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTRSGTPVPAIGEDHVLLGTPVIGWGPLFGNSPAPSENPEGFATAVERDGAAQPLANGNPENGEQGLLTLPTPEGGTPRE >PAN16275 pep chromosome:PHallii_v3.1:3:3186996:3188190:1 gene:PAHAL_3G050100 transcript:PAN16275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFTFPTVPFEHCNAAKKVPSPHFASPSPWLVVPGGVVDTAVHEHDHRRSFSAVEQQTPGSDDHHHLGGYDGQHHGSARFAVEDKMDMLWEDFNEELARAAQPCPLTKGTPSWAATKEPWFAGDGYEGAGETRKHAVVRRRRMGLLMMLRLLKKLFLAHKSGGAPSRKAPPI >PAN16015 pep chromosome:PHallii_v3.1:3:1466194:1466816:1 gene:PAHAL_3G026300 transcript:PAN16015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGAQQRLFEGPEQQDQFDEATRVLVEQGHHGLVQRVLVILQQPQFDEAMRVLNDDEEHDGGLADDQLVLDEFCRLLTGAVFRMLDAGYDEHDTFQVLHLLVLMAAREVPGFLDYESQPNSDGGFGAVPAPDAAVARLEKRTFRAGGDGGGGVTECSICFGDFVDGEEFHPECITKWLGTSNTCPLCRHGLAC >PVH63290 pep chromosome:PHallii_v3.1:3:64218443:64220286:-1 gene:PAHAL_3G514600 transcript:PVH63290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGLEMVGGDADVPAYDSGFGYGWAGVWSTVASLLFLWSMVQDHLPFQLEDHLAALARRVLAAVSPYVTITIDEHAADSFARSEAYRAAEAYLSATCAGRAARLRADLPDGSDRVSLAVDDHVEVVDDFRGARLCWRKTKTLRRTNVIAWNPREEERRAYRLTFHRRHRALVEAAYLPHVLAEGRAVTVRNRQRRLFTNNTSGDWGGGEDGPRVWSHVKLEHPSTFATLAMDPARKQEIVDDLEMFRDGKEYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKKSNKNDKKKKKKMPWDNDEEDKDGKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFEGFKVLANNYLGVAEHELFGEIRRLLEEVNVTPADVAENLMPRSKKKDVDACLGKLVKALKEASREAALAAKPLALNKDEDKDEEGTDEDEDDDNSSITSSGHDSDSDDEEEKTTKKKEAKKKG >PVH61282 pep chromosome:PHallii_v3.1:3:313122:315461:-1 gene:PAHAL_3G004800 transcript:PVH61282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPSRHPLLHRPLHDPRPQLPPRRALLFPGVPPRRPLRPPPRRAARRPRRHHLPHPHLRALPRPATHRRRRPPAMHARPPARPGPAPLPRGPRLPLLLRPRSGRASVPGRHAPVGRLAQPVGPPRRPRRAPAGGDGGRGVRGRGEADGRRRGAPGSPGVRAGAPRLPRERIVRRRRGGVRRNAPAGARAGRARLGRLRRRAVRQGRPGRRAPDAGVHGARRVPARRHDVRRRGRRVRGLWGHGRREGGGAGGGQTGPAVGCAGAVGAGWRAAWRQPSRAGAGAAAGSPA >PAN18530 pep chromosome:PHallii_v3.1:3:13573529:13576451:1 gene:PAHAL_3G208800 transcript:PAN18530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRALRWLKKVLTGTKKEGERGRKEHNAACASGGLGLGPPPVEKRRWSFAKPRHSVADGGRRPSVTAVAAGELSQVRPCNCGLEREAEAAVVIQKAFRGYLARKALRALKSLVKLQALVRGYLVRKQAATTLRRLQALMRLQAKTASSRKSVEQERIVAPTKPLALPMVHRRRLSDGGDTGFDRSPRIVEMDTCQLRCRSSRITSRYAADPPGASPLLYFLKPASRLQGQELDPPHPKTTHNTPRLGAFPGYLGSPAAKPGRGATCRDAGSSPRYMAGTASSVARTRCQSAPRQRQGEHAAAQAQAAEPRPSVGSRKQARPQPQALDSFSFKSSEASRVEDSELSDEVTRDYYLDRLW >PAN20112 pep chromosome:PHallii_v3.1:3:54177236:54180780:-1 gene:PAHAL_3G417300 transcript:PAN20112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKAQGARLVEASLDDQAGLVAAVAQADVVVSAMSGAHIRSHNLHLQRKLVEAIKEAGNVKRFIPSEFGMDPSRMRHALEPGRVTFDEKMEIRRAIEEANIPHTYVSANFFAAYFCPNLCQMRTLLPPKEKVHVYGDGNVKAIFVDEDDIATYTIKSIDDPRTLNKTIYIRPQENILTQNEVIAKWEKLSGKVLEKVHVPADEFLASMKGTDLANQVGVTHYYHFFYEGCLTNFEIGEDGAEATLLYPEVQYTRMDEYMKIYL >PAN20111 pep chromosome:PHallii_v3.1:3:54177426:54179684:-1 gene:PAHAL_3G417300 transcript:PAN20111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFLQVKNPMGADSGVTLYQQARIQRFIPSEFGMDPSRMRHALEPGRVTFDEKMEIRRAIEEANIPHTYVSANFFAAYFCPNLCQMRTLLPPKEKVHVYGDGNVKAIFVDEDDIATYTIKSIDDPRTLNKTIYIRPQENILTQNEVIAKWEKLSGKVLEKVHVPADEFLASMKGTDLANQVGVTHYYHFFYEGCLTNFEIGEDGAEATLLYPEVQYTRMDEYMKIYL >PVH62857 pep chromosome:PHallii_v3.1:3:54177426:54179684:-1 gene:PAHAL_3G417300 transcript:PVH62857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFLQVKNPMGADSGVTLYQQARIQRFIPSEFGMDPSRMRHALEPGRVTFDEKMEIRRAIEEANIPHTYVSANFFAAYFCPNLCQMRTLLPPKEKVHVYGDGNVKAIFVDEDDIATYTIKSIDDPRTLNKTIYIRPQENILTQNEVIAKWEKLSGKVLEKVHVPADEFLASMKGTDLANQVGVTHYYHFFYEGCLTNFEIGEDGAEATLLYPEVQYTRMDEYMKIYL >PVH62858 pep chromosome:PHallii_v3.1:3:54177237:54180780:-1 gene:PAHAL_3G417300 transcript:PVH62858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKAQGARLVEASLDDQAGLVAAVAQADVVVSAMSGAHIRSHNLHLQRKLVEAIKEAGNVKRFIPSEFGMDPSRMRHALEPGRVTFDEKMEIRRAIEEANIPHTYVSANFFAAYFCPNLCQMRTLLPPKEKVHVYGDGNVKAIFVDEDDIATYTIKSIDDPRTLNKTIYIRPQENILTQNEVIAKWEKLSGKVLEKVHVPADEFLASMKGCLTNFEIGEDGAEATLLYPEVQYTRMDEYMKIYL >PAN17294 pep chromosome:PHallii_v3.1:3:7590073:7594089:-1 gene:PAHAL_3G119300 transcript:PAN17294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAAAEGAAAALRSVLSRAQQAAARAGRAPGSVRVVAVSKTKPVPVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDEKIANRLDHVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVELAKHVKLNCPNLVFSGLMTIGMLDYSSTPENFKTLANCQKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >PAN17833 pep chromosome:PHallii_v3.1:3:10150796:10172868:-1 gene:PAHAL_3G158200 transcript:PAN17833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRQVDDGPAVLQLCRWTLSQTHLRLSKFREVFISPTRRLFGLLSDYGDLVLATVEVNPSQVELPKALSDTCTQAVFETFSSIPRVKSLAWGYCSDASSQLEDSSFNEVLVVSSDASITVHAFCRSRKSTLTVNSTSDPKELHGEWKEWRPTECSVLEDGESGPKNWFCSFLTTITASVSNGKYQARFPMKALLPHSAEVVSFNLYDTTLSFLKFWFSKCSPKTMMETDSESSQSFFSSLPVDESSCSCQWECLKVLSSSSGHLIGLVLTPNESVTCEAHTCNTECVLVAVLELHQWGIQWNFVANLQDARAGIKPSPKWVDFQLSDMFLACLNATGFVAIWDVKTGGLATSFSALQRCRTGLVMPLRSSMPDVTNLDGGNISVENFAGRMFKRLVLASYSHLLAVVDEVGVVYVFYADDTLNFKANVHENFDLSVMNNFGDCLSAWEAAGHEIGSLSFSTHQSIRQGSLNPAKWVPEVTGKNDVGIVRPRKRRKCRCDENEVDSWPSGFIATGKMKVGAVYPDTVNSSSSLRRVLLPPCRSKDDVISLSPLGLMRAFKSSNADGSEHVKIFHTELLMYSSFLGERDIDAGFMDKRLPFKKDSAFVGDSVVCSFQGYLYLITQYGLSVVLPPVSISSFSSHGDAIKFWQSGFAVSSTCNALNLLSVDRSETRWKTWQIEVLDRALLYEGPSLADRLCWENGWDLKVSRLRWLQLALHYLMISDLEQSLNMLAEVNLAEEGVLQLLLASIHRLSSRTGNDNEVTLSSKLMVLAVRFATRMIKCYGLQKQNSDMPDNSVKLHEMASLLMVIRSIQSRVSAKNQNSVRMGDDKNSLKIGTEVLQNDSSLPVVVVDGVPSGPGGLDVHDRQESAHVLAPDSNSLLALTPVESSLSASNFLDIDTNKGTAQVGRQIIQGNIKEMINRWEMNNFDLKTVVKEALQSGRLPLAVLQLQLLRQRELVSNEDPEDAFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDVESDLRQLMQGTVRRSLRLQIADEMKKRGYIRSDEWKMLETITLIERFYPSSSFWDTYFGRENVIRDAANIVTLPGEDKPVLALHIRDHPLVECGDVDGAVLGSWVNINDYTDSKEFPASNISDGYWACAAVWSDAWDQITVDRILLDQPNHIYAHIPWESQFEYFIGHNDAGKVCELLDMIPNSVLLEGIIRVNVDSLQAADNTVSDLTVPDYNMYICDSEELEPVCMEIPHVKIFRSLYNHESTLYIRILMQQELAKKHIFVKEYWQSTTEIIPLLAHAGMLLKVGPKTECSTPFCAPEMPDDANHRGREGALHKLVIRFCVQHNLPYLLELYLDNCNLAPEKDCIPLLKDATGDCKWAQWLLFSRIKGHEYEASFSNARWNLSQKMVNNSNLTAIEIDEMLYTVDDMAERIGEMSALATLMYASAPIQKSICTGSVNRSRGLSSQCTLENLGPCLQQFPTLWKTLFSAFVGQDAYGCLNYSPANVFGKSSISEYLRWRYSIFSSAGGDTSLLQMLPCWVPKSIRRLIQLFEQGPFGMQLLSNAPSSEELFTHNVTDYIYNSTGFTEANALSLEASIQKSVEEELYSSLEEKDVRVEHHLHRGRALAAFRHLLVKRASQLKSASARQVISAQSNVQADVQLILAPLSQAERSILLSVAPLAITNFEDSTLVASCIFLLELCGLCANMLRLDIAALRRISSYYKSVRQNKHFDLSSTRTPELHVQSQGADIAPALARALAEDYVQSDHLHVLEQTQTSMTPKREQTPQPLIAILQHLEKASLPSLDEGKTCGFWLLTGVGDASFYRSQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQIAGFPIEVVIEVAAREIRDSRLRTHILTVLKNMMSLRRKSSGNIPSGSSDSSFSAVDGNNPMELFCILGVCEKQKNPGEALLNKAKQMQWSLLAMIASCFPDVTPLSCLSVWLEITAAREMASIKVDDISSKIAKNVGSAVEATNKLPGTSRNVEFRYNRKNPKRRRFLEASSEKFTICFSLDSSCGPNSAGTPYPADVDAHQERGKPISGETIMSTDFDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLAFIRALQAFSQMRLPEASAHLASFSVRIKDEASHAQQNSSKEVSVVAGWVAATAVKAADAVLSTCPSIYEKRCLLQLLSAVDFADGGTSSSYFSKRYWKINLSEPSLYKDTDIYNWNDLMDDASLLTSLEKDGQWEQARTWARQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMRYSFPPLKAGLFFLKHAEAVGKEIPARELHEILLLSLQWLSGTMTKSSPVYPLHLLREVETRVWLLAVESESQSKADGEFATCAVAHNIAAGNCTSIIEQTADVITKIDSNMSSPHMKATERNPIRDNLSCQHVQLFESNSEASSATTNSTRAKRRVKTNLPLRRGVNDDFESRTNDLDNNSSNFHSSKIGEQARNLLSEEEFAKMEASLSGWEQNVRPVDVEKAVLSLLEFGQITAAKQLQQKLSPSYIPEELVLVDVALRIANNSCNGEISLSCFDTEALSILKSLGVASSSDMIDPLQVMEKLAVKCGEGRGRAIIRRIIAVIRTAKLLGLPFSETFEKQPIELLQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVDSYVLEGDFSCLARLITGVSNFHSLSFILSILVENGQLELLLQKYSATDTTTGTLASVRGFRMAVITSLKQFNPNDDDALSMDLMLCWSNYSAD >PAN17836 pep chromosome:PHallii_v3.1:3:10149811:10172868:-1 gene:PAHAL_3G158200 transcript:PAN17836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRQVDDGPAVLQLCRWTLSQTHLRLSKFREVFISPTRRLFGLLSDYGDLVLATVEVNPSQVELPKALSDTCTQAVFETFSSIPRVKSLAWGYCSDASSQLEDSSFNEVLVVSSDASITVHAFCRSRKSTLTVNSTSDPKELHGEWKEWRPTECSVLEDGESGPKNWFCSFLTTITASVSNGKYQARFPMKALLPHSAEVVSFNLYDTTLSFLKFWFSKCSPKTMMETDSESSQSFFSSLPVDESSCSCQWECLKVLSSSSGHLIGLVLTPNESVTCEAHTCNTECVLVAVLELHQWGIQWNFVANLQDARAGIKPSPKWVDFQLSDMFLACLNATGFVAIWDVKTGGLATSFSALQRCRTGLVMPLRSSMPDVTNLDGGNISVENFAGRMFKRLVLASYSHLLAVVDEVGVVYVFYADDTLNFKANVHENFDLSVMNNFGDCLSAWEAAGHEIGSLSFSTHQSIRQGSLNPAKWVPEVTGKNDVGIVRPRKRRKCRCDENEVDSWPSGFIATGKMKVGAVYPDTVNSSSSLRRVLLPPCRSKDDVISLSPLGLMRAFKSSNADGSEHVKIFHTELLMYSSFLGERDIDAGFMDKRLPFKKDSAFVGDSVVCSFQGYLYLITQYGLSVVLPPVSISSFSSHGDAIKFWQSGFAVSSTCNALNLLSVDRSETRWKTWQIEVLDRALLYEGPSLADRLCWENGWDLKVSRLRWLQLALHYLMISDLEQSLNMLAEVNLAEEGVLQLLLASIHRLSSRTGNDNEVTLSSKLMVLAVRFATRMIKCYGLQKQNSDMPDNSVKLHEMASLLMVIRSIQSRVSAKNQNSVRMGDDKNSLKIGTEVLQNDSSLPVVVVDGVPSGPGGLDVHDRQESAHVLAPDSNSLLALTPVESSLSASNFLDIDTNKGTAQVGRQIIQGNIKEMINRWEMNNFDLKTVVKEALQSGRLPLAVLQLQLLRQRELVSNEDPEDAFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDVESDLRQLMQGTVRRSLRLQIADEMKKRGYIRSDEWKMLETITLIERFYPSSSFWDTYFGRENVIRDAANIVTLPGEDKPVLALHIRDHPLVECGDVDGAVLGSWVNINDYTDSKEFPASNISDGYWACAAVWSDAWDQITVDRILLDQPNHIYAHIPWESQFEYFIGHNDAGKVCELLDMIPNSVLLEGIIRVNVDSLQAADNTVSDLTVPDYNMYICDSEELEPVCMEIPHVKIFRSLYNHESTLYIRILMQQELAKKHIFVKEYWQSTTEIIPLLAHAGMLLKVGPKTECSTPFCAPEMPDDANHRGREGALHKLVIRFCVQHNLPYLLELYLDNCNLAPEKDCIPLLKDATGDCKWAQWLLFSRIKGHEYEASFSNARWNLSQKMVNNSNLTAIEIDEMLYTVDDMAERIGEMSALATLMYASAPIQKSICTGSVNRSRGLSSQCTLENLGPCLQQFPTLWKTLFSAFVGQDAYGCLNYSPANVFGKSSISEYLRWRYSIFSSAGGDTSLLQMLPCWVPKSIRRLIQLFEQGPFGMQLLSNAPSSEELFTHNVTDYIYNSTGFTEANALSLEASIQKSVEEELYSSLEEKDVRVEHHLHRGRALAAFRHLLVKRASQLKSASARQVISAQSNVQADVQLILAPLSQAERSILLSVAPLAITNFEDSTLVASCIFLLELCGLCANMLRLDIAALRRISSYYKSVRQNKHFDLSSTRTPELHVQSQGADIAPALARALAEDYVQSDHLHVLEQTQTSMTPKREQTPQPLIAILQHLEKASLPSLDEGKTCGFWLLTGVGDASFYRSQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQIAGFPIEVVIEVAAREIRDSRLRTHILTVLKNMMSLRRKSSGNIPSGSSDSSFSAVDGNNPMELFCILGVCEKQKNPGEALLNKAKQMQWSLLAMIASCFPDVTPLSCLSVWLEITAAREMASIKVDDISSKIAKNVGSAVEATNKLPGTSRNVEFRYNRKNPKRRRFLEASSEKFTICFSLDSSCGPNSAGTPYPADVDAHQERGKPISGETIMSTDFDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLAFIRALQAFSQMRLPEASAHLASFSVRIKDEASHAQQNSSKEVSVVAGWVAATAVKAADAVLSTCPSIYEKRCLLQLLSAVDFADGGTSSSYFSKRYWKINLSEPSLYKDTDIYNWNDLMDDASLLTSLEKDGQWEQARTWARQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMRYSFPPLKAGLFFLKHAEAVGKEIPARELHEILLLSLQWLSGTMTKSSPVYPLHLLREVETRVWLLAVESESQSKADGEFATCAVAHNIAAGNCTSIIEQTADVITKIDSNMSSPHMKATERNPIRDNLSCQHVQLFESNSEASSATTNSTRAKRRVKTNLPLRRGVNDDFESRTNDLDNNSSNFHSSKIGEQARNLLSEEEFAKMEASLSGWEQNVRPVDVEKAVLSLLEFGQITAAKQLQQKLSPSYIPEELVLVDVALRIANNSCNGEISLSCFDTEALSILKSLGVASSSDMIDPLQVMEKLAVKCGEGRGRAIIRRIIAVIRTAKLLGLPFSETFEKQPIELLQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVDSYVLEGDFSCLARLITGVSNFHSLSFILSILVENGQLELLLQKYSATDTTTGTLASVRGFRMAVITSLKQFNPNDDDALSMVYRHFDMKHEAASLLELRAEQYMNSWLSRYDKERRNDELLEAMRHLVETAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVSVLPLQPPMLLELARFYRAEVAARGEQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLAILATGFLDVLGICNRVLDKVPENAGPLILRKGHGGAYLPLM >PAN17834 pep chromosome:PHallii_v3.1:3:10149130:10174288:-1 gene:PAHAL_3G158200 transcript:PAN17834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRQVDDGPAVLQLCRWTLSQTHLRLSKFREVFISPTRRLFGLLSDYGDLVLATVEVNPSQVELPKALSDTCTQAVFETFSSIPRVKSLAWGYCSDASSQLEDSSFNEVLVVSSDASITVHAFCRSRKSTLTVNSTSDPKELHGEWKEWRPTECSVLEDGESGPKNWFCSFLTTITASVSNGKYQARFPMKALLPHSAEVVSFNLYDTTLSFLKFWFSKCSPKTMMETDSESSQSFFSSLPVDESSCSCQWECLKVLSSSSGHLIGLVLTPNESVTCEAHTCNTECVLVAVLELHQWGIQWNFVANLQDARAGIKPSPKWVDFQLSDMFLACLNATGFVAIWDVKTGGLATSFSALQRCRTGLVMPLRSSMPDVTNLDGGNISVENFAGRMFKRLVLASYSHLLAVVDEVGVVYVFYADDTLNFKANVHENFDLSVMNNFGDCLSAWEAAGHEIGSLSFSTHQSIRQGSLNPAKWVPEVTGKNDVGIVRPRKRRKCRCDENEVDSWPSGFIATGKMKVGAVYPDTVNSSSSLRRVLLPPCRSKDDVISLSPLGLMRAFKSSNADGSEHVKIFHTELLMYSSFLGERDIDAGFMDKRLPFKKDSAFVGDSVVCSFQGYLYLITQYGLSVVLPPVSISSFSSHGDAIKFWQSGFAVSSTCNALNLLSVDRSETRWKTWQIEVLDRALLYEGPSLADRLCWENGWDLKVSRLRWLQLALHYLMISDLEQSLNMLAEVNLAEEGVLQLLLASIHRLSSRTGNDNEVTLSSKLMVLAVRFATRMIKCYGLQKQNSDMPDNSVKLHEMASLLMVIRSIQSRVSAKNQNSVRMGDDKNSLKIGTEVLQNDSSLPVVVVDGVPSGPGGLDVHDRQESAHVLAPDSNSLLALTPVESSLSASNFLDIDTNKGTAQVGRQIIQGNIKEMINRWEMNNFDLKTVVKEALQSGRLPLAVLQLQLLRQRELVSNEDPEDAFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDVESDLRQLMQGTVRRSLRLQIADEMKKRGYIRSDEWKMLETITLIERFYPSSSFWDTYFGRENVIRDAANIVTLPGEDKPVLALHIRDHPLVECGDVDGAVLGSWVNINDYTDSKEFPASNISDGYWACAAVWSDAWDQITVDRILLDQPNHIYAHIPWESQFEYFIGHNDAGKVCELLDMIPNSVLLEGIIRVNVDSLQAADNTVSDLTVPDYNMYICDSEELEPVCMEIPHVKIFRSLYNHESTLYIRILMQQELAKKHIFVKEYWQSTTEIIPLLAHAGMLLKVGPKTECSTPFCAPEMPDDANHRGREGALHKLVIRFCVQHNLPYLLELYLDNCNLAPEKDCIPLLKDATGDCKWAQWLLFSRIKGHEYEASFSNARWNLSQKMVNNSNLTAIEIDEMLYTVDDMAERIGEMSALATLMYASAPIQKSICTGSVNRSRGLSSQCTLENLGPCLQQFPTLWKTLFSAFVGQDAYGCLNYSPANVFGKSSISEYLRWRYSIFSSAGGDTSLLQMLPCWVPKSIRRLIQLFEQGPFGMQLLSNAPSSEELFTHNVTDYIYNSTGFTEANALSLEASIQKSVEEELYSSLEEKDVRVEHHLHRGRALAAFRHLLVKRASQLKSASARQVISAQSNVQADVQLILAPLSQAERSILLSVAPLAITNFEDSTLVASCIFLLELCGLCANMLRLDIAALRRISSYYKSVRQNKHFDLSSTRTPELHVQSQGADIAPALARALAEDYVQSDHLHVLEQTQTSMTPKREQTPQPLIAILQHLEKASLPSLDEGKTCGFWLLTGVGDASFYRSQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQIAGFPIEVVIEVAAREIRDSRLRTHILTVLKNMMSLRRKSSGNIPSGSSDSSFSAVDGNNPMELFCILGVCEKQKNPGEALLNKAKQMQWSLLAMIASCFPDVTPLSCLSVWLEITAAREMASIKVDDISSKIAKNVGSAVEATNKLPGTSRNVEFRYNRKNPKRRRFLEASSEKFTICFSLDSSCGPNSAGTPYPADVDAHQERGKPISGETIMSTDFDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLAFIRALQAFSQMRLPEASAHLASFSVRIKDEASHAQQNSSKEVSVVAGWVAATAVKAADAVLSTCPSIYEKRCLLQLLSAVDFADGGTSSSYFSKRYWKINLSEPSLYKDTDIYNWNDLMDDASLLTSLEKDGQWEQARTWARQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMRYSFPPLKAGLFFLKHAEAVGKEIPARELHEILLLSLQWLSGTMTKSSPVYPLHLLREVETRVWLLAVESESQSKADGEFATCAVAHNIAAGNCTSIIEQTADVITKIDSNMSSPHMKATERNPIRDNLSCQHVQLFESNSEASSATTNSTRAKRRVKTNLPLRRGVNDDFESRTNDLDNNSSNFHSSKIGEQARNLLSEEEFAKMEASLSGWEQNVRPVDVEKAVLSLLEFGQITAAKQLQQKLSPSYIPEELVLVDVALRIANNSCNGEISLSCFDTEALSILKSLGVASSSDMIDPLQVMEKLAVKCGEGRGRAIIRRIIAVIRTAKLLGLPFSETFEKQPIELLQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVYRHFDMKHEAASLLELRAEQYMNSWLSRYDKERRNDELLEAMRHLVETAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVSVLPLQPPMLLELARFYRAEVAARGEQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLAILATGFLDVLGICNRVLDKVPENAGPLILRKGHGGAYLPLM >PAN17835 pep chromosome:PHallii_v3.1:3:10149129:10174288:-1 gene:PAHAL_3G158200 transcript:PAN17835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRQVDDGPAVLQLCRWTLSQTHLRLSKFREVFISPTRRLFGLLSDYDLVLATVEVNPSQVELPKALSDTCTQAVFETFSSIPRVKSLAWGYCSDASSQLEDSSFNEVLVVSSDASITVHAFCRSRKSTLTVNSTSDPKELHGEWKEWRPTECSVLEDGESGPKNWFCSFLTTITASVSNGKYQARFPMKALLPHSAEVVSFNLYDTTLSFLKFWFSKCSPKTMMETDSESSQSFFSSLPVDESSCSCQWECLKVLSSSSGHLIGLVLTPNESVTCEAHTCNTECVLVAVLELHQWGIQWNFVANLQDARAGIKPSPKWVDFQLSDMFLACLNATGFVAIWDVKTGGLATSFSALQRCRTGLVMPLRSSMPDVTNLDGGNISVENFAGRMFKRLVLASYSHLLAVVDEVGVVYVFYADDTLNFKANVHENFDLSVMNNFGDCLSAWEAAGHEIGSLSFSTHQSIRQGSLNPAKWVPEVTGKNDVGIVRPRKRRKCRCDENEVDSWPSGFIATGKMKVGAVYPDTVNSSSSLRRVLLPPCRSKDDVISLSPLGLMRAFKSSNADGSEHVKIFHTELLMYSSFLGERDIDAGFMDKRLPFKKDSAFVGDSVVCSFQGYLYLITQYGLSVVLPPVSISSFSSHGDAIKFWQSGFAVSSTCNALNLLSVDRSETRWKTWQIEVLDRALLYEGPSLADRLCWENGWDLKVSRLRWLQLALHYLMISDLEQSLNMLAEVNLAEEGVLQLLLASIHRLSSRTGNDNEVTLSSKLMVLAVRFATRMIKCYGLQKQNSDMPDNSVKLHEMASLLMVIRSIQSRVSAKNQNSVRMGDDKNSLKIGTEVLQNDSSLPVVVVDGVPSGPGGLDVHDRQESAHVLAPDSNSLLALTPVESSLSASNFLDIDTNKGTAQVGRQIIQGNIKEMINRWEMNNFDLKTVVKEALQSGRLPLAVLQLQLLRQRELVSNEDPEDAFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDVESDLRQLMQGTVRRSLRLQIADEMKKRGYIRSDEWKMLETITLIERFYPSSSFWDTYFGRENVIRDAANIVTLPGEDKPVLALHIRDHPLVECGDVDGAVLGSWVNINDYTDSKEFPASNISDGYWACAAVWSDAWDQITVDRILLDQPNHIYAHIPWESQFEYFIGHNDAGKVCELLDMIPNSVLLEGIIRVNVDSLQAADNTVSDLTVPDYNMYICDSEELEPVCMEIPHVKIFRSLYNHESTLYIRILMQQELAKKHIFVKEYWQSTTEIIPLLAHAGMLLKVGPKTECSTPFCAPEMPDDANHRGREGALHKLVIRFCVQHNLPYLLELYLDNCNLAPEKDCIPLLKDATGDCKWAQWLLFSRIKGHEYEASFSNARWNLSQKMVNNSNLTAIEIDEMLYTVDDMAERIGEMSALATLMYASAPIQKSICTGSVNRSRGLSSQCTLENLGPCLQQFPTLWKTLFSAFVGQDAYGCLNYSPANVFGKSSISEYLRWRYSIFSSAGGDTSLLQMLPCWVPKSIRRLIQLFEQGPFGMQLLSNAPSSEELFTHNVTDYIYNSTGFTEANALSLEASIQKSVEEELYSSLEEKDVRVEHHLHRGRALAAFRHLLVKRASQLKSASARQVISAQSNVQADVQLILAPLSQAERSILLSVAPLAITNFEDSTLVASCIFLLELCGLCANMLRLDIAALRRISSYYKSVRQNKHFDLSSTRTPELHVQSQGADIAPALARALAEDYVQSDHLHVLEQTQTSMTPKREQTPQPLIAILQHLEKASLPSLDEGKTCGFWLLTGVGDASFYRSQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQIAGFPIEVVIEVAAREIRDSRLRTHILTVLKNMMSLRRKSSGNIPSGSSDSSFSAVDGNNPMELFCILGVCEKQKNPGEALLNKAKQMQWSLLAMIASCFPDVTPLSCLSVWLEITAAREMASIKVDDISSKIAKNVGSAVEATNKLPGTSRNVEFRYNRKNPKRRRFLEASSEKFTICFSLDSSCGPNSAGTPYPADVDAHQERGKPISGETIMSTDFDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLAFIRALQAFSQMRLPEASAHLASFSVRIKDEASHAQQNSSKEVSVVAGWVAATAVKAADAVLSTCPSIYEKRCLLQLLSAVDFADGGTSSSYFSKRYWKINLSEPSLYKDTDIYNWNDLMDDASLLTSLEKDGQWEQARTWARQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMRYSFPPLKAGLFFLKHAEAVGKEIPARELHEILLLSLQWLSGTMTKSSPVYPLHLLREVETRVWLLAVESESQSKADGEFATCAVAHNIAAGNCTSIIEQTADVITKIDSNMSSPHMKATERNPIRDNLSCQHVQLFESNSEASSATTNSTRAKRRVKTNLPLRRGVNDDFESRTNDLDNNSSNFHSSKIGEQARNLLSEEEFAKMEASLSGWEQNVRPVDVEKAVLSLLEFGQITAAKQLQQKLSPSYIPEELVLVDVALRIANNSCNGEISLSCFDTEALSILKSLGVASSSDMIDPLQVMEKLAVKCGEGRGRAIIRRIIAVIRTAKLLGLPFSETFEKQPIELLQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVDSYVLEGDFSCLARLITGVSNFHSLSFILSILVENGQLELLLQKYSATDTTTGTLASVRGFRMAVITSLKQFNPNDDDALSMVYRHFDMKHEAASLLELRAEQYMNSWLSRYDKERRNDELLEAMRHLVETAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVSVLPLQPPMLLELARFYRAEVAARGEQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLAILATGFLDVLGICNRVLDKVPENAGPLILRKGHGGAYLPLM >PAN15739 pep chromosome:PHallii_v3.1:3:789621:794308:1 gene:PAHAL_3G014200 transcript:PAN15739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHNRKKKFLFPRVLGAKDANALSRHIIESSNSTVDLKESPERSSVASPSASSSSFFKSLSESRSLKFSGFSSPATISSTHTEAFRVFAATWNVAGKTPDRGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPSSDAYASAISEVAASFSFSRSVDTTTSASPASALQTPSSSPLDPSRFHKSSNREIRGAAITRGRRLKTCTCPSERPRSRRSYRASCLIGCGKNTDAVESDTTTSDEDDDEVRTSSFAVSDVKSPAAAAASRRERYCLVACKQMVGLFATVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWGTLFEKDQLKTERESGVFRGWNEGKIFFAPTYKYCWNSDNYAGEDVTSKKNRRTPAWCDRILWYGEGIVQLSYVRGESKFSDHRPVCSVFIVEVAVPDNKLIKFASGPNMKVGVEELLFAPSSG >PAN15635 pep chromosome:PHallii_v3.1:3:788458:794775:1 gene:PAHAL_3G014200 transcript:PAN15635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHNRKKKFLFPRVLGAKDANALSRHIIESSNSTVDLKESPERSSVASPSASSSSFFKSLSESRSLKFSGFSSPATISSTHTEAFRVFAATWNVAGKTPDRGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPSSDAYASAISEVAASFSFSRSVDTTTSASPASALQTPSSSPLDPSRFHKSSNREIRGAAITRGRRLKTCTCPSERPRSRRSYRASCLIGCGKNTDAVESDTTTSDEDDDEVRTSSFAVSDVKSPAAAAASRRERYCLVACKQMVGLFATVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWGTLFEKDQLKTERESGVFRGWNEGKIFFAPTYKYCWNSDNYAGEDVTSKKNRRTPAWCDRILWYGEGIVQLSYVRGESKFSDHRPVCSVFIVEVAVPDNKLIKFASGPNMKVGVEELLFAPSSG >PAN17379 pep chromosome:PHallii_v3.1:3:8272674:8278897:-1 gene:PAHAL_3G127800 transcript:PAN17379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTAAASVSASAIASGGGARSEPARRPGGLRVCGLRGESPACPSLRISQAPARLAVARAAAATNGAVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSTGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKVGFPEKEITARNIIIATGSTPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGIAKLIYRSDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA >PAN17380 pep chromosome:PHallii_v3.1:3:8272265:8279092:-1 gene:PAHAL_3G127800 transcript:PAN17380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTAAASVSASAIASGGGARSEPARRPGGLRVCGLRGESPACPSLRISQAPARLAVARAAAATNGAVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSTGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKVGFPEKEITARNIIIATGSTPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGIAKLIYRSDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKLQPREVPDSKPSQPPQPLLKVLSFITALLSSPKRDQQR >PAN18275 pep chromosome:PHallii_v3.1:3:12525161:12526138:-1 gene:PAHAL_3G191200 transcript:PAN18275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSNKAASLALFLVALAVSSAVLVSLAPGACAATTTCTPTQLTPCAPAIIGNAAPTAACCARLKAHPASCFCQYKKNPNMQRYVNSPNGKKVFATCKVPLPRC >PVH62685 pep chromosome:PHallii_v3.1:3:38697550:38697867:1 gene:PAHAL_3G368000 transcript:PVH62685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWGRVRTFMTRPRCISSQPCLFISIDYGCPSSNSLRRVPTIWYIRTNISVRHLLGGFAEELVISRVTSHLVYDICWRILLFWGSKCIGHWWPGLRRQFPPVTP >PAN21375 pep chromosome:PHallii_v3.1:3:59752604:59755194:1 gene:PAHAL_3G460100 transcript:PAN21375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPLHLLLQPPAGGAASLLRSLLAPARRLTAMSAAAKTAPVSGEYPSPVSPPYPAASKDVELRRAMTASARSAVFASADVVFEDEWLAVVNKPAGVYCDALLTALPRSAASGDSAIKPNLHLANRLDRDTSGLMIITKCNKVAGKLVKAFTEHEVKKTYLALCIGCTPTWEKIKICSGHGRSKHGAWRVYAMPDVGRSLPGGSVVRDMSTQFEVLGVNGKGQFREPYSFYSDDIESITVQEKAADQTCNDVVKDSVILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNGLECDGHALHAESLSFVHPVTGLHVTFQSPIPSWAKDFISAMD >PAN21374 pep chromosome:PHallii_v3.1:3:59752391:59755194:1 gene:PAHAL_3G460100 transcript:PAN21374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAKTAPVSGEYPSPVSPPYPAASKDVELRRAMTASARSAVFASADVVFEDEWLAVVNKPAGVYCDALLTALPRSAASGDSAIKPNLHLANRLDRDTSGLMIITKCNKVAGKLVKAFTEHEVKKTYLALCIGCTPTWEKIKICSGHGRSKHGAWRVYAMPDVGRSLPGGSVVRDMSTQFEVLGVNGKGQFREPYSFYSDDIESITVQEKAADQTCNDVVKDSVILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNGLECDGHALHAESLSFVHPVTGLHVTFQSPIPSWAKDFISAMD >PVH62968 pep chromosome:PHallii_v3.1:3:57892454:57894121:1 gene:PAHAL_3G440800 transcript:PVH62968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTQVLFEDVASSPTLPHTKSVCRAIQAAKRDLGNGKEEKKRPSKTLSPPPMIPSACDPHLVPRLLSYAGASPARPQAAALLELSEVEKVLPEVRAGDVRVFPRRRGRAARRRLRRLTWSSPQHRAGAPVQGTDSPEFPFPCLPLEKRKEGSLDPHVVYGS >PVH62307 pep chromosome:PHallii_v3.1:3:17469699:17473239:1 gene:PAHAL_3G263700 transcript:PVH62307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKRRKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLLGSLYFDVKFASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSSYFRLAVTLAMYESLLPEHQKYDVGCKDDMFYSALAFSSAEPEELTSCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDCNFMPTNSLIQSILPLTKNERKTWKKKVEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDNEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIIFGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAVCNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTVTPEWLLLDCLDLNIRHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPNAETIENFLNGHQLTLIG >PVH63008 pep chromosome:PHallii_v3.1:3:58807929:58812630:1 gene:PAHAL_3G452100 transcript:PVH63008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAHGGTTRHRRLRRAARVRLAGQSVAGGPRHSVDRVYHLWSTLARTRGKRTVTARFLRHLPLKSQFCHLPTALRRRPPRLRRPAAATARSIPSSLTAVNHGAGAQRKMSSSWLLAGNSCLCQRTLLHTLIRTAEQVNHCLKLQEATYSMLREPSSCKVLLNAIRHGIIHQSRMCHHASTPLIRACIKEILCKTVVSTLRFRPFDQVQRCMLLC >PAN17488 pep chromosome:PHallii_v3.1:3:8717641:8722308:-1 gene:PAHAL_3G135400 transcript:PAN17488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRRFGYGRGRRAVALLVLLLCLCLSSAFLLLLHGSSGPLEPAAEEKAAAGARVAEARAEVEEAPLPPGNSKVAFLFIARNRLPLELVWDAFFRGDKEGRFSIFVHSRPGFVLTRATTRSRFFYNRQVNNSIQVDWGEASMITAERILLSHALKDPLNERFVFVSDSCVPLYNFSYTYDYIMSSSTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLIKRHAEVVVEDEVVLPEFQKHCRRRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLAQTGLEEELTRRSVTHSAWDLSASKDRERRGWHPVTYKVSDATPALIKSIKGIDNIYYETENRREWCTSNGKPAPCFLFARKFTRGAGLKLLDSSLIAVK >PVH61520 pep chromosome:PHallii_v3.1:3:3323587:3326503:1 gene:PAHAL_3G053100 transcript:PVH61520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCQFGVILRERRHDEKSSGRVGCIVFLSDGLDAQILGASISSDFPVHALALGADHDPKAMKHVADKTSGTYSFANNDLRKVKDACALFTSVPATSVEITLMAHDGALLSSDEKSSDGGGRSAAIRIDNMYAGEKKNFIERKQKLLTIGGRYQNLSESKNLADTDVSVMRPKEKYSNKVPHWVEVVARIKLFFMGISGLVPQRTTQAITSKLQHIWQHFCKSTEGKFKNAPQTMENFNQNMTRGINNANKVSGLLPPYKFWQRATTKNEPRSPETGDFQTPRQETEGIAQVQDGNDHGNTTWGKIRARGRNLHPCSRLLPGVAVVLFLASLLLVLLYSALQGTSMAKSIVPLQYPMLLFSKNNNAAWDANEAKGPKQVPARKNGQEMGLNAIYKTGQGCCRDHADDASKVISSVAKISDKCGEGHGCDRDTFRESALVAKILDILITGVQGKEMELKSLLVEAQYDHE >PAN17424 pep chromosome:PHallii_v3.1:3:8438749:8443325:1 gene:PAHAL_3G130700 transcript:PAN17424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSASELAMDSSWTAILNRLLALSVAIWIVGTCNADFTPADNYLINCGSTVDATVDQRVFQADNSGAAILTSTQSTAATTSPNLVSRFNSAMLYRTARIFAVSSSYAFKLKSRGRHFVRLHFFPFRYQTYDLTTANFKVSTQDVVLLDNFTASSGSLPVFREYSLNITRDMLILTFVPLGNKTQAFINAIEVISVPDDLITDSAQTLNPGQYLGLSVQPLQTFYRINVGGPKVTPDNDTLWRTWATDLSSFLYSTATKVVNFPGKLNYQGGLASREDAPDNVYNTARQLLVQNNSSTMSNMTWQFNVDGQSSYLIRFHFCDIVGKAAYQLLFDVYVDNSSVSKDLDLSTKSFGTLAVPFYMDIVLPSSDPSGMLRVSIGPSSLKNIAPDGILNGLEIMKMNISTGSVVVVAPPPVAKRHLAVILGSVLGGVAAVIIGTVLCIRCRRKKQPRTPLTSRPSSSWTPLNGLSFLTTGSRTTSRTTLTSGTSGDTSYRIPFVVLQDATNHFDEQMVIGVGGFGKVYKAVMQDGSKLAVKRGNQKSHQGLREFRTEIELLSGLRHRHLVSLIGYCDEHNEMILVYEYMEKGTLKSHLYGSDMPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLLAKVSDFGLSKVGPEFDQTHVSTAVKGSFGYLDPEYFRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIVDQRIAATVRPEALRKFGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAKRVVSSLELSTADESSTGIDYSDTSTSNAFSQLINAEGR >PVH63184 pep chromosome:PHallii_v3.1:3:61912354:61916605:1 gene:PAHAL_3G487400 transcript:PVH63184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCCGGGLAVFHLEILPSSCASRARRGCKIAACQWCSCGSSELSWDAAAHGCSNGPRPGSEDPLDHGADPNRVLHHVLSPFMLAYCARSLKCRKLLVEAGADVNFNNPRGKPVLMMTVDNGMTGIVKYLLEVGADPNIHDREFFVDLLLLSSGSLPFLSQYLKYGKFPIMLAAAREHHELVEIQFPWTKPIPSVPDWSVDGIIGTMKYLHFEPQESVEKQKADAKSRGKEAFAKGAALMQPTTMCWYMLGSKFRAVEKDPLEATLFSNRSLCWLRLREGERALSDARRCKSKTLRPAAHIGRRHGTVRHSSYSLSLLKG >PAN17024 pep chromosome:PHallii_v3.1:3:6412530:6414159:-1 gene:PAHAL_3G100900 transcript:PAN17024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFAAAVDTALDEVARRVEAGLSCCCGAGGGKDEDAANRQVIDNAGVREGAYGAAVDAAFARGALSGEAFVGYISALATAPLAGADRVDLTIATAQLKAFDRWRGSTRGLPEYSVVHGIDGAAPGRAKRGRSPTGGGYGGGSGKRRMSRSSAKGNAARDGGDYEALELEDFPQPTPHQMSTKIGKLRNRAAQQMSLSPMILRVRANGNAPPPAMPNMARCARVADELPPMNNGDPSDGALLVSDRRPAAEMEHHAQVGLVLNFSSASAVPSTSHLIMIFSRFGPVEEVRAENSTALVIFKKGEYADEAFSDTAKIRSISASLISFRLTYSLPAAPFDPVQSMLLDPPPVEALQ >PVH62340 pep chromosome:PHallii_v3.1:3:17766944:17767348:1 gene:PAHAL_3G267100 transcript:PVH62340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGEQGGKGRGDEEWKSQGRLRLRQGERAAATRRASAGRWRGERCECESAHRTTQEKHELSSSFTNATATVGGLGGCGKGIRVGNGPAKSMAGGKQAAAGRGPCCRWREERPARQA >PAN19624 pep chromosome:PHallii_v3.1:3:19408285:19411766:1 gene:PAHAL_3G286400 transcript:PAN19624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAALRAAAADAIVTFLWVLCVSTLGASTAAVTSYLKLQGVQYALLVTVSLLSVLLFVFNILCDLLGGASFNPTGVAAFYAAGVTSPSLFAVALRFPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDPHTGAVAELVLTFVITMAVLWIIVKGPRNPIIKTWMLSISTVCLVLSGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFIGAVLAAWIFRALFLAPPPKPKAKKA >PAN21869 pep chromosome:PHallii_v3.1:3:62628190:62633212:-1 gene:PAHAL_3G495900 transcript:PAN21869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSDPLEHELQKEISNIMIRDYVCSLQREFEMKLWEHQNCISTLNGNWKEKVFEIAVLEDELRCILNAVVSLEPGIHPHQSHSSLEDQILVKMKDDNEPPVTEKATDTSEVMLDIPDFSLLKHMQSEEITNFLKSEWLKLRRQHESELHQKTEELFRVKREYAKAKASLPLKKERELEFIKLKLLQTISKLGEITTRKENSCFDCKENEMMCRLKDRIGMLLHENNCLRGLLADKRKEVKHLCSQVPDAKSQVAQHSLSEAKLLNSFEKVRAELEDLRIERHLNNLLDSSIFREVFDDYENQIYDMNQEGSFLRELLNEKEDQLSIIYEDRQKLKYENNQLVSIAGSIIQHHDQVNLVNDELLMFKEKVCEQELLILETKSEYNSMKRCLYEAMQQIQVCKQEVLELTENLTSMSIALEEAKEKNASLEATIQEMKKTPAQSIGSYRGQTGESDLASMEKLSKAYSDFERRLAESMKRNETRLTSIIGQFNPLVQQVAALRKKEFWYKQIIEIKCSNLRKAEAEVDVLGDEVDTLLSVLGKIYIALDHYSPVLKHYPGVTEILMLVQKVLKGENI >PAN20504 pep chromosome:PHallii_v3.1:3:25469621:25472532:1 gene:PAHAL_3G325300 transcript:PAN20504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGPDAPGGGGTTSAPAEAGPSSTSSSAAAKAASSSRQAEQEAPQQEGAQRQQPAGGGGGAAVAQQEAPPAQAHAQPQPLAQQPPPPPPAGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLRYLDQFGKTKVHAEGCAYFGQPNPPAPCACPLRQAWGSLDALIGRLRAAYEEFGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKKRKRGSAAAAPPVAPPPVVTAEGAGTSGGAGEEEEDDEPSPSGEPQRTTTPPSSAPPPTSASSASATSTSAAATTTTRKEAEGSAPSS >PAN21089 pep chromosome:PHallii_v3.1:3:57758478:57761532:-1 gene:PAHAL_3G439500 transcript:PAN21089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGGDEAPKSAEKPTPVQKPAPPSTAEKLKDIPAGIQSSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGSKDGK >PAN21308 pep chromosome:PHallii_v3.1:3:59303145:59311466:1 gene:PAHAL_3G457200 transcript:PAN21308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLRIFLHLRLVGTMVVGRVTDECPVAVSVELLWKVVFTGDVSIFTKACVGLVDAVEVDGDGGPGSVTTMKLNPAVGDAKVFKTRLLARDAAARVVKSELVVEGGELAGQMKSQVSEVKVVPAGEGACVVHMTVEYERVDGAPLPPADEAKIVQGYLSLIKKVEEYLVAHPGEFA >PAN21339 pep chromosome:PHallii_v3.1:3:59310270:59311467:1 gene:PAHAL_3G457200 transcript:PAN21339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGRVTDECPVAVSVELLWKVVFTGDVSIFTKACVGLVDAVEVDGDGGPGSVTTMKLNPAVGDAKVFKTRLLARDAAARVVKSELVVEGGELAGQMKSQVSEVKVVPAGEGACVVHMTVEYERVDGAPLPPADEAKIVQGYLSLIKKVEEYLVAHPGEFA >PAN17471 pep chromosome:PHallii_v3.1:3:8615380:8619796:-1 gene:PAHAL_3G133700 transcript:PAN17471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCSFRPATAPSPFPSAPSSSSSSPRAPCPHLRFPRPRNGRQIRVRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPSATHVRKSILHGAADHVLRKVLYWAKEEDKTEKLKARLIELYYENLFKLDTPVKGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMALSKYFKAIVTDEDDMESIANRFLSAAMKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSELSVINLRRLFANKGISFMDLQKQIIEKAPPRRRLTVDTIF >PAN17648 pep chromosome:PHallii_v3.1:3:9507061:9507942:-1 gene:PAHAL_3G146500 transcript:PAN17648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPAAKPNPPPAAAAASGNGGAPASFPAPKSQMYQRPIYRPQAPAKRRRGRSCRCSFCCCFCWALLVVILLALLAAVAGGAFYLLYRPQRPSFTVSSVRLASLNLTSSATAPVLTDAITLTVTARNPNKKIVYLYGDFTLSAATAANALPLGSATVPGFAHAAGNTTVLTATIASDAATVDPSASADVKKSGGFSVVLDADTSAGARVGSLKTKKIGIQVHCEGIKVTPPPPPPPPPAPRKAKGGKNGTVALAPAPAPSDADATTSATVSTAAHSCKVRVRVKIWKWTF >PVH61878 pep chromosome:PHallii_v3.1:3:9505185:9508050:-1 gene:PAHAL_3G146500 transcript:PVH61878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPAAKPNPPPAAAAASGNGGAPASFPAPKSQMYQRPIYRPQAPAKRRRGRSCRCSFCCCFCWALLVVILLALLAAVAGGAFYLLYRPQRPSFTVSSVRLASLNLTSSATAPVLTDAITLTVTARNPNKKIVYLYGDFTLSAATAANALPLGSATVPGFAHAAGNTTVLTATIASDAATVDPSASADVKKSGGFSVVLDADTSAGARVGSLKTKKIGIQVHCEGIKVTPPPPPPPPPAPRKAKGGKNGTVALAPAPAPSDADATTSATVSTAAHSCKVRVRVKIWKWTF >PAN17649 pep chromosome:PHallii_v3.1:3:9504823:9508050:-1 gene:PAHAL_3G146500 transcript:PAN17649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPAAKPNPPPAAAAASGNGGAPASFPAPKSQMYQRPIYRPQAPAKRRRGRSCRCSFCCCFCWALLVVILLALLAAVAGGAFYLLYRPQRPSFTVSSVRLASLNLTSSATAPVLTDAITLTVTARNPNKKIVYLYGDFTLSAATAANALPLGSATVPGFAHAAGNTTVLTATIASDAATVDPSASADVKKSGGFSVVLDADTSAGARVGSLKTKKIGIQVHCEGIKVTPPPPPPPPPAPRKAKGGKNGTVALAPAPAPSDADATTSATVSTAAHSCKVRVRVKIWKWTF >PVH61877 pep chromosome:PHallii_v3.1:3:9505612:9508050:-1 gene:PAHAL_3G146500 transcript:PVH61877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPAAKPNPPPAAAAASGNGGAPASFPAPKSQMYQRPIYRPQAPAKRRRGRSCRCSFCCCFCWALLVVILLALLAAVAGGAFYLLYRPQRPSFTVSSVRLASLNLTSSATAPVLTDAITLTVTARNPNKKIVYLYGDFTLSAATAANALPLGSATVPGFAHAAGNTTVLTATIASDAATVDPSASADVKKSGGFSVVLDADTSAGARVGSLKTKKIGIQVHCEGIKVTPPPPPPPPPAPRKAKGGKNGTVALAPAPAPSDADATTSATVSTAAHSCKVRVRVKIWKWTF >PAN20363 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIRLEDNFSSFSQIKELPNSTQHFGGTGMYGFFRYRMARP >PAN20356 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIRLEDNFSSFSQIKELPNSTQHFGGTGMYGFFRYRMARP >PAN20359 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIRLEDNFSSFSQIKELPNSTQHFGGTGMYGFFRYRMARP >PAN20349 pep chromosome:PHallii_v3.1:3:38111625:38114077:1 gene:PAHAL_3G365700 transcript:PAN20349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIRLEDNFSSFSQIKELPNSTQHFGGTGMYGFFRYRMARP >PAN20354 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIRLEDNFSSFSQIKELPNSTQHFGGTGMYGFFRYRMARP >PAN20351 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIR >PAN20344 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIR >PAN20350 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIR >PAN20357 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIR >PAN20360 pep chromosome:PHallii_v3.1:3:38111625:38118129:1 gene:PAHAL_3G365700 transcript:PAN20360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHSAAGHVPRPRRQQGAAHGRAFSILQLLHLPPEPLPRRRSRRVAAEFATGGAGTTTGDGGGGRTRGVVGVDVAAVAAVLREARTADDVELLVNGILEGGAGEGGLLPLQVYTTVIRGLGKENCLDAAFAVVEHLKRRGVRLNQFLYNCLLGAVKNCGEFGRIEGVLADMEAQGISPNIVTFNTLMSVYVQQGKTDDVFRVYAEIEGRGLVPTAATYSTLMSAYKKAGDAFAAIKFFVMLRERYKKGELVGNHDNWEQEFVKFEKLTVRVCYMSMRRSLVSGQNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIRERDGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLEKGPKQNNLSYELIMSHFNILLNAAKRRGTWRWAVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVEEGLKPDIVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDTVLHDMVSTQIEPTVVTFNAIISACVRNNMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYKRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGLRPTKREEPIRLEDNFSSFSQIKELPNSTQHFGGTGMYGFFRYRMARP >PAN21336 pep chromosome:PHallii_v3.1:3:59274229:59280130:1 gene:PAHAL_3G456900 transcript:PAN21336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPGPRHRSLINGQNLIDVVITGSNGIIDGQGSTWWNWLRSNKLNYSRPHLVEFVDSEQIVISNITFLNSPAWSIHPVYCSNVVLSNITIQTSSDAPLNHGIVPDSSSNMCIEDSSISVSHDAISLKSGWDNYGISFGRPTSDIHIRRVVLQSPFGAALAFGSEMSGGISDIHADHLRIHGSSKGIFFKTAQGRGGYIRDTIISDVQMEDVNVAIAFTGAWSSHPDDHFDPAALPLINRITLKNMTGTKISVAGVLSGITGDPFIEICLSNINFSLADSANSTSWSCSNVSGYSKLVFPEPCLDLRTTPSNSSICSALPSYHAVAVA >PAN21335 pep chromosome:PHallii_v3.1:3:59273927:59280130:1 gene:PAHAL_3G456900 transcript:PAN21335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVALALAVAVGVLVVARAEAEPRCARRGRSAPPRPHSVTITEFGAVGDGVTLNTVPFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLESGAVIVGAQEVSQWPVAEPLPSYGRGMDLPGPRHRSLINGQNLIDVVITGSNGIIDGQGSTWWNWLRSNKLNYSRPHLVEFVDSEQIVISNITFLNSPAWSIHPVYCSNVVLSNITIQTSSDAPLNHGIVPDSSSNMCIEDSSISVSHDAISLKSGWDNYGISFGRPTSDIHIRRVVLQSPFGAALAFGSEMSGGISDIHADHLRIHGSSKGIFFKTAQGRGGYIRDTIISDVQMEDVNVAIAFTGAWSSHPDDHFDPAALPLINRITLKNMTGTKISVAGVLSGITGDPFIEICLSNINFSLADSANSTSWSCSNVSGYSKLVFPEPCLDLRTTPSNSSICSALPSYHAVAVA >PVH63031 pep chromosome:PHallii_v3.1:3:59274093:59280130:1 gene:PAHAL_3G456900 transcript:PVH63031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPGPRHRSLINGQNLIDVVITGSNGIIDGQGSTWWNWLRSNKLNYSRPHLVEFVDSEQIVISNITFLNSPAWSIHPVYCSNVVLSNITIQTSSDAPLNHGIVPDSSSNMCIEDSSISVSHDAISLKSGWDNYGISFGRPTSDIHIRRVVLQSPFGAALAFGSEMSGGISDIHADHLRIHGSSKGIFFKTAQGRGGYIRDTIISDVQMEDVNVAIAFTGAWSSHPDDHFDPAALPLINRITLKNMTGTKISVAGVLSGITGDPFIEICLSNINFSLADSANSTSWSCSNVSGYSKLVFPEPCLDLRTTPSNSSICSALPSYHAVAVA >PAN21334 pep chromosome:PHallii_v3.1:3:59274229:59280130:1 gene:PAHAL_3G456900 transcript:PAN21334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPGPRHRSLINGQNLIDVVITGSNGIIDGQGSTWWNWLRSNKLNYSRPHLVEFVDSEQIVISNITFLNSPAWSIHPVYCSNVVLSNITIQTSSDAPLNHGIVPDSSSNMCIEDSSISVSHDAISLKSGWDNYGISFGRPTSDIHIRRVVLQSPFGAALAFGSEMSGGISDIHADHLRIHGSSKGIFFKTAQGRGGYIRDTIISDVQMEDVNVAIAFTGAWSSHPDDHFDPAALPLINRITLKNMTGTKISVAGVLSGITGDPFIEICLSNINFSLADSANSTSWSCSNVSGYSKLVFPEPCLDLRTTPSNSSICSALPSYHAVAVA >PVH61561 pep chromosome:PHallii_v3.1:3:3852527:3857205:-1 gene:PAHAL_3G061100 transcript:PVH61561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKLAPVEYVRRLSGTIGQAFGSEARMLVASLQIRTNISDDQFSIPLPENVSVVGFGRAGNLLDAIGVYIAYKSPNFPIAHHATEETSGYKDEETSKNTTATTSQPPLTIITGQTAETLPIKVGPWGGSRGDPFGITKEPKRLESVTARVGAFVSSFGFSYVDLAGLKHTVGPAGGNAGKLITIQFEPTEYVKEFSGSVGLTQGACVVTYLRIETNLKAYELYDEGTDLFPSLPGFGVPMPEKEVPFSIPVPENTSIVGFFGRAGGDHLDAIGVYVKATNTSTAQETGSYLDDYSPDEPINLHGCRAWGGDGHGGTEFDVTEPPKRLESMTVRAGNSVDSIGFSYADEEGQKHGVGPFGGTGGQLTTIEFAPAEYVKKLSGTIGRSSTSGGMSFVASLEIETNIRTYGPYGKAHNDYPFSIPLPEDFSIVGFFGRAGRLPDAVGVYIGYSRSPFTDATEETSSDKDGETSKDEATASPESQTITDEQTFPIKIVMWGSGEGMEFDVAEPPKRLDGVMIRAGDIIDSFGFSYTDRAGKKHTVGPYGGSGGSLTSIQLEPTEYVKHFSGTTGTYVGSPAVASQKIETNLRVIPLPKNASVVGFFGVTDNLLGAIGVYVGGSIPN >PAN15980 pep chromosome:PHallii_v3.1:3:1293197:1295167:-1 gene:PAHAL_3G023700 transcript:PAN15980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTAAFRAAKIPRTLPPKCGEASAASVSGDSSAEAAKTKAAPPWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKAASAGRPWNVACLVEGFANRSEACEFESKWKNIARKMARKRTEPSVESVLQHRQAALSRVETCMDCSHLQIKWHSS >PAN21567 pep chromosome:PHallii_v3.1:3:61020975:61024192:-1 gene:PAHAL_3G477000 transcript:PAN21567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRKQGFFSALREEVARGLSPARARRRSASNAAEVAAALRVAGGAGEALAPLMEGPDPEAAPGAGAGARREGWGHWVRGQLQLARAPAAAAGADAGAARRNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQRLLSSIRNAYAMGKVRMVATEFETGGRVVRNRMAAQRAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVSETVELPQGEKSKVGLLPCHRAKVAALEKADDNVAWSGALQLDCK >PVH61935 pep chromosome:PHallii_v3.1:3:10610225:10613620:1 gene:PAHAL_3G162400 transcript:PVH61935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHELSKRRRRRGRSESCSSKNKSRVRRSISPPSATAVVDDDEDSTDYSKRRRRRGRRRSEPCSSKKKSRVRRSISLPSATAVLDDDEDSGDESSSTEDEEFEAFKTWVPADLVSQQEQELNAEFAMREKKLRRFPVSLSALYEEYSDRVTVPIGYYKVRKNHHDIEVVKNVATHYYFPSSADRIYRAFCSALGDVRILFSDLRAPTIEDDELKLNLFRDGCFLLQYMRHMCISGLEDMPPSLAYYFDTNQAVINRDMMLLENQLPWVVIQILRSYKEVPVEDFIAKMARTLRIRKGAEDNDGPFDLDASSYTPPHLLGLLWAYKTGKIGSNAVVPSSNQNKQLFSPFIEEKWFSLVNCCCCYNNNNDSPFDVESSRQMSRTISAIELAEIGIKLKASKTTKFTDMGIKKGLFGCEIFLAPLLLDGTNRCWLVNMAVFELYMASSDDTDRKHAIRPYLVVLAMLMDREEDVHRLRSKGLINGALTNKEILDFFKGLVKHISGGPPLVHLMEEIEDYKLKRWMWIKVHKFFYRNYKIILAVLSAAGVLVGIFKALLSLKHD >PAN16900 pep chromosome:PHallii_v3.1:3:6046830:6051014:1 gene:PAHAL_3G095000 transcript:PAN16900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKKPVKELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEENGDENQSTNLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERMSASELLNHPFIKKFEGMDLDLRTLVESLEPPMNIPE >PAN16901 pep chromosome:PHallii_v3.1:3:6047551:6051014:1 gene:PAHAL_3G095000 transcript:PAN16901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISEDCAQSTNLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERMSASELLNHPFIKKFEGMDLDLRTLVESLEPPMNIPE >PAN21543 pep chromosome:PHallii_v3.1:3:60751124:60755141:1 gene:PAHAL_3G474400 transcript:PAN21543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEVIKEVISSTPASAEPKCEDLLINSPVITDGTSMPPDVKRKEKPVPHYLRASSRSCHDNCKYGIKHSSEPKMYWPISRKQLRRASTGNHEHSPVEIRLPKTARPRKEDQKLKNSLEKDGDSTAPGKPEFTNPKAPLEGAPDHFESIPCIEDLSAVASEPIVAEMLPTDAECFVISHDDLADCKDGVSSDGAESIELEMPLAIQDIDESDEHTEDAILPANDVLAVDHVPDQSTNECASSDKRTTRAVIASEKQEQAALGTKSKISANAPVKPKVKAKESITRNIVSSQRNGRSSHPKTTGAAVESSSGPKTTRKTADATATKKFSNPDRKFSSTVASAAPKAKEIKVPSSSSATDSAAKPARLAKLKSQTVKNAPSPLLSSGKHTERKMTEKNVVKNAQVWQKKGDEKVVPGPLKLSRSVNMSGKSISSPRLRLRSIRKDKIAPPIKSTEKVSETENSATDAKNTMEKFLKMASPKVRKPEVKSKETRPPRKDKPETPRTVISRRPKPAPIGPSSTVAPASQPRKLTFRRGKVLNPADSSSSSTPRRLRFRPAMAAADASAARSRGSRISGRRSGSSAAARDAGAEVVVLRRRQDGKETKKQEQVLLNNVIEETASRLVAEARKSKVRALVGAFETVISLQETGKAAAPVAAASVAP >PAN19267 pep chromosome:PHallii_v3.1:3:17172064:17175049:-1 gene:PAHAL_3G259800 transcript:PAN19267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAAGNLAARPLTLEIFPSWPMSHLQQPYSQGNSHSVGSTTDSSSGQNTMPQAELVSPGSMRADSGQQQEVLMVTVDDYNYEQGLGSAATTAPIFQQHTACQDKRKNGSTGKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQGARSQGLFPGGGSASGDSSSGAVMFDMEYARWLEDDSNLMMELQGIMQAQNLDANLDAIVEECVRHYDELFHLRAMLARSDVFHLMTGLWATTAERCFLWMGGFRPSEILKMLIPQLDPLTEQQLLGMCNLQRSSEQTEEALVQGLQQLHQSLADAVGASPLSDGASIGNYTALMALALDRLDTLESFYRQVSTYSFFHGHATKKQSNVVQKKHR >PVH62289 pep chromosome:PHallii_v3.1:3:17170157:17175049:-1 gene:PAHAL_3G259800 transcript:PVH62289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAAGNLAARPLTLEIFPSWPMSHLQQPYSQGNSHSVGSTTDSSSGQNTMPQAELVSPGSMRADSGQQQEVLMVTVDDYNYEQGLGSAATTAPIFQQHTACQDKRKNGSTGKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQGARSQGLFPGGGSASGDSSSGAVMFDMEYARWLEDDSNLMMELQGIMQAQNLDANLDAIVEECVRHYDELFHLRAMLARSDVFHLMTGLWATTAERCFLWMGGFRPSEILKMLIPQLDPLTEQQLLGMCNLQRSSEQTEEALVQGLQQLHQSLADAVGASPLSDGASIGNYTALMALALDRLDTLESFYRQADNLRQQTLQHMRRLLTTRQAARCFLSIGEYRRRLRALSSVWASSRPRESFVAAAENVSPTATGTAEQAVHPYSNHSQFSGF >PVH62943 pep chromosome:PHallii_v3.1:3:57285806:57286779:1 gene:PAHAL_3G436400 transcript:PVH62943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAETKAAVKRYKNTTLEPKDLSFEELQQMTNDFSQSQEISRGELGVVYKGVTTNGELISVKRLFELLGLDDEHIKKEFHKLSLLKHPNLVRFLSYCYEDNGDAVHSQQKNRALCFEYLYSDSLQTYISDKCQGLDWHTRYKIIQGICEGSYYLYERQKEPIYHLDLNPRNILLDENMTPKLTYFGFSKIFGKDYLTDIWDRDPETLSVSWCARIIPFYKQPKSLLLFQLFVFNGMQ >PAN16423 pep chromosome:PHallii_v3.1:3:3711824:3714729:1 gene:PAHAL_3G059100 transcript:PAN16423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIPALVDGDIVISDSLAISLYLEDKYPAHPLLPKDPKRKALNLQIANIVCSSIQPLQGYAVIGLHEGSMSPDESLQIVQRYTDKGFRAIEKLLDGCESKYATGDDVQLGDVFLEPQIHAGINRFQIDMSKYPILARLHDAYMEIPAFQAALPKNQPDAPSS >PAN16422 pep chromosome:PHallii_v3.1:3:3711326:3714729:1 gene:PAHAL_3G059100 transcript:PAN16422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARPILYNSWISSCSHRVRIALNLKGVDYEYKSVNPRTDPDYEEINPMKYIPALVDGDIVISDSLAISLYLEDKYPAHPLLPKDPKRKALNLQIANIVCSSIQPLQGYAVIGLHEGSMSPDESLQIVQRYTDKGFRAIEKLLDGCESKYATGDDVQLGDVFLEPQIHAGINRFQIDMSKYPILARLHDAYMEIPAFQAALPKNQPDAPSS >PAN18367 pep chromosome:PHallii_v3.1:3:12883803:12884990:-1 gene:PAHAL_3G196900 transcript:PAN18367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSNSKLPIISRFFMRLLAEEQNALVQYAYLSRKQCTDPLGHLILVPSHPPHPAASLKELQLLVCCAISSMYKAHLPLKKLWLPILPLVYPCKSLEASMQQAQRKMVVSKKLMKVGPWGGAGGHPWDDGGYSGIRSITVSYDRSIDSISVEYDRNGLAVPGERHGGAGGNHTTQIKLSFPDEYLTAVSGHYSPMAHGGSPVIRSLAFRSSQRAYGPFGAAEGTPFTFPVDGGVIVGFCGRSGWQLDAVGLYVAALRPERVYDRVQKLGISAYRAVMHRIGPQQEQQQEEQVKQMNGNALITHKT >PAN18365 pep chromosome:PHallii_v3.1:3:12883803:12884990:-1 gene:PAHAL_3G196900 transcript:PAN18365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSNSKLPIISRFFMRLLAEEQNALVQYAYLSRKQCTDPLGHLILVPSHPPHPAASLKELQLLVCCAISSMYKAHLPLKKLWLPILPLVYPCKSLEASMQQQAQRKMVVSKKLMKVGPWGGAGGHPWDDGGYSGIRSITVSYDRSIDSISVEYDRNGLAVPGERHGGAGGNHTTQIKLSFPDEYLTAVSGHYSPMAHGGSPVIRSLAFRSSQRAYGPFGAAEGTPFTFPVDGGVIVGFCGRSGWQLDAVGLYVAALRPERVYDRVQKLGISAYRAVMHRIGPQQEQQQEEQVKQMNGNALITHKT >PAN18366 pep chromosome:PHallii_v3.1:3:12883587:12885071:-1 gene:PAHAL_3G196900 transcript:PAN18366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSNSKLPIISRFFMRLLAEEQNALVQYAYLSRKQCTDPLGHLILVPSHPPHPAASLKELQLLVCCAISSMYKAHLPLKKLWLPILPLVYPCKSLEASMQAQRKMVVSKKLMKVGPWGGAGGHPWDDGGYSGIRSITVSYDRSIDSISVEYDRNGLAVPGERHGGAGGNHTTQIKLSFPDEYLTAVSGHYSPMAHGGSPVIRSLAFRSSQRAYGPFGAAEGTPFTFPVDGGVIVGFCGRSGWQLDAVGLYVAALRPERVYDRVQKLGISAYRAVMHRIGPQQEQQQEEQVKQMNGNALITHKT >PVH61530 pep chromosome:PHallii_v3.1:3:3447086:3448218:-1 gene:PAHAL_3G055000 transcript:PVH61530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGRWRRRRRRRRRRSVSKPYETRGRGSGERPRIHFPPLRDVTRVRRNLFGRVFSRFGAAAGRGGHRSHGRRGEAKPARDEPRTVDAWTEEAARGAAAGGRTSERVVHAQVCEGTARRRTICTAWRTNMALFLVTVNNSFVIGTYVSIADGVHIFSVCAVLYRFATTALAKLDLSS >PAN17624 pep chromosome:PHallii_v3.1:3:9318596:9319179:-1 gene:PAHAL_3G144700 transcript:PAN17624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAKALGVFVLAISPCPAQAYQLLDLKAKVLHKCMMYIQKTAELMPKRSPPCCDKVRKADAKDICNKFTNDEKVKIKLEKWVQVTRKCESIARGFNCAG >PAN17625 pep chromosome:PHallii_v3.1:3:9318733:9319174:-1 gene:PAHAL_3G144700 transcript:PAN17625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAKALGVFVLAISPCPAQAYQLLDLKAKVLHKCMMYIQKTAELMPKRSPPCCDKVRKADAKDICNKFTNDEKVKIKLEKWVQVTRKCESIARGFNCAAFSLTG >PAN19370 pep chromosome:PHallii_v3.1:3:17926329:17928148:-1 gene:PAHAL_3G269100 transcript:PAN19370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVQAAMTAHLDQVSGLVQALSSELRRGMGPAADNLRAFVRAVDWTEPWLMCLMAFHVILLLTAVGFRRNANFQLLLLFLAYSGVYMAEKMNRYLGEHWRSFASQNYFDRSGVFISVIWSGPLIFISIASVVSSLIALCRLMVKWKRAELRHRARLARDKQD >PAN17688 pep chromosome:PHallii_v3.1:3:9669017:9672603:1 gene:PAHAL_3G149800 transcript:PAN17688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPSPAPASSRPTATPADAAATPPAAAAPPPRPSPPRAAPPPSHSQASPPPRPTASPPPAPPRTVAPPPRPTLLSPPPTSPPPSAPPTPSLSPPRTHPPESSAPASPPAAAPPPVPVPSAPTSHKPLPTPATAADPARPSTSKNSSFNKPSTPVPRHGSPPGSPGGHSNGVVVAIAAVLAVLVLSLLVTAVWFTNKRKRKKTDGYRAGFMSPTSPFSSQQPSGDSANAGLPIDPSVHTSLSNGAGSPRLNQCLSDISMGNSRFFSYEELYQITDGFSAHKLLGEGGFGSVYKGRLPDGTDVAIKRLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGRGMPVLEWSTRIKIAVGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAKVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLSRALDTGNLEGLVDPRLEKKLNEAEMFRMIEAAAACIRHSASRRPRMSQVVRVLESLADIDLTNGVQPGQSQLFNVANTAEIRMFQRMVAGAQDDSSDLSLYGWSRGTDADPSSRIL >PAN17689 pep chromosome:PHallii_v3.1:3:9669652:9671852:1 gene:PAHAL_3G149800 transcript:PAN17689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPSPAPASSRPTATPADAAATPPAAAAPPPRPSPPRAAPPPSHSQASPPPRPTASPPPAPPRTVAPPPRPTLLSPPPTSPPPSAPPTPSLSPPRTHPPESSAPASPPAAAPPPVPVPSAPTSHKPLPTPATAADPARPSTSKNSSFNKPSTPVPRHGSPPGSPGGHSNGVVVAIAAVLAVLVLSLLVTAVWFTNKRKRKKTDGYRAGFMSPTSPFSSQQPSGDSANAGLPIDPSVHTSLSNGAGSPRLNQCLSDISMGNSRFFSYEELYQITDGFSAHKLLGEGGFGSVYKGRLPDGTDVAIKRLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGRGMPVLEWSTRIKIAVGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAKVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWRIDHDVFNSTVSRACQRLKNCCTTDMLHACIINVSLQFTTGKFVG >PAN16821 pep chromosome:PHallii_v3.1:3:5748219:5750744:1 gene:PAHAL_3G089100 transcript:PAN16821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVYTLEEVAKHNSKDDCWLIIGGKVYDVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLLGEIDSSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >PVH62642 pep chromosome:PHallii_v3.1:3:34020758:34021978:-1 gene:PAHAL_3G352200 transcript:PVH62642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGLTNAPAFFMHLMNKVFMDYLDTFVVIFIDDILVYSKSESEHEKHLRLVLQRLREHKLYAKLSKCEFWIDEVPFLGHVISKGGIAVDPGKVKDVLDWVVPQTVNEVRSFLCLAGYYRRFIENFSKIAKPLTSLLEKGVHFSWTDERQKAFEELKKRLTTAPVLTLPDQSKRFTVYCDASRDGLGCVLMQEGRVIAYASRQLRWHELNYPTHDLELAAVVHALKIWRHYLFGQRCDIYTDHKSLKYIFAQSELNMRQRRWLELVKDYDLEIHYHPGKANVVADALSRKSYVNMAVAFQMPRELCEEFEQLSLGFLHHTSSASFEEKPTLAAEIRQHQKDDKKLQEIRERLKIGKAPHFREDDQGTLWYKGRICVPDVKDLRKMILSECNARVFILFKLHYQSLA >PAN21635 pep chromosome:PHallii_v3.1:3:61463099:61466646:1 gene:PAHAL_3G481300 transcript:PAN21635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEHGQASIVEKGCESCRKWQEHYYWEHMDVSTIRFFKLMTGDFAKGTSIPEKFTKNFKGQITGGFELKAPSGKTWHISVDKSGDELFLMSGWEDFVKAHELQENDLLLFTCCGNSSFQVQVFEASGCERVSSIFGNRIGPDMCKHVNNIAGQHGMHYSQNDSEDTTTPSQLVGSPHNASSSKKSSGKTKPSEPENPNTSNFIVNHSAIGDEDSDDECANSNCYYSMFANRLRDEEKEEIIGLASIRPNNPAFVTVLKKKHIQRNKNSLIIPCRFAADHLDKRSHDIILHRPNRKGKWLVSYYYSCYMRSFQNLAFFKFVRDNKLCEGDICVFELMKGKRRVTMAVHVIRKASDRFILVG >PAN18318 pep chromosome:PHallii_v3.1:3:12299321:12300908:-1 gene:PAHAL_3G187400 transcript:PAN18318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGQRVRMYVRGTILGYKRSKSNQYENTSLLQIEGVSTKEDVAWYGGKRIAYVYKAKTKSDGTSIRCIWGKVTRPHGNSGIVRAKFRSNLPPSSMGKKVRVFMYPSSI >PVH61800 pep chromosome:PHallii_v3.1:3:8142024:8142640:-1 gene:PAHAL_3G125500 transcript:PVH61800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSRGRRGWRVARHGRLVAGRRGCRRGHGVTGATGGPAAAGAGAASAWPGAAGRLAAAGASAWPSAAGTSGSGRGARRGGQRGHGCAWLVGLVGMACRSCDGSWAGLASFGGGHFGRRHRRQTGDEPMLVRASSAPARGASARRRGGRAPRPPPSATVVPSSVDVDDTDTRKMLYN >PAN16719 pep chromosome:PHallii_v3.1:3:5258379:5264215:1 gene:PAHAL_3G079800 transcript:PAN16719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSSLTESLHEKTIVFGLKLWVVIGIAVGASLLGILLILLICLTIQSCIRRSRKPLHDHPMTQIPPPCKDIKEVSNDFVVHDGLLLTIQNEPEPGDPVDRDAIQLAQEEKLKKGEENNLSGSFHITDGCDGIQIVSVDEQSSTHATVDSAPLAGLPEFSYLGWGHWFTLRDLELATNRFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYERPPNEVNLVDWLKMMVANRRSEEVVDPNLERKPSTKELKRALLTALRCIDLNAEKRPSMDQVVRMLDSNEPIPQEERRHRQNRTPESSETEPLRGKNNSGRSDAPEHEARPPRPKSRTFSSK >PAN16718 pep chromosome:PHallii_v3.1:3:5258284:5264215:1 gene:PAHAL_3G079800 transcript:PAN16718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSSLTESLHEKTIVFGLKLWVVIGIAVGASLLGILLILLICLTIQSCIRRSRKPLHDHPMTQIPPPCKDIKEVSNDFVVHDGLLLTIQNEPEPGDPVDRDAIQLAQEEKLKKGEENNLSGSFHITDGCDGIQIVSVDEQSSTHATVDSAPLAGLPEFSYLGWGHWFTLRDLELATNRFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYERPPNEVNLVDWLKMMVANRRSEEVVDPNLERKPSTKELKRALLTALRCIDLNAEKRPSMDQVVRMLDSNEPIPQEERRHRQNRTPESSETEPLRGKNNSGRSDAPEHEARPPRPKSRTFSSK >PAN21062 pep chromosome:PHallii_v3.1:3:58057679:58059811:-1 gene:PAHAL_3G442400 transcript:PAN21062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQMAAQVAKSAGRSTPPHHHGGGAKAVPGYLRPAAGSCHHVCKYGGTHAFEDKEATKKPHPKPRKQQQPPPAAAPESQSRVMVKVRSVFRRRVGDSSRAAEKASAAASKATGAGDSVEWKDIVAYDTKVPTRGSSPQPDKVSAPVTGSGDAKKKDVTTKGKKSHGKASKITGQVDAAQTTQDETLEKKSTKPPKGKKPMAALLVDKMAIDQELLHGYQTLSPSLMQSRASLLRDLEQEMVRDGAANAKWERTPCSLDEEELAAAAAAETSRPIPAHRRVKSMGIGSSSRSARHPFARQASKNSSGGFKLRSSRSTRAPTLLAEEEKPARLRSRRGEDASSGGTGRGIQLRIRSLRRRGVGGSGGAGASAGFVVPAVVLRHQKTLEKKRSQRLYNNLIEETASKLVKARKSRVKALVGAFESVISKIAK >PAN20031 pep chromosome:PHallii_v3.1:3:22546910:22548477:-1 gene:PAHAL_3G314100 transcript:PAN20031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQDHLSPTPSARAAAGGGSGIRWEPHGRVLTACLVALNVFLVLLVYVYFWRFFSRSRGGGDAEAATSSAASSPPASPKARDRREVERAITALPVFVAHSSGGSAECAICIAELADGEEGRLLPRCGHRFHARCVDAWFLFHTTCPLCRATVLVADDDAAPPAAAPAEPAATTTSQPSRHEDSTDRPRTDADSDSPV >PVH62529 pep chromosome:PHallii_v3.1:3:22978273:22985165:-1 gene:PAHAL_3G316200 transcript:PVH62529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVALQKELAAFVFSLSLLLSSALGNHGGIGARVVCEQLTAELCAFAVSSTSRRCVLENTHCAGRPTVYQCRTSEVVVEDARLAGLVETDSCVRACGVDRATVGISSDSLLDPRVAGAICSPACLQGCPNIVDLYSNLAAGEGVAFSELCEVHRANPRRAMAQLQSSGEAHGVRLDAAAPSPAAPPSESA >PAN20097 pep chromosome:PHallii_v3.1:3:22978199:22986204:-1 gene:PAHAL_3G316200 transcript:PAN20097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVALQKELAAFVFSLSLLLSSALGARVVCEQLTAELCAFAVSSTSRRCVLENTHCAGRPTVYQCRTSEVVVEDARLAGLVETDSCVRACGVDRATVGISSDSLLDPRVAGAICSPACLQGCPNIVDLYSNLAAGEGVAFSELCEVHRANPRRAMAQLQSSGEAHGVRLDAAAPSPAAPPSESA >PVH61544 pep chromosome:PHallii_v3.1:3:3649556:3650506:-1 gene:PAHAL_3G057800 transcript:PVH61544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSGAQGELPVPMAMHGGGGGSPYLGLHHGHHHEHPQQHSRGPNGRHMSPPDVVVPEEAKNRQLAVVPVGAVGGGGAGGVRYRECLKNHAAAIGGSATDGCGEFMPAGEEGSLDALRCSACGCHRNFHRKEPPGGDARQLHGHHPHHHPLSPLAAAHHHHRGLLVAALPPAPTRMVMPLSALQHQAHHSAASAESDDARAQQPPARKRFRTKFTAEQKARMLGFAEEAGWRLQKLDDAAVQRFCQEVGVKRRVLKVWMHNNKHTLARRGQEGGHHGGDPEHLGQLGGGMPLPEPGGPGRSPSPSRSPPPQQLRLD >PAN16401 pep chromosome:PHallii_v3.1:3:3646974:3651648:-1 gene:PAHAL_3G057800 transcript:PAN16401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSGAQGELPVPMAMHGGGGGSPYLGLHHGHHHEHPQQHSRGPNGRHMSPPDVVVPEEAKNRQLAVVPVGAVGGGGAGGVRYRECLKNHAAAIGGSATDGCGEFMPAGEEGSLDALRCSACGCHRNFHRKEPPGGDARQLHGHHPHHHPLSPLAAAHHHHRGLLVAALPPAPTRMVMPLSALQHQAHHSAASAESDDARAQQPPARKRFRTKFTAEQKARMLGFAEEAGWRLQKLDDAAVQRFCQEVGVKRRVLKVWMHNNKHTLARRGQEGGHHGGDPEHLGQLGGGMPLPEPGGPGRSPSPSRSPPPQQLRLD >PVH62312 pep chromosome:PHallii_v3.1:3:17485001:17485799:1 gene:PAHAL_3G263900 transcript:PVH62312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSMDFSSSRDFSSVRDFSSARFSDIAGGTMVGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAASSQPPAAGAPTPSQPAPPDQDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >PAN16081 pep chromosome:PHallii_v3.1:3:1780120:1781211:1 gene:PAHAL_3G031800 transcript:PAN16081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAVAIISAGKWEVYDLPSHVALALLGCGLFPSCRWGYKFPNAKVNLALKWETRSRLDLRQRSLHLQFPTSSRIRPLTRIQDPVQHTLPDLIE >PVH61767 pep chromosome:PHallii_v3.1:3:7492420:7494023:-1 gene:PAHAL_3G117300 transcript:PVH61767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHALLLFVITHSFADGKPLTWSTGFFIDWNDETKFGKILTSANIICSKFPSVHDRSGRRKYAADAQVKII >PAN16047 pep chromosome:PHallii_v3.1:3:1610211:1612439:1 gene:PAHAL_3G028700 transcript:PAN16047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSICCRKATNGIKHNRYCKCALPLEAIFTVVSSHLKAPDLEKMAPKLVFVLPIVLLGWAFQAILRPPPAKLCGSPGGPPLTSPRIKLRDGRHLAYREDGVQKDKARYKIITIHAFDSTKDIPLPVSKELVEELGIYLLAFDRAGYGESDPNPKRDVKSEALDIEELADQLELGQKFYVLGTSMGGYSVWGCLQYIPHRLAGAALVVPVINYWWPSFPAELSRQAFKKQVVPEQRTLWIAHNVPSLLYLWMTQKWLPSSAAAMHHPEIFSKHDMEVLQKMMAMPRTIENKSRQQGTYESIHRDLLVAFGRWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYISKKLPWIKYHEVREGGHMFMLVDGWTDRILKALVVGEEPSAV >PAN20873 pep chromosome:PHallii_v3.1:3:54478469:54479542:-1 gene:PAHAL_3G418400 transcript:PAN20873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAREDACVLRYSNESFFSVADLTSAFHVSDTSNSSVTNVAGVNATLWSLMSRLAAEAAVSELRLAKGSQVYKGSKGISQVIYGLAQCTRDLNASECSRCLTYFVEELLSSSFSVEINDAVKGYSCNVAYKIGEDFDSYLFHEKDPSPRTRPTLGIVAGVTGGSIAFVICTGTLVCILLRHRSIKAREREVDVFDDDPLEDNTFDKGTGPRRFRYRELATAAGFFSDEEKLGEGGFGSVYKGYLKDMDLRVAIKRVSKSSQQGRKEYISKVKIISRLRHRNLVQLIGWCHGSGELLLVYELMPNGSLNSHIHS >PAN20874 pep chromosome:PHallii_v3.1:3:54478469:54479923:-1 gene:PAHAL_3G418400 transcript:PAN20874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHILILLAIVPSVPEAGDGFPPAMTAKPELGPAFFRPIGPYYCSTTGNYTIKSPYQVNLGKLMDDLQSGAIANHAGFNYAVAGKAPDAVFGLIMCYADRNWTQCQNCLQAATTGVELICPFSREMKAREDACVLRYSNESFFSVADLTSAFHVSDTSNSSVTNVAGVNATLWSLMSRLAAEAAVSELRLAKGSQVYKGSKGISQVIYGLAQCTRDLNASECSRCLTYFVEELLSSSFSVEINDAVKGYSCNVAYKIGEDFDSYLFHEKDPSPRTRPTLGIVAGVTGGSIAFVICTGTLVCILLRHRSIKAREREVDVFDDDPLEDNTFDKGTGPRRFRYRELATAAGFFSDEEKLGEGGFGSVYKGYLKDMDLRVAIKRVSKSSQQGRKEYISKVKIISRLRHRNLVQLIGWCHGSGELLLVYELMPNGSLNSHIHS >PAN20875 pep chromosome:PHallii_v3.1:3:54477247:54479967:-1 gene:PAHAL_3G418400 transcript:PAN20875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAREDACVLRYSNESFFSVADLTSAFHVSDTSNSSVTNVAGVNATLWSLMSRLAAEAAVSELRLAKGSQVYKGSKGISQVIYGLAQCTRDLNASECSRCLTYFVEELLSSSFSVEINDAVKGYSCNVAYKIGEDFDSYLFHEKDPSPRTRPTLGIVAGVTGGSIAFVICTGTLVCILLRHRSIKAREREVDVFDDDPLEDNTFDKGTGPRRFRYRELATAAGFFSDEEKLGEGGFGSVYKGYLKDMDLRVAIKRVSKSSQQGRKEYISKVKIISRLRHRNLVQLIGWCHGSGELLLVYELMPNGSLNSHIHS >PAN17005 pep chromosome:PHallii_v3.1:3:6421046:6426947:1 gene:PAHAL_3G101000 transcript:PAN17005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSKTRNGPSDAPQKTSPRTPRASRVAKTGGNETDSTGVTPTRTPTDRSLKVTERRSPRSPITEKKRPSRLSELESKVSHLQDELKKAKEQLSSSEARRRHAQQEAEEAKKQEQAATTKLEDLQNQLDESSTAEESCLQELRKISQERDRAWESELEAVQKQHSVDAAALSSAMSEIQRLKQQLEATSESDAARAKQCEYAETEIEGLKQEMEIRLATIEGLKVNIGESDKAAAEANAIANEAKQQLETAKATIDSFIAEGFHMQDRLRARDMELNESKARIALLVEDLKKAQDMANTNVSFGNPEPESLKNVVTTADADGFCESSDQEIEHLRTALEVAEIRYQEEQTRMTIETKTAYEMLENMKAEYMRRVGELELELKNKNDALMEAMAAACAAGKTQQDPHKPDAIQPELEAKLMWSITDNAELKASLMDKENALQSLAEENETLKLQAGRTEAELQQKYEAVVAELELAKAAEQDVRMRLGLVTEEADKSSRRAARASEQLDAVQAASGEMEAELRRLRVQSDQWRKAAEAAAAALSGGSGGGDNNGIGRTVERTGSLEPEYNNSIGGKLMSSPFSDDVDEESPKRRNSGVLRRMSGLWKKSPK >PVH61705 pep chromosome:PHallii_v3.1:3:6423085:6426829:1 gene:PAHAL_3G101000 transcript:PVH61705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIQRLKQQLEATSESDAARAKQCEYAETEIEGLKQEMEIRLATIEGLKVNIGESDKAAAEANAIANEAKQQLETAKATIDSFIAEGFHMQDRLRARDMELNESKARIALLVEDLKKAQDMANTNVSFGNPEPESLKNVVTTADADGFCESSDQEIEHLRTALEVAEIRYQEEQTRMTIETKTAYEMLENMKAEYMRRVGELELELKNKNDALMEAMAAACAAGKTQQDPHKPDAIQPELEAKLMWSITDNAELKASLMDKENALQSLAEENETLKLQAGRTEAELQQKYEAVVAELELAKAAEQDVRMRLGLVTEEADKSSRRAARASEQLDAVQAASGEMEAELRRLRVQSDQWRKAAEAAAAALSGGSGGGDNNGIGRTVERTGSLEPEYNNSIGGKLMSSPFSDDVDEESPKRRNSGVLRRMSGLWKKSPK >PAN17006 pep chromosome:PHallii_v3.1:3:6422406:6426828:1 gene:PAHAL_3G101000 transcript:PAN17006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSKTRNGPSDAPQKTSPRTPRASRVAKTGGNETDSTGVTPTRTPTDRSLKVTERRSPRSPITEKKRPSRLSELESKVSHLQDELKKAKEQLSSSEARRRHAQQEAEEAKKQEQAATTKLEDLQNQLDESSTAEESCLQELRKISQERDRAWESELEAVQKQHSVDAAALSSAMSEIQRLKQQLEATSESDAARAKQCEYAETEIEGLKQEMEIRLATIEGLKVNIGESDKAAAEANAIANEAKQQLETAKATIDSFIAEGFHMQDRLRARDMELNESKARIALLVEDLKKAQDMANTNVSFGNPEPESLKNVVTTADADGFCESSDQEIEHLRTALEVAEIRYQEEQTRMTIETKTAYEMLENMKAEYMRRVGELELELKNKNDALMEAMAAACAAGKTQQDPHKPDAIQPELEAKLMWSITDNAELKASLMDKENALQSLAEENETLKLQAGRTEAELQQKYEAVVAELELAKAAEQDVRMRLGLVTEEADKSSRRAARASEQLDAVQAASGEMEAELRRLRVQSDQWRKAAEAAAAALSGGSGGGDNNGIGRTVERTGSLEPEYNNSIGGKLMSSPFSDDVDEESPKRRNSGVLRRMSGLWKKSPK >PVH62839 pep chromosome:PHallii_v3.1:3:53602732:53606111:-1 gene:PAHAL_3G413600 transcript:PVH62839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQVATVAQLTGVDAGGLVTMILEAVRTVSRNREECRHLARRVMMFGDLVQKLQGWDMMQEPEIRRPLDGLHDTFREAYVLIVSSQICSIAYRFFMGWKQAEQFCEVQKKIDSYIQLYPFICHIDITRGLDKLCSGANPSCSQIQGAGTILGTSASLSNHEARLQGTS >PVH62836 pep chromosome:PHallii_v3.1:3:53602913:53605842:-1 gene:PAHAL_3G413600 transcript:PVH62836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQVATVAQLTGVDAGGLVTMILEAVRTVSRNREECRHLARRVMMFGDLVQKLQGWDMMQEPEIRRPLDGLHDTFREAYVLIVSSQICSIAYRFFMGWKQAEQFCEVQKKIDSYIQLYPFICHIDITRGLDKLCSGANPSCSQIQGAGTILGTSASLSNHEARLQGTS >PVH62838 pep chromosome:PHallii_v3.1:3:53601955:53606112:-1 gene:PAHAL_3G413600 transcript:PVH62838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQVATVAQLTGVDAGGLVTMILEAVRTVSRNREECRHLARRVMMFGDLVQKLQGWDMMQEPEIRRPLDGLHDTFREAYVLIVSSQICSIAYRFFMGWKQAEQFCEVQKKIDSYIQLYPFICHIDITRGLDKLCSGANPSCSQIQVTGNIMTCVGQKQQIYVVLLADSGQNKITLYS >PVH62841 pep chromosome:PHallii_v3.1:3:53603789:53606112:-1 gene:PAHAL_3G413600 transcript:PVH62841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQVATVAQLTGVDAGGLVTMILEAVRTVSRNREECRHLARRVMMFGDLVQKLQGWDMMQEPEIRRPLDGLHDTFREAYVLIVSSQICSIAYRFFMGWKQAEQFCEVQKKIDSYIQLYPFICHIDITRGLDKLCSGANPSCSQIQDQPGCAMIYKLALTPPKLPRWY >PVH62837 pep chromosome:PHallii_v3.1:3:53601865:53606127:-1 gene:PAHAL_3G413600 transcript:PVH62837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQVATVAQLTGVDAGGLVTMILEAVRTVSRNREECRHLARRVMMFGDLVQKLQGWDMMQEPEIRRPLDGLHDTFREAYVLIVSSQICSIAYRFFMGWKQAEQFCEVQKKIDSYIQLYPFICHIDITRGLDKLCSGANPSCSQIQGAGTILGTSASLSNHEVTGNIMTCVGQKQQIYVVLLADSGQNKITLYS >PVH62840 pep chromosome:PHallii_v3.1:3:53604863:53605842:-1 gene:PAHAL_3G413600 transcript:PVH62840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQVATVAQLTGVDAGGLVTMILEAVRTVSRNREECRHLARRVMMFGDLVQKLQGWDMMQEPEIRRPLDGLHDTFREAYVLIVSSQICSIAYRFFMGWKQAEQFCEVQKKIDSYIQLYPFICHIDITRGLDKLCSGANPSCSQIQDQPGCAMIYKLALTPPKLPRWY >PAN15947 pep chromosome:PHallii_v3.1:3:1192390:1198747:1 gene:PAHAL_3G021900 transcript:PAN15947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVEEVGNRMHSQMRLDAAAGVEDADADLPLPALFDRASRLHGLASSSALDQEGIRKGVELLRRCDEMVSKLGLFSTNETKEDVSTANLKYLLVPYYLGEMTENIAQEDRIPILKASQNHLKEFIALCEVLELIPEDELELSRQKQPDTVANRRAQKIARFRRQKAAETKLQEIKERKERRGRSLRAAALSAPVEAGEEDALEDDGEEEREAWLATISLALCKAFDLLDMLKKEGEMLLAVKERQEKDGNAFAREMLDERTQKAEAWHHNAANRAPYSKPADPITCATFAQDVLEGRASVSQAHEHKHQPMIFGPASLVGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTAKMIKEANSAWHKDGTSSAQEDEDAEEAKARAWDDWKDDNPRGAGNKKLTPCG >PVH62542 pep chromosome:PHallii_v3.1:3:23417168:23417721:-1 gene:PAHAL_3G319200 transcript:PVH62542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINYSVLHHHSSQYPLGKKAEHFLRILSYRLLAALLSPTTIWTVALWICMPALRNSFSFRTHTSFLYHLLAPYALYFARIFKKPWDSIKSFINNKKSQYRSAADKNILPMFIHHQQNQS >PAN20752 pep chromosome:PHallii_v3.1:3:52883436:52887755:-1 gene:PAHAL_3G409700 transcript:PAN20752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLRLHLAAPPPLLLPHRHSHLRRVHLPRPPGGPAPSPARAPLLPLPRPLLLYRARAVGGGAEGEGEAAGGKGPGLVGEDSAAFRLGDQSVASWAYFATILAAVLLALNVLWIDPGTGVGTRFLDAVASVSDSHEVVMLLLTIIFAVVHSGMASLRESGEKIIGERPFRVLFAGISLPLAVTTIVYFINHRYDGIQLWQVQGIAGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLVGHHLFGAWNGDRRLASRYGEAFEVLKKRTSVVPFAAIVDGRQKLPKDYYKEFFRLPYIAITALTLGAYFAHPLMQASSYQLPW >PAN20753 pep chromosome:PHallii_v3.1:3:52883345:52887831:-1 gene:PAHAL_3G409700 transcript:PAN20753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLRLHLAAPPPLLLPHRHSHLRRVHLPRPPGGPAPSPARAPLLPLPRPLLLYRARAVGGGAEGEGEAAGGKGPGLVGEDSAAFRLGDQSVASWAYFATILAAVLLALNVLWIDPGTGVGTRFLDAVASVSDSHEVVMLLLTIIFAVVHSGMASLRESGEKIIGERPFRVLFAGISLPLAVTTIVYFINHRYDGIQLWQVQGIAGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLVGHHLFGAWNGDRRLASRYGEAFEVLKKRTSVVPFAAIVDGRQKLPKDYYKEFFRLPYIAITALTLGAYFAHPLMQASSYQLPW >PAN17738 pep chromosome:PHallii_v3.1:3:9827367:9831054:1 gene:PAHAL_3G152800 transcript:PAN17738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSILCLPLILFASQAIPAKAAHANETEIPLGSKIDAGGVQSWVSPSGRFAFGFYPDDEGFSIGVWLIIGESRNIVWTANRDDPPVSGGSIQLTYGGLQWIPANAGSQGKFIFATSTQPASAAMMDTGNFVLYDIKKQVLLSTFASPTDTLLPGQNLVPGSQLFSSVSDTNHATGKYRLSNQLDGNLVMYPVDAVDPDSSYWNTGTYGDSYLLTVFLDPNGTLWMFDQNSLYTKVLFLTNQSSKASSDTDVYFRLTLDADGILRLYSHVFFGQGRAPMTEIVWLQPSSDRCDVKGVCGPNSFCHVSSNGKSSCSCLPGFEFSSANRSMQGCWRVRTGGCTGNNSNDDIRLTATMVELKNTSWSDMSYAVPPPTTSIEACKDLCLSDCACEIARFGAYCSKQMLPMRYGKMVPGSNTTIFVKVYTYESRGSLRKTRSGPVAILISGVALAVFSLLVLLANMLLCKHRLSSRYMRAPQQQDSKFDEESIAIRSYSFQDLESSTDGFSEELGRGAYGTVFKGVLTNGNKDIAVKRLERMAENGEREFQREVRAIARTHHRNLVRLLGFCNEGMHRLLVYEYLPNGSLADLLFRSDAVPSWSNRVAIVLDVARGLQYLHEEIDGPIIHCDIKPENILIDSRGVAKIADFGLAKLLIGNQTQTFTGVRGTRGYLAPEWSKNTAITVKVDVYSYGIMLLETISCKKSMELKLAGEECNISEWAYEYVISGDLKKVAAGECVNEVELERMVRVGIWCTQNQPVTRPTMKSVVQMMEGSSEVRRPPPPASFSQSLTRYGSS >PAN17535 pep chromosome:PHallii_v3.1:3:8908222:8919642:1 gene:PAHAL_3G138700 transcript:PAN17535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKKVIIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNTDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQDTANKRKQIDQVVRYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDTRRKISESMSLADNEVVDVREMIKSFDKEIKVSTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIKDRIVNEKRAKDEAARDLQSVRRESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDRWLQKEIEDLEPVLLSNRKQEGLLQEEIQKLKDEISNLTNYIESRKSESSKLEAALAKRHNDYNDLRKQRDVLQEERKSFWKEESDVTAEIDRLKEDLIKAQKSLDHATPGDIRRGLNSVSRIIKDHGISGVFGPVLELVDCEEKFFTAVEVTAANSLFHVVVENDDISTKIIKILTREKGGRVTFIPLNRVKVPDLSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARSNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIDKKMVHLDNVGNKLKDIDTKITELVTKQQQMDAERDHAKSELEQFKADIASAMKQRGSLEKALGKKEKSLDNIRNQIEQIQSSIAMKNDEMGTELIDQLTPEERDLLSRLNPEITELKERFLLCKNSRIEIETRKEELETNLSTNLIRRQKELEAIISSADSRTLPLEAESKEQELKSSKRNLDELTSLLKANVDAIHNFTRKMDDLKRKRDDLKTREAILEQTVQDGAKDLEQLMNSRSTYLAKQEECMKKIRDLGSLPADAFEAYKRKNKKQLQKMLYDCNEQLKQFSHVNQKALDQYVNFTEQREQLQKRRAELDAGDQKIMELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDAGDDDNDEDGPRDPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRGLADMADTQFIATTFRPEIVKVADKIYGVTHKNRVSYINVVSKDQALDFIEHDQTHNAS >PAN17524 pep chromosome:PHallii_v3.1:3:8906765:8919667:1 gene:PAHAL_3G138700 transcript:PAN17524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKKVIIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNTDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQDTANKRKQIDQVVRYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDTRRKISESMSLADNEVVDVREMIKSFDKEIKVSTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIKDRIVNEKRAKDEAARDLQSVRRESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDRWLQKEIEDLEPVLLSNRKQEGLLQEEIQKLKDEISNLTNYIESRKSESSKLEAALAKRHNDYNDLRKQRDVLQEERKSFWKEESDVTAEIDRLKEDLIKAQKSLDHATPGDIRRGLNSVSRIIKDHGISGVFGPVLELVDCEEKFFTAVEVTAANRVKVPDLSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARSNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIDKKMVHLDNVGNKLKDIDTKITELVTKQQQMDAERDHAKSELEQFKADIASAMKQRGSLEKALGKKEKSLDNIRNQIEQIQSSIAMKNDEMGTELIDQLTPEERDLLSRLNPEITELKERFLLCKNSRIEIETRKEELETNLSTNLIRRQKELEAIISSADSRTLPLEAESKEQELKSSKRNLDELTSLLKANVDAIHNFTRKMDDLKRKRDDLKTREAILEQTVQDGAKDLEQLMNSRSTYLAKQEECMKKIRDLGSLPADAFEAYKRKNKKQLQKMLYDCNEQLKQFSHVNQKALDQYVNFTEQREQLQKRRAELDAGDQKIMELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDAGDDDNDEDGPRDPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRGLADMADTQFIATTFRPEIVKVADKIYGVTHKNRVSYINVVSKDQALDFIEHDQTHNAS >PAN17536 pep chromosome:PHallii_v3.1:3:8906758:8919667:1 gene:PAHAL_3G138700 transcript:PAN17536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKKVIIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNTDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQDTANKRKQIDQVVRYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDTRRKISESMSLADNEVVDVREMIKSFDKEIKVSTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIKDRIVNEKRAKDEAARDLQSVRRESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDRWLQKEIEDLEPVLLSNRKQEGLLQEEIQKLKDEISNLTNYIESRKSESSKLEAALAKRHNDYNDLRKQRDVLQEERKSFWKEESDVTAEIDRLKEDLIKAQKSLDHATPGDIRRGLNSVSRIIKDHGISGVFGPVLELVDCEEKFFTAVEVTAANSLFHVVVENDDISTKIIKILTREKGGRVTFIPLNRVKVPDLSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARSNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIDKKMVHLDNVGNKLKDIDTKITELVTKQQQMDAERDHAKSELEQFKADIASAMKQRGSLEKALGKKEKSLDNIRNQIEQIQSSIAMKNDEMGTELIDQLTPEERDLLSRLNPEITELKERFLLCKNSRIEIETRKEELETNLSTNLIRRQKELEAIISSADSRTLPLEAESKEQELKSSKRNLDELTSLLKANVDAIHNFTRKMDDLKRKRDDLKTREAILEQTVQDGAKDLEQLMNSRSTYLAKQEECMKKIRDLGSLPADAFEAYKRKNKKQLQKMLYDCNEQLKQFSHVNQKALDQYVNFTEQREQLQKRRAELDAGDQKIMELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDAGDDDNDEDGPRDPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRGLADMADTQFIATTFRPEIVKVADKIYGVTHKNRVSYINVVSKDQALDFIEHDQTHNAS >PAN18493 pep chromosome:PHallii_v3.1:3:13356797:13358863:1 gene:PAHAL_3G205400 transcript:PAN18493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTVILYPGLSVSHFVPMVELADALLAEGYAVVVAYIDPTLKEDIALPAVVDRLAACKPSVAFHRLPRIQDAPAFAHGVSFLASYLDFVGRFHEHLRNFLLSMPPGSVHALVVDMMSVGVLGATCELGIPGYTFFPSNASALATAVQVSSIRAEGRPGLWELGDAPLDFRGVPPVPASHLTAEVLEDPGSEVYRAVANMFARIRESQGILANTFGSLEPRAVGALCDPRFSPKMPPLYCVGPLVAGSGEAKEEEKHECLAWLDEQPERSVVFLCFGGTGAGNHSVEQLKEMAIGLENSGHRFLWVVRAPPSGDDPEKPFDPRADPDLDALLPEGFLERTRGGGLVAKLWVPQVEVLRHAATGAFVTHCGWNSVLEGITAGVPMLCWPLYAEQKMNKTFMVEEYGVGVEVLGWQQGMVTAGELEAKVRLVMEGEEGERLRARVAEHKEAAATAWKKDGGSSRAAFGQFLSDARQPHAAAGLTRPR >PAN19582 pep chromosome:PHallii_v3.1:3:19166921:19168458:1 gene:PAHAL_3G284100 transcript:PAN19582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASVCRRRLAIPLPQILAGGGGGGCTNPVRSSPVAFLLSHGYYSTAVAAAPDPEPCPATVSYLVSCGLSPAAAAAARYVRIRDRDRADAVRALLREYGFSEAEVTRTVRQDPVLLNFDPDRIIRPKLDFFLSLGFEPRFLAAEPHILARSLDNHLVPCIEFLRGILGSDDSVRTAVCRVPRALLVDLDNNMRPAVEAFRRHGLPEESIAKLLLIHMGVLMVPLDRIAEAFDDLKELGLSVTDTAFLYSFRVMCSLKRETWVRKVALYRSFGVCEADLLRAFKTQPTILLVSEESVKKKFRFYLDVLKLEIGDVMAQPMTLSLSLEKNVMPRCAVLSLLMREGKIEQKMKLLSALLSNSTVFSERFVWKYAKDVPDVVKAFEGKIKFQGFGDREFELLSHHMTGAKS >PAN18163 pep chromosome:PHallii_v3.1:3:11991116:11994748:1 gene:PAHAL_3G183200 transcript:PAN18163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSFVLAVLTLHSASAMAGCSAVDLPAAQPSHRRWLQDTNLAARSEATIPVPIVARVPSEGSGSFPASSSRRGGHHPKPKEVARPAATGGSPHRPSPSKSHYLLTSMRWLYLIVLPAAGLLLLAGIACWLLACRKSAVATIGPWKTGLSGQLQKAFVTGVPKLQRSELERACEDFSNIIASYPHYTVYKGTLSSGVEIAVVSTMITSSKEWSEHSESCFRKKIDTLSRMNHKNFINLLGFCEEEEPFTRMMVLEYAPNGTLYESLHAEDFEHIGWRGRMRIIMGLAYCIQHMHELNPPVAHPDLQSSSILLSEDGAAKIVDMSVWHEVISKGKTPTNGELDPHHEQVSAALAGNVYSFGVLLLEIISGKLPDPAHERSLVILALECINNGDRSLVSLLDPTLNDHKEDELAVIGKVIHACIQSDPRNRPSMREITARLREAIGISPVAATPRLSPLWWAELEVLSAAEAG >PAN18164 pep chromosome:PHallii_v3.1:3:11991777:11994748:1 gene:PAHAL_3G183200 transcript:PAN18164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSFVLAVLTLHSASAMAGCSAVDLPAAQPSHRRWLQDTNLAARSEATIPVPIVARVPSEGSGSFPASSSRRGGHHPKPKEVARPAATGGSPHRPSPSKSHYLLTSMRWLYLIVLPAAGLLLLAGIACWLLACRKSAVATIGPWKTGLSGQLQKAFVTGVPKLQRSELERACEDFSNIIASYPHYTVYKGTLSSGVEIAVVSTMITSSKEWSEHSESCFRKKIDTLSRMNHKNFINLLGFCEEEEPFTRMMVLEYAPNGTLYESLHAEDFEHIGWRGRMRIIMGLAYCIQHMHELNPPVAHPDLQSSSILLSEDGAAKIVDMSVWHEVISKGKTPTNGELDPHHEQVSAALAGNVYSFGVLLLEIISGKLPDPAHERSLVILALECINNGDRSLVSLLDPTLNDHKEDELAVIGKVIHACIQSDPRNRPSMREITARLREAIGISPVAATPRLSPLWWAELEVLSAAEAG >PAN16759 pep chromosome:PHallii_v3.1:3:5498192:5505032:1 gene:PAHAL_3G084400 transcript:PAN16759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSQPPASRAALEPLATLDPAALAGVPASSPLTVRATALSAHLLYLGTGGGKLLLFSLQDPSNPEFLRLLPIGATLPVSAILPLPSVARLLVLADGLLLLADPLLSRPVRRLGSLRNVAAVAARRASADPGSPSCSIAVSVGKKLLRVDLTLQDGDELDVQTREIAAVEGVKALAWVDDSVFVATATGYSLFSSTAGQGVDIFTLPESSGRPRVRPLSGGDEVMLLVDNVGVVVDRFGQPVGSSLVFNTTPDCIAEVFPYVIVAGDSKVDVYRRRNGAHLQTIPVARTGQGVLIVASDDDGIGTELVVIATAYKVFYYRKVSAVEQIKASLRRKNYKEAISLLEEFETDGEISKDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPSEIFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVTVQQALFLKKAGVDTVVNEDFLSNPPSRADLLELAIRNIIRYLCASRVENLSSLEMEGVDTFLMYLYRALDLVDDMEKLASSQNSCVVDELESLFDDSGHLRTLAFLYGSKGMCSKALAIWRILARNYSAGLWKDQSENGSCGTSVDKRSEEIAAIEAAKILKTSSDEDLVLEHLGWVADIDQELAIAVLTSEIRENQLSPEKVVAAVDTEKVVIHQRYLQWLIEDQGCDDPHYHTSYALSLAKSAIEAVHMESKYRGKGNREIDSDAQFIYLLREKLQLFLQASDLYDPEDVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLGLYLDPQNGKEPMFTAAVRLLHNHGESLDPMQVLERLSPDMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQQGDSTSGRGRSLRKDVIFKQSWLVSR >PAN20645 pep chromosome:PHallii_v3.1:3:27775944:27780003:1 gene:PAHAL_3G334500 transcript:PAN20645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLALLEKALLGLFAAAVLAIAVAKLTGKRLRLPPGPAGAPVVGNWLQVGDDLNHRNLMALAKRFGDIFLLRMGVRNLVVVSTPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPRAAAEGVVIRKRLQLMMYNDMFRIMFDRRFESEQDPLFNKLKALNAERSRLSQSFEYNYGDFIPVLRPFLRGYLNRCHDLKTRRMKVFEDNFVEERKKVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPAIQSKLREEITSVLGAGVAVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNDGKLHGYDIPAESKILVNAWFLANDPKRWVKPEEFRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFELLPPPGQDKVDTTEKPGQFSNQIAKHATIVCKPLEA >PAN20339 pep chromosome:PHallii_v3.1:3:38483321:38488068:1 gene:PAHAL_3G367300 transcript:PAN20339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSRGASSSLPLHLIVDDALALVTPLQQSFQRSQRLCFGDSAPGEFPLAANPSIVLHVLTSCNLDPEDLAHLEATCTFFRKPANFPPDFDLSMSELAALDLCQKRAIFKPMTAHERELFKQCCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTASGAVYTFGSNNSGQLGHGNLEEEWRPRVVRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGGQGSRVVTTPQVVESLKDIYVVQAAIGNFFTAVLSREGRVYTFSWGNDTRLGHQTEPNDVQPHLLTGALENIPVVQIAAGYCYLLALACEPTGMSVFSVGCGLGGKLGHGSRTDEKYPKLIEQFRNLNIQPVVVAAGAWHAAVVSKDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSGVKAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNILSPELVTSLKRVNERMVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLN >PAN20338 pep chromosome:PHallii_v3.1:3:38483237:38488068:1 gene:PAHAL_3G367300 transcript:PAN20338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSRGASSSLPLHLIVDDALALVTPLQQSFQRSQRLCFGDSAPGEFPLAANPSIVLHVLTSCNLDPEDLAHLEATCTFFRKPANFPPDFDLSMSELAALDLCQKRAIFKPMTAHERELFKQCCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTASGAVYTFGSNNSGQLGHGNLEEEWRPRVVRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGGQGSRVVTTPQVVESLKDIYVVQAAIGNFFTAVLSREGRVYTFSWGNDTRLGHQTEPNDVQPHLLTGALENIPVVQIAAGYCYLLALACEPTGMSVFSVGCGLGGKLGHGSRTDEKYPKLIEQFRNLNIQPVVVAAGAWHAAVVSKDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSGVKAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNILSPELVTSLKRVNERMVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLN >PAN17120 pep chromosome:PHallii_v3.1:3:6954821:6956403:1 gene:PAHAL_3G109100 transcript:PAN17120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSTWLAFAVAALLVASVAHASPPAKLKVGFYKHSCPQAEDIVRNAVRRALARDPGLGAGLIRMHFHDCFVRGCDASILINSTPGNQAEKDSVANNPSMRGFEVIDDAKAVLEAHCPRTVSCADIIAFAARDGADLAGGIKYQVPSGRRDGRISISDEVLNNNVPGPTDLVNELVESFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRLYNFSGQVGRTDPAIDPAYAEHLKMRCPWPSSDAQMDPTVVPLDPVTPADFDNQYFKNVLAHKVVLTSDQTLLDNPWTAGIVKFHAAVEKAWQIKFAASMIKMGNIEVLTGDQGEIREKCFVVNHY >PAN16059 pep chromosome:PHallii_v3.1:3:1656137:1661810:-1 gene:PAHAL_3G029900 transcript:PAN16059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATSTLALSSSPAAARRSPEKSAVASLHLRRFPTTSSLHLRAVQSPALRAHSSPFQGWRRRRRACGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFIESVSEKAVGTDVIRGVSPDQQLVKVVNDELVQLMGGEVSDLAFSKSGPTVILLAGLQGVGKTTVCAKLALYLKKMGKSCMLVAADVYRPAAIDQLNILGKKVGVPVYSEGTDVKPSQIAKNGLKEAKSQKKDVIIVDTAGRLQVDKAMMNELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGQGERIEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQMIAKMGSFSRLIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRKRVAKDSGKTEQQVSQLVAQLFQMRARMQKMMGAMQGKDSPNMDEVMESIKAEEQAAADTGRRRRKYGNLRQRQLDAMRGFRRR >PAN17877 pep chromosome:PHallii_v3.1:3:10352853:10357780:1 gene:PAHAL_3G161000 transcript:PAN17877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01100) UniProtKB/TrEMBL;Acc:F4JHS4] MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKGPGHQVLTICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWAYRQQTGDEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPFGLANDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNHADSIVTGQGKEALQYNGMIDAFRKTVRHEGVGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >PAN18384 pep chromosome:PHallii_v3.1:3:12938174:12940727:1 gene:PAHAL_3G197500 transcript:PAN18384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSADVAAAPFGSWASIRGYFTPATLFLVVNLVIGTIALTSRATQQRRRREHHNYHDDGHYHHQEPLHPHQQPAYGDHYYPQQQDTLYATPPAPAPLARTSSVLDRLRSFGLYRFRSGDFPPEYGAAAGANHAQDAFAPVEQETATATQQAHYARSRSDPSPAREERRPASTVKKSGAKVRKAQVAREPARVVEAVAEDDSVDARAEVFTASFRREPSPLQQEYHYQEEYVPPPARARALAPAPAPLARTSSVMDRLRSLGLYGFLAPEQPAAAAMPASAPAAAVEKKQAQSHYDRSRSEPAWEQGSNKEKKQEAKSKIAKSSSETRKAAAPSRVEAALAGESVDVRAEAFIDSFRQQQAQHHREDEYVPPPAPAPLSRAPSVLERLRSFSLYRFRSGDLGPDLPAAAAESTPPAADEKKQAAAHYGRSRSEPAPEQGKKEPRMSKSSSVVVEEEKPTAEADQGVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGGEQ >PVH62067 pep chromosome:PHallii_v3.1:3:12938174:12940727:1 gene:PAHAL_3G197500 transcript:PVH62067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSADVAAAPFGSWASIRGYFTPATLFLVVNLVIGTIALTSRATQQRRRREHHNYHDDGHYHHQEPLHPHQQPAYGDHYYPQQQDTLYATPPAPAPLARTSSVLDRLRSFGLYRFRSGDFPPEYGAAAGANHAQDAFAPVEQETATATQQAHYARSRSDPSPAREERRPASTVKKSGAKVRKAQVAREPARVVEAVAEDDSVDARAEVFTASFRREPSPLQQEYHYQEEYVPPPARARALAPAPAPLARTSSVMDRLRSLGLYGFLAPEQPAAAAMPASAPAAAVEKKQAQSHYDRSRSEPAWEQGSNKEKKQEAKSKIAKSSSETRKAAAPSRVEAALAGESVDVRAEAFIDSFRQQQAQHHREDEYVPPPAPAPLSRAPSVLERLRSFSLYRFRSGDLGPDLPAAAAESTPPAADEKKQAAAHYGRSRSEPAPEQGKKEPRMSKSSSVVVEEEKPTAEADQGVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGGEQ >PVH62747 pep chromosome:PHallii_v3.1:3:45010050:45010958:1 gene:PAHAL_3G385400 transcript:PVH62747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDHEGHFHTNALHWEGFAHLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSQWQPIEVEVVGYHLVDTIETAALKLHPTEVAAYPIGLFPTIDPGNLEWNFRTEHLGHMLGDLAKETIHSITRFMDVQHHYQILLRHSMGQLTSAAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDAQAHLEELQQQSILPAAPAMPEEEDPEEIEGVSEIDSEHGDPVLSPYHSYSGSQSSVGNFDDFLV >PVH62862 pep chromosome:PHallii_v3.1:3:54456605:54459656:-1 gene:PAHAL_3G418200 transcript:PVH62862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHFLILLAIVSSFAEAGDDGYSSATALPILPGAFMPVEPYYCSTTGNYTLKSPYQVNLGKLMDDLQSGAIANHAGFNYAVAGEAPDAVFGLTMCYADRNWTQCQNCLQAATTEVELICPFGPEMKAWGDACVLRYSNESFFYVADVISAFHFSDTSNSSVNNMTGVNDTLWSLMSRLAAEAAVSELRLAKGSQVYKGSTSISQVIYGLAQCTRDLNASECSRCLTYFVEELLSSSLSVKINYAVKGYSCNVAYKIGEDFDSYLFHEKDPSPRTRPTLGIVAGVTGGSIAFVICTGTLVCILLRHRIIKAREREVDMFDDDPLEDNTFEKGTGPRRFRYRELATAAGFFSDEEKLGEGGFGSVYRGYLKDMDLRVAIKRVSKSSQQGRKEYISEVKIISHLRHRNLVQLIGWCHGGGELLLVYELMPNGSLNSHIHSQNNVLSWQLRHGIVLGIGSALVYLHQDWEQCVLHRDIKPSNILLDASFNAKLGDFGLARMVDHERQSHTTALAGTMGYMDPECMLSGSASTTSDVYSFGVVLLEICCGRRPIVVVQDSGEYATMHLVQWVWECYGCGRIIDAADAQLNGEFDGDEMERVMITALWCAHPDRTLRPSIRQAIGVLRMEAPLPSLPTNMPVATFMPPMYHLQRESGATTGSSSGSAGTKHSSIATKTSSLPR >PVH61641 pep chromosome:PHallii_v3.1:3:5488888:5489565:-1 gene:PAHAL_3G084100 transcript:PVH61641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRRRKNKVNGGVDDDDEASSGSRARDGLLYALVQRLQVCRPVLVLRKALVRSYLSRQQNYLGITPAEYDRGFRRPGLLTGDEHSGVHRAGGLPVRALDRRGVEYVTVLAHLKKTYRLRAGWGGFLRNAGLLQDDDRHVGDVVEVWAFRSPAWGAKLGLVLLHYTKEEDAQMTMAVASRGYRRQQVRRLAPPPIVAAEEDDDEEATAMSAAVTLVSMKRKYK >PAN19899 pep chromosome:PHallii_v3.1:3:21407703:21410513:-1 gene:PAHAL_3G306100 transcript:PAN19899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPAPAGRWADLPEDIALAVASRLQEADVCALGGCSRSWRATCDADYVWERLFRCRWPAAAAEAAPASRVQGWKALYINQHRRMDVAISNVVEFVGSSLNNGWLESECYLKAIADLALMDDIGFLDVKFFLFSRNHSAIINLIGLHYSIASLHVLLKSVRHSKLAK >PAN19894 pep chromosome:PHallii_v3.1:3:21407703:21410514:-1 gene:PAHAL_3G306100 transcript:PAN19894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPAPAGRWADLPEDIALAVASRLQEADVCALGGCSRSWRATCDADYVWERLFRCRWPAAAAEAAPASRVQGWKALYINQHRRMDVAISNVVEFVGSSLNNGWLESECYLKAIADLALMDDIGFLDVKFFLFSRNHSAIINLIGLHYSIASLHVLPAEVSKALQARQVAGRRVCVNLLKLGRWFYGFRLPDEHVSRKISLSELTVAEGAEILAILNRGAVHEVFRLQISLADIDK >PAN19895 pep chromosome:PHallii_v3.1:3:21407703:21410122:-1 gene:PAHAL_3G306100 transcript:PAN19895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHFKAQLLVDAVGPPSFLSSIFCEVYYREKDMVVCRALAFSRLAEPNYGTRKGWKALYINQHRRMDVAISNVVEFVGSSLNNGWLESECYLKAIADLALMDDIGFLDVKFFLFSRNHSAIINLIGLHYSIASLHVLPAEVSKALQARQVAGRRVCVNLLKLGRWFYGFRLPDEHVSRKISLSELTVAEGAEILAILNRGAVHEVFRLQISLADIDK >PAN19893 pep chromosome:PHallii_v3.1:3:21407935:21409159:-1 gene:PAHAL_3G306100 transcript:PAN19893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHFQCIFFIYLYALVVLKAWLIKLSNFVQIFLQGWKALYINQHRRMDVAISNVVEFVGSSLNNGWLESECYLKAIADLALMDDIGFLDVKFFLFSRNHSAIINLIGLHYSIASLHVLPAEVSKALQARQVAGRRVCVNLLKLGRWFYGFRLPDEHVSRKISLSELTVAEGAEILAILNRGAVHEVFRLQISLADIDK >PAN19897 pep chromosome:PHallii_v3.1:3:21407935:21409450:-1 gene:PAHAL_3G306100 transcript:PAN19897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHFKAQLLVDAVGPPSFLSSIFCEVYYREKDMVVCRALAFSRLAEPNYGTRKGWKALYINQHRRMDVAISNVVEFVGSSLNNGWLESECYLKAIADLALMDDIGFLDVKFFLFSRNHSAIINLIGLHYSIASLHVLPAEVSKALQARQVAGRRVCVNLLKLGRWFYGFRLPDEHVSRKISLSELTVAEGAEILAILNRGAVHEVFRLQISLADIDK >PVH62497 pep chromosome:PHallii_v3.1:3:21826441:21827396:-1 gene:PAHAL_3G308800 transcript:PVH62497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATPLGKKAPPATAEGALPSAAQQAVERPSPDDAAAFVRTSSGGEDDDEQVERFYALLENIRAMRGMLGAGGGTGAATAAGRKRAREAEPPWRPAFRMEDFELDEVQSDAPCCDVKGTERESSCGARRPPAAPGRETTDEEEEEEEEGARWSSWRRNVPGERSARRVARLCSQLTG >PAN21236 pep chromosome:PHallii_v3.1:3:58127252:58128068:-1 gene:PAHAL_3G443600 transcript:PAN21236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRVGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGSGSMLQKDGDIDKDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIWNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEL >PAN20735 pep chromosome:PHallii_v3.1:3:52456464:52460612:-1 gene:PAHAL_3G408100 transcript:PAN20735 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYNC2_ARATH [Source:Projected from Arabidopsis thaliana (AT3G07420) UniProtKB/TrEMBL;Acc:A0A178VCZ7] MASSPPSSSSSSEEATTSSNGSASVQFSKYSRRATLRSVVGRPDGGLGLSGKRAVVGGWVKSANVVKAKRGGGAMSPPRMPATETTGLTCTEVLMARVPLIRCIAKLIAGASTVDKASFSVSYKLGPVTALVRINDGSCVPDLQIVVDSSLCPLEQVTAIGASVLVEGKIELVEGKNQQRVVELRVDKVLHIGAVNIDKYPLPNVELLPPDELIKDYPQLALEQLRYAMASAARVRSEMVHAAHTFFQTNGFFHVNTPIITTTTVAGDRRKMFRVMRPTSKSDNRAITPEVVRASIKAKTKQIEALERSESNKEALEAPELDLQRASELAQQLEQQGSADFSDDSFQHPLYLSPDHTLHLETYACALSSIYTFSPAFQAESLEPNKHLAERWTIDAELAFAELEDAISCAVDYLMWLLCTVSKNCSDELKFLSTKENNGKNFHINSVVSSPWERITYNEAVNTLLQVKDKSFEAKVELGMPLSLEHMSYLVDDYYKKPVIIYEYPKQLKPFYARLMEDETKVSAFDIVVPKVGIVACGTQKEERMDKLTARIDDLSLPRDQLEWYLDIRRHGTVKHSGFSMDMEQLILLVTGLDK >PVH62983 pep chromosome:PHallii_v3.1:3:58362571:58367406:-1 gene:PAHAL_3G446500 transcript:PVH62983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLVLVVLPLGLLFLLSGLIVNSVQAVLFVSIRPLSKSLYRRINRFLAELLWLQLIWLVDWWAGVKCSIAFCLDLSEIIVSHSLFVHSFQSFNSNSAGTIDADQETYQLTGKEHALIISNHRSDIDWLIGWILAQRSGCLGSTLAIMKKSFKVPSFLRPHCYAWVKELFPMNIYDHPWCQSIKSIKEQSSSEQEVIGWSMWFAEYLFLERSWAKDENTLKWGLKRLQDFPRSFWLALFVEGTRFMPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPKDSPAPTMLRILKGQSSVVHVRIKRHAMSDMPKSNEDVSKWCTDIFVAKDALLDKHIAIGTFDEEIRPIGRPIKSLLVVLSWSCLLLFGAYRFLQWTQLLSTWKGIILFVAGLGLVTGIMHVFIMFSQSERSSSARAARNQVKKG >PVH63050 pep chromosome:PHallii_v3.1:3:59836846:59842047:-1 gene:PAHAL_3G461200 transcript:PVH63050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGKDGNFLPPSTPLAPRFRSDGRRQWIRRRDHEEAAEQRSRRPRPEGGPATNQWDNTASSPPSPSASSRSGPRRLLVTSDENTAGPNGGHRRREFLLNAPSPERATKGSIRLRSEAVGGGARKAEGCSHVAQPEGNRGSTPAGGKPGKVKLKIRNVLPKPNPDAVDSRSLPAKPPRPVDSRHQQKTEGAKDSDKSSSSRDKKSRKERLVEEAMVQEQSAKVQREPSSDPVRKSRRLAKKSVLDNEIDEDYDTSNIGTEDWDGNAPELKNKGGSSSKKNASKKIKIKSKAYEVGNEFVTSRSSRDGKKRSRESADDDNTEEEPTSDSELDAEDEQKTATESPVNVRSEPLTTRRRALQSWMDGSSSSTVEFPDGLPLAPSRSKKDKLSEEEMLAKKAEAAQRRRMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREEKERATRAQNIAASSIRWVMGPTGTVVSFPHAVGLPSIFDSKPHRLLENSAAFPRL >PAN17820 pep chromosome:PHallii_v3.1:3:10106647:10109544:-1 gene:PAHAL_3G157000 transcript:PAN17820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQMMQGRPCASCYPSSSSSSSSWMMAAHPPKQPPHPLGGRSISSESLAALALHLVLKRRKYHGRDIATAFASSSSVLQITENKSSSLTKPKAERKVLDDALDRNLQYDWMDEETLFWLDRNYTNSNLQYDLLMENLQALETSLAGKDLKMLEKDILVHIEQLGALGSFNASMSRATLDTLTQTSHEPDFSLLDKVIKFDPETPLKEQDAEVIVRSGKSQERKQKRMRASEKASRISVKVNPRRSKKSRKYSSSQFISEWKNYPGRRRTIVREQSSSLVTIKECANLEKIREDMIKEGQEVSYQRWAEEAGVDEAELKRRLQAGYCCRERLIVTTEWLVRYIARTYTGMGTAFDDLLQAGKMGVLDGAEKFDSRKGCKFSTYVKYWIRKGMLALLAENSGVTLLPARMECIIRKVKEARRAIRYSQGRNPSDSEIAAVIGVSVANVRLARKCSRRVQSLYSEIGIGQNAKFTEVIPDASLEAADEAIFRAQLRERLLVVLDRLPAREGHVLKLRHGLEDGRCMSLEQIGRIYRVSKEWIRKIEKSAMAKLRNQDVRRELDDFCSF >PVH62591 pep chromosome:PHallii_v3.1:3:26640974:26641470:1 gene:PAHAL_3G331000 transcript:PVH62591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCRWKELLAYDLLRLILMVFVMNLFRKRRNPSQERTTSPADFIYSVLGERCTLHHQFPFISTGSFFWEQLFVCN >PAN18263 pep chromosome:PHallii_v3.1:3:12465743:12467553:-1 gene:PAHAL_3G190200 transcript:PAN18263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMAGASDSDHHATAKTAPAQAPPAPASPSPSPAPAARTRLHDFSFPTLSWGTHRLLRCSKDGASASPPPHPQTPSPGKEKPQGQHGSPGATGASQPPRPWNLRTRRSATVAPLASRSEGTWKAAAGQAHQSLASPPAVAMKRGFSASLTKEEIAEDFAAIRGTRPPRRPKKRPRAVQRQLDMLYPGLSLADVNLDSYKIEER >PAN20627 pep chromosome:PHallii_v3.1:3:27923184:27927280:1 gene:PAHAL_3G335800 transcript:PAN20627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPTLSVILRCLTLLCILSLTVAAADNNTTGSGQIRLDCGASSPTSTDADERTWDSDIGSRFAPLLKGTAATASYQDPALPSPTPYMTARIFTSNYTYSFPISPGRMFVRLYFYPSTYDNYAPGNAYFGVTAGNLTLLDNFNASRNALAINVACFFLEYSINVTADRLDLTFAPSTHHNGSSAFVNGIEIVPTPDLFTTPMPTMANGGNPNPFPIVPSTGFQTMYRLNVGGHAISPKGDIGFYRSWGDDSNYIFGGQGVTFGKDKNLSIIYTPSVPNYTAPVDVYASARSMGPNPEVNLKYNLTWTLPVDAGFYYLLRFHFCEIQYPITKFNQRSFFIYINNQTEQQQMDVIAWSRGIGRTTYTDYAIITAGSGQMDLWVALHPDLSSRPEYYDAILNGLEVFKIQNYGNNSLNGLNPPLPSVEPNGKPSRRNSKGAAAPAAIGGAVGGFVVLLVASIGVCVICRRKKKVAKESGKSDDGPWTPLTDYSKSQSNTSGKTTNTGSRTSTLPSNLCRHFSFSEIQAATNNFDQTSLLGKGGFGNVYLGEIDSGTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEDMNEMILVYDYMANGTLREHLYNGKKTPLSWKSRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDDKLVAKVSDFGLSKTGPNVENTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALSPSLPKEQVSLADWALHCQKKGILGQIIDPHLQGKISPQCFMKFAETAEKCVADHSIDRPSMADVLWNLEFALQLQESAEDNSSLTDGTSSNRSPLIMPGLHSDEPPTDTTTTTSTTMSMTGRSLASMESDGLTPSSVFSQLMNPGGR >PAN18979 pep chromosome:PHallii_v3.1:3:15544292:15545284:1 gene:PAHAL_3G239700 transcript:PAN18979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADRASAACGVMAEAREAGVRLLALLQATGADTGKRELAEQIICCIDRARAAVRAAGEGKTGQGLGLGARPPAGSKRRSARRCGEARARVVASSAMDDGYAWRKYGEKSIQDRKNPRFYFRCAYRDELGCGARKQVDRMEDDPSLFHTTYFGEHTPACPRDAAVADLDRDGRRFVVQASIDSFRACLLAEGEEMPASMVEFTAGYCWPLKDQMANLVSSDVSFATPGELESLDAISMEELLDLLWP >PAN19951 pep chromosome:PHallii_v3.1:3:21969141:21970151:1 gene:PAHAL_3G310100 transcript:PAN19951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACVETAAAPHRAAAAPRSRKRMRVAMGTTDDYEETCRLGEGAFGAVVKARHRATGRAVAMKYLGGPVGGHAAASLLREARFLEACAANPFVVGSRGLARDPATAELCLVMECGGASLGALLRPNGSPTPLPEATVRATMRQLLKGAKRMHDAHVVHRDIKPENILVSDDGAVRFCDFGLAVHMAERPPYERAGTLWYMAPEVLLDKPDYDALVDTWSLGCVMAELIDGRVLFEEHDSEDQLCAIFGLLGMPNDTTWPWFSSTPFGAQIPELERQRRKCNLLGCKFPESKLSKEGFDVLSGLLTCNPDKRLTAAAALKLPWFRRDGRAAAAGQER >PVH62193 pep chromosome:PHallii_v3.1:3:15141492:15142314:1 gene:PAHAL_3G232900 transcript:PVH62193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKIIALFLAFAVASAALQPSAAARVQAQHGLKPAAASHEAEKVAAQAAGGSAPTPPGLPAGVQLPPGLLPAILGLLFPPLAGGALGGLLPAGASHAPPPPAECMTSLAAVAPCTDYLTNATAVATPPGECCDGLRAVVRDAPICLCHGTNGGMNRFLPRPVDPLRMAVLPLACGAVLPIQTLFLCNSNQVPPMLPPTPPATPPPVSP >PAN15658 pep chromosome:PHallii_v3.1:3:294617:297321:1 gene:PAHAL_3G004300 transcript:PAN15658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREALGQNVTSSKKAQVKWYRNILEAYKNSSSPLKTPAEAAKLVATALSRIQRADLEVNNKCIGDGDGFSAYVGTADPREPANVPMEVHEMVIKRAQARTDRDYQKADALLRSLNKAGYKIITISGEEILARKHRIRMRGVDAPELKMANGNESKNALVKLIGGKRVTIYVYGQDQFGRYVGDIYCDDVFIQEKMLKNGHVWHFKTYDKRPEFAQWEREARAARRGLFASENPEKPWDWRRDQRNANIQVY >PAN17435 pep chromosome:PHallii_v3.1:3:8477684:8482140:-1 gene:PAHAL_3G131300 transcript:PAN17435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASYRRAGSGGGSAPRSVEDIFKDYRARRSAILRALTHEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNERKRLFSMMNELPTVFEVVSGGGKQSRERDRSASDNNGRNKLSVKQTSEPRIENNTREPDEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDMCEKWYHGKCVKITPAKAESIKQYKCPSCSKRPRPL >PAN17436 pep chromosome:PHallii_v3.1:3:8477685:8482140:-1 gene:PAHAL_3G131300 transcript:PAN17436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASYRRAGSGGGSAPRSVEDIFKDYRARRSAILRALTHDVEEFYAQCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNERKRLFSMMNELPTVFEVVSGGGKQSRERDRSASDNNGRNKLSVKQTSEPRIENNTREPDEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDMCEKWYHGKCVKITPAKAESIKQYKCPSCSKRPRPL >PVH62265 pep chromosome:PHallii_v3.1:3:16389983:16394490:1 gene:PAHAL_3G252200 transcript:PVH62265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRPVLAIAILGTAAAAVLFRGSLAQEVKQDHHTERISGSAGDVLEDDPVGRLKVYVYDLPARYNTALLEKDPRCLTHMFATEVFVHRSLLSSAVRTLDPEDADWFFVPVYTTCDLTASGHPMPFDSPRMMRSAIRLVAERWPYWNRSEGADHFFVTPHDFGACFHFKEEKAVARGILPLLRRATLVQTFGQRRHACLKGGSITVPPYAPPARMEARLLPPGTPRSVFVYFRGLFYDSGNDPEGGYYARGARASVWENFRSNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWADIGVFVAEEDVPRLDTILTSIPAEVVLRKQRLLASPAMKRAVLFPQPSQPGDAFHQILNGLARKLPHGDGVFLRPGQTVLNWTAGPPGDLKPW >PVH62264 pep chromosome:PHallii_v3.1:3:16389983:16393567:1 gene:PAHAL_3G252200 transcript:PVH62264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRPVLAIAILGTAAAAVLFRGSLAQEVKQDHHTERISGSAGDVLEDDPVGRLKVYVYDLPARYNTALLEKDPRCLTHMFATEVFVHRSLLSSAVRTLDPEDADWFFVPVYTTCDLTASGHPMPFDSPRMMRSAIRLVAERWPYWNRSEGADHFFVTPHDFGACFHFKEEKAVARGILPLLRRATLVQTFGQRRHACLKGGSITVPPYAPPARMEARLLPPGTPRSVFVYFRGLFYDSGNDPEGGYYARGARASVWENFRSNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWADIGVFVAEEDVPRLDTILTSIPAEVVLRKQRLLASPAMKRAVLFPQPSQPGDAFHQILNGLARKLPHGDGVFLRPGQTVLNWTAGPPGDLKPW >PAN18169 pep chromosome:PHallii_v3.1:3:12007564:12008510:-1 gene:PAHAL_3G183700 transcript:PAN18169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit VI, chloroplast precursor (PSI- H) (Light-harvesting complex I 11 kDa protein) (GOS5 protein) [Source: Projected from Oryza sativa (Os05g0560000)] MASLAAVSVQPVAVKGLAGSSISGRRLAVVRPSPRAACRSARRPRGAAVVAKYGDKSVYFDLDDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLLAYVSASASPDLLPIKKGPQQPPQPGPRGKI >PAN20644 pep chromosome:PHallii_v3.1:3:27780656:27781481:-1 gene:PAHAL_3G334600 transcript:PAN20644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTSPASILSLPILFLLLTVTCKAATGAPTSCTAPPCQGKQSWLELVGKDQDTAYLVIRRDNPQVKDVVYLISDAVGHALDSKKDVLGAAADGDFCCNRVVVVLGALSSGDEGVIKVPKVG >PVH61973 pep chromosome:PHallii_v3.1:3:11044050:11044480:-1 gene:PAHAL_3G167000 transcript:PVH61973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEEPLSMAPWASGLPAGIPAAVLGRLPSHTDRVRFAAVCRPWRAAARHQQSPPPLPWLALSDGTFFSFPGSSAFRLLGAARYHGSCAGLLVFERGDNEDGGGHHAAPEPVVRPPRRRAGTLRESHAGHHG >PAN20867 pep chromosome:PHallii_v3.1:3:54371494:54373775:1 gene:PAHAL_3G417900 transcript:PAN20867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAVQVVPEEMLGRMLEAGCKLEEKIFMQAFMFVLFLSSWE >PVH62860 pep chromosome:PHallii_v3.1:3:54372206:54373540:1 gene:PAHAL_3G417900 transcript:PVH62860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASHYFSPGMTSLNIDTWTAAVQVVPEEMLGRMLEAGCKLEEKIFMQAFMFVLFLSSWE >PVH63099 pep chromosome:PHallii_v3.1:3:60643544:60652733:1 gene:PAHAL_3G473200 transcript:PVH63099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDILHPAINWADKESNVDADEMAKVKTAFVGNLPANVNEEYLRKLFEQFGKVIRVAISRKGQCPVGFVHFASRLELDNAIKEMDGETVRGPDRGPAFKIQVSVARPAVDNEKKRSHEEVKTRRSNVSGDRPDYSYGRHGHDSFDRQVKAPRLSKYVDDASDPYESAIASLPSAAKEVLLRILRLGIGTQYDIDIHCVKNLNELPESSAVAVLNQFLISGRDKRNKGDYFASLIAKHQAEAYGLAHTLHGTTYLPRNPEMHGKRYPHEDYDYMAPGSSRYNSGHLPSSYYIDDPPVSQSRIRRYGEERSTIVRNSEPRHDEVDIRRYPEPRLAHESRHNTGKHLDRRYIQEQSLSIERSAEESVLSRERRFLPAAGYGTDLVSDYRSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGEPIRPEPNQRHSGSLY >PAN21528 pep chromosome:PHallii_v3.1:3:60643544:60652766:1 gene:PAHAL_3G473200 transcript:PAN21528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRGDYDEQDRRAGKGTEVFVGGLPRSATESTLREVFSPCGEIVDVRIMKDQNGLPKGYGFVRFAKREYANTAKRQKNGIELQGKRLAVDLSMDQDTLFFGNLCKEWTSEEFEELIHKTFKDVVSVDLAMASNHGSSSKRHVNRGFAFVRFSSHSAAARVIRIGSRTDFMLGDILHPAINWADKESNVDADEMAKVKTAFVGNLPANVNEEYLRKLFEQFGKVIRVAISRKGQCPVGFVHFASRLELDNAIKEMDGETVRGPDRGPAFKIQVSVARPAVDNEKKRSHEEVKTRRSNVSGDRPDYSYGRHGHDSFDRQVKAPRLSKYVDDASDPYESAIASLPSAAKEVLLRILRLGIGTQYDIDIHCVKNLNELPESSAVAVLNQFLISGRDKRNKGDYFASLIAKHQAEAYGLAHTLHGTTYLPRNPEMHGKRYPHEDYDYMAPGSSRYNSGHLPSSYYIDDPPVSQSRIRRYGEERSTIVRNSEPRHDEVDIRRYPEPRLAHESRHNTGKHLDRRYIQEQSLSIERSAEESVLSRERRFLPAAGYGTDLVSDYRSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGEPIRPEPNQRHSGSLY >PVH63098 pep chromosome:PHallii_v3.1:3:60644994:60652330:1 gene:PAHAL_3G473200 transcript:PVH63098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQRSSTTGYGFVRFAKREYANTAKRQKNGIELQGKRLAVDLSMDQDTLFFGNLCKEWTSEEFEELIHKTFKDVVSVDLAMASNHGSSSKRHVNRGFAFVRFSSHSAAARVIRIGSRTDFMLGDILHPAINWADKESNVDADEMAKVKTAFVGNLPANVNEEYLRKLFEQFGKVIRVAISRKGQCPVGFVHFASRLELDNAIKEMDGETVRGPDRGPAFKIQVSVARPAVDNEKKRSHEEVKTRRSNVSGDRPDYSYGRHGHDSFDRQVKAPRLSKYVDDASDPYESAIASLPSAAKEVLLRILRLGIGTQYDIDIHCVKNLNELPESSAVAVLNQFLISGRDKRNKGDYFASLIAKHQAEAYGLAHTLHGTTYLPRNPEMHGKRYPHEDYDYMAPGSSRYNSGHLPSSYYIDDPPVSQSRIRRYGEERSTIVRNSEPRHDEVDIRRYPEPRLAHESRHNTGKHLDRRYIQEQSLSIERSAEESVLSRERRFLPAAGYGTDLVSDYRSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGEPIRPEPNQRHSGSLY >PAN21529 pep chromosome:PHallii_v3.1:3:60643544:60652733:1 gene:PAHAL_3G473200 transcript:PAN21529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRGDYDEQDRRAGKGTEVFVGGLPRSATESTLREVFSPCGEIVDVRIMKDQNGLPKGYGFVRFAKREYANTAKRQKNGIELQGKRLAVDLSMDQDTLFFGNLCKEWTSEEFEELIHKTFKDVVSVDLAMASNHGSSSKRHVNRGFAFVRFSSHSAAARVIRIGSRTDFMLGDILHPAINWADKESNVDADEMAKVKTAFVGNLPANVNEEYLRKLFEQFGKVIRVAISRKGQCPVGFVHFASRLELDNAIKEMDGETVRGPDRGPAFKIQVSVARPAVDNEKKRSHEEVKTRRSNVSGDRPDYSYGRHGHDSFDRQVKAPRLSKYVDDASDPYESAIASLPSAAKEVLLRILRLGIGTQYDIDIHCVKNLNELPESSAVAVLNQFLISGRDKRNKGDYFASLIAKHQAEAYGLAHTLHGTTYLPRNPEMHGKRYPHEDYDYMAPGSSRYNSGHLPSSYYIDDPPVSQSRIRRYGEERSTIVRNSEPRHDEVDIRRYPEPRLAHESRHNTGKHLDRRYIQEQSLSIERSAEESVLSRERRFLPAAGYGTDLVSDYRSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGEPIRPEPNQRHSGSLY >PAN21116 pep chromosome:PHallii_v3.1:3:58073564:58077950:1 gene:PAHAL_3G442500 transcript:PAN21116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTVRKNGYLVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLTQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPRN >PAN21406 pep chromosome:PHallii_v3.1:3:60008671:60014507:-1 gene:PAHAL_3G463000 transcript:PAN21406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAVVCASHGAMGSLLWKLGALLSDEYRLLTRVKAEIMFLKDELESMHAFLKKMSEVEDPDEQSNRWMKEVRELSYDIEDIIDSFMFSLGCESNSKPRGFKGFVGRCLSLFTDAMTRHWIAKKIQHLKVHVIEASNRRQRYKVEDAFPRLSRISIDPRLPAFYTETTRLVGIDGPRDKLIKLLTEGHGTVAQLNVVSIVGFGGLGKTTLANEVYRKLEGQFDYRAFVSMSQKPDMKKILRNILYQYSCREFGSNESWDEQQLINTIRHFLKDKRYFIVIDDIWSTSAWRTIRCAFPENNCSSRILTTTRIMAVAKYCCSPHHDQVYELNPLGATHSKSLFFKRTFGSEDMCPLHLKEVSNRILKKCSGLPLAIITIASLLATKSRTKEEWEKVWKSIGSVLEKDTEMEEMKKILTLSYNDLPYHLKTCLLYLSMFPEDYEIKRDRLVRRWIAEGFITTEGGQDMEEIGECYFNDLINRSMIQPVGIQYDGRADACRVHDMILEFIASKSVEENFVTLCGDQNHKSVPQGKVRRLSLNYYARDDIMVPTNMIVSNVRTLTTFGHSENMPCLSNFQLLRVLDLENRVVLEYNYLKDIDKLSHLRYLRLNSRRITALPEQIGELKNLQTLDLRWTRIQRLPESIVLLQRLTCLLVNSLELPEGIGNMQALREFSEIEINCHTSVSSLLELGSLTNLRILGLNWCIIDTNYAMKTYAENLVTSLCKLGTLNLRSIQIQSCHRCSLDFLQDSWSPPPRHLQKFDMSIDYYFPRIPNWMESLDYLTYLDIYLSPVHEESFRALGDLPSLLFLWISSSAVKPKEGVIISSNGFHCLKEFYFSCWEIGAGLTFEQGTMPMLEKLRVPFNAHGVCSLHGVLDFGIQHLSSLKHLQVEIVCHGARLKEVEALEEAVKNAASDLSDELSLEVRRWDEEEILKDGEHKLAEEEFDTYLES >PAN21407 pep chromosome:PHallii_v3.1:3:60008574:60014507:-1 gene:PAHAL_3G463000 transcript:PAN21407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAVVCASHGAMGSLLWKLGALLSDEYRLLTRVKAEIMFLKDELESMHAFLKKMSEVEDPDEQSNRWMKEVRELSYDIEDIIDSFMFSLGCESNSKPRGFKGFVGRCLSLFTDAMTRHWIAKKIQHLKVHVIEASNRRQRYKVEDAFPRLSRISIDPRLPAFYTETTRLVGIDGPRDKLIKLLTEGHGTVAQLNVVSIVGFGGLGKTTLANEVYRKLEGQFDYRAFVSMSQKPDMKKILRNILYQYSCREFGSNESWDEQQLINTIRHFLKDKRYFIVIDDIWSTSAWRTIRCAFPENNCSSRILTTTRIMAVAKYCCSPHHDQVYELNPLGATHSKSLFFKRTFGSEDMCPLHLKEVSNRILKKCSGLPLAIITIASLLATKSRTKEEWEKVWKSIGSVLEKDTEMEEMKKILTLSYNDLPYHLKTCLLYLSMFPEDYEIKRDRLVRRWIAEGFITTEGGQDMEEIGECYFNDLINRSMIQPVGIQYDGRADACRVHDMILEFIASKSVEENFVTLCGDQNHKSVPQGKVRRLSLNYYARDDIMVPTNMIVSNVRTLTTFGHSENMPCLSNFQLLRVLDLENRVVLEYNYLKDIDKLSHLRYLRLNSRRITALPEQIGELKNLQTLDLRWTRIQRLPESIVLLQRLTCLLVNSLELPEGIGNMQALREFSEIEINCHTSVSSLLELGSLTNLRILGLNWCIIDTNYAMKTYAENLVTSLCKLGTLNLRSIQIQSCHRCSLDFLQDSWSPPPRHLQKFDMSIDYYFPRIPNWMESLDYLTYLDIYLSPVHEESFRALGDLPSLLFLWISSSAVKPKEGVIISSNGFHCLKEFYFSCWEIGAGLTFEQGTMPMLEKLRVPFNAHGVCSLHGVLDFGIQHLSSLKHLQVEIVCHGARLKEVEALEEAVKNAASDLSDELSLEVRRWDEEEILKDGEHKLAEEEFDTYLES >PAN16479 pep chromosome:PHallii_v3.1:3:4043579:4052648:1 gene:PAHAL_3G063700 transcript:PAN16479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAAGAEDALYEILRHASGSHAILHDNSSECSTWDSRIIRLRILRHRDMLETFLGINMGRYAAEEYNKFRSMSLVETAIALAESRKIGALNLIFKRHPYTISSDILRVLSAIPETVAVQTYSQLLPGKSPPSVVILRDGDWVECEQMVSYIRNCPTQLDKIGEIKTEILVKLSAVFSWPSVAELCDWYKNRARDIDCLSGQLENCLATIELACQKGIVELQPFFDDIKCLYQVVYSNELNEFIMNLVTWEDLPGYEKFKIILKGVKQDTVVQRLEENAIPFMKKRFHLISSSHEHKQEESYLVRWLKEVAAENELSICLAVVENGCGKSPIYGLFKDLAEMIETAVHCIYMCSATNQWNNMSSILSKLLHKTKGEKSLLASEEECNLKDAKQALGSSVVSYDEMQHVCADILSAIGNGPDDVYHYDSVPYELNNVKYLDMLEKRLKVAEGHVEVGRLFAYYQTNTFFLSAHLDEKNVKQLIRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLSKAGKFSLPRNYLGGTSVVSLSTEKAENLVIQAAREYFFLASTLSGNGARECLNLLPNSKNVQAETDIIDALTVRLPYLGLTILPVQFRQVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEVAAVEEAIAKEAVVNGNLQLAFDICLNLTKKSHGAVWDLCAAIARGPPLDNLDNATREKLLGFSLSHCDEESVGELLNAWKELDVHGKFEKLMITTGTNPPNVLIDGCSITPLPVQSVQGILDLRDDSGHDKHKDHVEIVKEMLSKVCLDLSNGDAYTWESILVDNRKFLSFAVLELPWLLKLSNNELQDGENQTSRTDHTSRRYQFSTKVEAAISIIFWLAVNGLAPNDNLIMILAKSIMEPPADEDSIMSIGMLYSSLNNSKKECSTPEQRRNLLLHKFHEKFTSDNTARMLKQILPDIDTSRFLSGDADYIKRVVFSFVDSVKLEKKHILKEAVKIAETYGLQRTEVLLRFLACSLVSEYWDKNDILNEISEFREDIVRSAKGVIDMIYSDVYPEIDGYNKQRLSYIYGILSACHSYLKRTGEIELRYPEHVHTHKLEPFQYYKVLEEEYKKNIRASTITALADMVQTLVSMYVDVLAKGLISRQGVYKHYVLGLLASLEGRSETRSNCTDYENLQAVICEIELNYDSCREYIQALPAIDISYIVGSHPQEPSWKKPLATLLTFWTKLVDDIPGESIDASSYEMTDYLNLNRLSLCMGAFRQLLINDEITVHQGWDAISMCVKDCLKSGLMMETSCFCRAMILSGCNFEAVVEVYYGGQGQLESESADPINSLDLLELYNTATEERLSDLIEGSCEYRILFHQLLSSLSQSTGKYAGIQEMFRSGIWGKLIRFSEDMQLESQLRVYALQLMQCITGRNLKTLPNEIVSQVEPWESWYEHGTGAAIADDSINSSSSITGTLLALRSTQMVAAFLPNANITPENLATLDSAVSCFLHLSEHASAVNVAVLEAVLEEWEQLFSPKEEHVPPHESPKETSDWSYGWDDGWEALPEELESPKNKQESAPLSIHPLHSCWMEIIRKRVELGELHKVIELLDRASSKHSVLVELVSVLDCCMALKVVLLNPYEAPRLQCLQMVVVTMREGTVSTSSNADDPELLALVLSSGTIQKSTTEEAYSKLFSYLYHLVGNLARSFQTDLLMQWNDQATSKSDGSLLFGRVLFPCFISELVLRCQYLLAGFVISRWMHTHPSLGLMDIAETSIRRFLQGQVTQAEQPEGVDASFTDDEVSMKHTISTLQLKLVSLLRAALSALPNQEV >PAN16644 pep chromosome:PHallii_v3.1:3:4896638:4897390:1 gene:PAHAL_3G074500 transcript:PAN16644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVKNVATHHFGIGIFRSCEMYAAFLSAIGDVDNVRSLYVLTDDAVAGMSDEEFLPMMFFDGCFLLQYMLKCTGNHDRMRPSSVSFDVNQGVIDNDIMLLENKLPWVVIQTLRKFKEVPVEKFIAKMGRTLQVARKEEDDSFFVLDGSYTPPHLLGLLRLYKTGRNIVVPDYQDRSFSLINCCCCCHNNSGVPPSDGFRPMSKTVSAIELAEIGVKLTASKTTRFMDMGFKKKLFGSEIFLARHCCWMK >PAN19940 pep chromosome:PHallii_v3.1:3:21829538:21830284:-1 gene:PAHAL_3G308900 transcript:PAN19940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHHLPPEPADHHHHGGGRKGVHADDLKPSRRYTYYYGGGGGGGARALCFAVLVLLLAAGITWLVLYVVYRPSHPTLAVTSAAVLALYNATTASGPTAAAASFQVALVLRNPSARSAARYDRLAAYAAYRGEALTAPAPLPPLAQDPGAAVEVAPVLGAGAAAAAVPVSPETAAALAADVAYGVLPLRVVVLGRVRFVSGPFHRGWHSMYARCDLLLGVREATGKNGAGGVPRQAPLLADPACNVDM >PAN22032 pep chromosome:PHallii_v3.1:3:63715616:63720731:1 gene:PAHAL_3G508300 transcript:PAN22032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF3-1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G34360) UniProtKB/Swiss-Prot;Acc:Q6NLP2] MALRRSLGSLALRSAAAYLRRHCPPAPPPPLALAAPAPTPIRRPLAPQCRHFAAPPGPQGNRKGSKDDDDDTTGLRINNAITSPFVRLVRDEGHSVVPRHEALQLAARMGMDLVEVDRKSDPPVCKIMDFHKEKYKKDTKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLMVKADAITRLMERGYRVKCMAMPSGNEGEDLGAPLSRLLGLIQDVSIVESGPHLDSKHAYVIVRHVKFATKKGGKKASKAMEDAGKGSRSAASESTVAGNDSEGETVERGSEKADDQAISNRVDKTAARRDSPTQKGGQDRGFKRELNWSKPNSGADHVKLHNANAGESRMNPAQRGSQASERRFGNVNPDMEKRENNTQDQGPGEMNRYAARRQPMRGDNHRGFSQGRPPQDDRRNETGGRYDHQRPLEQQHNRPLPRFNQGGLPQDPRNDRRGQFALKNNHQRQPAGGGGLGGDPNQTSKSFGIFSSTPKPASSELRKADGAGTNSKPGDTDSPKSFGIFSSSKK >PVH62736 pep chromosome:PHallii_v3.1:3:44608909:44609684:-1 gene:PAHAL_3G383600 transcript:PVH62736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPSPLRVRGPSLLQIHMPRAAAGPQPLAPPVMEGRSSRGEGSRRSSERGERREAGKETGKEGRKAGRKGAAWEDQRRRGRSGGGEQWGGEGREAEKRNSGGRRKYGS >PVH63079 pep chromosome:PHallii_v3.1:3:60376943:60378532:1 gene:PAHAL_3G468700 transcript:PVH63079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLTMSMATALMVTVVVVLLLSSVVRPRHSQRKVLNLPPGPKGWPVFGSLSLLADTLPPHRVLAKLAARYGPLMHLRLGSFHVVVASSEETARLVLKTHDLAFADRPPTAFGKILAYDYKGIVQTPYGPYWRMARKLCATELFSARRIDSFEHARAQEMRALTRGLFERAGAAVQVKEHLLSFTMRNILRMALGEKWSSGSCGSHDDGGGEAFRRSLKESFMVTGLLGNVGEWVPWLGWLDVQGFVRRMKRVHVMFDQFNEQILNEHQNDRRRAGAGMDLVDVLLQLAEDGQEEQPPETRLTRDGVKAFLLDIIGGGTETAAATLEWALLELLRHPAAMAAATEALDRVVGRGRWVTERDLPDLPYIEAVVKETMRLHPVGPLLIPHHAREDAVVVGYDVPAGTRVLVNMWAVGRDPASWPDAPGAFRPERFLAGGSAEGVGVHGTHFQLLPFGSGRRMCPAHNLVMKEVVAALANLVHGFSWRLPDGVAPEDMSMEEFFGLNVSRKEPLVALAEPRLPAHLYAALD >PAN17487 pep chromosome:PHallii_v3.1:3:8760690:8764697:-1 gene:PAHAL_3G136000 transcript:PAN17487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWAGVRSSSPAPTAAWMGRRPRTARWPRGRLPAARRAVVASAAASDANSSSNSPGKDEEREEVARREKEEKAAASLLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLRSFEEEEPVLRLRGLMRKAIEEERFEDAAKYRDELKILAPHSLLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDGNGRTENIWGVGVVGEQPVIFPRTGFEYSSACPLSTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDVLL >PAN17496 pep chromosome:PHallii_v3.1:3:8760690:8764672:-1 gene:PAHAL_3G136000 transcript:PAN17496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWAGVRSSSPAPTAAWMGRRPRTARWPRGRLPAARRAVVASAAASDANSSSNSPGKDEEREEVARREKEEKAAASLLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLRSFEEEEPVLRLRGLMRKAIEEERFEDAAKYRDELKILAPHSLLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDGNGRTENIWGVGVVGEQPVIFPRTGFEYSSACPLSTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDVLL >PAN20913 pep chromosome:PHallii_v3.1:3:54983986:54986259:1 gene:PAHAL_3G421500 transcript:PAN20913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVNGLLNWVGTPAMVASLLLFYPPYYLFKTCYSFLSWLFPEDVAGKVVLITGASSGIGEQLAYQYAMKRASLVLVSRRESSLRQVADRAIELGARDVIVLPGDVANPDDCKRCVQTAISHYDRLDHLVCNAGIASVGAFQEIPDVKNYSSQLDVNFWGSVQTTFAALPYLKRSRGRIVVTASATGWNPVPRMSFYNAANAALINFFETLRTELGSEIGITIVTPGWIESEMSKGKYLKEQGEMEVDQEMRDAQIGLFPVEYAKNCARAMVQAACQGERYLTVPAWFRTMYLWRVFAPEIVETCYRLLYMHGHGARQTDAPSKTMAEGGGKQLLYPPSLRSDDVKTD >PVH62959 pep chromosome:PHallii_v3.1:3:57760831:57762849:1 gene:PAHAL_3G439600 transcript:PVH62959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHTAARRQLDGCKAPRPNPRHEATPHSPNPRRELKNPRPSAPVTRHQAVPTAESFAGKSPPNLGEWRLRGAAIGAGARGAARFGEMTHLGGRIRVGGGGGSRSRRRSLPRAAGEEGTRGGGGGGEPTLGRLSVPYFSGRSFFDTVPQVSANQIPAPDVDKVTAR >PVH61462 pep chromosome:PHallii_v3.1:3:2458358:2459646:-1 gene:PAHAL_3G038200 transcript:PVH61462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIRNSLGRNPLSDALHRNLGVVLNGQGPGRHNLRGASICGALHLRSGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLDSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYASQVGLSESSKSQFWEDLDSMVSTVPISEKLFERGGGEDVLNFAVAYDLLLANTLFRKRESHLVTFHSGQYSSQIDFILARREDRRACLDCKVISGECVVPQHKLVVADFRFRVASEVFGVSRGGKQEVKETWWWNDEVQRAIKEKKDA >PVH62781 pep chromosome:PHallii_v3.1:3:49287444:49290773:-1 gene:PAHAL_3G396800 transcript:PVH62781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGGGEDDSWEYSLRKYLLLLASLVASVTYGAGFNPPGGVWQAADRAKSRIPGDPIIRETNRARYLAFFYGNATAFASSLVVIVLVLILSILHDRGGISRLSPVLATLRLVMVLDLLSLMGAYAAGTFRDALTAVYSLLLLAGVVAYLVVHLASDKGDAGEPPQQEEGDDSALEQRQARGSPEEERTAADKSALLRLRKVLMLLATFAASVTYVAGLSAPGGFWDHDEDGHRPGDAVLKGGPHDARLKAFFVCNTTAFVASLLILVMLLEKKLCFSQKVRSRELYGLIAVTLLGLVAAYAAGSSRQIDTTVYVTALVGVVVVCVLVQVVFVLLFSSNDNGSDEQQQQTHGPDNGNDRGLFSLLWTSDEQQQQQQTPQTLNGNGSDQHEVEEQQSKALEKARSLVLLLATLAAAITYQAGLNPPGGLWQNNGGGSRYMAGDPILLTRSPRRYKTFYYCNSIAFVASLVAIILARMKTLHHHNALEAAMVLGLFGLISAYAAGSCRDVSNSIYTVALAGAVLVYVVIHVVFLTLDHKDGRSGGHDGASPEKEKKVEELLEKRRKRLLLFAIFAATITYQAGLTPPGGFLLNDDGRAGDPVLLSNYPRRYTAFFYCNSVSFMLSIALIVLLVNPNLYRPAIRSNALSVCTAAGLIGIMGGYASGCTQHLKTSIYIFVLAALVLSLVVVLVAVFFVKHLRKQEDKHNARNSSNAVAAETPVEAEQAPAPVPEEGQVRRKKKLLHAKRKYLMLLGILAASVTYQAGLAPPGGAWQSNDGEHTAGDPVMHDNRRARYLAFFYSNSTSFVASVVVIVLLLPPSLHEKPWWLGVMNTTIVLDLVGLLVAYAAGSSRTWKTSVKVSGLVIAVLAYFAIHVSLSCCSRRGKKTAPAVSAADANEEANGGLQAQMAPGLELSEAI >PAN20275 pep chromosome:PHallii_v3.1:3:49287124:49290899:-1 gene:PAHAL_3G396800 transcript:PAN20275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGGGEDDSWEYSLRKYLLLLASLVASVTYGAGFNPPGGVWQAADRAKSRIPGDPIIRETNRARYLAFFYGNATAFASSLVVIVLVLILSILHDRGGISRLSPVLATLRLVMVLDLLSLMGAYAAGTFRDALTAVYSLLLLAGVVAYLVVHLASDKGDAGEPPQQEEGDDSALEQRQARGSPEEERTAADKSALLRLRKVLMLLATFAASVTYVAGLSAPGGFWDHDEDGHRPGDAVLKGGPHDARLKAFFVCNTTAFVASLLILVMLLEKKLCFSQKVRSRELYGLIAVTLLGLVAAYAAGSSRQIDTTVYVTALVGVVVVCVLVQVVFVLLFSSNDNGSDEQQQQTHGPDNGNDSDEQQQQQQTPQTLNGNGSDQHEVEEQQSKALEKARSLVLLLATLAAAITYQAGLNPPGGLWQNNGGGSRYMAGDPILLTRSPRRYKTFYYCNSIAFVASLVAIILARMKTLHHHNALEAAMVLGLFGLISAYAAGSCRDVSNSIYTVALAGAVLVYVVIHVVFLTLDHKDGRSGGHDGASPEKEKKVEELLEKRRKRLLLFAIFAATITYQAGLTPPGGFLLNDDGRAGDPVLLSNYPRRYTAFFYCNSVSFMLSIALIVLLVNPNLYRPAIRSNALSVCTAAGLIGIMGGYASGCTQHLKTSIYIFVLAALVLSLVVVLVAVFFVKHLRKQEDKHNARNSSNAVAAETPVEAEQAPAPVPEEGQVRRKKKLLHAKRKYLMLLGILAASVTYQAGLAPPGGAWQSNDGEHTAGDPVMHDNRRARYLAFFYSNSTSFVASVVVIVLLLPPSLHEKPWWLGVMNTTIVLDLVGLLVAYAAGSSRTWKTSVKVSGLVIAVLAYFAIHVSLSCCSRRGKKTAPAVSAADANEEANGGLQAQMAPGLELSEAI >PVH61435 pep chromosome:PHallii_v3.1:3:2108366:2109100:1 gene:PAHAL_3G034900 transcript:PVH61435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN19198 pep chromosome:PHallii_v3.1:3:16784331:16787316:1 gene:PAHAL_3G255800 transcript:PAN19198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKKSAPSTAAGAGAAAPPPNGYFSSVFSSSPAGNAKDAKLTDLYAMLNKQSSRGQNGNSITDSKSNGRTTYKDGKNVYPNESSESPYFGSSVHYGGREFYNSSPQKQPANEPPRNYKEDNPDGSATRGDWWQGSLYY >PAN17827 pep chromosome:PHallii_v3.1:3:10127555:10133364:1 gene:PAHAL_3G157600 transcript:PAN17827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGMAPSGEPGGEDLHKRALENHRKEAVGFLILAKSILSDDAYKDLIKTSQEIVKRSSCTEGGITAKKCEEILSEVFAGQTHVLKAFHLFLAGRDPFHDHDSQQSLQLALSFLSKVKESPCISHEDYNDLIATLTQFIVTKTVGVEDVYRKVKRAMYWCPEFIQIFENFLSDSPRVTLPNEQSHRSPKTSPTDKAVLCFTPDANHSLDGNRTKTTNIKCNVSQVGHPHDQDHEETEYNVRQRHTQRILDSFETPTTGNGESLLAEEYEGDKTDPLPDWSSSRENELPPKVNLDMCTRCTTSYYLLPKNCLTLKSSYRTELGQSIFNDTLVSATSGREDCFKFRTKNHYEENIFKCEDDMFESDMLLQRYKATADFIGNLQDNVDSAMKIQEYLTPLHRRCIEQLYDEHGLDMLDALWEKIDTSTALVILHSRLNQKIDDLSEARLSLNKTCSNIIASNYHRSLDHRSSSFKQLDKRRMSPKALLAEAREINMARLNNGNRHVSSACNNQSSLILEHVPKDTELHIHNDIDRMVRRATKSCPSEQKPMMIWTKLVQPFVSINCQLPESNGTVAPKEACEHCGLGKKFLRSIPESSFANNIPLSSMRGGYLVNTPNRSASIHDACQTEIEEGEFIPDVGNIQLGSMLEPENGAASYDVPDPSEAGSSFRCSGSSIYDQGNKSEVHHESREGCNVEMGSLAYSKRPAEPHDVNGGIPCCSLVVLLRLHQILCERLLVAKVLSAKARDKAPSRGSGTCDVYAGFKEELFNLLTGSTNSSNFEKYCLTFLGPKSYVLFTLNEVMGRVIKQLCKICPGAEDSSLLQPHEGARGPDPSKYISRHQNAIRSPARPTNGSLEQDHHEEGEKGSKPLDDTGKPMQNHFQRRKKRKLEAGIASISQPGADGLNS >PAN17826 pep chromosome:PHallii_v3.1:3:10127555:10133364:1 gene:PAHAL_3G157600 transcript:PAN17826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGMAPSGEPGGEDLHKRALENHRKEAVGFLILAKSILSDDAYKDLIKTSQEIVKRSSCTEGGITAKKCEEILSEVFAGQTHVLKAFHLFLAGRDPFHDHDSQQSLQLALSFLSKVKESPCISHEDYNDLIATLTQFIVTKTVGVEDVYRKVKRAMYWCPEFIQIFENFLSDSPRVTLPNEQSHRSPKTSPTDKAVLCFTPDANHSLDGNRTKTTNIKCNVSQVGHPHDQDHEETEYNVRQRHTQRILDSFETPTTGNGESLLAEEYEGDKTDPLPDWSSSRENELPPKVNLDMCTRCTTSYYLLPKNCLTLKSSYRTELGQSIFNDTLVSATSGREDCFKFRTKNHYEENIFKCEDDMFESDMLLQRYKATADFIGNLQDNVDSAMKIQEYLTPLHRRCIEQLYDEHGLDMLDALWEKIDTSTALVILHSRLNQKIDDLSEARLSLNKTCSNIIASNYHRSLDHRSSSFKQLDKRRMSPKALLAEAREINMARLNNGNRHVSSACNNQSSLILEHVPKDTELHIHNDIDRMVRRATKSCPSEQKPMMIWTKLVQPFVSINCQLPESNGTVAPKEACEHCGLGKKFLRSIPESSFANNIPLSSMRGGYLVNTPNRSASIHDACQTEIEEGEFIPDVGNIQLGSMLEPENGAASYDVPDPSEAGSSFRCSGSSIYDQGNKSEVHHESREGCNVEMGSLAYSKRPAEPHDVNGGIPCCSLVVLLRLHQILCERLLVAKVLSAKARDKAPSRGSGTCDVYAGFKEELFNLLTGSTNSSNFEKYCLTFLGPKSYVLFTLNEVMGRVIKQLCKICPGAEDSSLLQPHEGARGPDPSKYISRHQNAIRSPARPTNGSLEQDHHEEGEKGSKPLDDTGKPMQNHFQRRKKRKLEAGIASISQPGADGLNS >PVH61918 pep chromosome:PHallii_v3.1:3:10128068:10132336:1 gene:PAHAL_3G157600 transcript:PVH61918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGMAPSGEPGGEDLHKRALENHRKEAVGFLILAKSILSDDAYKDLIKTSQEIVKRSSCTEGGITAKKCEEILSEVFAGQTHVLKAFHLFLAGRDPFHDHDSQQSLQLALSFLSKVKESPCISHEDYNDLIATLTQFIVTKTVGVEDVYRKVKRAMYWCPEFIQIFENFLSDSPRVTLPNEQSHRSPKTSPTDKAVLCFTPDANHSLDGNRTKTTNIKCNVSQVGHPHDQDHEETEYNVRQRHTQRILDSFETPTTGNGESLLAEEYEGDKTDPLPDWSSSRENELPPKVNLDMCTRCTTSYYLLPKNCLTLKSSYRTELGQSIFNDTLVSATSGREDCFKFRTKNHYEENIFKCEDDMFESDMLLQRYKATADFIGNLQDNVDSAMKIQEYLTPLHRRCIEQLYDEHGLDMLDALWEKIDTSTALVILHSRLNQKIDDLSEARLSLNKTCSNIIASNYHRSLDHRSSSFKQLDKRRMSPKALLAEAREINMARLNNGNRHVSSACNNQSSLILEHVPKDTELHIHNDIDRMVRRATKSCPSEQKPMMIWTKLVQPFVSINCQLPESNGTVAPKEACEHCGLGKKFLRSIPESSFANNIPLSSMRGGYLVNTPNRSASIHDACQTEIEEGEFIPDVGNIQLGSMLEPENGAASYDVPDPSEAGSSFRCSGSSIYDQGNKSEVHHESREGCNVEMGSLAYSKRPAEPHDVNGGIPCCSLVVLLRLHQILCERLLVAKVLSAKARDKAPSRGSGTCDVYAGFKEELFNLLTGSTNSSNFEKYCLTFLGPKSYVLFTLNEVMGRVIKQLICPPALQNLSRC >PVH61919 pep chromosome:PHallii_v3.1:3:10128068:10132336:1 gene:PAHAL_3G157600 transcript:PVH61919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGMAPSGEPGGEDLHKRALENHRKEAVGFLILAKSILSDDAYKDLIKTSQEIVKRSSCTEGGITAKKCEEILSEVFAGQTHVLKAFHLFLAGRDPFHDHDSQQSLQLALSFLSKVKESPCISHEDYNDLIATLTQFIVTKTVGVEDVYRKVKRAMYWCPEFIQIFENFLSDSPRVTLPNEQSHRSPKTSPTDKAVLCFTPDANHSLDGNRTKTTNIKCNVSQVGHPHDQDHEETEYNVRQRHTQRILDSFETPTTGNGESLLAEEYEGDKTDPLPDWSSSRENELPPKVNLDMCTRCTTSYYLLPKNCLTLKSSYRTELGQSIFNDTLVSATSGREDCFKFRTKNHYEENIFKCEDDMFESDMLLQRYKATADFIGNLQDNVDSAMKIQEYLTPLHRRCIEQLYDEHGLDMLDALWEKIDTSTALVILHSRLNQKIDDLSEARLSLNKTCSNIIASNYHRSLDHRSSSFKQLDKRRMSPKALLAEAREINMARLNNGNRHVSSACNNQSSLILEHVPKDTELHIHNDIDRMVRRATKSCPSEQKPMMIWTKLVQPFVSINCQLPESNGTVAPKEACEHCGLGKKFLRSIPESSFANNIPLSSMRGGYLVNTPNRSASIHDACQTEIEEGEFIPDVGNIQLGSMLEPENGAASYDVPDPSEAGSSFRCSGSSIYDQGNKSEVHHESREGCNVEMGSLAYSKRPAEPHDVNGGIPCCSLVVLLRLHQILCERLLVAKVLSAKARDKAPSRGSGTCDVYAGFKEELFNLLTGSTNSSNFEKYCLTFLGPKSYVLFTLNEVMGRVIKQLICPPALQNLSRC >PAN17334 pep chromosome:PHallii_v3.1:3:8123775:8125892:-1 gene:PAHAL_3G125300 transcript:PAN17334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLRDGESLPVRELPRRLLVVAVRKKATTAQASAGVHKMSKGSGSSHPAMPSPPPSQMQPEGAAAAGDVTVEETDALDCGVCFLPLKPPIFQRNVGHVICSACRDHHGQGCHHELQGCR >PAN16528 pep chromosome:PHallii_v3.1:3:4267741:4268464:-1 gene:PAHAL_3G066800 transcript:PAN16528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGHVAVICAAVAALALAAAALGIIGEATSKSKSFVRYDGATCVYRRTPAFGCGLAAAASLLTGQVVLSAAAGCWDRCRTRAGDVRRAGVVVSSLLSWFLAILAASAFLVGALRNQSGERRPRGDVSTYYRCTVLVAGVFAGGSFLAIAAAAVGIGSYVALEEAAGSCPPPVWRGEPRQGVAGGDA >PAN16658 pep chromosome:PHallii_v3.1:3:4937436:4940695:1 gene:PAHAL_3G075100 transcript:PAN16658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPSSMRKSEVRRIVDDTLDEMGLRECADRHVGTWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTTGLDSAAAFSVVQTLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGESVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRAEAELDPLLNYSTSEIRERLVEKYRISDYAMMVRNTIHEITKIEGVMEEVIRGSEASWFKQLRTLTSRSFTNMSRDLNYYWLRIIIYIVMAVCLGTIYYDVGTSYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGHYGVAAYIISNFLSSMPFLLTVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGGYKNDLIGLEFEPMMPGQPKLKGEYIITEMMGLSLDHSKWLDLAMIFVLLFAYRLTFFIVLKVKEAAAPYIRVAYTRFTVKRLERRASFRKTLAMTSLSKRHNQPHPMAIQEGLNSPMPY >PAN16656 pep chromosome:PHallii_v3.1:3:4935084:4940694:1 gene:PAHAL_3G075100 transcript:PAN16656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSGEAWRGAVSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDSLSGRLARNVVLTGKVLLNGKKRRLDYGVVAYVTQENILLGTLTVRETVTYSAMLRLPSSMRKSEVRRIVDDTLDEMGLRECADRHVGTWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTTGLDSAAAFSVVQTLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGESVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRAEAELDPLLNYSTSEIRERLVEKYRISDYAMMVRNTIHEITKIEGVMEEVIRGSEASWFKQLRTLTSRSFTNMSRDLNYYWLRIIIYIVMAVCLGTIYYDVGTSYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGHYGVAAYIISNFLSSMPFLLTVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGGYKNDLIGLEFEPMMPGQPKLKGEYIITEMMGLSLDHSKWLDLAMIFVLLFAYRLTFFIVLKVKEAAAPYIRVAYTRFTVKRLERRASFRKTLAMTSLSKRHNQPHPMAIQEGLNSPMPY >PAN16657 pep chromosome:PHallii_v3.1:3:4936530:4940694:1 gene:PAHAL_3G075100 transcript:PAN16657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPSSMRKSEVRRIVDDTLDEMGLRECADRHVGTWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTTGLDSAAAFSVVQTLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGESVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRAEAELDPLLNYSTSEIRERLVEKYRISDYAMMVRNTIHEITKIEGVMEEVIRGSEASWFKQLRTLTSRSFTNMSRDLNYYWLRIIIYIVMAVCLGTIYYDVGTSYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGHYGVAAYIISNFLSSMPFLLTVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGGYKNDLIGLEFEPMMPGQPKLKGEYIITEMMGLSLDHSKWLDLAMIFVLLFAYRLTFFIVLKVKEAAAPYIRVAYTRFTVKRLERRASFRKTLAMTSLSKRHNQPHPMAIQEGLNSPMPY >PAN20597 pep chromosome:PHallii_v3.1:3:40526289:40533627:1 gene:PAHAL_3G372700 transcript:PAN20597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G20420) UniProtKB/Swiss-Prot;Acc:Q9LTQ0] MTPAHTSRKRGPPAPPPPPPAVRPAPPVKLPPPGFVTDRAEAAARVERLLRYQFRDRALLEEALTHQSFSDTVTSYQRLEFVGDAALGLAFTNFHYLTNPTLGPGALSTLRAANVSTEKLARVAVRHDLYPLLRRKCARLDLLVGQFIESVKIELKDDLATAPYGGSLVKAPKVLADIVESIAAAVYVDCKFDLEKLWKVTRWLFEPIVTAETVDEQPVTTLHELCQKHGKVAQFKTWQRGGMTVVNIFVGGEMVGFGSSEQKVIAKLNAARDALGKLVGGARQQVLITGVGNGSADEMEELRECKHQLADQCIGKNWPEPIFKLDKEGGPAHDRKFVCSVQVETQNGTFVTIGDPMSRVKDAENSAAQKMLELLLRL >PAN19555 pep chromosome:PHallii_v3.1:3:18949443:18951283:-1 gene:PAHAL_3G282100 transcript:PAN19555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRSCQLAALLVLCACTVAPPAPAAGASVPITTCRSFCGNITVDYPFALHPGCGHAGLRDLLFCINGALMLHLPSGSYRVLDVDYAYRGLTLHDPAMSDCRALDRTPGGRGNGFVLEPWREPYLSPDPDNVFLLLGCRATSPLFQGFPDRHLPCRNVSGMGCGDFLACPAWDDYYAGGRRPPGDDAAYGDAVGTGAPPECCAVPWAAIRAVNVSRLECEGYSSAYSLAPVRAAGGAAGWAYGIRASWSLPESNRGFCGACRATGGACGHDMESHADLCLCGDWNSTSNCDSSADAAPSGAAARSPDAVAAVRWAVLASGLTSLWWHALRSNLL >PAN18907 pep chromosome:PHallii_v3.1:3:15221620:15224538:-1 gene:PAHAL_3G234800 transcript:PAN18907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAGGGRGGDAGSAQHGQVQGLARQGSLYNLTLDEVQNHLGEPLLSMNFDELLKSVLPDSVDPNGTVTGKPDPASNLQRQGSIMMPPQLSKKTVDEVWKGIQDGPETSATDGGRHRRERQPTLGEMTLEDFLVKAGVVSEGLMKDSDDLPSNINTAGSNVVAGGTSSLNSGAQWLQQYQQQALESQQPSLVGTYMASQLAPQPLSVATGAMLDSIYSDGQIISPTVGAFSDPQTPGRKRGASGEVVDKVIERRQKRMIKNRESAARSRARKQAYTNELENKVSHLEEENERLKKQKEFDEILSSALPPEPKYQLGRTSSAAF >PAN18905 pep chromosome:PHallii_v3.1:3:15221620:15224531:-1 gene:PAHAL_3G234800 transcript:PAN18905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDELLKSVLPDSVDPNGTVTGKPDPASNLQRQGSIMMPPQLSKKTVDEVWKGIQDGPETSATDGGRHRRERQPTLGEMTLEDFLVKAGVVSEGLMKDSDDLPSNINTAGSNVVAGGTSSLNSGAQWLQQYQQQALESQQPSLVGTYMASQLAPQPLSVATGAMLDSIYSDGQIISPTVGAFSDPQTPGRKRGASGEVVDKVIERRQKRMIKNRESAARSRARKQAYTNELENKVSHLEEENERLKKQKEFDEILSSALPPEPKYQLGRTSSAAF >PAN18906 pep chromosome:PHallii_v3.1:3:15222943:15223966:-1 gene:PAHAL_3G234800 transcript:PAN18906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAGGGRGGDAGSAQHGQVQGLARQGSLYNLTLDEVQNHLGEPLLSMNFDELLKSVLPDSVDPNGTVTGKPDPASNLQRQGSIMMPPQLSKKTVDEVWKGIQDGPETSATDGGRHRRERQPTLGEMTLEDFLVKAGVVSEGLMKDSDDLPSNINTAGSNVVAGGTSSLNSGAQWLQQYQQQALESQQPSLVGTYMASQLAPQPLSVATGAMLDSIYSDGQIISPTVGAFSDPQTPGRKRGASGEVVDKVIERRQKRMIKNRESAARSRARKQLSIS >PVH63171 pep chromosome:PHallii_v3.1:3:61774849:61775457:1 gene:PAHAL_3G485900 transcript:PVH63171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACALRILSQGRAVPTVTPQCAVVSVVPTMCSTKCHFHGKFPCGMSLTSGHPPSANTDETFIYLQFLFDFHSAHGQLPSTMFWYLGCIHNLELRPLLS >PAN19181 pep chromosome:PHallii_v3.1:3:16679020:16682903:1 gene:PAHAL_3G254900 transcript:PAN19181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFILNTSAAIPSVGLGTWQISPGVVEDAIRAAIQAGYHHIDCSPQYGNQKEVGFALKKLFEEGIFKREDLFITSKLWCTYHDPEDVPEAIDNTLQDLQLEYLDLYLVHGPVRAKKGTRLSVENILKPDIPATWKAMEKLYSSGKAHAIGVSNFSCKKLEDLLAVACVPPAVNQVECHPVWQQEKLRKLCQSKGIHLSAYAPLGSPGSPGNDGPNVLSHPTVISIADKLQKTPAQVALRWGIQMGQSVLPKSDNKAWTRENIDLFGWCIPDQLMAKFSEIEQVRLFKYEFVTHPTSFYKSVEDFWDGEV >PAN20440 pep chromosome:PHallii_v3.1:3:30801890:30802829:1 gene:PAHAL_3G344500 transcript:PAN20440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHHRFKLSHLMPNSWFYKLRDMKRPRPRPTSQRNIETTRTSNRSSHCYHGTTTPKPLPLSPQRSYTKQMSFEKLRLSTLHLNPKASDIIQFPIDHHRHHQHHYSPASTASAIVIDRANHEFQDLQLRPIRTRPAPTRLTSGMCPSSPRLRSRRVHALTDGRVSTTRASGHRRSAARRSFAVVKASTDPPSDFRESMVEVIIENNVHTPEDIQELLECYLSLNSREYHGVIMEVFRGIWLEIAKDTVED >PVH61332 pep chromosome:PHallii_v3.1:3:713512:713826:1 gene:PAHAL_3G012700 transcript:PVH61332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSPVIVEEKAAATSTKKTTKTVMMRVSPEQVAFVLAAKPMLRSRLQPSQPISEERRRQSAWVDEVVRTNNAIVRSIQDKYRHDLATKGYVEVEVQVTDEEA >PAN20790 pep chromosome:PHallii_v3.1:3:53107354:53108101:1 gene:PAHAL_3G411400 transcript:PAN20790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAAALKGVLLVSICAMLLHSSMGQQPAPAPSAAPMPPSDCPRYCSSQCTPICQDSSDAAARRCDSIRPELAYNGCFAGCSSNCTGNSYARGTCVIGSCSASTCGCPCARRCCESCTGSAYGPYSMCMAAQPKVYSYCMMGCTASCNSRCVNGSVP >PAN16297 pep chromosome:PHallii_v3.1:3:3459062:3462034:1 gene:PAHAL_3G055200 transcript:PAN16297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MVPSFPGTTQNEFVETVSANRFPSNDTRVLEVTTNSNAGAIYPAPVQQDNDNKKSDPVMKVEALQIKFLRLVHRTGVPPSTDVVAQVLYRLQLANLIKSGESDARRTNLGINKARVIAAQQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDERKVSTDALVPATHRIKKIEGTIKGIRVTVIDTPGLTPHYLGQRRNRKILNSVKCYIKRSPPDIVLYFERLDHINSRYSDSPLLKLMTDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYTRYCKNVVQRHIQAAVSNTQLDNPVVLVDNHPMCRRNTKGERVLPNGQVWVSELLLLCGATKLLAEANSLLKFQDSFVLSQANNRLPSLPHLLSSLLKPNSSSSSDGIDSELTEMSDEEDEYDQLPPFRILKKSEYENLTNDQKSAYLDELDYRETSYLKKQWKEGIRRQKLTEVQNDEVADDYEEGASPEVVHMSDMEIPLSFDSDYPVHRYRHIITDDQLFRPVLDPQGWDHDIGFDAINFEASQELKKNVSAAIAGQMRKDKEDMYVNSECSVSYSDQRGCSLIGGMDMQTASRDLVCTVHGDAKFRNLPWNTTGGGISVTKFGNKYFAGAKLEDSVTIGKRVQLVANAGRMAGCGQVAHGGGMEITARGKDYPVREERVTLSVTTLSFEKDTVIGANLQSDFRVGRGSKMSVGANLNSRNLGKLSIKTSTSDHAEMALIAVVSLIQFFRRRSGGADKGDQQFDTYLDD >PAN16365 pep chromosome:PHallii_v3.1:3:3458581:3462549:1 gene:PAHAL_3G055200 transcript:PAN16365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MMMNFRDWISFRLGSSLLSARPFALSSGDDDGASEGDADGTTQNEFVETVSANRFPSNDTRVLEVTTNSNAGAIYPAPVQQDNDNKKSDPVMKVEALQIKFLRLVHRTGVPPSTDVVAQVLYRLQLANLIKSGESDARRTNLGINKARVIAAQQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDERKVSTDALVPATHRIKKIEGTIKGIRVTVIDTPGLTPHYLGQRRNRKILNSVKCYIKRSPPDIVLYFERLDHINSRYSDSPLLKLMTDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYTRYCKNVVQRHIQAAVSNTQLDNPVVLVDNHPMCRRNTKGERVLPNGQVWVSELLLLCGATKLLAEANSLLKFQDSFVLSQANNRLPSLPHLLSSLLKPNSSSSSDGIDSELTEMSDEEDEYDQLPPFRILKKSEYENLTNDQKSAYLDELDYRETSYLKKQWKEGIRRQKLTEVQNDEVADDYEEGASPEVVHMSDMEIPLSFDSDYPVHRYRHIITDDQLFRPVLDPQGWDHDIGFDAINFEASQELKKNVSAAIAGQMRKDKEDMYVNSECSVSYSDQRGCSLIGGMDMQTASRDLVCTVHGDAKFRNLPWNTTGGGISVTKFGNKYFAGAKLEDSVTIGKRVQLVANAGRMAGCGQVAHGGGMEITARGKDYPVREERVTLSVTTLSFEKDTVIGANLQSDFRVGRGSKMSVGANLNSRNLGKLSIKTSTSDHAEMALIAVVSLIQFFRRRSGGADKGDQQFDTYLDD >PAN17883 pep chromosome:PHallii_v3.1:3:10371046:10375024:1 gene:PAHAL_3G161300 transcript:PAN17883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRASRHRAQSHDQGPNTSQHHHHQHNRPKPKQHRPQPPPPQAPQTHAPPPARQRQQQQQQHPDAAAAAAAAGVGRVLGRPMEDVRAAYTFGRELGRGQFGVTYLATHKATGRRYACKSIAARKLAHRDDVEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFASDPWPSISNSAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVIGRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRTGLPKLGTKISESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGHITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGRIDYQEFVAMMKNNSPEIVPNRRRMF >PAN21448 pep chromosome:PHallii_v3.1:3:60249540:60251432:1 gene:PAHAL_3G466800 transcript:PAN21448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >PVH61876 pep chromosome:PHallii_v3.1:3:9500713:9501156:-1 gene:PAHAL_3G146400 transcript:PVH61876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEVAAVVGRVAERLYAEDYDDHEGIAEESVAEVMSWLELEIRLAAGAAVLPLPPRPPTPPGAALYATVGGGKESCGSSLSGPASTVMASVDGRAVPWPWPFPEPAAGNDDEDADYDDEWVSQLLTDGPAVEGLCSGQ >PAN21811 pep chromosome:PHallii_v3.1:3:62203138:62208434:1 gene:PAHAL_3G490200 transcript:PAN21811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEVLEQIGKGAFGSALLVRHRVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMADAIKRANGNHFSEEKICKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEYRPSAAELLKHPHLQPYVLQVQLKSSPSRNMSPIYQSLTDKVKKKTFPSDITDSVRRRVARRNSLGNERTVTFCKPSPERNSVSSTRSIKEYTTTQSVKEFSIDSSQVDDEVTSKAIITKTSGILRTPKSTPAKTLTTRNRLDPPKTSYTRTNHSELSSRTPRSKSARTARRASLPLPTYGTPSNRTIRILDRLDSPDVSVNAPRIDRIAEFPLASSEDPLAPINKLSPAPGHGLCSTPPSINRSITKDKYTVQVLHTGDGDNGSDSSGRNATAASSRGSNDSRLQRFDTSSYQQRAEALEGLLEFSAQLLQQERYEELNILLKPFGPEKASPRETAIWLTKSFKETAS >PAN20600 pep chromosome:PHallii_v3.1:3:40561471:40561857:1 gene:PAHAL_3G372800 transcript:PAN20600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFAVLLIMALAAVVIVPPVATVGQAGLPARRSRFLAANALPSYECSKKSASVCLEPGSPGATCCGGQCVDTVSSPYHCGGCNKVCKSRRGTCCGGRCVDLDSDKDNCGRCGNQCSNKCNYGFCDYA >PVH62920 pep chromosome:PHallii_v3.1:3:56403577:56406921:-1 gene:PAHAL_3G429800 transcript:PVH62920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 8 [Source:Projected from Arabidopsis thaliana (AT1G47670) UniProtKB/Swiss-Prot;Acc:Q9SX98] MAMAAAAGDGAASEPELVSIPATPHGLSTPEGAATPTGAGGGGGVAGGSRGKGAGTPGRRVVEGLRGYLEDVGHLTRLDPRDAWLPVTESRGGNARYAAFHSLNAGLGFQALLLPLAFLGLGWSWGIISLTIAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGMWLALFPTIYLSAGTATALILVGGETMKLFFQIVCGPICSPSPITTVEWYLVFTSLAVVLSQLPNLNSIAGLSLIGGATAIMYCTMTWILSISQPRPPTVSYDPVRSASFGTSLFSTLNALGIVAFAFRGHNLALEIQLFVGILQATMPSTFKHPAHVPMWRGAKVAYLLIAMCLFPVAVGGYWAYGNMMPPGGMLAALYAFHSHDTPRGLLATTCLLVVLNCLSSFQIYSMPVFDSFEAYYTGRTNRPCSTWVRSVFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRVKKPEKFSFSWYLNWGLGLLGTAFSLAFSLGGVWSIVSNGMKLKFFKPPN >PAN21045 pep chromosome:PHallii_v3.1:3:56403362:56406928:-1 gene:PAHAL_3G429800 transcript:PAN21045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 8 [Source:Projected from Arabidopsis thaliana (AT1G47670) UniProtKB/Swiss-Prot;Acc:Q9SX98] MAMAAAAGDGAASEPELVSIPATPHGLSTPEGAATPTGAGGGGGVAGGSRGKGAGTPGRRVVEGLRGYLEDVGHLTRLDPRDAWLPVTESRGGNARYAAFHSLNAGLGFQALLLPLAFLGLGWSWGIISLTIAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGMWLALFPTIYLSAGTATALILVGGETMKLFFQIVCGPICSPSPITTVEWYLVFTSLAVVLSQLPNLNSIAGLSLIGGATAIMYCTMTWILSISQPRPPTVSYDPVRSASFGTSLFSTLNALGIVAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYLLIAMCLFPVAVGGYWAYGNMMPPGGMLAALYAFHSHDTPRGLLATTCLLVVLNCLSSFQIYSMPVFDSFEAYYTGRTNRPCSTWVRSVFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRVKKPEKFSFSWYLNWGLGLLGTAFSLAFSLGGVWSIVSNGMKLKFFKPPN >PAN16461 pep chromosome:PHallii_v3.1:3:3939219:3942719:1 gene:PAHAL_3G062500 transcript:PAN16461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGLVDWRGRPVNQKRHGGVKASMFIHFLIVMANIANIPMILNLVSYLHGTMHMGVKDASTTSTNFFGAICFFSFLGAFVSDSYIKRFYTILIFAPIEIMGYVLLAFQAHLPSLHPPPCDMINRPNECAPVSGRNLSLLTLGLYLIPVGEGSLRSCAAALGGDQFDGDDPAELHGKISFFNWFAFCISLGGFVGLVFLVWVQDNEGWGLSFALAAIMVLVGTVVVSGGLPFYRHQKPTGSPLARIFQVFVAAFRKRKLSLPENVTEMHVATDSTGTSVEFMQRTSDFKFLDKAAVDDGDTRGRSLCTVTQVEEAKIILRMLPIFLSSVLGNVSIPLLLSLTVQQGGTMDTRLGGTSIPPASLFIVPIVFQMLILVAYDRAALPWLRRATGYAGGVTHLQRVGVGFASSVMALAVAAVVEGCRRRSAASAGAPPMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAIVFCILGVASWLGSFLIQVVNHATARQGGGHGWLDGANLNASRLDLFYWLLAVFALVSFFLYLLCAWRYTYRHDPRMQAAVDGDKVSPA >PAN16460 pep chromosome:PHallii_v3.1:3:3939219:3942719:1 gene:PAHAL_3G062500 transcript:PAN16460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGLVDWRGRPVNQKRHGGVKASMFIHFLIVMANIANIPMILNLVSYLHGTMHMGVKDASTTSTNFFGAICFFSFLGAFVSDSYIKRFYTILIFAPIEIMGYVLLAFQAHLPSLHPPPCDMINRPNECAPVSGRNLSLLTLGLYLIPVGEGSLRSCAAALGGDQFDGDDPAELHGKISFFNWFAFCISLGGFVGLVFLVWVQDNEGWGLSFALAAIMVLVGTVVVSGGLPFYRHQKPTGSPLARIFQVFVAAFRKRKLSLPENVTEMHVATDSTGTSVEFMQRTSDFKFLDKAAVDDGDTRGRSLCTVTQVEEAKIILRMLPIFLSSVLGNVSIPLLLSLTVQQGGTMDTRLGGTSIPPASLFIVPIVFQMLILVAYDRAALPWLRRATGYAGGVTHLQRVGVGFASSVMALAVAAVVEGCRRRSAASAGAPPMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAIVFCILGVASWLGSFLIQVVNHATARQGGGHGWLDGANLNASRLDLFYWLLAVFALVSFFLYLLCAWRYTYRHDPRMQAAVDGDKVSPA >PAN18965 pep chromosome:PHallii_v3.1:3:15474691:15479170:1 gene:PAHAL_3G238600 transcript:PAN18965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYESTARSWTQKYAMG >PVH61373 pep chromosome:PHallii_v3.1:3:984694:985537:-1 gene:PAHAL_3G018100 transcript:PVH61373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAGATRSLLVVVTLLIAVAGAPSSSSSLLRIAAAAATPLNAAAAHGGVTLRVDRRQVLVDNGVVQVTLSKPQGHITGVRYNGERNLLHYAGEENSGGYWDVVWNYPGSDHPRGMIDM >PVH61917 pep chromosome:PHallii_v3.1:3:10114204:10115150:-1 gene:PAHAL_3G157200 transcript:PVH61917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWIVFRGEEDSSTCDQQTRPDQIRPGADRKQPTTRHGLDASPPFCFVLFCPSLLPCQAMYSRLGVLGLDLAKSKGGLLTCCVAIRRVGCTRPCGW >PAN17039 pep chromosome:PHallii_v3.1:3:6588286:6591499:-1 gene:PAHAL_3G103500 transcript:PAN17039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPMDMELEKKDASSALQRSLSAVTYCCGACGYDLRLRSSDRNTAGIVGGGYGRAARRGVVAFDAIDDARFGHADEFRCVDVRARRLFVRRTRLLCRKCGASLGFGYDDRGAVGRSPRYDIKIRALQPLAAADGDGTAASPPAPSDGA >PAN17041 pep chromosome:PHallii_v3.1:3:6588888:6589438:-1 gene:PAHAL_3G103500 transcript:PAN17041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPMDMELEKKDASSALQRSLSAVTYCCGACGYDLRLRSSDRNTAGIVGGGYGRAARRGVVAFDAIDDARFGHADEFRCVDVRARRLFVRRTRLLCRKCGASLGFGYDDRGAVGRSPRYDIKIRALQPLAAADGDGTAASPPAPSDGA >PAN17038 pep chromosome:PHallii_v3.1:3:6588286:6591499:-1 gene:PAHAL_3G103500 transcript:PAN17038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPMDMELEKKDASSALQRSLSAVTYCCGACGYDLRLRSSDRNTAGIVGGGYGRAARRGVVAFDAIDDARFGHADEFRCVDVRARRLFVRRTRLLCRKCGASLGFGYDDRGAVGRSPRYDIKIRALQPLAAADGDGTAASPPAPSDGA >PAN18227 pep chromosome:PHallii_v3.1:3:12286732:12291170:-1 gene:PAHAL_3G187200 transcript:PAN18227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVRTQPTWAPAARGWRPRGRASVAVASAPAARAVRCRAMERPPTVRTVTIPFADLKERDKDLGDEIEEGLGPHGLGIISIADVPGFPELRKSLLRLAPRIASLPEDVKKQLEDPDSRYNFGWSHGKEKLESGKLDTFKGSFYANPVFDVPTTDDVLVSRYPSYCRPNIWPTDHLPELEIAFKALGKLMLEVGLMLAHHCDRYVIRRGLGASDGVSLEKTIAHSRCHKGRLLYYFPRQLSKQTGEGGSVSSWCGWHTDHGSLTGLTSGLFMKNSMEIPCPDNAAGLYIRTRDNRVVKVEFNENQLAYQIGETTEILSRGYLCATPHCVQAPSSEDSSNVDRSTFALFMQPDWDEKLEFPSKIPYHQELIPPNGTLAFGEYSERLVNKYYQATP >PAN16968 pep chromosome:PHallii_v3.1:3:6283460:6286165:1 gene:PAHAL_3G098900 transcript:PAN16968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKHGEGDKGLFSNIMHGVAGGHGYPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPAPGAYPPQHGYPQPGGYPPQHGGYPPAGYPGSSHQGHGSSHGGMGMGTVLAGGAAAAAAAYGAHKLSHGHSGHGGHGVFGGYGHGYGHGYGGHGKFKHGHGHHGKFKHGHGKFKHGKHGHGMFGGKFKKWK >PAN19626 pep chromosome:PHallii_v3.1:3:19416680:19417670:1 gene:PAHAL_3G286600 transcript:PAN19626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLVVLGLVLVLAGVALDGADGAGECGRASADRVALRLAPCISAADDPQSTPTSSCCSAVHTIGQSPSCLCAVMLSGTARAAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >PVH62753 pep chromosome:PHallii_v3.1:3:45624274:45624550:-1 gene:PAHAL_3G387600 transcript:PVH62753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLLARGRPSVAPRPRPVRPGGARGWSGQAARAAGPARPLLAHGWSGATPACPRPALRPSSSPTAG >PVH61364 pep chromosome:PHallii_v3.1:3:955213:959303:1 gene:PAHAL_3G017400 transcript:PVH61364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEPEDEPPQQQQLEAKPRRLYQAWKGNNIFLCGGRLIFGPDAASLLLTTFLIISPSIIFCYQMKAKFHHSSSTGQQHMHQAAVLIVIITTIVDLVFLFMTSARDPGIVPRNTRAPPEADELLLGSNTPSMDWSDGRTPRMRFRRTKDVVVNGFMVKVKFCKTCLRYRPPRSSHCSICNNCVHKFDHHCPWVGQCIGLRNYRYFFLFIATSTFLCIFAFIFAWLSVYSQMEDNGGSMWMALRKEAYSFALIIYTSIVVWFVGGLTIFHLYLIGTNQVRLIKWRRHWKGRTKEVTQSRCLVSCRSKAMISLIRHGTRHH >PVH61366 pep chromosome:PHallii_v3.1:3:955214:959303:1 gene:PAHAL_3G017400 transcript:PVH61366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEPEDEPPQQQQLEAKPRRLYQAWKGNNIFLCGGRLIFGPDAASLLLTTFLIISPSIIFCYQMKAKFHHSSSTGQQHMHQAAVLIVIITTIVDLVFLFMTSARDPGIVPRNTRAPPEADELLLGSNTPSMDWSDGRTPRMRFRRTKDVVVNGFMVKVKFCKTCLRYRPPRSSHCSICNNCVHKFDHHCPWVGQCIGLRNYRYFFLFIATSTFLCIFAFIFAWLSVYSQMEDNGGSMWMALRKEAYSFALIIYTSIVVWFVGGLTIFHLYLIGTNQTTYENFRYHYDKKDNPYRKRIAANFAEVFFTKIPPPMTNFRSWVGEGALEAGFYTPYIGLDVTSPREKIDLDRENKEVLLGGVQIPTVLQNIDYGSFEDSSEDKNRIGGEKTVHFPSAWAQGNEGAGTSATATAACNDETSEDDLNEIDSPNITSTQASAEANTEPPGQTDKMEETLERKNQRSNTI >PVH61365 pep chromosome:PHallii_v3.1:3:955214:959303:1 gene:PAHAL_3G017400 transcript:PVH61365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEPEDEPPQQQQLEAKPRRLYQAWKGNNIFLCGGRLIFGPDAASLLLTTFLIISPSIIFCYQMKAKFHHSSSTGQQHMHQAAVLIVIITTIVDLVFLFMTSARDPGIVPRNTRAPPEADELLLGSNTPSMDWSDGRTPRMRFRRTKDVVVNGFMVKRNYRYFFLFIATSTFLCIFAFIFAWLSVYSQMEDNGGSMWMALRKEAYSFALIIYTSIVVWFVGGLTIFHLYLIGTNQTTYENFRYHYDKKDNPYRKRIAANFAEVFFTKIPPPMTNFRSWVGEGALEAGFYTPYIGLDVTSPREKIDLDRENKEVLLGGVQIPTVLQNIDYGSFEDSSEDKNRIGGEKTVHFPSAWAQGNEGAGTSATATAACNDETSEDDLNEIDSPNITSTQASAEANTEPPGQTDKMEETLERKNQRSNTI >PAN19347 pep chromosome:PHallii_v3.1:3:17772291:17774911:-1 gene:PAHAL_3G267300 transcript:PAN19347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAMARSPCSAIYQATLPSAPPVPGRLSIRPRCGLALTNPAAARRLVARCTVGDKAEVEKPIEKRFPPFPTAMDINQIRDILPHRFPFLLVDRVIDYKPGEYAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGIVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLIMRMTLIKLQKRFGIAKMEGKAYVGADLVCEGEFLMSTGSE >PAN19067 pep chromosome:PHallii_v3.1:3:16028601:16033177:1 gene:PAHAL_3G247300 transcript:PAN19067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MRLSSSLQDLPTFTRIDALERGSSIGSDLSSWRAKPVRTLQRDGPVASFSKERTPPSSPTNRKKCMRAAGYAIALILLAFFAYASWRYFHVFLSEGNSEYYVVLDCGSTGTRVYVYEWHINHNDANGFPIVLKPLGNAPKKKSGKLTRLYQRRETEPGLSKLVHNEAGLKKALEPLLQMAEKQIPRRAHKHTPLFLYATAGVRKLPSADSEWLLDKAWDVLKNSSFLCSRDRVKIITGMDEAYYGWIALNHHMNMLGTSSSKMTYGSLDLGGSSLQVTFETDKTVQDETSISLRIGSVDHHLSAYSLTGYGLNDAFDKSVAHLVKKLGGVANNGKVQVKHPCLQTGYKEDYVCSYCHPLKQDGSPSVGEKTIGKEKQGVAVELVGAPQWNECSALAKVTVNLSEWSSASPGLDCNLHPCALASNFPQPHGKFFAMSGFFVVFKFFNLTADATLVDVLKRGQEFCEKPWKIAKSSVPPQPFIDQYCFRAPYIASLLREGLQIKDNQVIIGSGSITWTLGVALLEAGQALSTRIDIQGYRILHREINPNFLIVLFLISIVLVICAILCVSNSIPRSFRKSYLPVYRQNSAGSSVLGMGSPFRFQLWSPINSGDGRTKTPLSPTVAGSDPHPFSMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGVRNPSRGQTTLQSRRSQSREDLSSTLADIHVPKV >PAN16885 pep chromosome:PHallii_v3.1:3:5983902:5986935:-1 gene:PAHAL_3G093600 transcript:PAN16885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRTSCLERAPVVTSGDNSPTSAANDGLPLPIEKRCGSVDETCKAAEGGSGLVEAQICTGFSESITLEVKKGLQKCATFPPPSGEAQQEDHSCCHADDGHTGAPAYERSMSLPPTMKLISAMKGGRKKNGMASPTENRHVKWAPDVYDPPVTSVCHSVNSSYQRRSKSRKKEKNKQKKKQKQKGKSKKNHQNSIQSSSVMQVPDHGLKGVSTTGGQSSVDDHATMIMDYSMGNQEAKCGSSFLRESVAKMHFSIAEAS >PVH61874 pep chromosome:PHallii_v3.1:3:9445451:9449231:-1 gene:PAHAL_3G146000 transcript:PVH61874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYRNEWAEALGIEISSPRAKDGTMVVNRVSPPWDDQEKLCFPKGKLMPSLSFKLWEAEAAVASIVDHDSRPSELNVGDDRSDSEVVFVASSPSPSPRVSSSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSVSFFNGGKPETAASRWARARTRVAKLGKGLSKNGKAQKLARQHWLEAIDPRHRYGHNLHIYYDVWSKSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERHEYEVVVESGKLVYKKNGAFVQTLGDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKEGILKAIWPYSGHYMPTEENFREFIRYLEENGVDLTDVKKCPIDKDDEYPLLSKPDAQLSAAVSGDTNGTDHTTAAPAADEQMGESEVVDGDVHRTTDDGNMSEAEEDDTDIHSRTDTEEEAHSSEQLQKEPPATPPANAAAAEHGKNHLMCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLSGSPSRKRDPVPSPGPARR >PVH61875 pep chromosome:PHallii_v3.1:3:9445919:9448343:-1 gene:PAHAL_3G146000 transcript:PVH61875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYRNEWAEALGIEISSPRAKDGTMVVNRVSPPWDDQEKLCFPKGKLMPSLSFKLWEAEAAVASIVDHDSRPSELNVGDDRSDSEVVFVASSPSPSPRVSSSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSVSFFNGGKPETAASRWARARTRVAKLGKGLSKNGKAQKLARQHWLEAIDPRHRYGHNLHIYYDVWSKSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERHEYEVVVESGKLVYKKNGAFVQTLGDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKEGILKAIWPYSGHYMPTEENFREFIRYLEENGVDLTDVKVNKCPIDKDDEYPLLSKPDAQLSAAVSGDTNGTDHTTAAPAADEQMGESEVVDGDVHRTTDDGNMSEAEEDDTDIHSRTDTEEEAHSSEQLQKEPPATPPANAAAAEHGKNHLMCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLSGSPSRKRDPVPSPGPARR >PVH61457 pep chromosome:PHallii_v3.1:3:2386565:2390731:1 gene:PAHAL_3G036900 transcript:PVH61457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSIAIEIDGEAICLDSVGDDEQEAQENGEMQQIIYSAENGEQMAFDHQEQGREEPAGNEEDREHSSIIPSREELTEELRNKVAYSEEEAYRLYCDYGHRMGFSVRKGKQYYFTGTKTIRTKDYYCSKEGLKDDEQLTEANFNKPETRTNCKAMVRFRVDSEGQWRVIQIIPEHNHDLVRPEEIHLLRSVRTLSVPKPGVLNAMVNAEIQAMHDSLHINEDGAECHSQLSIRSYTLLEPEDCEALVGYFKRRANEQGMFYWDVEVDQEGRMANFFWRDGRSRVDYDSFGDVVMFDTSHRTNKYNMICAPFVGVNHHRQNVMLGCAFLLDESPASYEWLFKSFLESMGGRPPKTIFTDQNESISKAIADVLPGTRHCLCQRFIEKNLQSHLSTINDSGTFHSMLSKCMRECESEAEFDEAWAMMHHEYNMQEHQWLSDLYQQRHKWCTALHKDAFDGGIESLDRNEGSNNVLSSIDDESTSLATFVHELDKIVGIWRKNESLEDIQCNKAGPECTVKHSRILQHAAEVYTHKVYKSLEKDFLDGCGATSYQEVQCDEKLYRFEFILQRSGPKVWVVFLNTSTMELSCSCKKFETMGVLCSHALNALGLKNVDRIPEMYILKRWTRYVRKGTYPFPVDGFAEQDRSYAFMYRNRAMRFVYDLLMKSKSHQNTRKLILDVLESGEKSLESVCELKRLHMHPLGKEKDGSRVEKRKKKSTKQEKHPRNVKQVVLPQPAGSVFVDPPNQDQYYAAEDIASNSSIGRPFFYQGYPATGVSTSQIQGHTNMQSVPQCASQEYSAYAAVQPPSQFGGERNF >PAN16708 pep chromosome:PHallii_v3.1:3:5223557:5229050:1 gene:PAHAL_3G079000 transcript:PAN16708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGSQDIECKEKVQSLVQGGNEEQAEQLNTRVTDAHGGDSGSLSASSNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQINMFNHLLEHQYNLMKYPVPQQVPFAPNGIHPMPVNNMPMGYPVLQQPGMAAPGQPHVSPMACGPPSSHVVNGIPAPGGYHPIGMNSGNGIMENKTHETAHAATAGSAMSCEMAVSPSSAMSSNNHASFTPSEISGMCVDETAANATFGAHVGNGGPLQIGPDAADGSSLGQQIWDFSLSDLSADLTNLGDLSALENYSGNPFLPSDSDLLLESPDHDDIVEYFADAINGPSQSDEEKP >PAN16707 pep chromosome:PHallii_v3.1:3:5223609:5229050:1 gene:PAHAL_3G079000 transcript:PAN16707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGSQDIECKEKVQSLVQGGNEEQAEQLNTRVTDAHGGDSGSLSASSNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQINMFNHLLEHQYNLMKYPVPQQVPFAPNGIHPMPVNNMPMGYPVLQQPGMAAPGQPHVSPMACGPPSSHVVNGIPAPGGYHPIGMNSGNGIMENKTHETAHAATAGSAMSCEMAVSPSSAMSSNNHASFTPSEISGMCVDETAANATFGAHVGNGGPLQIGPDAADGSSLGQQIWDFSLSDLSADLTNLGDLSALENYSGNPFLPSDSDLLLESPDHDDIVEYFADAINGPSQSDEEKP >PVH62378 pep chromosome:PHallii_v3.1:3:18712689:18716924:1 gene:PAHAL_3G279100 transcript:PVH62378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGGSQKKRHKAVANVDIISNLPDVIKDKILCCLPIKEVLGTCLLSRKWRYTWASMTELTFREDDFDLGSSIEEGETKKFVNFINMVLSLHNGPILKFELNARRVHLLSAGGHIHRWMLMLSRNGVKEIQIRTKIWRNHKIPSSFFSCEELEYACLQGCIFQLPPLFTGFKRIHTLHFIDFCATENNIGELVASSPNLEKLILSRLLSFADISIHSTKLKILRVDGMFKHLSLVTPHVSSAVINLQVNTGYVPRAGCNFNLSQFIGSLLDIENISLLGHAFECAAHGILPGKLPRLLNRLTEITLEIDLGNMKEANAAHCLFQVAPNLRRVELQLIYRGYAAPTSNFWDSIDHQAGLFNNLDTVVLSNFAGSCAESGFLKLLLEDAPVLRIAQIKDNNKLDKESLKRLLKMRRASKDAEVILL >PVH61316 pep chromosome:PHallii_v3.1:3:546882:549674:-1 gene:PAHAL_3G009400 transcript:PVH61316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHLHNSVHNQFNLMEKLVSILLTTEHHGITRELDVSPRVSLLRCILLASCISVMPPDADEWAVVERRGPHLLESGRPFVVHGFNTYWLMYFAADPATRPTVTAALAEAADAGLNVCRTWAFNDGGYRALQLKPFSYDEDVFQALDFVISEARKHKIRLILSLCNNWKNYGGKAQYVRWGNEAGLDLTSDDDFFTDPTIKSYYKAFVKAVLTRINTITNGAYKDDPTILAWELINEPRCHSDPSGVTLQAWIEEMASYVKSIDPVHLLEIGVEGFYGPSTPELLHVNPDAYSGTVGTDFIRNHRAVGIDLASIHIYSDTWLPHSVEDNHLQFVNTWMQQHIDDAANLLGMPILIGEFGVSLKDGRFGNEFRESFMETVYTIFSSSWKSGVIGGGCLVWQLFPESAEHMDDGYAVIFAKSPSTLNVLSNHSRTLEC >PAN17290 pep chromosome:PHallii_v3.1:3:7569594:7575554:-1 gene:PAHAL_3G119000 transcript:PAN17290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVQRWSNHKVMVRWLSRFFHYLDRYFISRRSLTPLKEVGLTCFRELIYQEIKGQVKDAVIALIDKEREGEQIDRALLKNVLDIFVEIGLGQMDCYENDFEDFLLKDTTEYYSVKAQSWILEDSCPDYMIKAEECLKREKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCSALLRDDKVEDLSRMYRLFSKITRGLEPISNMFKTHVTNEGTALVKQAEDSASSKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAGHPELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDAKPIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANMYKYLA >PAN16507 pep chromosome:PHallii_v3.1:3:4149711:4152780:1 gene:PAHAL_3G065100 transcript:PAN16507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLHLTPSHASSIPDGFVLPAGHLRPATAAGVVSLPVVDMSSGRDEVRRAILDAGKEHGFFQVINHGVPEQVLRDMEAVCHEFFQLPAPDKAEFYSEDKSKPNRLFSGSSYETLGERYWRDCLRLVYPLPAGDTRDWPHKPQRFREVVGNYAVLARGLATEILRLLCEGMGLRPDYFVGDISGGRVAVDINHYPPCPNPSRTLGLPPHCDRDLITILLPGAVPGLEVAYKGEWIKVQPVPNSFVVNFGLQLEVVTNGMLKSVEHRAATNSAAARMSVATFIVPADDCVIGPAEEFVGEGNPPCYRTLRFGDFKRMHNVVNLGSSLNQITNLKNNQEDV >PAN18672 pep chromosome:PHallii_v3.1:3:14117069:14121063:1 gene:PAHAL_3G217600 transcript:PAN18672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGGSSFALAALLALASVAAVAGEVFFQEKFEDGWESRWVKSDWKKDENMAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKDGKNHLIKKDVPCETDQLTHVYTLIIRPDATYTILIDNEEKQTGSVYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDDPALAKKFAEETWGKHKEAEKAAFDEAEKKKEEEEAAKAGDEDDDLEDEEDDEKADEDKADSDAEDGKDSDDEKHDEL >PAN17014 pep chromosome:PHallii_v3.1:3:6466517:6470760:1 gene:PAHAL_3G101700 transcript:PAN17014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFGAVKKVFSPESKEKKEERQRRKSAASNPTPLDLTPSTSLEVNVSVPPPPAPPALHQIEEIRVPEAEQEQSKHVTVEEAPVAPAQASVLPPGVPSEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGDSVRRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSRSVNPMFVDPNNLQWGWSWLERWMAAKPWEGRNGADKESNIDRGSVKSMSLNLGEGEITKAFNRRDSKPEKPSPPTPKPTRPASRQSPSTPSSKVAPIPARRKSATPKNGLSHVDDDARSVFSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSALTNGAETPEKGSSAGPVKKRLSFQGGTAAASPMRRHSGPPKVDSAPPQPEALVINGGSK >PAN17036 pep chromosome:PHallii_v3.1:3:6466517:6470760:1 gene:PAHAL_3G101700 transcript:PAN17036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFGAVKKVFSPESKEKKEERQRRKSAASNPTPLDLTPSTSLEVNVSVPPPPAPPALHQIEEIRVPEAEQEQSKHVTVEEAPVAPAQASVLPPGVPSEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGDSVRRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSRSVNPMFVDPNNLQWGWSWLERWMAAKPWEGRNGADKESNIDRGSVKSMSLNLGEGEITKAFNRRDSKPEKPSPPTPKPTRPASRQSPSTPSSKVAPIPARRKSATPKNGLSHVDDDARSVFSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSALTNGAETPEKGSSAGPVKKRLSFQGGTAAASPMRRHSGPPKVDSAPPQPEALVINGGSK >PAN17015 pep chromosome:PHallii_v3.1:3:6466517:6470760:1 gene:PAHAL_3G101700 transcript:PAN17015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFGAVKKVFSPESKEKKEERQRRKSAASNPTPLDLTPSTSLEVNVSVPPPPAPPALHQIEEIRVPEAEQEQSKHVTVEEAPVAPAQASVLPPGVPSEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGDSVRRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSRSVNPMFVDPNNLQWGWSWLERWMAAKPWEGRNGADKESNIDRGSVKSMSLNLGEGEITKAFNRRDSKPEKPSPPTPKPTRPASRQSPSTPSSKVAPIPARRKSATPKNGLSHVDDDARSVFSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSALTNGAETPEKGSSAGPVKKRLSFQGGTAAASPMRRHSGPPKVDSAPPQPEALVINGGSK >PAN16746 pep chromosome:PHallii_v3.1:3:5395858:5398678:1 gene:PAHAL_3G082900 transcript:PAN16746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAELANGLHESTVNMEEGRGGGGEAYSESSEQDGAVSRPMFSVPFVQKILAEIFGTYFLIFAGCAAVAVNLRTGGTVTFPGICIVWGLAVMVMVYSVGHISGAHLNPAVSVAFATCGRFPWRQVPAYAAAQVMGATAASLTLRLLFGNAREHFFGTVPAGSDVQSLVIELIISFNLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTLGPAIVAGRYAGIWVYFAGPIVGTVAGAWAYNLIRFTDKPLREITQTSSFLRSARRN >PVH61536 pep chromosome:PHallii_v3.1:3:3566451:3567856:1 gene:PAHAL_3G056500 transcript:PVH61536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLVNICTSTRTPISFSEQQMVEEFWRKKQHEIEAIKDFGERTIPMTHLRKVICAEKGKMMMTFDTPSFLTKACEIFVQELSFRAWMRAKSHQRSIILDSDIAESILSIDSYDFLNDVLHTHHEERYSTSHPSQPHFDQYSTKQFIPQSTDHSPTVHIPPHLAATNIYQMPIPVLLPPQEHLLTATTTVTPTSIMSEMIPPVTCTTRGLEFFGNNIVATFGAMTSLQVPPEALANIQNNNNMSTVTNTCFVSNPNTSNVNAQDGGVTLHYPCAHQISFQLSSPSPLTNSGAHISTGIAELKHRRQDFAHIKNTSHVYGVNGATNTIDQKSNISVDDDAEVIATTNMSKGKNNNINWDEIDMADDSLLARFWEDIMMDEDLAHASAATSTNDLVLLPFDILQHDGFGHELNLLDDIVSIASTGERHS >PAN18760 pep chromosome:PHallii_v3.1:3:14553723:14557779:-1 gene:PAHAL_3G223900 transcript:PAN18760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNTYRLQIKYNTTQLKWRAIRSFSALYSTHRPPSAHHKLSLRPPHFLLSHNSQHALRCSHCLQADVLCCCGIIASKGQLAGAANSCTAAMSSDLSARSSPSSSTTSPSGDARLSGDQLQETTPQQGLANNAGGRSRRGRRHRRVLQWRLGLGGLGAAWALDPRARWVREWNRAYLLACAAGLMVDPFFLYTVSVSGPLMCLFLDGWLAAAVTALRCAVDAMHVWNVATQLRLWRDAARNKRAVDGSSGDEEHQAAAAEEDDDEEEAGAEAPRKLPADARSKKALMLDFFVMLPVMQVVVWVAAPAMIRAGLTTPVMTVLLLAFLLEYLPKICHAVRFLRRMQGQSGYVFGTIWWGIVLNLMAYFVAAHAVGACWYLLGVQRASKCLQEQCRLQAAGCARGVVACAAPLYYGGGAPAAPGGDRLAWARNAQARGTCLASGDSYQYGAYKWTVMLVANPSRLERVLLPIFWGLMTLSTFGNLESTTEWLEVVFNIVTITGGLVLVTMLIGNIKVFLNATTSRKQAMHTRLRAVEWWMRRKNLPRGVRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGETIVREGDVVQRMLFIVRGHLRCSQELRNGATSSCTLGPGNFSGDELLSWCLRRPFRERLPTASATLVTLESTEAFGLDAADVKYVTRHFRYTFANDAVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAMLTSPKPNQDDDF >PVH61341 pep chromosome:PHallii_v3.1:3:794509:795099:-1 gene:PAHAL_3G014300 transcript:PVH61341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGKRDERHGSHTETGICVHSLPPGNMQMQPLRFLQHCGSCNRALGHEADIYIYKGESAFCSTECREKGMMIDHARRNPS >PAN19252 pep chromosome:PHallii_v3.1:3:17101495:17104638:-1 gene:PAHAL_3G258800 transcript:PAN19252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAADATTAMTIDFLRARLLSERSVSRAAKERADHLARRVAELEEQLRAVTAQRRKAERAAAEVLAILDSQGFDRLSDAADDSATEDEVDAADPGAAERDRGGGNAAEDAMSGSELGSQAEAAAAAQAGGLSWKGRAAASHDCERRRPPPQLQKGRQLRQRHGHGHRRGYLYSRAADPSPKYHPGQSCRKIKRKELRSQTEGEEGKYIVVESAEDGQDRSDCTVCIDEQPDFDGEVSQDGRGSSGNGGLDDGGDRFAMVYEKDGEMERVLEKQAELIGQYQAEENAQREWEKKFSESRDSTADNVKLNNMLNQADNACGRRETAQIVDKEVVCEHARSSADNLHGINKPAEYLQKGSVSELPQNAAKDGVIEQCKTDGSDHDFVASTVTVASHHSELQVRKDVLTAKSYLGGNGNNLGKPVPPPQGSCDSILNAGFDKDQEDENSDSGSNCHVNARSSERYISTSSVGSPLSDTPKSEVSEWSSSCFHNHTDNQLDTQIGQPSSNDVGGVLEALQRARISLRAKLSRPSPPSQNIMALPAPDDLPVNDRQLSLSRSNPPNQGVLVLPESAGYLNRVPPCHDVKVPVGPAGLFRLPTDSFPRNETGLSDGYCSRFCLTVANRHHISSSYPANLIMSTPSFSQYDLELSPDLYHDPHSSMLLSMPASGGCNITVPDFRMGRGSFLPEVLRFGNDLRRVMPSGDAGMLFEHGHGLGH >PAN19981 pep chromosome:PHallii_v3.1:3:22091238:22091519:1 gene:PAHAL_3G311100 transcript:PAN19981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPASPSPSRLQRFPARRSPPPSPPRLPSPLCPPPTPLPPPPPRATPSPIRSPRAPTSSTLRDPASSAAVARRAPPEPARPSATPTAANCSA >PVH61344 pep chromosome:PHallii_v3.1:3:808975:810902:-1 gene:PAHAL_3G014700 transcript:PVH61344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALETLCGQSYGAKQYHMLGIYLQRSWIILFACSVVLLPVYLFTEPMLVALGQDPKISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLALSWLMTVKFQLGLAGVMGSMVFAMWIPVFGQLAFVFFGGCPLTWTGFSSAAFTDLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIYNVVITSFLIGFVLFVLFLFFRGSLAYIFTESRAVANAVANLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAILGYVLGLHVKGIWIGMLLGTLVQTIVLLFITLKTDWEKQVVIAQERLKRWYMEENRRLLGSRGNP >PAN15829 pep chromosome:PHallii_v3.1:3:808504:812131:-1 gene:PAHAL_3G014700 transcript:PAN15829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKTTSSSRGGEEEAAKVPLLEPRAAADHHGRSSSFSKVEQEEVEDDDSSSCLSLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACSVVLLPVYLFTEPMLVALGQDPKISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLALSWLMTVKFQLGLAGVMGSMVFAMWIPVFGQLAFVFFGGCPLTWTGFSSAAFTDLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIYNVVITSFLIGFVLFVLFLFFRGSLAYIFTESRAVANAVANLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAILGYVLGLHVKGIWIGMLLGTLVQTIVLLFITLKTDWEKQVVIAQERLKRWYMEENRRLLGSRGNP >PAN21786 pep chromosome:PHallii_v3.1:3:62032064:62036314:-1 gene:PAHAL_3G488400 transcript:PAN21786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLGFEETELRLGLPGGGGGGGGDGEGARSASGKRGFAETIDLKLKLEPAAAAVVEEEKEVVDAAKDAAAAEESPAGKMKRSPSQSSVITAAAAAQPDPAEKPRAPKAQVVGWPPVRSFRKNIMSVQSEKGAGSKDADGDKSSPAAGGGAAFVKVSLDGAPYLRKVDLKMYKSYQELSKALEKMFSSFTIGSCGSQGMNGMNESKLVDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >PAN17576 pep chromosome:PHallii_v3.1:3:9099669:9105454:1 gene:PAHAL_3G141600 transcript:PAN17576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHHFNNISLGGRGGGNPGQFKLYSGGLAWKKQGGGKIIEVDKADITSVTWMRIPKSYQLSVGTREGLSYRFFGFREQDVSGLTNFIQKSTGITPEEKQLSISGHNWGGIAINGNVLCFNVGSKEAFEVSLADVSQSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDEHRTSAQMLWQAISVQIDGGGSSETAVATFDGIAILTPRGRYSVELHHSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQGLIHEVFSMVLRGLSGAKMTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLIKLKNDQEHLFRNIQRNEYHNLFNFISGKHIKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVADKDDSGSPTDDSDEDGSDASLSGGEKEKSSKKEASSSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERANIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGATTQQGSGDGSE >PAN17579 pep chromosome:PHallii_v3.1:3:9099669:9105454:1 gene:PAHAL_3G141600 transcript:PAN17579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPKSYQLSVGTREGLSYRFFGFREQDVSGLTNFIQKSTGITPEEKQLSISGHNWGGIAINGNVLCFNVGSKEAFEVSLADVSQSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDEHRTSAQMLWQAISVQIDGGGSSETAVATFDGIAILTPRGRYSVELHHSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQFETETVVERELTLSEEVLAEKYKDRLESSYRGLIHEVFSMVLRGLSGAKMTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLIKLKNDQEHLFRNIQRNEYHNLFNFISGKHIKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVADKDDSGSPTDDSDEDGSDASLSGGEKEKSSKKEASSSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERANIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGATTQQGSGDGSE >PVH61853 pep chromosome:PHallii_v3.1:3:9099669:9105454:1 gene:PAHAL_3G141600 transcript:PVH61853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPKSYQLSVGTREGLSYRFFGFREQDVSGLTNFIQKSTGITPEEKQLSISGHNWGGIAINGNVLCFNVGSKEAFEVSLADVSQSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDEHRTSAQMLWQAISVQIDGGGSSETAVATFDGIAILTPRGRYSVELHHSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQGLIHEVFSMVLRGLSGAKMTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLIKLKNDQEHLFRNIQRNEYHNLFNFISGKHIKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVADKDDSGSPTDDSDEDGSDASLSGGEKESSKKEASSSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERANIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGATTQQGSGDGSE >PAN17578 pep chromosome:PHallii_v3.1:3:9099669:9105454:1 gene:PAHAL_3G141600 transcript:PAN17578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPKSYQLSVGTREGLSYRFFGFREQDVSGLTNFIQKSTGITPEEKQLSISGHNWGGIAINGNVLCFNVGSKEAFEVSLADVSQSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDEHRTSAQMLWQAISVQIDGGGSSETAVATFDGIAILTPRGRYSVELHHSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQGLIHEVFSMVLRGLSGAKMTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLIKLKNDQEHLFRNIQRNEYHNLFNFISGKHIKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVADKDDSGSPTDDSDEDGSDASLSGGEKEKSSKKEASSSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERANIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGATTQQGSGDGSE >PVH61856 pep chromosome:PHallii_v3.1:3:9099669:9105454:1 gene:PAHAL_3G141600 transcript:PVH61856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHHFNNISLGGRGGGNPGQFKLYSGGLAWKKQGGGKIIEVDKADITSVTWMRIPKSYQLSVGTREGLSYRFFGFREQDVSGLTNFIQKSTGITPEEKQLSISGHNWGGIAINGNVLCFNVGSKEAFEVSLADVSQSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDEHRTSAQMLWQAISVQIDGGGSSETAVATFDGIAILTPRGRYSVELHHSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQFETETVVERELTLSEEVLAEKYKDRLESSYRGLIHEVFSMVLRGLSGAKMTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLIKLKNDQEHLFRNIQRNEYHNLFNFISGKHIKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVADKDDSGSPTDDSDEDGSDASLSGGEKESSKKEASSSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERANIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGATTQQGSGDGSE >PVH61854 pep chromosome:PHallii_v3.1:3:9099669:9105454:1 gene:PAHAL_3G141600 transcript:PVH61854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPKSYQLSVGTREGLSYRFFGFREQDVSGLTNFIQKSTGITPEEKQLSISGHNWGGIAINGNVLCFNVGSKEAFEVSLADVSQSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDEHRTSAQMLWQAISVQIDGGGSSETAVATFDGIAILTPRGRYSVELHHSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQFETETVVERELTLSEEVLAEKYKDRLESSYRGLIHEVFSMVLRGLSGAKMTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLIKLKNDQEHLFRNIQRNEYHNLFNFISGKHIKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVADKDDSGSPTDDSDEDGSDASLSGGEKESSKKEASSSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERANIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGATTQQGSGDGSE >PVH61855 pep chromosome:PHallii_v3.1:3:9099669:9105454:1 gene:PAHAL_3G141600 transcript:PVH61855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHHFNNISLGGRGGGNPGQFKLYSGGLAWKKQGGGKIIEVDKADITSVTWMRIPKSYQLSVGTREGLSYRFFGFREQDVSGLTNFIQKSTGITPEEKQLSISGHNWGGIAINGNVLCFNVGSKEAFEVSLADVSQSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDEHRTSAQMLWQAISVQIDGGGSSETAVATFDGIAILTPRGRYSVELHHSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQGLIHEVFSMVLRGLSGAKMTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLIKLKNDQEHLFRNIQRNEYHNLFNFISGKHIKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVADKDDSGSPTDDSDEDGSDASLSGGEKESSKKEASSSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERANIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGATTQQGSGDGSE >PAN17577 pep chromosome:PHallii_v3.1:3:9099669:9105454:1 gene:PAHAL_3G141600 transcript:PAN17577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHHFNNISLGGRGGGNPGQFKLYSGGLAWKKQGGGKIIEVDKADITSVTWMRIPKSYQLSVGTREGLSYRFFGFREQDVSGLTNFIQKSTGITPEEKQLSISGHNWGGIAINGNVLCFNVGSKEAFEVSLADVSQSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDEHRTSAQMLWQAISVQIDGGGSSETAVATFDGIAILTPRGRYSVELHHSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQFETETVVERELTLSEEVLAEKYKDRLESSYRGLIHEVFSMVLRGLSGAKMTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLIKLKNDQEHLFRNIQRNEYHNLFNFISGKHIKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVADKDDSGSPTDDSDEDGSDASLSGGEKEKSSKKEASSSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERANIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGATTQQGSGDGSE >PAN21389 pep chromosome:PHallii_v3.1:3:59918929:59922083:1 gene:PAHAL_3G461800 transcript:PAN21389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) UniProtKB/Swiss-Prot;Acc:P57741] MAAAASASTPQGVAERRGIPAAAFVEDVEAYLRQAGLDVNSALAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVAALQAKKALGEALIADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEILVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAVKET >PAN21954 pep chromosome:PHallii_v3.1:3:63238913:63246373:1 gene:PAHAL_3G502600 transcript:PAN21954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPDQPPPAAAGDTDAPDPDPAPPPPPPPPPPPGPAPADEEDDRVFLVPRRWWKEAQDGAAIEAAGLPYAAAPAGPTSYGMRVLSIFVSDQAYTLRRADDLLHQQAPGASQGRSYALLAADLFTKARDWHIDSAKSMGKNSSLTEDGSVNIYPIMLRVSVTQDNALTVKIGKKDNSSENFRRANKILTADSEPVHIWDFSGRTTYILMNAWNQMPHDSKSADHEMPLEIHIYDLSKPVANGADGKRDGLALTLRGSVFNNGSITDMDLDSSSVSSKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVDYFLGDFCKEINTHNPLGMKGELAYAFGALLRKLWAIDRTPVAPRQFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSKAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICKKVSVTFDPFMYLSLPLPSTTMRTMTITVFSTDGTTGPSPYTVSVPKSGDTRTLINALSNACSLRDDERLLVAEVYNNSIIRYLDEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFRSQRMESSISSFGRKSWKTFGTPLVSSLPDDTVTGSTICNLFLKVMTPFRVSNDDVSDADQRIGESSLANDTADTNMSTDTSEQTSLNNNTLEDETGTEDAMQFFLTNERFPDQRMKIEMDQPITVKGPLKRLHVVVCWQDDGLEQYNLGSLDSLPEIYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETFVDFPIHDLDLSKYIGHRCQQVPHNYRLYAISNHYGNMGGGHYTAYVYDERKKGWYDFDDRHVGPITEDSIKTSAAYVLFYRRIQEDSLVTGTDTDSDVPT >PVH63236 pep chromosome:PHallii_v3.1:3:63238913:63246372:1 gene:PAHAL_3G502600 transcript:PVH63236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNSSLTEDGSVNIYPIMLRVSVTQDNALTVKIGKKDNSSENFRRANKILTADSEPVHIWDFSGRTTYILMNAWNQMPHDSKSADHEMPLEIHIYDLSKPVANGADGKRDGLALTLRGSVFNNGSITDMDLDSSSVSSKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVDYFLGDFCKEINTHNPLGMKGELAYAFGALLRKLWAIDRTPVAPRQFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSKAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICKKVSVTFDPFMYLSLPLPSTTMRTMTITVFSTDGTTGPSPYTVSVPKSGDTRTLINALSNACSLRDDERLLVAEVYNNSIIRYLDEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFRSQRMESSISSFGRKSWKTFGTPLVSSLPDDTVTGSTICNLFLKVMTPFRVSNDDVSDADQRIGESSLANDTADTNMSTDTSEQTSLNNNTLEDETGTEDAMQFFLTNERFPDQRMKIEMDQPITVKGPLKRLHVVVCWQDDGLEQYNLGSLDSLPEIYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETFVDFPIHDLDLSKYIGHRCQQVPHNYRLYAISNHYGNMGGGHYTAYVYDERKKGWYDFDDRHVGPITEDSIKTSAAYVLFYRRIQEDSLVTGTDTDSDVPT >PVH63237 pep chromosome:PHallii_v3.1:3:63239083:63244496:1 gene:PAHAL_3G502600 transcript:PVH63237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPDQPPPAAAGDTDAPDPDPAPPPPPPPPPPPGPAPADEEDDRVFLVPRRWWKEAQDGAAIEAAGLPYAAAPAGPTSYGMRVLSIFVSDQAYTLRRADDLLHQQAPGASQGRSYALLAADLFTKARDWHIDSAKSMGKNSSLTEDGSVNIYPIMLRVSVTQDNALTVKIGKKDNSSENFRRANKILTADSEPVHIWDFSGRTTYILMNAWNQMPHDSKSADHEMPLEIHIYDLSKPVANGADGKRDGLALTLRGSVFNNGSITDMDLDSSSVSSKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVDYFLGDFCKEINTHNPLGMKGELAYAFGALLRKLWAIDRTPVAPRQFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSKAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICKKVSVTFDPFMYLSLPLPSTTMRTMTITVFSTDGTTGPSPYTVSVPKSGDTRTLINALSNACSLRDDERLLVAEVYNNSIIRYLDEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFRSQRMEKYVKSSSYNKINIFDT >PVH61389 pep chromosome:PHallii_v3.1:3:1176392:1180401:1 gene:PAHAL_3G021600 transcript:PVH61389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGTVRACAPPAAVGAASAAPSTRDAAQTKRSSSRTARVLVLGGTGRVGGSTAAALSKLRPDLSILVGGRNREKGESFAAKLGEQTEFVQVDTRNATMLEKAVQVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHAARSENNEPERLRFFYYTAGTGGAGPTILTTSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANILPVELLRDKNKVQKLVESIDPLVRAVDGIAGERVSMRIDLECSNGRNTVGLFTHKKLSVSVGYATAAFALSVLDGKTQPGVWFPEEPEGIPIEAREPLLECASQGTSNFVMNKPSWMVETDPKEVGLGIYV >PAN15943 pep chromosome:PHallii_v3.1:3:1176438:1180056:1 gene:PAHAL_3G021600 transcript:PAN15943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGTVRACAPPAAVGAASAAPSTRDAAQTKRSSSRTARVLVLGGTGRVGGSTAAALSKLRPDLSILVGGRNREKGESFAAKLGEQTEFVQVDTRNATMLEKAVQGVDLVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHAARSENNEPERLRFFYYTAGTGGAGPTILTTSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANILPVELLRDKNKVQKLVESIDPLVRAVDGIAGERVSMRIDLECSNGRNTVGLFTHKKLSVSVGYATAAFALSVLDGKTQPGVWFPEEPEGIPIEAREPLLECASQGTSNFVMNKPSWMVETDPKEVGLGIYV >PVH61390 pep chromosome:PHallii_v3.1:3:1176392:1180401:1 gene:PAHAL_3G021600 transcript:PVH61390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGTVRACAPPAAVGAASAAPSTRDAAQTKRSSSRTARVLVLGGTGRVGGSTAAALSKLRPDLSILVGGRNREKGESFAAKLGEQTEFVQVDTRNATMLEKAVQVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHAARSENNEPERLRFFYYTAGTGGAGPTILTTSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANILPVELLRDKNKVQKLVESIDPLVRAVDGIAGERVSMRIDLECSNGRNTVGLFTHKKLSVSVGYATAAFALSVLDGKTQPGVWFPEEPEGIPIEAREPLLECASQGTSNFVMNKPSWMVETDPKEVGLGIYVKKLNVSMPYVTANSTLLKSPQGWVILLGGIFCF >PAN15944 pep chromosome:PHallii_v3.1:3:1176108:1180406:1 gene:PAHAL_3G021600 transcript:PAN15944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGTVRACAPPAAVGAASAAPSTRDAAQTKRSSSRTARVLVLGGTGRVGGSTAAALSKLRPDLSILVGGRNREKGESFAAKLGEQTEFVQVDTRNATMLEKAVQGVDLVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHAARSENNEPERLRFFYYTAGTGGAGPTILTTSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANILPVELLRDKNKVQKLVESIDPLVRAVDGIAGERVSMRIDLECSNGRNTVGLFTHKKLSVSVGYATAAFALSVLDGKTQPGVWFPEEPEGIPIEAREPLLECASQGTSNFVMNKPSWMVETDPKEVGLGIYVKKLNVSMPYVTANSTLLKSPQGWVILLGGIFCF >PAN22118 pep chromosome:PHallii_v3.1:3:64291257:64293855:1 gene:PAHAL_3G515500 transcript:PAN22118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 28 [Source:Projected from Arabidopsis thaliana (AT3G52080) UniProtKB/Swiss-Prot;Acc:Q8L709] MDCTMTSSILSANYNTILFEFGVILVTSKILHALLRNVYQPRVFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPGTLLDAPTADAVVAYAGILSSSVLVTLFHMPLMKATSGVVHEHSLRSFLGLAAVLANTASPVLTRLTTDLKIAKTAVGRLAVGAGLASDMVTTMLIAVGSMIWRDAGGDGRDSPIVQPVLTAAVLVVVIVSAFVSRAMAEWVGGRNPEGRRMRGFDLSLVALAAAALCWLSSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINFVLSSFVLPLYVSHVCLSLRQTTDDIEVTGLGRTEGFRAFVMELPFPWWKVFFVTVMGTLGKLTGCAAAGLLRGLGWLEALALGMLLNVKGYFHIYCAQAAFDAGIITDKSFMAIIFMVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQDVPTLSYLMEALRWGGGGGELAAYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYQAECGEGVKVRRLLALSSFPDMHSDICICAEDAMAALVLLPFHKAQRLDGSMDAGHFGFRLVNQKVLQLAPCSVGVVVDRGLGKHATREGQAAMREGQGQAVVVVFIGGADDREALTLAALMSKHPGVRLTALRVVQNATAQARAKARTSLFETKASRRGGVGAAAAASSSALGQEEAQMQVDDKFFAEFYRKHVAGAIGKQGGGGGMGYLEKHVADGAELVAVLRGLQSEYRLFVVGRGRDRSSVLTEGLDEWAECLELGPVGDILASSDFSATASVLIVQQYDAKKHYKVIDEEFMPL >PVH62555 pep chromosome:PHallii_v3.1:3:24862453:24865630:1 gene:PAHAL_3G323200 transcript:PVH62555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNPGGPSPDPYGGGGSIHLVCPCCEFGDDYTADDAEDGFFTCRMFSAVHITQATVADPNDFQATGNVSVRRVATQPARFAPVAGAWGEPEDLAARVRWRYVRGLQVFDDMWARQMIAEHDAAGREKRSGCGDNNNKPDELKSEWEDDIFPRQKDRRRVEFAFLRSLRMLLPIYSTLAVCFLACHIAREAILPTGIYKWVMEGKIPFLAVCTEVDRLLGSSLQLQDCPLDARRLFRPDLSLPVDKILPQACRIYEWAMPAELWLSSNPAKVPTRVYVMAILVVTLRVLYNINGQGIWEKICEEGRNTSGSDADANAPTFKKLDDSNSEEFGIRELLCAIADAYDKINVSHDYSSDLRSYLKYCKEVIFTGITVSKEKEHLIEIFSDMYNAREDDNPKEHVKSQSQVNKRCRDGTFVEASCISSSSGHDAMQILVAEMQDHGFHYMPPRKPRKSDGYLRCRRRLSGGFMYVAHADYYMLLRAFAKLAEVDVRIMHISVLKLERGLACIEDRIERSLNTLQNLSSRTRDELKSVSN >PAN19063 pep chromosome:PHallii_v3.1:3:16012881:16013354:1 gene:PAHAL_3G246900 transcript:PAN19063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYYQYVSGGGYSAKEKRPPLKRGQLKRQIVRTISKLVAPSGAGDGGRASNQAVGRKFMRGPSSGS >PVH62847 pep chromosome:PHallii_v3.1:3:53869011:53875686:1 gene:PAHAL_3G415500 transcript:PVH62847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGSGSGGRKGSSPAKPSEGRTSSLLAGLPSRGNFTESDIASSMGSLKVYICLHDTAPPEGQVVKTDTNNILIRALRLNKHKSEAKDVSCKIPGESSRGKRNAARNLDGKNPSKRPNIGSPGGSSAHESSSGFSELTLQSFTVEKLRSLLRERGLSPKGKKDELMARLGEASG >PAN20847 pep chromosome:PHallii_v3.1:3:53869011:53875686:1 gene:PAHAL_3G415500 transcript:PAN20847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGSGSGGRKGSSPAKPSEGRTSSLLAGLPSRGNFTESDIASSMGSLKVYICLHDTAPPEGQVVKTDTNNILIRALRLNKHKSEAKDVSCKIPGESSRGKRNAARNLDGKNPSKRPNIGSPGGSSAHEESSSGFSELTLQSFTVEKLRSLLRERGLSPKGKKDELMARLGEASG >PVH62859 pep chromosome:PHallii_v3.1:3:54290868:54294501:-1 gene:PAHAL_3G417700 transcript:PVH62859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHGNEFLGLANAYALAVCLVQLAKVGDSLIHLQISLYQSCLILRWWILPQALHYYESATYFPSIEIVPTTPISHAGDASLLVTKGQRRLDSSTKPH >PAN16638 pep chromosome:PHallii_v3.1:3:4844206:4852983:-1 gene:PAHAL_3G074000 transcript:PAN16638 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MAAPPHRPLLILLAGLLVVASLATLAEAIYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVISSLDLRSGDIFWRHVIDKNDPLDQLSLSLGKYVLTLSSGGTILRAWNLPDGQMIWETNLQTSTASNPQLHVMSNNKVAKDNLVLVSAGRWIYAVSSIDGSISWEKEFSLDGIEIKQVLQSPENGIVYAVGIAGSSKLALYHLSAKTGEVLKDIQESFPGELSGEIVLGSDNVLVALNKARSSLFLIEFTSGRISYNKVHVSDLVQDLSGTFKLQSLSNGVIALQTPSTVSLLKLKNTDGLEVVQRFDQPAALSDALTIAEKDEAFAIVQHMGSQIEFVVKFTSDASSAMIREKVNIDQHRGNVEKVFLNSYIRTDKSHGFRALVVMEDHSLLLIQQGEVVWSREDGLASIVDVTTSELPVEKDGVSVADVEHNLFEWLKGHMLKLKGTLMLANADEVAAIQALRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLPSLRASRFGVMPSALRIYQWQVPHHSVMRENPSVLVVGKSGAESSAPGVFSILDSYSGEELNAMRLDHSVVQIIPLTLKDLSEQRLHLIVDSNSNAHLYPKSPDALDVFLHEMPNLYFYSVDILTNVIRGYSLQKSCNIEGDEYCFSTKELWSIIFPSDSERIAISETRKMNEVVHTQAKTIGDHDVMYKYLSKNLVFVATVSPKAAGDIGSALPEEALLVAYLIDAVTGRVLHRVTHHGAQGPVHAVLSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYARPEVVVKSQSYFFTHSVKAMAVTQTAKGITSKQLLIGTISDQVLALDKRYLDPRRSANPTQQEKEEGIIPLTDSLPIIPQSFVTHSHQVEALRGIVSIPAKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAVLVAAIIVTWIWSEKKELRDKWR >PAN17349 pep chromosome:PHallii_v3.1:3:8055763:8060421:1 gene:PAHAL_3G124300 transcript:PAN17349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARRRLLALASQLQRRAPAPPATQPWRHATPRFLSSAASGPLDRLKTPPLARPAARNPASSPWDRFGGGQKRTMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPLFLDSNSAAAMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDGDEEAELAGAGQME >PVH62223 pep chromosome:PHallii_v3.1:3:15589368:15590982:1 gene:PAHAL_3G240400 transcript:PVH62223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGPSSPDSIGRCGARLRRYFYTTLSTVPEIQYYTLLRCLVHWLAVRLATTTRPAPIALLLPWRGSSDDHGDGVAFRPPPALARGAGLGGRGLAAVHHRAAARRAGAAGQQPGVDAGDVEPVPAPREHAHLLAVRELAQADGAHLFLTGIIASLHAASAVNLHGDAPQRALLDPADAGRAARRRRRPLATAAASGVPGRAPAPAPERAPRHRVEPDGEQQREEERRQDDHHVGVEARVSGPRRRRRGPVAAVAVRGPPRRGRCRRARLRVPTVHGTSCSLSLDHARTLSRHARHECSSPGRGGSKRRISRGGDRVARFRGVLLRGCACKGTIPSCAPPHPALSC >PAN15828 pep chromosome:PHallii_v3.1:3:1376211:1380354:1 gene:PAHAL_3G024800 transcript:PAN15828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G21640) UniProtKB/TrEMBL;Acc:A0A178V6X3] MAVVEEDAPPAAAASASDPAAGSSDNEITVEEASFVHTEPPQDGSAPPVVSSDMEVLHDKVKKQVIKEGHGKRPLKFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMSGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIAAADRRKIEGNEYFKEKKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLNRFDEAIVQCSIVLSEDESNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKEILRELRLLAEQDKALYQKQKELYKGLFGPRPEVKPKKANFLVVFWQWLVSLIRYLVRMFKRKNE >PVH62330 pep chromosome:PHallii_v3.1:3:17600654:17603053:-1 gene:PAHAL_3G264900 transcript:PVH62330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASIELELPPLKSSPPPSSSHRDLHGESSSQIHAPRAPSTNAATGSELEAATTLLLPPWRCPAPPRLTCGGSWGLSAVGAWDERKGGSRVWGEEARHQEGGPRLPHRHAVSHSFLIDRMTHMVVGALLLHLGCRRQARGAPAPPPALRCGGREGIGVTRRGVGRPVAVCDDGQPPAPPRGGREVRLGRRSRGRLWGGVWPSGKRVLSDWGGAEGGRRGEARRPPRRREHGGGGGLELKLVAPASSQYAEGRGAQRRFPLNPPETSSAGAGGSKDRPLQRLRRGPRHDAAAKAKPAAASGSPWYGRDRVLYLGPLSGEPLLYLTGEFPGDYGWDTAGLSVDPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEWYRVAGGPLGDVVDPLYPGGSFDPLGGSPTTRRNSRS >PAN21806 pep chromosome:PHallii_v3.1:3:62173425:62178755:-1 gene:PAHAL_3G489900 transcript:PAN21806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAGGARRAGGGGDLPLRPPEPPRDPLEFLSRSWSASAADVSRALAAPPAPAPALAVAAGAGAAIAEDVAGELDCDGASSGGPASGSPFSFASAATSQLIMDRIMAQSQELSPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDTKFCRLASTPKPQPYARGGSKTVGRWLKDRREKKKEETRAHNAQVHAAVTVAAVAAAVAAVAAATAAASSGAGKDDRGARTDMAVASAATLVAAQCVEAAEAMGAEREHLAAAVGSAVNVRNPGDVVTITAAAATALRGAATLKARVLKEVWNVAAVIPVEKGSVAGGGGGGHRQHGHKDNSQLKHHQHLQQQHQRELESSNSSSSCFSDELVLAEENNFLGICTQELLARGTELLKRTRKGSLHWKVVSVYMNRMGVVMLKMKSRHVGGTITKKKKSVVVDVCRDVAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECGGQREYEMWTKGVARLLSIADGRKRAV >PAN15904 pep chromosome:PHallii_v3.1:3:1019840:1031859:-1 gene:PAHAL_3G018700 transcript:PAN15904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGQSAAPLLEEIEKHTAGWRRTYAVEGCPGCAVDRRKAANPGIPYGSFIYVWIVTLCTALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRSLTSTAWGIAADRIGRKPVVMFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAENFPNIFSPDSFFGRFPYFLPCLCTSVFASAVLISCIWMPETLHKHKVSENENQNIEALEAHLIDPKEKVEESGSLDTKKSLFKNWPLISSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKILGPINSSRIAAILCIPILFAYPYMTYLPEPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDRMVFFLLNVIELLGLILTFKPFLAVPEQCNRN >PAN15902 pep chromosome:PHallii_v3.1:3:1020137:1029896:-1 gene:PAHAL_3G018700 transcript:PAN15902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGTWPLLKEEYHPGCPGCAYDRRKDLLRGMPYKEFLYVWMVSLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRSLTSTAWGIAADRIGRKPVVMFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAENFPNIFSPDSFFGRFPYFLPCLCTSVFASAVLISCIWMPETLHKHKVSENENQNIEALEAHLIDPKEKVEESGSLDTKKSLFKNWPLISSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKILGPINSSRIAAILCIPILFAYPYMTYLPEPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDRMVFFLLNVIELLGLILTFKPFLAVPEQCNRN >PAN15901 pep chromosome:PHallii_v3.1:3:1019844:1030566:-1 gene:PAHAL_3G018700 transcript:PAN15901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGTWPLLKEEYHPGCPGCAYDRRKDLLRGMPYKEFLYVWMVSLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRSLTSTAWGIAADRIGRKPVVMFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKVSTAWGIGLIIGPALGGYLALPAENFPNIFSPDSFFGRFPYFLPCLCTSVFASAVLISCIWMPETLHKHKVSENENQNIEALEAHLIDPKEKVEESGSLDTKKSLFKNWPLISSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKILGPINSSRIAAILCIPILFAYPYMTYLPEPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDRMVFFLLNVIELLGLILTFKPFLAVPEQCNRN >PAN15903 pep chromosome:PHallii_v3.1:3:1019844:1031859:-1 gene:PAHAL_3G018700 transcript:PAN15903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGQSAAPLLEEIEKHTAGWRRTYAVEGCPGCAVDRRKAANPGIPYGSFIYVWIVTLCTALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRSLTSTAWGIAADRIGRKPVVMFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKVSTAWGIGLIIGPALGGYLALPAENFPNIFSPDSFFGRFPYFLPCLCTSVFASAVLISCIWMPETLHKHKVSENENQNIEALEAHLIDPKEKVEESGSLDTKKSLFKNWPLISSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKILGPINSSRIAAILCIPILFAYPYMTYLPEPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDRMVFFLLNVIELLGLILTFKPFLAVPEQCNRN >PVH62049 pep chromosome:PHallii_v3.1:3:12634058:12634722:-1 gene:PAHAL_3G192800 transcript:PVH62049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPWSQGKRRGGGLSRVLREQKARLYIIRRCVVMLLCYHD >PAN21668 pep chromosome:PHallii_v3.1:3:61566958:61584391:1 gene:PAHAL_3G482500 transcript:PAN21668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAEALRARLLAALRPWLAADPAELRVEPGLLARSRAVARGVELDAAALNAAAAGAGEPTPWPATIDHAAAAEVELAASPWAAPAVDAVVRGVDIALTLREPAPKKQQPDYKEWVSKEKKRVLASLDPQGEMLHEMIEGVVNSLEDKFASVFASVLLDCGQVRFDDVTIQVRYLDDSHVFVLRMTDLRFGPELVFRSSLFRGLVGFFISSRKKNSLLVRCSEFEFLMKENDWVDCSASFTGISALVRLDNLQLAGFGIHVRKACWEISPKFAPSLMVILDITSQKEEFGIRNGRELWKITAQKLHSSAVRRRFSLSKAVSCAAFWRRYVHAYVLLLALVGYPSDRIITRNCSRGSRSRKLWSTVKDQWKTVTDLEEKIPVEAIARARCAARSNLTVSEQPTKQESSKAFLVSSLLKILTSFLYLWKFLVYTWRSVWATVGSGNKASYAYIFPVSTHDVDTELQLSVHLGELSVTLLPVADRSTDAKRSDKRNKTYHIDLPANIVMRSSCLLYSAGRTRKSVFFVVGELKTCLSGVPKLLQADISNSPRRSSSFGTAEFTEDADSRIILWSDSASMDLFSRQQADGSFYCSDDLSTDLIKSNMDELWSNWMTVSNLYNESGVIHHAKPSVIFEFKYFLIDPYKCISGFQQCRFTVGRLNLDLDYLCASSTYLLYRQFMHHKQPKELTGRSADLSNNAGTYVARTSGLVDKLRSFDHRMKVAMLDVLPANTLQIVALAAGPSVRLFFDKYNTLQNSKDVYNPLLSQMNSKSCIVFNLAYVECALWPASLSSPTLPSANSHAKESRSTFISVKEAKEHQQLQTKSSARNVYPGYIVLDAWFVFAGVTLLIDNPEANQQSHIFGPMSANLQISTNRKYFYSFFGVRDVISNNLGARIAGCIGFFCMDELLIVCQLIESVHLEVLESDLGNIKYSEDFIGRLASFYKNDIKGSIMELVEHIAQEDKVDPYVELSVEMQLDLESAYIIFSASRDILFTNPALFINSFVNYISSSPVFEGTATQELLDVLTLGVGFCIRSSSVKLLLNGQCTDFHFSLSGIQCVALENQGQMGIYNDIHQHGDISSGTLHSENQLIVSDCTFNISVDPMNVNLIDEKLQDESRSCCISSLGILYSIKIEFTEVYVGDYSIHSYLSELSQRRKHKISLLIHDDLQVVKCKIEGGLIFLETISLAKLVLCCEVYFWLLVNLPLRATSNLVKDSVTPISAGGNYIATNRDSEREAAAVPLGANVQIGESQLNAIQCLDIELSCLSLTLVIADKSGTHQGLTFEVDASLQQINLGMKFLFEVKRLSISTISSIHKNANEQLRDIPAPRFRTSKAADLSPQSEIQEYLPFVQADNMDTYDHDAPSSSTSALGSSTGNRSLDFSSHENQVLKHFSTFLKIERKNFDGDSSLVHLSGDWSGSGSVSGLEVAMSLSNIEMVSSLLAPLHGIMSSGSTQKEIQSGGTTHQTLLDNMDYTIPDGAIVAIRDLNQQMYVSVKNTGNTYQVVGAYHYSLAGEHALFKVKHHKRWRSNAQCISLLSLCAKNEGKELALSFSQGSDFVEISSYVDKPCSIWSTLPFRIDNFDDDGDDAKSYKVIPRSSYHLVNKKNNYGIAFVDGLLEFVKKPGNPFKVQVFDESIFSDVARLIVPHMNLDNNTYLEVEDDVPFSVRDRLASDASSQHVIISVDKIVFTITNEVFDTDNVFPLVQTCISDICVVTQIFPSKIRILSSFKVSGQYFNARRNLWEELISPIASYTFFRSRFFTTDPVTEYGKMPIRFFFHLKQVDILINELSVDILLYLVGKLDLMGPYAVRSSAIFPNSCKIENGSRLALVCNFKDNGDAIVPGQQSISVFLRHFTFDDNISHDQNVVSICLFKEGLFSTIPISISLHESGVFAWRTRISPVKDLRSFSGPFVVVKVSQNSEEGLSLSVQPLLRVYNKSDFPLELRFQRPNKTNEEAAFVTVRSGDMVDESTGVFDAMDLSGGSKRALMSLALGNFMLSIRPEISQYSENISQPSSVNWSEDITGEKAIRISGVIEKLNYNLRKAFNVDSMKSSFSSLSCPVFVDGHHVTDLHFLIHTLGRDVPVQPTNGTRLSERSSPVTLQVQREIFIYPTVQVHNFLQTDIHVVLTDCQQGNAVEDNFGSIGKQATISSGSSAYFYVNPSLFNFSVTLISYGSKSMTVSSSEWVKRMQKQTSRAQFLDMLLDFVPGKFHSSLRLLRQEKGLLEVALFTRYTLHNTSDYPLQFTSAHQKALPASESGMNNINLPPQHGCILPSMSMSSWFIKSSKFRISLHSEKGSEAIIDLEALSGFTEFFLEIQDNIVPRRMAAFGLSLQPVMYNLPVPSQVVLIVPRYVVSNESGDAIAVRQCFVEHEIDGLTIEAKQRATLQTWKPGKKREINYFDLFVKKHRNVFEDSHIFIQFCPKEPGFGWSGPICVSSIGRFFLKFRRSDRMVTDGIKRDPINDGKLKLFASVDVVQETTSFVLHFTKPPKVTLPYRIENYLNEASIMYFQKDSVESDVLCPQESEQYAWDDLSLPRKLVVRIVDTPALREIKIDKISPWKPFLKMRQNSRLNLDFSFSDGLSSRKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHADNPKIEKIRRPISSLQFRISYVCIHLIDKGQSGENVQLQSTILTARLQHVSADSVVTDSFKHVSIAIHSVNVDEKWDGASFGSILRRNKLQDAALNENILRIVFVLNSTNNSVKQIQYCSIILQPVDLKIDEETLMKLVPFWRASLAPSGTPSTQFYFRHFEVHPIKIIASFRPGSRCTTYSSAQDALRALLHSVIKVPEISNSAVELNGVLLNHALVTFRELLLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVLDVFFDPSDGSLNVPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAITEISDSVVRGAETNGLNGMVTGFHQGILRLAMEPSVLGQAIMEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRVRVIDDQVILKNLPPNSALINEIVDSVKSFLVSKALLKGDSSTLRPLRHLRNEREWRIAPTVLTLCEHLFVSFAVRVLHREASKAIAGVMTRAKKPTTGGEGEGDPSSSGGVLSKPNRLWTVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIESKGNE >PAN16827 pep chromosome:PHallii_v3.1:3:5763091:5763954:-1 gene:PAHAL_3G089400 transcript:PAN16827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDATGGEKKTSWPEVVGLPAEEAKKIILKDMPDADVVVLPAGSPVTMDWVSNRVRVFVDTVAQTPTVG >PVH61551 pep chromosome:PHallii_v3.1:3:3683879:3688414:-1 gene:PAHAL_3G058500 transcript:PVH61551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGFMGPPPVPRSPEDVFRDFRARRAGLIRALTTDVEKFYVMCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDSWLLSVAFYFGARFGFDKESRKRLFTMINNLPTVYEVVTGTAKKEPKDKTPKNSNKSNKTGSKPSRQSEPNSRAPKMPPSKEEEESEGEDGEPQEDHESTLCGACGQSYDDFWICCDLCEKWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKAGV >PVH61550 pep chromosome:PHallii_v3.1:3:3682765:3688350:-1 gene:PAHAL_3G058500 transcript:PVH61550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGFMGPPPVPRSPEDVFRDFRARRAGLIRALTTDVEKFYVMCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDSWLLSVAFYFGARFGFDKESRKRLFTMINNLPTVYEVVTGTAKKEPKDKTPKNSNKSNKTGSKPSRQSEPNSRAPKMPPSKEEEESEGEDGEPQEDHESTLCGACGQSYDDFWICCDLCEKWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKAGV >PVH61445 pep chromosome:PHallii_v3.1:3:2178803:2179600:1 gene:PAHAL_3G035400 transcript:PVH61445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSYELVQKGFHLTCTSMFHVDLLLLFQMFADNNLEVHRESLDAIPEQLSTPSRIF >PAN18067 pep chromosome:PHallii_v3.1:3:11585070:11595121:1 gene:PAHAL_3G175600 transcript:PAN18067 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAVLSLTCAGLGAAKEDDDGAVIGYVKSDHCLDNLKDLQRFLRRDDPQRREVFKQVCRWKIASRDLVPIIENYQTDRNLVITAVKVLVFLTMPVDPSSEDVAQQIEYLWDLKAALTRNVAVTVIVSLLEDPLDRLERTLFTEDDWKLVQLVLTLFRNILAIKEITLPQKASGEATHLLFLADSFLELMFQENVMDLILVLTQHMDEPSGYLKEENLLLMEIYHYLFLGRDPGLIARASNKGSKEQVNADIGSSVDSLKLMMEEEERKKRMFRQRNLEHNSLSGTFTCFSVDGSKSLCKGNPSSTPANSLLKIRNVQKGPQKRIAWDNELLYIPKEGITEMLRSFLDQFLSGAYNILMQSVCDDIKNEHHSIEKSDISAFFKVARFVLAFQHEKASNDQKSVKEIQPSEVSPSNGHDDNLPFHGDICGPVAATLNEDMFNIVISRWREARESLKETNDYNTLSAAGSLMKTMIDMIYLVLKVLPEDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDSHKQPKSDLADLLETIHIMLQLMEKLQARGALRVAKRTKKGRKKKERNDKNENSEPKAENVEPNCADPSDGTKCTPESVPDLRTEDPAVEPYPPEQGEVNASGAHVPDTLVDTAVNMESTANAEGDPSCTDGVMKTNLIDEEGEASDSSIDDHQPATSEVDFNVSRLISSLANNSVVQNVCWLLKHYKSNSYRTNHYIICMLRRFCEDLELSPMLYQLSLLTTFYDILAEQKLSSSKEYTNIVNFLSKVVRKMLRAMRKQPLLFVDILFWKTRKECHCIDADALLNELKKDAGNKNGEIGSSKGWGGPINIADSLGDDEADLVIPQAPYDADKDGDLSAGEREDDFQKSSTTYKRSRLMSLSDSEAEENERNHVSRGSLNSEVPKRRGRSIFTEEQERLIKDLYEKYKDDRKCSHLIAEALDPTGKISSAQISRKLTQLGLRNATRRKKVADGSLSSGDLATESQNDSLDEHNHDPKPKSSRTRRKRLHGSNWGHDDTSHGRSSDEETLQVLKSRAKNKKLPLVDSSLSASQHQEAQHDPDSDDATIGSMIRSGKKKRLVNSNFSANMQEGQESPRNIGLHDETIASNIMDASPIHGPDAVDNSGNTREAELLDDFEVELNNHENTDQGITDDLNITESGDATNSEANQRAGLKRRHRLVIDDDDDE >PVH62003 pep chromosome:PHallii_v3.1:3:11585070:11595121:1 gene:PAHAL_3G175600 transcript:PVH62003 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAVLSLTCAGLGAAKEDDDGAVIGYVKSDHCLDNLKDLQRFLRRDDPQRREVFKQVCRWKIASRDLVPIIENYQTDRNLVITAVKVLVFLTMPVDPSSEDVAQQIEYLWDLKAALTRNVAVTVIVSLLEDPLDRLERTLFTEDDWKLVQLVLTLFRNILAIKEITLPQKASGEATHLLFLADSFLELMFQENVMDLILVLTQHMDEPSGYLKEENLLLMEIYHYLFLGRDPGLIARASNKGSKEQVNADIGSSVDSLKLMMEEEERKKRMFRQRNLEHNSLSGTFTCFSVDGSKSLCKGNPSSTPANSLLKIRNVQKGPQKRIAWDNELLYIPKEGITEMLRSFLDQFLSGAYNILMQSVCDDIKNEHHSIEKSDISAFFKVARFVLAFQHEKASNDQKSVKEIQPSEVSPSNGHDDNLPFHGDICGPVAATLNEDMFNIVISRWREARESLKETNDYNTLSAAGSLMKTMIDMIYLVLKVLPEDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDSHKQPKSDLADLLETIHIMLQLMEKLQARGALRVAKRTKKGRKKKERNDKNENSEPKAENVEPNCADPSDGTKCTPESVPDLRTEDPAVEPYPPEQGEVNASGAHVPDTLVDTAVNMESTANAEGDPSCTDGVMKTNLIDEEGEASDSSIDDHQPATSEVDFNVSRLISSLANNSVVQNVCWLLKHYKSNSYRTNHYIICMLRRFCEDLELSPMLYQLSLLTTFYDILAEQKLSSSKEYTNIVNFLSKVVRKMLRAMRKQPLLFVDILFWKTRKECHCIDADALLNELKKDAGNKNGEIGSSKGWGGPINIADSLGDDEADLVIPQAPYDADKDGDLSAGEREDDFQKSSTTYKRSRLMSLSDSEAEENERNHVSRGSLNSEVPKRRGRSIFTEEQERLIKDLYEKYKDDRKCSHLIAEALDPTGKISSAQISRKLTQLGLRNATRRKKVADGSLSSGDLATESQNDSLDEHNHDPKPKSSRTRRKRLHGSNWGHDDTSHGRSSDEETLQVLKSRAKNKKLPLVDSSLSASQHQEAQHDPDSDDATIGSMIRGYPHQSLKGMYKTIKNLQTTQT >PAN18066 pep chromosome:PHallii_v3.1:3:11585340:11593610:1 gene:PAHAL_3G175600 transcript:PAN18066 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAVLSLTCAGLGAAKEDDDGAVIGYVKSDHCLDNLKDLQRFLRRDDPQRREVFKQVCRWKIASRDLVPIIENYQTDRNLVITAVKVLVFLTMPVDPSSEDVAQQIEYLWDLKAALTRNVAVTVIVSLLEDPLDRLERTLFTEDDWKLVQLVLTLFRNILAIKEITLPQKASGEATHLLFLADSFLELMFQENVMDLILVLTQHMDEPSGYLKEENLLLMEIYHYLFLGRDPGLIARASNKGSKEQVNADIGSSVDSLKLMMEEEERKKRMFRQRNLEHNSLSGTFTCFSVDGSKSLCKGNPSSTPANSLLKIRNVQKGPQKRIAWDNELLYIPKEGITEMLRSFLDQFLSGAYNILMQSVCDDIKNEHHSIEKSDISAFFKVARFVLAFQHEKASNDQKSVKEIQPSEVSPSNGHDDNLPFHGDICGPVAATLNEDMFNIVISRWREARESLKETNDYNTLSAAGSLMKTMIDMIYLVLKVLPEDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDSHKQPKSDLADLLETIHIMLQLMEKLQARGALRVAKRTKKGRKKKERNDKNENSEPKAENVEPNCADPSDGTKCTPESVPDLRTEDPAVEPYPPEQGEVNASGAHVPDTLVDTAVNMESTANAEGDPSCTDGVMKTNLIDEEGEASDSSIDDHQPATSEVDFNVSRLISSLANNSVVQNVCWLLKHYKSNSYRTNHYIICMLRRFCEDLELSPMLYQLSLLTTFYDILAEQKLSSSKEYTNIVNFLSKVVRKMLRAMRKQPLLFVDILFWKTRKECHCIDADALLNELKKDAGNKNGEIGSSKGWGGPINIADSLGDDEADLVIPQAPYDADKDGDLSAGEREDDFQKSSTTYKRSRLMSLSDSEAEENERNHVSRGSLNSEVPKRRGRSIFTEEQERLIKDLYEKYKDDRKCSHLIAEALDPTGKISSAQISRKLTQLGLRNATRRKKVADGSLSSGDLATESQNDSLDEHNHDPKPKSSRTRRKRLHGSNWGHDDTSHGRSSDEETLQVLKSRAKNKKLPLVDSSLSASQHQEAQHDPDSDDATIGSMISGKKKRLSTSEFEGNVQNHKESSNNTNVKDSSPRVSQHKETARQLS >PAN21279 pep chromosome:PHallii_v3.1:3:59106181:59106420:-1 gene:PAHAL_3G454900 transcript:PAN21279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRQKNTGASASAMAAALLLILLLSVGLPAAAAAHPTRGDDVQDPRPQPLGVYPPLKGHLPPARRAYAAATSAPPGGV >PVH62103 pep chromosome:PHallii_v3.1:3:13386677:13388975:-1 gene:PAHAL_3G206400 transcript:PVH62103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRSISLMHHEWIKVTFRPTKHQPPSTVEPRRYRGKRKQTEKRNHSAPPQNKEETQVAAQLGSRTTGARHLSATAPAAARKATASLGLRGRAKTVVAAWGRGTRCEEEKLGNLRSRARRTNVPPPPPPPALWPLGSGRARQRKLRYRPGEESKRAPGVFAVIRRRLAFVARLSASSQD >PAN21905 pep chromosome:PHallii_v3.1:3:62889655:62894809:-1 gene:PAHAL_3G498600 transcript:PAN21905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVPNGHAAADAAPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRCNTSLLIDYCQDEGAHKYIIIDVGKTFREQVLRWFVRHKIPCVDSILLTHEHADAILGLDDIRVVQAFSPTNDIDPTPIYLSQFAMDSISLKFPYLVKKKLKEGEEVRRVAQLDWRIIESDLQKPFTTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEYAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAVKRICPRRALLIGMTHEMDHDKDNQTLEEWSRREGIDVQLARDGLRVYIDL >PVH62190 pep chromosome:PHallii_v3.1:3:15115945:15118575:1 gene:PAHAL_3G232600 transcript:PVH62190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHFNRCSVHMMYHAKNFSVPFAPQRAQNNEHASNIGAISGANISNPANPVGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSGDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQMIIEEQQKLGGSIKASDDQKLSHSPPSLDEYPESTQPSPKKPRVDALSPDSKRDTTQAEFEPHLIGPWDQEICRKNLCGVAFPVEEFKADPGMSKS >PAN16859 pep chromosome:PHallii_v3.1:3:5898901:5899233:1 gene:PAHAL_3G091900 transcript:PAN16859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFAPAGGMDSPEIFACTFSCCYDRFSILCAVLRSSARGCGPSLGLPTPPPFLWDRTRELDWNRADGELYRLMAGAARASRGVSGRETPRQHATPSPARPIPAATAGG >PAN17616 pep chromosome:PHallii_v3.1:3:9282363:9284684:-1 gene:PAHAL_3G144100 transcript:PAN17616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAATRLVLLVALVATSAWELPPSLRMATAAQAVEQAAAAVAIHALRPLVGSAGDLGRRGGVSCDSWRLAVEAHNKRDWRTVPADCEGYVGHYMLGGHYRRDSRVVVDEAIAYAEGLKLGGGGKEVWVFDIDETSLSNLPYYATHGFGTKPYNATSFNEYVVEGSAPALPETQRLFRKLIALGIKPVFLTGRTEDQRAITVANLRRQGYSGWDKLLLKPVGFKATAIAYKSGERRKLQDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >PAN15956 pep chromosome:PHallii_v3.1:3:1241618:1242384:1 gene:PAHAL_3G022500 transcript:PAN15956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVARAPGGFLMPHQMYHERRPTNPALAAAGGGPRRIRMRTPHEFYDGTMVATPSVHVDDDDSPPASFTAWFPSGGFRGVPAAASAVAGLQETTVAAGGDAEETCCAVCLDGYAAGDALRAMPCAHAFHEGCIVEWLSVSSFCPLCRFRLPTQAEEDAAGQHQQAARLG >PAN19890 pep chromosome:PHallii_v3.1:3:21341234:21342499:1 gene:PAHAL_3G305800 transcript:PAN19890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKDAVATGNTTASGAAGKLLRRKSSVSTGQGHTSSSSSGSTAVAVKDVVKEPAAGDGEANKATGVVEAASAEKPAPAAVEEKKGNDDSVRERAAGEAKAVDILVISPEEPAAAATVGGKKEPKKDGEVAKKDVAVDVAVAAAAVSTTAAEVAAAPAPVAEQAEKVEDEEQLPASTMAEEAPVEAADTVEEEKKVEKAEESKPEEEEKATSPAPTKEDGEPSAGKQNTMEAKPVEEDKAEDAAVVPVPSVEEEKKNNANDEHTAAAAASEPPAN >PVH61925 pep chromosome:PHallii_v3.1:3:10230568:10231500:1 gene:PAHAL_3G159200 transcript:PVH61925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFVQDQLSAVVSSRQAHECTMEGTSTTRYFSSPTETPAASVSGTPALDAKSAHVGNSTAPSGIWEKGKRLAPALDLLCSLSLASGRRRRRLARSSCCFRIRVFSLFTPLPPPPVRRCLARSSNSSSGRSGTGLASGLAGTSRKVTAVAEEGCCMEQVKWP >PAN17234 pep chromosome:PHallii_v3.1:3:7345447:7351983:-1 gene:PAHAL_3G115200 transcript:PAN17234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVPWKRVELVALVLYALGFYLVVIRRSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPILTVVMGAFLIVVNTLRYCHGLKGQGTALLWLILSLSYLYYLHGACVVFILLISLINYSIVKLFAHYKYCTSLIWSFNLSVLILNRVYEGYSFSSFGENLAFLDNYRGTFRWHICFNFVVLRMISFGCDYCWTIHSSHFDHKKHMQRCQVCYSGKTCYFALQERGLNVDRYTFLMYLCYLTYAPLYIAGPIVGYNAFAAQLEVPQKNYSFTQISWYGLRWILNFLLMEGMTHFFHYNSFVVSRLWQNLSPFEVFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGSRRKLLSIWVIFTFVAVWHDLEWKLVSWAWLTCLFFVPEILVKSLSNKFQASSSLGMLVHREFKAIAGAMTISCLMVANLVGYVVGPSGIKVLISKMAGKEALPALAFIFTTFYVGVKLMFHIREASSSQG >PAN20739 pep chromosome:PHallii_v3.1:3:52602333:52604319:1 gene:PAHAL_3G408600 transcript:PAN20739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEDKAIASRVTSPSPKSMVSDSDPSRMMMVSSNGEHSQTNGQANAVIGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRMHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNHPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSLGRRLADPPCYANSGNVGQFPDNQNEEEAIVYTGTSRNECGGRPTVNQMYCYNTFQTNREPSKVFYTVADGNCGMSSRTHNLSCGLSESPETDQGLTNERSWWVRPGDLQGLKGQLIRLFELSGGCVPLVRIPSEYLKLFGRHLYVSEYGAVKLVHLFEKLADCFVVIGKGQRKMICLRNSGDRNLKRYPSTPIILKKEKRGSSALEESTIGACQQLGSSSDDLSEDEQNINTDIDGAYVFDDHLDSFRREIQELLVCYSCPVPLGNFENLYEQRYKKTIDYESFGVAGLEELVEKVKDVVDLHEDHASKSKFLIAN >PVH63307 pep chromosome:PHallii_v3.1:3:64525989:64536274:1 gene:PAHAL_3G518200 transcript:PVH63307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEPSPSPSPLPLSPAKHSRSPDDAQPDASPKRRKRHHHRRHHHHRRHRHADSPVAVAADDEVEEGEILDDAAAAMDVDADSAPPQASLVPERLNNGADTDSNADATKLQASALPTLPSSKDGRKSLNSAPESESGGILSSDAEDTKGYEQSQSLSKSPKSRKEKERRHRDERHSSSSKDYHSKNHSRTSPHPRHHSEAHPRDHSRSREKSDGANGSRASLRDGSDHDSNDRNGKSGRHTTSRQENERERGNIHLVHDRPGDRHGSQERYRDVRIDRDKVDSLEATRRLRERSRSRSRSDLRERARVRDQSRERERQSGSSRHKDHERKRDTSKDRHRESDRVDSVRERERVRDDRDRDREWHRVKGSETRRAREGRDNVTDIERHRDSTRSRYSTSEGYKERARSREKDRDVDHKSRRSEEMKENSFNKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRRQQSQKQHMESKLSSNNEEVRAMDGDETTHLKDDNDSSSTGNYEAENKHDSSEVFAGKADFTVGKSPAHNDTLVSTGALSDERMIGVAGLGEGSPKSERSADMFCDDIFGESPAGIRKSGKDDGLHIERNALHDNWDDADGYYTYRFGELMDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNDTMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNLNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNAMLRLHMELKGPFPKKMLRKGAFTVQHFDQDLNFHATEEDPVTKMAVRRLILNIKPKDIGSLISNFPGEDPKMLSSFKDLLDKIFILDPEKRITVSQALSHPFITGK >PAN22143 pep chromosome:PHallii_v3.1:3:64525989:64536274:1 gene:PAHAL_3G518200 transcript:PAN22143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEPSPSPSPLPLSPAKHSRSPDDAQPDASPKRRKRHHHRRHHHHRRHRHADSPVAVAADDEVEEGEILDDAAAAMDVDADSAPPQASLVPERLNNGADTDSNADATKLQASALPTLPSSKDGRKSLNSAPESESGGILSSDAEDTKGYEQSQSLSKSPKSRKEKERRHRDERHSSSSKDYHSKNHSRTSPHPRHHSEAHPRDHSRSREKSDGANGSRASLRDGSDHDSNDRNGKSGRHTTSRQENERERGNIHLVHDRPGDRHGSQERYRDVRIDRDKVDSLEATRRLRERSRSRSRSDLRERARVRDQSRERERQSGSSRHKDHERKRDTSKDRHRESDRVDSVRERERVRDDRDRDREWHRVKGSETRRAREGRDNVTDIERHRDSTRSRYSTSEGYKERARSREKDRDVDHKSRRSEEMKENSFNKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRRQQSQKQHMESKLSSNNEEVRAMDGDETTHLKDDNDSSSTGNYEAENKHDSSEVFAGKADFTVGKSPAHNDTLVSTGALSDERMIGVAGLGEGSPKSERSADMFCDDIFGESPAGIRKSGKDDGLHIERNALHDNWDDADGYYTYRFGELMDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNDTMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNLNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNAMLRLHMELKGPFPKKMLRKGAFTVQHFDQDLNFHATEEDPVTKMAVRRLILNIKPKDIGSLISNFPGEDPKMLSSFKDLLDKIFILDPEKRITVSQALSHPFITGK >PAN21237 pep chromosome:PHallii_v3.1:3:58790177:58792285:-1 gene:PAHAL_3G451800 transcript:PAN21237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPPPRQCGDDTSAGEGGSGGGFGMGKGKGRWGGASRRRNEQRLGVGGGGALSLAAFASAKSRNTGYNPALIKKKKEFYKNAKLISKYKRTKKQQNQPNQPPEFPIREDRGDNAQNVPKPHHKGKKRTAQSLKEEYEKKRAEDEKAKKERDAIIQAKREQQEKSEAKRRELREKMFKKTRSGQPVMKYRIEHLLETALQS >PAN19098 pep chromosome:PHallii_v3.1:3:16170241:16171015:1 gene:PAHAL_3G249100 transcript:PAN19098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKLIALSFIVLMSMGLANAARVARYSSAGGTGTGEGGGGGYVNGAGSGSGSGTGLGESSSSGVHTTVRGRVTNQYGGNGYDGGSGSGSGSSTYNQATYFGYGEPSNAGGAGGQAGGYWGSSAQGYGSGTGSGSSYSNRYWYGPSSTGANANGNGGGSGYGNANP >PAN19380 pep chromosome:PHallii_v3.1:3:17960988:17962622:-1 gene:PAHAL_3G269900 transcript:PAN19380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTAAAAAATSTSRLLLHHHAAAGSDRRHQHQLRYPATQYALSLRCGSGRREAAAGALLPDRVTPFSYGVGEDADDHPREECGLVGVVGDPDASSLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPSRLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLARVCDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVMGRRRNGAVVFASETCALDLIDATYEREVQPGEVVVVDRRDMSVASACLVPHRPRRACVFEHIYFSLPNSVVFSHAVHERRTAFGRALAEESPAPGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVRGVIAGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLGKLHGIYGDEAGDYCDACFSRKYPVLPTLADPAAEPEE >PAN18223 pep chromosome:PHallii_v3.1:3:12268815:12270293:1 gene:PAHAL_3G186900 transcript:PAN18223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGMGAYSGAVRPVESGAGETMLLWGLGQPTAHRNNALVRQAAHSFELDACGRRLSLLQSPSSMATPGVTGAVVWDSGVVLAKFLEHAADSQQLLLRDARAVDLGSGCGLVGCVAALLGAHVVLTDLPDRLKLLRKNVSLNVDDPHAPGTARVTELVWGDDPHHELVREPLPDFVLGSDVIYNEEAVDDLLLTLNQLSGQHTTILLAGELRNDAVLECFLEAAMEDFLIACIEQDQWHPEFRSNRVALFILVRKPERANTD >PAN17995 pep chromosome:PHallii_v3.1:3:11320259:11321108:-1 gene:PAHAL_3G170700 transcript:PAN17995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSISCCLGPLAPPKEAATAATAARRSSSGVPSSLRRACAAAAACAVMGMACGGGGGGDMALALALARDGAAASRTGDVAAAVGVPRAQARWSNRRQCPAWRANSLENVVPENLPRASARKTFSSVSISAAALAPSPDLVLPPFLSPRPGTGCFSL >PAN21342 pep chromosome:PHallii_v3.1:3:59527589:59528777:1 gene:PAHAL_3G457800 transcript:PAN21342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGRVTDECPVAVPLELLWKVVFTGDVSIFTKACVGLVDAVEVDGDGGPGSVTTMKLNPAVGDAKVFKTRLLARDAAARVVKSELVVEGGELAGQMKSQVSEVKVVPAGEGATVVHMTVEYERVDGAPLPPEDEAKIVQGYLSLIKKVEEYLVAHPGEFA >PVH61657 pep chromosome:PHallii_v3.1:3:5671515:5674037:-1 gene:PAHAL_3G087900 transcript:PVH61657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKPYVIAVVIQLIYTGMFVVSKAAFDHGMNTFVFIFYRMVAASLLLVPIAIALERKNVRSLSLCLLLKLFFYALIGNTFSLNLYNVSMKFTSATVASASSNSMPVVAFCLALLLRMEVVRLRSLSGKAKVAGVALCLAGVFVLAFYAGPALSPVNPHRAFAVAHYSNSNVPSRMTWIKGTFLMVLANVTWALWIVLQSFVVAVVAEKDFSKWNLRLDISLVAIVYTVTSNHWHALFFPLFLLITRIQIHAYLL >PVH61659 pep chromosome:PHallii_v3.1:3:5672247:5673871:-1 gene:PAHAL_3G087900 transcript:PVH61659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKPYVIAVVIQLIYTGMFVVSKAAFDHGMNTFVFIFYRMVAASLLLVPIAIALERKNVRSLSLCLLLKLFFYALIGNTFSLNLYNVSMKFTSATVASASSNSMPVVAFCLALLLRMEVVRLRSLSGKAKVAGVALCLAGVFVLAFYAGPALSPVNPHRAFAVAHYSNSNVPSRMTWIKGTFLMVLANVTWALWIVLQSALLNEYPNKMLVTVTQCVFSTVQSFVVAVVAEKDFSKWNLRLDISLVAIVYTVTSNHWHALFFPLFLLITRIQIHAYLL >PAN16807 pep chromosome:PHallii_v3.1:3:5671515:5674037:-1 gene:PAHAL_3G087900 transcript:PAN16807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKPYVIAVVIQLIYTGMFVVSKAAFDHGMNTFVFIFYRMVAASLLLVPIAIALERKNVRSLSLCLLLKLFFYALIGNTFSLNLYNVSMKFTSATVASASSNSMPVVAFCLALLLRMEVVRLRSLSGKAKVAGVALCLAGVFVLAFYAGPALSPVNPHRAFAVAHYSNSNVPSRMTWIKGTFLMVLANVTWALWIVLQSALLNEYPNKMLVTVTQCVFSTVQSFVVAVVAEKDFSKWNLRLDISLVAIVYTGFVVTGVSYYLQAWCMEMKGPVFLAMWNPLCFVFTIFCSSFFLGEIVHLGSIVGGALLVGGLYSVLWAKSRETKIDLMGSSVAKMIVDGTQDEGHKKSWDHQDGGNKEEESTPTLGVAQA >PVH61658 pep chromosome:PHallii_v3.1:3:5672161:5673871:-1 gene:PAHAL_3G087900 transcript:PVH61658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKPYVIAVVIQLIYTGMFVVSKAAFDHGMNTFVFIFYRMVAASLLLVPIAIALERKNVRSLSLCLLLKLFFYALIGNTFSLNLYNVSMKFTSATVASASSNSMPVVAFCLALLLRMEVVRLRSLSGKAKVAGVALCLAGVFVLAFYAGPALSPVNPHRAFAVAHYSNSNVPSRMTWIKGTFLMVLANVTWALWIVLQSALLNEYPNKMLVTVTQCVFSTVQSFVVAVVAEKDFSKWNLRLDISLVAIVYTVTSNHWVLW >PVH62646 pep chromosome:PHallii_v3.1:3:34837872:34840146:-1 gene:PAHAL_3G355500 transcript:PVH62646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGPTQPSSHVFPRGEDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALRKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIIVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVLVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDISSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKIFCQENDIPIPNMEDSVPRFGRSRKGGRNNITQDHYFRVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFCFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN16282 pep chromosome:PHallii_v3.1:3:3208958:3212762:1 gene:PAHAL_3G050700 transcript:PAN16282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAIDFPGGKVSFVAEMNFLPESHGERISCYRVLDDDGRTISGSRFQEVSKEVALKMYIEMVTLQIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNSMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMDAPVVFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRRRVRKELLQAIQVAERMPKPPIAELFTDVYDQIPSNLREQERLLRETIMKHPADYPTDVPV >PAN16283 pep chromosome:PHallii_v3.1:3:3208401:3212762:1 gene:PAHAL_3G050700 transcript:PAN16283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWLARASSQLARRAARRLPPLPSRHGGEPSPWFLGSSVAAPPPVLGSPPLPPLERIARRGFCSVRRFAGESSAAAAAADEEEPENGFAGGGQAIDFPGGKVSFVAEMNFLPESHGERISCYRVLDDDGRTISGSRFQEVSKEVALKMYIEMVTLQIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNSMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMDAPVVFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRRRVRKELLQAIQVAERMPKPPIAELFTDVYDQIPSNLREQERLLRETIMKHPADYPTDVPV >PAN16280 pep chromosome:PHallii_v3.1:3:3208401:3212762:1 gene:PAHAL_3G050700 transcript:PAN16280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLPESHGERISCYRVLDDDGRTISGSRFQEVSKEVALKMYIEMVTLQIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNSMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMDAPVVFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRRRVRKELLQAIQVAERMPKPPIAELFTDVYDQIPSNLREQERLLRETIMKHPADYPTDVPV >PAN19300 pep chromosome:PHallii_v3.1:3:17397296:17399261:-1 gene:PAHAL_3G262700 transcript:PAN19300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQENGATNGHPAEEQDVMEVEPKRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRSLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVDAFLPAAAAREAIQYSMDLYGQYIMQTLRR >PVH62942 pep chromosome:PHallii_v3.1:3:57166928:57169874:-1 gene:PAHAL_3G435900 transcript:PVH62942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFKEVKKSPNTFFALLDLAITFLLFIIFVYESVWELAVFLLSNWFMVALVCDYAARPAWREKPSFRWGFRGIRWLRSRMSHGELTIKQFSVLNLRWPPVFPLPSTLSLLVRARTVPACVKDSIMEYLVAHDHAAPISIGKPVVDQDLKWAFKSKSIAEVILTWHIATTIFEDKFPSHTSSSIVATRLSKYCSYLVIFHPELLPDNQDKTEDVVNLVKKELKESLGCLEFFFFRQAARVGRIMKLEGEGSWTEKKVVKNGVTLGASLRAKAKPPNDPGSKPPNDPEIVWKMLGDVWTEIHYRKRGLCRVSRDLPSAKYRALGKNPLCRVQYSAKENTR >PVH61745 pep chromosome:PHallii_v3.1:3:7143412:7147606:1 gene:PAHAL_3G112100 transcript:PVH61745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRLRAPPASLPLYSFPPRLAGDRLGLSERLEHKFPFLIRWI >PAN17965 pep chromosome:PHallii_v3.1:3:11172455:11177234:1 gene:PAHAL_3G168500 transcript:PAN17965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDQESGGEDGVRTSSASDLRKPLLNTGSWYRMPPPGGIMGSRQSSLMERLGSSTFSIRDVSVSATLCTLIVALGPIQFGFTCGYSSPTQDAVIADLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYTVPVYIAEIAPQDQRGALGAVFQLSVTIGILVSYLFGMFVPWRILAVLGILPCSILIPGLFFVPESPRWLAKMGKMEDFEYSLQVLRGFQTDITAEVNEIKRSVASSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGITNSNLATFGLGVVQVIATGVTTWLSDKAGRRLLLIISTTGMTITLVVVSVSFFVKDNITAGSHLYSVMSMLSLAGLVAFVISFNLGLGAIPWIIMSEILPVSIKSLAGSVATLANWLGAWAITMTASLMLSWSNGGTFAIYAAVCTMALIFVCLWVPETKGRTLEEIAFSFR >PVH61907 pep chromosome:PHallii_v3.1:3:9839175:9839723:1 gene:PAHAL_3G153000 transcript:PVH61907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLELGGRAGERQCQDANGSARQYNEAIGVRRGHEEWGCPVRRHGIWRCISW >PVH62949 pep chromosome:PHallii_v3.1:3:57311632:57312826:1 gene:PAHAL_3G436900 transcript:PVH62949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLLQLPLPPSISFRKNGTADAFSMVSPTLFLCFSLFVPIQKIHLIGKHTTFACFLFHACLIMASSSFSICIICATKAPALVKHFLMPPSSQMAIQLTLILISCSLYSMYSSSSSSSSSLSASSSLALLVLVISTCISLLFSNFRHLLKAATTHKGKATPSMEEAVHQEKSITPQDEVTEDAPEDLMGSLSDSSECTTNDEECTEEGSISEDIDDDDESLIEISLVDGHYVGAGQDEQCAYKKELLAEFFPDLVLDKRDFIDILSEISEEDSLIEIDIARGSIKCSNLSIKT >PVH62299 pep chromosome:PHallii_v3.1:3:17391914:17392447:1 gene:PAHAL_3G262400 transcript:PVH62299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKRLQRIIVHPTRIPVIQPAQLQLASPSSLGHASSASPDRAARLHKSASVAEHITVGIRAHADQIRRGVSPQPHPRPPASTNAPSPAGPYFKPRILRCCSLAHHPPPPSPNNLPIRTARNGAAPPRPAPRRRDARAPARPSQSVPVAPPDKATQPPPISYRHPPIDPSAPGLPPT >PAN20446 pep chromosome:PHallii_v3.1:3:45262297:45268970:-1 gene:PAHAL_3G385900 transcript:PAN20446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPVGASPDRRGNGPSAGAAPSGLRRYGLNFSASSLLQGPLAALLEYYGVVPSGPTPHAPHHPSAAPSSPSSASEVDGLLSAAAAGDGEVSIRIQGGPGDSEAAGGAAAGTSSEDSIQATTGSEVDQASAAGRGAGAADAEANGGGGASGNGGGDRAYQRDDVHHVARWIEQKLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLIGITVIFMIHVFGVYWWYRNDDILRPLFMLPPKDIPPFWHAIFITMVNDTMVRQAAMAVKCMLLMYYKNCRGRHYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVLAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERKRTCPLCRALVKPADIRSFGDGSTSLFFQLF >PAN18845 pep chromosome:PHallii_v3.1:3:14970884:14972117:1 gene:PAHAL_3G230300 transcript:PAN18845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGADLPCQLLFSHPEVPGSFDELFNSATTTCTHTHSCNPPGPSGPMHTHTCLHTHTQVFAGGAEDDDAREDPAKPRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLRRLQDHAALEAEVVRLRSLLLDVRGKIDAEVSASPFQKPCSVGSVVSADPAPCFNGNSEVAGAWEESFVPSAADCRIDEGGGVSREIDVPEGLHHSMDVVANFVSGFCSKEQGMISGSFNGVRYYSAVCSHLL >PVH62551 pep chromosome:PHallii_v3.1:3:23788840:23791831:1 gene:PAHAL_3G321100 transcript:PVH62551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT4G01730) UniProtKB/Swiss-Prot;Acc:Q9M115] MGCGDRDIVTAAMARQPWRRRHGWQLPLHPLQLVGAAVFALLVAAFYVVLGPYIGNTLPGNILLGAFSFSAVAAAALYVRCTAVDPSDRTEAKKDKRKRQLARGGGHGGAGRLPRLRYGYILWRYAVRLLRRVETRVTNRWVRRSYLEQWNTSVQLDPMLPFAFSSLDDIVSPCAAADGHDISFCPICDCEVKLRSKHCKTCERCVDGFDHHCRWLNNCIGRRNYATFILLMFFVLLMLVIEGGTAIAIFVRCFVDSKGVKMEMEHRLHIRLPKGAHAALSMAFVIFTLYSTAALGQLFFFHMVLIKKGMRTYDYILAMREGAAFDPFEDSDSDESIDFDSPEKPSFLSRIFCRKDEGNESTRKLSIRIESDKMDASGGKDDIQINPWTLIKMSKEKAMAAAERARERIRQKLPTSPMKPLPVETKRGPLNPERKHITAGKEIVPVFTKSWLSESPTTVRISSPRRRFSGSSSPKPQLYRSNFDLRLAEVSRELETHISKQVLCSVVMKGVEDEASSS >PAN20653 pep chromosome:PHallii_v3.1:3:23788840:23791830:1 gene:PAHAL_3G321100 transcript:PAN20653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT4G01730) UniProtKB/Swiss-Prot;Acc:Q9M115] MGCGDRDIVTAAMARQPWRRRHGWQLPLHPLQLVGAAVFALLVAAFYVVLGPYIGNTLPGNILLGAFSFSAVAAAALYVRCTAVDPSDRTEAKKDKRKRQLARGGGHGGAGRLPRLRYGYILWRYAVRLLRRVETRVTNRWVRRSYLEQWNTSVQLDPMLPFAFSSLDDIVSPCAAADGHDISFCPICDCEVKLRSKHCKTCERCVDGFDHHCRWLNNCIGRRNYATFILLMFFVLLMLVIEGGTAIAIFVRCFVDSKGVKMEMEHRLHIRLPKGAHAALSMAFVIFTLYSTAALGQLFFFHMVLIKKGMRTYDYILAMREGAAFDPFEDSDSDESIDFDSPEKPSFLSRIFCRKDEGNESTRKLSIRIESDKMDASGGKDDIQINPWTLIKMSKEKAMAAAERARERIRQKLPTSPMKPLPVETKRGPLNPERKHITAGKEIVPVFTKSWLSESPTTVRISSPRRRFSGSSSPKPQLYRSNFDLRLAEVSRELETHISKQVLCSVVMKGVEDEASSS >PAN20819 pep chromosome:PHallii_v3.1:3:53609803:53611605:-1 gene:PAHAL_3G413700 transcript:PAN20819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFISAVIGDMVSRAISIVISRSTRQESINAKLTRIRHMLIKIGSAVEEAKGRQITNHGTLEWLSELISGMYQGRYFLDISKDGSQNLVDVNDNKDVPYPFLLSSFNHAKRLRISASSIKIQFSKDDSILEINNVLANLQSLSVGIREFVVLLDSCPPILKPLRTNLYVDCEMFGRHVERERVINFLLHKGNPSERKLDILPIIGNVGVGKTTLVQHVCDDARVRSHFSSILLSDFFTLTVMENSETRIVLNSKYSTGDFGNFNDLLQTFKHKLNNKRFLMVFENVDSEKKQMLQVLLSNLRSCKQGSKVIVTSPHNHTASVGTVQPIKLSILPCEKFWFYFKALAFPDADFEENFPRMAAIGMAIAKKLNGSFFGAKIIGALLKVHPNIQFWSEALRSSIWDLPVLGSSLPYISDVANYFLSRQVSMCHVNMHTSITYFENRELSTLQDVCIQSPPTGKKKILDDKGDSLNLHVLLCKSVLPFHCVYYVAHCHGYRVARDGCGLSELFHCRNVLDAEIVSCNIPLILSCPGGSSSYV >PAN19362 pep chromosome:PHallii_v3.1:3:17864013:17865720:-1 gene:PAHAL_3G268200 transcript:PAN19362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKMDKTTIIVCSVVGSLGVLSAILWFFAERTKLPRVLECGDVCICPLNPALGLGVRAATFLLVAQITFSAAGGCCGCCKSRSIPSETKRIVGIVCAVFSWIAAVIAWALLIAAALSNVVRDPASLCPYVKNGIYACAGVLALAATALGITSFSMLRRQPVAPAAAVVGAGAPNKPGEQSPPPAIVVMGQPLFPQASTPEPQQPSESHQVPPNAAHPREYGEAPQNPQSPPPAAARDNGPTSHASNQEFPAQERPADAAAAPPAVASAPPEAGRPGELPPPPPLGVAVGQPVAQLPLHQLHVPNVIPAPQVGVEIRVC >PVH63060 pep chromosome:PHallii_v3.1:3:60111870:60112223:1 gene:PAHAL_3G464500 transcript:PVH63060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLVEALVGERRHWAPAVRHAAAWAGALALAVSVASFAPEAAFVWALAGGGGGRACAAGAVRVPLDGGGDHACVPARMAGRSGVDLLVPPAFAGLAVGASACFVRALAIGRRHDEY >PVH61489 pep chromosome:PHallii_v3.1:3:2950655:2952006:1 gene:PAHAL_3G046000 transcript:PVH61489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASQTQGPQPLPLPLPLPPPPLCLPSPPAAPRRGRHRSSYSSSSSSSSSVSSGSSTFCPSPSPAPSPRASTSSLVPFSWERHPGVPKNSSRGDLASPTGTPLPLPPPLQPPSSRRRRRRPRAKLPAASSDANGDPFVAAFAECTRDDDGEDDEADHGADAAVTAAKDKQLWLAPAKPTVSGRAGRPWRPAGGGFLGFLDLYGCKSAMAVADGAFLARRPVAARPGAASRTTRR >PVH62536 pep chromosome:PHallii_v3.1:3:23208442:23211532:1 gene:PAHAL_3G317800 transcript:PVH62536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSTRGARPWSPLVGALALSSMLTGNVCRDGVMRRGAPSVRFAFSEDMQSVEPPESLIDGADYTGCSYSADRGAMWCRSLTITFTIMLLVWHLVAAVTVEAADHCAFSLLTMYLLRAAGILLPFYVVMRLIRMVQRGQQQYRLQMLEDQRRNASTMNHMHGQEEQQLVISIH >PAN20124 pep chromosome:PHallii_v3.1:3:23208442:23211532:1 gene:PAHAL_3G317800 transcript:PAN20124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEEELPSSSSSLDYLTQCRICHEEEHERRATMESPCGCSGSLKYAHRECVQRWCDEKGSTLCEICLQNFEPGYTMPPKKTPVVETEVTISEDMQSVEPPESLIDGADYTGCSYSADRGAMWCRSLTITFTIMLLVWHLVAAVTVEAADHCAFSLLTMYLLRAAGILLPFYVVMRLIRMVQRGQQQYRLQMLEDQRRNASTMNHMHGQEEQQLVISIH >PVH62537 pep chromosome:PHallii_v3.1:3:23208555:23211144:1 gene:PAHAL_3G317800 transcript:PVH62537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSTRGARPWSPLVGALALSSMLTGNVCRDGVMRRGAPSVRFAFRISSQATPCLQRKLRWLKQKSLSGRPNSYQMRNARRPDPTGQACLSQLPSRYNTTTASGAPNMQDPAATQIEDMQSVEPPESLIDGADYTGCSYSADRGAMWCRSLTITFTIMLLVWHLVAAVTVEAADHCAFSLLTMYLLRAAGILLPFYVVMRLIRMVQRGQQQYRLQMLEDQRRNASTMNHMHGQEEQQLVISIH >PAN17087 pep chromosome:PHallii_v3.1:3:6803105:6805094:1 gene:PAHAL_3G106600 transcript:PAN17087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKGDQDALVDLESGNGAAISNHNNGVDASFSVGHGRTLSNGVWNNCVGANGCMKDDKNQHMDCSPPASDAVAKNGDDRKSEGEEKLGLLDSSGGEKAKKKRSKKPPRPPRPLTPTPLDVSDQKLLNELSELAMLKKARIERMKALKKMKNAKQGASGGNLCPLIITIIFCVVILWQGFFSGHGSAVSFHGSPESSIRAHSSLISIRFYKKNHSNVRPPSVTTAAPDNVEAVSTLANHNQARRLAR >PVH63006 pep chromosome:PHallii_v3.1:3:58788652:58789911:-1 gene:PAHAL_3G451700 transcript:PVH63006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSCWSVYPQSCPAQQSLQSAQLAGKESRCASIHLSIMGSGSSGEVGASEAISLVLRIATVGLSLASAIMTAASTQCVLRDNGVAAGAVSYGDYYSFKYSALADLLSAVLQGVAIYLEATRKGKAARAVELIDKLAQALTSTSGALLLAVDDITSCGGPPRGGGTGGRRQRGGGLCGQAGAFCGRFRVSSALSVAASVSVSASVYTRHAPVAVTLAPRPAPPSAPKVKVVVRREDEGGRNEKEEEVEKCEVELIVTEKAKEKDDKEEEKKDDGVVKDKECCTEVSTPPAMPPPWCRCPRLTIPCDCEDPELCGAFF >PAN20795 pep chromosome:PHallii_v3.1:3:53173035:53173930:1 gene:PAHAL_3G411800 transcript:PAN20795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAALNGVLVTAVCAVLLHSSMGQQPSPTTPDCPDHTGQQPVPVPAPAPTPLPVTPPPAPAVDCSSYCTSTCTSRCEAYRRDGLAKCETDAAAAFNGCYDSCTAQNCPGKSCVHSGCGNTTCSCENTNARSCCQSCGSAVTPTYSQCRSSYERGMYYCMLSCTNDCNKNCTQG >PVH62589 pep chromosome:PHallii_v3.1:3:26474825:26480770:1 gene:PAHAL_3G330400 transcript:PVH62589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAFPTRMMGQIATGETHLVCPNERRHEKARARREAMTAEQRELINKCRQDTYHAKKVNRPQLSAEQKKANVKGSKREYKRRMKEFRANNLHPDSIAMANPQFVPELIFPNPIEPLTIVSELVIPKFSGSPIYIPALVEQIPDVQGMESGVGRSLPRRRVVAIERNALRNQRNMEFHATIWKNQSCRYDVDRCGNQLSTESCEEGHQDGLYGAG >PVH63071 pep chromosome:PHallii_v3.1:3:60213142:60216574:-1 gene:PAHAL_3G466100 transcript:PVH63071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIRATYALEKNWMGDPCAPKAFAWDGLNCSYPSSGPAWITALRLSSSGLTGAVESSFGDLKSLEYLDLSNNSLSGPVPDFLAQLPSLKFLDLSSNKLSGRVPADLLERHQNGSLVLRTGNNADLCDNDASTCELEKKSNKTLVIATVVPIVVVATLLFVAAFLILRRMRNSQDKWMATNSRLNSREDRSNIFENRKFTYKELKVMTANFREEIGRGGFGAVFLGYLENQSPVAVKMRSKTSSQGDKEFLAEAQHLTRVHHKNLVSLIGYCKDKKHMALVYEYMHGGNLEDRIRGEASAATPLTWHQRLKIALDSAQGLEYLHKSCQPPLIHRDVKTKNILLSADLEAKIADFGLMKAFADEFKTHVTTMPAGTLGYLDPEYYNTSQLSEKSDVYSFGVVLLELITGQPPAVPVSDTESIHVALWVRQKLSEGDIASIADPRMRGEYDVNSVWKVAELALKCKEQPSRERPAMADIVAEVKESLELQVSYAMGYYSSAPSSALNLSATSVDLHSDAQLSDHPGKEALPELQQVGIASATHIGPAPR >PVH63274 pep chromosome:PHallii_v3.1:3:63830858:63831574:1 gene:PAHAL_3G509700 transcript:PVH63274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKAAVKRGPWSEEEDARLRSYIEQHGGAAGGWMALPRKAGLRRCGKSCRLRWLNYLRPGVRHGAFSPEEDHVICALHAAVGSRWSLIAAHLPPGRTDNAVKNYWNTRLKKKMLLFAGRQPHDVGRNVNVSAAPAEETPLAPWTGLPLTGCGSGGGAVDQMRVGDDMIASMLKPAVVSQPADSSFSSEPAATELDVIFRSMGTTGGEHSDLSLSSTEIETDWYHHADSDTVI >PVH62552 pep chromosome:PHallii_v3.1:3:23802919:23803257:1 gene:PAHAL_3G321200 transcript:PVH62552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSPGGAVTGVPRGGSHGGCFLVLHPRGAGTVRLPSSSLTTATRTTRARCTVAGSIVDHGARSRAGGGARADVGAAGRAAGHGGRARGGGPGMVLAARPRRRGQEPPGT >PAN16231 pep chromosome:PHallii_v3.1:3:2989004:2990224:-1 gene:PAHAL_3G046600 transcript:PAN16231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLQRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIINLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHHPLSGAAANAPSSRPQDQRPRAAARSSCSPQTSGAGHSSDEDSAHLGGIDLNLSLSPPSQPSSPVAAVAN >PVH61913 pep chromosome:PHallii_v3.1:3:9935483:9941979:1 gene:PAHAL_3G154500 transcript:PVH61913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKNVAIDDDEYSFPQDDAGADPAPPPPAAEKEKPKKGGKKVKKGGKAAAPDDDDDYEPPPPPPAADEEDDDEPVNLVFTGKKKKKKGAAAPAASFSAFNALEDQDEEEAAAAEPEATVDADADADADDDDLDFDFSKAKKKKKKKDKVARSALAKDEDDEPAPPAPSMADEEDEDPSVAAAAAAKKPQKKKKKKGTFATDDEDIVKILAEMEDHPQPADEPEPEEVKAQGSVPAPDADDAAGKKSKKKKKKGGFMMDGEDADQILAQTEDHPPPVEEPQPNDMKDEAPIAAPAPAPAPVDDAEGKKSKKKKKKSGRTAQEEDELDKLLAELDGPPAEKEDKPVQAPQSASVAKEDVGAAEDGNVDDKTGEGGEVESAAAKKKKKKKEKEKEKKAAAKGAEAKKEEEKEQEVPKGKVDMKKLPKHVREMQEALARRKEAEERQKREEEERLRKEEEERLRREEEERKAEEAKRRKKEKEKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQKAEGTAPETKKRPIYDSKKKKAQPKTTETAKVVEEQQEEVNEAINDEEEYVLVDQESQSQVEESEEKTEPDQEAEEPKPEEEEEEDEDEWDAKSWDDIDVNLPKTSAFDEEEAKPVVKKSEPVQKQENSKAQPAITSVKKVVPPVANSKKSETDDGGASNGNLKRNKKKGPVKEDSSKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCTNAPIVKALKQQNEDVKREFNMRVTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSAVSGEGIPDLLLLLVQWAQKTMEEKLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGNYLHHKEIRAAQGVKISAQGLEHAIAGTALYVLGPDDDLDKLKDAVMEEMTRVRSRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEETGVKIFVADIIYHLFDQFTAYIKNLKEEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEFIDIGKIASIEINHKQVDMATKGQKVAIKIIANNSDEQQRSFGRHFDMEDELVSRISRRSIDILKQNYREDLSMEDWKLVVKLKTILKIQ >PAN18750 pep chromosome:PHallii_v3.1:3:14447984:14455167:-1 gene:PAHAL_3G222500 transcript:PAN18750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPFDAPVDLINADHNGGSQLSRISVVPARDYGLQNGDAKSFAPNSDTLISGRHQLQGASLQKDLVVEDPNTRLMDPETKELYFRSQSQEDEILLLRKQIADASLKELRLLNEKHILERRLTDLRMAVDEKQDEAISGALKQLNQKKNHLEENMRLANELKVEEEELYLFTSSLLSMLAEYNVRPPQINASTITTGTKRLYQQMYWKIRSLNDSLGDVTQPVNIYNPNRQQATPSRNEPSPSYNMDANRNTLRYAQGPSDRHAEQMYHGSHFQQDIVGTTPSNYFEKNVRTGEARIDGDSQLYRHDNQDYPADGDPLPGIEGFQIAGEPRLGSTLTACGFPTNGTTLCNFQWVRHLENGIRQSIEGATMFDYVITADDVGTLLAVDCTPMDDNGRQGDLVTEFANNGNKITCDPEMQSHIEACISSGRADFEVFVLAYSPEEWELATLVLTRPSYQIKFKHTGEVIIDEKYSPNLQTKIPNGRTTQFVLVSSTGANLPFNTQGLSEPSNEDHDVRLRDLIVLVMRTFQKKALDAKRKGKA >PAN18749 pep chromosome:PHallii_v3.1:3:14448429:14455147:-1 gene:PAHAL_3G222500 transcript:PAN18749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPFDAPVDLINADHNGGSQLSRISVVPARDYGLQNGDAKSFAPNSDTLISGRHQLQGASLQKDLVVEDPNTRLMDPETKELYFRSQSQEDEILLLRKQIADASLKELRLLNEKHILERRLTDLRMAVDEKQDEAISGALKQLNQKKNHLEENMRLANELKVEEEELYLFTSSLLSMLAEYNVRPPQINASTITTGTKRLYQQMYWKIRSLNDSLGDVTQPVNIYNPNRQQATPSRNEPSPSYNMDANRNTLRYAQGPSDRHAEQMYHGSHFQQDIVGTTPSNYFEKNVRTGEARIDGDSQLYRHDNQDYPADGDPLPGIEGFQIAGEPRLGSTLTACGFPTNGTTLCNFQWVRHLENGIRQSIEGATMFDYVITADDVGTLLAVDCTPMDDNGRQGDLVTEFANNGNKITCDPEMQSHIEACISSGRADFEVFVLQAYSPEEWELATLVLTRPSYQIKFKHTGEVIIDEKYSPNLQTKIPNGRTTQFVLVSSTGANLPFNTQGLSEPSNEDHDVRLRDLIVLVMRTFQKKALDAKRKGKA >PAN16291 pep chromosome:PHallii_v3.1:3:3233713:3235924:-1 gene:PAHAL_3G051400 transcript:PAN16291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLEAVATTTMSSRVEAAGRMPSMEWEPKTLTLDQIKFAREAALYVVSTKTEEEAIRIFTEGLKPVEVTVRKSNSFDSSSDDDVDLGCSSDSTKQARGGGSKGGARGGHRGCRRRSIDIERDVTTAPF >PAN16374 pep chromosome:PHallii_v3.1:3:3524712:3528787:-1 gene:PAHAL_3G056000 transcript:PAN16374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGVRFLCSAAAALARLAHAILGSASGWWALLFMQLCPGPTSAATTTATAVPAPWQHLQPGRAAASSKKVHPAALAVDEKPAGCGKDQMPAPAVVLPAFNKQQGDKTAKVARGRPPRLAIPPPVACAPGVDPFGPAADRETDVATELEVQGEGFCLASRRGVRHAMEDGYGVIADHITQGGSRLAFYGVYDGHGGRAAVDFVADKLSKNVVAAVAASASTMASHRQQSGLLPMSPPPPPTGEEEEDETEQVVAAIRAAYLTTDSEFLSQGVRGGACAATALVKDGDLYVANVGDCRAVLGSRGRVATALTSDHTAGREDERRRIESSGGYVSCGSGGVWRVQDCLAVSRAFGDASMKPWVTCEPEVSRRRLTPDCRFLVVASDGLWNKVSCQEAVDAVSAAASSSSAAVGPCKELVALARSRGSRDDITVMVVDLQRFLQL >PVH62499 pep chromosome:PHallii_v3.1:3:21850418:21852764:-1 gene:PAHAL_3G309200 transcript:PVH62499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSATSVAPFQGLKSTAGLPVSRRSSSSGFGDVSNGGRIRCMQFSKVGFIYRENARSPGYYDGRYWTMWKLPMFGCTDSTQVYAELEEAKRLDANLPEKRAGARFLVRFDEVGGYPSLCPRKAYSDAYIRILGFDNVRQAGWLPNFEGFA >PVH62486 pep chromosome:PHallii_v3.1:3:21508998:21509403:1 gene:PAHAL_3G306800 transcript:PVH62486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSLWGVWIWPDPLLPCSWPLPSIQTQQPGRCTLSRTPYCTFVPESSTHYASMLHVVEWICLIFD >PAN18465 pep chromosome:PHallii_v3.1:3:13270143:13271418:-1 gene:PAHAL_3G203700 transcript:PAN18465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGGGGGNASSWLRLVSACLALCLLLSRLSPCEGRKLLAAGEEAGKAMYLEGDLVLRTPPSPSTGSGGGSVALEEPAAVPSGFSAGGRAARLMRSVPSPGVGH >PAN17594 pep chromosome:PHallii_v3.1:3:9156519:9157705:-1 gene:PAHAL_3G142600 transcript:PAN17594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSEVAVEGVVFPPVACPPGSSRKHFLAGGGVRRMEAEGNFVKIAAIGVYLEDAAVAALAGKWAGKTADELAADPAFFRDVYTGEFEKFTRVTFIWPKTVAAEEFAGKVMESRVAYLKATGAYTDAEAAAVEEFNAAFKSHSLAPGASVLFTHSPAGVLTVAFSDDSSAPGAGIAAIENKALCEAVLESIIGERSVSPATKQSIATRVPEILKGGA >PVH62164 pep chromosome:PHallii_v3.1:3:14570002:14571346:1 gene:PAHAL_3G224200 transcript:PVH62164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPYFLWGDTNAASAAASDSDAAAVFGSRAPETATLLAASTAAGDTAAVSPELGAAAVARPRMRRNSSGSGKQQQPGGGGAKKPPQRGLGVAELERLRCGGDPLRELVADAAGAQGYPLLHYHQQHLLQVPPSAFDSAAGARYCSQLLAPAPPPPPGPAPVCFLHTPAAASCQRAPLVALEQQYFRDRWGRMGGFPTAGNGSGGGADHQPQLLPATLAPEHPSSQNTIWRPAASSCLHTGHRCDICCRRMRALAERGALAPTPPASPNAAGSNTNATPDYSIYDLAAAMGTARQGDTFLALDRKGGAPAAAEAPAKKEVREIEFFPAASAHHTGSGGGGGRVSVRGDSELAAPFSSPYGAAAGRTAPQLDLSLRL >PAN16292 pep chromosome:PHallii_v3.1:3:3238247:3242170:-1 gene:PAHAL_3G051500 transcript:PAN16292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEGYGYHGNTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPANKEPATEAPAEEEPKFIPFTGSGRRLDGKLSKDKDVLASSPAKRQANATNGAQPSTASSSQGGSSRKTAGKLVFGAGGSRADKAPEKEAKEEPKNEPKFAAFTGKKYSLKG >PVH62395 pep chromosome:PHallii_v3.1:3:19076238:19077128:1 gene:PAHAL_3G283400 transcript:PVH62395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFRRTTPTRTRKSHRRTNQRLRRQPLLRRRRRRELAMCALVRITLLQSVRTAKATTPPTWLLASLEEHRDRRDFLLADGERIACACSWCWYGKSEVYFGEDRAAEERAACPHHQEESSQRLSTV >PAN21518 pep chromosome:PHallii_v3.1:3:60601837:60607071:-1 gene:PAHAL_3G472300 transcript:PAN21518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGISLVLSGDPLVAAAAAAAEIRHSGEGKGVSADELKEALRRRGPDSLGCVRRRLCSDGTVLGADGCDGGGEGKAGVGDGGVAELLFIGATLHLRGAEPVAQPLVSPSGSILVYNGEIYGGIEVADDENDTQALFSSLESCCSCDCHALSRDEACSCGSGVKSVPQILSTIKGPWALIYWQMDSNTIWFGRDAFGRRSLLVHWPTSDDSRFILSSLAPPSFARNNADATVNGFVSDPDISDCNKASYWEELPCGIYSIHMKNIRKNGTCVKEGWIVEVNTHEWMDSSLNKLIQWERKLTVPTIEKSSIDGGNHDLSQNFVSSGESEENNKNGVTKINLLSDSSLCSANCITQSAHKVLVALRESVMLRTKMNTLFQGGLNKLRDKELAPIAVLFSGGLDSMILAALLDQCIDPKWTIDLLNVSFDGQLAPDRISAIAGLRELQRISPLRRWQLVEIDTALTDLKRESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGSVEGSACLMQDGSRHKYKSRSRVLIVGSGADEQCAGYGRHRTKYRLGGWNALNEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLDIPLWEIAKLDEPVGKGDKKILREVARLLGLEEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVQIHQHMQ >PVH63126 pep chromosome:PHallii_v3.1:3:61212736:61214533:1 gene:PAHAL_3G478700 transcript:PVH63126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLELPVLGTSMHASFFRRSLWLELDGARDQRKVATLPHSETRGKHGGGVGGRPDGLAPKAAATRPWRAHARCSTWCSRGNINFVRLSSR >PVH61665 pep chromosome:PHallii_v3.1:3:5810269:5812091:-1 gene:PAHAL_3G090400 transcript:PVH61665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPEYCEINSNMTLEYINKILMEEDIDETASIYQEPDALQATEKPFYNILGQTYPSSAKETVMNSDSRVDCPDDSSNNYREGACSGSFINDFLGPWGIHLTANDGTSQTDHLSLQFTKGDEQANKFVAIIEKLAVDLDSSELAVSKQMTDAIVTQKGKHVNKIRSHPHMNLELLDARNSKHLAISCSETIRDETFDSVLLCTGQLYYDAAHLREMKEKEARDSSQIAQSKKYGQGQLKALLIQCAQAIASNTQPFDRELLTKIRNHSSPYGDGSQRLANYFVDALEARAAGTGSQMYQKLMAKRKNTTDMLKAYRLFIAACPFANVAYYYSNQTIVDVLVGQPTVHIIDFGITFGFQWPSLIQRFANREGGPPNLRITGIDVPEPGFRLCKKIEETGTKRMNPEVLIIGVVNGLYNSPFFLPRFREVLFCYSSLFDMINSTVARSHEARILIERDLLGADVFNVVACEGAERIEKPESYKQWQARILKAGFKQLPVNQTILKGSIIERKKELYHEDFVVDEDSGWLLQGWKGRIMHALS >PVH62113 pep chromosome:PHallii_v3.1:3:13478242:13482356:-1 gene:PAHAL_3G207400 transcript:PVH62113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAGSHLRSLKHHSATRLASTSVVKQSQGGLFGWLLGGKSSQLPPLDVPLPGITIPPPLPDFVEPSKTKITTLPNGVKIASETSPSPAVSVGLYIDCGSIYETPASSGVSHLLERMAFKSTVNRSHLRLVREVEAIGGNVSASASREQMSYTYDALKAYTPEMVEVLIDSVRNPAFLEWEVKEQLQKIKSEIAEVSANPQGLLLEALHSAGYSGALAKPLMASESAVNQLDVSILEEFVDEHYTAPRMVLAASGVEHDTLVSVVEPLLSDLPSVKCLEEPKSVYVGGDYRCQADSPSTHIALAFEVPGGWNQEKTAMVVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILSNYQQIESFSAFNSVYNNSGLFGIYAVTSPDFSSKAVDLAAGELLEIATPGKVSQEQLDRAKEATKSAVLMNLESRSIASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKMMSSPLTMASWGDVIHVPSYESVSRKFLSK >PVH62114 pep chromosome:PHallii_v3.1:3:13478242:13482355:-1 gene:PAHAL_3G207400 transcript:PVH62114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAGSHLRSLKHHSATRLASTSVVKQSQGGLFGWLLGGKSSQLPPLDVPLPGITIPPPLPDFVEPSKTKITTLPNGVKIASETSPSPAVSVGLYIDCGSIYETPASSGVSHLLERMAFKSTVNRSHLRLVREVEAIGGNVSASASREQMSYTYDALKAYTPEMVEVLIDSVRNPAFLEWEVKEQLQKIKSEIAEVSANPQGLLLEALHSAGYSGALAKPLMASESAVNQLDVSILEEFVDEHYTAPRMVLAASGVEHDTLVSVVEPLLSDLPSVKCLEEPKSVYVGGDYRCQADSPSTHIALAFEVPGGWNQEKTAMVVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILSNYQQIESFSAFNSVYNNSGLFGIYAVTSPDFSSKAVDLAAGELLEIATPGKVSQEQLDRAKEATKSAVLMNLESRSIASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKMMSSPLTMASWGDVIHVPSYESVSRKFLSK >PVH61467 pep chromosome:PHallii_v3.1:3:2503680:2504564:1 gene:PAHAL_3G038800 transcript:PVH61467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWSGSSSFSLSAEENFVTVSAHLINLVPRDKIRRLSLQFNQEVTPTMPSSGILSNLRSLTIFGSDEENQHDPCQFRFLRVLGLEDAEALKKKDHQLQSIHKLFQLKYLGLGGAVSKLPKQIQVLENLETLDISRTGIRQLLAVDGRGFGKLVHLLGTDLELPSGVGNMKELQELSMVEVNQSSTAQYVQELGKLENLRILGLKWSLKKDDNDCEGCEKRLVEALKKLGGAQPAVSVPRCW >PAN15691 pep chromosome:PHallii_v3.1:3:496938:498639:-1 gene:PAHAL_3G008300 transcript:PAN15691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCVADREHAVEVVVQVYERIKIQQPLLLVHCSSLPPSATTQLAQSLLSEALRALNVALSVMNQQPSPAPATPTSVVVKAERQLLSSSSPSHGAAPDPGEAIPIMARRGKRRRTTVEAGGKTSSWAGLTTVPYEDGYEWRKYGEKKINGTSFTRSYFRCTYKDDTGCLATKHVQQKDSSDPPVFQVTYNSKHTCNNSCTATAANSSERVISINPLIINGHHHAAVNNVKQEEPPAVLPPLVEASSALAFDQSFPIGMQQQQQQQQQQQPYGTARDYHGRHTPSTTSSCISGDSCCDGYYSAGGDIAQQMAADRGSISWRRLSPRSGAFPPVRQLQGLLITS >PAN16091 pep chromosome:PHallii_v3.1:3:1834247:1835893:-1 gene:PAHAL_3G032500 transcript:PAN16091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAPAVVPPELPKLSPTSQQARRPRSPLANGSAGGDFELRHWRTPKKRAAGPPPPWAPPVIDIPNGAGSDDDSNSSGGGGHGYTSLRDILSSPEYAATTGGSPAACGVIGGGCGSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPSRRGRRWRGPLCRLLLGCLSFIGALFRP >PAN19791 pep chromosome:PHallii_v3.1:3:20559521:20565780:1 gene:PAHAL_3G299700 transcript:PAN19791 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MLQCIFLLSDSGEVMVEKQMVGHRVDRAICGWFWDYVLAHAAGDPSKILQVVVSPTHYLFQIYRNGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLNEDIIKDNFVIVYQVLDEMMDNGFPLTTEPNILKEMIAPPNIVNKMLNVVTGKSSTLGSKLPDAAASFVPWRSTVVKDASNEVYVNIVEELDACVNRQGVLVKCEAYGEVQVNCSLPGVPELTMSFANPTIINDVTFHPCVRFRPWESNQVLSFVPPDGKFKLMSYRVKKLKKTPIYVKPQLTSDSGNCRVSVMVGIRNDPGKPIDSITVQFQLPPLIVSADLTANHGTVDILADKTCLWTIGQIPKDRAPVLSGNLRLEEGLAQLHTLPTFQVKFKIMGVALSGLQIDKLEVKSTPSAPYKGFRAQTQAGKYEVRS >PVH62185 pep chromosome:PHallii_v3.1:3:15021255:15022126:1 gene:PAHAL_3G231200 transcript:PVH62185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLMPKTRKPNTQWDANATKFFNEICVEQVLANNRPQGCLNNKGYANLISQFNERTGRNYTRVQTKNRWDALKTDLTTWKTLLLSASGLERDPKTGTIAASDDWWEEKIDAMPLCKKFRFAPLDNEEDVEIMFSGASCTNANAVAPGAREGSAGAAYKSPKKGKKNFRDMQFKRFVDSFVEKASSSSATSSPTDHVRQEIAEILQSVIEAGACEGSDEHFYAT >PAN22091 pep chromosome:PHallii_v3.1:3:64016577:64017992:-1 gene:PAHAL_3G512300 transcript:PAN22091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIMKAAATAVAVLLAVAVLVAEAAHHPRGVTSSYRRKLEASEDMPLDADVFAVPPGRNAPQQVHITLGDQAGTAMTVSWVTVEAEGNSTVLYGRAMGRLDHAAEGTTTRYTFYNYTSGFIHHCTLAGLDHATRYYYAVGFGDTVRTFWFTTPPRPGPDASLRLGLIGDLGQTADSNSTLAHYEQHPGDAVLFVGDLSYADKHPFHDSNRWDTWGRFSERSVAYQPWIWTAGNHEVEYAPELGETTAFKPFTHRYPTPYRASGSSEPYWYSVKLGPAHIIVLSSYSAFGKYTPQFKWLEAELQRVDRAVTPWLFISTHVPWYNSNNFHFMEGEPMRVQFEKMAVDARVDAVFAGHVHAYERSHRYSNIKYNITDGRCTPVADRRAPVYVVIGDGGNIEGLADELTWPQPAYSAFREYSFGHAVLDIKNRTHAYYAWYRNHDGNKVTADATWLTNRYHMPNHDDSSVHSNI >PVH61317 pep chromosome:PHallii_v3.1:3:561804:565873:-1 gene:PAHAL_3G009700 transcript:PVH61317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATQDQVTFGGSNAIKHTQADRPCSLVTHLYLPSVKSLFLCSSQELIPHYIETEYSAAVSHLVPFFLSFISLKFVNATRSSRYSSTSSFSFSRHLLHSSHLREREREREREREREGKGRGRRSKRWRFSWVARGGEGRGAMGVGSDNAAFSFSSTTSPRFCNPISHRRIFSDVAEDVTVSVDGQSFLLHKFPLVSRCGRVRKMVVDSKDSDLLKLELVNVPGGAFAFELAAKFCYGSNFEITTANVAHLRCVAEYLEMTEDYQEENLIFRTETYLNEFVLKNLDKSLEVLCKCDGLDPIVEEVGLVDRCVDAIAMNASKEQLVSGLAHLECHVGSGKLHMHSQDWWVEDLSALRIEHYRRVIAAMRRTGVRPESIGTSITHYAQTSLKGVERRHVWDSGPFVGDDQRMIVETLIDLLATENITTVTLSFLFGMLRMAIEVDASLDCRIEVEKRIGLQLEMASLDDLLIPSTQTSDSMFDVDTVHRILVNFLQRIDEDDSGELSSCGYDSEGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPEYARIVDDGLYRAIDIYLKAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSGGGSGGCGDGGLSQRFMCSSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGMRGGDGRAGEHGRAFLASLSRGFGRISMFGGPAAAEKRRRKKSSRSSQGSEGKNRRRQKASLAYDRSSLETQH >PAN15683 pep chromosome:PHallii_v3.1:3:561433:565956:-1 gene:PAHAL_3G009700 transcript:PAN15683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDSKDSDLLKLELVNVPGGAFAFELAAKFCYGSNFEITTANVAHLRCVAEYLEMTEDYQEENLIFRTETYLNEFVLKNLDKSLEVLCKCDGLDPIVEEVGLVDRCVDAIAMNASKEQLVSGLAHLECHVGSGKLHMHSQDWWVEDLSALRIEHYRRVIAAMRRTGVRPESIGTSITHYAQTSLKGVERRHVWDSGPFVGDDQRMIVETLIDLLATENITTVTLSFLFGMLRMAIEVDASLDCRIEVEKRIGLQLEMASLDDLLIPSTQTSDSMFDVDTVHRILVNFLQRIDEDDSGELSSCGYDSEGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPEYARIVDDGLYRAIDIYLKAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSGGGSGGCGDGGLSQRFMCSSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGMRGGDGRAGEHGRAFLASLSRGFGRISMFGGPAAAEKRRRKKSSRSSQGSEGKNRRRQKASLAYDRSSLETQH >PVH61912 pep chromosome:PHallii_v3.1:3:9931467:9933722:1 gene:PAHAL_3G154400 transcript:PVH61912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLAAVSSAAAGLTLFAAVADIMEEAAASAAAFGIGAALPPPPPPVQADRGGDDASACGSPCSVTSDCSSVATADFEGFAEVGSALVLDDLVAAAAAAVPEAASGPRIAGAGARSVFAVDYVPRWGLESLCGRRPEMEDAAVVRPRFFDVPLWMVAGDAPVDGLDRASFRLPAHFFGVYDGHGGVQVANYCRERIHSVLIEELSKAEESVSGADLSGLESKKQWEKAFVDCFNRVDAEVGGNAATAPKPVAPDTVGSTAVVAVVCSSHIIVANCGDSRAVLCRGKQPLALSVDHKPNREDEYARIEAQGGKVIQWNGYRVLGVLAMSRSIGTYPYPLDVGTGT >PAN17763 pep chromosome:PHallii_v3.1:3:9930927:9934792:1 gene:PAHAL_3G154400 transcript:PAN17763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLAAVSSAAAGLTLFAAVADIMEEAAASAAAFGIGAALPPPPPPVQADRGGDDASACGSPCSVTSDCSSVATADFEGFAEVGSALVLDDLVAAAAAAVPEAASGPRIAGAGARSVFAVDYVPRWGLESLCGRRPEMEDAAVVRPRFFDVPLWMVAGDAPVDGLDRASFRLPAHFFGVYDGHGGVQVANYCRERIHSVLIEELSKAEESVSGADLSGLESKKQWEKAFVDCFNRVDAEVGGNAATAPKPVAPDTVGSTAVVAVVCSSHIIVANCGDSRAVLCRGKQPLALSVDHKPNREDEYARIEAQGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTIVARAKDDECLILASDGLWDVMSNEEICDAARKRILLWHKKNAEASSSAQISGDSPDQAAQAAAEYLSKLALQKGSKDNITVVVVDLKSHRKFKSKT >PAN19339 pep chromosome:PHallii_v3.1:3:17730925:17735464:-1 gene:PAHAL_3G266500 transcript:PAN19339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQRVYEAWKGNNKFLFGGRLIFGPDAKSLILSVSLIVVPVLVFCAFVARHLRHHFPDYNAGYAIPAVALVFMIYVLVLLLITSAQDPGIVPRAAHPPEEEFSYGNALSGGTPGRLQFPRVKEVMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQTTYENFRYRSDSRPNIYNQGCLSNFLEVFCSKTKPSKHKFRAYAQEEVRPPTVSFGREVEEEPVGGPRSKVEDDLEIGSDLLKISQRRNYEDVDVEMGGRRSNDVEGVASAKLVTGSESYIPAVGSDVRVRHSSWDRRSGNWDMSSDVLTRSASDVIERSVFATEAAHPSQAETH >PAN19340 pep chromosome:PHallii_v3.1:3:17731429:17735203:-1 gene:PAHAL_3G266500 transcript:PAN19340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQRVYEAWKGNNKFLFGGRLIFGPDAKSLILSVSLIVVPVLVFCAFVARHLRHHFPDYNAGYAIPAVALVFMIYVLVLLLITSAQDPGIVPRAAHPPEEEFSYGNALSGGTPGRLQFPRVKEVMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFMFVSSSTLLCIYVFAMSALYIKFLMDEGYPTVWKAFKHSPASLGLLIYCFIALWFVGGLTGFHLYLISTNQTTYENFRYRSDSRPNIYNQGCLSNFLEVFCSKTKPSKHKFRAYAQEEVRPPTVSFGREVEEEPVGGPRSKVEDDLEIGSDLLKISQRRNYEDVDVEMGGRRSNDVEGVASAKLVTGSESYIPAVGSDVRVRHSSWDRRSGNWDMSSDVLTRSASDVIERSVFATEAAHPSQAETH >PVH62842 pep chromosome:PHallii_v3.1:3:53617958:53619543:1 gene:PAHAL_3G413800 transcript:PVH62842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIISAIIGDVVSRAICLLVGRFSNQESTEDKLQRISHLLIRIHSVVEEAKGRQIFNHGTLHWLSELIESEYQGFYLFDSIRCGKQEAEHCDHKVDPQVSTLSFFNPAKRVRVTGCTIRSSYSWRYDFSVDEIDKVLKRLQTMSCDLTEFIMLLQNCQPIRRPLGTNIFRDGQMFGRHVEKERIINFLLHEDDQSTGELGVLPIVGSNGVGKTTLVQHACDDARVRNHFPVILIYNFSCTYDIKKSEGTPNDPLGYVKRNSFCDKRCLMVFEDVDMHRKQILEEFLQSLRYSKKGGKVIITTDRQRIANIGTVEPIILTALPCPEYWFFFKAHAFAGRDIEDNTRLIAAGKEIARKLNGSFFGAKMVGCVLRDHPDPKFWCKILKSNIRGMYPLGDGIGYISDIAGNLLPGHVDMCKVIISQDPFPPETTELARFKDLCHAVPHGSMMACWADDNRFAKVLLYKSVFPFYNEYYIARCSCTCTVDSAKSCSKLVSPLV >PAN20821 pep chromosome:PHallii_v3.1:3:53617876:53619573:1 gene:PAHAL_3G413800 transcript:PAN20821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDLTEFIMLLQNCQPIRRPLGTNIFRDGQMFGRHVEKERIINFLLHEDDQSTGELGVLPIVGSNGVGKTTLVQHACDDARVRNHFPVILIYNFSCTYDIKKSEGTPNDPLGYVKRNSFCDKRCLMVFEDVDMHRKQILEEFLQSLRYSKKGGKVIITTDRQRIANIGTVEPIILTALPCPEYWFFFKAHAFAGRDIEDNTRLIAAGKEIARKLNGSFFGAKMVGCVLRDHPDPKFWCKILKSNIRGMYPLGDGIGYISDIAGNLLPGHVDMCKVIISQDPFPPETTELARFKDLCHAVPHGSMMACWADDNRFAKVLLYKSVFPFYNEYYIARCSCTCTVDSAKSCSKLVSPLV >PAN18553 pep chromosome:PHallii_v3.1:3:13635697:13635990:-1 gene:PAHAL_3G209900 transcript:PAN18553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN16503 pep chromosome:PHallii_v3.1:3:4259587:4260816:1 gene:PAHAL_3G066500 transcript:PAN16503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMSTAGGAAYRALLRSLLSPGSGVGEFPPVTCVVADGIMLFAIAIAEELGVPALAFRTASANSFSAYLAVPRLLELGETPLPVDDPVHGVPGMEGFLRRRDLPRLAPAADGHPTESETAAGVHPMLLTMAKGIADCGKARALVLNTPASLEGSAVAHIAPHMRDVFAIGPLHAMSAVLGPAAAAASLWREDDGCVAWLDGHADRSVVYVSLGSLTHISHHEFTEFLLGLLATGYPFLWVLRPDMVGAAEEAALRGAVGDGSKVRVVEWAPQQDVLRHRAVGCFLTHSGWNSTMESILEGVPMVCWPFFADQHINSRFVHAVWRAGLDMKDVCDRSTVTSMVTEVMESSEIRQSVQALAQRVKRDVATGGSSDAEFKRLIGFIAELSAGHRHQDRTPVITNGDVSHQG >PAN16833 pep chromosome:PHallii_v3.1:3:5778467:5785342:-1 gene:PAHAL_3G089800 transcript:PAN16833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEMAARGGEAFGAEADRDFEVFRSGSAPPTVEGAMGAAAAAGSLFLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSHHRLRSSGLGGIGDGRRQQPQAAAAEGTMGLPGIDLGRQRSFSNVFQEESYQRDLDRQTANHNSNDLLGSSGIQYGLHRGPGAMGGLHSSNSSRGLDEIQNNDLSSNTYASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGANDKKINGGSSSFRRSSSAIGEPDDLVAALSGMSLSSRAMSGQTMDQSQLYQDVDNVQKFLFDRQGDQANGNQQHSYIRRPEHGQSKVPDGYSANLANSSTMRNQINAGSFTSFDNLSLGSGFASPRIGSRSPGGTVSSRQNLAGMSNMLNYSGIGSPTASPSLQTPIDPAYIQYLAQLAATCDDPLMDRVHLGNSYMDLLGPQKANLGPLLQSQKQYGYYGNLGFNLGYGGSPLTSPVLPSSPIAPGSPLRHGDRNMRFPSGMRNFGSSFGSWNSGMGGKMDANLMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTAQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDLQTKMVAELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSTSESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >PVH61664 pep chromosome:PHallii_v3.1:3:5778467:5784835:-1 gene:PAHAL_3G089800 transcript:PVH61664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEMAARGGEAFGAEADRDFEVFRSGSAPPTVEGAMGAAAAAGSLFLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSHHRLRSSGLGGIGDGRRQQPQAAAAEGTMGLPGIDLGRQRSFSNVFQEESYQRDLDRQTANHNSNDLLGSSGIQYGLHRGPGAMGGLHSSNSSRGLDEIQNNDLSSNTYASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGANDKKINGGSSSFRRSSSAIGEPDDLVAALSGMSLSSRAMSGQTMDQSQLYQDVDNVQKFLFDRQGDQANGNQQHSYIRRPEHGQSKVPDGYSANLANSSTMRNQINAGSFTSFDNLSLGSGFASPRIGSRSPGGTVSSRQNLAGMSNMLNYSGIGSPTASPSLQTPIDPAYIQYLAQLAATCDDPLMDRVHLGNSYMDLLGPQKANLGPLLQSQKQYGYYGNLGFNLGYGGSPLTSPVLPSSPIAPGSPLRHGDRNMRFPSGMRNFGSSFGSWNSGMGGKMDANLMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTAQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDLQTKMVAELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSTSESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >PVH61297 pep chromosome:PHallii_v3.1:3:423096:423509:1 gene:PAHAL_3G006800 transcript:PVH61297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELGEWLMMAMWYFGLALTYGSLVVTVILLISEVLAGLQRWRNKLAGERLLESIPGVPYHPLPEGSPPSCVICIEEYERGERCFVMPGCAHMFHRECVRKWLRQGNPTCPICRATLLVAPAAAAEERVSTVENMV >PAN17511 pep chromosome:PHallii_v3.1:3:8814356:8817422:-1 gene:PAHAL_3G137000 transcript:PAN17511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKAAGDGDDPRWRRSSTDCVSFLASRFACTKGANCEFRHCEGARFSPSCWYWFQGNCVNPSCTFRHPPLESLNHTKLLADPLPSYSSASVKAASPCYFYYNSYCKKGGNCPFLHEPPTFNNVVGTCSGATTSNIAVHGITAVEEKIESSKYALANPYQGSPEHIKKHHSKGVSESSSPVFNGATSNAPETSADTVGYMKSSTPSDRSSGDSGMEHAEQYESRDSSPGFDVLVDDGLLDEIDLEHQLAQERDTEVLNVKHYIGDPTVCALDYHDAEYQEQGLSVFERGCYLNYLEGVQGHDCFTTLGHIPHSRLDIVKSNSEEHGKRFFDPRSLMCSCADFDHQSTQIGHISKQRPERRRIAKGKNGRTKRCRIQEPRNGSEEIEQRPTHHMQNSLMGDCSRSLVCATFRGQKKKSRRRQQHARSARSSNYTNAIANHLNNPEDFKGPKSLAQIKEEKCRSRSSISHPTVHVAHRRSSSNDFEGPKSLSELLKAKGRTPAG >PAN15742 pep chromosome:PHallii_v3.1:3:803815:808341:-1 gene:PAHAL_3G014600 transcript:PAN15742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVAIRVLGVADSSSLLVADDVHSSGLDDALLTHLCAAKAAGSPLSSLLGQGNYQLFRNPEARSKVIDAATTLGRTTGLVLVDCSATYDTASLMKDAVDHGCCIVLANKKPLTGPYEDFQKLVSNFRQIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGFTEPDPRDDLGGMDVARKALILARLLGQQISMDNINVESLYPSELGPDAVSTEDFLESGLVQLDRSMEERVKTASSRGNVLRYVCEIGSKGCQVGLKELPKDSALGRLRGSDNVVEIYSRCYESSPLVIQGAGAGNDTTAAGVLADIMDLQDLFQRTA >PVH63034 pep chromosome:PHallii_v3.1:3:59589680:59594578:1 gene:PAHAL_3G458500 transcript:PVH63034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENYGDGVSDRIAKPQRDKDGVLLRQNMRALDEDGIAAPGQIIRNHDVYVNKQSPKNVDRRGAGALLKDTDYKDSPAIYKGVDGETTVVDRVMLCSDRNEKLSIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELIGGKAGLSCGRFHYGSAFGEPSGNADKVEDISNTLIKHGFSYNGKDFLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNYKLKTSYCSMCKNGENMAKMRLPYACKLLFQELQAMNVVPRLKLTEG >PAN16431 pep chromosome:PHallii_v3.1:3:3771754:3773035:-1 gene:PAHAL_3G059800 transcript:PAN16431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIKYTIAGDDDGEHYTSCLEIVRYAMAAAVTLLAIAVIALVIHAVLRSEDVRLSVNNGFIGADNLWERTAVPNVGSGNENQPISISKAVEPAIGSSADLGGGLPKECLLGCPGEGHQRPAQVTLKKAKATSLRVILIAKNPGGRTRIVCDNITVSLFDVQAPYGLIGRLELDNFTVQPQTTITLQKRFKDANAGYIWDNYPGAYRFSVMVQVNASVTSFPLNKTQRTVLQSYKCQPVTVGLMDEELIYATDQVDCRP >PAN21299 pep chromosome:PHallii_v3.1:3:57647371:57649614:-1 gene:PAHAL_3G438700 transcript:PAN21299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAVWLLIPLGLLCLYSSSVASLSGATAADEAAADYVVVATSWLKPKPVCQGLRVDAPANLTASSWVPLSHSYGPCSVAAGSWSPAPADVLLQDQHRVGYIQRKLAGSAPQDDNGSDLPESKCDSGQSEHAPTIGPNVGAANNPTSKGSEAVTIFEPAAGGSGGQRLPGVKQTMVLDTASDVAWVQCAPCPMPQCHPQTDILYDPSQSSTYAPFTCGSPICRQLGPYGNGCAPGSRQCQYRVQYPDGRSTMGTYISDVLRLNPTSVIGGFQFGCSHAVQGHFPNDTAGIMALGRGAQSLVSQTRLTYGGVFSYCLPRTASYSGFFVLGVPRVASSRYVLTPMFSIRQAPMLYLVRLQAIVVAGQTLSVPPAVFAPGSVVDSRTVITRLPPTAYSALRAAFRARMGMYRAAPPKNQLDTCYDFRGVRRVTLPKITLVFDHSAAVELDPSGILFSDCLAFAPGSDDRAMGVIGNVQLQTFEVLYNVAGATMGFRGAAC >PAN18908 pep chromosome:PHallii_v3.1:3:15226509:15230421:1 gene:PAHAL_3G234900 transcript:PAN18908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >PAN19482 pep chromosome:PHallii_v3.1:3:18467522:18471356:1 gene:PAHAL_3G276600 transcript:PAN19482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDLEDGQGAVDSVVAAAAGEGERGSEAPTAAGGGGGGDAHDNDVVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELIAFLDLYRFDPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPATDADPLIPKSEISLCRVYKRSGIDDGHGQSSSSTQASSGRGVSSRTSVPPTGRHGSSPSSTPLSPTQLSPTQQLSSFHLLQGECSSASPPAPIMDQVVTVHTAPPLLPPPRPCTYAPAATTIRSTAAVAPQRAQGAAVIPSSTYSSLLNMAAGAAPMAGSSRPIDELMSTPRLVSPSQAYANLSAVTGSHFLPLMPAPPPPMPQMTPLGTLPMVPLPPSVTDKLSWDWNPVPDTTAQDYNASGFK >PAN16035 pep chromosome:PHallii_v3.1:3:1538924:1540245:1 gene:PAHAL_3G027800 transcript:PAN16035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKCHDRKLYAYCSGKQMECPAACSQSCYADCNSCKPVCVCSVPGACGDPRFIGGDGNAFHFHGRRDADFCVLSDRGLHINAHFMGKRGGDGMTRDFTWIQAIAVLFDGHRLYVGARKTAAWDDDVDRMELALDGEPVRLPQVAEAAWTSSAVPALSITRTKAANGVLVALDGRFKIRANAVPITEEESRVHSYGVASDDCLAHLDLAFKFDALTGHVHGVVGQTYRSDYVNQFDVRASMPTMGGESNFTTSNLFAADCAVARYAPAAGHHA >PVH62337 pep chromosome:PHallii_v3.1:3:17756025:17759209:1 gene:PAHAL_3G266800 transcript:PVH62337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPPLLRDQLSRRTAVLGLRLWVLLGIAVGAAFLLLLALISLHLAAARRRRPRKGVARAPAPAGAGAPLSPSTIPPVSKEIQEVAVHVGSLRHYLEMGHAFLKDGGAAQHHGDGGDGDSVGAATAHGSQRVHIEAGKGRRMLACADGEVGPVASDVSAAACGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLSDGYQVAVKNLLNNRGQAEREFRVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMSIVLGMAKGITYLHEGLEPKVVHRDIKSSNILLDRRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARPAGEVNLVEWLKNKVTNRDYEAILDPKLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTLRPGNGSPLERARTPGRPAVAGSCDSSCYEGNTTTASTPSRLVQDM >PAN19344 pep chromosome:PHallii_v3.1:3:17755597:17759209:1 gene:PAHAL_3G266800 transcript:PAN19344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPPLLRDQLSRRTAVLGLRLWVLLGIAVGAAFLLLLALISLHLAAARRRRPRKGVARAPAPAGAGAPLSPSTIPPVSKEIQEVAVHVGSLRHYLEMGHAFLKDGGAAQHHGDGGDGDSVGAATAHGSQRVHIEAGKGRRMLACADGEVGPVASDVSAAACGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLSDGYQVAVKNLLNNRGQAEREFRVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMSIVLGMAKGITYLHEGLEPKVVHRDIKSSNILLDRRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARPAGEVNLVEWLKNKVTNRDYEAILDPKLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTLRPGNGSPLERARTPGRPAVAGSCDSSCYEGNTTTASTPSRLVQDM >PAN16872 pep chromosome:PHallii_v3.1:3:5941930:5944229:-1 gene:PAHAL_3G092800 transcript:PAN16872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPPAFLDSSSWNDNQQQQQQHQHQQQAHHQVAASGGGGDGNHDLLQPSIMGGALPEGCPGGGGAGQVGPAKPMSMAERARLARIPLPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKAAAAPGSGTAASAATAALAPAGSTSSACTTTNVPALPGPAMLGGNLSMLPPLLRLADFDAMSLGSSFSGMGKPSLDAAGGYSVGGGSGPGGLEQWRVQQMQSFPFLHAMDQGPLGPPLAMTMAPGMFQLGLDSGDGRGGGGAGGEDGSGELHVMQAKREGGGGYAARGMYGDHHLAAAGYASYSNNAATGNHLL >PAN16871 pep chromosome:PHallii_v3.1:3:5941930:5943968:-1 gene:PAHAL_3G092800 transcript:PAN16871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPPAFLDSSSWNDNQQQQQHQHQQQAHHQVAASGGGGDGNHDLLQPSIMGGALPEGCPGGGGAGQVGPAKPMSMAERARLARIPLPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKAAAAPGSGTAASAATAALAPAGSTSSACTTTNVPALPGPAMLGGNLSMLPPLLRLADFDAMSLGSSFSGMGKPSLDAAGGYSVGGGSGPGGLEQWRVQQMQSFPFLHAMDQGPLGPPLAMTMAPGMFQLGLDSGDGRGGGGAGGEDGSGELHVMQAKREGGGGYAARGMYGDHHLAAAGYASYSNNAATGNHLL >PAN16869 pep chromosome:PHallii_v3.1:3:5942411:5943298:-1 gene:PAHAL_3G092800 transcript:PAN16869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGALPEGCPGGGGAGQVGPAKPMSMAERARLARIPLPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKAAAAPGSGTAASAATAALAPAGSTSSACTTTNVPALPGPAMLGGNLSMLPPLLRLADFDAMSLGSSFSGMGKPSLDAAGGYSVGGGSGPGGLEQWRVQQMQSFPFLHAMDQGPLGPPLAMTMAPGMFQLGLDSGDGRGGGGAGGEDGSGELHVMQAKREGGGGYAARGMYGDHHLAAAGYASYSNNAATGNHLL >PVH62563 pep chromosome:PHallii_v3.1:3:25369385:25369899:-1 gene:PAHAL_3G325100 transcript:PVH62563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPGRCITTSKCCEFWCKTWKRRWNSTRVNGLIVSARNGRTGAVKENNLRRLREAATLHHLLTCDV >PVH61787 pep chromosome:PHallii_v3.1:3:7820863:7826220:-1 gene:PAHAL_3G121900 transcript:PVH61787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGDSPAVQGSQKRARPLAGGGGEAASRSAAFNDEMTASSGPPSSATVVDGVTVVDPDALDCVMCRLPLKPPIFQCKAGHVRCSLCHEKLKATGSCHMCGGVANSSYTQSRATERVVEAIRVQCPNAGRGCTTRPAYYDQERHRQTCLHAPCRCPIVGCNFVGSTKALLDHFDDFHGWPCFARSGLARCATYTSRMASTPSSSLMKSKAPPPAGCACSCWTWCASCLAARSPCSLSTHMLPMSTRVRAP >PVH61786 pep chromosome:PHallii_v3.1:3:7820356:7826220:-1 gene:PAHAL_3G121900 transcript:PVH61786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGDSPAVQGSQKRARPLAGGGGEAASRSAAFNDEMTASSGPPSSATVVDGVTVVDPDALDCVMCRLPLKPPIFQCKAGHVRCSLCHEKLKATGSCHMCGGVANSSYTQSRATERVVEAIRVQCPNAGRGCTTRPAYYDQERHRQTCLHAPCRCPIVGCNFVGSTKALLDHFDDFHGWPCFARSGLARCATYTSRMASTPSSSLMKSKAPPPAGCACSCWTWCASCLAARSPCSLSTHMLPMSTRVRAP >PVH62577 pep chromosome:PHallii_v3.1:3:25673754:25675138:1 gene:PAHAL_3G327200 transcript:PVH62577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTVPQSGRAGDGRRLRAPTRGNLLTFLLAPMLCSAAYCLGLWIWHNNRSAADSRVLGPSAARATSSCGGGGGGGGADEALDFEAHHARERRGVRVRIARGGGEDDRRPASIARHRDGTRDSGRGAGMEPGRRCGGRGRLAIGERASTAIGTGAGSVRAYSLRPKIAVPRHGGTAGSRRRGDAVDRRISGRRAKGEAGGAVSNLCGGVWGGDGGGDKGEFDYELEDARRGAWSRPRVGGEADLRRRRCEQWTSQGDARATAGSGAAGGGGGGGGAATVTASSRAS >PAN21945 pep chromosome:PHallii_v3.1:3:63134864:63137353:1 gene:PAHAL_3G501500 transcript:PAN21945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGLMLSSLGRAMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTASSTSAETTENKS >PAN16691 pep chromosome:PHallii_v3.1:3:5143748:5145794:1 gene:PAHAL_3G077700 transcript:PAN16691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITSIRVIVGIIGSAVCVLLYAVPALTFKRVIKEASVGEFSCLPYILALFSALTWGWYGFPVVSNGWENLSLFGTCAIGVLFEFSFIIIYIWYAPREKKKFVVLTVSLILAILCMIVSFSIFTFHTHHMRKLFVGSIGIITSMSMYSAPLVAVKQVMKTKSVEFMPFYLSLFSLLTSFMWTLYGVLGRDPYLTAPNSVGCFTGILQLVVYCIYSRCKEPPKALNDIEQVIINLDVATSRREDTNGCSLNSKA >PAN16692 pep chromosome:PHallii_v3.1:3:5143748:5145814:1 gene:PAHAL_3G077700 transcript:PAN16692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITSIRVIVGIIGSAVCVLLYAVPALTFKRVIKEASVGEFSCLPYILALFSALTWGWYGFPVVSNGWENLSLFGTCAIGVLFEFSFIIIYIWYAPREKKKQVMKTKSVEFMPFYLSLFSLLTSFMWTLYGVLGRDPYLTAPNSVGCFTGILQLVVYCIYSRCKEPPKALNDIEQVIINLDVATSRREDTNGCSLNSKA >PAN22119 pep chromosome:PHallii_v3.1:3:64294989:64296006:1 gene:PAHAL_3G515600 transcript:PAN22119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLLVSLPATGAVIYRCKHCDTPLAYGTDIISKMFRCKNGKAYLFGKMVNVNVGEKDDRMMTTGMHTVCDIFCVACGSILGWKYLAAVEKAQRYKEGKFILDRGVVVAASPGGGAGAGAAHGMWPDHQQQTSGDDDGDDQDSDEESSDHQD >PVH62373 pep chromosome:PHallii_v3.1:3:18649129:18649831:-1 gene:PAHAL_3G278500 transcript:PVH62373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREPVTCKRCGEKGHRQATYKYPLNGTKKRKRKPMKNTTKQRKDSVTTTQMPIRDQILRDSPGRITRSRLAFLFGEGTSSQPATSTTNVQEATASPAKKTTPKKKR >PAN20888 pep chromosome:PHallii_v3.1:3:54669909:54675622:-1 gene:PAHAL_3G419500 transcript:PAN20888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTVPMPAANSEASPTPASGDLPWTAAEKRLNSFVRAVALVERMGNGLGTLAFTWATVVVLGGFSTDLRQDFWYATAIVFLEAFRVFSRESRSDDQLLFKTTGGVRLKRVVLGSGVLYYLNAVIVVVCFSNALVIITNHYFPLGPNKTLALAALLALVSKLEFSTYIEGLSSSGRNIFATVFFRCIPIVALVLLAVGIVYLGDLKPANALLPLMPLFASWIVYNLLVLSLQNHEQKFVLVTKMLCTVIFPLWVAISLVVAFKTLGLLILLSTALLGNIQIPVAIARIVLSSMRLFKVNGHHKASSNEHLIPALKIFYIMVFLQGISYIMACMLETAFSFWFRRLLAKGCGLGGEPEMKSINLYYEHAYDKCMQDGILSQEDLKLVRFAVDSLSSNSRAQNLAAVRILYSLLKKTEITRNSTGLLVSEVTTSKNSVGTLISMLGWTEPEDEGIRLFAAEVIAKMAGNLRIVGIPGTVQMVSSLLDNDARASEVCINMEVPGYLSPSRIQALPVQVTGNNVLSTPKKFLQCMKNLISIPKEDEEFWMAKDSFPSQALEILEKLAHDLDNCAEISRATGLFAKIIGFMSYTIDTTNIPGTQKQLWTTSSLKLIIKLASTNGEIGMVLRQKISEQPFLLSNLAEILEDSCSNQYQLELVMGILAKLAVDMEARREIGSFQVFVTMLVNAFVGRDQPPSTRKVAGEALSMLSMGNARNFSAILEAIGPNFIDLKDMLMYEEYIYVTASLLQNVCALSQEKLSQLGSSKHLSSFLPVVFTKMMNRELKGKKLEALISLASQICKVFPQCFAQELESSQYNGLADIIQNLVATLNSSKKPSLEYPRMRRVIVEMTICILESCPRYATIFREKGMMEALSMVERTPSKVEKYRVFSGHVGVVTEGGLPLLTLVARAKELVASATPSP >PAN16540 pep chromosome:PHallii_v3.1:3:4309662:4312207:1 gene:PAHAL_3G067700 transcript:PAN16540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTMSSTTEKEQQEQAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAAMLLSWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLIVEVGVCIVYMVTGGKSFEKCYTVACPDCKPLRTSSWIMIFAAIHLLLSQLPNFNSITLVSLAAAVMSLSYSTIAWAASAHKGRQPDVDYSKTASTATGQTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPDKPSKKPMWQGVILAYLVVAICYLPVAFVGYYVFGNAVDDNILITLEKPRWLIAMANIFVVVHVIGSYQIYAMPVFDMLETFLVKKLRFRPGLPLRLIARSLYVVFTALVGIAVPFFGGLLGFFGGFAFAPTTYYLPCILWLKIKKPKTFSFSWFANWFCIIIGVLLTVFAPIGGLRSIIVNASTYKFFS >PAN16725 pep chromosome:PHallii_v3.1:3:5301845:5304624:-1 gene:PAHAL_3G080400 transcript:PAN16725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRSQSLGRRTAATPPTPPRYRHRLAVITVTAMRPLLTRLFTSTHIAMASSFSSSPSRRLARLRRHLASSSSGELSSVGAPAAAVPAKSPRPGASKVHAAVLVCLFEDPSSGPRVLLTKRASSLNSHSGEVSLPGGKVDEGDADAKATALREAEEEIGLDPALVSVLTVLEPFLSKNGLNVVPVIGMVSDRALFKPVLNKAEVEDIFDAPLEMFLKDDHRRTRQMNWMGIDIPVQFFDYEADGKKFVIWGLTAHILTRAAAVVFQRQPSFVELPRPKYASAPIAGTDETKP >PAN16440 pep chromosome:PHallii_v3.1:3:3848005:3852052:-1 gene:PAHAL_3G061000 transcript:PAN16440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGSSRSDLRRPGRGGGTDQESSKPKPRLDGDDLCAICDNGGNVTCCDGGCGRSFHLTDENSEGSRCRLVLGLTKERAEMILADDDKDFICKNCKYKQHQCFACGKLGSSDLSSEAEVFQCEVDDCGRFYHPKCVAKLLYPDNRLEASLFGDQVAARQKFTCPIHECIECKGEENKNDRNMQFAVCRRCPTTYHQKCLPSDIPFKTKEGPNGYMQRAWDELEAPDGRVIARDRILIYCTKHEIIKKFGTPERNHIIFPDEEDIPEEEEQLDNASPESPQSPPPVARDQNQCSCSSPINSFAPVSLFTHPHPGTCGWLGD >PVH62768 pep chromosome:PHallii_v3.1:3:47057763:47059574:1 gene:PAHAL_3G391700 transcript:PVH62768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKKENEKEKHEGIDHEEEEEDEEGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLDLTILSPGRPDLVLPIPFQADEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQQEPYIYEGEEETTPAGIFARGSYSAKLKFFDDDGKCYLEMSYYFEIRKEWPAGQ >PVH63046 pep chromosome:PHallii_v3.1:3:59786186:59786676:-1 gene:PAHAL_3G460700 transcript:PVH63046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVAHATLKGPSVVKEIFIGLTLGLIAGGMWKMHHWNEQRKTRSFYDMLDKGQISVVVEE >PAN19126 pep chromosome:PHallii_v3.1:3:16316760:16319805:1 gene:PAHAL_3G251300 transcript:PAN19126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVVAGPGDKPMIVVNYKGEEKQFSAEEISSMVLTKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLGADDKKRIEEAIDGAISWLDSNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMGGAAGMDEDMPAGGGGSGAGPKIEEVD >PAN18388 pep chromosome:PHallii_v3.1:3:12950570:12955707:1 gene:PAHAL_3G197700 transcript:PAN18388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MYRAAASAISRSSSALRKQLARSGSGEPQRLWARGYAAKEVTFGVGARAAMLQGVNDLADAVKVTMGPKGRTVIIDGSYKGPKITKDGVTVAKSVEFEDTAKNVGANLVKQVAEATNKVAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGVNKAINSITAHLKSKAWKINSPEEINQVATISANGEKEIGDLISKAMEKVGKDGVITIVDGKTLDNELEAVQGMKLSRGYISPYFVTDEKTQKCEMENPLILIHDKKISNMNSLLPVLEISIKNRRPLLIVAEDVEGEALSMLVLNKHRAGLKVCAVKAPGFGDNRRHNLDDMAVMTGGEVVSEERGLDLGKVQLQMLGTAKKVTVSLDDTIILDGGGDKKQIEERCQQLRESIDTSTAMFDKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALNAAKAAVEEGIVPGGGVALLYATKELDKISTANEDEKIGVQIIKNALKAPLMTIAANAGIDGAIVIGKLTEQDDLSLGYDAARGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVSELPATKARIASRMPQMSGMDF >PAN20976 pep chromosome:PHallii_v3.1:3:56022997:56024388:1 gene:PAHAL_3G427100 transcript:PAN20976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTLLATTCLLVLLFLTTLGGALVEAHQGPRSPHRKVAPRRGLSTTANAPESSSSADGYATENATTFDVGSFGAAGDGKTDDTAAFQEAWTQACSSAQPAVVLVPAGQSYILKETNLTGPCKSRVTFQLEGTLVAPEDKSGWGNPGHPRWIKFTGVDGLTVAGEGAMDGRGKSSWRNSCRTNHTMPCTFAPAALTFASCSNVEVEGITLLNAPQIHLLLERCRDVTLSRLTISSPSDSPENDGIHVGHSDGVRILGAKIKAGDDCLSVATGTTNLYATRIECGPGHGISIGSLGRGNSRAEVSNITVDGARVSGTLFGARIKTWQGGSGYARGIRFLNMAMDKVKNPIVIDQSYCTTSDPSNTSACDHKQSQSSAVQVSDIVFSKIRGTTVARDAIRLHCSQASPCRDVVLRDVQLKTRKRGKKNAATSTCENTLLAESSNVSPAPCSSATTKKDFVTLIR >PVH61430 pep chromosome:PHallii_v3.1:3:2076014:2084198:-1 gene:PAHAL_3G034500 transcript:PVH61430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEFVAAQERLRPQEDKAEEDRSKEASVLPPRNREMGVFELKINQLVLDCAYCQQPLRPDQIRPQAYTCAGGHIYCGACTRRHEKACISKCHLLDRTIAQMEFKCILCDSGGEYVPYGKFFEHRCNTDVVDPKLPERQMEFAFYGRGRIKTSLLVCSECELPLRPPIFRHISGDVLICSPCYRGDIVNYVRCSELDHLVQGIMVKCVACQEYIPFSALAWHQLRECPSKHKLQKIAPGSSARKNLCDEEETERLSTCSSCIQGKNKQKAPYEVGKMDKHIVRGDEVGNDDDSLDDNHEMGVFELKINQLVLDCAYCQQPLRPDQIRAQAYTCAGSHIYCGACTRRHEKACISRCHLLDRTIAQMEFKCILCDSGGEYVPYGKFFEHRCNTDVVDPKLPERQMEFAFYGRGRIKTSLLVCSECELPLRPPIFRHISGDVLICSPCYRGDIVNYVRCSELDHLVQGIMVKCVACQEYIPFSALAWHQLRECPSKHKLQKIAPGSSARKNLCDEEETERLSTCSSCIQGKNKQKAPYEVGKMDKHIVRGDEVGNDDDSLDDNHAESGMRVAENAQKTAPTAAHASTSTCRCLPITAPLKPPLPHRPVTRLFQAAHNRNRGQQRTKREGPNIQRGIKRRSCEQS >PVH61429 pep chromosome:PHallii_v3.1:3:2076064:2083807:-1 gene:PAHAL_3G034500 transcript:PVH61429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEFVAAQERLRPQEDKAEEDRSKEASVLPPRNREMGVFELKINQLVLDCAYCQQPLRPDQIRPQAYTCAGGHIYCGACTRRHEKACISKCHLLDRTIAQMEFKCILCDSGGEYVPYGKFFEHRCNTDVVDPKLPERQMEFAFYGRGRIKTSLLVCSECELPLRPPIFRHISGDVLICSPCYRGDIVNYVRCSELDHLVQGIMVKCVACQEYIPFSALAWHQLRECPSKHKLQKIAPGSSARKNLCDEEETERLSTCSSCIQGKNKQKAPYEVGKMDKHIVRGDEVGNDDDSLDDNHEMGVFELKINQLVLDCAYCQQPLRPDQIRAQAYTCAGSHIYCGACTRRHEKACISRCHLLDRTIAQMEFKCILCDSGGEYVPYGKFFEHRCNTDVVDPKLPERQMEFAFYGRGRIKTSLLVCSECELPLRPPIFRHISGDVLICSPCYRGDIVNYVRCSELDHLVQGIMVKCVACQEYIPFSALAWHQLRECPSKHKLQKIAPGSSARKNLCDEEETERLSTCSSCIQGKNKQKAPYEVGKMDKHIVRGDEVGNDDDSLDDNHAESGMRVAENAQKTAPTAAHASTSTCRCLPITAPLKPPLPHRPVTRLFQAAHNRNRGGKMGALVTDSSTYKAGQQRTKREGPNIQRGIKRRSCEQS >PVH61431 pep chromosome:PHallii_v3.1:3:2077901:2084199:-1 gene:PAHAL_3G034500 transcript:PVH61431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEFVAAQERLRPQEDKAEEDRSKEASVLPPRNREMGVFELKINQLVLDCAYCQQPLRPDQIRPQAYTCAGGHIYCGACTRRHEKACISKCHLLDRTIAQMEFKCILCDSGGEYVPYGKFFEHRCNTDVVDPKLPERQMEFAFYGRGRIKTSLLVCSECELPLRPPIFRHISGDVLICSPCYRGDIVNYVRCSELDHLVQGIMVKCVACQEYIPFSALAWHQLRECPSKHKLQKIAPGSSARKNLCDEEETERLSTCSSCIQGKNKQKAPYEVGKMDKHIVRGDEVGNDDDSLDDNHEMGVFELKINQLVLDCAYCQQPLRPDQIRAQAYTCAGSHIYCGACTRRHEKACISRCHLLDRTIAQMEFKCILCDSGGEYVPYGKFFEHRCNTDVVDPKLPERQMEFAFYGRGRIKTSLLVCSECELPLRPPIFRHISGDVLICSPCYRGDIVNYVRCSELDHLVQGIMVKCVACQEYIPFSALAWHQLRECPSKHKLQKIAPGSSARKNLCDEEETERLSTCSSCIQGKNKQKAPYEVGKMDKHIVRGDEVGNDDDSLDDNHI >PAN20383 pep chromosome:PHallii_v3.1:3:51374230:51376319:-1 gene:PAHAL_3G404300 transcript:PAN20383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNGKSSWPEVVGLPAEAAKQKILADRPDVQVVMLPVGSFVTTEFNPKRVRVFVNPAGDVAEVPKIG >PAN16223 pep chromosome:PHallii_v3.1:3:2921377:2927464:1 gene:PAHAL_3G045500 transcript:PAN16223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGGGGVRGGGRVGRGGGGYGRRDTRPGGGASRDYRDRRPDHRPRRTPSPSPDRRPRRPRGVGDDSDQPRGGRIGYGSGDRSPPRRERTGYGDRHASPRRGRMEYEDLRDPPGRGTNREYGGDRHSPRGRREYSPPVARDYRRGAYREERDRYDRPGRGNDHGYETPPAYMLPDHPSDLGRPSQRAGKKESDYFGGSGDRGLLKDDYLGGGHAPQSIGKESELFGDGVTLRISANELGRTTTMYSQDRRSPPLRKLPPPRAVLSPPPLYPSVPLETGFLTGGSAMKASADYGAGSTHLLDEDGNFKYHKHSHDTYIERSRDMERHYSGGRDLVAEKGGGTERFYSAGNVPAGRVRETEMLYSSRAMLEPDLLPSTQLKVLGDSSSALLAKDHAYRMHTEPDYEPGNGYIMDGLGRSSHDSLGHGSGHPHRLSGSPLEHGSAHGDEALLDIARHSHPKRAPRAASIEYNAHDEYARRNLINDAYAAPENLRVSASLNSRHISAAASLRGIRDERINHHLRLPHRIEEFESSFEAMHQDTKHLNQHSYDGDASVQYPTARGGNDRHSRSPESEPIGIVRRPARHHESASFENLSDQEVSPMASRKRYRSPAYPYHDVYQADGFAEYEPYDDDMDAYDLPSPGVSIYDMVDDDEYDGRYDMPTNRGVFSRLALPHENNGEWTDMDQGHPHSDILTYGRSKHIPMSQRLSRPNSHSQFWGTSMHGRGRGRGRGGLSKSAKKRLKTAPHQFHGGYPSEKNEFIKPNKISKFSEDNPDASEVKHEDAPDYEDLPVQKDPPEGSEEFRKQVHQAFLNYAKMLNESPATQKKYREATKGSLSCCVCCSVARKFPDIDALLSHAYNTCKEGLKTRHLGFHKALCVLMGWNWLVAPDTSKAHHSIPSEEVNAMRGDLMLWPPVVVIHNSSIVNKAKDTEAKIVSMGEIEGVLADIGVPREKLKVSHGRPANQSVFLVKFQPTISGFQEAKRIHNHFSSRNHGKEEFQQMRDGKGKKAALVDNLEELLYAHIAVVEDLVYLDEEAKRRCKIRSKKEVEANADATLN >PAN16222 pep chromosome:PHallii_v3.1:3:2921377:2927466:1 gene:PAHAL_3G045500 transcript:PAN16222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGGGGVRGGGRVGRGGGGYGRRDTRPGGGASRDYRDRRPDHRPRRTPSPSPDRRPRRPRGVGDDSDQPRGGRIGYGSGDRSPPRRERTGYGDRHASPRRGRMEYEDLRDPPGRGTNREYGGDRHSPRGRREYSPPVARDYRRGAYREERDRYDRPGRGNDHGYETPPAYMLPDHPSDLGRPSQRAGKKESDYFGGSGDRGLLKDDYLGGGHAPQSIGKESELFGDGVTLRISANELGRTTTMYSQDRRSPPLRKLPPPRAVLSPPPLYPSVPLETGFLTGGSAMKASADYGAGSTHLLDEDGNFKYHKHSHDTYIERSRDMERHYSGGRDLVAEKGGGTERFYSAGNVPAGRVRETEMLYSSRAMLEPDLLPSTQLKVLGDSSSALLAKDHAYRMHTEPDYEPGNGYIMDGLGRSSHDSLGHGSGHPHRLSGSPLEHGSAHGDEALLDIARHSHPKRAPRAASIEYNAHDEYARRNLINDAYAAPENLRVSASLNSRHISAAASLRGIRDERINHHLRLPHRIEEFESSFEAMHQDTKHLNQHSYDGDASVQYPTARGGNDRHSRSPESEPIGIVRRPARHHESASFENLSDQEVSPMASRKRYRSPAYPYHDVYQADGFAEYEPYDDDMDAYDLPSPGVSIYDMVDDDEYDGRYDMPTNRGVFSRLALPHENNGEWTDMDQGHPHSDILTYGRSKHIPMSQRLSRPNSHSQFWGTSMHGRGRGRGRGGLSKSAKKRLKTAPHQFHGGYPSEKNEFIKPNKISKFSEDNPDASEVKHEDAPDYEDLPVQKDPPEGSEEFRKQVHQAFLNYAKMLNESPATQKKYREATKGSLSCCVCCSVARKFPDIDALLSHAYNTCKEGLKTRHLGFHKALCVLMGWNWLVAPDTSKAHHSIPSEEVNAMRGDLMLWPPVVVIHNSSIVNKAKDTEAKIVSMGEIEGVLADIGVPREKLKVSHGRPANQSVFLVKFQPTISGFQEAKRIHNHFSSRNHGKEEFQQMRDGKDEEAKRRCKIRSKKEVEANADATLN >PAN17414 pep chromosome:PHallii_v3.1:3:8396804:8401395:1 gene:PAHAL_3G130000 transcript:PAN17414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] MEMAIDTPSPAPPTPPTPTAAAGRQTRAAESVRLEHQLVRVPLEALRSTVRSNHRLAEKEIAAVLSSASAAPAESSAAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLDRLATASTGDDAEWEDLRLKRILVDYMLRMSYYDSATKLAETSGLQDLVDIDAFLDAKRVIDSLQNNEVAPALAWCAENKSRLKKSKSKLEFLLRLQEFVEFVKAKNCIQAIAYARKYLAPWGSIHMKELQRVTATLVFRSNTNCAPYKILFEQDRWDFLVDMFKQDFCKLYGMTPEPLLNIYLQAGLTALKTPFCSEGSCPKEDPLSLEGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPRVLPNGYVYSEKALQEMAKKNDGKITCPRTGEVCDFSECVRTFIS >PAN18890 pep chromosome:PHallii_v3.1:3:15161111:15164380:1 gene:PAHAL_3G233500 transcript:PAN18890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAVRVGAAPSAPGRGARVAAAAAEAVVAGRELRGRAAALAAAAEERAALARRIEAALEVRREALRQAEALGELRRRLDLQRARAEEAVVGRSRAADAVEQGKERLQEQVERVLPLSRALTAAHQRVQEAKEALSGDKARLEDLQRLLRTRQQCMVGQVAALYPVRVFHDLPQHAQNPGADTNGERGALSEENRALSGAYGTRVPSIIKSPQVRGLTFFGWQIMKPKRKQKNYSDKELQRSASVLGYAAHAVLLIASYLDVPLRYPLRFGGSRSYVSDRLPSAETACTASAEHASTHNTMSELTEYPLFLECQEDDSTRASYAIYLLHKDTEQLLNYIGAESSGRHVFGNLRELLRIVLSDEYVYR >PVH62458 pep chromosome:PHallii_v3.1:3:20447857:20451427:-1 gene:PAHAL_3G298800 transcript:PVH62458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRPVDSHTPVQSSLPSPAARKAGTPDLRRATMSLHQRPHQKPAAAGDALPISSPSAGTAPSRPLPLLTLPYLFSLLALLLFAALLLPWGPTRPSSVPASPWRAYTLQEAAAFAAAAGNGTVLLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLDRINAAWPGHAVLVPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLGHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKQRKSNDQPGFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >PAN17646 pep chromosome:PHallii_v3.1:3:9490889:9494135:1 gene:PAHAL_3G146300 transcript:PAN17646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGVRRHAGQFLSTSNELMAASLSTASCAKEMQKAEGGGCRDDAAALRLKEVAMAAILVAGVLGVGLPLAGRKRRAMRTDSAAFMAAKAFAAGVILATGFVHMLHDAQHALSSPCLPAVPWRRFPFPGFVAMAAALATLVLDFLATRFYETKHRDEAARVKAAAAAALAAASSASASDEDITVVTVVEDERKAPLLETHCHGHSHGHRHSHSHGHELVQAEGREGDVSEHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKNLSAVLMASFFAITTPAGIAAGAGLSTFYNPNSPRALVIEGILDSVSAGILIYMSLVDLIAADFLGSKMTGSLRQQVMAYIALFLGAISMSSLAIWA >PAN18885 pep chromosome:PHallii_v3.1:3:15146794:15148270:1 gene:PAHAL_3G233100 transcript:PAN18885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like protein 9 [Source:Projected from Arabidopsis thaliana (AT3G51920) UniProtKB/Swiss-Prot;Acc:Q9S744] MAEKLTPEQADECKEIFDLFDADEDGRIATGELVTALRSLGQNVDEAEARRFLEDAGVPAGAAAIDLAAFLAVAARKMGARQSAERLAECFDVFDDARSGSIPAEQLRQVMVSHGDRLTEEEADAMLREADPRGEGRVEYKEYVKVLLRDKK >PVH62257 pep chromosome:PHallii_v3.1:3:16244109:16246692:1 gene:PAHAL_3G250500 transcript:PVH62257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCPSVKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGHIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVDRLLKNMVDKRTALENLDLILLCLDEIVDGGIVLETEGREIAEKVTGHGLESASSAEQTLVNALTQAREHFAKSLLM >PAN19117 pep chromosome:PHallii_v3.1:3:16268460:16272042:-1 gene:PAHAL_3G250900 transcript:PAN19117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDEFKKKVRRIVRKSQEML >PVH63196 pep chromosome:PHallii_v3.1:3:62248401:62248879:-1 gene:PAHAL_3G490500 transcript:PVH63196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVSSNGGMTRRVFCPNCGVLANWNRATTPMNFGHGFYKCPYFSDIMGHTSAQAGPPVHPVLPQVPQPEADAPRAVAEQGEGHGRRMVEEKIFEQLKWIKKLVFVCIMLVLYAILKK >PVH61398 pep chromosome:PHallii_v3.1:3:1392114:1397633:-1 gene:PAHAL_3G025100 transcript:PVH61398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISACLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKKETPISLEENGTVVLTPHQGQEASSSLLPINFSGLAKAVRPGATIFVGQYLFTGSETTSVWLEVSEVQGDDVVCIIKNTATLAGSLFTLHCSQIHIGLPTLSDEDKDVIRKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYYKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >PVH62922 pep chromosome:PHallii_v3.1:3:56504704:56505136:1 gene:PAHAL_3G430500 transcript:PVH62922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLRTGGSGEPAQVAAVGEAWAPTPAATSATGARGCPRGPAVESHPREGTRGRCRQRRSSKQATCDLHTARGPGRMRQRGWGGPTGAVNEGAASRPPATRCHARPRAHTGHPGGGALRRACQIRQGRHGSDGCRRPKWRWRR >PVH61454 pep chromosome:PHallii_v3.1:3:2323805:2325978:-1 gene:PAHAL_3G036200 transcript:PVH61454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKQHLPQPRLARRDVDTYPSQLRRSHLYLVAQAPVYSKQPTTGIGVIKWPPLRLIAQPTKQASNGPNVKILISNRRKNGALVNNRKSYEATVLSLCLACNMD >PVH63213 pep chromosome:PHallii_v3.1:3:62559719:62560990:-1 gene:PAHAL_3G494800 transcript:PVH63213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKTLSSYQPSLFEDLTDNEGQDQIMCLMAKNSKVISPSSSDDEIDDDDEVASLIKQYGKSAATRIMKLIMKLDDLDETLESQEELFRLEREKSETLEKNLTYERKENKRLGESLKAKDNMLLEVEESFTSEKRKVDDLTKELLLVEDTYARLKRDNDKLQESLTSLQAINTALEVKVSTLLVSSSNTCKTSKSSSPSTSNGCARYFNVDIQTCVTNHAKVQAMRKEINRLTKLVQEEEISHKGVLKTNPSPRVGEFEKHTKGFGSRYLSKYDGTSQTIPYVKNNKKAALGARGGLVNMTTPTHRRSSEKQGLSQIKFVKRGTTCDEGAKIVASSLKQDKFQIPKTPKALSQMSFYADYVLTRNHHGKVVARFVGHRSWNTKVKSHVWVPKVFLTNTQGPKYCWVPKRKD >PAN20616 pep chromosome:PHallii_v3.1:3:28197042:28208252:1 gene:PAHAL_3G336800 transcript:PAN20616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGSQHPLPSRNLSADVDHESLQPQPSGARSKGGWITFPFLAVAMLGLGVARGGATSNFVVYLVKKYNVPRVDAAQISSIALGCLSLAPVGGAIVADAFFGCYPVVAVSMVFSVLALVMFTLTASLHDLRPAACHPGAGPCEPTSTGQMAALYAAVFMLCVSAGGARFNQATLGASQFDAAADRDVLFNWYFVFFYASSVVGSTAIVYVQDNVSWALGYAISGAASFAGLAALLAGTPYYRRPGARGSPFTGLARVALAAARKWKVNLATSEELRFYHGRRSSAGDKDGDVSGATSLAAPSNSFSFLNRAALIADGDVVPADGSVFRPWRICTVQEVEDFKAVVRILPLWSASIVLSVAFGTQINFTVLQALAMDRGLGRFTVPAGSMSVVILISIVFSLILLDRALLPLWRRLTEHTPTPLQRIGAGHVLAILSLAASAAVERHRMATVRTHGEEGHQAWVSSLSAMWLVLPLALAGAGEALYFPGGVTLYYEEFPPSLKNTSTGMVAVIIALGFYLSTALVGVVRRTTAWLPNNMNASKLENLYWLLTVMATVNFGYYLLCAKLYKYQNVGK >PAN16228 pep chromosome:PHallii_v3.1:3:2966981:2972504:-1 gene:PAHAL_3G046300 transcript:PAN16228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSEDFESLEDMRLTKRISVAHYPVNREKNRYIDVLPFDDTRVPLKSSTTSQTSNNDYINASFITATEDNRVATFISTQGPLVKTFEDFWEMVYQYQCPAIVMVTQFDSFKCDKYLPLRNGRGAYGKYDVQIVKTRTDNHQLWLRKVQVQNKESGKFHSVLHIEYPDWPDHGVPTNTDAVRQIWKRLHHIPTEHPIVVHCRYWKNWYLHHHSYNN >PAN16227 pep chromosome:PHallii_v3.1:3:2966982:2972504:-1 gene:PAHAL_3G046300 transcript:PAN16227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSEDFESLEDMRLTKRISVAHYPVNREKNRYIDVLPFDDTRVPLKSSTTSQTSNNDYINASFITATEDNRVATFISTQGPLVKTFEDFWEMVYQYQCPAIVMVTQFDSFKCDKYLPLRNGRGAYGKYDVQIVKTRTDNHQLWLRKVQVQNKESGKFHSVLHIEYPDWPDHGVPTNTDAVRQIWKRLHHIPTEHPIVVHCSAGIGRTGTYITIHTTIERILLGDKRSYDIVETVKNFRSQRPGMVQTEQQYKFCYRVIADELKDLLNSGH >PVH62296 pep chromosome:PHallii_v3.1:3:17360121:17361494:-1 gene:PAHAL_3G261800 transcript:PVH62296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCGPPFDFDLNEPPPEDDGANGASPARAPEPVHEPSPRDLLPPLPQETLPSPQHEDSLPPPAPELSAHDPLLPPVPESWPHDPRPSPAPEPSPRDPPHLPSPVLDLEAPLSSLDDDDYDCDEADLPPPPPLPPFGLSVGGAPALSSSSVDTPRELPLAPSRRGGRTSREPFGDAARLSSPEDPARRGPSRPASGTAPPRWSRRRPHSYAPRDDGAISKLRRVVYYDEDAGSSRCGSRRSEPGSPRQYERSELASPPQYEPGGGRHAHGPPGAPPRNRRRRRRRPQRPQHEYQYPGPFQKQQGRGWEKPQGLRGQERPQVHQGHHGPRGPDVPNMGYSSYGPQEPPKIGGYHQHSREAPSLRPSSGAHGGDGSSGGRQSPPREPVNGGAYRQRKAPRGREYFPDRPYKPYARDGGALDRSKGGSGQACREPYERRRDSKQRTSDGGPSRGRPYYGD >PVH62182 pep chromosome:PHallii_v3.1:3:14966731:14966955:1 gene:PAHAL_3G230000 transcript:PVH62182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLRCTLYALIFTLYADSSLLNFVLLFPHLGVASVHSIVVDQNQLLLSPKENLSELTCCLAEQLSHLSLYTIW >PVH61280 pep chromosome:PHallii_v3.1:3:302599:304288:-1 gene:PAHAL_3G004500 transcript:PVH61280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAVASSNDNAPTTGAAALLSPMLLNYRRPSTPPLADAEHHLPNTPQQHVHCLGELPSFRRQKSGNEPFAGRVVLAVNWTSARRTCNISGGMLVLLLLGLFDGAFPAPAERNRWIEINNQVLNALVTIISLYQHPALCHHLFLLCRWRPHDAAELRAAYCKGAAPRAGERVHMAVVVALLHLTVVCHTCSAVSTGGTRYTKATRPELAEDGFFVLGVVAPVAAAVYTVCSPLGKDGQCQELACSNSAAETQSQMHPTPIGHVVTEPEWAGGMLDCGGDTSSAWCLSLPCTFCVLGWNMERLGFGNAYVHAVTFALLCLAPLWVLGVSALHIRDYAISDMVGGAGVVLCAFGLLYGGYWRIQMRKRFGLPGSRACCGSKSLTDYARWLLCWPCALAQEVRTANLYHVDGEILYSKVADGGHEERQPLLLAVSSDHDDVLLSATNTVANGHLVVVHDETTIMAPPVHQVAAVQIEDEKPEECSVSLHVEMVNSWIPTSVPPGEEYESLSEPSQAMVNDYDHRLSSAGNWRRAGGGGGGGGARR >PAN17252 pep chromosome:PHallii_v3.1:3:7442899:7447208:-1 gene:PAHAL_3G116400 transcript:PAN17252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTPPVKASKNIESQKQSAPNLTVTNGHAGTKEVVNGERPIKDVKRTSTWICKNLACKAARPSEDSFCKRCSCCICHKFDDNKDPSLWLVCSSENDSKNCCGSSCHIECAFRHKRVGCFDLEQIIHLDGSYSCASCGKISGILGRYWKRQLVIGKDARRVDNLCQRIYLSYRLLEGTSHFNELHAIVEAAKAKLESEVGPLDGMSAKNARGIVSRFSAGIDVQKLCSTAIQRADELLSSPDLHLRDSLPAACRFKFVDITSSSVVVILKETSSSDIIKGYKLWYWNSREQPSVEKPVVLPKDERKILVFNLSPCTEYCFRAISFTEDGVLGHSESRCRTNSKEIFFKRATQNAGGTHIQKRDRSQSFKSTGFKIGGLWKSMQETWGEEGCFEGFCEDTHEGSWSRSATDTEFSGACRKLHFNASSVPDLNVEVPAPMDYTTEKHYHSKKALVRSNDSGDSETCAVGRSAEPPAVESRPVGKVNSALIDRCEQNGASAICREKQLSGTTRQLDGSYEHCVKVIRQLECDGHIENGFRMKFLTWYSLRSTDQERRAVTTFIKTLSEEPSSLAEQLTDSFGEIINCKKSRTGFCNKLWH >PAN17251 pep chromosome:PHallii_v3.1:3:7442799:7447233:-1 gene:PAHAL_3G116400 transcript:PAN17251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTPPVKASKNIESQKQSAPNLTVTNGHAGTKEVVNGERPIKDVKRTSTWICKNLACKAARPSEDSFCKRCSCCICHKFDDNKDPSLWLVCSSENDSKNCCGSSCHIECAFRHKRVGCFDLEQIIHLDGSYSCASCGKISGILGYWKRQLVIGKDARRVDNLCQRIYLSYRLLEGTSHFNELHAIVEAAKAKLESEVGPLDGMSAKNARGIVSRFSAGIDVQKLCSTAIQRADELLSSPDLHLRDSLPAACRFKFVDITSSSVVVILKETSSSDIIKGYKLWYWNSREQPSVEKPVVLPKDERKILVFNLSPCTEYCFRAISFTEDGVLGHSESRCRTNSKEIFFKRATQNAGGTHIQKRDRSQSFKSTGFKIGGLWKSMQETWGEEGCFEGFCEDTHEGSWSRSATDTEFSGACRKLHFNASSVPDLNVEVPAPMDYTTEKHYHSKKALVRSNDSGDSETCAVGRSAEPPAVESRPVGKVNSALIDRCEQNGASAICREKQLSGTTRQLDGSYEHCVKVIRQLECDGHIENGFRMKFLTWYSLRSTDQERRAVTTFIKTLSEEPSSLAEQLTDSFGEIINCKKSRTGFCNKLWH >PVH61674 pep chromosome:PHallii_v3.1:3:5904583:5905928:1 gene:PAHAL_3G092000 transcript:PVH61674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCISSVSGTLVKRGYLKKNSNRRGASRSPAPAYARLPCGAMLGVLPGVARCGCLVCGAELAVDPVRLPPRYFLSAAVGLQPCRHTRRLSAAAGGCSVGVLQPYRRAAARASAVVLGGRAPPRGATGMPSRRRGGCSSPAFIPVPKGRAPPRRLQPWRRPQRLGGAAGAAAATAREMATARGRARIGPSGLRSLLMRWTWRGMAKRSAGERGE >PVH62387 pep chromosome:PHallii_v3.1:3:18859831:18862339:-1 gene:PAHAL_3G280900 transcript:PVH62387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRCAPEIPVKGSQPVRVTAGARQRQRMNADPGSKAGALVQSKASISCHPDPPVPVLPVLTGSSQSQINQSSEPDARLLRFTSVCRVSVDRCAAGRRGAWCALVAWSPAAWCAPSHQDPVSGHPDLPARSGPARPPTWRVRPRTGSVGVLPPPGPAVRLGCAPAAGAEETGGWFPCAARRLSSGGEIEAGRCTLECSRGVRRARGCSCSCSCAPAGSRTKLVASRVTSSSRAIRGRGQAGGPRHRQQRWQCLAVRPVRRARIAYVGDWPDVPGHARVAAACGDTCWWWCRGAGEVGHAKSCATWLVGWGRWDAERACFRKALCLEIRTVLHLGLCCWATACLDVCGACLTSTVAVSALR >PVH61356 pep chromosome:PHallii_v3.1:3:932163:933044:1 gene:PAHAL_3G016900 transcript:PVH61356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDAFLLDDENNSLVKRITTLESGLATARDSVLRLGGDSDNRKEMERRSWWQTWTASLGRACSQFFTS >PAN16001 pep chromosome:PHallii_v3.1:3:1397768:1400965:-1 gene:PAHAL_3G025200 transcript:PAN16001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFLLRSMGSLAILACLSLAMPAAAAAATVPQPEPEVKPSDTDALTIFRHGADAHGILAANWSTGDACAGRWTGVGCSADGRRVTSLALPSLDLRGPLDPLSHLAELRALDLRGNRLNGTLDALLRGVPNLVLLYLSHNDISGVIPDAVARLPRLVRVDLADNSLSGPIPAAALGKLTGLLTLKLQDNLLTGLLPDVSAALPRLAEFNASNNQLSGRVPDAMRAKFGLASFAGNAGLCGPAPPLPPCSFLPREPAPTPPSSVPSSMVPSNPAASSSVASSSPALATPESLSGAGKGGLSPGAIAGIAVGNTLFFFALASLLVACCCCGQGSGGEPAAKKRKRRGRVGLEDGDGVLFGHLKGEQPARPGSAGRCSDGGDSDGARSKLVFFGADGEGGDDDDDGDSDGSAGGRPRGGAPLTSHLQERRSRFQLEELLRASAEMVGRGSLGTVYRAVLGDGRMVAVKRLRDANPCAREEFHRYMDLIGRLRHPHLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGPKMTGESPLDWTTRVRLLLGAARGLACIHREYRTSGVPHGNIKSTNVLLDKDGAARVADFGLALLLSPAHAIARLGGYMAPEQADNKRLSQEADVYSFGVLVLEALTGKSPAAQHPHPLPDGADAQRRDKKSSAAAAAASLPDWVRSVVREEWTAEVFDVELLRYRDIEEEMVAMLHVALACVAPQPEQRPSMGDVVRMIESVPVDQSPMPEEDRDVSVTSPSIGITTDDGGGRLSY >PAN22034 pep chromosome:PHallii_v3.1:3:63729305:63730792:1 gene:PAHAL_3G508500 transcript:PAN22034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDVEYRCFVGGLAWATDDNSLHNAFSPFGEVLESKIILDRETQRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRSITVNEAQSRGGPRSGGGGGGYGRREGGGGYGGGGGYGRREGGGGYGGGGGYGGRGGYGGGGGGGYGGNRGGGYGNSDGNWRS >PVH62213 pep chromosome:PHallii_v3.1:3:15416773:15418948:1 gene:PAHAL_3G237600 transcript:PVH62213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKQGIQRSATFVEDHRQTSSGGSASPAIASPRATRFADDNRRPERSSGLAARVMVASSAARGDLTLPAFGDRFAAAAASHGDTQLSSPMPDPVTQLYTSTTMLNDDGPKYDLELSKKDETRHGLWSLVAQKAKVMLDENGTPRAHSSESRWSYDRVRNSESPTSRKGAPEGKLDIGGKIKNVLEEGLTVADTTTPGSGAAVVAGRKLQIRRKACSMDFRSANLVTSSDSSPMLADVESPQIKASRDVANAMAAKVKLLQRELKTLKADLAFSKERCAQLEEENRQLRDGNHDADEDMIRQQLETLLAEKARLANENTLYARENRFLREIVEYHQLNMQDVVNLDEDDIEEEDEDDADEDEEKEAEQQYQDRGSTLPSQHVHEEEEHQAAGPGTAPESPSHRRESPRMPGTNGGDTTDKESPRRLNTNSGDTVDYESPRRNG >PVH62214 pep chromosome:PHallii_v3.1:3:15416774:15418947:1 gene:PAHAL_3G237600 transcript:PVH62214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKQGIQRSATFVEDHRQTSSGGSASPAIASPRATRFADDNRRPERSSGLAARVMVASSAARGDLTLPAFGDRFAAAAASHGDTQLSSPMPDPVTQLYTSTTMLNDDGPKYDLELSKKDETRHGLWSLVAQKAKVMLDENGTPRAHSSESRWSYDRVRNSESPTSRKGAPEGKLDIGGKIKNVLEQEGLTVADTTTPGSGAAVVAGRKLQIRRKACSMDFRSANLVTSSDSSPMLADVESPQIKASRDVANAMAAKVKLLQRELKTLKADLAFSKERCAQLEEENRQLRDGNHDADEDMIRQQLETLLAEKARLANENTLYARENRFLREIVEYHQLNMQDVVNLDEDDIEEEDEDDADEDEEKEAEQQYQDRGSTLPSQHVHEEEEHQAAGPGTAPESPSHRRESPRMPGTNGGDTTDKESPRRLNTNSGDTVDYESPRRNG >PVH63225 pep chromosome:PHallii_v3.1:3:62773601:62774890:1 gene:PAHAL_3G497100 transcript:PVH63225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQERRRQRRRITAVAEEEPRSVHDVPDELLRLILLLLDSPIWLVRAACACRRWRRAVADGGRAFLRLAGSFHLPAVVGHYHVRSCRPFAFVSSPALPINGGRFSLDFIPAIKDWMVADYHGGLVLLRKLGGSLANLIIVCDPLTRRYQGTRHPSEKQLDQAYAAGAFLLDGEDGGISISNFRVLHCFHQGLRASVFVFVSTADGAGWRFLRQSTDAGDCCGHVAGRVDGSIYLGLATGKVKILDKSSLEFSDVDLPIRIDRSKLPSGSAFTVVHGAGPNPTSPPTTWIIHVHGDALEFFRLVRGGGAWVLEHSIPQLSEATRGLPGCHKVLKWRAVDVIAGGTGIAVVSARAGYKRGWLFSVDMGTKELQVVPEDRIKAYHRTTRTFTYMLPWPRSVLACLPP >PVH62061 pep chromosome:PHallii_v3.1:3:12852594:12852899:-1 gene:PAHAL_3G196100 transcript:PVH62061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSSETFRRSGSSGLVWEDKNFSGEIKPAGDGGGADAALERSRSAGHAHGGYRAVGRVPPALDPPSPRVAVCGFCRLFGGGGKGKDGGKAKAKGRRH >PAN16549 pep chromosome:PHallii_v3.1:3:4348865:4350800:1 gene:PAHAL_3G068200 transcript:PAN16549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQLHPGGGGGGKDRISDLPDEVLLNVLSGLGSTAEAARTSALARRWRRARPLWTELHVLVFRGLDPGMVRGLLARASHRQLARLEIRVPGQAVGIAAAQVTSLLRAAEEHQPEELIFEVGGVGAGADDPFELPCFARATSVDLQIWNRSFTLPPAGEFSNLRMLTLSLCTVSPNDFLSRCPQLQVLHMGCYWVFDFVTVSSASLQELILRADVPVPVDDPNNTPQQLVIVEAPALEKFRLQSYGLRGVITSFSAPMVDTLSFTFCSKASRCIGFSWPWRWRVLSLSTAMGWVTRYGEADAVRRRVLSMVIIDNDDGRCDAVRSFAEEIVRLPVNNFSVLKLELRTNDGHAFGTDVLQLLKTLNTVQRLHLVLPPTNERGYWSKKREIKSNEGISLINLEEAEIEGLNVHDHDFDFMKLLFASAPVLKVVRVTLFPGFSQSSQRYKQLCYLLWAKTWVKCFVNGRLEAL >PVH61532 pep chromosome:PHallii_v3.1:3:3555128:3555991:-1 gene:PAHAL_3G056200 transcript:PVH61532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRTHRVVSGDGTILVYDFTPHPPGRRADYGFVREDFEGAILCPEKDCPDHEPWMGVSRDLGTDRCCAAVYNHGDVVCSDLANCYILWQTPGKIRRCSYLLESRGGGELLLASVLQEACGGGRLACDLSVSLHALEREGGEEPLVEWTRRDDGTSMLGDDVLFLGFPGSFAVEAARFGGEVSGGTAYFVMDNDSGGQTEEPCRVYRYSFHDGAATLVETLPPGWHDARCMWFLPDPQISPIRRGGPATSSGSSRRGSVPEGG >PAN17560 pep chromosome:PHallii_v3.1:3:9003957:9009109:1 gene:PAHAL_3G140000 transcript:PAN17560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQLLAMVLSNLKGIAEGNLNAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFSIALSWKPDAQNNEIQHTIVFPKGNAIPSTKAMTFYRANTFAVDVVNVDTNDAQIEPKISTYTIGPFQSSNGEKAKLKLKVRLNIHGIVTVESATMLEEEEVEVPVSATNEAQKEATKMDTDDTPNDPPSGTDVNMQESKGATDTAEGAENGAPTSEEKSVPMDTDAKVEPSKKKVKKTNIPISELVYGALGAAELDKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYGDFVTPEDKEGLIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEARFKEWEIRDSAVNQLVYCINSFREAALSNDQKFEHIDISEKQKVINECSEAETWLAEKKQQQDALPKHANPVLLAADLKKKAETLDRFCKPIMTKPKPAPKPQTPPPTETPAPEPQTPEQQQPHGENAAGEPTSEEAAAEQMDTDKPEGASDATA >PAN21291 pep chromosome:PHallii_v3.1:3:23287108:23292926:-1 gene:PAHAL_3G318400 transcript:PAN21291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAAGTPWLLLVVCLAAAATAGAQPDSMGFISIDCGLPGPASSVDDTTKLPYSPDAAFTDAGASGNISAQYVTQQLGKRFLSVRSFPDGARNCYTLRPLVAGLKHLLRAEFMYGNYDGLGRPPAFDLYVGVNFWTAVNVSSPDAETAAEAVVVVPGDSLQVCLVNTGSGTPFISALELRPLKSSVYPQANATQGLVALARVNFAPTVATDVVRYPDDPYDRVWLPWLDATVWDTVSTAKRVLNVEADLFEAPSKVMQTAITPRGGNTSDRIKFSWSSRPQPRDPAPRYILVLYFSELQPLPGGSARQFYININGELWYPGGFTPSYLVTSQIYSISPMWGSDQYNVSLNATANSTLPPIINAVEVFSVIPTTNLGTDAKDVSAIAAIKMKYRVQKNWMGDPCSPKTLAWDGLTCSYAISSPPRITGVNISFSGLDGDISSSFATLKAVQYLDLSHNSLTGSIPDALSQLPSLTVLDLTGNQLSGSIPPGLLKRIQDGSLNLRYGNNPNLCTANTCQTTKGKSKLAVYIAIPVALAVVIGLVVALFCLLRRKKQGSTRNTVKPQNEMPDAPPQPDSEYMYSQSSLQLENRRFTYKELEMITNNFQRVLGRGGFGKVYDGFLEDGTQVAVKLRSQSSTQGVKEFLSEAQILTRIHHKNLVSMIGYCKDGQYTALVYEYMSEGTLREQIAGSGRSGRCLTWMQRLQIAHDSAQGLNYLHKSCNPPLIHRDVKATNILLNAKLEAKIADFGLSKVFNHDNHDHVSTNTFVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGRQAILSDPEPTSIVHWVRRRLAWGNIDDVVDTQMRGEYDVNSVWKAVDIALKCTAHASVQRPTMTDVVVQLQECLELEEGHSTRGSFYTGSSSNPNFGYDNNTTECQSNDVSQTSTAFEMEHNFGKVSRMGRGPVAR >PVH62738 pep chromosome:PHallii_v3.1:3:44613314:44616193:-1 gene:PAHAL_3G383800 transcript:PVH62738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSESEEHGSLLEKINDKIHEYKHSSSSSDSDDDEKSKKSKKKKLFGRKHPLHHVLGGGKAADLVLWRDKQTSGSILAGVTVIWLLFEGIGYHLLTFLCHTLIVFLTVWFVWSNAASFVNRSPPKFPEVILSEVQCLKIAHIARKEINEAFYTLRNVASGKDLKTYLTTVAILWFISIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDQVDVVGEKALIEIKKQYKVIDAKLLSKIPMLSEKKQH >PAN17283 pep chromosome:PHallii_v3.1:3:7546407:7547219:-1 gene:PAHAL_3G118500 transcript:PAN17283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQVLEVTLISAKDLKRVTFFTKMRVYAVASISGGDPRLPTHRTYADREGGRNPMWHAPLRFTIPPAADPRGLALHVLLRAERSFGDRDVGEVFVPVRDLAAAAPEGGEQRHLSYQVRRPDSGRKRGVLHISYRLTDAPAPDAAGPYNAAHKFVQDPVTSKRHHNHKGASAITANPVGPRSVPPYGPTYGGAYPHHHQYGYGAYGYGAPPYGYGGNCAGPAARAGGGMGTGLGLGLLGGAVGGLMIGDMIADREVDGAYDGGFMDGVGC >PAN18391 pep chromosome:PHallii_v3.1:3:12967865:12972005:-1 gene:PAHAL_3G198000 transcript:PAN18391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAARRGGAMRPPSSSMRVALARSPAATFLLAAAAAAAVVGGLYFWVVVSSFRLPDSGAAGCRPDGEGSWSVGMFYGSSPLELRPIELEGRSNGNSSAWPVANPVLTCATPTEAGYPSNFVADPFLYVEGDTLFLFFETKTTTSMQGDIGVARSFNKGAAWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWALEKVLVNKPLIDASLVQFEGYWWLFASDFTRYGVEKNAELEIWYSNSPLGPWTEHKQNPIYKSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYRVEKLTKEEYKEVPVKLGIEEPKKGRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGESTRRSLFGYIGFLLAIALVVFVGFVKGAISCYIPPSFWVPLTRRTELSRILPVHRFNQKVRRYSTNIGRYFSATKTRLNEKTWSNMLFFWVVALIGITNVCIAVHFLCSGNGAEEAYTYKGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNPPSSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVFELDDDIMMTCTDLEKGFRVWREHPERMVGFYPRMIDGNPLQYRNERYARGKRGYNLILTGAAFMDSEFAFKKYWSEEAREGRDYVHKNFNCEDLLMNFLYANASSSRTVEYVHPAWAIDTSKLSSVAISRNTQKHYDIRTNCLAKFSSIYGPLPQKWEFGMREDRWDK >PVH62122 pep chromosome:PHallii_v3.1:3:13592236:13597310:1 gene:PAHAL_3G209200 transcript:PVH62122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQVTSQGYLDEQFCQIEDLQDEASPNFTEEVVSLFFKDSARLMTNIEQAMEKNPRDFNRWDAHMQQLKGSCYSIGASRMKNECTSFRNNCGDENAEGCMRSFQKVKREHGVLRQKLESYIQLLRQAGPAETATRPGCA >PVH62124 pep chromosome:PHallii_v3.1:3:13592958:13597310:1 gene:PAHAL_3G209200 transcript:PVH62124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYNLQRQAACLKRSLFDQGYLDEQFCQIEDLQDEASPNFTEEVVSLFFKDSARLMTNIEQAIDFNRWDAHMQQLKGSCYSCMRSFQKVKREHGVLRQKLESYIQLLRQAGPAETATRPGCA >PVH62123 pep chromosome:PHallii_v3.1:3:13592958:13597310:1 gene:PAHAL_3G209200 transcript:PVH62123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYNLQRQAACLKRSLFDQGYLDEQFCQIEDLQDEASPNFTEEVVSLFFKDSARLMTNIEQAIDFNRWDAHMQQLKGSCYSIGASRMKNECTSFRNNCGDENAEGCMRSFQKVKREHGVLRQKLESYIQLLRQAGPAETATRPGCA >PVH62126 pep chromosome:PHallii_v3.1:3:13594408:13596813:1 gene:PAHAL_3G209200 transcript:PVH62126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYNLQRQAACLKRSLFDQGYLDEQFCQIEDLQDEASPNFTEEVVSLFFKDSARLMTNIEQAMEKNPRDFNRWDAHMQQLKGSCYSIGASRMKNECTSFRNNCGDENAEGCMRSFQKVKREHGVLRQKLESYIQLLRQAGPAETATRPGCA >PVH62125 pep chromosome:PHallii_v3.1:3:13592958:13597312:1 gene:PAHAL_3G209200 transcript:PVH62125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYNLQRQAACLKRSLFDQGYLDEQFCQIEDLQDEASPNFTEEVVSLFFKDSARLMTNIEQAMEKNPRDFNRWDAHMQQLKGSCYSCMRSFQKVKREHGVLRQKLESYIQLLRQAGPAETATRPGCA >PAN21101 pep chromosome:PHallii_v3.1:3:57792203:57796288:1 gene:PAHAL_3G440300 transcript:PAN21101 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ protein JJJ1 homolog [Source:Projected from Arabidopsis thaliana (AT1G74250) UniProtKB/Swiss-Prot;Acc:Q9C911] MASAAGGAPKRCYYEVLGLSRDCSPTDIKLAFRRLALSLHPDKQAPGADLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFSDASAAGAKSASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFDRVFAQELAYARRMGVPEPAAPPVIGNLDSPYAQVTAFYNYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRRAEEKERKKEEEKRKKERAMSYQEPDWARVEEEEVLYDDDEEEEMKAKRKEELYCVVCNKKFKSDKQWKNHEQSKKHRDKIAELRMAFKEEEESLKEAEEEGEGDWNEVDVGFDFKPTQESDDESVFSDAAEELAEELEEGLEVHDKENDDKVSDSAEQEVGSYDEASVLEAMLSNRENRKGAYVPPPEEALSGAAEDDDDDRSSEVNNTKRKGRRRRAAKKEQDEGTYADNEQHGKNEAQPQESGHGNDVDDKMEGPSSSNDDGASASKEDKQNGKNNNPKKNRKNKKGAEKKTVSADQKSTSKADQKSTSKGKKQKDVSKAPSNDCETCGGTFESRNKLFSHLEETGHAMLKTRQKNRG >PAN19835 pep chromosome:PHallii_v3.1:3:20861908:20869640:1 gene:PAHAL_3G302400 transcript:PAN19835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRKTKAEPEPAPAFSIGNCKVEIHGSGLRCEPTEQGLTVSGPRGAKVVVSVSGDQKSASNGVGEGSQFILLNPSDADSQTKSLLQEALTLYKQELPSMDYAADTGRKSGFLEKCTTNGKYKTLILTSSPAAKHEEVIAAVSYQIVPADTQYAEIPLTVVRSSHQRAGIGHLLYKELSQRLQNVGVTTIFCWADKVSEGFWLKQSFISVGEVDTRGKIRKIPVRADIKRALCFPGGSTLMVAHLKKELPILQTCDKSQTSPLHTVALDSISPDDTDVPLCMVLQTYKRYNVRKSAKVARNDAHIDCSKSSLPEQEPKKRIYEMSSSSLKSKRIRCSNDGDNCQDMNQNDTHDNYLCSSPGNSILIPKEHRAPSMGVHFENKMSGDEKAIIHSNGSPTIMLMNIADEQKKARLTKVVETLGGFVTCEGHSCTHIVTGKARRTMNFCIALSSGAWIVSPNWLKESFRQGQFVGEAQYVLEDEEYRMQYKSEVRDAVMRAKERPNSLFSGYTFCLSKYIQPSFDVLSSIIKSTGGKIIKRLNELDEPSQAIFLVCEEETELALVAAKRGIKTFSSDWFMSCVMKQELDLEAPQFTVSL >PAN19836 pep chromosome:PHallii_v3.1:3:20862751:20869640:1 gene:PAHAL_3G302400 transcript:PAN19836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAADTGRKSGFLEKCTTNGKYKTLILTSSPAAKHEEVIAAVSYQIVPADTQYAEIPLTVVRSSHQRAGIGHLLYKELSQRLQNVGVTTIFCWADKVSEGFWLKQSFISVGEVDTRGKIRKIPVRADIKRALCFPGGSTLMVAHLKKELPILQTCDKSQTSPLHTVALDSISPDDTDVPLCMVLQTYKRYNVRKSAKVARNDAHIDCSKSSLPEQEPKKRIYEMSSSSLKSKRIRCSNDGDNCQDMNQNDTHDNYLCSSPGNSILIPKEHRAPSMGVHFENKMSGDEKAIIHSNGSPTIMLMNIADEQKKARLTKVVETLGGFVTCEGHSCTHIVTGKARRTMNFCIALSSGAWIVSPNWLKESFRQGQFVGEAQYVLEDEEYRMQYKSEVRDAVMRAKERPNSLFSGYTFCLSKYIQPSFDVLSSIIKSTGGKIIKRLNELDEPSQAIFLVCEEETELALVAAKRGIKTFSSDWFMSCVMKQELDLEAPQFTVSL >PVH63081 pep chromosome:PHallii_v3.1:3:60378643:60384962:1 gene:PAHAL_3G468800 transcript:PVH63081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTGPENHTSFCFAASHITHAFAGTMAESKRFDTKSPGCLEGLFNFLALNQRLQKPKMIAYRKHSEGSNNTLRVKVPKPKNRGEKDETIPKETSSSSPSGKAHMFIWRTLMFKKKTPEKNQKKSSSSAITPSSSRLMRSRSIHHSKCFDYEVSDDLAAHYHAMNNSSSNEMGFYHSESPPLSHESPQHPSTQETCRSCGSIGGKDSIDLEAPCETAPGNLTAESELPSMPKNCDAATHHSKEFLDFLELFNAHRELFLKILHDPSLLATAEQQGEASSSGTVPLNRLESFPRPGGSSGKRNPIFDRSDSEKIRKSELHKSPSRPNTDVEAAKVISTRMPSGVDGSAVSLSESRSLKKAGTTSNRFKAISRKIKDVVKENRKELARITKDGVFHRLPYGQKMSELTRSPSTEKFVHEEKQIRRSYSIAEYVEKYSSLYESISRELKVSPERSSTKMGGNASLKDKKPPLGFKRITSLPEMRLCSPHQGGLTEVSDSRIEPKTCIVEPDRLSSHRTDAFSTYEEGNFYPDDVTERSGIHSEVNYGEADFVGALEENFRSILRSPSLSSLGRSFTHRRINSLPSFDRSFFQDRSGSFTEHSVAGSEPPFENLQLEDEDWLVKPPESPGAYAANFKDDEWVVTPLKHSGVLNGIDHEDQEWLVKASQLSGAKAADLEDEEWLVKPGQPITNDALDSDFRFIHEFAEQGAAEPLHIYVSDKNEADFQYVKDILKKSGFSCGDVDWYASNQPVSPVVFEEAECSCQELSMASDEPQSIVRRMLLFDLINEVLLDIYDSSLVIGPWHSRFDLRTRPIPMGSHVLEEVWAKVSCYLSLHWREGQTVEDIVAHDLMRKDNWMNLVYDAECTALDMEDLMVEDLLDDVVIQIVLESIDE >PVH63080 pep chromosome:PHallii_v3.1:3:60378643:60384962:1 gene:PAHAL_3G468800 transcript:PVH63080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTGPENHTSFCFAASHITHAFAGTMAESKRFDTKSPGCLEGLFNFLALNQRLQKPKMIAYRKHSEGSNNTLRVKVPKPKNRGEKDETIPETSSSSPSGKAHMFIWRTLMFKKKTPEKNQKKSSSSAITPSSSRLMRSRSIHHSKCFDYEVSDDLAAHYHAMNNSSSNEMGFYHSESPPLSHESPQHPSTQETCRSCGSIGGKDSIDLEAPCETAPGNLTAESELPSMPKNCDAATHHSKEFLDFLELFNAHRELFLKILHDPSLLATAEQQGEASSSGTVPLNRLESFPRPGGSSGKRNPIFDRSDSEKIRKSELHKSPSRPNTDVEAAKVISTRMPSGVDGSAVSLSESRSLKKAGTTSNRFKAISRKIKDVVKENRKELARITKDGVFHRLPYGQKMSELTRSPSTEKFVHEEKQIRRSYSIAEYVEKYSSLYESISRELKVSPERSSTKMGGNASLKDKKPPLGFKRITSLPEMRLCSPHQGGLTEVSDSRIEPKTCIVEPDRLSSHRTDAFSTYEEGNFYPDDVTERSGIHSEVNYGEADFVGALEENFRSILRSPSLSSLGRSFTHRRINSLPSFDRSFFQDRSGSFTEHSVAGSEPPFENLQLEDEDWLVKPPESPGAYAANFKDDEWVVTPLKHSGVLNGIDHEDQEWLVKASQLSGAKAADLEDEEWLVKPGQPITNDALDSDFRFIHEFAEQGAAEPLHIYVSDKNEADFQYVKDILKKSGFSCGDVDWYASNQPVSPVVFEEAECSCQELSMASDEPQSIVRRMLLFDLINEVLLDIYDSSLVIGPWHSRFDLRTRPIPMGSHVLEEVWAKVSCYLSLHWREGQTVEDIVAHDLMRKDNWMNLVYDAECTALDMEDLMVEDLLDDVVIQIVLESIDE >PVH62111 pep chromosome:PHallii_v3.1:3:13466520:13470644:-1 gene:PAHAL_3G207200 transcript:PVH62111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWLLACAMEQSAPRTAAARSWLLLLCLAAVATAGALQARAQPDSIGFISIDCGLPGTASYVDEATKLSYAPDTAFTDAGSNHNISAEYITPKLGRRYLNVRSFPGGARSCYTLRSLEAGLKYLLRATFMYGNYDGLRRPPVFDLHVGVNFWTTVNVTEADNPVIPEAIVLVLGDSVQVCLVNTGSGTPFISGLDLRPLKSTLYPQANETQGLVLVGRMNFGPTEITDIVRYPDDPHDRFWYPFVDATNWSSISTAKRVQNLDKDLFEAPSKVMQTAITPRNASSNIEFFWDAEPHPKDPTPGYIGILHFSEVELLPSNAARQFYINLNGKPWYPKPFTPEYLYTDATYNSNPYRGIARYNISINATANSTLPPIINAVEVFSVIPTTNVATDSQDVSAITVIKAKYHVQKNWMGDPCVPKTLAWDGLTCSYAISTPPRITGVNLSFSGLNGDISSSFANLKAIQYIDLSHNNMAGSIPDSLSQLSSLTVLDLTGNQLNGSIPSGLLKRIQDGSLSLRYGNNPNLCSNGNSCQTTRGKSKSKLAKLAIYIAVPVVLVVVIVSVVVLLCCFLRRQKRGTTNSVKPQNETPTSHAQPGDAYHQNSLQLENRRFTYKELEMITNNFQRVLGQGGFGKVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIQGNGRNGGHLSWARRLRIALESAQADLHAGLEYLHKGCNPPLIHRDVKGANILLNSKLEAKIADFGLTKAFNREDGTQVSTNSLVGTRGYVDPEYHATGKPTTKSDVYSFGVVLLQLVTGRPAVLRDPEPASVIQWARQRLARGNIEGVVDPRMRGDHDVNGVWKATDVALKCTAQSAAQRPTMTDVVAQLQECLELEEARAGGGANGSSYTGSSGGDPYSGRNGYAADGFGLSTDVNQSSTAFEMERNFGRVPTIPTGPAAR >PVH62110 pep chromosome:PHallii_v3.1:3:13465540:13470644:-1 gene:PAHAL_3G207200 transcript:PVH62110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWLLACAMEQSAPRTAAARSWLLLLCLAAVATAGALQARAQPDSIGFISIDCGLPGTASYVDEATKLSYAPDTAFTDAGSNHNISAEYITPKLGRRYLNVRSFPGGARSCYTLRSLEAGLKYLLRATFMYGNYDGLRRPPVFDLHVGVNFWTTVNVTEADNPVIPEAIVLVLGDSVQVCLVNTGSGTPFISGLDLRPLKSTLYPQANETQGLVLVGRMNFGPTEITDIVRYPDDPHDRFWYPFVDATNWSSISTAKRVQNLDKDLFEAPSKVMQTAITPRNASSNIEFFWDAEPHPKDPTPGYIGILHFSEVELLPSNAARQFYINLNGKPWYPKPFTPEYLYTDATYNSNPYRGIARYNISINATANSTLPPIINAVEVFSVIPTTNVATDSQDVSAITVIKAKYHVQKNWMGDPCVPKTLAWDGLTCSYAISTPPRITGVNLSFSGLNGDISSSFANLKAIQYIDLSHNNMAGSIPDSLSQLSSLTVLDLTGNQLNGSIPSGLLKRIQDGSLSLRYGNNPNLCSNGNSCQTTRGKSKSKLAKLAIYIAVPVVLVVVIVSVVVLLCCFLRRQKRGTTNSVKPQNETPTSHAQPGDAYHQNSLQLENRRFTYKELEMITNNFQRVLGQGGFGKVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIQGNGRNGGHLSWARRLRIALESAQGLEYLHKGCNPPLIHRDVKGANILLNSKLEAKIADFGLTKAFNREDGTQVSTNSLVGTRGYVDPEYHATGKPTTKSDVYSFGVVLLQLVTGRPAVLRDPEPASVIQWARQRLARGNIEGVVDPRMRGDHDVNGVWKATDVALKCTAQSAAQRPTMTDVVAQLQECLELEEARAGGGANGSSYTGSSGGDPYSGRNGYAADGFGLSTDVNQSSTAFEMERNFGRVPTIPTGPAAR >PAN16886 pep chromosome:PHallii_v3.1:3:6003757:6004772:1 gene:PAHAL_3G094100 transcript:PAN16886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAAKEKKAAAGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNIHSVLLPKKTAEKAEKAAKSPKKAAAKSPKK >PAN18032 pep chromosome:PHallii_v3.1:3:11468977:11471883:1 gene:PAHAL_3G173400 transcript:PAN18032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGGALLLVAVLAVAAVAKESADDTLPVETNGDGSNAQSGVENSEHHDETNPNEEHVTHENGGVKNDTSESNKKDNSTEETNIRRDGSVLQPKDKDSSTIKSSQAKDFLKDPLIMECDPSHRCIIENRKFIACLKVPGEDSLALSLLMDNKGMDPLDVSIRAPDYVTLAEDTVHVEANDHHETQVSVSISDAANNTAIVLKVAGESCAINIHSAVAREAVRVIRMPLTSTYTLLPVVLLFAVVGACVKLWRMCKQNGGPAYQKLDIAELPVSIGGKKEPNQSDKWDDNWGDDWDDEEAPMTPSKPIPNPSSKGLAPRRSTKDGWKD >PVH61742 pep chromosome:PHallii_v3.1:3:7097954:7098151:1 gene:PAHAL_3G111200 transcript:PVH61742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRRPATAVSLCFICYCCYIFISAPGLGELESNGTEIRASHVNANKEFAFPFRVTAQTKLLGYG >PVH62672 pep chromosome:PHallii_v3.1:3:37734024:37734822:1 gene:PAHAL_3G364000 transcript:PVH62672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVISAMIDEESRIRVLGSGNPMKLSYVAIEDRECYNCGEKGHMSYNCPNPKGNGGRGGTRGGRGSTLGAYGGGRGSRGGGRGRDRGGPWANVAATEETPSITLTGEQVKQWEQWQKGKASESSTSTSLDPMTSTSNNFGNFANYARIGEGERDWEKD >PAN17551 pep chromosome:PHallii_v3.1:3:8974864:8980985:-1 gene:PAHAL_3G139400 transcript:PAN17551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHHLLLLSPPGPQPPRPALLPAPRGGVAARAPTAVLASASNAARSPSVAAVAADDATRRRAVLLVGVSVLPLLRLRDAAAAAAAVRAQRSTVDLVTDRTDIQKADGSQHAVPQEELPQSVVKVPHARNPLAGLLNAIAIMASGVFTVLLGTSQQEKKDLQSTISSMETKLVENEAAMSMLRENYEKRILDEQAELKKQARKFQDEEALLQDKLASSRRTVTSLTEEVQRGKELVEQLNLEIDRLKRTIAEAEEDKHMSEGKLNEKMEMLDILHDKINLLSQEVNGKDEHIRELSSSLSAKKKDYQNLSTIYSQAKENLEQANSQIKQLEKDILTDKDDLKSKASLIDSLNEKVQTLCTEKGEAEEKISVLTSQYMDLKTASEERASLDSELLFEKNNKLNQLEEKLSAALSDSSKDRTRIAELNNELDTTRTMLDNEVVARKSLSDLVHSTEEALRDSRNEVFKLTEDLDEVRRSNQDLMTQISKLTDEASEARQALAKKVEEAESVSATLSDELASVRGLLKRSQEELEVISNQLVSVSEAHSDLNKELLEAYKKLESTTNELVKERKINATLNRELEALVKQSAIESEARKALQVDLDEATRSLNEVNQSTLSLSKQLETTNSKVSAIKEEKEMLSKALEEQKKSTVQAQENMEDAQNAIRRLGTERESFEMRSKKLEDELATAKGEILRLRRQICTSRPENTEVILETGAAPNSSQPLKEQPVNVRIQNTNSAGAVARSPKRIYRRRKGRPAA >PAN17548 pep chromosome:PHallii_v3.1:3:8974864:8978548:-1 gene:PAHAL_3G139400 transcript:PAN17548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVFTVLLGTSQQEKKDLQSTISSMETKLVENEAAMSMLRENYEKRILDEQAELKKQARKFQDEEALLQDKLASSRRTVTSLTEEVQRGKELVEQLNLEIDRLKRTIAEAEEDKHMSEGKLNEKMEMLDILHDKINLLSQEVNGKDEHIRELSSSLSAKKKDYQNLSTIYSQAKENLEQANSQIKQLEKDILTDKDDLKSKASLIDSLNEKVQTLCTEKGEAEEKISVLTSQYMDLKTASEERASLDSELLFEKNNKLNQLEEKLSAALSDSSKDRTRIAELNNELDTTRTMLDNEVVARKSLSDLVHSTEEALRDSRNEVFKLTEDLDEVRRSNQDLMTQISKLTDEASEARQALAKKVEEAESVSATLSDELASVRGLLKRSQEELEVISNQLVSVSEAHSDLNKELLEAYKKLESTTNELVKERKINATLNRELEALVKQSAIESEARKALQVDLDEATRSLNEVNQSTLSLSKQLETTNSKVSAIKEEKEMLSKALEEQKKSTVQAQENMEDAQNAIRRLGTERESFEMRSKKLEDELATAKGEILRLRRQICTSRPENTEVILETGAAPNSSQPLKEQPVNVRIQNTNSAGAVARSPKRIYRRRKGRPAA >PAN17549 pep chromosome:PHallii_v3.1:3:8975760:8978293:-1 gene:PAHAL_3G139400 transcript:PAN17549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVFTVLLGTSQQEKKDLQSTISSMETKLVENEAAMSMLRENYEKRILDEQAELKKQARKFQDEEALLQDKLASSRRTVTSLTEEVQRGKELVEQLNLEIDRLKRTIAEAEEDKHMSEGKLNEKMEMLDILHDKINLLSQEVNGKDEHIRELSSSLSAKKKDYQNLSTIYSQAKENLEQANSQIKQLEKDILTDKDDLKSKASLIDSLNEKVQTLCTEKGEAEEKISVLTSQYMDLKTASEERASLDSELLFEKNNKLNQLEEKLSAALSDSSKDRTRIAELNNELDTTRTMLDNEVVARKSLSDLVHSTEEALRDSRNEVFKLTEDLDEVRRSNQDLMTQISKLTDEASEARQALAKKVEEAESVSATLSDELASVRGLLKRSQEELEVISNQLVSVSEAHSDLNKELLEAYKKLESTTNELVKERKINATLNRELEALVKQSAIESEARKALQVDLDEATRSLNEVNQSTLSLSKQLETTNSKVSAIKEEKEMLSKALEEQKKSTVQAQENMEDAQNAIRRLGTERESFEMRSKKLEDELATAKGEILRLRRQICTSRPENTEVILETGAAPNSSQPLKEQPVNVRIQNTNSAGAVARSPKRIYRRRKGRPAA >PAN19074 pep chromosome:PHallii_v3.1:3:16063986:16069496:-1 gene:PAHAL_3G247900 transcript:PAN19074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAVAASDPGGGEPKLVPGADVAMTEADGVVAAPAAEVKGEGKAAVAADVGREGGDAEAAALSDPLYATESAGMVGVEGPGGEPVEEGVNGGEDGRLEAGSLQNETEGKPVLVGDVAADAGQEVEAASSAASKHEEAESNELEENHINAERGTENNEIDYGVAHSGKEIQNNRPGEVEGSSKIHEDDGAPAADQPDDGSVMLLQTEEQFPASGNGPSSNEEASSLGNVIQGARYSLPPLDKGSFQVADLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSRLVAYFGDNTFAWCDESQLKPFVSNYSHMEKQSSSDAFVGSVNNALEELSRRILSGMSCSCLPEELADNGMSYTVDNAGLKEGVTCSAVNRPEIIKGFSPENLLHYIKELALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGDAWVEDGLGLDGTDTSATQNVMVEEVVVNEVHPTQDKPKRGRGRPRKQKPVDDQVVTEKKNMSNRANDTSYDEKQMLTDFDDFDNFQNKKKRNFESFEDSEKASAPTGGSSFKIGECIRRAASQLTGSSIVKAQNESTGYKNAAETENGEFDISSDDAVDELTVEKRAKRRRLHRNHTADPKELLSQLCLVATEPMSGYSFSAMIISYFNDYRNYIVSTTTEANIVEKGTSRRGRKRKEVLPSPEVETTDHMQDSYWSGLSLHNHPIHDLRKEGPSTRPRRRRRSSRHTYVPLSELGDSVPKKQIQVMERSIIHVDEKMVDELKPTALVLSFGRSASIPSELDLIKMFSRYGPLKEAETEVDKDTNTVKVVFKKRADAERAFRVAGKYGTFGPSLRSYRLVNMPFSLKTLSTNNPVKHPEDHGTEIPGSSKSEAPKDDMEVDQVHKAEEAEVAGELSVEQVENVKQTSLVESADATFTNQVVDEKTGKMDTELISHVNQIGTAAHAASVPEGSSEQVGNAEQAYTQKEALTSGLPEIPQSGAVTGASIGGAVDEIQLSDAPNQVSTGDTTNTFQVEAASEAQILHFDATIKESAQDVSEDMQMDVVAEAPKQDHISGDNSVFEAVTEAPGAAQVRTANEVPEERVVPPVLQSQTSCEELVEQDATEQKVGSEGPKLEAETFAAEPFGPGAVEQEVEVESETTVEVSGEQVYSIEQTVQVEAVTEASAGQLEVGRQTPEDESMADATTEHSTIMVEEIVEAKGVAVEENIESNNVAAIGVAEETAEGEVKEEAPVAKGEIENNAAADTLAGETREGEITVEAPDGKAENEAIAETITGETAEGQTAAEVPLGEARTAEEMIEDVKELDDKGTSAEKPVEDATVVTPDERTTVGKTLEDAQVEQPNEKTTTAETTVQDAMVEPPGTKASEAEKTAEGATLKAPDEKGTAAEKTIEDTTVEAQDVQAGASE >PAN20884 pep chromosome:PHallii_v3.1:3:54630658:54637392:-1 gene:PAHAL_3G419200 transcript:PAN20884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAGLLRRHLAAACSRRVRRPPAPLVPAAPSSSTPSSSCRQILGIFRGSIGAPSRCLSNQAGGSGACWSCGATGAFLSCGSCGSVQPVDPSVDYFQIFGLKREYNIKDNNLEGRYKEWQKKLHPDLVHSKSEKERGYAAEQSALVIDAYRTLSKPLSRALYLLKLEGIHVDEEKTINDPELLMEMMEIREAVNDASDSQTLEKIQSQVKKKLEIWSHSFQDAFDKKDFDRAIEATQRMRYYERAVEETVKKL >PAN20883 pep chromosome:PHallii_v3.1:3:54633303:54637393:-1 gene:PAHAL_3G419200 transcript:PAN20883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAGLLRRHLAAACSRRVRRPPAPLVPAAPSSSTPSSSCRQILGIFRGSIGAPSRCLSNQAGGSGACWSCGATGAFLSCGSCGSVQPVDPSVDYFQIFGLKREYNIKDNNLEGRYKEWQKKLHPDLVHSKSEKERGYAAEQSALVIDAYRTLSKPLSRALYLLKLEGIHVDEEKTINDPELLMEMMEIREAVNDASDSQTLEKIQSQVKKKLEIWSHSFQDAFDKKDFDRAIEATQRMRYYERAVEETVKKL >PAN20885 pep chromosome:PHallii_v3.1:3:54631637:54637392:-1 gene:PAHAL_3G419200 transcript:PAN20885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAGLLRRHLAAACSRRVRRPPAPLVPAAPSSSTPSSSCRQILGIFRGSIGAPSRCLSNQAGGSGACWSCGATGAFLSCGSCGSVQPVDPSVDYFQIFGLKREYNIKDNNLEGRYKEWQKKLHPDLVHSKSEKERGYAAEQSALVIDAYRTLSKPLSRALYLLKLEGIHVDEEKTINDPELLMEMMEIREAVNDASDSQTLEKIQSQVKKKLEIWSHSFQDAFDKKDFDRAIEATQRMRYYERAVEETVKKL >PAN20886 pep chromosome:PHallii_v3.1:3:54630554:54637392:-1 gene:PAHAL_3G419200 transcript:PAN20886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAGLLRRHLAAACSRRVRRPPAPLVPAAPSSSTPSSSCRQILGIFRGSIGAPSRCLSNQAGGSGACWSCGATGAFLSCGSCGSVQPVDPSVDYFQIFGLKREYNIKDNNLEGRYKEWQKKLHPDLVHSKSEKERGYAAEQSALVIDAYRTLSKPLSRALYLLKLEGIHVDEEKTINDPELLMEMMEIREAVNDASDSQTLEKIQSQVKKKLEIWSHSFQDAFDKKDFDRAIEATQRMRYYERAVEETVKKL >PAN17180 pep chromosome:PHallii_v3.1:3:7097377:7097828:1 gene:PAHAL_3G111100 transcript:PAN17180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPSGRKRAAVLGFLGFTAPAETMTGRDDEQGASSWQRSQQHHQAATAAPWTRAPPPPESSGGGGDDDIDRRAAEFIDRVHRGMLLAGARGGDDAAPRPQW >PAN18529 pep chromosome:PHallii_v3.1:3:13552890:13557266:1 gene:PAHAL_3G208600 transcript:PAN18529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRGHACLRALPLALALALACLLPRHAAAQQADEAALLLRIKRAWGDPEALASWGAAVGASHCNWTYVACDAAGRVASLALPNVTLAGAVLPDDIGGLAGLTVLDLSNTSVGGGFPASLYNCAAIARVDLSNNRLAGELPADIGRLGGSLTYLALDHNNFTGAIPAALSKLTNLTYLALNGNELTGTIPPELGELVNLETIKLENNLFGAGTLPESFKNLKKLTTVWLANCSLGGEFPTYVTRMTEMEWFDLSTNRFTGNIPPGIWNLRKLQYLYLYTNLLSGEITINGPIGAASLIEVDLSMNQLIGTIPESFGSLLQLKLLNLQQNNLTGGIPPSIARLPSLVFLWLWDNYLSGELPAELGKETPLLRDIQIDSNYFVGPIPEGICSHQQLWVLTASGNRLNGSIPTGLASCSALIFLQLQDNELSGEVPAALWTVPKLLTLSLQNNGQLSGSLPEKLYWNTTSIYIDNNRFTGRIPALATRLLRFHASNNLFSGEIPAGLAAPDGMPLLQELDLSANQLSGPIPESIASLTGVAQMNLSHNQLTGEIPAGLSSIPVLNVLDLSSNQLSGAIPPSLGSLRSSQLNLSSNQLTGEIPAALANPANDQSFLRNPGLCAAASLVGNLKGVRSCAARPTDHVSPRLRAGLFAAGVALIVVIAALAVFVVRDIRRRKRRLAQAEEPWKLTPFHPLDFGETSVVRGLADENLVGKGGSGRVYRVTYTSRSSGGTGGTVAVKRIWTGAKLDRSLERAFASEVDVLGHIRHSNIVKLLCCLSRAETKLLVYEFMDNGSLDKWLHGHKWLARGTMARSPSVRRAPLDWPTRVKVAVGAARGLYYMHQECSPPIVHRDVKCSNILLDSELNAKVADFGLARMLVEAGRADTVTAVAGSFGYMAPECAYTRKVNEKVDVYSFGVVLLELTTGREANDGGEHGSLADWAWRHLQAGKSIADAADKSIRDAGYGDDVEAVFKLGIICTGRQPSTRPTMKDVLQILQRCEQAHRKTADEKVADHDAAPLLQVRGGSRRKQLSDTKVSDDGGKGGFDCNV >PAN17919 pep chromosome:PHallii_v3.1:3:10944428:10953124:1 gene:PAHAL_3G165300 transcript:PAN17919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVPETIRDLIENCVRKRQRSMFVIVGDKSRDQIVNLNYILSKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYRDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHARFRTESHTQAAARFNERFLLSISYCEACIVMDDELNILPISSHTQSAKRNQVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCCTKDQSNAVINFLSSILDKTLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVIKSADPEFKKATVQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKAMLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSTQSNGSNSSRQFKKIELNESIRYASGDPIEKWLNDLLCLDLANSIPNITRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQFPDILCVIQVCLEGKISRKSAMKSLSEGRAPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSALRLGYGSAAVDLLTRYYEGQMTLFADDEEETEEPEVKITEAAEKASLLDENIECRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLNSDDIEVSESNKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDYSNHGPSEYDNNSASKLLGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLAVSLHGAQAAVLFCMGLQDKDIGTVKEELGIEREQVLSNFIKTMKKLYSYLHNIAGKEIEATLPRLKEIEMPPHNKSMDEDLAEAAKEVEEKRRAANEAPVDPKFLQKYAIDDDDNGIEKALKSAKVSASGVISVKSNKTKADKKEKHKEMGRSKRKGTDGGRSELKKKRS >PAN19220 pep chromosome:PHallii_v3.1:3:16947201:16949742:-1 gene:PAHAL_3G257400 transcript:PAN19220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQMEPPVPPPRRSVSTTCDLHPEETFTGFCAACLRERLAGLEASAATAAAPGRKSTSAIRSLFSRPFNAAAAAAAGGPSGSGAAALPDLRRCKSFSCGRGGDALAAAVAGAGGAYEPQRRSCDVRGRSTLWALFHQDDRERVRDGTAFGAFPASSSAAAAALAAEVLPPPQPPPPPPACVPEVFLEEEIAVAEDSDEIVTVVEPVLVVNTSGEMETEAYAPARDVRAMKDHIDLESSQSQLPKKPPPKDIKEIAGSFWLAASVFSKKWQKWRRKQKMKKQEAAGSKAAAAAMPPPEKPYKPSFLRRSRFHRGEAGSEFAGGRRSCDTDPRFSLDAGRMSVDDVGFSWDEPRASWDGYLFGAGTGIGLGRAPPPLSRLPPILSALEDSPAGVVERSDGQIPVEDDSQPEPDADANIPGGSAQTRDYYLDTSSRRRRSLDRSSSVRRSFEVADPKPVPVPVPVPVPVAAPAAIGNGKESPLMGSSEFYHFHHAEDLLDRHRFSTSSLVEDFSASLDGAFHGPAKKPRRWRKAWSLWGLIHRRAAGRRSDAADRAFSEPWPELRARGYNGRMQRCNSNASARSSFSSNSGGLGSSRRSCADAHGNVKRRREECAALERNRSARRSPGHADNGMLRFYLTPMRSASGRRAAILPAKGGRQLRSQSFARTMLGLY >PAN17854 pep chromosome:PHallii_v3.1:3:10290993:10292639:1 gene:PAHAL_3G160500 transcript:PAN17854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSNNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCSKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEESSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDSNEFTNLINEYTSVIKREKGKVKTLESTHAKLELAHSDLLSKYNDLLKRHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHQTRECTLPSCPLPKLPKNCSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN18175 pep chromosome:PHallii_v3.1:3:12062465:12064933:1 gene:PAHAL_3G184300 transcript:PAN18175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAAQTGAGAGGGGAARLKASPRALFSCGIFSTCTHPALSPTATPNNNVVPGSGGIKGGGSATPCAEASASPVVEAAASTPPPPQRQHQRAQRNVGPSSSSSSSSSSASQSFTQWRLPVHHPPQASSSASASASGAGAADALVSAEEKFAAGEVVAALRAVEREMEAAARPVPSGVVAGVVAAVREPATARLAAKVLLVVLLEEGNRETAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAPGGAATVRREALAAPVLARAVEGMTGRGRECAIGVLAAIYGGGGVGGGGGEEDGAESLPPPEVVKAVLAAMQGECSTRGRRKGAQLLRALQEAGRLGLAWDGVGDH >PAN20491 pep chromosome:PHallii_v3.1:3:25246658:25250529:-1 gene:PAHAL_3G324500 transcript:PAN20491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDVPVEARISLDNNVDRDDLQRKNVRPPANLLVFEGDCLDSEASELDSYLLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGKENNLAHQGSSVPSKNRPSVFTSPNGRSGGTGRKLAPGNVQEDLDPTQENPGQSNANKTEDNTNAGGNGWSYRVDHDFPGDYIPPDPDDLEDSVDPVGEDSDDEDPWKPLNPYEPGNLKIKPYRRVKGSARQVIGTAKKKTLTSLFPMAKMDGVIIPEHAMSFEAQQSQQEEIHASQSPPPYEMLMRSFEYGEQGNPDVFGDSNYDIGPDIGVGFDGPDDSDSPICGDIGVAIESPTCPSERKEEPPNGTQVSQENMDTHESLDDLCRSHLNALLASIAEVEQQSEMDARVSTWKERIEHALEEQDKNPPFDIGSYGEQILDTFSSSTDNMGIASFSEIVSGRPKYEVARTFSALLQLVNGRSVDLDKGQATNELVCHTAENPFHVKLIGPNRRPEMEARFARKRGKSPLQNPGQGGESSLAQREPPKKPAHKNGKIPVKTAIRLTPDGKRRRRSAAHLMQPINLESSG >PVH62560 pep chromosome:PHallii_v3.1:3:25248673:25250529:-1 gene:PAHAL_3G324500 transcript:PVH62560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDVPVEARISLDNNVDRDDLQRKNVRPPANLLVFEGDCLDSEASELDSYLLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGKENNLAHQGSSVPSKNRPSVFTSPNGRSGGTGRKLAPGNVQEDLDPTQENPGQSNANKTEDNTNAGGNGWSYRVDHDFPGDYIPPDPDDLEDSVDPVGEDSDDEDPWKPLNPYEPGNLKIKPYRRVKGSARQVIGTAKKKTLTSLFPMAKMDGVIIPEHAMSFEAQQSQQEEIHASQSPPPYEMLMRSFEYGEQGNPDVFGDSNYDIGPDIGVGFDGPDDSDSPICGDIGVAIESPTCPSERKEEPPNGTQVSQENMDTHESLDDLCRSHLVNLESTANGSVFHTSMPLDLFQILLDICLRILVPFKSIFQESKCTRVKLVLYSLFLHWITVLYMVILSAIVVFHNFGLYDQVSVTKHLKELVMISKFPCTNMLLYWC >PVH62559 pep chromosome:PHallii_v3.1:3:25246658:25250529:-1 gene:PAHAL_3G324500 transcript:PVH62559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDVPVEARISLDNNVDRDDLQRKNVRPPANLLVFEGDCLDSEASELDSYLLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGKENNLAHQGSSVPSKNRPSVFTSPNGRSGGTGRKLAPGNVQEDLDPTQENPGQSNANKTEDNTNAGGNGWSYRVDHDFPGDYIPPDPDDLEDSVDPVGEDSDDEDPWKPLNPYEPGNLKIKPYRRVKGSARQVIGTAKKKTLTSLFPMAKMDGVIIPEHAMSFEAQQSQQEEIHASQSPPPYEMLMRSFEYGEQGNPDVFGDSNYDIGPDIGVGFDGPDDSDSPICGDIGVAIESPTCPSERKEEPPNGTQVSQENMDTHESLDDLCRSHLNALLASIAEVEQQSEMDARVSTWKERIEHALEEQDKNPPFDIGSYGEQILDTFSSSTDNMGIASFSEIVSGRPKYEVARTFSALLQLVNGRSVDLDKGQATNELVCHTAENPFHVKLIGPNRRPEMEARFARKRGKSPLQNPGQGGESSLAQREPPKKPAHKNGKIPVKTAIRLTPDGKRRRRSAAHLMQPINLESSG >PAN20493 pep chromosome:PHallii_v3.1:3:25248066:25252745:-1 gene:PAHAL_3G324500 transcript:PAN20493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGGDGGSSGGRFPILQANRDPESNWEVDVAKRLEEYLFKICSGEVTGEDGAHSVNFAEAALLLQGSVQVYSRKVEYMYSLVLHALEFLSQKKQDQHENGSAHGNENDASTISNEEDDVFMGLDDVPVEARISLDNNVDRDDLQRKNVRPPANLLVFEGDCLDSEASELDSYLLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGKENNLAHQGSSVPSKNRPSVFTSPNGRSGGTGRKLAPGNVQEDLDPTQENPGQSNANKTEDNTNAGGNGWSYRVDHDFPGDYIPPDPDDLEDSVDPVGEDSDDEDPWKPLNPYEPGNLKIKPYRRVKGSARQVIGTAKKKTLTSLFPMAKMDGVIIPEHAMSFEAQQSQQEEIHASQSPPPYEMLMRSFEYGEQGNPDVFGDSNYDIGPDIGVGFDGPDDSDSPICGDIGVAIESPTCPSERKEEPPNGTQVSQENMDTHESLDDLCRSHLVNLESTANGSVFHTSMPLDLFQILLDICLRILVPFKSIFQESKCTRVKLVLYSLFLHWITVLYMVILSAIVVFHNFGLYDQVSVTKHLKELVMISKFPCTNMLLYWC >PAN20490 pep chromosome:PHallii_v3.1:3:25246371:25252745:-1 gene:PAHAL_3G324500 transcript:PAN20490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGGDGGSSGGRFPILQANRDPESNWEVDVAKRLEEYLFKICSGEVTGEDGAHSVNFAEAALLLQGSVQVYSRKVEYMYSLVLHALEFLSQKKQDQHENGSAHGNENDASTISNEEDDVFMGLDDVPVEARISLDNNVDRDDLQRKNVRPPANLLVFEGDCLDSEASELDSYLLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGKENNLAHQGSSVPSKNRPSVFTSPNGRSGGTGRKLAPGNVQEDLDPTQENPGQSNANKTEDNTNAGGNGWSYRVDHDFPGDYIPPDPDDLEDSVDPVGEDSDDEDPWKPLNPYEPGNLKIKPYRRVKGSARQVIGTAKKKTLTSLFPMAKMDGVIIPEHAMSFEAQQSQQEEIHASQSPPPYEMLMRSFEYGEQGNPDVFGDSNYDIGPDIGVGFDGPDDSDSPICGDIGVAIESPTCPSERKEEPPNGTQVSQENMDTHESLDDLCRSHLNALLASIAEVEQQSEMDARVSTWKERIEHALEEQDKNPPFDIGSYGEQILDTFSSSTDNMGIASFSEIVSGRPKYEVARTFSALLQLVNGRSVDLDKGQATNELVCHTAENPFHVKLIGPNRRPEMEARFARKRGKSPLQNPGQGGESSLAQREPPKKPAHKNGKIPVKTAIRLTPDGKRRRRSAAHLMQPINLESSG >PAN19522 pep chromosome:PHallii_v3.1:3:18729559:18733039:-1 gene:PAHAL_3G279300 transcript:PAN19522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAARPTLALLASASASLGAGRRAWARLAVSSSSAGLALPRLRGRRAIAAAAGGAVMGKAGPGAVEADAGMDAVQRRLMFEDECILVDEQDNVVGHESKYNCHLMEKIETGHALHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENCLGVRNAAQRKLFDELGIVADELPLDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVRDVKLNPNPEEVADVKYMNRDQVKELLRKADAGEDGVKLSPWFRLVVDNFLMGWWDHVEQGTLQEAADMKTIHKL >PAN19573 pep chromosome:PHallii_v3.1:3:19109230:19118027:1 gene:PAHAL_3G284000 transcript:PAN19573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGMSRLEDEYYEPEGQDTDGSSSVQVNDEFSKLHNDIFHMTRMRSGLSESIYKSMGTNRGIISTAKLLSGREVDCSGKGKFSSGDRAFVLGRYVPMNGPEFLDRMDSRAYVSQFSADGTLFVAGFQGSHIRIYDVDRGWIIHKDIHAKSLRWTISDVSLSPDQRYLVYSSLAPIIHIVNVGNAARESYANVTDIHDGLDFSQHEDVQYAFGIFSVKFSSDGRELVAGSNDEAIYVYDLHANKLTLRLPAHTSDVNTVAFADESGNLIYSGSDDTLCKVWDRRCLSTGQAAGVLTGHLHGITHIDSRGDGRSFISNGKDQAIKLWDIRKMMSNADSCADGAPSWDYRYSRYPKQLKQLKHPHDQSLATYQGHSVLRTLIRCYFSPAYSTGRKYIYTGSFDSNVYIYDVVSGSQVAKLNGHQKAIRDCSWHPIEPTLVSSSWDGRVAKWTSARDEEASDVD >PAN15994 pep chromosome:PHallii_v3.1:3:1367136:1369783:-1 gene:PAHAL_3G024600 transcript:PAN15994 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MASPDACAALARPPALLDYAAIHSCLLRGNARLSLPLLALLLLLHFRLLAAAASAHFTPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALGGAGGMPRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFFYLIAASGLFYIYLSAEIFLWQAVGLVLFYVFFVGLVFYMDLGGDEGKGVTNSVAELQMANGIGHVAMDLPVTVEDHKQQHPALGTVLRKVTEVWEWPIAFVLKLTIPSTLPSEWNKVYICANICLCPLLLLYSFSSFIPLDTRIVFLLPQIRFPIWSVVLFASLCLALSHFLLEKEAPGRENIASTLISFMMSVFWISTMAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVADVALAKAGQPTIAIAGCFAGPMFNMLVGLGTALVVQTARMYPRAYVLEFHVGIVVAFVFLLLSLMGTLLVVTWARFRVPRFWGYCLMGIYILFTIVSIAIASTSG >PAN17476 pep chromosome:PHallii_v3.1:3:8638707:8640698:-1 gene:PAHAL_3G134100 transcript:PAN17476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCAGKARREEEDKLDFKGGNVHIITTKEGWDQKIAEANRDGKTVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFLKNGQQIDKLVGANKPELEKKVLAAADGSSSK >PAN22097 pep chromosome:PHallii_v3.1:3:64140568:64144777:-1 gene:PAHAL_3G513400 transcript:PAN22097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIGSSWRRNKGRGRHGAGLRAAAITMLMLATILLCSAPTIALTPDGEALLELKLAFNATAQRLTSWRPSDPNPCGWEGISCSHPDLRVQSINLPYMQLGGIISPSIGRLDKLQRLALHQNSLHGPIPVEIKNCTDLRAIYLRANYLQGGIPSEIGDLVHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQRACRGTLGFPAVLPHSDPLSSAGVSPINNNKTSHFLNGIVIGSMSTLAVALIAVLGFLWICLLSRKKSIGGNYVKMDKQTVPDGAKLVTYQWNLPYSTSEIIRRLELLDEEDVVGCGGFGTVYEMVMDDGTSFAVKRIDLSRESRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFVELGSLDCYLHGDEQEDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHGDIKASNILLDRSLEPRVSDFGLERLLVDNGAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFIKKGLNIVGWLNTLTGEHRLEDIIDERCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELCYEQHLEL >PAN18121 pep chromosome:PHallii_v3.1:3:11836167:11839669:-1 gene:PAHAL_3G180100 transcript:PAN18121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMYRSSLACNFQQPQPDMNNGGGGKSSLMSSRCEESGRNPEPRPRWNPRPEQIRILEGIFNSGMVNPPRDEIRRIRLQLQEYGPVGDANVFYWFQNRKSRTKHKLRAAGQLQPSSRAALARTCAPPPPAPAPAPVTPPRHLFASPVAPTSSSSSSSDRSSGSSKSVRPTVALPSPAAAAIQQGVLPATAMDLLSPTPTPALAARQLYYHSQLMAPVMPAMPELITSTEPLFLQWQQGGHYLPATELGGVLGAHTHEPAAISHSVLLGLCNEALGQDCVDISSSKGLGHGQYWNTTCGSDLSSNKTDAVSAVIRDDEKARLGLLHYGFGAAAAATSAPLATPVPQAAVDASTAMLLPSPAPSTVAAAATTAVLTDQLQGLLDAGLIGGTPPPPPTATVVAVARDALTCAATATAQFSVPAMRLDVRLAFGEAAVLVRHTGEPVLVDEYGVTVEPLQPDTLYYVLMATH >PAN18122 pep chromosome:PHallii_v3.1:3:11836385:11839283:-1 gene:PAHAL_3G180100 transcript:PAN18122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMYRSSLACNFQQPQPDMNNGGGGKSSLMSSRCEESGRNPEPRPRWNPRPEQIRILEGIFNSGMVNPPRDEIRRIRLQLQEYGPVGDANVFYWFQNRKSRTKHKLRAAGQLQPSSRAALARTCAPPPPAPAPAPVTPPRHLFASPVAPTSSSSSSSDRSSGSSKSVRPTVALPSPAAAAIQQGVLPATAMDLLSPTPTPALAARQLYYHSQLMAPVMPAMPELITSTEPLFLQWQQGGHYLPATELGGVLGAHTHEPAAISHSVLLGLCNEALGQDCVDISSSKGLGHGQYWNTTCGSDLSSNKTDAVSAVIRDDEKARLGLLHYGFGAAAAATSAPLATPVPQAAVDASTAMLLPSPAPSTVAAAATTAVLTDQLQAGLLDAGLIGGTPPPPPTATVVAVARDALTCAATATAQFSVPAMRLDVRLAFGEAAVLVRHTGEPVLVDEYGVTVEPLQPDTLYYVLMATH >PAN19001 pep chromosome:PHallii_v3.1:3:15687030:15690889:-1 gene:PAHAL_3G241800 transcript:PAN19001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAAQRAVAAGVPAGGGEEADLAASAAMRGRGEVDTSSPFQSVRQAVDLFGGGAAAVAQWRHPQAPPPVQLRPEEEELMKVEEQTVKLEMELFVKEKETFKVLKELQETKQVIDGLNVQIEKVVTETKNASKGHADMGKVHPLPAIEQKSSSHTEPPIQSAKGKQSPLTTLIKLNQAKAFLNTDTVNILKSEIEKEKASLEKTRERLQLNLGKASSLEADLTKMVTQLQAAKAPQPVLEPSEIWLQMKHLNSEKAKHRKVSEDLKNEIYELTAAIEHTRSKTKTLQFRIVMAEKLKEASQRGEAIALAEMKNLSNGQDPNATTSDVTLSAEEHSMFVLKAQEADGAARKRIDAAMQELDQANQCKLELLERVEEARSAVETSRKALEEAQKREESANKAKVAAEETLRKLRSDQIIQNWRPINNNSTKFKNTAVTPRRAGSGIYDVNGLSLVTAGPKSMRTVSIGEILSMKLDRELEAAKATNARKKVSLGQILSQKYEVFSPLRIDHDGASRKQFQPRRKRMGFVVYALLLAKKRHRKRQAAACTHGGFS >PAN18495 pep chromosome:PHallii_v3.1:3:13362012:13363727:1 gene:PAHAL_3G205600 transcript:PAN18495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVEAPGRGSMGEKKTFVLYPSLGVGHLIPMVELAKHLLRRGHGALIAVVDPPDTDPASADAVARLAAANPVIAFRLLPAPASPDAGAHPVKRSHDTLALANPALRELLRSLPAVDALLLDMFCVDALDVAAELGVPAYFFFPSAAGDLAVFLNLPYLYPTLPSFREMGRTLVRCPGMPPIRGLDMVITMQDKESDQTKVRLYQFKRIPEGSGVLVNSFDWLEPRALKALEDGVCVPGRPTPRVYCIGPLVNDGKKGENGETHECLAWLDTQPERSVVFLCFGSMGTFSAAQLQEIARGLESSGHRFLWAVRSPPEESGQFPEPELERLLPAGFLERTRGRGMVVKNWVPQAEVVQHEAVGAFVTHCGWNSALEAIMSGLPMICWPLYAEQRMNKVFMVEEMKIAVEVQGYEELVKAEEVEAKVRLVMETEEGKVLRERLAVTREKALEATKEGGSSEVAFAEFLRDLEKKSS >PVH62071 pep chromosome:PHallii_v3.1:3:12993095:13005122:1 gene:PAHAL_3G198700 transcript:PVH62071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASGGAFHNLLLAVLLYLALTSSVGGEASRRFWIENDTFMKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWDFKGFADLESYLRLAQELGMLVMLRVGPYICGEWDLGGLPPWLLTVEPSLKLRSSDSTYLSLVGRWWGVLLPKVAPLLYSNGGPIIMVQIENEFGSFGDDKNYLHYLVQLARRYLGNDIVLYTTDGGAMGNLKNGSISQDDVFAAVDFETGSNPWPIFRLQKKFNLPGKSAPLSSEFYTGWLTHWGESMATTDATSTAKALKTILCHNGSAVLYMAHGGTNFGFYNGANTGQDEFDYKPDLTSYDYDAPIKEHGDVHNSKYKALRRVIHECTGSPLRPLPSDIEKANYGLVKLQKVASLFDIIDNISDPLKGAVSEHPLSMEQIGQMFGFLLYMSEYQGKLPSSILSIPKVHDRAQVFLSCSTDSVRNLRYAGVIERWSSKTLEIPNLGCSSNTSLYILVENMGRTNYGPYIFDRKGILSPIQLDGITLCHWKMYPLTFSSLDNLPKLQLVTQMPDIRASKESVIHGHSEKKLQESLFYSNESASAEPEFYEGHFHIDSESEIKDTFILFRGWNKGVAFVNNFNIGRFWPFFFQARGPQCALYVPAPILRPGDNIIVIFELHGPNPELTVNLVTDPDFTCGPKQ >PAN18398 pep chromosome:PHallii_v3.1:3:12993095:13005687:1 gene:PAHAL_3G198700 transcript:PAN18398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASGGAFHNLLLAVLLYLALTSSVGGEASRRFWIENDTFMKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWDFKGFADLESYLRLAQELGMLVMLRVGPYICGEWDLGGLPPWLLTVEPSLKLRSSDSTYLSLVGRWWGVLLPKVAPLLYSNGGPIIMVQIENEFGSFGDDKNYLHYLVQLARRYLGNDIVLYTTDGGAMGNLKNGSISQDDVFAAVDFETGSNPWPIFRLQKKFNLPGKSAPLSSEFYTGWLTHWGESMATTDATSTAKALKTILCHNGSAVLYMAHGGTNFGFYNGANTGQDEFDYKPDLTSYDYDAPIKEHGDVHNSKYKALRRVIHECTGSPLRPLPSDIEKANYGLVKLQKVASLFDIIDNISDPLKGAVSEHPLSMEQIGQMFGFLLYMSEYQGKLPSSILSIPKVHDRAQVFLSCSTDSVRNLRYAGVIERWSSKTLEIPNLGCSSNTSLYILVENMGRTNYGPYIFDRKGILSPIQLDGITLCHWKMYPLTFSSLDNLPKLQLVTQMPDIRASKESVIHGHSEKKLQESLFYSNEPEFYEGHFHIDSESEIKDTFILFRGWNKGVAFVNNFNIGRFWPARGPQCALYVPAPILRPGDNIIVIFELHGPNPELTVNLVTDPDFTCGPKQ >PVH62072 pep chromosome:PHallii_v3.1:3:12993095:13005687:1 gene:PAHAL_3G198700 transcript:PVH62072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASGGAFHNLLLAVLLYLALTSSVGGEASRRFWIENDTFMKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWDFKGFADLESYLRLAQELGMLVMLRVGPYICGEWDLGGLPPWLLTVEPSLKLRSSDSTYLSLVGRWWGVLLPKVAPLLYSNGGPIIMVQIENEFGSFGDDKNYLHYLVQLARRYLGNDIVLYTTDGGAMGNLKNGSISQDDVFAAVDFETGSNPWPIFRLQKKFNLPGKSAPLSSEFYTGWLTHWGESMATTDATSTAKALKTILCHNGSAVLYMAHGGTNFGFYNGANTGQDEFDYKPDLTSYDYDAPIKEHGDVHNSKYKALRRVIHECTGSPLRPLPSDIEKANYGLVKLQKVASLFDIIDNISDPLKGAVSEHPLSMEQIGQMFGFLLYMSEYQGKLPSSILSIPKVHDRAQVFLSCSTDSVRNLRYAGVIERWSSKTLEIPNLGCSSNTSLYILVENMGRTNYGPYIFDRKGILSPIQLDGITLCHWKMYPLTFSSLDNLPKLQLVTQMPDIRASKESVIHGHSEKKLQESLFYSNEPEFYEGHFHIDSESEIKDTFILFRGWNKGVAFVNNFNIGRFWPFFFQARGPQCALYVPAPILRPGDNIIVIFELHGPNPELTVNLVTDPDFTCGPKQ >PAN18399 pep chromosome:PHallii_v3.1:3:12993095:13005687:1 gene:PAHAL_3G198700 transcript:PAN18399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASGGAFHNLLLAVLLYLALTSSVGGEASRRFWIENDTFMKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWDFKGFADLESYLRLAQELGMLVMLRVGPYICGEWDLGGLPPWLLTVEPSLKLRSSDSTYLSLVGRWWGVLLPKVAPLLYSNGGPIIMVQIENEFGSFGDDKNYLHYLVQLARRYLGNDIVLYTTDGGAMGNLKNGSISQDDVFAAVDFETGSNPWPIFRLQKKFNLPGKSAPLSSEFYTGWLTHWGESMATTDATSTAKALKTILCHNGSAVLYMAHGGTNFGFYNGANTGQDEFDYKPDLTSYDYDAPIKEHGDVHNSKYKALRRVIHECTGSPLRPLPSDIEKANYGLVKLQKVASLFDIIDNISDPLKGAVSEHPLSMEQIGQMFGFLLYMSEYQGKLPSSILSIPKVHDRAQVFLSCSTDSVRNLRYAGVIERWSSKTLEIPNLGCSSNTSLYILVENMGRTNYGPYIFDRKGILSPIQLDGITLCHWKMYPLTFSSLDNLPKLQLVTQMPDIRASKESVIHGHSEKKLQESLFYSNESASAEPEFYEGHFHIDSESEIKDTFILFRGWNKGVAFVNNFNIGRFWPARGPQCALYVPAPILRPGDNIIVIFELHGPNPELTVNLVTDPDFTCGPKQ >PAN18173 pep chromosome:PHallii_v3.1:3:12024000:12027555:-1 gene:PAHAL_3G184000 transcript:PAN18173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRRNSGIIVREGSVRDWSEFNDPSPSPKLLYSQSYVAMRGLLASVVSLDFFLLSSKLKSAWAGMTSQRHIRSQERSKSRGLNCKRVVFHLLLCFMVGIFIGFMPFFSVDVYKKIVSENERLPFHESVVETEMMDTKVKELETVVVEKEVELIDEPEVGESPPVPAMLDDEADFAESTRVLPAIEESDITVKKLLIIVTITSVRPQQAYYLNRLSHVLKAVQAPLLWLVVEWPEQSYETAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNNAIYHVKKHHLDGIMHFADEERSYSAEVFEEMQKIRRFGSWPVAIHVGTKYRAVLEGPICKGSRVTGWHTVQTVQKKSMTRRFPIGFSAFAFNSTMLWDPQRWNRPAMDSVIVHSGGRGGLQESRFIEKLVKNERQVEGLPDNCNRVMVWNFNLEPPQLNYPTGWALYKNLEADMPVI >PAN18084 pep chromosome:PHallii_v3.1:3:11644150:11647143:-1 gene:PAHAL_3G176900 transcript:PAN18084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRAAGRRLLGAASGVRGGEAAPAVAGAARRGYHERVVDHYNNPRNVGAFDKDDADVGTGIVGAPACGDVMKLQIRVDEGSGRIVDARFKTFGCGSAIASSSVATEWVKGKEMEEVVAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKGKLAKADE >PAN21821 pep chromosome:PHallii_v3.1:3:62456362:62458209:-1 gene:PAHAL_3G493300 transcript:PAN21821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAASRPPAPPPPPPPQPAAAVQWLGPRVSFSLEDAGGGGGGREAALAVAGGKAGPSAGADFEFLLGGCAAASMLPADELFSGGKLVPLRIPAPSAEEEAVGATAAQSTLPPKHARAPVAAQQRETPRTEEAKGVAVVGGEEPKIPARRWRDLLRLRKQQASSGSGSAAAIGASSEPRPLRRLLRRGTKPPEPEPSLSLPLLREVGPDEQDKPAEKPTPAPAPAPISTTPTPPAPPPSQQHQNLPPKIRLSPSQQASAPPPPPPPPPPPAAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRGHRAGGAMERSYSAHVRVAPVLNVPVCSLRGSRKSVSVFGIDRLFSPSAAAAAASSSGGAKKNKAAKKDVTATAAAPQ >PAN20242 pep chromosome:PHallii_v3.1:3:39063379:39068225:1 gene:PAHAL_3G368900 transcript:PAN20242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALVGKDPMAQTEIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >PAN18063 pep chromosome:PHallii_v3.1:3:11583702:11584952:-1 gene:PAHAL_3G175500 transcript:PAN18063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALSSSLRALALVSPALHSARPSGAAAPVSRARGRPRRGSGVVALAAALPSDSQWLERLPEKKKPLYTHSLPCIEAWLRSLGFTQSREDPALWVAEMPLWHARLSLDVTDLHIRYLKSGPGNLEKDVERRFSYALSREDIENAILGGP >PAN16571 pep chromosome:PHallii_v3.1:3:4425992:4429693:1 gene:PAHAL_3G069400 transcript:PAN16571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGGVRLPLVTRLCFVVLLCASCLCSLSHGKRTPPPRPAVKPPPPPPPSPSQVPAPAPAYPALPVRAVCLGGWLVTEGWILPPLFDGIPNKDLLDGTQVQFKSALRKTYITADQGGGGGVVANRTQASDWETFKLWRINETTFNFRTPGGQFMGIGASDGIIVATATAPALPETFQIVRCPFDKNRVRIKAANGYFVQAIATGEVIADYGEPTRWSDWDASVFLMTKVGQQLQGEYQLCNGYGTDRAASVLRDHWNTYIVEDDFKFIASSGLTAVRIPVGWWIASDPNPPAPYVGGSLQALDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTTDANIAQTVQVIEFLASRYATSPSLFAVELLNEPLAPRAALDSLTRYYRAGYDAVRRHSPAAYVVMSNRLSSGNSTELLPFAGGLQGAVIDVHYYTVFNSAFSNFTVQQNIDFVRTNFSGELAAVTTQNGPLTFVGEWVAEWKVPNATKEEYQKYAAAQMGVYGQATFGWSYWTVKNANNHWDLEWMVKNGYISLKG >PAN16570 pep chromosome:PHallii_v3.1:3:4425992:4429694:1 gene:PAHAL_3G069400 transcript:PAN16570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGGVRLPLVTRLCFVVLLCASCLCSLSHGKRTPPPRPAVKPPPPPPPSPSQVPAPAPAYPALPVRAVCLGGWLVTEGWILPPLFDGIPNKDLLDGTQVQFKSALRKTYITADQGGGGGVVANRTQASDWETFKLWRINETTFNFRTPGGQFMGIGASDGIIVATATAPALPETFQIVRCPFDKNRVRIKAANGYFVQAIATGEVIADYGEPTRWSDWDASVFLMTKVGQQLQGEYQLCNGYGTDRAASVLRDHWNTYIVEDDFKFIASSGLTAVRIPVGWWIASDPNPPAPYVGGSLQALDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTTDANIAQTVQVIEFLASRYATSPSLFAVELLNEPLAPRAALDSLTRYYRAGYDAVRRHSPAAYVVMSNRLSSGNSTELLPFAGGLQGAVIDVHYYTVFNSAFSNFTVQQNIDFVRTNFSGELAAVTTQNGPLTFVEWKVPNATKEEYQKYAAAQMGVYGQATFGWSYWTVKNANNHWDLEWMVKNGYISLKG >PAN22020 pep chromosome:PHallii_v3.1:3:63663084:63668197:1 gene:PAHAL_3G507500 transcript:PAN22020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVSASGGAMGSLLRKLGELLTAEYKLLKEDKGQIMFLKAELESMYVFLKKISDSEEPDEQDKCWAKEVRELSYDIEDSISEFMLRVERDSSKPHGFKGFITRSTKLLTTMNTRHEIAKEFEGLKIRVKEASERRTRYKIDDTVPKQNNTTIDPRLLALHAETTSLVGVKGPRDQLIQLMDGEGVPAHQLKVLSIVGFGGLGKTTLANEIYHKLEEKFQSRAFVSVSQKPNIRKILRSILSQAGFIAPKDTNIEMWEESELIIALKNFLLDKRYLIVIDDIWDASAWDIIRCALPENTNGSRVITTTRIEAVARACCSNHIECVYKMKALSDQDSRSLFLKRIFGSEDMCPSYLNEVSSEILKKCGGLPLAIITTSSLLANQPNKLKKEQWEYVRKSLGSNFEVSPSLEGMRQILNLSYINLPHYLKTCMLYLGIYPEDYTIDKNDLTRQWVAEGFICRDRGIDPEDIAKSYFNELINRSMIQPVDTDYNGEVISCRVHDMMLDLILHKSREENFITIMDDMQDMTGHQNKIRRISLNLDDATNDTADAAARSVQLSQIRTLARFGTSSQLLSFKLFKHLRVLGVEISGWPKSDPLLDFTEIRHLFQLRFLKIVAKGYIVELPSKIGDLQQLETFDIGNGIKLNERTRLQKLPSDIFNLSRLLHLAVPDHVILPDRIGNMKSLRTLGQFDLGNSLDSIKGLRELTNLTNLEISWGYRYSKSGDETAARCWEVVHALENLCNLRHLHIRSDNDLVRSCFDVWCSVPAYFFHLQSFHAKYVPWFSRVPKWIGQLHSIYDLYLTVQEVLEDDFGILSQLPSLIHLVLHILRTPEDKIIIIPGGSGLFPVLKHFRVICGRISYLTFEAETMPKLERLELCFNAKGWDRYGAVPAGIEHLPGLKEISVDIGGFGAKESDRRAAESALRDTADMHPRRPVANIKVYKDAGLIFDEPEEEEEEEGNGGSSSSST >PAN17012 pep chromosome:PHallii_v3.1:3:6456470:6462786:1 gene:PAHAL_3G101600 transcript:PAN17012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGAVAVVAPDRAKQRRGGHLWKKALLHFSLCFVMGFFTGFAPSSSSWRAATQHPPHRAGDHLAASRVAVDARVNLVPSPPDTAGEVGLVGAGGGGGGATVDVGDDDEEAGPRRLLIVVTTTRSGAGERRRRRAELLRLAHTLRLVRPPVVWVVVEPVADAPATAEVLRGTGVMYRHIAFKPEENFTTAAAEAHAQRNAALAHVEKHRLAGVLHFADAAGVYDTGFFDQIRQIEAFGTWPVATMSAGEKKVVVEGPLCSASKVIGWFSRDFNDGTTRAVTYNTETDLNPAGVAGTRIHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRAKLKGIPSDCSQIMVWQYGAPSSQ >PVH62639 pep chromosome:PHallii_v3.1:3:33435367:33436709:-1 gene:PAHAL_3G350400 transcript:PVH62639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQRKKSATLMEMKPIRNSLGRNPLSDALHRNPGVVLNGQGPGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTMPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PAN17946 pep chromosome:PHallii_v3.1:3:11066164:11068582:-1 gene:PAHAL_3G167300 transcript:PAN17946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MESDAEEEAVATPAPAAGPAAGRLKGSPELTVDADMREMAKTGAWSVSSCKAGNGVAALRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELAKPVGWVHISLSGADPRETFIHTFMLQIAILSNHLNGRDTHIRQIKIYGPRPNPVPHQPFHFTSREFITYSTIR >PAN17949 pep chromosome:PHallii_v3.1:3:11067562:11068505:-1 gene:PAHAL_3G167300 transcript:PAN17949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MESDAEEEAVATPAPAAGPAAGRLKGSPELTVDADMREMAKTGAWSVSSCKAGNGVAALRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKGLDTVTWPGISGESCFCVARN >PAN19774 pep chromosome:PHallii_v3.1:3:20441178:20443729:-1 gene:PAHAL_3G298600 transcript:PAN19774 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sulfoquinovose synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33030) UniProtKB/Swiss-Prot;Acc:O48917] MKMAHLITNCIFSASPAVKTFSGSPGYCCNVGQLQNSKSSNLSLKSSFKRQKKSYVTCASAAVQGQTQTPLTGSQEVSGHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQNRVRRWKSLTGKTIQLFIGDICDFDFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEYSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNDLAKLVTAAGAKLGLDVQTKSVPNPRVEAEEHYYNAKHTKLIELGLEPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMGAKPRTVSV >PVH63284 pep chromosome:PHallii_v3.1:3:64022811:64024039:-1 gene:PAHAL_3G512400 transcript:PVH63284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASAFAAQVLRGRWFMAYGSSLIMSAAGATYIFAIYSKDIKATLGYSQEQLNTVGFFKDVGANVGIHAGLVAEVTPPWLVLAIGAAMNLGGYLMLYLSVTGRPPGAAALARLPLHRRRRNSQAFANTGALITCVKNFP >PAN18171 pep chromosome:PHallii_v3.1:3:12018422:12019452:-1 gene:PAHAL_3G183900 transcript:PAN18171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPALALHHPGARRLPPPLLRGRMAPRRRRRAPPLLFIRHSPSCRARQQRNPRRTRRYHYHHHRPNAAHDSCLLPRGLLRARRFLLSWSAGAAACTRPSQTKMTTTRHLDLLLVALLYGCAFTGALLAAASLALLAFLAGALLAALALAASDARRLAGPAARVAGAAAADLRLARAVAAYAVVKAAVRVVLVVRPKVARLASRVRRLGVDEPGVRPALRRRFGFVL >PVH61697 pep chromosome:PHallii_v3.1:3:6275922:6280923:1 gene:PAHAL_3G098700 transcript:PVH61697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHVRTKQSKWLDNNLKDMEDRVKCILFLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHMSGELHKANHTIAAAFPDQIQYSLLEEDDDNLPKAFTTVDPRKIHKSTVEGLMKKKHADKSGLKDRGKKSAAPVNKDNAQAEIGRLQKEILVLQTEKEFIKSSYESGIAKYWDLEKRINDMQEQVCYFQDQFNESAAIEDDEARALMTATALKSCEDAIIKMKEQQKSFFSQATVESERVEVSREKLKGIMRGHGKSLSYSENSSDENVNTDASARKDELFSMELEKIEPQELVEKIKGYFKMNSDLSVVEIAEKIDELANKVVDLELLISTQTSQINRLCLENNELEKSLQNLEEEKTEQSDSVELNDKLKEAEEELIRVHKLERSYHAEERIVYTNFTETINSFCDISCMLTDEATSSTDTEPSGEHGKTNPSDDPGMYEAARKPHGGDGFIDQSDTPEPSIFIDDSQSSSGHHETKAEKQCHVDKTEDLWCCEFDGNFSAAASMDVGTTETAGHTLSDDNNKGGSEHVHEFVSNTESSDSLEVLHHVSSNSPGENLKQEDNVIFSTPCESISESSSEQETEMNKAEDSHITRSPTPTSGEVADVGDQEDGMINLQQLLMNDLQDKEKVLLAEYTSILRNYKNIKRRLTEVETKNQECLNEMRAMISELECANGMKDAEIQSLRRFLNSLTYKDASHSGHRMNSTMSLSEKNGAVRGHRRTPSFFPVHQRAQSVSSIPRRIKHSPSLKNNLSMDSDKEPDASNDAVTSPEATIPEDLTSTNVVEMEKASPLEEKFRRDIDALLEDNLAFLMKFSMSLQQIEGFQTKYNLLQSEVGKLTNEDRLKPNKDHTNEHPAKWEMEATEKRLRELKIELRVWLEQNAMFKGELQYKFDSLCDIQAEIEGGMEMDAGMEDGARFTSYQVAKFQGEIMNMKQENNKVADELQAGLDHVKRLQTEIEKVLAKILKSTSLSGPKSSSTWRNAPSKSRVPLRMFLFPAKKKKASLFACVNPAHLSKQNSDMAFFTKMS >PAN21414 pep chromosome:PHallii_v3.1:3:60118657:60122091:-1 gene:PAHAL_3G464700 transcript:PAN21414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDKEAFEFRTKYVTTGELLSAGGSWEVPMVLDWVVGNQTCEAAQKNRTAYACHNSECSNAKNGAGYVCSCPKGYDGNPYNPDGCKDIDECKAANRTCPARSICRNTPGSHECYELKWLLGIVGSCIFVVLLGTGMSCAYAIRKKKRLAAVKRLHFKQHGGFLLFEEMKSRQGQGRSFTLFTEEELEDATDKFDERFVLGKGGNGTVYRGSLKDGRAVAIKRCRVAADERQRREFGKEMFILSQVNHRNIVKLYGCCLEVEVPMLVYQFIPNGNLYELIHRDGAPPPFAVRLKIAHEAAEALAYLHSMASPPIIHGDVKSPNILLDENYTVKVSDFGASVLALTDNSHLVTLVQGTRGYLDPEYMQTCRLTDKSDVYSFGVVLLELLTRRKALAMAAPEEERSLAAHFLSSVRDGRLGGLLDAPIKDEVGGEVIEMVAALAKRCLEMSGERRPSMIEVAEELDRIRKMCVSGDGITILVSDDN >PAN17974 pep chromosome:PHallii_v3.1:3:11224442:11231511:1 gene:PAHAL_3G169100 transcript:PAN17974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFFSKAEPPPPMVLVPPLFDYPPLAARTRMAVPAYELMFGKLSLRNLFEDYFDQAGNMTSRIMLKPLEDPHVDLIATVSAVGDQNSGTGVKGDALFRWQKELDDPHTFVDLLVSTSNPLLQLRSCAYDPKYRIGAFGTLPLLMGNRVRSEDYGVMGVRYGSENLSVGASFVPIPLSSEVPFGAWLVGRKGCLTAGVQYKPLSRSKHPMPFTELENWNCAISYGVGSTSPLSPSFIFSLELARSTQLTASFYQHLVVQRRVKNPFEDDQVVGITNYIDFGLELASRVDKDKATEDGSSLFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGLRVEDLRQASYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQTQIDSGNYDHLPTELKPIGRIF >PVH61469 pep chromosome:PHallii_v3.1:3:2571479:2572461:1 gene:PAHAL_3G040100 transcript:PVH61469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLRLLTSLVGNQSMFNPEQKRKMWSLSFCLALSLPVAPRAASQRQRQPPGCRERCGNVIVIGAGCHRSAAAGGLRLRCDGAIRPPRLTVSSYGHEVAAVSLPTAESHRAPERQPRVLRLPRQPGRARRQPPGAPHGDQRQRVPLLVDEEQIRVRRLPRPGLLQRRPRVLRHGLHVRVQALRTRAAGVVPGRRRLLPEQHPTAAAAVVRRRRR >PAN20832 pep chromosome:PHallii_v3.1:3:53763928:53772335:1 gene:PAHAL_3G414800 transcript:PAN20832 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family AAA domain-containing protein FIGL1 [Source:Projected from Arabidopsis thaliana (AT3G27120) UniProtKB/Swiss-Prot;Acc:F4JEX5] MAEPPRPAAAPTGGVRPENWRKEAGERLRRLHSLLFGADAALERGDPAAAQALALRLLGFLDSEALAAGAGPEDAAFVAPIRAAASARLAAASRARAPDSDRAAFELAKKDAVCVFAKQGDINVERIKCSRYFQALLQKSKANAADQLSPTWQEFASQGAPHTDESPADMENEKLSIRASKLMMQTKLTSLCSSKFLKADGVPDRNMFKSENLSKECAGIETRTNQNGSRHPAYLEVEEDVKPRGLVQNAKRKHTGFRSPICEVSNSPLSNDEGDAPANEFMTARTKMEMDVVQKPGRNGPQGASVSPQCDNNHSTRNYGVRPSWNSRRGPRGGFVPPIRNNGGSGTTISRVTGKGDDSMEDSTRKCIEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWNDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFMLSEEETSEICKLTEGYSGSDMKNLVKDASMGPLREALQRGVEITKLSKEDMRSVMLKDFESAMQEVRPSVSSNELGMYEEWNKQFGSLSI >PAN20831 pep chromosome:PHallii_v3.1:3:53764617:53772338:1 gene:PAHAL_3G414800 transcript:PAN20831 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family AAA domain-containing protein FIGL1 [Source:Projected from Arabidopsis thaliana (AT3G27120) UniProtKB/Swiss-Prot;Acc:F4JEX5] MENEKLSIRASKLMMQTKLTSLCSSKFLKADGVPDRNMFKSENLSKECAGIETRTNQNGSRHPAYLEVEEDVKPRGLVQNAKRKHTGFRSPICEVSNSPLSNDEGDAPANEFMTARTKMEMDVVQKPGRNGPQGASVSPQCDNNHSTRNYGVRPSWNSRRGPRGGFVPPIRNNGGSGTTISRVTGKGDDSMEDSTRKCIEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWNDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFMLSEEETSEICKLTEGYSGSDMKNLVKDASMGPLREALQRGVEITKLSKEDMRSVMLKDFESAMQEVRPSVSSNELGMYEEWNKQFGSLSI >PAN20836 pep chromosome:PHallii_v3.1:3:53765448:53772338:1 gene:PAHAL_3G414800 transcript:PAN20836 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family AAA domain-containing protein FIGL1 [Source:Projected from Arabidopsis thaliana (AT3G27120) UniProtKB/Swiss-Prot;Acc:F4JEX5] MCFEFSAAFELAKKDAVCVFAKQGDINVERIKCSRYFQALLQKSKANAADQLSPTWQEFASQGAPHTDESPADMENEKLSIRASKLMMQTKLTSLCSSKFLKADGVPDRNMFKSENLSKECAGIETRTNQNGSRHPAYLEVEEDVKPRGLVQNAKRKHTGFRSPICEVSNSPLSNDEGDAPANEFMTARTKMEMDVVQKPGRNGPQGASVSPQCDNNHSTRNYGVRPSWNSRRGPRGGFVPPIRNNGGSGTTISRVTGKGDDSMEDSTRKCIEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWNDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFMLSEEETSEICKLTEGYSGSDMKNLVKDASMGPLREALQRGVEITKLSKEDMRSVMLKDFESAMQEVRPSVSSNELGMYEEWNKQFGSLSI >PAN20834 pep chromosome:PHallii_v3.1:3:53763549:53772338:1 gene:PAHAL_3G414800 transcript:PAN20834 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family AAA domain-containing protein FIGL1 [Source:Projected from Arabidopsis thaliana (AT3G27120) UniProtKB/Swiss-Prot;Acc:F4JEX5] MAEPPRPAAAPTGGVRPENWRKEAGERLRRLHSLLFGADAALERGDPAAAQALALRLLGFLDSEALAAGAGPEDAAFVAPIRAAASARLAAASRARAPDSDRAAFELAKKDAVCVFAKQGDINVERIKCSRYFQALLQKSKANAADQLSPTWQEFASQGAPHTDESPADMENEKLSIRASKLMMQTKLTSLCSSKFLKADGVPDRNMFKSENLSKECAGIETRTNQNGSRHPAYLEVEEDVKPRGLVQNAKRKHTGFRSPICEVSNSPLSNDEGDAPANEFMTARTKMEMDVVQKPGRNGPQGASVSPQCDNNHSTRNYGVRPSWNSRRGPRGGFVPPIRNNGGSGTTISRVTGKGDDSMEDSTRKCIEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWNDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFMLSEEETSEICKLTEGYSGSDMKNLVKDASMGPLREALQRGVEITKLSKEDMRSVMLKDFESAMQEVRPSVSSNELGMYEEWNKQFGSLSI >PVH63165 pep chromosome:PHallii_v3.1:3:61691440:61693798:1 gene:PAHAL_3G484500 transcript:PVH63165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAGGAAGPAPGRRPRRRSRSSCCRRPTPTSPASSTTSPPSSTSPGSTSHPCATHRRPSRWRCAQPTWLASLNENVDRRIELLSQQAEGTERMLERIGQEAAASFKELEAHYYSSVVRSPSYD >PAN21659 pep chromosome:PHallii_v3.1:3:62335177:62342956:1 gene:PAHAL_3G491300 transcript:PAN21659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRPRIDIDWEEVFSRDSSHDREDSVCFASPSSAPQNSRAAAAKAPRASSDDEKRRGTSYPTRRFGGLRGELEQRRGERGPAAVADDGASRRVTRAAAKAAARDARSDAANVFNFSQEDEEGEDAGRKYSPCSSGKKNYGVLRIIKRKCQGHVGPRTIPVDKMYSSQPFSKSGNQRRAHSIDPEESDHGKCQQSESFSFSRFSKRRKEQLQDSSSVYSRKVQDVVLLDDEDMQTEGEVNYEISDIRNEPKIYYPSRDDPEAVELTSSDISCLDPGAFLSSPVINYYIQNIKRTRLNREDCRDKFYIFNTYFYGKLEEALYQLGDLSKLRRWWKGVNIFHRAYVILPIHGVAHWSLVIICMPAKESVSGPIILHLDSLGMHRSTKILNTVGRYLEEEWQHLKKNPSPETSVSEIIWEDLPSNIHKEKVQVPQQNNAYDCGIFMLYYIEQFIREAPERFTVDNLDMFNCSWFKPEDASGLRLRIRELLQEAFESARLDDAMSEEAASDGSYIGDGIKGGELEADAPSESSEMVLEFGNTGKSNEGIKVAASGNSGKSNEGIKVAESEEASGESGDAEKSIEGYVAESEEESGESGEAGKSVEGINVAGPEEASGESGDSGKSIEVINVAESDNASEEFGYAGETKKGIKVAASEGASVECVSTDKSMVSVSGKAPASSSKCVENTAGCALSEAASDSDSMEDEEGTMKADSGSSKTEKEGLIAIVSPKRPRNEGGISRTPIPDVVCDSYDSDTETTVEIVKVYNSYRQNYRPINLG >PAN21658 pep chromosome:PHallii_v3.1:3:62335177:62342956:1 gene:PAHAL_3G491300 transcript:PAN21658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRPRIDIDWEEVFSRDSSHDREDSVCFASPSSAPQNSRAAAAKAPRASSDDEKRRGTSYPTRRFGGLRGELEQRRGERGPAAVADDGASRRVTRAAAKAAARDARSDAANVFNFSQEDEEGEDAGRKYSPCSSGKKNYGVLRIIKRKCQGHVGPRTIPVDKMYSSQPFSKSGNQRRAHSIDPEESDHGKCQQSESFSFSRFSKRRKEQLQDSSSVYSRKVQDVVLLDDEDMQTEGEVNYEISDIRNEPKIYYPSRDDPEAVELTSSDISCLDPGAFLSSPVINYYIQNIKRTRLNREDCRDKFYIFNTYFYGKLEEALYQLGDLSKLRRWWKGVNIFHRAYVILPIHGVAHWSLVIICMPAKESVSGPIILHLDSLGMHRSTKILNTVGRYLEEEWQHLKKNPSPETSVSEIIWEDLPSNIHKEKVQVPQQNNAYDCGIFMLYYIEQFIREAPERFTVDNLDMFNCSWFKPEDASGLRLRIRELLQEAFESARLDDAMSEEAASDGSYIGDGIKGGELEADAPSESSEMVLEFGNTGKSNEGIKVAASGNSGKSNEGIKVAESEEASGESGDAEKSIEGYVAESEEESGESGEAGKSVEGINVAGPEEASGESGDSGKSIEVINVAESDNASEEFGYAGETKKGIKVAASEGASVECVSTDKSMVSVSGKAPASSSKCVENTAGCALSEAASDSDSMEDEEGTMKADSGSSKTEKEGLIAIVSPKRPRNEGGISRTPIPDVVCDSYDSDTETTVEIVKVYNSYRQNYRPINLG >PAN21657 pep chromosome:PHallii_v3.1:3:62335177:62342305:1 gene:PAHAL_3G491300 transcript:PAN21657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRPRIDIDWEEVFSRDSSHDREDSVCFASPSSAPQNSRAAAAKAPRASSDDEKRRGTSYPTRRFGGLRGELEQRRGERGPAAVADDGASRRVTRAAAKAAARDARSDAANVFNFSQEDEEGEDAGRKYSPCSSGKKNYGVLRIIKRKCQGHVGPRTIPVDKMYSSQPFSKSGNQRRAHSIDPEESDHGKCQQSESFSFSRFSKRRKEQLQDSSSVYSRKVQDVVLLDDEDMQTEGEVNYEISDIRNEPKIYYPSRDDPEAVELTSSDISCLDPGAFLSSPVINYYIQNIKRTRLNREDCRDKFYIFNTYFYGKLEEALYQLGDLSKLRRWWKGVNIFHRAYVILPIHGVAHWSLVIICMPAKESVSGPIILHLDSLGMHRSTKILNTVGRYLEEEWQHLKKNPSPETSVSEIIWEDLPSNIHKEKVQVPQQNNAYDCGIFMLYYIEQFIREAPERFTVDNLDMFNCSWFKPEDASGLRLRIRELLQEAFESARLDDAMSEEAASDGSYIGDGIKGGELEADAPSESSEMVLEFGNTGKSNEGIKVAASGNSGKSNEGIKVAESEEASGESGDAEKSIEGYVAESEEESGESGEAGKSVEGINVAGPEEASGESGDSGKSIEVINVAESDNASEEFGYAGETKKGIKVAASEGASVECVSTDKSMVSVSGKAPASSSKCVENTAGCALSEAASDSDSMEDEEGTMKADSGSSKTEKEGLIAIVSPKRPRNEGGISRTPIPDVVCDSYDSDTETTVEIVKVYNSYRQNYRPINLG >PVH63198 pep chromosome:PHallii_v3.1:3:62335177:62342956:1 gene:PAHAL_3G491300 transcript:PVH63198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRPRIDIDWEEVFSRDSSHDREDSVCFASPSSAPQNSRAAAAKAPRASSDDEKRRGTSYPTRRFGGLRGELEQRRGERGPAAVADDGASRRVTRAAAKAAARDARSDAANVFNFSQEDEEGEDAGRKYSPCSSGKKNYGVLRIIKRKCQGHVGPRTIPVDKMYSSQPFSKSGNQRRAHSIDPEESDHGKCQQSESFSFSRFSKRRKEQLQDSSSVYSRKVQDVVLLDDEDMQTEGEVNYEISDIRNEPKIYYPSRDDPEAVELTSSDISCLDPGAFLSSPVINYYIQNIKRTRLNREDCRDKFYIFNTYFYGKLEEALYQLGDLSKLRRWWKGVNIFHRAYVILPIHGVAHWSLVIICMPAKESVSGPIILHLDSLGMHRSTKILNTVGRYLEEEWQHLKKNPSPETSVSEIIWEDLPSNIHKEKVQVPQQNNAYDCGIFMLYYIEQFIREAPERFTVDNLDMFNCSWFKPEDASGLRLRIRELLQEAFESARLDDAMSEEAASDGSYIGDGIKGGELEADAPSESSEMVLEFGNTGKSNEGIKVAASGNSGKSNEGIKVAESEEASGESGDAEKSIEGYVAESEEESGESGEAGKSVEGINVAGPEEASGESGDSGKSIEVINVAESDNASEEFGYAGETKKGIKVAASEGASVECVSTDKSMVSVSGKAPASSSKCVENTAGCALSEAASDSDSMEDEEGTMKADSGSSKTEKEGLIAIVSPKRPRNEGGISRTPIPDVVCDSYDSDTETTVEIVKVYNSYRQNYRPINLG >PAN20546 pep chromosome:PHallii_v3.1:3:26041833:26045678:-1 gene:PAHAL_3G328800 transcript:PAN20546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MSPTTTPSPAPAAAPSSKGAKRSLMSSLMDATALLRSASFKEDSYVAAALPPSELRALADLKALLAKHPDAMSIWGVPLNSPQQPPPAGADGAAAAPPVDERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADAVLDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYERVFGDGDRLARFLRWRVQVMERGVRALSLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAAEGSYTLCVEKTRMVPATAEEPLHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >PVH62889 pep chromosome:PHallii_v3.1:3:55426991:55427358:1 gene:PAHAL_3G424300 transcript:PVH62889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWTSEHRKGFDTLFSAVIPEIWNERNARVFCRTFIHLDQLLQRGLKPRLNFKS >PVH61639 pep chromosome:PHallii_v3.1:3:5467667:5468485:-1 gene:PAHAL_3G083600 transcript:PVH61639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRSIIGPPCRRHSRPPGDDILFCMQSRSYSNVISLLDSPVMTMGVSWLECIANLNSELQYRTDMQNSETLKPGRHALDPSLTGPCVANLEQEAAGRRRIWRRGSSWRPRASAAYRSRRPAGRPSPCPGPQALFPELIGRGLSHALSAGGHSLPSAPRHPAPARCQTIEDDDPECLPVRISPDLRRACSIQRLAGTQGGRYFVYRPPRVVAAAPLSLHAVARRRAGLPVWDDIP >PVH63209 pep chromosome:PHallii_v3.1:3:62521035:62521699:1 gene:PAHAL_3G494100 transcript:PVH63209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTVPVLPMMDQCQGGHSSEPSRPFLPSSSPYPDLHTWRWATRSPVNSSCFSPMQPAAWGRGNMC >PVH62775 pep chromosome:PHallii_v3.1:3:48424501:48425090:-1 gene:PAHAL_3G394500 transcript:PVH62775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIKVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKTEEWIELVKYWCDPKNQVHGLHHCFC >PAN16159 pep chromosome:PHallii_v3.1:3:2596414:2597275:1 gene:PAHAL_3G040700 transcript:PAN16159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLRTLASGVVERTAAMLVLLLIIRVVVAPAPAVAVDGDCNLTAGFVQVDLPEGNFVVQSPYDVPENQRYSYDVATGVRTFWVYADDKPFNTVTSTNPRTEVRLAGHDYSSGVWQFEGYGYVPSGTSGTSVMQIHNEDAGTHATTLMLHVYNGTLRYYSGAAVEDGIYDRWFRLNVVHDVGASTVAVFVDGAPRLAVNVTPSASHYFKFGVYVQHHDVSPRVESRWRNVTVYTKPY >PAN18138 pep chromosome:PHallii_v3.1:3:11873585:11874901:-1 gene:PAHAL_3G180800 transcript:PAN18138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPRIAILLALLVASSALAAAQKTKAKPAAGPAGAADAAPPTDVNKALKDDQFSEFKQLLHDTRVDTQINAQLTDSYNGLTIFAPTNAAFDKLKAGVLNGLSPQDQIQLVLYCVLPRFYSLSMLGTLNGKVNTQGSGHDGPYRYDIKRAGNNVNVSTGVNSMLLGSPVSKDFPLAVYPVDKVPLPYELFGPKPPTPAPAPAPAPAKSKTKKKHKKATGIAEPPEADDSTAADDDKKSAAAPAAGVARWAAALGVLGAAVLGGLF >PVH62590 pep chromosome:PHallii_v3.1:3:26486244:26487014:1 gene:PAHAL_3G330600 transcript:PVH62590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWEKRCKRTVIFDDEGNLLEDVPADPVEVGLRQKVSDARNKFEDLIQKAKSSDEGMDFLTSSLSNLEAPLQKMVPSVSNTKQDKFESFIGTKIPSDVTIHPPNDLKSKGRCKRIKKSKEISKGRATRTCSKCKQTGQHDARNCPNKSEQT >PVH63282 pep chromosome:PHallii_v3.1:3:64006745:64009555:-1 gene:PAHAL_3G512100 transcript:PVH63282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGAPIQVSFCLARPPRLSYLCVHFPGPVLGPHVGTVFGPAAGTPAVRCVNPPLGHHPGLRVVDDPSTFDYFVYTARPRPGASDLHRLPKPTKGFRDQDTAIVRCSDSRYVITVLRNTLAPLEFRLQLYDSDTQRWTSRLLRVQEPERDRVLPIPDSATELSFHRSTKTIALGPTTVGWVDLWRGILFCDVLDERPVLRDMPLPKPARCNRGYFCRGGSSGRRDITVVTLPDQSQSQVNLIKYVEMGTRPGNVHHSSSSSDDDDVVRDYWTATIWTMPVPIASWKDWHKDCTIDVGNMVIDNPRHCVLLLAALPGLTVDPEEGAAVTLSRLLASHPTLGLGMDGDVAVYFLSKVDRNAREGWVIAVGTKDNMLQGIAKLDDRKNFAFRRFYRPTEISKYLTKATGEGGRLVRTRLSRSK >PVH62864 pep chromosome:PHallii_v3.1:3:54467200:54470428:1 gene:PAHAL_3G418300 transcript:PVH62864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHYLSPGMTSLNMDTWTAAVQVVPEEMLGRMLEAGCKLEEKIFMQAFMFVLFFSSWE >PVH62863 pep chromosome:PHallii_v3.1:3:54466378:54470659:1 gene:PAHAL_3G418300 transcript:PVH62863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAVQVVPEEMLGRMLEAGCKLEEKIFMQAFMFVLFFSSWE >PVH62804 pep chromosome:PHallii_v3.1:3:51420964:51421731:-1 gene:PAHAL_3G404600 transcript:PVH62804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAPAYSGGQGPGLLGLRRRAEVPVGAALERWRRGARWRGRVWGCRGAGGGIYRRPRWGERWRPVGRAGERRGVPSMALGRLRLSRSGARAAGGRDSSASSGDGAALCGQGDDARDGVRQRAASGGAADDGRRARVRGRRGELRVRGEVVATSGAARGAGHAGRARARGAAGAARARRPRPAHGRAVVGSRGETGRKGEGRKGREGKKKERKRKERKRGKKK >PAN17520 pep chromosome:PHallii_v3.1:3:8854073:8856075:1 gene:PAHAL_3G137800 transcript:PAN17520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCEDESPKPHFVLVPFMAQGHTIPMIDMAHLLAKQGAMVTFITTPANTTRIESTIDKARELNLPIHFVPLKLDCAKVGLPEGCENVDKVIGKEQVKSLTDAYSMLHKPLVSYLQAQSNLPSSIISDLCQPWTGDVARELGIPRFMFNGFCAFSSLCRYIIHQEKVFKNVADDNELIILPGFPHCIEISKARSPGNFNSPGFEQFSEKILEEERRADGVVTNSFYELEPLYHEAYQKKIGKKVWSLGPMFLHNTEMSAIAIRGDKATVDQKHCLQWLESMKPGSVLYVSFGSMARTVFSQLKEIALGLEASKRPFLWVIKSDDKVSDTEKLLSEGFEERIRDRGFIIRGWSQQAMILSHPSLGGFMTHCGWNSTIEAVSNGVPMITWPHCAEQFLNEKLIVDILRIGVPVGVQNITSRTMEAHEISIVKRDHIEKAVLKLMGEDKDAKERRMRATELKQKARQAIDGGSSYSNIQQLIEYVTTRK >PVH62377 pep chromosome:PHallii_v3.1:3:18669524:18671990:1 gene:PAHAL_3G278900 transcript:PVH62377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPPAPWPVSQDLAALAGRARSLSRGDAGLADLAAALLRIQPVARELERRAWPSPGGAEAPALHAWLAELGAAVADAEDLLDDLHRRRLAGPAISSCVGAALLGPDRKLRRLAERLDCVRDDSERLRLGHAAGCGVRSPNRVTGSVLAERRVFGRDQVCDAIVGRLVGDGEELCRPVAPVVAVVGHGGMGKTVVAQCVYNDARIQGYFDLRAWICLWDRLDEAELTREILQSIGGADDTSYDDSLERLQEKLGEVVASKRFFLVLDDVWNDEGKTELENRAVWNKVLAPLSSAAIGSRILVTTRMKLVAEVLNAAYMITLDGLKVADCLLLLKETALSGETMDFPPELLEFGRAIAAKVKGSPLATKVIGEMLRNTRSTRKWRAMMDTEICDNIIISSLQLSYQHLPGHLQRCFAYCSIFPTTWRFNRHKLVKMWIALGFVQPPTEAKRLEDLGYKYFDDLLSRSFFGSANKDHQTYYFLDDLMHILAQHFSAQDCMKINEDIPVVIPPTVRHLSVSTDYLPQLKSKYRLGRLRTLLVLGSSSLSSRHFPSKLLAKFKNLRVLDLSESDIAELPKTIGQLVHLHYLAFCSMT >PAN21879 pep chromosome:PHallii_v3.1:3:62756157:62760936:-1 gene:PAHAL_3G496900 transcript:PAN21879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGGGDGVRRRGCCGGGLFPEESFRSWSAYGRALLETGPRLRDRATARSLDSAEVNEVRGRSGADMKRTLTWWDLIWFGIGAVIGAGIFVLTGQEAKEAAGPAVVVSYAVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLDHHPNDFRVHVPSLSEDYSRLDPIAVAVVLLICLFAVLSTKGSSRFNYVLSIVHLAVIVFIVVAGLTRAKAANLTADFAPFGARGIFAASAVLFFAYIGFDAVSTMAEETRNPARDIPIGLVGAMTLTTAIYCVLAVVLCLMQPYSEIDPDAPFSVAFTAAGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPCLAQVHPRLGTPLNATVAMALATAVIALFTDLGILSNLLSISTLFIFMLVAVALLVRRYYVAGETSAADRNKLAACLAAIMASSVATAACWGATSAGGGGWVPYAVTVAAWLAATLFLQCGVPKARAPKTWGVPLVPWLPAASIFINIFLLGSIDAASFVRFGVWTAALLAYYFLFGLHASYDTAKALAAEADAARVEEGARKPGAGN >PAN17554 pep chromosome:PHallii_v3.1:3:8981612:8984289:-1 gene:PAHAL_3G139500 transcript:PAN17554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRVAADDGAGAGAGAGVGRPRLFAVPRLLVGLGAPKCGGPDCDSPTAARSPTSPLDLRPFAALGGSLLRSPRSPRSWDSHRVGLGGLVDDALAEPAPGARNRLLGPQMRQPFKLPQRLTKSFTTQPRDCGQAASPVLGNVGTAAGAGASTTGMPVPCSRSYGDVKSGPEVIVPGGGAQPGASGHPADLGKFRASGSLPASIGGPRRYIRSVSATEVEQSEDYTCIIAHGPNPKTTRIFGDCILEPCTVESMDAMEVKEGAESYWLVKCFDDGEVGEEFQSSCVSCKKKLDGNDSCIYRGEKAFCSGNCRDNEILTEEDENSAAISSLSSAGSSSSFNDDIFMAEMVVLTAPVDVHLP >PVH62391 pep chromosome:PHallii_v3.1:3:19007008:19008930:-1 gene:PAHAL_3G282900 transcript:PVH62391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATVYSYLSHKNNSTSLFGMLHQVRGTRATTAAIAVVPKNLPPALETHVPRRAKATKLARPIVAQVVDRSRTYATSSPAAARRPLYGPPQPARFRGGVLRGQLGAAARAGVAPGQPPQRAAPVEAVSARPQRAHAAAPGAHGLPADGARRAVPGRGGQRQHGRVRGGPAPAAVLRRAAVRRRRRQRDDVREAAALGGSVDVGSERCPGRGAEAGDGERGGHHAVHDHRAPVDGGRGGGRGVGVAHGEEEQRGVEVGLREEEVVPAVVVAAPGAERREEKEEGAEEDAAQQRGLREQRHGAEPRERAGEVRRREEQEREAAPQPARVGGERADQRVEPCGRNVEERGHQGLRRGRGRRRLAMAVAGLHRDRWGELARAVEWISGVRWWEMRALFAGCRYLCFGFGGQWSASRNLCKAKQAGH >PAN18777 pep chromosome:PHallii_v3.1:3:14619965:14622803:-1 gene:PAHAL_3G224800 transcript:PAN18777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEWWSSARTGDGASACSTNQDADESAATSATETDYFRPSFHVGAAAAASTPSFLADPAPHMVNWTQAYMSGGRAAGAEATTGFNALLQLHGDDAGRYFLLDQQPDVVDGAEPVAPAAASRSVHLYADNQYSSYGDVPAAPMTKPFSQQQHFSGLFGSSTRNFSDMPSALPMTTKPLLLQAWEHKAFKSNKEPVQDTCSSATRRSVPENSPPAAAKKPRIATPSPLPTFKVCTGRPPACDAIRRDYITFHLQLSGQLLISSSAHAIQVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIRFLHHQVASLSSPYLRCGRPVQQLQQQQIKEGCEAKEDLRSRGLCLVPVASTYAVAASETAPEFWHPTFGGRFR >PAN18776 pep chromosome:PHallii_v3.1:3:14618897:14622828:-1 gene:PAHAL_3G224800 transcript:PAN18776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEWWSSARTGDGASACSTNQDADESAATSATETDYFRPSFHVGAAAAASTPSFLADPAPHMVNWTQAYMSGGRAAGAEATTGFNALLQLHGDDAGRYFLLDQQPDVVDGAEPVAPAAASRSVHLYADNQYSSYGDVPAAPMTKPFSQQQHFSGLFGSSTRNFSDMPSALPMTTKPLLLQAWEHKAFKSNKEPVQDTCSSATRRSVPENSPPAAAKKPRIATPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIRFLHHQVASLSSPYLRCGRPVQQLQQQQIKEGCEAKEDLRSRGLCLVPVASTYAVAASETAPEFWHPTFGGRFR >PVH62501 pep chromosome:PHallii_v3.1:3:21912636:21912936:1 gene:PAHAL_3G309600 transcript:PVH62501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPGSGRLNPRGKNRSEVLTASDGPVALDEQASEKRESTQVLPLCGPPPPFS >PAN16163 pep chromosome:PHallii_v3.1:3:2623532:2624373:-1 gene:PAHAL_3G041200 transcript:PAN16163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRGSWLHLLVLVVAMATFLHALAAAGARRGGGSGNPTAGFEKVELADGDFVVQSPYNVPESQRFRYRDGVRTFWVYKDDKPFNTATHTNPRSEVKIRGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNEEGAAHSTVLMLHVYDGVLRFYSGAAVEPGIYDRWFRLNVVHDVGASTVAVYVDGEERFSTRVIPSDSYYFKFGVYMQHHDQSSCMESRWTNVTLYTRH >PAN16703 pep chromosome:PHallii_v3.1:3:5193506:5196595:1 gene:PAHAL_3G078600 transcript:PAN16703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGWSLPTAAAVVAATIFFSLSTMALPAASAIVEHTFVVSQMNMTHLCKETLVTVVNGQVPGPTIEVTEGDSVTIHVVNKSPHNITIHWHGVKQRRNCWNDGVPMVTQCPIQPDQNFTYRFSVAGQEGTLWWHAHVSCLRATLHGAIIIRPRLGASSYPFPKPDREIPFIIGDWWAMDLAAVARRMKQDNFFDYFPSASTINGKLGDLFNCSGVAEDGFVLDVEPGKTYLLRIINTALFSEYFLKIAGHKFTVVASDANYVRPYTTDLVVIAPGETIDALVVADAAPGKYYMVAMPNQAPLPDTQTPEPSTRGMMQYSSRRRPGNGAPVAPVMPDQHDVVQSFYFHSNLSSLRHPRHPLAPVPKRVDEHLFITLGLGIACRRGLNCDREDEKENILVATMNNISFLQPTAPLLAAHYYHVGANKDELQELPDKPPKVFNFTDPSLIPIGPKEKALERTYVATLARWFRYGSVVEMVFQSTSIMQGDSNPMHLHGHDMFVLAQGLGNFDAAKDRARYNLVDPPLKNTVVVPNLGWVAIRFVADNPGVWYMHCHYEFHLSMGMTAVFIVEDGPTANTSLPAPPVDFPSCGRKDNLVPDEFYLQTREITASRIDGV >PVH61924 pep chromosome:PHallii_v3.1:3:10208569:10210153:-1 gene:PAHAL_3G158800 transcript:PVH61924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSGSGGGRSGALLLPPPSPSAASTYSCRGRLRCGGARCGRTGRRAPRGAYSPPSGSLTSLPGSPTALGASAAPTAPPPRPRHHGEVQQQPGGVVIDGVAYRLRQMHWNAPSEHTINGRRYAFELHMLHQSDANSNKYAVVAQLYTISRRRRDRTVHRLERYIRRITRRKGGDESEGGAREEWTQKLRMNKIGVFYISTMVA >PAN18262 pep chromosome:PHallii_v3.1:3:12458108:12462767:1 gene:PAHAL_3G190100 transcript:PAN18262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQHSVTLGCCKTEHKRRSAFVECRTLPTNEGKEARGIEDDDVDVPIRPPRLPPPFSCGAAGAASLGAGLRQASDESPSPSVLHCQLLPRFFTSNGFGSNMPFPPSGSVATDLKSHQNFNRTNFVRHDFRAKTQVNFNNTDNGATMTAGGERPFNLGELLPFSASRVIKEHEQYSQRKKTFVTVTEASGNKKTGASSGCLEDKKGWSRPSRYAAEATAECVGRSVRKMGLRSVVMKVKGTSFFKKKKKVILSWREGFRWERVRDQSPITYIHDVTQLPHNGCRLPKQRRV >PAN18449 pep chromosome:PHallii_v3.1:3:13217868:13220339:1 gene:PAHAL_3G202600 transcript:PAN18449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSLGAKSTARRRPLRVLSVNKARPAPESLLRKPPPPAAAAVAAPAARTPAAEADAALDRLLLARSDLAGIVSQIDELISDALRCQTVSERGKQEIESFNGFLSDTNYSFKQWFSRLKQALETGPEKTENVSKHTLGTCSDSVAKGDSKLICSSSNLPDTDPVATPCSNFTEADMIVSPSPLVSWRTGACIVDSGKQLFLLTPLPKTKACSSRCPTSKTQMKTASCMDQLNLPSLPVSKLTISDDHPDPEQGMKAKEARTSTIMAPHVSTANKCSLEDRLCSPCTLSIQKSMRTLPRSCLKTALSSKQQLFSPIPEGSRKGDIDSNGPSQGDRRSESSDEVSKDLASRYDIYGLKQSTKSTYCRREAEDALQWYLSPLKTCVLMDPSDDKPLPTPARSNMKGKHDVQDDKPIQTPAVHSKALLGTPWKGLESTNLKGRQAGETTLKKELWTRFEAASTNELHFDNSLFQKIDGKRFLDMLEEAS >PVH63094 pep chromosome:PHallii_v3.1:3:60555965:60557945:-1 gene:PAHAL_3G471800 transcript:PVH63094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKPKPPILFFLLLLFLLASLAASQEFTYKGFAGGGGNPNLTLNGIAEVWPDGILRLTNESSRLTNETSRLLGHAFYPTPLRFLDRNGTAVSFSTEFVVTVVPEFAQLGGHGYAFVITPDPRLPGSLPSQYLGLFSAADDGNATNHVCAIEFDTVQDFDFGDINGNHVGVDLNSLVSSMSASADPVNLKAGDTVAWVDYDGAARLLNVSIANGTSGVKPAKPLISFPVDLSGVFREQMYVGFSASTGLLASSHYVRGWSFRLGGGAAPKLDISSLPTLPRTKSGKNRTSLILAVAFSAFVALVVLAAAGAYGAYRYKNRDIIELWELDYGPHRFKYAELRRATRGFGERELLGSGGFGKVYRGVLPGSGEAVAVKRVNHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDRHLFGGDHLKGSRLTWPVRHRILRDVASALLYLHEGWESVVLHRDVKASNVLLDADMSARLGDFGLAKLHERGANPSTTRVVGTLGYLAPELTRTGKATTAIDVFAFGALVLEVVAGRRPIEPRAPPEELVLAEWAWERYTAGEVEKVVDPGWAARTTPRRWPRR >PAN16404 pep chromosome:PHallii_v3.1:3:3666388:3669014:1 gene:PAHAL_3G058000 transcript:PAN16404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bbx23 [Source:Projected from Arabidopsis thaliana (AT4G10240) UniProtKB/TrEMBL;Acc:A0A178UTH9] MKIGCDACGRAEAAVLCCADEAALCRRCDAAVHSANRLAGRHSRVALLPSTAGPSPPDAIVDGSGSHPACDICQEKTGYFFCLEDRALLCRPCDVAVHAAGAHVASHRRFLITGVRVGAGVDAPGVVVSPSTSSGNGSSSAPSNPTTMPDKVRPSLSASAAAAREGLGGQQWPWSEFLADDAGVGMDQQDLCCPAGISEPGSSSLTG >PVH62586 pep chromosome:PHallii_v3.1:3:26289964:26293390:1 gene:PAHAL_3G329500 transcript:PVH62586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVCFRCGDPHRHRDCTWTGECSLCGQNNKDVVCRKNPNGKVRREEISSSSSGTMQMLAAPPTRYLPALPIQQYMMAPPMTSPMVPTPQSGGYWLPHATTPSVSMVPFYSTPYGVTTRATPSSAISGAHAAPPSDDRNRGNVITGA >PAN17105 pep chromosome:PHallii_v3.1:3:6878854:6882734:-1 gene:PAHAL_3G107700 transcript:PAN17105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVTRSSLGFQNDTSSSSDADRLPNELGNMNIRDDKDIEDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTAAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIRVLGTPTREEIKCMNPNYTEFRFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPKLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPMDFLVKLIPEHARKQCAFVGW >PAN17986 pep chromosome:PHallii_v3.1:3:11286270:11290834:1 gene:PAHAL_3G169800 transcript:PAN17986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRLGFVVVASVAAFTLKRANSGGRHHKDNGQARERKDKTSNSEHGEKEDEKEEVKTISSMINSARSLDDDDDDMLSEIESLLSGDIDIPIPRDRFDVNGRSGYNAHMANEAAEIDRLRSLVREMEEREVKLEGELLEYYGMKEMETDVAELQKQLKTKTVEINLLNNTINSMQAERKKLQDEVARGEVAKKELETARSKIKELQRQIQLEAGQTKSQLMLLKQQVIGLKAKEEEAAKKEAEVQRKLKKLKELEVEVIELRRKNKELLYEKRDLIVKLDAAEGKITESDVVAHAREEINKLRHTNEDLAKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQMPSGKVSARDLNRTLSPKSQERAKQLMLEYAGSERGQGDTDLESASSMPSSMPSSPGSEDFDNVSIDSSSSRYSFLSKRPNLMQKLKKWGSRKDDSSTLASPISGSPRRKPKGPLEALMLKNAGDGTAITTFGQRDPNDILDEENVASSFQLMSKTVEGFADEKYPAYKDRHKLATEREKAIKEKAGQARAQRFGGGHSSALVSSPNGALPPKLAQIKERAPAANAASSDQSSDNQNNTLVVSQLKLANIEKRATRVPRPPPTRSTAASGATNTSSGVQTPRPPGAPPPPPPPPGKAGGPPPPPPPPGALPRSLAGGDKVHRAPEIVEFYQSLMKREAKKETSLGSVSSNVSDARSNMIGEIENRSTFLLAVKADVETQGEFVESLASEVRAASFANIDVVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDAIREAAFEYQDLIKLQNKVSSFTDDPQLACEEALKKMYSLLEKVEQSVYALLRTRDMAVSRYKEYGIPVDWLSDSGVVGKIKLASVQLANKYMKRVASELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMAAQPSAPQITEA >PVH63221 pep chromosome:PHallii_v3.1:3:62658140:62666784:1 gene:PAHAL_3G496200 transcript:PVH63221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRSHLHKVRIPEPGNRIHKDECCVSFDTPRSEGGLYVDMSSFLGFGREHVEWNFEKTGNPVYLHIVRRRKPEPDEADRPLKKPTLLAIGVEGGFGDQEPEYDNTFEIVILPDFISLPFPSVDLPEKVRLAVDKVILAESADRKQQLAAWVADKKKISAYAMDLQQLENGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYERTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDANTNYDWNRIQESGKDAELLFGPGYTGLANLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFATAPADPTMDLNMQMTKLGHGLLSGKYSAPNKEGQEGIRPGMFKSVIAANHSEFSSMRQQDALDFFLHLLDQVEQANPGNHELNPCSGFKFIIEERVQCPSGKVSYNKRSDYILSLSIPLHEATNKEQLEAFREKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGPEEIPDFYSTALNSKTTATKTAGFNTFPDYLVLHMRKFVLEAGWVPKKLDVYIDVPDTIDISHMRSKGMQPGEELLPEGASGDNKAEPAATVASEDIVSQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDINDPISNDSRASEQSVDEASVQTLISFGFPEDVAITALKASGGNIEQATDWIFSHPEASSSASADSSTSNVNADDTHVPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWAIFNDSKVAASVDLPKDMGYLYFFQRISG >PAN20800 pep chromosome:PHallii_v3.1:3:53132160:53133054:1 gene:PAHAL_3G411600 transcript:PAN20800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCMPISTALYNFLSLLFFPSSSGLHISSFLARLLHLSLKALTMASTPAALKAAFGVAMCVMLLHSSMGQQPVPAPAPAPSPMLPSDCPLYCGSQCGPICKARADAEARRCVFFRPEVVYNSCFEGCSSRCNGNSSAARGCCKINSCSASSCGNPCARSCCESCSSSAYYPFGKCMSSQEKVFGYCTSPCMTDCNNKCVNGQVPYTP >PVH61821 pep chromosome:PHallii_v3.1:3:8457476:8459925:-1 gene:PAHAL_3G130900 transcript:PVH61821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKAKYKQLLRFSAGSTADGGGGGGGYGGGGATPQNSPRQGPLPSPRGPPSPPDVECKMPRYNGYCNHDDHSSHIGGRTTLYVGSISPDAREQDLEAAFSIYGRVQRVLLHPENYGFVVFYEPQDADAARRRLNCQEFFGSRISVEFAKEHRIPRYDNHHGHDDRYDQYGQQGGNTKLFVGNISRDIQEYHIEGLFSIYGR >PAN18765 pep chromosome:PHallii_v3.1:3:14579128:14582523:-1 gene:PAHAL_3G224300 transcript:PAN18765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHPASPTAGSGSGDRSPSGPPPVRLSAAQAVAAIQPTSPRYFFSSLAAASAAASSPHRRIGIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDDPDADIAEGAARAAASEEEPEEAKKKREEDFDAFTSTKAQDLAQPLVVAQTPFKIHIVKDHDMKERLCLEAERLGLSALIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGAAGGDAFGDELRPVPENEPVYHEAPEAQKEK >PAN17304 pep chromosome:PHallii_v3.1:3:7642898:7644925:-1 gene:PAHAL_3G120200 transcript:PAN17304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPVLFHVLLLCLTLCLTLCLPGFCDAGGENFVFSTFAGAGDLNLDGAAGVTPEGLLELTNNMVSIKGHAFYPTPLRFKESPNGTARSFSATFVFAIVAAGGYPDANGTDGMAFLVAPTTNFSDAEPGQFMGFLGGAAMNHTFAVELDTYRNPELRDIDGNHVGVDVAGLYSVESRTASFHDDGDGGALKNLSLDSGEPIQVWVDYDGKGRQVNVTLAPVGVAKPSTPLLSNISDLSAVLTEQAYVGFSAATGPIKTHHYVLASSFAMDGPAPPIDFKKLPKLPYDNGHETLSKALIALPIAASALILATCVAVTLLLRRRLAFAELREDWEVEFGPHRFSYKDLFNATQGFKNKNLLGAGGFGKVYKGVLPSSKSEVAVKRVSHDSSQGIKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYGEDNKPVLEWAERFRIIKDVASAVFYLHEKWEQVVVHRDIKASNVLLDGETTAHLGDFGLARLYDHGTDLQTTTTRVVGTMGYMAPELARTGKASPLTDVFAFGAFLLEVACGRYPVSGGGEMLVDRVLEYWRGGALLETVDARLRGGGYDAGEARLVLTLGLMCSHPFPSGRPTMRQVVQYLDGDAPLPELTPASVSLLSMMQSEGSFDRSALQCPWWGNSIGTMTPDISVGR >PAN22096 pep chromosome:PHallii_v3.1:3:64137947:64138609:-1 gene:PAHAL_3G513200 transcript:PAN22096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGRSSGGGGRRSSSSAPRAKAPAPRAAAAPSKAAGGAPAPAPAAGSSVVGSALGHVASAITDGWLWGAGSAMAHGAMDAVLGPRKFEIEHTTAAHLQAAVAAAPGGPCDIHAQAFQDVLHDGSDISRCQFYVDVLNDRRRRGQVAIVEASG >PAN16147 pep chromosome:PHallii_v3.1:3:2730556:2733382:-1 gene:PAHAL_3G043300 transcript:PAN16147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVFSGDETAPFFGFLGAAAALIFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >PVH62411 pep chromosome:PHallii_v3.1:3:19485721:19486431:1 gene:PAHAL_3G287200 transcript:PVH62411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTNRPESQRRTKIRGTRGMGWRGERNERKAKKDVKKRWQISLQDWFHNLVYSSTLVLNYNATLILSCG >PAN19761 pep chromosome:PHallii_v3.1:3:20357190:20363573:-1 gene:PAHAL_3G297600 transcript:PAN19761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSPISLSSSGYPAPIHLGLQPLPSVPPLHPTLLPFPRSLPLHLPSLRLTRPRLPPIPLASSGSGGIGGDDDLTPSGGDDDLPPSGGGGGGDEGDDGSARGDGDDASVNRNEALFVLAQLGRKLESLPADLAAAVEGGRVPGEIVRRFVDLEASPVFRWLLQFGGFKERLLADDLFLTKVGIECGVGIFTKTAAEYEKRRENFVKELDFVVADVIMAIVADFMLVWLPAPTVSLRPPLAVNSGAIAKFFYNCPDNAFQVALAGTSYSLLQRVGAIVRNGAKLFAVGTSASLIGTGATNALIKARQAVSKDFAGEVENIPIVATSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHRHKLVLGAMCFAVRTGNTFLGSLLWVDYARWIGIQ >PAN18961 pep chromosome:PHallii_v3.1:3:15451924:15453624:-1 gene:PAHAL_3G238300 transcript:PAN18961 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/TrEMBL;Acc:A0A178VP35] MNDADVGKQVQQMVRFILQEADEKASEITVAAEEEFNIEKLQLVESEKRRVRQEYERKEKQVDVRRKIEYSTELNAARIKLLQAQDDVVTGMRENAGEALVRVTKDANTYKRILKGLIVQSLLRLREPALVLRCREADRSLVEAVLEVAKKEYAEKAKVNLPKVIIDGKVYLPPQRNSRDAHGPFCSGGVVLASQDGKIVCENTLDARLSVSFRQKLPEIRKKLFSKQAS >PVH63115 pep chromosome:PHallii_v3.1:3:61124984:61128914:-1 gene:PAHAL_3G477600 transcript:PVH63115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVASVDAILLLVAVAVSVLVGAAVWTRRGRGRGKAALSPPSHPLLGHLHLLGKPLHRSLAALAAAHGGAGGPAPLLSLRLGARRALLVSDHAAAEECFTAQDAALAGKPRLLAGERLGYGCPTVTWAPHGDHWRALRRFLAVELFSASRLAVRAADRRAEAAALVGSLLRHADAAPRAAATLRPRLFELVLNVMMRALTGAPALAGDVRRFQEIVEESFAVTGAPSVGDFYPALRWIDRLRGVDAALIRLQARRDAFVAGLVDGKRRSRKSGGGDTEKKSAIDELLSLQEIDPEFYTDTTIKGIVMILLSAGTDTSALTTEWAMALLLMHPEAMQKVRAELDANVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPIIPAHEAMEDCTVGGYHVRCGTMILVNAWLIHRDPKLWKVPEDFRPERFLDTGMVTTVTTPMLPFGLGRRRCPGEGLAMRLVSLTLAVLVQCFEWNVGEGGVVDMSEGGGLSMPMAKPLAAVCRPQEFVKSMLSASR >PVH63119 pep chromosome:PHallii_v3.1:3:61125785:61128985:-1 gene:PAHAL_3G477600 transcript:PVH63119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVASVDAILLLVAVAVSVLVGAAVWTRRGRGRGKAALSPPSHPLLGHLHLLGKPLHRSLAALAAAHGGAGGPAPLLSLRLGARRALLVSDHAAAEECFTAQDAALAGKPRLLAGERLGYGCPTVTWAPHGDHWRALRRFLAVELFSASRLAVRAADRRAEAAALVGSLLRHADAAPRAAATLRPRLFELVLNVMMRALTGAPALAGDVRRFQEIVEESFAVTGAPSVGDFYPALRWIDRLRGVDAALIRLQARRDAFVAGLVDGKRRSRKSGGGDTEKKSAIDELLSLQEIDPEFYTDTTIKGIVMILLSAGTDTSALTTEWAMALLLMHPEAMQKVRAELDANVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPIIPAHEAMEDCTVGGYHVRCGTMILVNAWLIHRDPKLWKVPEDFRPERFLDTGMVTTVTTPMLPFGLGRRRCPGEGLAMRLVSLTLAVLVQCFEWNVGEGGVVDMSEGGGLSMPMAKPLAAVCRPQEFVKSMLSASR >PVH63117 pep chromosome:PHallii_v3.1:3:61126050:61129112:-1 gene:PAHAL_3G477600 transcript:PVH63117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVASVDAILLLVAVAVSVLVGAAVWTRRGRGRGKAALSPPSHPLLGHLHLLGKPLHRSLAALAAAHGGAGGPAPLLSLRLGARRALLVSDHAAAEECFTAQDAALAGKPRLLAGERLGYGCPTVTWAPHGDHWRALRRFLAVELFSASRLAVRAADRRAEAAALVGSLLRHADAAPRAAATLRPRLFELVLNVMMRALTGAPALAGDVRRFQEIVEESFAVTGAPSVGDFYPALRWIDRLRGVDAALIRLQARRDAFVAGLVDGKRRSRKSGGGDTEKKSAIDELLSLQEIDPEFYTDTTIKGIVMILLSAGTDTSALTTEWAMALLLMHPEAMQKVRAELDANVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPIIPAHEAMEDCTVDFRPERFLDTGMVTTVTTPMLPFGLGRRRCPGEGLAMRLVSLTLAVLVQCFEWNVGEGGVVDMSEGGGLSMPMAKPLAAVCRPQEFVKSMLSASR >PAN21575 pep chromosome:PHallii_v3.1:3:61124847:61129349:-1 gene:PAHAL_3G477600 transcript:PAN21575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVASVDAILLLVAVAVSVLVGAAVWTRRGRGRGKAALSPPSHPLLGHLHLLGKPLHRSLAALAAAHGGAGGPAPLLSLRLGARRALLVSDHAAAEECFTAQDAALAGKPRLLAGERLGYGCPTVTWAPHGDHWRALRRFLAVELFSASRLAVRAADRRAEAAALVGSLLRHADAAPRAAATLRPRLFELVLNVMMRALTGAPALAGDVRRFQEIVEESFAVTGAPSVGDFYPALRWIDRLRGVDAALIRLQARRDAFVAGLVDGKRRSRKSGGGDTEKKSAIDELLSLQEIDPEFYTDTTIKGIVMILLSAGTDTSALTTEWAMALLLMHPEAMQKVRAELDANVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPIIPAHEAMEDCTVGGYHVRCGTMILVNAWLIHRDPKLWKVPEDFRPERFLDTGMVTTVTTPMLPFGLGRRRCPGEGLAMRLVSLTLAVLVQCFEWNVGEGGVVDMSEGGGLSMPMAKPLAAVCRPQEFVKSMLSASR >PVH63116 pep chromosome:PHallii_v3.1:3:61125785:61128914:-1 gene:PAHAL_3G477600 transcript:PVH63116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVASVDAILLLVAVAVSVLVGAAVWTRRGRGRGKAALSPPSHPLLGHLHLLGKPLHRSLAALAAAHGGAGGPAPLLSLRLGARRALLVSDHAAAEECFTAQDAALAGKPRLLAGERLGYGCPTVTWAPHGDHWRALRRFLAVELFSASRLAVRAADRRAEAAALVGSLLRHADAAPRAAATLRPRLFELVLNVMMRALTGAPALAGDVRRFQEIVEESFAVTGAPSVGDFYPALRWIDRLRGVDAALIRLQARRDAFVAGLVDGKRRSRKSGGGDTEKKSAIDELLSLQEIDPEFYTDTTIKGIVMILLSAGTDTSALTTEWAMALLLMHPEAMQKVRAELDANVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPIIPAHEAMEDCTVDFRPERFLDTGMVTTVTTPMLPFGLGRRRCPGEGLAMRLVSLTLAVLVQCFEWNVGEGGVVDMSEGGGLSMPMAKPLAAVCRPQEFVKSMLSASR >PVH63120 pep chromosome:PHallii_v3.1:3:61127253:61128914:-1 gene:PAHAL_3G477600 transcript:PVH63120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVASVDAILLLVAVAVSVLVGAAVWTRRGRGRGKAALSPPSHPLLGHLHLLGKPLHRSLAALAAAHGGAGGPAPLLSLRLGARRALLVSDHAAAEECFTAQDAALAGKPRLLAGERLGYGCPTVTWAPHGDHWRALRRFLAVELFSASRLAVRAADRRAEAAALVGSLLRHADAAPRAAATLRPRLFELVLNVMMRALTGAPALAGDVRRFQEIVEESFAVTGAPSVGDFYPALRWIDRLRGVDAALIRLQARRDAFVAGLVDGKRRSRKSGGGDTEKKSAIDELLSLQEIDPEFYTDTTIKGIVMILLSAGTDTSALTTEWAMALLLMHPEAMQKVRAELDANVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPIIPAHEAMEDCTVDFRPERFLDTGMVTTVTTPMLPFGLGRRRCPGEGLAMRLVSLTLAVLVQCFEWNVGEGGVVDMSEGGGLSMPMAKPLAAVCRPQEFVKSMLSASR >PVH63118 pep chromosome:PHallii_v3.1:3:61125785:61128914:-1 gene:PAHAL_3G477600 transcript:PVH63118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVASVDAILLLVAVAVSVLVGAAVWTRRGRGRGKAALSPPSHPLLGHLHLLGKPLHRSLAALAAAHGGAGGPAPLLSLRLGARRALLVSDHAAAEECFTAQDAALAGKPRLLAGERLGYGCPTVTWAPHGDHWRALRRFLAVELFSASRLAVRAADRRAEAAALVGSLLRHADAAPRAAATLRPRLFELVLNVMMRALTGAPALAGDVRRFQEIVEESFAVTGAPSVGDFYPALRWIDRLRGVDAALIRLQARRDAFVAGLVDGKRRSRKSGGGDTEKKSAIDELLSLQEIDPEFYTDTTIKGIVMILLSAGTDTSALTTEWAMALLLMHPEAMQKVRAELDANVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPIIPAHEAMEDCTVGGYHVRCGTMILVNAWLIHRDPKLWKVPEDFRPERFLDTGMVTTVTTPMLPFGLGRRRCPGEGLAMRLVSLTLAVLVQCFEWNVGEGGVVDMSEGGGLSMPMAKPLAAVCRPQEFVKSMLSASR >PVH61325 pep chromosome:PHallii_v3.1:3:642217:642410:-1 gene:PAHAL_3G011600 transcript:PVH61325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLDDPTSVCRPQRSFADKITFRMTIIATDSS >PVH61275 pep chromosome:PHallii_v3.1:3:202526:205978:-1 gene:PAHAL_3G003600 transcript:PVH61275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSTVYTNKLHDRRNSWHSQWGPAKMLLLAGSVMISTFAPTYWIQLYGKVAPFGAGLMRFITNLNKWCQTNFETWYRLVITVSIIAYICSTVGSIFMCFRYTGCWLNIAFIGTTLLLVHLMYLIPLIKSQTNGFYMEPGLAGAYIVFLCYSAIRSEPAETDSYKKEKASASADWKTIVSFVIELGSIAGAVFSTGKDYKCIQLRNVVESEDDVPYGYGFFHFVFAMGSMYFGMLFIGWDMHHIMDKFSMDVGWMSVWVHIVNEGLTVLSFVAIAILVARIYEIGWLRQLLARIFGIGSQQPPPPPPPSSEMNILRSSNNDDDTGAPPLPLPRSPPQATEEFQEVVSSSDDIAGPPPLPVNIGSRNDDVHAAGSSSSRPAAACATAGRRRVPGGNWWTTIMRRLTTRKLMLFFLCVHVTFILSLAFLYMMYTHRSTQ >PAN17397 pep chromosome:PHallii_v3.1:3:8318300:8323507:-1 gene:PAHAL_3G128700 transcript:PAN17397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLLSLCLVSALAFSSCSLSLASHQRDADRVARLPGQPESPSVSQFAGYVTVNERHGRALFYWFFEAQTTPEEKPLLLWLNGGPGCSSIGYGAASELGPLRVVRQGAELKFNEYAWNKEANLLFLESPVGVGFSYTNKSSDLDNLNDVFVAEDSYSFLMNWLQRFPEYKDREFYIAGESYAGHYVPQLAELVYERNKDKKGKSYINLKGFIVGNPITNDYYDSKGLAEYAWSHAIVPDEVYNRIKKYCDFKNSNWSDDCSAAMEVIYSQYREIDIYNTYAPKCLLNQTSASSTTRAFFENDQDQLRRRIRMFSGYDPCYSSYAEDYFNKGDVQRAFHANVSGLLPGKWHVCSDPILNSYNFSVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVESLDLPIKTQWQPWYLDKQVAGWFVEYHGMSMVTVRGAGHLVPLNKPAEGLTLINTFLRSEQLPAHR >PVH61817 pep chromosome:PHallii_v3.1:3:8318300:8323508:-1 gene:PAHAL_3G128700 transcript:PVH61817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLLSLCLVSALAFSSCSLSLASHQRDADRVARLPGQPESPSVSQFAGYVTVNERHGRALFYWFFEAQTTPEEKPLLLWLNGGPGCSSIGYGAASELGPLRVVRQGAELKFNEYAWNKEANLLFLESPVGVGFSYTNKSSDLDNLNDVFVDSYSFLMNWLQRFPEYKDREFYIAGESYAGHYVPQLAELVYERNKDKKGKSYINLKGFIVGNPITNDYYDSKGLAEYAWSHAIVPDEVYNRIKKYCDFKNSNWSDDCSAAMEVIYSQYREIDIYNTYAPKCLLNQTSASSTTRAFFENDQDQLRRRIRMFSGYDPCYSSYAEDYFNKGDVQRAFHANVSGLLPGKWHVCSDPILNSYNFSVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVESLDLPIKTQWQPWYLDKQVAGWFVEYHGMSMVTVRGAGHLVPLNKPAEGLTLINTFLRSEQLPAHR >PAN17044 pep chromosome:PHallii_v3.1:3:6585572:6586093:1 gene:PAHAL_3G103300 transcript:PAN17044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATRRWGPPISAPLSPLLSLSSHPALAATLPRASPAHPAALAHGGAASRFPGGAGPRRSSSSPGLLRVPTGAQGPHSVRRPRRRRTTQVPPRCPWRQRRGLALLPGVPHQRREVLQERGAPDAVRSRCRCPWPGVIRPDSPRMLDLDASLAGELVGSSTSGRVSRRSWSVGS >PAN18446 pep chromosome:PHallii_v3.1:3:13210967:13214738:-1 gene:PAHAL_3G202400 transcript:PAN18446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNPFELLGADDNDDPTQLLAAAAAAKQKAEAKKQAAAAAATGKGAQPAPAKFATKPAPPAQAVRESRTGGAPSRGGYGRGERGRGRGGRGYGQNREYGSEDANGFQGGYGAGGGARAGGEEGAQDRGPRPPYRGGGGGRRGGYRDGEFGDDSERPFRRTYERHSGTGRGFEMKREGAGRGNWGTTTDEIIAQETEEGLKLDEKAPVPEKQGAQEDAPQAEENKDNKDGNEEEEKEEDKEMTLEEFEKIREEKRKALLALKTEERKVEVDKDLQSMQPLSTKKGNDEIFVKLGSDKDALKKKENAERDERAKKSVSINEFLKPSDGERYYGGRGRGRGRGDRGFRGGYGGGYRAPPAAPAIQDQSQFPSLGGK >PAN16363 pep chromosome:PHallii_v3.1:3:3453075:3456996:-1 gene:PAHAL_3G055100 transcript:PAN16363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQTARLQAAVQKRRRATAAKANAAAAEKPTAPRLLSKSPHRLGSSAEETVMASASLSTRALFKCGPKAFRNSHRHGCLKSVVSFPVSQASDSLYSPIRVVNRAYGSDLIVTALKSRGLNTALSSTNGSLKVPERVTVLVIGGGGREHALCYALERAPSCDAVFCAPGNSGIAQSGDATCIPDLDITSSEAVISFCHNWGVGLVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYDIPTAKYRTFTNPADAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDTMLVEGSFGSAGSHVIVEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKKKVMESIILPTVKGMAAEGCKFVGVLYAGLMIDKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACQGELGKVSLTWSPELATVVVMASEGYPGVYKEGTVIKNLDEAEQVSPAVKIFHAGTAFDTDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFYRHDIGWRALKHRHMFAH >PAN16364 pep chromosome:PHallii_v3.1:3:3453392:3456966:-1 gene:PAHAL_3G055100 transcript:PAN16364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQTARLQAAVQKRRRATAAKANAAAAEKPTAPRLLSKSPHRLGSSAEETVMASASLSTRALFKCGPKAFRNSHRHGCLKSVVSFPVSQASDSLYSPIRVVNRAYGSDLIVTALKSRGLNTALSSTNGSLKVPEERVTVLVIGGGGREHALCYALERAPSCDAVFCAPGNSGIAQSGDATCIPDLDITSSEAVISFCHNWGVGLVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYDIPTAKYRTFTNPADAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDTMLVEGSFGSAGSHVIVEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKKKVMESIILPTVKGMAAEGCKFVGVLYAGLMIDKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACQGELGKVSLTWSPELATVVVMASEGYPGVYKEGTVIKNLDEAEQVSPAVKIFHAGTAFDTDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFYRHDIGWRALKHRHMFAH >PAN17936 pep chromosome:PHallii_v3.1:3:10998673:11005026:-1 gene:PAHAL_3G166200 transcript:PAN17936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLIEINEAWRIKPATDPTVLPKGKAQAKYEAVTLPEKIMNMEVEQPMIFSEADATRFRGMRLDDLDVHYVNVNLDDDDFSRAEHHHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDETTVNITPDEHPQVPSTLVPSPPRQEDPPQQEEPYYAAPSPVQEEPQQGGSLEGQEEQKMKEKQPPKASKRKARRKLPQVIMDNNQIMIPGNMYQTWLKDTSNLVSKRRKVINNFCFIRSTKISDLMDMPPVALISFSDKSPSELYYPKPLMQLWKECTEVDSAKASSSGQPSSSQEQHRRNSPPHEFPPQPEGEYEMETGAPPMDFTDGLEKLRGNMNAEYDRAYDNLLSDHSVTPGSPGLSRRSASSSGGSGRGFIPLDPEVQLPSGSGRSKRQHSSGRSLGNLDPVDEDFPLEQEVRDFKMRRLSDFGPTPDLLEETEPTQTPYEKRSDPIDKVTESIQSHLKLHFDTPGAPQSESLSLLASGMTTARAARLFYQMTVLATFDYIKVTQPEPYGDISISRGAKM >PVH61967 pep chromosome:PHallii_v3.1:3:10998673:11005026:-1 gene:PAHAL_3G166200 transcript:PVH61967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLIEINEAWRIKPATDPTVLPKGKAQAKYEAVTLPEKIMNMEVEQPMIFSEADATRFRGMRLDDLDVHYVNVNLDDDDFSRAEHHHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDETTVNITPDEHPQVPSTLVPSPPRQEDPPQQEEPYYAAPSPVQEEPQQGGSLEGQEEQKMKEKQPPKASKRKARRKLPQVIMDNNQIMIPGNMYQTWLKDTSNLVSKRRKVINNFCFIRSTKISDLMDMPPVALISFSDKSPSELYYPKPLMQLWKECTEVDSAKASSSGQPSSSQEQHRRNSPPHEFPPQPEGEYEMETGAPPMDFTDGLEKLRGNMNAEYDRAYDNLLSDHSVTPGSPGLSRRSASSSGGSGRGFIPLDPEVQLPSGSGRSKRQHSSGRSLGNLDPVDEDFPLEQEVRDFKMRRLSDFGPTPVNIRFRPSGRN >PVH61968 pep chromosome:PHallii_v3.1:3:10999355:11004847:-1 gene:PAHAL_3G166200 transcript:PVH61968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLIEINEAWRIKPATDPTVLPKGKAQAKYEAVTLPEKIMNMEVEQPMIFSEADATRFRGMRLDDLDVHYVNVNLDDDDFSRAEHHHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDETTVNITPDEHPQVPSTLVPSPPRQEDPPQQEEPYYAAPSPVQEEPQQGGSLEGQEEQKMKEKQPPKASKRKARRKLPQVIMDNNQIMIPGNMYQTWLKDTSNLVSKRRKVINNFCFIRSTKISDLMDMPPVALISFSDKSPSELYYPKPLMQLWKECTEVDSAKASSSGQPSSSQEQHRRNSPPHEFPPQPEGEYEMETGAPPMDFTDGLEKLRGNMNAEYDRAYDNLLSDHSVTPGSPGLSRRSASSSGGSGRGFIPLDPEVQLPSGSGRSKRQHSSGRSLGNLDPVDEDFPLEQEVRDFKMRRLSDFGPTPDLLEETEPTQTPYEKRSDPIDKVTESIQSHLKLHFDTPGAPQSESLSLLASGMTTARAARLFYQMTVLHYPGQITWAY >PVH61966 pep chromosome:PHallii_v3.1:3:10999977:11004847:-1 gene:PAHAL_3G166200 transcript:PVH61966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLIEINEAWRIKPATDPTVLPKGKAQAKYEAVTLPEKIMNMEVEQPMIFSEADATRFRGMRLDDLDVHYVNVNLDDDDFSRAEHHHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDETTVNITPDEHPQVPSTLVPSPPRQEDPPQQEEPYYAAPSPVQEEPQQGGSLEGQEEQKMKEKQPPKASKRKARRKLPQVIMDNNQIMIPGNMYQTWLKDTSNLVSKRRKVINNFCFIRSTKISDLMDMPPVALISFSDKSPSELYYPKPLMQLWKECTEVDSAKASSSGQPSSSQEQHRRNSPPHEFPPQPEGEYEMETGAPPMDFTDGLEKLRGNMNAEYDRAYDNLLSDHSVTPGSPGLSRRSASSSGGSGRGFIPLDPEVQLPSGSGRSKRQHSSGRSLGNLDPVDEDFPLEQEVRDFKMRRLSDFGPTPVNIRFRPSGRN >PVH61997 pep chromosome:PHallii_v3.1:3:11538677:11539329:1 gene:PAHAL_3G174700 transcript:PVH61997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGWDQEARGAAAERKKRRSGRRPSISRRGQHAPLLLSAVVASLVPGSTAGVYHIVGAGKGWRMPPNKTYYDDWARTRHISIGDKLMFLYRSGVHNIVEVPTRALFDACSMRNITSRYQNGPTIIELTQPGQRYYFCGVGEHCEVGQKLAINVLLVALPPPDMPNSAAAATARLSRCAGLAAACLVAALLMAF >PAN18422 pep chromosome:PHallii_v3.1:3:13117667:13122746:-1 gene:PAHAL_3G200400 transcript:PAN18422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSEYEYVKREFEFDRRLPPSNWIVVRIDGCHFHRFSKIHAFEKPNDENALRLMNACATAMLEKFPDIVFAYGVSDEYSFVFREETEFYHRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDARAVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWMLVKSGKSEQAAQLALKGTFAKDKNELLAQQFQINYDDEPAMFRKGSSVYREKVETTVKIDDYGTPIKRPRLKVTVAHVDIIGPEFWENHQHILREGKFMHEFVKKFGIDRILPPCNWIVVRISGCQFDQFSIIHSLDKPNDETALRLMNASASLMMEQYPDIVFGYGFSNEYSFVFHEKTELYQRQESLILSSCSSYFTSCYVTKWKEFSPHKELMQTPRFEAEALCYPKLKIVCEYLSWRQAECHAGNQYNTCFWMLVKSGKSETEAHEILKGTLSKDKNELLFQQFQTNYNNEPAMFRKGSCIYRRKVEELAEAEGGDNGTARERWDVKVDHVDLGPGFWRKHPWIMTNCN >PVH62335 pep chromosome:PHallii_v3.1:3:17676854:17677168:1 gene:PAHAL_3G265700 transcript:PVH62335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKECGGGGGTAAAAAIRILSELLSFTASSYLVPSLNAASTRSEGAVFHSHLDYSNSVSYPFICFYFLCVFSLYKCLRECKLFLHCVEGMLLTLIRHAAHFSQ >PAN16776 pep chromosome:PHallii_v3.1:3:5559096:5566700:-1 gene:PAHAL_3G085700 transcript:PAN16776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTGPGESSGEAPGAPGQGSSQRSETTQQHGGGRGLLPQQGARGGGQHQVRGGYQGCGGPTESQPRDYQGRGGYQGRGGPPSQHPGGPSEAQPRGYQGRGGYQGRGVPPSQHPGGGPPEYQSQEYQGRGGPRARRGVPQPHYGGHGGGSVGPSVPPGPSRSVPELHQAPFVQYQAPVAVSPSPPGAGSSSQPAEAEVSTGQVQQQFQQLAIRGQSSTSQAIQMAPASSKSVRFPLRPGKGTYGSRCIVKANHFFAELPDKDLHHYDVSITPEVTSRGVNRAVMGQLVTLYRQSHLDGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEASLGGGQRRERVFTVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYCPVGRSFYSPNLGRRQQLGEGLETWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDDRGTVKTVVQYFLETYGFSIQHTSLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILQTVHHNAYFEDPYAQEFGIRIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSSWACINFSRNVQDNAARIFCHELAQMCQVSGMDFALEPVLPALTARPEHVERALKGRYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKANKQQYLANVALKINVKVGGRNTVLVDALARRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMVKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDTSDSGSMVSSAGTSRGPPPGSSRSSRAGGSAAVRPLPALKENVKRVMFYC >PAN17454 pep chromosome:PHallii_v3.1:3:8546052:8550295:-1 gene:PAHAL_3G132800 transcript:PAN17454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHHPNSQSAIQIRNLPEKQLGAVIFGCKPETIEECLTKQLFGLPSIHYSYVKHVKPGMPLFLFNYIDRRLHGLFEAASPGQMSIDPYAWSNEDSLKTPFPAQVRVCTKIKYPPMLESRYKTVLSKNYYDRHLFYFELDHAQAKALISLFKSLAPANFNRVPAVSSKQSIVLSLSPSKRKTPALPDPKKVKAKSKDINPFSILSNASDGVLDNWADSDVENASVRENSRSDTDDKESGEPVSDWEDLDDNVLQNQFSPHSNPDEVSQNSSYKTVCQGMELAEWSHAVSDPVNGERHNVEEGMLVNLHNEHTDAGSVGKIDSEVHNNSDSIELQHERQTILKKLKELSFIRQQAALSSQDPVDPCSDQCVPEGKPVNANFSCDTFGATLEDTISPEERHRDYAEIIADLAKRAEALEKKQNMSDQDILFLREVVKDSGRKVQQLEYLVDELQFKFDSSLSHLGSMCDNLTKPSIFLIGGYNSVTWLSSLDSFSPEKDILVGLTPMSSARSYASAAALDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGTSLNSKIYAIGGGDGNETYSEVEVFDPYLGKWICGPSMLVSRFALGATELNGTIYAAGGYDGSMYLQSAERYDQREGVWVRLPSMNTRRGCHTLTVLGESLYAMGGYNGDKMVSCVEIYDPRLNAWRMGDPMSSPRGYAAAVSLDGSVYLIGGLQSNVQILDTVEVYSVSSGWSALGFSSLGKRTFASAVVM >PAN17453 pep chromosome:PHallii_v3.1:3:8545627:8552107:-1 gene:PAHAL_3G132800 transcript:PAN17453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHHPNSQSAIQIRNLPEKQLGAVIFGCKPETIEECLTKQLFGLPSIHYSYVKHVKPGMPLFLFNYIDRRLHGLFEAASPGQMSIDPYAWSNEDSLKTPFPAQVRVCTKIKYPPMLESRYKTVLSKNYYDRHLFYFELDHAQAKALISLFKSLAPANFNRVPAVSSKQSIVLSLSPSKRKTPALPDPKKVKAKSKDINPFSILSNASDGVLDNWADSDVENASVRENSRSDTDDKESGEPVSDWEDLDDNVLQNQFSPHSNPDEVSQNSSYKTVCQGMELAEWSHAVSDPVNGERHNVEEGMLVNLHNEHTDAGSVGKIDSEVHNNSDSIELQHERQTILKKLKELSFIRQQAALSSQDPVDPCSDQCVPEGKPVNANFSCDTFGATLEDTISPEERHRDYAEIIADLAKRAEALEKKQNMSDQDILFLREVVKDSGRKVQQLEYLVDELQFKFDSSLSHLGSMCDNLTKPSIFLIGGYNSVTWLSSLDSFSPEKDILVGLTPMSSARSYASAAALDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGTSLNSKIYAIGGGDGNETYSEVEVFDPYLGKWICGPSMLVSRFALGATELNGTIYAAGGYDGSMYLQSAERYDQREGVWVRLPSMNTRRGCHTLTVLGESLYAMGGYNGDKMVSCVEIYDPRLNAWRMGDPMSSPRGYAAAVSLDGSVYLIGGLQSNVQILDTVEVYSVSSGWSALGFSSLGKRTFASAVVM >PVH62128 pep chromosome:PHallii_v3.1:3:13632660:13638226:1 gene:PAHAL_3G209800 transcript:PVH62128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGQVPASQGTADRPNKSEQPGRGGFRAPPPPQRARRTYPGNNQLGRLPRSSTAGPAHCRRARAHQLRSPNPQPPTAPLRPAPMASPSRSPAASRRGRSARQSPFFRDLASPIPSHRGVSRFASATSPAAAPSATPPPPPIFTLDDRYACEDFSPDPTASDLLPLASSPSPRAAASRSPSWDRSRGGVSLSAPGSPMDGVVEPARKEVLALPPPASPSSPPPATTAEAQSPVTPAQAPVRTEPVANGGEAEREEWVTVFGFSTGDTNLVLREFEKCGVILRHHSGPRYGNWIHILYQRSYDARKALQKNGIQLSSGLIVGVKSIDPVHRQQLDERLIGSNQGGFMVSLPSKSLTLKSAGASNQLGALPRPYDPKANTNVNRDAGRRATGSVAAPAKSIVTNVMDLIFGI >PVH63203 pep chromosome:PHallii_v3.1:3:62396893:62403093:-1 gene:PAHAL_3G492100 transcript:PVH63203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLSRAAAAAAAAALRGAARPHHLLRRSSLPKGRVGWAARAAQDAGGYGCGAAPLTAGAFGIAARCNATSSSAVSEAAGVHALPRTEPVVSAEWLHANLKDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEEAFSAAVSALGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAGEAIEKVYQGQSVGPFTFEAKLQPHLIWSLDKVKENIKTQTHQVIDARSKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQVLDSSQKLLPPDELRKRFEQEGISLDQPLVTSCGTGVTACVLALGLHRLGKTDVAVYDGSWTEWGAHPDTPVATAV >PVH63202 pep chromosome:PHallii_v3.1:3:62396918:62403093:-1 gene:PAHAL_3G492100 transcript:PVH63202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEEAFSAAVSALGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAGEAIEKVYQGQSVGPFTFEAKLQPHLIWSLDKVKENIKTQTHQVIDARSKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQVLDSSQKLLPPDELRKRFEQEGISLDQPLVTSCGTGVTACVLALGLHRLGKTDVAVYDGSWTEWGAHPDTPVATAV >PAN19801 pep chromosome:PHallii_v3.1:3:20635357:20640329:-1 gene:PAHAL_3G300500 transcript:PAN19801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLPSRTYQNQSAVSSTEEWPSKYSKLFVQMDDGLEFSFTDKRRFAKIRLVDNPEAVPPISELGPDALFEPMKLDEFVKSLGRKNVPIKSLLLDQSFISGIGNWMADEVLYQARIHPMQTASKISKDKCATLHLCIKEVIDKSLEVGADSSQFPDNWIFHSREKKPGKAFVDGKKIDFIATSAYVPELQKLDAADAETSRSKKSVDKENDDDKKSRKGINAPKPAKARVKTAKGSSNKAGNTSDDGDEEDYEEEEAKPAKRGRKQSARTANVSTKNAGSTHGDEATDEDQEADEDAEPAKRGRKQIEKSAKGSDEEADDKMEVKPGKRRGQKTQPTEAKSSLPKKVQGAGPARRPQRKARQT >PAN19804 pep chromosome:PHallii_v3.1:3:20635724:20641995:-1 gene:PAHAL_3G300500 transcript:PAN19804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARQALQEHCVGKRIVKCASADDTKVIDGVTPDRLEAALVGRTIAAARRKGKNLWLALDSPPHPTFQFGMAGAIYIKGVELSKYKRSAVSSTEEWPSKYSKLFVQMDDGLEFSFTDKRRFAKIRLVDNPEAVPPISELGPDALFEPMKLDEFVKSLGRKNVPIKSLLLDQSFISGIGNWMADEVLYQARIHPMQTASKISKDKCATLHLCIKEVIDKSLEVGADSSQFPDNWIFHSREKKPGKAFVDGKKIDFIAVGGRTSAYVPELQKLDAADAETSRSKKSVDKENDDDKKSRKGINAPKPAKARVKTAKGSSNKAGNTSDDGDEEDYEEEEAKPAKRGRKQSARTANVSTKNAGSTHGDEATDEDQEADEDAEPAKRGRKQIEKSAKGSDEEADDKMEVKPGKRRGQKTQPTEAKSSLPKKVQGAGPARRPQRKARQT >PAN19803 pep chromosome:PHallii_v3.1:3:20635357:20642424:-1 gene:PAHAL_3G300500 transcript:PAN19803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARQALQEHCVGKRIVKCASADDTKVIDGVTPDRLEAALVGRTIAAARRKGKNLWLALDSPPHPTFQFGMAGAIYIKGVELSKYKRSAVSSTEEWPSKYSKLFVQMDDGLEFSFTDKRRFAKIRLVDNPEAVPPISELGPDALFEPMKLDEFVKSLGRKNVPIKSLLLDQSFISGIGNWMADEVLYQARIHPMQTASKISKDKCATLHLCIKEVIDKSLEVGADSSQFPDNWIFHSREKKPGKAFVDGKKIDFIATSAYVPELQKLDAADAETSRSKKSVDKENDDDKKSRKGINAPKPAKARVKTAKGSSNKAGNTSDDGDEEDYEEEEAKPAKRGRKQSARTANVSTKNAGSTHGDEATDEDQEADEDAEPAKRGRKQIEKSAKGSDEEADDKMEVKPGKRRGQKTQPTEAKSSLPKKVQGAGPARRPQRKARQT >PAN19802 pep chromosome:PHallii_v3.1:3:20635724:20640213:-1 gene:PAHAL_3G300500 transcript:PAN19802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLPSRTYQNQSAVSSTEEWPSKYSKLFVQMDDGLEFSFTDKRRFAKIRLVDNPEAVPPISELGPDALFEPMKLDEFVKSLGRKNVPIKSLLLDQSFISGIGNWMADEVLYQARIHPMQTASKISKDKCATLHLCIKEVIDKSLEVGADSSQFPDNWIFHSREKKPGKAFVDGKKIDFIAVGGRTSAYVPELQKLDAADAETSRSKKSVDKENDDDKKSRKGINAPKPAKARVKTAKGSSNKAGNTSDDGDEEDYEEEEAKPAKRGRKQSARTANVSTKNAGSTHGDEATDEDQEADEDAEPAKRGRKQIEKSAKGSDEEADDKMEVKPGKRRGQKTQPTEAKSSLPKKVQGAGPARRPQRKARQT >PAN16882 pep chromosome:PHallii_v3.1:3:5981278:5983477:1 gene:PAHAL_3G093500 transcript:PAN16882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVDDECKLRFLELKAKRTHRFIIYKIDEKKKMVVVEKVGEPVLNYDDFAATLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDSAEVGLDVIQGRAN >PAN18488 pep chromosome:PHallii_v3.1:3:13334216:13337086:1 gene:PAHAL_3G205100 transcript:PAN18488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPFSFKALVDVSRNAFPGNRPVPAKEGLASIL >PAN20433 pep chromosome:PHallii_v3.1:3:32660177:32665907:-1 gene:PAHAL_3G348200 transcript:PAN20433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAEKNGNILMQKYEVGKLLGQGTFAKVYHARNIVTSQSVAIKVIDKDKIFKVGLMEQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEYVKGGELFNKIAKGKLREDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGAKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKADFKCPPWFPSDVRKLVSRILDPNPRTRMPITKIVECFWFKKGLDSKLIRKNIEMKGKVSALTDVNVVFSSTGSSSGNNKMVDEKHDSAKVTNLNAFDIISLSEGFNLSGLFEETENKKEARFTSSQSASTIISKLEDVATCSKLTVKKKEGGVLKMEGESEGRKGVLSIDAEIFEVTPSFHLVEIKKNNGDTLEYENLFKQDMKPALKDIVWAWQGERQDQRTEDHKQL >PAN20432 pep chromosome:PHallii_v3.1:3:32660636:32662015:-1 gene:PAHAL_3G348200 transcript:PAN20432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAEKNGNILMQKYEVGKLLGQGTFAKVYHARNIVTSQSVAIKVIDKDKIFKVGLMEQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEYVKGGELFNKIAKGKLREDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGAKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKADFKCPPWFPSDVRKLVSRILDPNPRTRMPITKIVECFWFKKGLDSKLIRKNIEMKGKVSALTDVNVVFSSTGSSSGNNKMVDEKHDSAKVTNLNAFDIISLSEGFNLSGLFEETENKKEARFTSSQSASTIISKLEDVATCSKLTVKKKEGGVLKMEGESEGRKGVLSIDAEIFEVTPSFHLVEIKKNNGDTLEYENLFKQDMKPALKDIVWAWQGERQDQRTEDHKQL >PAN20434 pep chromosome:PHallii_v3.1:3:32660636:32662015:-1 gene:PAHAL_3G348200 transcript:PAN20434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAEKNGNILMQKYEVGKLLGQGTFAKVYHARNIVTSQSVAIKVIDKDKIFKVGLMEQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEYVKGGELFNKIAKGKLREDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGAKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKADFKCPPWFPSDVRKLVSRILDPNPRTRMPITKIVECFWFKKGLDSKLIRKNIEMKGKVSALTDVNVVFSSTGSSSGNNKMVDEKHDSAKVTNLNAFDIISLSEGFNLSGLFEETENKKEARFTSSQSASTIISKLEDVATCSKLTVKKKEGGVLKMEGESEGRKGVLSIDAEIFEVTPSFHLVEIKKNNGDTLEYENLFKQDMKPALKDIVWAWQGERQDQRTEDHKQL >PAN20431 pep chromosome:PHallii_v3.1:3:32660177:32665907:-1 gene:PAHAL_3G348200 transcript:PAN20431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAEKNGNILMQKYEVGKLLGQGTFAKVYHARNIVTSQSVAIKVIDKDKIFKVGLMEQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEYVKGGELFNKIAKGKLREDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGAKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKADFKCPPWFPSDVRKLVSRILDPNPRTRMPITKIVECFWFKKGLDSKLIRKNIEMKGKVSALTDVNVVFSSTGSSSGNNKMVDEKHDSAKVTNLNAFDIISLSEGFNLSGLFEETENKKEARFTSSQSASTIISKLEDVATCSKLTVKKKEGGVLKMEGESEGRKGVLSIDAEIFEVTPSFHLVEIKKNNGDTLEYENLFKQDMKPALKDIVWAWQGERQDQRTEDHKQL >PVH62532 pep chromosome:PHallii_v3.1:3:23079753:23080901:-1 gene:PAHAL_3G316900 transcript:PVH62532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCLQAMGINVNGNGGGGGGNANGSGGNSNGNGGSGNGNGGSGNGNNNGGGSNGNGNNGGGGNSNGNGNGGATASMCQGPCFGQMMLMMNCVNGILGNIQGYSPGLMQGVQAVFQMSCGNVGNGQQGGGGAGGAGGGGAGGGAGGAGGAGGGGAAGVGGAGGTGGAGAGGTAGGGGAIGAGGVAGGAGSGGGGAGGGGSTTSNAVTAGGTTSPNGGSHVAVSNLGEPTSSAGGPTASLTSGFPSALVTWTCIWLLRLF >PAN20141 pep chromosome:PHallii_v3.1:3:23079556:23082096:-1 gene:PAHAL_3G316900 transcript:PAN20141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFKLRLRHFCFAAVLSSIAVSCCRGQGGGGGAGGAAGGAGGAAGGAVVPGTQDAIQIVAQAALCFDNRQVMNGCLQAMGINVNGNGGGGGGNANGSGGNSNGNGGSGNGNGGSGNGNNNGGGSNGNGNNGGGGNSNGNGNGGATASMCQGPCFGQMMLMMNCVNGILGNIQGYSPGLMQGVQAVFQMSCGNVGNGQQGGGGAGGAGGGGAGGGAGGAGGAGGGGAAGVGGAGGTGGAGAGGTAGGGGAIGAGGVAGGAGSGGGGAGGGGSTTSNAVTAGGTTSPNGGSHVAVSNLGEPTSSAGGPTASLTSGFPSALVTWTCIWLLRLF >PVH63262 pep chromosome:PHallii_v3.1:3:63681737:63684246:1 gene:PAHAL_3G508000 transcript:PVH63262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPRPSSSSAQRNRPPLPFPLPSTLDCGSQSGGDEVISQETGPKSKSGRANWNHQMIVYLIGLLKDHDVPRFRTNNAWSKEAWKSITEQFNKKFSTLYSVSQVKQKEQDMKKEYRVVKDLSAESGFGWDPDRMMVTARDVVWKSLEARRNKEALLRWRDKSFPYYNDLFALYDGRYAEGRSCRGMDHYSNREKQPVGVAGSDSSLNFISEEGGSKDETNWFGTDAFSQFSDQANDSAFLEALEGEKEQPFVDQTAFDSEQVPELPCINSRPSISTPQVPDKRRAKRPKPDTTSSNDFHERYLRLKKEEIDRFTAIEEKKMEDPYSIKNCVSTLEGMAEELLMEEMIKAADIFKDNPPAREVFLSFTSDQFRLGWLRKQL >PVH63263 pep chromosome:PHallii_v3.1:3:63681737:63684246:1 gene:PAHAL_3G508000 transcript:PVH63263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPRPSSSSAQRNRPPLPFPLPSTLDCGSQSGGDEVISQETGPKSKSGRANWNHQMIVYLIGLLKDHDVPRFRTNNAWSKEAWKSITEQFNKKFSTLYSVSQVKQKEQDMKKEYRVVKDLSAESGFGWDPDRMMVTARDVVWKSLEARRNKEALLRWRDKSFPYYNDLFALYDGRYAEGRSCRGMDHYSNREKQPVGVAGSDSSLNFISEEGGSKDETNWFGTDAFSQFSDQANDSAFLEALEGEKEQPFVDQTAFDSEQVPELPCINSRPSISTPQVPDKRRAKRPKPDTTSSNDFHERYLRLKKEEIDRFTAIEEKKMEDPYSIKNCVSTLEGMAEELLMEEMIKAADIFKDNPPAREVFLSFTSDQFRLGWLRKQL >PAN20462 pep chromosome:PHallii_v3.1:3:23559581:23562928:1 gene:PAHAL_3G319900 transcript:PAN20462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDEVREEKELDLSSNDVVTKYKAAAEILNSALKLVVSECKPKAKIVDLCEKGDAFIREQTGNVYKNAKRKIERGIAFPTCVSVNNTVCHFSPLATDEAVLEENDMVKIDMGCHIDGFIAVVAHTHVITNGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVASAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKITSHPLQELQPTKSIEDNAEIKAWLALGTKSKKKGGGKKKKGKKGDTAEPMEEATNGAPSQE >PAN18804 pep chromosome:PHallii_v3.1:3:14792126:14798217:1 gene:PAHAL_3G227200 transcript:PAN18804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] METQEIAAAARHFAAMARIVGPDPKGLKMRRHAFHLHQSGSTTLSASALLLPRGALAEPPPLLDRVCAARGHAAGDVALTAASLVEPFLVAEQRGNPGEEFQPRLVPQARIDVLVEHEELGNTRDGKSGPPRWLSARLLAMVDVPTAADSVLSLLKHDDSFIGRPSWDVGWSLADDNQKQVENDIRSSLKSNRNNASVESIGTLMLAKSATRIAILGISTINSNNARRINVSEMQHRGDSLLVVGSPFGLLSPFHFFNSISVGAVANCLPPCAMRSSLLMADIHCLPGMEGGPVFDKNSCLVGLLMNPLRQKGSKIEVQLVVPWDAICTGWNNKKLEEIGGDPSELPDKNADSKTMELRMSFSSMVNKINQYCISPSSIREAISAVVLVTVGESSWASGIILNKRGLVLTNAHLLEPWRFGRTSPSGVQTSFAGEYLNARENKSLQPQQCKFSNEDAVKHEVSLFNLGFKREKRISVRLDHAERQMWCNASVVFISKGPLDVALLQMEKVPIELNTIRPEFVCPTAGSSVYVVGHGLFGPRSGLCSSLSSGVVSKVVQIPSTQLSHLSGTVEADNMDMPVMLQTTAAVHPGASGGVLVNSHGLMVGIITRNWRARNSGGVGQTQ >PAN18807 pep chromosome:PHallii_v3.1:3:14793452:14798217:1 gene:PAHAL_3G227200 transcript:PAN18807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MVDVPTAADSVLSLLKHDDSFIGRPSWDVGWSLADDNQKQVENDIRSSLKSNRNNASVESIGTLMLAKSATRIAILGISTINSNNARRINVSEMQHRGDSLLVVGSPFGLLSPFHFFNSISVGAVANCLPPCAMRSSLLMADIHCLPGMEGGPVFDKNSCLVGLLMNPLRQKGSKIEVQLVVPWDAICTGWNNKKLEEIGGDPSELPDKNADSKTMELRMSFSSMVNKINQYCISPSSIREAISAVVLVTVGESSWASGIILNKRGLVLTNAHLLEPWRFGRTSPSGVQTSFAGEYLNARENKSLQPQQCKFSNEDAVKHEVSLFNLGFKREKRISVRLDHAERQMWCNASVVFISKGPLDVALLQMEKVPIELNTIRPEFVCPTAGSSVYVVGHGLFGPRSGLCSSLSSGVVSKVVQIPSTQLSHLSGTVEADNMDMPVMLQTTAAVHPGASGGVLVNSHGLMVGIITSNAKHGAGSTIPHLNFSIPCKSLETIFKYSEIGEPAILEELDKPNEVLSSVWALAPSSSPFIDNPPEKTKDEKVLEFSKFLSDKQATLKSSTDLKELFKHKIPSKI >PAN18803 pep chromosome:PHallii_v3.1:3:14792125:14798217:1 gene:PAHAL_3G227200 transcript:PAN18803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] METQEIAAAARHFAAMARIVGPDPKGLKMRRHAFHLHQSGSTTLSASALLLPRGALAEPPPLLDRVCAARGHAAGDVALTAASLVEPFLVAEQRGNPGEEFQPRLVPQARIDVLVEHEELGNTRDGKSGPPRWLSARLLAMVDVPTAADSVLSLLKHDDSFIGRPSWDVGWSLADDNQKQVENDIRSSLKSNRNNASVESIGTLMLAKSATRIAILGISTINSNNARRINVSEMQHRGDSLLVVGSPFGLLSPFHFFNSISVGAVANCLPPCAMRSSLLMADIHCLPGMEGGPVFDKNSCLVGLLMNPLRQKGSKIEVQLVVPWDAICTGWNNKKLEEIGGDPSELPDKNADSKTMELRMSFSSMVNKINQYCISPSSIREAISAVVLVTVGESSWASGIILNKRGLVLTNAHLLEPWRFGRTSPSGVQTSFAGEYLNARENKSLQPQQCKFSNEDAVKHEVSLFNLGFKREKRISVRLDHAERQMWCNASVVFISKGPLDVALLQMEKVPIELNTIRPEFVCPTAGSSVYVVGHGLFGPRSGLCSSLSSGVVSKVVQIPSTQLSHLSGTVEADNMDMPVMLQTTAAVHPGASGGVLVNSHGLMVGIITSNAKHGAGSTIPHLNFSIPCKSLETIFKYSEIGEPAILEELDKPNEVLSSVWALAPSSSPFIDNPPEKTKDEKVLEFSKFLSDKQATLKSSTDLKELFKHKIPSKI >PAN18805 pep chromosome:PHallii_v3.1:3:14792691:14798217:1 gene:PAHAL_3G227200 transcript:PAN18805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] METQEIAAAARHFAAMARIVGPDPKGLKMRRHAFHLHQSGSTTLSASALLLPRGALAEPPPLLDRVCAARGHAAGDVALTAASLVEPFLVAEQRGNPGEEFQPRLVPQARIDVLVEHEELGNTRDGKSGPPRWLSARLLAMVDVPTAADSVLSLLKHDDSFIGRPSWDVGWSLADDNQKQVENDIRSSLKSNRNNASVESIGTLMLAKSATRIAILGISTINSNNARRINVSEMQHRGDSLLVVGSPFGLLSPFHFFNSISVGAVANCLPPCAMRSSLLMADIHCLPGMEGGPVFDKNSCLVGLLMNPLRQKGSKIEVQLVVPWDAICTGWNNKKLEEIGGDPSELPDKNADSKTMELRMSFSSMVNKINQYCISPSSIREAISAVVLVTVGESSWASGIILNKRGLVLTNAHLLEPWRFGRTSPSGVQTSFAGEYLNARENKSLQPQQCKFSNEDAVKHEVSLFNLGFKREKRISVRLDHAERQMWCNASVVFISKGPLDVALLQMEKVPIELNTIRPEFVCPTAGSSVYVVGHGLFGPRSGLCSSLSSGVVSKVVQIPSTQLSHLSGTVEADNMDMPVMLQTTAAVHPGASGGVLVNSHGLMVGIITRNWRARNSGGVGQTQ >PAN18806 pep chromosome:PHallii_v3.1:3:14792126:14798217:1 gene:PAHAL_3G227200 transcript:PAN18806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] METQEIAAAARHFAAMARIVGPDPKGLKMRRHAFHLHQSGSTTLSASALLLPRGALAEPPPLLDRVCAARGHAAGDVALTAASLVEPFLVAEQRGNPGEEFQPRLVPQARIDVLVEHEELGNTRDGKSGPPRWLSARLLAMVDVPTAADSVLSLLKHDDSFIGRPSWDVGWSLADDNQKQVENDIRSSLKSNRNNASVESIGTLMLAKSATRIAILGISTINSNNARRINVSEMQHRGDSLLVVGSPFGLLSPFHFFNSISVGAVANCLPPCAMRSSLLMADIHCLPGMEGGPVFDKNSCLVGLLMNPLRQKGSKIEVQLVVPWDAICTGWNNKKLEEIGGDPSELPDKNADSKTMELRMSFSSMVNKINQYCISPSSIREAISAVVLVTVGESSWASGIILNKRGLVLTNAHLLEPWRFGRTSPSGVQTSFAGEYLNARENKSLQPQQCKFSNEDAVKHEVSLFNLGFKREKRISVRLDHAERQMWCNASVVFISKGPLDVALLQMEKVPIELNTIRPEFVCPTAGSSVYVVGHGLFGPRSGLCSSLSSGVVSKVVQIPSTQLSHLSGTVEADNMDMPVMLQTTAAVHPGASGGVLVNSHGLMVGIITSNAKHGAGSTIPHLNFSIPCKSLETIFKYSEIGEPAILEELDKPNEVLSSVWALAPSSSPFIDNPPEKTKDEKVLEFSKFLSDKQATLKSSTDLKELFKHKIPSKI >PAN19505 pep chromosome:PHallii_v3.1:3:18590671:18594814:1 gene:PAHAL_3G278000 transcript:PAN19505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTRGGGGAGGGDPSKAPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAPIQITAEQILREARERQEPEIRPPKQKITDTHELSEYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKNRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDIERTRDVYKECLRLIPHKKFTFAKIWLMAAQFEIRQRNLKAARQFLGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDESEFGRARDLYERLLDRTKHLKVWISYAEFEASAGLGSEDSESEEKKHEVGYQEQQMERVSKCRAIFERAFDYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDVSLVQKKAPRKVKRKRPIPTEDGSTIAYEEYIDYIFPDEVAQAPNLKILEAAYKWKKQKTGDDDE >PAN21218 pep chromosome:PHallii_v3.1:3:58741313:58746672:-1 gene:PAHAL_3G450800 transcript:PAN21218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAASAPAAPLGTLVCASLTARSPQEMAAEVAATAALGADVAELQVGCLDGFQPRRDLPVLLAQPRPLPVIVAYRPKWEGGQYEGEDETRFETLLLAMELGAEYVDIEFKVADKFLKFLSGRKPETCKLIVSFHNYECTPSGEELLSLVDQIQATGADIVKIATAATEIDDVSRMFQVLVHCKVKQLPIIGLVMKEQGFISRILCAKYGGYLTFASLEKGKESAPGQPTVAELINKYEIRQIGPDTKVFGIIGNPVGHSKSPFLQNQAFRSVGFDAVFLPFLSDDLVKFLNTFSSPDYAGFSCTMPHKETAVRCCDDLDPIARDIGAINTIIRRPDGKLVGYNTDYVGAIAAIEDAIRASQPTDPTTSPLARRLFVVMGAGGAAKAVAYGAKEKGARVVIANRTFARAQELANLIGGTALTLSELENYHPEEGMILANATSVGMFPNVNDTPLSKKALRNYSIVFDAVYIPKETRLLREAAECGATVVDGLDMLVRLVMVQFGLFTGGMPAPQRLMREAILTNTQ >PAN21219 pep chromosome:PHallii_v3.1:3:58742476:58746249:-1 gene:PAHAL_3G450800 transcript:PAN21219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAASAPAAPLGTLVCASLTARSPQEMAAEVAATAALGADVAELQVGCLDGFQPRRDLPVLLAQPRPLPVIVAYRPKWEGGQYEGEDETRFETLLLAMELGAEYVDIEFKVADKFLKFLSGRKPETCKLIVSFHNYECTPSGEELLSLVDQIQATGADIVKIATAATEIDDVSRMFQVLVHCKVKQLPIIGLVMKEQGFISRILCAKYGGYLTFASLEKGKESAPGQPTVAELINKYEIRQIGPDTKVFGIIGNPVGHSKSPFLQNQAFRSVGFDAVFLPFLSDDLVKFLNTFSSPDYAGFSCTMPHKETAVRCCDDLDPIARDIGAINTIIRRPDGKLVGYNTDYVGAIAAIEDAIRASQPTDPTTSPLARRLFVVMGAGGAAKAVAYGAKEKGARVVIANRTFARAQELANLIGGTALTLSELENYHPEEGMILANATSVGMFPNVNDTPLSKKALRNYSIVFDAVYIPKETRLLREAAECGATVVDGLDMLVRLVMVQFGLFTGGMPGTFSSLFLFLDLGNKH >PVH61766 pep chromosome:PHallii_v3.1:3:7486228:7492103:-1 gene:PAHAL_3G117200 transcript:PVH61766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDLKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTPVVIGDEPDRDTLKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPEEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKQIIELKNKVCAGGDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHVLGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVDDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFAEALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >PVH62805 pep chromosome:PHallii_v3.1:3:52026811:52027497:1 gene:PAHAL_3G405600 transcript:PVH62805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTCQTPHVTNLSPLPSLPLSLMACRSSWPATPAWGGEEIRASPPLIREGGGLGRIRCRSAGEGASSAAAAARPQARGSRVLPPLDRGVGATSPRHCSTAGEGPQAAAAARPRGGATSCRRCSTAGRSHRPQTWRHGSCSCQEPAAALLWARRAPEQPRLARGRRAGEPLTEADLPVSAGHSISHRPLQLIMPRSRRD >PVH61493 pep chromosome:PHallii_v3.1:3:3008331:3010775:1 gene:PAHAL_3G046800 transcript:PVH61493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQITPPATTKEHRWRPAGESVSTLDPPRRPIGILALRREGQVPVGPPEMPTAAAAAAGAAQFAPSTSSSSSTSSFMVGIASWSRGSSPPRLVATTSIGRRRQVVRAVADLHRVVQLPLTVGNVESVLDKVRPYLIADGGDVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIQRRLMENIPDISAVERVSDREMGLKLNKANVQKVLAEIRPYLAGTGGGELELINIIGPTVKVRLTGRAAGVKTVRVALSQKLREKIPSVAGIRVVS >PAN19755 pep chromosome:PHallii_v3.1:3:20307808:20308188:1 gene:PAHAL_3G296700 transcript:PAN19755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRREDRKRAREEEHLESAALKMAAGGREGAWRRPAGVFDLPWQKCRGGLGVAGDGGVGWELHDVFFCSLVDGRAAAIGVPGDRQSPPPSQRSLFDDVDAWLAAAGDGEVDPLWRSVLEGPSKPAA >PVH62201 pep chromosome:PHallii_v3.1:3:15261637:15262335:-1 gene:PAHAL_3G235900 transcript:PVH62201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCRSLIEFLRAFEQHRRRGAPSSDPSSPRARRASLSSSSSSRSRGRGRLFPALCDHSPLAVVDALALLASLAALAFLAAPYARMLAAEARDAVATVATRHPAAPCVPLAAGVAAGAAVLAWDAAAHRARRCGRPRCRGLRKAVEYDIQLETEECVRGLLPPAPAPHAGGGGAAPARPASEIGDDHRELEAVLRKMAPPNGRTVLIFRAPCGCPKERMEVWGAKKVRRIKK >PVH62200 pep chromosome:PHallii_v3.1:3:15259320:15262588:-1 gene:PAHAL_3G235900 transcript:PVH62200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCRSLIEFLRAFEQHRRRGAPSSDPSSPRARRASLSSSSSSRSRGRGRLFPALCDHSPLAVVDALALLASLAALAFLAAPYARMLAAEARDAVATVATRHPAAPCVPLAAGVAAGAAVLAWDAAAHRARRCGRPRCRGLRKAVEYDIQLETEECVRGLLPPAPAPHAGGGGAAPARPASEIGDDHRELEAVLRKMAPPNGRTVLIFRAPCGCPKERMEVWGAKKVRRIKK >PAN21186 pep chromosome:PHallii_v3.1:3:58674654:58681320:-1 gene:PAHAL_3G449900 transcript:PAN21186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGNFAKEESWAHAHRLRLDAAPLRGTRPSSPPRDPAATHAPPLPLPSAAAAGRSILRPVSPPRPAPADGMASSGGDAPGPPVVTNVSNAASAPEENRPPCGSNTSSESAQKPLSSFHEQESNDASVNTEKEEPGISKCKSVEEIPRTVTVKGCKNVDSKKVFSNNNNNSSLVGSHAQKKQARKGEHLVQLCENGISQDTKPPNIRICINSACKAVMNLDDAFCKRCSCCICHEFDSNKDPSLWLVCSSETGGRDCCGLSCHIECALQHQKVGCTELGQSIQLDGNYCCAACGKVIGILGFWKRQLVVAKDARRVDSFCSRIYLSHRLLDGTTRFKELHRIVEDAKAKLETEVGPLDGTSSKMARGIVGRLSVAADVQKLCSLAIEKADEWLKSNIQSETKQIDTLPAACRFKFEDITDSSLVLVLKEAVSSPHHVIKGYKLWYWNSREPPYTGEPAVFPKDQRRILISNLQPCTEYSFRIISFTVDGELGHSEHKIFTKSVEIIHKNKENRAEGWSSSAKRAGKSENGTSSGFQVRQLGNVLRKADENGYPSALCKDEIEDSCDQSDSVILEKDQVPCSASHKLDLNETSVPDLNAEVVMPPECCQDENGCSSGKNTLTKSNGCGDSQTFAEGHVGEAPVMESQSQSRKQTSDLEQETCADGSNLAAASARLFSRRLGQLDDNYEYCVKVIRWLECSGHIEKDFRMKFLTWFSLRSTQQERRVVITFIRTLLDDPSSLAGQLLDSFQEIVASKKPRTGFCTKLWH >PAN21378 pep chromosome:PHallii_v3.1:3:59763318:59768341:-1 gene:PAHAL_3G460400 transcript:PAN21378 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM7 [Source:Projected from Arabidopsis thaliana (AT4G02060) UniProtKB/Swiss-Prot;Acc:P43299] MKNPDFAADKALAKDFLSNFADPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDVDEEFLQRVTENTRRYIGIFSEAMDELMPEPTEAYTVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIKRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELKGDEQEQIDRLAEDGDIYSKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLGDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPITSEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDMRRSPAENINLPPALLSRFDLLWLILDRADMESDLEMARHVVHVHQNLESPALGFTPLEPPVLRAYISAARRVIPSVPRELEEYIATAYSSIRQEEAKSNAPTSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTSSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >PVH63294 pep chromosome:PHallii_v3.1:3:64325985:64329060:1 gene:PAHAL_3G515900 transcript:PVH63294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDGDASNPSSSRKGKRKAQERDLKSYFSPFVSSSINPSTHGSEVGNAIIEEEEVVETHLEDTNTIDQQPGSNENDQNDQGTITEFNPDYIISDPGLRIPIEQFSPNIRDEIRRAFMERGPTQPSSHVFPRGQDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDILIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN17126 pep chromosome:PHallii_v3.1:3:6978669:6982549:-1 gene:PAHAL_3G109500 transcript:PAN17126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEKVAPSSSVSSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVTESQTRKVAETKARSMEEEVSKLQKCLQEKDEQLRSSTSSTEQYLHELDDLRTQLSFTRATAEASAASAKSAQLQCLSLLKELNEKDCSLKEHELRVNKLGEQLDLLQKDLQARELSQRQLKDEVTRIETDIMDAVAKAGSKSDKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSAHWTNKSKDLESWKSTGGLIRN >PAN17125 pep chromosome:PHallii_v3.1:3:6979088:6981180:-1 gene:PAHAL_3G109500 transcript:PAN17125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEKVAPSSSVSSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVTESQTRKVAETKARSMEEEVSKLQKCLQEKDEQLRSSTSSTEQYLHELDDLRTQLSFTRATAEASAASAKSAQLQCLSLLKELNEKDCSLKEHELRVNKLGEQLDLLQKDLQARELSQRQLKDEVTRIETDIMDAVAKAGSKSDKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSAHWTNKSKDLESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKALKELMDQVAMKQPNSSYHGIKENFWESQGFKFIASMSMLALVILAKR >PAN21756 pep chromosome:PHallii_v3.1:3:61875814:61877799:-1 gene:PAHAL_3G486800 transcript:PAN21756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVEKESVLVKEITEVLDEQKSTRWSEQHVADNYCFHYRRYLLLAPLDSRLGNGEDSFSCESEICLLWKQVRALKGKAGREAAFRFHWEAERLTGKSLPTGQEAAVDESAWPGRSITVNNMSFLKCLLQVLCNCKFDYKQGVGGLAWGLPATHYSQRGVMFGSEQCCLFFPTI >PAN21757 pep chromosome:PHallii_v3.1:3:61875769:61878505:-1 gene:PAHAL_3G486800 transcript:PAN21757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVEKESVLVKEITEVLDEQKSTRWSEQHVADNYCFHYRRYLLLAPLDSRLGNGEDSFSCESEICLLWKQVRALKGKAGREAAFRFHWEAERLTGKSLPTGQEAAVDESAWPGRSITVNNMSFLKCLLQVLCNCKFDYKQGVGGLAWGLPATHYSQRGVMFGSEQCCLFFPTI >PAN21755 pep chromosome:PHallii_v3.1:3:61875769:61878472:-1 gene:PAHAL_3G486800 transcript:PAN21755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEDESAAAAAEQQAGGAEEQLAEDLLHHFEQNESTWSHRRWVLKQVAEQHQDMAELVEKESVLVKEITEVLDEQKSTRWSEQHVADNYCFHYRRYLLLAPLDSRLGNGEDSFSCESEICLLWKQVRALKGKAGREAAFRFHWEAERLTGKSLPTGQEAAVDESAWPGRSITVNNMSFLKCLLQVLCNCKFDYKQGVGGLAWGLPATHYSQRGVMFGSEQCCLFFPTI >PAN19603 pep chromosome:PHallii_v3.1:3:19268728:19271804:1 gene:PAHAL_3G285200 transcript:PAN19603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSPPGSPPSQGSQRKRGSTKDSVGLYAVQCNVCYKWRMIPTKEEFETLREKFTEDPWFCSRRPDCSCDDPADIEYDSSRIWVIDKPNIPKPPPETERLVIMRRDFTKMDTYYVMPNGKRARCAGDVDKFLEANPEYKNRISVSDFNFAPPKVVEETVSHNSAWKAAKAKKQDKADASSAQK >PVH62571 pep chromosome:PHallii_v3.1:3:25642532:25643956:-1 gene:PAHAL_3G326500 transcript:PVH62571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTHPFPGVNMVESNDRTARRQLDFALGINMAGVASRRQTKDGEADSSNRPQNEKDDYVTERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIRDCPECGPGRPEARDSVFQRIGPAPIRQVRVRSPRKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHARPQEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPISFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PVH62405 pep chromosome:PHallii_v3.1:3:19306556:19307624:-1 gene:PAHAL_3G285600 transcript:PVH62405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQGNEKGREKKKRVQGQSIVTSDMDVNASAIQDKLQPRAPSRKLENRVTPPIASIRRLGGPAWSTGERQAVAETALRGPCPAAAGNSSQQTLRVAAR >PAN17261 pep chromosome:PHallii_v3.1:3:7484819:7485541:-1 gene:PAHAL_3G117100 transcript:PAN17261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVASMCTEPIKRRRAEKDLDDKVAAALRERARSRQRTFRTVNSITMRLPRFKDGLRDIKDVFDQYDEDSNGTIDNDELRSCLGKLQVQMSDKEADDVHRYCDVDRRGGIKFQEFVVLLCLMYLLFGPDVTRRVRSPSSSRQSSTTSSTSSSMPSSSSTRTATAR >PAN17265 pep chromosome:PHallii_v3.1:3:7484134:7486106:-1 gene:PAHAL_3G117100 transcript:PAN17265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVASMCTEPIKRRRAEKDLDDKVAAALRERARSRQRTFRTVNSITMRLPRFKDGLRDIKDVFDQYDEDSNGTIDNDELRSCLGKLQVQMSDKEADDVHRYCDVDRRGGIKFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMKRKDVTRRMNEASHQERTPSHTTAQLFKEMDLNRNGKVNLKEFLYSIIRWAGHETEDDDASNEGSP >PAN17260 pep chromosome:PHallii_v3.1:3:7484510:7485541:-1 gene:PAHAL_3G117100 transcript:PAN17260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVASMCTEPIKRRRAEKDLDDKVAAALRERARSRQRTFRTVNSITMRLPRFKDGLRDIKDVFDQYDEDSNGTIDNDELRSCLGKLQVQMSDKEADDVHRYCDVDRRGGIKFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMKRKDVTRRMNEASHQERTPSHTTAQLFKEMDLNRNGKVNLKEFLYSIIRWAGHETEDDDASNEGSP >PVH61765 pep chromosome:PHallii_v3.1:3:7484134:7486106:-1 gene:PAHAL_3G117100 transcript:PVH61765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVASMCTEPIKRRRAEKDLDDKVAAALRERARSRQRTFRTVNSITMRLPRFKDGLRDIKDVFDQYDEDSNGTIDNDELRSCLGKLQVQMSDKEADDVHRYCDVDRRGGIKFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMKRKDVTRRMNEASHQERTPSHTTAQLFKEMDLNRNGKVNLKEFLYSIIRWAGHETEDDDASNEGSP >PAN17263 pep chromosome:PHallii_v3.1:3:7484819:7485541:-1 gene:PAHAL_3G117100 transcript:PAN17263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVASMCTEPIKRRRAEKDLDDKVAAALRERARSRQRTFRTVNSITMRLPRFKDGLRDIKDVFDQYDEDSNGTIDNDELRSCLGKLQVQMSDKEADDVHRYCDVDRRGGIKFQEFVVLLCLMYLLFGPDVTRRVRSPSSSRQSSTTSSTSSSMPSSSSTRTATAR >PAN17262 pep chromosome:PHallii_v3.1:3:7484510:7485541:-1 gene:PAHAL_3G117100 transcript:PAN17262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVASMCTEPIKRRRAEKDLDDKVAAALRERARSRQRTFRTVNSITMRLPRFKDGLRDIKDVFDQYDEDSNGTIDNDELRSCLGKLQVQMSDKEADDVHRYCDVDRRGGIKFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMKRKDVTRRMNEASHQERTPSHTTAQLFKEMDLNRNGKVNLKEFLYSIIRWAGHETEDDDASNEGSP >PAN17266 pep chromosome:PHallii_v3.1:3:7484134:7485615:-1 gene:PAHAL_3G117100 transcript:PAN17266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVASMCTEPIKRRRAEKDLDDKVAAALRERARSRQRTFRTVNSITMRLPRFKDGLRDIKDVFDQYDEDSNGTIDNDELRSCLGKLQVQMSDKEADDVHRYCDVDRRGGIKFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMKRKDVTRRMNEASHQERTPSHTTAQLFKEMDLNRNGKVNLKEFLYSIIRWAGHETEDDDASNEGSP >PAN18289 pep chromosome:PHallii_v3.1:3:12581234:12583008:-1 gene:PAHAL_3G192000 transcript:PAN18289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSCLLDDSSSGASTGKKQAPAPEAPSSRPLQRVGSGASAVMDAAEPGAEADSGGAGRAGGGGAVGGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDVAAQRFRGRDAVTNFRPLAESDPESAAELRFLASRSKAEVVDMLRKHTYLEELAQNRRAFAAVCPSPPPKNPASSPPAAASAAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPAAASVTGECKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSAGKQLFIDCKVRPKTTAFASAATTPPPVKAVRLFGVDLLTAPRPTVAPEQEDMVAMMTNKRARDAIAASTPHMIFKKQCIDFALT >PAN19668 pep chromosome:PHallii_v3.1:3:19616959:19620354:-1 gene:PAHAL_3G289100 transcript:PAN19668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQCCENPPTLNPAGGAGKVVDSFGGLKAYVAGPEDSKAAVVLVADVFGFEAPNLRKIADKVARSGYFVVVPDFFHGDPYVPENAERPIPEWLKLHTPEKGFEDAKPVIAALKEKGVSAVGAAGYCWGAKVVVELAKAKEIQAAVLLHPSFVSVDDIKEVKCPISVLGAEIDKMSPPELVKQFEQVLSANSGVGHFVKIFPGVSHGWSVRYSEDDAAAVKSAEEALADMIDWFNKRLK >PAN17272 pep chromosome:PHallii_v3.1:3:7511078:7513361:1 gene:PAHAL_3G117800 transcript:PAN17272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAGGLRRLLAAAATAGAAEARAAIFGHALNPTGKRAATKLLRKKMVGDQVAQWYPYDIKRDDPLVMAREEKERLSKLEMLKRRGKGPPKKGQGRRAVKRSK >PVH62197 pep chromosome:PHallii_v3.1:3:15233463:15234508:1 gene:PAHAL_3G235100 transcript:PVH62197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPDGSGSGVRIQFPTFRGVSPSPCMRVEVSCRHSENLDPFGSRNRDGGGSIFSSADVQIERATPSPPGRPSMAVFAFPLPRESIALAGAAYNLYNTVSQPRLAGTAHHKYKHKKSFKKKY >PAN19069 pep chromosome:PHallii_v3.1:3:16037232:16045865:-1 gene:PAHAL_3G247500 transcript:PAN19069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MYGDNMKGHQPKGSAIDATTVDGLAAVWRTYFPEEAGFSVSDRKVRIAAALVASSFSTVVKKLQSRVEDDGRDILSLPIDFQQLQESCEFIKYELEESPKHVLLCMGAAAHLAVCSGKINKVNIRLYNMGATIALKKLKAAFIKKLVTVRGTVLKVSTVKPLVLELEFRCMKCGKKIPRAISDGKFSPPMSCTIQGCKSRTFTPDRTSAKLMDFQKIRIQELASADDHEEGRVPRTIECELTEDLVDCCIPGEIITVTGIVKVLNNYMDVGGGKSRSKNQGLYYLYLEAVSVRNLKSCAVSGEEIRASGICDLRTCTERDCDFAVSYKKEHGADVFRQILQSFCPSIYGHELVKAGITLALFGGVQKNSMDQNKVPVRGDIHVVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTKAGLTVAVVKDSMTNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHTNEGDDFKSNKRIRTVSQNGDPGFGVGGNSVASRLRLHPEKDKNFAPLAGEFLRKYISYSREHVFPRMSKAAAAILKEFYLGLRNRSTCADGTPITARQLESLVRLAEARARVDLREEVTAEDAQDVVDIMKESLYDKYVDEHGFVDFARSGGMSQQKEARRFLSTLNKESELRQKDCFSRTEIYNLADKISLRVPDLDGLVDNLNSAGYLLLKGGMYQLVTASYSQCQLTRSR >PAN19070 pep chromosome:PHallii_v3.1:3:16036775:16046044:-1 gene:PAHAL_3G247500 transcript:PAN19070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MYGDNMKGHQPKGSAIDATTVDGLAAVWRTYFPEEAGFSVSDRKVRIAAALVASSFSTVVKKLQSRVEDDGRDILSLPIDFQQLQESCEFIKYELEESPKHVLLCMGAAAHLAVCSGKINKVNIRLYNMGATIALKKLKAAFIKKLVTVRGTVLKVSTVKPLVLELEFRCMKCGKKIPRAISDGKFSPPMSCTIQGCKSRTFTPDRTSAKLMDFQKIRIQELASADDHEEGRVPRTIECELTEDLVDCCIPGEIITVTGIVKVLNNYMDVGGGEEIRASGICDLRTCTERDCDFAVSYKKEHGADVFRQILQSFCPSIYGHELVKAGITLALFGGVQKNSMDQNKVPVRGDIHVVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTKAGLTVAVVKDSMTNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHTNEGDDFKSNKRIRTVSQNGDPGFGVGGNSVASRLRLHPEKDKNFAPLAGEFLRKYISYSREHVFPRMSKAAAAILKEFYLGLRNRSTCADGTPITARQLESLVRLAEARARVDLREEVTAEDAQDVVDIMKESLYDKYVDEHGFVDFARSGGMSQQKEARRFLSTLNKESELRQKDCFSRTEIYNLADKISLRVPDLDGLVDNLNSAGYLLLKGGMYQLVTASYSQCQLTRSR >PAN19328 pep chromosome:PHallii_v3.1:3:17680019:17682394:-1 gene:PAHAL_3G265900 transcript:PAN19328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRVMASSSPPQPAGAGAGSSSDLGRFSSGSGIGSMNMDDIIRNIYGPEAVAGGVGVGGAAEPSPTPAAPAAAARRTSEEVWKEISAAGGLSVPSLPPPPPATAGGSGARGGGGGGAAEMTLEDFLARDSGARAAAAAEGNMALGFPVPDGGDAAGAGAGGGRGSRKRALVDPADRAVMQRQKRMIKNRESAARSRDRKQAYVAELESQVTQLEEEQAELLTEQEERRQKRLKEMMERAFPVIRKKLSRDHRRTNSMEW >PAN21833 pep chromosome:PHallii_v3.1:3:62444428:62445737:1 gene:PAHAL_3G493000 transcript:PAN21833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHRRRRSRPAATVAATLCLVLALGGRCGAAARPLRRLHAVEGSTESAASEAVLDVTVAEAPEAARWSRAAGSEGSQDDLGAGKWLPLPMPMPMSMPAASALAGGLRFPPVSFPLAGASMPWLSGAPPAFAGMPALVPPYVGATRQEQLSLWASLFNPFQVRPRLPGALGGETAAAGSVERGGVPAIASGGKAAEGETMDVPAAAAAQVAEPKWGVFLGNIDRRN >PAN21453 pep chromosome:PHallii_v3.1:3:60296045:60297050:1 gene:PAHAL_3G467600 transcript:PAN21453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAFVVVLLAAGAGASTATTLTIHNLCPHRVWPLVTPNSGLPSISDNTAGLDPNALLSLSFPPTFWAGRVAARTGCDAAASGCWTGAAPPATVVQVTVHDGGNLDRAAYSVSLVDGFNVPAVVTPHAAAGGQCPALGCAADLNCDCPPAQRAAEGAACLGPPGFFKSRCPLTRTTPTDVEPTPQSCRGPGEIKVVFCQATIVTGAAADAEAMVIRSVVADS >PAN19439 pep chromosome:PHallii_v3.1:3:18265838:18266224:-1 gene:PAHAL_3G273600 transcript:PAN19439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLLVPDGFAPEEQGRRRMIYTSSSARCVRCEH >PAN20719 pep chromosome:PHallii_v3.1:3:52184342:52185121:1 gene:PAHAL_3G406100 transcript:PAN20719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTIDEAWMFWISYGGQKGFEVRKMYTNKRKSDGKVRSCRYVCANEGHRKKDKRDHLTKCPRAETRTDCQVRMGLIMDREKETYKVADLILEHNHMLQLPQTSHLMVSQRKILELQGFEIETADDAGIGPKAAHELACVQVGGSSNLSYTLRDHKNYLRAKRQREMAYDQAGSMLMYFQDKITENPSFQYALQMDIEEQIANIFWVDAKMLTDYTYFGDVVSFDTTFGTNKESRPFGVFVGFNQFRETVVFWCRSLI >PVH62634 pep chromosome:PHallii_v3.1:3:31249513:31250229:1 gene:PAHAL_3G345300 transcript:PVH62634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHDHTNALHWEGFPRLLWETLQMFGYTEPPPYDGIEYDEEGVPRCRVKMTIPPHPTLSLWQPIEVNVIGYRLADTFEAAAMEAIHVFCDQHPEELAGHPIGLFPAMDSRDPEWTFRVTYCDHLLGSLAGDVTVRVLVMLDIYFIV >PAN18294 pep chromosome:PHallii_v3.1:3:12603487:12606838:-1 gene:PAHAL_3G192400 transcript:PAN18294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILTSVLASSSALLSGVVGSASAACARLAFSRVSYGRAYPSSMPAAACKAAASSSAAADADAGAQKPWLFVGLGNPGRMYKGTRHNVGFEMIDAIAEAERISVSSKQFKAIVGKGLIGDVPVMLAKPQTFMNASGESVGQLVAYFKIPLNQLVVIYDDLDLPFAKLRVLPKGGHGGHNGMRSIIDHLKQSRNFPRLRIGIGRPPEEMGAISFVLRSFSKQEKEELEMTFQRGLQAVRIMVREGFNKSATFVNTPQPLEMLNR >PVH61339 pep chromosome:PHallii_v3.1:3:780105:780680:1 gene:PAHAL_3G014000 transcript:PVH61339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVKPLHKRLQNSLGPIFRLQLQRFSHPLVPQPRIDFACYGNRYINNFSTKLTTYPYYYAARSFLKGSGASSRECASR >PVH62952 pep chromosome:PHallii_v3.1:3:57532535:57534918:-1 gene:PAHAL_3G438100 transcript:PVH62952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFENVVCDQSTRLFVSNLTSTYVNQSNEASMVAASVIMFVLAGVFFNLNLFSGISDVSAILDPKVRIVLSSALSLLLPVMSYLFSEAKNAGKASSTKPAGAGAATDLTLQALLLRKKVDEIRMKGISSSLQRAGRVVWLGSLVFFNIRSAGRKILFGILWILCATTVVQRIAFTEFGKRSYAHGKNARLIASYMAQILGLHVVPVVHHDGGDVEHAAQRRTPEQLLKACPYIVVGEDQLVKEATADGYDLNVDPNGVAVVPGVVTVGDVWRLTESDSWDRDQRLRRLCLSFALFKLLRRRFEQHEPLTEEEATHGRELILKGLYYNKVKEDGHGGTVRTTTDSEAVFQVITDDVNFLSEYYHSVVPVIFASPFFLLANYLLFPVVVLGLCLMTVFLCGNGDFGYSLTSIHADNYTLSTGIRNLTMCLFKEVKKSPNSFFALLDLAITFLLFIIFVYESVWELAVFLLSNWFMVALVCDYAARPAWREKPSFRWGFRRIRWLRSRMSHGELTIKQFSVLNLRWPPVFPLPSTLSLLVRARTVPACVKDSIMEYLVAHDHAAPISKGKPVVDQDLKWAFKSKSIAEVILTWHIATTIFEDKFPSHTSSSIVATRLSKYCSYLVIFHPELLPDNQDKTEDVVDLVKKELKESLGCLEFFFFRQAARVERIMKLEGEGSWTEKKVVKNGVTLGASLRAKAKPPNDPEIVWKMLGDVWTEIMIYLAPSTDEERMKGHENALVEGGEFITVLWALTTHTGVSRKPMPDGGQGEDGDRTKRAAGSPGSGH >PVH62995 pep chromosome:PHallii_v3.1:3:58619023:58620491:1 gene:PAHAL_3G448800 transcript:PVH62995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYFFSEYFEQYLKAQRLEQEKTRLSNEKRDLERRLAEKTRSAQASSTQVSALEHKVRELERQNTGLSGDLAKQREDTKKAGLLFMDAADRCEQVARKQIMAGAAELENARKASLLLMDAADTYQDAAKKQTRAKEEELEDARRAVAVLMSAADAYQQEAKKQIKEKVEELKILGAQKAEMDARAAALESELDAALSKNQELEVDRDKVKVENGGLRSEVERLMMELGALVEVREAAAKAFDDEKTEIMKELGDLKTKVEEIQASKDLMKGENDKLQSEVRTNKP >PAN22005 pep chromosome:PHallii_v3.1:3:63609556:63610116:-1 gene:PAHAL_3G506700 transcript:PAN22005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPVAGSKPAIFLLLVLVVVALTAGGASAATFRVTNMCPFPVWPAAIPSGGGTLLQPDQTWVFTVPAGTKAGRIWGRTGCLFVGDHGRCVTGDCAGALRCELSGSTPATLAEFTLGGGVSGVADFYDVSVVDGFNLPMDFFCDKDGEAPIQCRDPGCADGNRRPGEGKVRTCNANSDYIVVFCPN >PVH62825 pep chromosome:PHallii_v3.1:3:52839143:52841230:-1 gene:PAHAL_3G409500 transcript:PVH62825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQGELEHFVMHDEETMRQMYDRLMVLVSDIRSLGSTEWEDHKVTKKLLRAFTPRNPTLATMIRRDPKLKVKTPNQLLGEILHQELVERDVAKSLSHKVNKNVALNASSSDKVESSPKALKSRKEKSSDEASTDEEMALVLRNFKKFMKKKYYKKGGDDKKKPSQRRCYECKEVGHYIADCPQLKNKEKEEKRYKEKSKDYKKKYQGHAHVGQEWESSHEDSDHEGMATLTIPKSSRKLFNNISDDEDGAPLCLIARGTKVQESSTSSCHPSTISSSIQNDFGDEEEQHKAFMIKDFGKKEIKKLVEKLEKRKECLDRQEDLLILEKERNLALEKALAEEKYKGLEVSYNALWESTKTNSKATLDSNISISEGCSRCYKIDVQACITNLAKLEKLIKAKDAQLERLNKLVRNGYEGDTKPEPKVAYKEGRRSHKKDGLGHYKGGKVNDWKIVKGKECVMFTKGSNLEDLMNIAHGVITIPSLAKKKIEDPIKVKITKHLPSPSYTTDYMVIMDHNGKILVKYVGAYTKRAILRSVWVPKVYPSNPQGPKSFWVPKFKA >PAN21290 pep chromosome:PHallii_v3.1:3:58853106:58858872:1 gene:PAHAL_3G452800 transcript:PAN21290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSRRRVKLGRLKGDYNDSLNSSRSLAFPTKQLSLPNGDDAATTSVSGRADDLSYRCSSDTFDLDSRSFNISENWTVLSTEGDKPIPRFYHAAAIVSSKMVVFGGDSGRHLLDDTKILNLEKLSWDSAPPKVRPSPSGRSTKLPACRGHCLVPWGNSVILVGGKTEPASDRLSVWIFNTETELWSLVEAKGDIPEARSGHTVIRAGARLILFGGENTKGKKRHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGHSKSKTLNDLYSLDFETMVWSRVKTHGHHPSPRAGCIGALCGTKWYIAGGASKKKRQVETWVFDILQSKWSVCVVPPSSSITSKKGFSMVPFYHRDKIALIAFGGNKKEPCNKVEVFVVLQNEHSFSWRSAPEVDRVLYEYSPSNKELVDHLNKCAPLYSNCSVARHSLTSVVEQPPRRELLSESLLKQHNLGTSLHGNLDQVEECSLAQKLQKPIDDDRYDDADDSSSCQESTPKGHRSTRTGAGIQNDIAHIETMVTGGSNVRRIARCSSEVSQSHLYNTKIADLIRRNTVLQDQLATALASKDQLDKSLSSVIQSREQLEKMLANKDKEMEILKEKIAGLELAQEESNSLSNTVHADNVRLEREVAFLKAVTDETQKELHSTRRVLAGEQSRAFQLQVEVFHLKQRLQILEGRSGTPTKQPQ >PAN21251 pep chromosome:PHallii_v3.1:3:58853132:58858872:1 gene:PAHAL_3G452800 transcript:PAN21251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSRRRVKLGRLKGDYNDSLNSSRSLAFPTKQLSLPNGDDAATTSVSGRADDLSYRCSSDTFDLDSRSFNISENWTVLSTEGDKPIPRFYHAAAIVSSKMVVFGGDSGRHLLDDTKILNLEKLSWDSAPPKVRPSPSGRSTKLPACRGHCLVPWGNSVILVGGKTEPASDRLSVWIFNTETELWSLVEAKGDIPEARSGHTVIRAGARLILFGGENTKGKKRHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGHSKSKTLNDLYSLDFETMVWSRVKTHGHHPSPRAGCIGALCGTKWYIAGGASKKKRQVETWVFDILQSKWSVCVVPPSSSITSKKGFSMVPFYHRDKIALIAFGGNKKEPCNKVEVFVVLQNEHSFSWRSAPEVDRVLYEYSPSNKELVDHLNKCAPLYSNCSVARHSLTSVVEQPPRRELLSESLLKQHNLGTSLHGNLDQVEECSLAQKLQKPIDDDRYDDADDSSSCQESTPKGHRSTRTGAGIQNDIAHIETMVTGGSNVRRIARCSSEVSQSHLYNTKIADLIRRNTVLQDQLATALASKDQLDKSLSSVIQSREQLEKMLANKDKEMEILKEKIAGLELAQEESNSLSNTVHADNVRLEREVAFLKAVTDETQKELHSTRRVLAGEQSRAFQLQVEVFHLKQRLQILEGRSGTPTKQPQ >PAN21252 pep chromosome:PHallii_v3.1:3:58853009:58858872:1 gene:PAHAL_3G452800 transcript:PAN21252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSRRRVKLGRLKGDYNDSLNSSRSLAFPTKQLSLPNGDDAATTSVSGRADDLSYRCSSDTFDLDSRSFNISENWTVLSTEGDKPIPRFYHAAAIVSSKMVVFGGDSGRHLLDDTKILNLEKLSWDSAPPKVRPSPSGRSTKLPACRGHCLVPWGNSVILVGGKTEPASDRLSVWIFNTETELWSLVEAKGDIPEARSGHTVIRAGARLILFGGENTKGKKRHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGHSKSKTLNDLYSLDFETMVWSRVKTHGHHPSPRAGCIGALCGTKWYIAGGASKKKRQVETWVFDILQSKWSVCVVPPSSSITSKKGFSMVPFYHRDKIALIAFGGNKKEPCNKVEVFVVLQNEHSFSWRSAPEVDRVLYEYSPSNKELVDHLNKCAPLYSNCSVARHSLTSVVEQPPRRELLSESLLKQHNLGTSLHGNLDQVEECSLAQKLQKPIDDDRYDDADDSSSCQESTPKGHRSTRTGAGIQNDIAHIETMVTGGSNVRRIARCSSEVSQSHLYNTKIADLIRRNTVLQDQLATALASKDQLDKSLSSVIQSREQLEKMLANKDKEMEILKEKIAGLELAQEESNSLSNTVHADNVRLEREVAFLKAVTDETQKELHSTRRVLAGEQSRAFQLQVEVFHLKQRLQILEGRSGTPTKQPQ >PAN16832 pep chromosome:PHallii_v3.1:3:5770455:5774005:-1 gene:PAHAL_3G089700 transcript:PAN16832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSSFRPQHCSCHIVAAGSRLLRPRRSISWRRRRRRQHTTLQCRQWQQDSAERTRHHPVDEADSRLSQSTGIFHPSIWRGFFIGYDSNPESSSQQQQAWMDRADKLKEEVAQMIIASSTACGLHDRLLFIDALERLCLDHLFEEEINAALSQIEAADVSDRDLGTVALWFYLLRKHRFCVSPDVFVRFRNVEGGFLLNNPIDLLHLYNAAHLQTHGEIILDEAIIFTRRRLEMMLPSVEGSFPREIKSTLEIPLPRRVRIYESKYYISAYEKDATLHEKVLQLAKLNSNIMQLHHQQELSVLTRIVECFLWILGVYFEPCHSRARIILTMIIAIVTLLDDIYDSYGSPEECDILTNCIESWDRKAVHDLPECMRFALGKILDSYETIANMLHQEEKYRMLYLRYFTVDLVRSFNMEVKMLQEGYIPKSVEEHLKVSLRTGGCPILSCASFVGMHDIATTECFDWVSSVPKLVQALSIILRLVDDLQSYEREQLIPHAASTIDSYMKEHGVSIEVAREKIHTLKEESWKDFNSEWLNPNTAYPKQLLERIFNLARTMEFMYNQEDNFTNCWKLKDTVHSLLAERFTIPI >PVH62285 pep chromosome:PHallii_v3.1:3:16887382:16888435:-1 gene:PAHAL_3G256900 transcript:PVH62285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLAVNTFLQNLHLAPYLTVLPSTSLSSRSKYCQTFDVNDLCLLLVPVGWVLAVLGVGLRFLIGVESGCVPGLPPNRGSLSSPTLSSSSPVHPQARRLSLMEPEPEPDPAMACGGGGIEGVDEVGVRPIGGVGVEEGFCSPRRIWVMQHYGGGAPDVVFETRRPATCGVAERLGSPDGGGWGPPAPDRGGGGSSQGGQRPAEGRSVAATVVPMLELSAFVFDGWRRWGGQEPGGTAVAGGGGGARATRAERRAKRAVPKQPGGLTARPKHDTVRALGQPGHD >PAN21941 pep chromosome:PHallii_v3.1:3:63109490:63113218:-1 gene:PAHAL_3G501100 transcript:PAN21941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYAASPYVLSLLLLLSIPAVFLLAPRLIPPRTLPTIPDADETEDLALFRRAVLLSAAPASPKPADTAAGAKTTSFFGAGSGFRKKRKQQPKVAFLFLTNSDLVFAPLWEKFFAGHHGLLNVYVHADPASALTLPPTPSFRGRIIPGKATQRASATLISAARRLLANALLDDPANHFFALLSQSCVPLHPFPALYRTLTSDIAGPKGRHRSFIEILDAEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLTRRHAVMVVRDRRLWNKFKLPCLVKRKYSCYPEEHYFPTLLDMQDPGGSTKFTLTRVNWTDSFDGHPHTYQPEEVSGELIRDLRKSNGTYSHMFARKFAPECLGPLMKIADSVILRD >PAN15865 pep chromosome:PHallii_v3.1:3:906367:907927:1 gene:PAHAL_3G016500 transcript:PAN15865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFHPYGMPPPVPPYFYPAPPTMPAPAGPPMQQVSEGPRVRGGDPDARKSGPKVKLPNFNPEEGVNLTKWWLNISTDPVVNTGQRKEGFWLRIMKGYNSSRGVYPERSQKSLTTRWDYIKECCTKFSEFYSSVLRLNPSGMSNADKTTEAMARYAAALQKPFTQMHSWKSLKDEPKWEACIGAHSKVLVLDDDSSDAAAGGANGVGGPAESDAPASSGSKRPIGRDATKATRKKAATSSSSSEYISQMNDMWGNKLSLIKESHAEMASHHTTMAVLQEKKITTDRELQEKKMSTERELEERRLALEERRLEMEMNDRESRMEMERSRAAKEERAEEERILSIDLDRCSPALRLFYKQQQE >PAN18415 pep chromosome:PHallii_v3.1:3:13086824:13090283:1 gene:PAHAL_3G199900 transcript:PAN18415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMLRVRLASFFAGATAAAAGGGYFLYKDYRLAHDSMALKVKGLQESTDARYKALEKRLAALEGQQSTGAAPDASD >PAN21149 pep chromosome:PHallii_v3.1:3:58271185:58276777:1 gene:PAHAL_3G445200 transcript:PAN21149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSRGEGQEPDHPTTTILRRGGGDGGGTGGDAAGDGGAAPRQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLEKDACVAASWRHRTYERLRLHLPRCFCELPLLPFPPGTPPYPTRDQFVAYLDSYARVFGIAPRLGARVRSAAYDAAIGFWRVTADEGAEGGGATATEFVSRWLVVATGENAEPAWPEGVEGMDGYRGVAMHTSSYKKGDEFRGKNVLVVGCGNSGMEVSLDLCNNGAKASMVVRDKLHVLPREILGISTFGLSVFLLRWLPIKRVDSVLLFFSRLILGDTEKYGLPRPKIGPLQIKSSTGKTPVLDIGALRKIKNGEIKVVPAINRFTENGAEFIDGHREDFDAVIFATGYKSNVPSWLKEEEFFSHADGFPRKPFPHSWRGKNGLYAAGFTRKGLMGTSYDALRIAGDIVDQWTEAFASPTAAHRSSDHGA >PVH61751 pep chromosome:PHallii_v3.1:3:7218240:7219680:1 gene:PAHAL_3G113500 transcript:PVH61751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELLIFTRGGLILWALSPEGFPRRRAHPLLPPRGPIRRRRRRLPGRQARAQVGLPQRALVAVYRRVLRLLYLDDLLAAVRAGLPPQAHQLRRLRHVFRQLHLEAQARADEMNNSKQAPVTSRPPSQTASHKAGPKVPGDDSGNAGGSKQAGSDGDSGKKENSGGDPKPKDGGAFDLSTLQNLRNRVIRRNNNKPNNKPDIKGRHKNPNEPSDKKLDFSDAAERGKVTDHVAIKEGQSKMDKDEQVGDGPEAKGWFSSVFQSIAVGNKVIDMSGLQRALKALKDRLMTKNLAEEIAEKLCESIAASLEGKKLGSFTSIASTVRAATEEALLRILTPSRSIDILRDVHASKERERPYVIVFVGVNGVGKSTNLQRSLTGSSSTTSV >PAN20062 pep chromosome:PHallii_v3.1:3:22875095:22876389:1 gene:PAHAL_3G315400 transcript:PAN20062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAAGGATHKGGIKAYWKRRGYDRLDAAAAQRRPRLLTAELGGGEARPEPGRRRRGWRVRRRAGAAGRRLLRALSPRRLLARLRDAYVNAMLRLASSAAVAGYGAAGPYCTAADPFARPRPLTRDYDEKALVEIYRAILARGEAAPAVATARLPAAV >PAN16420 pep chromosome:PHallii_v3.1:3:3707356:3711018:1 gene:PAHAL_3G059000 transcript:PAN16420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKPILYSAWISSCSFRVRIALNLKGVDYEYRAVTRTDPDYEKINPIKYVPAFVDGDFVVSDSLAIILYLEDKYPQHPLLPQDLKKKAINLQIANIVCSSIQPLQCYAVIGLVDGKLSSNESLQIVRHYIDKGFRAIEKLLEGCDSKYATGDEIQLSNYPLLERFYKAYMEISAVQDAVPEKQPDAPSPWI >PAN16419 pep chromosome:PHallii_v3.1:3:3707356:3711018:1 gene:PAHAL_3G059000 transcript:PAN16419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKPILYSAWISSCSFRVRIALNLKDYEKINPIKYVPAFVDGDFVVSDSLAIILYLEDKYPQHPLLPQDLKKKAINLQIANIVCSSIQPLQCYAVIGLVDGKLSSNESLQIVRHYIDKGFRAIEKLLEGCDSKYATGDEIQLADVFLAPQIHAGMTRFQIDMSNYPLLERFYKAYMEISAVQDAVPEKQPDAPSPWI >PAN16421 pep chromosome:PHallii_v3.1:3:3707356:3711018:1 gene:PAHAL_3G059000 transcript:PAN16421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKPILYSAWISSCSFRVRIALNLKGVDYEYRAVTRTDPDYEKINPIKYVPAFVDGDFVVSDSLAIILYLEDKYPQHPLLPQDLKKKAINLQIANIVCSSIQPLQCYAVIGLVDGKLSSNESLQIVRHYIDKGFRAIEKLLEGCDSKYATGDEIQLADVFLAPQIHAGMTRFQIDMSNYPLLERFYKAYMEISAVQDAVPEKQPDAPSPWI >PAN17178 pep chromosome:PHallii_v3.1:3:7086121:7088405:-1 gene:PAHAL_3G111000 transcript:PAN17178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDRASKPPPTPAGEDEEGAVADKALHALGFEFTGISAREVAGRLPVTGTCCQPFGVLNGGVSALVAESLASVGAYMASGGRRVAGVQLSVNHLRPARLGDLVQAQASPVQPGRSIQVWEVQIWRADPSTGERMDLVSTARVTMLVASSPLRPEEMTSHEATIKKYAKL >PAN19628 pep chromosome:PHallii_v3.1:3:19455268:19457380:-1 gene:PAHAL_3G286800 transcript:PAN19628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPAGTPLASLLFLCVLSACRAAGSGGSNPTSAVVLPVSKDAAATQQYVTGFRQRTPLVPVQAVLDLAGATLWVDCDAGYASSTYRRVPCGSKSCRLSRSVACATSCSGPPSPSCLNDTCGGFPGNTVTHVSTSGNIITDVLALPTTFRPAPGPLATAPAFLFTCGSTFLTEGLAASTSGIASLSRARFALPTQLAATFRFSRRFALCLPAAGAAGVVVFGDAPYAFQPGFVLSNASLIYTPLLVNPVSTAGVSTKGDKSDEYFVGVTGIKVNGRAVPLNATLLAIDKQGVGGTKLSTVAPYTVLETSIHKAVTDAFAAETAMIPRAAPVAPFKLCYDGSKVGSTRVGPAVPTIELVLGSEAASWVVFGANSMVATKGGALCLGVVDGGKAPRTSVVIGGHMMEDNLLEFDLEASRLGFSSSLLFRQTNCNNFRLG >PAN18567 pep chromosome:PHallii_v3.1:3:13675710:13680199:-1 gene:PAHAL_3G210800 transcript:PAN18567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFSDHVRSFVNPVWTSSGRSVAQRDSLTANGLVTGSQMERQSDSSQKQVQGLIRSFPPAVVLNHHPCENFSLSPPPIDRKRTGPRPCPVCYLPVEQALALRPAQPSTSPVLQSLNYMSEENLVSKDSNGGSLFGGYPSLEERDKSYDIKDLMKVHCGFVRGTIPGLNTGFDIDEADRSEMQQCQRTVVASAIFGNYDILQQPENISESSKDTVCFFMFLDEETEAALKNSTTIDHTKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNASIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQSYHRELLEQRQATLRGRPPLWPPMVQIQPRKMLPDNAAKEPGKASSAKKLPGKRTRDKKSSSKRSHRTKVIGGKEAIQL >PVH62131 pep chromosome:PHallii_v3.1:3:13675823:13680696:-1 gene:PAHAL_3G210800 transcript:PVH62131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGASLGLRPSGSYGSLPQQQQQPGGCHSSPSPAPSVSPPVAARKPAKMSLGGAGGGGGPRVFARICKLAGRRQRMLLLLLVAVAVAFCFLFSSLVSKDEDASPGVETMLVFSDHVRSFVNPVWTSSGRSVAQRDSLTANGLVTGSQMERQSDSSQKQVQGLIRSFPPAVVLNHHPCENFSLSPPPIDRKRTGPRPCPVCYLPVEQALALRPAQPSTSPVLQSLNYMSEENLVSKDSNGGSLFGGYPSLEERDKSYDIKDLMKVHCGFVRGTIPGLNTGFDIDEADRSEMQQCQRTVVASAIFGNYDILQQPENISESSKDTVCFFMFLDEETEAALKNSTTIDHTKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNASIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQSYHRELLEQRQATLRGRPPLWPPMVQIQPRKMLPDNAAKEPGKASSAKKLPGKRTRDKKSSSKRSHRTKVIGGKEAIQL >PAN19674 pep chromosome:PHallii_v3.1:3:19655741:19659592:-1 gene:PAHAL_3G289700 transcript:PAN19674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAAAPSSPFGSRALPPPSRRRGPTLRRARVSALGGGGEGEAGRILDPRATPFQILGLDATACYSAAQLKAAFRARVKEFHPDVCKDPENADLIIRRVIEAYQMLSGNQEMMVERNNVDPFDEPECEARDLFVNELLCIGTGCPYSCVKRAPHVFSFSDDTVTARAISQGNGEDDLVQLAVGQCPRKCIYYVTPCQRIILEDVLASVLMAPYDLAEAAVLDSLLTKAKFENNRYTKPKRGAKSSSDYVDWM >PAN16775 pep chromosome:PHallii_v3.1:3:5555769:5557179:-1 gene:PAHAL_3G085600 transcript:PAN16775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATPLLTPYKMGDFELKHRVVMAPLTRQRSYGNVPQAHAAVYYSQRATKGGLMITEATGVSDTAQGYTDTPGIWTAKQVDAWRPIVDAVHAKGAVFFCQLWHVGRVSTTAFQPGGAAPISSTDRAVSPQRSFDGHVEEFSAPRRLEAREIPAVVDDFRRAARNALDAGFDGVEIHGANGYIIEQFLKDSANDRADEYGGTLERRCRFAIEVVEAVAGEVGARRVGVRLSPFTDYMDCHDSDPEALAGYLVDKLSAVGVLYCHMIEPRMALVDGRRQIPHRLLPYRKAFRGTFIASGGYDRAEGNKVVDEGYTDLVSFGRLFLANPDLPRRFELPDAPLNKYDRATFYTSDPVVGYTDYPFLDDVVQAA >PAN16828 pep chromosome:PHallii_v3.1:3:5765387:5766315:-1 gene:PAHAL_3G089500 transcript:PAN16828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAEAAECGGGKTSWPEVVGMSIEEAKKVILKDKPDADIHVLPVGTPVTKDFRPNRVRIFVDTVAETPHVG >PAN21174 pep chromosome:PHallii_v3.1:3:58428713:58435880:-1 gene:PAHAL_3G447000 transcript:PAN21174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRVCDCELGFGAAAAREGGGAAAAWAGAAAALEGFLADPWLLRPPGAGEGDGATVQVEVPPLDPGPEDGEGDARRAAAQRGADAAEDFARRLESGAYGSPEAEGDEDEWDREDQGNASVKVMCHLCCFGENEGSTKAAKMLPCKLCNKRYHRNCLKSWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPICLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADQNLQYTCAACRGECSQIRDTEDAIRELWKRRDVVDQELMVSLRAAAALPSLEDVSPSYPNSDEDKPAPYVLKNDGRNTLKFSLKSNSSKAPSDTPEQEKIVLKSSGSNKKPSKKKGGQGNKTDDGHDEIFLERRHDAKSSNSRLGDQSIDGNHDRSPFKNDGSAYISSSTRSSEKNLKSPSMKAVANSADMIPKVKIKGSKVSGLHFKDAGDESTPKNDTGKGTKLVIHLGSRHKTRSGSPKSELSNSQKEQDMGSIHGGKIDVTSQLKSSRSEIKEKSVMKLVRETGTQQRSSLLGDLGTSKKHATGKRSNALISGMENANETGTRNRSFGLKQSHSSQVNENQGTAALFSSDSTDNLKPSLLKLKFKRPHFEQLNTQASQPEEPATWASQQEEQLNVAKGQRSKRKRPSMEKVDDSDGKIPAKRHQQSTDDEVMDANWILRKLGKDAIGKRIEVHQASDGKWHQGVVANVISGTLCIQLDNGRSDNLELGKQAIRLIAGSKGRKR >PAN21173 pep chromosome:PHallii_v3.1:3:58428713:58435580:-1 gene:PAHAL_3G447000 transcript:PAN21173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLCCFGENEGSTKAAKMLPCKLCNKRYHRNCLKSWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPICLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADQNLQYTCAACRGECSQIRDTEDAIRELWKRRDVVDQELMVSLRAAAALPSLEDVSPSYPNSDEDKPAPYVLKNDGRNTLKFSLKSNSSKAPSDTPEQEKIVLKSSGSNKKPSKKKGGQGNKTDDGHDEIFLERRHDAKSSNSRLGDQSIDGNHDRSPFKNDGSAYISSSTRSSEKNLKSPSMKAVANSADMIPKVKIKGSKVSGLHFKDAGDESTPKNDTGKGTKLVIHLGSRHKTRSGSPKSELSNSQKEQDMGSIHGGKIDVTSQLKSSRSEIKEKSVMKLVRETGTQQRSSLLGDLGTSKKHATGKRSNALISGMENANETGTRNRSFGLKQSHSSQVNENQGTAALFSSDSTDNLKPSLLKLKFKRPHFEQLNTQASQPEEPATWASQQEEQLNVAKGQRSKRKRPSMEKVDDSDGKIPAKRHQQSTDDEVMDANWILRKLGKDAIGKRIEVHQASDGKWHQGVVANVISGTLCIQLDNGRSDNLELGKQAIRLIAGSKGRKR >PAN16212 pep chromosome:PHallii_v3.1:3:2840239:2845147:1 gene:PAHAL_3G044800 transcript:PAN16212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPWLLLCLALSLSVVPPAASQRQRQPPPGCRRRCGNVTVPYPFGIGAGCHRGSAAGGFRLRCDDARRPPRLTVSGYGHEVAAISLPAAEATVLLNASRACYDRPGDPGGRVVSLREQPMELNGSAFLFSSMKSKFVSIGCPGLAYFTDGDGYYVTGCMSVCRPSERALPGSCRGDDGCCQSNIPLGLASYRPHLGSFRGQETTFLANSTACAYAFMVDAWWFWFAGSHFNRTGDFAVPVVLDWAIRDAPGCAAAQREPDNYACRSAHSVCLESSNGPGYVCNCTDGYQGNPYVVDGCTDVDECQHRDEFPCYGACVNTPGSFTCTCPKGSSGNATILDGCRPDNKFSSALKAVIGTSSGVFFLLLACFSAHLWLQKRRLLQAKRRFFEQNGGLLLQQQLGSLASAGVAFKIFSEEEISRATDGFAEARVLGRGGHGVVYKGVLADGSAVAVKKSRVVDAKQVKEFAREMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVPNGSLHGHIHGDDGESELPPGARFRVAAESADALAYMHSSASPPVLHRDVKSANILLDGDLAAKVSDFGASRLAPAGEAAVATLVQGTLGYLDPEYLLTSQLTSKSDVYSFAVVLLELLTGRKAFVPVEDEEEEEEGGLAFFFITAAQAGRHLEVMDRRVREEVGVEVLDEAAELVMRCLSMVAEERPTMKEVADKLHSLIR >PAN21547 pep chromosome:PHallii_v3.1:3:60843498:60847747:-1 gene:PAHAL_3G475700 transcript:PAN21547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGAAATLRNINDAIEEVRRRSLRDRGWTIEEAIKDMRSGMWEWRRKASRRLQANAGLAEVDPWDLPRRFAMPKDQRTSSLRTYSGYWKEKEDEFIAIRKGGGEGSSSPSSSPCYDGVRRTLEFYEHNGTKTDWVMHEYYHLAGNKILQEDVVLRKVFNKKHTDRIHSCLESLDRVMKAYHEALGKHGNVIRKVFLSVEMSLRSSLLLIDHLGDFEEAESGCGEFPTASAAPDGESDDVWQHFTRINTKDPDVVYAACHRCDRVLRAHSKNGTSHLRRHRKTKTCKCNSNPSSTTEDQESLRELRANLDLYKQGKMEGRVVDSPDLNASVDPWDLPTPRYCTSSLSRKTHQGCWEEIKSNDKLIAIRIGQLPVPQYAGLKRTLEFHHDDGTKTDWIMLEYHQVDEYNTHDLLLEESMVFRKVIQIFKDAVKELERMWNGDDDGEEERYIGEREEEVKACMSTLLRDCLLGEAGQSDQSRVGKRKRTGAPEGGSEVWLYFTKIYTMDPDRVYAVCHSCDRGYKGHSKNGTSHLKRHNMTCSSKHRKV >PAN19627 pep chromosome:PHallii_v3.1:3:19424736:19425882:-1 gene:PAHAL_3G286700 transcript:PAN19627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTRLGSYVFGSFCSPITSAALRLVTRIRSGWPWTFLAPPARTHPPAKYAAASDLKEMVVLGYSRGSLK >PVH62408 pep chromosome:PHallii_v3.1:3:19425189:19425600:-1 gene:PAHAL_3G286700 transcript:PVH62408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTRLGSYVFGSFCSPITSAALRLVTRIRSGWPWTFLAPPARTHPPAKYAAARIGECSMKCQVKAIFMT >PAN22033 pep chromosome:PHallii_v3.1:3:63722522:63728176:-1 gene:PAHAL_3G508400 transcript:PAN22033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAARRLLLAGATRRRFLSTDAASSASASSQLPRGKRWDAVVIGGGHNGLVAAAYLARAGRSIAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPAILRDLELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNYSEISKFSKKDANAYPRYEEQLEKFCKLMDFVIDSAPPELRQDFHASMVDRMKDRVDKSAFWGNLLRHVMQQGQKNMVEFFDLLLSPASKILNCWFESEVLKATLATDAVIGTMAGVNTPGSGYVLLHHVMGETGGQRGVWAYVQGGMGSVSSAISKAALEAGVQIVTNAEVSQVMVNETTGKVEGVALADGTEVHSPVVLSNATPYKTFVDLVPVNVLPEDFLSAIKTADYSSATTKINVAVDRLPQFHCCKDMSPEGGPEHMGTIHIGSESMEEINVAYREAAGGVSSKRPVIEMTIPSVLDKTISPPGQHVINLFIQYTPYKLSEDSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPANGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLKAK >PAN16232 pep chromosome:PHallii_v3.1:3:3000954:3006637:-1 gene:PAHAL_3G046700 transcript:PAN16232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSSGARARGLGRPLLLALALALASPLAPASASESDHKYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPIHKWGGLGEVLGGNELIDSHLEIKFLRNEEKVSICTLELDSKKVQQFADAIENSYWFEFFIDDLPLWGFVGETDKNSENKHYLYTHKNILVKYNENRIIHVNLTQESPKLLEAGKKLDMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLMFLSALVGIGTQLTALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYVGSTLFVRRIYRNIKCD >PAN18304 pep chromosome:PHallii_v3.1:3:12645341:12652039:1 gene:PAHAL_3G193300 transcript:PAN18304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELDSQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAHKALEIYRRAGQQAGNLSEFYENCRGLELARNFQFPTLREPPQTFLATMEEYVKEAPRMVPVREPLELPERLLLTYKPEESEEIPEPAPVEEEKAPVEEPDPVPPVTEVVSPPPKTEMPDTGDLLGLNDPSPAVSAIEESNALALAIVPTEGTSTTGSTAFQDKGFDPTGWELALVTAPSNTTSSASSSQLGGGFDKLILDSLYDDGAYRQRQQQQLYGSAAPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHQQIPTVMQPNPFGPPMQPQMGMVPATNNPFLDAGFGPFPVANNGHQQHNPFGGAQLL >PAN16144 pep chromosome:PHallii_v3.1:3:2541684:2547946:-1 gene:PAHAL_3G039700 transcript:PAN16144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRMRCLVGGGVQDSPRSAAKRVSPASWRVDTAAAEAAAGAGGKGPVICFRPPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISAMWEEGGTKTPASPARVVQYEASDVGADDALGVWKIDDVDNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASAVMLKFELIYAPTLDNGSEIQSSSVASSAAVHEFRIPRRALLGLHSYCPVHFDAFHAVLVDLTLHIVYLKAGATKPSLKVPDQGLGPTSYHIVKALLTSRKMLLEELKKISDAIGKRIEDLDGADLNLGKYESVNPTNSGLPNSSKVFPATGKGVGQLAGILHEFLERPNDVVNGTEDSMLYTLPKEELLELFLTVSGQLSLLWNAFLKFHRINKTKILDYLHDVWAIDRKAEWSIWTVHSKIEIPHRYLRSMNDDSSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTQSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSSKDLALDASEQTDTIVLPKLQGDSLAPKSSSGKKSGRILRAIIFVHGFQGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGSRLAGEVVAFLKKKMDKLSRYGGCKELKLSFVGHSIGNIIIRSALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTYFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDTSKKGQVFTEMLNNCLDQIRAPSSDTRIFMRCDVNFDQSNQGRSLNTMIGRAAHIEFLETDLYAKFIMWSFPDLFR >PAN16143 pep chromosome:PHallii_v3.1:3:2541772:2547891:-1 gene:PAHAL_3G039700 transcript:PAN16143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFNIFNSEEEGPAASAVMLKFELIYAPTLDNGSEIQSSSVASSAAVHEFRIPRRALLGLHSYCPVHFDAFHAVLVDLTLHIVYLKAGATKPSLKVPDQGLGPTSYHIVKALLTSRKMLLEELKKISDAIGKRIEDLDGADLNLGKYESVNPTNSGLPNSSKVFPATGKGVGQLAGILHEFLERPNDVVNGTEDSMLYTLPKEELLELFLTVSGQLSLLWNAFLKFHRINKTKILDYLHDVWAIDRKAEWSIWTVHSKIEIPHRYLRSMNDDSSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTQSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSSKDLALDASEQTDTIVLPKLQGDSLAPKSSSGKKSGRILRAIIFVHGFQGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGSRLAGEVVAFLKKKMDKLSRYGGCKELKLSFVGHSIGNIIIRSALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTYFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDTSKKGQVFTEMLNNCLDQIRAPSSDTRIFMRCDVNFDQSNQGRSLNTMIGRAAHIEFLETDLYAKFIMWSFPDLFR >PAN16902 pep chromosome:PHallii_v3.1:3:6052585:6054230:1 gene:PAHAL_3G095100 transcript:PAN16902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFSRNKKPDGEQISRGGGTMAAPVPAMRKGPWTEEEDAQLVRFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPDLRRGRITADEERLIVQLHAQWGSRWSRIARSVPGRTDNEIKNFWRTRTRKKALEERRHNSGSSSNKKAAAASPLSSVTTASCCPGSPNSGAATSSSSAPPSDDSSLREGSGGDDAELEEASTATAAATQHEEPQEYCCAMDQLWNEIAAADAAASYALDGWGAGHCYYVAAAEALAMPSSPVWEYSSDYSLWRIDDEEYYREMLDAS >PVH62965 pep chromosome:PHallii_v3.1:3:57837057:57838942:-1 gene:PAHAL_3G440500 transcript:PVH62965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRDELIISIRGLYLHNNCRGPRVIQASLLNPPPVMGELAANNWTVYDGPGPDAKLVARAHGLHINAGNWHNSFRLVFEDGRFKGSTLQVMGVVVEHGEWAIVGGTGELAMATGEVPHHTHKIGPWGGHGGSPKDIAEPPKHLESITVMCGVVIHSVTFTYIDQTGQKHTAGPWGGSGGSPHKVSNPIAASEFVKEISGTYGTFDGATVITSLKVITNVQTFGPWSTEIGTPFSVPVQKGSGIVGFFARAGMYLDAIGVHVHHV >PAN16879 pep chromosome:PHallii_v3.1:3:5968469:5971111:1 gene:PAHAL_3G093300 transcript:PAN16879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCPSSYSIPFYPDISQPGPPKFYLLKLLLPDRKGLLHDVTHILSELELLIHRVKVSTTPDGRVVDLFFITDGMELLHTKERQEETCSTLIATLGHSISCEVLSAEGFQQGFSSLPPKIAEELFRVELAGSEICSSSLSAELKRVQTATINFDNSLSPAHTLVQIICADQKGLIYDILRTMKDCNIQIFYGRFRSDKKGSVNKGCREVDLFVKQVDGKKVIDPEKQEALRSRLRSEMLHPLRVMIVSRGPDTELLVANPVELSGKGRPRVFYDATLALKALGICIFSAEIGRQAASERQWEVYRFLLDDSKEFPLANSPTNRNRVVDRVRKTLMGCYN >PVH61678 pep chromosome:PHallii_v3.1:3:5967653:5971111:1 gene:PAHAL_3G093300 transcript:PVH61678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMGEVAARPGGGVGGMVLGGGAAAAAVGGDDAVVMQLSAAEGEETVITVNCPDQAGLGCDLCRTILEFGLRITRGDVSTDGHWCFVVFWVVPRSSSIKIRWASLKNRLMSMCPSSYSIPFYPDISQPGPPKFYLLKLLLPDRKGLLHDVTHILSELELLIHRVKVSTTPDGRVVDLFFITDGMELLHTKERQEETCSTLIATLGHSISCEVLSAEGFQQGFSSLPPKIAEELFRVELAGSEICSSSLSAELKRVQTATINFDNSLSPAHTLVQIICADQKGLIYDILRTMKDCNIQIFYGRFRSDKKGSVNKGCREVDLFVKQVDGKKVIDPEKQEALRSRLRSEMLHPLRVMIVSRGPDTELLVANPVELSGKGRPRVFYDATLALKALGICIFSAEIGRQAASERQWEVYRFLLDDSKEFPLANSPTNRNRVVDRVRKTLMGCYN >PAN19738 pep chromosome:PHallii_v3.1:3:20132457:20140289:-1 gene:PAHAL_3G294900 transcript:PAN19738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MSAASTATRLLLPRPAAPFRSLLLSRRRAVRASAVAAGGGGISSGTGGGGGAAKEPPRTLFPGGFKRPEIQVPALVLRVGAEEALRSGDEVAAAVARGVGIVVLEAGEEGGGRAYEAAVALRAAVGDRAYLLIAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNADSIYLPIVARAIRSANSAISASSSEGADFLIVNTGNDDFADVMNGGVGQQVKIPIFFTLNVHSGSTYSDITSRLIQSGVSGVVTSLAGIQHLTDDLIERDFSKVDDIEEVPQASNSSASTLENANNATVLTREKTKVAGFTKLDEKVLQLIEREKPILSEAVAVIRKAAPMMEEVDLLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEIMLLSYSEIDSESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYIQQWKKKVVFVLNKLDLYRNNNELEEATAFVKENAKKLLNTEDVTLFPVSSRFALEAKLSYSNNSGGKHLMEAMFSDPIWRSSKFCELEDYLLSFLDSSTENGKERVRLKLETPIGIADRLLMSCQRLVKLEYEKAVDDLTSIKDLVSGANNYAAKLEADSNSWQKQISSLIERAKGRAVTLMESILQLSNIDLIFTYTIKGKTGSSPRATSFVQNDILTPAFDDAVNILGEYSTWLSSSNTREANLYLECFHERWAALVAQEERVLLDPNGLINEGEKLTVKALDGFNASSTAKVFEEEIREVELYDYIYLMKSYFLFTPF >PVH62447 pep chromosome:PHallii_v3.1:3:20130084:20140461:-1 gene:PAHAL_3G294900 transcript:PVH62447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MSAASTATRLLLPRPAAPFRSLLLSRRRAVRASAVAAGGGGISSGTGGGGGAAKEPPRTLFPGGFKRPEIQVPALVLRVGAEEALRSGDEVAAAVARGVGIVVLEAGEEGGGRAYEAAVALRAAVGDRAYLLIAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNADSIYLPIVARAIRSANSAISASSSEGADFLIVNTGNDDFADVMNGGVGQQVKIPIFFTLNVHSGSTYSDITSRLIQSGVSGVVTSLAGIQHLTDDLIERDFSKVDDIEEVPQASNSSASTLENANNATVLTREKTKVAGFTKLDEKVLQLIEREKPILSEAVAVIRKAAPMMEEVDLLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEIMLLSYSEIDSESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYIQQWKKKVVFVLNKLDLYRNNNELEEATAFVKENAKKLLNTEDVTLFPVSSRFALEAKLSYSNNSGGKHLMEAMFSDPIWRSSKFCELEDYLLSFLDSSTENGKERVRLKLETPIGIADRLLMSCQRLVKLEYEKAVDDLTSIKDLVSGANNYAAKLEADSNSWQKQISSLIERAKGRAVTLMESILQLSNIDLIFTYTIKGKTGSSPRATSFVQNDILTPAFDDAVNILGEYSTWLSSSNTREANLYLECFHERWAALVAQEERVLLDPNGLINEGEKLTVKALDGFNASSTAKVFEEEIREVAFGTFGALGVAGLSASLLTSVLSTTLEDLLALALCSAGGFFVLSSFPGRRKQAIQKVNKAADELSRKVDEAIQKDISQSANNLVRFVEVISKPYQEACQQKVDWLQGVQGELSSVERELQTLKVEIQNLHGS >PAN19740 pep chromosome:PHallii_v3.1:3:20131538:20138537:-1 gene:PAHAL_3G294900 transcript:PAN19740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MEEVDLLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEIMLLSYSEIDSESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYIQQWKKKVVFVLNKLDLYRNNNELEEATAFVKENAKKLLNTEDVTLFPVSSRFALEAKLSYSNNSGGKHLMEAMFSDPIWRSSKFCELEDYLLSFLDSSTENGKERVRLKLETPIGIADRLLMSCQRLVKLEYEKAVDDLTSIKDLVSGANNYAAKLEADSNSWQKQISSLIERAKGRAVTLMESILQLSNIDLIFTYTIKGKTGSSPRATSFVQNDILTPAFDDAVNILGEYSTWLSSSNTREANLYLECFHERWAALVAQEERVLLDPNGLINEGEKLTVKALDGFNASSTAKVFEEEIREVAFGTFGALGVAGLSASLLTSVLSTTLEDLLALALCSAGGFFVLSSFPGRRKQAIQKVNKAADELSRKVDEAIQKDISQSANNLVRFVEVISKPYQEACQQKVDWLQGVQGELSSVERELQTLKVEIQNLHGS >PAN19737 pep chromosome:PHallii_v3.1:3:20132457:20139042:-1 gene:PAHAL_3G294900 transcript:PAN19737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MVVLVNRLIQSGVSGVVTSLAGIQHLTDDLIERDFSKVDDIEEVPQASNSSASTLENANNATVLTREKTKVAGFTKLDEKVLQLIEREKPILSEAVAVIRKAAPMMEEVDLLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEIMLLSYSEIDSESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYIQQWKKKVVFVLNKLDLYRNNNELEEATAFVKENAKKLLNTEDVTLFPVSSRFALEAKLSYSNNSGGKHLMEAMFSDPIWRSSKFCELEDYLLSFLDSSTENGKERVRLKLETPIGIADRLLMSCQRLVKLEYEKAVDDLTSIKDLVSGANNYAAKLEADSNSWQKQISSLIERAKGRAVTLMESILQLSNIDLIFTYTIKGKTGSSPRATSFVQNDILTPAFDDAVNILGEYSTWLSSSNTREANLYLECFHERWAALVAQEERVLLDPNGLINEGEKLTVKALDGFNASSTAKVFEEEIREVELYDYIYLMKSYFLFTPF >PAN19741 pep chromosome:PHallii_v3.1:3:20130328:20140461:-1 gene:PAHAL_3G294900 transcript:PAN19741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MSAASTATRLLLPRPAAPFRSLLLSRRRAVRASAVAAGGGGISSGTGGGGGAAKEPPRTLFPGGFKRPEIQVPALVLRVGAEEALRSGDEVAAAVARGVGIVVLEAGEEGGGRAYEAAVALRAAVGDRAYLLIAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNADSIYLPIVARAIRSANSAISASSSEGADFLIVNTGNDDFADVMNGGVGQQVKIPIFFTLNVHSGSTYSDITSRLIQSGVSGVVTSLAGIQHLTDDLIERDFSKVDDIEEVPQASNSSASTLENANNATVLTREKTKVAGFTKLDEKVLQLIEREKPILSEAVAVIRKAAPMMEEVDLLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEIMLLSYSEIDSESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYIQQWKKKVVFVLNKLDLYRNNNELEEATAFVKENAKKLLNTEDVTLFPVSSRFALEAKLSYSNNSGGKHLMEAMFSDPIWRSSKFCELEDYLLSFLDSSTENGKERVRLKLETPIGIADRLLMSCQRLVKLEYEKAVDDLTSIKDLVSGANNYAAKLEADSNSWQKQISSLIERAKGRAVTLMESILQLSNIDLIFTYTIKGKTGSSPRATSFVQNDILTPAFDDAVNILGEYSTWLSSSNTREANLYLECFHERWAALVAQEERVLLDPNGLINEGEKLTVKALDGFNASSTAKVFEEEIREVAFGTFGALGVAGLSASLLTSVLSTTLEDLLALALCSAGGFFVLSSFPGRRKQAIQKVNKAADELSRKVDEAIQKDISQSANNLVRFVEVISKPYQEACQQKVDWLQGVQGELSSVERELQTLKVEIQNLHGS >PAN19739 pep chromosome:PHallii_v3.1:3:20130328:20140461:-1 gene:PAHAL_3G294900 transcript:PAN19739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MVVLVNRLIQSGVSGVVTSLAGIQHLTDDLIERDFSKVDDIEEVPQASNSSASTLENANNATVLTREKTKVAGFTKLDEKVLQLIEREKPILSEAVAVIRKAAPMMEEVDLLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEIMLLSYSEIDSESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYIQQWKKKVVFVLNKLDLYRNNNELEEATAFVKENAKKLLNTEDVTLFPVSSRFALEAKLSYSNNSGGKHLMEAMFSDPIWRSSKFCELEDYLLSFLDSSTENGKERVRLKLETPIGIADRLLMSCQRLVKLEYEKAVDDLTSIKDLVSGANNYAAKLEADSNSWQKQISSLIERAKGRAVTLMESILQLSNIDLIFTYTIKGKTGSSPRATSFVQNDILTPAFDDAVNILGEYSTWLSSSNTREANLYLECFHERWAALVAQEERVLLDPNGLINEGEKLTVKALDGFNASSTAKVFEEEIREVAFGTFGALGVAGLSASLLTSVLSTTLEDLLALALCSAGGFFVLSSFPGRRKQAIQKVNKAADELSRKVDEAIQKDISQSANNLVRFVEVISKPYQEACQQKVDWLQGVQGELSSVERELQTLKVEIQNLHGS >PAN15758 pep chromosome:PHallii_v3.1:3:365915:368544:1 gene:PAHAL_3G006000 transcript:PAN15758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARASAAASCIPVLLMLLAMLAGTSSAQLSTGFYSSSCPGVYDAVKSVVQSAIAREKRMGASIVRLFFHDCFVQGCDASLLLDDTASFQGEKMATPNNGSVRGFEVIDAIKSAVEKVCPGVVSCADILAIAARDSVVSLGGPAWNVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHVYNETNIDGAFARTRQSGCPSTSGTGDNNLAPLDLQTPAVFENNYYKNLISKKGLLHSDQELFNGGATDAQVQSYVSSQSAFFADFVTGMIKMGDITPLTGSNGEIRKNCRRIN >PVH62890 pep chromosome:PHallii_v3.1:3:55440281:55440894:-1 gene:PAHAL_3G424400 transcript:PVH62890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDPTGGSTEVQPPPPSADYVEMVDLLVSPPSPTWAQLLPPSTVLYDPLPWSPSSSPIFSPPRYLEDDSEMIPASDSFQLFQSWSPMGAVDMLWTFDGAHIPYPGMSSGAPNFNLVAAAPLAPQFNVAVVTESVSGPAIRRSHRGFRILNYVLRWIFYVSIFNYPV >PAN18037 pep chromosome:PHallii_v3.1:3:11486555:11492625:1 gene:PAHAL_3G173900 transcript:PAN18037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTSPHSAAAATHVDDLHGFLRSGLRTHDAVLRTHAFLLRRGLLLGHPVPAGLLLTASACSAASPPAHLLRLLLHHLPPPLPLFSIDAALRVLAPRIPFSALFSLFAALLRSHHPLFPDRFSFPPLLSAAASASPRVHLPSALALHAQVLRRGLLFSPPPHAANALLHFYAAAGRLPSARHLFDEMPFRDIASLNTMMTAYTAAPGGIDVARQLFDGMLMRNVVSWNIMVNGYVKVKRPEQALEVVRWMAEVGVRGTATTMVGAATACARLGWLWAGREVHCAFLRRFEDDNLLVWTTLVDMYAKCRRVLAARKVFDRLSMRNLVCWNAMIIGHCVYGEPYDGIKLFHEMIGPGNVQPDGITFIGVLCACARLGLLDDGKAYFEQMRTMYNLKPTFAHYWCMANLYGKVGLPEEAEGLLRSVPEDLKSRALGGLLGLCRFRGEWATGERIALRLIELEPSNNAHYALLCSVYAAAGRWEDTHRVKAIMKARDERFSPGHRLSNLNEIVHEYRVRERQPGSQEMYAVLDELASRLRIDCRWNEQSESGIE >PAN19662 pep chromosome:PHallii_v3.1:3:19596390:19598143:-1 gene:PAHAL_3G288700 transcript:PAN19662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHCFENPPALDPACGGGEVVDDFGGQKAYVAGSAEAKAAVVLVSDAFGFEAPKLREIADKVALDGYFVVVPDFLHGDPYEPNNPNPLQWLQSHDPEKAFEEAKPVIAALKEKGMSTIGAAGYCWGAKVVAELAKAPEIQAAVMSHPSLVTVDDIKEVRCPISVLGAEIDQFSPPELVKQFEQVLSANSAVAHFVKIFPGVTHGWAVRYSDDDEAAVKSAEEAFADMTGWFDKHLK >PAN18889 pep chromosome:PHallii_v3.1:3:15156765:15160724:1 gene:PAHAL_3G233400 transcript:PAN18889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSRCFILNSTRNFHTSEMYRASNFIPDPNQELLDAKPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPTGFGAGNLPAFGSFATFSATANGVSYAGTSANGPIDATPISAYKTRSSMSVDGDDEPYLGNQTFSSERKARRGRPPGSGASGADGSNGKFKRPKPTYKNFIAGKELAFLPSTSDQREIVESVHMTFEALRRRHLQMDETQDASRRADLKAGAIMMASNIRANSGKRVGTVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMTTKFGNDEDSVAICIVSAGGYENEDDDTDVLVYSGQGGNSRNTEERHDQKLERGNLALERSLHRKNEIRVVRGFKDPFCLTGKIYVYDGLYKIHESWKERTKSGINCFKYKLLREPGQRDGAAIWKMTQKWISNPATRGNVLLADLSSKAEMLPVCLVNEVDHDKGPGHFTYTNQVKYLRPLSSMKKLQGCGCQSVCLPGDPSCACGQHNGGDLPYSSSGLLACRKPIIYECGDSCNCSTNCRNRVTQKGARLHFEVFRTTNRGWGLRCWDPVRAGAFICEYAGEVIDELKVNLNDSEDDYTFQTVCPGDKTLKWNCGPELIGEDSTYVTADEFEPLPIKINAKNMGNVSRFMNHSCSPNVFWQPVQYDHGDDRHPHIMFFALKHIPPMTELTYDYGVAGAESSGSGSRRTKNCMCGSPNCRGLF >PAN18888 pep chromosome:PHallii_v3.1:3:15155045:15160724:1 gene:PAHAL_3G233400 transcript:PAN18888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRASNFIPDPNQELLDAKPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPTGFGAGNLPAFGSFATFSATANGVSYAGTSANGPIDATPISAYKTRSSMSVDGDDEPYLGNQTFSSERKARRGRPPGSGASGADGSNGKFKRPKPTYKNFIAGKELAFLPSTSDQREIVESVHMTFEALRRRHLQMDETQDASRRADLKAGAIMMASNIRANSGKRVGTVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMTTKFGNDEDSVAICIVSAGGYENEDDDTDVLVYSGQGGNSRNTEERHDQKLERGNLALERSLHRKNEIRVVRGFKDPFCLTGKIYVYDGLYKIHESWKERTKSGINCFKYKLLREPGQRDGAAIWKMTQKWISNPATRGNVLLADLSSKAEMLPVCLVNEVDHDKGPGHFTYTNQVKYLRPLSSMKKLQGCGCQSVCLPGDPSCACGQHNGGDLPYSSSGLLACRKPIIYECGDSCNCSTNCRNRVTQKGARLHFEVFRTTNRGWGLRCWDPVRAGAFICEYAGEVIDELKVNLNDSEDDYTFQTVCPGDKTLKWNCGPELIGEDSTYVTADEFEPLPIKINAKNMGNVSRFMNHSCSPNVFWQPVQYDHGDDRHPHIMFFALKHIPPMTELTYDYGVAGAESSGSGSRRTKNCMCGSPNCRGLF >PAN21715 pep chromosome:PHallii_v3.1:3:61693972:61696702:1 gene:PAHAL_3G484600 transcript:PAN21715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGGPCLLAVALAAAWISAAAASSSPEPAGRGQKSINCMPCSRTYIGDEYLYTFTDQLAPHRGLAEMSDSGELCKGLTDAVEVPTLSELHRQLVGEGSHRRLVYSVKFGACQDAMVNFLDGYDAHLVIIEKLPNGVFADPFELQHFVEHKVFLDVAVFGDTNLELPSALSNRSTVEIHFDLRPSTLTNCNIVIDLPLHARYPPLDASEHATVEFGSPDLLLRYRKKEIRSESCLWALKNLEAAPVEKAAWRVPCGDEAHIGFVSSITFLSALVCSMSIVLAALVF >PVH63300 pep chromosome:PHallii_v3.1:3:64351779:64352249:1 gene:PAHAL_3G516500 transcript:PVH63300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDRRRVHGQQRAAAVAALLALAALLLVLCGLTLVASIAGLAVAAPLLLLFSPVLAPATLLAWLLATGAAASAALALGALSILSRLLRRMAASLPDDHDYVEEGKRRVGEVAAVAGERTPHAALAVVSRGQGATDHKKSKNYEHYVAGRMVQNA >PAN16820 pep chromosome:PHallii_v3.1:3:5742925:5744472:1 gene:PAHAL_3G089000 transcript:PAN16820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSARLMSKLSLAAVLLLCGGAWLLAEARPHGGDIDSDFYSIVGYAPEDIGSHDRLIKLFEEWVAKYRKAYASFEEKLRRFEVFKDNLKHIDEINKKVSSYWLGLNEFADLTHDEFKATYLGLNRQPRNSAGGGSFRYEGVGARDVPKEVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCSTDGNNGCNGGIMDYAFSYIAGSGGLHTEEAYPYLMEEGDCDQKAGDEQVVTISGFEDVPANDEQALVKALAHQPVSVAIEASGRHFQFYKGGVFDGPCGAELDHGVAAVGYGTSKGQDYIIVKNSWGPHWGEKGYIRMKRGTGKPEGLCGINKMASYPTKDQ >PAN19255 pep chromosome:PHallii_v3.1:3:17126769:17130248:1 gene:PAHAL_3G259100 transcript:PAN19255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g27610 [Source:Projected from Arabidopsis thaliana (AT2G27610) UniProtKB/Swiss-Prot;Acc:Q9ZUW3] MKPRARLPFLRGSFAPKQSTKPLATSACSSLPRDDSNVRDAPDARKAFDEISSQDAATGSGRALFDYARRGLARQALDHFVDVHRRHGGRVGAATLLCVLKVCGSVPDRALGEQLHGLCVRCGHDRGDVSVGTSIVDMYMKCRCVEDGRKAFEGMPERNVITWTSLLNGYMQAGAHSDVMALFFRMRAEEVWPNLFTFASVFSVVASQGAVDLARCVHAQSIKFGCCSTVFIRNSLMNMYAKCGMVEEAKAVFCGMEIRDMVSWNTLMAGLLLNRRELEALQLFLDLRSSIAKLTQPTYSTVIKLCANLKQLGLAQQLHSSVLKCGFHSYDNVMTALMDAYSKCGELDNSLNIFLSMPGSQNVVSWTAMINGCIQNGDIPLAAVHFSRMREDGVAPNEFTYSTMLTASVASLPPQIHAQVIKTNYQSSPTVGTALLHSYSKLCSTEEALSIFEMIDQKDVVAWSAMLTCYARAGDCDGATNVFIKMSMHGVKPNEFTISSVIDACASPTAGVDLGRQFHAVSIKHRCQDAICVSSALISMYARKGSIESAQSVFERQTDRDLVSWNSMISGYAQHGYSQNALDIFRQMEVEGIEMDGVTFLAVIMGCTHAGLVEEGRQHFDSMIRDYGITPAMDHYTCMVDLYSRAGKLDETMNLIGGMPFPAGPMVWRTLLGACRVHKNVELGKLAAEKLLSFESLDSATYVLLSNIYSAAGKWKEKDEVRKLMDTRKVKKEAGCSWIQIKNKVHSFIASDKSHPLSEQIYAKLKAITARLKKEGYCPDTSFVLHEMAEEKKEAMLAMHSERLALSFGLIATPPGTPLQIVKNLRVCGDCHTVMKMISVIEDRKIVMRDCSRFHHFSSGICSCGDFW >PVH62235 pep chromosome:PHallii_v3.1:3:15777125:15778809:-1 gene:PAHAL_3G243200 transcript:PVH62235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPTPPPLLPVTNPAPGSSPATAGGSDAPIAQPAFRLFLSRVSDSARRSLSDRRPWGELLDRSAFSKPESVSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLFILLGLLAAWCFLYLFRASDQPVVLFGRAFSDRETLLGLVGASFVLLFFTSVASLIISGLLVGGALVAAHGAFRMPEDLFLDEPNAAAGNSAAQGLLSFLGAPGSGV >PAN19599 pep chromosome:PHallii_v3.1:3:19246915:19250488:1 gene:PAHAL_3G284900 transcript:PAN19599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSVLLLAALLAAAQYVLRLLHSFLWVPLRLERRLRRQGIRWPPRSLLSGNAADYRALLAAARSKPLASFRHDGVVARATPQYSVWPARYGRPFVYWFGPRPRLVISDPELVKAAMTDSTGAFDKSGAGRNNPLARQLIGEGLVGLTGETWERHRRVVAPAFNMERVKAWIPEIAATASSVLDKWEAEGESRTEFEIDVHKGFHTLSADVISCVAFGSSYEEGKRIFQLQQEQMELALLAMRTVYIPGFRFVPTKKNRTRWSLNTDIECSLRKLIEINGRKCEDSKNLLGLMLSASKAGSEFKMGIDEIIHECKTFYFAGKETTANLLTWATLLLALHQEWQDKARNEVLSVCGKHEHPNAENLSNLKIVTMVLKETLRLYPPALFINRTATRDIKLGELDIPAGTRLDFPIIDIHHDRDVWGTDAEEFNPLRFADGKSYHLGAYLPFGIGPTICVGQNLALVEAKVALAMTLQRFAFTVSPFYVHAPMLAFTLQPQYGAQVLVQKI >PVH62807 pep chromosome:PHallii_v3.1:3:52187703:52188827:-1 gene:PAHAL_3G406300 transcript:PVH62807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQEIARESEERRAASKSPIPESPPSQAKLRLPSCCCSLHQPAKQSKLPPPTCCRCCRAEPSKPRHRQESPAAAGASISASGLDLRVPSMADQEAAWPPWTSLLLRALSRRRTWVALFLAVYAALLCSSWSLLASVRAWYYDSASASSSSASASPPPPAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLVTWITVLVLLAFAGRPRRSLVAEGRRATRDIAGLALRVLLREGNAVAALCAAASFAALLLGRRDDGGGS >PVH62097 pep chromosome:PHallii_v3.1:3:13351233:13352960:1 gene:PAHAL_3G205300 transcript:PVH62097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCVGALDVAAGLGVPAYLRSSTPPASAASPSTYTCRTCRPRWAPASGTSAPRRAAPEQQHRHAADDQLTSRRRTLKRAPQAPAPASEFPTVENFCSTTPSTARIGPGLLRRGEDEFAVADLKVLIPGDNDSAPVEAELSRYRSNAGGSQQWEAKRLLIRRREDTRHRRLRRLPVLDRLLQGHPLLRRARRGPRAPVRRAAGGAARGHNPHHPEFGRSHPFVTRSVCVTDGGGAMRFVNVARADGEIASRRRPGSGFAITAWSLVTPLNSERLGWAMDGAIESDRLWMHDSYAKLRLPLLSPEFPFVSLREPDVVYAVLTERHCADGKT >PVH63013 pep chromosome:PHallii_v3.1:3:58950180:58952351:-1 gene:PAHAL_3G454000 transcript:PVH63013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAACRRCRSSIRVALVALAAAVLLGAAAALSDAEASDIARRQILSLHERNGELPDDYEFDIHVDVTFANERLRRAYTALQAWRHTIYSDPKNFTGGWVGADVCSYFGVTCAQALDDPKIAVVAGVDLNGGDIAGYLPAELGLMSDLAFFHINSNRFCGIIPKSFNRLALLHELDVSNNRFVGAFPDVVLQIPVLKYLDLRFNDFDGALPPQLFEKDLDAIFVNSNRFVGSIPENFGNSTATVVVLANNAFIGCIPRSVGRMADTLDELVLLNNRLDGCIPPELADLGNTTVVDVSGNALVGTLPEGLVNMTMLEQLDVSRNQLAGAVLERVCKLPALANFSFAHNFFSVEPAACVPSEDKPVALDDSGNCLGSGRPEQKPSPVCAPVLAHPVDCRTNVCSAGPNPPRPTYTPSPKRQTPSPPKTTPVPKPETRPPPMKSLPPPPPPPVKSPPPPAPLATPTSEISSTAASGEFATTTRKVASTTTNQISSTSSTCELASTTSEITTSSSSSGELATTTCKITSPTGSSALTTPTRKITFPTCSHELTAPTYKIPSTTSSGKLSTTTAGTITTTAGTSEISTSTNQITNPTGSGKLPTTTTSSFPTSTNSRELTTSPGKISTSTGTDHLSTPAGKIFTAASLVVPPPPPPAPSPPPPSPDVILPPIMSQKYASPPPPQFQGY >PAN17824 pep chromosome:PHallii_v3.1:3:10122935:10124459:1 gene:PAHAL_3G157500 transcript:PAN17824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSGSYEKYITTAASVAATAMVVRSVVSELVPYEVRDLLYAAGRYLRSRVSSRHTVVIDEAEGLSANQIYDAARTYLAARISTDMPRLRVSRVDEAQGILVGMEQGEEMVDVHDGVEYTWSLVSRDNTPGAASRAAGTTGAYAGAGAKARGRLEIKSFEVSFHKKHKDKALKSYLPHIVATAKAMKDQHRSLKMHMIEYDAWTAVDLRHPSTFDTLAMDKKLKQSVMDDLGRFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVKCNSDLRRLLVGMSNRSILVVEDIDCSIELQQREDGEKRARSSSAGEENDDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTAESFRILARNYHSVENHAMCPEIEQLIEEVMVSPAEVAEVLMRNENSDVVLQDLLEFLKAKRKEVGERKAANENGNE >PAN17514 pep chromosome:PHallii_v3.1:3:8821130:8825104:1 gene:PAHAL_3G137200 transcript:PAN17514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSSQFDDPAAVRRPPQHERKPPYMLLLPLVYAPVLPLIRIGLRNNPVLRDRLFYGVLAGAFAHGAYLISELYDVESK >PAN19092 pep chromosome:PHallii_v3.1:3:16114454:16121689:1 gene:PAHAL_3G248700 transcript:PAN19092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMHLDNSGQAYFMRELVPGSEDSGTGSEEEASNEPEPPARSKSDGDLYIGPSDRLGSQELNVEHQEKQTRDEFESFDGYDRLEEAEDLPNQADGENSEVVLVSVDGHVLTAPISSTDEGMEDVQLSDPQFHLGPGQSSSGDFSRSGEVWDAGILDDLYISQEKVKFDSEHQSKVLTENGEVPIEKDESHHISVDEDEKLHVSVNEDEVLNVSVSEYEVHAVSRHEGESQVVSTNKDEVQDVSRSGNNGEVYQPVTCEGESCGVSGDINAGYQLLTSEDESPGVSGDNDVSNEDEVQDVSRSGNNDEVYQPVTSEGESCGVSGDINVGYQPLTSEDESPAVSGDNDLGYQPSTNAHKAHDTPENNDEDHQPLTNEDESSDAPVLERAKDPPANKDEVCDLNNEDTDLEDTGANFAKNDTFQSCLDLTSQVDDGDSGNELFSPGSDYQRDSELSLGNCSVAETDLEEGETKTAYSDQDGRLQEGVNVSIFTLGVDRTRNKRSSSHYGKGSDLSSEGGSHDKELASETEAAGSDGLQLSMATSDKDKLGSIPEHSEEEEQSKEEHSQSQKGLGVEISLCGNMLRPGMGRESAEEVFQQHLVREEDFKSSGSTIIKNANLVVKVDNKYFPWSKVSHVILGKAVFGSNFTIEPSDAIWVERQETPNSREDSLRMSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSETVLSPMDEQALENNKSQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFCTRVLGKQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >PAN19090 pep chromosome:PHallii_v3.1:3:16114454:16121689:1 gene:PAHAL_3G248700 transcript:PAN19090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMHLDNSGQAYFMRELVPGSEDSGTGSEEEASNEPEPPARSKSDGDLYIGPSDRLGSQELNVEHQEKQTRDEFESFDGYDRLEEAEDLPNQADGENSEVVLVSVDGHVLTAPISSTDEGMEDVQLSDPQFHLGPGQSSSGDFSRSGEVWDAGILDDLYISQEKVKFDSEHQSKVLTENGEVPIEKDESHHISVDEDEKLHVSVNEDEVLNVSVSEYEVHAVSRHEGESQVVSTNKDEVQDVSRSGNNGEVYQPVTCEGESCGVSGDINAGYQLLTSEDESPGVSGDNDVSNEDEVQDVSRSGNNDEVYQPVTSEGESCGVSGDINVGYQPLTSEDESPAVSGDNDLGYQPSTNAHKAHDTPENNDEDHQPLTNEDESSDAPVLERAKDPPANKDEVCDLNNEDTDLEDTGANFAKNDTFQSCLDLTSQVDDGDSGNELFSPGSDYQRDSELSLGNCSVAETDLEEGETKTAYSDQDGRLQEGVNVSIFTLGVDRTRNKRSSSHYGKGSDLSSEGGSHDKELASETEAAGSDGLQLSMATSDKDKLGSIPEHSEEEEQSKEEHSQSQKGLGVEISLCGNMLRPGMGRESAEEVFQQHLVREEDFKSSGSTIIKNANLVVKVDNKYFPWSKVSHVILGKAVFGSNFTIEPSDAIWVERQETPNSREDSLRMSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSETVLSPMDEQALENNKSQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFCTRVLGKQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >PVH62251 pep chromosome:PHallii_v3.1:3:16115122:16121689:1 gene:PAHAL_3G248700 transcript:PVH62251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMHLDNSGQAYFMRELVPGSEDSGTGSEEEASNEPEPPARSKSDGDLYIGPSDRLGSQELNVEHQEKQTRDEFESFDGYDRLEEAEDLPNQADGENSEVVLVSVDGHVLTAPISSTDEGMEDVQLSDPQFHLGPGQSSSGDFSRSGEVWDAGILDDLYISQEKVKFDSEHQSKVLTENGEVPIEKDESHHISVDEDEKLHVSVNEDEVLNVSVSEYEVHAVSRHEGESQVVSTNKDEVQDVSRSGNNGEVYQPVTCEGESCGVSGDINAGYQLLTSEDESPGVSGDNDVSNEDEVQDVSRSGNNDEVYQPVTSEGESCGVSGDINVGYQPLTSEDESPAVSGDNDLGYQPSTNAHKAHDTPENNDEDHQPLTNEDESSDAPVLERAKDPPANKDEVCDLNNEDTDLEDTGANFAKNDTFQSCLDLTSQVDDGDSGNELFSPGSDYQRDSELSLGNCSVAETDLEEGETKTAYSDQDGRLQEGVNVSIFTLGVDRTRNKRSSSHYGKGSDLSSEGGSHDKELASETEAAGSDGLQLSMATSDKDKLGSIPEHSEEEEQSKEEHSQSQKGLGVEISLCGNMLRPGMGRESAEEVFQQHLVREEDFKSSGSTIIKNANLVVKVDNKYFPWSKVSHVILGKAVFGSNFTIEPSDAIWVERQETPNSREDSLRMSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSETVLSPMDEQALENNKSQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFCTRVLGKQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >PAN19091 pep chromosome:PHallii_v3.1:3:16114454:16121689:1 gene:PAHAL_3G248700 transcript:PAN19091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMHLDNSGQAYFMRELVPGSEDSGTGSEEEASNEPEPPARSKSDGDLYIGPSDRLGSQELNVEHQEKQTRDEFESFDGYDRLEEAEDLPNQADGENSEVVLVSVDGHVLTAPISSTDEGMEDVQLSDPQFHLGPGQSSSGDFSRSGEVWDAGILDDLYISQEKVKFDSEHQSKVLTENGEVPIEKDESHHISVDEDEKLHVSVNEDEVLNVSVSEYEVHAVSRHEGESQVVSTNKDEVQDVSRSGNNGEVYQPVTCEGESCGVSGDINAGYQLLTSEDESPGVSGDNDVSNEDEVQDVSRSGNNDEVYQPVTSEGESCGVSGDINVGYQPLTSEDESPAVSGDNDLGYQPSTNAHKAHDTPENNDEDHQPLTNEDESSDAPVLERAKDPPANKDEVCDLNNEDTDLEDTGANFAKNDTFQSCLDLTSQVDDGDSGNELFSPGSDYQRDSELSLGNCSVAETDLEEGETKTAYSDQDGRLQEGVNVSIFTLGVDRTRNKRSSSHYGKGSDLSSEGGSHDKELASETEAAGSDGLQLSMATSDKDKLGSIPEHSEEEEQSKEEHSQSQKGLGVEISLCGNMLRPGMGRESAEEVFQQHLVREEDFKSSGSTIIKNANLVVKVDNKYFPWSKVSHVILGKAVFGSNFTIEPSDAIWVERQETPNSREDSLRMSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSETVLSPMDEQALENNKSQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFCTRVLGKQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >PAN19775 pep chromosome:PHallii_v3.1:3:20445178:20447501:-1 gene:PAHAL_3G298700 transcript:PAN19775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGAAKAKQGGEEAAQKTKKKNEKKAHKSDDADALIEFMKQHYDDKVKGVTSFDEFYHAIYELIEMFCESRGQLQYRIPTKEELKKQYENAHPWTSGTANLTQEQFEKIAMGIVNMKSFTIGKAAMDIAAFLFGLPVCALLAKRIVPGLKAISDDIVIPAATSVAVVCLAKSNKL >PAN17046 pep chromosome:PHallii_v3.1:3:6617573:6621245:1 gene:PAHAL_3G103700 transcript:PAN17046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLPSLLLLVLIAAAPSPAPASAATLAVSGGGASPVVCGVAKENRTLVCAPVSGGAASSNASAVAPFLTFTEVSAGRGFVCGIQEGGAALFCWPPVAAPRWDQLRRVYNGPGALRDLAVGADHVAAYDAAARRVRWWRDGARFPPPVDGSFRSLVSGDGFSCALEANASAAVRCWGPRGSAVQAAFANATSVRYLAAGGARACGVLASGAVVCSGSDSANASAALPGELFPYGLAVGDSHACGLLRPNNTAVCWSLGGPTTTLYFPAAGTGTQFQFLVAGGNLTCGVVIIDFSVVCWSLDSVASHVPLPRILPGVCVRDESSCAGCGFMPQSQQFCGGFGGICKSLCGDSPAPPPRTPVSPPPSSPPPPGSSSKRVSKAWIAFCVVGAVGGFAGLCSIVYCLVFGFCSNKRVHNSVQPNITTTAGAVADNNNNNNGGAAGSPYGSPNGSRARGLFRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLEAATKGFALETKIGEGSFGTVYRGKLPDGREVAIKRGESGPRARRFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENEERLLVYEYMKNGALYDHLHPKPGAPPSPVASSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDGGWTARVSDFGLSLMGPPEAEEQPGSQSQSQRHLTVKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLESLTGRRAIFKEAEGGSPVSVVDYAVPSIVAGELPKVLDPRAPEPAAHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFALCEGSAGGGFGNSSSSASLSVTSMDRSGALV >PAN20981 pep chromosome:PHallii_v3.1:3:56050917:56052278:-1 gene:PAHAL_3G427500 transcript:PAN20981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTLLATACLLVLLLLTLGGALVEARRPWPRWPHREVAPSYGLSTTADAPESSSVDGYATTFDVGSFGAAGDGKTDDTAAFQNAWAQACSSAQPAVVLVPAGRSYLLKETSLSGPCKSRVTFRLDGTLVAPEDKSGWGKQGSLPRWIIFRGVDGLTVIGEGAMDGRGEASWKNSCRTNHAMPCTIAPSALTFASCDRLVVEGISLLNAPQIHLWVELCRDVTLSRLTIASPGDSPENDGIHVARSDGVRILGARIEAGDDCLSVVTGTTNLYATRIECGPGHGISIGSLGRGNTRAEVSNVTVDGAHVSGTMFGARIKTWQGGSGFVRGVKFLNMAMDRVKNPILIDQNYCTTPHPSNTTACDHKQSQSSAVQVSDVVFSNIRGTTVARDAIRLHCSQAFPCRDIVLMDIDLKTRGNGEKNAATSTCDNALLGVSSSVSPTPCSSVATNKD >PAN21738 pep chromosome:PHallii_v3.1:3:61741425:61745087:-1 gene:PAHAL_3G485600 transcript:PAN21738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAVGAFLKSVMGRLFLLLEKEYSKHRGLAQEAASIQHDLRMIAAAMDDQLGALGRPERTAVARLYSAEILDLAHDIEDCVDRFTHRLRCKHRGGGGGGAASSFVHRVAHELKKVQSRSSYADEIHKLKRRLKEARQRVVDSAPVGRPGGLPSSATLDASKPCPAVTRNPAGMEKPMEEMLSLLREVDGEPRQLRVISIVGLGGSGKTTLARAAYDSPHAKETFPCRAFSAAGTLPEISQRIKAILLDILQQIVPKDSMDLDADTNNLEALLKEYLSDKRYLIVIDGVQMDEWRAVNSAFVDSSTGSRIILTTTIQSVANVCSHGNGYVYQMDTLGEEDSKKVAFPGIRSPELEHGSAALLGKCDGLPLALVSVSDYLKSSVEPTGELCAKLCRNLGSHLKENHGHDSFSDLRKVLLDNYDSLAGYALSCFLYLGIFPRNRPLKRKVVTRRWLAEGYCRSESLRGEQDIADENFNKLVDWNMIRPIDTSNNSQVKTCKTHGIMHEFVLHKSLSHRFIMTLSPDHPRAGANANNARHLSVHDGKLIECEASDEDSSRVRSLTVFGDAGGAISFVRRCKLIRVLDLEECSDLKDHDLKHIWKLWHLKYLSLGGSIRELPRCIDGLHCLETLDLRRTKIQSLPVEAIQLPHLTHLFGNKLMLDKDDLKNVNKMSKLEKFLSGKKSNLQTLAGFVADDSKGFVCSKKKDSKGFLQLIMDMDKLRKLKIWCKRVANRDSYMSDLSKVIQKLTKVPIDRHNDCSLSLDCKETYENFLSQLNLEPCSEGSKYDIRSLKLQGSSISAGKFGQIALPQTGCRPA >PAN21692 pep chromosome:PHallii_v3.1:3:61741677:61744878:-1 gene:PAHAL_3G485600 transcript:PAN21692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAVGAFLKSVMGRLFLLLEKEYSKHRGLAQEAASIQHDLRMIAAAMDDQLGALGRPERTAVARLYSAEILDLAHDIEDCVDRFTHRLRCKHRGGGGGGAASSFVHRVAHELKKVQSRSSYADEIHKLKRRLKEARQRVVDSAPVGRPGGLPSSATLDASKPCPAVTRNPAGMEKPMEEMLSLLREVDGEPRQLRVISIVGLGGSGKTTLARAAYDSPHAKETFPCRAFSAAGTLPEISQRIKAILLDILQQIVPKDSMDLDADTNNLEALLKEYLSDKRYLIVIDGVQMDEWRAVNSAFVDSSTGSRIILTTTIQSVANVCSHGNGYVYQMDTLGEEDSKKVAFPGIRSPELEHGSAALLGKCDGLPLALVSVSDYLKSSVEPTGELCAKLCRNLGSHLKENHGHDSFSDLRKVLLDNYDSLAGYALSCFLYLGIFPRNRPLKRKVVTRRWLAEGYCRSESLRGEQDIADENFNKLVDWNMIRPIDTSNNSQVKTCKTHGIMHEFVLHKSLSHRFIMTLSPDHPRAGANANNARHLSVHDGKLIECEASDEDSSRVRSLTVFGDAGGAISFVRRCKLIRVLDLEECSDLKDHDLKHIWKLWHLKYLSLGGSIRELPRCIDGLHCLETLDLRRTKIQSLPVEAIQLPHLTHLFGNKLMLDKDDLKNVNKMSKLEKFLSGKKSNLQTLAGFVADDSKGFVCSKKKDSKGFLQLIMDMDKLRKLKIWCKRVANRDSYMSDLSKVIQKLTKVPIDRHNDCSLSLDCKETYENFLSQLNLEPCSEGSKYDIRSLKLQATLTQGLLSALANLGKLLYLKLVADLLEGFEIKHGAFPSLRRLCFHVQSLALAPPTIEEGALPNLVSLQLLCRDLVVGLSGMDIRHFKHLKEVKIDAEASAEEIQRWEHAAQKHPNRPRAVLVKTDNQIESEEPGLGPCAMREKRKRCQAEPCLDDALDSSLKKMRLSESSSWSQAIVHQVMGADTMSSSSIAVPLHADESGGWMQQHSRP >PAN21737 pep chromosome:PHallii_v3.1:3:61741677:61744878:-1 gene:PAHAL_3G485600 transcript:PAN21737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAVGAFLKSVMGRLFLLLEKEYSKHRGLAQEAASIQHDLRMIAAAMDDQLGALGRPERTAVARLYSAEILDLAHDIEDCVDRFTHRLRCKHRGGGGGGAASSFVHRVAHELKKVQSRSSYADEIHKLKRRLKEARQRVVDSAPVGRPGGLPSSATLDASKPCPAVTRNPAGMEKPMEEMLSLLREVDGEPRQLRVISIVGLGGSGKTTLARAAYDSPHAKETFPCRAFSAAGTLPEISQRIKAILLDILQQIVPKDSMDLDADTNNLEALLKEYLSDKRYLIVIDGVQMDEWRAVNSAFVDSSTGSRIILTTTIQSVANVCSHGNGYVYQMDTLGEEDSKKVAFPGIRSPELEHGSAALLGKCDGLPLALVSVSDYLKSSVEPTGELCAKLCRNLGSHLKENHGHDSFSDLRKVLLDNYDSLAGYALSCFLYLGIFPRNRPLKRKVVTRRWLAEGYCRSESLRGEQDIADENFNKLVDWNMIRPIDTSNNSQVKTCKTHGIMHEFVLHKSLSHRFIMTLSPDHPRAGANANNARHLSVHDGKLIECEASDEDSSRVRSLTVFGDAGGAISFVRRCKLIRVLDLEECSDLKDHDLKHIWKLWHLKYLSLGGSIRELPRCIDGLHCLETLDLRRTKIQSLPVEAIQLPHLTHLFGNKLMLDKDDLKNVNKMSKLEKFLSGKKSNLQTLAGFVADDSKGFVCSKKKDSKGFLQLIMDMDKLRKLKIWCKRVANRDSYMSDLSKVIQKLTKVPIDRHNDCSLSLDCKETYENFLSQLNLEPCSEGSKYDIRSLKLQGKLLKLPPFVTLLSGLTDLCISSATLTQGLLSALANLGKLLYLKLVADLLEGFEIKHGAFPSLRRLCFHVQSLALAPPTIEEGALPNLVSLQLLCRDLVVGLSGMDIRHFKHLKEVKIDAEASAEEIQRWEHAAQKHPNRPRAVLVKTDNQIESEEPGLGPCAMREKRKRCQAEPCLDDALDSSLKKMRLSESSSWSQAIVHQVMGADTMSSSSIAVPLHADESGGWMQQHSRP >PAN21944 pep chromosome:PHallii_v3.1:3:63126268:63130252:1 gene:PAHAL_3G501300 transcript:PAN21944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSKRHGLFGIVSSRYMLITSCLIYAVHVLPMCIAHPSDEQALLAFKAGISGDPNGVLAAWTPSNGRLNATDSMCRWSGVSCRSQRHPGRVTALELMSSNLTGVISPSLSNLPFLHTLNLSSNRLSGSIPSELGLLRRLQVISLGGNFLTGEIPTSLTNCARLTHLELQRNGLHGEIPVNLSYCRELRVFNVSVNTLSGGIPPSFGSLSKLEFFGLHRSNLTGGIPPSLCNLSSLLVFDASENFNLGGSIPDMLVRLTKLNFLRLASAGLGGKIPTSLFNISSLRVLDLGNNDLSGVLPDDIGSTLRRIEFLSLYNCGLKGRIPPSIGNMTGLYLIQLHINRLQGSVPPDIGRLKDLKVLNLESNQLEDKWDRDWPLIQALGNCSRLFGLSLSSNRFQGVLPPSLLNLTIGIQQILMNGNRISGSMPQEIGRFSKLRVLALADNALTGTIPDTIGGLCNMTGLDVSGNNLSGEIPPMLVANLTQLYFLDLSQNKLHGSIPESLGTMRNIAILDLSYNQFSGMIPKQVLSLSSLTLFLNLSRNIFSGPIPSEVGRLTSLGILDLSNNRLSGEIPQALSQCQAMEHLFLQGNQLVGRIPQSLSSLKGLEYLDMSQNNLSGSVPDFLSTLQYLRYLNLSYNQFDGPVPTKGVFNDSRNFFAAGNRVCGGVSELQLPKCSGTDNSGKRLYKSRTVLILIITIGSFLALILITCTFVMYAKRLNQQLVQSNETSHVPKLIEQHWKLSYAELHRSTDGFSVANLIGVGSFGSVYRGTLGNEGQEVAIKVLNLLQHGAERSFLAECEALRSIRHRNLVKVITACSTMDHSGNDFKALVYEFMPNRDLDKWLRPFIGDSESSSRTLTMGERVSIALDVAEALDYLHHHGHVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVQGANSNSFQNTSSTAGIKGTIGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDPLFQGGQSIRSYVAAAYPGRVMEAADPTLVHHNENNMDDGSLEECMLSVFRVALRCTEQSPRARLITRDAIRELIAVRDEIREGSY >PAN18157 pep chromosome:PHallii_v3.1:3:11944393:11947534:1 gene:PAHAL_3G182300 transcript:PAN18157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MPICAPSSDSSASSASGSRAWIVHGLALGAAAAAAAAAAYLYRRPSGFRSRAVGIIPARFASSRFEGKPLAHILGKPMIQRTWERVMLASSLDHVVVATDDERIADCCRGFGADVIMTSESCRNGSERCCEALQKLGKRYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTSLKPEDASDTNRVKCVVDNQGYAIYFSRGLIPSNKSGKVNPNYPYLLHLGISGFDSKFLMLYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEELMRARNIQ >PVH63277 pep chromosome:PHallii_v3.1:3:63848412:63849420:-1 gene:PAHAL_3G510200 transcript:PVH63277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASACNGARRLSDLLREQQEPFLEAPQRRSPVDACGRRLRDLCALTKRRKVNVVSDDGGGTLLCGGYKPARKALPLRWGTSPAAFRRLPGAGDIGDRCDVASELDGGGDALDGGRQLSPASVLELHSDEESPVLSHWDEDDDDKPSTSASSPPPDHDLLPGAAAPCPTFFVTSGKIRAMEAEVEGNNKRLLRSDYSALEEMERATVSGWERIAADISRIPSLVALDVAESAREWSRVAGDDEARRVGQSIEAMIFEEVRWEAVRDMICQREF >PAN18832 pep chromosome:PHallii_v3.1:3:14914347:14916740:1 gene:PAHAL_3G228800 transcript:PAN18832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAAMGRKRAVLVGINYVGRPDELKGCLNDVARMRRCLVDRFGFDGADIRVLADADPSTPPPTGANIRLELERLVAGARAGDTLFFHYSGHGLQLPAETGEDDDTGYDECIVPCDGNLIKDQDFKELVAKVPDGCLFTIVSDSCHSGGLIDKAKEQIGNSNKHNRSQQTGDQQETRPPSRTSVVGIIHGVIESLSIHLLRRGSPQSGHGQSNGSAELDMKTEVTSHASVKSRSLPLSAFIEMLRENTGEDDVGVGTIRATLFRHFGDDASPKVRKFVEAMAAGKLRQDGKLLEGDDGGQVDTRSRALASGQEARSRSVKEVYAGTAASVPLPRNGVLISGCQTEQTSGDATTAEGVSYGLLSNAIQTILASNKHGAVTNRELVLKARELLSEQGVTTQQPGLYCSDEHASAPFIC >PAN18088 pep chromosome:PHallii_v3.1:3:11667967:11673285:-1 gene:PAHAL_3G177300 transcript:PAN18088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSNRGGGGTGEESGSDHDGGMRKPLLVVNTGSWYRMSSRQSSVAPGASSMAVLRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAMVRDLRLSISEFSAFGSLSNVGAMVGAIASGQMAEHIGRKGSLMIAAIPNIIGWLAISFAKDASFLYMGRLLEGFGVGIISYTVPVYIAEISPQNMRGALGSVNQLSVTLGILLAYLLGMYVPWRLLAVMGALPCTALIPGLFFIPESPRWLAKMNLMEDCETSLQVLRGFETDITAEVNDIKRAVTSASKRTTISFQELNQKKYRTPLILGIGLLVLQNLSGINGILFYASNIFKAAGVTNSDLATCSLGAIQVLATGVTTWLLDRAGRRILLIISTSGMTLCLLAVSVVSFLKDNISHDSNTYYILSMISLVALVALVIAFSFGMGAIPWLMMSEILPVSIKSLGGSFATLAAWLTSFAITMTANLMLTWSVGGTFLSYMIVSAFTLVFVVLWVPETKGRTLEEIQWSFR >PVH62549 pep chromosome:PHallii_v3.1:3:23600248:23603617:1 gene:PAHAL_3G320200 transcript:PVH62549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEPVAERPGAAEEEEDASAAAVGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGAVKLLKHKETGKVRLVMRQAKTLKICANHLVVSTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEIAESLAKDECKDNEDGSSTAGLLEKLTVSESKSEQGVKAEPTESGKDAETKSEATPSE >PAN17736 pep chromosome:PHallii_v3.1:3:9817796:9824678:-1 gene:PAHAL_3G152600 transcript:PAN17736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINDIGVSAAFNVLTAIAFLLAFAFLRLQPINDRVYFPKWYLRGMRDNPITSGAAVQKFVNLDARSYLKFLNWMPAALKMPQDELINHAGLDSVVYLRIYLTGLKIFVPITVLAFIVLVPVNWTNDTLESMTVVHSDIDKLSISNIPTGSKRFIAHLAMAYAITFWTCYVLRREYQIIADMRLRFLASEKRRPDQFTVLVRNIPQDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKMQNWLDYYRLKYERNPSKRPTTKTGFLGCFGSNVDAIDYYKSEIEKIGKEETEERKKVMKDPNSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLNFFYVIPIAFVQSLANLEGIEKALPFLKPLVELPMIKSFIQGFLPGIALKIFLIVLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSVITGSALEQLKTYLHKSANDIPRIVGVSIPMKATFFITYVMVDGWTGVAGEILRLKPLIFYHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLVYAAVTPFILPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIITALIVSQLLLLGLLSTKDFEESTPALIVLPVLTFWFYKYCKHRYEPAFVRNPLQEAMRKDTLERAREPNFDLRTYLANSYLHPVFKGGDHDDDRYSAVDEDGWMEEEVIVPTKRHSRRTTPAQSKYDGSDGLSSVPEKS >PAN21020 pep chromosome:PHallii_v3.1:3:56795810:56797921:1 gene:PAHAL_3G433000 transcript:PAN21020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF15, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G74120) UniProtKB/Swiss-Prot;Acc:Q9C6A1] MARAPQALRRPHHLLRLLPYPAPLSTSSSPPDPRELLRIDRILNRPPTAAVAACQPSQALQHPRAATSLHHLLHRAAGLTAAESASLLGRLPGAHAHPRLGRLLQELAGLRLPGAEIKAALASDPEGLLSMDPGEPSRLLELLGDLRCRKAVKEQVLARGALRAAVVARRRVELLHARGLTRHDALRVLAAEPRAMLYSPEDVERKVEFLVDTMGFEVGWLVQYPEFLGVNLDKWIIPRHNVVEHLKSVGGLGDPVEMKHYVRLSRRRFYNMFVKPYPECERIFGGLVREREEMVRQQHPTGLWKLFTPAKYERTQEEVTNMKLLVGSLR >PAN16498 pep chromosome:PHallii_v3.1:3:4109118:4112943:1 gene:PAHAL_3G064500 transcript:PAN16498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase A (EC 2.7.4.3) (ATP-AMP transphosphorylase) [Source: Projected from Oryza sativa (Os12g0236400)] MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAIDDAILEERITGRWIHPASGRTYHTKFAPPKAPGIDDVTGEPLIQRRDDTAEVLKSRLEAFHRQTEPVIDYYSKKGLVANLPAEKPPKEVTVEVQKALS >PAN17885 pep chromosome:PHallii_v3.1:3:10375250:10378510:-1 gene:PAHAL_3G161400 transcript:PAN17885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAARHGPAAGGSWSGVAGVGPTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTFELKVARLNQQIFTCQVYTDKEGLRQQQMMGTNVKHHKHYILPSTGHKRSPAHGHLPIDTDQESKPRPYPSAKTLSWHLASENSTTANAAHKPTFALVDILASKPASGKERSASPMRRPLQFNRSTSPDAMQKNQSGVKEFSTFHSFDNPKGRAIQKAPVGTKSMLAALFIKHKSAKMKKIAVR >PAN17886 pep chromosome:PHallii_v3.1:3:10375614:10377969:-1 gene:PAHAL_3G161400 transcript:PAN17886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAARHGPAAGGSWSGVAGVGPTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTFELKVARLNQQIFTCQVYTDKEGLRQQQMMGTNVKHHKHYILPSTGHKRSPAHGHLPIDTDQESKPRPYPSAKTLSWHLASENSTTANAAHKPTFALVDILASKPASGKERSASPMRRPLQFNRSTSPDAMQKVGTMNQSGVKEFSTFHSFDNPKGRAIQKAPVGTKSMLAALFIKHKSAKMKKIAVR >PAN17080 pep chromosome:PHallii_v3.1:3:6756371:6759341:1 gene:PAHAL_3G106000 transcript:PAN17080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDLLLAVVMCVTALIAAWWFHLLLKWSSGGHRRRRCELTLPPGSMGLPLLGESLEFFARIPSLQVVPFFKRRLERYGPVFKTNLIGKDMIVSLDPELNSYVLKQDNRAFQIWYPESLKRILGAVLEVTSSESLHKRMRTMVLRVFGPENLRLVLLRDVQGAARSNLSSWADRPSIELKEAVSSMIFSVTAKLLINYDASTSSAGELWKHYDAFTNGLMSFPVNIPGTAFYKCLEGRKNIMEALKHMLDERKKAAERRETMDFLDLVVDELKEKPVLDEDFCLDVLFGLLFASIHTTSTALTAALKFLTDNPKALQELAEENEHISKGRVGASSEITWEEYKSMKFTSNVIHESLRLANIAPMLFRKANQDVHMKGYTIPEGWTVMICPLETHLNPITYTDPNIFNPWRWKDISEPIGGSKDFLVFGLGLRYCLGADFAKLQIATFLHCLVTNYRWEVVSGGDMVLSPALSFPNGFHVKLIKKLEFVMRHYK >PVH62654 pep chromosome:PHallii_v3.1:3:36504733:36506277:-1 gene:PAHAL_3G359300 transcript:PVH62654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNYDILATNLINLTVVVGVLIFFGKGVCANLLDNRKQRILSTIRNSEELRRGTLEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANIGILGAIEWKR >PAN20741 pep chromosome:PHallii_v3.1:3:52608992:52614867:1 gene:PAHAL_3G408700 transcript:PAN20741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVCKEWPRSDAIEGSPESPWNQSHRSIIRSRSRSPERRWRDWVSEEPVAADRWGSGAVPIPQRDYHFTLQGNDIGEGILYRGAGWPYSHGVHSHEIRESSRARGYLDSSNGRRPKQRKTVPCKFYVQGQCHHGLNCRYIHDSALETEMGLRVPVAAPVHTNAHNWYPHHSAPQNQVELCTPVAVSTSAHVLSRCGRGHQNSQGIFRAIAKDEHNGLQLNYAQRSQNYVFPSEDIVSQQPQLLIPGRTSENGIYIDKGQNSHETNGIVGVQIGMQAANLATQKNLINQEQQIAWKNSGQIEQSQEAVHPIHGVPSHLHATHLPNATASWPWNSRMHQSNFLVHPKWPGEFVVPQAGVYVPALSLQGQSFSQKAYAIPVPDASSDNGHSFNVNGQIPRNLGAAVHAGGSKEISGIPKNGQDSDAQSMQNTKNFQPVGVNVQTQRQTLQEVSAVPYSRSVDRVGGSIYHNTAISEEDHNINPDLAPSIGNALLTASPIGKRFSQRNRDPRLVSKSSAAPPSVPPVQEEEAISVPSAAPPSVPPVQHEAAISVPSGKIHTSNIATRDDTTHPVVSLSVEVTEHAEARSNFKIALTNFVREQLRKTWRSGHLTKELYKVIVRKVVKKVIHNEENIPDSSEKVNQYLRDFRGIINKFIMDDFNHRNITFLE >PVH62820 pep chromosome:PHallii_v3.1:3:52608171:52614867:1 gene:PAHAL_3G408700 transcript:PVH62820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVCKEWPRSDAIEGSPESPWNQSHRSIIRSRSRSPERRWRDWVSEEPVAADRWGSGAVPIPQRDYHFTLQGNDIGEGILYRGAGWPYSHGVHSHEIRESSRARGYLDSSNGRRPKQRKTVPCKFYVQGQCHHGLNCRYIHDSALETEMGLRVPVAAPVHTNAHNWYPHHSAPQNQVELCTPVAVSTSAHVLSRCGRGHQNSQGIFRAIAKDEHNGLQLNYAQRSQNYVFPSEDIVSQQPQLLIPGRTSENGIYIDKGQNSHETNGIVGVQIGMQAANLATQKNLINQEQQIAWKNSGQIEQSQEAVHPIHGVPSHLHATHLPNATASWPWNSRMHQSNFLVHPKWPGEFVVPQAGVYVPALSLQGQSFSQKAYAIPVPDASSDNGHSFNVNGQIPRNLGAAVHAGGSKEISGIPKNGQDSDAQSMQNTKNFQPVGVNVQTQRQTLQEVSAVPYSRSVDRVGGSIYHNTAISEEDHNINPDLAPSIGNALLTASPIGKRFSQRNRDPRLVSKSSAAPPSVPPVQEEEAISVPSAAPPSVPPVQHEAAISVPSGKIHTSNIATRDDTTHPVVSLSVEVTEHAEARSNFKIALTNFVREQLRKTWRSGHLTKELYKVIVRKVVKKVIHNEENIPDSSEKVNQYLRDFRGIINKFIMDDFNHRNITFLE >PVH62822 pep chromosome:PHallii_v3.1:3:52608174:52614867:1 gene:PAHAL_3G408700 transcript:PVH62822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVCKEWPRSDAIEGSPESPWNQSHRSIIRSRSRSPERRWRDWVSEEPVAADRWGSGAVPIPQRDYHFTLQGNDIGEGILYRGAGWPYSHGVHSHEIRESSRARGYLDSSNGRRPKQRKTVPCKFYVQGQCHHGLNCRYIHDSALETEMGLRVPVAAPVHTNAHNWYPHHSAPQNQVELCTPVAVSTSAHVLSRCGRGHQNSQGIFRAIAKDEHNGLQLNYAQRSQNYVFPSEDIVSQQPQLLIPGRTSENGIYIDKGQNSHETNGIVGVQIGMQAANLATQKNLINQEQQIAWKNSGQIEQSQEAVHPIHGVPSHLHATHLPNATASWPWNSRMHQSNFLVHPKWPGEFVVPQAGVYVPALSLQGQSFSQKAYAIPVPDASSDNGHSFNVNGQIPRNLGAAVHAGGSKEISGIPKNGQDSDAQSMQNTKNFQPVGVNVQTQRQTLQEVSAVPYSRSVDRVGGSIYHNTAISEEDHNINPDLAPSIGNALLTASPIGKRFSQRNRDPRLVSKSSAAPPSVPPVQEEEAISVPSAAPPSVPPVQHEAAISVPSGKIHTSNIATRDDTTHPVVSLSVEVTEHAEARSNFKIALTNFVREQLRKTWRSGHLTKELYKVIVRKVVKKVIHNEENIPDSSEKVNQYLRDFRGIINKFIMDDFNHRNITFLE >PVH62821 pep chromosome:PHallii_v3.1:3:52606460:52614867:1 gene:PAHAL_3G408700 transcript:PVH62821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVCKEWPRSDAIEGSPESPWNQSHRSIIRSRSRSPERRWRDWVSEEPVAADRWGSGAVPIPQRDYHFTLQGNDIGEGILYRGAGWPYSHGVHSHEIRESSRARGYLDSSNGRRPKQRKTVPCKFYVQGQCHHGLNCRYIHDSALETEMGLRVPVAAPVHTNAHNWYPHHSAPQNQVELCTPVAVSTSAHVLSRCGRGHQNSQGIFRAIAKDEHNGLQLNYAQRSQNYVFPSEDIVSQQPQLLIPGRTSENGIYIDKGQNSHETNGIVGVQIGMQAANLATQKNLINQEQQIAWKNSGQIEQSQEAVHPIHGVPSHLHATHLPNATASWPWNSRMHQSNFLVHPKWPGEFVVPQAGVYVPALSLQGQSFSQKAYAIPVPDASSDNGHSFNVNGQIPRNLGAAVHAGGSKEISGIPKNGQDSDAQSMQNTKNFQPVGVNVQTQRQTLQEVSAVPYSRSVDRVGGSIYHNTAISEEDHNINPDLAPSIGNALLTASPIGKRFSQRNRDPRLVSKSSAAPPSVPPVQEEEAISVPSAAPPSVPPVQHEAAISVPSGKIHTSNIATRDDTTHPVVSLSVEVTEHAEARSNFKIALTNFVREQLRKTWRSGHLTKELYKVIVRKVVKKVIHNEENIPDSSEKVNQYLRDFRGIINKFIMDDFNHRNITFLE >PVH62819 pep chromosome:PHallii_v3.1:3:52606456:52614867:1 gene:PAHAL_3G408700 transcript:PVH62819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVCKEWPRSDAIEGSPESPWNQSHRSIIRSRSRSPERRWRDWVSEEPVAADRWGSGAVPIPQRDYHFTLQGNDIGEGILYRGAGWPYSHGVHSHEIRESSRARGYLDSSNGRRPKQRKTVPCKFYVQGQCHHGLNCRYIHDSALETEMGLRVPVAAPVHTNAHNWYPHHSAPQNQVELCTPVAVSTSAHVLSRCGRGHQNSQGIFRAIAKDEHNGLQLNYAQRSQNYVFPSEDIVSQQPQLLIPGRTSENGIYIDKGQNSHETNGIVGVQIGMQAANLATQKNLINQEQQIAWKNSGQIEQSQEAVHPIHGVPSHLHATHLPNATASWPWNSRMHQSNFLVHPKWPGEFVVPQAGVYVPALSLQGQSFSQKAYAIPVPDASSDNGHSFNVNGQIPRNLGAAVHAGGSKEISGIPKNGQDSDAQSMQNTKNFQPVGVNVQTQRQTLQEVSAVPYSRSVDRVGGSIYHNTAISEEDHNINPDLAPSIGNALLTASPIGKRFSQRNRDPRLVSKSSAAPPSVPPVQEEEAISVPSAAPPSVPPVQHEAAISVPSGKIHTSNIATRDDTTHPVVSLSVEVTEHAEARSNFKIALTNFVREQLRKTWRSGHLTKELYKVIVRKVVKKVIHNEENIPDSSEKVNQYLRDFRGIINKFIMDDFNHRNITFLE >PVH61873 pep chromosome:PHallii_v3.1:3:9421378:9422405:1 gene:PAHAL_3G145700 transcript:PVH61873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAFAQAGSQIAAAVDAIGHDGVQPVAAAVAAGQPGRQAMRWTSVMSSFVLRRFCLLISTGVRTDKGFKEVHLNQVARELKEFSGNEVTGQQVYNHLRKWRQRWTRVSKLRDLSGSLWDEDNFMITLEEEHYNGHVKAHPKDADLLNKPIENYQYMEVIFGTGCATGKFAMGSSEALGSPSDFAESSLKHLEDDIGKLFEEVGKKQEGGGGGSGSGSSAGNKRKRFSLSDEDITIMTSMAAAVNNVADAIRETKAQDEHPDLYEAVMFMPGFSEEALIVAYSHLLDNRAQGGAFVKMNESHRVLWLKTFLAKHYSL >PAN20695 pep chromosome:PHallii_v3.1:3:50168566:50176451:-1 gene:PAHAL_3G400900 transcript:PAN20695 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MDTAAASLFAPAAAAKPRHVLRPLHVPRGILPPRSHTRGPLAVRCAHSRAPPPPPPLPRADGPEAAAGSHPRLRLRRLAEEFRALPSDADRARRLLSLASALPRLPEPDRAPGNRVMGCVARVWLAARCGGGGRMRFAADSDSELSRGYCACLVAALDGATPEEVLAVDPADPGLAPLGAGMAAARSRASTWHNVLVAMQKRARAVIAAREGRHPGEPFPSLVIARDGAVRAHGSYAEAQAMFLSPDESKISDLVNILMEKKIGVVAHFYMDPEVQGMLTAAKKQWPHIHISDSLVMADSAVKMAEAGCDYITVLGVDFMSENVRAILDQAGFNKVGVYRMSSEQIGCSLADAASSSEYTDFLREASGSFPSLHVIYINTSLETKAHAHELVPTITCTSSNVVPTILQAFAQIPDLNVWYGPDSYMGANIADLFQRMATMSDEEIAKIHQDHNRKSISSLLPHLHYYQDGNCMVHDMFGHEVVEKIKEQYCDAFLTAHFEVPGEMFSLAMEAKPRGMGVVGSTQNILDFIKDHLKGALDRNVDEHLQFVLGTESGMITSIVAAVRELLDMYNSSQERANIEVEIVFPVSADAVSKTSINGSHHAGSSVAGLDNLTVVPGVSSGEGCSINGGCASCPYMKMNTLGSLLKICRQLPDKDNKLSLYQASRFNVKTPLGKSVAEVGCEPILHMRHFQATKRLSDKLVHQVVHGNGEIPS >PAN16672 pep chromosome:PHallii_v3.1:3:5007228:5010152:1 gene:PAHAL_3G076200 transcript:PAN16672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEPYDADGDVGRFLHCPSPEAHLFPLSEPEGTLGVLVQNAPTTEQVQGNPAPPTLPAPDLGVQGPANEVQGQPAPDPGLQLPATEIQGYGHPAPQTQNVAADFGLQAPTTIYPDPEHYEAPIIDDAEHYDEAPIIDAEHHQTPVMDHQVAGMDVEQYQAMAMDVQPTHMVAPEMQQGYGFITDPTPMQGDNGVDAEHNEAPMILAAAEHYQAPTINAEDHQVQAMDVEPQYQAMAMDDVQPATHVLAGPEPQQAYGAFPEPWLAGESMYPPPSPSLLEKLQELAAMLDDIEAGGSGGAGGGAEADAPDAALCPAEEDVAFRPIVRGQLDCSRCRTVREVVTLNGPSKVHFMVHSAHPGTFQHGIVDRMYMGADGNFRTYVLLHHDLRGRMHEWVMNFIAMSVEKMKRHGVPVLQDTCALSDGAGACSDDTDDNHAHMDVEVDMPDNLPSATADDQAPTPEAAHQPSIPEGALPAVTQAQENTDAPSVAEAATAPEAASAEAAHQPSVTQAQKHADAPSEAAADQPPASQAERNAGAGESFPPFNWEGFQPEILESSHVEPYDPASGVNVLMYPSMQEQLRQDELRKKEGKKLSKMAVTDTPDYLNMNDDHCANAPNFSSAPFKRLCEKDPTYRLYKRRISGLIRKIRKLEQSATKVGTGGLFKIKQKMESFKHEKEELYDMIKRAMQENERRNGNGAGPSNLVAGPSNGAAGPSSNVAGSSNNDAGPSDVAAAASNEDAGGPSNVAAGSSNTDAGPSSAAAAAPSNVAGPSGTE >PAN18356 pep chromosome:PHallii_v3.1:3:12854300:12858644:-1 gene:PAHAL_3G196200 transcript:PAN18356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLLDTGFVGLIFSCFSEDAQKAGKIQVIAFQSQGGQQRTALPLAMAPVIDLDSSWSSSDNAFASHSAFEGIEQDTGDSRASKNSKAWGRSPDMDFYSHPDTNHSAKHQPRENAIVPYNPANMQEASIDPYDSDMTPSIQEALHRSNMDISGAEYVRKEVPLIVLPTRHLLKLDTTMASYCDMQRVLFEEEQSAYNQAMQQNICDGKIHPLASIHHTSTYNSSLCKLMEYCLSPAITALQDRVKENELRLSMLQEEAKQLEAETQSMRNDSPRRFMNHGSVGSSSPMAQNRHPFSNQGNPRSPRSPSGGSRRRAC >PAN18409 pep chromosome:PHallii_v3.1:3:12854300:12859802:-1 gene:PAHAL_3G196200 transcript:PAN18409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSVKIGEEVWLTCLSHALTTETEEVMGLLLGDVESSSRGGATAVIWGASPQMRCERKKDRVEVNPELLAAASAQAEKMTATIKKTTRVIGWYHSHPHITVLPSHVDVRTQAMFQLLDTGFVGLIFSCFSEDAQKAGKIQVIAFQSQGGQQRTALPLAMAPVIDLDSSWSSSDNAFASHSAFEGIEQDTGDSRASKNSKAWGRSPDMDFYSHPDTNHSAKHQPRENAIVPYNPANMQEASIDPYDSDMTPSIQEALHRSNMDISGAEYVRKEVPLIVLPTRHLLKLDTTMASYCDMQRVLFEEEQSAYNQAMQQNICDGKIHPLASIHHTSTYNSSLCKLMEYCLSPAITALQDRVKENELRLSMLQEEAKQLEAETQSMRNDSPRRFMNHGSVGSSSPMAQNRHPFSNQGNPRSPRSPSGGSRRRAC >PVH62463 pep chromosome:PHallii_v3.1:3:20576554:20578721:1 gene:PAHAL_3G300100 transcript:PVH62463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEVQQLTRAFSGLGGLGVDEPAMVSALARWRRQPEKRSGFRKGFPGFFTSHGEMDRCEEEYMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQHHPAAIVVEVACTRSADELLGARRAYQALYHHSLEEDVAYRARDKPYCNLLVGLVSAYRYEGPRVNEEVARAEAKALGAAVKSAGGRLAENDDVVRILSTRSKPHLVETFRYYKEIHGRRIEEDLSHGKEETLLEAVLCLAAPAKYFSQVVEVALRDGADHHEKDALTRVAVTRSDHDMDEIRAAYQEQFGAKLEDAIAAKAHGHYRDALLSLVGAHHQQ >PAN19796 pep chromosome:PHallii_v3.1:3:20575615:20578703:1 gene:PAHAL_3G300100 transcript:PAN19796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEVQQLTRAFSGLGGLGVDEPAMVSALARWRRQPEKRSGFRKGFPGFFTSHGEMDRCEEEYMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQHHPAAIVVEVACTRSADELLGARRAYQALYHHSLEEDVAYRARDKPYCNLLVGLVSAYRYEGPRVNEEVARAEAKALGAAVKSAGGRLAENDDVVRILSTRSKPHLVETFRYYKEIHGRRIEEDLSHGKEETLLEAVLCLAAPAKYFSQVVEVALRDGADHHEKDALTRVAVTRSDHDMDEIRAAYQEQFGAKLEDAIAAKAHGHYRDALLSLVGAHHQQ >PVH61908 pep chromosome:PHallii_v3.1:3:9840099:9844307:1 gene:PAHAL_3G153100 transcript:PVH61908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGAAHTNSSALGLALPYWGGAAVGREEQRWRWRTGMDPAATAAASAGLLEPGAKELAARHLGRTAHNMSSSSLRKKSDLALLRKVPCAPLRRLLDNLQEVLLGTKLVLLFPAVILALAARIFHFGQEWVFVLSLIGLVPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIAIFALYKGKVVVVKCSLLGSILSNLLLVLGTSLFLGGLANLGTEQPYDRMQADVSTGLLILGVLCHSLPLMLRYAVSSGEHAVASWDAGLELSRACSVVMLLVYVAYLFFQLKTHRQLFEPQEVEDDGDDSVSQDEAVLGFSSAMIWLGVMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLDITLGVSLGSATQISMFVVPLSVLVGWIMGVPMDLDLNLLETGSLFLAIFVTTFTLQDGSSHYLKGLLLLFCYVVIAICFFVLRQRGNGGNDGVNPGVASNMWRI >PAN20881 pep chromosome:PHallii_v3.1:3:54592819:54594277:1 gene:PAHAL_3G419000 transcript:PAN20881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASHYFSPGMTSLNIDTWTAAVQAVIRRRSSRPCRTTTPRALCGRPQQHQGVKGCQNGRACRHLTTGEAHFAECPRHLAKQQKLSANSLPSVTLGKEEMVKKGSAKASLLSIFCRALSKEFAERLIQHSTKKIDVTAEETVTDICRASGKSTRQGLCSLPSACVGTLGKDYSLCRVSCSSTRQS >PVH62661 pep chromosome:PHallii_v3.1:3:37070171:37070629:1 gene:PAHAL_3G361300 transcript:PVH62661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLPEVIPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLNLPVRDPTFQLPRRILVDSASFLTLRTRREKCEDPYSFFTTPEHRCNAPQRLRRPDPCSLIIVPGSRYDAPLRG >PVH61459 pep chromosome:PHallii_v3.1:3:2399944:2407161:-1 gene:PAHAL_3G037100 transcript:PVH61459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSKSRARSPRRTDRSPSVTSGSVSNSAAAACPVSCTTRPFPIPNRLKPAIINCLLHYCLARGFLLSQCCCPGSAHSWGRCCCRGSREDRFGFFISGASSLLPTGDQAKAGRAFQRKHYLWGVFKPREDKGGVAEPLSAIARFAHEVEKEKQQHVLDQQDEMILKMSTMNHLPAKDTQVEASHVKGPPNMGFDLKAPNEGTQAEAEAAPVATDAAASPANHGQIDPTCMGLPVGRLMGFVMRQTPKLEQLIQEMKREGVYWYLLWREVVWVPDLGQATWILPCRSWGSELEFRALWNLTSTSVPCRHEQKDA >PAN19115 pep chromosome:PHallii_v3.1:3:16259401:16263520:1 gene:PAHAL_3G250700 transcript:PAN19115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVLLSSHLTPHNFVNTRFQQGCSGLNSIGIAVIRKGCLKLRCYAIGDELGGSGQVNQPFNKSNNGSVFQGLNASGASFRTVGAEITQETGDFFVSDAEGDPDKPTDGFSSIDQAINALREGKFVVAVDDENGDIEGDLVMAATLVNPESIAFMIRNGSGIISVGMKEEDLTRLMIPMMSPITEIEDISTAASTITVDARVGIATGVSAADRAKTILTLASPDSKPSDLRRPGHIFPLKYRNGGVLKRAGHTEASVDLVALAGLRPVSVLSTVMDPKDGSMAGITVLQQMAMDHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVVKGDIGNGEDVLVRVHSECLTGDILGSARCDCGNQLDLALELIEKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDEGHDTVEANVELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFIGLKGYGLAMVGRVPVISPITKENQKYLETKRTKMGHVYGSDLPGSLPEFANPQDTAADEDDDTQN >PAN16705 pep chromosome:PHallii_v3.1:3:5211616:5212654:1 gene:PAHAL_3G078800 transcript:PAN16705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNAAAAAATLLVAVSVYAAAAGAAVGPPTAVLQETDAAGVEETSSLLAVHRDSHEEVEEEDIKAGRPRRATAGDAASLVSAADEEGKEAGSDWGKPKKLDDDGGDKNDSDSDSDSDSGSDSDSGSESDSDSDSDSDSDSDSDDDEDHNSKHGSNKHPAPGRKGAPGVQPDDELPEMVIKV >PAN19253 pep chromosome:PHallii_v3.1:3:17104757:17106813:-1 gene:PAHAL_3G258900 transcript:PAN19253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIAAYLLAVLGGNTSPTADDVKNILESVGAEADEEKLDFLLTELKDKDITEVIAAGREKFASVPSGGGAIAVGAPAAAAGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >PAN21808 pep chromosome:PHallii_v3.1:3:62184974:62186825:1 gene:PAHAL_3G490000 transcript:PAN21808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRWLRNFFLPGRKGRKASDRADTDCQSVLSAPLPTQAATPSVREKRRWSFRRPGSATGKADEGASGGQGPQGPLASSSSHCFSEAEVHVVVVQDDQHAVAEAANTAAPVASLPPPAPARGCEDEEDAAAIKIQSAFRSYLARKALCALRGMVKLQAMVRGQLVRRQANVTLRRMQALVDAQRRARAERLRLLEEEDARHQLANTNTTPRQPPSRRSLRHPRSRKPLEAVERGTEESVKIVEVDDAGVAQGGRSSSFCYSTTPGRTPAKAELYQKVSPTPSALTDVSARTLSGRFDDASFSSAWEPPRRGNAAWRADHRAPAPFATASPNYMANTESSRAKARSQSAPRQRHSSASESAAAASPSPSCCEPRPPSRGGSGAARRRASLDPLDLPAAPRSSAGRMERCASRAMALVRASVGAGASLPGSECGSSSTVGHRGSAQGAWHGWPSHQS >PAN18406 pep chromosome:PHallii_v3.1:3:13047365:13050603:-1 gene:PAHAL_3G199300 transcript:PAN18406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWRRRTLLKVIVLGDSGVGKTSLMNQYVNKKFSQQYKATIGADFLTKEVLIEDKLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVKRSFSTLSTWHDEFLNQASPSDPKHFPFILVGNKIDLDGGNKRMVSEKKAREWCASKGDIPYFETSAKEDHNVDTAFLCVAKLALAHEHDQDIYLKTVAEQVTDTEQTTGCAC >PAN18407 pep chromosome:PHallii_v3.1:3:13047431:13050483:-1 gene:PAHAL_3G199300 transcript:PAN18407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWRRRTLLKVIVLGDSGSVRPWVAVSSSLLAIPFFLLLFLVPGLGVHTAYRCLDTDWFGECRVGKTSLMNQYVNKKFSQQYKATIGADFLTKEVLIEDKLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVKRSFSTLSTWHDEFLNQASPSDPKHFPFILVGNKIDLDGGNKRMVSEKKAREWCASKGDIPYFETSAKEDHNVDTAFLCVAKLALAHEHDQDIYLKTVAEQVTDTEQTTGCAC >PAN17516 pep chromosome:PHallii_v3.1:3:8830100:8831090:-1 gene:PAHAL_3G137400 transcript:PAN17516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPSSCAASFPALPAAARPRAAGVICAAGGSGKWWAPLLGWSGKADYLEAPAPVVVQDGAVAAAARRQFVGGLTEEKARELRARMAQTESFHDAMYHSAIASRLARSA >PVH61947 pep chromosome:PHallii_v3.1:3:10639673:10640699:-1 gene:PAHAL_3G162600 transcript:PVH61947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIDWNAVNTRELCKLFAEQVRRGNRPNTYLNSVGYAEVEKGMKDRLGIEVSKLQIKNKWDKLKEDFKAWKKLLLRQTGTGWCPTKGTIVMDDEWWKKARADIPGCGKFRKQGLQNEEELQVCFGSIISIGTDHWSPHMASAEEGQNATNEEDTAEDAADIGQNSTNEGGTQEDSSPINENGKRAPRFIQEKGKKPKTGTALLIQEAVTSMATSASSYASKKEGKYSIDEVMGHVLACGADYETNEYFIASELFVKKEQREMFMTFPSNEFRFSWLTRKYMGKYGK >PAN18241 pep chromosome:PHallii_v3.1:3:12360125:12362853:-1 gene:PAHAL_3G188500 transcript:PAN18241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRAPLLLPRYTSRDGDGREEKCGGGGVRWWRELAREAGKVGYVALPMAAVSVSQYAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLIGMASGLETLCGQAYGAKQYDKLGMHTYRAIVTLIVVSIPISLLWVFIAKLLILIGQDPMISKEAGRYIVWLIPGLFAYAISQPLTKFLQSQSLIIPMLWSSIATLLLHIPICWLLVFKTSLGYIGASLAISLSYWLNVIMLAAYIKYSNSCKETRSPPTIEAFKGVGVFLRLALPSALMLCFEWWSFEILILLSGILPNPELQTSVLSICLTTITLMYTIPYGLGAAASTRVANELGGGNPEGARSAVRVVMYIAVMEAAIITVILLASQHILGYAYSSDKEVVAYVNAMVPFVCISVAADSLQGVLSGHISKLS >PAN16131 pep chromosome:PHallii_v3.1:3:2685033:2687803:-1 gene:PAHAL_3G042600 transcript:PAN16131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGDTLESIRSMQVRHVLSQIISLGMLVTSALIIWKGLIVVTGSDSPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLRQHHIMGRAVGFLPYVGWVTIVMTEKPIIKYLLMGALGLLVITSKD >PAN19992 pep chromosome:PHallii_v3.1:3:22231797:22234310:1 gene:PAHAL_3G311900 transcript:PAN19992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTTSPVLEPEPAPLPDSDDLHREIFLRLPPLPSSLPRASLVCKRWRRILSDPAFLRRFRAHHRAPPLLGFFADEDGDIEFVPTLRRPDRIHRERFSLPRRDGGEFLSFLGCRHGLALLIDRARLEALVWNPVTGSQRRVPFPLGFGQGHNVYRGAVLSSSGDGHVHGDCLLIPFKLVLVHHADLRGAIVSACLYESESGKWSNVSSMPIPHFSRLHQPGVLVGHQVYWMLLGTSDILEFDLNGQSLAVIQKPEDAHVKSNSGLQALRTEDNKLGLANISELGIQLWGRETNSDGVGRWVLLKTVELNKLRSISPSMRIQPATILGFDEDNNAFYICTNIGLYMIQLESLQFTRLFDGDMFTAYYPYTSFYTAGLGIRGGDDRAEILNNA >PAN18202 pep chromosome:PHallii_v3.1:3:12167471:12170225:1 gene:PAHAL_3G185500 transcript:PAN18202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGDKTSVDKNKQTVVYPMDWAKHGKSSLVHEIPGPSTYASKYASPWVDLKMFQDDAVYNYSDDYPYEGFDEDYAYDEDEYEDDGYDASLVESEYNYSLSSKFDNLDIPPGVEPSLPWMQKTEIANKSKPTKIVDAKIEEKYKVFKQFDTVDDHSDHYYSKPESRKVQVVKKPSKDWAKRIQHEWKVLKKDLPDTIFVRAYEDRMDLLRAVIVGPAGTPYHDGLFFFDIYFPPQYPNVPPMVNYRAGGLRLNPNLYACGKVCLSLLNTWTGSGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAMHANTVHGEKKSLTYNEDTFLLSCRTMLYSLRNPPKNFEDFVAGHFRKYGHNILVACRAYLEGAQVGCLARDGVQDVDEGDKSCSVRFKQSLKRLFEELLMEFTVKGADCDKFLNEKARPGPSTAAADTTLRL >PAN18201 pep chromosome:PHallii_v3.1:3:12165546:12170225:1 gene:PAHAL_3G185500 transcript:PAN18201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHASKSYLCAGSSSFDDPDVVEVSPAAAAAGGWASGHNKRKRSQVVPHEVIEIDDDDPDGVMIVGDKTSVDKNKQTVVYPMDWAKHGKSSLVHEIPGPSTYASKYASPWVDLKMFQDDAVYNYSDDYPYEGFDEDYAYDEDEYEDDGYDASLVESEYNYSLSSKFDNLDIPPGVEPSLPWMQKTEIANKSKPTKIVDAKIEEKYKVFKQFDTVDDHSDHYYSKPESRKVQVVKKPSKDWAKRIQHEWKVLKKDLPDTIFVRAYEDRMDLLRAVIVGPAGTPYHDGLFFFDIYFPPQYPNVPPMVNYRAGGLRLNPNLYACGKVCLSLLNTWTGSGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAMHANTVHGEKKSLTYNEDTFLLSCRTMLYSLRNPPKNFEDFVAGHFRKYGHNILVACRAYLEGAQVGCLARDGVQDVDEGDKSCSVRFKQSLKRLFEELLMEFTVKGADCDKFLNEKARPGPSTAAADTTLRL >PVH62116 pep chromosome:PHallii_v3.1:3:13490481:13495501:-1 gene:PAHAL_3G207600 transcript:PVH62116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRERMVATSWLLLLGLATAGVLQARAQPDSIGFISIDCGLPGTANSVDDATKLSYAPDAAFTDAGSNSNISAEYITPTLAKRYLNVRSFPDGARNCYTLRSIVAGLKYLLRAEFKYGNYDGLNRPPVFDLYAGVNFWSRVNVSSPDGVERLEAIFVVPDDYVHVCLVNTGSGTPFISALELRPLKSSIYPQANATQGLALLGRINFGQADAIVRYPDDPRDRVWLPWADATIWDVIWTTDRVQNLESDEFEAPSKVMQTAITPRNASNNITFSWNSKPQPRDPAPGYMAIMYFSELQRLSSSAVREFFVEINDVVWRSTLGFRPDYLFSDYSYSTAPLPASTRYNVSINATANSTLPPFINAIEVYSVISTTGVGTDSSDVSAITAVKVKYEVKKKNWAGDPCAPKNFAWDGLTCSYAISSRSRITGVNISFSGLDGEISSSFANLKAVQYLDLSHNNLTGSIPDALSQLPSLTVLDLTSNQLSGSIPAGLLKRIKDGSLSLRYGNNPDLCTNADSCKPPKGKSKLAVYIAVPVVLVVVIVSVVAVLFLFLRRKKQGSTSTNNTVKPQNDTPMSYAPASLAPRDAYAQSSLHLENRRFTYKELEMITNKFQRVLGRGGFGKVYDGFLEDGTQVAVKLRSQSSNQGVKEFLMEAQILTRIHHKNLVSMIGYCKDGQYMALVYEYMSEGTLQEQIAGSGRNGKRLTWRQRLRIALDSAQGLEYLHRGCNPPLIHRDVKSTNILLNAKLEAKIADFGLSKAFNTDNEAHVSTNALVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLEMVTGRQAILSDPEPTSIIQWVRRRLARGNIEDVVDKRIRGEYDVNSVWKVADIALKCTVQTSVQRPTMIDVVAQLQECLELEEGHRTGGGIRGSFYTGSSSDLDLGYNAYAADSQLTDVIQTSTAFEMEHNFGKVPRMGRGPVAR >PAN17031 pep chromosome:PHallii_v3.1:3:6563810:6566286:1 gene:PAHAL_3G103000 transcript:PAN17031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPYRFSNVLIGYLNLGTLLASIPIIGAGLWLAKGSTATCSSILQTPLLVIGFVVLLISLAGFVGACFHVAWALWLYLVAIMLLIAFLLGITAFGFAVTAGGGGTQIPGRPYREYHISDYSSWLQHHMQDMKYWKPALACVVGSKACAKIENWTPMDYLQHDLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAADILCYQCNSCKAGVMEQIRQDWHKISVLNVIVLVALICICSCGCCAFRNARRSLSEYPYGVNRMSKINPRWDYYWGRWFRGRREQLY >PAN18094 pep chromosome:PHallii_v3.1:3:11681627:11687333:-1 gene:PAHAL_3G177600 transcript:PAN18094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARSVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDANGVSAFDVVLHDGSPNVGGAWAQEATSQSALVIDALHLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEATKPTASRSTSAEIYIICLKYKAPAKIQPELLDIKHLFSVVPEQNKSRDIWDKSRKRHRDGYEEGNTTLRKVGLASDFILSDAQTPLEFLGSYNAISFDNPESLPIKNHELTTDDIKNFCEDLLLLDKNSFKHILKWRIRLRKALLSSSQVTPKVEDDAETTKVKDDDQLLQEMEELTSVIDRKKKREKKRLSKRRAKDKARKATGMQIDATGDDYGDPDLFSISVIKGGKELQAVESAELDVEDDIEDSENEEIQGREVSDEEMDSDEEQQRYDAQLEEMLDEAYERFVTKKGGAVKQERKRAKRINPDADADLLEGSEDDGDDVEMDHGFDEDQDPVTNPLLLSLDEHKPTKEQIVQQWYSQDVFTEAGTGVTEQSDSEDERENLQRNMKKKMDTGKKEKAAKTQRLQQGDFEIVPAEPVRNEEDSSSSSDESDESEDDLDDYKKAEVLAYAKKMLRKKQREQILDDAYNKYMFDDEGLPNWFVEDEKRHTQPMKPVTREEVAAMRAQFKEIDARPSKKVAEAKARKKRVAMKKLDKARQKADAVADQNDINERSKRKMIDQIYRKAIPKKPQKEYVVAKKGVQVRAGKGKVLVDPRMKKDKRASGIGKKGKGGKGAKGKGGQKGMRGKAGKKAGKAQR >PVH63068 pep chromosome:PHallii_v3.1:3:60189808:60190668:-1 gene:PAHAL_3G465700 transcript:PVH63068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTKRPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAASSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRTPPENPGSSAATDEGDAAIQPLTDGNPEDGEREPLTLSAPEGDTPRK >PVH62437 pep chromosome:PHallii_v3.1:3:19950427:19952502:1 gene:PAHAL_3G292800 transcript:PVH62437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQKCTLLNWNVRGLNNSARRKVVKDLVSDLGCTIAALQETKLAVIHAEDAMETLGTKFMKSFVYLPAQGTRGGALVAVDEDHYLIRQFEHRTHSVSALLESTHCADSWWVTVVYGPLGDQEKLNFLQELRFISTVVSDKWLVIGDFNMIL >PVH62866 pep chromosome:PHallii_v3.1:3:54495612:54499164:-1 gene:PAHAL_3G418600 transcript:PVH62866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHVSKCAASAPAQSTAPSLLTMAPLHFLILLAIVSSFAEAGGGNSPVPELSIGFVCQSISGIIGCLSPIEPYYCSTTGNYTNKSPYQVNLGKLMYDLESGAIANPAGFNYSVAGEAPDAVFGLTMCYADRNWTQCQRCLQEATTVELICPFSREMKALEDACVIRYSNESFFYVADLTSAFHVKDTSNSTWAGSKYFNNSVTNMAGVNFTLWSLMSRLTAEAAVSEIRLAKGSQVYNGSKGISQVMIYGLAQCTRDLNASECSRCLTFFVEELLSSSLSVEINGAVKGYSCNVAYKIGEDFDSFIRPAIASAPMAPSTIAQPPDLFHEKDLSLGIVAGVTGFVICTGALVCIFLCHRSIKAREREVDVFDDDPLEDNTFEKGTGPRRFRYRELATAAGFFSDEEKLGEGGFGSVYRGYLKDMDLWVAIKRVSKSSQQGRKEYISEVKVISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLNSHIHSQNNVLSWQLRHGIVLGIGSALVYLHQDWEQCVLHRDIKPSNILLDASFNAKLGDFGLARMVDHERQSHTTALAGTMGYMDPECMLSGSASTTSDVYSFGVVLLEICCGRRPIVVVQDSGEYATMHLVQWVWECYGRGRIIDAADARLNGEFDGDEMERVMITALWCAHPDRTLRPSIRQAIGVLRMEAPLPSLPTNMPVATFMPPMHHLQRESGATTGSSSGSVGTKHSSIATKTSSLPR >PAN18523 pep chromosome:PHallii_v3.1:3:13512207:13513306:-1 gene:PAHAL_3G208000 transcript:PAN18523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRLGGEEGDEELFEAASAGSGGGDSDADEGDHFQDGGRDQRAFVPQPLRRMNSDSIYDMTSMMSQLPPKKGLSRYYEGKSQSFACMSEVRCLEDLRKKDNPYKQKVKSCKSYVALGGMAKKPSSGSCANLSISAGNGFRTPPIQNGYHQ >PVH61670 pep chromosome:PHallii_v3.1:3:5867255:5869061:1 gene:PAHAL_3G091400 transcript:PVH61670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKITAAESFEAEVVWLGLPRRNTIAVSIEMDRPVLSRTLHGFLLPHRAVRSFLNVGAAAACKVAAEDALDCLTTGCVSRHKVECSVKNMFKEGAYWGAAAGAFEAIEYGVELMRGRSDWKNAMIGGAIAGALISAANSTHRGNRRVIKDAIAGGAIGTAVDFISHRRHVVFGPIRLDDTDGIDQMWDIPRNANKNGVKMDRSWDFKDASDWICGMWAKGRTSPTQQ >PAN18787 pep chromosome:PHallii_v3.1:3:14683365:14696437:1 gene:PAHAL_3G225900 transcript:PAN18787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAPRLLAERWPPPILRRRRRLLPVSLIPRGSRPPGASFRAAQTLAPTPRLAPSRLDPLMDPAAPAALAPAAAADAEAEANGYEDAAEFEDAKAVGEDAEALATPVGGDEEVSELPEELARGVVCLECETSAEAAAAGVGGTCRVYVVGTAHVSQESCEQVKAVINYLKPQAVFLELCASRVAILAPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLEVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGKMSLWHRAKFLYSIIFQSIFLPSPEELNQMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWQQPIQAKKLLELTVADEGASKLKILASIGALSGVIIASGIYIWGRK >PAN16817 pep chromosome:PHallii_v3.1:3:5617154:5624889:-1 gene:PAHAL_3G086800 transcript:PAN16817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFREGAGPGDASNAPPPTPTPPLSQYLSLDSPAWGPDPKQQQQHWRHPELRRALAADDQADELRRIRASVQDSTGKAKEKVRSLHDAIQKLDKYKNIVTRKRQRSADSGTDKLASSSGALRIGAQNSSAVMSKRVRSSLADARVEGRASVPTRQGPLVSNEKSSPVEKEKNCTRMSAAVSGLSEDKLRGLSTGGDGWEKKMKRKRSVGTMLGRGSDADRDVKSVGQHRPANEVRPRSSDGLAYRHGASTGALTGSKLDGTSQQNNIVSRIQSKTDVDYATQSNERRERHAGVDKERTMVKGNKANTSEDMQNGSLSPLPKAKACRAPRTSSLVMNSSSNFQRSTGGSDEWEELPPYTNKASPLGGMTNRKRSTHSNASSPPIAWVGQRSQKMSRTRRANVVSPVSNFDEVLSEGSPLDTATRSTPIESGSVLLTKNTPTTKMDNISSPAGLSESEGSAATESKSKEKAMHSGEVGNEGANAAHNAMGLIFSSNKNRIPLKEELEDGGVRRQGRSGRGTMHVKGCSSIPKEKLDTAETRKPIKGGRPGSEKNESKLGRPPMKKGSERKASSWNSQALNCEPTDITGEPEDDQEELLAAVNAARSAIVGAYSGPFWKRMEPMLTFISSENLSFLKNQINLVEELETSMSCMSDGEHDIIASSDYRRMQKMEEHSSQVLAPSNFSPSSQQSKTNGVGAKGSVSYFSPGDENHTVPQKLEADKWFNEMAPMAHRLLSALIIEDDLPDSNGVQRDILVEFPNSRNPYTVNRYLENELQASAITSNFGLSVDFTHSNSTSVVHQSMCNGFTASSNFINSNSENSVHSENLSDGINFTVYPESGPLHDLIPPISRQCQNSAKDFPFSPYEYQYGQMSVEDKILIELQSIGICPETVPKLEDGEDEDINKMISELRKRLHDQVNQKKCRLHKLDKSIQDTKDLEERSLERHAMNKLVERAYRKLKGGRVGSSHKAGVSKSANKAAKQLALAFAKRTLARCQKFDETGKSCFGEPSLWSVLSAPLPSSDAKSTEGVERLKHQKLDRTPFDQAGGTKWKKSDRERDHSRDASAKGSGLKSGRHSSGGSGRSGERKNKTKPKQKLAQLSTSGNVLGRVVEPLSSPAVQEPPPEPPSERKTQHPTRNTSSNTAQRPTTDAALPVLPGLDDILDVPGGLDGQGNDISSWFTDGLDDSLQDIDLSGALEIPDDDLTQLGFI >PAN21686 pep chromosome:PHallii_v3.1:3:61631841:61633925:1 gene:PAHAL_3G483600 transcript:PAN21686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAPFKLDIDELIADYAKENSTSLADFKRVWKAKKFSYLYEGRPKTNSGFFMQSLFLHCIGHLTSQSSLRRRLAGLYCLYCLYECQPYKPQFKIYLSLEECRQLKDFVITTKQNEVHLVPALVKRMLDKGMFLFGYMNLLGDDSEKQVEELTALQNKRVNFACDKLFANTQAESYMHMDLFAHPL >PAN21685 pep chromosome:PHallii_v3.1:3:61631829:61634856:1 gene:PAHAL_3G483600 transcript:PAN21685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAPFKLDIDELIADYAKENSTSLADFKRVWKAKKFSYLYEGRPKTNSGFFMQSLFLHCIGHLTSQSSLRRRLAGLYCLYCLYECQPYKPQFKIYLSLEECRQLKDFVITTKQNEVHLVPALVKRMLDKGMFLFGYMNLLGDDSEKQVEELTALQNKRVNFACDKLFANTQAESYMHMDLGVEFELDSIKKLSKEYAEAKKLALAEAGQTVDIEDAKHILQSDKLLGDKIEEVVKEWDAQKEEFYERTGLSRGNELVLIDNDESGVQHHEYDDFDELTQLLE >PAN21402 pep chromosome:PHallii_v3.1:3:59989230:59991471:-1 gene:PAHAL_3G462700 transcript:PAN21402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQRSRSRACSPGAPVELDDDHGQPGGAAQEEEQLELRRGPWTVDEDLTLVNYIADHGDGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHTRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSKRFKDAMRYLWMPHLVDADDHRRRVLHAQQQHAADVAAAAHGLSAGMVITSSSDSFATTAESYDDVGGLYANLHAGEMAVVGGGGCWAAHEANHQGLWPAAADQSAAVAQAAGGGQFQDPELSGWVQGFSEGMITENFWALEDIWKIQ >PAN15919 pep chromosome:PHallii_v3.1:3:1096259:1100017:-1 gene:PAHAL_3G020200 transcript:PAN15919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRLLPRITPLPRRRPSPDPPVTPALAASLARILASRATDPTWSRSLAALLPPPLSDARLAAAVSSLADPDLALALLSWSRAHHRHHHDAPTPLAHSALLRLLSRARRFDAADATLQSMSPAAPPTRACLGALAAAYADAGMDGKAAETCARARELHGALPEPRHSNRLLRLLVERRRWEDARRLYDEMLAEEGRADNYSTCVMVRGLCLEGRVEEGRKLIEARWGAGCVPHVVFYNVLIDGYCRRGDIGRALLLLGDMETKGFLPTVVTYGAIINWLGRKGGFDKIGSLLQEMRVKGLCPNVQIYNTLTDALCKWLSASQAMAVLKLMFASGCDPDVVTFNTLISAFCREGDVRQAEQLLREAIRRELEPNQLSYTPLIHGFSISGEVMVASDLLVEMMGRGHTPDVVTFGALIHGLVVAGQVNEALVVREKMAERQVMPDANIYNILISGLCKKRMLPAAKNLLAEMLEQNVQPDKFVYTTLIDGFIRSENLNDARKIFEFMEEKGVCHDVVVYNAMIKGYCKFGMMSEAILCMSSMRKVGCIPDEFTYTTLIDGYAKQGNISAALRFLCHMMKRRCSPNVVTYSSLISGYCKIGDMDSAEDLFENMQSEGLFPSVIHYTIIIGSLFKKDEVIKAAAYFESMLLNHCSPNEVTLHYLVNGLTNSMSCIINLTSHSSTVKVHNKSALLDVFKGMVSDGLDPRISALNATIFSLCRHNMLEKALNLKDEMANKGYTPDPVTFLSLLYGFCSIGKPSNWRGVLPNEFQQDEFEIIFRYKTLFDQHVVKSVSLEVYRVLQLYAKEFQFIQQPDRRFVCS >PAN21544 pep chromosome:PHallii_v3.1:3:60759848:60764722:1 gene:PAHAL_3G474500 transcript:PAN21544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWFIFLLPLLCLPARVRSEDYSDVTVIVRGSETIASTSDEFICATIDWWPPEKCNYDQCPWGRASVLNLDLTNPLLGKAIQAFSPLRIRVGGSLQDQVLYGTPNLGSPCDPFTKVSGGLFGFSQGCITLERWDAINDLFLNTGAVVTFGLNALQGRRQISRSVWGGPWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDLVELQTIINELYGDSRKPLVVAPGGFYDQKWFAQLLEVSGPNVLNAMTHHIYNLGAGNDPQVPNRILNPQYLSRTSDTFRSLQLTIQRHGPWSAPWVGEAGGAYNSGSRLVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTDTFVPNPDYYSALLWHRLMGTGVLSTDISGSSYLRAYVHCGKQKGGVTLLLLNLHRSMGFMVSVRNDLNVNLAEGQGIRRDNVFVHGLKRTVSWVGSKASDGHSKREEYHLSAKDGNPFARTMLLNGVPLELTEDGDIPPLYPVEVSVNSPIYVAPLTIAFVVFPDFEAEACGP >PAN15918 pep chromosome:PHallii_v3.1:3:1094412:1095714:-1 gene:PAHAL_3G020100 transcript:PAN15918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQVQRTLVIPPPPSEPSKEVPPTVFDLVAPAYHVTVLFAYAPPNPTNAALLHALTATLPRFPLLTARHPSQPDHRRPFLLTGKGGAGALVVEAAVSSPLADHLPLAPSRDLERLHPPVDGATPHVLVLQINRFACGGLVIASSAHHQAADGYSMSTFFHAWADAARAGASGAPPVVDRPPVPYGPGSVVPRRPPRCEFEHRGAEFLPRDDAARRPPPARVHPSEIANLLLHFTGELVADLKARAQSKYTTFETVSAHLWRKITAARGRADAPARTALNVTVNGRARLGPDSLPGGFFGNAVLTASAGTGARLPRRRGGAGPGGRPRAGPASFVDFGALRGGEELEPAVGDEDNVLLPDVAADSWLHLELHRLDFGCGGRLVGILPAHSPLDGVVVLIPGLGKEGGVDVFVSLWHKHAEVLRGIAYAMD >PVH62697 pep chromosome:PHallii_v3.1:3:40734783:40735712:1 gene:PAHAL_3G373400 transcript:PVH62697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNAVHWEGFPRLLWESLSLFHYIEPPQYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFRTEHLGHILGDLAEETVRIITRFMDVQHHYQILLRHGMSQLTGVAQSHYRNADRQVTQIVKLQALVTQKDEIIAARDETILHREDQINESNHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAAPAMPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSVGNFDDF >PAN20828 pep chromosome:PHallii_v3.1:3:53751476:53754371:-1 gene:PAHAL_3G414500 transcript:PAN20828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRRGKSSNKAKKGSAAPWQNGERKGVDGGGAAGSNSRQVAPDTGFRNVDDGSSRDETFFEATPWLESDCEDDFYSVNGDLTPARSFTSQTSRIAPYAANNKDLPTLGAILKAEPLKPPAQQMRKLSDLLREPQDDGDGDLSRVDSLRLAEEANRCCVPQFARAISCNGRRSYK >PAN22083 pep chromosome:PHallii_v3.1:3:63928466:63929440:1 gene:PAHAL_3G511600 transcript:PAN22083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVQTMTSGLHDAARPRRFDLTTSRRTRRPTSLAAHCHPEDYAMEGFQSIQPQHQVQVSGLNAAPSQCLCLSDHLQSPHSEDAEAHKMSAQSEATEESDAQQQQECQDSSRRFSLQELIEDEAAVDGEKDAATGGHEEDPAAADAVVQGVAEAAAVGAEKPPEQVAGRRVIGMMRRYMRVRAIKTKHAPC >PVH62331 pep chromosome:PHallii_v3.1:3:17623220:17623975:1 gene:PAHAL_3G265100 transcript:PVH62331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDGICHAEPGLPKLLILSLERIGVMEPPEYAYREYTSKGTFRCDMMVFVGRSTRYPDVDPWFISTSDFRFPDTYRKAARKALRRLRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDELYREQAAQLKQLIHRAEKATQELEEQQIRAARAEYFLASLQAQMQEYENRREIGGWIEEEEEPEETHWDKGTQTEDEVMDRCLPIKKRLSESGNNPHDRSSTLS >PVH62519 pep chromosome:PHallii_v3.1:3:22826145:22852932:-1 gene:PAHAL_3G315200 transcript:PVH62519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:Projected from Arabidopsis thaliana (AT1G50030) UniProtKB/TrEMBL;Acc:A0A178WN52] MKPSPHFLEIGKKPKDLIAKDHAFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMDQLYEQISSLLQSNDIAENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFDVKRDPVILVPASTVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGRNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLDYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGVELVLYLPAITSHLQDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRSGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSTRPGASVGRASRSNSLQQLVDSTSPVLVQLALRTLANFNFKGHELLEFARESVILYLEDEDSSTRKAASLCCCKLVAHSLSASSSSQFSSNRSNRMGGAKRRRLVEEIVEKLLIAAVADADVGVRSSVFKALYRNPAFDDFLAQADILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESAKLLGCLIRSCARLILPYIAPVHKALVTRLCEGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPVVVDALLDGGAVSKREVAVATLGQIIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILRDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLISIVRQHIRKYLQDILSLISELWTSSFSLPAPNRTIQGPQGSPVLHLVEQLCLALNDEFRMYLLHILPSCIQVLGDAERCNDYCYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTKLIPKVQVGTHVSALVHHLKLVLDGNNDDLRKDAAEALCCLAHALGEEFTIFIPSIRKILVKHHLRYRKWEEIENRLLRREPLITENLSVQKYTQCPPDVISDPLDDFDGTPSEIADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMSESSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCNKKMGANHVTVVESLIHINNQLHQHEVAIGILTYSQQNLEVQLKESWYEKLHRWDEALRAYTMKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGAEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRSKKYDEARIFVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESLIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKNGRTSQARSTLVKLLQFDPESSPELTLYHAHPQVALAYLKYQYAVGDELKRRDAFSKLQELSVQLATTMDSFPGTSANHATMSNAGVPLIARVYLTLGSWKRALSPALDDDSIQEILISYNNATLSAKDWGKAWHIWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFSLVKIEMWLVVLPQIIARIHSNNRVVRELIQSLLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENAFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYAADSPVVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRDSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHAHAVVSSEEAAANRELMQPQRGAREKELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSASGAGSSTQHGSEHWASGDVRDAEPGLSVKVQVQKLILQATSHENLCQNYVGWCPFW >PAN20053 pep chromosome:PHallii_v3.1:3:22826145:22852932:-1 gene:PAHAL_3G315200 transcript:PAN20053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:Projected from Arabidopsis thaliana (AT1G50030) UniProtKB/TrEMBL;Acc:A0A178WN52] MKPSPHFLEIGKKPKDLIAKDHAFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMDQLYEQISSLLQSNDIAENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFDVKRDPVILVPASTVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGRNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLDYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGVELVLYLPAITSHLQDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRSGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSTRPGASVGRASRSNSLQQLVDSTSPVLVQLALRTLANFNFKGHELLEFARESVILYLEDEDSSTRKAASLCCCKLVAHSLSASSSSQFSSNRSNRMGGAKRRRLVEEIVEKLLIAAVADADVGVRSSVFKALYRNPAFDDFLAQADILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESAKLLGCLIRSCARLILPYIAPVHKALVTRLCEGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPVVVDALLDGGAVSKREVAVATLGQIIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILRDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLISIVRQHIRKYLQDILSLISELWTSSFSLPAPNRTIQGPQGSPVLHLVEQLCLALNDEFRMYLLHILPSCIQVLGDAERCNDYCYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTKLIPKVQVGTHVSALVHHLKLVLDGNNDDLRKDAAEALCCLAHALGEEFTIFIPSIRKILVKHHLRYRKWEEIENRLLRREPLITENLSVQKYTQCPPDVISDPLDDFDGTPSEIADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMSESSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCNKKMGANHVTVVESLIHINNQLHQHEAAIGILTYSQQNLEVQLKESWYEKLHRWDEALRAYTMKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGAEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRSKKYDEARIFVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESLIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKNGRTSQARSTLVKLLQFDPESSPELTLYHAHPQVALAYLKYQYAVGDELKRRDAFSKLQELSVQLATTMDSFPGTSANHATMSNAGVPLIARVYLTLGSWKRALSPALDDDSIQEILISYNNATLSAKDWGKAWHIWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFSLVKIEMWLVVLPQIIARIHSNNRVVRELIQSLLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENAFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYAADSPVVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRDSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHAHAVVSSEEAAANRELMQPQRGAREKELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSASGAGSSTQHGSEHWASGDVRDAEPGLSVKVQVQKLILQATSHENLCQNYVGWCPFW >PVH62708 pep chromosome:PHallii_v3.1:3:41048968:41050390:1 gene:PAHAL_3G374700 transcript:PVH62708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTRRIWARRPYWRRCSLPVAGLARRRARPARLRRSSPTAGLAAPSPAYGLPYAPALRPRPARRRPSLPACGQTGAVDSRPRRWCCWCRCSSPAANSVLQLLKSRMRTISPSASPSLLGVLVLLLLGAFTPRFLFRLRCCSLLQVLPCERKFDLPTALLWYCRSGVLSCSVLRENLGLDWLVCQERNEIAKLL >PAN21722 pep chromosome:PHallii_v3.1:3:61709393:61717872:1 gene:PAHAL_3G485000 transcript:PAN21722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLIEDNAGDSRSSSTEEMPSDQQSHSGDSLTEWRSSEQVENGTPSTSPAYSDTDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGFKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLNDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELIRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFSAFWLAMDPTVRRHMTREKTDTILKVLVKHFFIEKEVTSTLVIDSLYSGLKALEYQSKNKKGIPKLTETDARSTPMVLIDQDMFVLADDVILLLERAAVDTLPHQPLPTKDDKSSQNRTKDGNSGEEFNKDSIERDDRRLIELGWKTLELFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRAKKKQAKQKKNSRKSNKGKNGKSDINKEILMDSSPSDDRILDDFSGQAEEMSSNADNPEEVSDISDNRDDNSDALHVDIEDRESSPVNWETDASETQATVPRSGEVQNDQAGKRTSFVDDSSSTCSSDSVPSVILNGSSTGGAWTNVRSSSNRGNNRRNKDTDPRAGLAQGGPNSGYNGFIGSGSNASGNSKENRHESEDDKVVLQRKQHAQRHVDVMSPSKSRMAESSFSSVSPVKKQPNLSQQPKFSLESTNSLNHRASQVSGAVTATTIAGVTSTPAAQILSNKGPLSSPAIHNEKSVPIASRPLQVPVHSKSEAQKKASLDGSATTQAVAVSRPLSAPQVPAGKQSAPVTSTSQSVPLLSRSMSAVGRLGNEPSANAPSFIPRSRTYRNAMMEKSSVGGSSFTHQPSSLEQGVAHSQSLFSSQPSILSSETLSGKEETSLKPGFTFGTVKPESLNQYQCREQSSQQASSSSSSISNSSDCAPSSSNIRSEIAKLNLNGRSRSRQLLSEISTRFTPFQPQGLVADEFPHLDIINDLLDEEQSDRRRVLRPGFAQHFSMPNDASSPDYGLFGEPYLIDQSEPYLEEEPPRFYSTLSSAPRGLRDRSYSQFDLPSYSSSGQFEDLMMNQWPYNHTELSMPSFLSDTSGYPYQSQDFPSSANGASRYPSYRPANGH >PAN21723 pep chromosome:PHallii_v3.1:3:61712789:61717872:1 gene:PAHAL_3G485000 transcript:PAN21723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKLNDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELIRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFSAFWLAMDPTVRRHMTREKTDTILKVLVKHFFIEKEVTSTLVIDSLYSGLKALEYQSKNKKGIPKLTETDARSTPMVLIDQDMFVLADDVILLLERAAVDTLPHQPLPTKDDKSSQNRTKDGNSGEEFNKDSIERDDRRLIELGWKTLELFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRAKKKQAKQKKNSRKSNKGKNGKSDINKEILMDSSPSDDRILDDFSGQAEEMSSNADNPEEVSDISDNRDDNSDALHVDIEDRESSPVNWETDASETQATVPRSGEVQNDQAGKRTSFVDDSSSTCSSDSVPSVILNGSSTGGAWTNVRSSSNRGNNRRNKDTDPRAGLAQGGPNSGYNGFIGSGSNASGNSKENRHESEDDKVVLQRKQHAQRHVDVMSPSKSRMAESSFSSVSPVKKQPNLSQQPKFSLESTNSLNHRASQVSGAVTATTIAGVTSTPAAQILSNKGPLSSPAIHNEKSVPIASRPLQVPVHSKSEAQKKASLDGSATTQAVAVSRPLSAPQVPAGKQSAPVTSTSQSVPLLSRSMSAVGRLGNEPSANAPSFIPRSRTYRNAMMEKSSVGGSSFTHQPSSLEQGVAHSQSLFSSQPSILSSETLSGKEETSLKPGFTFGTVKPESLNQYQCREQSSQQASSSSSSISNSSDCAPSSSNIRSEIAKLNLNGRSRSRQLLSEISTRFTPFQPQGLVADEFPHLDIINDLLDEEQSDRRRVLRPGFAQHFSMPNDASSPDYGLFGEPYLIDQSEPYLEEEPPRFYSTLSSAPRGLRDRSYSQFDLPSYSSSGQFEDLMMNQWPYNHTELSMPSFLSDTSGYPYQSQDFPSSANGASRYPSYRPANGH >PVH62230 pep chromosome:PHallii_v3.1:3:15704997:15705310:1 gene:PAHAL_3G242100 transcript:PVH62230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLELVVHRSLNLCWTPLAASICAPPHNLRALPTRPHTRPPSRKNARGNESMRKGKGWGAPVPSAAALTRRSLLLI >PVH62898 pep chromosome:PHallii_v3.1:3:55909823:55910176:-1 gene:PAHAL_3G426500 transcript:PVH62898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGKGVQRGSRKSASPEREVQAITNKSRHQPGACRHNQTECRVSVTVTRQRGKGRLGHAE >PAN18327 pep chromosome:PHallii_v3.1:3:12743737:12749730:1 gene:PAHAL_3G194800 transcript:PAN18327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDETTLVWYSHSKEKFLVLSSVSRIIPGQRTAVFRRFLHPEKDYLSFSLIYKKGQRSLDLVCKDQAEVEVWFSTLETLITSTSGRKSYSTDGPSDRLSVSDEVSHYQDSNFYDTTVDITSSITRTFNSGSYSTTNSINSAKADVRSDRANMLRASTDGGRISISSSVPSSSSQSSGQDDIESLGDVYVWGEVWTDVIPTEGSSNYLCSKADILVPKPLESDVVLDVQQIACGSRHIALTTRQGEVFAWGEELGGRLGHGTDADISRPKLVEALAVSNVEYIACGEFHTCAVTASGDLYTWGDGYYNAGLLGHGAGTSHWLPKQVSGPLEGVQILSVACGSWHSALTTSSGKVFTFGDGTFGALGHGNHESVAYPKEVETLSGFRTMKVACGLWHSAAIVETSNQVGVNVVSRKLYTWGAGDKNLLGHGDKDARLVPTCVQSIVDYNFHQVACGHSMTIALATSGHVFTMGSSSNGQLGNPKSDGKQPSLVQDRLSGELVEEIACGSCHVAVLTSRSEVYTWGMGANGRLGHGGVEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAAETSSNSSYQKRNVNARRSVDNKDKPERPDIRHSRLATGSPAEPLKQAETKAVRNEIKPDPMSMIKASQAPSMLPFNNLAFGGTFGPASLKPMAMAAAVPMAMPVQSSPLTKKPNPAAATPLCGKIETDNLKRTKDVLNEDISKLQSQVNKLKQKCDAQEEQLQKSERRAESSASIAAEESSRRNGVLEFIRFLDNELKSIADRVPSDAADSLKALQSHSERFLTGQGIHPPEVTGAGRHATAHQRSASMGSLVLSQDGSTGYAGSSAASLTSESPCHRIMENSSRANGDFAPKHSTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYSHPAN >PAN18328 pep chromosome:PHallii_v3.1:3:12743737:12749730:1 gene:PAHAL_3G194800 transcript:PAN18328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDETTLVWYSHSKEKFLVLSSVSRIIPGQRTAVFRRFLHPEKDYLSFSLIYKKGQRSLDLVCKDQAEVEVWFSTLETLITSTSGRKSYSTDGPSDRLSVSDEVSHYQDSNFYDTTVDITSSITRTFNSGSYSTTNSINSAKADVRSDRANMLRASTDGGRISISSSVPSSSSQSSGQDDIESLGDVYVWGEVWTDVIPTEGSSNYLCSKADILVPKPLESDVVLDVQQIACGSRHIALTTRQGEVFAWGEELGGRLGHGTDADISRPKLVEALAVSNVEYIACGEFHTCAVTASGDLYTWGDGYYNAGLLGHGAGTSHWLPKQVSGPLEGVQILSVACGSWHSALTTSSGKVFTFGDGTFGALGHGNHESVAYPKEVETLSGFRTMKVACGLWHSAAIVETSNQVGVNVVSRKLYTWGAGDKNLLGHGDKDARLVPTCVQSIVDYNFHQVACGHSMTIALATSGHVFTMGSSSNGQLGNPKSDGKQPSLVQDRLSGELVEEIACGSCHVAVLTSRSEVYTWGMGANGRLGHGGVEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAAETSSNSSYQKRNVNARRSVDNKDKPERPDIRHSRLATGSPAEPLKQAETKAVRNEIKPDPMSMIKASQAPSMLPFNNLAFGGTFGPASLKPMAMAAAVPMAMPVQSSPLTKKPNPAAATPLCGKIETDNLKRTKDVLNEDISKLQSQVNKLKQKCDAQEEQLQKSERRAESSASIAAEESSRRNGVLEFIRFLDNELKSIADRVPSDAADSLKALQSHSERFLTGQGIHPPEVTGAGRHATAHQRSASMGSLVLSQDGSTGYAGSSAASLTSESPCHRIMENSSRANGDFAPKHSTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYSHPAN >PAN18326 pep chromosome:PHallii_v3.1:3:12743737:12749730:1 gene:PAHAL_3G194800 transcript:PAN18326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDETTLVWYSHSKEKFLVLSSVSRIIPGQRTAVFRRFLHPEKDYLSFSLIYKKGQRSLDLVCKDQAEVEVWFSTLETLITSTSGRKSYSTDGPSDRLSVSDEVSHYQDSNFYDTTVDITSSITRTFNSGSYSTTNSINSAKADVRSDRANMLRASTDGGRISISSSVPSSSSQSSGQDDIESLGDVYVWGEVWTDVIPTEGSSNYLCSKADILVPKPLESDVVLDVQQIACGSRHIALTTRQGEVFAWGEELGGRLGHGTDADISRPKLVEALAVSNVEYIACGEFHTCAVTASGDLYTWGDGYYNAGLLGHGAGTSHWLPKQVSGPLEGVQILSVACGSWHSALTTSSGKVFTFGDGTFGALGHGNHESVAYPKEVETLSGFRTMKVACGLWHSAAIVETSNQVGVNVVSRKLYTWGAGDKNLLGHGDKDARLVPTCVQSIVDYNFHQVACGHSMTIALATSGHVFTMGSSSNGQLGNPKSDGKQPSLVQDRLSGELVEEIACGSCHVAVLTSRSEVYTWGMGANGRLGHGGVEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAAETSSNSSYQKRNVNARRSVDNKDKPERPDIRHSRLATGSPAEPLKQAETKAVRNEIKPDPMSMIKASQAPSMLPFNNLAFGGTFGPASLKPMAMAAAVPMAMPVQSSPLTKKPNPAAATPLCGKIETDNLKRTKDVLNEDISKLQSQVNKLKQKCDAQEEQLQKSERRAESSASIAAEESSRRNGVLEFIRFLDNELKSIADRVPSDAADSLKALQSHSERFLTGQGIHPPEVTGAGRHATAHQRSASMGSLVLSQDGSTGYAGSSAASLTSESPCHRIMENSSRANGDFAPKHSTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYSHPAN >PVH62871 pep chromosome:PHallii_v3.1:3:54693413:54694182:-1 gene:PAHAL_3G419600 transcript:PVH62871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARHGEGYDWRNAPIDPEAVYSSGGKPHGRYPLFEKVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIASQQNIQVPPLVPPPFAQAGWPSASPQLSFKLILSIYLLYPFHTPPPNLAAPGDSHVHPTSNWADQFIGSGGSVQPGDGDDQT >PAN16371 pep chromosome:PHallii_v3.1:3:3515968:3517861:1 gene:PAHAL_3G055800 transcript:PAN16371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDSLPLHYCSCLLLLALLYSLIKCYYTTSARSSCHRGLRLPPCPGQLPVIGSLHHLAGALPHHSLRRLSRRYGPLMFLRFGEVPVVVVSSGEAAREVMRTHDVAFATRPQIAAIRTLTKEGQAIAFAPYGEHWRQLRKICAVELLSAGRVRSFRAVREEEVARLVGAVSSASGNNELMNLSEMIAAYVADTAVHAIMGRRLDVDDRGAFLRYIDEAIRLASGFSLADLFPSSWIAGALSWWGAHKAEVYREGLFKFLDAVITEHMERKSQDSKSQEDLIDVLLRIQSQGSYHFLTMGIIKAVVFDLFSAGTETAATTLQWAMAELMRNPDMMSRAQAEVRAVFMPRMKVVEEGLSELNYLHWIIKETLRLHIPGPLLIPRECRETCKVLGYDVPKGAMVLVNAWAISRDPQCWEEPEKFKPDRFQSDTRDFKGNDFEFTPFGAGRRICPGMLFGLANVELALANLLFHFDWSLPDGIRPGELDMTEAMGITARREKDLWLRAKVVSSNLPH >PAN16332 pep chromosome:PHallii_v3.1:3:3401573:3408021:1 gene:PAHAL_3G054600 transcript:PAN16332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFSMVNWQMQGLQWQIGEARCWKYCNGKKLPTRAAIAMSTSKPAAAAATSTKRPCDPADPTPPAKLQRSSDPPDPAPAGNPDGATDGGEATGLDSEGMAGARNPRAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLTPHEPGVVKRAVNHFLQRNEGDIMVTDVRYVAPDFHARYKALERTYHYRLLSGPESTSVFEKSSAWHISEDLDIHAMKKACSILVGHHDFSSFRAAGCQANSPMRTLDELTVTEVFPFMFFPSNIERSEMESSDGSLVYSRKPALESSGKESDGSCSSSVKSESKNRKEFGSRQRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGNLTTADVERILNAKTVTAGPPMAPACGLYLANVKYDLSV >PVH62054 pep chromosome:PHallii_v3.1:3:12666123:12668719:-1 gene:PAHAL_3G193700 transcript:PVH62054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEEASQVATEAIGSIRTVASFCAEKRVMEKYNQKCQASRDQGIRTGIVGGLGFGFSYLMLYASSALCYYVGAKFVSQGKSTFGDVFKAYFALVLAMIGVSQTNAMASDSAKANDSAISIFSILDRKSQIDSSSEEGSTLANVKGDIDFKHVSFKYPSRPHVQIFTDFTLGIPSGKAVALVGQSGSGKSTAIALLERFYEPDSGAILLDRVEISSLKISWLRDQMGLVSQEPVLFSGTIRDNIAYGKHEEVTEEEIAVAARAANAHEFISSMPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQDALDRVMIGRTTIIVAHRLSTIQGADMIAVLKDGVIVEKGSHETLMGVSGGAYASLVELRTM >PVH61319 pep chromosome:PHallii_v3.1:3:567801:568607:1 gene:PAHAL_3G009900 transcript:PVH61319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCSSKRAPRALTRSSCVLMELMTSSKVIFFKSPNCSIADAIWTNLSEIARSSLLTTCVSSIFSPSPQTWPTRSITFAAKLPIDSSFPMQRFSSSQPNVWTFASFARSAPICIERSAVHISNADSSATCISISSPSPMEMIAMAMLSFSSSLMRCLASESTTSHTP >PAN19272 pep chromosome:PHallii_v3.1:3:17186395:17190110:1 gene:PAHAL_3G260000 transcript:PAN19272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHERKVMQDGTSDAKLHAPIQYERDVITALGTTKWQLEQFEREVNAAAFSDKSKTRENAILKFRQFIRAIAEQISQVEESLENLSIGSNRTPKHLHSSEYDGDGLASFLSGTSKDDHVYHSTSADEIVELKLDDVPLVSGYHSTQEHRTYEHKNSEKDVEGAGKLKCSCGENACEGGHNNSSMYSLDADDSVGRRLFDKNKLSRQYRSFIRNLWFTKRGRESFTKRRKDGEDMDSLRNGHTLPSFNLPQSGRAMYFWPEVIKGRLSRSEYFTDRNRPQIRLVTSVLIALAVLCLLVSHVR >PAN19282 pep chromosome:PHallii_v3.1:3:17187895:17189514:1 gene:PAHAL_3G260000 transcript:PAN19282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNSRAFHIESSTTLSPLEQFEREVNAAAFSDKSKTRENAILKFRQFIRAIAEQISQVEESLENLSIGSNRTPKHLHSSEYDGDGLASFLSGTSKDDHVYHSTSADEIVELKLDDVPLVSGYHSTQEHRTYEHKNSEKDVEGAGKLKCSCGENACEGGHNNSSMYSLDADDSVGRRLFDKNKLSRQYRSFIRNLWFTKRGRESFTKRRKDGEDMDSLRNGHTLPSFNLPQSGRAMYFWPEVIKGRLSRSEYFTDRNRPQIRLVTSVLIALAVLCLLVSHVR >PVH63240 pep chromosome:PHallii_v3.1:3:63286134:63293432:1 gene:PAHAL_3G503100 transcript:PVH63240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAAVESALNGDSFIGRQAGVPRMKRKTPSELRGEQLKRCTSEKLANDQLPSSAAFDRSSNGLRNTEQQKISKYISTRVTEVFPVRKARNLGKENFKDALQSNEKICKTIDATAASNFASSSLTCGIGDSAKLDSSVPSTTEAAKPGFKKVEKCSANALRSVSELHVGDEKQTGSNKFDMEKVLKGFGARDAFVASRLSNLNVQVGDAALKSSDVCPSKITIPGKRAPLDFTLKTSLQFVSSSSVKWCHKLNTSFGRSSITGAIGQSCLRGCQNLEYPKPESKKEFLFSKALRSWVYPQSLLPSSIISAMLSSTARGENDFLLKRHQDWEDSFQNLYYMLRKNMLNIFYVYTSQFVALFIGGNHLEKKQSCNAYLSQSTRGLRSLLRKHGVCFSMPLCNTEVEQATEDDLIELSEIQRRNLGQLQALHLDALSDVDNTTQSLLAFTGNESVHGLYDILLNYKSLLNSLSAVDVPVLYSPQPFQNGCLHIPEVKCREMRRADMGLLSSGGFDAEPGSAFASTSGNICYSMEIKDPILPPWVVSGVCTAMSSDARSFDLTIATEPSSMGLNAALNSMTTAPQPEMATPTDGGAPVGGIPDAVLVPSLHSASLRRLSYTDGEYVAYTTV >PVH62099 pep chromosome:PHallii_v3.1:3:13376417:13378515:1 gene:PAHAL_3G206000 transcript:PVH62099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHKEESVLEKISDKLHGHGGGSSSSSSDSDDERSSATAAVKAKIYSLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKSPPNIPEVKIPEDLAVNVARSLRYEINRGFATLREIGQGHDLKKFLIVIAGLWILSVLGSCCNFLTLFYIVFMVLYTVPVLYEKYEDKVDAFGEKAMVELKKYYAIFEEKCLSKIPKCPSKDKKLH >PAN21155 pep chromosome:PHallii_v3.1:3:58326723:58328025:-1 gene:PAHAL_3G445800 transcript:PAN21155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAERKVRVAELALRALLCGLAALAAALVATDAQTRTFFSFQKKATFRDMKAMVFLVVATGVAAGYSLLQAARCCCCGLQPTSRRALAWCVFSCDQALAYAVLAALAAALQASLIAKRGQPELQWMGICAMYGAFCRQAGGGLASAVGAGVAAVLVAFISAFNLFRLYGDKTGGAARNGAGATW >PVH62226 pep chromosome:PHallii_v3.1:3:15605458:15612803:1 gene:PAHAL_3G240900 transcript:PVH62226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNPVGIDNTSRRKFDKEEYLERARQREQREKEEARKGKERGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLNQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPSTFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKREQAAQHEPEDVDPDIAAMMGFGGFGSSKK >PAN18988 pep chromosome:PHallii_v3.1:3:15605458:15612803:1 gene:PAHAL_3G240900 transcript:PAN18988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNPVGIDNTSRRKFDKEEYLERARQREQREKEEARKGKERGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLNQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPSTFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKREQAAQHEPEDVDPDIAAMMGFGGFGSSKK >PVH62225 pep chromosome:PHallii_v3.1:3:15606162:15612251:1 gene:PAHAL_3G240900 transcript:PVH62225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNPVGIDNTSRRKFDKEEYLERARQREQREKEEARKGKERGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLNQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPSTFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKVSAARQPDACMQIERTGSPTRARRCRP >PAN18455 pep chromosome:PHallii_v3.1:3:13228495:13229618:-1 gene:PAHAL_3G202800 transcript:PAN18455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGRCALLISLPAVLLLSLGGRASGMGLPPPPPMVNFSIGVQGVVWCKSCRYPGYFPPMDASPLPGAEVYLRCKHGRRALTVPGRSGPGGYFLIQTSLQMSSFTSQQCRLYVPSSPERACGVPAYPSGRNKGLPLKFQEFVNRGNGLQGMYSVGNRLFRPKYPGRCY >PAN21841 pep chromosome:PHallii_v3.1:3:62471887:62475667:-1 gene:PAHAL_3G493500 transcript:PAN21841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAVQCFFAPANAMAGATPPALRMSHTQFIEHLRRAAASARSPRAGEALHGWAIKSGAASHAPVSNSLITFYCSLSRPLLAAAFAVFEDIPAAMRDVASWNSLLNPLSRHHPLAALSHFRLMLSSYDRIVLPSPHSFAAAFTAAARAPSASAGAAAHALACKFPSSSAGSDNVFVSTALLNMYCKLGITSDARRVFDEMPNRNAVSWTAMVSGYAVGKCFEEAFEIFRLMLQECPSEKNEFFTTAVLSAVSMPSGLLMGVQVHGLVVKDGLVGFVSVENSLVTLYAKAECMEAALQVFGSSKERNSITWSAMITGYAQNGEADSATRMFLQMHAAGFSPTEFTLVGVLNACSDMGALSVGKQAHGLMVKLGFEMQVYVKSALVDMYAKCGSIGDAKGGFQQLYDVDDVVLWTAMIAGHVQNGELEEALVLYAKMNKEGIMSSNLTITSVLRACACLAALEPGKQLHAQIMKCGFGLGGSVGSALSTMYSKCGNLEDGLAVFRSMPDRDVIAWNSIISGFSQNGCGNGALDLFEEMKLEGTAPDHITFINVFCACSHMGLVDRGWFYFRAMTKDYGLIPRLDHYACMVDILSRAGQLKEAKDFIESITVDHGTCLWRIVLGACRNLRDFDVGAYAGEELMRLGTEDSSAYILLSNIYASQRKWNDVERVRRLMRLRGVSKDLGCSWVELNSRVHVFVVGEQQHPEAANINAELIRLAKHMKDEGYRQTCKFPFDEELDAPGESHGENQLELMSAAYS >PVH62931 pep chromosome:PHallii_v3.1:3:56744469:56746772:-1 gene:PAHAL_3G432800 transcript:PVH62931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGVSRTGNCSQILKNFSQRTAGPKLQILRVNGLAVVDAVLAGILVGIGTYGPHYQHRPIIRSLYHGATTLFLPILSYVVSDSASAYSAFGKPQIYSFRSPAGTEVQYRIICRTIWHRSLAVILAVLVLIVAINTCAIVAADEREGRPNTGLPVVLLVRAIWTSYLGLPLILLFAPRDRIFQCLVSLMFALVYAKITLRCCALQEARSSVALGRNPRLIAGYMKHLRDGIQGGIRAADHFPPPLILMGEDRLEVERRPHGFVFKRMGDQQDGMNNHGLVTIDRVWRSDSTLLTSEPWLKEVCLGFSLFKLLRCRFAGYTAAEAGLPEAYSFCLHLLLKDRDHERALAVITEELSFLHAYYYSSTAVLYSNRWLPMLNISASLLTMSCCLLLAGMVMARLEDFILLPQVICHVWCGLHSSDQDKAFHRSFGNLLCEMVPVLILLVVVVLGEARHVASYICSNWTKVALICHYVVDNHGALQQSPPLLQSWIGPVLRCRCMRLMKHWDDRMDQCSVLVLHSRTDPVALGMRLLRLPDKKHKVKVPRAVTKAIVDTIRSNNGLLTHPSTCLHRSLGVGDEGKSISGLILTWHIATSILGVRHQHHRPLSDDMVITTHLSRYCAYLVAYVPELLPDDDEWCKSLYRAVKKDSKRALSGGGLAAPAAEADYDKLVSLLQERAEHEVLRDGLKLGKELAELPEGEEAAWKWLARFWAGMILYIAPSDNLTGHALAIARGGELITLVWALLVHAGIAARPSATGPPATADDTV >PAN21022 pep chromosome:PHallii_v3.1:3:56744361:56747669:-1 gene:PAHAL_3G432800 transcript:PAN21022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGVSRTGNCSQILKNFSQRTAGPKLQILRVNGLAVVDAVLAGILVGIGTYGPHYQHRPIIRSLYHGATTLFLPILSYVVSDSASAYSAFGKPQIYSFRSPAGTEVQYRIICRTIWHRSLAVILAVLVLIVAINTCAIVAADEREGRPNTGLPVVLLVRAIWTSYLGLPLILLFAPRDRIFQCLVSLMFALVYAKITLRCCALQEARSSVALGRNPRLIAGYMKHLRDGIQGGIRAADHFPPPLILMGEDRLEVERRPHGFVFKRMGDQQDGMNNHGLVTIDRVWRSDSTLLTSEPWLKEVCLGFSLFKLLRCRFAGYTAAEAGLPEAYSFCLHLLLKDRDHERALAVITEELSFLHAYYYSSTAVLYSNRWLPMLNISASLLTMSCCLLLAGMVMARLEDFILLPQVICHVWCGLHSSDQDKAFHRSFGNLLCEMVPVLILLVVVVLGEARHVASYICSNWTKVALICHYVVDNHGALQQSPPLLQSWIGPVLRCRCMRLMKHWDDRMDQCSVLVLHSRTDPVALGMRLLRLPDKKHKVKVPRAVTKAIVDTIRSNNGLLTHPSTCLHRSLGVGDEGKSISGLILTWHIATSILGVRHQHHRPLSDDMVITTHLSRYCAYLVAYVPELLPDDDEWCKSLYRAVKKDSKRALSGGGLAAPAAEADYDKLVSLLQERAEHEVLRDGLKLGKELAELPEGEEAAWKWLARFWAGMILYIAPSDNLTGHALAIARGGELITLVWALLVHAGIAARPSATGPPATADDTV >PVH61380 pep chromosome:PHallii_v3.1:3:1041226:1046093:-1 gene:PAHAL_3G019200 transcript:PVH61380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYSEGMGSSSKKDDYALEEMTFALEEEVFQAPDIPGPSALEEINTLAENKNKESDKAEKRGEKKRKSSEKPAEKKEANKPPDSWFDLKVNTHVYVNGLPDDVTVEEIVEVFSKCGIIKEDPETKKPRVKVYTDKETGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFIAKKTDKQKKRRTKKVEDKMLGWGGHDDKKITIPTTIILRHMFTPAELRADEELLCELEEDVKEECAKFGPVDNVKVCENHPQGVILVKFKDRKDGSKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEEST >PAN15909 pep chromosome:PHallii_v3.1:3:1041226:1046092:-1 gene:PAHAL_3G019200 transcript:PAN15909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKTSGAAAAAGEVGWYVLGPNQESVGPYALTELREHFANGYLNESTILWAEGRTEWMPLSSIPELHSAVTTKDQPEQAAPDAEDDFEKFQKEVTEAEAEAESLKGSAEDGDERPATPPDGEEEFTDDDGTIYKWDRTLRAWVPQNDASGKKDDYALEEMTFALEEEVFQAPDIPGPSALEEINTLAENKNKESDKAEKRGEKKRKSSEKPAEKKEANKPPDSWFDLKVNTHVYVNGLPDDVTVEEIVEVFSKCGIIKEDPETKKPRVKVYTDKETGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFIAKKTDKQKKRRTKKVEDKMLGWGGHDDKKITIPTTIILRHMFTPAELRADEELLCELEEDVKEECAKFGPVDNVKVCENHPQGVILVKFKDRKDGSKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEEST >PAN18901 pep chromosome:PHallii_v3.1:3:15205690:15208363:1 gene:PAHAL_3G234600 transcript:PAN18901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromeric histone 3 (Histone H3) [Source: Projected from Oryza sativa (Os05g0489800)] MARTKHAAVRKSKEQPKKKLQFGRSPHRKATPTGEASTSATPARAAGTGEGAAAGGTAGRQQQRVKKLHRWRPGTVALREIRKYQKSTELLIPFAPFVRLVREVTDFYSRGNVTRWAPEALLAIQEAAEFHLIELFEVANLCAIHAKRVTIMQRDIQLARRIGGRRW >PAN18900 pep chromosome:PHallii_v3.1:3:15205690:15207467:1 gene:PAHAL_3G234600 transcript:PAN18900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromeric histone 3 (Histone H3) [Source: Projected from Oryza sativa (Os05g0489800)] MARTKHAAVRKSKEQPKKKLQFGRSPHRKATPTGEASTSATPARAAGTGEGAAAGGTAGRQQQRVKKLHRWRPGTVALREIRKYQKSTELLIPFAPFVRLVREVTDFYSRGNVTRWAPEALLAIQEAAEFHLIELFEVANLCAIHAKRVTISKLSLNGHLY >PVH61929 pep chromosome:PHallii_v3.1:3:10277744:10280013:1 gene:PAHAL_3G160300 transcript:PVH61929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPLPVLRGQRVWHMVDAPSIATRLEVDERGGATTPLNLEVSDRVVAVRVGVERHVLELPEGGHAVSSLGNAGGAVRRLAMVEVAHQNEMMSKMSAEEEGLGEVDTEELGADGDYVWATVGALRVGVGSAARGDDGNPRWQGNR >PAN17372 pep chromosome:PHallii_v3.1:3:8251123:8254031:1 gene:PAHAL_3G127300 transcript:PAN17372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSGEAAMSPPSSGGSGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLSDNIMVSPARSETASCFRDEILSQYSPMSEDSDDYRCYDTQLNPGVSQPDAMISPSTSPMSSPHRHQKPQSPLLPSNVYPLPSCSLSSVVCSHARRGSDNEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHAYDLPFGIRQEQEHVHGHEDEHEHAHLEGLEGAERSSSCSKSIDDEVGYRRPDHDFGRPEHEIDYIGNCTSVDCPSAPKFKQDKSHCKFDTSMDKNR >PAN17373 pep chromosome:PHallii_v3.1:3:8251123:8254031:1 gene:PAHAL_3G127300 transcript:PAN17373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLNGLSVGDSLSDNIMVSPARSETASCFRDEILSQYSPMSEDSDDYRCYDTQLNPGVSQPDAMISPSTSPMSSPHRHQKPQSPLLPSNVYPLPSCSLSSVVCSHARRGSDNEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHAYDLPFGIRQEQEHVHGHEDEHEHAHLEGLEGAERSSSCSKSIDDEVGYRRPDHDFGRPEHEIDYIGNCTSVDCPSAPKFKQDKSHCKFDTSMDKNR >PVH62601 pep chromosome:PHallii_v3.1:3:27787256:27788555:-1 gene:PAHAL_3G334800 transcript:PVH62601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEAKCGGLAVAAGATVRRPLQQRDTNVAASTVVVAKAAPKPKAKPPKAVTRLAASPSPLLPPPTPPVVVKPRVKAECGAAAVVAVAEVSLAEELERARERRGRLREARERTEREMDDRAEALDREAAEWEHRADEQRRLVAELMRLIGMPEVCTPVESLRSREERKRRGAIGPSVSRGSTAKASTLPADVGAESCSDQESEATGGTKEAVKTTEITA >PAN20640 pep chromosome:PHallii_v3.1:3:27787590:27788461:-1 gene:PAHAL_3G334800 transcript:PAN20640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEAKCGGLAVAAGATVRRPLQQRDTNVAASTVVVAKAAPKPKAKPPKAVTRLAASPSPLLPPPTPPVVVKPRVKAECGAAAVVAVAEVSLAEELERARERRGRLREARERTEREMDDRAEALDREAAEWEHRADEQRRLVAELMRLIGMPEVCTPVESLRSREERKRRGAIGPSVSRGSTAKASTLPADVGAESCSDQESEATGGTKEAVKTTEITA >PAN16950 pep chromosome:PHallii_v3.1:3:6180597:6183730:1 gene:PAHAL_3G097600 transcript:PAN16950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLHVKSPDAEEETEFLYECAASTAVADVAAALGALAGLQTRLLSLCRRLRARYADAGAAAVGELERALDEAEAYASKEQVQHNRFLSPRALREHIKNIEKKCATALQEPPEALDLQKSSSDNKHERIQLWWAGKELAMDHKLCDYIGINDKSKIVVKLTRAHDDH >PVH61663 pep chromosome:PHallii_v3.1:3:5754008:5754707:-1 gene:PAHAL_3G089200 transcript:PVH61663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYNDRVRNKGDQHFHRPAVRILSVKIVSLDACFPIQVYGTVIARDSVDLKCLRLFRCEKDHCQLISSKDESLILTGPKRGLLLLDDAYVEIDLKIRDPQGQEDKGLSKGFLTIRGIAPRRLDKCVVESKDLATRLSTMEVMYAVVNNAVEATMAILLISKLLLSSRG >PVH61636 pep chromosome:PHallii_v3.1:3:5384090:5384605:-1 gene:PAHAL_3G082500 transcript:PVH61636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQLTMVIFLVVLLASSSPCLARASRMMILSDHHAQPNSIKSSSISTSPAATSATTSTTSPQQLAQGVAVPSPPVAPATVDDVDHPESNGGWIPEGSVPSPGVGHHP >PVH61723 pep chromosome:PHallii_v3.1:3:6587287:6587430:1 gene:PAHAL_3G103400 transcript:PVH61723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILGGRCAESCCQVLCVWSLDGTSELLGGLVQAHMIIFHNPYSEP >PAN21982 pep chromosome:PHallii_v3.1:3:63401402:63406558:-1 gene:PAHAL_3G504500 transcript:PAN21982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASPSIAKDVTELIGNTPLVYLNKVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDGLVSGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAVLNGGKPGPHKIQGIGAGFIPGVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAIRLAKRPENAGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVEP >PAN16070 pep chromosome:PHallii_v3.1:3:1724041:1727138:1 gene:PAHAL_3G030700 transcript:PAN16070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAATTLEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYNDTLATLKFADSKTLKEEQREELFESLKVNSSIGWEVDVICPKDLSAKMLKRSKVNLNEISHNSAMGLVRKVLDMGVLLAEVYIDTVGDPEKYRIKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGDPDTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWESDDVDEDATNNGRAKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQARNLELVRKFQ >PVH61410 pep chromosome:PHallii_v3.1:3:1724071:1726912:1 gene:PAHAL_3G030700 transcript:PVH61410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAATTLEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYNDTLATLKFADSKTLKEEQREELFESLKVNSSIGWEVDVICPKDLSAKMLKRSKVNLNEISHNSAMGLVRKVLDMGVLLAEVYIDTVGDPEKYRIKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGVYGADPDTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWESDDVDEDATNNGRAKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQARNLELVRKFQ >PAN17510 pep chromosome:PHallii_v3.1:3:8813085:8813700:-1 gene:PAHAL_3G136900 transcript:PAN17510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELQRSSQTFRRSGSSGLVWDQNQRGHGATGDTGEDSLEVKELRHSRSVGSIGMLQQSRSGDGKEHNRFNDDNQAFRTRHVPPALDPPSPKVSSCMFCGIFRKEEPSRTSKPNPRRY >PAN18316 pep chromosome:PHallii_v3.1:3:12694449:12695381:1 gene:PAHAL_3G193900 transcript:PAN18316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSAADDLLFCPDCHRRTEVVLDHATGDTICTECALVLDAHYVDEGSEWRNFADDGGGEDRDPSRVGGRNDPFLNNAPLDTRIVFNGPQKTLAEGGHALPRMRINGGPDPEQTLVEAFRAIADMADRLGLVATIRDRAKDVYKKMDEAKACPRGRRRDEFYAACLFVACRNEGKPRTYKELATATSAGAGAKKEVGRMTTHIKKVLGEGAGQVMDIGVVHAVDYMRRFCSRLGMGNQEMRAAQEAARRLDDSLDVRRNPESIAAAISYMVVQRAGASKTVRDVSMATGVAEATIKEAHKDLTPHVELLFA >PVH62176 pep chromosome:PHallii_v3.1:3:14757673:14759332:-1 gene:PAHAL_3G226900 transcript:PVH62176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKLMSESGFSVLEAGKSSQGSTAVDSNQVYISGFYNNTSVQILTHAV >PAN21151 pep chromosome:PHallii_v3.1:3:58278292:58280970:-1 gene:PAHAL_3G445300 transcript:PAN21151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEEDLESPLLSDGEPAPADTKGCAYALVCALLASLTSIIYGYNRGVMSGAQKFVQADLGVTDGQLEVLIGATSVYSLVGSLAAGWTCDRAGRRRAVALAAAMFLAGSAATAAANGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPASSRGFLSSIPEIAGNSGILLSYIADFALADLPTTLNWRLMIGIGAVPPLFLAAAAVLAMPETPRWLVLHGRHDEARRVLARTAGDADRRLREIAASVREASKGGAGGEGVWRELLLRPTPAVRRVMLAILGLQVFQQACGVAAMVLYAPRVFSHVGITSDRAVLGATVLLGAAKTVAIVVPLFLTDRLGRRPMLLASAAGMAASLLVLGLSVRAPPAAASYSWWAAATCVAAAAAFMATFSLGFGPVISMYGSEILPLRLRAQGTGIGTAINRVMSAVVGMTFISMYEAVGMAGTFYIFAAFSAAAWVFVYACLPETKGRSLEEMEALFDAGANKPSPRATLS >PVH62443 pep chromosome:PHallii_v3.1:3:20101153:20101926:-1 gene:PAHAL_3G294600 transcript:PVH62443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGARIGAARGRGDRCAAVGRTRGEQGTAGGPDPWQATCGGGPDPRLAAAAWGRGKQRAAAGWTRGERRWRGAATSGVRRRRGPGELRPQRAATAQGPASGVRSARRRSSPRVAAARGSSGPRRVGAGRKRS >PAN21135 pep chromosome:PHallii_v3.1:3:58156640:58160100:-1 gene:PAHAL_3G444200 transcript:PAN21135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASAGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVHRKWLSTTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPIVGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFSDDFDIGSVSTSVKGVGVIGDVKAIDLQSPIGSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQAALEEQRITLAAAAAAANSNATESSPVAGPQENDKVDKIYEPLGINIQQLPRDSSAASTDQPNENVEEHQFIPNLIGMSPMRNGQEGNGELNNLTNLENSLDDICIGLHLGEREPKRLRSDSTLDIDLQK >PVH62674 pep chromosome:PHallii_v3.1:3:37858945:37860617:-1 gene:PAHAL_3G364500 transcript:PVH62674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYTNHDYETRTDRAYRLVIKERRTYYATEINHDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCTQHLMEVAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLVEETVRILTRFMDVQHHYQILLCHGVNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHHEDQINESDHIITQRDTVIEFLQAQIHDLILAADDAQAHIEELQQQPILHAIPIMPEEEEEDPEEIEGVSEIDSEHGDPVLSPFHSPSGSQSSIGNFDDF >PAN20024 pep chromosome:PHallii_v3.1:3:22513789:22514865:1 gene:PAHAL_3G313800 transcript:PAN20024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRMYILGSLPLKALRVLDVTRCSDLSPPARTPSRVWRPCRLRHCSLKSSDIQALMDAAPGLASVHLESVFFKGFRAGSGEAPGVCLRCRAVTELVLELCGMEGQEDDGGDRGSVEFDAPRLRHLRYKGTERRLSLTSPAPDMAVLELHFVQCRYHYQSRDYDPDKTRVLFWQSVRSFTNARVLKLRVNSLKDIAVGKARRGKLLCTFRDAVRLELEGAHHPTTSKAAAVAIANLLRCCPAVRDLRLKLSAVPSNSVKNSDYGPAFLERKDRLDYEKSIGRFMRLRLNPVISLDGNDEHDEVPDDDIPGLSGHSLICLESSLRRVGLQFRLENNSSCFGTRLVKFFTGNAKVLEEMC >PAN19789 pep chromosome:PHallii_v3.1:3:20556645:20559412:1 gene:PAHAL_3G299600 transcript:PAN19789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGRAGPMVRAGVEGETRCGGGGSPARPPRRRRRQARRPLRPAGERREGRSTGEAGSRAGARSRGGAKRWPGRSAAGCRPPRCPAGAAAAAAAAAVASRWFRRPAGGERGGGRAGGSGRQPMAMPTVVLTRARPRQGHRRRRGAACALAGTARWSPTRAPTARRIPASALSWSATTSSPRTATRTPTSPPSPTLPRRSRPGAHTG >PVH62756 pep chromosome:PHallii_v3.1:3:45942981:45948531:1 gene:PAHAL_3G388600 transcript:PVH62756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPERDGEEQRRPFLSSSSSSSPPAASAAAEQQYQFLGHSSSSVLRGVGGGGGLGWGGPEVSADEVRSAASFSSAAGFCPPPPQAPAPLGDRVYPYPPSIHSAVLSPSASHAPSSPHPNEGLAIVPQGLYPYGGGGGGGYQPSESVARDVLDEVEIRQLLIDHVGHRCFWGSRPALMWNITSIEDCNVYVGTLETFIEERDIVTKKEPYESGKIDGRDKGPVLGVWELDLRSEFPQLFLPEKEVMVKIPHSEVIEKCSDCEGRGEKPCPTCNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDSVCGMCNGKGMLPCIACGSRGLVTCNTCTGYGSLLAQSIAHVRWKTLSARKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVITSRLPVPPSARVISERHIISVVPVTRVTMAHRKQSFSFYVVGYSRDVFIRDYPSKSCWGLCCCFEWLGK >PAN20689 pep chromosome:PHallii_v3.1:3:45942981:45948534:1 gene:PAHAL_3G388600 transcript:PAN20689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPERDGEEQRRPFLSSSSSSSPPASAAAEQQYQFLGHSSSSVLRGAPAPLGDRVYPYPPSIHSAVLSPSASHAPSSPHPNEGLAIVPQGLYPYGGGGGGGYQPSESVARDVLDEVEIRQLLIDHVGHRCFWGSRPALMWNITSIEDCNVYVGTLETFIEERDIVTKKEPYESGKIDGRDKGPVLGVWELDLRSEFPQLFLPEKEVMVKIPHSEVIEKCSDCEGRGEKPCPTCNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDSVCGMCNGKGMLPCIACGSRGLVTCNTCTGYGSLLAQSIAHVRWKTLSARKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVITSRLPVPPSARVISERHIISVVPVTRVTMAHRKQSFSFYVVGYSRDVFIRDYPSKSCWGLCCCFEWLGK >PAN20688 pep chromosome:PHallii_v3.1:3:45942981:45948533:1 gene:PAHAL_3G388600 transcript:PAN20688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPERDGEEQRRPFLSSSSSSSPPASAAAEQQYQFLGHSSSSVLRGVGGGGGLGWGGPEVSADEVRSAASFSSAAGFCPPPPQAPAPLGDRVYPYPPSIHSAVLSPSASHAPSSPHPNEGLAIVPQGLYPYGGGGGGGYQPSESVARDVLDEVEIRQLLIDHVGHRCFWGSRPALMWNITSIEDCNVYVGTLETFIEERDIVTKKEPYESGKIDGRDKGPVLGVWELDLRSEFPQLFLPEKEVMVKIPHSEVIEKCSDCEGRGEKPCPTCNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDSVCGMCNGKGMLPCIACGSRGLVTCNTCTGYGSLLAQSIAHVRWKTLSARKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVITSRLPVPPSARVISERHIISVVPVTRVTMAHRKQSFSFYVVGYSRDVFIRDYPSKSCWGLCCCFEWLGK >PVH61725 pep chromosome:PHallii_v3.1:3:6649922:6652516:1 gene:PAHAL_3G104200 transcript:PVH61725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARLAGRWHAAVPCLLAAQATTRRRRWPPSGRDKLATDGRAAAREKFFLETLVSLSLSLSLSLGAIWRCFAAKEDSRGRTGSACLLRRAGVRVTPPRTQAMGPASTQRAHRRQARRILCERRRLGPYCVDFCISFCSPIILILSATNSINGSSLRNRRKQLQSSASLERERLPQWSHAETAAFLAVRAELDHSFLTTKRNKALWEFVSARLQGQGFARTPDQCKSKWKNLVTRFKGTEAAAAAASGHPAPDADHASAAAAAQQARQFPFHDEMRRIFDARVERAQALERKRAKGKDVQKEDDEGGGEGEDDDDEEELEAEMGEEEAGSRVPAEARGGGGAKKRRRKHAAAAAAARARTADQGEVEAMLREFMRRQVEMEERWMEAAEAREAERRAREEEWRNAMVALGEERLALVRRWREREEAWRARAEEREERRHQLVAALLAKLGGGSS >PAN15679 pep chromosome:PHallii_v3.1:3:572439:574929:-1 gene:PAHAL_3G010100 transcript:PAN15679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLICACILQLLLLATASGVAAQSQPLSPARILDATLQDYAYRAFVRPRTGIVYNATLPANLTGIAVAAVRLRSGSLRRKGFADYFEFGIPTGVVVQPHVERVVLVYHNLGSSSDNYYPLPGYTYLAPVLGLLAYDAANLSAVGLQELNIVASGSPISVTFSNVRAVPSGSAAPRCVVFDLNGVPQFRNLEATNVCSTFRQGHISIVVNSSKIAPSPAPPGAIAPPIPTPGGQKKGNSKAWRIAVSVVGAAIALGLLAVLLLCLVRYKRDKKLEVMERNAEVGETLRMAQVGRTQAPVALGTRTQPVIENDYAA >PAN15646 pep chromosome:PHallii_v3.1:3:738664:740556:1 gene:PAHAL_3G013000 transcript:PAN15646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERCTVKSEQGGDLFLPPGFRFHPTDEEVITSYLLQKFLNPSFDPRAIGEVDLNKCEPWDLPSKAKMGEKEWYFFCHKDMKYPTGMRTNRATKEGYWKATGKDREIFKPAAAAAEGAGRELVGMKKTLVFYMGRAPRGSKTNWVMHEFRLEGKSRNSNSNLRFNPKDEWVVCKVHHKNGEASINKPAEEYSVATPNVSSVVSDGTGEGDEFLDSMINPMYFNSASSLPSTTTTINAAAPPHNADYSLSSAAGATTATTTSSFVDLPNYGFNDATASYNLHQQVAVASSASSTNSSSSYSSLWNMLLNADHNQAMGSYNLHHQALVAKALGGNFAGGLPSSSSVTGILQHNYSQGVPQQKLGNNYGDSSTSAAIGPAATKNLGAPPNGY >PAN16674 pep chromosome:PHallii_v3.1:3:5027563:5028243:1 gene:PAHAL_3G076400 transcript:PAN16674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFLVLTLLALAASSTSAGLEPEEQPGYYGSLHPCGELLRQKCPMATGWPFLRPPMWYPSRCLAMRQECCLRIRQVEPPHRCQEVCSLVEAVVQQMLLQGSEYYELQRVALGAKNLPAMCGVSLPSYCTTPCAITGGGACC >PAN19045 pep chromosome:PHallii_v3.1:3:15913524:15915016:1 gene:PAHAL_3G245600 transcript:PAN19045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALAAVAFLLAALLAAASPLGSAAAAAGKHDIPAVFAFGDSTLDPGNNNGMPTLVRADHAPYGCDFPGGEATGRFSDGKLITDYIVESLGIKDLLPASHDPAVTVEEQASGVSFASGGSGLDDLTAQTALVSTFGSQISDFQGLLLRIGAPRANEIANKSLYVISAGTNDVTMNYFILQVRTVSFPTFDQYSDYLIARLQGYIQTLYSMGARNFMVAGLPPVGCLPVTKTLNSGAGECIAEQNAAAERYNAALQQALAKLEAASPGATLAYVDVYTPLMDMVAHPQKYGFTQTEQGCCGDGLPAMGVLCNTVLPQCLSPAQYMFFDSVHPTQTTYKALADHVVQSHIPKFIK >PAN19875 pep chromosome:PHallii_v3.1:3:21134903:21137767:-1 gene:PAHAL_3G304300 transcript:PAN19875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PVH61340 pep chromosome:PHallii_v3.1:3:781607:783371:-1 gene:PAHAL_3G014100 transcript:PVH61340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPSEPSIIPTTAGAGHVDLHHDMIVNPLPKDNSLRPAACSNTAEVSQLIARRSPFAATDGDSSSSSYASSMDNISRLLNGFMKSSPPQNDAADIKPSATDVNPLLSFNQYHMAGGTTLPAFKDMLPSPPPQHEPALMEHRGYDEQQHEGALSPIEKWLFEEAAEQVVDLMDLSDGCCSVPMMF >PAN20901 pep chromosome:PHallii_v3.1:3:54798944:54800350:-1 gene:PAHAL_3G419900 transcript:PAN20901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSDHQSLHILLLPFPVQGHINPLFQFGKRLASHKGVRCTLAATRFVASSTKPAPGSSSVHVAVFSDGCDGGGPDELGGPGGPYFERLESAGSETLDALLVSEAEEHGRPVHVVVYDAFLPWAQGVARRRGAACAAFLTQTCAVNALYTHAWAGRVPFRPAKEELAGLRGLPAQLEPGDLPSFLVDRSCPRSFREVVLNQFQGLDTAEAVLVNSFHELEPQESEYLASTWGAKTVGPTVPSAYLDNRLPDDVSYGIQLHTPMTAESKAWLDGHPARSVVYVSFGSMVALAADQLAELAQGLRASGKPFLWVVRATETAKLPESFRGRARAARGLVVPWCPQLDVLAHPSVGCFVTHCGWNSAVEAIAAGVPMVVVPQWSDQPMNAMCVEDVWHVGVQARPETEATAVVGRGEVERCVRTVMEGETGAGFRRSALDWSRKAKKAVSEGGSSDINILEFISKFRSLQVN >PVH61905 pep chromosome:PHallii_v3.1:3:9833128:9839156:1 gene:PAHAL_3G152900 transcript:PVH61905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGFCTDAAGHPFTRTANSTFTLHFDRRDDSMNITATVPEKMLEIQGVTRLVQATNDEKDLRIYLSFAQPVLNSSEEILSVLKATDAVLTPTGRSTLGNRRFGYVVNKISDTAIVTLACDTSYIISRQGTPVNSTEPFTFLYDTQRPSVKLGTSTWRTSSRNIPVLIKFAKPVFNFSSSAVQVSGGNVLSFHEASKSIYTLQIQVVDKLVSVQVSESAAQDVAGNPSLASDRLEVRHYSVPASSSLIAAITTIIFLATAVVATLLTVSTSSLLASGAIPRPSSYMISEPSRNLLRMACHIQIFALSRWLSVNLPIEYYEFAKGIEWSIPYMRLPWEGPGADPFLGYSTMPAIALSQLLDRSAVGAADISYPRAQGQPVMATTQIPSDPVLPTELPGDGTLIMPMQTPGSGTPVMPMQTPLDGKPLTAMEYRSFFENPDMKPEAQIIMKLQDLDGWKYLGRNMFWLAVIGGGLILLHLLTLLYFKLRYRGREGRHGHGALVLPRLEIMVAILATPCIAQAAAAVMRGGTTAGVAVGIALTGVLTALLVGLLLFLSLGIAMGRLLQYKEVHQEGREYHWYQELVRRTLGLGMRGQWTWKDLPRAACLVKLGPLFEDLRGPPKYMLTQIGTGGKRGAAAGGAGGGGGGERIMASEDENEDAEAPFIQKVCGVLRMYFTLLEWVKRVAVGIVAGAHESSGRSSRANAVVVLSIASFQLVFMVLKKPFIRKRVQLVEILSVASEVFVFAACLALIDWSASGEARGVGLTMLGLFALGFTAQACNEWNALLRQVRLLSADRSSLLDGAKAACLGLLLLVLPSSALGDRVAANHQQGLSPPNGGAGESVAASTPTPGEAGRGGSEGSRGSNERWWLGQLREMAKASFSKEGGGGPGAGGEEEASTSGTKARSGEWKSKSRALYNDLDAIFSNR >PVH61906 pep chromosome:PHallii_v3.1:3:9832990:9839156:1 gene:PAHAL_3G152900 transcript:PVH61906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERRERATGPVAQQQKRPNRGEGNSLAAPVLIGIIVVSLPAPPVQHQGETTASQAHHIFTCHCHRHSALALSLTFAFASAAFFFLPRVQCRLTFHLSHLPNPPASWTSIVHCSGGSSGQGEAKGSKHEHTCGTHGSAASHSLRCPGVCVLPASAFTSCLQQAAPCSCPVPPSPSCCSTSTSPSPLLQLASSWVVHNEKGKDRQMVWKSWGGAALVPAIVLLLLAVAPPLCSGADDVAVGFSAAPRRVSRSPSAAFAFRVTLTGAGGGPCGDCTVTCQLDGGRASDCGGSGNAGGNGTATVSYAGLEDGNHTLAVCASRRGGGGGGQSPTCATYAWDVDTVPPTASVSAGSAFTSATNVSALVSFTEPCPGGGGFVCNDTYCNLVVYGPGRVEPSTLRELRPGLLYSVAVAISPDAQYGRIILLMGRGFCTDAAGHPFTRTANSTFTLHFDRRDDSMNITATVPEKMLEIQGVTRLVQATNDEKDLRIYLSFAQPVLNSSEEILSVLKATDAVLTPTGRSTLGNRRFGYVVNKISDTAIVTLACDTSYIISRQGTPVNSTEPFTFLYDTQRPSVKLGTSTWRTSSRNIPVLIKFAKPVFNFSSSAVQVSGGNVLSFHEASKSIYTLQIQVVDKLVSVQVSESAAQDVAGNPSLASDRLEVRHYSVPASSSLIAAITTIIFLATAVVATLLTVSTSSLLASGAIPRPSSYMISEPSRNLLRMACHIQIFALSRWLSVNLPIEYYEFAKGIEWSIPYMRLPWEGPGADPFLGYSTMPAIALSQLLDRSAVGAADISYPRAQGQPVMATTQIPSDPVLPTELPGDGTLIMPMQTPGSGTPVMPMQTPLDGKPLTAMEYRSFFENPDMKPEAQIIMKLQDLDGWKYLGRNMFWLAVIGGGLILLHLLTLLYFKLRYRGREGRHGHGALVLPRLEIMVAILATPCIAQAAAAVMRGGTTAGVAVGIALTGVLTALLVGLLLFLSLGIAMGRLLQYKEVHQEGREYHWYQELVRRTLGLGMRGQWTWKDLPRAACLVKLGPLFEDLRGPPKYMLTQIGTGGKRGAAAGGAGGGGGGERIMASEDENEDAEAPFIQKVCGVLRMYFTLLEWVKRVAVGIVAGAHESSGRSSRANAVVVLSIASFQLVFMVLKKPFIRKRVQLVEILSVASEVFVFAACLALIDWSASGEARGVGLTMLGLFALGFTAQACNEWNALLRQVRLLSADRSSLLDGAKAACLGLLLLVLPSSALGDRVAANHQQGLSPPNGGAGESVAASTPTPGEAGRGGSEGSRGSNERWWLGQLREMAKASFSKEGGGGPGAGGEEEASTSGTKARSGEWKSKSRALYNDLDAIFSNR >PAN21397 pep chromosome:PHallii_v3.1:3:59966928:59968085:1 gene:PAHAL_3G462500 transcript:PAN21397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTIPEDVRAKAEIYVGDAAGQEKTRFLLQETGLPSGLLPLKDIVECGYVEETGFVWLKQRRKVDHYFARAGRHVSYGAEVSAVAEKGRLKKITGVKAKEMLIWVTLHEICVDDPPQGKLHCKAIGGLSRSFPVEAFEADGPPPAAAAAAARAVDVAGNGNGNGAAAKEEVAAKKEGAVEEEKKEEAPAAAGEEKKTKEEEEKGDTAAAIDKVEEKLKEMSTEVPARKHADAVAAKN >PVH63244 pep chromosome:PHallii_v3.1:3:63385261:63387207:1 gene:PAHAL_3G504200 transcript:PVH63244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRRSAAAVALLPDDAIVEILSRVPARSLCRFKCVSRVWRDLLAEPLRRKKLPQTLEGFFYAYDGDDEVHCGNRDDGGGEGGRIVHGRFINTLGRSVPLASFSFLGEQPGIEELGLLHSCNGLVLFGHRRAGDTYDSLGFIVCNPATEQWVAVPNSGWNPNLFHPWDDSSCRFTYLIFDPAVSSHFQLVQFWTYADRFLEGVHTYSSETGIWSERTSEWGSDWALSFFARSAFVHGMLPLSTTLLV >PAN19433 pep chromosome:PHallii_v3.1:3:18209577:18213478:-1 gene:PAHAL_3G272900 transcript:PAN19433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKLGKPPPPPAAAQEEGREELFASCSFADLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVDRTHGTFALVLVPTRELCLQVYGIAQQLVHRFHWIVPGYVMGGENRAKEKARLRKGISILIATPGRLLDHLQHTASFVYSNLRWIVFDEADSILELGFGKAVEDILEHLGSRNGAPDQNKNKGEHIRRQNLLLSATLNEKVNRLAKISLKNPVMIGLDDQKKPSGKSNTLGNSHTSLLSDDEEDGILEKQNDVLEHAVDDFKLPAQLVQRYVKVSCGSRLAVLLSILKSLFERQISQKVVIFLSTCDSVDFHHTVLSQLEWSSGPQLDMDKKQKFLSCKVFRLHGSMEQDDRKKSFLGFGSEKSAILVSTDVAARGLDFPKVKYIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIELDYLKDLESHGVSLTEYPFQKVLDSFPVNGQKPHKRKIISLDMHPWIMSLQRSLEGFVAAEDATKKLARDAFCSWIRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRQQPAKRRKLPSKK >PAN19432 pep chromosome:PHallii_v3.1:3:18209268:18213693:-1 gene:PAHAL_3G272900 transcript:PAN19432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKLGKPPPPPAAAQEEGREELFASCSFADLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVDRTHGTFELCLQVYGIAQQLVHRFHWIVPGYVMGGENRAKEKARLRKGISILIATPGRLLDHLQHTASFVYSNLRWIVFDEADSILELGFGKAVEDILEHLGSRNGAPDQNKNKGEHIRRQNLLLSATLNEKVNRLAKISLKNPVMIGLDDQKKPSGKSNTLGNSHTSLLSDDEEDGILEKQNDVLEHAVDDFKLPAQLVQRYVKVSCGSRLAVLLSILKSLFERQISQKVVIFLSTCDSVDFHHTVLSQLEWSSGPQLDMDKKQKFLSCKVFRLHGSMEQDDRKKSFLGFGSEKSAILVSTDVAARGLDFPKVKYIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIELDYLKDLESHGVSLTEYPFQKVLDSFPVNGQKPHKRKIISLDMHPWIMSLQRSLEGFVAAEDATKKLARDAFCSWIRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRQQPAKRRKLPSKK >PVH62146 pep chromosome:PHallii_v3.1:3:14053300:14055153:1 gene:PAHAL_3G216100 transcript:PVH62146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDVDTEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKRLRKAKKEAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >PAN19760 pep chromosome:PHallii_v3.1:3:20326043:20332014:-1 gene:PAHAL_3G297200 transcript:PAN19760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNPDAAGMQSVAAAGAGEGSSSSFGAVAGAIVAAGEQAPRRALAVRKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDTSLGHLLGNEYMNLSCGKDIMLDGKFAGSFALERKIDLTNYIRWWLPKKTRQSDTSKAEEVADEVRAIESSMQQTEPYKLPSLGLCSPSKPSSAGLSACSILSQSDAFKSFLERSTKLSEECTLSKEIVEGKAVASVPATGHDTAAVNINMNELLVQRATYSMAPVMPTPMKSTWSPADPSVDPLFWSNFVLPSSQPVTMATITTTTFAKNEVSSSDPFQSQE >PAN21812 pep chromosome:PHallii_v3.1:3:62208916:62216997:-1 gene:PAHAL_3G490300 transcript:PAN21812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSEEMEESDALGGVAVQLIDGEGGFEAEGAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVDPCTVVLDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVPKPEAHKDTPIGEFFNVEVTALSSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVILENKDLDLPAHKVMVATVRCDEIANEKFGCITSDTEWLDLENAVQSGPVPGFGKKLGYIVDVHLQEYDKEAVYFDEAVRKGKRQHLESRIVNLVQPAFQKMLTHLRTKALEKFKTGLISSLESGKSFAASVRDNKECSLKEFEQGCADAVIKQANWDCTKILEKVRRDIEDHALSVRESKLSELTAQAKEKLRKALAEPVESLFDAADQTTWASIRNVYKRETESILPEFLKTLCGFEMEYAPAEEMVSKLRDYARSVVESKAKDEASKVMIHMKERFTTVFSHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVMVGIRWDDEPDSIESILTSTLLEGSVVAKIASATSADPLASTTWEEIPPKQTMITPAQCKSLWKQFKAETEFTITQAVSTQQAHRRGNSRLPPPWAIVAMAVLGFNEIMTLLRNPIYLFLLFVGYLIVKALAVQLDVNREFQNGVVPGIISVSTKLLPTIQNLVNKVAAEQQAEHQHPQAAEPPPPQMQPPPLLLSPRSPMSELRRLHMPSSPRKVGSPSPSSSSSSAVSSPRHVAEDQKPRPPVVAGHEGENESNSADSIV >PAN18486 pep chromosome:PHallii_v3.1:3:13326088:13329711:1 gene:PAHAL_3G204900 transcript:PAN18486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKSPLSLSPMGGRDRDRDRELLIPVSGGGSAPGDGDGDGDRASSSSTSAALSSSGREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFLIGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQVIIGERTGPSRS >PVH61447 pep chromosome:PHallii_v3.1:3:2199117:2205653:1 gene:PAHAL_3G035500 transcript:PVH61447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRPRIDIDWKAPRASSDDEKRRGTSYPTRRFGGLRGELEQRRGERGPAAVADDGANRRVTRAAAKAAARGPDARSDAANVFNFSQEDEEGEDAGRKYSPCSSGKKNYGVLPIIKRKRHGHVGPRTIPVDKMYSSQPFSKSGNQQRAHSIDPEESDHGKCQQSESFSFSRFSKRRKEQLQDSSSVYSRKVQDVVLLDDEDMQTEGEVNYEISDIRNEPKIYYPSRDDPEAVELTSSDISCLDPGAFLSSPVINYYIQNIKRTRLNREDCRDKFYIFNTYFYGKLEEALYQLGDLSKLRRWWKGVNIFHRAYVILPIHGAVHWSLVIICMPAKESVSGPIILHLDSLGMHHSTKILNTVGRYLEEEWQHLKKNTSPETSVSEIICEDLPSNIHKEKVQVPQQNNAYDCGIFMLYYIEQFIREAPERFTIDNLDMFNCSWFKPEDASGLRLRIRELLQEAFESACHVLQYIQQTSKSAGCAARRRTRDARSRSTSRGSYELRSRGPASQGFNALDNLVSRSRRSSPTRPPSSSVAAGPSSPGSATSCATPAIGDDLKATVEDLTKAVQALQATAEANARAIQALSTDQPSSAGHPPSSGEHCYII >PVH61446 pep chromosome:PHallii_v3.1:3:2199117:2205653:1 gene:PAHAL_3G035500 transcript:PVH61446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRPRIDIDWKAPRASSDDEKRRGTSYPTRRFGGLRGELEQRRGERGPAAVADDGANRRVTRAAAKAAARGPDARSDAANVFNFSQEDEEGEDAGRKYSPCSSGKKNYGVLPIIKRKRHGHVGPRTIPVDKMYSSQPFSKSGNQQRAHSIDPEESDHGKCQQSESFSFSRFSKRRKEQLQDSSSVYSRKVQDVVLLDDEDMQTEGEVNYEISDIRNEPKIYYPSRDDPEAVELTSSDISCLDPGAFLSSPVINYYIQNIKRTRLNREDCRDKFYIFNTYFYGKLEEALYQLGDLSKLRRWWKGVNIFHRAYVILPIHGAVHWSLVIICMPAKESVSGPIILHLDSLGMHHSTKILNTVGRYLEEEWQHLKKNTSPETSVSEIICEDLPSNIHKEKVQVPQQNNAYDCGIFMLYYIEQFIREAPERFTIDNLDMLVQT >PVH61448 pep chromosome:PHallii_v3.1:3:2199117:2205653:1 gene:PAHAL_3G035500 transcript:PVH61448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRPRIDIDWKAPRASSDDEKRRGTSYPTRRFGGLRGELEQRRGERGPAAVADDGANRRVTRAAAKAAARGPDARSDAANVFNFSQEDEEGEDAGRKYSPCSSGKKNYGVLPIIKRKRHGHVGPRTIPVDKMYSSQPFSKSGNQQRAHSIDPEESDHGKCQQSESFSFSRFSKRRKEQLQDSSSVYSRKVQDVVLLDDEDMQTEGEVNYEISDIRNEPKIYYPSRDDPEAVELTSSDISCLDPGAFLSSPVINYYIQNIKRTRLNREDCRDKFYIFNTYFYGKLEEALYQLGDLSKLRRWWKGVNIFHRAYVILPIHGAVHWSLVIICMPAKESVSGPIILHLDSLGMHHSTKILNTVGRYLEEEWQHLKKNTSPETSVSEIICEDLPSNIHKEKVQVPQQNNAYDCGIFMLYYIEQFIREAPESLIAVGSNLKMLLG >PAN17248 pep chromosome:PHallii_v3.1:3:7424410:7427608:1 gene:PAHAL_3G116000 transcript:PAN17248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLMIVSDLDQTMVDHDDPEDLSLLRFGALWEAEFAHDSLLIFSTGRSLISYKDLRKEKPLVTPDITVMSVGTVIAYGADMVRDVDWEEYLNSNWDWDIVVEEAAKFPQLKPQWENDQSPHKVSFLVDKQCAQEVMHALPQKLQSRGIHVKIIFSYGVLLDVLPQGAGKGQALQYLLNKFSFQGKAPNNTLVCGDSGNDTELFSVPSIHGVMVSNAQEELLQWYEENATYNPKIIHATKRCAAGIMEAIGHFKLGPSVSARDLELPYPKLDIIKPADVVVKFYVLYEKWRRGEVQKSSSVIQYLKSIAHLNGKIIHPSGLEHSLHASIDALSLCYGDKQGKKFRAWVDGLVTSLIGTSNWLVKFENWEMEGDARYCCHTTLQLNMKPEGLELVHIHKTWLEGHSAGSEHMFII >PAN19301 pep chromosome:PHallii_v3.1:3:17399400:17403166:-1 gene:PAHAL_3G262800 transcript:PAN19301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDMAVKEPLDLIRLSLDERIYVKLRSERELRGKLHAYDQHLNMILGDVEETVTTVEIDDETYEEIVRTSKRTIPFLFVRGDGVILVSPPLRTV >PAN21076 pep chromosome:PHallii_v3.1:3:57481173:57482192:1 gene:PAHAL_3G437800 transcript:PAN21076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSKTWNIQNYILAALGGTLAATAIVIMVSAIFRPARISFFVTHATRSTLSGGDGVWLNLTVSANTSGQRRTQVKYESIFIDLVNSTKPTATDKVHAVVTAWPEDYLPSPSLISVDASALLIDNSTIEGFAGNLSNIIRGLTVVVMAQVHFRVGVVPTRLYGIKMFCGGVHFTDDQDSSDATVDCHS >PAN19371 pep chromosome:PHallii_v3.1:3:17929924:17933082:1 gene:PAHAL_3G269200 transcript:PAN19371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSWIRLRPPRAALLILLLLALNLTFSIAANFEGFDSDDLPSAAGGLDADEDEEGLDGVDLPPPPPISLSTSAPSPPVMTTSTPNPNPAAPTPPKHTPALDLWDEDEFEGIPVPEPISSDDSAAPAEAAPSDPAAEAAAEAAPAPKRTPAELLRAFSVEIACVSFLICFVLNYFTGKKQNESIALAWATKFATRDSIFEKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKFVELVFPRKDTITFEVVMNEDAMDHVILAVARKKAAKTMQKEERDLQRFANVLTSAPAGRKWVSDELAVVAESKEVAGDMITEAVLDQVLGEKAFEKFGKWFISLHFSDQLAGSYKKVLTFKFVLPDASNMSEMTRLVALVPYYIDLVGRYKLSSHARSKTDGARTKAAQEAFRELQSARQEALQRKKAEKKKLLEEVDAKLSAEALRRKEEKERARQMKKSGPKVKMLRS >PAN19493 pep chromosome:PHallii_v3.1:3:18517033:18522812:-1 gene:PAHAL_3G277100 transcript:PAN19493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIYKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTRVTTRELIAKYGLSEDTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGRVVRAIAIMSHPIPNTNESHSVQIILPQKQLGRRSDMYVFCCSYTHNVAPRGKFIAFVSAEAETDNPQSELKPGLDLLGSVDEIFYDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY >PAN20809 pep chromosome:PHallii_v3.1:3:53422204:53427135:1 gene:PAHAL_3G413000 transcript:PAN20809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAANHCLRPLQSPTPPLPVSLSLVAAPASRHLRRARAPFASWRPPPLPPPSPPPLPKKGVSGTSHEAAEEDGLVVDEDAEAEEQLEFGDGEYVSSVGTSFSLPARLRAARAAPGGDPVFFLLATAAVTTCVAFTGMVVVAIPTMLAMRRAANSFSMLADAALEQLPSTMAAVRLSGMEISDLTLELSDLSHEIADGVHKSAKVAQAVEAGIGQMQNIARQQAKSMVEERANLRTIPTVGQAFR >PAN20810 pep chromosome:PHallii_v3.1:3:53422204:53427135:1 gene:PAHAL_3G413000 transcript:PAN20810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAANHCLRPLQSPTPPLPVSLSLVAAPASRHLRRARAPFASWRPPPLPPPSPPPLPKKGVSGTSHEAAEEDGLVVDEDAEAEEQLEFGDGEYVSSVGTSFSLPARLRAARAAPGGDPVFFLLATAAVTTCVAFTGMVVVAIPTMLAMRRAANSFSMLADAALEQLPSTMAAVRLSGMEISDLTLELSDLSHEIADGVHKSAKVAQAVEAGIGQMQNIARQQAKSMVEERANLRTIPTVGQGKESHKSSSRHRQ >PAN21746 pep chromosome:PHallii_v3.1:3:61795030:61798753:1 gene:PAHAL_3G486200 transcript:PAN21746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLGRRSNTAQFIGAFACGPVRRAVVLGRPGPHEKAPSRRRRRCRPPSAAVARPHSSTPAAGSSDPPSGRSPPRPSASPAPMEQGDGSAAAAAEQQAGGAEEQQLAEDLLHRFERVLHSDPLIDEVGFLHPTQFNSLGCGQDGDSAPQAPELQHGYFWCRDHKLAISAEILPKLYRAARDAYYSSRNAPLPATHLMSHTKALLILCPDMLTAWNSRKMVLSEKYDFTKLKDELQLCALILSYSPKNESTWSHRRWVLKQVAEQHQDMAELVEKESVLVKEIAERSKMNYRAWRHRCWLIPYMTRKQVLDELKKSTRWSELHVADNCCFHYRRSLLLALLDIRENREDSLSWESETYLLWKEELRWNEMLIRRYQGRESLWNHRRFLSPWWIQQLLAVEKTCPSMTSQVDLFIIQEIGLLSECLNDPVDEFEESHVQAELSALYILWIAKQVPAVKGKLEERLHSVSIMGLKDVLVRACRPEKMRLWMNLLGLADPSQ >PVH63175 pep chromosome:PHallii_v3.1:3:61795530:61798462:1 gene:PAHAL_3G486200 transcript:PVH63175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHLAAAAAAAVRRPPPSPARTAPRLPPVPPILRAGVPRPDPALPRPRWNKETDRQLLRRSNRRAEQRSSSSPKISSTASSESSTLTPSCIDEVGFLHPTQFNSLGCGQDGDSAPQAPELQHGYFWCRDHKLAISAEILPKLYRAARDAYYSSRNAPLPATHLMSHTKALLILCPDMLTAWNSRKMVLSEKYDFTKLKDELQLCALILSYSPKNESTWSHRRWVLKQVAEQHQDMAELVEKESVLVKEIAERSKMNYRAWRHRCWLIPYMTRKQVLDELKKSTRWSELHVADNCCFHYRRSLLLALLDIRENREDSLSWESETYLLWKEELRWNEMLIRRYQGRESLWNHRRFLSPWWIQQLLAVEKTCPSMTSQVDLFIIQEIGLLSECLNDPVDEFEESHVQAELSALYILWIAKQVPAVKGKLEERLHSVSIMGLKDVLVRACRPEKMRLWMNLLGLADPSQ >PVH63174 pep chromosome:PHallii_v3.1:3:61795030:61798753:1 gene:PAHAL_3G486200 transcript:PVH63174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLGRRSNTAQFIGAFACGPVRRAVVLGRPGPHEKAPSRRRRRCRPPSAAVARPHSSTPAAGSSDPPSGRSPPRPSASPAPMEQGDGSAAAAAEQQAGGAEEQQLAEDLLHRFERVLHSDPLIDEVGFLHPTQFNSLGCGQDGDSAPQAPELQHGYFWCRDHKLAISAEILPKLYRAARDAYYSSRNAPLPATHLMSHTKALLILCPDMLTAWNSRKMVLSEKYDFTKLKDELQLCALILSYSPKNESTWSHRRWVLKQVAEQHQDMAELVEKESVLVKEIAERSKMNYRAWRHRCWLIPYMTRKQVLDELKKSTRWSELHVADNCCFHYRRSLLLALLDIRENREDSLSWESETYLLWKEELRWNEMLIRRYQGREEIGLLSECLNDPVDEFEESHVQAELSALYILWIAKQVPAVKGKLEERLHSVSIMGLKDVLVRACRPEKMRLWMNLLGLADPSQ >PAN16434 pep chromosome:PHallii_v3.1:3:3780169:3782259:1 gene:PAHAL_3G060200 transcript:PAN16434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAKQLFAASEVALHSSRKDCWVAIGGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAISMMDSFLIGSIKGYVPPSASKATGAWGLDAPPNSRSMQGNKGPPNPNTFLDFLLPLFVLGLASAAWYYLTFISKNH >PVH61972 pep chromosome:PHallii_v3.1:3:11040841:11043333:-1 gene:PAHAL_3G166800 transcript:PVH61972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVALRGGDRLDPAIARQANPLGAAAMRKGAFSASPSVVTPFAAPPQRHIVGEHPAAKSCAAGASFGGTTNSVANLLHGSRIMFGPPMALPSAFISGVPHIPTPLPPGPMLFQNSPAAYCGGYFQSLPHGFQAAAPAVAATQSGGCRAEISSSAGPAGGAIAETSSSAGSRGLSGRNCFPGRLLFLRIEPNCS >PAN18226 pep chromosome:PHallii_v3.1:3:12279237:12286671:1 gene:PAHAL_3G187100 transcript:PAN18226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKLQQACTALGDHGEESALPTLWDSLPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHQPRKRYTDFAAVRKEIADETDRETGRSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRAYRLQHPWVGVVNRSQQDINKNVDMIAARRREREYFSSTPEYKHLAPRMGSEYLAKMLSKNLEQVIKSRIPGLQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDSIYKEHLDGVRPGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCATLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQSEIDAVAWSK >PVH61331 pep chromosome:PHallii_v3.1:3:699134:710529:-1 gene:PAHAL_3G012500 transcript:PVH61331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLRRSLRAFHRLPATGHAACDPVPLHRFWSSHIVTVSGWRNKHATRDFSTSEKVTRGRVYQQEELESTTPAKDTDIIIDRIKKSTRELEQGPIGKNLSSAEKREFVVNTLLGLEDSREVVYGTLDAWVAFEQDFPLASLRRALSALEKEEQWHRIVQVIKWMLSKGQGNTMGTYEQLVRALEKDNRAEEAHEIWQKKIAHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGRKPPSKDIIRKVEDAYEMLGLLEEKNVLLEKYKDLYNKPYRGDRKKGSKSKRTERGWERDGNI >PVH61330 pep chromosome:PHallii_v3.1:3:699134:710530:-1 gene:PAHAL_3G012500 transcript:PVH61330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLRRSLRAFHRLPATGHAACDPVPLHRFWSSHIVTVSGWRNKHATRDFSTSEKVTRGRVYQQEELESTTPAKDTDIIIDRIKKSTRELEQGPIGKNLSSAEKREFVVNTLLGLEDSREVVYGTLDAWVAFEQDFPLASLRRALSALEKEEQWHRIVQVIKWMLSKGQGNTMGTYEQLVRALEKDNRAEEAHEIWQKKIAHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGRKPPSKDIIRKVEDAYEMLGLLEEKNVLLEKYKDLYNKPYRGDRKKGSKSKRTERGWERDGNI >PAN18582 pep chromosome:PHallii_v3.1:3:13803008:13804327:-1 gene:PAHAL_3G212100 transcript:PAN18582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTDQSPEEVYSVWALPPAPVRDRLRRILEGLRAAHGGPAFEPHATVVGDFRSHRSAAIEVLRTAAAGVQPYTARVTGVARGSFFYQCIYLLLEPTPEVVAASDHCCGHFGYKRKTPYMPHVSLLYGDLTDEEKEEARKKAEELDKDICGLEFEISELALYRTDTADKSLESWELVEVCHLEKK >PVH61460 pep chromosome:PHallii_v3.1:3:2420021:2420881:-1 gene:PAHAL_3G037400 transcript:PVH61460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRVAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSAAAVERNAQAQPLTDGNPEDGEQEPLTLSAPEEDTPRK >PAN17945 pep chromosome:PHallii_v3.1:3:10670078:10677095:-1 gene:PAHAL_3G162800 transcript:PAN17945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVLGLTKAAVEGTLSRVQTAIDEETKLKVKVQQDLVFITGEFLMMQSFLNVSTKERVKNEVVTTWLRLLRELALDMEDGIELVVHLDKDKSTWCCRILPSCMSPSRPLDEVAIKIKLVRDGVEELSQRNMRYNLILGTETFSGTTAIMPPITSEDTLLSNFQVIRQVWEDAWKIRKVGIKDLIKRDERSTQVISVWENGGNNLEIPYIINQVYCDPEICQLFERRAWVKITRPFNHDEFINTLITQLLYKTGSCDQAPAKDVTNAAEVLQQVTKEQSYLLVLEGVSDVVEWHTARKYLPENNNGSRIIVTTPHLGIGKVCTGQPYLVSEFSSFPSFYAFYKRVPGNQIDMGDLLWKIKRGGLVMVECDDDNMKTAVVKRLWHRIVDGHEKFDGVRFKKYKWVTIGDPFNPMDFWRRVLLDQNGIIPEDYITRYKPNLGGLLAQWLHHIDRVVNMKDAEVMESCRKLLSEDDSHLLVIDGVRDSEIWRSLKETLVSDTIKGCVVAICKKAVVFIKDDIDTWVIPEHQDRYFFYKDEEARAWKSNRSFDPNTRSEEEKHLSIDSPGVFSLWGIAGVGKSTYARYNYYISILNVYKKKAYYCWVDVPHPFCLTELSRRLLFDFHSDDLKAKEDVVISIIEGKDPVQMCRELLHPRVNCLIVFDGLQSTDDWDALKAAFGWSDFSSSKTTILVVTNEESVARHCTGNKSKNDSSEVNNIKKVINLKGLNDDSAFQLFRQKVGNDDRLLENEKLKIAKLIVSKCGGLPKVIVAAAKYCNKELGKYPFDLKPVNDNFISILENNVQEFQSLRGLFSWVQSYLATCKDYLKPCIFYLPVFPTDHNIRRRRLLQRWIAEEENGKGLFSELLNLSIIQKQSTSKFQCRVNGFFHEYITSRPMEDNLVLALEGHCKQNSQRVGRHLSVRTSWDRDKSVFESIDFSRLRSFTVLGEWRPFFMSNPTNNKMRLVRVLDLEDTIGVTDDDLEHIGVLLPLLKFLSLRGCQEITRLPSSLHALRQLQTLDVRHTSIVAFRGAIISKLRNLQYVRAGRKTLWEEGGIMVTCQPPATEPVEMASLAQAQGDSKTFAASLRNMTSKTSLPIWMSKICCMQVDDKECVDVPVAIGNLTALHTFGVINVGARKGIIKELKRLTQLQRLGVSGINVDNIREFFSAISDLIHLQSLTVKVQPTKNKNDYRFACLDGTTSPLPKALKILKLHGHVRILSARWFEQLGNLQKLDLEMTVLARMEIFVLFALPNRDALQRLCVRPIRVTELYVAPNLYLEFYKTKVLEIHCTSELKVIFGGMLHFLEVLKVHCSIGSSFQFSGLDSLFRLKEVWLKGSYSDEVKQNLQRQLDQHERRPILKLLQPRASSFV >PAN21499 pep chromosome:PHallii_v3.1:3:60476966:60481116:1 gene:PAHAL_3G470900 transcript:PAN21499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTYEWQMGLPDERVQVDALERHLFADLSSNDYNRSIEDEVLHVASFVEMEHNFVKYQIAQWILLSVLLVLAWGVGVLMLLYLPIRIYVCRRDFRSRKLYLTPHAVVYKVNKPVAFPCFGVFKKEKYVILPSISDVVVEQGYLQSFFGVYSLRIENIGVRKPPSDDVKITGVAHPHDFRKAVLVHLLNTRNLNFSRRAPSDGQQSTSLNPLASAWEPPLGDLILEKLDEVETSVKKMQAMIQGVETSKTKTTSS >PAN18140 pep chromosome:PHallii_v3.1:3:11875726:11876714:-1 gene:PAHAL_3G180900 transcript:PAN18140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCMYRVPVLSFRQPDREELQIERCSIAVCGEVGRCFCIPCAVAAAGVPTKPKRSRRVDRRAMDRRSVSGPLPRRRRRLSPPRRQPVARRTQPATAATRFRCHACRCRSSRTPHPRIFVSCRTHTNHLQPARMR >PAN21542 pep chromosome:PHallii_v3.1:3:60735859:60738572:-1 gene:PAHAL_3G474200 transcript:PAN21542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g29230 [Source:Projected from Arabidopsis thaliana (AT3G29230) UniProtKB/Swiss-Prot;Acc:Q9LS72] MSAAAASPALRPAPRWGGAPSPRRLVEEHLASLPHGLPHLRHVQELHAQLLKQGLHRDPRAASRLIGSYALLRRVPACRRVFSAAAAAAAALPCGPYAANATLLANTLLRAYALNALPHAALAAFAAMPSRQRDTFTYSFLIKALAAGGVAPVRAAHSHVVKLGSVEDTFVGNALIDAYSKNGVASDARKVFDEMPARDVVSWNTVMAAMVRQGEVAGARRIFDEIPEKDTVSWNTILDAYAKAGEAEEAFELFQHMPERNVVSWSTVVSAYCKKGDMEMARVIFDKMPTKNLVTWTIMVSACAQKGLVEEAGRLFTQMKEASVELDVAAIVSILAACAESGSLALGKRIHRHVRQRKLGRSTLVCNALMDMFCKCGCVNRADYIFDTEIVEKDSVSWNTIIGGFAMHGDGEKALDLFAQMKQQGFRPDAVTLINVLSACTHMGLVEEGRRYFTNMETDYGFRPQIEHYGCMVDLLGRGGLIAEAVDMIKSMPWEPNEVIWGSLLSACRLHKNVEYAELAVNELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARVRMKGTGSQKTAGSSWIELDEAFHEFTVGDRKHPESNQISEMVDRLSSHVKHAGCVPAVHELLVQ >PAN16722 pep chromosome:PHallii_v3.1:3:5284404:5284820:-1 gene:PAHAL_3G080100 transcript:PAN16722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNHLHLWAAVLLAVLAAAAEAARSPSCCVPGQAIPLRPLPGCRWYVASRTCGVVPRLPPEVMKAMCCRQLEAVPAECRCKALRLMVEDTSRSAGLRGQVCWHAQAEFAPAVVTEAECGLTTIHGRPFCDALSAES >PVH61849 pep chromosome:PHallii_v3.1:3:9074847:9075572:-1 gene:PAHAL_3G141000 transcript:PVH61849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEQLKLWSCCFASLCVCSMMYPFYTLDITYFFYVCFFCSLLLTNSPATVLV >PVH62432 pep chromosome:PHallii_v3.1:3:19915845:19917376:1 gene:PAHAL_3G292000 transcript:PVH62432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAVSAAASSLGRVASQEVSFLCGVDDEVEFLKEELRSLQTFLSTAAEARRGSGGDSAAEDSVCRIRDVAYEAENIIEAADYRTKRNSKCKRLIGAMTRYARKPSYLVALHRLGKDTLRVRRIQEIKSSREILNLTPSMLLGA >PAN16747 pep chromosome:PHallii_v3.1:3:5398800:5405883:-1 gene:PAHAL_3G083000 transcript:PAN16747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT2G42810) UniProtKB/Swiss-Prot;Acc:Q84XU2] MDTTANSDVQKAEELKLKANESFKANKFSQAIELYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATRKLKECEKAVQKIRFEEAISVGDAERCSVADSIDYHVIEVEPQYAGPRIDGEEMTLDFVKAMLDEFKKQKCIHKRYAYQIVLKTLELLRSMPSLVDVDVPNGGHFTVCGDVHGQYFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPKAMYLARGNHESKNMNKIYGFEGEVRSKLGEKFVELFAEVFCWLPLAHVINKKVFVVHGGLFSVDGVKLSDIRSIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVALSFGADVTKKFLQENNLDLIVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPEMKPDIITFSAVPHPDVKPMAYANNFLRMFQ >PVH61984 pep chromosome:PHallii_v3.1:3:11344920:11345457:1 gene:PAHAL_3G171400 transcript:PVH61984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQMTYVGKELLLLLAPIMFRRTTPTRIRKSHRRTNQRLSRQPLLRRRRRRELAMCALVWNTLLQSVRTAKATTPPTWLLASLEEHRDRRDFLLADGERIACACSWCWYSKSEVYFKEDRAAEERAACPHHQEESSQRLSTM >PVH62256 pep chromosome:PHallii_v3.1:3:16241305:16242047:-1 gene:PAHAL_3G250400 transcript:PVH62256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVDTAGVLVLLSQQRRHGTGGARTAGLATRGRVFECKTCGRRFPTFQALGGHRASHRRPRPYHGAPGPRRATLEAHDECAAAAAGPRVHGCPVCGLEFAVGQALGGHMRRHRTTAAAGTLRSGDATSVEGEDVGTGCAAGGICLDLNLAPSENCAKCRKNAGLGDMVQGVQKTLILDSPL >PAN17546 pep chromosome:PHallii_v3.1:3:8965762:8967854:-1 gene:PAHAL_3G139200 transcript:PAN17546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKALLLLFVLCCLAAAGEALAAVGKEAASAELAVVVDPSWRFPNQRLRDAYVALQTWKQQAIFSDPRNFTADWVGPGVCNYTGVFCAPVPRGEPGAGELAVAGIDLNHGDIAGYLPSELGLLTDLALLHLNSNRFCGLVPATFRRLRVLVELDLSNNRFVGAFPAVVLDLPALKFLDLRFNDFEGAIPPELFDRPLDAIFLNHNRLHSQLPDNFGNSPASVIVLADNSFGGCLPASLGNMSDTLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNALVGPLPQQVAGMRKVEQLDVAHNRLSGAVPEAICALPRLKNLTISYNFFTGEPPSCARVVPPDGDRRNCLPNRPAQRTPQQCAAFYSQPPVDCAAFQCKPFVPVPPMPPPPPAYPGPLPPVYPMPYASPPPPSHYR >PAN16326 pep chromosome:PHallii_v3.1:3:3370574:3374230:-1 gene:PAHAL_3G054100 transcript:PAN16326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MASVPAPSAALAPHRPSASAALLFPYPYSSPRVSPSPTAQPRRLAVAARAMPWPHVLTVAGSDSGAGAGIQADIKASAALGAYCSSVITAVTAQNTVGVQGVHAVPEEFVGEQLRSVLSDMSVDVVKTGMLPSAGIVKVLCENLRKFPVKALVVDPVMVSTSGDTLSGPSTLATFRDELFPMADIVTPNVKEASKLLGDVSLQTISDMRSAAESIYKLGPKHVLVKGGDMPDSTDAIDVFFDGKEFIELRGLRIKTRNTHGTGCTLASCIAAELAKGATMLHAVEVAKKFVESALYHSKDRVIGNGPQGPFDHLFSLKSPLHKMGSLHKFNPDDLFLYAVTDSGMNKKWGRSIKDAVKAAIEGGATIVQLREKDTETREFLEAAKACIDICRSSGVPLLINDRIDVALACNADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGADYIGSGGVFPTTTKANNPTLGFEGLRAVCSASKLPVVAIGGINTGNAGSVMELGLPNLKGVAVVSALFDRECVATETRSLWSILMNACSRS >PAN16327 pep chromosome:PHallii_v3.1:3:3370270:3374444:-1 gene:PAHAL_3G054100 transcript:PAN16327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MASVPAPSAALAPHRPSASAALLFPYPYSSPRVSPSPTAQPRRLAVAARAMPWPHVLTVAGSDSGAGAGIQADIKASAALGAYCSSVITAVTAQNTVGVQGVHAVPEEFVGEQLRSVLSDMSVDVVKTGMLPSAGIVKVLCENLRKFPVKALVVDPVMVSTSGDTLSGPSTLATFRDELFPMADIVTPNVKEASKLLGDVSLQTISDMRSAAESIYKLGPKHVLVKGGDMPDSTDAIDVFFDGKEFIELRGLRIKTRNTHGTGCTLASCIAAELAKGATMLHAVEVAKKFVESALYHSKDRVIGNGPQVTDSGMNKKWGRSIKDAVKAAIEGGATIVQLREKDTETREFLEAAKACIDICRSSGVPLLINDRIDVALACNADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGADYIGSGGVFPTTTKANNPTLGFEGLRAVCSASKLPVVAIGGINTGNAGSVMELGLPNLKGVAVVSALFDRECVATETRSLWSILMNACSRS >PVH62613 pep chromosome:PHallii_v3.1:3:28349363:28350757:-1 gene:PAHAL_3G337300 transcript:PVH62613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSKSLQSNMNACSSFFLTAVLLEVDELTAGPLTTHHHLWHPASESSSPASTRPPRSSTHAPAQQHVHRRQQHPPRNSVRHRQRRRARSTSGTSTRTCRRGATRGSRGRRWNARATRRRLVVVAGRAYVEKYRPAFQTRDVFTLWGILQLLARYPGRVPELDLMFFYDDTPVVHAAAYPDPSMAPPLFMYCKNDMNIRPWAPFLEEVAREIRLVPWLDREPYAFWKGNPDVGGLPSDLMRCNASDNGKDWNARHVRQDWEDADWNGFKDSNLARQCTYTSMLQGRTWSVSQKYILACGSPMLRIDTPFDDFFSRGLVASKHYWPIDAARMCPSIKSAVDCGNAHPVQAQRMGEEGSSFARDELSMDYVYDYMLRQLTQYARLLRYRPTVPENATELCLESMGCPVDGRAGEFMMESM >PVH62461 pep chromosome:PHallii_v3.1:3:20536202:20546155:1 gene:PAHAL_3G299400 transcript:PVH62461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGACLRMAFPARGARRRAGKEEKHNIIPFVQSNYWITQWARIILFHYYLLTFSLCATESIISTRMEKLAEEWWQEN >PAN21559 pep chromosome:PHallii_v3.1:3:60951150:60955338:1 gene:PAHAL_3G476400 transcript:PAN21559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGAAATLRNINDAMEETHRIAYRKLQANAGLAEADPWHLPAQFVMLMQKKPGSSRLWTHSGYWEEKEDDFIAIRSEGGGEGSSSPSSSPCYEGVRRTLEFYDHNGTKTDWVMHEYSHLEDDMFILDDMVLRKVFRKKRKDRVHSGLKRLDKVMEAYREALAKHGTFHPLIIPYCEQQWLRGALGDDMKLIILGLKLYVVKRSLFDEVMPSLWEGCQEAESGCGEFPTASSAPDGESDDVWQHFTRINTKDPDVVYAACHRCDRVLRAHSKNGTSHLRRHLKTKTCTCNNNPSSTTEDQESLRELRANLDLYKQGKMEGRVVDSPDLNASVDPWDLPTPRYFTSSLNRKTHQGRWEEIKSNDKLIAIRIGQLPVPQYAGLKRTLEFHHDDGTRTDWIMLEYHQVDDYNTRDLLLEGSMVFRKVIQIFKDAVKELEMMWNGDDEEEERYIGEREEEVKACMSTLLRDCLLGEVGQSDQSRVGKRKRTGAPGGRSEIWLYFTKIYTMDPDRVYAVCHCCDRCYKGHSKNGTSHLKRHNKTCSSKHRKV >PVH63111 pep chromosome:PHallii_v3.1:3:60951147:60955594:1 gene:PAHAL_3G476400 transcript:PVH63111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGAAATLRNINDAMEETHRIAYRKLQANAGLAEADPWHLPAQFVMLMQKKPGSSRLWTHSGYWEEKEDDFIAIRSEGGGEGSSSPSSSPCYEGVRRTLEFYDHNGTKTDWVMHEYSHLEDDMFILDDMVLRKVFRKKRKDRVHSGLKRLDKVMEAYREALAKHGEQQWLRGALGDDMKLIILGLKLYVVKRSLFDEVMPSLWEGCQEAESGCGEFPTASSAPDGESDDVWQHFTRINTKDPDVVYAACHRCDRVLRAHSKNGTSHLRRHLKTKTCTCNNNPSSTTEDQESLRELRANLDLYKQGKMEGRVVDSPDLNASVDPWDLPTPRYFTSSLNRKTHQGRWEEIKSNDKLIAIRIGQLPVPQYAGLKRTLEFHHDDGTRTDWIMLEYHQVDDYNTRDLLLEGSMVFRKVIQIFKDAVKELEMMWNGDDEEEERYIGEREEEVKACMSTLLRDCLLGEVGQSDQSRVGKRKRTGAPGGRSEIWLYFTKIYTMDPDRVYAVCHCCDRCYKGHSKNGTSHLKRHNKTCSSKHRKV >PAN19508 pep chromosome:PHallii_v3.1:3:18622111:18624808:1 gene:PAHAL_3G278200 transcript:PAN19508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAARASPLLGFFLALVAAHVPLPVRAQDTVAAGRPLSGDAKLVSRGGKFALGFFQPDGGVSGRWYVGIWYNNIAVRTPVWVANRDRPVSDAGASRFAIAADGNLALLDPSDSPVWSTNATTNGNATAAVLLDTGNLVLAPAANVSDVLWQSFDHIGDTWLPGGKLRRDKATGVIQGMTSWRARGDPAPGAYTLQLDPGGAPQYVLRWNGTREYWLTGDWNGRFFTGAPEVAASGGDSGYSFRFVDNDRESYFTYNFADNSTVYRFVTDVSGQVKGWFWVEAWKRWNLVYAEPKARCAVPRTCGAFGVCSDAAATACGCARGFAPRDAASWSLGDHTGGCVRNAELRCGENGITAAGSETKADRFFRMDDMRLPDGGRVAGVASSGECESACLGECTCSAYAYNGSCVLWDSELQNLADGYGSQPGAGSLYLRLAASEFPRAKSHRRRTVQIAIGALAIVCFVLVASILIVRPRGLTSAEGSVTSFKYRDLQSLTKNFSDKLGGGAFGSVFRGQLPDGTAIAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLIRLLGFCSEGGDRKLLVYEFMPKGSLDRHLFGATLYTLSWRARYHIAVGVAKGLAYLHDKCRDCIIHCDVKPENILLGADFAPKVADFGLAKLVGRDFSRVLTTMRGTIGYLAPEWIGGEAITNKADVFSYGMMLFEIVSGRRNVEHGRSEPPDSSSSGAGDHAATATFFPVLAARRLAEAEGDVMALLDQELGGDANVEEVRRVCKVACWCIQHDVGARPTMAEVVQALEGLTDCEMPPVPRYLEVLAGRPMHTHPTQ >PAN16899 pep chromosome:PHallii_v3.1:3:6041309:6043488:1 gene:PAHAL_3G094900 transcript:PAN16899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHRSMAAAAMSMFSRNKQKKDGERSSSSGNQETAAAVPPGMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITPDEERLILELHTQYGSKWSRIARSLPGRTDNEIKNYWRTRTRKQKAASQAKAAASASASASSSSTVTTTASCYGSPSSGSGRLTAASSSAVNESALRESGGGDDEDDAELDEASTTAASQHRHQQQQQQESSYTMDQFWNEIAAADAAASYMMVDGWGGAGHPAAAEPPVPSSPVWEYCSDYSLWRIDDEEYYKKMLDAS >PAN18074 pep chromosome:PHallii_v3.1:3:11614289:11615601:-1 gene:PAHAL_3G176100 transcript:PAN18074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDFTFAAVPPPLLAAGGGGRMGVLYPVFGRPRSPPRPPAPELETATARVPLGRLLLVDREPAPAQQPPAPADDLDSVPAEMYCPWSPGWSAASPARCKKSGSTGSVLRWRPRLVGRSQSDGKEKFVFLNTTGAASGRSGRKGRSGGGGVSAALGGHAWSYYASGGASNGARRRSFLPYKQDLVGLFANAAVFRRSYLPF >PVH62941 pep chromosome:PHallii_v3.1:3:57144824:57147876:-1 gene:PAHAL_3G435800 transcript:PVH62941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGITSPQAPSAFASATSSCSRSSHSTLRLRSLPTRFAAAASFRARCAAAAAGGAAGSEGAAAVAEVDEAGTEVAGGAATSTRPPYSLISAENVQKAMRGVAITDADHYGRLGVTRLASTDEVSAAYEKRCEELNSKKLEEEELNKELDLLKESFTILSTEEERRLYDWSMSRSGQPERYVWPFQVDPLESAPDPPQEPEDEVPTKLVGYFFLAWFILSVVFSVALNR >PAN19254 pep chromosome:PHallii_v3.1:3:17113726:17116074:-1 gene:PAHAL_3G259000 transcript:PAN19254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADTAALHVAVHALASSLQPQVVAAVFFASAACMVALAVLLASLRLRPPWWCACAVCEAYVTASWAGEFDNLCDWYAHLLRRAPGRTVHVHVLGNVLTANPATVDHMLRGRFDNYPKGAPFSAILADFLGRGIFNVDGDSWLFQRKLAAAELASPALRCFAAGVVASELRCRLIPLLHSASSGGGGERLLDLQDVFRRFAFDCICRISFGLDPGCLELSLPMSAFADAFDTASMLSARRATAPMHVFWKLKRLLNVGEERELRDAIRLVDTLAAEVIRQRRKLGTADSGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASGLTAFFLLLSDHPDVATEIRDEVSRVAGGRDGHPAAATSEELKDMHYVHAALYECMRLFPPVQFDSKFAAGDDTLPDGTFVARGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRDGRFVPESPYRYPVFQGGVRVCVGKELAIMEMKSVIVSVVQSFDIEAVGRSSHRPKFAPGLTATFAGGVPVRVRRRARASALRPPS >PVH62762 pep chromosome:PHallii_v3.1:3:46914739:46917238:1 gene:PAHAL_3G391100 transcript:PVH62762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRIRKYESGYQKHQKRQTREEFLQYQRGSLDKFVIKEPQVTPSDNQIQETGNHPNIVETEPKNNSEQVFDNTNDLDSSLAAANIDDATTSNIGDSSFQPDIFDPRYWDSLNSKQIDILVEKGPKRDLSIQKGPKDRFSRRFSARFYTRILSNGEECDRDWLVYSKELDRVYCFSCKVFAKGHRKGKLANDGFNDWTHLSERLKEQETSVDHVINMTTLYELRNRLQKEQTIDKVAQQQLEKEKEHWRKVLFRIVAIVKFLGKHNLAFRGHNYKLYEDSNGNFLGLIEMLAEFDPVIQEQQMSLIIRYVDSSSNHVRIEESFMGFLEVNDTSGKGLFDVLEGELKHLGLNIDDVRGQSYDNGSSMKGKHQGVQKKLLDINPRAFYSACGCHSLNLTLCDMAKSCAKAKDFFRIIQRIYMTFAKSTKKWQILKDNLTGLTIKSVSATCWESRIDSVKAIRFQCANIREALLQLLKSYLRSTMTQERLNSLATIAIESGMLEKIDYEYIIEDFISKNTHRIMLFK >PAN17404 pep chromosome:PHallii_v3.1:3:8360831:8364197:1 gene:PAHAL_3G129400 transcript:PAN17404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRQVVSENYANPITCFFHVLFKAAALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLEADYLLVVGVCLSLSIANIVGFTKCNKDAKKNIQAFAQNALASRVTSSLQSAFGVI >PAN19381 pep chromosome:PHallii_v3.1:3:17970172:17973047:1 gene:PAHAL_3G270000 transcript:PAN19381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAPPNDGGDLFAANLTGALLAVASSAFIGVSFIVKKKGLRRAGAAGTRAGVGGYGYLLEPLWWVGMVTMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVAQIWHLATQPAFLCYAASALVISLVLMLHCAPRYGQTNIVVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFATVSATCIIIQLVYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSISSIASEICGFLTVLTGTVVLHSTREHDPTLSSDLYTPLPPIYWHIQGNGETGGKQKEDDLLSGDFITVVRQDYFV >PAN16075 pep chromosome:PHallii_v3.1:3:1746356:1750201:1 gene:PAHAL_3G031200 transcript:PAN16075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPPQANGAKVTPNLAMDAEATRMLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNASQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPPPSSGALVSDAPDESLANYFNGAASIGSVSSAQMAGRAHPSTEAVASAHVPLIVPSATPAHQIPHALGGSSAPPLPLHDANAHASHSTNLLTPAFFAPPSPSSTSVASAPPAASMMPTAPPLHPTSASAQRPPYGTPLLQPFPPPTPPPSLTPAYNDGALISRDKVKDALQRLVQSDEFIDLICRELQNAHM >PAN16073 pep chromosome:PHallii_v3.1:3:1733333:1734549:1 gene:PAHAL_3G030900 transcript:PAN16073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDQQHGGGQRQCRRGITPDVELGAALALADMAGSSVGLGPAHERAQAKGKARPAVVQLHTGAGAEEAMTDDEEMASTRLSLQLGRVGIQSSSCSSGSSAGRPSSRQPAPATHGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSENETIKKEKDLVMEEYLSLKETNKQLKAQAHDLSLSLF >PAN19519 pep chromosome:PHallii_v3.1:3:18672504:18676666:-1 gene:PAHAL_3G279000 transcript:PAN19519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVAGWLVCPIIKIVMDKAKSCASDRIRWLSDGVPETLKRLENLLYQLRAVAGAVQRQGSPDRSADLRAWLQQLIDAVYEAKDVLDDFDDSVPPTESPIARFGKRILGADERVNRLKDVVDKLEAVQATSRTLMLAAVHGSGSGSGDLSGHHQPHGGATGSVRHHEHEVFGRDRERQDMVSWLVGTSDGDARSVPVAAITGHGGMGKTTLAQLLFEDQKVVSTFDLKIWIQPDATDNEFELARQILQSVNVEVPGGMKNFNWLQVKLQEEVSLRRFLLVIDDVWNWNREDINGHAYREMWSKVLAPISHGKATGSKIVITTRQKMVADLLHASKEVWLDDLPADAIWSLFKRCAFGEDNIDKQPQALQDIGRKIAEKLKGSPMVAKAVGQMLEGSRRVTHWKRVLDMDSFDNVSKTLELCYHNLPEHLQPCFAICSLFPKNWRFKRDKLVKIWMALDFIQADGGNTQLEDVGSDYFDQLVDRSFFHRQRVGRRRYYYIHDLMHNLAEKVSQFDCVRVEDATKKIPKTIRHVSVSSDNIAQLKSRCELKRLHTLLILKNPSSSLDQLPGDLFIELKGLRVLGLEGCNIVRLSEKIVNLKHLRYLALCKSITRLPQAVTKLYRLQTFSSPKGSGLEVPAEIVDLKRLRHLDMDTSKITGIGKLVHLQGSVKFHVKKEKGHTLGDLDGMSGLRKELHIKNLDVVKDKEEACQAGINRKENVKVLELEWNSTGKSVPSDAAEVLDGLEPHQYVKKLIIRRYHGNRSPNWLSESLKASNFYIKYLHLINCRKWEAMPPLGQLPCLKVLQLKEMTSVQKISCDFYGTKLTGFPSLEELEFDDMPQWVEWTQEEKNIEVFPKLRKLRLLNCPELIKVPHLPLSVRKVTVKNTGFVSQLKLSSSSPSKACKFALDTCSATILTNGLMHQQQVEAIATLTLRNCEDVKFEELEVLTSLKRLQISHSSINDEQLDTCLRGLQGLTWLEISNCNTITCLPWMESSECLTKFHELRIQQCPEFSSLHSLPSFAALESILIENCSKVTMESFPTNFNNNSLRRLSIMNCAELESLPSGFPSSLQVLHLIGCKPTLMTQLQVKDGPEWDKIASIPFKQIR >PAN16310 pep chromosome:PHallii_v3.1:3:3305924:3306798:1 gene:PAHAL_3G052800 transcript:PAN16310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHSSCPCCMPCYTIPPVIRKLALQELKNSAFDQICHYHQQRERERAMARRRGFHHLITGFTSLLNSLLWPSFTNTFTLFFRNVQKDSDQNHETICLF >PAN16309 pep chromosome:PHallii_v3.1:3:3305924:3306955:1 gene:PAHAL_3G052800 transcript:PAN16309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCMPCYTIPPVIRKLALQELKNSAFDQICHYHQQRERERAMARRRGFHHLITGFTSLLNSLLWPSFTNTFTLFFRNVQKDSDQNHETICLF >PVH62046 pep chromosome:PHallii_v3.1:3:12611324:12612433:-1 gene:PAHAL_3G192500 transcript:PVH62046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSKHYYAVEPPRKNTGGGGGGGVGEAKGDDDTLLSLSLGDIYSAKAPAARAKADAGSLRSPVVTTPMVAAAAPVRPADLLAAPSAAAAAFHYPPPRFDALVVEGSGAFPAASVPAPTRMAMVFPCDGNAIVPAPAVHGHGDGDGTPAAPTKRPAKRSRSGRRRSSATTGHSGAGASAHAAKQADDKATIEIEGGLHVVPPYPWSTERVGVHHSLAELSRRGVEAVTGELRCKRCEDLRLVTLDARARFEDLRGYISRNVQGMDDRAPRRWKEPALPDCERCGQRGSMRPVIPADKHRINWVFLLLSEMLGVCTLEQLKHFCEHTHQHRTGAKDRVLYSTYMELCNQLLPNGLFDMESERRKRIRPNA >PAN19845 pep chromosome:PHallii_v3.1:3:20934731:20938060:-1 gene:PAHAL_3G302900 transcript:PAN19845 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MAAAASPAACARASASGPRAGARLAVRTAALPADGRGDGAASYKELGLYSLKKRIEDAVVRVETTASSALELEEARRIKQEEVLRKRNLWDNPAKSHETLSALADAIRVVDHLKDLCFKAEEAKLISQLSEMDVINGELFKQAYKSSVDASEYLDRYQMYKLLKGPYDKEGACIIVTAVSDGVASELWAAKVFGMYTSWARKQGCKVGLIEKISSMSGHVWSSAMEIESEYMFGLLSGEKGMHRMTHSSLENSDKYQALSARVDVIPLFLDRPVNLHLDDNGIEIVPSPSEYKKRDSRNCAAVRVLHKPSGVTAESSGERSYFANKLKATSRLKAKLLLIARELGVSDMKTVNKQAIEDRCNRETRRYTFGPQKLVHDLNTGIQLSDLNLVMEGEIEPFIRGRIIARQ >PVH62656 pep chromosome:PHallii_v3.1:3:36508347:36510370:1 gene:PAHAL_3G359500 transcript:PVH62656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSAPIKNPQGTPRILGIRSFQSSILLSRFGDSESIERASKICSTFGRPCVISLDLDFSYINVTNLSPL >PVH63191 pep chromosome:PHallii_v3.1:3:62037162:62037564:1 gene:PAHAL_3G488500 transcript:PVH63191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGKPAFPTAGWNLWIPGMAFTSAFFFFLKGRGKVGKISPSLQKYGLLNIFVSRLFACMLFLRFQRTGHGCERMNTSLISTPPLSP >PAN16933 pep chromosome:PHallii_v3.1:3:6132379:6136216:1 gene:PAHAL_3G096700 transcript:PAN16933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLYILLGGFLLFSSLHTTSLAATARGDTLAAGEALAASDKLISRNGKFALGFFQFQQSPGTTSKSPNTNTNTTTTSSSLGWYLGIWFNKIPVFTTVWVANREKPITDRELKLAQLKISGDGNLVIMLSNASTESIIWSTTGIVFTSTNNTSVVLMNNGNLALKPETSSNEVPLWQSFDYPTDVGLPGAKIGRNKVTGFNHQFISKKSLIDPGLGSYSVQIDTNGVLLLRSRKPPFVVYWSWPSGKLAELVSALNALLDMDPRTKGLLKPTYADNDKEVYFTYTLLDESASVFVPIDITGQLKLKVWSQATESWQNIYAQPSYFCTTYAVCGPFTVCNGNASPFCSCMESFYQKSPRDWELDDRTGGCARNTPLDCTTSNKSTRSSTDVFHPIARVSLPYDPRRLEDATTQSSCAKACLNDCSCTAYAYTNSICSVWHGELLNVNQADGNGITSQDVLYIRLAARDLKSFTRDNKRIPIVVIVVTCSASLGFLIMLVLLLMIWRNRFKLCGAPLHGIQGTGGGIVAFRYTDLCHATKNFSERLGGGGFGSVFKGVLSDSTTIAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCGGDKRLLVYEHMLNGSLDGHLFQSNAAVLSWSTRYQIAIGVARGLLYLHKSCRECIIHCDIKPENILLDASFVPKIADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPEVHSSSGYHVTYFPVQAISKLHDGDLQSLMDPQLQGDFNLHEAERVCKVACWCIQDNEFDRPEMVEVVRALEGLQEFGIPPMPRLLAAITQSSDAASLQ >PAN17412 pep chromosome:PHallii_v3.1:3:8384701:8389735:-1 gene:PAHAL_3G129800 transcript:PAN17412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQEHHELIFGEEFCFPMTTTYYPPLYAPAVNLMSAGINVPAQSYQHPTMSRYDNRAPNYTGHQTQGTSCMYYVVPEYGIAHSPHGPHPLHPYAIGDGRFVRTQEYRAETVEHTYHQPVPAPHYAALPSAADRTPATTAQSLAYTNGLFVPGGYQQTVATSERGVAWNQSVQQATTSSMEFQGHTLLPKEQPRRPAPWKPQFSGEATVPARLPRAPRGSQKSPQVAVPSVRSSLQPNVSYNNEVSNVGSDLCRMLSSERSQPYARASSYANRRLSSVSQQNRSKAKMSIGSMPSEIIVKSYTSRLLIGNPEGKLIIRTDQYNRDDFQVVYPNAKFFVIKSYDESDVHKSIKYGVWSTSSAGNQKLDTAFREAQAIASSTSTLCPVLLFFSVNGSSNFCGVAEMVGPVDYQNDMDFWCKDKWTGSFPVKWHIIKNVRNYTFRSILLQNNEYKPVTSSRDTQEIHYTPGTTMLELFKYTRAEGCVLDDFMVHEEKEASCRQLQRLKLRPGAPHFIPAWHGPRTSPVLPKSDSMLMDRIVSGTNNLTDKLQNLNLGKHHGSWQEFGNLTSEASTTNKQKESHCYGNQVHENPVKARPSPTPTYQPVASDVKSASGGEQQCWKKVEIIPTGKPQPETVARVSLKAPPEEHRKEGKNALVHSASGAPGMTCEEEKIVGKPCSPAFDSTTSKACSKPLPAVVAIGSMLIPITTSN >PAN17413 pep chromosome:PHallii_v3.1:3:8384701:8389736:-1 gene:PAHAL_3G129800 transcript:PAN17413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQEHHELIFGEEFCFPMTTTYYPPLYAPAGINVPAQSYQHPTMSRYDNRAPNYTGHQTQGTSCMYYVVPEYGIAHSPHGPHPLHPYAIGDGRFVRTQEYRAETVEHTYHQPVPAPHYAALPSAADRTPATTAQSLAYTNGLFVPGGYQQTVATSERGVAWNQSVQQATTSSMEFQGHTLLPKEQPRRPAPWKPQFSGEATVPARLPRAPRGSQKSPQVAVPSVRSSLQPNVSYNNEVSNVGSDLCRMLSSERSQPYARASSYANRRLSSVSQQNRSKAKMSIGSMPSEIIVKSYTSRLLIGNPEGKLIIRTDQYNRDDFQVVYPNAKFFVIKSYDESDVHKSIKYGVWSTSSAGNQKLDTAFREAQAIASSTSTLCPVLLFFSVNGSSNFCGVAEMVGPVDYQNDMDFWCKDKWTGSFPVKWHIIKNVRNYTFRSILLQNNEYKPVTSSRDTQEIHYTPGTTMLELFKYTRAEGCVLDDFMVHEEKEASCRQLQRLKLRPGAPHFIPAWHGPRTSPVLPKSDSMLMDRIVSGTNNLTDKLQNLNLGKHHGSWQEFGNLTSEASTTNKQKESHCYGNQVHENPVKARPSPTPTYQPVASDVKSASGGEQQCWKKVEIIPTGKPQPETVARVSLKAPPEEHRKEGKNALVHSASGAPGMTCEEEKIVGKPCSPAFDSTTSKACSKPLPAVVAIGSMLIPITTSN >PVH61635 pep chromosome:PHallii_v3.1:3:5364471:5365605:-1 gene:PAHAL_3G082100 transcript:PVH61635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAASGSLEHTRRLFDEMLRLGIAPTVDTFGTIVAALCDAGQLEEAFEVKDAMVRRHDVSPNAHVYASLVKGLCQRGEVDAAVRLKDEMASKPELLQNSAVYGALVRALFRAGRKGEVDGLLEEMKGRGIVPHRDRVVYNAIIAGFCEDERDPSAAFAVLDDMQKCGCKPVAVTYNTLVAGLCKSGRWQDANELVEDMPRRGCTPDVVTYRMLFDGLCSAGEFHEANRVLSEMVFKGFAPSKDGASKFVEGIEKEGDAVLLESMLCQLAKVNALESSGWEKAVSGVLNDPVELSTEKQLASIRVRLAEVWLHLKQLWL >PVH62631 pep chromosome:PHallii_v3.1:3:30745493:30746505:1 gene:PAHAL_3G344300 transcript:PVH62631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIYWSRSFPRSNSSFLLCSGNASQSSVLRLRLREEMFLVDAGLGTPKICMQDELTGLPIKRATRFENKVGSKNVVAGESLIKKRIFERFFIDLVAGESLIKERAAARFNDFVGSLDVAAGEPLLLPQRFRQNRAWIELKKIWRTKKKVKGFKIKKIKGGYSVAIAGFITFLPFKFKKALKKKDSESSIHH >PAN17002 pep chromosome:PHallii_v3.1:3:6406323:6412327:1 gene:PAHAL_3G100800 transcript:PAN17002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPALCNLLQSSDKTILESAISCLALVAAGASENAEHMGKLCEANAVEATMSLMGNEGWKTLSDDILTGILGLLKNLASISEKAVESLFKLDFCELFKQMVTYYSSSNRDNDKVQMLVELIYQLMLPLGASEQHAKLVTAKKNVIMAQSTYMNQLASIFSLMVQVAKCAALSSICYNCIAVISNIVELSTPDFLMELQNTVNLSSFLNFLLARKNCHIILQALKISRILLEKCQQFFLETFTKEGVKHSIDSIVSHEKNSSHQSKRKNNMNESCLCFDLESSSTGEVCRVENNAVMKLAEDIKKSFFSVKGSKRSPHRLGFALKSFRDFFVRLNVHATTPPTENPDSCKQLTDLSRRLLSDELPVTSTFEFVQSGSIKCLSVYLSNGAYGNAGISDGQDLLGHLSEVQSRLQKFASLALTVPNDSSANPLEILVEKLLDTLQMCYDSFPVMLSDEQSTHESMMIPLRYPETQELKSLELKFRRSQREKELRNYNDVLSVDLFSTPDAIEPVLFPEICRRTDQEPAPKNSNRENEASGSTKLDDGNRSSKLRFLYNGVILQPSTTFFESILRLMNKGQSDLLIDPSFWDEEHYITYRKRNKSKEISSESSHNIQLSHVQENLQQAWLKDPFFTALLLGKLPGDLDGSDPSYDLLFMLKLLEGLNRFSYQLLMGEQISKFAEGTLQDIDDLKVAIYPVPQHQFMSSILTNKLELQMQDSLFEGGLIPSWCVYLIETCPFLLSFDTRWKYFCLTAHRSFMTDQVNNFPDQVNNIFDQVSGHSDQVKCPPQTKKYRVSRSAILEGAVSMMTNHGPSSRIVEVEFEGEVGTGRGPTFEFYTAVSHELQRAGLGMWRGDNCEDGFIHASFGLFPKPWSPSGMPGIDFSNVLQKFKLLGHLVVRAVLDGRILDIPLSKAFYKIMLEQELDMYDIPSFDPELGKTLIEFQALVNKKKFLETSSTTSKHTAVLSYKNVKLEDLCLDFTLPGSPEYELIPGGSQKMVTLDSLEEYVYLVVDATLKSGIAKQIEAFKSGINEVFALKTLKMFTEEEMERILCGEQDSWALKNLEDHMEFEHGYDMSSPSIITFLEILREFGREEQRAFIQFTTGTPQLPLGGLALLEPKLTVVRKQCDGNVDDELPSVNTCRHFIKLPPYSSKEIMREKLKYAITEGLGSFHLS >PVH61704 pep chromosome:PHallii_v3.1:3:6406523:6412328:1 gene:PAHAL_3G100800 transcript:PVH61704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPALCNLLQSSDKTILESAISCLALVAAGASENAEHMGKLCEANAVEATMSLMGNEGWKTLSDDILTGILGLLKNLASISEKAVESLFKLDFCELFKQMVTYYSSSNRDNDKVQMLVELIYQLMLPLGASEQHAKLVTAKKNVIMAQSTYMNQLASIFSLMVQVAKCAALSSICYNCIAVISNIVELSTPDFLMELQNTVNLSSFLNFLLARKNCHIILQALKISRILLEKCQQFFLETFTKEGVKHSIDSIVSHEKNSSHQSKRKNNMNESCLCFDLESSSTGEVCRVENNAVMKLAEDIKKSFFSVKGSKRSPHRLGFALKSFRDFFVRLNVHATTPPTENPDSCKQLTDLSRRLLSDELPVTSTFEFVQSGSIKCLSVYLSNGAYGNAGISDGQDLLGHLSEVQSRLQKFASLALTVPNDSSANPLEILVEKLLDTLQMCYDSFPVMLSDEQSTHESMMIPLRYPETQELKSLELKFRRSQREKELRNYNDVLSVDLFSTPDAIEPVLFPEICRRTDQEPAPKNSNRENEASGSTKLDDGNRSSKLRFLYNGVILQPSTTFFESILRLMNKGQSDLLIDPSFWDEEHYITYRKRNKSKEISSESSHNIQLSHVQENLQQAWLKDPFFTALLLGKLPGDLDGSDPSYDLLFMLKLLEGLNRFSYQLLMGEQISKFAEGTLQDIDDLKVAIYPVPQHQFMSSILTNKLELQMQDSLFEGGLIPSWCVYLIETCPFLLSFDTRWKYFCLTAHRSFMTDQVNNFPDQVNNIFDQVSGHSDQVKCPPQTKKYRVSRSAILEGAVSMMTNHGPSSRIVEVEFEGEVGTGRGPTFEFYTAVSHELQRAGLGMWRGDNCEDGFIHASFGLFPKPWSPSGMPGIDFSNVLQKFKLLGHLVVRAVLDGRILDIPLSKAFYKIMLEQELDMYDIPSFDPELGKTLIEFQALVNKKKFLETSSTTSKHTAVLSYKNVKLEDLCLDFTLPGSPEYELIPGGSQKMVTLDSLEEYVYLVVDATLKSGIAKQIEAFKSGINEVFALKTLKMFTEEEMERILCGEQDSWALKNLEDHMEFEHGYDMSSPSIITFLEILREFGREEQRAFIQFTTGTPQLPLGGLALLEPKLTVVRKQCDGNVDDELPSVNTCRHFIKLPPYSSKEIMREKLKYAITEGLGSFHLS >PAN17000 pep chromosome:PHallii_v3.1:3:6405107:6412327:1 gene:PAHAL_3G100800 transcript:PAN17000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEEAGEAVLAYALAAIADEGAGAGETAAALAALCEVLALSGPDLILAIPSARLAKRLPKLVAASAAGDGDGDVPLLAARAMAEACEGAPPWAARFAQHGAVEALRDRLLAVDCIELAEECLRALDTISTECPDECLKRGVAAAVLQFFDFFSTNKQKVALQIVSNIFNDYDEEDVPTVMEAVPALCNLLQSSDKTILESAISCLALVAAGASENAEHMGKLCEANAVEATMSLMGNEGWKTLSDDILTGILGLLKNLASISEKAVESLFKLDFCELFKQMVTYYSSSNRDNDKVQMLVELIYQLMLPLGASEQHAKLVTAKKNVIMAQSTYMNQLASIFSLMVQVAKCAALSSICYNCIAVISNIVELSTPDFLMELQNTVNLSSFLNFLLARKNCHIILQALKISRILLEKCQQFFLETFTKEGVKHSIDSIVSHEKNSSHQSKRKNNMNESCLCFDLESSSTGEVCRVENNAVMKLAEDIKKSFFSVKGSKRSPHRLGFALKSFRDFFVRLNVHATTPPTENPDSCKQLTDLSRRLLSDELPVTSTFEFVQSGSIKCLSVYLSNGAYGNAGISDGQDLLGHLSEVQSRLQKFASLALTVPNDSSANPLEILVEKLLDTLQMCYDSFPVMLSDEQSTHESMMIPLRYPETQELKSLELKFRRSQREKELRNYNDVLSVDLFSTPDAIEPVLFPEICRRTDQEPAPKNSNRENEASGSTKLDDGNRSSKLRFLYNGVILQPSTTFFESILRLMNKGQSDLLIDPSFWDEEHYITYRKRNKSKEISSESSHNIQLSHVQENLQQAWLKDPFFTALLLGKLPGDLDGSDPSYDLLFMLKLLEGLNRFSYQLLMGEQISKFAEGTLQDIDDLKVAIYPVPQHQFMSSILTNKLELQMQDSLFEGGLIPSWCVYLIETCPFLLSFDTRWKYFCLTAHRSFMTDQVNNFPDQVNNIFDQVSGHSDQVKCPPQTKKYRVSRSAILEGAVSMMTNHGPSSRIVEVEFEGEVGTGRGPTFEFYTAVSHELQRAGLGMWRGDNCEDGFIHASFGLFPKPWSPSGMPGIDFSNVLQKFKLLGHLVVRAVLDGRILDIPLSKAFYKIMLEQELDMYDIPSFDPELGKTLIEFQALVNKKKFLETSSTTSKHTAVLSYKNVKLEDLCLDFTLPGSPEYELIPGGSQKMVTLDSLEEYVYLVVDATLKSGIAKQIEAFKSGINEVFALKTLKMFTEEEMERILCGEQDSWALKNLEDHMEFEHGYDMSSPSIITFLEILREFGREEQRAFIQFTTGTPQLPLGGLALLEPKLTVVRKQCDGNVDDELPSVNTCRHFIKLPPYSSKEIMREKLKYAITEGLGSFHLS >PAN18843 pep chromosome:PHallii_v3.1:3:14956116:14957553:-1 gene:PAHAL_3G229700 transcript:PAN18843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDMNRRITRTIQSSFGNVVCLQECPIWRKISLGIDKTIRFLVTLQHWRLALPRSPNEKSSPKTSHNARPPSATSTPPRPRAASQLSSTPPLYEVPPRPAWLGAETHGDRLGLTDDRLDRTVPAVDLCSAPPVSPCSTRRQAEIGAGEVMQPMYSRWLPDDIYVFFTSNFFLRTIVNFDLQTILQASKNLKQIWFLSLL >PAN21933 pep chromosome:PHallii_v3.1:3:63083853:63087725:-1 gene:PAHAL_3G500800 transcript:PAN21933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGKKEKGEALGRALIRQRNKAAAAAKERGEALAFARRRAMPLESVIDVSDIDAVLQRAAEADQLAEAASVSSDSDLVIDLDATGETVEERRRLRKEQEALHASSLRVPRRPPWHNQMTVEELDANERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAKEIDEHKRTMLLVNKADLLPLNIRKRWADYFKAHDILFVFWSAKAATATLEGKKLSGYSEEESASLDLDTKIYGRDELLMRLQAEAESIVAQRRTSTAEEDHDVSSSDSASSVAKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADRVPRNILEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGVADVEAECEVITRSEGPATSSAANESDADDLDEEDEDAVDPAEPDMKDVLDDLESFDLANEGSKTAAKKKKEASHKHHKKPQRKKDRSWRVGNDGGDGTAVVRVFQKPAVNVSGRV >PAN18572 pep chromosome:PHallii_v3.1:3:13735552:13737575:-1 gene:PAHAL_3G211300 transcript:PAN18572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAAKQLLPMARGPNSPSSSTSSSSPSSAASPSPRQPQSQPVPPSPRPVPRIIDTTPFPTTFVQADTANFKQVVQRLTGSDTPSSAQNKPAKSHHHHHHNHGGASGLAGGPKKPAFKLYERRIGKNNLKMIAPLAMAAAAAAGASPRKAGPEVLSPSVLDFPSLALGSPVTPLVSDPFNRSPASASPGEEEDAAERAAIARKGFFLHPSPRGAERPRLLPLFPVTSPRMAPSAAAAAAPSSQ >PVH62774 pep chromosome:PHallii_v3.1:3:48423406:48423931:-1 gene:PAHAL_3G394400 transcript:PVH62774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKNGRTPLANEIYERMVAEKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIRAEFEATLQAEREEAARKQEELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLRLQKD >PAN17270 pep chromosome:PHallii_v3.1:3:7506343:7508667:1 gene:PAHAL_3G117600 transcript:PAN17270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAWSPLLSYAHLSGLLARCGRAGDLRLGAALHAIVAKNPAHFHLCPSRADLRYVLGAWNSLVAMYARCGRRGDAARVFDEMRVRDSVSWNSLLAASSNSASEALALLRRMLRAAPGAGACDHATLTTVLSACARADGGAGAASLAAVHGLAVSSGLHAGVSVGNALVTAYFECGFPALAERVFGAMAERNVITWTAMVSGMARAESYRESLSLFRQMRLAVDANSATYSSSLLACAGSLAAREGQQIHGLVVKAGFETDLHVESGLMGVYSKCGLMEDALRVFRSCQDPDEVFLTVILGGYAQNGPEEKAFKLFVEMVGAGVVIDANMVSSVLGAFGASAPFALGKQIHALIIKKCFEGNTYVCNGLINMYSKCGELQESIKVFGGMPSKNTISWNSIIAAFSRHGHGSEVFWLFESMKVDGAKPTDVTFLSLLHGCSHVGSAKKGLEVLNSMSSQYRIHPRVEHYACVVDMLGRAGLLYDAKAFIEDGPFKDNTLLWQALMGACSFHKNSEVGKYAAEKLLLFDPDCTAAYVLLSNIYSSEGRWDDRARIMKRMREMGLRKDTGQSWIELHKEVRSFVARKTSHHDSAGVNNVLQRLSAASSDHDDLVESNAS >PAN17421 pep chromosome:PHallii_v3.1:3:8427712:8435875:-1 gene:PAHAL_3G130600 transcript:PAN17421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFYDADIDGAPMNFCDVFLYSQALEGITLSMILEAPSEEEVSLLLEIFGICLTGGKDVNKEIMSNVQDLAKAFSEYKDEVLVKREELLEYAQSIISGLKRNADIMRIDAETQELQRKLDEKQKSQAQSTEDQDKTSEKIAVANLEAFKEALSEVRLCSRIEELLLKKNSITPGDSLEVHSQKVDKLNVLADSLASSSSKAEQRILEHRRQKEDALNFRVKKENEVSAAEKELLDEITELEKQRDELEAQLKRVNISLNAANGRLKQTREERDQFDEANNQIIFSLKKKEDDLSKSITLCNVESNVVKIWIGFLEDSWKLQSSYNEQKEKKTCGELEKCVSDFLKLTKRHLSEFKEVLSPLIESIKTYVDNLAVLNSREEEEKEHGNDEASERTNPRKSLEEEYLETEKKIIIAFSIVDHIKKLFYSEQGANSRRDDPEVKNLIDEIEKLRESFESVERPTLSIESEKAKPLPVEGSKLSPSPLQAPATPKAAHVDSPKSPMKPEQHFDSGAELATLGSELGKEDKEYSGEEISGWEFDELEEDLKS >PAN17422 pep chromosome:PHallii_v3.1:3:8427717:8436244:-1 gene:PAHAL_3G130600 transcript:PAN17422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLRSAVSRAVEAGGRSGVARAVKGYADAVAHHAGQAVADILHDRMGAQNYKSFKKTVARLEEAAVSCRGGERVELLKRWLGALQDVDAGLGGSDLKASEDHDPSGETDTTKAPMVLFYDADIDGAPMNFCDVFLYSQALEGITLSMILEAPSEEEVSLLLEIFGICLTGGKDVNKEIMSNVQDLAKAFSEYKDEVLVKREELLEYAQSIISGLKRNADIMRIDAETQELQRKLDEKQKSQAQSTEDQDKTSEKIAVANLEAFKEALSEVRLCSRIEELLLKKNSITPGDSLEVHSQKVDKLNVLADSLASSSSKAEQRILEHRRQKEDALNFRVKKENEVSAAEKELLDEITELEKQRDELEAQLKRVNISLNAANGRLKQTREERDQFDEANNQIIFSLKKKEDDLSKSITLCNVESNVVKIWIGFLEDSWKLQSSYNEQKEKKTCGELEKCVSDFLKLTKRHLSEFKEVLSPLIESIKTYVDNLAVLNSREEEEKEHGNDEASERTNPRKSLEEEYLETEKKIIIAFSIVDHIKKLFYSEQGANSRRDDPEVKNLIDEIEKLRESFESVERPTLSIESEKAKPLPVEGSKLSPSPLQAPATPKAAHVDSPKSPMKPEQHFDSGAELATLGSELGKEDKEYSGEEISGWEFDELEEDLKS >PVH63044 pep chromosome:PHallii_v3.1:3:59706457:59706645:1 gene:PAHAL_3G459900 transcript:PVH63044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKSLLQHDEIFPRCHVFRPARSLDQNRLHVLNAAVTRRLCRMDSGTLGESRLVGSDSIT >PAN19000 pep chromosome:PHallii_v3.1:3:15679781:15684859:-1 gene:PAHAL_3G241700 transcript:PAN19000 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM3 [Source:Projected from Arabidopsis thaliana (AT5G46280) UniProtKB/Swiss-Prot;Acc:Q9FL33] MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHNLDLARRVIRSPGEYMQPASDAVSEVARNLDPKFLKEGERVMVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHFCPVTGAFLSREYRDITTFVGLPTGSVYPTRDDNGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKRMKEISRRNDTFDLLGSSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKAGYAEEDDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPKLTDEASDHIATSYAELRDGNANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGANDGAADGNGSSGNDPMDVDVGNTSNDQDVPAERIEAFEAILGQHVLANHVDQMSIDDIEQTVNRESAAPYTRRQVEFILERMQDANRIMIRDGIVRII >PVH63051 pep chromosome:PHallii_v3.1:3:59922752:59925926:-1 gene:PAHAL_3G461900 transcript:PVH63051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNAVFGVVLLLVLVGGGLTPFPARADVFCDNLIQVAATLPKDTSSSPAHFAATTLGYPPDVVYALALCRGDVINDSACGECVASALDELLNGIPPPQQQQCYKASYEYHEICALVYSEDDILTSSNSNTTEGNGAGDGTPYTKWDEQSWGTWVDDAMVIVGLLQELLMATAQAAASTTAPRRFATGAMDSGTTLPWFYSLAQCTPDMSAGNCLACLSRLVGMVNSTIALRIGGQIHAIRCHLRYAANRFYNTTPMLIVRPPSTPAPTPTTTVKHKKHMSKFWAIPIVVIPLAAAAAAYLSFIFCCSWLRQTYRKGSRHTGDFQRDEELVWQGKNSEFSVFDFEQLLEATNHFSEENKLGQGGFGSVYKGQFSEGLEIAVKRLSSHSGQGFLEFKNEVQLIAKFQHSNLVRLLGCCSQEEEKILVYEYLPNKSLDFFIFDETRRPLLDWNKRIAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDREMNPKISDFGLAKIFTSNNTEGSTTRRVVGTYGYMAPEYACEGLFSIKSDVFSFGVLVLEILGGKRNSGSSECGNFINLLGYAWQLYKEGRWSELIDASLVPIRDSAEVMRCMNIALLCVQEKAADRPTMLDVVAMLSSKTMVLVDPKHPGYFNLRVGNEEAFSATRQSSINDMTISVTTGR >PAN19547 pep chromosome:PHallii_v3.1:3:18921890:18927561:-1 gene:PAHAL_3G281700 transcript:PAN19547 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MATPLPPQPPRRVSCGSLLQELQELWGEIGQDEMERDRMILQLEEDCLNVYRKKVDQTRRQKADLLQALSVGEADIDKILSALGERESFPRSEKLGGTLMEQLAKIEPVLRDLRQRRDERVNEFRAVLLQIVRLQSEISGTIDHGDPTAPVVDENDLSLKRLGELRLQLNELQTEKNLRLQKIDIQINCVNEMCSILSLDLKKALYDVHPSYAELGRSKPVSISNSTLERLAGKVHALNHEKKQRLRKLQDLGSTLIELWNLMDTPVDEQRCFDHVTSLIKVSPNTAMPEGCLAHDLIEKVEIEVKRLTHLKASKMKELVFKKMTELEEIYRSVHMDIDSDSERRILNDLIDSGRADLSELLAGMDDRIVEAKEHALSRKDILEKVEKWKSTSEEEIWLDEYERDQNRYNAGRGAHINLKRAEKARVLVSKIPSLLENLTAKIKVWEKEKGIPFMFDKVRLLDNLEEYTSSRQQKEEGKRHSRELKKLQEQFAAEQGAKFGIKPSPIRPLPARKPLGQSSNVNIVVGTPTSRRVSTPMSRKGGLSSGKVKEAAKTTVAPANYVAIPKDCSNNSSL >PAN20733 pep chromosome:PHallii_v3.1:3:52393491:52395658:1 gene:PAHAL_3G407900 transcript:PAN20733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVATNGSEVLAAPAAVQAPAVFTGLKVQVTVPAGRAEEAVAFYKAAFAAEEVSRSTHPKRKGDGEEAALLCAELKVGAATLLVCDQAGDDVPAVGKEGAAASGLVLRLETDDVNNAAAQAATAGSTLQGEVTEDGCGLGATLVDLFGVTWVLASSTSAKKCA >PAN16438 pep chromosome:PHallii_v3.1:3:3799879:3802189:-1 gene:PAHAL_3G060500 transcript:PAN16438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANYPKYLLYCLLITGSWLISCLLHFQYFHLSLSASSIAAPRRAALVVLPAALDATFLPAPAVEADDKRWSASSVAASPSPSSPPPPASCEGRYVYMLDLPSRFDMLRDCVAGSPLFDDMWSWCAITVNAGLGPKIVPGAGNGSDGDIIPSTGWYSTDQYALEVIFHHWMRRYECLTDDPAAATAVYVPYYPALELHQHLCGFNTTARDGPSEAFLRWLSSQPTWAALGGRDHFMVAAKTTWMFRREPGGRDDGCGNNFLGQPETGNMTVLTYESNIWAPRDIAVPYPSYFHPSSAGEVAAWQARARAAPRPFLFAFAGARRLKGQLAIRDRVFDVCEAAARRGRCGLVDCSHGLEGSITCRTAAKLVALFTSARFCLQPRGDSFMRRSSIDSVMAGCIPVFFHRASTLEAQYRWHEPEPGRSGSNDDGRRGRYYVLLNSDDVLEGRVDIEEELSRYTDEEVAAMREELIKMIPRFLYRDPRVRFEGEMRGAFDITMDGMMDRMRRIKNGEDVTLKGDGSDEAMGDNDS >PVH63089 pep chromosome:PHallii_v3.1:3:60485755:60486822:1 gene:PAHAL_3G471000 transcript:PVH63089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDHCLLLLRFTTMYVYHVCSVDYFVDSKMSDNADWNDENTRLVCELFAEQVTAHNRSGTHLNKSGYKNVMEKFKDKTGLDYSRRQFKNKWDKMRREYANWKRLVKETGLGWDNEKKTYTAPDSRWKQLNKDYPGINKFKDGPLQFEELKTIMFEDIRNSGDDHWAPSSGAAPASQQDADPDEADDRDEDCDDNEASDDCDEYSPEPSRGKRPAPANRKDKGKKPKTSGGHWVQKELSKLVSLSARSTASCESLAKKDESSGCSIKDVMALVRECGAVPGTKEHFIASQVFVKRAEREMFLTLETPEERFQWLSMKHMWMTRNDSSM >PVH63024 pep chromosome:PHallii_v3.1:3:59174483:59174981:1 gene:PAHAL_3G455700 transcript:PVH63024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPFAGNDPSGSHLHGMPRSWQCIPMHCLLNSTVRSYSGVRGDMMLAQSSQISIISSIDATLSA >PAN17715 pep chromosome:PHallii_v3.1:3:9745564:9747645:1 gene:PAHAL_3G151100 transcript:PAN17715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSALAKGKSAVGSSSGSAKFEAKGKSSAASASAKRATTTRGRGKAEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYERKQKRQQQVRMGTPIKPSGRKDRPAESSKKPAAWSNMTLKAKKRVDYSDDDNDFIVKMKRSRG >PAN16007 pep chromosome:PHallii_v3.1:3:1426253:1429316:1 gene:PAHAL_3G025700 transcript:PAN16007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREGIAVAGGHESGHGLFRADITMAEAQEAAKGYQSSPSSPSTSPTPSPPPAEAGHGGDATATPLAWSLGGDKPSEAAGDNGMQTAGQSEHANLSSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSICILSANGTISNVTLRQPDSSGSTFTYEGRFEILQLMGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVVVGSFLPNSLKQHQRRMNLQQQASPAPALPAPVAPPPVLTAAMPISQAAPGNGFHAPPASAMPPQLHANAEHGAMNLNTTGFTMVGWPASPQPVAHRASPSPDINVSLTPQE >PVH61461 pep chromosome:PHallii_v3.1:3:2429157:2430289:-1 gene:PAHAL_3G037700 transcript:PVH61461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTVTLMTSDRVGLIRYMVRCMREHADKEHIVVTYNPDNHWLTLVINVKSKQVFYLDSRIPSDEWRKPKIRDYSLVISILDESLDRHLRAAEGYKEQCRCTQQPSGNACGFYICNNMLLVAAEPDFMNEDVYFNRTTIDNVKDIRERLAGFLTIEVINPKGGFYFS >PAN18408 pep chromosome:PHallii_v3.1:3:13055405:13061999:1 gene:PAHAL_3G199400 transcript:PAN18408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLSRRHLAAAAARRSAPLACVSRWLHTPSFATVSPQEISGSSPAEVQNFVQGSWTASANWNWIVDPLNGEKFIKVAEVQGTEIKPFVESLSRCPKHGLHNPLKAPERYLMYGDISAKAAHMLGQPAVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQRSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPVLKVDSKVSVVMEQMLRLLHDCGLPVEDMDFINSDGFTMNKLLLEANPKMTLFTGSSRVAEKLAADLNGRIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSVLFMHKNWSSSGLLEKMKKLSERRKLEDLTIGPVLTVTTEAMTEHMNNLLKIPGSKVLFGGEPLENHSIPKIYGALKPTAVFVPLEEILKSGNFELVTKEIFGPFQVVTEYSEDQLELVLEACERMNAHLTAAVVSNDPLFLQDVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVPKNWALPSPT >PVH62231 pep chromosome:PHallii_v3.1:3:15751505:15752603:-1 gene:PAHAL_3G242700 transcript:PVH62231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACMLPCGALDVVRVVHLSGHVDEFACPLAAADVLAAHPNDALTDAWSAGATRKIVILSPDSELKRGRIYFLIPSACSAPAAEMKKHHRRNRASKKKHGHGRRKVAASSTAEQDNYLRELLSEKREASHRRRRSGARPGVWRPRLESIAEEPSET >PVH61696 pep chromosome:PHallii_v3.1:3:6267947:6270028:1 gene:PAHAL_3G098600 transcript:PVH61696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGHAVVDIDAAADEAAKTPLAPVPYVLSFADLSYSVKKGGGLAGCCLAPRASSRLVASADAPPPSGSSRRAKTLLDGVSGEACAGELLAVMGASGSGKSTLLDALAGRIARESLRGSVTLNGEPLHGRRLRAISAHDDLLYPMLTVRETLRFAAEFRLPRALSQEKKRARVDALIDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILGILDRLLLLSRGRTVYAGTPAGLKPFFAEFGAPIPDNENPAEFALDTIREFERQPDGAAALADFNANRMKALVNKCNKLMSTMPLELAIAESVSRGKLVAGRGSGSAVSGSVPTFANPPWTEVWVLIKRSFTNTGRMPELFAMRLGTIMITGFILATIFWRLDDTPKGVQERLGFFAMGMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANAAVAFPPLVLLSFAFALITFWAVGLSGGASSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFITRDRIPEYWIWFHYLSLVKYPYQAVLQNEFGGASRCFSRGVEMFDGSPIGRLPEAVKLRVLDAISATLGTNVTANTCVTTGADVLAQQAVTDIGRWKCLLVTVAWGFLFRALFYAVLLVGSKNKRQ >PAN16760 pep chromosome:PHallii_v3.1:3:5506170:5507578:1 gene:PAHAL_3G084500 transcript:PAN16760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSQQSSQHLLVLLLLLLSCLAGAATAGSTMQCHDEDQAALLAINSALGSPYHFASWTPDTFCCDWYDVDCDNATGRVVGLSVFGDANVTAAIPDAIANLTGLRTLVLRHLPGLTGGIPESLAQLSGLSQLTISYTGVSGPVPAFLSQLAELALLDLSFNSLSGAIPASLADLPALASIDLSRNRLEGPVPALLLSKCPGQVELWLSHNNLSGAIPAGFAAINFTHLDLSRNSLSGDASAVLGRGKPLQHLDLSRNDLRFSLTGAELPEQLTYLDLSHNAIRGRVPAQVADLAGLQLFNVSYNKLCGVLPTGGVMERFDAYSYQHNKCLCGSPLPACHRYML >PAN17869 pep chromosome:PHallii_v3.1:3:10319022:10323638:-1 gene:PAHAL_3G160600 transcript:PAN17869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGTGGTPFLLSPATGRAATPSSAVFDAEAAGAGAHHRAGKAPPPADAGAAFVLESKGTWWHAGFHQTTAIVGPTVLTLPYALRGMGWGLGLAALTAVFAVTFYAYFLVSRVLDHCEARGRRHIRFRELAADVLGSGWVFYLVVSVQTAINAGVTTGSILLAADCLQIMYSNLAPHGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINLGSLILSFGYTILVSAACIRAGVSSNAPAKDYSLSSSKSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYAVIFFTFYFPAITGYWAFGNQVQSNLLQSLMPDEGPSLAPTWLLGLAVVLVLLQLLAIALVYSQVAYEIMEKNSADVAHGRFSRRNLVPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNMALAPPRRSPVYLANVAIMAVFTGVGVIGAVASVRKLVLDADKFKLFSDNVVD >PVH62755 pep chromosome:PHallii_v3.1:3:45887911:45888422:1 gene:PAHAL_3G388500 transcript:PVH62755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLIGAPKGHDMPRSRACKKADNGRCSSGRVPGCASTRARQRAIAMNHSRASRRDHVGFTAAPKAPKPAQLPRPGRPQRLMSPAGSSKASRRWRSGVLWPGCHRP >PAN16473 pep chromosome:PHallii_v3.1:3:3996362:3998267:1 gene:PAHAL_3G063200 transcript:PAN16473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEFLRVKKFHHQQETESPLSLRKRMELQPELSLAPAWPAFAPAPAARSSSSESDGTSRKKRKHHAAGTGWEEPQQPPASLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLRKSWVRPREQSVNLDLNISAAAIVDTGAGVIAAPDEDAERTRRPAAGAVSSGGNNMVAVPCANCHLLVMLCKSSPSCPNCKFVQPLAPATPRAAPARPAHRRLDAAIKPLETLSLLH >PVH62852 pep chromosome:PHallii_v3.1:3:53977358:53978092:-1 gene:PAHAL_3G416300 transcript:PVH62852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFCFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH61458 pep chromosome:PHallii_v3.1:3:2404182:2407161:-1 gene:PAHAL_3G037200 transcript:PVH61458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLVPKNNYLDECKERHDGIVSSKGKCKDHARGDHDIHGTLHKQEGTKSLNGNQPMQGLQRKSGKNSSKPFDKDLSRCQRDKALRKEDGHSPHNADQRGLTEINFDLSNKSEVAKCDGNTNNGMPKKRKVPSLEVNPKTIEVNVSKDLQGNNTDSRPRKKQRCVTSKDEEKGVEDGGGDFSPMGVEDDIARSLSQAAISKDGHAKVSMPLFVEQHCCSKPIDLPNWSGIFKIDGKEYISLAGHLSNKSCKKVWSLSRQLTPLVELKRLLRL >PAN16319 pep chromosome:PHallii_v3.1:3:3335446:3338747:1 gene:PAHAL_3G053300 transcript:PAN16319 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFDQPHFIKALEGDVHVVDDLPESLQSAPRARKHFTSWSGASYYEEVKELWKNQKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIEDLGKKLVDRLRYRGKFIALHLRYEKDMLAFTGCTYGLSDSEANELTIMRERTSHWKLKDINSTEQRSEGNCPLTPNEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRAYFPNLVNKEILATKEELEKFNNHASQVAALDYIISVESDVFVPSHSGNMAKAVEGHRRFLGHRKTITLDRRGLVELFDLLEKGELMEGPQLSSLVTDMHKYRQGAPRKRYGSLPGSKGRARLRTEESFYENPLPECICLTGKH >PVH61521 pep chromosome:PHallii_v3.1:3:3333906:3339450:1 gene:PAHAL_3G053300 transcript:PVH61521 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MANPRGAPPLLHPRHRRLLRSPISRCACLLFAFAVLLLLSALRQVARVDFPRPDAPRQGSSEHLWASIGYGYNACITPTSRYKVPGKSDRYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFDQPHFIKALEGDVHVVDDLPESLQSAPRARKHFTSWSGASYYEEVKELWKNQKRLRCRCLYQALRFSDPIEDLGKKLVDRLRYRGKFIALHLRYEKDMLAFTGCTYGLSDSEANELTIMRERTSHWKLKDINSTEQRSEGNCPLTPNEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRAYFPNLVNKEILATKEELEKFNNHASQVAALDYIISVESDVFVPSHSGNMAKAVEGHRRFLGHRKTITLDRRGLVELFDLLEKGELMEGPQLSSLVTDMHKYRQGAPRKRYGSLPGSKGRARLRTEESFYENPLPECICLTGKH >PAN16318 pep chromosome:PHallii_v3.1:3:3333906:3339450:1 gene:PAHAL_3G053300 transcript:PAN16318 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MANPRGAPPLLHPRHRRLLRSPISRCACLLFAFAVLLLLSALRQVARVDFPRPDAPRQGSSEHLWASIGYGYNACITPTSRYKVPGKSDRYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFDQPHFIKALEGDVHVVDDLPESLQSAPRARKHFTSWSGASYYEEVKELWKNQKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIEDLGKKLVDRLRYRGKFIALHLRYEKDMLAFTGCTYGLSDSEANELTIMRERTSHWKLKDINSTEQRSEGNCPLTPNEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRAYFPNLVNKEILATKEELEKFNNHASQVAALDYIISVESDVFVPSHSGNMAKAVEGHRRFLGHRKTITLDRRGLVELFDLLEKGELMEGPQLSSLVTDMHKYRQGAPRKRYGSLPGSKGRARLRTEESFYENPLPECICLTGKH >PAN16731 pep chromosome:PHallii_v3.1:3:5324931:5328678:1 gene:PAHAL_3G081000 transcript:PAN16731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAGGGGEEYKREESVALLVIVSLAALSLLSLIAAFAYYCYITRKVSRRLHSLQPPKRSGSPPPPPPPALPPPQQQGKESPSSNSASDGAGAAAAGMAAVVAGERGVQVFSYRQLHAATGGFGRAHMVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLSLIGHCSEGGQRLLVYEFMANGGLQEHLYPSRGSCGGISKLDWDTRMRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFHARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVRILDPALEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKNRSAQKACNPNMQASKPLD >PAN18608 pep chromosome:PHallii_v3.1:3:13909902:13911600:1 gene:PAHAL_3G213900 transcript:PAN18608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSTNQALLDAQLDLWHNTFGYIKSMALKSALDLRIADAIHSHGGGATLPQVVAAVKLHPSKIPCLRRLMRVLAATGVLSADQSPSGGGEPVYALTPVSRLLVGSSQNLAPFTAMILHPSFVAPFLELGTWFQKEMPEPCVFKHTHGLTLWEHAGRDATFDALVNDSMVSDSHFIMDIAIKECPGAFQGISSLVDVGGGLGAAAQAISKAFPGVKCSVLDLDHVVAKAPSGTGVQYIAGDMFESVPPADAMFFKWVLHDWGNEDCIKILKNCKKAIPPREEGGKVIIMDIVVEEGSSNLKQTEMQALFDLYMTLVNGMERDEQEWKSIFFQAGFSDYKISPVLGARSIIEVYP >PAN16854 pep chromosome:PHallii_v3.1:3:5866645:5867042:-1 gene:PAHAL_3G091300 transcript:PAN16854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAAAAATAAGDEEQDQARMDAIADGLQTRDAMRLYNWLTQRCFSDCVVSFYRRALGKREEECVRSCVRKYQLLSTASATRFAHFADPNNSSSAAFDD >PAN18522 pep chromosome:PHallii_v3.1:3:13508016:13512160:1 gene:PAHAL_3G207900 transcript:PAN18522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRELRDGLEVEEDERAEEASGGEVVAVVRLKAKRALVGAGARFLFYPTLLYNVVRNRFEAEFRWWDRVDQYVLLGAVPFPSDVPRLKQLGVRGVVTLNEPYETLVPTSLYQSHGINHLEIPTRDYLFAPSLEHICQAVDFIHRNEMQGGSTYVHCKAGRGRSTTIVLCFLIKYRNMTPEAALDHARSVRPRVLLAPAQWEAVKTFSTLNATHDTRCLSIQSSKPTCPALSYEESSEHFGTLTSRCLSIQSSDEDSSVTSDEESSEASVTDPEIDGYATTEFDSEHFVLPRCRSMLSRPTSPTGCSDLVFITEADLEGYESFTDVGEDDVEDGVEVVVRHKPIMRKLSCFLGSLKLTSNCEPPPSRLTEVRAC >PAN19333 pep chromosome:PHallii_v3.1:3:17689463:17694826:1 gene:PAHAL_3G266000 transcript:PAN19333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPLHPSSARSDMDEIETLARAAPPQAAAAAVPPAALRPASPPRASIPVSSSALPVLPPVRLPFSAAVPGSIVSAPVVSGSIAAPGSVAVPISSDGFGGPEPASHTLTEPVWDTVKRDLHRVVSNLMLVVFPNPFREDPGKALRDWDLWGPFFFIVSLGLILSWSATAGKSQVFAVAFAVLAAGAIVLTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLNDSVMLKIVVVIVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGCLIIAID >PVH62978 pep chromosome:PHallii_v3.1:3:58206859:58208367:-1 gene:PAHAL_3G444600 transcript:PVH62978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLHRSSMIGGGGGGGRALRRVGSSPRAPPPQTRRLLFSKSDSINKKRSATAKRSGTKQGSKRARLRAGLAAALQELRLAGRGRKHGGAGAVAGVSAGGACPGPGAAAALPVAAGADEGSASRATAGGGRRAGWPPLVLLLAVLALACVVALGRAPAVCCCTCAAWLCRGPSATPAGEHRRSVGAVQSHL >PVH61629 pep chromosome:PHallii_v3.1:3:5353327:5354285:1 gene:PAHAL_3G081500 transcript:PVH61629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVDDLSAAYDEFVAAAAAVMEARAQSGGEKTAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSASSGSGPAPLAAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAPGAAGSGGAATPNAGAGPGPGGQHPEEGGQ >PAN17541 pep chromosome:PHallii_v3.1:3:8923981:8926343:-1 gene:PAHAL_3G138800 transcript:PAN17541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAKTVERLGQRLVPPAEPTPTGPHRLSWLDRYPTQMALIESLHVFKPDPARDGVSPAETIERALARALVEYYPLAGRLAVSDDAGGLHVDCSGEGVWFIEAAVRCRLEDVEYLEYPLQIPKDDLLPHPLPRPSREEENKLILLVQVTTFGCGGFVVGFRFSHAVADGLGAAKFMGAVGELARGADQISPPPTWGRDAIPNPAGAHVGSLPELDGAKRLEYLAIDISADYIDHFKSQFAAASGGGRCSAFEVLIAKAWQSRTRAAAFDADTPVHLCFAMNARPLLAARLPRAGVGFYGNCYYIMRVSSTAGKVSTSSVTDVVKIIREGKKRLPSEFARWAAGEEAGDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDCIATCILVKPWAHKPGARLITQCVTPDRVAAFHDAMVDMNC >PAN17468 pep chromosome:PHallii_v3.1:3:8599863:8601124:-1 gene:PAHAL_3G133400 transcript:PAN17468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAMASAGSKDEPGLFVKQGSKLHSKMLSKEAAAQLAVPSFRVYYSVASAGAVPFLWESQPGTPKNDSPSAAALPPLTPPPSYYAAGRGGAGGRSRKRRPGLIGAILPRIALLRRPGRTAPCSSWSSSSSWSSSSSNTSSMSPVFNVQSSPAAGGSRGHRRAFSAGGDEDAEAAPRCFWTDRDCCEKGVVKGCGVAVAVRNALATVVSGKPGRRATAA >PAN17653 pep chromosome:PHallii_v3.1:3:9520917:9523451:1 gene:PAHAL_3G146700 transcript:PAN17653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCGIWSPFQSGGIGEGAASERSRGSELQQEQDLPISSLVEEQLQLEQIYLLMDMEEHGHAEAPSSLSSTFPAASFSASPDEASSLVPGSSNLDTIPNLEELITCQEARQEQARRRNGHARGAFMPYSRHLSTKKKPKPGAGAGGQRAIKAAMSALARMHKVRLAQWQRYQMEMALAAVAPPTGSICGNQLQHVLSERKRREKLNDSFKALKTVLPPAPKKDKASILIRARDYVNTLKSRLSELEERNRTLVELQHQCDDGGERDDVSDEQIEVDINRAAAGAAEETSQEFHLKIVVRSGCNAMDAVVGILECLKELGDVRLADMDTGSRGTTLALQMKTSRCDDIFLKESVIKSVKGVMQSKIDSLSFFDPSVEMGI >PVH61879 pep chromosome:PHallii_v3.1:3:9521300:9523451:1 gene:PAHAL_3G146700 transcript:PVH61879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEEHGHAEAPSSLSSTFPAASFSASPDEASSLVPGSSNLDTIPNLEELITCQEARQEQARRRNGHARGAFMPYSRHLSTKKKPKPGAGAGGQRAIKAAMSALARMHKVRLAQWQRYQMEMALAAVAPPTGSICGNQLQHVLSERKRREKLNDSFKALKTVLPPAPKKDKASILIRARDYVNTLKSRLSELEERNRTLVELQHQCDDGGERDDVSDEQIEVDINRAAAGAAEETSQEFHLKIVVRSGCNAMDAVVGILECLKELGDVRLADMDTGSRGTTLALQMKTSRCDDIFLKESVIKSVKGVMQSKIDSLSFFDPSVEMGI >PAN18135 pep chromosome:PHallii_v3.1:3:11859603:11861717:-1 gene:PAHAL_3G180500 transcript:PAN18135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFVERCLRDQALPFADVLRFPGTRAVELGSGCGPAGLGLSRLGLTDLVLTDIAAVLPALRRNLRRNRAHLPRAPRLAQLHWNCPAHLATLATPRHFDLVVAADVVYVQESVPHLIAAMDALADAERGVVLLGYQIRSPEAHQAFWDSVPAAFPVIEKVAREYLDPDYAYEESDVYILRRRPRQ >PAN18134 pep chromosome:PHallii_v3.1:3:11859595:11861717:-1 gene:PAHAL_3G180500 transcript:PAN18134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFVERCLRDQALPFADVLRFPGTRAVELGSGCGPAGLGLSRLGLTDLVLTDIAAVLPALRRNLRRNRAHLPRAPRLAQLHWNCPAHLATLATPRHFDLVVAADVVYVQESVPHLIAAMDALADAERGVVLLGYQIRSPEAHQAFWDSVPAAFPVIEKVAREYLDPDYAYEESDVYILRRRPRQ >PAN16078 pep chromosome:PHallii_v3.1:3:1771781:1775725:1 gene:PAHAL_3G031600 transcript:PAN16078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04780, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G04780) UniProtKB/Swiss-Prot;Acc:Q9LZ19] MGIWFNCHAISQCARSFIAVCSGLHFRAHSFCNKLNDGIVLKDRRLVRFDRNLIDMFALHVILQQCAKRRCLLIGKGCHGLAIHLGLETDTITCNILINLYTKCGMNDYARRVFDAMPFRSIVSWNTMIAGYTCNGNDLQALKLFSKMHEEGTQMSEFTLSSTFCACAAKFATIECKQLHTIAIKLALDSNSFVGTAVLDVYAKCNMINDACLVFEKIPEKTAVTWSTFIAGLVQNGLHEDALRLFQRSLREGVEFSEFTLSAILSTCASLALMMEGIQLHAVIFKYGFHGNFFVASSLVDVYARCGQIEEAYLIFSDMKHKNVVLWNAMITSFTRHGNFWEAIILFEKMQQAGISPNEVTYLSMLSVCGHAGLVEEARCYFSLLISDQTAEPNVLHYSCMVDVLGRSGRTDEAWELIQQMPFEPTTSMWGSLLGSCRKYRNIGLARLAAEQLFKLEPENGGNHALLSDVYAASGNWENAVLARKYLNDSGANKDMGSSWIYAAHIFGCEQKEELFVQTAVP >PVH61414 pep chromosome:PHallii_v3.1:3:1771781:1777910:1 gene:PAHAL_3G031600 transcript:PVH61414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04780, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G04780) UniProtKB/Swiss-Prot;Acc:Q9LZ19] MGIWFNCHAISQCARSFIAVCSGLHFRAHSFCNKLNDGIVLKDRRLVRFDRNLIDMFALHVILQQCAKRRCLLIGKGCHGLAIHLGLETDTITCNILINLYTKCGMNDYARRVFDAMPFRSIVSWNTMIAGYTCNGNDLQALKLFSKMHEEGTQMSEFTLSSTFCACAAKFATIECKQLHTIAIKLALDSNSFVGTAVLDVYAKCNMINDACLVFEKIPEKTAVTWSTFIAGLVQNGLHEDALRLFQRSLREGVEFSEFTLSAILSTCASLALMMEGIQLHAVIFKYGFHGNFFVASSLVDVYARCGQIEEAYLIFSDMKHKNVVLWNAMITSFTRHGNFWEAIILFEKMQQAGISPNEVTYLSMLSVCGHAGLVEEARCYFSLLISDQTAEPNVLHYSCMVDVLGRSGRTDEAWELIQQMPFEPTTSMWGSLLGSCRKYRNIGLARLAAEQLFKLEPENGGNHALLSDVYAASGNWENAVLARKYLNDSGANKDMGSSWIYAAHIFGCEQKEELFVQTAVP >PAN16577 pep chromosome:PHallii_v3.1:3:4440934:4444138:-1 gene:PAHAL_3G069800 transcript:PAN16577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGTLPGGGAAASVPRSQRRRGAPSLAAAYVPKGVRLVCGRQLRAAPVLASPSATLSGPARRRFLRAAPAAAAASGSASAGEAKPQGFAERYPTLVTGFFFFLWYFLNVIFNILNKKIFDYFPYPYFVSVSHLFVGVLYCLIGWGFGFPKRAPINSTLLKQLIPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFSASASQFILGQPVPLTLWLSLVPVVVGVSIASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPALIVSLVLVIYIRF >PAN16576 pep chromosome:PHallii_v3.1:3:4441781:4444085:-1 gene:PAHAL_3G069800 transcript:PAN16576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGTLPGGGAAASVPRSQRRRGAPSLAAAYVPKGVRLVCGRQLRAAPVLASPSATLSGPARRRFLRAAPAAAAASGSASAGEAKPQGFAERYPTLVTGFFFFLWYFLNVIFNILNKKIFDYFPYPYFVSVSHLFVGVLYCLIGWGFGFPKRAPINSTLLKQLIPVAVCHAIGHVTSTVSFAAVAVSFAHTIKVLQIGTQLWSHSSVRLLLSSSLDNQFH >PAN16575 pep chromosome:PHallii_v3.1:3:4438423:4444138:-1 gene:PAHAL_3G069800 transcript:PAN16575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGTLPGGGAAASVPRSQRRRGAPSLAAAYVPKGVRLVCGRQLRAAPVLASPSATLSGPARRRFLRAAPAAAAASGSASAGEAKPQGFAERYPTLVTGFFFFLWYFLNVIFNILNKKIFDYFPYPYFVSVSHLFVGVLYCLIGWGFGFPKRAPINSTLLKQLIPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFSASASQFILGQPVPLTLWLSLVPVVVGVSIASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPALIIEGPQLVQHGFKDAIAKVGLTKLISNFFVVGLFYHLYNQVATNTLERVAPLSHAIGNVLKRVFVIGFSIIVFGNKITTQTGIGTSIAIAGVALYSFMKAKIDEEKRQIKSA >PVH61585 pep chromosome:PHallii_v3.1:3:4438423:4443108:-1 gene:PAHAL_3G069800 transcript:PVH61585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLVIGIFYYREAKPQGFAERYPTLVTGFFFFLWYFLNVIFNILNKKIFDYFPYPYFVSVSHLFVGVLYCLIGWGFGFPKRAPINSTLLKQLIPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFSASASQFILGQPVPLTLWLSLVPVVVGVSIASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPALIIEGPQLVQHGFKDAIAKVGLTKLISNFFVVGLFYHLYNQVATNTLERVAPLSHAIGNVLKRVFVIGFSIIVFGNKITTQTGIGTSIAIAGVALYSFMKAKIDEEKRQIKSA >PVH63199 pep chromosome:PHallii_v3.1:3:62352949:62355089:1 gene:PAHAL_3G491600 transcript:PVH63199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRRLVFALTTVVALLRAVAKDDSILRPWPPDCSTANNYTAGSQYQRNLAELLSRLPAAAGDNGWFYNGSAGAGADQVFGLVMCYADYNATACLDCLSRAPAGITTVCPGSRSVRAMYDACVLQYSDAPPIPATADLNYLYRVYLSFPGVDVTSEGLREAWVPLMSELTGGVAASPLRLANGSTPYSSSQDMYGLAQCTRYLNASECARCISNYASQLGKLFPNNSGGVVKGYRCYLRYQVAALDITLPPAPARSPEPHPSSSPRSRFPQNASGSTTGSGGLSDVALILLVSIGSASILIVLCFSAWLLLRRRRRKMAKLHEETRTMEDEFEKGTWPKQFRYDELAIATDNFSDRRKLGEGGFGSVYRGFLREMNLHVAIKRVSKGSKQGRKEYASEVRIVSRLRHRHLVQLVGWCHGGGDLLLVYELMPNGSLDKHLYSADSKLPWSLRHKIVLEIASAILYLHQEWEQCVLHRDIKPSNVMLDASFHAKLGDFGLARLVDHARGSHTTVLAGTLGYMDPGCRVRGRASAQSDVYSFGVVLLEVACGRGPAVVLDDDAVIHLSRHVAELNGRGRVLDAADPRLGGEFDTREMESVLVTGLWCTQEDRNTRPSIRQVLGVLQFELPLPSLLERAPVVVTSRRLAC >PAN16809 pep chromosome:PHallii_v3.1:3:5680565:5682412:-1 gene:PAHAL_3G088100 transcript:PAN16809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGKKAYVVAIIIQLIYTGMYVVSKAAFNHGMSTFVFIFYRQAAATVLLLPLAIVLERRNAPPMSFWLFLKLFFYALLGNTLSMNLYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPVNHHRAFAGAAEHHEASGGGGSKGRWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLSTLVQCLLSTAQSFLLAVAVERDPAAWKLRLDVGLLAVGYSGFVVTGVSFYLQAWCIEKRGPVFLAMSNPLGLLLTIFCSSFFLGEIVRLGSLLGSALLVAGLYSVLWGKSKDHLHHQHQPPPPQQQQPSLEAPKHRSTGSICSDGDGDDDDEEKQEVKVEQRKGEVEETQLKDLFAMEASPLRHQVG >PVH63043 pep chromosome:PHallii_v3.1:3:59704422:59705673:-1 gene:PAHAL_3G459800 transcript:PVH63043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWRKKKQTIRTGSIKRKFLPSAGTKRRPEASSAEAAMTACDLPRRLLPRKRHHRRRAQGLSSMAPGFRSYDCHMICKIIHMVWGEIPLVSRERDPLKSAKTTSLSSHASDPLLSYPTIRCSISCLTDGAPLGLLGRPNIRDDAYVYLLVGNCKFPGANNRCFGAGLTFLARAANVVRRRSRIDEGRASGSDRYRSLKETSTLLHQN >PAN16284 pep chromosome:PHallii_v3.1:3:3212655:3215977:-1 gene:PAHAL_3G050800 transcript:PAN16284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLPEVAGNPYTAELAAAKKAVALAARLCQRVQQGILQSDVQSKADRTPVTVADYGSQVLVSLVLKKELPSHSYSMVAEEDSKDLRKDGAQEVLEHITSLVNETIANDGSYNMSLSKEDVLAAIDGGKSEGGPSGRHWILDPIDGTKGFIRGDQYAVALGLLDEGKVVLGVLGCPNLPLKSTNKHNGGSSSDQVGSLFFATIGCGAEVEALEGSEPQKISVCSINNPADASFFESYEASHSKRDLTSSIAEKLGVQAPPVRMDSQAKYGALARGDGAIFLRIPHKGYIETVWDHAAGSIIVTEAGGMVKDASGNDLDFSKGRYLDRDRGIIATNKYLMPVVLKAFQDAMKEEQ >PVH62199 pep chromosome:PHallii_v3.1:3:15254646:15255061:-1 gene:PAHAL_3G235700 transcript:PVH62199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVNMHFSSIHLNLFFLIRLAGILISIFLVRQRSLLWLSWNICCLRMQINYHGGDYLTLSDSYCSGMLFEQLVWSSGYVMLLQFTGSVEAPEGFIPDMEICG >PVH62017 pep chromosome:PHallii_v3.1:3:11985722:11986481:1 gene:PAHAL_3G183000 transcript:PVH62017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAEEPGGGTPPPSPPPPHTRASRWRRGKRRTEPGGGTVAAREASRGMWRGVALVRARPNPRASRCKTTSRGAAAPAARRWRPHRRPNRARGPPPNSLYHSCPKTSEHRRAMAQCGRQHPGLPAQTSRPTGTTAPHGVRQDPQQRLKTAGAPCSTATAPAKPNRDGARPFRPPLSQNRPTDAGNTILTSHSSSPTPCNTT >PVH62065 pep chromosome:PHallii_v3.1:3:12876611:12877495:-1 gene:PAHAL_3G196600 transcript:PVH62065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSGRSRRPTTTRPSPGRASDNEATIHHCTITRKLE >PAN17975 pep chromosome:PHallii_v3.1:3:11232556:11236256:1 gene:PAHAL_3G169200 transcript:PAN17975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGGGGGGGGGGDAGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEASAGANQRGGGSGGGRQHSLRPGREDWEIDPAKLVVKGVIARGTFGTVHRGIYDGHDVAVKLLDWGEDGHRSEQDIAAVRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLNIQTENGHIGMPTNICCVVVEYLAGGALKSFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSLLEAIDTSKGGGMIPVDQRPGCLPCFRQYRGP >PAN19989 pep chromosome:PHallii_v3.1:3:22214541:22218833:1 gene:PAHAL_3G311700 transcript:PAN19989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELTYRGGGASPVAGAGAGAGGYSAKPSKPLAWLPRAARYAAAEHRPVFALAGMLIAAAVISIASPSASSSSATGAAVSAYSSSSSNPLARFSVEPAHHRDLASTRHFVGGKVPLGLKRKALRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNLFTGRKDNVVHHFDNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRQNTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVTDFRKRIFGDQDSAATTGNQQG >PAN21253 pep chromosome:PHallii_v3.1:3:58858974:58866616:-1 gene:PAHAL_3G452900 transcript:PAN21253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) UniProtKB/Swiss-Prot;Acc:B0F9L4] MDRSINFRGIAGSAGNIMQGMGKFVFGNEGPESKEDSYVERYLDRISNGTIPDERRSAMTELQSLVAESRSAQMSFGAMGFPILLNVLKEDREDVELVRGALETFVSALTPIETTQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCLELLNNLIRHSSSNQMLLKETMGFDPLIAILKIRRGSAFNFTQQKTVNLLGALNTVELLLTGGPSSESGKDANKNANQAALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLAKKQVGEEPHVQPALNAILSIILRTSIAQEFVAADFVFKCFCEKNPNGQALLASTIAPHPNQGDTHRAASDMPFGSVLLQALVSSDVNGDMEACCRASSVLSHIIKDNLQSKDRVLQIQLETPTPSLGRTEPLLHRIVTCLSIAASADGENNQSRHLEESYIQPVILRLLIVWLVDCSNAVNCLLESAVHLNYIIELASSKRYTACVRGLAAVVLGACVLYNASREKGCDAFAAADAISQKIGLTTYFLRFDELRKSLAHPSSEQRHRKELSRSSANSMSDFQEIEEDETNKDDQHPVLSEIFDSQFVNFLNKLEADIRENIMDIFSRTKTATAVLPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLARNAMLAEEFVKTGGGNTADTSQKPSSGRERVQMEALRQELEGAKRQIELLKTEKSQIEAEANHQRNLAVKMESDLKSLSDAYNSLEQANYRLDTEVKTLRQGGSVPYPDVEAIKAQAKEEAEKDSEAELNDLLVCLGQEQSKVEKLSARLAELGEDVDTLLQGIGDDTAIPDDDDEDEDEDDEQ >PAN19032 pep chromosome:PHallii_v3.1:3:15858256:15862467:1 gene:PAHAL_3G244600 transcript:PAN19032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHPAADQNPKPRDAEPHVPAAETEPEFAEEEYEEDGEEEYEDEDEELDGPAAAAAERERVQSVFKRLSSDPVAIRVHDVVIKGNTKTRDELIEAEVADLLRAAPTVQELLRAASVATSRLHRLDVFDAVKITLDAGPPELPGTTNVVIEVVEAANPLSGSAGVYSKPEARSWSLEGSLKLKNLFGYGDIWDASGSYGWDQTTEVGVGVYLPRFKSVPTPLMARASLSSQDWLKFSSYKERLLGLSFGLLSTMNHDLCYNLTWRALTDPSRTSSKAIRRQLGHNLLSALKYTYKIDQRDSLLRPTKGYAFQSTSQVGGLWDNKGLKFFRQEFDVRGAVPLGFYNAALNVGVGAGVILPLGRGFMNSSSPVPDRFFLGGHSSPVCSLSGLSSLLGFRTRGVGPTEARRLVPSESDSDSAAAPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIHGHAFLTAGNLAKLSEGEYKNFSVSEFQRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGKTGIQFSFSSPM >PAN19966 pep chromosome:PHallii_v3.1:3:22012862:22019120:-1 gene:PAHAL_3G310800 transcript:PAN19966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGTRLLLFLPWLLLLLLPSHAVTAFRFTVDDFPDEFTFGAGTAAFQYEGAVAEDGKSQSIWNTFAHSARNPNEHSGDMACDGYHKYKEDVKLIKDIGLTAYRFSISWSRLIPDGRGAINPKGLQFYNDMINELVKQGIQIHAVIYHLDLPQILEDEYGGWLSPRIVDDFTAYADVCFREFGDRVAHWTTILEPNIIAQGSYDIGIAAPGHCSYPFGRDCTVGNSSVEPYLYLHYSLLAHSSVVRLYREKYQAVQKGVVGINLYSLCIYALSDSAEDIQATERANDFLFGSILHPFLFGDYPESMKKAAAPYTRATILMHQRCPCETKQLTLELCSEKPGMGKLSRSIHQAEWLILKD >PAN19964 pep chromosome:PHallii_v3.1:3:22012862:22019120:-1 gene:PAHAL_3G310800 transcript:PAN19964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGTRLLLFLPWLLLLLLPSHAVTAFRFTVDDFPDEFTFGAGTAAFQYEGAVAEDGKSQSIWNTFAHSARNPNEHSGDMACDGYHKYKEDVKLIKDIGLTAYRFSISWSRLIPDGRGAINPKGLQFYNDMINELVKQGIQIHAVIYHLDLPQILEDEYGGWLSPRIVVAHWTTILEPNIIAQGSYDIGIAAPGHCSYPFGRDCTVGNSSVEPYLYLHYSLLAHSSVVRLYREKYQAVQKGVVGINLYSLCIYALSDSAEDIQATERANDFLFGSILHPFLFGDYPESMKKAAGTRLPSFSSYESKLVTGAFDFIGLNHYSSIYASDNPDASKMPVRDQAADVGALFRETRDGQTVTQYPPGRMVDPQGLEHVLKYIREKYGNISIYIQENGRGQIDNDDDNNDLMDVERIDFLKKYIAGTLKAIRDGANVKGYSVWSLMDMYEIFGGYKAHFGLIRIDFKNPRRQRQPRLSAHWYSDFLKNNVTIQVESGAATATSHAQI >PAN19965 pep chromosome:PHallii_v3.1:3:22014450:22019040:-1 gene:PAHAL_3G310800 transcript:PAN19965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGTRLLLFLPWLLLLLLPSHAVTAFRFTVDDFPDEFTFGAGTAAFQYEGAVAEDGKSQSIWNTFAHSARNPNEHSGDMACDGYHKYKEDVKLIKDIGLTAYRFSISWSRLIPDGRGAINPKGLQFYNDMINELVKQGIQIHAVIYHLDLPQILEDEYGGWLSPRIVDDFTAYADVCFREFGDRVAHWTTILEPNIIAQGSYDIGIAAPGHCSYPFGRDCTVGNSSVEPYLYLHYSLLAHSSVVRLYREKYQAVQKGVVGINLYSLCIYALSDSAEDIQATERANDFLFGSILHPFLFGDYPESMKKAAGTRLPSFSSYESKLVTGAFDFIGLNHYSSIYASDNPDASKMPVRDQAADVGALFRETRDGQTVTQYPPGRMVDPQGLEHVLKYIREKYGNISIYIQENGRGQIDNDDDNNDLMDVERIDFLKKYIAGTLKAIRDGANVKGYSVWSLMDMYEIFGGYKAHFGLIRIDFKNPRRQRQPRLSAHWYSDFLKNNVTIQVESGAATATSHAQI >PAN21919 pep chromosome:PHallii_v3.1:3:62999179:63001350:-1 gene:PAHAL_3G499900 transcript:PAN21919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLNMQAPPPPPESGGKQQQQHQLMRTVSISVLVMSLPVLYVSFLHVPPAALFRDTTFWFLMSNSIIIVIAADSGMLFFRSSSSAASCSSSDDDDGGIVPFAVSGGEPVAVKNGYALSMGISVSDEVVVQNQDLVVMEHGDLSAIAENDDHAYALVAREDQAERVVASTPESRDIIVSPSTAAGEFVPVKNVDVGEIVPAARPRRLAASRSLAAREERPTTARRRRGHRPSHSHALVPVQDKSVVVSEEKHLRRAATDRRPSPAEEEIEKESEYSKLSDEELNRRVEEFITRFNREIRLQLEKEQAAAA >PAN19161 pep chromosome:PHallii_v3.1:3:16590558:16594480:1 gene:PAHAL_3G253500 transcript:PAN19161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSIRSALARAFIAPKLRGPHQFAATAAAGETQPERVAAEMVRYALGGAVHPSSPEEAMRILEQGASNLQGGGEGSAEAVGLLMLAMSTLLYRSGRRQDAMEKLKATQQVAPSASFRVAAWEALMGLHMEAGQEMSHSVSPNDSVDLSIKDDSQRFDQDHLKFRVNAIKGLIALLNGDTESAAQLFIDGCRDFAGCKHQTENAAVSYGEYLHCVGDFPMATQVYESVLEKARLEDMSGNLLAAGNMVPEEVSLGAICSYGQLLSHSGKFGEAEDYLTRALQKAEEQFGANHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVLKAPAINSEGTSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKECAEDAWRNRRLTLAQAIEFSEPSKPTVVVDTRIGRVL >PAN19162 pep chromosome:PHallii_v3.1:3:16590404:16594469:1 gene:PAHAL_3G253500 transcript:PAN19162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSIRSALARAFIAPKLRGPHQFAATAAAGETQPERVAAEMVRYALGGAVHPSSPEEAMRILEQGASNLQGGGEGSAEAVGLLMLAMSTLLYRSGRRQDAMEKLKATQQVAPSASFRVAAWEALMGLHMEAGQEMSHSVSPNDSVDLSIKDDSQRFDQDHLKFRVNAIKGLIALLNGDTESAAQLFIDGCRDFAGCKHQTENAAVSYGEYLHCVGDFPMATQVYESVLEKARLEDMSGNLLAAGNMVPEEVSLGAICSYGQLLSHSGKFGEAEDYLTRALQKAEEQFGANHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVLKAPAINSEGTSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKECAEDAWRNRRLTLAQAIEFSEPSKPTVVVDTRIGRVL >PAN19160 pep chromosome:PHallii_v3.1:3:16590403:16594469:1 gene:PAHAL_3G253500 transcript:PAN19160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSIRSALARAFIAPKLRGPHQFAATAAAGETQPERVAAEMVRYALGGAVHPSSPEEAMRILEQGASNLQGGGEGSAEAVGLLMLAMSTLLYRSGRRQDAMEKLKATQQVAPSASFRVAAWEALMGLHMEAGQEMSHSVSPNDSVDLSIKDDSQRFDQDHLKFRVNAIKGLIALLNGDTESAQLFIDGCRDFAGCKHQTENAAVSYGEYLHCVGDFPMATQVYESVLEKARLEDMSGNLLAAGNMVPEEVSLGAICSYGQLLSHSGKFGEAEDYLTRALQKAEEQFGANHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVLKAPAINSEGTSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKECAEDAWRNRRLTLAQAIEFSEPSKPTVVVDTRIGRVL >PVH62273 pep chromosome:PHallii_v3.1:3:16590577:16594480:1 gene:PAHAL_3G253500 transcript:PVH62273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSIRSALARAFIAPKLRGPHQFAATAAAGETQPERVAAEMVRYALGGAVHPSSPEEAMRILEQGASNLQGGGEGSAEAVGLLMLAMSTLLYRSGRRQDAMEKLKATQQVAPSASFRVAAWEALMGLHMEAGQEMSHSVSPNDSVDLSIKDDSQRFDQDHLKFRVNAIKGLIALLNGDTESAQLFIDGCRDFAGCKHQTENAAVSYGEYLHCVGDFPMATQVYESVLEKARLEDMSGNLLAAGNMVPEEVSLGAICSYGQLLSHSGKFGEAEDYLTRALQKAEEQFGANHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVLKAPAINSEGTSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKECAEDAWRNRRLTLAQAIEFSEPSKPTVVVDTRIGRVL >PVH62635 pep chromosome:PHallii_v3.1:3:31333409:31337074:1 gene:PAHAL_3G345400 transcript:PVH62635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYHLLLRRARPISTPCSARRRNVSTNAMEAEEGATKQQDAYTKDGSVDLRGRPAVAARTGRWKACAFLVGYESFERMAFYGVASNLVVYLTTQLREATVPSVRNVNNWTGAVWMTPIAGAYIADTFLGRFWTFTVSSLIYLAGMLLITLAVSLKSLHPQCTPDGGCAPATRQQVAFFYGALYTMAIGAGGTKPNISTFGADQFDDFDAREREVKASFFNWWMFSSFTGGLVAVLVLVFVQENVGWGVGYTIPTAGLALSLLLFYVGTPFYRHKPVRRDSAAGPAMLVGRVFRGAFANRRRQLPCDAGELQEHETAWYAAAGKRRLHRTPALRFLDRAALRSLTEEDEEGTGRRPCTVTEVEEVKLMVGMMVVWLTTLVPCTVWAQVNTLFVKQGTTLDRSAGGMRIPAASLGSFITVSMLFSIPVYDRVLVPLVRRRTGDPRGITLLQRLGIGCVLQVLVVACAYLVEVRRMRVIRERSVRGAGETVPMSIFWMLPQYVLMGVGDVFNSVGILEFFYDQSPDGMRSLGTTFFTSGLGVGNFLNSLLVTLVDRATRGNPGRGGKSWIGDNLNDSHLDYYYVFLLLLSVLNTALFAWVAMRYKYKREFLDVERVGTPELEMAGGQGKLDNVKVTDAPLRVKDAQAAVL >PVH62770 pep chromosome:PHallii_v3.1:3:47604714:47611323:1 gene:PAHAL_3G392500 transcript:PVH62770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKVFLMAAPFQVPHAGLPDLHPNGRGKKPHQCGNQVFLEDPAVVAESLSDGQGIGIPMLKSCGSHSPRLDVGVAGASGDGKNHPTVKSAEVFVLSVVVPWALSNTEALQGVDQKPGETRLFLANALGPTILSHLKTKVE >PVH62623 pep chromosome:PHallii_v3.1:3:29630538:29631962:-1 gene:PAHAL_3G341000 transcript:PVH62623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATNKEADSSNRPQREKKGYVTEEQVRYVRNQRPTSSDLLRKYEYQYRQRLRRESEDEEYEHRTGKRLKKHEDARDHWHCPFFRYCWDSGMNRLPTVRDCPECGSMKTEARESVFGRLGPAPTQQERVRSPRREDEEEDRYHRPRWCPDGLNHSQKRRIQRLRSLEEAEARYIETLKKARPDLAEQVHYVQEKKPRASRKEWRPKSTKADKKVSADTHMVFVLPAEFHARTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDCIEATKNGVRLVLSTGLTE >PAN20858 pep chromosome:PHallii_v3.1:3:53903911:53907821:1 gene:PAHAL_3G415900 transcript:PAN20858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRPSSPHEPLLLLGHQSSILLGHRPGLLFLPTRTRRAVLAARSSSSSVRRGEKPVEDRIREQLLNAGPPPPPSSYDTAWVAMVPAPGSPQASRFPQCVDWILRNQRGDGSWGPAPGSGDPWSLLVKDALSSTMACVLALRTWGVGDEHVGKGLRFIGHNASFVTDDRSDTPAGFNVIFPGMLAHGIGMGLEIPLAPADVDAILRLRDTELKSMGSSGSRAFMAYVAEGLGNLLDWDQAMEYQRKNGSLFNSPATTAAAAIHNYNGRALDYLDSLISRFGSSVPTVYPRNAYSRLRMVDTLEKMGISLGFSGEIDSILDTIYSSWLAKDEEITQDMATCAMAFRLLRLHGYDVASDGLAQFSEESSFYDSVQGYLNDSEALQELYKASHVQIFEEEPVLESIGSWSAKLLKEQLCSNKISRSVDPGEVEHVLKFPSYGTVDRLEHRRNIEQSKIGGFQMLKSAYRACQIDEGMVALAADGFHSCQALYQQELQSLNSWVKVMRLDELEFARLMPLVCLLPPASTMFPSELSEARLAYAMELILVTIVDDLFDVGGSKEEMENLVTLIEKWDAHEEVDFCSETVEIVFRAVYHTSNLLGAKAAAVQNRSVIHHIAELWADLARAMMAEAEWTLRGHVPSLEEYMAVTVPSFGLGPIALTSLYLIGPELTEEMVRSREYGEMLRRVATCARLLNDLRTYEREEEQGKATSVLLRARRHGGSVEAARAEVRSAVAASRSELMRLVVRDSGAVPRAVRQEFWNICKVAHLMYREEDGFASTRETMRAANAVVHEPLAWRLGGKIESPAESVNLS >PAN21195 pep chromosome:PHallii_v3.1:3:58669855:58671705:-1 gene:PAHAL_3G449700 transcript:PAN21195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKFFRFLKLVGVGFKARSESQGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIICCTGIDKDRVHQFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKQKK >PAN21464 pep chromosome:PHallii_v3.1:3:60362019:60363232:1 gene:PAHAL_3G468500 transcript:PAN21464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADGSQRRPWDLSRRAASFLRMARLALAGAAPAQLLAEEEKIGGGHICDPYYKSISTEDDWESDGLWLEEDESELLEDGSTARGVSSEISTPGSGPLNKRSQFIRHHRPATAGAALRPENNAAAAAVAEDSSSEPLVPRRPAKRANDAEMVHHPFGCDRRGSESSSLLLVSS >PAN17955 pep chromosome:PHallii_v3.1:3:11093847:11095413:1 gene:PAHAL_3G167800 transcript:PAN17955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEPPATGGFFGMLSFRRSATAVASFDPAQDDELLALDALQSHVADRLAALLSDAQQQQGPVLSIGFLSKLLDAVCSSDASFRDVVAVAPVAAALSRPPADRLARDLLDRSVKTLDVLNAASLTLASLRGAHRAALTAASCLLPAPKSRAQFARARRAIARIGGDESNKLISASPSTPSPCSRSMRALSLSVSRNWSAGRHMNAMAAHLAPPPPLPSQVGAGCGLGLTLYTMSSVLVFAMWALVAAVPCQDRASAAINPPVAPPKQAQWAQAMAALQDRIADEWRRKDKKLPPGSGGGGSSSSAIGTEGAGGLLAEMQAVERAARDLNSLLEEIAEDDEEEVHGMVSEERAREVTERAEELAAACRALEEGLAPLERQVRAVFHRVVSFRSDLVRCIDNTARTNAAASATPQHHSF >PAN18641 pep chromosome:PHallii_v3.1:3:14047561:14052639:1 gene:PAHAL_3G216000 transcript:PAN18641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDGTSSAAEMLSPRLLVSASSRVQELEKFSHYVARQIGFDDVKECPHLCTLAYDYLRKNKGYEENIFAFFQNNLDPEPLIVKFIEELDKCILGYFSFHWKYATYIITQVLTVEGVTKRKFKNMVLEATREQRFERVARSLKVTRFFSTLVEELKAIGPSSREDSPRNDVMVPVAHCNRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGASAKSVVVEADAFKETDVIYRAISSRGHHNDMLRTAELVHQSSLDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTIAMARDVHRQRYRMGRGYKVSDDGTITEEYWEPVEDSSSEEENEVTGRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFATAFKSYCSLVDNARLYSTNSLGAAKLIGWKDGGSSLLVDPEEIGCLERVSSLNEEADCVHELYADGQPTGGLSSVWQDMVMSPSRASAQRELKAAIEKGEARFRPA >PVH63055 pep chromosome:PHallii_v3.1:3:60053105:60054169:1 gene:PAHAL_3G463600 transcript:PVH63055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQFPTPPAPQARSGEEQQLQQDNTPPWITPPREKNRDHPSQQTAHEPRRKKDPIFIHPQHWSQQTKREQRSSSRGGASPTKSSADPLLLF >PAN19418 pep chromosome:PHallii_v3.1:3:18107902:18108450:-1 gene:PAHAL_3G271700 transcript:PAN19418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYSNLASSPPAAASGRHGARVARPSMELTNTKETKAWEGLAIGAVTLARTFSTGSHRFRRSGSERRSRSRSGLPGALRRAFSMRRYHPAGPGCGAGDGYWRIHDMDGNMSDRGDDTVVEEHGEDEAAREEAESKKQAEQRDEDAAADTAAGNKEDAEGTATAKKKKRGGILKACKKLFRL >PVH62272 pep chromosome:PHallii_v3.1:3:16573429:16576201:-1 gene:PAHAL_3G253300 transcript:PVH62272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVPSPRARRSVVAELEGGLLRGADTFPYFMLVAFEASGLLRFAALLALWPLLRLLELAGRGDLALRAAALVATAGVPRAEVEAVSRAVLPKFMADDVDPRAWAAFGSCEGRRVVVATRLPRVMVERFAREHLGAHEVVGCELEYSRLRRCTGLLRGGGEGEAVADRVHALFAGGDRPDLGIGRSEMARSFLPFCKEQLEPPFTAGAATTSAAAPPFRPVIFHDGRLVCRPTAFMSLVILLWLPLGALVAFVRIAVGLMVPIWTIPHIAPIFGGAVIMHGRAPPPVRRAAAEDGSPSGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEVLSPIPTVRLTRDREVDAARMRAELARGTWPCAPRAPPAGSPSCSASRRCSRS >PAN17843 pep chromosome:PHallii_v3.1:3:10196950:10200704:1 gene:PAHAL_3G158600 transcript:PAN17843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGSSSAGGRSGRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVAAFGGFPCTAWFDVEDTSIDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGATVALHSVACYAHGKFTSGIPYPITLNAVISLSGWLPCSRTLRSKMESSHIATRRAASLHILLCHGRVDEVVTYRNGERSAEILRSSGFSNLIFKPYNGLGHYTIPEEMDDLWKWLSSTLGLNRSR >PAN17842 pep chromosome:PHallii_v3.1:3:10193960:10200704:1 gene:PAHAL_3G158600 transcript:PAN17842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGSSSAGGRSGRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVAAFGGFPCTAWFDVEDTSIDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGATVALHSVACYAHGKFTSGIPYPITLNAVISLSGWLPCSRTLRSKMESSHIATRRAASLHILLCHGRVDEVVTYRNGERSAEILRSSGFSNLIFKPYNGLGHYTIPEEMDDLWKWLSSTLGLNRSR >PAN17841 pep chromosome:PHallii_v3.1:3:10196914:10200704:1 gene:PAHAL_3G158600 transcript:PAN17841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGSSSAGGRSGRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVAAFGGFPCTAWFDVEDTSIDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGATVALHSVACYAHGKFTSGIPYPITLNAVISLSGWLPCSRTLRSKMESSHIATRRAASLHILLCHGRVDEVVTYRNGERSAEILRSSGFSNLIFKPYNGLGHYTIPEEMDDLWKWLSSTLGLNRSR >PVH61671 pep chromosome:PHallii_v3.1:3:5869739:5870930:1 gene:PAHAL_3G091500 transcript:PVH61671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELTEFWVEVVWLGSRRWWPSSVTGSFAARPVLSRAAHAFRNAAVAAACKVAAEDAFHCLTTGGGVPKHKLEHSLKKICKDGAYWGTAAGVYVAMESAVEETRGRTDWKNAVIGGALAGAVMSAATAGSSGHRDKVVKDAIAGAAIAAAAEFIGHRVRVDLGPVRFAGGTRSRSNQTKEGRNGAEPGERQEVVAPWVAGREVELPLQFRTDPALS >PVH63093 pep chromosome:PHallii_v3.1:3:60522459:60525621:-1 gene:PAHAL_3G471600 transcript:PVH63093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAVPSPTVSTLMAASPSLTKFGSSFLKAGSSFLRKGEGSALPLTRPLLPPSLSQLSQSSMHQPPVKQSTDNIGLPPRPPAAHEAELPERPSRACLKSDYIELPPPASKCSSGQSIINGFNVLCGVGILTTAYGIKEGGWLSLLLLPLLGGSSCYTGLLLKRCIDSSPNIETYPDIGQVAFGIFGRIFVSVVLYLELYASCVEYITLLGDSLSSVFPSAHLAFTGINLNAHNLFAITMALAILPSVWLRNLSLLSYLSAGGVIATVTVIVCLFWVGIGEGIGFHPSGAAVNLNHLPVALGLYGYCYSGHSVFPNIYSSMKDRSQFPFVLLFCFTVVTIVYAGVAVTGFLMFGESTMSQFTLNLPQQYIPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPQRMQSYLVGMSVRTFLVLSTVAVALLFPYFALVMALLGSVFTMLVALILPCACYLSIKKGAVPLWEIILCIIIIMIGVVCACIGSYTSINRMISR >PAN17977 pep chromosome:PHallii_v3.1:3:11242336:11243206:1 gene:PAHAL_3G169300 transcript:PAN17977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSAPAAAASVPAPTKKHQHAPLRGSQLKQLREIFRRFDMDGDGSLTQLELAALLRSLGLRPTGEEVRALLVGMDADGNGAVEFDELAAAIAPLLTTQTHLVDQAQLLEVFRAFDRDGNGFISAAELARSMARLGQPLTFEELTRMMRDADADGDGVISFKEFAAVMAKSALDFLGIA >PAN19065 pep chromosome:PHallii_v3.1:3:16016073:16016267:1 gene:PAHAL_3G247100 transcript:PAN19065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYCYQYSSVSSSSSNLAKEKRPPPKRGHVKMQIVRTLSNLVAPGSGGDPKQDRSSFRRERSYN >PAN20382 pep chromosome:PHallii_v3.1:3:51430427:51430645:-1 gene:PAHAL_3G404700 transcript:PAN20382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGSGDKSSWPEVVGLPAKAAKQKILADRPDVQVVVLPVGSPMTTEFHPRRVRGFVNGPACKVAEVPMIG >PAN20785 pep chromosome:PHallii_v3.1:3:52964721:52967307:-1 gene:PAHAL_3G410000 transcript:PAN20785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRGRRRVQVASRGRRGLLRAVTATAAAAHDPSLSPAGACIPSPWPAATRGSVPRRGGVPSLAALMSSHKGGGACGCCGAGMSARPARTAPPLRCDPQCGAQRSSQPWRRVASSRQDIWRIRRWRSSSSEWKEGKEGAKSDLQQGSNGFHEHFIFSFHF >PAN17603 pep chromosome:PHallii_v3.1:3:9200649:9204407:-1 gene:PAHAL_3G143000 transcript:PAN17603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGMEMAGGGVEDAYGEDRATEEQLITPWAFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPALASQELQEKKIMHNLRQYTVPLHRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKVLEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEFYIGLRQKRATGQEYHELLEEFMTAVKQNYGEKVLTQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLAALKVVGGTLADHTYLFLGAGEAGTGIADLIALEMSKHTDTPIDDCRKKIWLVDSKGLIVESRKESLQHFKQPWAHAHEPLKTLLEAVESIKPTVLIGTSGVGRTFTKEVVEAMASFNEKPVIFALSNPTSHSECTAEQAYTWSQGRAVFASGSPFDPVEHEGKVHVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVTDEHFAKGLIFPPFTNIRTISARIAAKVAAKAYELGLASRLPRPDDLVKYAQSCMYTPTYRNYR >PAN17802 pep chromosome:PHallii_v3.1:3:10002122:10007075:-1 gene:PAHAL_3G155600 transcript:PAN17802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSSAERRNASPVGEKGTGGRKGSSGVGCWIRLCFSPSSSSRAKVDAALCGARASSETRGKNDAIQNQPVRQIVPASTSPSNAENISPPSIVADGLTVAFQLRKFTFNELRFATRNFRPESLLGEGGFGRVYKGWIGENGTGPVRPGTGLIVAVKTLNREGQQGHKEWVAEVNFLGNLQHPNLVKLIGYCIEDNQRQLVYEFMPRGSLEHHLFRKSVPLPWSTRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNVLLDTDYNAKLSDFGLARDGPIGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLELMTGRRSMDKNRPTGEHNLVEWARPHLKQRQGFQALMDPKLGGNIPMKGAYKVTQLARACLTRDPKARPLMSQVVEILKPLPDLKDMASSSGLYYSLQAEQAARLGYPSGSRTMSPLSSFARNGQQPMRSLSHGPRCHASPYRPQGHVSTYLQLPRSSAK >PAN17894 pep chromosome:PHallii_v3.1:3:10844510:10845962:1 gene:PAHAL_3G163700 transcript:PAN17894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQVLRLLAARRAVSTTITAPASSEAPDSDAEPEPEEDEGPFFDLDFSGSSVRASSSSAGSASSGSESDDAFTELDFIISLHRSRSASPSYDALFFGAGLAPPPPPPPLPQLKFCASEPSAKATTDPQGRYGSKLGGGLRTLSFGARKAAFYGGRPSFARSSSSARSLRLFIESPADDDEEEATEEPEPRRAPSRDVIRRYLTKISRRLRSVRPRSAGGEARGLRRLRKSRSASAAVTLAAPAPSRRDDSLAEKQDGIASAIAHCKESLHRASLSECDSPLLRSRSDPGKCN >PVH62527 pep chromosome:PHallii_v3.1:3:22918490:22922533:-1 gene:PAHAL_3G315900 transcript:PVH62527 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MASPAGLRPALPPAIPGAAAVTACAAMAVSYVAVLYAPTVILRFPPPTSLRSFLHRRFACAAVASTASALATAALLRVWSLSNFADMLAVFGIRKDHLLQAVVIPLLLTSLVYAGSFINRLWLLASMRGGDGDEVGIGCTQRLVLWIQTALDDVMVWRNYVVAPFTEELVFRACMIPLLLCGGFKMSTIIFFSPVFFSLAHLNHLFELQQQGCNFVRSLLIVSS >PAN20093 pep chromosome:PHallii_v3.1:3:22918928:22922326:-1 gene:PAHAL_3G315900 transcript:PAN20093 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MASPAGLRPALPPAIPGAAAVTACAAMAVSYVAVLYAPTVILRFPPPTSLRSFLHRRFACAAVASTASALATAALLRVWSLSNFADMLAVFGIRKDHLLQAVVIPLLLTSLVYAGSFINRLWLLASMRGGDGDEVGIGCTQRLVLWIQTALDDVMVWRNYVVAPFTEELVFRACMIPLLLCGGFKMSTIIFFSPVFFSLAHLNHLFELQQQGCNFVRSLLIVGVQLGYTVIFGWYAAFLFIRTGNLLSPIIAHIFCNMMGLPVFSSPRTKGVASVASLAGSICFFWLLFPVTSPELYNTRLDQCRCWHGFCNWK >PAN16459 pep chromosome:PHallii_v3.1:3:3926496:3929852:1 gene:PAHAL_3G062400 transcript:PAN16459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEGLVDWKGQPVNPKRHGGMKATMFIHFLVVMTNIGNIPMLLNVVSYLHGTMHMGIADASTTATNLYGAICVFTLFGAFISDSYIKRFYTILIFAPIEILGYMLLACQAHFPSLHPPPCDITNHPDECTAVSGRNLGLLTLGLWVIPLGEGAVRVCAAALGGDQFDGGDPRELRGKISFFNWFAFCISLGGLVGLVFVVWVQNNEGWDLGFVLSALAALLGAVVLLAGLPFYRHQEPTGSPLTRILQVFVSAFRKRNLPVPDNLMEMHHAAEGTCTSIEVLERTSGFKFLDKAAVDDGDTRRWSLCTVTQVEEAKIILRMLPIFLSSVLGYLPIPLLLTFSVQQGGTMDTRLGGTSIPPASLFVIPVVFQMVILVAYDRAAVPRLRRATGYAGGITPLQRIGAGFASSVVALAAAAAVEARRRGSAAGRMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCVLGAASWLGSSLIRVVNRATARRGGWLGGASLDAGRLDLFYWLLALFGLVSLALYVFCAWSYTYRHDPRMQSTEDDRVSPAAMKQAAV >PVH62576 pep chromosome:PHallii_v3.1:3:25665253:25666379:1 gene:PAHAL_3G327000 transcript:PVH62576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARSSCPADTSGAQARPHFLSRLCRPPRRSPVATLPSPLAGAAQDPGSNIDDKGKRKGSLGPMEESAAILEHLKIIEVRCDAVDERIFKLALFQCAFHIRLSFYLLSLMDHAHFPGDLDQIIVLIIL >PAN21421 pep chromosome:PHallii_v3.1:3:60064877:60072665:1 gene:PAHAL_3G463900 transcript:PAN21421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLTTDRRWAPQRKSGMTVLGKVPKPINLPSQRSENNGLDPNLEIVPKGTLTWGSKGPSTTPNAWGSSSILSAKNDGSSSSSSHFNGRPSSGGGSRPSTAGSESLGSPSAWGPNSRPSSASGTFPSSHLSMATNRPRSAETRPGSSQLSRFADNASENVKASIRTIDRSGSSSHGHGFTLSTGDFPTLGSEVNSQRGHSSKGRPTSSSGKEAPQAEQVKTLTTGTGEVISPSNNHPVDILKTEQHAHTRGGPVPATNLPNEGLQPQPYPQNFHMPPPQFDSWHVPPGHPPEGMWHRGAAPGGPYRPLGPPGSFPVEPFGYYGPYPPNSEAAARQGPGHGGYHPKNGDGYNPMPPNSYIMNQPVIPVRPVYQGPVPYDGYYGPRANFNNTNVRDPHFVGGPRQPGILNQFPNENDKFHPGHSQNRPGKHETVMREREQLEPDKIHVFRRGQSGSLHDNPDRLGGSHEFERNAQPAPPLLPHPDGNRTDMNMRADTRDNFSERNRVLTKLVPNQRGPAGMDHSSVFENARSNPRETGDGILRKKFKEDNSVTLDQQPVIKKNTALIEKIESLNNKARNVDARNVPEPALSKEFKKQQKSTDISSTAVITGMMGCASDQVNSVSHISPVVKRQPNVPSEGTVVGPAHSQLTEFSKAGKLGDSINDRVHRRGDASRNTHHAPKDRPANKFGSHGHGESSTTDPLPADLRNNIQHGQPPESASQLQPVTVPDDLAASLDYESQRAKMKELAAERAKKLKAEEEERIKNQKAKALMKLEELNRRSSVLPKKPNDTKVEADDANDKQKAGLDMIAKPATSTAEPCDGTAPDKTVLSPPNDPKHAVVHVQPQTTALSHASDGGKDPAAHAASSSVGNTQSNMDHVGQKSISRSHDISVPKSKQSYRKRHVVSEEKISGEKLIVSVSTGNAKKNTEVSVDTPTVVVAPHDDPPTQNKKGARHLRSKKKVEDAQVTSKHPPVVCNEQNAVKVPTEPKTHTGGVIISSSIVPTEGTIVTVGSITVGGMSLASLNQERVRSPDGTQNTENSRPRPQQAKRSGKHQLAVRPVEKPHGNEGVLWAPVKPPVPNEQSDGAMRNAVVADPTSGKTSNDGENVTRTKRAEMERYVPKPLSKELQQQNPSHDKEAMDTSDASKLDAVPEPKKWEDRKTGKGHGKSNPSWRRRNTDESSLVVPNSIEQADSSHESKEVQKSANQNQPVEPGKQEDKQLKSKADSAAENSSARAQTVPLSISAAKEHSAANRQRRQHVKAQRNEASSYSNESKDREGRSDFVYQSATPAMDSNSSDHKSMSRSDVKSSGAVSHSRTHWKPKSNSHPRNSSDGNIAVEGQVDTHGGRLEMNSSKGSDSTTHQDSICNKPMERSDGFDEKVAQSGQENLTVENGNHKSESEQQQVNHPPRRQGQHNARYHRGGGAHRGRGGYDAGRPSHGTNAEWRRGGSHLEYQPVGSHNKATDFQQNQSVEERTEGPSHSGPAFRERGHNRGPRPAGHFVRRNPAPTPAANSYQDE >PAN22027 pep chromosome:PHallii_v3.1:3:63680843:63681311:-1 gene:PAHAL_3G507900 transcript:PAN22027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDAYGRNRASLHRSHARQQVYIHNTTTQRSNQRLRGHAESIARITTCMIEPMVMIRLWRQAGVVAGACRSLAAPILSATGNLSSPLGQTFVPSSVLVLLSYIFFWNFREISHHSLSTRCTYSSHICSPFISDTGLLQKLK >PVH63302 pep chromosome:PHallii_v3.1:3:64396386:64400276:1 gene:PAHAL_3G517000 transcript:PVH63302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDGDASNPSSSRKGKRKAQERDLKSYFSPFVSSSINPSTHGSEVGNAIIEEEEVVETHLEDTNTIDQQPGSNENDQNDQGTITEFNPDYIISDPGLRIPIEQFSPNIRDEIRRAFMERGPTQPSSHVFPRGQDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDILIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PVH62783 pep chromosome:PHallii_v3.1:3:49574258:49574991:-1 gene:PAHAL_3G398300 transcript:PVH62783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWASGVCHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTTMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKLTQELEEQRTRAASAEYSLAALQAQIIRERNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH61350 pep chromosome:PHallii_v3.1:3:871197:874659:-1 gene:PAHAL_3G015900 transcript:PVH61350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGPSSAAPEPPPPPPEEGAGWVLLPPSEVEGIDDPKVIHWEDLQQELARLWSLSAALQSVRDRKAQLAARLESSIEARRAFLQQDNELAEMRQRLQEHTHHLGDLKVRTKKSSDDVEGKREQLCVKIRTLSVANKTLGTARNKLEEANKLLSGKNGHGRLKNMEQKLRKRQQYMVTQVAQIYPVRPFDEQSPDHKPGITTSIIKTSTAESMLPNGSQKRPLAILGLQLSKPTAKKTGYFSDKTDFQKSSTVLGYVAHSPSLHHISMFLFDILCALEVHGHMFLIMRLQLSHHL >PAN15854 pep chromosome:PHallii_v3.1:3:871604:874463:-1 gene:PAHAL_3G015900 transcript:PAN15854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGPSSAAPEPPPPPPEEGAGWVLLPPSEVEGIDDPKVIHWEDLQQELARLWSLSAALQSVRDRKAQLAARLESSIEARRAFLQQDNELAEMRQRLQEHTHHLGDLKVRTKKSSDDVEGKREQLCVKIRTLSVANKTLGTARNKLEEANKLLSGKNGHGRLKNMEQKLRKRQQYMVTQVAQIYPVRPFDEQSPDHKPGITTSIIKTSTAESMLPNGSQKRPLAILGLQLSKPTAKKTGYFSDKTDFQKSSTVLGYVAHAVSLIASYLNVPLRYPLRFGGSRSYVLDHAPSVEPSSMTSVVSSVPPNTSMRTMQFPLFFDGQETTRSSYAIFLLNKDIEQLLNYIGAESLGPRHVLANLKQLTTIIQSQQYISTD >PAN16369 pep chromosome:PHallii_v3.1:3:3484244:3485666:-1 gene:PAHAL_3G055600 transcript:PAN16369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTYPLLLLLLLLAATAVAARAVSGGGGGNGTTPSAYEMLERYNFPRGILPAGVQGYVLRPDGAFEVYFPRPCEFLLARRWLVRYEARVSGSVAAGKLTALQGISVKVVFLWLGVGEVDRAGDKLSFYIGPVATSFPLGDFAESPRCRGYDDFTAAASS >PVH62224 pep chromosome:PHallii_v3.1:3:15591011:15591187:1 gene:PAHAL_3G240500 transcript:PVH62224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWEAELATGAGAGLIPCVPGEDKAPDLGSPLAGPCARGRARTRRPPWFVVPSRAATS >PVH63140 pep chromosome:PHallii_v3.1:3:61340441:61342192:-1 gene:PAHAL_3G480000 transcript:PVH63140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGLITEVGWTEFDFLSHGEESEVMAQLLGAFPSHDDDLSSVQEYGAAEFVNMFSNHSPNFYGNGGRSCEDVDGPSMSMLNSVSATNKRKHLAGELDGQTRGRKCARRGETKRTKRAKQSGDEDPSMAIASGSPTSCCTSDSDSNASLESADADARPKGKARAGRGATTEPQSIYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIRLLSSDDMWMYAPIAYNGMNIGIDLNMDR >PAN21616 pep chromosome:PHallii_v3.1:3:61340441:61342133:-1 gene:PAHAL_3G480000 transcript:PAN21616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGLITEVGWTEFDFLSHGEESEVMAQLLGAFPSHGEEGHHELPWSDQASNAYSDNIGSSLAVPPAFEGYCLSDSNEALRISSCIADDDLSSVQEYGAAEFVNMFSNHSPNFYGNGGRSCEDVDGPSMSMLNSVSATNKRKHLAGELDGQTRGRKCARRGETKRTKRAKQSGDEDPSMAIASGSPTSCCTSDSDSNASLESADADARPKGKARAGRGATTEPQSIYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIRLLSSDDMWMYAPIAYNGMNIGIDLNMDR >PAN19342 pep chromosome:PHallii_v3.1:3:17741569:17745844:-1 gene:PAHAL_3G266700 transcript:PAN19342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARRSAGVESSAPAAGGTLWKGRLRSHRATPQSLPMPKLPFSSKNQEEKNEVRTLKKKAVPKKTGKGRKAGAARQPRALRCQLLQQRGRDPEHPIMIEDEVNEEYKVRDDQSAMMPLRRSLRFHQEDKSFGKPLLPPNCQETSHNRKAQNSIRKDKNQVDLKRNRKNAGLKPLEGMKSYNKPHQLCKDPQDILTRKKVTDVPRMKSEKQEVRPSHSVVMTGKRKRGTEGRSSQKRRSYKEPKSLPAYCQENEPSNEPKKAIHKKIEKDPSIVAKPKAGHGRLINIDEPSGTEREGMENFCGADDWTEEQDMALRKAYFTARPSPHFWKRVSKLVPGRSAKDCFNRIHADLSTPTPIAPRPRTSETTFSPIGNFSLSDPKLPNLLESTVGRQRTAKQKSLATQKTVRHLLQKHCLIDQAQEADHFSLFETSPSAFQLNIPSEYSPQTPESYLNSVSLGKCSGSLSAQKKPFSTLRAERAEPSPAVLKPIKNVILHEKYVDQLSRREGTKRPRKRTLGSKGADSATTLSRQEAGGLKAAKNALISEATDFISQFKKLQANSLVQIVENREDDDIECDDSDNFHDDDKE >PVH63007 pep chromosome:PHallii_v3.1:3:58794989:58798704:1 gene:PAHAL_3G451900 transcript:PVH63007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCIRNGNYDEALDLEAFVNKISKLHPDLPVIQGLAAEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILEDLDQRNVYDYLKGMVTSHRVHLFDVVNQYRAIFNNDKSGNEENYDGGLLFSWAMQQVSNHLTTLQVMLPNITEGGSLSSILDQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESALFLSLCQAFIEVVHPYCAACFGRCYLNGATLITECQSTFNAVSQLLTVPARSNSSSIERRQSGGIDRKQSGGIDRKQSGSIERGQSGGINRKQSIESAGSAVTDNGLPADGPGPEVNSDAATPSSVVQDDARSSPPSSK >PAN21238 pep chromosome:PHallii_v3.1:3:58793684:58798704:1 gene:PAHAL_3G451900 transcript:PAN21238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDAGHRRAPAAADMDGNAASALPLAGASYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYAAFIAASEALSFVRAQLEGFDSHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVNKISKLHPDLPVIQGLAAEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILEDLDQRNVYDYLKGMVTSHRVHLFDVVNQYRAIFNNDKSGNEENYDGGLLFSWAMQQVSNHLTTLQVMLPNITEGGSLSSILDQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESALFLSLCQAFIEVVHPYCAACFGRCYLNGATLITECQSTFNAVSQLLTVPARSNSSSIERRQSGGIDRKQSGGIDRKQSGSIERGQSGGINRKQSIESAGSAVTDNGLPADGPGPEVNSDAATPSSVVQDDARSSPPSSK >PAN15979 pep chromosome:PHallii_v3.1:3:1289266:1292740:1 gene:PAHAL_3G023600 transcript:PAN15979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPSGLSPPPPSSPPADTLASAAIQTPSLPDTPASLDPDTPFSDAAPVDASDADTPALAPTPDGALASASDAPGDGEDDGITNPSGGSRKHMTLAPPATATKKSKKKGGNSVWTRPTSRKGKKKARQPGGHGPGGGASSAHPGPNAGGDELCQLVPATRLAAERNDDAVTQPVLLSRFFKSERIEVSDDRLTAASTKGYRMVRATRGVAAGAWYFEVRVVHLGATGHTRLGWVTNRADLQTPVGYDAYGFGYRDIDGAKVHKAWREKYADEGYREGDVLGFYISLPDGERYEPKQPDLIQYKGMPFHVQVPKEEQKVPAPVPGSEIFYFKNGVCQGSAFKDIPGGRYYPAASMYTLPNEPNCVVKFNFGPDFEFFPQDFGGLPIPQPMSEVPYQALEVKNEGPAENGIAEKTS >PAN17339 pep chromosome:PHallii_v3.1:3:8026865:8030712:1 gene:PAHAL_3G123700 transcript:PAN17339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTRAPMGPMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEGKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPASTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAAVIDDKILCMHGGLSPELNSLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNVGALLSIDESLMCSFQILKPTDMGPPHARKQIPNKPARG >PVH62014 pep chromosome:PHallii_v3.1:3:11935081:11938331:1 gene:PAHAL_3G182100 transcript:PVH62014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEHWISRLAAAKRFYAAQLGHSDRAGMEELDMDEEVRPEFACPFCYEDHDVASLCAHLEEEHPFEPHAAACPVCSEMVTRDMVNHITMQHGYLFKNRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNTTTNISADPLLSSFGLSFPTSDAEQTSKSTNSAPDDATMIKEIPSQARKLSIDSSLTSEEREQKRKQASVRATFVQDLLLSTLFGD >PAN17195 pep chromosome:PHallii_v3.1:3:7170280:7172601:1 gene:PAHAL_3G112400 transcript:PAN17195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTEQRPGGVREDDEQRGFVDCDGGDRGVVAREAASRRVSRLAVEGGDADGSRAGRAQGVSVGRPGRLQDDAVAARRRRSFGGARALPPPHAWLAIRQQQQYDSDPEAAEEQWARFLGAAGAGARQQQQQQQQRRSSFTVVRRERAAREAWLDRAWEMKRSWHERNGGAPDADTPVVVVVGKGGGGGGGGASGASSPAHSQHAGSVGGGGVAMDVEEVRACRDLGLELPSDCTVEIQCYGLTSGGSSPTHTASSGADSPCAVSSPGADPMDVKARLKVWAQAVALTSTTHLGS >PVH62970 pep chromosome:PHallii_v3.1:3:57978799:57980970:1 gene:PAHAL_3G441600 transcript:PVH62970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSTAASNHYADSLSPPPRSAARPLSTACAPNARAPGRPHPPACADAPAVPRRTGASWSTPGRTPTDRGRSTPSCWRAAPARALPRPPVRTATRRLSRSLAASRRPR >PVH62906 pep chromosome:PHallii_v3.1:3:56156008:56159099:1 gene:PAHAL_3G428200 transcript:PVH62906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGATDARVYTSDAGSWGAQASVQLGLDSLGKMNPPVVIGDEVYFPLDITPRILMYSLGKDAFSLIGPPDLDGDSEFVLMRTEDGSLGLAAVRDSNLYLWSRKVNPEGVAGCVNCRVINLQILFSIHNRNTTSVIGFAEGVEVIFMSTYVGMFAIELKSGKVKKIVVDAITNMDLLIYDM >PAN19013 pep chromosome:PHallii_v3.1:3:15761085:15764274:-1 gene:PAHAL_3G242900 transcript:PAN19013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQAMGQGEQDHFVLKSGHTIPAVGLGTWRAGSDTAHSVKTAITEAGYRHVDTAAQYGVEKEVGKGLKAAMEAGISRKDLFVTSKLWCTDLVPDKVRPALQSTLKDLQLDYIDLYLIHWPFRLKDGAHMPPEAGEVLEFDMEGVWREMESLVKDGLVKDIGVCNYTVTKLNRLLRSAKVPPSACQMEMHPGWKNDKIFEACKKHGIHVTAYSPLGSSEKNLAHDPVVEKVAKKLNKTPGQVLIKWAIQRGTSVIPKSTKDERIKENIQVFGWEIPEEDFKVLCSIKDEKRVLTGEELFVNKTHGPYKSASELWDHED >PAN19356 pep chromosome:PHallii_v3.1:3:17823772:17824651:-1 gene:PAHAL_3G268000 transcript:PAN19356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVTVLLIFVVGMSGVTSAVLGFIAETKKLTPDDIHVSGRECVYPANPAHALAVCAIILLAVSQIVASVAGGCCRPGGGASKSTRRVVGVVVSILSWIMAVIAAVFYWRGAKLNAPGTRDATFAGAFYEKCLVIRGGVFVRAAVLSLVATSLAIKSCVLLRAPAAKDAPAAKDVPAVEGAAADEPRPHGQHPPEAGVAVGLPQWPAQGNGQAPYPQPAAAEGYGQARV >PAN21637 pep chromosome:PHallii_v3.1:3:61471384:61472397:-1 gene:PAHAL_3G481600 transcript:PAN21637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGHATRTVLAAVRRPAAAPAAARLRAPLPFAAPRRRVPSAFTTSSAPLPSARPLASLMGSPVTVAAVMARLTAHPGASARACCELSQGSGKDG >PAN16529 pep chromosome:PHallii_v3.1:3:4407910:4411471:-1 gene:PAHAL_3G069000 transcript:PAN16529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALFNFNSFLTVVLLVICTCTYIKMQFPAILNDRTGFRGFFWKAARIGERLSPWVSFGCLAMGISTLFF >PAN20992 pep chromosome:PHallii_v3.1:3:56201699:56204165:1 gene:PAHAL_3G428600 transcript:PAN20992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVGGGVKQLEDCTVSNALGTWFFSVAGALVAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQKLSADVSAESGSSDSFGSVDK >PVH62445 pep chromosome:PHallii_v3.1:3:20106084:20109696:-1 gene:PAHAL_3G294700 transcript:PVH62445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPDKFATGPSKNVQVELCPHPGYFGGLCFRCGKPQDEEDVSGVAFGYIHKGLRLGTSEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLQDISSAENELGIRTAALKDDPDRSIFTIDSMQMLTKLRPFVHKFLKEASIMFEMYIYTMGDKAYAIEIAKLLDPTNVYFPSKVISNSDCTQRHQKGLDVILGAESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGVRSLSESMQDERESDGALATVLDVLKRIHAIFFDTAAETDLSSQDVRQVIKTVRKEVLKGCKLVFSRVFPNNARPQEQMMWKMAEHLGAVCSTDVDSTVTHVVAVDLGTEKARWAVGNKKFLVHPRWIEAANFRWHRQPEEDFHVIPPKEKSRDKVNAVCGQKETSKDKEENAVAGEKETSNDRKEENDVAGQKETSNDHDGNDVDGQKKDDAKENAVVTAATGPAGS >PVH62444 pep chromosome:PHallii_v3.1:3:20105505:20112694:-1 gene:PAHAL_3G294700 transcript:PVH62444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECQFRLTNLPQGLRLGTSEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLQDISSAENELGIRTAALKDDPDRSIFTIDSMQMLTKLRPFVHKFLKEASIMFEMYIYTMGDKAYAIEIAKLLDPTNVYFPSKVISNSDCTQRHQKGLDVILGAESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGVRSLSESMQDERESDGALATVLDVLKRIHAIFFDTAAETDLSSQDVRQVIKTVRKEVLKGCKLVFSRVFPNNARPQEQMMWKMAEHLGAVCSTDVDSTVTHVVAVDLGTEKARWAVGNKKFLVHPRWIEAANFRWHRQPEEDFHVIPPKEKSRDKVNAVCGQKETSKDKEENAVAGEKETSNDRKEENDVAGQKETSNDHDGNDVDGQKKDDAKENAVVTAATGPAGS >PVH62446 pep chromosome:PHallii_v3.1:3:20105506:20112694:-1 gene:PAHAL_3G294700 transcript:PVH62446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAEAPSSSPSSSSGSEDFAALLDAELELASGADSAFPGDPSSPSPATDDEGEEEDSEEEVEVEVLEQNGAKRRRVEEQRQDHGMSISPDKFATGPSKNVQVELCPHPGYFGGLCFRCGKPQDEEDVSGVAFGYIHKGLRLGTSEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLQDISSAENELGIRTAALKDDPDRSIFTIDSMQMLTKLRPFVHKFLKEASIMFEMYIYTMGDKAYAIEIAKLLDPTNVYFPSKVISNSDCTQRHQKGLDVILGAESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGVRSLSESMQDERESDGALATVLDVLKRIHAIFFDTAAETDLSSQDVRQVIKTVRKEVLKGCKLVFSRVFPNNARPQEQMMWKMAEHLGAVCSTDVDSTVTHVVAVDLGTEKARWAVGNKKFLVHPRWIEAANFRWHRQPEEDFHVIPPKEKSRDKVNAVCGQKETSKDKEENAVAGEKETSNDRKEENDVAGQKETSNDHDGNDVDGQKKDDAKENAVVTAATGPAGS >PVH62572 pep chromosome:PHallii_v3.1:3:25644938:25645621:-1 gene:PAHAL_3G326600 transcript:PVH62572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDPITYDELSAEHKQRYDEIRTQFEADLIGSFERTRNHGIRWRGFSPEGALDGVDLSTPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPALGSHKGELPFQTRPPLPYALAAAESHGAPAYVVYKVGGDPTDHQFFNEPPKEIPHGYMCAYIPDSNNPMHLSQKVAGGVSGADADKQAWLATYATGPSHDSVHSAPELQTAE >PVH63141 pep chromosome:PHallii_v3.1:3:61345693:61348566:-1 gene:PAHAL_3G480100 transcript:PVH63141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G27450) UniProtKB/TrEMBL;Acc:F4HSX1] MSPDQHPTPVGPAPRTRAPGPPARGWWVEARTTRAPLDGAQGPTRTWRRRRKRLRNRAVSHWPWARVGRDGDGDGATTRRYNGPGPAARCEAQIKRSMPLRFQPRLRLLPSAAAAAASAPPFPPHGPASATGSSVRLTGGRRRRRGAAVAMASGDARVAGIASSIRVIPDFPKPGIMFQDITTLLLDPKAFRDTIDLFVERYKDQGITVVAGVEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEANDRALVVDDLIATGGTLCAAVKLLERVGAKVVECACVIELPELKGRDKLGDRPVFVLVKADGA >PAN22022 pep chromosome:PHallii_v3.1:3:63670905:63674717:1 gene:PAHAL_3G507700 transcript:PAN22022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAGGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADLLEARQVPAEEAKAYAQENGLFFMETSAKTAINVNDVFYEIAKKLLQGQQVQNPQGGMVLNQRPPERTVSSYSCCA >PVH62360 pep chromosome:PHallii_v3.1:3:18290226:18291385:1 gene:PAHAL_3G274000 transcript:PVH62360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPLLLLLPLLLVGFFYLSKARAAGRNTRRLPPSPPGLPLIGNLHHVGALPHRALAAAHGAPDLLRLRLGQVPTLVASSPAAAAALMREHDDAFATRPYFRTAEILTYGFQDLVFAPYGEHWRHVRRLCSAHVLSAARSRGYDGMREREIAALVRTIRESAISSHAGVVDVSKALYGFANGVICRAVSGTGRLSREEEGRRSELFRELIE >PAN21513 pep chromosome:PHallii_v3.1:3:60596966:60601836:-1 gene:PAHAL_3G472200 transcript:PAN21513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G34340) UniProtKB/Swiss-Prot;Acc:Q9SYZ9] MSGGGGSGAGDEFGRAVARAAVAQALEAAGFDCAHRSAVDAVVDVLLRYISHLGRSAAFNANLAGRALANELDVIQALEEVGSDTDGFAGASATGHCLVGSGVVRDLMAFVDTKDEVPFVRPLPRFPVPRVQPQPSASFAVAGRETGMKHVPEWLPVFPDPHTYVRTEAWVEPPATKDRVDKVEQVRQRRKAEKSLLSLQQRLAQAGAEGFHPAVGLAQDSTEKGKEIQAAGTKRNPFFEPALPPGEKAISEVDMPPEKKKLSVLEAFAPAIQATAIREIDAGTGLDQNQRSIVPKERVPVHLKIGFGKKPVAAVPNSEALDLRDDPSFLKEEAKDDRKRRAGMILRASMENPQELPQL >PAN17045 pep chromosome:PHallii_v3.1:3:6607019:6612321:1 gene:PAHAL_3G103600 transcript:PAN17045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYRVCCFLRRFRAASNEPSEAVRDVFQAYADGGDVVGEEALRRLLRKVQGETEAGAEAAAKEVMAFAAEQRLLKKGGLTAEGFHRWLCSDANAALDPRGGVHQDMGSPLSHYFIYTGHNSYLTGNQLSSGCSERPIVKALLDGVRVIELDLWPNAARDEVEVLHGRTWTSPVELNKCLEAIKEYAFATSPYPVILTLEDHLTADLQGKVAKMLKETFGDMLYISESENMAEFPSPDDLKGKIIISTKPPKEYLQTKSGKEEAADDRAQEGVWGEEISDDKATARQMSEQYSGKYGAEEAEEEPQDGEAEKKARQGADNEYMRLIAIQLTRRKHDMDQDLKVDPEKVTRLSLGEKAYEKAIVSHGAHIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNSDPDKLFDPRAELPVKTRLKVTVYMGDGWRFDFRKTHFDKCSPPDFYARVGVAGVAADTRMEQTRVMMDSWIPTWEHEFEFPLAVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRPGIRSVRLCDHKGQPLRSVKLLMHFKFFPSPSK >PVH62750 pep chromosome:PHallii_v3.1:3:45504767:45515939:-1 gene:PAHAL_3G386800 transcript:PVH62750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGRFGRARSSLFLIQPFTTTTAAASPSTHAPSSVSFLAHHLLDEFSRPRSTRDAVRLRRLAAHLSPPAAESVILRLPSWRHALDFFRWAAEQPGFRHSCYSLNAMASILPPHQRAHLDRLAGEAVSSRCPMTPGALGFLLRRLGAAGLPDTAARVFDAARTTLSCTPNSYTYSCLLNALAKAGRADDVEVRLREMVARCGDESVDRYTLTSLLQCYCNAGRPDEANTVFQRMSDRGWVDEHVLTMLVVAFSKWGKVDSAVELVGRMEALGMMPSEKTLSVLVHGFSKQGRVDMAMEMFDKMARYGFRVDLAMYSVLIEGLCQGNKIGKAVHLFEEMKRNGVVPDVRLLKKIIETFCSEGHFTTVFPFINGNAEHLKPGTVVSIYNVVLEGFVNSGDIEAAYQLLRSMMHGGQRVSNDNTSGLHPFVISEGVKPNCDSFNIVVCGLCKFKKLDLALALTKEMIGLNCKGKLLMFNDLILELCKSDRLDEAYQMFNKMKDLGLKPSEFTYNSLFYGISRRKDPSAAIDQLREMRTNGHKPWIKNCTEMVQQLCFSGRITEALQFLDEMPKMGFLPDIVTYSAAMNGMCKIGEIDNALELFRDISSKYYLPDVVAHNILINGFRKSGKFDEAQEIMEEMLSKGLFPSVVTYNLMIDIWSKSGRIDKAIACLNKMSDEEKPPTVVTYTSLIDGLCSAGRPDEAIVLWCKMRENSCAPNDIAYTAFVNGLCKCDRMETALRYYEEMKMKGYDLDIFSLLHFINFLISHGHASKGCDLLKEVLQKDVHSSNMKMTGFINKAVEELSKDGRISSDIKILVDKYLVSGDQTTHNEDGSK >PVH62180 pep chromosome:PHallii_v3.1:3:14870785:14871039:1 gene:PAHAL_3G228200 transcript:PVH62180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKIMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLS >PAN18895 pep chromosome:PHallii_v3.1:3:15184574:15186879:1 gene:PAHAL_3G234100 transcript:PAN18895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRKEKEKKSLDILAGSDEDSDGGGEDLSKIQINEEYARRFEHNKRREALQRYEELKKKGLAPASDDDNDDNEESSEEEDEDAIASRRVDRRVFEVIRRIRSGDPRILDKDAKVYSSEEEEEGEEDEEEKKEESKQKKKAKKEKPLYLKDVNARHLLEEGPEFTAQTSRSSRYDRIAYDEQQKKGLEAFLEAEKEVLGDDDDDDLFHEKPKAGAGADDDEDVEEKETKELAGEVFGKDEELDENEKFLKEFFLKRPYLESGKEKKSYLDDMQELSDVEELDEQEKYEYVYNFRHEEAEASGAVVADRVTGHSRVVEGSVRKKESSRNKQRKSKEERIARAKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIEGDAACKLGADDLEEDFDPEDYDKKMQEMFDDSYYEADDVDPEFGSGEEMDLEKPDFDKEDELLGLPKGWASEKDKEESITTDGKATKGKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKKVNPNSFGLSTYDILASDDKDLNQYVSMKKLAPYRESEWKVTYHKKLSKDLILGGQKKEGKKDKSDKKSVSVEGGPSSSKPEKDKLPIEQELTDDKKKSTRSRRRKRRNEELKMPAGRLGAYGKINSKRHKGH >PVH61260 pep chromosome:PHallii_v3.1:3:73092:78474:-1 gene:PAHAL_3G001400 transcript:PVH61260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKIELLTSGIRAVLSCRLDISCSSPSEAAVAAQPPPAARAAAAAAPAAATAAVAAAAPAAATAAAAPAACSPPFPSPSPRSSPLEARHVRRTVSALGRLERTAPARRRARRGRGAQPSDGSSRCSSGEGGQAGCSGAGSCPGGGGSSGGGRCSACGGGRG >PVH61611 pep chromosome:PHallii_v3.1:3:5028867:5033524:-1 gene:PAHAL_3G076500 transcript:PVH61611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFTPLPPEKEVAKKKKKKTKPPAMRKTAGSGKPDGFGEQGAGDDDAEFLLEEFESEGEDGAMRGVGKRAHCGSISSSSSSDGESDEEEEEVTPKVYFTSRTHSQLSQFVGELKRTEFVGRLRTVALGSRKNLCINKDVLKLGSANRINERCLELQKNKKSSKTKVEDDKRKARNAKNSCGCPMLRNRSLQKEFRSEVSDQGALDIEDLAHIGKKIGTCPYYGSRDMVRSADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLKAVLSHLDAYLNRFHNVLGAGNRRYIQTLTVLTRSFLRVLTNNQDGASTLSSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANRTTCIQAGVNQFDLQQEHDEGSSISCFQALIDFLRSLMNSNDDGRIIVAKQKLSGQPEEAYLKFVMLCAEKLFSEVTRDAYAVILAGGTLQPIEETRLRLCPSLPPADIKFFTCNHIVPPESILPIAVTRGPSGMTFDFSYNSRSSTSMIEELGRFICNIVTVVPEGVVMFFSSYDYERRVYDAWTAAGTISKICKKKHVFREPRNSADVEAVLNKYKEKIQSCSKNSQDTGINGALLLAVVGGKISEGINFSDGMGRCVIMVGLPYPSPSDVELIETIKHIETISSSFLVGDDKASGRKYDDECELQPGYNILRKCTKGGKEYYENLCMKAVNQSIGRAIRHINDYAAMLLVDSRYAQTSSSKSYSSPTDKLPPWIKARLSCTQNYGEVHRLLHQFFKFNKQKR >PAN17680 pep chromosome:PHallii_v3.1:3:9629008:9629596:1 gene:PAHAL_3G149200 transcript:PAN17680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHGRDDHEGVHVVGFEVPPSPDASYNNPVPGNEDEGREPPLVPPHLQHTVLSFPPSQDESSPLPQPQTVVLNHLYIEKENTRSVVALGITHRFRAKFVTVVLYKPVLRR >PVH62816 pep chromosome:PHallii_v3.1:3:52391441:52392600:1 gene:PAHAL_3G407800 transcript:PVH62816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRGENRSRARQPRGPAGSGTGRGRGESSSARQGPRVKSWTNGHSGGCWVGEWGGAPLTPRDKRRAPAPLRNRSRGAPGAAGPVWLARSRDAGGARGRASNEESGRRRRSNGAPTGRRRLGGSRPTGRGGPARRLVSRGSRLFSYPDYSCRYCQCPPTFPSSSARRRSLLVMCTPRP >PAN15641 pep chromosome:PHallii_v3.1:3:755778:756720:-1 gene:PAHAL_3G013400 transcript:PAN15641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLTRPAAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEILRLKAFEPIMLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAVAKGLVAYFQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >PAN17910 pep chromosome:PHallii_v3.1:3:10898101:10906027:-1 gene:PAHAL_3G164600 transcript:PAN17910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSAVSDITLARSDNDPGRYHDGYSRLRTWAYSSLDQYKHELLRVLYPVFIHCFMDLVAEGHMQEARSFFHMFREDHEVMHSRDLQKLEGVLSPSHLEEMELARALRQNKFKIKLCEYSYELLLQYIQKTQALVVLGVINEHITFEVSPGQPLLISDDADVVALIGTSKDLAKQINQKEVHWGLLEDSVEERMEKALAESDKVEAESKDADTEDNKKRNAEGGKQGASLKKSKKDKLVGATGKNVRTETSMASVAPRVKPELTLPTTPVEVEQSILEDLRNRAQLNSVALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQAAKTSSSQGENGSSQGERMSTIDEGKRPYTLFQGHSGPVYSAAFSPFSDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMERIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQSGDCIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMIWDLSTGRCVSPLLGHSSCVWTLAFSCEGALLASGSADCTVKLWDVASSTKALKTEDTKGSTANRLRLLKALPTKSTPVYSLRFSRRNLLFASGALSLNAS >PAN16110 pep chromosome:PHallii_v3.1:3:2357982:2360580:-1 gene:PAHAL_3G036600 transcript:PAN16110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCFPPEADDLHRRWLPREIFADIGIVDTEPVSDAPPEAAGVEELAAQLAGILGGGSKMCPLPPPPPPLPPSVAAPRHGAQVCGLEGSAVSACGGTNGVGGAAAMAWPFVPYPPAQWQGGSNLVNLGGVLDYYYSSFPPAPPCPVPPPANLRGGTGVFIPRTACAFPPAKGGMATSAWPGTATGTGAGRPATGRKQEWQQNETPTPTTRQQVVKPQQAAVPACARPELTLPQDWSYR >PVH61480 pep chromosome:PHallii_v3.1:3:2775947:2776456:1 gene:PAHAL_3G043700 transcript:PVH61480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEWSPVAPPLAGRQRLCIRILSPSLLAEEQMRAPTPCFPSSAPASPPPPPPPPPYGRCVESVICCFFYDLYCVNNKGTLFIF >PVH61371 pep chromosome:PHallii_v3.1:3:981198:983976:-1 gene:PAHAL_3G018000 transcript:PVH61371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLESTEFKIVSSSQEQVELSFRSTYNPSRQDNVRLNVDKRLVMLKGSSGFYCYAIFEHTGNWPAMNISEARLAFKLNTDKFNYMAISDNIQRYMPSAADRDEPHGTPLAYKEAVLLTNPKEPQFKGEVDDKYEYSLDNKDNVVHGWISSCHPNPMGFWVITPSNEFKSGSPMKRELTSHVGPTSLTMFLGTHYIGDDIVLSIGDGEYWKKVLGPVFIYLNSSPKRGDLRALWDDAKAQAQNEVSKWPYSFPKSPDFPKAGERGSVTGRLLVRDRFMSNDDMPTGRLAYVGLAAPGQPGSWATECKGYQFWTTADSCGSFTIGNVRAGVYDLYAWVPGVLGDYMYTSHVTVTPGCPINLGDLVFLPPRSGPTLWEIGVPDRTAAEFFVPDVDPRYANRLFLHKDKQYGLWERYAELYPDCDPVFTVGQSNHSKDWFFAHVTRKVGDGYVPTTREIRFSLDRVVADGTYTLRIALAAAQMSRLQVHVNGARSGGVFTTPEFGGGNAIARHGIHGVQWSFEFPIRGYLLQEGENSISITQTRAFGEFLGVMYDYVRLEGPPGSWRDPTRRA >PVH61372 pep chromosome:PHallii_v3.1:3:981199:983976:-1 gene:PAHAL_3G018000 transcript:PVH61372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLESTEFKIVSSSQEQVELSFRSTYNPSRQDNVRLNVDKRLVMLKGSSGFYCYAIFEHTGNWPAMNISEARLAFKLNTDKFNYMAISDNIQRYMPSAADRDEPHGTPLAYKEAVLLTNPKEPQFKGEVDDKYEYSLDNKDNVVHGWISSCHPNPMGFWVITPSNEFKSGSPMKRELTSHVGPTSLTMFLGTHYIGDDIVLSIGDGEYWKKVLGPVFIYLNSSPKRGDLRALWDDAKAQAQNEVSKWPYSFPKSPDFPKAGERGSVTGRLLVRDRFMSNDDMPTGRLAYVGLAAPGQPGSWATECKGYQFWTTADSCGSFTIGNVRAGVYDLYAWVPGVLGDYMYTSHVTVTPGCPINLGDLVFLPPRSGPTLWEIGVPDRTAAEFFVPDVDPRYANRLFLHKDKYRQYGLWERYAELYPDCDPVFTVGQSNHSKDWFFAHVTRKVGDGYVPTTREIRFSLDRVVADGTYTLRIALAAAQMSRLQVHVNGARSGGVFTTPEFGGGNAIARHGIHGVQWSFEFPIRGYLLQEGENSISITQTRAFGEFLGVMYDYVRLEGPPGSWRDPTRRA >PVH62018 pep chromosome:PHallii_v3.1:3:11995212:11996661:1 gene:PAHAL_3G183300 transcript:PVH62018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEAATRRFHLWFRGLRSLRRDLSSARWSDDPAQLEALVGRFVVHLESYCAARAELDPVWTLSAPWASPAERGAAYWLAGWRPTTLVHLLHTESGRRFEAQLPDLLLGVRSGNLGDLSPEQLAQVDELQRRTVAEEDALSREMAEVQEGHGVVAPGDGGGELELDVRGLVRRVRAVLDRADALRLRTMKRAVEILQPAQAAELLVAAADMEIGFREFGLKYGPGRDR >PAN17153 pep chromosome:PHallii_v3.1:3:7129042:7130996:-1 gene:PAHAL_3G111900 transcript:PAN17153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGEEKSFNFLQVLLAGIAGGTAGVVVETALYPIDTIKTRLQAARGGSRIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSVVAHFVS >PAN16482 pep chromosome:PHallii_v3.1:3:4065222:4070823:1 gene:PAHAL_3G064000 transcript:PAN16482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILLLLLLLALPPPSAVALNSEGLALLAFKAAATDDPYSALSRWSESDPDPCRWPGVTCANASSPAQPHVVGVAVAGKNISGYIPSELGSLLFLRRLNLHGNRLAGAIPAALSNASSLHSLYLYGNRLTGRLPVALCDLPRLQNLDVSGNALSGELPLDLRNCRALQRLVLARNAFAGELPAGVWPEMPSLQQLDLSSNAFNGSIPPDLGELPRLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTAFLNNPGLCGFPLQVPCRAVPPPTQSPTPPATTTPLPSTASDRHQPIKTSLIALISVADAAGVALVGVILVYVYWKVKDRKESRGDDGDSSKSGLCRCMLWRHGGSGDSSDASSDDDKDASGGGDGKYNSGASGSEGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVANGTTPVAVRRLGGGGGGADRCKEFASEARAVGRARHPNVVRLRAYYWSTDEKLVVTDFVGNGNLATALRGRPGQTVLSWSARLKIAKGAARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAVAGCAPDGPPSSGGGGLLGGAIPYAKPTGPAPDRYGGGYRAPEARAPGAKPSQKWDVFSFGVVLLELLTGRGPGADHASPSTSASFSAPVSGSTATDRSGSGEHGGGAVPEVVRWVRRGFEEDARPVAEMVDPALLRGPALPKKEVVAAFHVALACTEVDPELRPRMKAVADSLDKIGS >PVH63162 pep chromosome:PHallii_v3.1:3:61654103:61655248:-1 gene:PAHAL_3G484000 transcript:PVH63162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKSRRARNPAVGLAAAPPLGDNTVPELPSFFSQVSVAASFSTSAAGTGWTEMAGTAATGRRADPTSSSRSAAPLSNHALPVPNRGRSRPGARGDRRHGCLRRSVFLCPASVLLADEDRDKNITKALTS >PAN19860 pep chromosome:PHallii_v3.1:3:21020239:21022185:-1 gene:PAHAL_3G303500 transcript:PAN19860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVLQYLKLFLLFAFGGVTTVHVPDQDVLASLHKLHLDGHFSFSDVSTAAQDFGNLSSSMPAAVLHPGSVDDIATTVRHVFLRGEHSTLTVAARGHGHSLRGQCQAAGGIIIKMESLQTAKMQVHSGASPYVDASGGELWINVLHETFKYGLAPKSWTDYLHLTIGGTLSNAGVSGQTFRHGPQISNVKELEIVTGRGEIFTCSPENNSDLFHAALGGLGQFGIITRARIALEPAPNMVRWIKVLYSDFTSFTEDQEMLISAERTFDYIEGFVIINRTGILNNWRSSFNPQDPVWASQFESDGRVLFCLEMTKNYNTDEADNMEQEVNNLLYQLRYIPPSLFHTDVSYIEFLDRVHSSEVKLRAKGMWEVPHPWLNLMIPKSSIHTFAREVFGKILKDSNNGPILLYPVNKFRWDNRTSVVIPDEEVFYLVGFLSSAPSSSGPYSVEHTLNLNNRIIEFSDKAGIGMKQYLPNYNTEQEWKAHFEARWETFVRRKNAYDPLAILAPGQGIFHKALLPLSS >PVH62220 pep chromosome:PHallii_v3.1:3:15565913:15566909:-1 gene:PAHAL_3G239900 transcript:PVH62220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRLFLAVLATCWFIASPPASVLQVDRLPAPTRTTTSPTSARGSADRSPHWLRSTRRARPSRTQCPRVRGDRSTHLRRGLPPLGDSSRRDVPRVHPRFPPHLRRRHGALLTAPHWLRSTLRARPFPDPCPRFRGDRSTHLRRGFPPRDSLRRDVPRCHPRYPPRLRHRCGTPPTAASSPLQAPSPQQLYPGARRHLPRRWHRASPSESRCLPTARHRRRPLSPHLPSASSRCRLHVSPLLRLRLVRGTPPSAWGRLHTVPLRLLPRLPVTARREAPCRLLRLHRLRPPPRPRRHRPFVRLQRLHRRHRRGTGGRRGARARGAQPFDRRR >PAN19719 pep chromosome:PHallii_v3.1:3:20009598:20021434:1 gene:PAHAL_3G293500 transcript:PAN19719 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MRVPCCSLCNVRYDEEERTPLLLHCGHGFCRACLSRMLAAAPGATLPCPRCRHLTAVGNSVSALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSEDEDDFFARPSRRPASVPAAAPPGCSSFDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRRGGGGAKRCKHQVAVKRVPVAAGDGLEGVQEEVERLRRASTWCRNVCTFHGAVRVGGHLCFVMDRYVGSVQAEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDASGHAVVSDYGLSAILKNLTSRRVPDDSSAGIDATLLSPNYTAPEAWGPLKKSLNMFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCLQFRASRRPSFQDMLKTFLRHLLDIPRSSPASPENDFANESLPNGIEPPTTSILEMVHDNPNALHHLVCEGDAAVVRDLLAKAASERNGSLIRSLLEAQNTDGHTALHLACRRGSAELVEAIVAYQENVDILDKDDDPPIVFALAAGSPQCVRALVGRSASINSRLREGLGPTLAHVCAHHGQPECMQELLMAGADPNAVDGEGESVLHIAVARRYTDCAIVILENGGCRSMGIPNSHHKTPLHLCIETWNTAVVRRWVEVASLEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLAAGADPTAQDDPHCRTALHTAAMIDDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGANCNIQDDDGDNAFHIAADAAKMIRENLTWIVQMLQQPSPAVDVRNHRGWTLRDFLERLPREWIYEELMETLEDEGVHLSPTIYEVADWVKFRRNVTSPAFGWQGAGPRSIGFVQSIVDNDHLVVSFCTGEARVLTSEVIKVIPLNRGQHVQLKPDVSEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSNPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKVIDIESDGLLIIDIPNRALQWQADPSDMEKIENFKVGDWVRVKATVPSPKYGWEDVTRNSIGIVHSLQDDGDVGIAFCFRSKLFLCSVADVEKAQPFEVGEKVHVSPSISQPRLGWLNETAATIGAIARIDMDGTLNIKVSGRKSLWKVAPGDAERLSAFEVGDWVRQKPSIGSRPTYDWNSIGKISIAVVHSIQDSGYLELAGCFRNGKWLTHNTDIEKVEPFKIGQHVRFRAGISEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWRGDPADLEIEKIFEVGQWVRLRNDADQWKSLRPGSIGVVHGVGYHGDAWDGTIHVAFCGEQERWTGPSSQLEGVSKFVVGQRVRIRGCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEICVGDWVKVKDSIATPTYQWGDVNHTSIGVVHRADDGELWIAFCFCERLWLCKAWEVEKVRPFRQGDRVRIRPGLVSPRWGWGMETYASKGEVIGVDANGKLRIKFRWRDRLWIGDPADIVLDDAPSLTEAPNGFFS >PAN19718 pep chromosome:PHallii_v3.1:3:20009436:20021448:1 gene:PAHAL_3G293500 transcript:PAN19718 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MRVPCCSLCNVRYDEEERTPLLLHCGHGFCRACLSRMLAAAPGATLPCPRCRHLTAVGNSVSALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSEDEDDFFARPSRRPASVPAAAPPGCSSFDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRRGGGGAKRCKHQVAVKRVPVAAGDGLEGVQEEVERLRRASTWCRNVCTFHGAVRVGGHLCFVMDRYVGSVQAEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDASGHAVVSDYGLSAILKNLTSRRVPDDSSAGIDATLLSPNYTAPEAWGPLKKSLNMFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCLQFRASRRPSFQDMLKTFLRHLLDIPRSSPASPENDFANESLPNGIEPPTTSILEMVHDNPNALHHLVCEGDAAVVRDLLAKAASERNGSLIRSLLEAQNTDGHTALHLACRRGSAELVEAIVAYQENVDILDKDDDPPIVFALAAGSPQCVRALVGRSASINSRLREGLGPTLAHVCAHHGQPECMQELLMAGADPNAVDGEGESVLHIAVARRYTDCAIVILENGGCRSMGIPNSHHKTPLHLCIETWNTAVVRRWVEVASLEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLAAGADPTAQDDPHCRTALHTAAMIDDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGANCNIQDDDGDNAFHIAADAAKMIRENLTWIVQMLQQPSPAVDVRNHRGWTLRDFLERLPREWIYEELMETLEDEGVHLSPTIYEVADWVKFRRNVTSPAFGWQGAGPRSIGFVQSIVDNDHLVVSFCTGEARVLTSEVIKVIPLNRGQHVQLKPDVSEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSNPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKVIDIESDGLLIIDIPNRALQWQADPSDMEKIENFKVGDWVRVKATVPSPKYGWEDVTRNSIGIVHSLQDDGDVGIAFCFRSKLFLCSVADVEKAQPFEVGEKVHVSPSISQPRLGWLNETAATIGAIARIDMDGTLNIKVSGRKSLWKVAPGDAERLSAFEVGDWVRQKPSIGSRPTYDWNSIGKISIAVVHSIQDSGYLELAGCFRNGKWLTHNTDIEKVEPFKIGQHVRFRAGISEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWRGDPADLEIEKIFEVGQWVRLRNDADQWKSLRPGSIGVVHGVGYHGDAWDGTIHVAFCGEQERWTGPSSQLEGVSKFVVGQRVRIRGCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEICVGDWVKVKDSIATPTYQWGDVNHTSIGVVHRADDGELWIAFCFCERLWLCKAWEVEKVRPFRQGDRVRIRPGLVSPRWGWGMETYASKGEVIGVDANGKLRIKFRWRDRLWIGDPADIVLDDAPSLTEAPNGFFS >PAN21124 pep chromosome:PHallii_v3.1:3:58097869:58100194:-1 gene:PAHAL_3G443100 transcript:PAN21124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCHALAKSTALLRGVKSKQSTNLVRRLEPAEVRSAETSLWVPHPRTGIYYPKGFEWVMEDVPSGAASFQQSYWFRTGEAESASSTTPKNDAASLDHPFV >PAN19496 pep chromosome:PHallii_v3.1:3:18546555:18547584:1 gene:PAHAL_3G277300 transcript:PAN19496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEGRRPTSRAAGGGMRGGARGGGKLQAQGREAPGQGYFTAGLAALFLCLTALLVLLPLVLPPLPPPPLPLLLVPVGLMAVLLALALVPSSDGRRASAAVASSCLY >PVH61273 pep chromosome:PHallii_v3.1:3:172347:174164:1 gene:PAHAL_3G003100 transcript:PVH61273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVMILLYCGLLIGRTIAVLLTLTLSTSLAAREGPVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKQARMVLYRVLQVGDVTGVVLAATLLIGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPVNAIAFVADGLYYGVSDFAYAAYSTVLIYALTLSHYFVSNSFTLSCH >PVH61358 pep chromosome:PHallii_v3.1:3:938309:941017:1 gene:PAHAL_3G017100 transcript:PVH61358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIFGPGNMHARGLRILSGSGTFQYGPFMCGVQRRWKKPVDSARTRLEGRTRDHRLDKLMVQLRNLKLALAVRELISQQRNEYASLQLLSKWRHEVGLNIEIGAFLKKYPHIFQIYMHPVKRNHCCKITQKMTDLIAEEEAVIRENETNIVQRLKKLLMLSTNGTLNMHALWLVRKELGLPDDYRSSMLPKYPHDLYLETPDTLSLVSRDDELAVAKIEEWRKKEYTEKWLAESETKYTFPINFPTGFKIEKGFREKLKNWQRLPYTKPYEKNDLHPIHNVERIEKRIVGILHELLSLTVEKMIPLERLSHFRRVFATEVNLRELLLKYPGIFYISTKGSAQTVILRESYSKGCLVEPNPVYDVRRKMLDLILSGCRNIGELESAAWLAEEYDQGSCHELQNNMCQVDTANTILELDTDSDSTERSVLRSM >PVH61357 pep chromosome:PHallii_v3.1:3:938309:941017:1 gene:PAHAL_3G017100 transcript:PVH61357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIFGPGNMHARGLRILSGSGTFQYGPFMCGVQRRWKKPVDSARTRLEGRTRDHRLDKLMVQLRNLKLALAVRELISQQRNEYASLQLLSKWRHEVGLNIEIGAFLKKYPHIFQIYMHPVKRNHCCKITQKMTDLIAEEEAVIRENETNIVQRLKKLLMLSTNGTLNMHALWLVRKELGLPDDYRSSMLPKYPHDLYLETPDTLSLVSRDDELAVAKIEEWRKKEYTEKWLAESETKYTFPINFPTGFKIEKGFREKLKNWQRLPYTKPYEKNDLHPIHNVERIEKRIVGILHELLSLTVEKMIPLERLSHFRRVFATEVNLRELLLKYPGIFYISTKGSAQTVILRESYSKGCLVEPNPVYDVRRKMLDLILSGCRNIGELESAAWLAEEYDQGSCHELQNNMCQVDTANTILELDTDSDSTERSVLRSM >PAN18461 pep chromosome:PHallii_v3.1:3:13253580:13258212:1 gene:PAHAL_3G203400 transcript:PAN18461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGRDANPLSGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIRSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLHEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPSARDLIPRMLVVDPMKRITIREIREHVWFKIRLPRYLAVPPPDTAQQVKKLDEETLNDVIKMGFDKNLLIESLQNRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESMDSSFSQVIAETPTSATELRQHGFTESPGSGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVYWKKIGHYNMKCRWSPGCLESMMHNNDGFGVESAIIDFFTDDLIQKSTPTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >PVH62881 pep chromosome:PHallii_v3.1:3:55195579:55195878:1 gene:PAHAL_3G422700 transcript:PVH62881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEAKRSFQNSFFMEIYTIALGAFSKLRNNFIFQGKRPSSWKQVLKHDIILNVPRIKSDLHPVYFYAYKTYNLVTFFFLERSSLGGNYLPQGVLGCRF >PAN18337 pep chromosome:PHallii_v3.1:3:12782476:12786230:1 gene:PAHAL_3G195300 transcript:PAN18337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGNGAAGGGADTRAAFAQIYKTIKEELLADPAFEFTADSHQWIDRMIDYNVLGGKCNRGLSVVDSYKLLKGADVLGEEEMFLACTLGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGFIAVNDGIILRNHISRILRRHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNITVHRRIVQYKTAYYSFYLPVACALLLSGENLENYSAVENILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKRILFENYGKKDPACVAKVKNLYKELSLEAVFQEYENESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >PAN16205 pep chromosome:PHallii_v3.1:3:2807630:2809354:1 gene:PAHAL_3G044100 transcript:PAN16205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLYDAGKARAIGVSNFSSKKLGDLLAVAPVPPAVVQVECHPGWQQTKLHNFCQSTGVHLTAYSQLGSPGTSWMNGNVLKEPVIISIADKLGKTPAEVALRWNIQMGHSVLPKSTNEERIKQNLDVYDWSIPDDFLAKFSEIKQARLLKGNFIVNPKCVYKTHEELWDGEL >PAN17167 pep chromosome:PHallii_v3.1:3:7057560:7059465:1 gene:PAHAL_3G110400 transcript:PAN17167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGAALRRSLPSASPAPARGRRRGRAPARVACVGGGGFAEEGHLRYYEAAAPRRKAVEAVARDLVRLRAMGLVAGDAAKEKVLSEATDLLLQELNQMKDEEYKMKKAQKEEKAAMKALKKQQKEAKKAAMMNCEDESSSESSESDCEDEATTNFEQGMVISAAVPEAVASGILTVSGMECEKAAMKAMKKMEKEQMKAMKKMEKEQKKAAKKAMKMEKEAKKMAMAALNGCRDEDDSSCSLDSSDSECEGEVVRMSCCATITAPQMPSPSAVFPIIVPQVPASLPLEPSQASEPATATATAMQVTSISNVAVAETSTTNRIEVCMGGKCKKSGALALLQEFEKTVGTGGAVVGCKCLGKCGLGPNVRLRSEVSAEGPAKRNPLCIGVGLEDVGTIVAGLFGDGDLGMSPT >PVH62927 pep chromosome:PHallii_v3.1:3:56615540:56616455:-1 gene:PAHAL_3G431300 transcript:PVH62927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDEIYCDLSFCSGRTSNGSLIWPLRLSSCHFQIPDNTAHRTSSTGEHQLDVEPFRRPSGTYLNPTNVSIQHPLGSRTRRNVSCDRQLEVQRNHGRNSALLLRDAYSTS >PAN18291 pep chromosome:PHallii_v3.1:3:12595553:12598604:-1 gene:PAHAL_3G192200 transcript:PAN18291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSARALALALAVLLACSGVAVVTAQDTERIEGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQTHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPRLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGDNVFLKPGERILNWTAGPPGDLKPW >PVH61359 pep chromosome:PHallii_v3.1:3:934061:938970:-1 gene:PAHAL_3G017000 transcript:PVH61359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPPPGAVTVCEINRDLVAAEALSDDRAKDAYGDVLGMVFSPIPFQPDTLPPILEPPAAEQPESTENVPTASVTSTISEFFKRMIFPPLNPNLLQEFDIQKISWNPHKHCLAFVSGKNQVIVHDFEDSDAKEPFILTSDQHTNVKAVEWRPNSGKMIAVACKGGICLWSASYLGDVPFMKAGVTPYSFSAFPRGYGGRWILVDVLRDSSAEQVTALCWKPDGRYLASASCNSPSFTIWDVSQGLGTPIRRGLSNISLLRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALVSFSNSTTLGSIHFSSKPPCLDAHLLPVELPEISSLIVSRGIEKLSWDASGERLALSFKDGNEMYRGLVAVYDVRRSPLVSVSLVGFIRGPGEGVKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSH >PVH61828 pep chromosome:PHallii_v3.1:3:8578337:8579830:-1 gene:PAHAL_3G133200 transcript:PVH61828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEVHESQRADAANSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELATVRAEIVRHRYRPAAAAASPVATVLPSSHASQLLAASAVSRGLHLHAGRPVGTRTATLAAASSSSSSVVYAAAAASSSTDYSSITNENVPYFG >PAN19920 pep chromosome:PHallii_v3.1:3:21671380:21676422:1 gene:PAHAL_3G307800 transcript:PAN19920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSNPLVHFLRHVSFPPDPHLLPTALKSCPGLPLARALHAAAVASGVAQDPFVSSSLLRTYLRFGATADARAVFDGTPQKTVVGWSTLVAGHAARGDAEGAWRLLEEMRRGTAGGGGSAEPNVITWNGLVSGFNRSGRARDAVLALARMHGEGMLRPDSTGVSCALSAIGDLGTEAIAVGEQLHGYAVKSGCRLDACVVTALIDMYGKCGRADEIVRVFNESCHMDVASCNALVAGLSRNAQVSEALRLFREFVARGVELNVVSWTSVVACCVHNGRDLEAVDLFREMQSQGIEPNSVTIPCVLPAFANVAALMHGRSAHCFVLRKGFFHDIYVGSALVDMYAKCGRVRDGRKIFDAMPSRNVVSWNAMIGGYAMHGEAASAVRLFHSMLKCKQKPDMVTFTCVLAACSQAGLTEEGRHYFNEMQKEHGISPRMEHYACMVTLLGRAGKLDEAYDLISEMPFEPDGCIWGSLLGSCRVYRNVNLAEVAAEKLFCLEPQNAGNYVLLSNIYASKKMWEGVNRVREMMKDVGLKKEKGCSWIEIKNKVHVLLAGDDSHPMMAAITEKLKQLNIEMRRLGFAPRTDFVLHDVEEQEKDDILAVHSEKLAVALGLISTSPGTPLQVIKNLRICDDCHEAMKFISSFEGRELSIRDTNRFHHFRDGKCSCGDYW >PAN15911 pep chromosome:PHallii_v3.1:3:1644630:1645741:1 gene:PAHAL_3G029500 transcript:PAN15911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVEIAERRATKICQHKPQFLIASMYTAQLQVQHRHNQTESHTSTTPAPRAPSPHALQRPRSRHAPVVLHLGRPLRRPPPRREPPHASQPRHRRRRHLILPHLLRLPPPGRLCQGRRRGLVDALHDAVPGPAAPHQEPEPAAAPGRPRAPARPRRAAEARALAVGEDLPDAGDLGRFGLRDGRLGRAAAAAGLGLLGEDGRRVVVLPPRASALGAELPGEADDGRGRRLEVAGEALRGGAVVVGVVLEEALGVGVLLEGVVAVVDAVDLGPGDVVADAEARGLRRVAGARGGHGNEGGMRTPMAFGWGEELEIAPARWRVARFLRRWCVADSSGLL >PVH62966 pep chromosome:PHallii_v3.1:3:57863578:57864870:1 gene:PAHAL_3G440600 transcript:PVH62966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQIAFQRDESEEETEEETSRKESDEAGRENDLGGWDRWCEGSAGGGSAGQGSRVGGSRAGETSAGAAGTGAGDEGAGAGEAGAGAGGSEAGGSGGQGRTHRRRSKIGWIPPPKPPREEEKCVITPNGDGSWFEPNFPGVGHLRQVNKILGNICRMLWPGMVELVSGERIPATSWNHYRYSVNITFGDTQKAVWAEFWKYYKLPEEGAYDDHARRVFHHNAHIVVRDMISYARI >PAN16639 pep chromosome:PHallii_v3.1:3:4855456:4856124:-1 gene:PAHAL_3G074100 transcript:PAN16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSNMESKAAASGDEAMTSPGPDSAAAAGGAGEEEVAGEEAAASPPAPAAASPRPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPPARDSPTGITSVSRNVECYNKYRHLVSPYPPAQSSSIPVGAGSSFGMYYVSSGAEAAAGARLDAEGGSPSSVSPRELSLFGEANRDQDLHLGLGRQGHIGGGSRTPEGGSERQQSSEPERELDLELRLGRRPRH >PAN19382 pep chromosome:PHallii_v3.1:3:17973432:17976984:1 gene:PAHAL_3G270100 transcript:PAN19382 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02790) UniProtKB/Swiss-Prot;Acc:Q8H1F6] MAPASWAAPTTSFAPAPARGLGRIKAAPLPVRTLPRRVLLRAASESAMVAGDTLLGLYERERLGLSQYVDEEFDEETYWESLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRRRIIVLNREDMISTEDRNAWATYFANQGTKVVFANGQLGMGTMKLGRMAKSVASGVNTKRKEKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGTDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFPDVAAILVQMLIRHPAVGSEAFRRRYRIDVENNCGKMFVTKLSIHLFNGDTNQAAFRILSDYRKGKFGWVALERPPT >PVH63167 pep chromosome:PHallii_v3.1:3:61705885:61706594:-1 gene:PAHAL_3G484900 transcript:PVH63167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSGDGRAVPACVLLYTRGVLRKVQVAEGTYQCIRCSLRFRNSSSSSGSGLEVRRARPPGGAPLSIADGWKFQSCICPSCLHITCRGNI >PAN19708 pep chromosome:PHallii_v3.1:3:19942690:19946533:1 gene:PAHAL_3G292500 transcript:PAN19708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGGSGVDWESLAEATSGAIGALVSTTVLYPLDTCKTKFQAELQTHQGTHKYRNLSDVFWEAIRKRQLLSLYQGLNTKNIQSFISSFFYFYGYSYFKRLYLEKSGAKSIGTTANLLVAAAAGACTVIVTQPLDTAASRMQTSAFGKSKGLRETLAEGTWMEAFDGLGISIILTCNPSIQYTAFDQLKQRIIQRQRRKNGGSAEDNSRVALSAFSAFLLGAISKSIATVLTYPLIRCKVMIQAADPDEDEDDESERPSKSRAPKTMLGALHAIWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSQKRIKSA >PVH62483 pep chromosome:PHallii_v3.1:3:21405758:21406550:1 gene:PAHAL_3G306000 transcript:PVH62483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLCCSNESEEEAGFNLLGLLVAAVIALVFMLLCTPPRRRCVTVYPCC >PVH61310 pep chromosome:PHallii_v3.1:3:539320:540857:-1 gene:PAHAL_3G009100 transcript:PVH61310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLIGRQGDDELLARLRELLLPSPMTTMIKVDQSGIIIGPPASSRDGRRRRRRESKRGGRDDDSKSSYNGEQQHEEPPRHSCKTSSRKMKQRQKKMSSTSSLVTSVPDFDGYQWRKYGQKQIEGAMYARSYYRCTRSAEQGCPAKRTVQRNHDGGDGDGTPPKYTVVYMGEHTCTANDSMEAPVILETAAAAVAPVTSTSIKRPQNHDGTAPTTSAGSCSITSTSTSTATGIESPAISDITYWSSSSSGDYAVDDYCRLFGVHDSWAPPATAAASSLQEMEDFTGPIRSPVHIATADGWTIDHFLLQLASNDQPVSHFSF >PVH61312 pep chromosome:PHallii_v3.1:3:539320:540857:-1 gene:PAHAL_3G009100 transcript:PVH61312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLIGRQGDDELLARLRELLLPSPMTTMIKVDQSGIIIGPPASSRDGRRRRRRESKRGGRDDDSKSSYNGEQQHEEPPRHSCKTSRKMKQRQKKMSSTSSLVTSVPDFDGYQWRKYGQKQIEGAMYARSYYRCTRSAEQGCPAKRTVQRNHDGGDGDGTPPKYTVVYMGEHTCTANDSMEAPVILETAAAAVAPVTSTSIKRPQNHDGTAPTTSAGSCSITSTSTSTATGIESPAISDITYWSSSSSGDYAVDDYCRLFGVHDSWAPPATAAASSLQEMEDFTGPIRSPVHIATADGWTIDHFLLQLASNDQPVSHFSF >PVH61311 pep chromosome:PHallii_v3.1:3:539118:541049:-1 gene:PAHAL_3G009100 transcript:PVH61311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLIGRQGDDELLARLRELLLPSPMTTMIKVDQSGIIIGPPASSRDGRRRRRRESKRGGRDDDSKSSYNGEQQHEEPPRHSCKTRKMKQRQKKMSSTSSLVTSVPDFDGYQWRKYGQKQIEGAMYARSYYRCTRSAEQGCPAKRTVQRNHDGGDGDGTPPKYTVVYMGEHTCTANDSMEAPVILETAAAAVAPVTSTSIKRPQNHDGTAPTTSAGSCSITSTSTSTATGIESPAISDITYWSSSSSGDYAVDDYCRLFGVHDSWAPPATAAASSLQEMEDFTGPIRSPVHIATADGWTIDHFLLQLASNDQPVSHFSF >PAN20911 pep chromosome:PHallii_v3.1:3:54944077:54945124:1 gene:PAHAL_3G421000 transcript:PAN20911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHSRMKAILVALTFLSMVALSPNMYTYLRAFFMESLPPVVSTVVTPKCLFVFSNIIVVFLISESKLSRANIAARNESVLNGYHKQEEVLVTEALLPAIPEDTKQGLQSSMVMEVGEEQDAAAVNEALKMDQQCEDEVIHAGVYRVDELQAEVEEEVSAGVGQDCSAEEELEERGLPPADELNRRVEDFIARFNMERQLEEAQMLVCCC >PAN21416 pep chromosome:PHallii_v3.1:3:60050562:60050966:-1 gene:PAHAL_3G463400 transcript:PAN21416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGLGTGAISGIACQRMAHYLLSAGQMMGNNPLLEAGGALNSLNSHPGITNGPEDDGSSRFFSAAPRLFSAVPMNSSHCFLLSPHIIPRILVAEIHPLFIFPAQVSSPVSATPSLVLTHGLSRKSSIVENDDE >PVH63054 pep chromosome:PHallii_v3.1:3:60050293:60050985:-1 gene:PAHAL_3G463400 transcript:PVH63054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGLGTGAISGIACQRMAHYLLSAGQMMGNNPLLEAGGALNSLNSHPGITNGPEDDGSSRFFSAAPRLFSAVPMNSSHCFLLSPHIIPRILVAEIHPLFIFPAQVSSPVSATPSLVLTHGLSRKSSIVENDDE >PAN21824 pep chromosome:PHallii_v3.1:3:62406123:62408515:-1 gene:PAHAL_3G492200 transcript:PAN21824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLVVLLLISGTSAAAGSDGSGGCDRRCGGTVVPYPFGFSAGCPVVLACDASTSTALLPRTTAAAPYPVLSFRRPPFSTFLVSVAPSCKRSVGEARVSLSGAGYGVSNRTGLFLSGGCRTSGASNCSVSPSLAASLLRTAECGNDTTWACVAEVPSYSSPPARGQGWFFNWEHADAAGCRDVLTATVYGEPTGASLSLDFGVVEMNWWVNGTCADAAAGAGAGWCAANAGCDDVLAPSGAWGHQCYCSDGMSGDGFVAGEGCHYGSPARGKLSAAAIAGIVVSAASFAFALSICFWLRRRKRMKTKTAKQTQVRVARLFRGKPVEDDLELDDEVAGPQRFSYDELAAATGNFSDDRRLGRGGFGSVYSGVLADGNRDVAVKRVSETSRQGWKEFASEVRIISRLRHRNLVQLIGWCHGVGGDVLLLVYELMHNGSLDAHLHDPERVLPWPARYGVALGVGAALLYLHEDAERRVVHRDVKPSNVMLDASFTAKLGDFGLARLIDDGRRSHTTGFAGTWGYMDPESVLAGRASVESDVYSFGVLLLEIACGRRPAVPVREQDEDFIHLVRWVWGAYGGGSILDAADARLGGEFDGREMAGALLVGLWCAHPDRSLRPTIRQAVSVLRFEAPPPSLPAKMPVATYGAPADHPDTTTSSAMSTTVSSGIGHSSTTQSSAESSLMKWQANNTPV >PAN21353 pep chromosome:PHallii_v3.1:3:59604733:59612776:1 gene:PAHAL_3G458800 transcript:PAN21353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKRLNNMDDESNSSRRNKLKGILQDQSPEPHNLSLKDLKEITNDFSDERLLGQGGFGKVYKGVLRNGDMIAVKKLTWTLTGIQDKQYENEARHLMRLRHPNIVQLVGYCSETEKELVQHNGKYVYAEKPERLLCLEYLPKGSLRMHLSDASSGLDWDTRYKIIKGICYGLHYLHEEWQAGTPIIHRDLKPANILLDDNMVPKIADFGLARLFGELQTRTITKNHWGTLGYMSPEYLNRGIITKELDIFSLGVIIIEIITGDKHYPDNVETSSQEFIELVLTNWKNKLEEVHGTCTSREFDCQQIRKCIDIGLLCVKLDRALRPTTRQIIEMLCSTRGRMRIVKKVKIIRIIKKVSEEAEKERFRQLSAPAAPPRLLVDWNQRPRYHLVFLNGLKPVYTMTRLEADDGTAIKVAIIERLENNRTNIVRFGPLSSVRVEVVALHGNFNAKSEECWSPEEFNKHIVFGREKRAQLLTGNLTLKLNGGEALLENAIFTDNSSFTSTMTFRLGLRLVQPSGERVLEGVTKPFRVKERRVEGFEKHYPPVLDDEVWRLKGIGKTGAYHQALSDNGIDSVKKFLQAYMKDEQKLVKIFNKMPQSTWKSIIEHAMTCKFGDSLYLYEAKESDAGLYFDEIYQLVGVKFGDCYKPIHQLDQIDKNLVDFLKLMAYQNIDGIQSNYKMVNNYPVLHTFPAQGTSLMSPVLPNQQILNYGQHGSYLGETFSTSQGFRSNHSRENFSTSQGSSNVS >PAN18558 pep chromosome:PHallii_v3.1:3:13653064:13653315:1 gene:PAHAL_3G210300 transcript:PAN18558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAIHAALEKAGAPGVSRVVVSESGWPSAGGFAATVENARRERLMDQAYRGTPKKPGRAGDVRVRHFAMINENQKAEGRGSD >PVH62703 pep chromosome:PHallii_v3.1:3:40938792:40946708:-1 gene:PAHAL_3G374300 transcript:PVH62703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPTALLLRRGIHSTFVPTPADAATDTTLLGRLTRLLLLHRFSAAARLLSSSAPLTPALLHSALSRVRLDPDAALHLFRLAPSRPSLLAHAQLLHILARARRSADARALLASLLSAQPPAPPLFPHLVEVYKDFTFSAASFDLLLRALANAGHLDGALKVFDEMTKLGCRPTVRSCNSMLNKLTEAGDLGTVMSVFEQMQRAGTLPDEFTVAIMAKAYSRDRWVAHAVEFVEEMKKMGVEVNLVAYHAVMNGYCEVGRTEDARRVFESLPSRGLSPNVVTYTLLVKGYCKEDKVEEAEGIIREIRENKQISVDEVAYGAVINGYCRRGRMEDAARLQNEMTNVGLQVNLFVYNTVINGYCKLGRMVEAHKVLHEMEDAGVMPDTYSYNSLVDGYCRKGLMSNAFEICDTMVRNGFAVTTVTYNALLKGFCLLGSIDDALRLWFFMLKRGIAPDEISCSTMVDGFFEAGKTQKALNLWKETFARGLAKNTTTFNTVINGLCNNGRMHEAEELLGCMKEWRCSPDIITYRTIVSGYCKTGDMDRAIRVMHEMESLGFAPSIELFNSLITGLFIAKQCGKVNDILFEMSKRGLSPNTVTYGALIAGWCKEGDLQKAYNLYLEMAGKGLTPNLFICSSLVSWFYRKGKVDEANMVLQKLVESDMIPDITATRLETGKIANVLDTVAGGNRHSAKIMWNIVIFGLCKLGRIEDAKNLFADLKSKGFVADTFTYSSLIHGCSTSGFFDVAFDLRDEMLSVGLTPNIITYNSLIYGLCKSGELSRAVNLFRKLQSKGISPNAITYNTLIDKYCKDGHITEAFKLKQRMIEDGIKPTVFTYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYVTYWTLIQGYIRCGNMKEISKLYDEMHIRGLLPAYVAGDVKQASPVERNQNGKTCHVKMYC >PVH62704 pep chromosome:PHallii_v3.1:3:40939873:40946708:-1 gene:PAHAL_3G374300 transcript:PVH62704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPTALLLRRGIHSTFVPTPADAATDTTLLGRLTRLLLLHRFSAAARLLSSSAPLTPALLHSALSRVRLDPDAALHLFRLAPSRPSLLAHAQLLHILARARRSADARALLASLLSAQPPAPPLFPHLVEVYKDFTFSAASFDLLLRALANAGHLDGALKVFDEMTKLGCRPTVRSCNSMLNKLTEAGDLGTVMSVFEQMQRAGTLPDEFTVAIMAKAYSRDRWVAHAVEFVEEMKKMGVEVNLVAYHAVMNGYCEVGRTEDARRVFESLPSRGLSPNVVTYTLLVKGYCKEDKVEEAEGIIREIRENKQISVDEVAYGAVINGYCRRGRMEDAARLQNEMTNVGLQVNLFVYNTVINGYCKLGRMVEAHKVLHEMEDAGVMPDTYSYNSLVDGYCRKGLMSNAFEICDTMVRNGFAVTTVTYNALLKGFCLLGSIDDALRLWFFMLKRGIAPDEISCSTMVDGFFEAGKTQKALNLWKETFARGLAKNTTTFNTVINGLCNNGRMHEAEELLGCMKEWRCSPDIITYRTIVSGYCKTGDMDRAIRVMHEMESLGFAPSIELFNSLITGLFIAKQCGKVNDILFEMSKRGLSPNTVTYGALIAGWCKEGDLQKAYNLYLEMAGKGLTPNLFICSSLVSWFYRKGKVDEANMVLQKLVESDMIPDITATRLETGKIANVLDTVAGGNRHSAKIMWNIVIFGLCKLGRIEDAKNLFADLKSKGFVADTFTYSSLIHGCSTSGFFDVAFDLRDEMLSVGLTPNIITYNSLIYGLCKSGELSRAVNLFRKLQSKGISPNAITYNTLIDKYCKDGHITEAFKLKQRMIEDGIKPTVFTYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYVTYWTLIQGYIRCGNMKEISKLYDEMHIRGLLPAYVAGDVKQASPVERNQNGKTCHVKMYC >PVH62705 pep chromosome:PHallii_v3.1:3:40939871:40946708:-1 gene:PAHAL_3G374300 transcript:PVH62705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPTALLLRRGIHSTFVPTPADAATDTTLLGRLTRLLLLHRFSAAARLLSSSAPLTPALLHSALSRVRLDPDAALHLFRLAPSRPSLLAHAQLLHILARARRSADARALLASLLSAQPPAPPLFPHLVEVYKDFTFSAASFDLLLRALANAGHLDGALKVFDEMTKLGCRPTVRSCNSMLNKLTEAGDLGTVMSVFEQMQRAGTLPDEFTVAIMAKAYSRDRWVAHAVEFVEEMKKMGVEVNLVAYHAVMNGYCEVGRTEDARRVFESLPSRGLSPNVVTYTLLVKGYCKEDKVEEAEGIIREIRENKQISVDEVAYGAVINGYCRRGRMEDAARLQNEMTNVGLQVNLFVYNTVINGYCKLGRMVEAHKVLHEMEDAGVMPDTYSYNSLVDGYCRKGLMSNAFEICDTMVRNGFAVTTVTYNALLKGFCLLGSIDDALRLWFFMLKRGIAPDEISCSTMVDGFFEAGKTQKALNLWKETFARGLAKNTTTFNTVINGLCNNGRMHEAEELLGCMKEWRCSPDIITYRTIVSGYCKTGDMDRAIRVMHEMESLGFAPSIELFNSLITGLFIAKQCGKVNDILFEMSKRGLSPNTVTYGALIAGWCKEGDLQKAYNLYLEMAGKGLTPNLFICSSLVSWFYRKGKVDEANMVLQKLVESDMIPDITATRLETGKIANVLDTVAGGNRHSAKIMWNIVIFGLCKLGRIEDAKNLFADLKSKGFVADTFTYSSLIHGCSTSGFFDVAFDLRDEMLSVGLTPNIITYNSLIYGLCKSGELSRAVNLFRKLQSKGISPNAITYNTLIDKYCKDGHITEAFKLKQRMIEDGIKPTVFTYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYVTYWTLIQGYIRCGNMKEISKLYDEMHIRGLLPAYVAGDVKQASPVERNQNGKTCHVKMYC >PAN17400 pep chromosome:PHallii_v3.1:3:8325711:8326165:1 gene:PAHAL_3G128900 transcript:PAN17400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLWAFCGMQNIRFTDSEHKNGSNGKMHSGNLFVQFIWLDLVGWILNSWVRNRVRLIDHPEYLSVLELEIQTKNFHALGRIAELGSAGFVQLAQHIEFVQNFAY >PAN19973 pep chromosome:PHallii_v3.1:3:22065142:22067835:-1 gene:PAHAL_3G310900 transcript:PAN19973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMRSSSSSLVAAAALLLFCCFTTGHANGGGLRLGYYSASCPRAEDIVREQVAQLYDKHGNTAVSWLRALFHDCMVGSCDASLLLDTSAAAGVSEKSAPRSFGMRNFKYVDAIKAALERECPGTVSCADVLALAARDGAAALGGPRVAMRTGRRDSRASRYAEVGRDIPNHNDSVSAVLSRFAAVGVDAEGAVALLGAHSVGRVHCFNLVGRLYPSVDAGMDPAYGAYLRGRCPTADAREDTRDVAYARNDRATPMVLDNMYHKNLLARRGLLLVDQRLADDPRTAPFVARMAADNAYFHDRFAAALLTMSENNPLAADEGEVRRDCRMNLNYTGSSTRVHF >PVH62643 pep chromosome:PHallii_v3.1:3:34274957:34277151:1 gene:PAHAL_3G353400 transcript:PVH62643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPPHPTLSLWQPIEVNLIGHHLVDTFEVASMEAIHIFCDQHPEEVVGHPIGLFPAMDSSDPEWTFRVTYCDHLLGSLAGETLRTTFRFMNAQYRYQTLQQHGIYRLTNIDQGYHNQVGWQNTQIEELQATVTAKEEIITQREDTIQHR >PVH62119 pep chromosome:PHallii_v3.1:3:13526643:13528909:1 gene:PAHAL_3G208400 transcript:PVH62119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSGGRAPSTPQLLNLIRGEGEWKVVREAADGGRSSRTTACSEAEEDTKLELKLGLPGVQEEERPAGPREKMQQGQESCTALSLGCFPSHSRLATSTATTTGAKRGFLATVGAKAEGCNQRHQDRQGCGNELALADENVAGERKKGCCPPSSSHDSAAGPVRSSSNLHQGRGAVLPVVGWPPVRAIRRNLTNGSSSKQSPDRQNDEAGDKAKLTCKRSPLVKINMDGIPIGRKIDLAAYDNFQKLSSAVQELFSGFLEAQKDLDCTRSGEQGTEEKLFSGLLDGNGEYTLVYEDDGGSRTPVGDLPWNVFVSTAKRLRVMKSSELPHGIIKTTSGRAADH >PAN18526 pep chromosome:PHallii_v3.1:3:13526643:13528909:1 gene:PAHAL_3G208400 transcript:PAN18526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSGGRAPSTPQLLNLIRGEGEWKVVREAADGGRSSRTTACSEAEEDTKLELKLGLPGVQEEERPAGPREKMQQGQESCTALSLGCFPSHSRLATSTATTTGAKRGFLATVGAKAEGCNQRHQDRQGCGNELALADENVAGERKKGCCPPSSSHDSAAGPVRSSSNLHQGRGAVLPVVGWPPVRAIRRNLTNGSSSKQSPDRQNDEAGDKAKLTCKRSPLVKINMDGIPIGRKIDLAAYDNFQKLSSAVQELFSGFLEAQKDLDCTRSGEQGTEEKLFSGLLDGNGEYTLVYEDDGGSRTPVGDLPWNVFVSTAKRLRVMKSSELPHGIVSIVQIQAVFGFPD >PVH62553 pep chromosome:PHallii_v3.1:3:24064710:24065638:1 gene:PAHAL_3G321700 transcript:PVH62553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSNAFWDQEGHFHTNALHWEGFPHLLWESLKYREEGVPRCRVKMIISQHPFHSSWHPIEVEVVGYRLVDTLETAAFEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFWIEHLGHMLGDLAEEIVRIITRFMDVQHHYQILLRHGMGQLTGVAQSHYRNADRQETQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQGQIHDLILEADDAQAHIEELQQQPILPAIPIIPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSRSGSQSSIGNFDNF >PVH61541 pep chromosome:PHallii_v3.1:3:3618208:3621611:-1 gene:PAHAL_3G057200 transcript:PVH61541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKVQMRRIENPVHRRVTFSKRQEGLLKKARELSVLCGADVGVIIFSSTGKVHDLATNGNMQSLVERYQSIAARGQMESKNLRSQVTEQWISLLRHEIGQLQHGLRSTGGGQGDMRLDRLHMLEKGLELWYCQTRSTKMQIMQQEIQVLKNKETILKSANEILQEKEGILKAANEILQNKVNEQNQFMNNCSAFSGSSYSTHYDANESCLFTI >PVH61540 pep chromosome:PHallii_v3.1:3:3617608:3621674:-1 gene:PAHAL_3G057200 transcript:PVH61540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKVQMRRIENPVHRRVTFSKRQEGLLKKARELSVLCGADVGVIIFSSTGKVHDLATNGNMQSLVERYQSIAARGQMESKNLRSQVTEQWISLLRHEIGQLQHGLRSTGGGQGDMRLDRLHMLEKGLELWYCQTRSTKIMQQEIQVLKNKETILKSANEILQEKEGILKAANEILQNKVNEQNQFMNNCSAFSGSSYSTHYDANESCLFTI >PVH62812 pep chromosome:PHallii_v3.1:3:52295139:52299657:1 gene:PAHAL_3G407300 transcript:PVH62812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLLLPKILPEHRRGMILCKKWRPLLGAPDQTEIANLGREDEDVATQLHRAAWLFQILWSFERSSFVLPFSDV >PAN21241 pep chromosome:PHallii_v3.1:3:58801197:58805105:1 gene:PAHAL_3G452000 transcript:PAN21241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAHFPGDNDAAEVDVACDGGVDRRDVGNMEHGCEHYRRRCKIVAPCCKQVFPCRHCHNEATASGDRHAICRQDIEKVVCVLCDTEQPVSQVCVSCGVNMGEYYCDICKFYDDDTEKGQYHCNDCGICRVGGKEKFFHCVKCGSCYSVALRDNHQCVENSMRQNCPICYEYLFDSLQGTRVLNCGHTMHMECFSDMVEHNKYTCPICSKTALDRTRHWEMLDQEIEATIMPPVYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCNSYNTRSTSRPADSSGSSSPTTDSSDNNL >PVH62624 pep chromosome:PHallii_v3.1:3:29824230:29829960:-1 gene:PAHAL_3G341200 transcript:PVH62624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNMPPQQATAAGPGGSRRCGARGAGAQRQRPHAGEPEERRELRAQAGRWRARAAGPGAREPGSGAGSARGRAQAGSSARLAARSRRSQAVRVAGRPGVEARWRQSEAGVDARRSGGARVERRSGAAPGSRRWRDGSAHVEVWQRAALAELRRVGEWLSLAACGMRRKTGGARAGGSQAGGRAQLRASARQSRSGPSERRELSRHREPVRIRPWRERARAGGVEAEQDDDVQACVCGCETGGTLWNGLRELERGVRECGNAQAHQARGGGGRQFRGTAINGARPTKTDELKGRMRARSLMRCTRSGEPKGKAATRRWRPGAMSSEEPRTASSLHPCGSRGKEGECGAARRKRRSGRIWTSTSTDTSESALPVTGSTRFSKRVRVQDNRPSSTHGNHPRQTSSSSSGSRHRRAHLIVANPIHRGNLLSAYSSPRLSLPQGLP >PAN21644 pep chromosome:PHallii_v3.1:3:61513044:61519165:1 gene:PAHAL_3G481800 transcript:PAN21644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRTSRATTMATPDLSLHISPPSPPEAAGEMQAVEPRLLLGLELDTAAAKTDDAAAQRHGGLQAQQQRLLHQPSQTAHGFKKSSGGGGGRRRSARAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTDRSCVAGLGQQARDMAFLRRGAGEVDGFDVFNSSRSVNTTTTFNNYTNTARRSWSPSGEQQQRLDHQEAAAAACAWIHAHQLLQSCGSDELTTLQPPPCRLRPAAADRLLMTTTTTEQSHAQQQGSKVGEQDHHGQGAVAAHHLADEAREKLPSQPPPHDHHHAGSGLFQRPSPTNNTSSSGDTVSSPEWLQQRHYCSDGGGMSVGMAAGLLPAPPPPSLEMSLGRQGWQMEQHAGVGVEFEFESSPPAPAPNELTMLKCL >PAN20166 pep chromosome:PHallii_v3.1:3:34822163:34827157:1 gene:PAHAL_3G355300 transcript:PAN20166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANMGTKARPPPADADKGEIGEIDTRAPFESVKAAVSLFGEVKFSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYKEQLNNAETTRVQALSELEKAKKTVEELITKLDAINKSKELAIQATEDAKTRTKQLEGGSSNEGLGTDGPLKQEMESAREQYAVALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHTTEANKEKANQLRSEIAEIQESLMHVKAATQQAHEEEAQIHAEKDVARTTYKQALEETQKKLSSLRNDFDPAAYESLKEKLDQTNSKIASMQKKIEDARARDLESVAVVSTELDDAKEMLQKVAEEESSLRGLVESLKVELEAVKQEHNQLREKDTETESIVGDLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKSALQEAEMMQKSAAELRDEAEKARVELAEAEQKLQLALKEAEEAKAAEARALDQIKELSDRASAARASTSESAANITISKDEFDSLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAEAAKKAVEGELKRWREKEQKKTAEAQPSTGAQEVAGASPPVQQGSAGKASEKNEGHQRNTRTLLRKSFMLPNITSMFHKKKSHAGSSSPSYLPGEKSV >PAN18727 pep chromosome:PHallii_v3.1:3:14345723:14346891:-1 gene:PAHAL_3G221100 transcript:PAN18727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISPASLRPWSDLLPELLGHVTAHLPFPADRARLRVVCRGWQYSAARRHVSQLPWLVLPDGSFVTVGDSGTYFHGRTTIPGLPEDATCVGSTDGWLALDRTDQAHRRTTIQDMYSGAFRGPSGNVKHAHAYLLHNPFSGATVPLPELDAVAGVVSEVFEERKVLMRSPSAPGDDVIALVTNSTRCNIILCRPGKDFLSWMDMDEEEEDHNDDEREDDDVDDEEVPNQDEDDGSFNGDGMISDGEVAVQDGEPYEANDYIITTSKIVRSRLGELLMVRRQTQVPPFSSSYTLEVEVFKADLDAGEWVLSADDGLAEDEALFLSRSFCRSTHVYGDISAGFIYFADTGDVFDTRCRTCRPFRLPPHRRLFSSRLWIFPPELVV >PAN20608 pep chromosome:PHallii_v3.1:3:29939313:29941300:-1 gene:PAHAL_3G342000 transcript:PAN20608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSFQRGGERRGRWGSPERTVVWAEPKPAPKPTRKVAVVYYLCHRDGHLDHPHFLEMELPLSSSSHRAATAGLYLRDFTARLDALRGSGMPAMYAWSSKRSYRNGYVWQDLAEDDLVHPAHGTDEYVLKGSPLLFPQQPPPPRRDASSASSASSSGHNTRAGRRRKNWSSFDLGEYSNNKQLVALRTAQQSAATQTDHRGPDQESTELAIDEISPPPSSSSPDALDSCGRDVGVIAGGRMRASAVLMQLFSCGSMGAAKRGHARGRSDVAPMSASGSNRQAEADAGAERSSGGGGGGVGVGNIMERDYFSGSLVESRKTTGSGGGDAALLHKRSSSCNADRGAARLKLPVAAREKVVRAGCLASRGSRDPKRNTSKSAAAASRDDGGECKGATEPAAAAADRAGSS >PAN20609 pep chromosome:PHallii_v3.1:3:29940037:29941189:-1 gene:PAHAL_3G342000 transcript:PAN20609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSFQRGGERRGRWGSPERTVVWAEPKPAPKPTRKVAVVYYLCHRDGHLDHPHFLEMELPLSSSSHRAATAGLYLRDFTARLDALRGSGMPAMYAWSSKRSYRNGYVWQDLAEDDLVHPAHGTDEYVLKGSPLLFPQQPPPPRRDASSASSASSSGHNTRAGRRRKNWSSFDLGEYSNNKQLVALRTAQQSAATQTDHRGPDQESTELAIDEISPPPSSSSPDALDSCGRDVGVIAGGRMRASAVLMQLFSCGSMGAAKRGHARGRSDVAPMSASGSNRQAEADAGAERSSGGGGGGVGVGNIMERDYFSGSLVESRKTTGSGGGDAALLHKRSSSCNADSAGAPRG >PAN17789 pep chromosome:PHallii_v3.1:3:9968434:9971315:-1 gene:PAHAL_3G154900 transcript:PAN17789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQVGGSKVAEPRARVELSPTAVADKGRASSKKIRRRRQKASAALSRSPMQRLFDTSREIFSASSPGFVAPPDAVARLSGILNDLKPHDVGVDSSMPYFKHADSKVPPRVTYLHFYDCPKFSFGIFCLPKSAIIPLHNHPGMTVFSKILFGSMHLKSYDWANSPPENHDIALENSYGARLAKVNTDAVFDASSETVVLYPENGGNLHCFTALTPCAVLDVLGPPYNRADGRDCAYYDESPYLIGGDEQYSWLKEVPTTFEMKGIQMPRKFSVSA >PAN21810 pep chromosome:PHallii_v3.1:3:62192809:62197508:1 gene:PAHAL_3G490100 transcript:PAN21810 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MAGGGGEGVSPSAALKDQGNEQFKSGNYLKAAALYTQAIKLDPDNATLYSNRAAAFLQLVKLSKALADAETTVKLKPEWEKGYFRKGCVLEAMERYEEAISAFQTALQHNPQNTEVSRKIKRLSQLAREKKRALDVESMRSNVDIGKNLDALKTELAAKYGDVETGQSIFSFVVNVIESAIKAWHDTGKVDPRVNFLLDDQKTDTQKYAPVVNIDKAFESPQTHSECFTFLRQYAEDSSAKAACMVAAKSIISYPQVWKGQGSRKWKLDQSDGFFVQFESPALRKIWFVPSTKEKGRTLCRSPETLDIGIHEVLPRIFKEAAAAAA >PAN20743 pep chromosome:PHallii_v3.1:3:52620970:52624780:-1 gene:PAHAL_3G408900 transcript:PAN20743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYDVNSPLFRSFLSQKGGASDKRKMEEQKPKEQKPKANENKPVMNE >PAN16203 pep chromosome:PHallii_v3.1:3:2795088:2796893:-1 gene:PAHAL_3G043900 transcript:PAN16203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATLLKSSFLPKKSEWGATRQVAAPRPVTVSMVVVRASAYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSAVDGRKIVDILAEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLAAREAAYYQAGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYAMAENNVMFEGILLKPSMVTPGAESKDKATPEQVAEYTLKLLHRRIPPSVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGAPENVKAAQDALLLRAKANSLAQLGKYTSDGETAEAKEGMFVKNYSY >PVH61693 pep chromosome:PHallii_v3.1:3:6186424:6187344:-1 gene:PAHAL_3G097800 transcript:PVH61693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPTMVIQDDYIDMDLTPAATPMPPSSPRFEFQSTAAGGAKHREPAFASPADELFYKGNLLPLHLPPRLQLVQRLLQEQQPVQALQGVDKREAESDAAADGGDAAAAGKACAAKRPSWAKKLKVVKRWASKEYIRSFFLARPTPSDIVVDGTANGNGIGSVSARGSVLDQEEVCHHRKSFSGIIRRVRLVATKAPGTSPLCSSSSSSSSSTPSCGNANGFFFRPPPAAAATPVLKRSSSAGSEEGAIQGAIAHCKRSQLLQPGMVVSARRSVSDVMFYSVTNTPRASSVAAGEVAHERQEMCRG >PAN21682 pep chromosome:PHallii_v3.1:3:61621614:61623536:-1 gene:PAHAL_3G483300 transcript:PAN21682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCDPERHRRLGASARRHRAPARRARKKGGARGRSSHASRLPPTMALLPSTSSPSCHRRAAPFAPAAATSGAPWATPRGGTPRLAAAGGRYALTSASTQTRPDAVGRRRCECFDLHQQIVPYAEAYAWQKSIVKRRIGLLDSGEDHSDTLIALQHPPVYTLGSDSKKEYLHFHKEDAPFEVHQIDRGGEVTYHGPGQLVMYPILNLRYHKEDLHWYFRSLEEVIIRALKSAFFIKASRVEGLTGVWVGDQKVAAIGIRGTRMIVYHGLALNVTTDLTPFKLIDPCGIKDRGVGSIMAILQKESNGREIDDRLLMDIAYNSLVEEFAELFQLSLDISPHCSFQ >PAN21681 pep chromosome:PHallii_v3.1:3:61621339:61623564:-1 gene:PAHAL_3G483300 transcript:PAN21681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCDPERHRRLGASARRHRAPARRARKKGGARGRSSHASRLPPTMALLPSTSSPSCHRRAAPFAPAAATSGAPWATPRGGTPRLAAAGGRYALTSASTQTRPDAVGRRRCECFDLHQQIVPYAEAYAWQKSIVKRRIGLLDSGEDHSDTLIALQHPPVYTLGSDSKKEYLHFHKEDAPFEVHQIDRGGEVTYHGPGQLVMYPILNLRYHKEDLHWYFRSLEEVIIRALKSAFFIKASRVEGLTGVWGIRKLQR >PVH61464 pep chromosome:PHallii_v3.1:3:2488408:2495053:1 gene:PAHAL_3G038600 transcript:PVH61464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAESGLGGGVEEGVGESSSPPRDAAPVPAGSGGRGGGGGARDICGQVLERLIADGHAEASDSEFRDKLVAHFGRLPHSYQLDINVDKAADVLVHQNVLAEAKDPDRRPAFHVRFLRIEDMDQAYDSDATEEGDDDGDDLSVRQDTQYTHIHEIVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTHDGYSLDVFVVDGWLVEDTDGLNKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAVKVLRAEHLNKNVWNEFTQELYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLNLATLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELVTSKIPYDTMTPLQAAVGVRQGLRPGLPKKAHPKLLDIMQRCWEADPSKRPAFPDILVEVEDLLSHVQVCPGSFRKDGPRSS >PAN16128 pep chromosome:PHallii_v3.1:3:2488112:2495380:1 gene:PAHAL_3G038600 transcript:PAN16128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAESGLGGGVEEGVGESSSPPRDAAPVPAGSGGRGGGGGARDICGQVLERLIADGHAEASDSEFRDKLVAHFGRLPHSYQLDINVDKAADVLVHQNVLAEAKDPDRRPAFHVRFLRIEDMDQAYDSDATEEGDDDGDDLSVRQDTQYTHIHEIVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTHDGYSLDVFVVDGWLVEDTDGLNKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAVKVLRAEHLNKNVWNEFTQELYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLNLATLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELVTSKIPYDTMTPLQAAVGVRQGLRPGLPKKAHPKLLDIMQRCWEADPSKRPAFPDILVEVEDLLSHVQGASGKTVQDPANDSNKKD >PAN18851 pep chromosome:PHallii_v3.1:3:14994938:14998059:-1 gene:PAHAL_3G230800 transcript:PAN18851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGGGMSESVLRKVLLSYCYVAVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVLRVVDLPTSPAMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDLRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLVVPWAFVELPRLRAVGTFQPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKATQADEEAGSLLQERDGHVDRKSDNQA >PAN19997 pep chromosome:PHallii_v3.1:3:22258705:22259482:1 gene:PAHAL_3G312300 transcript:PAN19997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRNGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN21205 pep chromosome:PHallii_v3.1:3:58696740:58700389:1 gene:PAHAL_3G450000 transcript:PAN21205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLLTDSFELGKREQVPGNVDIELGLQGDLTSSAQPGFEGFFEQVKDIENLLNTLTKLLKDLQSSNEESKVVTKASAMKEVKKRMEKDVNEVTKVARLAKSKVEQLNKDNAANRQKPGFGKGSGVDRSRTTTTVALTKRLRERILEFQTLREEIQKEYRDVVERRVFTVTGERANEETIDKLIETGDGEQIFQRAIQEQGRGRVLDTLQEIQERHDAVKEIEKKLLDLQQIFLDLAVLVEAQGEMLDNIETQVTGAAEHIQTGTNLLQKAKKLQKNTRKWTCIAIIILLIIVLVVILSLKPWSWGK >PVH61581 pep chromosome:PHallii_v3.1:3:4354069:4355626:-1 gene:PAHAL_3G068300 transcript:PVH61581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSLEGWELGAALLEAHGAAVPGRDGGDVHQVVASLRGVPPEVDERHEQRHEQHQVVQRVPLPHALVRPGAERQEVAPERHVLPALLREEPARVERRRVPEPLERRLVQRREHGGAPGHRVPLRQPERLAGDVRHHRRRGPVTHHLPRHSLGVPHLRHLSRGDGLSRAGRPERGHLGADAGEHVRRAHQPRDALLGAPEREVRQVHGHRHEPDVEQVVVPCELLPPLRGEVRPHEHRLRGLQVHVAAGHPQREGLIGVAAVVEPPTDVGDDDALLDGGVGGERARREVGRDPAAELPVVVAEHVDEVVVAEELLAEGVADEVEGVGADVGEHAVGELRVADEDDEPPEEVVRREAERRRLGARRQPVPVRRRVADHLGDAPEEWHHERGAGQGPLLAPVGQLLHHQVVEERHGHGRDQEPPPRRRHCCRCKALFWLLTLTHAPLSIYI >PVH62169 pep chromosome:PHallii_v3.1:3:14653167:14655378:-1 gene:PAHAL_3G225200 transcript:PVH62169 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MSIHYRKKKGKEGKGGNHIDGNGVSQATPNGIAPPILCFPQRPAPPRRHRSYKPPPPSRRASPPGDPEAAMPGRRAIEVRLQPVDAAAPKWRMSLLENTFAGFLQGAGADSAARAVFAEGSLFSPFLFGKFFDPADAFPLWEFEPEVLLAALRRGAARTTVDWGETDAEYYLRADVPGGRKCDVEVSGDAMKVIDISGLWRAPPADGRDWRAGRWWEHGFARRVELPEDAEWRKVEAYFDDGEGSLEIKVPKAGDAHQATTA >PAN19411 pep chromosome:PHallii_v3.1:3:18085209:18088943:-1 gene:PAHAL_3G271300 transcript:PAN19411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARHNPHTMEHRLLKAVATGDTNLLEQVLGSQSSATAEQGEESCLRGVTAEGSTALHIAASCGYLELVEMVCAQDISLIKASNNKLDTPLICAARAGHRDVADYLMGCAMDEQEDLRARNLDGETAMHEAVRNGHLPVLQGLMSRDSGLAAVVDENGVSPLYLAVASNRADMVKVLIGESSDGVSYSGPDGQTALHAAVYVSREISECLQRWKETLAREVDGYGRTALHYAALTKNLGLVKLLLADSSLAFVPDNEGLYPVHIAAIAGNVNVVCMFMKICLNYDELFDNKRRNILHCAVEHGRVQVVWHICRSPKFVRMMNARDGEGNTPLHLAVNHGRTMIFSFLMMDARVNLNIMNNEGLTPLDVAFSKIHSDYTFSSFTNTSIITCLTLCEASGSPWHHARNLSDKWCSEGKKEPGSYANVSQSILYISVFIVVGSLAAACTPPGGYITEGNDAGRPVFGGRTGFWIFVIANSMSFYLSTTTIFLFVFARLTRHRRFYLILSAALAFGAVLSMVTAFATVVGLTLDPANSWDESILIWLVSNLAFPICLRVAMQLWMSKHRWQDISKVVAQAILLIYVVRALIITMQSLVKSVLPGRQEPCSWHGCVVQDDAVVYYPT >PAN18616 pep chromosome:PHallii_v3.1:3:13925002:13931701:-1 gene:PAHAL_3G214300 transcript:PAN18616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLNAVEDDKPAGAVCGELWHACAGAGLVLPRRGSAVVYLPQAHLAGDGDGGEVPAGAAVRVPPHVVCRVVDVELRADAATDEVYARLALVAEGKMFDRNIHDGETEEKNCEMEDGDGEKKPWTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQLRPSQELVAKDLHGMKWRFRHIYRGQPRRHLLTTGWSSFINKKKLVSGDAVLFLRGNDGELRLGVRRAVQLKNEALLEAVSSTDSKLRTLSAVASSLENRSIFHICFNPRTGASEFIVPYCKFLKSLNCPLSIGMRFKVGCKNEDANQRSCGLISGINEVDSIRWPGSKWRCLQVKWDGDTKCNHQNRVSPWEIERVGSSISVPHCLSSSVSKRTKLCFPQGDLDAPILDGNGRPDSMETECFHWVLQGQELVRSRAQGVACSHSSDTPKCQSSYERRFSADVWNCKMNDAMSGLRHRNATGFAYQPLVFGESFRFSEVLQGQEMSRAVPSFPGAAFGARAQNGRIGSFDYVQRSAATQGYPLQQFNPPATEAHSPSSVLMVNQTTALQPELEGMANLGEANGSRYAPIATQREAETWPSAQQQRARENGSELFDATEASAPAAVTKPGLADRGVRQSSCRLFGFSLTDEILGAEEDGAKENYEAAQQTPRVLDLFGHGQSTPSALPLHALCAAPLGI >PVH62313 pep chromosome:PHallii_v3.1:3:17496275:17498823:1 gene:PAHAL_3G264000 transcript:PVH62313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIEAGRGCAPDKETVPSPRAKEVIVYRDLFSAGLRFPLDAVVVRIHRNFDMYLHHLTPNAVLQLSVYMWASKTMGVSPSVENFVQAHTIHHQPLHMERMQGSTVVKEEAQFASLNFKYHSNVEAPVVCYKNKWDKYWNAYWFYYTVDDENSPLTCMDLRDLPKGIGTRPEDTDTSRIFLVAFCELARVYGTRDLVEEYCGSKVFPVRAGWQVAAWVDFTSSIKIPDFTKSFGVKGKARPKAVAKRRKEAEERVSHKCARPAPVSSSEAGSSNTGDPEENKSTEGGGESAHGEDAPGSGTAERSEEPIDVTSTSPLRGSSMEATLAKPFAVEYNDFETESDEEPAAPKVTAKAKSPEAPATLTTLVAAALATSSPRREAADFVQAGHEDRLSSSSETDSDRPSGGVFVSMEQPSVVAKRLGLPTMTRLFGDRKRKMLQFSSDDRERELLKEAEDSFCFPIMEEELTNESVDEILTHAQDLSMKSFIACRAAQRRCRRDLRSHQLAEQTTASALEKEIAVVKQLQDQKELDEAKTEMENLWGLAASAENEKKRTEELAKELEELKDVHAKLVTENLEYCDEIEKHIYPICQKVHDLLLDFSATPAPYSVKDMFISQLFEWLSTSVSSLASAGRSFGELGDVVSVRSFAHALCVMISSSSGSPDPVITKSDLRRLRDPSFSWPSETAMEKIPVMAKNIAKSFMQGFYKKCGFSLAVAEGRRVC >PAN19600 pep chromosome:PHallii_v3.1:3:19250958:19251305:-1 gene:PAHAL_3G285000 transcript:PAN19600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVLRPHDCLARARRPLRPGPAGRRAARHQGPRHGARGGEARGPSAAAAVTAPRQVRTKVAAAEAYAGPAFGAMSPSPRALPLPRFPARTASPAAPGVDDAATRELRRLLGLH >PAN21783 pep chromosome:PHallii_v3.1:3:62007431:62009530:-1 gene:PAHAL_3G488100 transcript:PAN21783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFAAMKSPLPVAASAAASDSKSPLFCPKPRRPVAPLRCHQSGGYSDAGAGVDLLDLLLSKGEESGLMAASPQPPLFCGSPPRRASNPVVHDSRFGMDYPPMPVPLPVPPVVAAPVPVARPNPRPSVAPSMSPRGASCARARFAFQPAAVRVEGFDCLDRSRGGRGHGITAMA >PAN18438 pep chromosome:PHallii_v3.1:3:13173878:13175547:-1 gene:PAHAL_3G201600 transcript:PAN18438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRTARLALLSASPRAYSSSSAASSAAATRPAPYGGAPPPAPMSKAAEFLVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRLSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >PVH61872 pep chromosome:PHallii_v3.1:3:9389969:9395054:1 gene:PAHAL_3G145200 transcript:PVH61872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVESLSQRHWFFGSRRLGMRMRSALMAAVFDKQLRLSSEGRRRHGAGEVANYIAVDAYRLGEFMFWLHCAWCMPVQLALAIAMLFWTVDAGALPGLAPVAACGVLNVPLARMLQRYQSRFMQAQDERQRATAEVLIAMKVVKLQSWEDSFREAVQRLRDVEVRWLAETQIKKAYGSALYWMSPTIISAVIFAGTAALRSAPLDASVVFTILATLRVISEPMRVLPEVMSTMIQVKVSLDRIDEFLTEDEFQDDAVDRTGMAASNMSLAVQSGVFSWEPSKAIATLKDINVTAMRGEKIAVCGPVGAGKSSLLCSMLGEIPRISGSVSVSGSVAYVSQTSWIQSGTVRDNVLFGKPMNNGEYEKAIRCCALDKDIENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDAVIYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYQELLQSGTAFEQLVNAHRDSKTPLDSQDHGKEAKKPGTFQYQIPMIPQNSEAEVSTGNLLSVQLTEEEKRELGETGLKPYKDYVSVSKGWFLLVLIILAQCAFVVLQCLATYWLAIAVQTHQFSVAVVVGVYAVMATASCLFSYIRSLLAAHFGLKASRKFFSGLMDSVFKAPMLFFDSTPTGRITTRASTDLSIVDFDVPYTMTFVISGTIEVAATIVIMIMVTWQVVLVVVPVVIVLLYIQRYYIASARELVRINGTTKAPVMNFAAESMLGVITIRAFAATRRFIQTNLRLIDTDATLFFYTSAALEWVLLRVEALQILVIITSSILLVSLPEGAVAPGFLGLCLSYALTLSSAQVFLTRFYSYLENYIISVERIKQFMHLPAEPPSVISDSRPPPSWPSEGRIDLQNLRVKYRPNAPTVLRGITCTFEAGNKIGVVGRTGSGKTTLLSALFRLVDPCGGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLCLHTDEDIWEALDRCQLKKTISALTGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAILQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKMIEYDRPSSLMENKESAFCKLVDEYWSNYN >PVH61871 pep chromosome:PHallii_v3.1:3:9389969:9395054:1 gene:PAHAL_3G145200 transcript:PVH61871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVESLSQRHWFFGSRRLGMRMRSALMAAVFDKQLRLSSEGRRRHGAGEVANYIAVDAYRLGEFMFWLHCAWCMPVQLALAIAMLFWTVDAGALPGLAPVAACGVLNVPLARMLQRYQSRFMQAQDERQRATAEVLIAMKVVKLQSWEDSFREAVQRLRDVEVRWLAETQIKKAYGSALYWMSPTIISAVIFAGTAALRSAPLDASVVFTILATLRVISEPMRVLPEVMSTMIQVKVSLDRIDEFLTEDEFQDDAVDRTGMAASNMSLAVQSGVFSWEPSKAIATLKDINVTAMRGEKIAVCGPVGAGKSSLLCSMLGEIPRISGSVSVSGSVAYVSQTSWIQSGTVRDNVLFGKPMNNGEYEKAIRCCALDKDIENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDAVIYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYQELLQSGTAFEQLVNAHRDSKTPLDSQDHGKEAKKPGTFQYQIPMIPQNSEAEVSTGNLLSVQLTEEEKRELGETGLKPYKDYVSVSKGWFLLVLIILAQCAFVVLQCLATYWLAIAVQTHQFSVAVVVGVYAVMATASCLFSYIRSLLAAHFGLKASRKFFSGLMDSVFKAPMLFFDSTPTGRITTRASTDLSIVDFDVPYTMTFVISGTIEVAATIVIMIMVTWQVVLVVVPVVIVLLYIQRYYIASARELVRINGTTKAPVMNFAAESMLGVITIRAFAATRRFIQTNLRLIDTDATLFFYTSAALEWVLLRVEALQILVIITSSILLVSLPEGAVAPGFLGLCLSYALTLSSAQVFLTRFYSYLENYIISVERIKQFMHLPAEPPSVISDSRPPPSWPSEGRIDLQNLRVKYRPNAPTVLRGITCTFEAGNKIGVVGRTGSGKTTLLSALFRLVDPCGGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSALDRCQLKKTISALTGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAILQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKMIEYDRPSSLMENKESAFCKLVDEYWSNYN >PAN16762 pep chromosome:PHallii_v3.1:3:5515009:5516304:1 gene:PAHAL_3G084700 transcript:PAN16762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRGAFNHVVLLLAATSLLATAASKDRCHSGDKAALLAVKAALGNPYHFASWTPDDPCCDWYDVDCDASTGRVVGLSVFQDANLTGTIPDAVAGLVHLQSLVWHHLPALSGPIPPAIAKLSNLSQLTISWTAVSGPVPSFLGTLTKLTLLDLSFNSLTGAVPASLAALPSLSGINLSRNRLTGAIPPLLLSRSPDQAYLWLSHNNMSGAIPAGFAAVNFAHLDLSRNAFAGDASGLFGRGKELQYLDLSRNAFSFNLSGVGLPEQLYFMDVSHNAISGGIPAQVANLTNLQFFNVSYNRLCGAVPTGGHMGRFDLFSFQHNKCLCGTPLANPCN >PAN17233 pep chromosome:PHallii_v3.1:3:7342030:7343249:-1 gene:PAHAL_3G115100 transcript:PAN17233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKFNGEWSASEIGMVKSLIASHNTSNNYTNDINKKHNDIVNQLQAWFPLKEKCQVIKLYVELVVEMMQSGQSGYQSMVAINSLVNDNYGIPVEDPTMDNMNIKMPLASLIGKKPEATRMVEEAPQRQVIIPQQERRQKGRFWTKHEHRQFLRGLCVYGRGDWKNISRHFVTTRTPVQVSSHAQKYFRRLERTNEKQRYSINDIGLYDDEPQALNNSSSWEPLTFAGANNPNVYGSSSELPTMNNLAHVWSSPFLYSDGQASSSQATTWTGQQMGASSSTTLEPEGAGSQMAWIGDQQGDFIHEQWMDIDDM >PAN16253 pep chromosome:PHallii_v3.1:3:3082305:3086750:-1 gene:PAHAL_3G048300 transcript:PAN16253 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAAAPAAHAYRASPSIFAGLTGALQAASRGSCAQFCNFQALVNNGLLYRKGNPLPLRYSFHSLQARSNHSSAVAPKDYCETYIQFLRDKRIVPDSDPPSSEDVDLLYQFIDKSKKLMVVTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNAAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPLELHGSVYEVICLDCGTSISRESFQEQVKDLNPKWAQAIESLEVAQPGSDKSFGMQQRPDGDIEIDEKFWEQDFEIPNCHQCGGVLKPDVVMFGDNVPQERAETAKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAVSIGETRADSILSLKINARCGEILPRILQMGSLAIPNVS >PAN16252 pep chromosome:PHallii_v3.1:3:3082740:3086614:-1 gene:PAHAL_3G048300 transcript:PAN16252 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAAAPAAHAYRASPSVEVSMQPTYTCNGTQIFAGLTGALQAASRGSCAQFCNFQALVNNGLLYRKGNPLPLRYSFHSLQARSNHSSAVAPKDYCETYIQFLRDKRIVPDSDPPSSEDVDLLYQFIDKSKKLMVVTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNAAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPLELHGSVYEVICLDCGTSISRESFQEQVKDLNPKWAQAIESLEVAQPGSDKSFGMQQRPDGDIEIDEKFWEQDFEIPNCHQCGGVLKPDVVMFGDNVPQERAETAKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAVSIGETRADSILSLKINARCGEILPRILQMGSLAIPNVS >PVH61686 pep chromosome:PHallii_v3.1:3:6095155:6101835:-1 gene:PAHAL_3G096000 transcript:PVH61686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MCRVSNGAAKVIHEYSGGSFFINAAASPAMCPVYIAPRLSTDQKPPTKSAIKILNPRLPKMPPNSPAYLLLAPLLLLAGTAASATRLRPRPANATSAGEPVYLWPLPKSVASGSRTLTVDPDLALDPRGPGGASPAVAEAFERYRGHVFAPWAHAARAGGGGYDVARLTVVVASANETLALGVDESYTIYVGAAAGVNSIVGGGTIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWHIQDEPRFAFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSKWERYTVEDAHDIVNYAKKRGINVMAEIDVPGHAESWGNGYPKLWPSPNCTEPLDVSSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNVTPHVRQWLNERNMTTKDAYKYFVLKAQELAIKLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVAKGFRCIMSNQGVWYLDHLDVPWEDVYSGEPLAGISNKDQQKLVLGGEVCMWGETADTSDVLQTVWPRAAAAAERLWSQLEAISAQDLETTVLSRLHYFRCLLNHRGIAAAPVTNYYARRPPIGPGSCFVQ >PAN17198 pep chromosome:PHallii_v3.1:3:7176465:7182067:-1 gene:PAHAL_3G112600 transcript:PAN17198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGAAIGDGARRGVDHRRRGRRRRSAAAPASALIDRCTTLPVLDIRVNESAGYLEYYLEYIDYTSEGKEWVQVFEKNPASSNKNSRESSQLMIRPSFPQWCYGHEVPEQFPNSDVTAVVDEACKVGDLVDWLNEGCYWSGTITKLLDEDRVEVKLPAPPIGEGKRYPANRNDLRPTLEWSLINGWTVPLSKANKKSWHVARLLQHSKAESEKSASYEESLSDDEYGDNSGGVQLSGCTASSTSQGGPVALAPPSATNSASSPEAQKDGNLPSAENLKPSSTSKSPDPSHNTQSAATSRRPAGTRVTGNQEPGTGISSMQEQGGSLVEAEADDDGPDEFLEKLDTLEAKLKHLIKRTQVE >PAN17197 pep chromosome:PHallii_v3.1:3:7176975:7181022:-1 gene:PAHAL_3G112600 transcript:PAN17197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFLPFKIGDLAEYKCFLTGYRGAWFRCKVLDIRVNESAGYLEYYLEYIDYTSEGKEWVQVFEKNPASSNKNSRESSQLMIRPSFPQWCYGHEVPEQFPNSDVTAVVDEACKVGDLVDWLNEGCYWSGTITKLLDEDRVEVKLPAPPIGEGKRYPANRNDLRPTLEWSLINGWTVPLSKANKKSWHVARLLQHSKAESEKSASYEESLSDDEYGDNSGGVQLSGCTASSTSQGGPVALAPPSATNSASSPEAQKDGNLPSAENLKPSSTSKSPDPSHNTQSAATSRRPAGTRVTGNQEPGTGISSMQEQGGSLVEAEADDDGPDEFLEKLDTLEAKLKHLIKRTQVE >PVH63168 pep chromosome:PHallii_v3.1:3:61734040:61735362:-1 gene:PAHAL_3G485300 transcript:PVH63168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGPLAADDDTPPPPSLKSALLIIGGLLLFAIAAIVLLRYLLRRWASSSPRPGRMAEVEQGGAARRTGAAGRQLAEAGEATRTAAAGREQVEAEAGAARRIAAAVRRGERLEVEVERRGAGAGDGGMERLIASLPLFTMASALAALPKNSPDCAVCLAAFEPDAGLRLLPACRHAFHAACIDAWLRTNPVCPICRGAVSLPLPPLPAAAAGQEPLGSRAGSRRSFRFELGSVSNRHSSCAAGGDDHRRTYSLGGSFDYRVDEEVEAIVSRIVRPAAAARLSTAAPAASAAPGEALAEAVGSRGWLGEYLDRVAASALSLSGRWSGRLSQGRRSHSLRHDEWRRQDPAAAKSATPAAAACRAPREEEREPALVALGRWIFGF >PAN17589 pep chromosome:PHallii_v3.1:3:9132905:9141381:-1 gene:PAHAL_3G142300 transcript:PAN17589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEMAEEAPAAARGGAGQLAAVAEEEGEGAVAGAEEVAPAVGSSKTMERVAAAKKFIEDHYKAQMKTLQERKERRLVLEQQLASSQVPREEQINLIKDLERKETEYMRLKRHRICVDDFEMLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMLVRGQVEHVRAERNLLAEVGSHCIVKLYYSFQDAEYLYLIMEYLPGGDMMNLLIREDTLNEDVARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMADENLGESMDIDHSFSNTANGRRWRSPNEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRSYLKFPDNPKLSLEAKDLICRLLCDVDHRIGSAGADQIKAHPWFQGVQWDKLYEMEAAFKPQVNDELDTQNFMKFEESDPAPARPGSGASRKMMLNSKDLSFVGYTYKNFEAVKGLHQSADLQRSSSFTRHSVGFPSDTADMDSSVEPDGTDTHMRSGSSGDPMVP >PAN17587 pep chromosome:PHallii_v3.1:3:9132400:9141704:-1 gene:PAHAL_3G142300 transcript:PAN17587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEMAEEAPAAARGGAGQLAAVAEEEGEGAVAGAEEVAPAVGSSKTMERVAAAKKFIEDHYKAQMKTLQERKERRLVLEQQLASSQVPREEQINLIKDLERKETEYMRLKRHRICVDDFEMLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMLVRGQVEHVRAERNLLAEVGSHCIVKLYYSFQDAEYLYLIMEYLPGGDMMNLLIREDTLNEDVARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMADENLGESMDIDHSFSNTANGRRWRSPNEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRSYLKFPDNPKLSLEAKDLICRLLCDVDHRIGSAGADQIKAHPWFQGVQWDKLYEMEAAFKPQVNDELDTQNFMKFEESDPAPARPGSGASRKMMLNSKDLSFVGYTYKNFEAVKGLHQSADLQRSSSFTRHSVGFPSDTADMDSSVEPDGTDTHMRSGSSGDPMVP >PVH62102 pep chromosome:PHallii_v3.1:3:13383785:13384833:-1 gene:PAHAL_3G206200 transcript:PVH62102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEEKRAAAAAQELLRAEALQERRAKAAQSQKASSSASAIKTAEARKRKATGRAAAAAEQMSSGRAAHVPEQNGASAPSAATPPISFTDGRRGNLPKGN >PVH62368 pep chromosome:PHallii_v3.1:3:18393300:18398252:-1 gene:PAHAL_3G275500 transcript:PVH62368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNQNFFAWSQEETSVQDISQGTSQMFGHGSISFGRFDLESLQWEKWSVFTNDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELYLEYGGDGSNSSQTGEYEPAADHGALSESGTLVDDSTEQTTTVTTFEHEIGCNVYHENGSLVREISASSHSSQVGGLQQIGKQMRGDASKMDMLKQHANSCQNDPGMAHEIMITPKRIVEKDSRIGQASKIIPKTVKMTSSNVSDHTIVTKGPGSCKPSVINQMAKPENIQSLRRPREATSNLVGTTARSGITGLRRPSSAASQRPSTRERRPVTRDASRKPAEVTTPCRPSTSERRPATRESVLNHASNATPCRPSTTDRRPMTKESAPKQCNIATPRRPSTADRRPVTKESTPKLSNIATPHQPSTADRHPITKESAPKHFNVASPLRPSTGERRISTRDMATKHVGIATSCRPSAVKQRLVTREGEQKHADVVTLCHPSTAERRPIVRNVALKHDTAAPPCRPSTAERRPIARDVALKHGSLHRPSTAQRCPIARDVEPKHALPHRPSTAERRPTTRETAQKQTNVAISCWPLTPKRCLTKKK >PVH61315 pep chromosome:PHallii_v3.1:3:549275:550176:-1 gene:PAHAL_3G009500 transcript:PVH61315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRFSTSPLPGWRIHTFLSFLPPSLFSLASPRSRRADLKLGAMDAPGRVVRAHFADAVDATDSDSDSDDRRRRSVRVIDLLPPSARAAPQRKKLVHYPSNDRPGGERQGQGRGRRQFRGVRHRPWGKFAAEIRDPNVGKRVWLGTFDTAEEAAAVYDAAAIRLRGRRAVTNFPLPSSSATLSSCAVSPAPSPMSSTTITPTTPLVGSSEAESSSASPPSTQSSSVVDADEDVTRMRWCEDEPFESIEFYLPPVPTGGQCEFGDLGDLDDLFSPEPEPASRNFPGGNFRL >PAN18622 pep chromosome:PHallii_v3.1:3:13954552:13954803:-1 gene:PAHAL_3G214800 transcript:PAN18622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPARVAGIALLLVILVAGAELAAVTEARVMRRDGAAGSGVVTGGDGEPAGVVRRAPRWNRGRALGGEKRSVPGGPDPQHHY >PAN20793 pep chromosome:PHallii_v3.1:3:53159719:53160617:1 gene:PAHAL_3G411700 transcript:PAN20793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAALNLTLLVVAVFLVLLHPSMGRQPAPAQLCPDNTGHQPAARAHDCSDDDTEQQPALTPAPAPAVNCPLYCSLQCSPQCEANKTAGLAQCEVDYAANWSGGCRFGNCTCDNTYPISCCQACGNSLLSTYFNCRNYYDRAIEYCMINCTNDCNKNCTQG >PAN21545 pep chromosome:PHallii_v3.1:3:60763983:60769460:-1 gene:PAHAL_3G474600 transcript:PAN21545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRLSPSMRSITISTSHGLLDFMRLKAAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRLVRDLYSILDEINSEEAPVDLKVPESFDEFIWDMKNNDYDLRSFAFKLKATMESMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDEYSSNALARKQLPPPELVPRLSDNSYYHFVLASDNILAASVVVRSTVRSSLKPERIVFHVITDKKTYPAMHSWFALNSLYPAIVEVKGVHQFDWLTKENVPVLEAIETQRAVRDRYHGNHLARTSVSDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPSLNKVVFLDDDVVVQHDLSPLWDIDLAGKVNGAVETCIGGDSWVMSKRFRNYFNFSHPLIASNFDPSECAWAYGMNIFDLNAWRKTTIKDKYHHWVKENLKSNFTLWRLGTLPPGLIAFKGHVHPIDPSWHLLGLGYQEKTDISSVEQAAVIHYNGQSKPWLEIGFKHLQPFWTRHVNYSNEFIRNCHIMEPQL >PVH62241 pep chromosome:PHallii_v3.1:3:15974096:15975939:1 gene:PAHAL_3G246200 transcript:PVH62241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDCLGNSLPSLFYAARRKEKPTSLDRWRLCIPNQTPPRARQAEQPRAPRRRGRASMVRALHSYSSVRDVAYSCGYCGYALNLSSTTRNTANIGSKYGKQIRKGVVSFFAIDESRFTQTNEMRCMPYFHSTRSWGFFRNRTRLLCRKCSGYIGSAYEDEDSTSCEGSDDLDMSSKGSSTSTRKKYVIKINALQPSSDDDSAALFSP >PAN20109 pep chromosome:PHallii_v3.1:3:39158590:39159276:1 gene:PAHAL_3G369000 transcript:PAN20109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRPSMGRQKIEIRRIESDEARQVCFSKRRAGLFKKASELSILCGADVAAVVFSPAGKAFSFGHPSVESILDRFLDTSPGAGPGLSSAGDCAVSELNRQYGELRAQLDAEKVRQERADETIRKERAARSQAMAWVDADLGAMGHGDLVAFWGALIGVQAAVAASADQLLRDALLLGRRGRQPAQLAGGAAFDVAAFGVGMQPPPGLAGYDMHGFGGQATILGPPS >PAN22112 pep chromosome:PHallii_v3.1:3:64269600:64273999:1 gene:PAHAL_3G514900 transcript:PAN22112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGPAAAICALLLSLLLPASLAFPLCTDARAPVLLNTTLKFCPSSSGNRSSSCCDAAADAALSTQFNAMNVSDAACAAVLKSILCAKCSPYSADLFDAGPKIRTIPFLCNSTFSATSAQSKETTQDYCKHVWETCKDIKIMNSPFQPPLQGSAPPPTSSPSKLTDNWQSENDFCTSFGGAPNDRSVCFSGDTVSFNATQPSPSPKGICLERIGDGSYLNMAPHPDGSNRVFLGSQAGKIWLATVPEQGSGGTLQFEQASPFVDLTDQVHFDSAFGLMGMAFHPEFATNGRFFASYNCDRTKSPSCTGRCSCNSDVGCDPTKLGTDNGAQPCQYQVVISEYSAKGSLANVSEATSADPSEVRRIFTMGLPYTSQHGGQVLFGPDGYLYLMMGDGGGKGDPFNFAQNKKSLLGKIMRLDIDNTPRASEISNTSLWGNYSIPKDNPYGDDSELQPEIWALGLRNPWRCSFDSEKPSYFYCGDVGQDQYEEVDLISKGGNYGWRALEGPLVYHPPWAPGGNTSLDSINAIPPIMGYSHSDVNKNIGSASIMGGYVYRGSADPCLYGRYLYADLYASAMWTGTEAPESSGNYTSTLIPFSCSKDSPIACETAAGSSLPSLGYIYSFGEDNIKDIYVLTSKGVYRVVRPSLCSYTCPTEKPAANSGRAPPGSSSKAAATALSNQMGVLLLSVIMFWVLIR >PVH63291 pep chromosome:PHallii_v3.1:3:64270384:64273999:1 gene:PAHAL_3G514900 transcript:PVH63291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPFQPPLQGSAPPPTSSPSKLTDNWQSENDFCTSFGGAPNDRSVCFSGDTVSFNATQPSPSPKGICLERIGDGSYLNMAPHPDGSNRVFLGSQAGKIWLATVPEQGSGGTLQFEQASPFVDLTDQVHFDSAFGLMGMAFHPEFATNGRFFASYNCDRTKSPSCTGRCSCNSDVGCDPTKLGTDNGAQPCQYQVVISEYSAKGSLANVSEATSADPSEVRRIFTMGLPYTSQHGGQVLFGPDGYLYLMMGDGGGKGDPFNFAQNKKSLLGKIMRLDIDNTPRASEISNTSLWGNYSIPKDNPYGDDSELQPEIWALGLRNPWRCSFDSEKPSYFYCGDVGQDQYEEVDLISKGGNYGWRALEGPLVYHPPWAPGGNTSLDSINAIPPIMGYSHSDVNKNIGSASIMGGYVYRGSADPCLYGRYLYADLYASAMWTGTEAPESSGNYTSTLIPFSCSKDSPIACETAAGSSLPSLGYIYSFGEDNIKDIYVLTSKGVYRVVRPSLCSYTCPTEKPAANSGRAPPGSSSKAAATALSNQMGVLLLSVIMFWVLIR >PAN18073 pep chromosome:PHallii_v3.1:3:11608026:11612141:1 gene:PAHAL_3G176000 transcript:PAN18073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSSSAAACFLYPPCPLPRRPRHFPRHLACAAASKHAPAASSSRSFALPSPAPWPWPWPRRLAELIPAEAAGRLLSSAAGSIIVALASAALILGNAGGASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPKDKLRPIPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDAYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDHKEKIAVVLEPKPDES >PVH61342 pep chromosome:PHallii_v3.1:3:796478:796741:-1 gene:PAHAL_3G014400 transcript:PVH61342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPGAGASSSLATRSPARVSAMPSTAGLLTTGAMAPQTAPHAASSRKQSANSFPDSGSAHESVAPSSLSKASPGRIGYTNTMRCG >PAN20326 pep chromosome:PHallii_v3.1:3:41589527:41593112:1 gene:PAHAL_3G376000 transcript:PAN20326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFYLARSASKLVRRITSEVSVELKILSEKWRLLLAGLVFQYIHGLAARGVHYLHQPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILHTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPQNAAEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMIAWCIAIAQSLLIISSRKHYSVDVVVAWYTVNMVVFFVDKKLTELPDRSAGSASILPVSVKDKDTKLKEENTRLLNGNSADSADRRPRTQMNGKHIENESHVDNESVKT >PAN21540 pep chromosome:PHallii_v3.1:3:60719789:60723093:-1 gene:PAHAL_3G474000 transcript:PAN21540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRPGAVCSPAYDVSSASYNVSRSASASAELGSASAVSLWSRPVRLEAFDDADEDDERRRRSGREAANAAAVATTRLGNIRRCVEGEQAAAGWPSWLSAVAAEAVQGWVPLRAESFEKLEKVGQGTYSSVFRARELATGRLVALKKVRFDSVEPESVRFMAREILILRRLRGHPNVVGLEGIITSRTSSAIYLVFEYLEHDLAGLTSSPDVSFSEPQIKCYMRQLLEGLAHCHARGVMHRDIKCANLLVSNGGELKVADFGLANLFAPSAAAPLTTRVVTLWYRPPELLLGATAYEPSVDLWSAGCVFAEMHARRPVLQGRTEVEQIHKIFKLCGSPPDDFWRRSGLAHAAVFRPQHPYPSRLREAFADCMPDHAFRLLATLLSLDPADRGTAAAALDAKYFTTAPYACEPASLPKYAPNKEMDAKFREDSRRRSNARSHGGEAAKRPSRGHKSMQLQDTNQSHVHAEESLPVVAGDGEATARNDGESRLFVDLEPVPAISKRHGDADGGGDAAPCAGTVSTSFKEAPRVADRLPLSGPVQLAASTGFAWAKKPRPDATAAAAVTKRSGSKGPGANNNVGGDAARTTAAATATAAPYDAEKQEIIKQWAQVADAFSTSEAYNSRFRQTLDAKQLKNGKKYKGKVDRVDFSGPLLSQPRRIDELLQNHEQHIRRAGRRSWFKRGSKKEHH >PAN17100 pep chromosome:PHallii_v3.1:3:6855252:6857096:-1 gene:PAHAL_3G107400 transcript:PAN17100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGMGGGLDELEVLAMSPGGSAASAGAAGSEDEADLRRGPWTVEEDLLLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITAEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSRQFRDVVRHVWMPRLVERIQSESAGAGAGAGAAGGGQAAAAAAPATTTASMPAAYHLGPYGGHRQSADVVVPPNKSHGGYAHADYYSYSEPGQAAAPAAMSPDDTSSALRSTLTDASHGAQHHYATSAATPTNEGCGAAGGAAAGPMTDGDDVVQEEEDVFAGTWSELLATAGRDDDSNSKIGLPDFELGDFEMWSLEDLCLQQLC >PVH63223 pep chromosome:PHallii_v3.1:3:62710584:62714926:-1 gene:PAHAL_3G496400 transcript:PVH63223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFSADRMWLNGKEISLSGGRFQSCLREIRKRARDFEDEKKGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKKDDGSDSIAVQLADEAHWKDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPSRVLKMEEAIKNHDFELFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIIGLVEKWNHSEGCPQVAYTFDAGPNAVLIAWDRKTAVLLLQKLLYYFPPQDKDLSSYLVGDKSILSDAGLHSIEDVEALPAPPEMKMHDQKFKGDVSYFICSRLGAGPKAVADESQALLDSVTGIPKGV >PAN19055 pep chromosome:PHallii_v3.1:3:15979382:15982497:1 gene:PAHAL_3G246400 transcript:PAN19055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAANNAYTWWWASHIRTTQSKWLETTVGEMEDRVQAMLKLIGADGDSFGKKAELYFRSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATVFPDQVQFSMQEGDVEGFPKAINGIDLSNFKFPALECLSVGSQSMSRGTSPVPKRGTQAHRRVTSNMTKEKAQEEIDKLQKQILALQTEKEFLKTSYDSALGKYLDIEKRVSELQDEVCSLQNAFSTGAAIEDNEARALMAAQAIVSCEDTLVNLQDQQKRSIEEAKVEFQRANEAIEKLKTFKNECGLPHAQMDGHDHDDKELSHALPSEDADDPALDESRLDLQEICQNVKEIFERYPELSVAELADKVDRLVEKVVNLELASTSQNAQINRMRTEIDGLHEHLHALEQDKAALVVDSSNLADRLKKVEEMLQEVHQIWKSVQNGTGNICKQMTEASHELTEFVETLHAPEPEIRDFMDSLRDSKAIASLEDDSGLASPFIKKGQSSALHGTSEIEKHDEISEGTVAQKQLVPKDPEGEEMVLLEDYASALQRYKDTEQKLSEIEKRNQEYHLEAMSELKELKSANVTKDEEIHSLRRMLSSLQKKMTVSITESIEKSEETSKISTSPATEDKEIAEIEEYIKQCQADDSLTCSVAEEKFRTEIDKVLGENLDFWLRFSTSYHQIQNFQTSFDKLKTEMHKLADEQEQGGTYGFAASYQVAKLESAVLEKKFRDLNTELQVWMEKNVLLKGELENRFSSLCSIQEDISKITTLDKSDEVHFNAFQAAKFQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLEVSIARSHRAQQNFRNLSTKAGVPLRTFLFGSKPKRPSLFSCMGPGVHKHHGGSKAGRR >PVH62773 pep chromosome:PHallii_v3.1:3:48380526:48382781:1 gene:PAHAL_3G394300 transcript:PVH62773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARRLVRPSSSVSVSNTSRLLSSTASPPPHRSPNTNSPVAFDWSDDDANNPTTPPPLSPAKNPELPPPYDPFSKKPALSEPSDPTNLQEIFHRMRTEGLTDYAIKMFDGLSKDGLTHEALALFAVIKDKGTMPDVVAHTAVLEAYVNAGPAHWRDAVRTYDRMLASGVKPNAYTLAVLVRGLAASDLCSEAGRYLVDMLDRGMQPNVATYLAAFEAYVRMEKVEEGQLLLETMKGKGFVPDEEAVRRGTVKRGHVFKGVMNLLFGK >PVH62772 pep chromosome:PHallii_v3.1:3:48380500:48385285:1 gene:PAHAL_3G394300 transcript:PVH62772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARRLVRPSSSVSVSNTSRLLSSTASPPPHRSPNTNSPVAFDWSDDDANNPTTPPPLSPAKNPELPPPYDPFSKKPALSEPSDPTNLQEIFHRMRTEGLTDYAIKMFDGLSKDGLTHEALALFAVIKDKGTMPDVVAHTAVLEAYVNAGPAHWRDAVRTYDRMLASGVKPNAYTLAVLVRGLAASDLCSEAGRYLVDMLDRGMQPNVATYLAAFEAYVRMEKVEEGQLLLETMKGKGFVPDEEAVRRGTVKRGHVFKGVMNLLFGK >PAN20225 pep chromosome:PHallii_v3.1:3:48380526:48385286:1 gene:PAHAL_3G394300 transcript:PAN20225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARRLVRPSSSVSVSNTSRLLSSTASPPPHRSPNTNSPVAFDWSDDDANNPTTPPPLSPAKNPELPPPYDPFSKKPALSEPSDPTNLQEIFHRMRTEGLTDYAIKMFDGLSKDGLTHEALALFAVIKDKGTMPDVVAHTAVLEAYVNAGPAHWRDAVRTYDRMLASGVKPNAYTLAVLVRGLAASDLCSEAGRYLVDMLDRGMQPNVATYLAAFEAYVRMEKVEEGQLLLETMKGKGFVPDEEAVRRGTVKRGHVFKGVMNLLFGK >PAN19955 pep chromosome:PHallii_v3.1:3:21986166:21991483:-1 gene:PAHAL_3G310400 transcript:PAN19955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPPVFVLLCLQLILVAPWQGETSARALNFTRQDFPPDFIFGAGTSAYQYEGATDEDGRSPSIWDTFTHEGRMPDTSTGDLGSDGYHKYKEDVKLMSDTGLEAYRFSISWSMLIPRGRGPINPKGLEYYNNLINELVKLGIEIHVILYQLDFPQILEDEYQGWLSPRVVEDFTAYADACFREFGDRVRHWTTMDEPAIAAVGGYDSGTLAPGRCSKPFGRDDDCPAGNSTVEPYVAAHNSILAHASAVKLYRDKYQATQQGVVGMNVYTHWCYRFSPSPADTAAVQRTLDFVIGWTLDPLVYGDYPKTMKEKVGSRLPLFTEEQSAMIRGATDFITVNHYTSVYISDRSDSAETGRPLDVYGDMSVAFRFCSNQHGCRSTGAAMFARVPQRHL >PAN19956 pep chromosome:PHallii_v3.1:3:21986166:21991007:-1 gene:PAHAL_3G310400 transcript:PAN19956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTSTGDLGSDGYHKYKEDVKLMSDTGLEAYRFSISWSMLIPRGRGPINPKGLEYYNNLINELVKLGIEIHVILYQLDFPQILEDEYQGWLSPRVVEDFTAYADACFREFGDRVRHWTTMDEPAIAAVGGYDSGTLAPGRCSKPFGRDDDCPAGNSTVEPYVAAHNSILAHASAVKLYRDKYQATQQGVVGMNVYTHWCYRFSPSPADTAAVQRTLDFVIGWTLDPLVYGDYPKTMKEKVGSRLPLFTEEQSAMIRGATDFITVNHYTSVYISDRSDSAETGRPLDVYGDMSVAFRFCSNQHGCRSTGAAMFARVPQRHL >PVH62504 pep chromosome:PHallii_v3.1:3:21986166:21990302:-1 gene:PAHAL_3G310400 transcript:PVH62504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTGLEAYRFSISWSMLIPRGRGPINPKGLEYYNNLINELVKLGIEIHVILYQLDFPQILEDEYQGWLSPRVVEDFTAYADACFREFGDRVRHWTTMDEPAIAAVGGYDSGTLAPGRCSKPFGRDDDCPAGNSTVEPYVAAHNSILAHASAVKLYRDKYQATQQGVVGMNVYTHWCYRFSPSPADTAAVQRTLDFVIGWTLDPLVYGDYPKTMKEKVGSRLPLFTEEQSAMIRGATDFITVNHYTSVYISDRSDSAETGRPLDVYGDMSVAFRFCSNQHGCRSTGAAMFARVPQRHL >PAN21250 pep chromosome:PHallii_v3.1:3:58849146:58850021:1 gene:PAHAL_3G452700 transcript:PAN21250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVSRLSTEKAVVIFTRSQCPMCHTVSSLFSELGVCAAVHELDKDPRGREMERELARRLGRAPPVPAVFVGGNLVGSTDKVMSLHLAGKLVPMLKGAGAIWL >PAN17048 pep chromosome:PHallii_v3.1:3:6623341:6626156:1 gene:PAHAL_3G103900 transcript:PAN17048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKVLGVGRGATDDELKKAYRRLAMKYHPDKNPSPQADSLFKQVSEAYDVLSDPQKRAIYDQYGEDGLKAGAPPPSASTHGAGAHGFRFNPRSAEEIFSEIFGGAFPGAGPRTPGGGVPPGFPGFGGAAGPGEASSAGLQRKAPPIERQLACSLEDLYKGATKKMKISRDVLDAAGKPTTVEEILTIDIKPGWKKGTKITFPEKGNEMRNVVPSDLVFIIEERAHPKFKRDGNDLIYTHKISLVEALTGCTVQVTTLDGRTLTIPVKSVVSPAYEEVVQGEGMPITREPSKKGNLRIKFQIKFPTNLTADQKAGIQQLLS >PVH62040 pep chromosome:PHallii_v3.1:3:12474849:12475878:1 gene:PAHAL_3G190500 transcript:PVH62040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFQYLFLPLSFSARSICSAACLVAAATSSGTGRAVCRPGSCLLRALLCAAPAPWMFDPVVVVLSRGLPNACRGGADAAAYAGEGGEPVGWLFGPAAGRVWILRTPSSAAGVDTPRHGPPPRGASAAPSIIAESLGGDSSPRREPATFRDLVLTQSSPR >PVH61959 pep chromosome:PHallii_v3.1:3:10873264:10874982:-1 gene:PAHAL_3G164100 transcript:PVH61959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSRHGVGVGGRLRQRLAQILLHSSCATTSATAFVGVASSTNAAAATVTSNAAASRQEPLPPPRCAHEQRPKPMDGRSQRRHRRRSSRALVHISIDCSARSVGAAVLPSPMPAARDVGAVKSGARKKRDGDKARSPLYSWSSSTDTDAELAPFSSDGERGQATDTRSTLFSSHSFSSDSTADFYTATGPCARPRRHKNPPRRAPPRRALRPADAFTPDKEKKMLVDRKDGAVAAAGSTAVVKRSHNPYADFRSSMVEMVAGRRLRGADALSELLVWYLSLNSPRHHPAILAAFEDVWEAVLGNDP >PAN19704 pep chromosome:PHallii_v3.1:3:19901321:19906264:-1 gene:PAHAL_3G291900 transcript:PAN19704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPFLSPHRPAPTAAAAAAAAMRPASSSRSSAGGGGGHHGHHGGHSVSVSGSAWATCRHTPSSATLDLLIMLLVLFSLSFLLASSAAHVARSLSPLLATPPVATALAHAAAALPYLAAAAVLAAAAFLSCRRLPLRRCRNPRCRGLRKALEFDVQLQTEEAVRAGAGSTVGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVAKLEGWGAPKSKRRNKKGTQGSLHDRGVR >PAN20662 pep chromosome:PHallii_v3.1:3:33791552:33793843:-1 gene:PAHAL_3G351600 transcript:PAN20662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVCANLDREDGLDTVLEVPVPESHHEPSSGRGGRRRRRTVKAWVRSHMNQRHGRDGAPPPSRADVQLMLGVIGAPLVPLPVEARKAMAGQDIKEEPIEVSKAKYIVEQYVAAAGGEPALSAATSMYAMGKVRMRTAKGQKAKTGMGVVNGGEVAGGFVVWQKMPEMWCLEMVVAGGTKMSAGSDGKVAWRQTPWQQAHASRGPPRPLRRCVQGLDPKSTANLFSTATWVGEKCVDGDDCFVLRVDADPSVLRSRSSADVEVVRHAVWGYFSQRTGLLVRLEDSHLLRIRMQGEAAETAYWETSMESSIGDYRPVDGINVAHAGRTVVSLSRFGSGGADDDGSDADLRGKRTCTCMEETWSIEEVDFNIMGLSTECFLPPRDMIPACSSKPVEKDHAAVDLSSKKEAAAVPAKCPVGSCKNSDGRSGRPAAARKALVPAATGLGWFGPAKVVAVETVEAAE >PAN21182 pep chromosome:PHallii_v3.1:3:58522979:58527934:1 gene:PAHAL_3G448100 transcript:PAN21182 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRASWEAEGGGCGEGDGRGAGAPTPPQLPADHEVAAAFADRRRREGTARGSGIRTSLSSVGSDSWVDDPITRQVISLHIKEESDDFMIWPVVLQKRGGYYVLVLPLVDPQSFRAYENLLKRSDCGSSAKENGNLSSILLNLPCITGAFMVAHVIGDIITGDIAEPEVIVSSGPSVGGLLDSLTGSIGISARSKPIAAPVAAPTASVSSPVGSAQSESLKGGVRPFDKDLLRNFIVGAMPFGTPQDLNYTNVTSIRTTGFSGDPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLAGLKTAHVEVSSFHHCVQASDPTDNKQTLIFQPPLGNFVLMHYQAPCNIAPPVKGFYQLSMVSENEGAFLFKLTLMEGYKSPFIMDFCMITMPFPRRRVASYEGNPSLGTVSMTEHSIEWRIVSSGRGLSGRSIEATFPGTVKFLPRTTQRTNSSFRSVSSTAYTEDSDSEQDNVKNGASLDDYIMEKINKDLQAVDLEEPLSWQAYNYAKVSFKITGGTLSGLTIDPKSVNIYPSVKAPAEYTMQASSGDYILWNTLGKCPTAALPRDL >PAN21183 pep chromosome:PHallii_v3.1:3:58524199:58527274:1 gene:PAHAL_3G448100 transcript:PAN21183 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MHNRVMAFMVAHVIGDIITGDIAEPEVIVSSGPSVGGLLDSLTGSIGISARSKPIAAPVAAPTASVSSPVGSAQSESLKGGVRPFDKDLLRNFIVGAMPFGTPQDLNYTNVTSIRTTGFSGDPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLAGLKTAHVEVSSFHHCVQASDPTDNKQTLIFQPPLGNFVLMHYQAPCNIAPPVKGFYQLSMVSENEGAFLFKLTLMEGYKSPFIMDFCMITMPFPRRRVASYEGNPSLGTVSMTEHSIEWRIVSSGRGLSGRSIEATFPGTVKFLPRTTQRTNSSFRSVSSTAYTEDSDSEQDNVKNGASLDDYIMEKINKDLQAVDLEEPLSWQAYNYAKVSFKITGGTLSGLTIDPKSVNIYPSVKAPAEYTMQASSGDYILWNTLGKCPTAALPRDL >PAN21392 pep chromosome:PHallii_v3.1:3:59944315:59946399:-1 gene:PAHAL_3G462100 transcript:PAN21392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMLPAAANELLSALLHTGTTSPGRPPRAARSSPSVVHRCAPPAARLAGAGTTLVVDVDGALLLPRRSLFFAYFMLVALEAGSFLRGLALLLLYPAIALLGALGGRDLAVRAMAAVAFCGLRVGTFRAGRAVLPRWLLEDVAAEALEAARRAGDPARVVWASAMPRVMVEPFLREYLQVPAVAAVAAREMKMVWGFYTGLMEYREATSSSVLRKNAAAGGGDDDVVGFSAGGSMAEFLRSPLASICKELYVASPEEHSKWRRLPRRDYPNPLVFHDGRLAFLPTPLGAVAMFMWLPLGAVLSVARLAVAMALPYRYATALLAATGQSWRLRGALPPDSRGASGQLYACNHRTLIDPVYVSIALDRQVRAVSYSLSRVSDMLSPIGRTVHLARDRARDGAAMARLLGRGDSVVVCPEGTTCREPYLLRFSPLFAELGGERGVVPVALAVENSMFYGTTASGWKGVDPFYYLSNPRMCYTVEFLGRVDTAAVGEGKAASTDVANRVQRLIAAVLGYECTMLTRKDKYLMLVGNDGAVAALPPRRQVR >PAN19533 pep chromosome:PHallii_v3.1:3:18808133:18811656:1 gene:PAHAL_3G280400 transcript:PAN19533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDGGGEKQGAGAAAARKAKRQGGFRTMPFILANDFCDRLASVGFTSNLITYLTLQMHLPLVQASNIITNYNGTANLTPLVGGLVADSFAGRFWTITFGSVVYQIGMVCLTLSAALPSLHPPPCAKHAADCQRASSYQIAVLYMSLLCTSIGTGGTRPCTMAFGADQLELNAHGRRGARPKWSFFNLYFFAVELAKLTAVTAVVYIQENVGWGWGLGVPTIAMLAAVIAFVSGYSLYVRMPPGGSPMVRLAQVAAAAFKKRKAAVPDASLLYQDKELDAGISTTGRLLHTDQLKFFDKAAIVTDGDVLPSGQPNPWRLSTVHRVEELKSIIRILPICAAGIILVTSSSHNHSFAIQQARTLNRDLTPHFRIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRLTGRPNGITHLQRTGVGLTIAMLSNAVAAVVERRRRSVAAASGLLDAPKATLPMSVFWLVPQFTIHGIANAFMDVGRMEFLYDQAPESMRSTAAALYWLTFSIGSYLGTLLVTIVHAKTQRSGQWLPDNLNRGKLDNYYWLVVALEVVNLVYFFVCVKYYTFKPLETVGGEEEVELYHGNGNGANGAKKHGGSFK >PAN16245 pep chromosome:PHallii_v3.1:3:3063126:3067936:-1 gene:PAHAL_3G048000 transcript:PAN16245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFERDRYQRLDGGGGGAGAAAGRRPPSSFCSSATIVFFVALCLVAAWMMASSNNIAVTVSPENKSGAKDQDRSVDLAQSDRGGDAVSDTPQTGTQAGDASRKNDVDSGDGGGTTQTRDEAGNGDASEDGAGDSGKKHDGAGAAQTTDPASNDVNRSDVAVTTDATGNTTAASATVAEGETPSKNQTFSDENGKTEGGEVAKPEDPDKKVEQSAEQETIDAKNTTSRQAEKTIDQSTDDTGGQADKNNAEETSTDAKDTRSQADKNAEEASTDSKDTSGQADKNADEASTDDKNTRGQQADNSFQETSTTDADNVGGQSNNSTKETPTESEETSGGDGGTAKNQTTFEDANGKMDGVQPVKEDGKDTEKNSDEAASSDKVESTDDNTSTDAASKNATSGDQNVAAETMAAFAGADGTNGTITPHTENFAMNSSATSEDKKPAAGDGAAEEKAELLPSGQAELLNETASAVAENGTFPTQAAESSEEKAERASKNKKKKKKKGKDKGASGETTVAYTWKLCNVTTGADYIPCLDNEAAIKKLKSNKHYEHRERHCPDDAPTCLVPLPEGYRQSIPWPYSRDKILYHNVPHTGLASYKGHQNWVKVSGEHLTFPGGGTQFKHGALHYIEVIEEALPEVAWGRRSRVVLDIGCGVASFGGFLFEKDALTMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPFPGNVFDVIHCARCRVPWHIEGGKLLLEVNRLLRPGGLFVWSATPVYRKVPEDVEIWHAMAALTQSMCWEMIKRTSDTVDQTAMVVFKKPTSNDCYDARSRAEPPLCDASDDQNAAWNITLQACLHRVSTDPSARGSQWPAQWPDRLATTPYWLSADQVGVYGKPAPADFAADQEHWRKVVENSYLDGMGIDWKNVRNVMDMRAVYGGFAAALRDMKVWVMNVVTIDSPDTLPVIYERGLFGMYHDWCESFSTYPRSYDLVHADHLFSRLKSRCKLLPVMVEVDRILRPEGKLIVRDDMATVQEVQSIARSLHWEVRMTVSKQGEGLLCVGKTMWRPKEVETRS >PAN16573 pep chromosome:PHallii_v3.1:3:4433414:4434152:1 gene:PAHAL_3G069600 transcript:PAN16573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSSFSYPHQQQPGSSPGHAAAPYDGDDHNLTVLLTFGIFFSFILLYLVAGVVWASGVTACAVALAFCYLKVKARRRAALRRAAAARSGGGPGAVAVSAIPAFAYKREGGGGGDATGWAQCVICLGLVQVSEVVRRLPACKHLFHVECIDMWLHSHSTCPICRAAVVPTDGQPEPEPEPEPPV >PAN16717 pep chromosome:PHallii_v3.1:3:5264077:5266477:-1 gene:PAHAL_3G079900 transcript:PAN16717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPMVLPLAVIALSSLLHCTAVAAAAGTPPRFNSIFSFGNSYADTGNFVRQCAGLPAVAFNHSPYGETFFRRPTGRPSDGRLIIDFIAEALELPLVAPFLSRQPQDLSHGANFAIVGGTALDVGFFLRHNAASVPPFRSSLRVQIGWFRRLRRSLCNSTAAAAGCGERLVARSLFVVGELGSNDYGYFLAGGKSLQEAKSLVPEVVKAICRGVERLVEEGARYVVVSGTLPAGCLPMALAKYGAAGNATAAEHEYDHRTGCLRRLNGLAQYHNWMLREAVAHMRAKYPAAKLVYADFYRPVARLVRRPTKFGFSEGPLRACCGGGGPYNYSPEAACGSPGATVCGDPSAYVHWDGIHLTEAAYKYIANGWLNGLYAYPSILDLAQ >PAN16716 pep chromosome:PHallii_v3.1:3:5265196:5266443:-1 gene:PAHAL_3G079900 transcript:PAN16716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPMVLPLAVIALSSLLHCTAVAAAAGTPPRFNSIFSFGNSYADTGNFVRQCAGLPAVAFNHSPYGETFFRRPTGRPSDGRLIIDFIAEALELPLVAPFLSRQPQDLSHGANFAIVGGTALDVGFFLRHNAASVPPFRSSLRVQIGWFRRLRRSLCNSTAAAAGCGERLVARSLFVVGELGSNDYGYFLAGGKSLQEAKSLVPEVVKAICRGVERLVEEGARYVVVSGTLPAGCLPMALAKYGAAGNATAAEHEYDHRTGCLRRLNGLAQYHNWMLREAVAHMRAKYPAAKLVYADFYRPVARLVRRPTKFGEWSETPAAIAFLAPF >PAN19113 pep chromosome:PHallii_v3.1:3:16256560:16259067:1 gene:PAHAL_3G250600 transcript:PAN19113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSKLYLVCYNSLQALGWFVALLRLLPCLAPPVSVHSAYAVAGDLICVLQTCAILETVHAAIGLVPTAPLLAFLQWGGRTHFVLAVVRQIPEVHSSPSVLITFMAWSISEVIRYSHYALTTLKVCPSWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKKRNLYSGFFKKFSISYHSFLVGVLVCYPFLWLKLYMHVFKQRKSKLGKGSRKKRA >PVH62258 pep chromosome:PHallii_v3.1:3:16256560:16259067:1 gene:PAHAL_3G250600 transcript:PVH62258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSKLYLVCYNSLQALGWFVALLRLLPCLAPPVSVHSAYAVAGDLICVLQTCAILETVHAAIGLVPTAPLLAFLQWGGRTHFVLAVVRQIPEVHSSPSVLITFMAWSISEVIRYSHYALTTLKVCPSWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKKRNLYSGRAGVLSVPVAEAVHACVQATQVEAGKGEQEETSLRKF >PVH63169 pep chromosome:PHallii_v3.1:3:61739031:61739687:-1 gene:PAHAL_3G485400 transcript:PVH63169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLFRRPPQESTGDCGRPDQPPPTEEDHEMKPCPLPAPTMTFYPPRRLYYDGEMAFMLLGGRHNKVVAADQTGRAVLYNPDQHAVRTLRAFAALKSMPASLTVDEDHLPCGDGRYFECHEFDHDGDEDWHPRVLPPPPYMYG >PAN19586 pep chromosome:PHallii_v3.1:3:19200868:19204564:1 gene:PAHAL_3G284500 transcript:PAN19586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGEEAVPPAAVVGEDAGEAEAEAGEQQDFGLPAELVAVLPSDPFAQLDVARKITSIALSSRLGRLEAEAARLRAQLAERDAEAEDLRERVEQLDAALAVATGRLRRVEEEKETLVRENSSLSNTVRKLNRDVAKLEVFKKTLVQSLQEDDGIDTTAPMARVAASPNFSSAPSDEDSALQTSKSPQFSETASSVSEGSSQVDPDAPRLPRPHVFLPSYNNTPKLTPPGSPPRRHSISITSMNMFNDRSSGYSGNYSSPFDAASQTGRTRVDGKEFFRQVRNRLSYEQFGAFLANVKELNAYRQTREDTLRRADEIFGPENKDLYTIFESLITRNVQ >PVH61444 pep chromosome:PHallii_v3.1:3:2151100:2151492:-1 gene:PAHAL_3G035300 transcript:PVH61444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGYRGAAKAKHLRVLLPFTCDALRIPDEVAVEIGAEEALIVGPASGKVIWPVEVGQDGDGAFLGRGWPEFAGSSSSATAAAASSPPRRSTPPSA >PVH61434 pep chromosome:PHallii_v3.1:3:2099665:2100469:1 gene:PAHAL_3G034700 transcript:PVH61434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIALGILVADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMTDANILINTARLHAQRYALAYQEPIPVEQLVQSLCDTKQGYTQFGGRRPFGVSFLFAGWDKHYGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVGLALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPDALGKLLAKSGLTQPAPEA >PAN21730 pep chromosome:PHallii_v3.1:3:61730169:61733109:-1 gene:PAHAL_3G485200 transcript:PAN21730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPLVVPATALPAARLARVMAASSGSGGAPPRRVRRGKPGFSRRSAIKKSFHQEQVVFSTPVPADPTVAVIGGGASGLACASALAARGVRSVVFDTGMHGLGGRMATRFVDGGEQLVFDHAAQFFTASDERFQRLVDEWLDRGLVREWSGLIGELDAGGRFRPIPSSTPRYIGVNGMRSLADAMLPETDMIKVVRPCWISKLEPFNGLWRLFENEKPRGEYDAIVIAHNGKCANRLLSTSGLPLLTKQMKRLELSSVWALLAAFEDPLPIPHNDSHGVFEGAFVRDVDSLSWMGNNTRKLFPMQTGTPECWTFFSTAAYGKRNKVPQENIPKITAEKVKEDMLGGVEHALGLSKGSLHQPIYTRVQLWGAALPMNTPGVPCIFDPLGRAGICGDWLTGSSIEAAILSGTSLANHIANYFVSHGERPEEFGIGLHENLNKVEGHDIGQFPGIDSQKPQVAQAQKPLVAQAQLTPSI >PVH61610 pep chromosome:PHallii_v3.1:3:5005284:5006571:-1 gene:PAHAL_3G076100 transcript:PVH61610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMDCGSRSRGRRLKDRLAQLLRPANCNSLLRSPCSSSSSTSTAFTATAETATISTSSTSTTAANYTIAGALLPRAEPFSAALDRLRHPPPDDERARGDKERRKEGSSSSRHGSRRRSNNAVVGMAGVRTLSSNPYGFTSSGEDDDGDTEDCYVDDDTEAFLSSRSLVSSDSSAFYTSRRKLPPPPTNKSHRHRHRRRQRRRPRCRRPAASCMEATCGDGAVREPGFRPLVTTTATSAAAAEQAVRRGLAVVKRSRDPYSDFRESMVEMIVGRQVFGAAELERLLRSYLSLNAPRFHPVILQAFSDIWVVLHGG >PAN16844 pep chromosome:PHallii_v3.1:3:5829781:5833170:-1 gene:PAHAL_3G090900 transcript:PAN16844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWGAKISSDSPSRSAFSPSGATSKFASRNGAALSSSSSHASSASMLPTPRSEDEILESANVKAFTFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGYQGHKEWLTEVNYLGTLSHPYLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYFQPLPWNLRMKIALGAAKGLAFLHSDNAKVIYRDFKTSNILLDANFNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAATLALQCLSVESRHRPSMDEVVTALEQLQDAKEGGHHYLQKRPSSRSLDSNGVKVSMKGKPASSPKPV >PAN20123 pep chromosome:PHallii_v3.1:3:23214226:23216433:-1 gene:PAHAL_3G318100 transcript:PAN20123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPIPTIAAAAALHGDQQVAAALLSAAGALSGDGDAVPVPGVLPPRPHHRLHRLHCPLPPLLPHTSRPPHLPPPVPPPHLTSGSSGGGRQRGDGVVPLAGCAIPQLSARSRSLPGSPLLAPPASWATDALLGFPAARCLSTSEQDAVAASCHQEHVSRVCAAIADVVAAGADANLEAALSALSPPLSEALVLAVLDRFKHAHRPSHRFFRWAAASGGFVHTTITYCKMVHILGKARQFESMVALVQEMGKAGALSMDAFKIAIKSFAAAGEIKNAVGVFELMRKNGFDDGVESFNCLLVALAQEGLGREARQVFDKMHDRYTPDLRSYTALMLAWCNARNLVEAGRVWNEMLEKGMKPDVVVHNTMIEGLLRGQRRHEAVKMFELMKAKGPPPNAWTYTMLIRDHCKRGKMEMAMQCFDEMQEARCQPDVATYTCLLVGYGNAKRMDRVTAVLEEMTEKGCPPDAQTYNALIKLLTNRNMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYGMGCAVWEEMHRKGICPDVNSYTVFINGHIRHGRPEEACKFIEEMINKGMKAPQIDYNKFAADFSKSGNPDILYELAQKVKFAGKFDASNVFHEWAERMKSRVKRTIPNQTGNRMF >PVH62596 pep chromosome:PHallii_v3.1:3:27223603:27224601:1 gene:PAHAL_3G332900 transcript:PVH62596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTGRGGVLPFKIRSLDAFGPTVLAEGTDATGALYWVHAWTVGPGGRVTEVREYCNTALVVTRLGGGGGGAGTDADGAAAAAEKAKAPCSQSQSKQVWQSRLPDQARRNLPGLVLAI >PAN16317 pep chromosome:PHallii_v3.1:3:3339388:3340557:-1 gene:PAHAL_3G053400 transcript:PAN16317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRARGRGQQWWGGGVHWLLLLLSVELQCLGLQAMAWRPRRGCWQGGSPACQNLMNMTGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEVPVSIADLGGTEIDLRFANPKEGRLFVIVAPVRRFADDLDDATIEKIGSPEKVIGAFGPEVIGENVEGKVLSSATEEHSGRTYYQFELEPPHVFITATAAGNRLYLFSVTANGLQWKRHYKDLKQIAESFRVV >PAN16316 pep chromosome:PHallii_v3.1:3:3338804:3340808:-1 gene:PAHAL_3G053400 transcript:PAN16316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVLFSPSSALFLTNPSPLAKGRAAVAVRCSTGPAMSVSQHEEEGEREGSAMVGRRRALASTAAVCGASVLGFAGHGLAATQGLLAGRIPGLSEPDEYGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEVPVSIADLGGTEIDLRFANPKEGRLFVIVAPVRRFADDLDDATIEKIGSPEKVIGAFGPEVIGENVEGKVLSSATEEHSGRTYYQFELEPPHVFITATAAGNRLYLFSVTANGLQWKRHYKDLKQIAESFRVV >PVH62093 pep chromosome:PHallii_v3.1:3:13299992:13306064:-1 gene:PAHAL_3G204300 transcript:PVH62093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAPPDRTTAPVAAGSGGGGGDHLRGHAHLTSCIHLRHHHAHGASGRRRSPTGSSASASAALMRDLLALQRSRSLRDPCTRRSVDSASNNNNSRVAADPDPDDHSRRGALKTLLDQLAENPHPKPARRPRRRFRRGAGRRAAPAAAAAGALDRPAAAPRVSANSSSQEAVCGNRYLFGAGGADGDGGGNELMQQQVSQESRNVCGIPWNWSRIHHRGKSILDMAGRSLSCGLSDPKSASAARRSEAATSAASCGNMNGSRSHPHFPVTARLTSSTSSDSDSLPLLVDGVRNGVGGISSSFSGELGIFSKSSELDSDIASEARSGQRSRGSHRGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILKKKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAEHPRPCDSCASCIAHNLGKSRSLLEIGPVGNIDLDSIVDILDNAMLSPMPSQHRVFIIDDCNTLPPDTWSVISKVVERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIINTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDEKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYTFTRERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYILPSSSPSTSLNRGLLTRPEGDMARNSAMDHSEIYAGTHGLPRGSDLGNQQYRDVNLVAGSSNNMVSNYHAGRRPGEHTPDSHVLSTGANRVNGGSRYSKTDSEMIWQVVLDNVQSDSLRKLLAREGRLISVSLGTGHAPVNFPYPEDGSNMVLRRSFTKHSSVSSGGENLITRLQKDNVVQGGSSNQTRWMQSDPHILTEGEIIEVGSHMDWRAEPDNGIVMSIERQKGAWGECLSSQNQEVPRRGKNVNNEHGRQKNIVRGKVSLAHVINQAEACSQQSGWSRHKAISIAEKLEQDNLKLEPRSNLLCWKASSTSRRKLSALKIRTRRSRALSRIALCGRCIPVRSPR >PVH62095 pep chromosome:PHallii_v3.1:3:13300676:13305461:-1 gene:PAHAL_3G204300 transcript:PVH62095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAPPDRTTAPVAAGSGGGGGDHLRGHAHLTSCIHLRHHHAHGASGRRRSPTGSSASASAALMRDLLALQRSRSLRDPCTRRSVDSASNNNNSRVAADPDPDDHSRRGALKTLLDQLAENPHPKPARRPRRRFRRGAGRRAAPAAAAAGALDRPAAAPRVSANSSSQEAVCGNRYLFGAGGADGDGGGNELMQQQVSQESRNVCGIPWNWSRIHHRGKSILDMAGRSLSCGLSDPKSASAARRSEAATSAASCGNMNGSRSHPHFPVTARLTSSTSSDSDSLPLLVDGVRNGVGGISSSFSGELGIFSKSSELDSDIASEARSGQRSRGSHRGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILKKKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAEHPRPCDSCASCIAHNLGKSRSLLEIGPVGNIDLDSIVDILDNAMLSPMPSQHRVFIIDDCNTLPPDTWSVISKVVERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIINTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDEKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYTFTRERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYILPSSSPSTSLNRGLLTRPEGDMARNSAMDHSEIYAGTHGLPRGSDLGNQQYRDVNLVAGSSNNMVSNYHAGRRPGEHTPDSHVLSTGANRVNGGSRYSKTDSEMIWQVVLDNVQSDSLRKLLAREGRLISVSLGTAPTVQLIFSSRVNKSKAEKYRGQILQAFESVLSSAIILEIRYESKDDLIAGHAPVNFPYPEDGSNMVLRRSFTKHSSVSSGGENLITRLQKDNVVQGGSSNQTRWMQSDPHILTEGEIIEVGSHMDWRAEPDNGIVMSIERQKGAWGECLSSQNQEVPRRGKNVNNEHGRQKNIVRGKVSLAHVINQAEACSQQSGWSRHKAISIAEKLEQDNLKLEPRSNLLCWKASSTSRRKLSALKIRTRRSRALSRIALCGRCIPVRSPR >PVH62094 pep chromosome:PHallii_v3.1:3:13302358:13305461:-1 gene:PAHAL_3G204300 transcript:PVH62094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAPPDRTTAPVAAGSGGGGGDHLRGHAHLTSCIHLRHHHAHGASGRRRSPTGSSASASAALMRDLLALQRSRSLRDPCTRRSVDSASNNNNSRVAADPDPDDHSRRGALKTLLDQLAENPHPKPARRPRRRFRRGAGRRAAPAAAAAGALDRPAAAPRVSANSSSQEAVCGNRYLFGAGGADGDGGGNELMQQQVSQESRNVCGIPWNWSRIHHRGKSILDMAGRSLSCGLSDPKSASAARRSEAATSAASCGNMNGSRSHPHFPVTARLTSSTSSDSDSLPLLVDGVRNGVGGISSSFSGELGIFSKSSELDSDIASEARSGQRSRGSHRGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILKKKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAEHPRPCDSCASCIAHNLGKSRSLLEIGPVGNIDLDSIVDILDNAMLSPMPSQHRVFIIDDCNTLPPDTWSVISKVVERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIINTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDEKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYTFTRERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYILPSSSPSTSLNRGLLTRPEGDMARNSAMDHSEIYAGTHGLPRGSDLGNQQYRDVNLVAGSSNNMVSNYHAGRRPGEHTPDSHVLSTGANRVNGGSRYSKTDSEMIWQVVLDNVQSDSLRKLLAREGRLISVSLGTGKIHSTKYIYNCNISKMSCFHLILLVMQLQLSN >PAN21709 pep chromosome:PHallii_v3.1:3:61661091:61668379:1 gene:PAHAL_3G484100 transcript:PAN21709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESSRCRNLESMLQDIQAKPCNLSLELLSDITDNFSDKREIGRGGFGVVYKGVLENGDVVAVRKLVSMPGVNDVQFENEVYHLMMLKHKNIVRFLGYCFETRHVCIIHKGRYCFAEMPEKLLCLEYLPNGSLDRHISDESCGLDWRKRYEIIRGICSGLHYLHEESQTNTPIIHLDLKPGNILLTNEMVPKIVDFGLSRLFGEQQSRIYATNIGGSFGYMAPEYLHKRIITKKSDIFSLGVIVIEIITGRRDRPDSTGMSMPDFIENVLEKWRNRLEDSRCTSLEKGCQQIRRCIEMGLNCVQFDETKRPTAKEIINSLKRQDDANLHVINEEKLPADQALQNYSSKSKPGIYPLTVRQLDQLTLNAESPRQNAPVDNVPWNSLPVKEPPPHILPSNGYRTCAECQDLIRHESFVQCIDSSVWHSECFRCFACNKTRSDNEFTMHEDQPYHKSCYKEFFHPKCNFCYNFIPTPNKATNQCGEEAVHMVNRAIPDYLEQRTVQKLLLVGSGASTILKQAKFLYKNKPFSVDEREDLKLIIQRNIYNYLGILLEGREWFEDEALVDRRNSQHDPSSSGRCESGFSDEVTEYSLIPRLEAFSDWILKAMALGNLEDIFPAASRGYAPVVDELWKDHAIQATYKRRSELPFLPPAANYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTEFSFPQMPLGGQGADEPDPQDTLLRYQLIRINNRGLHENCKWLQMFDDVRLVIFCVAASDYDEYYEDANGTIVNKMIESRELFESIALHSTFEQMDFLLLLTKFDLLEQKINSSPLTSCDWFDDFTPLISRNLLNGSSRSTRSSQTGATLAQMAAQHMATKFKRLFSSLTGRKLYVSYVNALDQESVRSAICYSREIIKWEEEKPVFGASETVYSEEPSTFTH >PVH63163 pep chromosome:PHallii_v3.1:3:61662583:61668383:1 gene:PAHAL_3G484100 transcript:PVH63163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESSRCRNLESMLQDIQAKPCNLSLELLSDITDNFSDKREIGRGGFGVVYKGVLENGDVVAVRKLVSMPGVNDVQFENEVYHLMMLKHKNIVRFLGYCFETRHVCIIHKGRYCFAEMPEKLLCLEYLPNGSLDRHISDESCGLDWRKRYEIIRGICSGLHYLHEESQTNTPIIHLDLKPGNILLTNEMVPKIVDFGLSRLFGEQQSRIYATNIGGSFGYMAPEYLHKRIITKKSDIFSLGVIVIEIITGRRDRPDSTGMSMPDFIENVLEKWRNRLEDSRCTSLEKGCQQIRRCIEMGLNCVQFDETKRPTAKEIINSLKRQDDANLHVINEEKLPADQALQNYSSKSKPGIYPLTVRQLDQLTLNAESPRQNAPVDNVPWNSLPVKEPPPHILPSNGYRTCAECQDLIRHESFVQCIDSSVWHSECFRCFACNKTRSDNEFTMHEDQPYHKSCYKEFFHPKCNFCYNFIPTPNKATNQCGEEAVHMVNRAIPDYLEQRTVQKLLLVGSGASTILKQAKFLYKNKPFSVDEREDLKLIIQRNIYNYLGILLEGREWFEDEALVDRRNSQHDPSSSGRCESGFSDEVTEYSLIPRLEAFSDWILKAMALGNLEDIFPAASRGYAPVVDELWKDHAIQATYKRRSELPFLPPAANYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTEFSFPQMPLGGQGADEPDPQDTLLRYQLIRINNRGLHENCKWLQMFDDVRLVIFCVAASDYDEYYEDANGTIVNKMIESRELFESIALHSTFEQMDFLLLLTKFDLLEQKINSSPLTSCDWFDDFTPLISRNLLNGSSRSTRSSQTGATLAQMAAQHMATKFKRLFSSLTGRKLYVSYVNALDQESVRSAICYSREIIKWEEEKPVFGASETVYSEEPSTFTH >PAN16139 pep chromosome:PHallii_v3.1:3:2525343:2529598:1 gene:PAHAL_3G039400 transcript:PAN16139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRGFEVKLLGHRRGKSHGAVHELRDPAPVIQSSCWANLPPELLRDVIERLEASEATWPNRKNVVSCAAVCRTWREMCKEIVKNPEFSGKITFPVSLKQPGPRDATLQCFIKRDKSTQTYYLYLCLSTAVLVESGKFLLCAKRTSRPTCTEYTIFMNSENISRSSKMYIGKLRSNLLGTKFAIYDTQPPCNVAQPGKTSRRFYSRKVSPKVSSSSYNIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLTRSLDESFGSISFSKSSVVDHSMRFGSTRFSEVSMSSHRIGDMALGDNDECKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGDPTPSQAVPAPPPEHEKVILQFGKVAKDMFTMDFRYPLSAFQAFAICLSSFDTKLACE >PAN16138 pep chromosome:PHallii_v3.1:3:2525343:2529598:1 gene:PAHAL_3G039400 transcript:PAN16138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRGFEVKLLGHRRGKSHGAVHELRDPAPVIQSSCWANLPPELLRDVIERLEASEATWPNRKNVVSCAAVCRTWREMCKEIVKNPEFSGKITFPVSLKQPGPRDATLQCFIKRDKSTQTYYLYLCLSTAVLVESGKFLLCAKRTSRPTCTEYTIFMNSENISRSSKMYIGKLRSNLLGTKFAIYDTQPPCNVAQPGKTSRRFYSRKVSPKVSSSSYNIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLTRSLDESFGSISFSKSSVVDHSMRFGSTRFSEVSMSSHRIGDMALGDNDECKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGDPTPSQAVPAPPPEHEKVILQFGKVAKDMFTMDFRYPLSAFQAFAICLSSFDTKLACE >PVH61706 pep chromosome:PHallii_v3.1:3:6437984:6438887:1 gene:PAHAL_3G101300 transcript:PVH61706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCHPLLRRTGEPHTTNQRSAADRRAVSVAPPIGMSPASRLLALVPNSRSGGPPIMDALAVGPSACIGRKLRRPTPTEENLSN >PVH61768 pep chromosome:PHallii_v3.1:3:7514798:7522782:-1 gene:PAHAL_3G118000 transcript:PVH61768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGGSGSDDDDDYELSGPPIELSATPRRTSTRSPSPSIGRYLADQTQVGRPPSLTNRYTAGKSVPMIPSIKRPATSGAGAGTGAGSESPMPNRREQRRSVDLGSSMRGRRTSSSLHDEINTLQVENESMYDKLHLAEERSEDGDAKSMHMEREASDIDDAVETETIVISRKDAALEQRKIAMRIASRRSSSASCDEIATLKSEAKAANNVVTSVSRRVKSARSELRSLQATANRMILSQEDMEEVVLKRCWLTRYWTLCSKLGIHSDIAEEKQEYWSSFAPLALEAVLSIGQKARDGTLSDNSEMESRSKMSDANDISGDGNIESMISVEKGLRELASLKVEDAIMLALAENRHIKPLSGQASEGRSPSESLELSAEEREDVLFKQAWLTYYWRRAKNHDIEEDIADERLQFWIEQSKHPITTTDVIEVERGLHELRKLGIESKLWDASRRALNDDLSNHGSPTGSEA >PAN20306 pep chromosome:PHallii_v3.1:3:29267860:29269652:-1 gene:PAHAL_3G339900 transcript:PAN20306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRKSNHHKGRGEEPHQKQNRCLARSCCPCYVLGSVVRGIGRCLFVACYPMIQCCGLDECRHHHTSHLSHFR >PAN15935 pep chromosome:PHallii_v3.1:3:1145553:1148307:-1 gene:PAHAL_3G021200 transcript:PAN15935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLCVQPHRSPAAMFLRRLRTSAALRRGANDGGVLAAIRAELSHELTSSAPSDPPPFHFQDAPDFVTVWDAPCAQDVLLRRRADSEEVLVSALLAPLQFADQAPLPRAALMKVFVSKPGAAPVLHFDCRTSWVGEDGGDADYAINAVRYHPIPGDAGEDKYEGPEFRDLDPGQKAALQEYLVARGVNSKLASSVLHHLLQKERFQYVNWLKTLEETFAKNH >PVH61416 pep chromosome:PHallii_v3.1:3:1816977:1818202:-1 gene:PAHAL_3G032300 transcript:PVH61416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASASRTRRGGSLQFPVGRWRHVAVVDAGCGCRPRRATSRLLSQLPSFLRPSPKPPQQPPRSSSRSSSGFFPSSASTASSSAATFTTTHSSYSNYSAYSYSGAAYTAATTKSKKQHDPPASTKALPPASSSHVAASRRRQQGRRKKRYEKMGAAAGQEEDDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILAAFAEVCAQLTAPPPPPPPPPPPHPAYHAYDYHYHY >PVH61571 pep chromosome:PHallii_v3.1:3:4127582:4133130:1 gene:PAHAL_3G064800 transcript:PVH61571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAPPTPPALYVDGCPGCAIERKKEANKGIPYKEFFFVAVTTVASALPISSLFPFLYYMIEDLHVAKTEQDIGLYAGFLGASYFVGRFLASLFWGVVADRIGRKPIIIFSVFSVVIFNTLFGLSMKYWMAITTRLILGALNGMLAPIKAYSIEICRPEHHALGLSIVSTAWGIGLVVGPSIGGYLARPAQQYPNMFSDESIFGRFPYLLPCLCISLFAAVVLVSCIWLPETLHKHKNIDNEIEMSSDSRNPQTEEVHGDKSLYKNWPLMSSIIAYCVFTLHDTAYSEILSLWTISDRKYGGLSFSSKEVGQLLAVAGGGLIVYQLFIYRPVHKFLGCVNSCRASSALTIPILAAYPFMTHLYGYRLGLALYFAAIVKGALGITALTGTSLLQNYAVPQSQRGAANGIAATAMSFFKAIGPAGADSRGPKSANMLPSFQGIKWCFLF >PAN16504 pep chromosome:PHallii_v3.1:3:4127582:4133130:1 gene:PAHAL_3G064800 transcript:PAN16504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAPPTPPALYVDGCPGCAIERKKEANKGIPYKEFFFVAVTTVASALPISSLFPFLYYMIEDLHVAKTEQDIGLYAGFLGASYFVGRFLASLFWGVVADRIGRKPIIIFSVFSVVIFNTLFGLSMKYWMAITTRLILGALNGMLAPIKAYSIEICRPEHHALGLSIVSTAWGIGLVVGPSIGGYLARPAQQYPNMFSDESIFGRFPYLLPCLCISLFAAVVLVSCIWLPETLHKHKNIDNEIEMSSDSRNPQTEEVHGDKSLYKNWPLMSSIIAYCVFTLHDTAYSEILSLWTISDRKYGGLSFSSKEVGQLLAVAGGGLIVYQLFIYRPVHKFLGCVNSCRASSALTIPILAAYPFMTHLYGYRLGLALYFAAIVKGALGITALTGTSLLQNYAVPQSQRGAANGIAATAMSFFKAIGPAGAGALFSWAQKRQHAAFFPGDQMVFFILNIVQCIGLVLTFKPFLAVPDHYDLK >PAN18276 pep chromosome:PHallii_v3.1:3:12527892:12528709:-1 gene:PAHAL_3G191300 transcript:PAN18276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAHQLLLAACAVVLLLCAAAPGIADAATCDATQLTPCAGAIIGNAPPTAACCSRMKEQQPCLCTYARDPNLQRYVNSPNGKKAMAACRVPVPSC >PVH61884 pep chromosome:PHallii_v3.1:3:9563680:9564365:-1 gene:PAHAL_3G147700 transcript:PVH61884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETPPAAARRIGERAPDESKPHDHQLTSHLAFFPSTPQAKNKKQIALTVLTANQQPAGDLNSKKEEPSSTKQGRMSDWGPVVIAVVLFVLLSPGLLFQLPGRCSFVDFGNLHTSAASIVVHSIIFFALIAIFVIVIGVHITTGDQPAY >PAN18148 pep chromosome:PHallii_v3.1:3:11906923:11910623:-1 gene:PAHAL_3G181500 transcript:PAN18148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNGFNDVRAAVESSLSPTAAAAVGKKAAASLAVLVKMCPSCGHRAHYEQETTSIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSGDGDDGVGGETRWHKTGKTRPVLSHGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSAAAAKEAVAATNVVTSGNALAGHHQGGSVLRGANGVDPFYNPGTMMGYQGVPNNRAPPAPGASHFMPNFAMHAQGLPLALESRFKNSSGQKIIQDLFSSQAK >PAN18555 pep chromosome:PHallii_v3.1:3:13638606:13644770:1 gene:PAHAL_3G210000 transcript:PAN18555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPEVSSATAGGGGRLRNAFGGVLCAFTLLLIGVVAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLVNSLNIPLSVETVCVFTAPIFSANAAWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLSPRLFKVAMTLVISVGLAVCFAVVAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEVFGVLTRSIKFQLSKLFDDTSAAVSASGDSSTESSTNSTKSENRNEKSETAPKEKTSKKNRKKEKEVAESVPVKTKKEKRLVVLPFELSIMGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFRLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSNAKTSSTLAAGRKKNPWQ >PVH62396 pep chromosome:PHallii_v3.1:3:19082828:19089317:1 gene:PAHAL_3G283500 transcript:PVH62396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPARSLLSLLRRRGLHDSTTHRSFASVSVPPDGIPSTSSPWPPYSPPPTPPPPDPAGTRWSASWSPASPPLPPCTAAQLRAAVSSIAASLLALPEPDPNPAPDLQAHSFPTLLAVSPLASLELLSLLRPRPRLGLAVFSFRRELSPAPTLDEFALAISLASRARDPAAAAALFADAATAHSPDQALYNALMAAYMHGGLLDSCLEAFHALERDPRCGPNVDSYNILISLFGRSLLVDHMEATLRSLDASGHPRTIGTYNAIIAGYLTAWMWDKMEAVFQEMLSGHIIPDTTTHLLMLRGYAHAGMIYKMEQAYERAYKHAGKVDNVHIRAMLGAYCKFDHVDRIQKIEELLQRLGPDDYRPWLYVLLIRVYAKEGLVEGMELRIAEALERNVIVTTVQVMRSIISSYFQCDAVDKLARFVRQAEEAGWKLCRSLYHCKMVMYGKHQRLEEMHGVLDEMECFKFDRTKKTFWIMHKAYVSCGRTTEANTILGMMWKHGFGLPHSVSFQ >PVH62398 pep chromosome:PHallii_v3.1:3:19082828:19089317:1 gene:PAHAL_3G283500 transcript:PVH62398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPARSLLSLLRRRGLHDSTTHRSFASVSVPPDGIPSTSSPWPPYSPPPTPPPPDPAGTRWSASWSPASPPLPPCTAAQLRAAVSSIAASLLALPEPDPNPAPDLQAHSFPTLLAVSPLASLELLSLLRPRPRLGLAVFSFRRELSPAPTLDEFALAISLASRARDPAAAAALFADAATAHSPDQALYNALMAAYMHGGLLDSCLEAFHALERDPRCGPNVDSYNILISLFGRSLLVDHMEATLRSLDASGHPRTIGTYNAIIAGYLTAWMWDKMEAVFQEMLSGHIIPDTTTHLLMLRGYAHAGMIYKMEQAYERAYKHAGKVDNVHIRAMLGAYCKFDHVDRIQKIEELLQRLGPDDYRPWLYVLLIRVYAKEGLVEGMELRIAEALERNVIVTTVQVMRSIISSYFQCDAVDKLARFVRQAEEAGWKLCRSLYHCKMVMYGKHQRLEEMHGVLDEMECFKFDRTKKTFWIMHKAYVSCGRTTEANTILGMMWKHGFGLPHSVSFQ >PAN19568 pep chromosome:PHallii_v3.1:3:19082828:19089317:1 gene:PAHAL_3G283500 transcript:PAN19568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPARSLLSLLRRRGLHDSTTHRSFASVSVPPDGIPSTSSPWPPYSPPPTPPPPDPAGTRWSASWSPASPPLPPCTAAQLRAAVSSIAASLLALPEPDPNPAPDLQAHSFPTLLAVSPLASLELLSLLRPRPRLGLAVFSFRRELSPAPTLDEFALAISLASRARDPAAAAALFADAATAHSPDQALYNALMAAYMHGGLLDSCLEAFHALERDPRCGPNVDSYNILISLFGRSLLVDHMEATLRSLDASGHPRTIGTYNAIIAGYLTAWMWDKMEAVFQEMLSGHIIPDTTTHLLMLRGYAHAGMIYKMEQAYERAYKHAGKVDNVHIRAMLGAYCKFDHVDRIQKIEELLQRLGPDDYRPWLYVLLIRVYAKEGLVEGMELRIAEALERNVIVTTVQVMRSIISSYFQCDAVDKLARFVRQAEEAGWKLCRSLYHCKMVMYGKHQRLEEMHGVLDEMECFKFDRTKKTFWIMHKAYVSCGRTTEANTILGMMWKHGFGLPHSVSFQ >PVH62397 pep chromosome:PHallii_v3.1:3:19082875:19089097:1 gene:PAHAL_3G283500 transcript:PVH62397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPARSLLSLLRRRGLHDSTTHRSFASVSVPPDGIPSTSSPWPPYSPPPTPPPPDPAGTRWSASWSPASPPLPPCTAAQLRAAVSSIAASLLALPEPDPNPAPDLQAHSFPTLLAVSPLASLELLSLLRPRPRLGLAVFSFRRELSPAPTLDEFALAISLASRARDPAAAAALFADAATAHSPDQALYNALMAAYMHGGLLDSCLEAFHALERDPRCGPNVDSYNILISLFGRSLLVDHMEATLRSLDASGHPRTIGTYNAIIAGYLTAWMWDKMEAVFQEMLSGHIIPDTTTHLLMLRGYAHAGMIYKMEQAYERAYKHAGKVDNVHIRAMLGAYCKFDHVDRIQKIEELLQRLGPDDYRPWLYVLLIRVYAKEGLVEGMELRIAEALERNVIVTTVQVMRSIISSYFQCDAVDKLARFVRQAEEAGWKLCRSLYHCKMVMYGKHQRLEEMHGVLDEMECFKFDRTKKTFWIMHKAYVSCGRTTEANTILGMMWKHGFGLPHSVSFQ >PAN20547 pep chromosome:PHallii_v3.1:3:26002573:26018579:1 gene:PAHAL_3G328600 transcript:PAN20547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFGLTGGIPERRVRPIWDAVDSRQYKAALKLCTGLLAKHPTSPYALALKALILERMGKPDEALSVSLNAKELLYSDNIFHFDDLTLSTLQIVFQRLDRLDLATSCYEYACTKYPSNLELMMGLFNCYVREYSYVKQQQTALKMYKTVGEERFLLWAVCSIQLQVHFTSGGVKLLALAEALLKKHINSHSLHEPEALALYISVLEQQEKYDAALEVLSGDLGSLLGREEDKLRLQGRLLAQASSYAAASEIYQKVLESCPDDWESFLHYIGCLLEHDVNLPKPCTGEHTCPSCSVDSALSNKTSLSQELVESRLTSALSFVQRLQENNSSDCVRGPHLANIEIERQRCLNGNPNNIKLMEALVKYFHRFGHLSCSASDVEIYLHMLSGNEISELLDEISGSFDASSVSVNTLGLTITLFKVQELLGTLFTKPTTELQGIAKRMVDTFYKNLSLSNELDPQESMYGEELLSMASSIFVQLYWRTRNLGYLLEAILVLEFGLTVRKYVWQYKIILVHLYSYLGALPLAHKWYITLEVKNILLESVSHHILPQMLNSPFLQHAADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLQRSMQYLSVKSDSVMLHLKQKADSLDEVESILESVSHGTKLVELSNDDSMKRLTFNEDLEARPWWTPTSSVNFLSEPFDEGSTPASYRTKMCKHKSNEKDGPKLKDAERKSLVPRLVYLSMHGCTTFLREGESNGASSNVTAAVETKTLLEKYARSIGYSFDDALGIVLGMSSGKKTVKDFAPDIVHWMSFAAFINAWNLCSTEPVIPGTDRSSPNSWLIVDNLFKTCIEEQLTDASQMLTSLGNNIPLLARMVTEPISWHLLVIQSCMRAMTPQGKKKKKGGPLERPNTPHLQAIQISVNCMADTLQNVQTWLSDQMRPEEQALDALLSHLQGTSADGPGQISRTLDESAAAASSEIGGRIAQSLEPWSSAGVVRRIVGAGDETIAELKKLCAQKLKLLKLASASLSSMLH >PAN18118 pep chromosome:PHallii_v3.1:3:11822631:11824631:-1 gene:PAHAL_3G179800 transcript:PAN18118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHEQEADAGKAGGYSSSGLPTSEPPHLQGQPPQQYGYGTFQGSRAGSGEFRQPPVGFPQPAPPPGFGGGGYHNQQQPYAPVEPYYAQGYQAVPGYGQVAEGRPVRMRRLPCCGLGLGWCLFIAGFFLAAIPWYIGAFIMICVRVHDHREKPGYVACTIAAAIAAVAILLGVTKGTHVW >PAN21650 pep chromosome:PHallii_v3.1:3:62296996:62304666:-1 gene:PAHAL_3G490800 transcript:PAN21650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPDRPRAGAAAAAAGFEDSPVFNFINNLSPIPPPKPSETAHNVQLFKSLDLAPVSSIFASPHVNPAKEPKILIRDDSIQLPQDLHSPNSVRTRVGSTITFRMIRCRDIVSENCNINCRLNEAPIDPSDHTSNSESQLPQSMQNGVGSVESDKDQCADGKTDLTISQECTDLEGMNLDESGPDKMDPSHSRMDLHESQLSEQNKDEPAAYNGDYMIANQPNSDMLTLSLPFGTETQSVNDTQEADNSNSAKSLLNEEPNYYIQNSAHEPHLYWTGAVEGAAVDYTPQALPGALQSQLMPCNKLNDPRDYMPTEQNGLSQHLRGTRRRSLFNEKAGASNKSVDKASDRRSVNSTTPKCKTIPGDINSKPLRTPPCALPGIGLHLNALAAIPKEKIVSRDILSNINESSNLPCPAGSSPPPSEQNIINDDFAQRTDVASAEPSSQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFAAGVYCSEPCTCQGCLNNESHMETVLSTRQQIESRNPLAFAPKVIHTSEPGLELGDFNNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREGVAVLSIEEPKQASEENNACVKEEKCEIDKQLVIYQATDLAPAENLLTTPSMVECRPLACLPPPSSKKPSSKKPRSSTKLVGHPSRLCNSQAPLKTDILLSQFGNYAEMVLGDSTSDTLKGNSSPQTSVKVVSPNKKRVSPPRMGTGLSPICKSGRKLILKSIPSFPSLGGDLNNEDPKSKTPAP >PVH63197 pep chromosome:PHallii_v3.1:3:62297077:62304287:-1 gene:PAHAL_3G490800 transcript:PVH63197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICGLLFLTGFCCMQDSPVFNFINNLSPIPPPKPSETAHNVQLFKSLDLAPVSSIFASPHVNPAKEPKILIRDDSIQLPQDLHSPNSVRTRVGSTITFRMIRCRDIVSENCNINCRLNEAPIDPSDHTSNSESQLPQSMQNGVGSVESDKDQCADGKTDLTISQECTDLEGMNLDESGPDKMDPSHSRMDLHESQLSEQNKDEPAAYNGDYMIANQPNSDMLTLSLPFGTETQSVNDTQEADNSNSAKSLLNEEPNYYIQNSAHEPHLYWTGAVEGAAVDYTPQALPGALQSQLMPCNKLNDPRDYMPTEQNGLSQHLRGTRRRSLFNEKAGASNKSVDKASDRRSVNSTTPKCKTIPGDINSKPLRTPPCALPGIGLHLNALAAIPKEKIVSRDILSNINESSNLPCPAGSSPPPSEQNIINDDFAQRTDVASAEPSSQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFAAGVYCSEPCTCQGCLNNESHMETVLSTRQQIESRNPLAFAPKVIHTSEPGLELGDFNNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREGVAVLSIEEPKQASEENNACVKEEKCEIDKQLVIYQATDLAPAENLLTTPSMVECRPLACLPPPSSKKPSSKKPRSSTKLVGHPSRLCNSQAPLKTDILLSQFGNYAEMVLGDSTSDTLKGNSSPQTSVKVVSPNKKRVSPPRMGTGLSPICKSGRKLILKSIPSFPSLGGDLNNEDPKSKTPAP >PAN15733 pep chromosome:PHallii_v3.1:3:192424:196263:1 gene:PAHAL_3G003400 transcript:PAN15733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLASGMSRPSSSVSSSALLLLITLLAALLHCSLLIQLTVAKEQYHEFVVQEAAVTRLCRKHSIMTVNGQFPGPALEVSEGDSLIVRVINRGSYNVTVHWHGVRQMRTGWSDGPEYVTQCPIRPGQSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRSGVPYPFNGGKPPVREIPILLGEWWDMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYKCSSKDTTTFPVKSGETNLLRFINAALNTELFVSLAGHTMTVVGADASYTKPYATSVLMIAPGQTTDVLVTFDQPPAQYYLAARAYSSAQGVPFDNTTTTAIFDYGTSSSRPAMPTLPAYNDTATATAFTTSLRGLRKAELPSRVDESLFFTVGVGLFNCSTGQNCGGPNNTRFAASINNVSFVLPSTLSILQAQYGGAAAAGVFTADFPGNPPVQFDYTAQNVSRALWQPVPGTKVYRLRYGAAVQVVLQGTNIFAAENHPIHLHGYDFYILGEGSGNFDAGRDTGKLNLEDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLEAPPPDLPLC >PAN15639 pep chromosome:PHallii_v3.1:3:761036:762429:1 gene:PAHAL_3G013600 transcript:PAN15639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKPMAALLPICFLFLLALASAAEIIDNNGVVVEDMNSGDDIDNHHHKGNNDGKGKLKPSQCGGECRRRCSKTHHKKPCLFFCNKCCAKCLCVPPGTYGNKET >PAN16127 pep chromosome:PHallii_v3.1:3:2477253:2481632:1 gene:PAHAL_3G038500 transcript:PAN16127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRGSELYETTGSSRQFVLSEDIIQMETMSYPCSPLIPFPTQHEESSYLLWSPQVVIPLENGNMCNGDADPSPDQQQQDHEFMDIMIQEANRLLLQDDLSNGDPLLSGFDQRLEGQENGSLLAVQEEFMEESSLSDLLVAGARAVEAQDSISASAILSRLDDLIPGVPCRSCHHAAASSSDHLACYFARGLRSRISGARAECHLAAAPAPENRMPAYRMLQELSPFIKFAHFTGNQAILEATADDTGVHVVDLNVGEGVQWASLMSDLARHGGKPFHLTAVVTTDDDADSAAAGSHPAAARWLSEFAGSLNLPFRYSSLHLRSEEDLRGFTTSGDGGGSVIVSCDTTDKSYSSLIRLQMQLLGSVKILRPKLVILIEQEPFRIDRSLAPFAEFFCEVLRHFAATLESLESCFRDGGYGACLGPVEKETLGPTIEDAVRQYEPLAGGAGAELEGFRARELSSFNVAQGKMLAGLFSRGFGVVQEEGRLALCWNSRPLTSVSVWSPV >PVH61812 pep chromosome:PHallii_v3.1:3:8248828:8250913:-1 gene:PAHAL_3G127200 transcript:PVH61812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYKQEVSVKEVMSDREAVRQEDAEVDEATMKARFQDWMVEYGRSYRTEKEKARRYEVFKETAIHADKANASTRAGARVAAPNLEFHNFDWEIYIDHINNMAAHGWFIGREDVKQVYVPNYLHKYCCCFNKNAHCQPENLILFWMLTIRLCSSHDCT >PAN16877 pep chromosome:PHallii_v3.1:3:5958919:5962471:-1 gene:PAHAL_3G093200 transcript:PAN16877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGIAKRWRELHGKDSWKGLLDPLDIDLRRSIISYGELAQATYDGFNRERRSPHAGACLYGHSDLLAGSGASAAADYAVTKFVYATSALPVPDAFLRLPPPALKDAWCRESNWIGYAAAATDEGAARLGRRDIVVAWRGTLRNLEWVDDFDFTPVPAAPVFEEVKRLMELYKDEPRGLLATLNAVDIVANGLNAPPSSNSSQPPCPVTAIVFASPHVGDHFFKVAFRSFRDLRALHVKNTNDVVPAYPPIGYVDVAVPLPITTGRSPYLRHPGTLLTLHNLECYLHGVAGEQGRAGGFKLEVERDVALANKRVDALRDEYPVPADWWVAKNKGMVLGADGHWMLQDFQQV >PVH61554 pep chromosome:PHallii_v3.1:3:3703387:3704702:1 gene:PAHAL_3G058900 transcript:PVH61554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWHGPGKARAQCYRARHGTEAEPGRAVPAHRLSKRPRPGTPCYRASPKHDKRTGPVKHAMSPISQAHPLRAAAATVTQSPCSPRPPLCGATCLLAVTVAGRRTSHSNSRWEGEGRGKEGGRGRREAGGERLRDAPAAACKSSTQTSNSSHAMRRPRQGRARRPRHGEVCDGRSEQREPSTASAEAVTAAPRRVDAAGPAAERKAAAQP >PAN18858 pep chromosome:PHallii_v3.1:3:15025806:15028070:-1 gene:PAHAL_3G231400 transcript:PAN18858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGGFVEKAKPYIAMISLQFGYAGMNVLTKVSLNQGMSHYVLVVYRHAFATLSIAPFALVLERKVRPKMTWSIFWQIFVLAMLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKLDMKKVRCQAKVAGTVVTVAGAMLMTLYKGPLMELAWTRHASPAHGGGAEAPAAAAAAAISGRDWFLGSLFVIIATLAWAALFILQTHTIKQYPAHLSLTTLVCFIGTLQAIVVTFVMERRISVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILSEKIYLGGILGAVLIVAGLYSVLWGKHKETQGKEADAKMALPMASSKQDGAGGGITGVGYDAESNTGNGVRSSSGGRGAAASAV >PAN18597 pep chromosome:PHallii_v3.1:3:13845877:13848764:-1 gene:PAHAL_3G213000 transcript:PAN18597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRTQRSSGCC >PAN18607 pep chromosome:PHallii_v3.1:3:13906708:13908245:1 gene:PAHAL_3G213800 transcript:PAN18607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRHCWTRSSSSGTTPSATSRPWRSRPPWTSASPTPSSTTLAQIAARAALRPSRIPCLRRLMRVLTVTGVFRTAQQRPAGDGGDDEHADASYGLTPASRLLVSSPSGVSPVLALMLEGAVVSPFLGLGAWLQQGEQLPEQPSSLFEMAHGEKLWDLARRDPAFGSLLNDGMVADSGFIMDIVVKECGDVFRGVSSLVDVAGGLGGAAQAISKAFPSVKCSVLDLPHVVADAPAGTDVKYIAGDMFESIPAGNAIFLKWVLHDWGDAECVKILKNCRKAIPSREEGGKVIILDMVVGAGSSDLKHKETQVLFDLFIMFVNGIERNEQGWKKIIYDAGFSDYRIIPVLGVRSIIEVYP >PVH62869 pep chromosome:PHallii_v3.1:3:54618750:54629519:-1 gene:PAHAL_3G419100 transcript:PVH62869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSQQKKLVIVNQVTTWPSDGAGLWCTRIWSKMISITG >PVH62179 pep chromosome:PHallii_v3.1:3:14843785:14846457:1 gene:PAHAL_3G227900 transcript:PVH62179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGEEAAAAGEELEPLFDYKRVQPTINFRFDDSDLEKADIFKHCNKRPRVDAAATATEEGKPDEKAATVKVVDVDEEDWLLPPPPKAAFKPSAEEDSALRELRLKRQELAKLTESAHDILQDLDATAKTEVVSKEQPEQITIDDESEPQVEKAREKIVISIQDKNAQQQIRVYKDEKFDKLLKVYAKKAKLNPSDLVFVFDGEKINLSSTPEDLDLEDDDMIEVRHKRH >PAN18819 pep chromosome:PHallii_v3.1:3:14843785:14846457:1 gene:PAHAL_3G227900 transcript:PAN18819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGEEAAAAGEELEPLFDYKRVQPTINFRFDDSDLEKADIFKHCNKRPRVDAAATATEEEGKPDEKAATVKVVDVDEEDWLLPPPPKAAFKPSAEEDSALRELRLKRQELAKLTESAHDILQDLDATAKTEVVSKEQPEQITIDDESEPQVEKAREKIVISIQDKNAQQQIRVYKDEKFDKLLKVYAKKAKLNPSDLVFVFDGEKINLSSTPEDLDLEDDDMIEVRHKRH >PVH62826 pep chromosome:PHallii_v3.1:3:52970162:52972149:-1 gene:PAHAL_3G410100 transcript:PVH62826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVIQLSLVTSSSILIFSLMCQLPRGHYAPARGVRSCAPADRIALSSFSNNLDTAIHGWPGAGNYSDDCCRWLGVRCRRFGAYGGELRVASLDLAGRGLTGALPGTLARLDELRVLNLSRNSLHGALPPELLHMPRLEVLDLSQNNLTGELGGEEPAPPGASGLVHLDVSLNRLTSLRSGVFLGLPRMRRFSAESNQLTGALPGSLSSCSELEYLNMANNSLHGNLGLNLNFSHLTRLRALHLGWNWLSGHLPASLSRCRDLRVVNLRRNNLSGPVPSDFRLLQAQSFFDIGINSITGIAPALRALQECRALAVLILTTNFQGEEMPGASAIRGFPSLRLLGIANCALRGAVPPWLRASARLGVLDLSWNRLTGQIPPWLGSFDSLYRIDLSNNALAGEIPHSLARLRSLAGSALGVSMSEYGVRLYNWHVDRGQLWYNSNIPPSMDLSRNGLAGAIPPELGDLRALNLLNLSWNALSGPIPATLATLSALQTLELSHNELAGEIPASLVGLTLLSCFDVSHNRLRGLVPAAAQFSTFPCSSFAGNPGLHSEYCDGNGVVGGAQETDGTLLAVGSEMFGLPFCLGMIFGLCATMFVHVVMLERTARR >PVH62021 pep chromosome:PHallii_v3.1:3:12097953:12098417:1 gene:PAHAL_3G185000 transcript:PVH62021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLACRRQLPSFAADKSGVKLFHHDGATCSCRAISGLPPVEYLSMVEEMGARARRYRTRRSWRRRASDPSRAACRPPQARDGEKPRWQPRRTPTRRPCARPPVWSPSRARHRSHRISPFAHPTPRLPLPDRRGLLLTRAVARASPHFSVWKPEP >PAN16709 pep chromosome:PHallii_v3.1:3:5229228:5230047:1 gene:PAHAL_3G079100 transcript:PAN16709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPQSPQRLYGRALFGPCRRDGHRSACTFDQTDAGSPEMQRVHVHGSCSIACSAFRLPISCALPNVWCMRWTKLQAQQ >PAN21829 pep chromosome:PHallii_v3.1:3:62425269:62426011:-1 gene:PAHAL_3G492600 transcript:PAN21829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKEENHYHRKSTIFVEGVKQVFVERETGDGVNLNKGKYEIHSCTFDVLIDKREGLKLDSFDHLRNFRHDNAVPLLDLYIQGKKIGRVVIPKVQSSFQFWFDNGGKTKLFDERGHMTPIFKKLIIEYCDLVESLFKQKLIREKFDIDKLCL >PAN17169 pep chromosome:PHallii_v3.1:3:7061739:7066141:1 gene:PAHAL_3G110500 transcript:PAN17169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHRQLLLATACLWALSCASLLRASTPDGLLRVSLNKNKLDREALVAAKVDRQHDSRRLRAAGGGDIPLIDYLNTQYFGVIGIGTPPQNFTVIFDTGSSNLWVPSTKCYFSIACYFHHRYKSGKSSTYKADGETCKITYGSGSIVGFFSKDNVLVGDLVVKSQKFIETTREPSISFILGKFDGILGLGYPEISVGKAPPIWQSMKEQKLLKDNVFSFWLNRNPDAPSGGELVFGGVDPKHFKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIADSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSQYGEMILNLLIAQTNPQKVCSQIGLCMFDGIHSVSEGIESVVGKENLGSDVMCSACQMAVVWIENQLRENKTKEMILQYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFTLTPEQYIVKLDQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >PAN17168 pep chromosome:PHallii_v3.1:3:7061688:7066141:1 gene:PAHAL_3G110500 transcript:PAN17168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHRQLLLATACLWALSCASLLRASTPDGLLRVSLNKNKLDREALVAAKVDRQHDSRRLRAAGGGDIPLIDYLNTQYFGVIGIGTPPQNFTVIFDTGSSNLWVPSTKCYFSIACYFHHRYKSGKSSTYKADGETCKITYGSGSIVGFFSKDNVLVGDLVVKSQKFIETTREPSISFILGKFDGILGLGYPEISVGKAPPIWQSMKEQKLLKDNVFSFWLNRNPDAPSGGELVFGGVDPKHFKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIADSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSQYGEMILNLLIAQTNPQKVCSQIGLCMFDGIHSVSEGIESVVGKENLGSDVMCSACQMAVVWIENQLRENKTKEMILQYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFTLTPEQYIVKLDQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >PAN19386 pep chromosome:PHallii_v3.1:3:17998627:18000227:1 gene:PAHAL_3G270400 transcript:PAN19386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDERLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDEEDEIIIRLHAILGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRPLGSAEAGAPGVVAHRAAALLHATTAPALAAAAARTLAAKPTPAASSSDDGARSTSTSTSSGGGASTGEPRCPDLNLDLSVGPPPAADTPPSQPVCLCYRLGLRAGEACGCQADKPGAGGFRYFRPLEQGQYI >PAN17240 pep chromosome:PHallii_v3.1:3:7367871:7377202:-1 gene:PAHAL_3G115600 transcript:PAN17240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDAAVAVAPPASSSAVDEAGGAVEQARTLIGALNLLSRNLPLPPDVLRAVSSIYHDGGAGDGEGEVGEAEKEGDEEMAAADAAEEGCAEGADDGAAEGATLIEELEDAIFKNQRMPVSYSELAALKEGRFNASIQHRLAELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRSDISAEYWLHKKCAYPERQLFDWGMMRIRYPFAMYGIGDSFLMDADDVHRKKRFTERMSRLEEEEKIQADIRKRKFFTEILNASREHQVQLATTFKQRKQRNDGVQAWHVRARQRISRQEKNRLNLLKIGDQEAYMRMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSQPEGNEVPKESESDSQISDIKNESPEESPSDDDAELPGSADENKFNAGRRLDFTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVPGPHLIIAPKAVLPNWSNEFKTWAPSIATILYDGRPDERRALRDKNFDVHGLQFNVLLTHYDLILKDKKFLKKVHWHYLIVDEGHRLKNHECALARTLVSGYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACDVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSRERVALGSGLRSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDVLEVYLQMYNFKYMRLDGSTKTEERGRLLADFNKKDSEYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKSRLMDGNEVPDWVFANNETTTKRTVADEFQNISVGSKRRRKEVVYSDSFGDQWMKSDEGFEDIPKITPRAKRTSILPDIPEVDFSERRKRPRSLENSADGASNPSWTPDKGRAGVSSYSKEETEDDGEDEVITSGLQKGNSFTWKTLGRKRSSHFNSSSDSKGRPSF >PVH62165 pep chromosome:PHallii_v3.1:3:14590192:14593374:-1 gene:PAHAL_3G224400 transcript:PVH62165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTKSSSMASRKESSELAARRTSMMLMAASTGDRQQLWRGETETLAAAAHHQVAIDVASCDGDDAALVEAVTEETGFSALHVVAAAGDGDRYLESARVICGRARRLLDAPDRKGDTPLHRAARAGNARMVAQLVELAGGEDEVKALVRARNGRGETALHEAVRFGGTKMVRVLMDGDRGLAGVVADDGTSPLYLASSLGREKIARELHQKGDGLSYSGPDGQNALHAAVLHHRGITKLLLEWNKDLVSQRDINGSTPMHFAASAADPSFFQFTNFVFTTSNLESHFLGSYLLPQRCLTRFYERRELPFPLLLKAEPSVAFQPDVHGSYPVHVAASAESMVAIIVLLTRYPGCAGLRDARGRTFLHVAVEKKRFHVVKFVCHCSRSFRPMLNVQDEDGNTALHLAINQGELDIFRCLIRNRNVKINLQNDQGNTPMDLALGKVRSGFYFGLTAPRRILGMLTFANAQTSSGNRRRDQMEEYNPSLNEDEESGKIKDFAQIVGIGSVLVATVTFAAAITIPGGVSTPGDVAGGKQTGLAAPPPAGTPVLTGKYAFDGFVVSNTLAFICSTLATFSLVYCGVAAVDIQRRFKLVSFSLALLLCAARSFCAAFAFSLYLLLSPVEKGTAIAACVMTSLALLDGLWFLITSFHDTTALLSRRTKLTFLKLGTGFFANIIYLFWPYLVIFGYMFIDDLRNLGDF >PAN17060 pep chromosome:PHallii_v3.1:3:6690776:6692475:-1 gene:PAHAL_3G105000 transcript:PAN17060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHNSSHPQITEVKMDISPSASGAAGNKICRGAACDFSDSSNSSKDAKERSASMRKLIIAVILCIIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHSHGHGHGHSHDHSHGDSDDDHSHHEEQEQGHVHRHEHSHGSSITVTTHHHHHPSTGQHHDAEEPLLKHEASCEGTQSAAKSAKKPRRNINVHSAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIRMLRNILEVLMESTPREIDATRLEMGLCEMDGVVAVHELHIWAITVGKVLLACHVTIAREADADQILDKVIGYIKTEYNISHVTIQIERE >PAN17059 pep chromosome:PHallii_v3.1:3:6690857:6693294:-1 gene:PAHAL_3G105000 transcript:PAN17059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESHNSSHPQITEVKMDISPSASGAAGNKICRGAACDFSDSSNSSKDAKERSASMRKLIIAVILCIIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHSHGHGHGHSHDHSHGDSDDDHSHHEEQEQGHVHRHEHSHGSSITVTTHHHHHPSTGQHHDAEEPLLKHEASCEGTQSAAKSAKKPRRNINVHSAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIRMLRNILEVLMESTPREIDATRLEMGLCEMDGVVAVHELHIWAITVGKVLLACHVTIAREADADQILDKVIGYIKTEYNISHVTIQIERE >PVH63153 pep chromosome:PHallii_v3.1:3:61527587:61528528:1 gene:PAHAL_3G482000 transcript:PVH63153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTATNLAGLWFGELAAAMQGTWQATPPGRGDQRPRKQQKLGSEKKAVLGAAAAGTKAATETKGDVGSCGGAMPDTTAYLLLDRFAPS >PAN19914 pep chromosome:PHallii_v3.1:3:21577776:21579089:1 gene:PAHAL_3G307400 transcript:PAN19914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCTESTSGSACLLAVTPSGFDRAGLDSTYIFISLVRLTCFIAVSNCVSRKQTMLPLRSSVRFKKDSKVMITVCC >PAN21823 pep chromosome:PHallii_v3.1:3:62476788:62478333:1 gene:PAHAL_3G493600 transcript:PAN21823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFGSRGGAAPPPPPPPALQAHLQGVRPPYHHRYPDWPSGTALVPPSLGVPASVERHKTVAVLAGVNIKGDSLRLEPDDDGRGLLLAFSFDADAPGSITVYFFAHKDEELILKATKENLLKPVTTAFNKGHNQEFKQPRATGIDVSQFEESELTKVGEGGIFPVAFKVDVAVSNNQELEGAHDDVASKCLVSSTSPCRHRPTCTCSSSRSRRCSPGSRQETLPRRASATPLW >PAN17748 pep chromosome:PHallii_v3.1:3:9862132:9867288:-1 gene:PAHAL_3G153600 transcript:PAN17748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANSNGLAGNATRAESGRQSTHLKNKDTNAAGPTADCSSKHRENMNRTSSGKKLTNDDDFTVPSVLYSRMPPHSTQEKVTHFPTTSPYKNVAAISKSATKCSNTDKRHLEGMKVSDAKSRESPGVKEKEPAKARIDLEIKERTSSFQTSKEKSGRQDPKVSSFRDRPNKYNVADKQHSEIESYHSKSRKENAVETENPPKAKMAPSSKPYADMEQNGNSDMLEHDLRETGEKRKRSHHGVEQNDDFSDSSVESLPEMEISPDDVVGAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLGKSLVTSKKKLPGGDDAEMQLQSAKNKDDMQPTLQQLEHTKDNTEANQPSPTQDDVVGVQRNNQSAANGAVTSNPPTMPTPDNRQNSWCVPPPSNQWLVPVMSPSEGLVYKPYTGHCPPAGSFLAPFYASCAPVSLPSTAGDFMGSPYGIPMPHQPQHMGIPGPPPMPPMYFPSFSMPVMNTAVSSSAVEQVSHVAAKRPNGHVEQHSRTSCNMSNLRSEALSAGIWRFHASKDSELQGSSASSPFDRQQGEGRGPAPPFPSSSVGNVQPQPSTGSRENPGRVIRVVPHTSRTASESAARIFESIQMERQQNDS >PAN17746 pep chromosome:PHallii_v3.1:3:9862451:9864942:-1 gene:PAHAL_3G153600 transcript:PAN17746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANSNGLAGNATRAESGRQSTHLKNKDTNAAGPTADCSSKHRENMNRTSSGKKLTNDDDFTVPSVLYSRMPPHSTQEKVTHFPTTSPYKNVAAISKSATKCSNTDKRHLEGMKVSDAKSRESPGVKEKEPAKARIDLEIKERTSSFQTSKEKSGRQDPKVSSFRDRPNKYNVADKQHSEIESYHSKSRKENAVETENPPKAKMAPSSKPYADMEQNGNSDMLEHDLRETGEKRKRSHHGVEQNDDFSDSSVESLPEMEISPDDVVGAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLGKSLVTSKKKLPGGDDAEMQLQSAKNKDDMQPTLQQLEHTKDNTEANQPSPTQDDVVGVQRNNQSAANGAVTSNPPTMPTPDNRQNSWCVPPPSNQWLVPVMSPSEGLVYKPYTGHCPPAGSFLAPFYASCAPVSLPSTAGDFMGSPYGIPMPHQPQHMGIPGPPPMPPMYFPSFSMPVMNTAVSSSAVEQVSHVAAKRPNGHVEQHSRTSCNMSNLRSEALSAGIWRFHASKDSELQGSSASSPFDRQQGEGRGPAPPFPSSSVGNVQPQPSTGSRENPGRVIRVVPHTSRTASESAARIFESIQMERQQNDS >PAN17747 pep chromosome:PHallii_v3.1:3:9862132:9867289:-1 gene:PAHAL_3G153600 transcript:PAN17747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGPGKDEAPDKVMGPLFPRLHVNDTVKGGPRAPPRNKMALYEQFSVPSHRFSAAAPAPAPAPPWHAHMPAAGVANSGVPSTSASQAGGSDRPLFPSFCVPSTEPVRSSDHMNANSNGLAGNATRAESGRQSTHLKNKDTNAAGPTADCSSKHRENMNRTSSGKKLTNDDDFTVPSVLYSRMPPHSTQEKVTHFPTTSPYKNVAAISKSATKCSNTDKRHLEGMKVSDAKSRESPGVKEKEPAKARIDLEIKERTSSFQTSKEKSGRQDPKVSSFRDRPNKYNVADKQHSEIESYHSKSRKENAVETENPPKAKMAPSSKPYADMEQNGNSDMLEHDLRETGEKRKRSHHGVEQNDDFSDSSVESLPEMEISPDDVVGAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLGKSLVTSKKKLPGGDDAEMQLQSAKNKDDMQPTLQQLEHTKDNTEANQPSPTQDDVVGVQRNNQSAANGAVTSNPPTMPTPDNRQNSWCVPPPSNQWLVPVMSPSEGLVYKPYTGHCPPAGSFLAPFYASCAPVSLPSTAGDFMGSPYGIPMPHQPQHMGIPGPPPMPPMYFPSFSMPVMNTAVSSSAVEQVSHVAAKRPNGHVEQHSRTSCNMSNLRSEALSAGIWRFHASKDSELQGSSASSPFDRQQGEGRGPAPPFPSSSVGNVQPQPSTGSRENPGRVIRVVPHTSRTASESAARIFESIQMERQQNDS >PVH61969 pep chromosome:PHallii_v3.1:3:11034366:11035367:-1 gene:PAHAL_3G166600 transcript:PVH61969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAARARQSTPWSDLQPELLGLVLSRLPSLADRVRLRAVCHWWCRAARLAEPLPPPLPWVTLHDATFVSFPAGETHRVPIPDDARHCHGFVGKWLFFQDKIDGTCSLMDPFSGDVVQLPRLASFATLEEQIMRSFFKLVLPSSRRVSPDSLFAALTTCGGQSTISVGQASTAAAPASFRVPLVETLSDAAFFDGKLYAISHSNKLFVLDIDSSDQGRKPRIQSMKCVVADSINDSSRPFRDYTYWRYLVESGGRLLRIRRLARTVLGCDGVLRYRTASFDLFEADLTDSLLAHTPSPSLLLNMEPMRIMSTSYATMVVNSMIKILFTIVVCST >PAN18142 pep chromosome:PHallii_v3.1:3:11881828:11884448:1 gene:PAHAL_3G181100 transcript:PAN18142 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MSSVTTKLSNTAAIASSEVQALVADMRKALGSMKSLAVEYERDGKSDKVQKLEEMVLEMVASYEDCTALTQAIKAVPEVYQPSDQSTDFKTLIEAEVNKIKEASSASEQNHPLFRQFRESVWNVHHAGQPMPGEEQEDIVMTSTQMSILNVTCPLTGKPVIELVDPVRCVDCRHIYEKGPVMHYIRSKKPPQCPIAGCPRVLQIGKVICDPLLRIEIDELRSSEPAVPNATNIEDFTDLLDEDDE >PVH62818 pep chromosome:PHallii_v3.1:3:52596584:52597265:-1 gene:PAHAL_3G408500 transcript:PVH62818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRQLTNAIIGHACFKQSNCMQQEKHGLGKPCGCMHVCRRQWGNLGLIL >PAN20916 pep chromosome:PHallii_v3.1:3:55153503:55155402:-1 gene:PAHAL_3G422400 transcript:PAN20916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAAPPLPASYWAGLPHHVLWSVFTVLGQREILSGAGLACVAWWRFARDEPALWRRINLTAAPPDEDDTVDDDIDDEYLSDDDEYLGDNNNESLCDDHPVSVCQEKTPAKVCDESSGWKAMALAAVHRCAGQCEAFWGRADDDVLLYLADRASSMKSLRVTSHYDVSSEVFAEVIKKFPLLEELELVLKPEAWNYTTKSGQPPTNSWVELFQSACEACSHLHSFTVRRACKVTRSDTYYLRERSSPTPFSIPVMHGLHSLELSDGSFTQDVVMQIVDKCPGLESLDISDVPIYRWDEELRNKCSRIKDLKLQVSCYYDTDSDDSDYYDIS >PAN21120 pep chromosome:PHallii_v3.1:3:58092279:58093031:-1 gene:PAHAL_3G442800 transcript:PAN21120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNPASHAGLHDVLEQVSCFTCSCQCFPTEAMVSLQKCAGTCRLSIGTYIRLRQFVDPEQV >PAN15722 pep chromosome:PHallii_v3.1:3:157171:159892:1 gene:PAHAL_3G002700 transcript:PAN15722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEANPKMMVFSSPVGLRSIINSLVAFSIIVGSVTLLFYQGQEGQVPMVVEHEHQKTQVQLEAEHHEQLRAKEAPVPLTAELPDATKEECNWSTGRWAYDNVSRPLYSGLKCAFIFPELSCDKYGRKDVMYQHWRWQPYGCNLPRFNATRLLEKLRNKRLVFVGDSLNRNQWVSLVCMVEASIPDNRLKMRVCNGSLISFKAFEYNATIDFYWSPLLVESNSDDPIIHRVEYRIIRADRIEKHANAWRDADIIIFNSYVWWRKHKDDMRMKVMYGSFENGDARLEEVEMLDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASCWGGVDRNKCLNETEPIYKVGYKAAGTDYSMMDKAKSYFGTLEKKGIRFDILNITELSDYRKDGHPTVFRKQFAPLTKEQMANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >PVH61726 pep chromosome:PHallii_v3.1:3:6674076:6674760:1 gene:PAHAL_3G104700 transcript:PVH61726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLAKDNARGSAPPSGMLSPWSILEHRDDCVFHQCTAGRSRGRGRDTCAN >PVH61268 pep chromosome:PHallii_v3.1:3:104385:108309:-1 gene:PAHAL_3G001900 transcript:PVH61268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKALVDPLHSSDESKASLSSQLTSAATPASTLPIDTPMATASASTHPPPSTPNDHDEDEDDEFDDDDDLDDEADDEPSASPSEEARLEAVFRRLTADEVRIRVYHVTIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAAAGDRVRRLGAFDTVSITLDAAPPGIPGSAVVVLVDVAEARGRAAAEFGVFANTQTRSCSLEGSLKLKNLFGYCEAWDAAGALELDQTTELSAGVEMPRIGVIPTPLVARISFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLTWRKLTDPARISSNSVQEQLGHSLLSSVKYAYTVDQRDSSIRPTRGYAFLSSSQVGLAPGSKFSRFLRQEFDLRVALPLGVLNCAFNAGVAAGIIHPLERGSTGSVSPLSERFYLGGNRSLVCRLGGPSSLLGFKTRGLGAREFRTCDPNNSENGTSSCPELIGLGGDIAVAAFADLSFDIPLKPIRDLGIHGHAFVCAGNLGKLTECDLRKFPLTNFLQTFRSSAGFGVVLPTRLFRIEINYCHILKQFDHDKGKTGIQFNFASP >PAN20447 pep chromosome:PHallii_v3.1:3:40749595:40758316:1 gene:PAHAL_3G373600 transcript:PAN20447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDFTPEGGKLPELKLDARQAQGFISFFKKLPQDPRAVRLFDRRDYYTAHGENATFIARTYYHTMSALRQLGSSSEGISSASVSKAMFETIARNILLERTDRTLELYEGSGSSWRLTKSGTPGNISSFEDILFANNDMQDSPIIVALFPVFRESQLYVGLSFLDMTNRKLGLAEFPEDSQFTNVESALVALGCKECLLPADCEKSIDLNPLHSAIRNCNVLVTEKKKADFKSRDLAQDLGRIIRGSVEPVRDLLSQFDYALGALGALLSYAELLADDTNYGNYTIEKYNLNCYMRLDSAAVRALNIAEGKTDANKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAIIEDPELRQGLRQQLKRISDIGRLTHSLRKKSTNLQPVVKLYQSCSRISIIKGVLQQYNGQFSTLIRTKFLDPLEEWMTENRFGRFASLVETAIDLDQLDNGEYRISPLYSSDLAVLKDELSVVEDHINNLHMHTANDLDLSVDKHLKLEKGPFGHVFRISKKEEQKVRKKLTSNYIIIETRKDGVKFTSPKLKKLGDQYQALLSEYTSCQKKVVDDVVRVSGTFSEVFENFAAVLSELDVLQSFADLATSCPVPYVRPDITASDEGDIILQGSRHPCLEAQDGVYFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDQASVSVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFG >PAN20589 pep chromosome:PHallii_v3.1:3:40749595:40758316:1 gene:PAHAL_3G373600 transcript:PAN20589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDFTPEGGKLPELKLDARQAQGFISFFKKLPQDPRAVRLFDRRDYYTAHGENATFIARTYYHTMSALRQLGSSSEGISSASVSKAMFETIARNILLERTDRTLELYEGSGSSWRLTKSGTPGNISSFEDILFANNDMQDSPIIVALFPVFRESQLYVGLSFLDMTNRKLGLAEFPEDSQFTNVESALVALGCKECLLPADCEKSIDLNPLHSAIRNCNVLVTEKKKADFKSRDLAQDLGRIIRGSVEPVRDLLSQFDYALGALGALLSYAELLADDTNYGNYTIEKYNLNCYMRLDSAAVRALNIAEGKTDANKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAIIEDPELRQGLRQQLKRISDIGRLTHSLRKKSTNLQPVVKLYQSCSRISIIKGVLQQYNGQFSTLIRTKFLDPLEEWMTENRFGRFASLVETAIDLDQLDNGEYRISPLYSSDLAVLKDELSVVEDHINNLHMHTANDLDLSVDKHLKLEKGPFGHVFRISKKEEQKVRKKLTSNYIIIETRKDGVKFTSPKLKKLGDQYQALLSEYTSCQKKVVDDVVRVSGTFSEVFENFAAVLSELDVLQSFADLATSCPVPYVRPDITASDEGDIILQGSRHPCLEAQDGVYFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDQASVSVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALAHRNDDEHRHVSDIGISNYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAAELEDFSTTPAFSDDSKDEVGSKRKRVFSPDDVTRGAARARLFLEEMAALPIDEMDGSKTMELATKLKADLQKDAADNPWLQQFV >PAN19155 pep chromosome:PHallii_v3.1:3:16553391:16557437:-1 gene:PAHAL_3G253100 transcript:PAN19155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPGYLTLPIFSVLAAIGYVYYTAVFLAVPRWLGLSTAAGVANAAAFTALAAACLATYAVAVRRDPGRVPPGYAPDVEDAESTVHEIKRKSGDLRYCQKCCHYKPPRAHHCRVCKRCVLKMDHHCFWINNCVGHENYKIFLVFVLYAVVASFYAMILIIGSVMHSVPKDEQSGSDSSRTSIIICGVILSPLALALAMLLGWHIYLILQNKTTVEYHEGVRAMWLAEKGGDLYHHPYDLGVYDNLISVLGPNIFCWLCPVSNTVGNGLRYRTSYDIPISTPSM >PVH63032 pep chromosome:PHallii_v3.1:3:59299550:59303048:1 gene:PAHAL_3G457100 transcript:PVH63032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDGDASNPSSSRKGKRKAQERDLKSYFSPFVSSSINPSTHGSEVGNAIIEEEEVVETHLEDTNTIDQQPGSNENDQNDQGTITEFNPDYIISDPGLRIPIEQFSPNIRDEIRRAFMERGPTQPSSHVFPRGQDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDILIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN19489 pep chromosome:PHallii_v3.1:3:18496586:18502049:1 gene:PAHAL_3G276900 transcript:PAN19489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEAAALEFTPTWIVAAVCSIIVLLSLVAERCLHYLGKKLKKKNQKPLYEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPAGWTDHMLPCQRLDVKAGATKEHFAAAGILGRIGRRLLSEGGAGADHCQKKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVTTMLLGGAQIHQWKLWENGIQKDAPGNGPKEVTDVRRHEFIKKRFKGIGKDSRILSWLHSFGKQFYRSVSRSDYTTMRLGFIMTHCPGNPKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPLFLLLAIGTKLEHVIAQLAHDVAEKHTAIEGDVVVKPSDNHFWFSEPRIILYLIHFILFQNAFEIAFFFWILSTYGFDSCIMGQVRFIVPRLVIGVIIQLLCSYSTLPLYAIVTQMGSCYKKEIFNEHVQQGVLGWAQKVKMRKGLKGAASKAEPTSNADSAGPSVKIEMAKAGEDVEAVGNTE >PVH62392 pep chromosome:PHallii_v3.1:3:19001756:19007081:1 gene:PAHAL_3G282800 transcript:PVH62392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTLNGNGVRFLGMNLGCYNEDANVKYRFLPMKLLHERLKHFQIVNPKELHFREYSLPKDVSSIVPSGFVRTINRIKSLGYPMPPPLMLELDGQLLNRFEGYFGEVRAWKGYPFGYPPVGSEKRVWEHLSKEVVTNISRRVVKLASFNGPSRLFACTGLLIRWHAHTFILTLASLVRSRLHHEKIDNSLTIEVFLPPNQRVRGTLALYNLNYNFAIVSVEKNFHAIRPEDIFNKSPMASKKVVAVGRDADQGLLMASIGEVKRRNKETELNCRDLKLSTCKINKAGIGGPLVDVNGSFVGMNFYDGSKLTPFLPRRIILQRMCCSCANK >PAN16395 pep chromosome:PHallii_v3.1:3:3632055:3636878:1 gene:PAHAL_3G057400 transcript:PAN16395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRIEIKRIENNTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKAHAVGSSSGAPLLELNAQQYYQQESAKLRNQIQMLQNTNRHLVGDSVENLSLKELKQLESRLEKGISKIRARKSELLSAEINYMVKRETELQNDHMNLRTKIEEGEQQLQQVTVARSAAVAAASAELNPFFQMDTKCFFPAGPFAGLDMKCFFPGGLQMLEAHRQILTTELNLGYQLAPPSGDDGINNPHQF >PVH61574 pep chromosome:PHallii_v3.1:3:4183588:4186083:-1 gene:PAHAL_3G065500 transcript:PVH61574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLADAMIWWDKWQLRVLVLGSLILQWFLLLAAPMRKYVIPRCFRTWIWLAYISSDALAIYALATLFNRHARATGGEQASPLEVLWAPILLIHLGGQQELTAYEIEDNELWTRHTVTLVSQVTVAVYAFYKAWPRTSDRRLLASAILLFITGFLSFCEKPWALYRARINRLADVSSMLEEPSRSVGGFCFTELKKRWAAKSDDDRQLSERDKVHMILSDLSLYAAADDLKQALKRLDPGADVSRWLRKAFGLIYTRANVVTTPAYMAYHLLLVPSLHATAIALFATIPNKHGRYDGTDVKMTYILICLTAALDLLAACIRGLLHLSLLIADVPALCETIPEYNLVDSVLRRMRPCTGCLLKCATQVGFSEEYFNFRQRRELYSMVKGFLVIDLLKVEQVKGLDLSTYRSFSGEARANWALGDDLRMFCSTSMKRSLRTSFDKSVLTWHIATDLCFRMLPPQDGVHADGGVPNANGVHADRLHQQRGPYSDKELHLRRQLCTQAISNYMAHLLNFRPEMLMTGSRKHLFTRAQRDMERILDDEAAKDIKLKLQKKQHLDGEDLMKIKRQEAAATPAANASKHRYTNLVHEACKLAEELMRIEEETQWHLMYRVWVGMLCYSASMCRGYLHAKSLGEGGEFLSVVWLIISLKGAKTLADKLQMPETEEGDWDWNDVRVQGHAGQASDAGDRDLNDVHVL >PVH61703 pep chromosome:PHallii_v3.1:3:6401172:6403266:-1 gene:PAHAL_3G100700 transcript:PVH61703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEAHHHHALPTSAAAADAAAAPAASSRLFTAGLVAAWYASNIGVLLLNKYLLSVYGFRYPVFLTACHMSACALLSSLAHAYAAGAPARRRSRGQLARVAVLGTVFCASVVAGNVSLRYLPVSFNQAVGATTPFFTAILAYAVAARREACATYAALIPVIAGVVIATGGEPSFHLFGFIMCVGATAGRALKTVLQGILLSSEEEKMNSMDLLRYMSPVAVILLVPATLIMEREAFGVVATLAQEDPNFIWILLCNSSMAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFMGMLGYGITVAGVVLYGEAKKRSK >PAN16999 pep chromosome:PHallii_v3.1:3:6401005:6403382:-1 gene:PAHAL_3G100700 transcript:PAN16999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEAHHHHALPTSAAAADAAAAPAASSRLFTAGLVAAWYASNIGVLLLNKYLLSVYGFRYPVFLTACHMSACALLSSLAHAYAAGAPARRRSRGQLARVAVLGTVFCASVVAGNVSLRYLPVSFNQAVGATTPFFTAILAYAVAARREACATYAALIPVIAGVVIATGGEPSFHLFGFIMCVGATAGRALKTVLQGILLSSEEEKMNSMDLLRYMSPVAVILLVPATLIMEREAFGVVATLAQEDPNFIWILLCNSSMAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFMGMLGYGITVAGVVLYGEAKKRSK >PAN18096 pep chromosome:PHallii_v3.1:3:11691703:11693714:-1 gene:PAHAL_3G177800 transcript:PAN18096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHVGRRKSGSEESIVDDGVSSNEVDHRETKAELALAKSEIGRLNEENKQLKNMLTRVTTNYNSLQMHVLTLMQHRNSHRGLGAPGHELSVDPEKDQDGSQLLPRQFISLGTAASPDEPPLRSAGADIRGCDCSASPSNTDAAMPPPVDYCAGKGNAATISSKDVMPLPTFDHHHHHHHHRGGAHERDQRGSSPEDPPPHHVPQGWLPSKVPKFLPAKGPEPVPEAATMRKARVSVRARSEAAMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDTTVVITTYEGNHNHPLPPAAMPMASTTAAAASMLLSGSMPSADGSLMAGSNFLARAVLPCSSNVATISASAPFPTVTLDLTQPGPGAPQPPPRPDPAQLQAALADAARPVALPQLFGQKLYDPSKLSAVQAAAKAAPADAGDTVSAAAVIASDPNFPAVLAAAIKSYIGGSSSGGGGGSSGTVPPPPASSGGDSSRDDKIGEQDS >PAN18095 pep chromosome:PHallii_v3.1:3:11691376:11694238:-1 gene:PAHAL_3G177800 transcript:PAN18095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSYLGKRKLNANGAEREPSGAPAGFQAVVLGYDDDAGEAGAEARRVVGEMDFFKTEKRKEEAADRSHSAAGAPDDLSINKDDLTINMGLHVGRRKSGSEESIVDDGVSSNEVDHRETKAELALAKSEIGRLNEENKQLKNMLTRVTTNYNSLQMHVLTLMQHRNSHRGLGAPGHELSVDPEKDQDGSQLLPRQFISLGTAASPDEPPLRSAGADIRGCDCSASPSNTDAAMPPPVDYCAGKGNAATISSKDVMPLPTFDHHHHHHHHRGGAHERDQRGSSPEDPPPHHVPQGWLPSKVPKFLPAKGPEPVPEAATMRKARVSVRARSEAAMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDTTVVITTYEGNHNHPLPPAAMPMASTTAAAASMLLSGSMPSADGSLMAGSNFLARAVLPCSSNVATISASAPFPTVTLDLTQPGPGAPQPPPRPDPAQLQAALADAARPVALPQLFGQKLYDPSKLSAVQAAAKAAPADAGDTVSAAAVIASDPNFPAVLAAAIKSYIGGSSSGGGGGSSGTVPPPPASSGGDSSRDDKIGEQDS >PVH62066 pep chromosome:PHallii_v3.1:3:12877962:12880142:1 gene:PAHAL_3G196700 transcript:PVH62066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMDKLKIFVVKEPVVAASCLIAGFGLFLPAVVRPILDSWETAEKVPPPPLNEVVAGVTGKKK >PAN17590 pep chromosome:PHallii_v3.1:3:9152929:9156518:-1 gene:PAHAL_3G142500 transcript:PAN17590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGRTHTKTEEAHIARNHEGGGPSISWKRAAMATRCVASPPLLSASSAAAARAQPTPSAVAPRRFRTRLLVATGGEQQLITAQEPAQEPDYGVVSLHHVGILCENLERSMAFYKDLLGLKVNCARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIKDVLKLKEIFDKAGISYTLSKSGRPAIFARDPDGNALEFTQV >PAN21923 pep chromosome:PHallii_v3.1:3:63018113:63022736:-1 gene:PAHAL_3G500200 transcript:PAN21923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRRPPPRSSSGGVEPRFRQVGFVTSAEPGPAAASPPASDGLSPVMIPPPLIHDHLPAPAPELESLMPSSPPPASSSRLDAGSDLGDDDDDVDVSWARPRPPPPALPEPNKRDLTETKSEGHPTSVPQKPKLSKAERRAIQEAQRAAKAAAKEAGSKSTAKASDVNTKISKQPKAGKAALKKDVTQVNPPAASDKKTDERLPDKDRKKDVPQPRMQFDDVHRVVKAKKRSVVNQSEARNRVELFRHLPQYAHGTQLPELESKFFQPDLMHPSVYKVGLQYLSDDISGGNARCIAMLLAFREAINDYSTPAEKILSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRITKLPLALSESEAKASLQSDIDRFINEKIIVAGKVIVSHAVTKIRDDDVLLTYGSPSVVEMIFDHAHELGKKFRVVVVDSRPNLEGQGLLRRLVAKGISCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTSSVAMVAHAFGVPVLMCCEAYKFHERVQLDSICFNELGDPDAISRVPGGESLSHLKNWAENENLHILNLKYDITPADYVSMLITDYGMLPPTSVPVIVREYRREHVWI >PAN21922 pep chromosome:PHallii_v3.1:3:63015386:63022569:-1 gene:PAHAL_3G500200 transcript:PAN21922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRRPPPRSSSGGVEPRFRQVGFVTSAEPGPAAASPPASDGLSPVMIPPPLIHDHLPAPAPELESLMPSSPPPASSSRLDAGSDLGDDDDDVDVSWARPRPPPPALPEPNKRDLTETKSEGHPTSVPQKPKLSKAERRAIQEAQRAAKAAAKEAGSKSTAKASDVNTKISKQPKAGKAALKKDVTQVNPPAASDKKTDERLPDKDRKKDVPQPRMQFDDVHRVVKAKKRSVVNQSEARNRVELFRHLPQYAHGTQLPELESKFFQPDLMHPSVYKVGLQYLSDDISGGNARCIAMLLAFREAINDYSTPAEKILSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRITKLPLALSESEAKASLQSDIDRFINEKIIVAGKVIVSHAVTKIRDDDVLLTYGSPSVVEMIFDHAHELGKKFRVVVVDSRPNLEGQGLLRRLVAKGISCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTSSVAMVAHAFGVPVLMCCEAYKFHERVQLDSICFNELGDPDAISRVPGGESLSHLKNWAENENLHILNLKYDITPADYVSMLITDYGMLPPTSVPVIVREYRREHVWI >PVH62028 pep chromosome:PHallii_v3.1:3:12302546:12303652:-1 gene:PAHAL_3G187500 transcript:PVH62028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLPLPCVRHHEAEGAAAAGAKKRRRKQKSRPACRRNVRAVVRPGHVVPVADTPPEDGDGGKEEEREVVKEAWRPGCRVEPAGCGGEDGGVRRVRIVMRRKDIAELVARLEQRDAAERDAAAMAAELSTGLGGDGNDGGRGGSVTMSPCRDAWRPRLAVIPENY >PAN17764 pep chromosome:PHallii_v3.1:3:9941963:9947607:-1 gene:PAHAL_3G154600 transcript:PAN17764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQTLQHLELTSMGFVVPDSEDQVHKAIEVFHGMRKYGFVPDVYSYSILVDGLCKQGDLLTGYDILDEMLRNGINPSPVSYSSLLQGLCKTGMAQLALKIFKNLEEHGFQHDRINFSIILHGCCQHLDLKTVYDLWFDMIHRDLAPDVYNYTSLIYALCRHRYLQEAFRMFELMLENGLSPNIVTCTILLDSFSKEGLVGEAFLFLDRIHQSLGIVPNLCMYRVIINGLCKINKSSDVWKIFADMIKRGYIPDVVLYSIVIDGFVKALKLQEALRLYHKMLHEGIKPNIFTYTSLINGLCRDDRLPEAMELMRYMIGEELVLDKVLCTSIIACYCRRSNMKAALEMLRVMERSGVLPDAFVYTCLIDGYSKVLAMDGARWMMEEMVKRNIKPTVVTYTALIIGYLKTGDEKEAEMMYNSMLQAGIAPDGKLSCILGFGNGRSDYDYSQKAKDVT >PVH61256 pep chromosome:PHallii_v3.1:3:42143:47710:-1 gene:PAHAL_3G000800 transcript:PVH61256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYAYKYCMCFTRKFRSPDAQPPPDVRAAHLSYCGPSSDPQQGHGHGLRRFLSQVQAESPADVDRILAILAPTSASHGIARLVNRSPAPAPPTLDDFFGFLFSPDLNPPITNQVHQDMSAPFSHYFIFTGHNSYLTGNQLNSDSSDIPIIKSLQRGVRVIELDMWPNSSKNNVDILHGGTLTAPVEMIRCLKSIKEYAFCASSYPLVITLEDHLTADLQAKVAEMLTETFGDLLFIPSSDPMKEFPSPEALMERIIISTKPPQEYKEFLKAKDNQNGNGNLADLPDQGSLRRIDSNADESDGKDELDEQDEEDSDEDDPKFQQETACEYRKLITIQAGKPKGHLRDALKVDPDKVRRLSLSETQIAKATASHGAEVIRFTQKNILRVYPKGTRVNSSNYDPMNAWIHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPNGEVFDPKASLPVKTTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRVGIAGVKADSVMKKTRVLEDQWVPVWDEEFTFPLTVPELALLRIEVQEYDMSEKHDFGGQTVLPVWELKQGIRAVALHDRKGVRYKSVRLLMRFDFV >PAN16132 pep chromosome:PHallii_v3.1:3:2513325:2516201:1 gene:PAHAL_3G039100 transcript:PAN16132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >PAN15671 pep chromosome:PHallii_v3.1:3:606844:608245:-1 gene:PAHAL_3G010800 transcript:PAN15671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPNAADPERRPPQDDQEEPPTVLTVWRKSLLFNCHGFTVFDARGDLAFRVDCYAASRRRAEVVLMDVAGKPLLTVRRKRLSLTEHWVIYDGDAAAEPAKSRPLLSVRRHVSLRASNKAVAHVTPHTSAAAASTAEYVVEGSYGRRACAVRDARGDAVAEVRRKESVGDDVFRLVADPRLGAPLAMGLVIALDEMFGAGRGSARSLLRRTWSV >PAN19732 pep chromosome:PHallii_v3.1:3:20085217:20090369:1 gene:PAHAL_3G294400 transcript:PAN19732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRPSPHAAAAGQKPPTSPRGAPGADRRHPRPFAKNLDFATWASEHSSKLLLLLFALASAAAVFLLRGAAPDAAALLCLDRSRSSSAEPAKLPYPDVAWSRVPPLAIAAAAPFASFRAERWIVVAVSTPPTAALAALARVKGWQLLAVGDSHTPAGWELKGAIFLSLELQAQLGYRSVDFLPYGSHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLGSGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVGHEVFYTEVFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTSAFDLRFDSEAPKVALPQGMMAPVNSFNTLFQSPAFWGLMMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFEKILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVMIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNKELAVERCALSHAYKYLPKVFERYSGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLESNKEEWFVKQGALVKQVIGNSPVHFQTNYKENMGEEKIAFCGSELFYIPRRFVEDFGDLVGLVGDLDLHHKIAVPMFFMAMDSPQNFDSEALAGTVFKTQLPANATFKTIYTAQAPAVFPVKVMNEIDFIKVIRLMSIGDPLLMELV >PAN19706 pep chromosome:PHallii_v3.1:3:19924165:19926063:-1 gene:PAHAL_3G292300 transcript:PAN19706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRENSLLGSLLISGRVKTKQEVDFNHNTKLFTEDEIKRITSNYRTPIGKGGFGEVYRGILDYEYVAVKRYMRGDMIDEFREEVKIHSQMNQKNIVKLIGYCAGEDALTMVTEYITNGNLDDTLHNSTIPLPLDTRLGIAIGCAEALSYMHSMHLSTENLVCHGDIKPANILLDHNLMAKVSDFGLSRLLSGGITRYTSNVIGSMDYMDPMYKEEGRLTSRSDIYSFGVVLLELLTRKRVKEGIIGALRKANAKRKGITRVSPPFLDSLRELFDAEIANESNAKILEGIAVLATKCLSLDIYKRPQMNEVVDRLCELWKGLRGKDIGWYKSLGIFKRNAGNGQSEIIGKVGIVTILTKEYLNQVTQNYSHRLGLPGEVYKGTLEDNRIVAVKKFTELFENLKQEVIDDVTNLSQMVHNNIIKILGCCLEDDTPIFVYEYAAKGSLYNILYGWQEFQLDVRMKIAVKTAEALEYLHSSTSCIITHGSIVPSNILLDNNFMPKLTGFSRASRRINETEITTGDSENVQNQNQILYEEVLRLVRVDVCQFGILLFLLITRKNFKSEGDLIKTLDEFKEAYKRENTGKAFFDKDITAEEDITIFDEIGRLVLKLVWIEDGPPTMKDVVRRLRAIR >PVH61492 pep chromosome:PHallii_v3.1:3:2984182:2984972:1 gene:PAHAL_3G046500 transcript:PVH61492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTMEEDQRLVAYIRAHGEGSWRSLPKAVSLQRCGKSCRLRWINYLRPDLKRGNFTEEEDDIIIKLHGLLGNKWSQIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRALAASSSTCS >PVH62540 pep chromosome:PHallii_v3.1:3:23294189:23294901:-1 gene:PAHAL_3G318500 transcript:PVH62540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTAQNFEATSSELDLALASPHRCSGTPTFDPTRGDEDLFLGPSRTSM >PVH62617 pep chromosome:PHallii_v3.1:3:29037069:29037483:-1 gene:PAHAL_3G339300 transcript:PVH62617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALSEFDIRYQPAKAVKGQALADLIAERTSSDIAALSIRAWVMFFDGSVCGDGSSIGILLVSPRGATYSFSIRLPTPCTNNLAEYEAVHKGMELLLDAGAEAVEVFGDSKLVISQLTETYRCESES >PAN15703 pep chromosome:PHallii_v3.1:3:429783:434401:-1 gene:PAHAL_3G007100 transcript:PAN15703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRRCGSRRPAVVGDNTNGYVETDPNGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLSDVLRTPDAVQRMYSEVQLLSTLRHDAIIGFHASWVDVPRRTFNFITELFSSGTLRSYRLRYPRVSLRAVRSWARQILRGLAYLHAHRPPVIHRDLKCDNLFVNGHQGQVKIGDLGLAAVLREAGQAAHSVIGTPEFMAPEMYDEEYSELVDVYSFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGKLPDAFYRVEDGEARRFIGRCLVAASRRPSAAELLLDPFLLDDHHHVMSTMSCAAVTMAPPPLPPAGPPSSSTCSSSDVSSLGDDHQDVSEDADDPLVARTTEMTITGKLNAEEDTIFLKVQIADETGNNVRNIYFPFDMVNDTATEVAEEMVKELEIRDRDPSEIAAMIEQEIERLLPGRKQQHEYAVYAAHDDDDDENEERPPPFYYLSSSPTSSQSSVCPYASDDFSRPQGGAWSKDYGYYCACLSDDDDMSSSGNQDEAQSPRPEVVGGPSGFSKAQPKNTRFGSSLARQLQRQCSMSPHAGRPRRREDEDWHGRRGRMTRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVENIGFRAPTTTTTTSSSSSTRGRRSRDDKHHQNYVML >PVH62921 pep chromosome:PHallii_v3.1:3:56445459:56447505:1 gene:PAHAL_3G429900 transcript:PVH62921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNENTWTNIPNNTASPSVRHHAKLQNSSPLVDSSVRISARLKEKNDGFKESSCTAKGSLACSATPPGLTLADIRAIGEKACMIAPGTLSEENLLMKTKNKSTIGEKLTPKKTISKKTVKGKKLKKTPDNDDSSKEAED >PAN15769 pep chromosome:PHallii_v3.1:3:959955:962910:1 gene:PAHAL_3G017600 transcript:PAN15769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTARLPASSCSLATGSITTRSRRATMAAIGCAPGGSNTHRRRMGLFLCRSSSTAGAGGGRKMEDYNTAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQTPGDIDHLKNEENVAYILCLQQDKDIEYWGIDFPAILSRCKELGIQHIRRPAVDFDPDSLRSQLPKAVSALEWAISQRKGRVYVHCTAGLGRAPAVAIAYMFWFEDMDLNTAYKKLTSIRPCGPNKRAIRAATYDLAKKDDPWKEPFENLPENAFEGIADWEKKIIHDRIRALREA >PAN17394 pep chromosome:PHallii_v3.1:3:8307080:8307867:-1 gene:PAHAL_3G128400 transcript:PAN17394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAAAAASDAGRSRHRGRRHGGRPAALGQVANTFSNYCKQLTAAQGEGEGPQFMPARPWWYLDKQVAGRFVEYHRLSMVTVRGAGHLVPLNKPAEGLTVINAFLRGEQLPTHR >PAN17805 pep chromosome:PHallii_v3.1:3:10037152:10041522:1 gene:PAHAL_3G155900 transcript:PAN17805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWYANVREVGGGDATVVLAHGYGSNQALWDKLVPALSRRNRVILFDWGFTGGGAEHQEEAEEGRYTFGKFADDLIALLDDKGVRGAVLVGHSMSAMAACIASVRRPDLVTHLVLLCASPRYINSPEEGYVGGFERAGIDGMLDAMSSDFGAWVKGFVPNAVGDPASIPPVEASFLAMHPGVALEVARMIFLGDQRGVLGAVTTPCTIVQVAGDFAAPPSVAEYMKRRMTAAAADVVVIDSVGHFPQLVAPQQLLDVLESVLRRRVGGGGEGEHGAAGETVAEVPEADGGIDIMA >PAN17813 pep chromosome:PHallii_v3.1:3:10071252:10075431:-1 gene:PAHAL_3G156400 transcript:PAN17813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKNAGRTSPWMLILISLVCFFATYNFLTMPGRGRDGPRKFLGGGGDRDSAVSYWSGSGSDPSKRFHVALTATDALYSQWQSRIMHYWYKEMRARPGSDMGGFTRILHSGKPDGLMDEIPTMVVDPLPEGKDKGYIVLNRPWAFVQWLQKANIDEDYILMAEPDHVFVKPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDQETDKAFGWVLEMYAYAVASALHSVHHSLRKDFMIQPPWDLKSDNTFIIHYTYGCDYSMKGELTYGKIGEWRFDKRSYLQSPPPRNLSLPPPGVPESVVTLVKMVNEATANIPGWEDER >PAN22140 pep chromosome:PHallii_v3.1:3:64436363:64441148:-1 gene:PAHAL_3G517300 transcript:PAN22140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRRCIQAPRATVVVPHALPPPIQLLGPRPPHPSPASACRLRGPLALLRTAAGPPLLHPSSGGEEGRAEGEGRWRPMRGRRGHVCGDCGGREGRQWKGREIAVEMRGTLPSCRGRQDSGSNSPGFLSSPARQGSQSQRPPRLASPRLAGVAINQSTPPQVSLSIATTLRLRPTLLCAHAHEHARPSHGAASLLHLSRLILLLSLAAAAPARLVPVQYLYPPFNLTYFHFIDTNGVFLLSPGANFSAAVYNAGATEASDSQSRFFFSVLHTTSRTPVWTATAAGSTILESVVLSLSATGLTLFDPSAAQPDPAWSTPRLRDPVAALRLLDTGELTLIDAHNATLWSSASGNDLSPGAYRLVLTPNDALLQWATSSSPSSSAEAFLTYWALSSDPAAVQDSSHAVASMAVNASGIYLLADNGRDTVYRLLFPSPPASKGDSRVLKVDPSGRLRALTLTAGATVPTVWAAPANDCDLPLPCPSLSLCTPGGNGSTCMCPDAFSTYSTGGCAPADGTALPAIPADSCDAGAKKAARYSYVSLGAGIGYLPTKFALPDTSGDALPACRDLCSGNCSCLGFFYRNSSRSCFLLHNQIGSVFRASADAAVGFIKTLPSQHGKGSSSSLSLITIVFGIVLPTVAAVLISFLLYAMGAQWLRKRRPQHLKKTSGSWFKLPAMLSSSRAPSSAPSGSGDDLDEDVLIPGLPTRFTYGELDAATDGFKWQIGCGGFGSVFRGELPDRSTVAVKRMNGLGTQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGARQLLVYEYMNRGSLDQTLFRAGAAAPEWPARLRVCIGAARGLAYLHAGCDRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEIVRGRKNSKKQEEEHTSGGSSAGSASSSEYFPALALELHEQGRYGSWWTRGWRARRRCAVARVVRVALCCLHEDAALRPAMAVVSAMLDGSMDAGEPRAELLRYLRMYGRGLVDLRPAGWMAKGSDTTAGVSSSWSPPSCVSAQQLSGPR >PVH62162 pep chromosome:PHallii_v3.1:3:14464476:14464664:-1 gene:PAHAL_3G222600 transcript:PVH62162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLKLRILAVAAAAAVAASSLVATASAAEGPAPAPTSGASMAAPAVAAASLTALVFGYLF >PAN19503 pep chromosome:PHallii_v3.1:3:18583531:18588209:1 gene:PAHAL_3G277800 transcript:PAN19503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASPSLRRLSSSSSVRSLRRVLQHPSTPPPAAPPPLPPLRTLTRALLPHLAAAHRFSTASFSSSAPSRLGECGGARGAPEIPEEVEVEDEAGALVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVLAIGHECDLALLTVESEEFWDGVNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGVSCQATENIQLRECFGMQPDMTGVLVSRINPLSDAYKILKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGETAVLKVLRDGKEQELSVILRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNASPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSLVEGCTEENLRFDLDDERVIILKYQNARLATSRVLKRHRIPSAMSSDLVEEQATNGEVEASCTS >PVH62372 pep chromosome:PHallii_v3.1:3:18583531:18588209:1 gene:PAHAL_3G277800 transcript:PVH62372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASPSLRRLSSSSSVRSLRRVLQHPSTPPPAAPPPLPPLRTLTRALLPHLAAAHRFSTASFSSSAPSRLGECGGARGAPEIPEEVEVEDEAGALVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVLAIGHECDLALLTVESEEFWDGVNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGVSCQATENIQLRECFGMQPDMTGVLVSRINPLSDAYKILKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGETAVLKVLRDGKEQELSVILSCSL >PAN19206 pep chromosome:PHallii_v3.1:3:16808963:16813034:1 gene:PAHAL_3G256300 transcript:PAN19206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWLARSMEGAAVSAAAGALQPVLEKLAALLLGEDYKRFERTRGEIESLTHELNAIMAFLIERSKVEDPDGQDRLWMKDVRELSYDIEDSLDEFLLHAAAKSAEPDGFMAKVRSLVERTKSRHRIAREVEVLKKEAIEVAETNQSSYRAADHQPVVSATNASIDPRALAVFEDATKLAGVDGPNGELIRLLETGHGSVQQQTRVVSIVGPGGIGKTALAHQVYQERNGGFLHRAFLSVSRNPDIKGILRSILNQVVSPKDYEAVIKGDHVIRVAGEDQLITKIREYLTDKRYFIVLDDIWDVKTWNAIKDIFPMTSCGSKVITTTRINDVAQECCRSPTNGHIYNIRPLSMEHSTQLFYRRLFSPEEKCPSHLVEISSQILEKCAGLPLAIMAISGLLSSKEKTTEQWNQVKNSIGRRLERNSSVELMSEILLLSYFDLPLHLKICLLYLSIFPKDYTIGKEDLIRRWIAEGFIHERNGIAAYDSGERCFNDLINRSLIQPGGKDKFDEVNSCRVQDTIFYFIVSMAAEENFVTLIGVPGVNPIAGNKVRRLSMQSDVQIPSGLVLSSVRSLNVFGGDVEIPFLPELRPLRVLAFEDCGQLEDDRLSDVGNLLHLRYLRLNHAHAVTKLPEETAELLHLRTLEVHGHNKIMEIPAAICQVERLECLVTLVTDDYTILPDEIADMKALRVLEGVNVYIHSAGFIKRLGELTNLRKLGMLFVNSDADEEWEEKYGEIVSSIYKLTQANLDSLHIRTVNEPPELLDNLSKEHPEPLGLRELVIEGDDVSGLAAWWGLLVNLQKLLFCAYERVSEEDVETLRSLPDLKYLCIHLWDAPDDPEVKAPLERAMKAHPNRPKLVWIDEY >PVH63078 pep chromosome:PHallii_v3.1:3:60355430:60356696:-1 gene:PAHAL_3G468400 transcript:PVH63078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVRKSSPAVIRPPEPVTTTTASGAIKLTPFERVLVMLPFTVLLVFERPSHEGTESIKRALSQALVHYYPFAGRISSSGADGDDDRFSIRCTGEGVESVAASVDCGLTEAKIFGESSGAKALLDELAVYYPVGSYGSDDPLLSVQAVGELARGLPSPSVAPARCDDAVSSLPPLSDSVLQATLACPESPDMELLVPLDVTVPSGLIDRVKAESRSCSDDGRPCTTFEAVLAFLWRCRVRATTPGPGTTTPVLLTFATNMRGYVGARAGYYGNCVANQPLAAATSGPGWLPDKLKEHESGAGDDRLVTRGLRGRYRYDMLHVSSWRNIGFEGVDLGSGPPARDGVNVLSLSVKEEHAEAFLAELAKHT >PVH63280 pep chromosome:PHallii_v3.1:3:63896867:63898496:1 gene:PAHAL_3G511100 transcript:PVH63280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEHPEQGGIEQRVEDPRADAAAPAADGAEVHPHHAHVARQVAPEQRELAGERDGGAPGGARGGEAGAHPEPEPERDGVRQRRRQDGQHLERLRELQPQERHGHGGGVREHPRRGAPPALEHREHAPRRVEVPGEVVGVGPEEDAARGAGPRREAQEPPERRGPAPAAPRPPRVPYLRDGGEQGAGEDGRRHGRHEERVRGRHGAERERLPAAQGGPEEGVEGEAERDVGGEEAEEERPGGEPQVGGAPPEADDGRVLGEPVRGGGGHGRRIAPLPGRRGGGGGGCACSVWRMERDLGKRRLDIYSRPPLPEVGWFLRSASASLCRSSLIFYLESWYFYSVSIFSF >PAN19711 pep chromosome:PHallii_v3.1:3:19954774:19964209:-1 gene:PAHAL_3G292900 transcript:PAN19711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAGRRARGRRGESPAAARAGAPSSSRARRRGEGPVVVIDLGDDDDDERGGGRGREAAGGAAGRRGGSAAAASPPRSATPPPMMVPAGAVAMRTRSRRRAMEAAVAAPEESGAKRRRKGASSDVAEAPGGRGSKAAGASRSTPRDKRRGRGRNRSRRASEPASTARARKRRGKGSEAETEVEAPARGERVNVSRGNESDDDGGRRDDASDGGNGEARTGSATDKKGNRDRRRATGGDEILEPCVAREVTALDLNHRTDEVVSGDAEEVEGAGDEGGGRDDGSIVDENTRDSGNREQAPIVNVVAEEMAPFEDDYDDEMLEEQLVGDVIRAYSNGEDLDADGVDWEAEDEMEFDDEEQLVGDVIRAYSNGGDSDADGVDWEAEDEMEFDDDADDGDFMDDADEGGMTEPIQDHDKMEMQDLVNHNVVLSEGRCQEAEAEAEEEEAGIKDEVESKGEAAPGSSQQGLHVEILDSDEEEVKVLENVSSAPSRKASVQAKLPTIPSCVAWRTRSSWGMIQDRLSYDTYFDALSDEPKEEEDDTEVELDEEDNNDDDSSETSDEDEEEEEEEEEEEEAERRKLKNRIYTSDDDMIGTTVPTSRYEDTTVPTSRYDIEWEEDEDASVDISQPISFMKATRWNPVAVGNGNDTFTEQQKQSRFTWELERRKKVKLGMKTHPLYEQDLDSDSSSSGSGQIKRYGFKDGDHKVGRKKKHPSSKSGKKSGHATMLKRQSLLKLLIDKMSGDKNGETFPFDQNPQLQFIFKEMHPLVFSFGDEDLVPADKPEQDGALDMLWADFDFALESENIGTYYDDEGQGEGNQLDFALAPVTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIKFMFPSLVSGFAEKSAWPNAKGVKNALMFHDLYEEADSGTEHSQDFHLYGTVWDLIPGVISTMYEHQREAFEFMWTNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWDEEFKKWNIDVPFHIMNTTDYTGKEDRDICKLIKKEHRTEKLTRLVKLLSWNKGHGILGISYGLYTKLTSEKPGCTEENKVRSILLENPGLLVLDEGHTPRNDRSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKARVGRRHYVSKKQKDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGNILRTLPGLRESVIILKPLPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASLIDKSMLQRLRSNPYEGVKTRFVIEVVRLCEALREKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQASIEAFNNPNNDSRVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVYTYNQIAPA >PVH62438 pep chromosome:PHallii_v3.1:3:19954738:19964209:-1 gene:PAHAL_3G292900 transcript:PVH62438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAGRRARGRRGESPAAARAGAPSSSRARRRGEGPVVVIDLGDDDDDERGGGRGREAAGGAAGRRGGSAAAASPPRSATPPPMMVPAGAVAMRTRSRRRAMEAAVAAPEESGAKRRRKGASSDVAEAPGGRGSKAAGASRSTPRDKRRGRGRNRSRRASEPASTARARKRRGKGSEAETEVEAPARGERVNVSRGNESDDDGGRRDDASDGGNGEARTGSATDKKGNRDRRRATGGDEILEPCVAREVTALDLNHRTDEVVSGDAEEVEGAGDEGGGRDDGSIVDENTRDSGNREQAPIVNVVAEEMAPFEDDYDDEMLEEQLVGDVIRAYSNGEDLDADGVDWEAEDEMEFDDEEQLVGDVIRAYSNGGDSDADGVDWEAEDEMEFDDDADDGDFMDDADEGGMTEPIQDHDKMEMQDLVNHNVVLSEGRCQEAEAEAEEEEAGIKDEVESKGEAAPGSSQQGLHVEILDSDEEEVKVLENVSSAPSRKASVQAKLPTIPSCVAWRTRSSWGMIQDRLSYDTYFDALSDEPKEEEDDTEVELDEEDNNDDDSSETSDEDEEEEEEEEEEEEAERRKLKNRIYTSDDDMIGTTVPTSRYEDTTVPTSRYDIEWEEDEDASVDISQPISFMKATRWNPVAVGNGNDTFTEQQKQSRFTWELERRKKVKLGMKTHPLYEQDLDSDSSSSGSGQIKRYGFKDGDHKVGRKKKHPSSKSGKKSGHATMLKRQSLLKLLIDKMSGDKNGETFPFDQNPQLQFIFKEMHPLVFSFGDEDLVPADKPEQDGALDMLWADFDFALESENIGTYYDDEGQGEGNQLDFALAPVTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIKFMFPSLVSGFAEKSAWPNAKGVKNALMFHDLYEEADSGTEHSQDFHLYGTVWDLIPGVISTMYEHQREAFEFMWTNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWDEEFKKWNIDVPFHIMNTTDYTGKEDRDICKLIKKEHRTEKLTRLVKLLSWNKGHGILGISYGLYTKLTSEKPGCTEENKVRSILLENPGLLVLDEGHTPRNDRSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKARVGRRHYVSKKQKDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGNILRTLPGLRESVIILKPLPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASLIDKSMLQRLRSNPYEGVKTRFVIEVVRLCEALREKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQASIEAFNNPNNDSRVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVYTYNQIAPA >PVH61425 pep chromosome:PHallii_v3.1:3:1973646:1973891:1 gene:PAHAL_3G033900 transcript:PVH61425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >PVH61642 pep chromosome:PHallii_v3.1:3:5489989:5491675:-1 gene:PAHAL_3G084200 transcript:PVH61642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFRSLAPKTRNLVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEDMKKKDAGNNSNAGS >PAN17741 pep chromosome:PHallii_v3.1:3:9846898:9849196:1 gene:PAHAL_3G153200 transcript:PAN17741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYDREFWQFSDQLRLQNNFSNLSIADSIWSSATSPNNPLPGQSVNASSWKPAAASGPGLIGSGSKLAFGNATTTNADRYNYFPAGANAVAPDAKSNTNNSSGGLAFSKSVNSPAAGLGNDYYFSKNAGALNANTNAGGDVIKSYFNKSVGRAASNNNNNNNSNFGVGKKNAAAHDKKKSAGSGNGAGAGVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVKVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEALSLLDIFAEKVFA >PVH61900 pep chromosome:PHallii_v3.1:3:9731454:9733101:1 gene:PAHAL_3G150800 transcript:PVH61900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMIDEGGACWWMVGGGTHATLIPAHHSRTSLLRLPCWLSGLRQGRQPATANSKAEWNFFGWEISKEHGSSVLLADSIPVAVPSSAPPSLQLHSIGLDSSPLPRPRPWMIGSPPAAGHAPSENWRGTWCSGAGAAPTSAPACWRAPPPPGCSSTARAAATPRSPSPPTCCCCSSPCSSSGPRPRASSTGRRPPSRRCASRSGPWTRRQPCCAPPSTPSAPASMTSPRAGTRSSSAGSSSACGPSRSSAASPTSPPSATRVSWLFLRSRHCTRNTKNASTRT >PAN16009 pep chromosome:PHallii_v3.1:3:1431417:1435360:1 gene:PAHAL_3G025900 transcript:PAN16009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKHKDEEATDDTADAAVVAKDDNPKKDPNTKKQPPAKAGTKTKSKAIRERATETEVDFDRPSKFLVVCLNAIRDAVAPEDGGGSIHGAGDWGVELWRCCSAPAPSDVLDTGGSCATVEQTAWLVSTACDIIARKERLGMVVSCPFLLHLVPSQEKAARVRSICKPLKPLGIHSVSLHPGASIEHQISGLKTCEPEFLIATPERLLELMSLKAIDISGVSMMVIDGLQYFLDLSVSDKIFSIRDAISSILQITIFTDPSDQSVASMAKNLLCGRITKLSINDSVSSRSAFVTQSVHFCPSEELKTSKVKEILEQILQSHAKKSSKVLLVAANKKNAQHLSSSLKLGNCTVTDDSHGNSFTICSSVGLMNVHVKDRENMAMTDIEEFETVLVVDLPPSVDEYVEILTGVARQMIGGEVHSIFCNTDAPVAKPLAELLANSSQVVPEFLQKMESS >PAN17716 pep chromosome:PHallii_v3.1:3:9747731:9749916:-1 gene:PAHAL_3G151200 transcript:PAN17716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPGRWVASVLLLALHGLLSLQPAARAEMQGDDGSIEDGSSIHFPVSPPVVPDAAEERRAHFRALEAKDLFRYERLLAMSSPRNGSRRQARQTSKVPDVLSDTSEFAELPMRSALNIAHVGMYLVSVRFGTPALPFNLVLDTANDLTWISCRLRRRKGKHYGRSSAAQTMSVGADGVPVKKATTHFFRPSKSSSWRRIRCSQPECGVLPYTTCEKEFTQAASCSYHQKVLDGTVTIGIYGMEKATVALSDGKMAKLPGLVLGCSVMEAGLTVDAHDGVLSLGNGEVSFGVIASSRFASRFSFCLLSANSERTASSYLTFGPNPAVMGPGTMVTDISYNNYIHVAFGFRVTGISVGGQPLDIPPEVWDDKLRHGGVVLDTGTSVTALVPAAYDAVTAALDSYLGHLPRITDMPGFEFCYNWTFTGDGVDPAHNVTIPSFDVELQGGAVLQADAKSVVMPEVQPGVACLAFRKLLEGPNIIGNVLMQEHIWEFEHRTGVMRFRKDKCTNHHLKGNSTTANIHQAYAPGPSASSDF >PAN21799 pep chromosome:PHallii_v3.1:3:62113817:62115427:-1 gene:PAHAL_3G489200 transcript:PAN21799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPTVLFVAVVAITAAVVHGRRPADGSPAARFWEEVLPGTPMPEALAELVQKGIDHSPLAENFSGPYLSIGMCLGHVYVSVCSVERVKKAGTGLFFHEEQVRVGSTLTVSFSAAGVPAILPHDVAEKVPFGNITARDVATRFNIAPGSTMAAQVGDTLRACQARAGGERHACAASLEDMVRAAMRTLGTAGQVWVAASAVPRAGLPLQPYAVEAVAPLDGDHHVACHDEPYPYAVFRCHKIGLSMTKAYAVSLRGLRGGPEVTMAVICHLDTSDWNPAYPAFEMLHTKPGNSSVCHFMPYANLLFGVKAASTMASF >PAN16164 pep chromosome:PHallii_v3.1:3:2625976:2634511:1 gene:PAHAL_3G041300 transcript:PAN16164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAATEYSIDHKLSKLVEGARPSAAALRAAAQAADAVAELIKRVPQQQATPEAARGFVRDLGLEGEKLAFTFRPPEVVRLAGSHVASAVTRPDVAADLLVRLPKECFHEKDFLNHRYHAKRCLYLCVIEKNLRSSQLIRKVSWSTFQDEARKPVLHVYPATEIADLPGFYVRIIPNANSLFNVSKLNVSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENAEFFSSTFADWKALQEALVLVKVWARQRTSIYTHDCLNGYLISAILVFLTVDSGGSIITKSMTTRQIFRVVMNFLATSKVWAKGLVIQSMKKRTITKEDIANCLKTFDIAIWDISGHVNLAFRMTKSAFVELQDEAACALSCLDKCRDGGFEELFMTKVDFGAKFDSCLRINLKDNSKVTSLSYCVDDESWRILEKDVQSLLQQGLTDRTKMIRVLWRSTPSDWKIMDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRNEAIKFRKFWGEKAELRRFKDGNIAESTVWESESWERHTIIKRITDYVLVKHLSLQKDDLIHVVDQLDFCLLVDGQDLVSSSGALLEAFDTLSKQLRLLDDVPLRISTVQPLDSAFRHTSVFPPEPHPLAYGKNSQRLPNLATTCIRSMEVMIQLEGSGNWPLDPVAMEKTKTAFLLKIGESLEDRGMFVSTSEDEVNVLTSGYSFLLKIFHERGLVLQKQAGDDNIQTALSQDKVLFQRSQHSSMINGLHGRYQIYGPVVRLAKRWISAHMFSSFISEEAVELVVAYLFLKPFPFHAPSSRVAGFLRFLLLLSSFDWTFSPMVLDINNEFNLKDEKEINENFMMSRKSYEQNPHDIEPAMFLATSYDKASEAWTKQSPSKSVLKRMAAYAKSSAELLTNLILNGQSGQYTWECLFRTPMNNYDAVVLLHQEKLCRPDHVLFPADTPNGKLVIWGKPSKDFHPYMPLNKGAVKSLHDARDKLMVNFDPTTYFLRDLKDAFPKTFKLWYGSIGGDAVGLTWENPKKRGREEDETMPEPTSILKEVGDVGKGLVRGVYLLKAPKLQ >PAN21310 pep chromosome:PHallii_v3.1:3:57045565:57047549:1 gene:PAHAL_3G434200 transcript:PAN21310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVLELGTTDSVLEDPDLANRATTPFRELQFPACTEEPNSSPSVDETREASDIIVFEDVDHNAAMEPMISEGHERGKFFTTECLPDANFEEVTMEIDELYNNFCEGLDVQLLEDDWISIDGLLEAPPSLEPAPEAATDDGDGDHTLSKPVDGSRFLSSFKAWTRPESDRAAAPATAGAPQKMLKKVVSGGAWWASNGGDESTAWRTATQESGITKSHVMSERRRREKLNEMFLVLKSLIPSTRKVDKASILAETIAYLRELERRVQELESSKELSTPRPAAGALRHHDAEVVGTRKAASGGAKRKKKGSELDAGDRQMERHYVGSSGGPSNVSIAVADKEVLLDVQCRWKERLMARVFDAVKSLHLNIVSVQSSTLDGLMGLKVRAQFASSAAVAPGMIIEALQEAISSRT >PVH62491 pep chromosome:PHallii_v3.1:3:21650533:21651480:1 gene:PAHAL_3G307700 transcript:PVH62491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRTLELTLVSARDLRAVNLVSKMEVYAVAYLAGDPRSRQRVATDHAGGRDPTWNQTVHITVPASGAGSGAVRVLLRTERALGSDRDVGEVLLPLPDVLAGAGDGPTEAAAACFPVRRVGSSKRHGVLNVSYKLGGVVCPDLVTRAEGAPPVQAGDPSSPMMAYLAAAAKAYAAARPPQCLPPTPYPMAPMGTACASMRSKW >PVH62005 pep chromosome:PHallii_v3.1:3:11639815:11639979:-1 gene:PAHAL_3G176700 transcript:PVH62005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSLDMDVDSPPHLPMETSANKFSLLSFLCHWFHYSFHIYSFFSWIVFSHEP >PAN19035 pep chromosome:PHallii_v3.1:3:15869832:15872471:-1 gene:PAHAL_3G244900 transcript:PAN19035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLVGNPANGVAKPMCNGVGALPVANSHAVIAAPPPAAVAPAGATLGRHLARRLVQIGASDVFAVPGDFNLTLLDYLIAEPGLNLVGCCNELNAGYAADGYARSRGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRVLHHTIGLPDFSQELRCFQAITCYQAVVNNLDDAHEQIDTAIATALRESKPVYISVSCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAAEFLNKAVKPVMVGGPRIRVAKAKKAFAAIADASGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTSFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMDEFLRALSRRLRCNTTAYDNYRRIFVPDRQPLNGKPDEPLRVNILFKHIKDMLSGDTAVVAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDVSTMLRCGQRSVIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNSDGSCWTKKVRTEEELKEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >PAN16740 pep chromosome:PHallii_v3.1:3:5370632:5372995:1 gene:PAHAL_3G082200 transcript:PAN16740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMAAAAPPQQRTGGITRRLARLLRRKRTPAGAGVAYSVAGDEFDDSLDSSINSLSKLKLSGNLAAAYTLDALFKNATEKKGAAQPPQVQTQAQAQPQPSPAPGPEAVAKHAFVASLFAGASAVKAAYAQLQLAQHPYDADAIQEADAGLVAELTKLSDLKRRYTKDPAAAARSAAALAAHADEQRHLLRTYEITARKLEAELRARDAEAARARAALADELRAARALEERAHPGRTLAALDDLHLSGLNATHFLTALRHAVKAVRGFARAVLDGMRAAGWDTAAAAAAVHPGARLRDPAGDARFALESYVALKMLAGFHRKDLGLSSLHARGSLDRRRFFDEFAALKSAPAAEFLDPAGGGGSARWGALREFLRDRYLSVVHERMEAAFFGGRGAVRGGDAFPRTAWFAEFAEMARRVWLLHCLFWSFDGAASVFQARPGERFSEVFMESVSDADGGGTAPVGFTVVPGFKVGRTVIQCRVYLSRSEQRP >PVH61466 pep chromosome:PHallii_v3.1:3:2504565:2505625:1 gene:PAHAL_3G038900 transcript:PVH61466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKILACLEIGIATVQEGDLKILRGLPALIFLKLRVKASSGLVIGNQGFTSLEEFWYESGDVTVTGLKFDKGAMPKLWKLRLRCDARSVVHASPDLGLINLVSLKLVLVKMDCEDSDGPAVKNAEAAITRAAQGSPTCRLEITISVETAGLKFVLLSVVHQPSTRSIPSTTSTRILFIIFRRRSRDNSDLVSSAFRRRLSDY >PVH62436 pep chromosome:PHallii_v3.1:3:19949642:19950070:-1 gene:PAHAL_3G292700 transcript:PVH62436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMSSAEESPVVTGTTSEEEEEKVVLRCCDGEEFAVAVSVARNSGTISNMIDDDCVEGGVPLPNVKAPAMSRVLEYLNRKHSAAWEEARAFEKAFFERMTKEAMFEVILAGNYLHAEELLDAATQCAVDGIRGKSVPELRE >PAN21526 pep chromosome:PHallii_v3.1:3:60640220:60642855:1 gene:PAHAL_3G473100 transcript:PAN21526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAMRSARGGARRPFEPVAVSPPPPSQAGNQLAQEEPVLGAGGKAAEKCGSGSPPVPVSSKKTPAAAAAAGRAPRTATRRRAGSCAKRCSTLGAAAPAAKAKAKAAYDAPRAPLRGVSDERGDRVRAVPSSLPCDEPGQERRGSGASASRKRRTTKSVVVEEAVASLPEPGEGRVKYLVNTFERLLSLAAAGGGPEARGRGARRTRRKNEANATSASTPATPPGAEEIDVSYPSIASSSEVSFSAIAGVACILDASDRTSRITRARGQRRQRTYNFTGASEKTWSRKVTRVTSQQPFNLRTEKRGKAKEENFVQRLRKMQLEERLRNPLAQGLPYSTDEPETPVKPPMKEPTEPIDLVLHSDVRAIGRSKFDHQVAERNSFIEEVKLERERQQKVDEEIEIKQLRKELVQRAHPMPDFTRPFVPKRSVKPQTIPREPRFHPRLMRHVSKA >PAN19215 pep chromosome:PHallii_v3.1:3:16875340:16876706:-1 gene:PAHAL_3G256700 transcript:PAN19215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALTVAFSILVVLAGAVQSARGGRLACGELPPDACALAVSSGGMRCVLERTPEGAHRCQTSAVRGARGLAAGAGWVETDACVRACGADRAALGFPVASAAAEDRRALRALCSPACQHGCPNVVDLYATLAAGEGMSLPALCEAQRNAGNRRMMMGGMAPLGAPVVAPVSPPAVAPPAEPPVVAAPPCEEW >PVH62641 pep chromosome:PHallii_v3.1:3:33887382:33888125:1 gene:PAHAL_3G351900 transcript:PVH62641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFHSQWQPIKVNVVGHRIVDTIEGAALEAIYLFCNQHPREVVGQPIGLFSTTDPNDPEWNLRAVPEGHRLEGSTEEALQGTMRFMSVQHHYQLLLHRSMGQLIGIAQGHFRNADQQVTQTAQLQAMVAEKDEIITAREETIHHREDQINESDAIITQRNTIIESLQEQIHNLILEVDDAQAQINELQQQPAPPAVPAPEEEEDPEEVEGVSEIDSEHGDPVISPHHSSSGNQSSVGNFDDF >PAN19320 pep chromosome:PHallii_v3.1:3:17595479:17595970:1 gene:PAHAL_3G264800 transcript:PAN19320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKTMKAATATCMPRLVRGGGCRAPTARPAASVSLLERIRDVVLRLIMLSAVSRASVQRTSSTGSGKSSKASRHGDSDAAVAVTCRRDDSIRNEAVEDCIEFLKRSSAEGDAAKLSSATAADAKLSGVTTTTAKLSAVGGEEKAFFVLNVENHEHI >PAN16367 pep chromosome:PHallii_v3.1:3:3476558:3484022:1 gene:PAHAL_3G055500 transcript:PAN16367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAPTMSACAPVPALQPDERADLLSLLAAAARPLADVVADFLARFPRERRLRVGGALCFLLEDKKMLHPTGRLIAFAILHQSYSPQTANPYVPMLLNGACDETSDKSEQAFVQLLLTSSSGNNNNEVLNQSAVDYINGSLSASQVLLPREQLEKQYCSNGVQSQPQISSFRSAAVRSVIPDPDVPQSCANSSESAISLPGSKQKSASDDRDSALAGLLQEKSGARLGPQWIRPTPPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVILELANDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDISGYFAGLVHMDMSLHSMEVVNRLTTAVDLPTEFVHEYITNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >PAN16368 pep chromosome:PHallii_v3.1:3:3476941:3484022:1 gene:PAHAL_3G055500 transcript:PAN16368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPTGRLIAFAILHQSYSPQTANPYVPMLLNGACDETSDKSEQAFVQLLLTSSSGNNNNEVLNQSAVDYINGSLSASQVLLPREQLEKQYCSNGVQSQPQISSFRSAAVRSVIPDPDVPQSCANSSESAISLPGSKQKSASDDRDSALAGLLQEKSGARLGPQWIRPTPPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVILELANDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDISGYFAGLVHMDMSLHSMEVVNRLTTAVDLPTEFVHEYITNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >PAN16546 pep chromosome:PHallii_v3.1:3:4333684:4339489:1 gene:PAHAL_3G068100 transcript:PAN16546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPNLLRFLKPFIEPVHINKYAGKRVGIDAYSWLHKGAYSCSMELCMDPKSAAARRYISYFMHHINLLRHYKVVPVVVFDGGSMPCKAATDNERQRKRELSLNMAKEKLEQGNTAAAVDLFRKAVHITPSMAYQLIQILRSENVEFVVAPYEADAQLAYLTTLDADHGGIAAVVTEDSDLIAYCCPAIIFKMDRFGNGEEFTMERTLKAEKHGLSFRDFDQQLFTGMCVLAGCDFLPSISGIGTRRAYSIILKYKDINRVISNLKLDKRYSVPDDYADSLWKTLAVFNHARVYDVKSKSLKHLKPLNAQHLTYLDGDLDILGPALSPSIARGIAEGHLNPITMKAFDQYTRIISPIGFLDTSAFDIANVCGSQEISTQKSCITILSSQESKETMLVDEISSDGQKCKKGVLALGKFLLEKQSPRVESNQVDLKNIPENNPFKKRKLPVDKGQELGQNELLTDLEDEKSDLSCSALSQESNHTIKDTKQLGFGQEDYDEPSLLVNEVPVAICSSLTRHSVKSMPNKVAPKKQKILKRSMDKANGKVNGSSGILKFFTRL >PAN20641 pep chromosome:PHallii_v3.1:3:27784031:27785506:-1 gene:PAHAL_3G334700 transcript:PAN20641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDEQQPLHVLFFPFVAPGHLIPVADMAALFASHGVKCTILTTPVNAAVIRSAVDRANDAVRGTGAPAIDISTVPFPDVGLPPGVESVVEISSEADRFRLLEAIRLLREPFDRFLADHRPDAVVADSFYPWSTAAAAEHGIPRLSFLGTSMFARACNASLLRSNPLEGLPPDDPDAVVSLPGLPHSVTLRRDQVMDPRKNELEWNYEKLTNAADQRSYGEVFNSFAQLEPDYVEHYHTTLGRRVWLVGPAGHASEDLAARGGAGRLAPEAERCLRWLDEKPDGSVVFISFGTLTRFTAAELWEVARGLQQSGRNFVWVMSESDTDASQWMPEGFAELIDPGEHGFIFWGWAPQRLILNHSAVGGFVTHCGWNSVLEAVSAGVPLVTWPRYGDQFYNEKLILEVLKIGVSVGARFSASKLEDRSEVINGEKIAEGIDRVMGGDEEAEAIRKKAVVLRGKARSAVEKGGSSYDDVEQLITELMARRSSVNV >PAN20642 pep chromosome:PHallii_v3.1:3:27783863:27785972:-1 gene:PAHAL_3G334700 transcript:PAN20642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDEQQPLHVLFFPFVAPGHLIPVADMAALFASHGVKCTILTTPVNAAVIRSAVDRANDAVRGTGAPAIDISTVPFPDVGLPPGVESVVEISSEADRFRLLEAIRLLREPFDRFLADHRPDAVVADSFYPWSTAAAAEHGIPRLSFLGTSMFARACNASLLRSNPLEGLPPDDPDAVVSLPGLPHSVTLRRDQVMDPRKNELEWNYEKLTNAADQRSYGEVFNSFAQLEPDYVEHYHTTLGRRVWLVGPAGHASEDLAARGGAGRLAPEAERCLRWLDEKPDGSVVFISFGTLTRFTAAELWEVARGLQQSGRNFVWVMSESDTDASQWMPEGFAELIDPGEHGFIFWGWAPQRLILNHSAVGGFVTHCGWNSVLEAVSAGVPLVTWPRYGDQFYNEKLILEVLKIGVSVGARFSASKLEDRSEVINGEKIAEGIDRVMGGDEEAEAIRKKAVVLRGKARSAVEKGGSSYDDVEQLITELMARRSSVNV >PVH62824 pep chromosome:PHallii_v3.1:3:52787479:52791962:1 gene:PAHAL_3G409300 transcript:PVH62824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVWTELHPIIQADGKKKLPVASSTLKKDEKEYFRFFSSRRRPPPSPDLHPKRGTPDLLLHRPRLRPSTAAPLTSTAWRPGPLPPARHPKAPSRAGPDLLRKAPRTSAPDPRPPPAPSSIQPLTGAGPLLLLPRLPPAPFPNSSAAIGTHSSPAGWSVHFQSGSFAVKCID >PVH61399 pep chromosome:PHallii_v3.1:3:1414262:1415723:-1 gene:PAHAL_3G025500 transcript:PVH61399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSNKVSRLSWSSSFVSTSMQSMVYPSDVVAADTPRRMLRARSGKATVGDARRFLMSRSKSLKQLRWPAAGRHLMGCVVMGRSNSPVRGGWINGETDLPLSFSYISAASNDDYSSVNFQFQSQADRTNAKPSTVVFESSGNVLTNAPETSLGGAALALHYANLIMLVERLAISPLHICSDERDDLYGMLTDSIRASLRARLKPFAKNPPPCDPILAAEWSDTVQRILGWIAPLAHNMVRWQAERNFEQRNVASSASVLLLQTLHFADRRKTEAAVTELLVGLNYLWRFGRELDAKAKLESAG >PAN18375 pep chromosome:PHallii_v3.1:3:12916564:12919219:-1 gene:PAHAL_3G197300 transcript:PAN18375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os05g0541200)] MGDGAAAVPSRFRTICVFCGSSAGHRKVFADAALELGHELVRRGISLVYGGGSIGLMGVIARTVRDGGCHVLGVIPKALMPIEISGESVGEVKVVADMHQRKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLIALFEKGATEGFINPDCRQIFVAAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGKGGAPPEHS >PAN18374 pep chromosome:PHallii_v3.1:3:12916564:12918862:-1 gene:PAHAL_3G197300 transcript:PAN18374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os05g0541200)] MGVIARTVRDGGCHVLGVIPKALMPIEISGESVGEVKVVADMHQRKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLIALFEKGATEGFINPDCRQIFVAAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGKGGAPPEHS >PAN15666 pep chromosome:PHallii_v3.1:3:636755:639519:-1 gene:PAHAL_3G011400 transcript:PAN15666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAFPDRSSSSITTTRIHPSESETTRPLHLPHRSSGGDPSGRAASSAAALRYTVWKRSSMGFPGTDGFCVYDTAGALAFRVDNYSRRRKIFVGELLLMDGQGSPLLALRPQIFSTHDQWNCYKASEESQGERTRSHQLFSMRKCSVLQKGHIAEVSMTGCSTALDRTSHGPSFCVEGCFRRRSCKIRNSDGEEVARIMRKKAEAAASSLMLGDDVFSLMIQPNVDCAMIMAFIVVLDRICWRPFTPMICSS >PAN17597 pep chromosome:PHallii_v3.1:3:9171396:9188436:1 gene:PAHAL_3G142700 transcript:PAN17597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDACEQLSFLPPRNMSRPAHFALAFAKHKQSLSSATASMNREAPLPIARSCLAATAAPHPTEGAPPTPPESRCTSHGLAHTRRPRPSSISLTEEWKANKPSGAEAEPSPNPKPDRPPRRRRRSAAAPAAAVIPALSVPPTRGAGSLMRSTPWHPTFPFPAAPAGFLLLLALFAVASGGPGPRPGGFNRGEADGAEAYSILTFHDYTPPPPPALPPPPAAPAATCAGDLRGVGDLNTQCVVQKSVRLGGGVYISGNGSLVILGGVAVTCERPGCVVSANLSGGILLGQRARVIAGWVSLAAANITLGDGAVVNTTALAGNPPDQTSGVPTGTYGDGGGHGGRGASCFVKKGQAQEDSWGGDTYAWSALKTPNSYGSKGGSTTVEKDYGGGGGGVVWLFAKEIVLNGTVLADGGDGGTKGGGGSGGSIYLKAAAMRGGGKVSACGGDGLAGGGGGRVSIDVFSRHDDAQIFVHGGKSSGCLDNAGAAGTLYEEVPKSITVSNDNLSTQTDTVFLDPPYEPLWTNVLIRNRAKVSLPLRWSRIQAQGQILLAGATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMTIDGGRESGVATSLLEGSNLIVLKEASVIHSNANLGIHGQGVLNLSGQGDTIEAQRLILSLFYNIVVGPGAVLRGPLINGSSGDMAPKLNCEDESCPMEIFHPPEDCNLNSSLSFTLQICRVEDIDVSGLVQGTVINFNRARSVTVQTSGIISATGLGCRGGIGQGKVISSGISGGGGHGGKGGDGSYSGDHAEGGPAYGHADLPCELGSGSGNVSASSTAGGGIIVMGSLEQSLPNLSLSGSIEANGGSFTGLASHATIGGPGGGSGGTILLFVRTLLLKKDSVLSSVGGIGSNGSGGGGGGRIHFHWSDIPTGDDYVPFATVKGTIVTRGGISEGHGFPGENGTITGKDCPKGLYGTFCKECPSGTYKNITGSSRSLCSPCPPNKLPHRAVYISVRGGAAETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWWFGLLLSGLLVLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYIILCILSYPLAWSWQQWRRRMKLQKLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRTDLPPRLHQRFPMSLIFGGDGSYMAPFSLHSDRVVTSLISQAVPSSIWHRLVAGLNAQLRLVRRGNLNATFLPVLKWLETHANPVLNTYRVRVDLAWFQTTALGYCQFGLVLHALGEAVAAELQGDSVIKTDQHSVNQNTYADSQLGHSMTNDALLRKRITSTILNVENLKMLKDRRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVDVLLVLFILPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVIVAFVCGILHYKSSNKKHPSMQPWNFGGDETSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNNPTIFWQ >PAN19879 pep chromosome:PHallii_v3.1:3:21209539:21212413:1 gene:PAHAL_3G304900 transcript:PAN19879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PAN19883 pep chromosome:PHallii_v3.1:3:21209554:21212413:1 gene:PAHAL_3G304900 transcript:PAN19883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PVH61602 pep chromosome:PHallii_v3.1:3:4825476:4825881:-1 gene:PAHAL_3G073500 transcript:PVH61602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGPRTAKGAQVQESLFPFPPRGGREPAQPNRVPQSTDMHPELNPRVPPHSFHRTQPNPDLFLASRLSPPFVRAGAENNSRPALDPIRLPVRAHRILPRRRRSYGATTLA >PVH62036 pep chromosome:PHallii_v3.1:3:12414209:12415520:-1 gene:PAHAL_3G189500 transcript:PVH62036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFVVMAGRLLTESTLQSAIDEASDAPSSTMTACDDAAVEEGRATSSVLVECRICQDDDDEAYMEAPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQQFTPNYTTSSKLFQHGRNTIFFSAPGYIQARPYADQTSVTSTSYEYDRQTSTPTGVICCRIIAITLMVLLVLHDALSVLLGDQGAYTVAMLTLLMLRTAGVVIPVYIILVAVAELLHRRSRRQGVHEQVSETVGAESAQPQQHVISIQ >PVH62035 pep chromosome:PHallii_v3.1:3:12413731:12417635:-1 gene:PAHAL_3G189500 transcript:PVH62035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFVVMAGRLLTESTLQSAIDEASDAPSSTMTACDDAAVEEGRATSSVLVECRICQDDDDEAYMEAPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQQFTPNYTTSSKLFQHGRNTIFFSAPGYIQARPYADQTSVTSTSYEYDRQTSTPTGVICCRIIAITLMVLLVLHDALSVLLGDQGAYTVAMLTNSGSRHTGVHHTGSGCRAASSAQPAAGCARAGFRNCGSGERAAAAARHQHPVVCAGCGTFNELERRTEVQMKFACTALQLYIVGVYLAAAGSFV >PAN18254 pep chromosome:PHallii_v3.1:3:12413964:12417072:-1 gene:PAHAL_3G189500 transcript:PAN18254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFVVMAGRLLTESTLQSAIDEASDAPSSTMTACDDAAVEEGRATSSVLVECRICQDDDDEAYMEAPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQQFTPNYTTSSKLFQHGRNTIFFSAPGYIQARPYADQTSVTSTSYEYDRQTSTPTGVICCRIIAITLMVLLVLHDALSVLLGDQGAYTVAMLTLLMLRTAGVVIPVYIILVAVAELLHRRSRRQGVHEQVSETVGAESAQPQQHVISIQ >PVH62037 pep chromosome:PHallii_v3.1:3:12413732:12417640:-1 gene:PAHAL_3G189500 transcript:PVH62037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFVVMAGRLLTESTLQSAIDEASDAPSSTMTACDDAAVEEGRATSSVLVECRICQDDDDEAYMEAPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQQFTPNYTTSSKLFQHGRNTIFFSAPGYIQARPYADQTSVTSTSYEYDRQTSTPTGVICCRIIAITLMVLLVLHDALSVLLGDQGAYTVAMLTNSGSRHTGVHHTGSGCRAASSAQPAAGCARAGFRNCGSGERAAAAARHQHPVVCAGCGTFNELERRTEVQMKFACTALQLYIVGVYLAAAGSFV >PAN17116 pep chromosome:PHallii_v3.1:3:6932727:6933832:-1 gene:PAHAL_3G108600 transcript:PAN17116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSRCKAMLLLAFAAAAALNTASLAQSPLQNNFYGSSCPQAEATVRNVTEGIIAKDRTMGAAFVRLFFHDCFVRGCDASILLDPTSSNPQVEKKAIALRGYDAVNKIKAAVESVCPGVVSCADILAFAARDSAVVSGGFSSFDMPSGRRDGVLSNFFDVLQNIPSPILKLQDLIKNFAAKGLNVDDLVTLSGAHSFGQAHCSFFNGRLYPTVDPTMDATYAAALKTVCPAPGSNGGDPVVNNNRVTDPNVLSNQYYSNLVTGKVLFVSDQQLMNSSYTAAKAANSSADAAAWMGQFAAALVKMGGIQVLTGTAGQVRKYCNVIKS >PAN20046 pep chromosome:PHallii_v3.1:3:22767031:22770846:-1 gene:PAHAL_3G314700 transcript:PAN20046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAHPRLGPPAAARSPSNVPQRPALLPPALPFPAARLRLRRLPELSPPATRHRGPMHPHDATVAPGGAGGCSFPELTCPADFAAIAAPGGRISVVGFGSLLSERSARSTFPDLEGFRVAALRGFRRVFAHAAPIFFERGIAVEATKEFSSLSVEPCEGGLIVVTVFEIKEEEVPAFIEREHEFRFLAVVPEGLDGVLFTNQAVVCARYSDEEYFKERCQGSKVIYNQRYGRFNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLDTTGAGVMEEEPPESLKSRYSG >PAN18703 pep chromosome:PHallii_v3.1:3:14248621:14251256:1 gene:PAHAL_3G219600 transcript:PAN18703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLLLLLLAMFAGSDAAFCVCKPGTPDAMMQKAIDYACSKGADCAQTTQGGPCYGNGNKVAVCSYICNSYFQSKSSMGATCDFGGVATLTSTDPSSGTCKFASGPSSVGTGGAGMGTGGTGAGTGGMGAGAGTGAGTGAGTGAGTGGMGAGTGAGAGTGAGTGAGITTPGSTLSPPFGGTGAYGPSGAGTGPDYNDAAAPVAAGRHVAAALLLAAAAPLLFR >PAN18702 pep chromosome:PHallii_v3.1:3:14248621:14251256:1 gene:PAHAL_3G219600 transcript:PAN18702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLLLLLLAMFAGSDAAFCVCKPGTPDAMMQKAIDYACSKGADCAQTTQGGPCYGNGNKVAVCSYICNSYFQSKSSMGATCDFGGVATLTSTDPSSGTCKFASGPSSVGTGGAGMGTGGTGAGTGGMGAGAGTGAGTGAGTGAGTGAGITTPGSTLSPPFGGTGAYGPSGAGTGPDYNDAAAPVAAGRHVAAALLLAAAAPLLFR >PAN18722 pep chromosome:PHallii_v3.1:3:14321317:14326975:1 gene:PAHAL_3G220700 transcript:PAN18722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWRRSASWLSSASRSSLGGAVGGEAKVTPEVDPAAQEVVEEEEVDEERWSRLLPELLTEIVRRVDAGAERWPLRRDVVVCACVCRRWRDAAFSVVRPPVEGGRITFPSSLKQPGPGDAPMHCFIRRDKKNSTFSLHLSLTQALTDKGKFLLAARRFRQGAHTEYIISYDYDDLHPGSTSYIGKLRSDFLGTKFIIYDSQAPYDGAKPARSRSSRRFASKQISPQVSGGNYEVGQVTYKFNFLKSRGPRRMQCSIQCPVGQGAASDLSKEKTPAPNSLDLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >PAN19524 pep chromosome:PHallii_v3.1:3:18744633:18747190:-1 gene:PAHAL_3G279500 transcript:PAN19524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAVRRRILPLPVGALAAAFSSPAAADDPAVSYLISRCGLSPAAAARAAPSTRLASPGAAEQADAVLALLRRYGFSDAHISATVRKLPIVLVSDPAKTLQPKLDFLASVGVSAPLLPRLIALSPILLHRSVQDHLAPLFAALREVLGGSDDRVITVLRQMPFIARCQPKVSLLRVVPLLRDVHGLSADQVATLVALQPAVIMQSPDRINEIVAAARRVGVEPGSPMFAYVFAIFSKMKAATLQSKIALFRRLGFDDDSITHMIRWYPASMATSEKKISEIVGFLTGKAGLSLEDIVAYPTMLVRSLGAHSRRCAVFAVLRRAGKRSGQYRLPVALVSTEARFLQVYVLPHADELPDVLRAMKGEIPFEGFDGSKEKRLRARKKKMSA >PAN17026 pep chromosome:PHallii_v3.1:3:6541660:6543073:1 gene:PAHAL_3G102500 transcript:PAN17026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRVRCGCGDSSCPEWAVVELQGAVQPQASFSGDIRGLHIGRLCSAPSPSSSKGGYTFTVGYHELAGTKVTLKKPLLVLRKKKLTGGAADQETPTAEVVELEVIGVIRHKILFKDRPKALISKPPTKEKAAVQPAAN >PAN15638 pep chromosome:PHallii_v3.1:3:766393:767386:1 gene:PAHAL_3G013700 transcript:PAN15638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKQDRRRSVANGTASRKETNKVVHYRECQRNHAAAIGGYAVDGCREFMASGAEGTAAALMCAACGCHRSFHRREVEADLDCSSTTTSG >PAN16713 pep chromosome:PHallii_v3.1:3:5246309:5247211:1 gene:PAHAL_3G079500 transcript:PAN16713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMLPCLVQGSSSIVPPMNTTKHWSRSMKLLVRTLRRSTERPHGSSSSVKAAASSRETPAADQQAARRTRRGPRGGQNRRAPVRVKVVLTRAAAARLLSLTAHGDRTAAQVVGELKRMQAAAGSSSRASTAHQVINELKRTEELLVGRANTSPSSASTTTWRPVLESIPEWP >PAN18837 pep chromosome:PHallii_v3.1:3:14935028:14936978:-1 gene:PAHAL_3G229300 transcript:PAN18837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKNLGNEQQAQKKPDAVTDNVPSLDQEALKQFSDSDAGNISPANGHEADVNMEAAISTEDVMRAGGFGAKDDIGSLLPTAIDSTDFEASLRDARDFEGEKEAPSHPGLGWKAERDDVGSKPSDVPQQLP >PAN18836 pep chromosome:PHallii_v3.1:3:14934947:14936988:-1 gene:PAHAL_3G229300 transcript:PAN18836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKNLGNEQQAQKKPDAVTDNVPSLDQEALKQFSDSDAGNISPANGHEADVNMEAAISTEDVMRAGGFGAKDDIGSLLPTAIDSTDFEASLRDARDFEGEKEAPSHPGLGWKAERDDVGSKPSDVPQQLP >PVH62760 pep chromosome:PHallii_v3.1:3:46555771:46556544:1 gene:PAHAL_3G390000 transcript:PVH62760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFWYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKAKFYRTAIRQAMLYGAECWPTKRRDRVWNEEIRDRVGVAPIEEKVIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDKTVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH62844 pep chromosome:PHallii_v3.1:3:53732073:53733661:-1 gene:PAHAL_3G414200 transcript:PVH62844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASNQEREKNRLRLRTSIAVVKWLTFQACSLRAHDEKLESKNRGNFIELIKLLAEFNPEIAAVVLENAPQCAKYTSPDIQKEILSIFALKIRKHIREEIGDQKFSIIVDETCDISKREQMAIVLRFVDIDGVLQERFFDLVHVRNTKALTLKAEICYVLSTYGFDVQNLRGQGYDGASNMRGELNGLQALVLKECPYAYYVHCYAHRLQLALVAAAKDVVPVTQFFQKLLFIVNTVDSSAKRHDELHDAQVVELARLLAVDELETGQGANQIRSLKRPGDTRWGSHLGSISSLMDMFNPVSTVLQNLAADSTAGTNRADGDTSFNYMISFEFVFILCLMREILEITEQLGQALQKKSQDIVNAIRLVQTTKILLEKMRSDDGWETFICKVMEFCVDHDIDIPNMDETYILRGGRARRQPNHFTTDHFFRVEVFRATLDTQLAELNLKFNEKVIGL >PAN18255 pep chromosome:PHallii_v3.1:3:12417618:12420295:1 gene:PAHAL_3G189600 transcript:PAN18255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQESLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSVLVWDLTNPVHSTPESGVAADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVISVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDHGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRSTLDGHGGYVNAVAVSPDGSLCASGGKDGFTLLWDLTEGKRLYSLDAGAIIHSLCFSPNRYWLCAATQDSVKIWDLESKHVVQDLKPDIQISKNQILYCTSLSWSADGSTLYTGYTDGSIRVWKISGFGYAG >PAN16168 pep chromosome:PHallii_v3.1:3:2651864:2654448:1 gene:PAHAL_3G041700 transcript:PAN16168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPRDDPKKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEVQLQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEQINLKFVDTSSKFGHGRFQTTDEKQKFYGKLKT >PVH63000 pep chromosome:PHallii_v3.1:3:58711916:58713207:-1 gene:PAHAL_3G450200 transcript:PVH63000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNNQLEIALSAFLGEIAQRSVSFFIRKLSKEATSLPSDETLHRKLLRICIIVEEAEGRQIRNQAMLEQLKVLRAGMYRGYYALDTFKYQPYKEDEGEDDEVSHYYSFAISKFNPAKRIQLRGRSNQQGGERELHQVLGSLDVTITDAREFLMFLKGCPPLYHRLYSTYMVLEKCMFGRHVEMEHIINFLMQKCAPVYRRFRCPTDCCWSAESR >PAN15786 pep chromosome:PHallii_v3.1:3:1071451:1073855:-1 gene:PAHAL_3G019800 transcript:PAN15786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKIGEMGGAGGSAHYGPIRVPPTPPSSRATYIISLAPAAAAFLPTPCRRRRRLPTPRGFRSPVATMVKYSREPTNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEVESQIATRKA >PAN15951 pep chromosome:PHallii_v3.1:3:1218272:1221313:1 gene:PAHAL_3G022200 transcript:PAN15951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPLGSPGARPAGAAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVAAANTPRSPFLTHHYLPINAPSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDEGAPGAGGEAGGSDGENFPRSDADDSLVSLTMNSTLMYQGQEMAAAVAGDARKGNGGRGSPSNLYFPNGFP >PVH62138 pep chromosome:PHallii_v3.1:3:13881405:13883466:-1 gene:PAHAL_3G213600 transcript:PVH62138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLEIFILLEDLLTLPPFKPPRSMEDSPPEKQISTPPASMENYVNVDSGDELPRTKKRILWTQEEDVRMMSSCLHNSTDPSIGADRKNEQYWNDVADTYNETTPSHRRRNAKQAKDRFHKVNRLTDLFHSAWVKARRIFTSGYNDQMWIEKAHVFYIEDNKDKGQKLGPFVLMDVWYAVRNEAKWITHNIGLKEARKKKSSDKEKEGEDVDHMDVDELEDPPRPMGQKRAKKAALEKNSKRNITPAFLSY >PVH61869 pep chromosome:PHallii_v3.1:3:9360994:9362755:1 gene:PAHAL_3G145000 transcript:PVH61869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYTTHIHGGSPHLHPIIHFYHVLLATCLLLPPLTCHHLKPQNKSTLLCLPSLQPPSKNIHILLGAALPPLPPLTMDGQCSEEPRMVLASRVPSVDEIGHPADVRGGGWGRALHVGGGGGVVGHGGFFAEPLEHLLAEGSPGLHPLHHRLLVPDKPEVEALHGHDGGAGGGGNRHRGRSLRGGREAVQRGRGLGGPERVAGQERAAGRRRPRRAAAAEAELAQRGEDLVDGRADPRPGAAAERAGGVRRHWRGLRGRRPRRRHERARRGRGHDAALGEVALERLAAERRAVVRLGERRRRRGAPGAGLLRRQDAELVRRVREEADAAAAGGAVLAGLRPVARRVEPALEHAVRELARVAALAPALAEVRARGAGVVVPPRVAAAGLLPRVRVRAVRPVVAPPARAPAHLELVHPELVAGVGVHRGRRRQGLLVVLFVRRRRGLVRRQ >PAN17157 pep chromosome:PHallii_v3.1:3:8116150:8117170:-1 gene:PAHAL_3G125000 transcript:PAN17157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPAQGGAMPRSVARDRQKNSSSSQRDHCTPEILCVKVSDNAWRRLERRRQKMDSLFFNLLCELRSWPESLRKMYDRLSM >PVH62777 pep chromosome:PHallii_v3.1:3:48620210:48621845:1 gene:PAHAL_3G395100 transcript:PVH62777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSKHRHDSSDDEEEERKNKKRDLGKEDPMTRRPSSSQRRRAHQKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLLHLLCASWQRYTKVCEFDPNGFTNLINEYTSVIKREKGKVKFFESTHAKLELAHSDLLIEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKCGELSRGEYIHKEILFNNARDYPNMATTLSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPNLPKNYSSIEGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN18728 pep chromosome:PHallii_v3.1:3:14348217:14349228:1 gene:PAHAL_3G221200 transcript:PAN18728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHAEVPARAAAAGIKLFGKVITTQQQSQAPHHAGAGAGAAAGSAPPRLLQQAASGRGGADLLEEAARARAAAAEARLPCARCRSRDTKFCYFNNYNVNQPRHFCRACHRYWTAGGAIRNVPVGSGRRKNRPVLPPPPPAPHAGDATATATSADHVSESVSPPVFTTASGGLAVPYRGSPFHLAPSPACTAAGLPETAGQYWWLVAGGAARAVAPDRAF >PAN21655 pep chromosome:PHallii_v3.1:3:62324995:62325789:1 gene:PAHAL_3G491100 transcript:PAN21655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSKHGDGSLYEPRVDLFRWLFSLLDTGETSMQPMRLTLPTAECVPTSTASCGRHVGGPMLQIFSVKLAKLPRPAAAAGPVKLFGFMAVRDLMDPLRNYVFNRSRGRPQPQQGPGRWTKGSERRSGMTRG >PAN17054 pep chromosome:PHallii_v3.1:3:6658458:6659956:1 gene:PAHAL_3G104400 transcript:PAN17054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAALTIRSTSQRPAVAAAPAHGHRRAAAAVPRRRQAPCGRLRALPPELSEIISPKLVPGSPSDTGDVSSLIPVSALMLLFYFVSNWVVPELLMKRLQPKAEDQEASAAASMLFSGDATDGQSDGDASRPKIRLKVTKKKKKNKKAPVEI >PAN18538 pep chromosome:PHallii_v3.1:3:13585709:13590729:-1 gene:PAHAL_3G209000 transcript:PAN18538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWDGIPERERRQMEEILQLDMEELNVEVVEDDEEAEEEDEGDGGGDDDDVDAFLRANDGDGVASTSGPFTFNTSLASLHTYLGEVDDTRGRVPLLDGGTVINLPMFYLQGIVLFPGATLPLRVIQSELVVTIDKALGLVDAPCTIGVVLMRQHSNHRHYATASVGTTAEIRQLGRLDDGSLNVVARGQQRFRLRRHWIDVDRVVWGEVQIIEEDTPLRTPRDAFAQLAASNRFNLHSSSSVISLDMSPIKQDHIDSELECSTPSPNSNASSRSSMDTKLCHLGSQSSDSKKSFSDEEVDLMHQQWRQKRRSVRESGASSHLDKKTNISNEEDLCLTPLKPLLTARTRDTKRRRQYHAYSKQASQAPLSFWPQWVYEMYDSYTLARKAAELWRQIIAKPSMDDHVRKPDILSFHIGSKLPVSESVRQKLLEIDGISYRLQKEIQLLKAFNLIKCRNCQSHIAKRSDMAVMSTDGPLGAYVNPHGFVHETITVSNATGLALTGSPSTVHSWFPGYSWTIASCAACESHIGWLFRATKKNLRPRSFWGIRSSQIADDAQVDQSDDAPSFHAYIEL >PVH61780 pep chromosome:PHallii_v3.1:3:7761793:7762785:1 gene:PAHAL_3G121100 transcript:PVH61780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSEDWDRKDEMPVDERLKVLAAAAGEPKRKRPRPCDVAVGVAGGGRPAASGSASVEKEEPSSGAAPASRMRPESAAAATTAVAVEDTDALDCGVCFLPLKPPIFQAMAVSLSTHKICPSCKHGAGDGCDGEPASQAGRAAQGGVRPAEGREEEDRDSLTGARGCACRPPQDRRRATGAARRPCQALGAQCQGIILRHGGHR >PAN19043 pep chromosome:PHallii_v3.1:3:15904676:15912484:-1 gene:PAHAL_3G245500 transcript:PAN19043 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MASRAQPPPPPPPAHLRPRLHAAPLFSPRAPRCRGGRSRLLSALPSPSPSPPSRSQRVSTVPPIEREPGPAHSPEHQPRGDPALAAEVARLSAARARLRAARTLGDKLRALDAEPRVAAFFGEEPSRGVLGALEPREALLLKCLVAAGQEHVLGDELEWYSGGADHHEHHHRNGASGGSALREALYSLAGLVGKWSSEGVVGAEKGSEEMQVLGRLLKFLGDIEEFYDCIGGIIGYQIMALELLSSSKDRKHRPSKDKFVGFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVNSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGGQCVTPVAIMTSSVKNNHEHIIAIFEKLDWFGRGCDNFRLFEQPLVPVVSAEDGKWLISKSLFPVGKPGGHGAIWKLAYDRGIFQWLQQRGRKGATVRQVSNVVAATDLTMMALAGIGLRCNKKLGFASCERRPGATEGVNVLIEKQNLEGVWSYGITCIEYTEFEKYGIPEPTVTGSSQVSYPANTNILYVDLQAVEEVGSRKNASCLPGMVLNLKKAVSYVDHLGFECSAAGGRLECTMQNIADNFVNMYNYRCGKGIESELDTFVVYNERKRVTSSAKRKLKSEDRSLHQTPEGSLLDIMRNAHDLLSRCSIDVPMIKDNSEYLHSGPPFLIFLHPALGPFWDIIRQKFLGGSVSKGSELQIEVAEFLWKDVELDGSLIILADNIMGSTKKNKNGEQILHYGARCGRCRLQSVKIVNEGINWSSPNNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHVFEVPDGQRMCIVQDRAGFVVKLEPISQELMDSGTWHWKYTVDGAHVKLNMVEL >PVH62692 pep chromosome:PHallii_v3.1:3:40148464:40148868:-1 gene:PAHAL_3G371700 transcript:PVH62692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTILLVALLLAAATVSTAYVTIIPGPKARRSRFLLANRAVYSTPLPSYDCSKKTVAVCLAPGSPGPACCDGQCVDTVASADHCGGCNKICKHGRICCGGRCVDLLADKDNCGKCFNQCNNKCTYGFCDYAQ >PAN21565 pep chromosome:PHallii_v3.1:3:61002576:61005830:1 gene:PAHAL_3G476800 transcript:PAN21565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWNCNNSPQLLPPPSAPCMPIASKLLYFQRRPSPAPPDPGPEPPDPRRRASRDPSARQRRRSSLSSHHKPGQEPVPGNQRDSTKSLGPAGNTAEHVAISSITGLSRRVSDHGRLPDAVQQARERLLQRLNSVDLSGRRQKTCPSETIWADFSSDCILGTLTNCFQPGDSIAASKVEEGATEPVGSNADERTPISPFSEPIPEIQHSVCNGAAEEGDDRTCEPPAECSICLERCGGADDGLTQLRCRHVFHSACLERWLRSRGCCPYCRATVARS >PAN17881 pep chromosome:PHallii_v3.1:3:10366889:10369325:1 gene:PAHAL_3G161200 transcript:PAN17881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASPNLGYGGNINSLNNLCHWSTVQQAVEYQDTAIDILVDSNFSKDNTRALKRKWIDMAGVEVPENPLLTLGLGRSPSSSENSKVSSPTACIMSPSSVKETDEESSMDLGLNFNLCLGHDMVHHHKKPHPGAEHTPSASAPKLNLQLSLSTCSPESAVTNASTAALDVHHGLETVVPNSVTDTTGRKLEPSSWVFGHYMASSSYASEAAYSFSLGKIPQKVDDAVPSPDVSSAITASVKSPAACTSGATNPLKRNTNTKCCQFPGCEKGARGASGYCIAHGGGRRCQKPGCQKGAEGKTIYCKAHGGGRRCQYLGCTKSAEGRTDHCIAHGGGRRCSQEGCSRAARGKSGLCIKHGGGKRCQRENCKRSAEGFSGLCISHGGGRRCQFLDCTKGAQGSTMFCKAHGGGKRCTFPGCTKGAEGSTSFCKGHGGGKRCSYQGGGVCPKSVHGGTQYCVAHGGGKRCSVSGCTKSARGKTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQEGSSFGVGGPPCDKFARSKIGLCAAHSALIEDHCVHGGGSLGPAIKQFTTDAKPDEMKIAATKGDVDMANGGNEAIMVWGDHGVPTDPGTTPFPEGRVHGSGLLALLSGRSHGSTSCPENGASTSASVRSWM >PAN19696 pep chromosome:PHallii_v3.1:3:19864677:19865691:1 gene:PAHAL_3G291200 transcript:PAN19696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREVRRSVHPAVGRSRWRGTVAELHLHLIFTNRRSSSMARQKRWAPWLNFHGAVAVKPHTLIIGTLGLDGGQEQKDRR >PAN21015 pep chromosome:PHallii_v3.1:3:56810662:56814336:1 gene:PAHAL_3G433300 transcript:PAN21015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGAGGAALSPPCGRLHASALPALVAGLARRATTPATARQLQAQLLLRGLPLPARAAVALIAASPSTRHARAVFDSAVPAASGNVYLWTATIAAYARHASSSSSPSAAAEALALFRLMLRRGDPRPNAFTTSSVVRCCSALRAVLQGLQVHGFLGKAGLGRSAHVGAALVDMYGNLGQVADARRVFDKISARNVVVGNTMVACYVRAGDVAAAREVFDGMAERDPISWNTMMTGYLWQGEAGVTRELFEEMPERSVNSWNMMISACSEEALWVDAVGVFNRMRLAGFQPDAATMAVLMSACAQLGSLSVARQVHGFLKKGYIEMNCHVLNSLVDMYAKCGSISQAHLLFVEAHLKDTVSYNVMITALAHHGHGREALQLINEMVLEGLHPDSITFLGVLSACAHAGLVHEGKHYFESMTTNYAIEQSLDHYACMVDLYGRAGLIEEAYFLVQTMPMKPHAGVWGALLNACRKHCQVEVGKIAARELITIEPRNPGTYILLANTLARGQQWDFVETVRQSMRGKGIDKTAGCSWVEVDSVVHEFLMRDFSHPNSDEIYSILEHLYLQPD >PAN21014 pep chromosome:PHallii_v3.1:3:56810662:56814336:1 gene:PAHAL_3G433300 transcript:PAN21014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGAGGAALSPPCGRLHASALPALVAGLARRATTPATARQLQAQLLLRGLPLPARAAVALIAASPSTRHARAVFDSAVPAASGNVYLWTATIAAYARHASSSSSPSAAAEALALFRLMLRRGDPRPNAFTTSSVVRCCSALRAVLQGLQVHGFLGKAGLGRSAHVGAALVDMYGNLGQVADARRVFDKISARNVVVGNTMVACYVRAGDVAAAREVFDGMAERDPISWNTMMTGYLWQGEAGVTRELFEEMPERSVNSWNMMISACSEEALWVDAVGVFNRMRLAGFQPDAATMAVLMSACAQLGSLSVARQVHGFLKKGYIEMNCHVLNSLVDMYAKCGSISQAHLLFVEAHLKDTVSYNVMITALAHHGHGREALQLINEMVLEGLHPDSITFLGVLSACAHAGLVHEGKHYFESMTTNYAIEQSLDHYACMVDLYGRAGLIEEAYFLVQTMPMKPHAGVWGALLNACRKHCQVEVGKIAARELITIEPRNPGTYILLANTLARGQQWDFVETVRQSMRGKGIDKTAGCSWVEVDSVVHEFLMRDFSHPNSDEIYSILEHLYLQPD >PVH62876 pep chromosome:PHallii_v3.1:3:54879085:54880449:1 gene:PAHAL_3G420600 transcript:PVH62876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGCDRSARRLLDFALGINMARLAPHRQTKNKEADPSDQPQKEEKGYVTEEQVRHVRNQRPTSSDLLKKYEYEYQQRLRRESVEEEYEHRTGKRLKKHEDVRDNWHCTFFRYYWDSGMSRLPTTRYCLECGLVKPDAKGVSVFWCLGPVPTLQEQVRSPQRRVDLEEEEDKYHHHLRWCPDGLNRSQKCRVQRLCSLEEAEARYLESVRKARPDLADKVHHVQKSESRPPRKEWRPKSTRADVRTLADTHMVFVLPVEFHARAHEESVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGKPINKMLVDTGAVVNIMPYSVLRRLGWSTEDLIKTNVTLSDFNGQTSEAQGILSVDLTIANKTIPTSFFIVNSKSTYNVLLGRDWIHTNCCIPSIMHQCLIQCDGDEVEVVHADDSIEISHAAMSIWDAEDQEPISRISLEGCDCKLQKIG >PVH62929 pep chromosome:PHallii_v3.1:3:56625128:56625460:1 gene:PAHAL_3G431600 transcript:PVH62929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTSRVSCFFLVCPLMAVLSLLKRLHLTGDSRSCTILKVSSHLLTASHLRGSSLRSAGLRRLGSFSFRLNILAPTQRTGESGGTLRRTCYGCLDGSCLQVPTTTASTST >PAN21533 pep chromosome:PHallii_v3.1:3:60659991:60673116:-1 gene:PAHAL_3G473400 transcript:PAN21533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYKYKNYLDSIILQLHEQFADSSLMVLNFRDEGKGLVSGIFSTYGITVKDYPCQYQGCPLLPLEIILHFLRLSQRWLMVEGQQNILLMHCEKGAWPVLAFMLAGLLLYRKQYNGEQQTLDMVYKQAPKELLQMLTTLNPQPSHLRYLGYICRMDDELGWPTQPIPFTLDCVILRKVPNFDGVGGCRPVVRVHGQDILTIDRNHRSVSPASKAKKHVRRYRQADNVPVKLNVGSYVQGDVVLECLHVGDGPEDEKLMFRVMFNTFFIQSHILLLTFEDIDISWDADHKFTKNFKAEVLFSEFDAESDASTEVAHDDDDIDVASADEFFEAEEIFSNADSQEGHKDADTLSVTSTDFGPTPRAEPRKNSPFTNFELDICINGSGDNKIDELGLLIETTNDEKTCTSNEANTMHSNKTGVVKSSLAATIDGDRDDAISCCSSNKEHGCMLENCSSKHDESMGSNQDLSQIDNVLVKEVIISETNSPKDIQMIKEVIISEVTTPKLVVEGNTMDTELGETVHNLESTTMEEAEDKQRLDTFPKDEEDSGGDEYAAYDNGLVIEHEESSNEQKLRIRDANVQVIGPTDENNRVAHPLSGKSHLQSSSTSSESSSVKKQIKRLHACGSNDTVEQTEGIDASFSSSQGQSSNISSVNIPPEGSIIAVTHVPTSVNAKKKYFVPLSTSSLFAQSSPRRSLLRAASTDLSFLSPLQRESKQNSVASTSGRDDSASSSVRPPSSLYTPLGSSSKISLVHPLLRPIRTVSSLPSSTFEAYIEMSMSSSISPKHQEHVKPHPPAVRPPRPLNFPSSNKYAPHFPCPPPLPPPHDSCTQSDSSAPISEHGQTRAHRSCSSSHDCRQTVLDLGDSSVTSPSKSSIDTTECLLGASNLVDDDEVASRPDTLTGMDVPTTSEDAKSLFHLEPCNVEISQSETINEVLPTIVDDGRISIQPSPQKLSQPILFSPPPPPPPPSCHTTIVTSPCLSLTSLSPRKHYENTPSPPPPPPFSRESFVAPPPPPLPNRPSPRRKHITPPPSPPPQSPTRHIIPPPPPPPPPLCYIAPPSPCSYQPHFQKDVAIPPSPLLEDHVVVSSPPLTRVDKIPLPPPPPPPRGSEILSPPSIESTKSPQKGPLLKVIKQIPPPPPLPKEQRGSPLPILCGGIMVIPPPLPPGGHGEAPLTAPSRELRGIPPPPPLTEGLGGIPLPIGFQSEDLSLLQPKERLEGPSRSPPPPPPPPPPYSNGYIGDPPPLPPLRACGGAPPPPPHPEEYAGVPPPPPSPEGYAGAPSPPPGGYVGAPPPPPPPPPPGAYVGAPSPPPPPPGGYVGAPPPPPPPGGYAGAPPAPPLPRGYVGAPPPPPPPGGYVGAPPPPPPPGGYIGAPPPPPPPGGYVGAPPPPPLPGGIGGVPPPPPPVGGLGGTPLSPPPAGFRGGAPPPPPPPGGHGGPPPPPPRGHGGVGGPPPPPGAPAPPMPPGVPGGPPPPPGGRGMPTPPGGRGHGLARALGSTLQSAARRSSLKPLHWVKVTRAMQGSLWAELQKQVDANSRAEFDVNELESLFTIAPKTKAGSKSEGRGKSLGSKSDKVQLIDLRRANNTEIMLTKIKMPLSDMMSAALALDDSVLDADQIENLIKFCPTKEEMELLKNYSGDKEALGKCEHFFLELMKVPRVESKLRIFAFKIQFQSQIRDVRKNLQTVSSACEELRSSEKLKVIMKNILLIGNTLNQGTPRGQAVGFRLDSLLKLIETRATNGRMTLMHFLCKSLAEKSPEVMDFHEDLVSLEASSKLQLKALAEEQQAVVKGLEKVELELTASESDGPVSDVFRKTLKEFIDYSSADVRSLSAFYSEVGKSADALALYFGEDPAKFPFEQVATTLLTFVGLFRKAHDENLKQIEAEKKKAQKEAEKEASQDKTPVKSKNGNGDKSPRSPSAFK >PAN15932 pep chromosome:PHallii_v3.1:3:1138927:1139996:-1 gene:PAHAL_3G021000 transcript:PAN15932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSQSFLLVTSRLIDASNSVCQFDAATPGSGRLYKASRSLEQASVSSSKLSKMDQLTLSASSWFLLSCCSWPVSPPILGP >PAN19479 pep chromosome:PHallii_v3.1:3:18451275:18455834:-1 gene:PAHAL_3G276400 transcript:PAN19479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGAGAHYSARTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFSMINNLPTIFEVVTGAAKKQTKEKGPNSTSKSNKPSSKMPSRPESHSKATKVAAPPKDDDDESGEEYEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCSSNKRARA >PAN19027 pep chromosome:PHallii_v3.1:3:15841395:15842905:1 gene:PAHAL_3G244100 transcript:PAN19027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSWVKTRSSDSAAASTSTALVAAGASPRLSFPSPSLKDLRTLLAPDPAGAPSAASPSPRVFHRIRVAASALRVLRTLQQPSCPAAPGSACDGELPGPAPGGAGGRVVLYFTSLRVVRRTYEDCRAVRAILRGLRAAVDERDLSMDPRFLPELAALLPQQQRRRVTLPQVFVGGRHLGGAEEVRRLHESGELRRIVAPSPGFPSACARCGGERYVLCGACDGSHKRYSLKGGGGFRACADCNENGLVRCPGCCVPAA >PVH62598 pep chromosome:PHallii_v3.1:3:27260435:27260923:1 gene:PAHAL_3G333200 transcript:PVH62598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESESSREPTPEYDPIAAYEVRAPLHWDAEEWNFHYQLEDDDSLTDGEDFALLLGVELEEDEDDASWGEDLSSSEERVDSSSSEEDPMAGTFLLGRSSDDTSDGSAGAEDDDSFTSDGSGDDDDGSDNGDSGPSIAPSPKRRKTSGVYWW >PAN20919 pep chromosome:PHallii_v3.1:3:55193169:55198716:-1 gene:PAHAL_3G422600 transcript:PAN20919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGNPAPPPSTSTAAAAAVAAQHQQLQRQLFLMQQQAQAQVQPHPQQLSQQAMSRFPSNIDAHLRPLGPLRFHQPQQQQQPQPQPQPPHSQGPSQSPSHGAAQQASPHQHHHHQQQQAAAAQAQAQAQAQAARVRSPEMEMALQDAMRVCNPDIKTPFQSIEDAVNRLLPYHVVADYEAEEDDRILDSDATGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKQAMLGLRAEMESREKAGREAAEAKMRMAMEHARAEAQAHSEMMNHGPIRASAVASQGDGGPTHGMEQEQGDDGWESAQRDDEDPSEDFLNDENEPENGNSDGQGNWRRSGELDLNSR >PVH62252 pep chromosome:PHallii_v3.1:3:16182665:16183237:1 gene:PAHAL_3G249400 transcript:PVH62252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLISLGFIVLMSMGIANAVRVARYSSADGTGTGGGGGGGYVNGSGSGSGAGESSSSGVHATAGGGGTSQYGGSGYGGGSGSGSGSGAYNQGPYSGYGESSNAGGTGGGGGGGQAGGYWGSSAQGSGSGTGSGSSYSNRYWYGYGPSYAGANANGNGGGTGSSQNGGGGGGEGAGSGYGNANP >PAN16388 pep chromosome:PHallii_v3.1:3:3605737:3607947:-1 gene:PAHAL_3G057000 transcript:PAN16388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMHVRKAIHFASLRARLAQGKGGLALRLLLAAALAGFLLVFAARSLSSPSPSPSTASRRQEVAECGGGDKGLPLAVAEALVHYTTSNTTPQQTADEIGVSLRVLQRRAPCNFLVFGLGFDSPMWAALNHGGRTVFLEEDASWIASVRSKHPSLESYHVTYDTVLTEADALLELRDHPACVAQPDLASAAEASCRLALRGLPPAFHELEWDLIMVDAPTGWTPQSPGRMGAIYTAGMAARARRPGDGPTDVFVHDVDRPVEDAFSKAFLCEGYLAEQVGRIRHFVIPSHREKDGTPFCP >PAN18149 pep chromosome:PHallii_v3.1:3:11900940:11908281:1 gene:PAHAL_3G181400 transcript:PAN18149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMLGLRGGAGGGSPSAGDATPVWNGDGGAAAGPARPLRLVYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVASTHRPCTKGLWMWSAPLKRTGLDGTEYSLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTASELGQFSPVFVWLLRDFYLDLTEDNRKITPRDYLELVLRPVQGGGRDVSAKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLPLSNFRPEFRSGLDAFTKFVLDRTRPKQLGASTMTGPILAGLTQSFLDAINSGAVPTISSSWQSVEEAECRRAYDSAVDAYNSCFAPKKQIEEDSLREAHEDAMRKAISAFNASAVGVGSARSKFEKLLHSSLRKAFEDYKRNAFLEADLQCSNRVQSMESKVRAACNRPDAKLDDVVRLLDGLLTEYESTAYGPGKWKKLATFLQQCLSWLVLDLFRRQLEHIDAERNALRLKCNSSDDKLALLRKQLEASEGHRAEYLRRYEEVINDKQKISKDYSVRITELQTKSSKLEERCMSLSSSLETAKRESNDWRSKYDHSILQQKADESKLKSQIASLESRVNISEGRLSATREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELANQLSEKEEEISRLSAKVSQTEIHATSLISRLEATEAKLKNHESDSLALKEEIRSLTDNLESIRSEVLSREKEVRILEQEKNHLQEKYLAECKKFDETDIRCKEAEREARRATELADVARAEAAAAQKDKGEAQRLAMERLALIERMERQVEALERDKAKMVEEIERLHQSERDAMSKVTLLENSVDEREKEIDEMLKRNNQQRSSTVQVLESLLATEREACAEANKRAEALSLQLQATQGKLDMLQQELASVQRNETALDSKLKTSARRLRGEATESVHDMDIDDDNNVRRRKRSKSTTSPFKNNHTEDGGSVFIGEDTYTGSQQGTETEDYTKFTVQKLKQELTKHGFGAQLLQLKNPNKKDIIALYEKHVVGK >PAN17209 pep chromosome:PHallii_v3.1:3:7222357:7230727:1 gene:PAHAL_3G113600 transcript:PAN17209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDTAARHPVATPQQGGGEEGGDQPSGGRASFEFGGECRFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTIPDYRIPLTALGAEQARAAGRGIRDVVASGGGNWKVYFYVSPYERTRATLREIGRAFPRDRIIGAREECRVREQDFGNFQVEERMRAVKETRQRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLDQDPSCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELEQWGMSREMIADQQWRAAANRRSWAEECSSFIDSFFDDPKDSESSSEEEEEKENGKIKELE >PVH63173 pep chromosome:PHallii_v3.1:3:61791582:61794658:-1 gene:PAHAL_3G486100 transcript:PVH63173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEVANRAAVESCHRVLALLSQSLQQDPALLKSIASETGEACAKFRKVTALLGNGGSSGHARGRFSRRGRPAGFFTLKSLLGSSSDTPSELMPSTAAAPSPSTSYAQLRARISGAPDPRGLDLASSSSKSGTHPFGAPKMVQPLSVQFQIGNVAHRYPFHQQPSRHKLQAEMFRRSNSGISLKFDSPSPSGGAGTMSSARSFMSSLSMDGSVASLDGKRPFHLVGAPVASDSADANRAPKRRCTGRGQDGTGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPAQSAQT >PAN21745 pep chromosome:PHallii_v3.1:3:61791497:61794583:-1 gene:PAHAL_3G486100 transcript:PAN21745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEVANRAAVESCHRVLALLSQSLQQDPALLKSIASETGEACAKFRKVTALLGNGGSSGHARGRFSRRGRPAGFFTLKSLLGSSSDTPSELMPSTAAAPSPSTSYAQLRARISGAPDPRGLDLASSSSKSGTHPFGAPKMVQPLSVQFQIGNVAHRYPFHQQPSRHKLQAEMFRRSNSGISLKFDSPSPSGGAGTMSSARSFMSSLSMDGSVASLDGKRPFHLVGAPVASDSADANRAPKRRCTGRGQDGTGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPAQSAQT >PAN22012 pep chromosome:PHallii_v3.1:3:63640360:63642841:1 gene:PAHAL_3G507100 transcript:PAN22012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAGHGSGALGAWLGIYIRRNVPGLNMGPWLGLASFWRCRHRRIWPQPSPPPSSPVGIAGFFSTGAPIPASSACTSNVSAPLCSLSHDRASSAGRCPPPTCAGSPPANPPASPERRYQRGSRGPISRTARQYPAQELPAISPLCVRTRRHSPCPDQHRLGTADMVRTSACFSSQAAPQCVHIRMPSAPFSACIRA >PAN22011 pep chromosome:PHallii_v3.1:3:63640361:63642840:1 gene:PAHAL_3G507100 transcript:PAN22011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAGHGSGALGAWLGIYIRRNVPGLNVLFHNTSFTVQIARSFGSRLLIAIRSFTEYQLFWIGSLQMGPWLGLASFWRCRHRRIWPQPSPPPSSPVGIAGFFSTGAPIPASSACTSNVSAPLCSLSHDRASSAGRCPPPTCAGSPPANPPASPERRYQRGSRGPISRTARQYPAQELPAISPLCVRTRRHSPCPDQHRLGTADMVRTSACFSSQAAPQCVHIRMPSAPFSACIRA >PAN17092 pep chromosome:PHallii_v3.1:3:6699420:6704502:1 gene:PAHAL_3G105200 transcript:PAN17092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASRLAVSRVSPDGAGPAAARRRGRPAGFAAVGLPGRGRRRGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGLGFQPKWALSDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMQGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQNILDMTFDWTKEEREMLRRKVPVTGFKTPFRDGYVRDLAEEVLKLAKNGLERRGYKEVGFLREVEETVGTGVTPAERLLNLYETKWQRNVDHVFEHLLY >PAN17062 pep chromosome:PHallii_v3.1:3:6699160:6704513:1 gene:PAHAL_3G105200 transcript:PAN17062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASRLAVSRVSPDGAGPAAARRRGRPAGFAAVGLPGRGRRRGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGLGFQPKWALSDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMQGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQNILDMTFDWTKEEREMLRRKVPVTGFKTPFRDGYVRDLAEEVLKLAKNGLERRGYKEVGFLREVEETVGTGVTPAERLLNLYETKWQRNVDHVFEHLLY >PAN18499 pep chromosome:PHallii_v3.1:3:13170010:13170461:1 gene:PAHAL_3G201400 transcript:PAN18499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLAREYYCSEELFVHITLEYPSFIFFHHIKKALRLRILEDHYNTLHNCLGKRME >PAN18463 pep chromosome:PHallii_v3.1:3:13258213:13261270:-1 gene:PAHAL_3G203500 transcript:PAN18463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os05g0530400)] MEGSSGGAGGGGGGSGSPPPFLIKTYEMVEDPATNHVVSWGPGGASFVVWNPPDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQVNGPLAESERRELEDEINRLKYEKSLLLADLQRQNQQQYGINWQMQSLEDRLVQMEQRQRNIVASLCDILQRHRVVSASMLETDHFSKKRRVPKIDFFVDEPAVEEQQVPFLQTLGAEAPSMSPGHLLNAEPFEKMELALVSLENFFQKAGHGSAEEMYGGAAEPSPDLTLGEMNSAPMDTNINQQSSDGLNPFSSTTEHAHFPSSLAESLSYAPSPILTLSDINEDAHRTAEVDMNSETTTGDTSQDTTSETEGPRMPAKVNDVFWERFLTGEAESGRQHADDKSEATEAKEDIKIAINCSSLNHQNNVDQITEQMGHLDSAENGSDVTGDD >PAN18097 pep chromosome:PHallii_v3.1:3:11695899:11701254:-1 gene:PAHAL_3G177900 transcript:PAN18097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSIALVLLAAVLLQTLLPASAAEGLVRVALKKQPVDQNSRVAERLSAEERQRLLGGANSLGSSGEGDIIALKNYMNAQYFGEIGVGTPAQKFTVIFDTGSSNLWVPSAKCYFSIACYFHSRYKSGQSSTYKKNGKPADIHYGTGSIAGFFSEDSVTLGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGNAVPVWYNMIKQGLISDPVFSFWFNRHAGEEGGELVFGGMDPNHYKGNHTYVPVTQKGYWQFNMGDVLVDGKSTGFCAGGCAAIADSGTSLLAGPTAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPAKVCSQVGLCTFDGIHGVSAGIRSVVDDEAGKSNGGLRSDPMCNACEMAVVWMQNQLAQNKTKELILNYINQLCDRLPSPMGESSVDCASLKSMPDIAFTIGGKKFSLKPEQYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYDKLRVGFAESA >PAN15857 pep chromosome:PHallii_v3.1:3:1462325:1462861:1 gene:PAHAL_3G026200 transcript:PAN15857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRFGSHGARTVHGHRQELGRGGTRRRLVWLRPRLHESTELFDQRSDDRDLVEQIVHRQVHEAMMLHLLARALGLDIETIIACLQEGANGGGGFGAVPASAAAVAALEKHTFHATEGCGGESECAICFEDFEDGEEVSVMPCAHGHEYHTTCITKSLGRSNMCSLCRHELPTGVDGH >PAN17065 pep chromosome:PHallii_v3.1:3:6716505:6717867:1 gene:PAHAL_3G105500 transcript:PAN17065 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 53 [Source:Projected from Arabidopsis thaliana (AT3G62420) UniProtKB/Swiss-Prot;Acc:Q9LZP8] MSSRRSSSPESNTDSGSGGGFAADERKRKRMLSNRESARRSRARKQQRLEELVAEVARLQAENAQVQSRIATFDREFSKVDGENAVLRARHGELAGRLESLGSVLEVLQMAGAPVDIPEIPDPLLRPWQPPFPMQPIAADAFQF >PAN17324 pep chromosome:PHallii_v3.1:3:7994487:7994663:-1 gene:PAHAL_3G123200 transcript:PAN17324 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S14, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00330) UniProtKB/TrEMBL;Acc:A0A1B1W4T5] MQEKLQSLPRNSAPTHLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGATRSSW >PAN20132 pep chromosome:PHallii_v3.1:3:23131045:23132705:1 gene:PAHAL_3G317200 transcript:PAN20132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKNNKKSGEAEAEE >PAN19545 pep chromosome:PHallii_v3.1:3:18891519:18893714:-1 gene:PAHAL_3G281500 transcript:PAN19545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPATTLASCNALLASLARSGRPGEALRTFRDMLARGVPPDHFTLPPVLRSCALTGAAGFAASSHALAVKLGAQGNLFMASALVLCYAGLSNLADARRLFDGMRERDAVLWTSMLSAYAQRGEPDAALRFFGGMVAAGMELDAVVMVSLLLACGQLGWRRHGRSVHASCVRRFLGMPLSLGNALVDMYVKCGDFAFAERVFAGMPRRDVISWSALILGHGLNGRSDVALGLFDRMATEGIQPNSVTFLGALSACAHSGMVDKAYSIFEGMKRWGIEPELKHYSCMADTLGRAGSVIEAVKLIEEMPFEPDEAMLGGVLAACRVHGEMEAAERVSKRLMDMSPGKSGYYMSLANIYSDVGRYSDAERIRGFMKEVKVNKLPGYSSVELDVDRFCIKINGVRST >PAN18541 pep chromosome:PHallii_v3.1:3:13610179:13612924:-1 gene:PAHAL_3G209400 transcript:PAN18541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSGHSSPMESSGAPQCRNGDGAPAVSAKKLWRAMQAVYLVVVKKHQPKLAALGVHLHHLLSSSRRDRRRRRSLAAAREQHPALTYLSSLSCRPMDPDAAVVHPYPRGRGHGRRRASSRPAAPTLSCRSMDPAAAVCHYQYRPREVEFSCKSTPMHKRRRRERRLLRLQDRAAADQGRDHSSEPEYHYGSTVAVTRLFELMDVEEEAARATDLANYDGGGDLDLEAKAAWPALTLGPAPRQVLPYAWTGIIW >PAN18542 pep chromosome:PHallii_v3.1:3:13611055:13612769:-1 gene:PAHAL_3G209400 transcript:PAN18542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSGHSSPMESSGAPQCRNGDGAPAVSAKKLWRAMQAVYLVVVKKHQPKLAALGVHLHHLLSSSRRDRRRRRSLAAAREQHPALTYLSSLSCRPMDPDAAVVHPYPRGRGHGRRRASSRPAAPTLSCRSMDPAAAVCHYQYRPREVEFSCKSTPMHKRRRRERRLLRLQDRAAADQGRDHSSEPEYHYGSTVAVTRLFELMDVEEEAARATDLANYDGGGDLDLEAKAAWPALTLGPAPRQVLPYAWTGIIW >PAN19983 pep chromosome:PHallii_v3.1:3:22155513:22160038:1 gene:PAHAL_3G311400 transcript:PAN19983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEGLAAYRAVLRAARRTFAGDQLMLQESAVEIRRRFEDHRGLAPGSDEAARALADAREAAHFITHMIVQATRAPSGSFVVKPESVHAGATLEVPSEEILSKLK >PAN20065 pep chromosome:PHallii_v3.1:3:22891697:22896659:-1 gene:PAHAL_3G315700 transcript:PAN20065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLRIRVADWQLSSQYLTALARKVVVDRLCPFADPCQWSPSPKNITDLLELSAKEAASLTMVLPLEQAAAAAVLNNVMGRLFEALGLGQTYKTLKDLEPESESLLQDLRMLAAAVDDELTGSRGARRTAVARAYSREMRALTHDVEDCIERFVHRVAGGRLEGASWLRRAARRVRTLRTCYRFAAEIKRLKKRVQEASARVLKPPEGQIPGSRRRAADHAARRPVGIDKPMEELLALLDLDQVEGQPRVIAVVGFGGVGKTTLAWAVCHAAPVVDAFPCRPWVAVRSPEEGDAAGILENIHQQLLPGQQYSESSLTKYLKDKRYLIVIDDVDDIEEEQWDTITSAFEKNRQGSRIVVTTTFRATANRRSNANGCVYKMRTLGMRDSMTIALGGRCTAELMQGSETLLKKCGGLPLALVSVARQLSGEDEPTGQFCSELCSKLGSYLEREDGEPNFARLRDVLMDSYTSLSDLTVRTSLLYLGIFPNDRPLRKNVIIRRWLAEGYARSEDITLSEQSVANGNFKTLIDRNIVLPVKTRKNAEVNMCKTHGIMHEFLLHRAMCEKFIMCSHTPSNQIVRHLFVHGDANDTQSTTTLKTDLSRVRSLTVRGNAGGAISDFGKYKLIRVLDLEECTDVNDSHVRKICKLWNLRYLSLGHNVKNLPKEIAKLKLLETLVLSKTVVNVLPMEVIGLPCLTNLIGKFKLSDQDWTSSSNLEGLSKNGLEDLFRKSKLETLAGFVSDGSHRQGFLQLMVHMKNLKKVKIWCQSTEDVGDNNHLNSELVKSIGQYIKSPMGAGDARSLSIDFQGVPGGSLNALQDLCPQNTSLQETYYLSSLKLHGSLSAYPGFVGMLSGLTELCLSSATISADLLFVISAMPFLLYLKLIADEIVGFVIKDGTYQSLRRLCFLVRNQNPVLPEVEEGALPELVSLQLLCKHLTGSSGIKIKRLTKLQEIELHPEISEPARQEWEEAARSHPNRPIILPFITVNDLVVNEPKKNPDASAEESGHEDVVIQGQLGDEAPRHSYVQHMPISTCNNSGLSNEMDDAAHHEPMESPAGTEEATLETATGEHLLIEEPLKHTPVHRHENSTVRPRTAGILGSTSDHSGLGQRGDLRNSKPQGKHVALQESTYENGIQGCSAEEAIRFFFC >PVH63069 pep chromosome:PHallii_v3.1:3:60190807:60191541:-1 gene:PAHAL_3G465800 transcript:PVH63069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSPRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHYLQRTPMGFFPPAERSGRTWIARMRRLGQEEEDLEDAVSHLSIYLTGLDALCREQSAQLKRLIHGVEKLTQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN17103 pep chromosome:PHallii_v3.1:3:6872499:6878447:1 gene:PAHAL_3G107600 transcript:PAN17103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MVKLEDYVEDGGPDADARDPRGAAVDLLPGSVHASLKEEEGQPSSSSSNLRLQFIGMGFSPKLVDKVLQRHGDDDSNTILESLLSYSDLQQSGSESSGSLGSLFDSDSEENNSPLESRKGIDQDIKPEPDSFSEKWSYLLRTMNFSQQEVDLAFKKLGDEAPLEQLVDCIVSAQSGGSSGELENGVATNEAKAEALFGVMEKTLSLLQKGFTEEEVSSAIDNCGQRATVEMLADSILARRIANSVEQKEVKVESNCLGEAETDYLTYQPSYSAASCYDDYNNSTQVKRAKHIFMDDRGASSSHPGNPWSMGRCAGTSGMPVKVELEATTLGRRANVRGDLAKPPYFLYGNVVEIPKDTWHQLTQFLYNVEPEFVNSQFFSALSRKEGYIHNLPVERRCFVVPKSPMTIEEALPFTRQWWPSWDTRKHISVVTIEAAGIEQTCDTLGRMVRESRGVLSEEKQMQIMHQCKVSNLIWVGRDKLSPLEPHQVERILGYPHNHTNLFELNQPDRFAVMRYAFQTDTLAYFLSVLKDQFPDGIRVLSIYSGIGGAEVTLHRLGIPLKCVVSVEESDVNRKILRRWWQKTEQTGELRQLHGIWKLKTQVIDDLITEFGGFDLIIGGNYTSCKGGTTINTTMGMDSNRFFEYARVVTRVRTAVGVN >PAN17102 pep chromosome:PHallii_v3.1:3:6872499:6878447:1 gene:PAHAL_3G107600 transcript:PAN17102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MVKLEDYVEDGGPDADARDPRGAAVDLLPGSVHASLKEEEGQPSSSSSNLRLQFIGMGFSPKLVDKVLQRHGDDDSNTILESLLSYSDLQQSGSESSGSLGSLFDSDSEENNSPLESRKGIDQDIKPEPDSFSEKWSYLLRTMNFSQQEVDLAFKKLGDEAPLEQLVDCIVSAQSGGSSGELENGVATNEAKAEALFGVMEKTLSLLQKGFTEEEVSSAIDNCGQRATVEMLADSILARRIANSVEQKEVKVESNCLGEAETDYLTYQPSYSAASCYDDYNNSTQVKRAKHIFMDDRGASSSHPGNPWSMGRCAGTSGMPVKVELEATTLGRRANVRGDLAKPPYFLYGNVVEIPKDTWHQLTQFLYNVEPEFVNSQFFSALSRKEGYIHNLPVERRCFVVPKSPMTIEEALPFTRQWWPSWDTRKHISVVTIEAAGIEQTCDTLGRMVRESRGVLSEEKQMQIMHQCKVSNLIWVGRDKLSPLEPHQVERILGYPHNHTNLFELNQPDRFAVMRYAFQTDTLAYFLSVLKDQFPDGIRVLSIYSGIGGAEVTLHRLGIPLKCVVSVEESDVNRKILRRWWQKTEQTGELRQLHGIWKLKTQVIDDLITEFGGFDLIIGGNYTSCKGGTTINTTMGMDSNRFFEYARVVTRVRTAVGVN >PVH62056 pep chromosome:PHallii_v3.1:3:12706844:12711359:-1 gene:PAHAL_3G194200 transcript:PVH62056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGEETFASPTAAAADFEFAANGGDRTPKLYDAADEIEALTAAKRDLEEQLESVSHQNRFLSSESRRLEALVSQARDETAAFEQAAATNESEAAALRAEVERLQGLLDAERTGHEEELRRGAGLGDQLQTAYQEKLALEEEIEALKASATAAEKGKGEEEMDSAAPSAGTPKEVGLVPAELLAAAMAAGAGVTAFIAALLIQRKR >PAN16907 pep chromosome:PHallii_v3.1:3:6078655:6079395:1 gene:PAHAL_3G095500 transcript:PAN16907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKISLKLLVETNSKKVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMHGSVGRLYQSVDHMGASYLLPGANKSELVQPGVLHPDARELLLLPHAGGGDGEAEEQPRLPKFKLYTCPGQCVTVTMEREAACPQCKQAMATEMAFVLPSAAPRSAAGAKGGGAAGEESSGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVGNDVELAEKFVNVGMDEGLGLLRAALRSDTVLSDVFLTRKK >PAN17388 pep chromosome:PHallii_v3.1:3:8300525:8304216:-1 gene:PAHAL_3G128200 transcript:PAN17388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPVPPPPLLASHAAVRAAASAVSRSRRARRGGDQPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGINVAASIIPAVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPQDCSKPCEKVCPADAISLESVMVGGEHTQSDPLRGKLKGGVLTERCYGCGRCLPVCPYDRIRAVSYVRDPTTTCELLKGNDVDAIEIHTTGKGTDTFNTLWNSLSESINNVKLVAVSMPDIGESTVDFMNALYAIMEPHIHGYNLWQLDGRPMSGDIGRGATRETVSFAVHMASVSERPPGFYQLAGGTNSYTIDCLKKAGLFQSTTFRGTTTSEMIHSQQALIGGIAYGGYARKIIGRTLRKIPAQFGRVRIEDHPEHLLEALQEALSLVGPVKSYPALSSLS >PAN17390 pep chromosome:PHallii_v3.1:3:8300080:8304376:-1 gene:PAHAL_3G128200 transcript:PAN17390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIAETFISVKLDCSKPCEKVCPADAISLESVMVGGEHTQSDPLRGKLKGGVLTERCYGCGRCLPVCPYDRIRAVSYVRDPTTTCELLKGNDVDAIEIHTTGKGTDTFNTLWNSLSESINNVKLVAVSMPDIGESTVDFMNALYAIMEPHIHGYNLWQLDGRPMSGDIGRGATRETVSFAVHMASVSERPPGFYQLAGGTNSYTIDCLKKAGLFQSTTFRGTTTSEMIHSQQALIGGIAYGGYARKIIGRTLRKIPAQFGRVRIEDHPEHLLEALQEALSLVGPVKSYPALSSLS >PAN20020 pep chromosome:PHallii_v3.1:3:22477489:22478368:1 gene:PAHAL_3G313500 transcript:PAN20020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPNDIAAMMAVRGPPEKKAKKTKTTESSIVPLEDEAPAASMSFPPSIEATSKKKGKNSKSSSGALKRSRTDSNQPKPLSIEIHVPTEQTDPVKKKTKRKVKEPSKKKNAAIPMLSLESPAIPAMSTRRKRRLGL >PAN21091 pep chromosome:PHallii_v3.1:3:57765703:57769008:1 gene:PAHAL_3G439800 transcript:PAN21091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANKLGGLLKKATSSNPSIYQAIRCMSSSKLFVGGLSYGTDDHSLRDAFSNYGQVIEARVIMDRESGRSRGFGFITYTSSEEASAAITAMDGKDLHGRNIRVNHATERTGGFRAGGGGGFGGGGYGGGGGGYGGGYGGGYGGGSSGGGGYGGNYGNRSGGGYGGGGVADGSGGNFAAGGGDGFGSNFGGDSGFGAAGGSTGGDEFSAGGSFSSNRNDGIMDDMFKDDEPDNYADKRA >PAN19107 pep chromosome:PHallii_v3.1:3:16233754:16235683:1 gene:PAHAL_3G250200 transcript:PAN19107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSDLPADLVAAVADRLGTELADLARFRSVCRPWRSGSAAHAARLRVPLLLIPMMSYDTSAERLVWSLADDRLREAPLPEARGGHSFVFASHHGWILTVVAGDGGLKATLVYHFTGVSEQLPRLPSSFGGKGGRRVTLDGLSWDRSPHGVVVSPGKGAFFCRPGDGSWRSVGCPSAAVTSVTACDGALYLFDRKTCSATVVDAETLAVVAVIEAPTLEVPGWERKEIEANLVVCPDEVILLVRSKLHHPWCSGWPSFCKAFRADPRAVTGGRSPSWSEVAGIIGDRAVFVDNLRAFCVEANDHNGLRRNCVYVASSYHAVDDDCDYTLDRYGRMYSVAVLDFANHTTESLSFGSLVKLWSPGDGQCSSWLMPSCCSLH >PAN22135 pep chromosome:PHallii_v3.1:3:64475305:64479517:1 gene:PAHAL_3G517800 transcript:PAN22135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MPRTAAAAPPARKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRIFGDDITPGKTRLGAICVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYRIFPFTVTPSCSISCANLKSAFLLDIIILVITTYITVASVQEPQTFGGDEAEHPGTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPENPGETQRYHDGVRMGSFGLMLNSVLLGFTSVVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPNGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAGASFIGGLVAILGLPRARIASSRRRGGTHR >PAN17489 pep chromosome:PHallii_v3.1:3:8724644:8725920:1 gene:PAHAL_3G135500 transcript:PAN17489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNVGVAVDFSPCSKNALRWAAANLAAPGDRLILIHAKASYQYEEGVAHLWERDGSPLIPLLELSDPRVSKIYGLALDRETLDILARAAAQRGVQVFAKVLWGDPARKLTEAVHKVPLQWLVVGNRGLSAVKRVLMGSVSTYVVNHAACPITVVRENMLPSALTTSY >PVH61833 pep chromosome:PHallii_v3.1:3:8724644:8725920:1 gene:PAHAL_3G135500 transcript:PVH61833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNVGVAVDFSPCSKNALRWAAANLAAPGDRLILIHAKASYQYEEGVAHLWERDGSRAHQGIFAALIPLLELSDPRVSKIYGLALDRETLDILARAAAQRGVQVFAKVLWGDPARKLTEAVHKVPLQWLVVGNRGLSAVKRVLMGSVSTYVVNHAACPITVVRENMLPSALTTSY >PVH62902 pep chromosome:PHallii_v3.1:3:56017648:56021396:1 gene:PAHAL_3G427000 transcript:PVH62902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARNLICILFFLVCTSRAAHSEQAAGALLHWKSTLDSSSTTNLFSWSPANSTCLWFGILCSSASATSHNIIGLRLPEAGIKGRLETLNFAAFPQLTELNLSRNGLHGAIPASISLLHALVYLDLGFNSFETFIPPELGSLSNLVDLRLSNNNLTGAVPYQLSKLPKIVRLEISQNYLDKPEFSPMPTLQIFSMYSIGVNGSFPQFILECPNLTFLDVSWNKLSGPILELLPKMAPNLTYLKVRSNWFSGPIPPTIATLRQLQYLELSNNNFTGVIPWELGTLAELLVLDLQNNPLNGPIPVELCKLSNLYWLNAAGNNLSGTIPSCISGMAALARLELWNNQLEGELPATICLLHRLMYLLVGFNRLNGTVPKDLGQGQPLTIVDLSNNGFSGELPPRLCNRHRLQELVVNNNSFSGLLPACPNLTYAWIGQNNFWGDISHVIGKYPILRGLDASRNQFAGMIPPEFCQLLFLEFLDLSSNQLHGELPSCLTKLQLLYFVDLSRNGFSGEFPALTSPSCSLTSLHLANNNFTGGFPSSLSYCSNLTILDLGNNRLNGEVPSWVSHKMPSLKILQLRSNMLQGCIPWQLSHHSQLQLLDLANNQLSGSIPGQFANFASMMQQNDGFYLGSTHILLTYSNRALTPYSDRIDLIWKGKYYTFEKAMAHLTGIDLSCNLLSGEIPAELTSLKGLQLLNLSRNNLSGGIPNDIGNLKALESLDLSCNELSGHIPESLPSLTFLSSLNLSNNHLSGPIPTGGQLGTLNDPSIYSNNSGLCGLPLNIACLNGSSGSAENKDRDPGLYYSTLLGFAVGFWLWFGALIFSDPWRIAVLSCIDRVQNKIVHEAQVASGAGHSADPLGQWLSPASILAICTPAAAALGGVRLAAVSDGFNRGGFGECGDVTAYLAWLEDAGEAPPG >PVH61291 pep chromosome:PHallii_v3.1:3:347067:348254:1 gene:PAHAL_3G005400 transcript:PVH61291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKEKKLQLLRSVTNSNAANKTSILVDASKYIKELKDKVEEAAAASSAADTDSSSSSSSGSAMAAATQVSVSSVDLDNSNSSCRRGFRINVSMETTRPGLLVSVLEAFEDLGLDVLDADVSCADDTAFRLQALGSGQGQQQGGGSGSVDEQKVRQAVLQAISKCMNDDDDE >PVH61290 pep chromosome:PHallii_v3.1:3:347067:348254:1 gene:PAHAL_3G005400 transcript:PVH61290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKEKKLQLLRSVTNSNAANKTSILVDASKYIKELKDKVEEAAAASSAADTDSSSSSSSGSAMAAATVSVSSVDLDNSNSSCRRGFRINVSMETTRPGLLVSVLEAFEDLGLDVLDADVSCADDTAFRLQALGSGQGQQQGGGSGSVDEQKVRQAVLQAISKCMNDDDDE >PAN18969 pep chromosome:PHallii_v3.1:3:15486533:15488858:1 gene:PAHAL_3G238800 transcript:PAN18969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGSSAVDAEEHLDYSAGKVTIIPDLKCWERKLDEANELGQTVVVKFSATWCGPCRNAAPLFAELSLKHSDLVFVSIDVDELPELVTQFDVRATPTFIFMRDKKEIDKLVGGNQEDLQRKFDPYCQEK >PAN18968 pep chromosome:PHallii_v3.1:3:15485908:15488858:1 gene:PAHAL_3G238800 transcript:PAN18968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGSSAVDAEEHLDYSAGKVTIIPDLKCWERKLDEANELGQTVVVKFSATWCGPCRNAAPLFAELSLKHSDLVFVSIDVDELPELVTQFDVRATPTFIFMRDKKEIDKLVGGNQEDLQRKFDPYCQEK >PVH61702 pep chromosome:PHallii_v3.1:3:6399658:6400108:1 gene:PAHAL_3G100600 transcript:PVH61702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGEVCCHGEPIPDLQHVPWRHECAPQDAAAARASSPASQRTRDEGGIKAGYRRLAWREGGKRRQAQRAASAVIDEDVISACTSCTPRRAPCAGRPQIAVARTLCRCCPRGQEDTRRPAAGGRVPTPCASL >PAN17479 pep chromosome:PHallii_v3.1:3:8649851:8651907:1 gene:PAHAL_3G134300 transcript:PAN17479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAAVAADSSAVDADDNSFRLLSVSWNQDRSCFTAATTADFRVFNCAPFQESLRRVFPSGGGGYAIVEMLFRSSVFALVAAGEAGRHRVELWDDKKNKRICDITGIRSAVRAVRVSMAYLAVVLDRTVRVYELGDLARPLWKIPTALNLRGLCCLSCHADSSGSGGVLACPGTASGQVRVEDLGKEQAATRLIAAHSSEVACMAMTTDGAVLATASVKGTLVRVFSTMDGTCLQEVRRGADQADIHSIALSPNLRWLAVCSDKGTLHVFSLRVRDAGGNQSAAAGSVVQTNTASNARSSLSFMKGILPNYFSSEWSFVQFRLPETTRYVAAFGEQNTVMIIGMDGSFYRCGFDPVNGKEMVRKEYFRFLEDKDSPPIRTLSKKKLELHDV >PAN15882 pep chromosome:PHallii_v3.1:3:1545386:1547183:-1 gene:PAHAL_3G027900 transcript:PAN15882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMGLSWAAQCAGMAFSAFSLCLVALAVVLLLARRWPWCSCHVCRAYLTGSWERDFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKTFAALLGDLLGGGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIIAQEVEARLMPLLADAADRGAVVDLQDVFRRFAFDTICKISFGLDPGCLEREMPMSKLADAFDTATRLCAMRGAAASPLLWRMKRLLNIGSERELKKAIRLVDELAAAMIRERRKLGVANSHDLLSRFMASAGDAHAVDDKYLRDIVVSFLLAGRDTVSSALTTLFMLLSKNTEVAAAMRAEAGDDSTPVTYEHLKGLHYTHAVLYENMRLFPPVQFDSKFCAGPDVLPDGTYVSGGARVMYHPYAMGRMPSIWGADHGDFRPDRWLTGPGRSFVPESLYKYPVFQAGLRVCLGKELAVTEMKAVSVAVVRAFDVEVVGKSGSGAGAPKFVSGLTASISGGLPVRIRRVRN >PAN18485 pep chromosome:PHallii_v3.1:3:13321957:13325657:1 gene:PAHAL_3G204700 transcript:PAN18485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEQRGRVFVLTLTGDGEHRLGHALISSLRSAVASAAEAAARAGPGAALVTVGEGRFFSNGLDIGWAGTSRARLGELVDALRPLAADLLALRMPTVAAVTGHASAGGFLLALCHDYRLMRGDRGVLYMSEVDIGLPLPPYFMAVLRAKITAANALRDVVLRGKKVRAAEGKEMGVVDAVYPSAAETAAEAFKFAEQLAARKWDGGVYASIRMSMYPEACRSVGIVEESDEEKRKHFASKL >PVH61995 pep chromosome:PHallii_v3.1:3:11478560:11478838:1 gene:PAHAL_3G173800 transcript:PVH61995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWTQCLIKKPVQGLEVMDWWEKELAHLSKKVRRLKAALIIYAAWNIWKARNKRIFEQRTMSPGEVMQEIKAEMQCRFMACGSPESSSFNV >PAN17004 pep chromosome:PHallii_v3.1:3:6302795:6303184:-1 gene:PAHAL_3G099400 transcript:PAN17004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMHTMSAGFATKDPETADHLLVNCNCSFAKIIWWNLLSWMDCPCSFTQESLQLHTWWDHLRKLQAQEKRRGFDTLFMLIIWALWKERNNRLFQRQESTVQELQDRIKLDIKLWIEAGASRLGCLKRE >PAN18389 pep chromosome:PHallii_v3.1:3:12955103:12956632:-1 gene:PAHAL_3G197800 transcript:PAN18389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g29660 [Source:Projected from Arabidopsis thaliana (AT4G29660) UniProtKB/Swiss-Prot;Acc:Q94K18] MSRHFWRWYADRQFHKWEKTVVWDMVEPYRPPRSFAPLVGTYVAAFYTGVVGAAVTEQLYKEKYWEDHPGEAVPIMPPKFYWGPWRVMNGEVPRFIQPPDEAKTA >PAN17327 pep chromosome:PHallii_v3.1:3:7894797:7899045:1 gene:PAHAL_3G122400 transcript:PAN17327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSDGDSEVEAYGASTFELLVSGNLKVMSEEGMYRCPFCSHEGKEYSLDDLLQHALGVGAACDLQAKEKADHRALAKYLKSKPAESSFGSLSQPMLTDPQVPQHTRDEQFVWPWMGILVNMPNEFFGKSANRLKEHFSSFHPVKVHPVYNRGSPTRDAVVEFGKDWSGFRNARAFESHFTMKGYSKKCWKEMKCGGTEPVGWMARADDYNSLGAIGELLRKNGDLKTFSDIENDGTNKTDKLMANLACQVKEKEMHLEKLESECNNRSALLDTLMQKREQLEQSYTREILKMRQLSQQNKQRVVDENRKLRSDLQGMMDELCTRNKHIEELSAQSECNSRELELEKQKNALKANHLRLAAVEQQKADENVNKIMERQKREKKAVLGQLLRLRTQLEKKLNLELDIKHLMGKLQVMELKPGDEDSESSKRIDELKEELSEKITEMNDMESFNQSLITKESKNSDELREAREVLIDALQGLSGTTSSQTQIGIKRMGELGSKAFLNMCKRKFAAEDAEAESAILCSKWQNEIKNPEWNPFKVIMVNGNVLDEVIREDDKKLQELKEHSEEAYAAVTKALTELKEGNGRREPFPELWNYKEGRKAQMMEAVRHALELWKASKAKGKKRR >PAN17328 pep chromosome:PHallii_v3.1:3:7894797:7899019:1 gene:PAHAL_3G122400 transcript:PAN17328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSDGDSEVEAYGASTFELLVSGNLKVMSEEGMYRCPFCSHEGKEYSLDDLLQHALGVGAACDLQAKEKADHRALAKYLKSKPAESSFGSLSQPMLTDPQVPQHTRDEQFVWPWMGILVNMPNEFFGKSANRLKEHFSSFHPVKVHPVYNRGSPTRDAVVEFGKDWSGFRNARAFESHFTMKGYSKKCWKEMKCGGTEPVGWMARADDYNSLGAIGELLRKNGDLKTFSDIENDGTNKTDKLMANLACQVKEKEMHLEKLESECNNRSALLDTLMQKREQLEQSYTREILKMRQLSQQNKQRVVDENRKLRSDLQGMMDELCTRNKHIEELSAQSECNSRELELEKQKNALKANHLRLAAVEQQKADENVNKIMERQKREKKAVLGQLLRLRTQLEKKLNLELDIKHLMGKLQVMELKPGDEDSESSKRIDELKEELSEKITEMNDMESFNQSLITKESKNSDELREAREVLIDALQGLSGTTSSQTQIGIKRMGELGSKAFLNMCKRKFAAEDAEAESAILCSKWQNEIKNPEWNPFKVIMVNGNVLDEVIREDDKKLQELKEHSEEAYAAVTKALTELKEGNGRREPFPELWNYKEGRKAQMMEAVRHALELWKASKAKGKKRR >PAN17992 pep chromosome:PHallii_v3.1:3:11310368:11311464:-1 gene:PAHAL_3G170500 transcript:PAN17992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLAPTQPDGLHAAFGPKEPCGFGDRRFSSPSLLTNGDDLFYGYASPSSPFGFSRVLSTPSPRAASLSRGSSDSGSVVHDGNDAAAAAAAERRQRLARLALQYQEVVTRFELCLSYLADTSNEAAALRRENDELRIANEDLARRIKMVGGKLVDEFSGLSLAEEHPIPLGPLTPLPAAPAIPKSISVRSPGYLKMNQSGKHRPSKPTKLGSQRVFVGMDNGVNGEGELKGGEEQQPNSGLEFEVYNQGMLKTELCNKWEETGACPYGDQCQFAHGIAELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHSITPTDLFPRQP >PAN17993 pep chromosome:PHallii_v3.1:3:11309236:11312204:-1 gene:PAHAL_3G170500 transcript:PAN17993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLAPTQPDGLHAAFGPKEPCGFGDRRFSSPSLLTNGDDLFYGYASPSSPFGFSRVLSTPSPRAASLSRGSSDSGSVVHDGNDAAAAAAAERRQRLARLALQYQEVVTRFELCLSYLADTSNEAAALRRENDELRIANEDLARRIKMVGGKLVDEFSGLSLAEEHPIPLGPLTPLPAAPAIPKSISVRSPGYLKMNQSGKHRPSKPTKLGSRVFVGMDNGVNGEGELKGGEEQQPNSGLEFEVYNQGMLKTELCNKWEETGACPYGDQCQFAHGIAELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHSITPTDLFPRQP >PVH63095 pep chromosome:PHallii_v3.1:3:60613913:60616766:1 gene:PAHAL_3G472500 transcript:PVH63095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEGGGSSVTSSPGGSDKSRQPSPPTPPDGDEDSSESTSIGDGDEWLVSDEDADCENTVDDFPRFSCGYEEQNHLLYRNPDIKLRGPSPIRLYPAFKYGKHVFGSDYNLGDKSGIINYCKSFLLQFIDIKIARYHHTWPGPAKIFGFVAARDTIEPLRNYVYRREINSSEDEYGVARLSLTSPARVISMASRALIEFELRVRTEGRPDEDEPNGDCLIEGCTELTNMLASDSFIEHRRLYGDNCALDVKFAVLINAVEARVDIKVLSLGAIASGINLKVYGKTSGFSEVIRLFEGASPKPGVVMSFAVAVDTHNYLDVCIEGSSEDNPVLGRKEKKPASRSWWKCSFGSDYHDMDKEVAELGEFAVVSVNVNWKSYRKKESLRE >PAN17956 pep chromosome:PHallii_v3.1:3:11097932:11100149:1 gene:PAHAL_3G167900 transcript:PAN17956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSWRRSSRRWAELVAVRRRQDHLFLPLIHHSSRSKAQDPPPPSCYADSLVELRLPEEGDRPLTQSELVSLCSEFLNGGTDTTVTLVEWIMAELVNNPDVQAKLRHELVHGAGGGDKLDNNLQEMPYLKAVVMEGLRLHQPGHFLLPHGVQANSDIGGYTVPKDAELNFLVAEMGRDHTVWKDPLQFRPERFLDGGEGCGVDITGSREIKMMPFGVGRRMCPGCWAYTTPSTSLPGWSGTSTGCLLLLLRWKFKASTSSSRRWTWQRPWISQPS >PAN17957 pep chromosome:PHallii_v3.1:3:11097932:11100108:1 gene:PAHAL_3G167900 transcript:PAN17957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGGAYWRLLRRNLASEARASFFAPARQRVCDRLLVNIQSTSSKEDDKESVVTLRPLLRRAMFELLVYMCFGAWLDEEILEEIEQVQHQILRSVTSFPIFAFFPSITKRIFRRRWAELVAVRRRQDHLFLPLIHHSSRSKAQDPPPPSCYADSLVELRLPEEGDRPLTQSELVSLCSEFLNGGTDTTVTLVEWIMAELVNNPDVQAKLRHELVHGAGGGDKLDNNLQEMPYLKAVVMEGLRLHQPGHFLLPHGVQANSDIGGYTVPKDAELNFLVAEMGRDHTVWKDPLQFRPERFLDGGEGCGVDITGSREIKMMPFGVGRRMCPGCWAYTTPSTSLPGWSGTSTGCLLLLLRWKFKASTSSSRRWTWQRPWISQPS >PAN21822 pep chromosome:PHallii_v3.1:3:62465891:62471886:-1 gene:PAHAL_3G493400 transcript:PAN21822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFSSQFSSQGQFNGEVNYTPTIDLTAMNGTPPDVTVNVEQQDAQSGEAASMAATGGKKKGTASRSKNFAQDEDEALCSAYLNVSKDAAVGVNQTYKSYWTRISDYYNEVSRNPTVRSLSSLQHRWGDIQKDTARFCGFYSEIVRRNQSGQSEDDKVKDALQMYAGIAGSPFKLIHCWLILHHSAKWNDWLAKEWNKGTKDKASVQDVTQDSPGASGQEGTGGSNVPTRPIGRDKAKRLRTSPAGSSSSSSAYIDVLQKIHEDRSKYDARVEAATIEEAQAIATRAERKLALQEKHVSIQGKQLEIATELLNLQKEDREDKVMSLDVEKMSPWVRDYYIRKQKEIAARAASRAGSSDLRHLILRSNVCHQAQHGKCCFKYD >PAN20096 pep chromosome:PHallii_v3.1:3:22986876:22991773:-1 gene:PAHAL_3G316400 transcript:PAN20096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MPGDVATSPAPPPSSASSSSSSQHHHHQGPASARGLLCHAVAGASAGVVAATFVCPLDVIKTRFQVHGCPKLATGTIGGSVIIGTLQQIAQREGFRGMYRGLSPTILALLPNWAVYFTVYEQLKSLLSSNDGSHQLSLGANVVAASCAGAATSIVTNPLWVVKTRFQTQGIRAGPILYKGTLAALRRIAHEEGIRGLYRGLVPALVGVSHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSLAKVAASTMTYPHEVVRSRLQDQGAHSEARYKGVIDCIRKVYYKEGVAGFYRGCATNLFRTTPAAVITFTSFEMIHRFLLDLLPPAPEPHIQPLKH >PAN18987 pep chromosome:PHallii_v3.1:3:15599411:15601755:1 gene:PAHAL_3G240700 transcript:PAN18987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGALLLVLSLLAREAAAGGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSSGYGTNTAALSTALFNDGAACGSCYELRCQNAGGSCLPGSITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVRSVSIRGTRTGWQPMSRNWGQNWQSNAFLDGQALSFQVTASDGRTVTSNNAAPRGWQFGQTFEGAQF >PAN20173 pep chromosome:PHallii_v3.1:3:41977522:41983698:1 gene:PAHAL_3G376700 transcript:PAN20173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNVSTVYISVIDDVISKVREDFITYGVGDAVLNELQALWEMKMLHCGAISGNIDRTKASAASAGGTTGTTPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMSINGADPKTGRPSPYMPPPSPWMNQRPLGVDVNVAYVEGREDPDRGVQPQPLTQDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSGDQIVEFVVSKEIAHQLWSSIINKQETTTKTVTSVIPQLDGIQDDYNDQFFFPGVPTEDYNTPGESAEYRAPTPAVATPKPRNDAGDDDDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >PAN21710 pep chromosome:PHallii_v3.1:3:61529658:61529945:1 gene:PAHAL_3G482100 transcript:PAN21710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAASNLAGLWLAELAAAARGAWQAMASSHGERRRPGRQQEKLGAVKKRTAAVAVQGAAASAGKAAKEGDVGRCGGAMSDTTVFLLLDRFAPS >PAN21247 pep chromosome:PHallii_v3.1:3:58834036:58835080:1 gene:PAHAL_3G452400 transcript:PAN21247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVMKLASERAVVVFTLSSCCMCHTVTKLMQDLSVNALVYELDSDPRGKEMERALLKMLGGRGPAVPAVFIGGKLVGGTNRIMSLHIGGELVPMLMNAGALWL >PVH61845 pep chromosome:PHallii_v3.1:3:9055913:9056653:-1 gene:PAHAL_3G140700 transcript:PVH61845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATVVVGPLVSMVKEKASSYLLDQYQVMEGLEKQHEVLKRKLPAILDVIADAEEQAAAKREGAKAWLEGVRNMAYQANDVLDEFKYEALRRKAKKEGHYKELGMDVIKLFPSHNRVAFRYKMGNKLRMILQELDVLIAEMNAFRFEFRPDPPVPINYLRQDSPAIVDPINIARESRAEDKQKVVKALLDQVGNANLTIFPIVAMGGMGKTTLAQLVYNAPRFRSISSYGSGCVSQTTLMWIP >PAN19419 pep chromosome:PHallii_v3.1:3:18113212:18114524:1 gene:PAHAL_3G271800 transcript:PAN19419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSRVPSPGWLLAAHCALLLALAGAGAARGHPPALSSTFYDASCPGAHDVVRRVIQDARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPAIRTEKTVPANNNSARGFPVVDGIKRALEAACPGIVSCADILALAAEISVEISGGPSWRVLLGRRDGTKTNVESANNLPSPFDSLDKLQQKFRNVNLDDTDLVALQGAHTFGKVRCQVTRENCTAGQPEGTLENLDQVTPNVFDNKYYGNLLHGQAQLPSDQVMLSDAAAPATTAPIVHRFASNQKDFFGNFVASMIKMGNISPLTERDGEIRKNCRRVNSKGY >PAN19846 pep chromosome:PHallii_v3.1:3:20949955:20954436:1 gene:PAHAL_3G303100 transcript:PAN19846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGVASMFAMALLLGVFASIPQSAESIGVCYGMSANNLPPASTVISMYKSNGISAMRLYAPDQGALQAVGGTGISVTVGAPNDVLSNIAASPAAAASWVRNNIQAYPSVSFRYVCVGNEVAGGAAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILGVYSPPSAAEFTGEAQGYMGPVLRFLARTGSPLMANIYPYLAWAYNPSAMDMSYALFTSPGTVVQDGAYGYQNLFDTTVDAFYVAMGRHGGSGVPLVVSESGWPSGGGAQATPANARVYNQYLINHVGRGTPRHPGGIETYLFSMFNENQKESGVEQNWGLFYPNMQHVYPISF >PAN15906 pep chromosome:PHallii_v3.1:3:1623460:1624321:1 gene:PAHAL_3G029000 transcript:PAN15906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKVTGIAATAFLVTSVSLCEMGMRTVMLPFLVTSFVAFIVTIASHNAINLPWILGKNSVGRFPLWSIVLFGPFLMLARACAMAKRYMRKEAVHDKIVEGLYLGGWPFLLKHLPPGSPSVIDCTCELPRSFFVPADEYLCLATWDTRAPTPCQIELAARWACQKRSKGKSVYVHCAFGHGRSACVVCAILVALGIAETWKDAENVIRGRRKIKMNVLHRKTLEEWSNHRVSQKKGN >PVH62724 pep chromosome:PHallii_v3.1:3:43112834:43113553:1 gene:PAHAL_3G380100 transcript:PVH62724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYVAGGTLRCDIMVFVERSTRYPDVDPWFISTKGFRFPDTYRKAARKALRRLRVNYKHHLQRTPMGFFPPAEGRGRAWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRT >PAN18059 pep chromosome:PHallii_v3.1:3:11566716:11570545:-1 gene:PAHAL_3G175200 transcript:PAN18059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGRFANSTDPRSGLEVVRDWNGVAQVVLRSPKGASARVSLHGGQVVSWRNDRGEDLLFTSSKAIFKPPDAMRGGIQMCFPQFGYSGTLERHGFARNRIWALDDEHPPINHNDNVSKVSVDLILKASEDDLKCWPHCFEFRLRVSLSKDGDLSLVSRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSHKERFTEQGDAITFESEVDRVYVSSPNVVAVLDHEKKHSFVIRKEGLPDVVVWNPWEKKSRTMVDFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVSSTNCSDHLDHPVSI >PVH61735 pep chromosome:PHallii_v3.1:3:6928725:6930138:1 gene:PAHAL_3G108500 transcript:PVH61735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASACQGLLLGALAAAVLSTASATLHYDFYSSSCPPAEEAVRNATMKIVSDNPTMGAAFVRLFFHDCFVKGCDASILLDQSNSNPQPEKLAIPLRGYDAVNTIKAAVEAVCPGVVSCADILAYAARDSAMISGGFTFAMPGGRRDGIGSDLNDIPASIPSPSMQVQDLISSFGAKGLSASDLVALSGAHSFGQTHCSFVTPRLYPSLDKTMDKAFGEALQKECPRRGPGGTVLNNNVVTDPNALSNQYYKNVIARQVMFTSDQTLTTSSNATARMVQDNAADPVGWMARFAGAMVKMGGIEVLTGTQGEIRKVCGATNSGS >PAN19947 pep chromosome:PHallii_v3.1:3:21953716:21956744:1 gene:PAHAL_3G309800 transcript:PAN19947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPTPPQQRPTMRHSSAFLLPPPSPSSSPAPGGGPADAATVALVVLNQPLPRFAPLLWSRAALRVCADGGANRVFDGMPELVQGQDAGEVRARYKPDVIKGDMDSVRPEVKEYYSNLGTKIVDESHDQDTTDLHKCISFIAKNSTVADKSNLCILVLGALGGRFDHEMGNINVLHIFPNINIILLSNDCLIFLLPRTHAHEIHVERSIEGPHCGLIPIGMPSTSTTTTGLRWNLDNTSMSYGGLISTSNITEEDKVTVTSASDLIWTISLQN >PAN19948 pep chromosome:PHallii_v3.1:3:21954098:21956744:1 gene:PAHAL_3G309800 transcript:PAN19948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELVQGQDAGEVRARYKPDVIKGDMDSVRPEVKEYYSNLGTKIVDESHDQDTTDLHKCISFIAKNSTVADKSNLCILVLGALGGRFDHEMGNINVLHIFPNINIILLSNDCLIFLLPRTHAHEIHVERSIEGPHCGLIPIGMPSTSTTTTGLRWNLDNTSMSYGGLISTSNITEEDKVTVTSASDLIWTISLQN >PAN17032 pep chromosome:PHallii_v3.1:3:6566669:6568652:-1 gene:PAHAL_3G103100 transcript:PAN17032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRALQRALPPLSSPAAALLRRAPHALPPPPAPLRILDPIGLRPFSAAATASQAAPMGASLFGGLMDTRFPKRRPGFANRRKRASLRPKGPYYWVKCNPGEPIPTSQPNKGSVQGRKEKKRIKQRKAFIMAEKNKRRAQYSAAVKRKEAERTERKMAAVARERAWAERLIELKQLEALKKAAMA >PAN15757 pep chromosome:PHallii_v3.1:3:358222:359336:-1 gene:PAHAL_3G005900 transcript:PAN15757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKPPPPAGGKQELEEALLDIVQHHHHQSFRQRQQTERAKKDALRSAVRVSDLLVDTVDGGVQELFVNEKRIEHEARALVTTIARYRKQTDQWLAATNEINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >PAN16135 pep chromosome:PHallii_v3.1:3:2520535:2524576:1 gene:PAHAL_3G039300 transcript:PAN16135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNLPSGSLMQGTPYGSLDLHHNHVQMHAPNSGNQGFNHPQMASNFPVHLNQVTDSDQLSEFQFGEHGKANHHHHHQQQQQQTKNSMSDDEEHGVNEDATDSQTGKGKKGSAWHRMKWTDSMVKLLITAASYTGEDPGVDSGGGRRNCAMMQKKGKWKAISKVMGQRGCLVSPQQCEDKFNDLNKRYKRLTDLLGRGTTCRIVANPELLGSMTNLSDKTKDDARKILSSKHLFYEEMCSYHNNNRFSLPEDPALQHSLQLALKCKDEHDARRRTSGDADEGYQSADTDYEEENDDEHPAVHVNKGTLPMHKRMRYMVDQEDVGFGNSSSSHDCSRRSDPHSISVDINKVFPDGTNLALVQKDLATQSAAIEKQRMEIEAEALDLAKQRHKWERFSKKKDRELEKMRLENEQMKIENRRLELEVRHKELDLELRLKGNGSQA >PAN16134 pep chromosome:PHallii_v3.1:3:2520535:2524576:1 gene:PAHAL_3G039300 transcript:PAN16134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNLPSGSLMQGTPYGSLDLHHNHVQMHAPNSGNQGFNHPQMASNFPVHLNQVTDSDQLSEFQFGEHGKANHHHHHQQQQQQTKNSMSDDEEHGVNEDATDSQTGKGKKGSAWHRMKWTDSMVKLLITAASYTGEDPGVDSGGGRRNCAMMQKKGKWKAISKVMGQRGCLVSPQQCEDKFNDLNKRYKRLTDLLGRGTTCRIVANPELLGSMTNLSDKTKDDARKILSSKHLFYEEMCSYHNNNRFSLPEDPALQHSLQLALKCKDEHDARRRTSGDADEGYQSADTDYEEENDDEHPAVHVNKGTLPMHKRMRYMVDQEDVGFGNSSSSHDCSRRSDPHSISVDINKVFPDGTNLALVQKDLATQSAAIEKQRMEIEAEALDLAKQRHKWERFSKKKDRELEKMRLENEQMKIENRRLELEVRHKELDLELRLKGNGSQA >PAN17534 pep chromosome:PHallii_v3.1:3:8902335:8903510:1 gene:PAHAL_3G138600 transcript:PAN17534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAASTSASVCSAYNHLSAPAPAAGGDSGDQAAVSAQQLARRQRKHGGGCAGLRRRCYAVLKQQRTRLYILRRCVTMLLCWHEHDDLSD >PAN21338 pep chromosome:PHallii_v3.1:3:59298009:59299238:1 gene:PAHAL_3G457000 transcript:PAN21338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGSIAEDVAMAVPAELLWKGAFSPDASTVQKAFAGMVDAVEFDGDGGPGSLVTMKFSPGAMGEATLLKSRLVARDHAARVISWDEVVVEGSAAATQLRSQAVRVKVVPAGERAPAWPRSPWSTSASTARRCCRRTRPSS >PAN18896 pep chromosome:PHallii_v3.1:3:15188450:15192196:1 gene:PAHAL_3G234200 transcript:PAN18896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSRSEGKTQVAIKTLRRLGPATTGTKQGSKGGLPMWKQVSISDALLTNEILVMRRIVESVAPHPNVIGLHDVYEDAHGVHLVLELCSGGELFDRIVGRDRYSEFDAAAVVRQIARGLEALHKASIIHRDLKPENCLFSDRNEDSTLKIMDFGLSSVEDFSDPIVTLFGSIDYVSPEALSRQDVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILHGEFSFQDHTWKTISSPAKELISSLLSVEPYKRPTASDLLRHPWVIGDCAKQDLMDAEVVSKLQRFNARRKLRAAAIASVLSSKVALRTKRLRSLLGTHDLSSEELDNLRVHFARICADGENATLAEFEEVLKAMKMDPLIPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEDCLPGDITEPGKLDEMFDEMDANSDGKVTFDEFKAAMQKDSSLQDVVLSSLRPAP >PAN18716 pep chromosome:PHallii_v3.1:3:14300623:14303400:-1 gene:PAHAL_3G220300 transcript:PAN18716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTSHHRCAPPRRGGRRPRSGVADLAGCLLLLALVAAAAVFCLSSSSSRARSRLQHEDGGSRETLPSGSGATPRVTIFSAPRPAPEGSPARQELAVRSWLALPGNVSVVLLGAHASSLAIAGRLGRRVTVDAAIDSAFTGTPFFHSIVARAQAASDSDICVLVDAEIILLPEIVNALAHLSKVDRDWFLVAMSRNITEFHYQLADNGSHWVQADGKTVSFRKLREIPADKWASESSDKGLIVAWNSPSSPLHAGVLPSFLYGRGAHNRWLAHEVLSSEMRLVFDASGLVLGFYPESFSSMYDMSASKSGRLPAGSWEYSVNCHLAAIYGSYCYQLPRRHSTVLHKVVKQSEDYLFSKAHELTLSDFVISKKEKAHGGGGSLRRNENVCLSGHPHSSNLPYSLSMLLEHAADKNRSVVLGVAGVSYRDMLMNWACRLRHLRVTNFIVCALDHETYEFSVLQGLPVLRDPLSPKNVSFDDCHFGTKCFQQVTKVKSRIVLEILKLGYNVLLSDVDVYWFDNPMPFLYSLGPATFGAQSDEYNETGPINLPRRLNSGFYFARSDNATITAMQMIVKHASDSGLSEQPSFYDVMCGKNGTNRISDDKCLEPNTNLTVVFLNRDLFPNGAYKGLWEKHDVQSTCKKLGCFIIHNNWINGRKKKLRRQMSTGLWDYDPTSRLCLKDWSDRGSFRLMGQFHLFEDTDR >PAN15775 pep chromosome:PHallii_v3.1:3:1010299:1019449:-1 gene:PAHAL_3G018600 transcript:PAN15775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEAPLLLPPPAVEGCPGCAMERRKASSKGRIPYRELFFVGVTSLASSASYMVGRAVSAIFWGIIADRIGRKPVITFSILSVVIFNTLFGLSTTYWMAIATRFVLGALNGLLAPIKAYCVEVCQTEHQALGLSLTNLGLIVGPALGGYLSQPTEKYRQIFSDGSVFGSFPYLLPCLSVSAIATLVLISCTWLPETIHRHKVPEKDTKVVKAFSSQEGYRDSPRKKSLLQNRPWMSTMLPYCLFSLHDTAYSEILSLWAVSYRKYGGLSFSTEDIGEVLAMAGASFLVYQLIIYRWVHKILGTAVSILGLATYPFMTYLYGVELSLALYSAAMMKSGLAITATTGICLLQNNAVCQEQRGTANGISTTAMSFLKSIAPVGAGALFSWAQKRQDATFLPGDQVVFVVLNLVQLLGLISTFEPFLVLPALAV >PVH62092 pep chromosome:PHallii_v3.1:3:13297790:13298917:-1 gene:PAHAL_3G204200 transcript:PVH62092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSSSGKEPRVLRLPGDLVVEILARVPYRSLCRFKLVSRSWRALCSDPAVRRRCPQTLSGFFFRTMPLATPSRYDRHFVNASGRGAPMVDPSFSFLPAGHRDACIIDPCNGLLLCERVGTRPHFGSRYFVCNPATEEWIDLPDTEATASFPTVRLGFDPAVSSHFRVFVLVDAKATFELHLAGIEIYSSENGAWTYRQTEWGDGTVAGWNSVFFKGTLHLTSPDSSSLLTVDIDANKWGEIPTPRDFHFIGVSQGQLHAVHISNRCNNHNRNNRLSIWVLEDYAGQQWTLKHEVDAMQMFGRLPGSFGDFYHLKVHAIHPEHGLIFLTAGKLRSLMSYNMDEKKVRFIWILGERNVSACPYVPCFSDWLPPGH >PAN19783 pep chromosome:PHallii_v3.1:3:20480493:20485662:-1 gene:PAHAL_3G299200 transcript:PAN19783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKNAGRTSPWLLILISAGCFCATYNFLTMHGRGRDGPRKLLGGGGRGSYGSRSGSDPAKRFHVALTATDALYSQWQSRIMHYWYKEMRDRPGSDMGGFTRILHSGKPDGLMDEIPTMVVDPLPEGKDKGYIVLNRPWAFVQWLQRAKIDEDYILMAEPDHVFVKPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDEDTDKAFGWVLEMYAYAVASVLHGVHHSLRKDFMIQPPWDLKTENTFIIHYTYGCDYTMKGQLTYGKIGEWRFDKRSYLESPPPRNLSLPPPGVPESVVTLVKMVNEATANIPGWEDER >PAN19784 pep chromosome:PHallii_v3.1:3:20480974:20485160:-1 gene:PAHAL_3G299200 transcript:PAN19784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKNAGRTSPWLLILISAGCFCATYNFLTMHGRGRDGPRKLLGGGGRGSYGSRSGSDPAKRFHVALTATDALYSQWQSRIMHYWYKEMRDRPGSDMGGFTRILHSGKPDGLMDEIPTMVVDPLPEGKDKGYIVLNRPWAFVQWLQRAKIDEDYILMAEPDHVFVKPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDEDTDKAFGWVLEMYAYAVASVLHGVHHSLRKDFMIQPPWDLKTENTFIIHYTYGCDYTMKGQLTYGKIGEWRFDKRSYLESPPPRNLSLPPPGVPESVVTLVKMVNEATANIPGWEDER >PAN15999 pep chromosome:PHallii_v3.1:3:1387634:1391837:1 gene:PAHAL_3G025000 transcript:PAN15999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAFPPPPAPFPDDDFDFGDFTFASAPQPPLADPRPAAFAAFDDDWGDFVASPLGSKADASPPPTLPTATSSWEKPRGPLPLSLFGADDDQEDGREEEGSVEPPPQPATAHQRAACFATDGSRPADLKDLIAGLYGSQPPPASVAADAGPQEDGEGFGDDDWEFTAATAEPADQDGGARGPGDGIGMIEDVTKSVSNDEEDWSSFASLDEKLNHVHQTTDNIGTQKSTGECVKASSCSPANNSAILNLYKERELADTIHIAQSSAESLQSSSDLFSNNKMNSSFKTDESHSSGSTSDCNLIEFYHRLREESLTVMSQHVKDLKEAQKVSTPSDENRKATVIGREIQEIYDKLKDSSLPKGFCAEVYPSRDVYITELINCIEEEQLKDFQQEYCLAEKIARAMEDTSVAIELYKHSVSTLHTLELASKEEQRDYVGAWYRMLLPSAHELQHGAALWQESYHSNVCDQVISEGGDYFIALGEIYRVAQILYLSLQCFKPWVLADPGMLSKIMACLDRCSNAWTSCLETALKRVVDSNRLDASVAKALMESINNIKELELPSLQNVLPTNEMTCRLSLLPPSVVPGMKLIMWNGHHYFIKVANFWANQISSYPPQLSHTLQSSRNNAATLAHHTE >PAN17817 pep chromosome:PHallii_v3.1:3:10094565:10096382:1 gene:PAHAL_3G156700 transcript:PAN17817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGATTAACAARDEFGLLPLRPAPNGSITIYLTAPGLAVMPMRVMATDSIASVKLRVQTSQGVVVRKQKLVFHGRELARNDCRVRDYGVADGNVLHLVIRIPDLRHITVETVQGSKFRFRVEPGRTVGYVKQQIAKDSRLDPAHPDEQTLVLEGEELDDRHLIHDVCRIDGAVIHLLVQRSAKARDFEVSIVARDAAQHQPLPRDIGIEPVVGNPKAQLPSALRDLVSAVRAGMANGNAPVMSSEGTGGAYFMQDASGHQHIAVFKPVDEEPMAANNTRGLPVSSTGEGLKKGTRVGEGALREVAAYILDHPLGGRRSFTGQGAAGFAGVPPTALVRCMHRGFRQAEGSAQPPAFKLGSMQAFVKNCGSCEDMGPHAFPVQEVHNICVLDMRLANADRHAGNILVCRDDDGRGMSLVPIDHGYCLPESREPFSGEAAEYVKCLDAEEDIAILRFHGWEVSRECARTLRVATMLLKKGVERGLTAFHIGSILCRETLTKESVIEEVVREAQALDDDGAEHPRGAGGDETAFLQAVSGIMDRRLDELSSEQQ >PAN16325 pep chromosome:PHallii_v3.1:3:3368948:3370078:-1 gene:PAHAL_3G054000 transcript:PAN16325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTDSFVAAAAKAQHGGAPASSAGGDDPELRAFLAEADAAKAEMAALRDELSRLRTAHEASRNAVTVGSSGGRAATQAALVRLLGSARRLRARLASMDRRTPAPAVQAVAGLRGRVHDLTGDAQALRRQVSAERREDAARRYLAVAGDAPTEEQLDRLLANAADSDAAMRAALLSAPAAVAEEQQEAAREVAEVERGLLELQQVFLDMAALVEAQGAPLDDIERHVAAAAGDVGAAEAELREARRLQGEARRRRVCLASGIAALLLVAVAIAVVAALVLAHRGGGGNLLLQIGADLPVR >PAN17994 pep chromosome:PHallii_v3.1:3:11318494:11319003:-1 gene:PAHAL_3G170600 transcript:PAN17994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSQPRHSIQTYWARRNYQRLGSPSRRLRVARLGAGGSSRAASTQPAPAAGPASARSSSWKARASRAARVRAAVVLAAPALLLARLRDAYVDAMVALGGGAVRPCAALARSRSGAEAGLWAKRVPRARGQPGQRSGGARGGDFERRMMAHIYSMVVTPELPCAARA >PVH62676 pep chromosome:PHallii_v3.1:3:38018902:38019418:-1 gene:PAHAL_3G365400 transcript:PVH62676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNILAFITTALFILVPTAFLLIIYVKIASQND >PAN16559 pep chromosome:PHallii_v3.1:3:4395227:4398643:-1 gene:PAHAL_3G068800 transcript:PAN16559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVPASPFTAASLHELVLRLHQCGGGLLLHRAHAAAIVSGALAASLPLAGALLLSYASLRDVHSARLVLRHHPLRLRSAFLWNSLSRALASAALPAEALRVYNRMARSGVPPDDRTFPFALHAAAAAVAAGERPDKGLELHAAALRRGLLLADVFAGNTLVTFYAACGRAADARRVFDEMPARDVVSWNSLVSALLANGMLEDAQRVVVRMMRSGVPANVASLVSVVPACGTEREEGFGLCIHGLVLKSGLDSVVNLGNALIDMYGKFGDLEAAMRLFNGMPERNEVSWNSAIGCFVHTGIYEDVLEMFRAMLEHGVTPGSVTLSSLLPALVDLGCFHLGKEVHGYSVRRAMESDIFIANSLMDMYAKFGSLVKASAIFGKIDAPNVVSWNAMIANLAQNGAESEAFRLFIEMQKNGECPNAFTIVNLLPACSRVASLKMGKQIHAWSIRRSLMSDLFISNALIDAYAKCGQLSLARNIFDRSEKDDVSYNTLIVGYSQSSCCFESLHLFEQMRSAGVEYDAVSFMGCLSACANLSAFKQGKEIHGVLVRRLLSTHPFLANSLLDLYTKGGMLDTALRIFNRITQKDVASWNTMILGYGMLGQHGVALELFDLMEDDGVDYDYVSYIAVLSACSHGGLVERGKKYFSQMLAQNIKPQQMHYACMVDLLGRAGQLSESAEIIRNMPFRANSDVWGTLLGSCRIHGNIELARWAAEHLFELKPEHSGYYTLLMNMYAEAGMWTEAYEIRTLMKSRKVQKDPAYSWVQNGNKLQAFLVGDA >PAN16922 pep chromosome:PHallii_v3.1:3:6119819:6123761:1 gene:PAHAL_3G096500 transcript:PAN16922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNILLFCLLAIFSSLHTPAPCSAASGNNDTLTAGQALAVGDKLVSRNGKFALGFFQPGSGITSKYTDINTTNSPGWYLGIWFNRIPVFTVVWVANRDKPISNPKLNQTQLKISGDGNLVILNHATESIIWSTNIVNRTQTSIINTTAILFNNGNFALMGSPSSAVPPLWQSFDYPTDVFLPGAKFGRNKVTGLNRQYISSKNLIDPGLGSYCIELDTNGAMLLRSRNPSVVYWSWSSGSSLLVPLFKTMLNMNPQTKGLISPAYIDNNEEEYYTYTLVDESSLIFALLDMSGQIKMNVWSQARQSWQTLYAQPAVPCTAYATCGPFTVCNSNSDPFCDCMKSFSLKSPLDWELDDHTGGCVRNTPLDCSVKENTTSSTDMFQPITRVTVPYDPQSIEDATTESKCAEACLGDCSCTAYSYNSSRCYTWHGELLNVKQNDNIDNTSEDVLYLRLAAKDVQSVRKNKRKPIVGVVSAASIISFGLLMLILLSMIWRKKFKCCGAQLDSSQGTGGGIIAFRYTDLGHATKIFSEILGGGGFGSVFKGVLSDSTAVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCSEGDKRLLVYEHMVNGSLDARLFQSNATILNWSTRYQIAIGVARGLSYLHQSCCECIIHCDVKPENILLSASFVPKIADFGMAAIVGRDFSKVLTTSRGTIGYLAPEWLSGVAVTPKVDVYSFGMVLLEIISGRRNSPNQVYTSSSYHVAFFPVRAVSKLHEGDVQSLVDPQLHGDFNLEEAERFCKVACWCIQDNECDRPTMIEVVRALEGLHGLDMPPMPRLLAAITECSDGASML >PAN18996 pep chromosome:PHallii_v3.1:3:15648268:15650853:1 gene:PAHAL_3G241200 transcript:PAN18996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPLLMGRGRPGRWRWQGRSARSLGVVAWAFAVAAALVVSLCFVGGALASDLRRKTEEAAAAGAHPGDQAVVTEVREAVYSYAGRRLLSGGPGSHPPRCTSKCGSCSPCYPVHVSVPPGVLVTTEYYPEAWRCKCRNQLYMP >PAN22103 pep chromosome:PHallii_v3.1:3:64176197:64178861:-1 gene:PAHAL_3G513700 transcript:PAN22103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKALSRLILVLVAVPAGCKADHDFVSDGEYIMVKRSTFAVLIVFALLVVGFAIAITKYLRNKTKANEATAESLKSSSQGNRVHVEVTSRWSGLYRFTKEEIERAINYANSRIYLGSGSAGQVYQGVLPSGQLVAIKHIHKTAMSGSFVREVEQLSKVRHPNLVCLFGYCDQEGDQYLVYEYCANGNLAQNLLRSDSVLPWEARVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTENKVPKLSDFGLAKMLEMEETKVFTDVRGTIGYMDPEYITHSKLTCSSDIYSFGVVALQLLSGRKVIELDIVARDSLTKKAKDVVNGKKPLEEFIDPRVRNEVIIEDFVLILEIAVLCVASSSTGRPTIKDVFEEMDKAQRNTTIKTKARKEMTAANLDVPYAKVLDV >PVH61318 pep chromosome:PHallii_v3.1:3:567313:567739:1 gene:PAHAL_3G009800 transcript:PVH61318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSLSAARGHHFLLAASQRYSILIVPHHHQFLVTSPQRRLLVAPQHHHLLTSPQ >PAN16813 pep chromosome:PHallii_v3.1:3:5702524:5706969:-1 gene:PAHAL_3G088400 transcript:PAN16813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGAMAAAAAALLPSSSSPLLRLPRRFLSLTATPYPLYYDLIVHRPADPKPPKASSDASADRQPQPTPDEQPLDRAKRRYLRKRRSRLLPDPDASAKPSASSSSEFVELQPEVVDFPRLHAREEALYFHDTFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVPADAGPPSDADRGLVFFDDEKKEDDGEDRVANKKEGDNDKGEVLERKVEDFFRSLRKPPGEASTKAKRPAAAGAEPRQVKREVPREEERPQPYLVTRTTDLPPRWDGPAGTVVLIDKPKGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGKATKIVDSYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKAAASFKGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIYQFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRESIGEYSVNDAWNFDELEEQITKAYL >PVH62903 pep chromosome:PHallii_v3.1:3:56069776:56070067:1 gene:PAHAL_3G427600 transcript:PVH62903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKCVKFSEVPKLQQTQLYTIIRRQFHATPGENVSRTELFYSGRLISKANGMQGVPSSGLSTVCKL >PAN21508 pep chromosome:PHallii_v3.1:3:60526710:60527036:1 gene:PAHAL_3G471700 transcript:PAN21508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHWDPLSDLTNSPITPSGLRKRSRAAAVGDDENAGSFYIPRPPVRARGAMMQMLGLHFLVRGHRRPRPRRARRSWQMRAAAAGVLRRARPVPDGPGGRGRAANVDLN >PAN18153 pep chromosome:PHallii_v3.1:3:11931959:11934396:1 gene:PAHAL_3G182000 transcript:PAN18153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDYYKILGVDKGATDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPTMFRFNPRNAEDIFAEFFGGSSPFGGMGGGGGMGGGMPGMRTGGTRFSSSIFGDDIFGSAFGGGPDGHGMHTGGRAVKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTAHLTTLDGRSLTVPITSVIHPGYEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPSRLTADQKSGVKRLLGQ >PVH63090 pep chromosome:PHallii_v3.1:3:60489273:60492832:1 gene:PAHAL_3G471100 transcript:PVH63090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYDPSLYLSDLGPAYVDLPPTPQQPQCPQPSGGAAAWPEDMVLPFISRMLMEEDIDDKFFYEYPDHPALLQAQQPFLDILSDDASSSPSAARSGASVTHPSTATSSSDAADALLTPAAVDSYAQFNAFDLDPAAFFSGGANSDLMSSAFLKGMEEANKFLPSQDKLVIDLDPPDDAKSFVRPAENKLAASGFKFNGAAPAAAVAVKVEEAVVSASGGGVGGRGRKNRFDDDEDNLEMDRRSSKQSALQGDGDDRDVLDKQYMITSHEMCVAVEQMEKLRIPMQEEGGGNGKANAKGGGGRRGGREVVDLRTLLLHCAQAVATDDRRSAIELLKQIKRHASPQGDATQRLAHCFAEGLQARLAGTGSRMVYQSLMAKRTSVVDILQAYQLYMAAICFKKAAFLFSNQTIYDASLGKKKIHIVDYGIHYGFQWPCFLRRIACREGGPPEVRITGIDLPQPGFRPTQRAEETGRRLSKYCQEFGVPFRYQVMAASRMETIRAEDLNLDPEEVLIVNCIYQFQNLMDESVLIESPRDVALNNIRKMRPHAFVHGVVNGSFSAPFFVTRFREALFYYSALFDVLDTTTPRDSSQRMLIEQNIFGRAALNAIACEGTDRVERPETYRQWQVRNQRAGLKQLPLNPNIVQVVRDKVKGCYHKDFVVDIDHHWLLQGWKGRILYAISTWRTGVRICRRRPIVAASLPAAPRPVRRICRLLLPLVVRRFLAGSHFTPPQLSSFLSTSRAVQATVRQASAALLESVTLYGNVFVVAGRGRGRWSPAPVRQEATTHTATRSLNSFSAPSLQK >PAN19284 pep chromosome:PHallii_v3.1:3:17306873:17312610:-1 gene:PAHAL_3G261200 transcript:PAN19284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDDLMKKSNGTYFPEEILLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSMGPLPACYSVSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQYRPLYDASNPMRMPEKPLPTSRSSQRSMSDSQSSSISSSDIDSTQSSDRSTSCGTTSNDRKTNDNLNTRDVDQAMSDEKCSTPEDLRGNKDNYSVQFKRQDSSKSIHVDLHPRTESKQPKIIEKIMTTLREESRLREINSPVRGGVKPSTGLSNNNQVEHPLQVSGTNSDMPCSLKSGNILSHDEHANQVEASPPLKQLSPIAEHSPKIKTAGPSTPEPAKQITENGAVASGKTKSRTPPATRRPSPQRQAGVGTPSFPVTVTRRAHTKVTTEREKTPERPSRGSDNALSDPPRNIMISADPSEGQHMKLDDLQAKSTNLWEFFSVSTKEHNSACSNSTVGCTENMDRSELSEPDSSVCLISPCIGSAPNTVSKEDDLSTITYSEISTDKIIVTNNGGSTLRCSLEPSFLSSVQEFVSKDDVQSNQHGKGTTSQSGEDKFTVQELLSSAPEAPPILSASEVATSIPLAPEVAPSIQVTKGTLSEAPVSLQSWKKHVVSHLNPPVDDIAQTIGHSLFCVSDEQPTPETVQREARSTDIIKLLNVVPEEADARSSSSNTLPPARASSVTATSHVSEASAATKAPATSDLVKLSAATSETRNGIKEEASPAKEALDVTSFRQRAEALEGLLELSAELLENQRLEELSIVLKPFGKNKVSPRETAIWLARSFKGMMSDDAGRPSP >PAN19379 pep chromosome:PHallii_v3.1:3:17956966:17960665:1 gene:PAHAL_3G269800 transcript:PAN19379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESGPGSTNPLLPRRRAPPKGGWKSALFIIWVEVAERFAYYGVSSNLISYLTGPLGQTTASAAAAINAWSGAAAMLPLLGAAVADSWLGRYRTIVASSVLYIMGLGMLTLSSMFPSTQQCGVAAGSRGACPPSPVQTAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPDESLSRASFFNWWYFGLCGSATVTVALMSYVQDNVGWALGFGVPSMVMLLALAIFLLGTRTYRFYGSGGGSNGCAATFSLVGKAFVAWRKRSKGAWPELERGDGELAEDAMLAEEVNGLARLFPIWATCLLYGAVFAQPPTLFTKQAGTLDRRVGPSFQIPPAALQCFLGVSMVACIVLYDRVLVPVARRVSGVASGVTMLQRIGTGIALAMVTLVVAALVEMKRLRAARDAGPVDGGSGAAVPMSLWWIVPQYVLLGAADVFTMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLITVIDGITTRNGGTSWFADDLNRGHLDYFYLLLAALTSLELLAFTYFSTSYVYRIKAGNNH >PAN19378 pep chromosome:PHallii_v3.1:3:17957784:17960665:1 gene:PAHAL_3G269800 transcript:PAN19378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSSMFPSTQQCGVAAGSRGACPPSPVQTAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPDESLSRASFFNWWYFGLCGSATVTVALMSYVQDNVGWALGFGVPSMVMLLALAIFLLGTRTYRFYGSGGGSNGCAATFSLVGKAFVAWRKRSKGAWPELERGDGELAEDAMLAEEVNGLARLFPIWATCLLYGAVFAQPPTLFTKQAGTLDRRVGPSFQIPPAALQCFLGVSMVACIVLYDRVLVPVARRVSGVASGVTMLQRIGTGIALAMVTLVVAALVEMKRLRAARDAGPVDGGSGAAVPMSLWWIVPQYVLLGAADVFTMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLITVIDGITTRNGGTSWFADDLNRGHLDYFYLLLAALTSLELLAFTYFSTSYVYRIKAGNNH >PAN17375 pep chromosome:PHallii_v3.1:3:8254249:8258075:-1 gene:PAHAL_3G127400 transcript:PAN17375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQISRKTLGLLLLLAAAAVSPAAADDVVALTEADFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSICSKYGVSGYPTIQWFPKGSLEPKKYEGQRSVEALAEFVNSEAGTNVKIAAVPSSVVVLTPETFDSIVLDETKDVLVEFYAPWCGHCKHLAPVYEKLASVFKQDEGVVIANLDADKHTDLAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLTSEAGLVASLNPLVKEFVNAADDKRKEVLSKIEEDVAKLSGSAAKHGKTYVTTAKKIMDKGSGYTKKETERLQRMLEKSISPSKADEFIIKKNILSTFSS >PAN18329 pep chromosome:PHallii_v3.1:3:12751073:12756463:-1 gene:PAHAL_3G194900 transcript:PAN18329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGLVHNDERKESRSDADNSEGDKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRDSIKDIRDVKELQDVETFRQCLIDEDLLPQQHDDYHMMLRFLKARKFDVEKAKNMWSDMLRWRKEFGTDKIEEFDYTELDEVTKYYPQFYHGVDKEGRPIYIELIGKVDANKLVQVTTIDRYVRYHVKEFERCFQMRFPACSIAAKKHIDSCTTILDVQGVGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWNTIKSFLDPKTASKIHVLGNKYQHKLLEIIDECELPEFLGGKCKCDEHGGCQKSDKGPWKDPEIIKRVLNGEANYGRQIVTISSTDGKIIGYARPEYPAQRKGSDASAESGSEVEDVASPMASRNLITHPILTPVHEESKLPAHAYASVAHASIENIPVVDKVVDDGWGSPRANLQASSSGSFSLRNLPGTFGGLRAQIITWLTVLVMTLFAMLCSVPSKMARSISNQSVKHDDYHVEYPQEQECKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDKQELIKFRKKKKFCF >PAN18331 pep chromosome:PHallii_v3.1:3:12751036:12756583:-1 gene:PAHAL_3G194900 transcript:PAN18331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGLVHNDERKESRSDADNSEGDKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRDSIKDIRDVKELQDVETFRQCLIDEDLLPQQHDDYHMMLRFLKARKFDVEKAKNMWSDMLRWRKEFGTDKIEEFDYTELDEVTKYYPQFYHGVDKEGRPIYIELIGKVDANKLVQVTTIDRYVRYHVKEFERCFQMRFPACSIAAKKHIDSCTTILDVQGVGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWNTIKSFLDPKTASKIHVLGNKYQHKLLEIIDECELPEFLGGKCKCDEHGGCQKSDKGPWKDPEIIKRVLNGEANYGRQIVTISSTDGKIIGYARPEYPARKGSDASAESGSEVEDVASPMASRNLITHPILTPVHEESKLPAHAYASVAHASIENIPVVDKVVDDGWGSPRANLQASSSGSFSLRNLPGTFGGLRAQIITWLTVLVMTLFAMLCSVPSKMARSISNQSVKHDDYHVEYPQEQECKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDKQELIKFRKKKKFCF >PVH62336 pep chromosome:PHallii_v3.1:3:17720255:17723198:-1 gene:PAHAL_3G266400 transcript:PVH62336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGRRSLAGSWAAAEADGDAASAVLSGEYQALEMSAMVSALAHVVAGGDDDGYPPAAGGYTHAPAQQWGSYSSAAAPTPDHHHFHAAAGGGEQGGGGHHHDVRGAAAGPMEEHSPTAAAGGGERRYRGVRQRPWGKWAAEIRDPHRAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLTAPSSTAAAAAPAAAPAPSATAVAASAAGAYPDASAVSEYLQYQMLMQGAGGHGGGFPHQYYGGAAAVSSSSGSYSFPASSVTVASVPPSSAPGYGEAAQWAGNSWADGSAWSYPATTGSWSGSGHYPPSTRPPQ >PVH63293 pep chromosome:PHallii_v3.1:3:64324865:64325983:1 gene:PAHAL_3G515800 transcript:PVH63293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSQSPFPSPASRLPPSRAPAAAGPAPPPAPRRRRRPRAPPAPCALRLRAAGHARRTAPRAGRPAPRAALPPPARRTAPACALPAPRAALPPRPRLRAAGRPAPRAALPLCACAAGPARPRAAGPARCRAAGPARRRPRRRPRAPPPTRAPLPGRTGRAYQGCYLQCIKNISISPARRPGSESN >PAN19031 pep chromosome:PHallii_v3.1:3:15855842:15857077:-1 gene:PAHAL_3G244500 transcript:PAN19031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAVTGGSDHRRRRKGSSGHVIAHLLESPLPTPRRSCCGRAAAADAPPTARRGRGDASPRRGHVPFKWESSPGVPKGAASAGGGKEREEPPLPKPPPGRCGGPCRARAYHHSNSTDSSSDRDDDTFSDALDRISSSDRLAALSARLSAIDGVFGSRRSPSFIMDRFLPAANAIATTSADRHPRRSPPRRSKSKHAKDAAEAAARARHVAHIRRRALAREHSKHSPPRQCMDAAAARAPLPPRVNEDAEGDHQMTPRACGLMFFVPWSAKPVLLGFQRSPARSRTPRSDVASVTASSPPRRSITLGDVLEKERKLRDERSDSGKEWSNPGWGMALLGTSKRYCADARKALSRLTRSGTDDGDSPRIRRDRKSSKPAASMLRSTSVKMPPLSPPSESWLTNARRSNAGSNKS >PAN21854 pep chromosome:PHallii_v3.1:3:62540289:62543520:-1 gene:PAHAL_3G494600 transcript:PAN21854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSVFRRTKNSRSQIVQHDQDILITGNVKIYSFKELRKATRNFCPGNKLGQGSFGRVYLGKLSNGEKVAIKVLSSESRQGTKEFLNELSVISNITHHNLVKLHGCCVDGGQKMLVYNYVENNSLAQTLFGNSCSGIIFDWRTRVKICVGVADGLTYLHEEIQPPIVHRDIKASNILLDRNLSPKIADFGLAKFFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGLLLLEIVSGRCHTDPSLPLDEQFLLEKVWMLYESGDLESIIDRTLKRDFGTEEAHQFLKIGLLCTQDSPKIRPSMSMVAKMLKGECAVGDKIMRPGLITDVMDLKVRTVEPVQFSLSPSMSPALSNSLLSTLAVAGSTCVEESP >PAN17441 pep chromosome:PHallii_v3.1:3:8500414:8501108:-1 gene:PAHAL_3G131800 transcript:PAN17441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSLARYDVNVLLAAVAALSAAVAFVAALHLYARCLLQRRAALAEGNPRVLVLRRPPPDGYELEVVSEGACGQGAAGLDAKALRALPVFMWEPSKVGEEGGVAAAAEHDGQCAVCLGELEDGELGRLLPACRHVFHVECIDTWLGVSSTCPVCRTAAAAPAADVAPGPGEVDPS >PAN15644 pep chromosome:PHallii_v3.1:3:742847:746821:-1 gene:PAHAL_3G013100 transcript:PAN15644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWAVLAVLLVAAQATSAAPVVAPAFLWAPKNYGFRSNDAKEVVHYQTVSPKGLAKSVLEEGGWSNLMCSKEDAQKNVDVAIVFLGSKLQSSDISKDKQVDPALVDTLKLSFTSSEFSMAFPYVSTSDDEKLENSLLSGFAENCNSAFERNRVTYTDTCTVTGQDLKKHHSIDSIHDLVTSRMGNNPSGQTDLVVFCSGGFEDLDPAKSEGELLFELVAMLKKSGAKYTILYASEPSGSLENPSSLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >PAN20787 pep chromosome:PHallii_v3.1:3:53081076:53082125:1 gene:PAHAL_3G411100 transcript:PAN20787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKTQRAQAAGATAAAERKKRREMARAAAAAASPRAPLAVKTSTTSRYEKMEAVGAGAFGVVYRARDRRTGEIVAMKCLNADDFDDGPLGSMFADEVGALEACRGVPCVVQLRDSCRRDPATGEAFIVMEFVGPALRDAARGGRSGGVRRHSEGEARRIARQLLAGAAGMHAAGLMHRDLKPDNVLVDARGGLKICDLGRSRATADGPPYSNPVVARNYRAPELLLGRTDYDAGIDAWAIGCIVAELLAGNLLFYGDSNKEHLSEVLNVLGTNDIREWSRCPDRLPSGCGPTSFLPDLFPSSPELAMAIGRPSLSEAGFEVLSGLLRCNPEKRMTAAHALKHRWFEEA >PVH62042 pep chromosome:PHallii_v3.1:3:12512099:12514172:1 gene:PAHAL_3G190900 transcript:PVH62042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGSVKCQCAYFSDCAMPPLPLFHLLVAASLAEPSAGNMLDPGMCQKSVACGDSVDVRYPFFLTAATAAIVGNTAYSYCGYPGMAIICEGGRATLRLKGNEYTVLNINYEDHTVTVADTEVLSGGDCPRVTRNVTVPPEAWLNISTTASINLSFFFDCVFTAATPPPPARPPINCSSFPGRDRVSYVVEEPDVAPADQWPRACKGMVVVPVLKELLLGSDEYLARLNSDGYGKVLKQGFQLSWDPSAGPCSLCEQSKGQCSYNQSGEFIGCLCSDGSVRNPDCGPRISEKKNTTYIVSAVSGSILLFLLLSICALHRKKFHIPMPWEWGSKHISTIESFLQKHEAQYPKRYTYSEVKRMTKFFAHKLGQGGFGIVYKGNLPNGQDIAVKVLNESKDKDDGQEFMNEIASIMRTSHINVVTLLGYCIEGYKRALIY >PAN19205 pep chromosome:PHallii_v3.1:3:16805372:16807901:1 gene:PAHAL_3G256200 transcript:PAN19205 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05930 [Source:Projected from Arabidopsis thaliana (AT5G05930) UniProtKB/TrEMBL;Acc:Q8L870] MVLRTLGIHCCDGIADLERLCRTTSIWTVDLAYLLNKFSVSFSFFTVTLGANPQYSAESFYREQLQEDIDRVDELFGKALEAGISIQCRSISAYDIAFLLLSGHCIAIALVDKSKLNSSWMNDVHDVQQLNEDSDYMGHYVIICGYDADDCEFEIRDPASSRKRERVTMKSLDEARKSFGTDEDILLVSLTGKSGMKVTRKFLAGSM >PAN19204 pep chromosome:PHallii_v3.1:3:16804405:16807901:1 gene:PAHAL_3G256200 transcript:PAN19204 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05930 [Source:Projected from Arabidopsis thaliana (AT5G05930) UniProtKB/TrEMBL;Acc:Q8L870] MWPLCVISEKLFKMAGDDAGQGPGSPSADYAQISLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGIHCCDGIADLERLCRTTSIWTVDLAYLLNKFSVSFSFFTVTLGANPQYSAESFYREQLQEDIDRVDELFGKALEAGISIQCRSISAYDIAFLLLSGHCIAIALVDKSKLNSSWMNDVHDVQQLNEDSDYMGHYVIICGYDADDCEFEIRDPASSRKRERVTMKSLDEARKSFGTDEDILLVSLTGKSGMKVTRKFLAGSM >PAN17908 pep chromosome:PHallii_v3.1:3:10895548:10897674:1 gene:PAHAL_3G164500 transcript:PAN17908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASPLFVLVFLAVTAPLSAGAGAALVFPDEALPTKSGYLPIPPTNASLFFAFYEATRPLTPPASTPLLLWLQGGPGCSGLVGNFFELGPYFVNPDSVSLSPNPFAWNRRFGLLFIDSPLGTGFSAAPSPADIPTNQSVIAVHILAALQSFLALDPSFRARPFFLTGESYAGKYVPAAGAHILDVNPTLPEALRVNLHGVAIGNGLTHPVAQVATHADAAYFSGLINARQKRELEALQAEAVALTRAERWREAADARGRVLSRLQNMTGLATLYDAAKQRPYRTDPVGAFLNRAEAKAALGARGDVAWEECSDAVGAAMHADVMRSVVPQVESLLRRTRVLLYQGVRDLRDGVVSTEAWLGEVRWDGLHAFLDADRAVWRTRADGELAGYVQRSGALAHVVVYSAGHLVPADNGLAAQEMIEDWVLGTGLFGRRGGNGRRRAA >PAN21890 pep chromosome:PHallii_v3.1:3:62802592:62807601:1 gene:PAHAL_3G497600 transcript:PAN21890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEKKFGKGPRELTGAVDLISHYKLLPHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRNKPASIQPFDMEILGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHDKKRKHEGMEDSADVHKHKKSKHKSSKTDEMGNGLS >PAN21891 pep chromosome:PHallii_v3.1:3:62802592:62807601:1 gene:PAHAL_3G497600 transcript:PAN21891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEKKFGKGPRELTGAVDLISHYKLLPHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRNKPASIQPFDMEILGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHDKKRKHEGMEDSADVHKHKKSKHKSSKTDEMGNGLS >PVH61453 pep chromosome:PHallii_v3.1:3:2304529:2304729:1 gene:PAHAL_3G036100 transcript:PVH61453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPLSYLDSSSIRTKRIFWKIGWQKTSWSAAKNWIIDCMDLTVLCQITWNFSRFFTNHVLCPLM >PAN17166 pep chromosome:PHallii_v3.1:3:7050017:7057227:1 gene:PAHAL_3G110300 transcript:PAN17166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSRAFQLDGPSSSAVGTGDGRGGKAGPSGAGGTSGGSVPFYRLFAFADGADAALMSLGALGAVANGAALPLMTVLFGRLIDAFGGAATTRDVVGRVSGVSLEFVYLAVASAAASFVQVACWMITGERQAARIRNLYLRTILRQEIAFFDQHTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLLVTFVGGFAVAFAQGWLLTLVMLATIPPLVLAGAVMSSVVARMASLGQAAYAEAAVVVEQTIGSIRTVASFTGEKRAVEKYSKSLKRAYSSGVREGLAAGVGMGIVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAAGQAAAYKMLETINREPEIDAYSTTGRKLDDIQGDIEFREVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVDLKEFQLRWIRSKIGLVSQEPVLFDASIRDNIAYGKDDATDEEIRAAAELANASKFIDKMPQGLSTSVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDTESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHRGSVVEKGSHHELVRDPEGAYSQLIRLQEASHASEGTNYQSKSIRKGDSGICAGKQTPTNQSATIRSPQNNSRNHSLSVSFSVPLEIDVQDRSSKNADEEIEQEVTLSRLASLNKPEIPVLILGSIASAVSGVIFPIFAVLLSNVIKAFYEPPRVLRKDAEFWSSMFLVFGAVYFLSLPLGSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDYPENSSGAIGARLSADAAKVRGLVGDALQLAVQNSATLVAGLVIAFISNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKLMYEEASQVANDAVSSVRTVASFSAEEKVMDLYKKKCEGPLRAGIRTGIINGIGFGVSIFLLFGVYAASFYAGARLVEDGKTTFPKVFMVFLALSMAAIGVSHTSTLTSDSSKAKSAVSSIFAIMDRKSRIDPSDDAGVTLEPLSGDIEFQHVRFRYPTRPDVQIFQDLCLTIQSGKTVALVGESGSGKSTAIALLQRFYDPDAGHILLDGVDIQKFQLRWLRQQMGLVSQEPSLFNDTIRANIAYGKEGEATESDVVAAARLANAHKFISSLHQGYDTTVGERGAQLSGGQKQRVAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVSRTTVIVAHRLSTIQGADVIAVVRNGMIIEKGRHDTLMNVEGGAYASLVALHSAAPS >PAN16028 pep chromosome:PHallii_v3.1:3:1516377:1523247:-1 gene:PAHAL_3G027500 transcript:PAN16028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADAALRPAGLDATDSPRCPAAGNSGSVGGAASATKLQRVYRGCRTRRKLADSAVVVEELWWQALNFARLSHSTISFFDEPRPKTATSHWNRVNLKASKVGQGLSRDSKALKLAIQHWIEAIDPRHRSGHNLHFYYERWCQSQAGQPFFYWLDVGDGKVVDLPECPRTLLKKQCIKYLGPKERELYEYIINKGKVIHKQSREPLDASQGPEGAKWIFVMSTARKLYAGKKEKGVFQHSSFLAGGATIAAGKFTVENGVIKSILAYSGHYKPSMENLNNFMKFLEESGVDLKQVKARPFTKDDCCDDPAPNDTQNIAVHTSPSQVVLSANTMEGDEGKDAPTEQAKLTYQRTLSGGLRSPKAIHVPQKAILERVKSKSESKSYQLGHKLSMKWSTGAGPRIGCVKDYPAELRTQAMQMVDL >PAN16031 pep chromosome:PHallii_v3.1:3:1516387:1523022:-1 gene:PAHAL_3G027500 transcript:PAN16031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADAALRPAGLDATDSPRCPAAGNSGSVGGAASATKLQRVYRGCRTRRKLADSAVVVEELWWQALNFARLSHSTISFFDEPRPKTATSHWNRVNLKASKVGQGLSRDSKALKLAIQHWIEAIDPRHRSGHNLHFYYERWCQSQAGQPFFYCKSTSIGRLDVGDGKVVDLPECPRTLLKKQCIKYLGPKERELYEYIINKGKVIHKQSREPLDASQGPEGAKWIFVMSTARKLYAGKKEKGVFQHSSFLAGGATIAAGKFTVENGVIKSILAYSGHYKPSMENLNNFMKFLEESGVDLKQVKARPFTKDDCCDDPAPNDTQNIAVHTSPSQVVLSANTMEGDEGKDAPTEQAKLTYQRTLSGGLRSPKAIHVPQKAILERVKSKSESKSYQLGHKLSMKWSTGAGPRIGCVKDYPAELRTQAMQMVDL >PVH62175 pep chromosome:PHallii_v3.1:3:14755733:14756809:1 gene:PAHAL_3G226800 transcript:PVH62175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRLGYCAPRRHGSCLPCRSRQKDTHPSRSSGRPCSFPISSSPPRGGGRQMRGGARVLWALALALLCVAAHFQGASCRGGGGRGGGGGGGGGGRGGSGRGGGSGRGRPGRPFLGGVGAGAAAGVGARAASGGSHGHGHHNAAGGAGGRGAWRTAGAAAALAAAALSWC >PVH61420 pep chromosome:PHallii_v3.1:3:1876852:1877472:-1 gene:PAHAL_3G033200 transcript:PVH61420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSGTDSCVVRCCCRLFVLLLSAGFVVLIYWANFQPHQIRATVASATLSNLTVSNASSAAEVSYNLAVTLSLYNPSVRVGIYYDALHADLRFRDAVLGPAANGTSPPEFYQRRKTSDDVRLEFDYGRGVAVGSDVAGELETEIKSGGAVSLELHVDLRVRYKFRIFKLRQKPGIRCSLSIPVKAEGRGPGVGGAVVSGDRCRVKY >PAN17999 pep chromosome:PHallii_v3.1:3:11335976:11338969:1 gene:PAHAL_3G171100 transcript:PAN17999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAATAKPIALPPAPNHRRRGGCCWKTRPVPLAAARLMVSASAASSDVPDFLSSNWLETRKRKPFGPRLNFSAEEAVEYQLESLKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRLFHHSAYRVLLGHKERKILSRLWVEENQFKQRVWVQGARPEEEGIFQFTMVQRVGGSWDGYWLTESLINDGDAFSGGIAY >PAN20671 pep chromosome:PHallii_v3.1:3:39543855:39547987:-1 gene:PAHAL_3G369800 transcript:PAN20671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPVLLAVLLASAWRAAAVSSSAAADDRIERLPGQPAVDFPMYSGYVTVDELAGRALFYWLQEVPPEAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNKFRWNRAANILFLDSPAGVGFSYTNTSSDLYTSGDNRTAHDSYAFLVKWFERFPQYKHQDFYIAGESYAGHYVPQLSQLVYRNNIGIAKPIVNFKGFMVGNAVTNDHTDYAGMFESWWNHGLISDATYQLLRATCLDDSIIHPSPACNAAQDVAATEQGDIDMYSIYTPLCNQTSSSAAAAATKRRRPWMRGSYDPCTESHSTVYYNRPEVQRALHANVTGINYTWVTCSDTLNDHWGDSPRSMLPIYQELIAAGLRIWVFSGDTDAVIPLTSTRYSIDALGLPTTTSWYPWYDNKQVGGWSQVYKGLTLVTIRGAGHEVPLHRPRQGFILFQHFLQGKAMPKNGTTS >PVH62690 pep chromosome:PHallii_v3.1:3:39544706:39547860:-1 gene:PAHAL_3G369800 transcript:PVH62690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPVLLAVLLASAWRAAAVSSSAAADDRIERLPGQPAVDFPMYSGYVTVDELAGRALFYWLQEVPPEAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNKFRWNRAANILFLDSPAGVGFSYTNTSSDLYTSGDNRTAHDSYAFLVKWFERFPQYKHQDFYIAGESYAGHYVPQLSQLVYRNNIGIAKPIVNFKGFMVGNAVTNDHTDYAGMFESWWNHGLISDATYQLLRATCLDDSIIHPSPACNAAQDVAATEQGDIDMYSIYTPLCNQTSSSAAAAATKRRRPWMRGSYDPCTESHSTVYYNRPEVQRALHANVTGINYTWVTCSDTLNDHWGDSPRSMLPIYQELIAAGLRIWVFSGDTDAVIPLTSTRYSIDALGLPTTTSWYPWYDNKQVGGWSQVYKGLTLVTIRGAGHEVPLHRPRQGFILFQHFLQGKAMPKNGTTS >PVH62691 pep chromosome:PHallii_v3.1:3:39542846:39547860:-1 gene:PAHAL_3G369800 transcript:PVH62691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPVLLAVLLASAWRAAAVSSSAAADDRIERLPGQPAVDFPMYSGYVTVDELAGRALFYWLQEVPPEAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNKFRWNRAANILFLDSPAGVGFSYTNTSSDLYTSGDNRTAHDSYAFLVKWFERFPQYKHQDFYIAGESYAGHYVPQLSQLVYRNNIGIAKPIVNFKGFMVGNAVTNDHTDYAGMFESWWNHGLISDATYQLLRATCLDDSIIHPSPACNAAQDVAATEQGDIDMYSIYTPLCNQTSSSAAAAATKRRRPWMRGSYDPCTESHSTVYYNRPEVQRALHANVTGINYTWVTCSDTLNDHWGDSPRSMLPIYQELIAAGLRIWVFSGDTDAVIPLTSTRYSIDALGLPTTTSWYPWYDNKQVGGWSQVYKGLTLVTIRGAGHEVPLHRPRQGFILFQHFLQGKAMPKNGTTS >PVH62376 pep chromosome:PHallii_v3.1:3:18667095:18668612:-1 gene:PAHAL_3G278800 transcript:PVH62376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWPLNYLFAEYFRIPQSPKESHVMSQIVKSNCSGRMRSYLEAGCRLHCQNISNINQLHSCEDGLKDHINKVSDSHSIKNKLTTESCIIGEDKSADQLDSDVLLVTVMVIVRNMLKLDYTMQEIIVGALSINMLPLELEGYCLMWDLRPYIDDDMMHLALEMCP >PAN19517 pep chromosome:PHallii_v3.1:3:18666611:18669359:-1 gene:PAHAL_3G278800 transcript:PAN19517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAARLDVPAPTPPPAAVGEEERTCRGVFMEFMTKVARFEELAESGERLLVRFHQELEYFRIPQSPKESHVMSQIVKSNCSGRMRSYLEAGCRLHCQNISNINQLHSCEDGLKDHINKVSDSHSIKNKLTTESCIIGEDKSADQLDSDVLLVTVMVIVRNMLKLDYTMQEIIVGALSINMLPLELEGYCLMWDLRPYIDDDMMHLALEMCP >PVH62375 pep chromosome:PHallii_v3.1:3:18666611:18669358:-1 gene:PAHAL_3G278800 transcript:PVH62375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAARLDVPAPTPPPAAVGEEERTCRGVFMEFMTKVARFEELAESGERLLVRFHQELVHSCEDGLKDHINKVSDSHSIKNKLTTESCIIGEDKSADQLDSDVLLVTVMVIVRNMLKLDYTMQEIIVGALSINMLPLELEGYCLMWDLRPYIDDDMMHLALEMCP >PVH61661 pep chromosome:PHallii_v3.1:3:5741268:5742154:1 gene:PAHAL_3G088900 transcript:PVH61661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFVVDLNASMPASERFIVRMLDPTHMYVLPHAGQMIKSKAEEFRKQNSYREA >PAN18509 pep chromosome:PHallii_v3.1:3:13414618:13418308:-1 gene:PAHAL_3G206900 transcript:PAN18509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPWLHLLVVAAAAAVLRVHGQGAPDITGFVSIDCGIPEKSSYVDGATRLPYVSDASFTDAGANHNVSAEYITPSFSKRYLNVRSFPGAPRSCYTLGSLTPGSKYLLRAVFMYGNYDGLGRPPAFDLHLGVNFWTTVNVTAAGAAVLAEAIAVVPDDSVQVCLVDTGAGTPFISGLDLRPLASALYPQVNATQGLALLGRRNFGPSDATLVVRYPDDPYDRAWTPWVNPEDWSEVSTAEQVGGLPMAAPSAVMQTAITPLNASKIIEFSWDAVPNHVYPTPRYICMFHFAELQSLDANATRQFYITINGQPFYRLPVTPDHLFANVVYNTEPHWGFNQYNVTLNATANSTLPPAINAAEIFSVMSTASVGTYAQDVSAITAIKANYQLIKKNWMGDPCVPKTLAWDGLSCSDDTSDPPRITTVNFSSSGLSGAISLYFSKLTRIEYLDLSHNNLTGSIPDVLSQLSSLKVIDLTGNHLNGSIPSGLLKRVQSGSLKLRYGDNPNLCSNGDSCQLTTKKNNAVYIAVPIVAFVVVATLVLLLCLLRRKKESSVKPQNEVINAGPRSQNGNAHGLPQLENRRFTYKELEAITNNFKRVLGRGGFGSVYDGFLEDGTQVAVKLRSESSNQGVREFLTEAQTLTKIHHKNLVSLIGYCKDGEYLALVYEYMSEGTLEDKLRGKDGNAGSLTWRQRLRIALESAQGLEYLHKACSPAFVHRDVKTSNILLNANLEAKIADFGLLKAFRREGDTHVSTDRVVGTHGYLAPEYAAVLQLTEKSDVYSFGVVLLEVITGRPPILRCPEPTSVVQWARQRLVRGDIEDVVDAGLPRGGYDAGAAWKAADVALRCTAQAPAQRPTMTDVVARLQECLDLEEGRR >PVH62280 pep chromosome:PHallii_v3.1:3:16682904:16685748:1 gene:PAHAL_3G255000 transcript:PVH62280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIRAAGVQWTAQQPGDLGLGTLAEPRRTPMAISSGLRRAPWAAARGLLASRSGWRVQPPQTQPQRAECSALLSSISAPQPGAAADAQLLRVINYEISCAQQDCKKRDWTKELGEGFPFEIQDKEGTNRITLTRRDRKERIEVEVFLPNPSDSMEQNGEQEDRVEGDNCQGQARNAAANQYYIPLVVKIHKGPASWLEISCRSYPDELTIESLAFGPRDKSVDSSDVEAKISNLPEEFQQAFYSYLKSRAISSDVTNFLHAYMINKECHEYLAWLRKLKGLMKR >PVH61958 pep chromosome:PHallii_v3.1:3:10792347:10792913:-1 gene:PAHAL_3G163600 transcript:PVH61958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLADPAGAHRQGEGHAHRRVWHVRHHLHPRDDGEWIYDDADLTALGNDGGSETATTDSGWGQWIRDGDDRSEATTTNPRLADSSRLIGAHQWRQHCNGDDDGIHGGGGDLDPGLAGWARGWPPVFFFFFLFRWHH >PVH63049 pep chromosome:PHallii_v3.1:3:59790489:59795984:-1 gene:PAHAL_3G461000 transcript:PVH63049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSGFDGATMKRRRSSAARRPRPEGGPTANQRDNTASSPPSPSASSRSGPRRLLVTSDENTAGPDGGHRRREFLLNAPSPERATKGSIRLRSEAVGGGARKAEGSSHVAQPEGNRGSTPAGGKPGKVKLKIRNVLPKPNPDAVDSRSLPAKPPRPVDSRHQQKTEGAKDSDKSSSSRDKKSRKERLVEEAMVQEQSAKVQREPSSDPVRKSRRLAKKSVLDNEIDEDYDTSNIGTPEDWDGNAPELKNKGGSSSKKNASKKIKIKSKAYEVGNEFVTSRSSRDGKKRSRESADDDNTEEEPTSDSELDAEDEQKTATESPVNVRSEPLTTRRRALQSWMDGSSSSTVEFPDGLPLAPSRSKKDKLSEEEMLAKKAEAAQRRRMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREEKERATRAQNIAASSIRWVMGPTGTVVSFPHAVGLPSIFDSKPHSYPPPREKCAGPECTNAYKYRHSKLNLALCSLKCYKAVQGNA >PVH62033 pep chromosome:PHallii_v3.1:3:12381931:12382336:1 gene:PAHAL_3G188900 transcript:PVH62033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGLAPLRFVIAALLTARAFVFFSVLHLVPLEGIAFTKFYLEHGWSNNFCFYL >PAN17346 pep chromosome:PHallii_v3.1:3:8046073:8049919:1 gene:PAHAL_3G124100 transcript:PAN17346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L18 [Source: Projected from Oryza sativa (Os05g0155100)] MGIDLVAGGRNKKTKRNAPKSDDVYLKLLVKLYRFLVRRTKSSFNAVILKRLFMSKTNRPPISLRRLAKFMEGKEEKNIAVIVGTVTDDKRIQEIPAMKVTALRFTETARARIINAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >PAN15698 pep chromosome:PHallii_v3.1:3:462566:464115:1 gene:PAHAL_3G007700 transcript:PAN15698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTMKNNAKQAVVALAVLVAAAALVASASAAITCGQVGSALAPCIPYATGKASALPSSCCSGVRSLNSAARTSADRQAACRCLKSLANTVKSVNMGTVATIPGKCGVSVPFPISMSTDCNKVN >PAN18863 pep chromosome:PHallii_v3.1:3:14961589:14964393:-1 gene:PAHAL_3G229900 transcript:PAN18863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPASNSPIGTGNPATHTLRRRRRRKRGSKRKYWRIESQEKINHKPNNSTCSPRHTHTEAHARPPRAICEHRRAKAAKQINRRRRRNGERGAKEEAENANATTGQGAEHRREEKPEREGKKPSRISLF >PAN19549 pep chromosome:PHallii_v3.1:3:18929723:18933055:-1 gene:PAHAL_3G281800 transcript:PAN19549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRAALFAAVLLLASAAAVSGFHLGGDESGLVRGVLAALRERAEAEDAARFAVAHHNKNQGAALEFTRVLKSKRQVVTGTLHDLVLEAADAGKKSLYRAKVWVKPWEDFKSVVEFRLVGDSEVESEPSVASDGSSGQAIAKVSLEADIVQEEARLHTVENEGLSSDFALSS >PAN19548 pep chromosome:PHallii_v3.1:3:18931525:18933055:-1 gene:PAHAL_3G281800 transcript:PAN19548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRAALFAAVLLLASAAAVSGFHLGGDESGLVRGVLAALRERAEAEDAARFAVAHHNKNQGAALEFTRVLKSKRQVVTGTLHDLVLEAADAGKKSLYRAKVWVKPWEDFKSVVEFRLVGDSEVESEPSVASDGSSGQAIAKVSLEADIVQEEARLHTVENEGLSSDFALSS >PAN19585 pep chromosome:PHallii_v3.1:3:19203986:19208885:-1 gene:PAHAL_3G284600 transcript:PAN19585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRTTAAAPMTVSARARAAMMAVAVLWFLAVAAAGVAAAPRRILVDTDMDTDDLLALLYILKHSRSEFDVKAITINANEWSDAGHAVNHLYDILYMMGRDDVAVGVGGDGGISSAGDVRPDVGGYLPLIDQGMSTAGGCRYRQAIPSGRGGRLDVDTNSGVRRGFLPQGSRGYRPLRQPTAQRVMADTLSAGPTTVILLGAHTNLALLLMTHPHLRRNVEHVYVSGGAVRVPGNLFTASAANPAAEFNFFGDPFSAYQVLHSGVPVTMIPLDATNTIPVTEEFYAEFRRRQSTYEAQYCFQSLDEVLARQMRRTGGGHANTGYYMWDAFAAGVALSSMRHGETGGVNEFAELEYMNITVVTSNEPYGARDGSNPFFDGRATPKFGLQEGGVHSGHVQTGVRDAFCLVPGGNRGRCEDGFTKEVSGPEAVRVYVATRAKPNTEKNSSLNREFFKSFLEVLNLPENAGRFNISTQFPHYREILYKPDFRNVSRGKPVIFDMDMSPGDFVALIYLLKEPREVIDLKAVLVSGNGWANIASIDIVYDVLHMMGRDDVPVGLGNTTSLGNPTLGCKNFYAIPHGSGGFIDSDTLYGLARSLPRSPRRYMSENLDHPERQQPHAYDVWQSVRKQLGPGEKITVLTSGPLTNLANISLSDIDASSVLERVYVVGGNIRDSDHDKGNVFTVPSNRYAEFNMFLDPLAAKTILESSLNITLIPLTVQRKVASFEGILAALEQHTQHTPESRFVHGLISLLQELQMKQKLYHHMDIFLGEVLGAVYMVQGSNLEPSVKVKRVSIVANTTESTDGQILARRKSANLLKILYNLNNGVYYNHLANSLANNKQSAVVGSFEEQKAIWSRPQKQFMANIAKDMK >PVH62402 pep chromosome:PHallii_v3.1:3:19203654:19208885:-1 gene:PAHAL_3G284600 transcript:PVH62402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRTTAAAPMTVSARARAAMMAVAVLWFLAVAAAGVAAAPRRILVDTDMDTDDLLALLYILKHSRSEFDVKAITINANEWSDAGHAVNHLYDILYMMGRDDVAVGVGGDGGISSAGDVRPDVGGYLPLIDQVADTTPSSSISYSEPACTLTELSMHCTARTQGMSTAGGCRYRQAIPSGRGGRLDVDTNSGVRRGFLPQGSRGYRPLRQPTAQRVMADTLSAGPTTVILLGAHTNLALLLMTHPHLRRNVEHVYVSGGAVRVPGNLFTASAANPAAEFNFFGDPFSAYQVLHSGVPVTMIPLDATNTIPVTEEFYAEFRRRQSTYEAQYCFQSLDEVLARQMRRTGGGHANTGYYMWDAFAAGVALSSMRHGETGGVNEFAELEYMNITVVTSNEPYGARDGSNPFFDGRATPKFGLQEGGVHSGHVQTGVRDAFCLVPGGNRGRCEDGFTKEVSGPEAVRVYVATRAKPNTEKNSSLNREFFKSFLEVLNLPENAGRFNISTQFPHYREILYKPDFRNVSRGKPVIFDMDMSPGDFVALIYLLKEPREVIDLKAVLVSGNGWANIASIDIVYDVLHMMGRDDVPVGLGNTTSLGNPTLGCKNFYAIPHGSGGFIDSDTLYGLARSLPRSPRRYMSENLDHPERQQPHAYDVWQSVRKQLGPGEKITVLTSGPLTNLANISLSDIDASSVLERVYVVGGNIRDSDHDKGNVFTVPSNRYAEFNMFLDPLAAKTILESSLNITLIPLTVQRKVASFEGILAALEQHTQHTPESRFVHGLISLLQELQMKQKLYHHMDIFLGEVLGAVYMVQGSNLEPSVKVKRVSIVANTTESTDGQILARRKSANLLKILYNLNNGVYYNHLANSLANNKQSAVVGSFEEQKAIWSRPQKQFMANIAKDMK >PAN19056 pep chromosome:PHallii_v3.1:3:15984393:15985786:1 gene:PAHAL_3G246500 transcript:PAN19056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPSSSSAPYSDLSMHHAVSFSSAVPTAPTEIPRGGFFHDNGGLLALPNVAASAPPPYPSSLPSYYFHKNTSSYFLPLHLQLSEQLSSNATFSCSSPSASQLPLPHVPSSPSSSSGDFLEFSTGALRRVFSTGDLQVMNVSPSPPPPPLSGDTHGQDAGGPFTQKVGRYSAEERKEKIERYRTKRNQRNFHKKITYACRKTLADSRPRVQGRFARNAETEAEAVAGHEREASDNSYEHCHYSELTTNGSSCFDSMCRESGKTTTFDDGKWWWETPVASAAAAANGHHGHHHYQQQQQLLDFDLDVNEEDLWASLADMYSGT >PAN19731 pep chromosome:PHallii_v3.1:3:20055681:20062863:-1 gene:PAHAL_3G293900 transcript:PAN19731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKRVLDTGWLAARSTEVALTGVQLTTTQPPAADPDPAAPWMSAAVPGTVLGTLLKNKLIPDPFYGLNNQAIVDIADAGREYYTFWFFTTFQCAPSGNQHVTLNFRGINYSAEMYLNGHKEVLPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISITGPVNMMDPHLVSTFHDDFKRSYLHCTLQLENKSSWVADCTLKIQVSTELEGNICLVEHLQSYAITIPPHSDVEYTIPPLFFYKPNLWWPNGMGKQSLYNVEISVDVKGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGIPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKSDLKLHPMFVSSQASDSQQKHLLEESTDPSKYLDGTRVYVQGSMWDGFANGKGNFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPAEGWSIPIFKKRIDGYIEEVPNPIWDYHKFIPYSKPGKVHDQIELYGHPKDLDDFCEKAQLVNYAQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYFIEVVNTTADELADVAVEISVWDLDGASPYYKVTEKIVVPPKKVKQIMEMKYPKAKDAKPVYFLLLKLFRLSDNGILSRNFYWLHLPGKDYKLLEQYQQRNIPLKIDSEISVSGTRHKVRMIVENKSKKSVTQSIRPASTVDLRDVSGFHTAGNETTHEGNESGSLWRKIRSGLGIARSSDNLRTLEVNGTDSGVAFFLHFSVHTSGSSTAREKYTDTRILPVHYSDNYFSLTPGEKTSIDISFEAPPGSSPRVVLRGWNHHLDHAVMI >PAN19730 pep chromosome:PHallii_v3.1:3:20055681:20061326:-1 gene:PAHAL_3G293900 transcript:PAN19730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNGHKEVLPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISITGPVNMMDPHLVSTFHDDFKRSYLHCTLQLENKSSWVADCTLKIQVSTELEGNICLVEHLQSYAITIPPHSDVEYTIPPLFFYKPNLWWPNGMGKQSLYNVEISVDVKGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGIPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKSDLKLHPMFVSSQASDSQQKHLLEESTDPSKYLDGTRVYVQGSMWDGFANGKGNFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPAEGWSIPIFKKRIDGYIEEVPNPIWDYHKFIPYSKPGKVHDQIELYGHPKDLDDFCEKAQLVNYAQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYFIEVVNTTADELADVAVEISVWDLDGASPYYKVTEKIVVPPKKVKQIMEMKYPKAKDAKPVYFLLLKLFRLSDNGILSRNFYWLHLPGKDYKLLEQYQQRNIPLKIDSEISVSGTRHKVRMIVENKSKKSVTQSIRPASTVDLRDVSGFHTAGNETTHEGNESGSLWRKIRSGLGIARSSDNLRTLEVNGTDSGVAFFLHFSVHTSGSSTAREKYTDTRILPVHYSDNYFSLTPGEKTSIDISFEAPPGSSPRVVLRGWNHHLDHAVMI >PVH62442 pep chromosome:PHallii_v3.1:3:20055681:20062186:-1 gene:PAHAL_3G293900 transcript:PVH62442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDASVLGTLLKNKLIPDPFYGLNNQAIVDIADAGREYYTFWFFTTFQCAPSGNQHVTLNFRGINYSAEMYLNGHKEVLPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISITGPVNMMDPHLVSTFHDDFKRSYLHCTLQLENKSSWVADCTLKIQVSTELEGNICLVEHLQSYAITIPPHSDVEYTIPPLFFYKPNLWWPNGMGKQSLYNVEISVDVKGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGIPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKSDLKLHPMFVSSQASDSQQKHLLEESTDPSKYLDGTRVYVQGSMWDGFANGKGNFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPAEGWSIPIFKKRIDGYIEEVPNPIWDYHKFIPYSKPGKVHDQIELYGHPKDLDDFCEKAQLVNYAQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYFIEVVNTTADELADVAVEISVWDLDGASPYYKVTEKIVVPPKKVKQIMEMKYPKAKDAKPVYFLLLKLFRLSDNGILSRNFYWLHLPGKDYKLLEQYQQRNIPLKIDSEISVSGTRHKVRMIVENKSKKSVTQSIRPASTVDLRDVSGFHTAGNETTHEGNESGSLWRKIRSGLGIARSSDNLRTLEVNGTDSGVAFFLHFSVHTSGSSTAREKYTDTRILPVHYSDNYFSLTPGEKTSIDISFEAPPGSSPRVVLRGWNHHLDHAVMI >PAN17403 pep chromosome:PHallii_v3.1:3:8401753:8403467:1 gene:PAHAL_3G130100 transcript:PAN17403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYNQKA >PAN17991 pep chromosome:PHallii_v3.1:3:11307909:11309615:1 gene:PAHAL_3G170400 transcript:PAN17991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAAQLPRIDFSGVDPSAPGAGTWLAARAQVVDAFATFGCFDAHYPALAPELRAALFDGAVRPLFALPVDAKRRNHYGPDKPFHGYLGELQGLDGQESLAIVDASKPEAVRAFGDLVWPGGGGDAGFCEALHGAAKRMAELEEAVRRMVLEGLGVAEHHEPLNASTWHLFRMWEYDAGEKKVRHPGSPGPHQDTNALSLVCQHEVEGLEVRTKGGEWIRVTPSPTSLVVMVGNALRAWTNDRLQAPFHRVTVRGNVNRYSAILFSIPSCRIQPPVELVDDEHPPRFKPYYHDDFVRFSVSEEGARHEDKLNSYCGL >PAN19396 pep chromosome:PHallii_v3.1:3:18065110:18068206:1 gene:PAHAL_3G271100 transcript:PAN19396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPPPELYYEILHVARDASPQGVRAAYRSLARQWHPDKHPPASRPEAEARFKAITEAYEALLDQQKNSRAVLAAARDGGTGTRPPAAKDRGGGENVVATSVARAPRSERPGPGVVAPRTPAREAEPARKKVYSACSSVGGGGRRAFAEFSSYVVRKAPPLERRVECTLEELCGGCRKEVRYTRDVVTKNGLITKKEVTQTIRVRPGMRKGSTVTLEGAGDERPGCLAGDAVFVVSERKHKRFKRLGDDLVLRTRVPLVSALTGWQLSFRLLCGDKFRCAFRDEVICPGYVKVIKGGGMPVAGGEKGARGDLMVKFEVVFPENLTDEQRKGLAEILRGCA >PAN21581 pep chromosome:PHallii_v3.1:3:61165053:61171812:1 gene:PAHAL_3G478000 transcript:PAN21581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALATSVGLNLALTVLLAAAYTLLRRRPAYVEVYAPRRPYAPLEPWLPAAWRRSEEDVHAAAGLDGVVFVRIFVFSIRVFAAAAVLGVGVLLPVNFLGDQLRAIDFSDLPNKSIELFSVSNVQDGSNKLWLHFSAVYIITGITCYLLYHEYKYISCKRLEYFMTSKPLPQHFTVLVRAIPVSDGVSVSDAVDKFFREYHSSTYLSHTVVHQTGKLRHLLNDAENICTKLTNLKYVHRSSQDAPRKFLGLFGRNDLLGKYQKRLEDVEENVRLEQSDATRRQEIPAAFVSFRSRYGAANAIYIRQSDNPTEWQTEHAPDPHDVYWPFFSTSFMERWIAKFVVFVASVLLILVFLLVVAFVQGLTYLEQVEKWLPFLRKILEIAVVSQLVTGYLPSVILHVLSSYVPSIMKLFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGSALVQFEIFLNPKELPSKLAVLVPAQASFFIAYVVTSWTSITSELTQTAALFCHLWGTCAKCCRRDASKAPSMPYHSEIPRILLFGLLGLTYFIVAPLILPFVLVYFCLGYFIFRNQLFNVYAPKYDTGGKFWPIVHNTTIFSLVVLHIIAIGVFGLKKLPLASSLLLPLPVLTFLFNEFCRNRFVPIFEAYSTESLIKKDREEQSKPEMLEFFSNLVTAYRDPALKPIQRASNSDERTAPLLASV >PAN20250 pep chromosome:PHallii_v3.1:3:45368912:45373405:-1 gene:PAHAL_3G386300 transcript:PAN20250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGWLWPCAAAAIATTTAAAMGSGPGRRGWWLFRSKVRCPVEVVRHSRDLLSYVLQDRESHSNNHDPKRETKVMADLRKSVREMKCILYGNGDADPVIEACTNLTKEFFKDNTNTLRLLIVCLPYLDLETQKDVTQVIANLQRQKIDSRIIASDYLEANKDLLDILMSGYENMEIAIHYSTILRDCIRHQVAARYVLDSEHMKKFFDYIQLPDFNIASDAFRTFKELLTRHKSSAAEFFSRNYDWFFSEFNSKLLSSPNYIIRRQATQLLGDILLDRSNTPVMICYVRSKENLIILMNLLRLFAANQDKPPEIVSILSSNKSKLIRFLGDFSLDKEDQQFEVDKAKVVAEISML >PAN20251 pep chromosome:PHallii_v3.1:3:45369293:45373028:-1 gene:PAHAL_3G386300 transcript:PAN20251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGWLWPCAAAAIATTTAAAMGSGPGRRGWWLFRSKVRCPVEVVRHSRDLLSYVLQDRESHSNNHDPKRETKVMADLRKSVREMKCILYGNGDADPVIEACTNLTKEFFKDNTNTLRLLIVCLPYLDLETQKDVTQVIANLQRQKIDSRIIASDYLEANKDLLDILMSGYENMEIAIHYSTILRDCIRHQVAARYVLDSEHMKKFFDYIQLPDFNIASDAFRTFKELLTRHKSSAAEFFSRNYDWFFSEFNSKLLSSPNYIIRRQATQLLGDILLDRSNTPVMICYVRSKENLIILMNLLREQSKAIQVEAFHVFKLFAANQDKPPEIVSILSSNKSKLIRFLGDFSLDKEDQQFEVDKAKVVAEISML >PAN21152 pep chromosome:PHallii_v3.1:3:58306057:58308036:-1 gene:PAHAL_3G445500 transcript:PAN21152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQPDAEAPLLGKPDAASPPARRNKYPFFCAVLASMTSVLMGYNVAVMSGAQIFMAEDLGISDAQVEVLSGVINIYSLVGALLAGWTSDRLGRRLTIVLANVFFLAGPLAMALAGGYAALMVGRFIAGVGSGYALVIAPVYAAEIAPASSRGLLTSLPEIFINTGVMLSYVSNLAFSGLPVHLSWRMMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRVAEAKAVLDKTSDTPAEAEQRLLEIEAVVSSGGRSSEGGGGAWKEVATRAGVRRVLAIVLTLQFFQQSSGIDSVVLYGPRVLAMAGVTSNTLLLSLNVLFGVAKAGSILIAMALADRVGRRPLLLASTGGMTASLLVLGSLFAAFAGAKDDAAVAAVSVAAVVAFVVAFSVGLGPLAWVYSSEILPLRLRGQGAGLGTAMNRVMSGVVTMTFISLYQAISMAGAFYLYSAVAAASFVFVYACLPETRGRSLEDMEELFHTK >PAN18617 pep chromosome:PHallii_v3.1:3:13933267:13936234:-1 gene:PAHAL_3G214400 transcript:PAN18617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLLYPDLLLTVVFVASCFVIARSLWSGLKDGGRVLPPSPPPLPIIGNLHQLGRSHYHRRLRELARRHGPLFLLRLGSVPTLVVSSASVAEEVLKTQDHVFCSRPLQRTARGLLYDCRDVAFSPYGERWRQLRRIAALHLLSAKRVDSFRALREAEVASFLERIRAAGAHHEDGRGGRKRRAGVNMSELLIGLTYTIISKAAFGNRLGGMEPGAVRAMIKETSELLETIAVSDVFPRLRWVDWATGLDARIKVTAAKLDAVLEGALHEHEKGGGGNDGEADDLLDDLLSAVKEGGTGLNLDRTDVKGLILDLFLAGTDTTAKTMEWTMAGLVKNPNEMEKVQAEVRRVVGPHGSVSEERLGAMTRLQAAMMEALRLHPPVPMLVPREAIRDTRLRGYDVPAGTRVLVNAWAIGRDGESWGEDADAFRPERWLTRAGGGYGGHDTRFIPFGAGRRGCPGVGFGTRLAELALASMLYHFDWELPGGQDAGSFEFVESSGLSTGLKCPLTLVVKPFRA >PAN17873 pep chromosome:PHallii_v3.1:3:10336656:10342530:-1 gene:PAHAL_3G160900 transcript:PAN17873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPICSCEETINEFETLTRDAGRVQQDTLRKILELNANAEYLNHYGLNGRTDVESYKSCIPLCVHSDVEPYIQRIADGDTSPLLTGKPVTSLSLSSGTTQGKPKFLPFNDELLETTLQIFQTSYAFRNREYPIGKGKALQFIYGSKQVVTKGGILATTATTNLYRRPRYKEGMKDIQSQCCSPDEVVFGPDFHQSLYCHLLCGLIYSDEVHQVFSTFAHSLVHAFQTFEEVWEDLCADIRDGVLSEKVTAPSIREAVMKILKPNPELAESIRRKCMGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPTLPPEQVTYAVLPQTGYFEFIPLEKPKGQESENSASIHYIESDPVGLTEVKIGKIYEVVITTFGGLYRYRLGDIVKVAGFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEAGKLLEAEKLEIVDFTSFVEKSSDPGRYVIFWELSSDASEDVLRSCANCLDLAFVDAGYMGSRKIRTIGPLELRILKKGTFEEILDYFLSLGGAVSQFKTPRFVNPLNVKVLQILSRNTTKSYFSTAYGL >PVH62300 pep chromosome:PHallii_v3.1:3:17395771:17396879:1 gene:PAHAL_3G262600 transcript:PVH62300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRGGRGKSTCRSGGSLLSAAAAMGPTANHPAAAPEGAGGDPPLAHNACRGQPAPSFARRLSLEIEMTGCCLISFYSCSTAGGRAILNLSQRNKNKKYVGQVELHIDGCRR >PVH63281 pep chromosome:PHallii_v3.1:3:63972360:63976013:-1 gene:PAHAL_3G511900 transcript:PVH63281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCAAVSLPPGLPSPSPSSPPPVFLHNSIPLPKNPTPMTSSSSPSRKALSKIACNRLQKELAEWQLSPPAGFKHKVSDNLQRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDTV >PVH62147 pep chromosome:PHallii_v3.1:3:14081535:14082497:1 gene:PAHAL_3G216700 transcript:PVH62147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTPKHPACCDHGGGLARPTAAVGGPARTPRGTGARIRAWAGRRGTRAGTGRAPARAPAAPPPPAGAWRGRTHRTAASSTAARSRRTAAAARTAACTPPPRGPRPPPARPPRRPRRRRRGG >PAN15913 pep chromosome:PHallii_v3.1:3:1065484:1070616:-1 gene:PAHAL_3G019700 transcript:PAN15913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSFYKWLVTKYPSIVSSAEEEPEESPDGVIYDNLYLDMNCVIHHCFHPQDDLHAGIDVCPPTTVTEVLESIFEYLDRLFRIVRPRRLLYLAVDGVVPCAKMNRMRRGRFHSACLARAEALKEEEMRRELRDQGKEVPPPEISEVSDPNVITAGTEFMEKLSQALQYYIRARLNTDPGWKDIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLYGHDADLIMLALASHEVHFSILREDVLLPNQAETEGKPNKPYLFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLVGNDFIPHIPSLETHECAVDLLIEVYKTTFSKMGGYIVNTDKVKDKHGSYLEVSRLEIFFHELSMYEEKIFLKRYELKQDFLQKVYHEMLCEASESERPELRRKLDDHFFNEDHPYDRERLGLPGWKSRFHREYFGLETSNEIGNLQNDMAQKYLEGLCWVFQCYFADVPSWSWYYPFSVAPFVSSLKGLSRFQISFAVDKPLRPFDQLMAVLPSQSWLNLPDCYCCKFMGREGYYPKLQTDMNGHRFFWSGISEERLLATTKAVDEELTMDEMRRNTTRQDKIFLNRNSNALAHINGVIVRTSNCPLQKLPIDSATSGIGGWLSPDDDGGLSNSFFPSPIKNLQDITNDQAISATFFNPEAVNPTPRLLSNVRVPDKTVTGADISKRPLWHTYPGSRPPPPMVQRPDSIWKPSTPATPREEHKHAGTGWAGRGRGNNAIAAAQAQELATRSSSYGHGTATDTPRSSSSYSYSRRGFHRVDMPRSRFDNDGAYSFQPPGGEQPRRW >PVH62992 pep chromosome:PHallii_v3.1:3:58574277:58576926:1 gene:PAHAL_3G448500 transcript:PVH62992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPPAAAAAAARGPRLAGVGRALLLIAAAATFLPAAESSCPRDNSLVRDISRMHQSNYGREGFSHITVAGALAHGMKEVEVWLQTFGPGKRTPIHRHSCEEVFVVLKGKGTLLLGSSSLKHPGEPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVLVIISRPPIKIFIYDDWSVPHTAAKLKFPYYWDEDCLPAPKDEL >PAN20030 pep chromosome:PHallii_v3.1:3:22531947:22533711:-1 gene:PAHAL_3G314000 transcript:PAN20030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLGSGGPRPAAASASVDTNGNWVPHGPMLTACVVGVNVLMIVLIFFLFWRFLSGKEGPSTSADAAADEDDSRPVASPWASRWRHEEDLGAPPLEDVALAVPVYTYSSAGADDGGKLPADECAVCIVELRDGDSARLLPRCSHRFHADCVGAWLRLHATCPLCRASVVAPAATGAVAGESRNAKEDGDVAPDCPV >PVH62645 pep chromosome:PHallii_v3.1:3:34605976:34610018:-1 gene:PAHAL_3G354300 transcript:PVH62645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAFPLVTHLPPKKPPPIRPRPPPARRYAATTAATSSSPSPPPSLPELPPSSVYVHLPFCRKRCHYCDFPIVALGSSSGTTPSGGEGADDPRIVDYVRLLLREVAATRPVSDDGVPLETVFFGGGTPSLVPPRLVAAVLDALRGRFGLSACPEVSIEMDPGTFDAARLRELVGVGVNRVSLGVQAFQDDLLRACGRAHGVEEVHEAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQMYTPGVFPLPSDTESANFYKIASKRLSEAGYNHYEISSYCKPGYECKHNLTYWQNRPFYAFGLGSASYINGFRYSRPRRMKGYAEWVQKLEDGTWSHESRSSDSKDMAMDVVMLSLRTAWGLDLQSFSKSFGKSLALSLCNTFRPFVESGLVIAMDMERRALPHIDFELDLQSEDDFGSRVAFIRLSDPDGFLLSNELISLAFGIISP >PAN20165 pep chromosome:PHallii_v3.1:3:34606231:34610018:-1 gene:PAHAL_3G354300 transcript:PAN20165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAFPLVTHLPPKKPPPIRPRPPPARRYAATTAATSSSPSPPPSLPELPPSSVYVHLPFCRKRCHYCDFPIVALGSSSGTTPSGGEGADDPRIVDYVRLLLREVAATRPVSDDGVPLETVFFGGGTPSLVPPRLVAAVLDALRGRFGLSACPEVSIEMDPGTFDAARLRELVGVGVNRVSLGVQAFQDDLLRACGRAHGVEEVHEAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQMYTPGVFPLPSDTESANFYKIASKRLSEAGYNHYEISSYCKPGYECKHNLTYWQNRPFYAFGLGSASYINGFRYSRPRRMKGYAEWVQKLEDGTWSHESRSSDSKDMAMDVVMLSLRTAWGLDLQSFSKSFGKSLALSLCNTFRPFVESGLVIAMDMERRALPHIDFELDLQSEDDFGSRVAFIRLSDPDGFLLSNELISLAFGIISP >PAN18626 pep chromosome:PHallii_v3.1:3:13967851:13971055:1 gene:PAHAL_3G215100 transcript:PAN18626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAKPPALDQISLVRSPEPGGGSPFPGVPAVDLCSPGASLAVVDACERFGFFKVVNHGVPAGVADRLEAEAVAFFARPQAEKDASGPADPLGYGSKRIGRNGDMGWLEYLLLAIDQGSAVSKASPVPSSSLRDAVNEYVGAVRGVGASVLEAVAEGLGVAPRDALSRMVADAASDQVFRVNHYPPCPLLQRLPDSCSVTGFGEHTDPQLVSVLRSNGTAGLQLALRDGRWVPVPPDRDAFVVIVGDSLEVLTNGRLKSARHRVVANSLKPRVSMIYFAGPAPAQRIAPLPELLGHGEQGLYRDFTWGDYKKAAYSSRLGDNRLDPFRI >PAN18627 pep chromosome:PHallii_v3.1:3:13967991:13968892:1 gene:PAHAL_3G215100 transcript:PAN18627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAKPPALDQISLVRSPEPGGGSPFPGVPAVDLCSPGASLAVVDACERFGFFKVVNHGVPAGVADRLEAEAVAFFARPQAEKDASGPADPLGYGSKRIGRNGDMGWLEYLLLAIDQGSAVSKASPVPSSSLRDAVNEYVGAVRGVGASVLEAVAEGLGVAPRDALSRMVADAASDQVFRVNHYPPCPLLQRLPDSCSVTGFGEHTDPQLVSVLRSNGTAGLQLALRDGRWVPVPPDRDAFVVIVGDSLEVHTHIHSTRARAHT >PVH62544 pep chromosome:PHallii_v3.1:3:23435594:23435782:1 gene:PAHAL_3G319500 transcript:PVH62544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPFIQCRLPKALAVRPPRPSLVDRKRHRRRTSSTPLPHIFAPPLPQIFAPSIQCCLEETM >PAN17831 pep chromosome:PHallii_v3.1:3:10138589:10139840:1 gene:PAHAL_3G157900 transcript:PAN17831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTGKATCYAVLGVARDASAAEIRSAWRRLSLKWHPDKLKGAEDHSRLKEQALARYHEIQEAYKVLSDPSRKAMYDAGLLLQQQFDAAHGHGGDKRYLIAMQERLGASSDTVDELSDKVHKIEIKSSSLSARTGRPACGRINLFFTFTTAAAPDAGTSRGAAAGPRYARGAGSSSAPPRRAAPRKMLRQKMIEARLRCDRKD >PAN18251 pep chromosome:PHallii_v3.1:3:12008563:12018122:1 gene:PAHAL_3G183800 transcript:PAN18251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin Putative 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) UniProtKB/TrEMBL;Acc:F4HQB9] MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRGDDEEASGSRTSNSDGIGFFNPVMAFMGHNGEEDITEVSKKPQSPKNQPAEEENHSTPTKQQTSKVDASEVSGTTKSPKQPSKAEEGHNMSTESPVSKADVSEQSMTSQTLTHPSAVEENFDGSIKSPVSKGDDSEASETSQSPTHSSTAEETHSSSIENISSVMNESQDHQDSKHSGPSDEAQPNQLGESVGDIPDGGASSSPTKIDQLGDTKTRESIHIGKEETSDGNALQSQPAESVLASSDGIVEAEDKIDQQSDAPKEISSPQDSTDTVDKATHVEVKVHDENTNTEKNEEESNKTEAGAASVNEQEDIVPEHPEEFRSKSIIQEHDSHLQNESLVNSTDVPAEPVEVSSPANDFRKEEKAQQSVRSTNSSTLESAGSVVELEKLRREMKMMDAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSSEAELDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEATIRKLRAQIRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELESLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERIKRDYDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAAAEEKERSVSERLSQCLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAIQEGRAKQLEEELKELRARHKKELQEAAEHRELLEKDLEREKAARAEIEKTSSREAPKVPLPDQTRNSPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQCEKLRTEAAALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLQALGARV >PVH62051 pep chromosome:PHallii_v3.1:3:12643006:12643434:1 gene:PAHAL_3G193100 transcript:PVH62051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQELNFNLCHQTKTHQNGQVKSSKSPPESSVEGKGNSSKHHGQKGHRAGAATLEGLYPPKGKNAQLDKAWSRFQNMSTWHGNTGARCLPSSANNPRHRYY >PVH62602 pep chromosome:PHallii_v3.1:3:27790435:27792087:1 gene:PAHAL_3G334900 transcript:PVH62602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEDKAAFQEAFDIMRCKVHKKTWLDSIYKVKEKWAECYVRDVFSLGVRNTQLSESFNNALKNHLKSDFDIVRFLKNFERTVQEKRRKELDEFESRKKMPRRQMSTPMLVQASQVYTLVIFEAFQSEYERSMAACARVFDGDNKYAIALGSLRDNLSFEDERIVIGDPLNQKASCSCGMFNRTGILCAHGLKVLDLMNIKILPTHYPKEMD >PAN21947 pep chromosome:PHallii_v3.1:3:63139254:63140223:1 gene:PAHAL_3G501600 transcript:PAN21947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDYVLILASVAALAVISAATFLCSRRRRAPSPSQRRAAAGDVELGQGVVGVDAAVLAGYPTLVYSSTSPEKDEEEAAGAGAPGGSDAARCAVCLAEYADGDELRRMPDCRHAFHRGCIDQWLRRRPTCPVCRASPPPAGKAAGSTAVVVASGS >PVH63187 pep chromosome:PHallii_v3.1:3:61985217:61989183:-1 gene:PAHAL_3G487700 transcript:PVH63187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGLALLLWLAQLHPLVGSSAHADGNLTHRSTPSLFHPDQADALLKLKQSFMLDCNSIPTLPSWQSGTDCCLWEGVGCSNTTGHVIALNLSGFGLKSNGIDPIPSVGFERLDLLTHLNLSDSGISKLTNLVSLDLSTRFTYTDDDPGDYPWSGSPNNLWEPNFQTLVANLSNLRELYLDFFVSISPSTAEDCFKALANSVPHLQITPGPFPGFLMKFLNLKVLQLSGINLEGQFPRGMFQSKNLTVLELSDNPNLSGHMPNFSNATSLETLSIALTNFSSVKPSYFSNFTALTEFVLDGKIISRDFLSSFESRSQYLLGLKASKTYDCDLSMTIPSSIRNFKNLTSLIISANNLTMQTLSALANIRNLKLLTIDNCGCIVGQLPPAIGNMTSLEGLQILYCQLSGPIPQEVGALKELTSLVLVNTGLSGRIPSSMANLTQLTELGLTEIVSAVREIPTSLFTLAALEYLGLSQNQLSGPIHEFEGVSSRLKSIVLQGNELTGQIPRSLLVLPNLMHLNTAGNNLMGSVDLASSLWRLENLTSLYLSHNKLTVTEEEGSNSSSTCPSRFVGLGLANCNMTKIPNLLMRLNHMADLDLSSNKLSGDIPNCIWNYDLRSLNLSHNMFTGTELNSSHVIPFISVLNVFDLSSNRLQGQIPMPSSSASILDYSNNMFSSLLPNFTLYLSSTLYLKLSNNNISGHLPHPICDSRMEILDLSFNNFSGPVPPCLMENGVLRILPTNISSGCSVETIDLHGSKIEGQIPRALHNCSNLEDLDLGRNKITDTFPSWLGRLLNLRVLVLRSNQFHGSIDYLEDEKSEEQFSSLQIIDLASNNLSGNLHPRWFGNLKSMKKYNNTGQIIDHRYLTLSTVGFYQDSVTISYKGSVVTFDRILTTLTAIDISDNALDGSIPASLGNLVSLHVLNMSHNAFSGEIPPQLGTMTALESLDLSSNMLSGEIPQELTDLTFLSILNLSNNQLDGRIPQSRQFETFQNSSFDGNVGLCGPPLSKQCGSPDTQMGFAAAILVKLDWISKWFHILRNHELDQKAPSC >PVH61971 pep chromosome:PHallii_v3.1:3:11043255:11043563:-1 gene:PAHAL_3G166900 transcript:PVH61971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNRIHFLDDDAFSRHYFGGYPFIGDHFGAYDTREAKSPETHSQVYKRPRSLSEPHSSGAHAGQTILASVTPPHPRKFASRAAVWGENVPLPRALAEMLPP >PVH62606 pep chromosome:PHallii_v3.1:3:27858235:27858983:1 gene:PAHAL_3G335500 transcript:PVH62606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWSRKGGTRGLRRKKRFEVFDEKGLMVVAIILAETNVELVVMGCFICSKETLGLL >PAN16152 pep chromosome:PHallii_v3.1:3:2573961:2575377:1 gene:PAHAL_3G040200 transcript:PAN16152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCFLPWLLLDFIVLASSCCGRSSAAATDPTLGFTAIELTEDRFKLHKPYDLPPEQRYEFRDGVRRMWVFCDDKPFSPGSPTKPRSEILLNATYTAGVWQFEGYGFVPAGTSGVSVMQVFGASGRNTTLMLHVYGGQLMYYHDEARVVDGDIYDRWFRLNVVHDVDAGMLTVFIDGEERLAVAGHGGYRHYYKFGVYTQTDPSHYMESRWRDVKVYTKI >PAN17146 pep chromosome:PHallii_v3.1:3:8169744:8177626:-1 gene:PAHAL_3G126100 transcript:PAN17146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVTGAMGSLLPKLGELLKEEYGLQKGVRKKIQSLSQELEAAHAVLRKIGDVPPEQLDELVRLWVRDVRESSYDMEDIVDTFLVRVDDGAEPADPHRLRRLRKKVGGLFKKSKARRKISCLIQEIYAKLDEVAARHGRFTVDSIVAKPAAATTIDPRILNLFKRATELVGIEGPKDRLINMLSLGGDVDMPRKKAMMVVSVVGFGGLGKTTLAKAVYDQLKLHFERSAFVPVGRNPDVKKVLRDILIDLDRGKYANSDLMVLDERQLMEELEEFIKEKRCFIVIDDIWDKESWKLIRCALQNGHCGSRVVVTTRTYEVAAQADQEYKIQPLSRDNSKKLLYARIADGEGKYFDSPSAEACDKILKKCGGVPLAIITIASLLASKPWEDWSEVYNSIGFGQGGNDDVDNTRKILSFSYYDLPSHLKPCLLYLSIFPEDQEIKKNRLIWMWVAEGFVVHEEQAAGIGLFELGERYFNELINRSMIQPVERDDKGYVDGCFVHDMVFDLVRSMSSQENFVTVLDGDDERQKLSGSIARRIALQRIEQHSGSQLLANIAVDKIRSFITSECNFGPSSCPHTPVLRVLDMQDCYNGEKIHEGMLDHLGSLLHLRYLRLASSVGKTNIKLPREVRYLKFLQTLDLLKFCINELPDEVGLLTQLVCLRVGSGTRIPNGLIGKLTSLQELERWPPADDDYDDARRMQVVKELGMLRELRVLRTKIPVRDESMARALLESLGNLHNIRMMHIKGASLYIVKSMTSHEGFITCRHLQFLYLKCLVFSGLPKWINSSLAPNLSYLYVQVQAVKGQDMETLARLPELRSLTLILCDKTKLVNIKIPCTAQGVGYYFRKLRILKIDGAPFWVDLRDCVSNGSVASAIMPSLESLEFKVSVQFLKDAALLSFGRLLGFESLGRTSLRSVIVTVNCEGARILDVEDVEDALVRTAAVHPKRPYLGTAREQEEEMLSSTYQEARMDVSRTPDFVLKAWKSADIVDSGHIRALRIPPDPEASSTKVLRLLYANKGKYLLTLSSNATLKLWKWGPSEKNPRGRPTTSVPPLLWQPKEGILMTNDTTEANTGAAAACIALSKNENYIISASGGKVSLFNANTFKIMTTFMAPPPAATFIAFYPQDNNIIAIGMEDSSIQIYNVRTDEVQRVLMGHQKKVTGLTFSQSMNVLVSSGADAQLYVWSTRNWENKKSRYIRPPSNGSALVGDTMVQFHYDQTHLLVVHESQLAIYDGKLECLHSWSPRDALPSPISSAIYTSDGLLVYAGFRDGAIGIFEAESLRLQCKIAASAYIPSSVSSGGGVVYPMAVSVYPWLNPNQIALGMSDGAVHVLEPLED >PAN15697 pep chromosome:PHallii_v3.1:3:464116:465437:1 gene:PAHAL_3G007800 transcript:PAN15697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLGDNNPTAAVDASSAPLLVTPASAAATEGDVLQPHAGGSDASFDADMVIILAAMLCVLVCAIGLDSLIRCFRIHCGRRRTIIVSAPHAAATMAAAADADAGLKKRELMRRIPVVVYETKAGVSATECAICLGEFDDGEKVRVLPRCHHGFHVACIDMWLAMNPSCPTCRNSLLEDGGTNGGRERDIV >PAN16304 pep chromosome:PHallii_v3.1:3:3289925:3291990:1 gene:PAHAL_3G052100 transcript:PAN16304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSTTTTSDKANSRSPSSCPRGHWRPGEDEKLRQLVEQYGPQNWNSIAAKLDGRSGKSCRLRWFNQLDPRINQRPFTAAEEERLLQAHRAHGNRWALISRLFPGRTDNAVKNHWHVVMARRRRSHQRSAGTLLAASATGCSPPPRRPPFQCFHFGAPPASAKTTTGNLCFATPGSGPSSLISSSSGTFSVRNCHVPINVAFSSPSEAAAAPDDHRHGMGKDEHGAGGNDDDEDGGAAAAKRKDVPFIDFLGVGI >PVH61516 pep chromosome:PHallii_v3.1:3:3289786:3291965:1 gene:PAHAL_3G052100 transcript:PVH61516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSTTTTSDKANSRSPSSCPRGHWRPGEDEKLRQLVEQYGPQNWNSIAAKLDGRSGKSCRLRWFNQLDPRINQRPFTAAEEERLLQAHRAHGNRWALISRLFPGRTDNAVKNHWHVVMARRRRSHQRSAGTLLAASATGCSPPPRRPPFQCFHFGAPPASAKTTTGNLCFATPGSGPSSLISSSSGTFSVRNCHVPINVAFSSPSEAAAAPDDHRHGMGKDEHGAGGNDDDEDGGAAAAKRKDVPFIDFLGVGI >PAN17988 pep chromosome:PHallii_v3.1:3:11293621:11294964:1 gene:PAHAL_3G170000 transcript:PAN17988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRHQHVHAHGERPDSPSATLLRRVQTHAPNSTQVVGFLALLVSGAVLLLLTGLTLTGAVVALVFLGPIALLTSPIWVPVAIAVSVLVAAALSACGFAVAALAAGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHSRSKDAAPGA >PVH62394 pep chromosome:PHallii_v3.1:3:19055585:19072570:-1 gene:PAHAL_3G283300 transcript:PVH62394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MDASDSGGGASADAGEQLWDWGNLLNFVQDDDSLVLPWDSDVGIGAADPTEAVAPLLPAPLPQPEPEPGPVLPPPPLRVQGIGRRVRKRDPRLVCPNYLAGRVPCACPEVDEMVAAAEVEDVATEFLAGARKKTKTAARRGKAGAAGGAGAAGGTVRAAAMEMKCQVPGCEADIRELKGYHRRHRVCLRCAHASAVMLDGVQKRYCQQCGKFHVLLDFDEDKRSCRRKLERHNKRRRRKPDSKGILDKEIDEQLDLSADVSGDGELREENMEGTTSEMLETVLSNKILDRGTPAGSEDVLSSPTCTQPSLQNEQSKSVVTFAASVEACIGAKQENAKLTTNSPMHDTKSAYSSSCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYIRPGCTILTVFVAMPQHMWDKLSDDAANLLRNLVNSPNSLLLGKGAFFIHVNNMIFQVLKDGATLMSTRLDVQSPRIDYVHPTWFEAGKPVELILCGSSLDQPKFRSLLSFDGDYLKHDCHRLTSCDAIDCVENGDLILDSQHEIFRINITQSRPDIHGPAFVEVENMFGLSNFVPILFGSKQLCSELERIQDALCGSSNSNLFGELPGAASDPYEHRKLQMAAMSGFLIDIGWLIRKPTHDDFKNVLSSTNIQRWVCILKFLIQNDFLNVLEIIVKSMENIMGSEVLSNLERGRLEDHVTAFLGYVSHARNIINSRANSDEKTKLETRWISVSSPNQPSLGTSDPPANETTGTGGDKNLHSANAAYEEETVPLVTRDDSHRHWCQPDMNARWLKPSLVVKYPGGATRMRLGMTVVVAAVLCFTACLVLFHPHGVGALASPVKRYLSSDSAL >PAN17232 pep chromosome:PHallii_v3.1:3:7339259:7339884:-1 gene:PAHAL_3G115000 transcript:PAN17232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVKIGPWGGSGGDPRDIVASGVAPHRLESVVILCQEAVDAISFTYAGVDGAPRKAGPWGGSGGQKHKVMFGATEVVKEVSGTYGPFRDYDGIVRSLTFVTNLGKHGPFGQPGEGTPFSIPVQYGGRVVGFFARSGWLVDAVGVYVHP >PAN19797 pep chromosome:PHallii_v3.1:3:20588920:20590491:-1 gene:PAHAL_3G300200 transcript:PAN19797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIHLAYVLVFVLLAVFFVLRLRRRGASPARQPARATTAHCPYPNPVLGNTLDFIRNRRRFFDWYADLLRAAPSGAIEAWGPLGSGRAVTAASPADVDHLLRAGFAGYVKGARFREATADLIGDGLFAADGRLWSLQRKLASHAFSSRSLRRFADGVLAVHLRRGLLPSLDAAAAAGRAVDLQAALRRFGFGTICHVAFGIEVADGDGDPRQEALFAAFDAALEISFRRALTPATWVRRLTKLLDVGRSRRLREAVGLIDGYAMSVVESKEARRRSGGLDDGDADLLSRFMAAMDGEDGGELGAMFPTPAAKRRFLRDVVVTFVLAGKDTTSSALTWFFWLLAANPRCERRAREEATTDSGGGVKGMHYLHAAITEAMRLYPPVPFNGRVAARDDELPSGATVRAGWHANYSAYAMGRMRALWGKDCMEFVPERWLGDGGEFVPADAARYPVFHAGPRVCLGKEMAYVQMKTVAAAVLRRFRVEVVAPVASMEAPPAYEMTATMKMKDGLWVRLCRREEPAE >PAN18265 pep chromosome:PHallii_v3.1:3:12473533:12474848:1 gene:PAHAL_3G190400 transcript:PAN18265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATADPRAKPLAAPPPHHLEPWSAHQPSPHRMPVLPAAASPAGGGCVAARDRRRSSSHRRGGAAQAVGEEPCGGGIEALRAKLMGHLRDAADRLRVPQPSSRGSSLPHPPPPPPPKTAASPPPETGSEPEPELRAPPPPPPAAPQQQPQAEAATRPWNLRERSRRRPAARSWAASPSPQPSSSSRRRRRRAPFSVSLTAEEVEEDIYALTGARPRRRPRKRPRAVQRQLDSLFPGLWLTEITADAYRVPDE >PAN17417 pep chromosome:PHallii_v3.1:3:8405320:8408312:1 gene:PAHAL_3G130200 transcript:PAN17417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATAAVPAGGRPYRRARPRCGPPRLHRLRLRAAAAAAASSSPLAPSTSAPPPEGGGRLVAELVGAFNELTERMGEDLATSSSSRLLFRALKLALPALRDGDGGRALARALAVAASLADLQMDAEVISAGILREALDAGAVSMRDVKAQIGISTAHLLHESLRLKNAPSKLDILDDESASALRKFCLTYYDIRAVILELALKLDMMRHLDDLPKYLQRIKSLEVMKIYAPLAHAVGAGNLSLELEDLSFFYLFPHSYDHVDQWLRSQESDCKALIYLYKEQLLQALKADDELNRIVQDISVQGRYKSRFSTMKKLVKDGRKPEEVKDILGLRVILEPRCDDNSSGWGPRACHRTHEIIQSLWKEVPGRTKDYISQPKENGYQSLHVAIDVSEPGRMRPLMEIQIRTKEMHRFAVGGDASHSLYKGGLTDPGEAKRLKAIMLAAAELAALRLRDLPDSDRGVGNCNNPAFRQLDKNGDGRISIEELTEVMEDLGAGGEDATELMHLLDANSDGSLSSDEFESFQRQIELMRSLEDEDDHYRKILKEKLHTIDSAGLIHVYRKELGDKLLVS >PVH61880 pep chromosome:PHallii_v3.1:3:9530149:9531602:-1 gene:PAHAL_3G146900 transcript:PVH61880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGTIPASSSPTPPGGSSSSSTYPLDFISRAKARGASALAARRPWRELADPRALSVPRGFSDAYRRARANLAHFAANYALVVLAVVFASLLWHPVSLLVFLACFAAWLFLYFLRDRDADQALLICGRPVGDGVVIALLSAVTLGLLLLTGATSNILISLLVGLLVVLLHALLHRPADSIDEEAGRWYTPVPPSNY >PVH61500 pep chromosome:PHallii_v3.1:3:3060872:3062195:1 gene:PAHAL_3G047900 transcript:PVH61500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWSTRMRLRGSNGDWVEADPTHAGPGHRREPSRRAGGDMVTHRRRRQRGRGQRPGACARRRRARPRRRRTRGGRGSGATSPAAEYWHSGLRRDLTGGRVLAHSSFGPPAWAQAAARRVRSTEESAAAAGGSPTSSLHGKRHDRFHSWYTLIPGGTTPLSSAPTRRSSSRAGCLTECPKAGADKGVHARRRVGRWPLQGLAGRLHRDADGQYRGRRVLQVTSPQEMALPMH >PAN17666 pep chromosome:PHallii_v3.1:3:9571341:9574159:-1 gene:PAHAL_3G148100 transcript:PAN17666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSFATLAIARPATAAAAAAASGQRALLASKPSSPILSFRGARLPSQAVTFSGGLAPSPSHSRARFVTSASAEPKEAEIQSKITNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIRDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGAVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDVVKLIESQETDRGDRPKKKVVISECGELPVV >PAN17094 pep chromosome:PHallii_v3.1:3:6832261:6832742:1 gene:PAHAL_3G107000 transcript:PAN17094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEQREQGSSHSGDVMQMQSGWGQLDLIPPGCIRQHSFTRQGKRLAWPEFCNRSKLMRLILLHFVQDISPRVKGICTWMWSHVERLASRQLLYDD >PAN20804 pep chromosome:PHallii_v3.1:3:53342975:53357521:1 gene:PAHAL_3G412700 transcript:PAN20804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMAAHRASFPLRLQQILAGSRAVSPAIKVESEPPANVKEFIDRVINIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTYISSRKDLLLSDDMVEADPLPKNTILKILRVMQIVVENCQNKSSFTGLEHFKLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLISCGAINTHLLSLAQGWGSKEEGLGLYSCVVANEGNQQEGLTLFPADLENKYDGAQHHLGSTVHFEYNLGPGLDPDQTSDKSKSSNLCVIHIPDMHLQKEDDLSILKQCVDKFNVPPEHRFALLTRIRYARAFNSARTCRLYSRISLLSFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEDFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLNSPNDTSAPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVDLLSQRLHVEVQRVIGTVDGHNSMVTDAVKSEEDHLYSQKRLIKALLKALGSATYSPGNPARSQSSQDNSLPVSLSLIFQNVEKFGGDIYFSAVTVMSEIIHKDPTCFPALKELGLPDAFLLSVTAGVVPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLMPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLCSSQEDKSNEPAISEEEKTDMETDVEGRDLVSAMDSSAEGMNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLREHLKSALEELNKVSSSIEMSKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDASREVLEDIGRVHREVLCKIALFEENKIDSEASSSSSASEAQQPDSSASDIDDSRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAASDSQRVGSDRYSNQGLPSSSQDQSSSSSDANASARSEEDKKKSEHSSCCDMMRSLSYHINHLFMELGKSMLLTSRRENSPVNLSPSVISVAGNIASIVLEHLNFEGHSVSSEKEIAVTTKCRYLGKVVEFIDGILMDRPESCNPIMVNSFYCSGVIQAILTTFQATSELLFTMSRPPSSPMDTDSKTGKDGKETDSSWIYGPLSSYGAVMDHLVTSSFILSSSTRQLLEQPIFNGSVRFPQDAERFMKLLQSKVLKTVLPIWAHPQFPECNIELISSVTSIMKHVCTGVEVKNTVGNGSARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEEDDELARALAMSLGNSDTSAQEEDSRSNDLELEEETVQLPPIDEILYSCLRLLQTKEALAFPVRDMLVTISSQNDGQNRVKVLTYLIDNLKQCVMASESLKDTTLSALFHVLALILHGDTAAREVASEAGLVKVALDLLCSWELGPRENEVAEVPNWVTSCFLSVDRMLQVEPKLPDVTELDVLKKENCNTKTSLVIDDNKKKDSESLSSVGLLNLEDQKQLLKICCKCIEKQLPSASMHAILQLCATLTKVHAAAICFLESGGLNALLSLPTGSLFSGFNNVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKDRSKEKDKDKDKPADKDKATGAATKVTSGDIAAGSPASAQGKLPDLNARNVKPHRKPPQSFVTVIEHLLDLVISFVPPPRSEDQADVVSCTASSSDMDIDCSSAKGKGKAVAVAPEESKHSVQEATASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNMHGPNRPGAGIISGGIFTHILQHFLPHAVKQKKDRKTEGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSMFLDFTDSSTAYKAPVSRLNAYVDLLNDILSARSPTGSSLSAESAVTFVEVGLIQSLSRTLQVLDLDHPDSAKIVTAIVKALEVVTKEHVHSADLNAKGENSSKIASDSNNVDSSSNRFQALDTTSQPTEMVTDDREASNAVQTSQSSDSVEDEMDHDRDMDGGFARDGEDDFMHEMAEDGTGNESTMEIRFEIPRNREDDMADDDEDTDEDMSADDGDEVDEDDEDEDEDEENNNLEEDDAHQMSHPDTDQDDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLAGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDHGILDHPLLEEPSSILNLPHQGQQPENLVEMAFSDRNHESSSSRLDAIFRSLRSGRNGHRFNMWLDDSPQRSGSAAPAVPEGIEELLISHLRRPTPEQPDVQRTPAGATQENEQPTNVSEAEAREEAPAEQNENSVNTVNPVDLSESAEPAPPDSDVLQRDVSNASEHATEMQYERSDVVARDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASGASDRLPLGDMQATARSRRPSGSAVPLGSRDISLESVSEVPQNPNQEPDQNANEGNQEPTRAADADSIDPTFLEALPEDLRAEVLSSRQNQVAQTSNDQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSSSLFGMNSRNRRGESSRREVMAAGLDRNGDPSRSTSKPIETEGAPLVDEDALKALIRLLRVVQPLYKGQLQRLLLNLCAHRDSRKSLVQILVDMLMLDLQGSSKKSIDGTEPPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTYLARSHPNVAKLLLFLEFPCPSRCHTEALDQRRGKAVVEEGEERKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENQINQARLEVSSEKPSGPENAVPDGQDNTNVSESSGSKSNAEDSSKTPVDNENNLQAVLQSLPQPELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELARSMQNLTLCAMKELRLYENSEKALLSSSSANGTAILRVVQALSSLVTTLQEKKDPELPAEKDHSDAVSQISEINTALDALWLELSNCISKIESSSEYVSNLSPASANAPTLATGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVHEASTSDMEDASTSSGGQRSSSGQASLDEKQNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQELKGRLTVHFQGEEGIDAGGLTREWYQSLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDAHFTRSFYKHILGAKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLTFSMDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >PAN18427 pep chromosome:PHallii_v3.1:3:13137487:13138142:-1 gene:PAHAL_3G200800 transcript:PAN18427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKAILRPLIFALALTMLVALAHGSFYVHRRNVFKHCMAVIKKHPPHRHTPSNKCTGVVLKSNLVGICSILTLEDEQKISVERLVSLGRRFGQVFTPGARCGTAYIIPELPGPPLL >PVH62212 pep chromosome:PHallii_v3.1:3:15396479:15404502:-1 gene:PAHAL_3G237400 transcript:PVH62212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTNSSLPLAPLLAGWCALAAAALLLAAAISAWLQRPRRVAEAFRRQGIDGPPPSSFLSGNLSEMQARAAAAAVAEAPAAAGGRDFEKEGFDDYCKKIFPYFEKWRKAYGETYLYWLRRRPALYVSDPELIREIGRCVSLDMGKPTYLQKGQEPLFGRGVLKANGAEWHRQRRVIAPEFYMAKVKGMVELMVDAAQPLLRSWESKAAAAPGGVAEVDVDDDIRSFSFDVISRACFGGDYSRGREIFRRLRALSGLMSETSVIFTIPSLRHLPTEKNRRIWRLTQEIRSLILQLASERRRAGEGEPAAPAPDFLGSIIENSRDQPRADDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQDRARAEVLEVCGSGAGAGAPDFDAIARMRTVHSVVLETLRLFPPSSFVVREAFRDMQLGRLRAPRGTYLFVPVSTMHHDASIWGPTARRFDPGRFRDGVAAACKHPQAFMPFGLGARTCLGQNLALVEVKALVALVLARFAVALSPDYRHAPAFRFIIEPEFGLRLLVRRLGPDGRH >PAN20297 pep chromosome:PHallii_v3.1:3:29315066:29322062:-1 gene:PAHAL_3G340600 transcript:PAN20297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATAISLPHSVSPPLQVTRRRPAPPACSHSERGVSFDPGSAFYRSDSAAGRDLAILAATLHRRHGRLDPSAPFLCLDAMCGCGVRALRYLAQAGADFVWANDANEALRPIILTNLSRFERGSPPAEAGRRRWVVSHNDATRLLAERYLRREYFDVIDVDSFGGDAAYVRAALLALKIGGLLYLTSTDWRSARGYGSRSSLSSYGAYVRPMPYPNEVGLRMVIGGAAREAALLGFHIRPVFSYFAYHGPIYRVMVQLCNGKDNDSSNYGFISHCKSCGQSQTFGFDELGRISCGCTDRTDVDLTAVVGPLWTGPLHDASFLTEMRSLADEWGWAYTSENGVTLEKLLSMMIEESDPRLPPGYIRLDEISRRAKVNSPPLSTLINSLRKEGFSACRSHIGTNVIKTNCPISSCINVAREIRNLR >PAN17129 pep chromosome:PHallii_v3.1:3:6986144:6987935:1 gene:PAHAL_3G109600 transcript:PAN17129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFRPSPPAPGGCGGDRCASGRDAWPLHHFLHEGVFCRLCSSCVLLYRPSAFCSACLHLLSTSDAAATAPAGDPAVSPPGPTAPCSVCGLSVAHLSCVAGDPASFVCPPCAAAAENRPFSFTPAPPAGGGGRRTLDERDARVLLVAARLAHDSVSRAAAAARELAERRVAEAAAARKRSREMLDAAFRALEAETREAKMRPAPAAQLPKKKAPKSAEANRDKDRLLKLNAMQQPALAFAAAAAAAAAVSSMPLATVPPPREDKKPVKQEAQGSAPPPPPREDRPALFGALQS >PAN17128 pep chromosome:PHallii_v3.1:3:6986210:6987757:1 gene:PAHAL_3G109600 transcript:PAN17128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFRPSPPAPGGCGGDRCASGRDAWPLHHFLHEGVFCRLCSSCVLLYRPSAFCSACLHLLSTSDAAATAPAGDPAVSPPGPTAPCSVCGLSVAHLSCVAGDPASFVCPPCAAAAENRPFSFTPAPPAGGGGRRTLDERDARVLLVAARLAHDSVSRAAAAARELAERRVAEAAAARKRSREMLDAAFRALEAETREAKMRPAPAAQLPKKKAPKSAEANRDKDRLLKLNAMQQPALAFAAAAAAAAAVSSMPLATVPPPREDKKPVKQEAQGSAPPPPPREDRPALFGALQS >PVH63084 pep chromosome:PHallii_v3.1:3:60411814:60412769:1 gene:PAHAL_3G469700 transcript:PVH63084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWTQAVIHHILIQTKEASYDDDKGPDISGCRSKSRYLKFDDTAPLPVFKVGMTFRGSEELNKEWLIIGRQ >PVH63067 pep chromosome:PHallii_v3.1:3:60170657:60171442:1 gene:PAHAL_3G465600 transcript:PVH63067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNRRTMLALFIWAMAAVIFMAAIRPNLLAAADEIYCMCCAAKRECTFDPNCCTNGCCSN >PAN18937 pep chromosome:PHallii_v3.1:3:15323039:15325762:1 gene:PAHAL_3G236600 transcript:PAN18937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRVAAGVLLVLSALALAGAEDPYLFFEWKVTYGTKTLLGAPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFTWNGMQHRKNTWMDGLPGTQCPIAPNTNFTYKWQPKDQIGSFFYFPSVGMQRAAGGYGAITVVSRLLIPVPFDQPPPESDHVVLVGDWFTKDHEVMARHLDAGRSIGRPEGVVINGMGGKDLAAAPMFTFEAGKAYRFRVCNTGIKASLNFRIQGHDMKLVEMDGSHTVQDMYDSLDVHVGHCFSVLVDADQKPGDYYLVASTRFIHDARSASAIIRYAGSNTPPSPNVAEPPAGWAWSLNQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLLVSRGHIDGKLRYGFNGVSHRDADTPLKLAEYFNVTDGVFSYNQMGDAPPAVFGPLHVIPNVITAEFRTFIEIVFENPEKSMDSFHLDGYAFFAVGMGPGKWSPELRKTYNLLDAVSRHTVQVYPRSWSAIMLTFDNAGMWNIRSNVWERHYLGEQLYMSVMSPARSLRDEYNMPDNALRCGKVVGLPLPPPYAPAR >PAN16811 pep chromosome:PHallii_v3.1:3:5693240:5697428:1 gene:PAHAL_3G088300 transcript:PAN16811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPAAAAGSGGYKYYYPPQPQPVRRPPRPAARWVKQWIPLDLASSGGKCSLFKWVREDGHRSSKENPKVLEAEAPKPEPTTEILFLCSYENCGKTFVDVSALRKHAHVHNEKQYICNEPNCGKKFVDSSKLKRHYLTHTGQKDFVCPHPGCGKAFSLDFNLRSHLKTHALENYHICPFPACGKRFTSDSKLRVHVKSHEKTGTPVAVQHTPPVEKPHSAPKPSTPATTSYADRPYVCPYEGCDKAYIHSYKLNLHLKTQHPEHSQEENGKLGASGQHGVNETTYQYSYAEVGDTAPNPKRSKSHKVHSSKVYNAKISTVMPSNISGVKNQWSGKATYEDDSEETEEDGGNNIEDGWRYGNNADDEETQDED >PVH61374 pep chromosome:PHallii_v3.1:3:1033458:1034621:-1 gene:PAHAL_3G018800 transcript:PVH61374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSLRPRRRLADDDDDLEEGQFVPTAYQQSSDTDNDDANPTHVGHYRRRLSLEELLALGRGDALPSPTPSCESDGTNSDDRGGNPSPASVFRAEPKRVAVPLDGGWAATGRRGWTGGKLQLFSEATAPPLDAQSSHSNVSGEYSSSIRAAAAHQHQPAPPLPYADQAPQLVHHQPPAAQQQQQQPPRTVVVPRGAADRPQREYTCKFCGKAYTSHQALGGHVAKHKSSQREAKAAAAAAAAAGMMMPIQDGGAFLAALRRARRAEAPPHHQCRKCHKVFATGVALGGHMRVHYTGPPIVPARKKNRKRGLAPLAVEDVAVAAPPPTGLSLALPIKTEEAPSAAPAGAARVVRLFGIDIGQKVQAPSEQQCSGTTEEFSAAGGQP >PVH62904 pep chromosome:PHallii_v3.1:3:56080948:56083768:1 gene:PAHAL_3G427800 transcript:PVH62904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSISTPARGRRVHQGFRMVVAASSAQHLPVLLLLAVCCCCYSSADDDVDFIYQGFQHASPGADLTLDGSASVLRGGALRLTNDSNRLVGHAFRSSPVRFGGGGGRQLASFSTAFVLDIVTVGSGGGHGLAFVVAPSTALPGASPEIYLGVLGPATNGRATNHVLAVEFDTVLDLEMDDINGNHVGIDVNSLFSNVSEPVAYYAGDGEGTTKVPVTLESAQPIQAWIDYDGRTGVLNVTVAPVSVADRPRRPLISTKLDLRPVFKEDMYVGFSASTGKLASSHYILAWSFRTNGPAQPINLRRLPKVPRPSTAPSKLIIIKFAAVACAGTLALIAAAMAVVRWLRKKAALADKLEDWELEHPHRFPYKELYRATKGFRQSELLGAGGFGQVYKGVLRRHSGEEVAIKRISAGTKQGMKEFVAEVASLGRMRHRNLVELRGWCKHSDDLLLVYEFMPNGSLDAHLFGAGGRKAPPLTWAQRFAVLGGVARGLLYLHEEWEHVVVHRDVKANNVLLGADMAARLGDFGLARLYEHGADPATTRVAGTLGYMAPELTFTSRATTATDVFSFGALLLEVACGRRPVVPPPAGGDADVVLVRWVRDCGLGGDLLRAVDPRLEGRYDEAEARLVLWLGLMCSQARPEARPTMRQVCRYLSGEEAIREDAELVFSGADSLEFIGSSVSITWSSSGGTMSAGSLHGGR >PVH62022 pep chromosome:PHallii_v3.1:3:12114291:12120608:1 gene:PAHAL_3G185300 transcript:PVH62022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDLFAIDSDSETYSGTSDSEDQEECEFTYSDHAQSILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETSSGDIIKDVNSKKLSRVRSFISGDCVVMGPWIGRVIRAFDLVTVLFSDGAKCEMLLRDSEALKPIPPILFEDAPYFYYPGQRVRIVEPSVYQSATWLCGSWRPGRDDGVVSHVDVGMVHVNWITSVTNVWGDKSSSPPNIQDPKKLTLLSCFPYANWQLGDWCAVTDDHGCLWLDSGKSCIVSEAWKCDSPGHMHLENCSSGYSQTYVVSKTKSTVDILWQNGSISLGLEPQTLVPVSTLGDYDFWPGQFVLEKLAVEDSARCQRTGIVRDVDALERTVNVLWISPAGSDTVSYGRSGPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIEKSEADLTNGSLASRELVTHSDFLSCIGNVLGYKDDGIEVQWANGVISKISLVQCQVQHFEIIGLDKLLDSSLESMHEENTAGDSIDMAEQEEMHHENANIALESTDCTGSLCKATAFLFPKTAFDFLTNVAASLFGTNGSPSPSSVVIDPRYQIIKMAEMQTSADELPEEKQVVELVAKIEKPNLTSEDNISKRFDVVTDCSDHHFVKESGHESVTRSWVKKVQQEWTILQNDLPDGIHVRVFEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWTGTGNEVWNPENSTILQLLLSLQALVLNEKPYFNEAGYDKFLGKADGEKNSITYNENAFLLSCKSMMYVLHKPPKHFEKLVKEHFACHAQCILDACEAYLGGDLVGHAHDKAYISEDGSKNCSTGFKIMLGKLLPKLAAAFSEAGIISGQ >PVH62024 pep chromosome:PHallii_v3.1:3:12114291:12120608:1 gene:PAHAL_3G185300 transcript:PVH62024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDLFAIDSDSETYSGTSDSEDQEECEFTYSDHAQSILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETSSGDIIKDVNSKKLSRVRSFISGDCVVMGPWIGRVIRAFDLVTVLFSDGAKCEMLLRDSEALKPIPPILFEDAPYFYYPGQRVRIVEPSVYQSATWLCGSWRPGRDDGVVSHVDVGMVHVNWITSVTNVWGDKSSSPPNIQDPKKLTLLSCFPYANWQLGDWCAVTDDHGCLWLDSGKSCIVSEAWKCDSPGHMHLENCSSGYSQTYVVSKTKSTVDILWQNGSISLGLEPQTLVPVSTLGDYDFWPGQFVLEKLAVEDSARCQRTGIVRDVDALERTVNVLWISPAGSDTVSYGRSGPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIEKSEADLTNGSLASRELVTHSDFLSCIGNVLGYKDDGIEVQWANGVISKVQHFEIIGLDKLLDSSLESMHEENTAGDSIDMAEQEEMHHENANVCFSIYPIALESTDCTGSLCKATAFLFPKTAFDFLTNVAASLFGTNGSPSPSSVVIDPRYQIIKMAEMQTSADELPEEKQVVELVAKIEKPNLTSEDNISKRFDVVTDCSDHHFVKESGHESVTRSWVKKVQQEWTILQNDLPDGIHVRVFEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWTGTGNEVWNPENSTILQLLLSLQALVLNEKPYFNEAGYDKFLGKADGEKNSITYNENAFLLSCKSMMYVLHKPPKHFEKLVKEHFACHAQCILDACEAYLGGDLVGHAHDKAYISEDGSKNCSTGFKIMLGKLLPKLAAAFSEAGIISGQ >PVH62023 pep chromosome:PHallii_v3.1:3:12114291:12120608:1 gene:PAHAL_3G185300 transcript:PVH62023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDLFAIDSDSETYSGTSDSEDQEECEFTYSDHAQSILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETSSGDIIKDVNSKKLSRVRSFISGDCVVMGPWIGRVIRAFDLVTVLFSDGAKCEMLLRDSEALKPIPPILFEDAPYFYYPGQRVRIVEPSVYQSATWLCGSWRPGRDDGVVSHVDVGMVHVNWITSVTNVWGDKSSSPPNIQDPKKLTLLSCFPYANWQLGDWCAVTDDHGCLWLDSGKSCIVSEAWKCDSPGHMHLENCSSGYSQTYVVSKTKSTVDILWQNGSISLGLEPQTLVPVSTLGDYDFWPGQFVLEKLAVEDSARCQRTGIVRDVDALERTVNVLWISPAGSDTVSYGRSGPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIEKSEADLTNGSLASRELVTHSDFLSCIGNVLGYKDDGIEVQWANGVISKVQHFEIIGLDKLLDSSLESMHEENTAGDSIDMAEQEEMHHENANVCFSIYPIALESTDCTGSLCKATAFLFPKTAFDFLTNVAASLFGTNGSPSPSSVVIDPRYQIIKMAEMQTSADELPEEKQVVELVAKIEKPNLTSEDNISKRFDVVTDCSDHHFVKESGHESVTRSWVKKVQQEWTILQNDLPDGIHVRVFEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWTGTGNEVWNPENSTILQLLLSLQALVLNEKPYFNEAGYDKFLGKADGEKNSITYNENAFLLSCKSMMYVLHKPPKHFEKLVKEHFACHAQCILDACEAYLGGDLVGHAHDKAYISEDGSKNCSTGFKIMLGKLLPKLAAAFSEAGIISGQ >PAN18198 pep chromosome:PHallii_v3.1:3:12114291:12120608:1 gene:PAHAL_3G185300 transcript:PAN18198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDLFAIDSDSETYSGTSDSEDQEECEFTYSDHAQSILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETSSGDIIKDVNSKKLSRVRSFISGDCVVMGPWIGRVIRAFDLVTVLFSDGAKCEMLLRDSEALKPIPPILFEDAPYFYYPGQRVRIVEPSVYQSATWLCGSWRPGRDDGVVSHVDVGMVHVNWITSVTNVWGDKSSSPPNIQDPKKLTLLSCFPYANWQLGDWCAVTDDHGCLWLDSGKSCIVSEAWKCDSPGHMHLENCSSGYSQTYVVSKTKSTVDILWQNGSISLGLEPQTLVPVSTLGDYDFWPGQFVLEKLAVEDSARCQRTGIVRDVDALERTVNVLWISPAGSDTVSYGRSGPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIEKSEADLTNGSLASRELVTHSDFLSCIGNVLGYKDDGIEVQWANGVISKVQHFEIIGLDKLLDSSLESMHEENTAGDSIDMAEQEEMHHENANIALESTDCTGSLCKATAFLFPKTAFDFLTNVAASLFGTNGSPSPSSVVIDPRYQIIKMAEMQTSADELPEEKQVVELVAKIEKPNLTSEDNISKRFDVVTDCSDHHFVKESGHESVTRSWVKKVQQEWTILQNDLPDGIHVRVFEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWTGTGNEVWNPENSTILQLLLSLQALVLNEKPYFNEAGYDKFLGKADGEKNSITYNENAFLLSCKSMMYVLHKPPKHFEKLVKEHFACHAQCILDACEAYLGGDLVGHAHDKAYISEDGSKNCSTGFKIMLGKLLPKLAAAFSEAGIISGQ >PAN19294 pep chromosome:PHallii_v3.1:3:17382022:17384225:1 gene:PAHAL_3G262100 transcript:PAN19294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLHYSRPLLLQLQGPAVSPAPAPATAGAAVPDARSVPVPASADAFTFLNANAILVLALLVCGLVASLALRAVLQCALRVTRQAFRSRSGGAGGAPEQDDPGQGAGQAARGGGKQPQRPPRRVTRLVRVLPCLAYSAGLAQELAGSSRSECAICLAAFARGEAVRVLPRCGHGFHARCIDRWLAARPTCPTCRQAPFDQTTALLQPADGPGPAVVAPLVRVVVVGDRVARLVEP >PAN20015 pep chromosome:PHallii_v3.1:3:22437032:22440046:-1 gene:PAHAL_3G313400 transcript:PAN20015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVGSAVACEAVSRVSSFLSGDKTSHESVEDKAERLEMAVLKIRSVVAVSDDLHISHLPLLLWKAKLKRIAEEGDDLLLHMHKKRHLECGRVSDNTTGNSISQYLIQAAKRFVPFCRKEDELSDNTLRRFERLADGTDSFFRLVQSGGHPKKPVFLPSLTRSLLAGDSVEFSIQRKSGNDHIMLWPWLDASTESGLVACLGVSREDEVMWQEGFKMCVLFRLSEASNILATAMRCLELLPPQFDAASVGIRGLLTETIGQSVDRLNLSERSVWCCRRIQSYHHHDCESSAVEKDRVTGMLSLPHPVLRLTTFSYALPSIDTKENDIQGSCMPSLFFFSPSMMRVSLISDKILLPSWNYFNLSVKLTPTEKYVIEKVLIT >PVH62379 pep chromosome:PHallii_v3.1:3:18750712:18752396:1 gene:PAHAL_3G279600 transcript:PVH62379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTPENASQAAPLKLFGSWASSYTHRVQLALRLKGLEFEYAEEDLGSKSNELLRHNPVYKKVPVLVHGGRALPESVIILQYLDDAWPETRPLLPADAFDRALARFWCHFADDKLGPAVGAVFASMGEDQEAAVRQVHENLALIEAELRDGAFKGRRFFGGDEVGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRDFEALDEVRETIPAVDRLLEYARGVRHMLLGLAGAGAAAASAAAAAPAEAPAAPAPAPAADIAVDI >PAN21194 pep chromosome:PHallii_v3.1:3:58672303:58675177:1 gene:PAHAL_3G449800 transcript:PAN21194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVVVVSSAGCKGGGGKRSSGGGGGEEERRRRAAVLELLLAAVRRSVVACRVERSAAGGGAGWAAAEGVEDAAVEEEELGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEDEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILVLMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDGLSPEQVLQCNSEGEFLELVTLLRPTQAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDEATGGEYTPYSSPASSSRHDATECCYNSERDMDRSCELSDMHSQISKSGRHADYLVRYNTCFDSEQEIDEHLSEVEEGFLRRLEHNTEADIPEESAREQREMNSQVMAMEDVELKAEDQAVDKGLQKEEGLESMA >PAN18598 pep chromosome:PHallii_v3.1:3:13848975:13853968:-1 gene:PAHAL_3G213100 transcript:PAN18598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPPPSNTPPPSTTSTAPTAPSPPIPSTRREPSPPSARTPSPPSAEPDLPPAPPLQAPVLPPPTPVAAPAAPAAAPPPPLTPVLPPDPPAAASPPPQWPVAPPAPPLPSPTLPAPSAPLAPTDPPPSSPPTPAPPADPPAPSTPPPQATPAAPPAPSPPPQSPVTPAAPPPSSPPPQPPVAPGAPPASSPTPQPPATPAAPPASPPAPQPPAPSPPAPVAPTPSAPAPSPPGAPPVMPPPLPAPSTPTPSPPAIPAPSTPTPSPPAIPAPSTPTPLPPATPAPTPSPTPSPPMPPSPPAPAPSSPMPPAPSVPTPSPPATPAPTPTPSPPGTPAPPTPVPPSPAVPTPATPSAPPPVPPTPAPPSPSTPAPPLPSPPSPVTPEPPVPSPPSPATPKPEPPTPSPPSPSNPAPPIPSPPSPATPEPPRPSPPSPVTPRPPRRPRTPPGVPAPTVPPSPRRTPEPPPATPSPLAPDPPGAPRRPPSPPPAPVPPSHRGRPAPPGVTLSPPNGDGPPSPPSPPSLTPPAPSSPSSPLSSPSPSATSGTVIGVSVAVATVVVLALIAGLIYCCSKKRRHRRHGSSPAGNVYGGPPLPVTSKVSSAPLPSPPHAYSQSQQTFPPWETGGGGLSAPPRPPPSVTGGTVSYAELAAATGGFSDANLLGQGGFGHVYRGALEGAGEVAIKRLRPGSGQGDREFHAEVEIISRVHHRHLVSLVGYCIHGDQRLLVYEYVPNKTLELHLHGSDRPPLDWQHRWRIALGSAKGLAYLHEDCDPRIIHRDIKAANILLDYNFEPKVSDFGLAKIQHADDTHVSTRVMGTFGYLAPEYATTGKVTDRSDVYSFGVVLLELITGKSPVLSSEPYNDETLVSWSRPRLTRALEEDILDGLTDPRMGANYDAGDMRRLIACAAAAVRHTARSRPRMSQIVRYLEGQLSAEALNAGVAPGQSELLEDHAGEQLRRMRRLAFVPGTTTGGFLTGNMSSSYVSEPTSEYGLHPSSSSSDDADASEVSSVRRAPSRPPTTTTASSATGAGVEGHSSGEFGAADGVSRRMRPGRGGA >PVH61863 pep chromosome:PHallii_v3.1:3:9287760:9290363:-1 gene:PAHAL_3G144200 transcript:PVH61863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVALLVALVAAAGSSSCAAWELSIRMPTARAVEEAAARLDDEIRDAAAPLIHALRPLVGSAGELGRRAGVPCDSWRLAVEAYNKRDWKTVPASCEGYVGHYMLGGHYRRDSRVVVDEAVAYAKGLELAGNGREVWVFDIDETSLSNLPYYAEHGFGTEPFNATSFNAYVMEGSAVALPETRRLFGKLVSLGVKPVFLTGRSEDQRAITSANLRRQGYSGWEKLLLKPIGFKGTAIGFKSGERRKLQDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >PAN16188 pep chromosome:PHallii_v3.1:3:2734256:2740675:-1 gene:PAHAL_3G043400 transcript:PAN16188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSSPAKVYKPAADVDLGPGSDEFYISPNVKAPRVAGLLVKIFVWILEMPIVGQMVLYILKKDNLINKLVSDADIPEPPLFTATHSWEDIPEQNVMLTKPDLSAAERVQEAVGCLPARLEATLAADAPWSGLKRWTIRDFYDAYSSGETTPVQVATRFLAAVKESSGPGLNMAFFISCDPEDVMRQAEESTLRYQRGAPLSALDGVLVAVKDEIDCAPYPTTGGTRWLGAARRCEADAACVAQLRACGAVLAGKANMHELGAGTSGINPHHGSTRNPYNATKIAGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPASLCGVVGFKPTAGRLSSAGVLPLNWTVGMPGILAGTVEDALIAYSAIVDQSQPSYLRPELNLPQLMSSLSINNIKLAKYAKWFNDSAEDIRSCCDKALKTLQAHYGWQTVDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDARVALSVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYTLQDDAFDTGELDYINGAALVRYSIAGNFLGLPAITVKVGYDKGGLPIGLQFIGRPWSEATLLHIAFAMQEACAKGYKKPAVFYDLLKKE >PVH61695 pep chromosome:PHallii_v3.1:3:6254997:6257348:-1 gene:PAHAL_3G098300 transcript:PVH61695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGHAVVDIDEAGGDSGGGDQAMRPALPPVPYVLNFADLSYSVKKGGGLAGCLPSRPSNRLASADTPPAGNTKTLLDGISGEAREGELFAVMGASGSGKSTLVDALAGRIARESLRGTVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRELPQDRKRARVDALIDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILGILDRLLLLSRGRTVYAGTPAGLKPFFAEFGAPIPDNENPAEFALDTIREFERQPDGAAALADFNTKWQNTSAVEKESKLMSTMPLELAIAESVSRGKLVAGRGSGSAVSGSVPTFANPPWTEVWVLIKRSFTNTGRMPELFAMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMGMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANAVVAFPPLVLLSFAFALITFWAVGLSGGASSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFSDASRCFSRGIEMFDGTPVGHLSEAVKLKVLDAISRTLGSNMTANTCVTTGADVLAQQAVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRK >PAN19021 pep chromosome:PHallii_v3.1:3:15801163:15804473:-1 gene:PAHAL_3G243500 transcript:PAN19021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQGALWCLLLALAVSLLPAPAAAECDCSEDAAGRDKARALRLKVVAIFCILAGGAAGAAVPALGRRVPALRPGTGLFRAVKAFAGGVILATGLVHILPAAFDALGSPCLADTGPWRRFPFAGMVAMLAAIATLVVDTIATGYFRRTVARKAAAVVDEPPAEPGRCGDGDLEGAADGHHGHAHGMSVLAPAPPAAGDDLVRHRVVSHVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCIVQAKFRLKSVVAMALFFSVTTPAGVAIGIAISSVYDETSPTALVVQGLLEAAAAGILVYMALVDILAEEFMSARVQSRARLQLALNASLLLGAGLMSMLAIWA >PVH62653 pep chromosome:PHallii_v3.1:3:36502570:36502764:-1 gene:PAHAL_3G359200 transcript:PVH62653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFTAPPEIPSTPTVLQLGSFHRLSRVEPWDLTADLKSHLQTLYAQSFRITLASSVLPRLLAQS >PAN20675 pep chromosome:PHallii_v3.1:3:39829364:39832420:1 gene:PAHAL_3G370500 transcript:PAN20675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFALLAAEAAVALSLLFRTPARRLALLALDRAKRGRGPVMARTVAATMLLVLASSGYSVAKMRRRAGELGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRTMKKNMEAVTKQSRTLEEAKLGGAEEIQVYQKDIASLKEQVQMLKDQSQKKTEELKTAEANSVALQKQSEGLLMEYDRLIAENGDLRNQLQSIDLRVSHSDGKKNS >PAN21627 pep chromosome:PHallii_v3.1:3:61436847:61438793:1 gene:PAHAL_3G480900 transcript:PAN21627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKGCESCREWQEHYYWEHMDVTKIRFFKLMTGDFAKGISIPEKFAKNFDGTGSIDLKTPSGETWNIRVDKHVDELFLVSRWEDFVKAHRLRENDLLVFTCSGDSSFDVLIFEASGCEKVSSLFGSSTGPDMRKRLDDMADRGKPGKQAEHYALTDSEDTTTRSHLAGFPHHASTSKKSGSRNPRKMPESPNSSSDHVKPDAIGEEDSDEEHANSNYYYSRVANRLSDEEKEKIISLASIRPDNPAFVTVLQMSHVRRKSNFLIFPSRFVADHLDSKLHEITLVRPNRKDKWCVKYCYGRGAQGIRNYTFSMFVQENRLREGDICAFELMKGARRVTMTVHAIRKVDCRFVRVG >PVH62566 pep chromosome:PHallii_v3.1:3:25562198:25567724:1 gene:PAHAL_3G325900 transcript:PVH62566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSPPRRLRRIARRLRAMLPSVAEPDGDAAAGGERRAWEPPFDASAPAPPMSYPITDLAALASRAYLSAAANFHLPFNRASVPGPASALPARRRVLVCHDMEGGYRDDAAPQGGANPDAYALWHWHLVDVFVYFSHYLVTLPPPCWTNAAHLHGVKVLGTFITEWDKGAEVCKEMLATEAYAQMYAERLTELATALGFDGWLINIEVKLDVQFIDNLKEFVNHLTMTMHAAVPGSLVIWYDAITVKGELDWQNKLNEYNKPFFDLCDGLFANYTWKEKDPQNSAAVAGDRKYDVYMGIDVFGRNSFGGGQWTTNVALDLLKKVDVSTAIFAPGWVYETKQPPDFENAQNRWWALVEKSCGVLQSYPKQLPFYTDFDQGHGYQVSVEGQKVSSDPWNNISRQSFQPMLKYTGDQAQLQAFINFKDGPYSGGNCMTIKGSLRQNIIFSEQLFNGGLGMEDRSVHLFYSVRADASSALGLSLDLSSNEQSISILVAEDIASFTRKKQNHKYGAYVKADKVEPYAPDNQDWFLYQATVQSSAGYKLTGINVVCTLKIAGKMSPKTEDRISGVNADGSSLYHASLGHISIQKIDANTEFRPAGSWITEGEHILWSNSSNTTKHVSLKLSWKLKTPDQLSFRKYNIYVEKSMADPNAKASRNYLGVASVDAFYISGLEVASEVTGLKFIIQACAHDGSWQKLEECPEFFLDIVHSEV >PAN17108 pep chromosome:PHallii_v3.1:3:6893826:6896360:-1 gene:PAHAL_3G107900 transcript:PAN17108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPAAAGPAAAARTVASAVLRVQMALLDGAAASNEALLHAAASALLSRVDYDDVVTERTIADACGNPACPNPLPAASAAGGPRFHISLREHRVYDLEEARRFCSERCLVASAALAASLPADRPFGVPPERLDAVVALVEGAGAGEGHGLGFRDADGKKKDEGAKLEIKEKEVAGAGEVTLQDWVGPSDAIEGYVPRRDRTAEGQKPAMQNKVAGNELSRIENVDCMNAAPGEDGMANTSPSVEPHVSSEVIAEKMGNMILSENTKPPGKKTTKTPSKMLKQEEDNSMLSSCISDSIAKQLEDVVLEEKRASKKTKASKASSRSQKSKSRKRPGGSDGHEVDFTSTIVIGDASTDMEQGTMNQYNYLSSSILTDNYASSSQCAAKDSTQAYAEQLCREFSEAVSTGKDETSDEKMKPVLKSSMKLPGSKNVMQSVTWADENGSVLETSKLYESPSSSIKQSEEGIDISLRRASAEACAAALIEAAEAISSGTSEVDDAVSKAGIIILPDMLHQKQYSNDKSSGGDEEPEIDRDVLKWPKKTVLLDTDLFEVDDSWHDTPPEGFSLTHNVNFFTAVRFRNNMGCTIRMDLPVIFGLCVWA >PAN17107 pep chromosome:PHallii_v3.1:3:6892666:6896453:-1 gene:PAHAL_3G107900 transcript:PAN17107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKVAGNELSRIENVDCMNAAPGEDGMANTSPSVEPHVSSEVIAEKMGNMILSENTKPPGKKTTKTPSKMLKQEEDNSMLSSCISDSIAKQLEDVVLEEKRASKKTKASKASSRSQKSKSRKRPGGSDGHEVDFTSTIVIGDASTDMEQGTMNQYNYLSSSILTDNYASSSQCAAKDSTQAYAEQLCREFSEAVSTGKDETSDEKMKPVLKSSMKLPGSKNVMQSVTWADENGSVLETSKLYESPSSSIKQSEEGIDISLRRASAEACAAALIEAAEAISSGTSEVDDAVSKAGIIILPDMLHQKQYSNDKSSGGDEEPEIDRDVLKWPKKTVLLDTDLFEVDDSWHDTPPEGFSLTLSGFATIWAALFGWISRSSLAYVYGLDGVSVEELLIAKGREYPEKIVLKDGHSGEIRRALDTCICNALPVLVSNLRLQIPVSKLEITLGYLIDTMSFFDPLPSLRSRQWQVVVLVLLDALSIHRLPALAPVVSNSKLVQKMLNAAQVSREEYDSMVDLFLPFGRFIRTPMPI >PAN17110 pep chromosome:PHallii_v3.1:3:6893826:6895192:-1 gene:PAHAL_3G107900 transcript:PAN17110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKVAGNELSRIENVDCMNAAPGEDGMANTSPSVEPHVSSEVIAEKMGNMILSENTKPPGKKTTKTPSKMLKQEEDNSMLSSCISDSIAKQLEDVVLEEKRASKKTKASKASSRSQKSKSRKRPGGSDGHEVDFTSTIVIGDASTDMEQGTMNQYNYLSSSILTDNYASSSQCAAKDSTQAYAEQLCREFSEAVSTGKDETSDEKMKPVLKSSMKLPGSKNVMQSVTWADENGSVLETSKLYESPSSSIKQSEEGIDISLRRASAEACAAALIEAAEAISSGTSEVDDAVSKAGIIILPDMLHQKQYSNDKSSGGDEEPEIDRDVLKWPKKTVLLDTDLFEVDDSWHDTPPEGFSLTHNVNFFTAVRFRNNMGCTIRMDLPVIFGLCVWA >PAN17109 pep chromosome:PHallii_v3.1:3:6892666:6896453:-1 gene:PAHAL_3G107900 transcript:PAN17109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPAAAGPAAAARTVASAVLRVQMALLDGAAASNEALLHAAASALLSRVDYDDVVTERTIADACGNPACPNPLPAASAAGGPRFHISLREHRVYDLEEARRFCSERCLVASAALAASLPADRPFGVPPERLDAVVALVEGAGAGEGHGLGFRDADGKKKDEGAKLEIKEKEVAGAGEVTLQDWVGPSDAIEGYVPRRDRTAEGQKPAMQNKVAGNELSRIENVDCMNAAPGEDGMANTSPSVEPHVSSEVIAEKMGNMILSENTKPPGKKTTKTPSKMLKQEEDNSMLSSCISDSIAKQLEDVVLEEKRASKKTKASKASSRSQKSKSRKRPGGSDGHEVDFTSTIVIGDASTDMEQGTMNQYNYLSSSILTDNYASSSQCAAKDSTQAYAEQLCREFSEAVSTGKDETSDEKMKPVLKSSMKLPGSKNVMQSVTWADENGSVLETSKLYESPSSSIKQSEEGIDISLRRASAEACAAALIEAAEAISSGTSEVDDAVSKAGIIILPDMLHQKQYSNDKSSGGDEEPEIDRDVLKWPKKTVLLDTDLFEVDDSWHDTPPEGFSLTLSGFATIWAALFGWISRSSLAYVYGLDGVSVEELLIAKGREYPEKIVLKDGHSGEIRRALDTCICNALPVLVSNLRLQIPVSKLEITLGYLIDTMSFFDPLPSLRSRQWQVVVLVLLDALSIHRLPALAPVVSNSKLVQKMLNAAQVSREEYDSMVDLFLPFGRFIRTPMPI >PVH63023 pep chromosome:PHallii_v3.1:3:59161406:59167748:-1 gene:PAHAL_3G455600 transcript:PVH63023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASITFVLIRLGELAVKEAALLRGVDDDIRLLRDKLEWLQTFIQHADHERRTGANNYIGLWVRQTRDVAYEVEDVLDEFLRKADLERLGLPAWKKCLKLATTCTTQVSVRRVLRDRMDSIKNRLKEISENVDKYKIEKLQSSTSSAQNPTNSAAASWDEENKFVGFEKEFNALKCQLLKDDKERSVIAIVGESGIGKSTLAWKVYDSSDIRCHFHQRVSINIPPHIRDTDILYFIYKRLCPDADDKMSLTTEQLHASLSEKLRNKRYLIMVDGLVNFTNWNSVLHGLPDNGELGSRIMIITRLEDKEAAYADPKVSPLRINYLNEHESKDLFCHKVFGSKNQFHNKVFGSKPLLKNEEEEMLEIFHKGVFEITQGLPLAIVVLAGLLRTKSFTEWDKVLSQLKTNEKSKRVKRILALCFDDLPSRLKSCFLYFAGMPENLIFNARHIVRLWVAKGFLKPKKGKTMEDIGQSYLKELISRGMINLVRKDPSGGVWLVTIHDRVHAFAQSEAHEESFLEVHDNADLLMPNSVRRLCLHNYMQSHVPMDTSFPKLRSILCDFAEERKTNSVKNHGGHNGLRYHGLQFLPRSKFLRVVDLRGMRIRKVPSEIGNMVHIRYLGIRSRSLVHIPSSMGRLINLQTLDITRSRVENATQAFWEIPTLRHVMANKLNLPKSVGVLSNMQTLTGLVCTDPWEKNISPLHHMIYLRHLEISGLGSGHWEALADAFQKLEALVYLHLAAKPEDEKIPFIQLTKFTLQRLQILELYGKIDMSNADIEERYTLPNLNRLVLKLSMVNQEFMDRIGELPNLRELVLSKKSYDGSKLMFSDSGFNSVTNLVMADLEDLVEWTIRPKSIPMIKRIALSGFTKLNIKLEGNQGPQCLKGLMDHLEEVVLCNMPDDLTVEPANSAFSEKINCVAIKTKSEDIMDAMLRAGRWRESMVAGTLY >PAN17953 pep chromosome:PHallii_v3.1:3:11075305:11076477:1 gene:PAHAL_3G167500 transcript:PAN17953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSMTSSSVRAQWTKKQNKLFEQALAVYDKDTPDRWHNIARAVGGKSAEEVRRYYELLEEDVKHIESGKVPFPAYRCPGGALGYEADRMKHLKI >PAN18123 pep chromosome:PHallii_v3.1:3:11844909:11846613:1 gene:PAHAL_3G180200 transcript:PAN18123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVEDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKEHTDANIVIMLVGNKADLRHLRAVLTEDAKAFAERENAFFMETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPKGQTINVSGKDDVSAVKKSACCSS >PVH61903 pep chromosome:PHallii_v3.1:3:9795604:9796875:-1 gene:PAHAL_3G152100 transcript:PVH61903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALDAGAADPLVPLGHEEREVAALGEGFAVGAVAGLLVVDFLLGAPEVDGFLGGGVPVVVAQEDALAVRVARVLELAVPTDGGGPAPRVPRHLLVPPQGRHAVARPHHVVGGDELPGHALVRPREVGGGHHPLRPPPLPGRVVQRLLLLLLLLLRRVYRRRRRGGGGWCGPGRLGRAGGGGGGGGVGGGGGGGGGGVGGAQLREEVFEGFEEAGRGGRRDAGEEDEDEERCHRHQQEWARRHGWLVISYWFGWQMARPAVERPPLLPTSELGRRGGPVRDRLPGRLGGRRREGDRRGGVRKPGSGAQAL >PAN17981 pep chromosome:PHallii_v3.1:3:11252627:11254014:1 gene:PAHAL_3G169500 transcript:PAN17981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTITARNPHDSLSFSRRHFKWPVLGKSKSHGATFGDEEYMKNSEAEEEDEATMAFSSACPSFHSEDFVSPPLKAAAGTAPVQQQQPPPRRRKVRTAVSRLRSALANAVAGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTAALVREMASGLVRIALECERAKGSALPTPTAGPNSGSGNGKKLLEETVWRAYCNGKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAASCGGGESDVMYMRARFERVVGSRDSEAFYMMNPDNSGGGHGGPELSVYLLRV >PAN22054 pep chromosome:PHallii_v3.1:3:63823362:63825909:-1 gene:PAHAL_3G509400 transcript:PAN22054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEGNKKKGVPPLGWWLMLVGSLRLASVWFGFFDIWALRVAVFSQAEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAAANLSTVGFFAGTSIVWMLLQWSSHGNPRGSHAVKQS >PAN16229 pep chromosome:PHallii_v3.1:3:2977339:2978437:1 gene:PAHAL_3G046400 transcript:PAN16229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLVAYIRAHGEGSWRSLPKAAGLQRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIINLHGLLGNKWSVIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDLKTHRPLSVPTAAASPSSRPQDQLAVRSSCSPETSGACHSSDDDGCGGGIDLNLSISPPRESPSPSSLPTTQEAEATCSTTVVDVDASSEKN >PVH62599 pep chromosome:PHallii_v3.1:3:27264792:27265130:-1 gene:PAHAL_3G333300 transcript:PVH62599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNVEDLTELRLWSLEKIKENKAKVARAYNKKVKPKEFQVGDLVWEAVLPLGTKDAAYGKWSPNWHGPYRVDQVLPGNAYMLEELDGVKFPVAVNGQHLKKYFPSMWANEQ >PVH62982 pep chromosome:PHallii_v3.1:3:58360575:58360797:-1 gene:PAHAL_3G446400 transcript:PVH62982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKELSSNTGNQCDCIAHFGHLMAREVLIISLSEELYRQGTRPCHIKSSR >PAN18215 pep chromosome:PHallii_v3.1:3:12235450:12237019:1 gene:PAHAL_3G186400 transcript:PAN18215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSRDVATRRHHPFLLLDESSAAMAATGAGVAAGPTPSASRRRMLLLMANYAALLLGSVASSLLSRFYFAHGGRNRWVVTLVQSAGFPLLVLAVLVAGRPAAAPRPFVWFSRRFLAVCLVIGALMGANNLLFSYSTSFLPVSTSSLLLSTQLAFTLVLAAIIVRHPLTFVNLNAVILLTISSVLLALRESGDSPEGGGRSHYLIGYVVTLGAAGLFAAYLPVMELLYREAVSGGFILAVEVQAVMQAMASLEAAIGMATKGGLDGELARWKGSAALYWVVVLTLVLTWQACFMGTAGVIYLTSSLHSGVCMTAVLAANVLGGVVVFGDPFGAEKGIATALCAWGLASYLYGEYTKKKKDDAAAAALSAADLDGVRKSLTAGGDAGGELETV >PAN19037 pep chromosome:PHallii_v3.1:3:15886883:15888067:-1 gene:PAHAL_3G245100 transcript:PAN19037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRPWANLHADMLLEIFLRVPCAIDRVNMATACHSWLAVLAAEAMEAPPRIPSLFLPPADATGVYCYLSGCRDHSKFPGPRHFGSYDGSWFFLAYGQTCGHRLLNIRTGESHAVPNVLGDGFADQFHNMVILAATLSRPPDDLECVAAGIVAYQPDADAPRRRHFAFWQLGNEAAFCYVEPHPNAPVGPGLEPEDVVYHRDSFHFLTQGEHILECAPVLDEDGTILEVAVEAIRFQNGGRCYADFVRARYLVASREELLMVVRFAPNPHAPTSSFKVFRILQQPMPDHGMEEADGHHWYWSELDTLGGRMLFVGRGCSRSYEVDRYLGFKAGVYFLDDGCFYDDEMMFRGVNERRYPCSDIGKWSEGPPPHVERFFPEQGPSYNSPPAWLLP >PAN19179 pep chromosome:PHallii_v3.1:3:16670504:16674080:1 gene:PAHAL_3G254700 transcript:PAN19179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFVLNTGARIPSVGLGVWQIQPDAVGNAIYAAVKAGYRHIDCAAAYRNEKEVGLALKKLFEDGVVKREDMFITSKLWSANHAPEDVPEAIGTTLQDLQLDYLDLYLIHGPIRIKKGTTMSRENLIPTDIPATWGAMEKLYDSGKARAIGVSNFSCKKLEDLFAIARVPPAVNQVECHPVWQQDRLRKLCQSKGVHLSAFSPLGSPGSPEVNGPSVLNNPIVISVADKIQKTPAQVALRWGIQMGHSVLPKSANEARIKENIDIFDWSIPEDLMAKFSEIKQVRLLRAEFAVHPQSGYNTLEDLWDGEI >PAN19762 pep chromosome:PHallii_v3.1:3:20367621:20372652:-1 gene:PAHAL_3G297700 transcript:PAN19762 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATAAAASASSSLLAPAASTAPAAPNALLFPSSVPSLRAYPRLLLAFRRPAAAAVADPQGAVLEEEEVDADQRGRYDDDEDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEYDVPTAISLMKQMASAKFKESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDEARAAGADIVGGDDLIEQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEYRVDKTGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESS >PVH62263 pep chromosome:PHallii_v3.1:3:16376107:16381863:1 gene:PAHAL_3G252000 transcript:PVH62263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDFSTVLSWMLLSSIGSLYIVTCTLYSLCSFSLHYCLYLILLLLIKNLTYIRRTSGPVRRAKGGWTTEEDETLQKAVDACNGKNWKKIAESFPDRTAVQCLHRWQKVINPELVKGPWTQEEDDIIINMVKKYGAKKWSLIARSLNGRIGKQCRERWHNHLDPQINKDAWTVEEERVVADAHRLHGNKWAEIAKLLPGRTDNSIKNHWNSSLRKRLGDYNASSPLPVSVHMVRNSLKHEKAKLTNGNHIDLNKEPNINLKHPPEIADHSEHTTDLRACSLKNIKSCSDFLSLVIPTAQPETHGALEEGDYSDVALAAIQGLKMDSIHDKGTEINFVCKERSKIDSLNVEVLKLDHVTDTMGYSGSAKVEGKTVNNVCTLSLPNESNSFGSLCYKIPKLEDVVPSHSPVFSTHHVQQCWGDGFQSPVGYTTSPGVEDIYQLSAESILKSAAENFPGTPSILRRRKRETAAHAEDSNFKIDILNSDSYHTPLGKCTAESPHSFKTATFLSLGPPSNEGLSAALGSFDVSPPNRLRSKRMAILRVVEKKHLDFSLDGMDNFDTPDTTKKTSSCTECTNSCTDVSSEHMVGLEILAKDFARTTKLDVI >PAN19147 pep chromosome:PHallii_v3.1:3:16374405:16381738:1 gene:PAHAL_3G252000 transcript:PAN19147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMAAVKVEEHEGSAGKWWNLAASSPSTSVSEAGSYGGGARMSSVVSSPADSVSGRRRTSGPVRRAKGGWTTEEDETLQKAVDACNGKNWKKIAESFPDRTAVQCLHRWQKVINPELVKGPWTQEEDDIIINMVKKYGAKKWSLIARSLNGRIGKQCRERWHNHLDPQINKDAWTVEEERVVADAHRLHGNKWAEIAKLLPGRTDNSIKNHWNSSLRKRLGDYNASSPLPVSVHMVRNSLKHEKAKLTNGNHIDLNKEPNINLKHPPEIADHSEHTTDLRACSLKNIKSCSDFLSLVIPTAQPETHGALEEGDYSDVALAAIQGLKMDSIHDKGTEINFVCKERSKIDSLNVEVLKLDHVTDTMGYSGSAKVEGKTVNNVCTLSLPNESNSFGSLCYKIPKLEDVVPSHSPVFSTHHVQQCWGDGFQSPVGYTTSPGVEDIYQLSAESILKSAAENFPGTPSILRRRKRETAAHAEDSNFKIDILNSDSYHTPLGKCTAESPHSFKTATFLSLGPPSNEGLSAALGSFDVSPPNRLRSKRMAILRVVEKKHLDFSLDGMDNFDTPDTTKKTSSCTECTNSCTDVSSEHMVGLEILAKDFARTTKLDVI >PVH62470 pep chromosome:PHallii_v3.1:3:20922119:20923729:-1 gene:PAHAL_3G302800 transcript:PVH62470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCDDDTDDIKRSLGMLPGVTDVAGGAAISSKDQFRVTVTSDAVDIPSLLDKVKEKLNRNVELITPDTTKNASNKKSLAAGASHMDRQNYRRHAIGDGTKKEKPAAIAAAAPAPNMATGSNQEPPPFAYLPFAVALVPIGGDASSMYYHAPSPYSYLPPYPQGPPGAAGYSVHARRPTNPQHVHFNRSERCDGASDANHAVKNSGDRDEKKRNVTADGGGADKEADPVLTGGGGKTRDGGKTAAATAHKATAAIGVPVHTVTAGVAGLVQAVPPPSYGHGYLPYAPGRHTGHCCCQNNAGGPSDDAAAAANYNNHYSWYPDMFSDENPNACSVM >PAN17720 pep chromosome:PHallii_v3.1:3:9769725:9772583:1 gene:PAHAL_3G151600 transcript:PAN17720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRKLFQTAARSLLSASQRSSPAALLAAEGRTAALATLTNLGRKTLPTAYAYHKQGSHHASSGWGAFAAAVPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEIVSRFERKGYKLVAIKLIVPSKEFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGLETAKDEIALWFEPKELVSYTSNAEKWIYGVN >PAN18946 pep chromosome:PHallii_v3.1:3:15394805:15395747:-1 gene:PAHAL_3G237300 transcript:PAN18946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGGMPPQHHGPQKVRRRRVLLCLAFTALALLLVAAAAAIALLAVLRPRDPVTELLSVNATGVLPRVVPLPTVSVQLNVTFLLVVRVRNPNPAAFRHGPAATSLYYRGAAVGYGEVPAGTVPSRGAATIRMNMTVQADRVVAAAGIGGLVADVLAGEMEFEARTEVPGTVTLLGLVKRGVEARSVCRVVIGVADVNVRRQECHNEAKL >PAN16186 pep chromosome:PHallii_v3.1:3:2902721:2904776:-1 gene:PAHAL_3G045300 transcript:PAN16186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGGGGGGGGGAPSSSNSAGATAAAGGASGGRAGDHHPFYYAGPAGSNSGVPPQQQQPSPFAGALAIAPVPEQAQPSSAAGADKKALVPAAAPAAGPVVAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAILAATGTGTIPANYSSLNISIRSGAAAAANPTRAAPFPALALHPHHHQAAPGPHDMSAMMGYHHHLLPPQQQDPSAGDAYMRKRYREDLFKEDDDRQDPSAPKAREQQAATPPPPPSAAMWAVGPNAAAPSGGFWMLPVSASSAAAARPAEQPMWSFSGGGGGTATVQAPLQFMSRASYPSSAGGGGGGGMSDTNIGMLAGLNAYNRGGSEDQQQHQQPEGDQQHGGEGGGNEEDGDDSGEENHGNNSSQ >PAN21056 pep chromosome:PHallii_v3.1:3:57104666:57108912:1 gene:PAHAL_3G434900 transcript:PAN21056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAAHQKATAAPAEEEAAEHGPFPIEQLQASGIAALDVKKLKDAGLCTVESVAYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITTGSRELDQILDGGIETGSITEIYGEFRSAKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMVVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFAGPQIKPIGGNIMAHASTTRLFLRKGRGEERICKVVSSPCLAEAEARFQISSEGVTDVKD >PVH62359 pep chromosome:PHallii_v3.1:3:18291386:18292513:1 gene:PAHAL_3G274100 transcript:PVH62359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAGTETSFIALEWAMSELVRNPAATQELQREVRRAAPADSAAGATATPYLHAVVKETLRLHPPVPLLLPRECMRDAAVLGFHVARGTRVFVNAWAVGRDPASWGAAADEFRPERFLAEDREVDFRGAHFQLVPFGAGRRACPGMQFGLATVELALASLARLFDWEVPGGAAPGELDMSDAPGLTTPRRVPLRLVAKPFGSEEQA >PVH62400 pep chromosome:PHallii_v3.1:3:19104144:19108498:1 gene:PAHAL_3G283800 transcript:PVH62400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIRPINLRKTPVQPRAQNRTAPLSPPSHRPRHRSRSRRRRPPAPRGAVRLPQLQAATQLCRGSRPRRHQLHHPLRHRAGPRGARPARPLRRRLSRRPRPREGARRGRRRRRREPEVRRVRRQRLRALLQRRGGR >PVH61887 pep chromosome:PHallii_v3.1:3:9584009:9585672:1 gene:PAHAL_3G148400 transcript:PVH61887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVCLCPWLAMTFSMARACSAGTSNGRGLERRSRSRFLPSRRSSQLPPRVPRATSQRERDWEREREGERERQVVPLFLACLFLSSFLAARSSALLSLSLHHYCSPPNIAQLPLFCFSLRPSLSSVKSKPRRRGVRAQSKKRKERKRKRKASPRLASSLDYGRRATSPHRRRPKQAERENASNQAWQRRQLMRGAITSRD >PVH62996 pep chromosome:PHallii_v3.1:3:58621699:58622404:-1 gene:PAHAL_3G448900 transcript:PVH62996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTKGTKAVSRSSKAGLQFPVGRVARYLKTGKYAERVGGGAPVYLSAVLEYLAAEVLELAGNAAHDIKKNRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVLPNIHQTLLPKKAGGKGKADIGSASQEF >PAN19007 pep chromosome:PHallii_v3.1:3:15721211:15725555:1 gene:PAHAL_3G242300 transcript:PAN19007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASSRRLLRAALAPARANSSLSAAVAAPAPENGAASPKMPGFDYTPPPYDGPRAEEIFRKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAMVNQAKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGCNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQVGVHHVLNPDPYRGAFGSDGEKYARDVQEIIEFGTTGRVAGFISEAIQGVGGIVELAPGYLPAAYDIVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKEQLNKLKEKHDIIGDVRGKGFLLGVELVTDREKKTPAKAEISHAMNHMKDLGVLVGKGGFYGNVFRITPPLCFTKEDSDFFIDVMDIALSKL >PVH62668 pep chromosome:PHallii_v3.1:3:37443611:37444980:-1 gene:PAHAL_3G362800 transcript:PVH62668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKWDFQVRKFTANEFRVAFPDQNSLDTFSKLSKIVLGVYGLKVRISKSMVDPAASAVLQPAWIKIHGVPGFAREEDIIREITALVAEPIKVDEFSLLRDEPVRVRVNCRDPSKIRTIVEIFFNGVGYEISFIAQGVQGRTQGRGDGPPGSQNRHDDRSGKKRGKDREEDDNMRKMDRHDKEDGPTDREMEAS >PAN21396 pep chromosome:PHallii_v3.1:3:59956444:59964432:1 gene:PAHAL_3G462400 transcript:PAN21396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MAARLLRRTLRATEVAPRFLFASHGLIQRAAYTSGGIVDIGQPTPQSHPELLADGEITPGITNEEYISRRKKLLEVLPEKSLAIIASADQQMMTDVVPYPFRQNGDYLYITGCTQPGGIAVLSEETGLCMFMPDKNKEDVVWQGQTAGVEAAVEFFKADKAFPLSQMQKILPEMIERSKGIYHNVKTSSSSYKNLDAFRRASLNNKVKDLTCYTDELRWIKSKSEIKLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDSKIKTGDLLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQEELYSLILETNKECIKLCKPGTSINEIHNHSVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVTLPKDKPLEPGVVITIEPGVYIPPSPVLNERAPGRFRGIGIRIEDEVLVTEDGHEVLTASVPKEIPHLTTLMSMGGESAAADGHELRAAWS >PVH63052 pep chromosome:PHallii_v3.1:3:59956444:59964432:1 gene:PAHAL_3G462400 transcript:PVH63052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MAARLLRRTLRATEVAPRFLFASHGLIQRAAYTSGGIVDIGQPTPQSHPELLADGEITPGITNEEYISRRKKLLEVLPEKSLAIIASADQQMMTDVVPYPFRQNGDYLYITGCTQPGGIAVLSEETGLCMFMPDKNKEDVVWQGQTAGVEAAVEFFKADKAFPLSQMQKILPEMIERSKGIYHNVKTSSSSYKNLDAFRRASLNNKVKDLTCYTDELRWIKSKSEIKLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDSKIKTGDLLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQEELYSLILETNKECIKLCKPGTSINEIHNHSVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVTLPKDKPLEPGVVITIEPGVYIPPSPVLNERAPGRFRGIGIRIEDEVLVTEDGHEVLTASVPKEIPHLTTLMSMGGESAAADGHELRAAWS >PAN16024 pep chromosome:PHallii_v3.1:3:1500789:1504268:-1 gene:PAHAL_3G027100 transcript:PAN16024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVSSSMALALAGFCFSVIFIVFVCTRLACALLRRRRARSRRATSLPQFAVAHYSFAAHAARLSAAGGAGLDPAAVAAFPTRAFATAAGGASDADSQCVICLAEYEEKDVLRILPYCSHNFHMACIDLWLEQNTTCPVCRVSLLDIPDSEQTAPPPLPSIVISYPSSPESSRSDPCRCLFVSAGHSSRAPEAPRHEPDQENQVVSAPSMDGANNTALSEVNPPPENNNQTVRKQVDRSTQLGPCK >PVH61819 pep chromosome:PHallii_v3.1:3:8340611:8341208:-1 gene:PAHAL_3G129200 transcript:PVH61819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRPNARSRALHRSLSRSPTIAPARPPAPARSRQPATQPASAATKLDSGIQREAANPPDPCICAARMDNEAKK >PVH63194 pep chromosome:PHallii_v3.1:3:62171568:62171837:1 gene:PAHAL_3G489800 transcript:PVH63194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQASTHAPVTHCMIDGPLEDSWATQLASTSTRMNAKLQALLYGDLVGRPVCYKMPAALSFPAVLLSVSLLQPVRMCVCFSAAFRARGR >PAN15766 pep chromosome:PHallii_v3.1:3:408882:410878:1 gene:PAHAL_3G006600 transcript:PAN15766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRVILIFLSATIAGFFLIRGLNAEPDQFADDDDKASESGSPRAPVPIPSKVGSAVKTGFWTLVDMASGRYLWRTLVAQPAQSESEKAR >PVH62012 pep chromosome:PHallii_v3.1:3:11913722:11914964:1 gene:PAHAL_3G181600 transcript:PVH62012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAEPEGRWSGGGATLWIRRTFHRARAQRGRPERKGGVQEDGGLSGLFRYELLIDHGGDDWFPISRDSVSHRRPSADALSLAAPHRPAADVRAGLLFSPSRRPGEATSSRAVPSRPCAAGSRAAPASCFLQTSAPTAVSEVPSPASPPVDASMFRPVPPRGATDVRAGLPPPRRVMDSPASGRPGETLRRWSLLLRHCLPFTAFFDSVCAL >PVH62629 pep chromosome:PHallii_v3.1:3:30739936:30740073:1 gene:PAHAL_3G344000 transcript:PVH62629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPHLHVPTDEGSNAYCTIMWEVHPAHQKVSGVRHTPSPCTYTH >PAN19990 pep chromosome:PHallii_v3.1:3:22218830:22224545:-1 gene:PAHAL_3G311800 transcript:PAN19990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQYHEEFVRNPRGVQLFTCGWLPASSSPKALVFLCHGYGMECSDFMRACGIKLATAGYGVFGIDYEGHGKSMGARCYIQKFENLVADCERFFKSICAMEDYRNKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSEVRMPFFILHGEADTVTDPEVSRALYERAASTDKTIKLYPGMWHGLTAGEPDENVELVFSDIVSWLDERSRHWKPEERVRPPSEPEKLHQTAPTKITRVASSGGTESPVPAHDQPQRRSSFLCGLGRRPYQQQCRM >PAN21676 pep chromosome:PHallii_v3.1:3:61603140:61608638:-1 gene:PAHAL_3G482900 transcript:PAN21676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAVIPAVAIMPSPLFLWRFKAILFLLWGLCCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYAKVFDLAQTHLSHREIWRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAILLMILFSPFDMFYISSRFYFLRTVWRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLALVFPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPEQWVSFYRPLWLISSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSIWTNLLYGQNWVFYWVLGSNLVLRCTWTYKLSAHLRHNYLTVFTIAALEILRRWQWVFFRVENEWNKMTAKQNLEMSSDMPSEGDRLLESSNNHTV >PAN16755 pep chromosome:PHallii_v3.1:3:5479813:5481717:1 gene:PAHAL_3G083900 transcript:PAN16755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLATTPARRPMEPGLARRLWHVVLAVCHMLRRGLSRKRIMMDVHLLLGRGKLAGRALRGLLAHPAGHGHGHLAAYGASRASSSSLASFYGHPREVEFSCTTTPSYPQHYGLFPFKGRRGGARGEYGGLDAAAVARAFEMLSAEVEAGGGTPAVSAAGGVATATPSPMVAWILGRSPAGVRPLRVTDSPFPAVPEDGCCNERVDAEADDFIRKFYEQLRLQPSAATPDYGHLRRRG >PAN16788 pep chromosome:PHallii_v3.1:3:5601752:5615073:1 gene:PAHAL_3G086600 transcript:PAN16788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRGLLASRRRTLAVVSGALIAGGALAYARSSQSQRRRRSEAGEASALATNGDGLSQSGRLAVTRQKKSGLKSLHFLTAILLKKIGPNGTRYLLGLILTAVLRTAVGHRLAKVQGFLFKAAFLRRVPTFTRLIIENLILCFLQSTLYQTSKYLTGSLSLRFKKILTDIAHADYFENMVYYKMSHVDHRISNPEQRIASDIPKFSSELSELVQDDLAAVAEGLIYTWRLCSYASPKYVFWIMAYVLVAGGAIRKFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIMQRFEALVGHLNLVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRADMLSNLRYHTSVIISLFQSLGILSISSRRLNILSGYADRIRELLDVSRELSGVRDKSLNYNSSPGNYISEANHIEFSDVKVVTPAGNILVDNLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTEDQEIEPLTFDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQHRRDDSSFSTEESDFSPSETDRRSDALTVQRAFMTRAKSNASLGSKDHSYSTEVIASSPKVEIENAVKTSRVPHLRCHPRPLPPRVAAMLKILVPKLLDKQGGQLLAVAVLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISILQSAANSFVAPSLRTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLSGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKSMVEAKFVKLLNHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELTRAAQRNTMVSSNAINAASEGIISFHEVDIVTPSQKLLASKLSCNVLQGESLLLTGPNGSGKSSIFRVLRDLWPTFSGKVIKPSEGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKVLSLQQAGNSSSASVLLDDHLKTILENVRLVYLLEREGWDSTPNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHALELKLIDGEGNWELCSIRQ >PAN21452 pep chromosome:PHallii_v3.1:3:60290255:60295421:1 gene:PAHAL_3G467500 transcript:PAN21452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit beta-1 [Source:Projected from Arabidopsis thaliana (AT5G53480) UniProtKB/Swiss-Prot;Acc:Q9FJD4] MSLDVTQVLLSAQSADGAIRKHAEESLKQFQEQNLPGFLLSLSSELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGAKAQIKGLLLQTLTSPVASARSTASQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPDAVDQDQVNKILTAVVQGMNASEANSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLAAYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCFYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKSEWRQREAATYAFGSILEGPSADKLAPLVNIALNFMLSALMKDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITAENCQQILTVLLHSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQNLLMVTHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMMELHQTLEAEKLSTDEREKRSELQGLLCGCLQVIIQKLGGMESTKYSFLQYADQMMDLFLRVFACRNATVHEEAMLAIGALAYAAGPNFAKYMTQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHTTATDDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSASSKAFLEECLASDDPLVKESADWASIAITRAVSG >PAN21950 pep chromosome:PHallii_v3.1:3:63178746:63179541:1 gene:PAHAL_3G502000 transcript:PAN21950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEREAAFAVASVLAVVTVAVLLRACSRRAAPAPPRRREEARDRRRRTVAADAAFFAGGAADVEAGLDDAALRALPKVIYGDEADEAAGKAKAACCAVCLGEYAGGDVLRVLPRCAHAFHQRCVDRWLRLHPTCPVCRSPPATSPATATAPAAPTQP >PAN22041 pep chromosome:PHallii_v3.1:3:63796310:63800443:1 gene:PAHAL_3G509000 transcript:PAN22041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPALPLLLFLATLATGAAAAAEVDALVSFKSALTVPPAAAPFFATWDAAAADPCGFAGVACGGAGRRVTGVSLPGLNVSAASVPFADLCAALPALATLSLPENSLAGGVGGVAACAALQELNLAFNGFDGAIPDLSPLAKLRKLNVSSNRFAGAFPWASLAAMPDLSVLALGDNPFLAPTDAFPPEVTRLTNLTVLYMSAARIGGAIPPEIGDLVNLVDLELSDNNLTGEIPPEIARLTNLTQLELYNNSLRGGLPAGFGKLTKLQYFDASQNRLTGTLAVLGSLKRLVSLQLFFNYFTGGVPPQFGDFKDLVNLSLYNNNLTGELPRSLGSWAQFNYIDVSTNALSGPIPPDMCKQGTMLKLLMLENNFSGGIPATYASCKTLVRIRVSKNNLSGNVPEGLWALPNVNVMDLAGNHFTGTIGDGIGNATAMTNLLLAGNRFTGAIPPSIGNAASLETMDVSGNELSGEMPESIGRLSRLNSLNIEANGIGGAIPASLGSCSALSALNFSRNKLAGAIPAELGNLPRLNFLDVSGNELTGAVPASFAALKLSYLNLSDNRLTGPVPEALAISAYGDSFIGNPGLCATNGAGFLRRCSPSSGSRSANAARLIVTCILAVTAVLLAVLGVTLYLRKRRRAEAEAAGALGSGGAKLFAKKGSWDIKSFRVLAFDEREIIEGVRDENLIGSGGSGNVYRVKLGSGAVVAVKHVTRARAAAAAATAAATAAMLPAAKARRTASVRCREFDSEVGTLSAIRHVNVVKLLCSITSEDGAASLLVYEHLPNGSLYSRLHGPEARKLGGLGWAERHDIAVGAARGLEYLHHGCDRPILHRDVKSSNILLDESFKPRLADFGLAKILSGSAAVDSSAGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRPAMVPAEECGGESRDLVDWVSRRLESRDKVMSLVDARVTEGWAREEAVRVLRVAVLCTSRTPSMRPSMRSVVQMLEDAAAAREEDAPPKLLEVKVI >PAN22094 pep chromosome:PHallii_v3.1:3:64092413:64094584:1 gene:PAHAL_3G512700 transcript:PAN22094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKATLGYTQEQLNTVGFFKDVGANIGIHAGLVAEVTPPWVVLAVGAAMNLGGYLMLYLSVTGRVRPAPPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLAFYGPGGGGDTKPLILLVGWLPAAVSVAFLGTIRIIRAPRPPAAARREYRAFCAFLYVSLALAAFLMVAIVLQKRFRFTRAEYGVSATVVFTMLLLPIGIVLREEAALFKFNIRNAPEAQADAPALPPVTVAASAKPASTAVGARLLAALRPPPRGEDYSILQALVSVDMLLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSVATFVSLISIWNYLGRVAAGFTSEALLARYQLPRPLLVAGVLLLTVPGHLLIAFGVPGSLYAASVLVGFCFGAAYPMILAIISELFGLKYYSTLYNVGNVASPVGSYILNVRVAGRMYDREAARQGAVAAVAGKGVTCVGTRCYRESFLVVAAVTVGAAAVTLALAWRTRAFYAGDIYARFKEGGVSGNGVGEGAVVESKEQPEVDGRRGDRE >PAN15915 pep chromosome:PHallii_v3.1:3:1077589:1094032:-1 gene:PAHAL_3G020000 transcript:PAN15915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPAPSAAAAPDAAEQTDAERLDALDRMLTRLALADDARLAPVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESASLAIVRNFCVVYIEMAFERLPTEEKGNIAPDFLTNISNIPAQHQGIILRLVTKAIGECNIHKVDDTIASKYRAITESYDGLVFADFCFHTLLYQTPPQGIGCPSGLSVAQSDRVTGKLPLKGDILASRKLGILNVIEAMNFAPEIVYPLYLSAASDCQESVSKKGEELLKRKASTVNLEDPSLIKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILCSLDGSSTTETDSTGRHTKIFAYQAIGLLASRMPNLFSDKTNMAIRLFTALRLEDQSLHLMIQEAATSLAAAYKGASMIVLKNLEVLLLENCEVEQSEVRFSAIRWATTLYDTQHCPSRYICMTGASDVKLDIREMALAGLNLLNDVRQSSVGSVDFKYPDVTEMLNYICHQRPQLLCSDDQRNGKLLFPSKTFLSMIKFLMKCFESSDSPNLVKEDPSHSPVAKMCIILENAMSYEGSSELHALALKSLVDLSSREPKLVSLRYADRIQWLRGLLAHVDSDAREAAARLLGIASSALSSSAALTLLSEFTSTLNQNRPTRFENYHGVLCAIGYLTAGCLKQSYIPEEMVKDAVDILVKVVDFEGSALASVAMASLGHIGLRCTLPSINQNSSTGARLTILHEKLTKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERSDCEEAHAMAREEIIKKLFETLIFSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDASMKELLVHALVNTLTGAGKKKKAIKLMEDSEIFQEGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNTLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTTFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIVLPYLLSEGILSKVSSIQKASISLVMKLAKGAGPALRPHLAELVCCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIVIKPYSTLLLKLLYTAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVVPVIFVSRSDDDKDTSALYEELWEDIPSSERVTLTLYLPETVSLLCNCMSSSSWAGKRKSAKATKKLCDVLGESLSAHHHNILESLLKELPGRFWEGKDSILDALASLCSCCHAAITAEDSSLPSVILDAVCAACNKKTKLYREAAFLCLQKVITAFRDPGFFNSVFPMLYKVCNQSVTCKAKGSSSTTSSAGAEQDESEGASVSLDKVLNCAMACISVAFPHDIISQKESVLEVILNSLSPEESWQVKLSSFSCVKELCRKFQSSDDSDTWPQDTASLVQELFHLVSAKVVDSIRLIKIAQVHTAASECLLELSKLYRDFPLTNRAEAKFEDELVELCESEKSEQAKTLLKECLGIVRTLPGVTMTTD >PVH61383 pep chromosome:PHallii_v3.1:3:1076711:1094078:-1 gene:PAHAL_3G020000 transcript:PVH61383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPAPSAAAAPDAAEQTDAERLDALDRMLTRLALADDARLAPVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESASLAIVRNFCVVYIEMAFERLPTEEKGNIAPDFLTNISNIPAQHQGIILRLVTKAIGECNIHKVDDTIASKYRAITESYDGLVFADFCFHTLLYQTPPQGIGCPSGLSVAQSDRVTGKLPLKGDILASRKLGILNVIEAMNFAPEIVYPLYLSAASDCQESVSKKGEELLKRKASTVNLEDPSLIKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILCSLDGSSTTETDSTGRHTKIFAYQAIGLLASRMPNLFSDKTNMAIRLFTALRLEDQSLHLMIQEAATSLAAAYKGASMIVLKNLEVLLLENCEVEQSEVRFSAIRWATTLYDTQHCPSRYICMTGASDVKLDIREMALAGLNLLNDVRQSSVGSVDFKYPDVTEMLNYICHQRPQLLCSDDQRNGKLLFPSKTFLSMIKFLMKCFESSDSPNLVKEDPSHSPVAKMCIILENAMSYEGSSELHALALKSLVDLSSREPKLVSLRYADRIQWLRGLLAHVDSDAREAAARLLGIASSALSSSAALTLLSEFTSTLNQNRPTRFENYHGVLCAIGYLTAGCLKQSYIPEEMVKDAVDILVKVVDFEGSALASVAMASLGHIGLRCTLPSINQNSSTGARLTILHEKLTKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERSDCEEAHAMAREEIIKKLFETLIFSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDASMKELLVHALVNTLTGAGKKKKAIKLMEDSEIFQEGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNTLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTTFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIVLPYLLSEGILSKVSSIQKASISLVMKLAKGAGPALRPHLAELVCCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIVIKPYSTLLLKLLYTAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVVPVIFVSRSDDDKDTSALYEELWEDIPSSERVTLTLYLPETVSLLCNCMSSSSWAGKRKSAKATKKLCDVLGESLSAHHHNILESLLKELPGRFWEGKDSILDALASLCSCCHAAITAEDSSLPSVILDAVCAACNKKTKLYREAAFLCLQKVITAFRDPGFFNSVFPMLYKVCNQSVTCKAKGSSSTTSSAGAEQDESEGASVSLDKVLNCAMACISVAFPHDIISQKESVLEVILNSLSPEESWQVKLSSFSCVKELCRKFQSSDDSDTWPQDTASLVQEVHTAASECLLELSKLYRDFPLTNRAEAKFEDELVELCESEKSEQAKTLLKECLGIVRTLPGVTMTTD >PAN16124 pep chromosome:PHallii_v3.1:3:2467340:2470774:-1 gene:PAHAL_3G038300 transcript:PAN16124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFLRRLAAGLLKCCDLDIPNRPKGLEEPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFARALSVFHPNAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >PVH61522 pep chromosome:PHallii_v3.1:3:3364951:3365198:-1 gene:PAHAL_3G053900 transcript:PVH61522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPRHALQMAILSLATACLSLQIRSAEQLLALHTATNCNKQLHRHQLADQHTYTYSAARAPWPPPPSCC >PAN21398 pep chromosome:PHallii_v3.1:3:59969258:59973955:-1 gene:PAHAL_3G462600 transcript:PAN21398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHICYAPSPVVDRDTVAVLALLLAAFVSVAASSPPASANQPQQSSFAVNTPAKKPSPGGGGGQQATTSFFVGNGGGGQQSNSLVIDNGGAGGANGKAPAGSGGPGSFGEFIAENVESYAVNKQIYAAKVKNGTGMSAVDAELSAAEAGAVRWVVSADGKGKFRTINEAVKAVPEKNKKRVILVIRPGTYVEKVLVPYTKHFVTFLGDPKYPPVIMWNDTAATHGKDGAPVGTVGSATVAIESDYFLASSIVFRNHAPMAAPGQKGGQAVALRVFGSKAAFYNCTIDGGQDTLYDHKGLHYFKGCLIQGSVDFIFGFGRSLYEDCAIVSVTKQVAVLTAQQRTKSIVGAIESGFSFLRCRISGAGQIYLGRAWGDSSRVVYSYTDMGKEVVPVGWDGWNIQTPERSGIYYGEYRCSGPGALAHKRIGWSLILNDVQAKPFTGTHFVYGDSWILPPPKLA >PAN21759 pep chromosome:PHallii_v3.1:3:61885126:61888160:1 gene:PAHAL_3G486900 transcript:PAN21759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCPGHAAGPRWCYPDDSGEAAGGDAVDMIADYYSTADDLFELVSKRGGGGAGGAPGLRTMQPAAESCHWSPPPEVRFEPPSEGQMAAWLGTIVRGEELAVDDGGGRDVPAAKKRSSDNASTTTDSKEKLIPVTEGIGTMQEMRKAPASGGSSRRSHNLTEKRRRNKINERFKTLQQLVPGCDKSNQASTLDQTIQYMKSLQQHVQAMSVGPARPAAAAAVPVVQPRYAPPEAPPVAVQMMPAAPVILAPAPTTMVPFGAMLHQMPHYPAAVPVMMPASASAALLSYPAAPPPRAAAVEPGGAGSSASRRHGSSSRKGKGGRRLRQKH >PAN16753 pep chromosome:PHallii_v3.1:3:5464989:5465712:-1 gene:PAHAL_3G083500 transcript:PAN16753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVQEPAMLQTGNCLLGPKIFQQKDQELRRSFSECSNNAKTNQLIPGGGSGSSSSEVAAETVRCACCSVPEDCTAAYILRVRAAHCGSWVCGLCAEAVGERLRRDPGAGVEAALRSHTAVCRDFNATTRLNPKLSLAGSMRDIARRSFNRRASSATTCHDELRASKTMERAVSCQPRFFA >PAN16296 pep chromosome:PHallii_v3.1:3:3257011:3261077:1 gene:PAHAL_3G051700 transcript:PAN16296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTTGASPAATAHRRRSRRKAPPPRQPWCCSFGLDPSTAAAAAASRSPLPAPPRAKPPHQLAPPLSRRIRSPGRVSPIDDPCFATAASLCVSARLSSVTECPPPALPPPPPPPPPAAVDRPREMLRLRLVEKGLVLEVDEVERVRRESKVVRKVLRGRGGEVAVEGKVEVESFREVVEMMLEDKDETAAMRRLARGGVARAIGVLEVSLSLMFDRGVNNCLKYLEAVPWNESEEDIIKKLLSQHSSYEAAFRNLLARLQPQRPTSSAELVVELVDSITKGTNNNARKELRNLVNGILSKSSVYIKGDKELDKRSIYCICHSCLNSLVGLFEESSDLVPADQTSISSVGKGPLEGIYKLVEDTNWLLQILIDRQMGEEFVDAWANEKALRSMHEQVSPMVRHELSRISATVFIAMGNGKLHCTGEKRFSFFQAWFRPMLVDFGWLRRYPKGLNVTALEEGIGQALLTLTLAQQQMLFMEWFEAFSGQGRECPNLMRAFQVWWRRSFVRSLGSSS >PAN19581 pep chromosome:PHallii_v3.1:3:18969926:18980402:1 gene:PAHAL_3G282400 transcript:PAN19581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIKMKGGEAGRGILMEKQSLAPAVEELWNAWEIHCLILISLFLQVVLFLAAGMRRRNTSHVLRTVLWLAYMTADVVAIFVLGHLAVHASTPRHQLMYFWAPFVLVHLGGQDTITAFSKQDNELWTRHLLNVVTQVAVAGYVVAKASWPDRRLRAAMVIMFLSGFFKYAERTWCLYFANPARLRSSTLHSLSMSLGTLEMVHGRQFIGDVCYPVTVDPKGDMSQILDVMLTGNIGAGRKVYRGTNRLATPVDISSVDVPLNEVDCILAADHLPDLLHQKFKSNPNRCKAYEYVGARLVYCYQYLYTKHPLRELLCNNYRRASRIFRWPTPTRPYIYWTLKSCQGILLLLYPLFHYVSTPVALVLFMAAEKGDRLHTSTTDITVTYVLLLGAIVLDVSSATIFIFSYVMRFNNLPTRILLVANYISSTLTRKQWCEELAQYSMIKRYVAQDTRRSARMASIRRWIGSRLLGDRFLDITRIPITEDHKEFILDNLLCFGIAKEWNCTSSRGQLALQKRHQDHPDSALYRSTRSSVDFPTSVLIWHVATDMCYHLEDNCGTSSAVTKRKEMSRELSNYVMYLIFKCHVMLTSNSQLIHDRFHLEIRDILLHRREQVTNFGEKEAIKVIFEADKKEEIQDSEVQTPKDEEEPSAGKDSPADDPLQKLQQISVEEALYTPVLPRAREVAQELISINDGTVRWDLIAAVWSEFLYYTAPPAPAPGAPRGRPAAPAAAAAAASGAPPAPRGRPAAPAAAASRPRGRPSAPAAAASRPRGPPSPPRRRPSRPPAAAPAAASPPHGRCRLWRAPPCRRPRARRRPAPPSPPPPPPPPRPTPAPTAAPEP >PVH61326 pep chromosome:PHallii_v3.1:3:654588:657148:1 gene:PAHAL_3G011800 transcript:PVH61326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDRTDLLCKITMSGIWMGDSPLDFSLPLLLFQIILVTTTPRAVALLLTPLRLPRYIAEILAGFLLGPSVLGRLPHFSDIAFHMRSLFILKSMSLLGLIYYTFTIGVEIEIHTVLRAGQRSFWFAAAAALQPFLVGAVTGYVAVSTDETRRTADQFLNTLSFPVFLGATFCATAFSVLARNIAQLKLAGTDVGQLSISASLINDTFAYLIVRPMLLRLAGHVAEGEVVTELQECSVLIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVAIVEKVEDFVVGTLLPLFFAMSGLRTDTAKITSMSAAVLLMVAALAAAILKVVAAVTVAGAFGMPLHDGVSIGLLLNTKGIMSDQSFTVLVFMSALITALVTPLLAMVVKPARRLVFYKRRTIAWPQPDSEFRVLACVHMPRDVPALLTLLDVASPSDHSPVAVQALHLIEFAGRSSALLLINASAPSSSFEHSTHGRSQVELQFKHISHAFMAYEENVLGVTARTLAAVSPYVTMHDDVTAAAEDRHSALILLPFHKHRSVDGGLEVFHPAIQQLNQNIQRFSPCTVGILVDRGLGGMPGAGCRVAALFFGGRDDREAVALATRMVYNPAIDLTVLRFVQKGGSFMGTEFDALKERKADDACLREFLDRANTMSVGGGGGAGVEYRERGVFNASEMVAQIQEVEALGKDLFVVGKVPGLLALSAGMAEWSECPELGPIGDLLASRDFQTMASVLVVLSYSRPSAGAISGELSYGGDGVPAAGRPPRPDHIRRNSIGMGNWS >PAN19388 pep chromosome:PHallii_v3.1:3:18010639:18012465:1 gene:PAHAL_3G270600 transcript:PAN19388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAVAAARPSSLPRASSPRRGRQCRACSFRRAAPSARPVTLASSEPGHCSRSRRRQGRPLCSQAEVAGATVDDDEACELVSGSDLVIGEGDDSVRAYLFKAVKNNNGTGILLLSDVFGFEDSATRDFAYRVACNGYNVLVPDLFRGDPWKPSAPFDEDGFARWLAGQAPARVSGDIDACTRWLVDEFKAAGVSRKLGVVGFCYGGARLVEALARDADAESCFSAGVCFYGSRMDASLGSRVAAPVLFVCGDGDPLCPVETVRELERRARGARAAVYAGRGHGFAHRPQSVEEDADAEDAFNAMRGWLHDHLLA >PAN21580 pep chromosome:PHallii_v3.1:3:61157132:61160270:-1 gene:PAHAL_3G477900 transcript:PAN21580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYIRTKWLVEEFQASAELAHDETMEMEISHPLLLASLLLILPFTWLLVRLLSASPRERSSGHGRRIPSPPALPVFGHLHLLKKPLHRSLAALATRYGDGAGLLHLRFGAKPVVLVTSPAVAGECFTAHDVALADRPGLSSRRLLTEDCPAIAMCNYGPLWRQLRRLATVHALCAHRLGATAGARDAEARAMAARLWRAGPGAVAVKTTAYQFVANVIMAMVAGQRMPEEQVLRFKAMTEAGLAAAGAANRHDSLPVLRLLDFGRTRRRLAGLAKARRQFGQSILDDYRRRHPRGADAKETASRTVIGDLLRSPEPLDDVVMRSVCLSLLQAGTDTSSSTIEWAMALLLNNPDVLGKATAEIHSVVGTSRLLQESDLAGLPYLRCVITETLRLKPLAPSHVPHEASRDCVVAGGYAVARGTMVLVDVYSMQRDPDAWEEPERFLPERFMAGGEVDGESRWMMPFGMGRRRCPGEGLALRTVGVALGVMLQCFEWERVGGKEVDMREGSGLTMPMDVPLVALCRPRAEMETLLQRLCRK >PVH61928 pep chromosome:PHallii_v3.1:3:10268524:10272806:1 gene:PAHAL_3G160100 transcript:PVH61928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDGAVKDQESAANTASASSAVTEASGDSPAASEAAAVSTRPSARPPHDKRLGVRHPLKHRRFRAGGKMMVEPGGVPPAQAVAEGEEEEETSEVEEDEAEEEEASSTETEMQAADVEVSSAPAAGVQAMEVDGGEMEASPEPAVAVGDTELEAQQEEEDEVSSIAVAQGERKQEATPATSTVLAVEAPKEKDQDKEREEKEKRDKERERQKERERADEVGYMSGGWKSVDGRLNCGYSSFRGKRASMEDFYDIKSSKIDYKQISLFGIFDGHGGSRAAEYLKEHLFDNLMKHPEFMKDTKLAIKRQIQSSWMLKGTLIEMTGQLHQQQCWLVIIFMWQMLETQELLYRRLAKLLRYLKITSRTEVMRGSELRVLVG >PAN16971 pep chromosome:PHallii_v3.1:3:6291784:6293321:1 gene:PAHAL_3G099100 transcript:PAN16971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEKDVYLAGNIGNYCTGQGKQDMRKQQMNNGQNGQLPPVEHYTEYSSSNSSYTTAAAYPHRPPPPYPPYPPRKPPAAYGYPQPQPGWDDGYPPPDLPPPPEPYGYPPQPGWGGYYGGGGYPPHPGGHWGGHGHGHGRHMGWIAAGAAAAGAGAYGVYNHLHNHHGHGRGYAGYGHGHGHHGKFKQGHQGKFKHYAHHDGKFNKRAGQGKFAAKPPVTALET >PAN16972 pep chromosome:PHallii_v3.1:3:6291784:6293321:1 gene:PAHAL_3G099100 transcript:PAN16972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEKDVYLAGNIGNYCTGQGKQDMRKQQMNNGQNGQLPPVEHYTEYSSSNSSYTTAAAYPHRPPPPYPPYPPRKPPAAYGYPQPQPGWDDGYPPPDLPPPPEPYGYPPQPGWGGYYGGGGYPPHPGGHWGGHGHGHGRHMGWIAAGAAAAGAGAYGVYNHLHNHHGHGRGYAGYGHGHGHHGKFKQGHQGKFKHYAHHDGKFNKRAGQGKFAAKPPVTALET >PAN17333 pep chromosome:PHallii_v3.1:3:7950207:7953560:1 gene:PAHAL_3G122700 transcript:PAN17333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSCASLPSPSTAWNATSLAGALKAAAVRRSAHHVGPLHAVLVKLGLSASAILATSLAHLALRCGLPRYARDLFDEMPRPDVVSWTSLLTGHAHQGLHRESLALLRRMVGSGVEPNGYSLSGGLLACAGVGQDALALGKEIHSRVLKMSLHCPVDPVVENGVLDMYSRCGSIEYARRVFRVMLVRNIVAWNSMMATLLGSGRAEEALRLFVSMVSCGVGVDGFSFSIVVDACGELALLKQGMQVHARVIGGGFEADAVVRNSLLDMYAKCGCVDSAELVFKVASSQDAVLWTTMISAYGKFGRVQDAVNMFDRMAQLGITQDGIAYLAVLSACSHGGLVREGWHYFNLMSDSQSSVKMHPEHYGCMADLLCRRGYLEEALEFIENMPFDTSIAAWSALLNSSRIHGNARLSQLAASHLLKLDPENHSNWVALSSVHASENDWHVTWTIRESMSRECVKKEPGCSWVELYDGVHIFLMADQSRPELFEILQTLDSLEDISVMPFQGT >PAN18963 pep chromosome:PHallii_v3.1:3:15467136:15473405:1 gene:PAHAL_3G238500 transcript:PAN18963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHLRLIAILSAALFFTHVPCARGADLNSDKQALLAFAASLPHGRKLNWTSTTQVCTSWVGITCTPNGKRVREVRLPAIGLFGPIPGGTLGKLDALEVLSLRSNRLTINLPPDVASIPSLHSLYLQHNNLSGIIPSTVSSSLTFLDLSYNSFNGEIPLKVQDITGLTALLLQNNSLSGPIPDLHLPKLRHLDLSNNNLSGPIPPFLQKFPASSFFGNAFLCGFPLEPCPGTTPSPISPSPQNGQRSIWKGLSRGVIVAIAAGVGTIVLLLIIILLVCIFKRKKDREPGAASSSSKGKANAGGRAEKSKAEYSSGIQEAERNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKKEFEQQMELIGKVCQHQNTIPLRAYYYSKDEKLLVYDYVPLGSLSAALHGNKAAGRNPLDWEARVKIALGTARGMAYLHEEGGGRFVHGNIKSSNILISQELSACVTEFGLAQLMAPPHVHPRLVGYRSPEVLETKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNVEDEMVQMLQVAMACVAVVPEERPRMEEVVGRIEEIRNSYSETKTSPEDNPREGAF >PAN21848 pep chromosome:PHallii_v3.1:3:62523620:62528579:1 gene:PAHAL_3G494200 transcript:PAN21848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSFLSMVEAELPPGFRFHPRDDELICDYLAPKLGGKVGFSGRRPPMVDVDLNKVEPWDLPEAAAVGPREWYFFSLKDRKYATGQRTNRATVSGYWKATGKDRAVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGALEQSSKFSSKDEDWVLCRVICKKKLPGGGASSKASRSLATNGGHDTTPTSSLPLPPLMDTTLAQLQAAMNTTAGSGAVEQVPCFSSFNNIASNSNTAAAAAAQPCYLPMVTGSHGMSFLDHGLPELGGCFDPLNCDKKLLKAVLSQFGGEVVPSLTHEMAAGTATSTWMNHF >PAN17273 pep chromosome:PHallii_v3.1:3:7512947:7514561:-1 gene:PAHAL_3G117900 transcript:PAN17273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPPSPASQRRRQCVAATAAAAAACLAPVVVFLAVLVLAPSLIPRLLLRPHHVLPYVASAELRLMSFDAAASALAYNLSVVLRFDGPPGLYARRYTGVKAAPFYAGQELGAAVALPEFTQRRGGGGATLPVAWAGVQRVTPGRRARSVAAALARERAQGWISVKVAVRAAQDGDESDFACSLSFPVPSKRDGSGSGATPVVFDGGSCADAARGEF >PAN16514 pep chromosome:PHallii_v3.1:3:4190153:4198923:-1 gene:PAHAL_3G065600 transcript:PAN16514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPADPAAALPLTLDLEDFKGDFSFDALFGGLVDELLPEYCGEDDAAPAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRKQIDKRLQNLKKEVAVQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVTTAVGSANASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADSNYIGDGLAQLYKEIADTVRREATTITAVFPSPNEVMAILVQRVLEQRVTTILDRILIKPSLASLPPLEEGGLLQYLRVLAVAYDKTKELAKDSQSIGCGDLDIEGLTESIYVAHKDEYTEFEQASLRQLYQSKMAELRAEAKQQSESTGSIGRAKGSSLTASPQQLISVTVVTEFVRWNEEAIARCTLLFSQPTTVAANVRSIFACLLDQVSQYLTEGLDRARESLNEAAAMRDRYVIGSSVSRRVAAAAASAAEAAASAGESSFRSFMIAVQRCASSVAILQQFFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLLCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFNALEGLNKQSFLTELVAGDVAA >PAN16513 pep chromosome:PHallii_v3.1:3:4188225:4198923:-1 gene:PAHAL_3G065600 transcript:PAN16513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPADPAAALPLTLDLEDFKGDFSFDALFGGLVDELLPEYCGEDDAAPAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRKQIDKRLQNLKKEVAVQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVTTAVGSANASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADSNYIGDGLAQLYKEIADTVRREATTITAVFPSPNEVMAILVQRVLEQRVTTILDRILIKPSLASLPPLEEGGLLQYLRVLAVAYDKTKELAKDSQSIGCGDLDIEGLTESIYVAHKDEYTEFEQASLRQLYQSKMAELRAEAKQQSESTGSIGRAKGSSLTASPQQLISVTVVTEFVRWNEEAIARCTLLFSQPTTVAANVRSIFACLLDQVSQYLTEGLDRARESLNEAAAMRDRYVIGSSVSRRVAAAAASAAEAAASAGESSFRSFMIAVQRCASSVAILQQFFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLLCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFNALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGDFVRSFNAPSIDEKFELLGIVANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNNITAE >PAN17106 pep chromosome:PHallii_v3.1:3:6889282:6892029:1 gene:PAHAL_3G107800 transcript:PAN17106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEVMHQAPVPVLEVQYHRCVTKGIDEVVGMSAAAVATPAEVEVEVEVEVAVEMPRMGLEQPDAAPSVSVEMLQFVPNIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASRVDELYLQSVENSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRKGVAMEMSRDHRANYVEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKTPDASASPLIAEPEFRQATLTEDDEFLIMGCDGIWDVMTSQHAVSLVRRGLRQHDDPVRCARELVMEAKRLETADNLTVIVVCFVSELGAQQQEQPGRLRGYKSLSTEALCNLRSWLETDRR >PAN21125 pep chromosome:PHallii_v3.1:3:58104074:58108058:1 gene:PAHAL_3G443200 transcript:PAN21125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAFHAGGRLLLPLRRSPHGPSPSPWSHLRSHLISSKPSSSAPHPPPLPPARSAPAAFSFTPTSRKTGAVGAGVVGWYLGLLDARPVLTKSVTAAAIFTAADLTSQMCTLGPEVPLDFLRTTRMASYGLLISGPTLHLWFNFISKVFPKKDVVNTLKKMFLGQAVYGPIINSVFFSYNAGLQGETVPEITARLKRDLVPTIKSGILYWPACDFITFKFVPVHLQPLVSNSFSFLWTIYITYMASLKKADVELATST >PAN15714 pep chromosome:PHallii_v3.1:3:124191:129796:-1 gene:PAHAL_3G002100 transcript:PAN15714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRGVDAGEVQDLHNKAPRPAAPAPHQHQDKDDRSDMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISIEKAIEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVIFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFKTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRTELLRFPIAGSADDAQKLIDFAISINESLGESKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVELLEASDLKPENSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCCENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAVAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDRDKCDTFQDCITWARLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPKQGVKIETDEKATNLSSASVDDAAIIEELIAKLEAISKTLAPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMSWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSIYFR >PAN15715 pep chromosome:PHallii_v3.1:3:123823:130256:-1 gene:PAHAL_3G002100 transcript:PAN15715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRGVDAGEVQDLHNKAPRPAAPAPHQHQDKDDRSDMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISIEKAIEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVIFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFKTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRTELLRFPIAGSADDAQKLIDFAISINESLGESKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVELLEASDLKPENSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCCENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAVAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDRDKCDTFQDCITWARLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPKQGVKIETDEKATNLSSASVDDAAIIEELIAKLEAISKTLAPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMSWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSIYFR >PAN17256 pep chromosome:PHallii_v3.1:3:7466141:7466500:1 gene:PAHAL_3G116800 transcript:PAN17256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATWRLMASSSSAPSPRRARRTRACARPPLSLLAAALACRNARLGIRRRAAAGVAKEAADEAGLFGSADASPKDAAPLATSAVVLTCLAALRPIPPLPAAVRSPSSPSIASFPTAHA >PAN17165 pep chromosome:PHallii_v3.1:3:7035781:7041089:1 gene:PAHAL_3G110100 transcript:PAN17165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGFPVTRTSRSLVAPSSATPRETLRLSVIDRVAGLRHLVRSLHVFDGRLGGGGGEAAVRTPAETLREALGKALVDYYPFAGRFVEEDGEVRVACTAEGAWFVEAAAACTLEEVKHLDHPMVIPKEDLLPEPAPDVNPLDMPLMMQVTEFACGGFVVGLISVHTIADGLGAGQFINAVADYARGLPRPRVSPVWARDIIPAPSKIISPPPRFELLDLRYFTVDLSPEHIAKVKSSFFEATGQRCSAFDVCVAKTWQSRVRALRLDGDDPARPVHVCFFANTRHLLPPAPGFYGNCFYTVRATRPCGEVAAASAVEVVLAIRDAKARLAADFARWAGGGFERDPYELTFTYDSLFVSDWTRLGFLEADYGWGAPAHVVPFSYHPFMAVAVIGAPPAPKTGARVMTMCVTEKHLPEFQEQMNAFAAGNQQ >PAN22013 pep chromosome:PHallii_v3.1:3:63645163:63646424:1 gene:PAHAL_3G507200 transcript:PAN22013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLVRSSSTLVALLVAALWTSCALVPAATAADAAAQPAASAPPSAGVPPPPVDSSSAAVQATTLPATPAAALPSSSPLAPAASTAAAAAAATASKKFPVPNPGGEPYLPAAEVASVLPQPTPDELPSAASLGFGGNGGLGFGGPGDECCGGGGYGWFGGPGGFGPGTYGYNGPLYWGAAPAGGIGSVAAANLVAPLLVACVSAAMLA >PAN21880 pep chromosome:PHallii_v3.1:3:62761256:62772173:-1 gene:PAHAL_3G497000 transcript:PAN21880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRSDPDLDVGPMLSGFRCSYPVTGRKRLKLLVAESSDSEPLVCPVPACDDSGGNLFDRCSEGHQVASSSGDQTQNTSVFPAMQENVCSTANNGVVYPKSGLGNSAGRNGTHVAYLQHQYLEGCMYMNEHGQMCGPYPPEQLYEGLSTGFLPQNLAIYAVFGGKTADPVPLSFLKQFLSQWNFGATVSTPNASMETKKVTPHAKAILPDDLSSEESCWMFEDAEGCRHGPHSLAELSYWHHNSYIQDLSMVYHVDGKFGPFTLGSLIGLWSGEHTECSEATANVSAPLNGFVVDIVGDVSHQLHAGIMKSARRVLIDEIFSCVLPDLIASKKTEKQLAAKLKNQAIKPDSVSNRKVSKLNVKVNKPYASPEKGNSVYNTAQVDSSMAIQSTAVHDKFADILSAVWKTIYYESMKNIWDGILSDPVMDYCDVWSQRNCHLNQPSTIISVTTDNIKAQDSHEMPSKDPDACMEFPPGFEPRGKSAGCSLSASSLEANGSANVDRKSESSTTLFSGPFEVVQRMLANELYISSKQSLFHYFEEVIAEEITNCLCYGLESGIDQEQIGTPIHAPESPISAEASMQETLSPVELVVGEELDTVEMGAARKGSPIETHADEGLNTIEVAVDEEQNSSEMAAATRTSPIETTSDEPWSAAEMTTDKTLSSHGEECLPLVSYARIFEKMDMCMTAELDESFDEVPPGMEIGLVPLPLKDKNMYQPLRSMNYIPVISRYMTLALCRQRLHENVVREWTSLFSDTISKCLDSWYTRQNAVPKIADGSSKLKEYTYYRKRKSKKICQTTSSKEHLEISMDEQLSKPLCQLVDHKISVKNIQESKKASTSKKVSFVDKPSKKRTKTLAMANDAHDLNIQQDLKLVSSEVPKRTRSSHPPKKQVVANKTPMVNDNVMTSMLTKPVKKRKGKKISSESSQTVEPVIPWPKSDGCARASINGWEWRNWARNATPSERARVRGYRARTILSASDNKVWKFQVKRDSSARTNRVKLRRLLKAYEGSELLKITQMKARKKRLRFQRSKIHEWGLVALELIQAEDFVIEYVGDLIRKRVSDIRESQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCEPNCYTKVITVDGQKKIYIYAKRRIYAGEELTYNYKFPLEEKKIPCYCGSRRCRGSMN >PAN21159 pep chromosome:PHallii_v3.1:3:58353384:58357072:1 gene:PAHAL_3G446200 transcript:PAN21159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGGEPRPEDDPFSDGDTSDSDSADESRPQRMGARRPGDTNNPILTRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLFTMKGFKGSFPDAASSAKIFAVLAGVQSLVACSLRKLRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQQPALALPQVTSGAKDLKGGQSVLPPFTIPLPQDAMEEFSKFQNFLSSKFRGN >PVH61558 pep chromosome:PHallii_v3.1:3:3767532:3769595:-1 gene:PAHAL_3G059700 transcript:PVH61558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIVKQEQQQAVGLGAGEEEGAELLNKCRYAVMGEEELEMKVSPMEGYHLELKDDVVVTVGHIWRADMGDLLHQGPSLKRLCLSFALYKLLRRRIEDHPITDEETSSCRSLIFRGLRMELLRQSSESQDLKSTVVAAALFQVFYEEIQFLCEYYHSVLPVVLSNPFFFLANYILFPVVVWAFCLLTFILCGNGDVAYAYHSITTDNYIISTGTRKVLGCLMRGVARHPDVLFTAVDLAVTMLLLLTFLYEQVWELLVFILSNWLTVSLLCEYAAKRRWRDSRVRAGLIRRILWVRNKMSRPNLCFKQASVLGFGRRLPAMALRLPKKKAVPIEVKESIMGYLVAHINDGPDADAPLSNGWSTLQLEKHSQFRSQPEVAKACKSKSVAEVVLTWHIATSLLEVKYPLQQEEKKKKKKTPVGARRTVATTLSGYAAYLVASAPELLPDSTEGTKRVYSDITDELKDVLGGCWRYHASGQITRFDKLVGIAQGLPEEETTTVVRKGAKLGNKLMEMASKGRGAEEQVWELLADLWTELTVYLAPSAGELHVKAHKEALALGGEFITVLWALCTHTGVTRPAGAPWETPPPADRSLV >PAN21479 pep chromosome:PHallii_v3.1:3:60419846:60424546:1 gene:PAHAL_3G469900 transcript:PAN21479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPPYRSPPRRGYGGRGRSPPPRRGYGGRKEGSGSLLVRNIPLSVRAEDLRVPFERFGPVRDVYIPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGHEGRRSSYYGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPRRREAQHASPPRRPPKEIDEDKRRSYSPASRDDADNGYEKRSPPPDSDGSPPHRRSPKEYSGSPPGSRSRSVDESPARSD >PAN19412 pep chromosome:PHallii_v3.1:3:18096661:18099898:1 gene:PAHAL_3G271500 transcript:PAN19412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTERQPQPEKKAPRARPMSGKAVVMLCATSFFVGLLLSGRTALLTPPSSDPSGHGSRIHLFADDCDQSRRKLEEGKPNDIMKEVSRTHQAIQSLDKSVSSLEMELAVERARQNGGLGVAAPSRGLPKAFVVVGINTAFSSKRRRDSLRDTWVPRGDRLRRLEREKGVVVRFVIGHSATPGGALDRAVDVEAAATGDFLRLDHVEGYHELSAKARAYFATAVATWDADFYVKVDDDVHVNLGMLAARLAKHRARPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSRDLASYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSAERMRSIHSACGEGDGAVWSAAAI >PVH61791 pep chromosome:PHallii_v3.1:3:7975189:7975659:1 gene:PAHAL_3G122900 transcript:PVH61791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQANLVFHNKEIDGTAMKRLISRLIDHFGMGYTSHILDQIKTLGFHQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHVVEKLRQSVEIWYATSEYLKQEINLNFRITDPSNPVYLMSFSGARGNASQVHQLVGMRGLMADP >PVH62509 pep chromosome:PHallii_v3.1:3:22294210:22294910:-1 gene:PAHAL_3G312400 transcript:PVH62509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATVIASPYQASLVRIPIAFSEAKRKEGDRLGRTDSTDPPSKLARGTKLAVSLLDLCFRGGWAGVQALEVPFDDVEATELLDYGHRRWRIHLECDGGVRGAGADRELFPGSPDGLPHCALR >PAN18628 pep chromosome:PHallii_v3.1:3:13971761:13973994:-1 gene:PAHAL_3G215200 transcript:PAN18628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRAVSELPQRPAQRLKAAAPSAEATGGAPRRALGVRGMAPRSPLHEKKPAGAGCGAGAGPRVAELEAKLEKAHGQLMGMRDQLAAAEKARKDARAALGDAKKRLAAKKRDDDAAPSAPPVEHDGGKVPAPEDGAAGGAEGANGEKGHMSSPAADAFEAVLPGESGNNEGPVVEEGNKTSDEEEAVSNAVADDDGKKGSPEVELLRAKLMAKDMEGYELRAKLMVIDTEVDDLKRMVTAKGTELEELKARLMLNNELVDKLTADLMVKDAEIAALEADNTDLSKMAEEAAEAARATSARAREAEHALREGAAREARLAERLRASEHAREALEAEAQRSRVQSEQWRKAAEEAAAVLGGRAAGASSNSTDKRRHGPASAGGGESEGTADKDSDEEGPSGKRKAGGAMRALSDLWKKKAQK >PVH62141 pep chromosome:PHallii_v3.1:3:13972138:13973274:-1 gene:PAHAL_3G215200 transcript:PVH62141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDLQKKPAGAGCGAGAGPRVAELEAKLEKAHGQLMGMRDQLAAAEKARKDARAALGDAKKRLAAKKRDDDAAPSAPPVEHDGGKVPAPEDGAAGGAEGANGEKGHMSSPAADAFEAVLPGESGNNEGPVVEEGNKTSDEEEAVSNAVADDDGKKGSPEVELLRAKLMAKDMEGYELRAKLMVIDTEVDDLKRMVTAKGTELEELKARLMLNNELVDKLTADLMVKDAEIAALEADNTDLSKMAEEAAEAARATSARAREAEHALREGAAREARLAERLRASEHAREALEAEAQRSRVQSEQWRKAAEEAAAVLGGRAAGASSNSTDKRRHGPASAGGGESEGTADKDSDEEGPSGKRKAGGAMRALSDLWKKKAQK >PAN18629 pep chromosome:PHallii_v3.1:3:13971761:13974166:-1 gene:PAHAL_3G215200 transcript:PAN18629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHTANAKKSSKFEDSDSRMSRPRAVSELPQRPAQRLKAAAPSAEATGGAPRRALGVRGMAPRSPLHEKKPAGAGCGAGAGPRVAELEAKLEKAHGQLMGMRDQLAAAEKARKDARAALGDAKKRLAAKKRDDDAAPSAPPVEHDGGKVPAPEDGAAGGAEGANGEKGHMSSPAADAFEAVLPGESGNNEGPVVEEGNKTSDEEEAVSNAVADDDGKKGSPEVELLRAKLMAKDMEGYELRAKLMVIDTEVDDLKRMVTAKGTELEELKARLMLNNELVDKLTADLMVKDAEIAALEADNTDLSKMAEEAAEAARATSARAREAEHALREGAAREARLAERLRASEHAREALEAEAQRSRVQSEQWRKAAEEAAAVLGGRAAGASSNSTDKRRHGPASAGGGESEGTADKDSDEEGPSGKRKAGGAMRALSDLWKKKAQK >PAN19057 pep chromosome:PHallii_v3.1:3:15991614:15992954:1 gene:PAHAL_3G246600 transcript:PAN19057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQSPQLGLATGLFGVLSFVLAVLAELKKPPHGTPIQQGGDVVVCRFPRDPTVALGALSALAAACSAALGALAVFFPYGGRHVPRNVLLGHTPLYVFLHVAVGVTVAGVGTTVWATATEAMHHVRNVHRDLAYACPTVETGVLGGAAFLNLDAMLFWIVCLMLVGNVRTDYFDEHGGDGAGAEEK >PAN16967 pep chromosome:PHallii_v3.1:3:6281963:6282846:1 gene:PAHAL_3G098800 transcript:PAN16967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLKSGAGRELKNAWRWMQAGLDHMKGDPRRIREGYEQEIEKAIRRNAPSKSRWSIGVDTMRKANNASDSVCASLILKVRAVAGLPRTRWDRKCLASVNQVILFKDDGYIAYFVLVDICGYNCSLVWKKRNRMFSVSMAV >PAN18166 pep chromosome:PHallii_v3.1:3:11997029:12000013:1 gene:PAHAL_3G183400 transcript:PAN18166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLQKIGLERFSFRRRRSVSSSSSPSSPLSLASDGTDGSTMETAAPSTRRILSRSCGSKGSRLSVDLPPPLAGGPSDKGAAGSSSSQAVPPRPARHEGPPSDAEMVKEKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAADQKARWQKEIDWLLSVADHIVEFVPSQQMSDNGTCMEIMVTQQRQDLQMNIPALRKLDGMLLEYLDSFKDRQEFWYVSKDADESEKGNMPRQDDKWWLPTVRVPPNGLSDAYRKWLQHQKDLVAQVLKAAMAINANILMEMEVPESYMESLPKNGKSTLGESMYKLITDDYFDPEELLSSVDLSDEHNIVDLKNRVEASVVIWQKKMTNKDSKLSWGHGVSHEKRGMFEGRAENVLLLIKHRYPGIAQSTLDISKIQCNRDVGLAILESYSRTLESLAFTVMSRIEDVLNVDLATQDPKNVDSMRIPSLTSDDTDKVVSDAKAEVEKSRRMEPVTATMYDFVGSWDHVKESANGPKLSKISSIATKRFSYLDNLGGTRSPIARH >PAN16973 pep chromosome:PHallii_v3.1:3:6293506:6296684:-1 gene:PAHAL_3G099200 transcript:PAN16973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial-type ATP binding cassette (ABC) transporter, Al toleranc [Source: Projected from Oryza sativa (Os05g0119000)] MEHAGAFLEMVAKQVDPGAPGFWRDFLVGMLKPVAATAVVAMAVALSFSQRLGLEGEMLYAIARAFLQLSVIGFVLQFIFTQKNALWILLAYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITMFLLVVLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLEEKVFAD >PAN20181 pep chromosome:PHallii_v3.1:3:27833378:27833710:1 gene:PAHAL_3G335100 transcript:PAN20181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHARSMVPPLDNLSRVIFSHRRLPKSTALPIAPRPTFRFAVSPRDSPTPTRSRRRKRAADPLSPRHDSCRGAAAVDPRPARSDSRSGCGATTATANARAPPCHEAAAA >PAN17426 pep chromosome:PHallii_v3.1:3:8495177:8500015:1 gene:PAHAL_3G131700 transcript:PAN17426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLLIEDFQANVDATDVEVKRLELFLFLMKCLPFILLLGATPLVFAVQGTGSTAVVSLLLSHGADANKADNGGISPLHIAAERGFYEVAELLLSKGADVDPICENGGAPVHIAAKMGHAKVLKLLLQNKADSERLSTSLFNTPLVASLFGSSVECLEVLIEAGVDVNDGSPVTPLTLAADKGLTEFINCLLEAGADANIPNENGTMPIEIAALKGWEECVKLLFPVTTPLLEYADWSTDGIIQHGKTVRLRQAYKIKAEGDAAFRKKDYLLASSRYTLALEMDPDDSTMYAKRSLCFQRMDDKESAMADAKAYRDMRQDLQEPYSEEEGAALKLVEEYCRGIEALMSGLNLGPESGPADKGSSTKAAAVAAAGPPVRRSTRQRKPNTRFSGPEWAT >PVH61509 pep chromosome:PHallii_v3.1:3:3177592:3181998:-1 gene:PAHAL_3G050000 transcript:PVH61509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEGVRRYCLCASHGFFLLVLPPSLFFFHHAPMAAAADAPPPLPPQKAQDILINLSSVVGTNRWNSSTPVCDWTGVTCTRSGSGSSLAVTNITLSNYGISNRSIFASICPIYTLQSLDLSRNSFTDLGDLLSTSSCRMKEGLLSLNLSSNKLSHRLSDLSGFPQLEVLDLSFNLFPSENLSAYLGSFPRLRSLNLSSNKLIGDIPVSMAGSLAELVLSGNQFSGSIPLALFNYGNLSLLDLSQNDLNGAVPDEFKSLPKLQTLLLSGNNLSGKIPPSLNVTTLTRFAANKNSFSGLIPTWITKNVRMLDLSYNHLNGSIPPDFLSHPGLQSVDLTSNMLEGTIPNSLSRSLFRLRLGGNKLGGNIPNSICDGMSLAYLELDNNQLMGNIPSELAKCKKLSLLNLASNQLQGPLPDGISSLEELVVLKLQNNSLNGHIPNTFSASLSTLNLSQNSFTGQIPSRVFELQKLSTLDLHGNNIGGGIPISISSSVSLIELNLGYNALTGTIPTMATTLRTSLNLSHNYLSGSIPSNLGSLIELEILDLSYNNLSGEVPSSLGSMQSLTQLVLSYNHLSGSVPRFRQDVEIDIEGNADLINGNGNDNSISTTGKKKPHNAVIIIFTIAGAVFGLCMLAVIVTMSLSKRIYRAEGERRSAGEGVSQIINGSLVMDNHQTSATEFMKAKPDNWQITPFQALNFEVADILQELTEENFIGSGGSGHVYRVTYSNRYNSSTGVVAVKQIRSVGWLDEKLEREFESEASILCNIRHNNIVKLLCCLSSSESKLLVYDYMDNGCLDKWLHGSVVRSGHSMARTRSVQHVPLDWPTRLIVAVGAAQGLCYMHHDCSPPIVHRDVKTSNILLDSEFRAKVADFGLARMLVRAGEPNTMSAVAGSFGYLAPEYAYTRKVDEKVDVYSFGVVLLELTTGRKANDGSQLGCLAEWARHHYQSGASIQVVIDKSIRYAGYPSEIETVFRVGVQCTGILPSSRPAMKDVLQILLKCSEQTLRKSRMEFSMEYEAAPFLLP >PAN16274 pep chromosome:PHallii_v3.1:3:3177248:3181998:-1 gene:PAHAL_3G050000 transcript:PAN16274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEGVRRYCLCASHGFFLLVLPPSLFFFHHAPMAAAADAPPPLPPQKAQDILINLSSVVGTNRWNSSTPVCDWTGVTCTRSGSGSSLAVTNITLSNYGISNRSIFASICPIYTLQSLDLSRNSFTDLGDLLSTSSCRMKEGLLSLNLSSNKLSHRLSDLSGFPQLEVLDLSFNLFPSENLSAYLGSFPRLRSLNLSSNKLIGDIPVSMAGSLAELVLSGNQFSGSIPLALFNYGNLSLLDLSQNDLNGAVPDEFKSLPKLQTLLLSGNNLSGKIPPSLNVTTLTRFAANKNSFSGLIPTWITKNVRMLDLSYNHLNGSIPPDFLSHPGLQSVDLTSNMLEGTIPNSLSRSLFRLRLGGNKLGGNIPNSICDGMSLAYLELDNNQLMGNIPSELAKCKKLSLLNLASNQLQGPLPDGISSLEELVVLKLQNNSLNGHIPNTFSASLSTLNLSQNSFTGQIPSRVFELQKLSTLDLHGNNIGGGIPISISSSVSLIELNLGYNALTGTIPTMATTLRTSLNLSHNYLSGSIPSNLGSLIELEILDLSYNNLSGEVPSSLGSMQSLTQLVLSYNHLSGSVPRFRQDVEIDIEGNADLINGNGNDNSISTTGKKKPHNAVIIIFTIAGAVFGLCMLAVIVTMSLSKRIYRAEGERRSAGEGVSQIINGSLVMDNHQTSATEFMKAKPDNWQITPFQALNFEVADILQELTEENFIGSGGSGHVYRVTYSNRYNSSTGVVAVKQIRSVGWLDEKLEREFESEASILCNIRHNNIVKLLCCLSSSESKLLVYDYMDNGCLDKWLHGSVVRSGHSMARTRSVQHVPLDWPTRLIVAVGAAQGLCYMHHDCSPPIVHRDVKTSNILLDSEFRAKVADFGLARMLVRAGEPNTMSAVAGSFGYLAPEYAYTRKVDEKVDVYSFGVVLLELTTGRKANDGSQLGCLAEWARHHYQSGASIQVVIDKSIRYAGYPSEIETVFRVGVQCTGILPSSRPAMKDVLQILLKCSEQTLRKSRMEFSMEYEAAPFLLP >PAN21647 pep chromosome:PHallii_v3.1:3:61540202:61543370:1 gene:PAHAL_3G482300 transcript:PAN21647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMASDDATAQARVEGDVADKKVEEVQDQNEVGGMPSRQEEEAVIKKKYGGILPRKTPLISKDHERAYFDSADWALGKQGGVPNKPKGPLEALRPKLQPSQQNARARRAPYASADSDETLNLSAEDLGQQGEPVEDKNKE >PVH63154 pep chromosome:PHallii_v3.1:3:61540202:61543370:1 gene:PAHAL_3G482300 transcript:PVH63154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMASDDATAQARVEGDVADKKVEEVQDQNEVGGMPSRQEEEAVIKKKYGGILPRKTPLISKDHERAYFDSADWALGKQGGVPNKPKGPLEALRPKLQPSQQNARARRAPYASADSDETLNLSAEDLGQQGEPVEDKNKE >PAN21010 pep chromosome:PHallii_v3.1:3:56358389:56359938:-1 gene:PAHAL_3G429700 transcript:PAN21010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNFLRHRVMASREAASCTPIWNLDPAVAVLGPSVPWAPAACSAAQPQASSPCQFLSLQSEILAGRIWRCKRPAVLFSSSLKSAPVLYLPSQLLFFQSSNASTDSRHHAAASWTR >PAN21946 pep chromosome:PHallii_v3.1:3:63131122:63134863:1 gene:PAHAL_3G501400 transcript:PAN21946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEHHARMEGARTWQIITCPFATASKPMSILPLLLVGILLSTDHTTHVAPTSSPPAAQIAMDEHALLSFRALVTRDPHRVLISWTAGNGRTAANTTTGVCSWRGVGCHSRRHPDRVTSLELWSSNLTGTISPFLSNLTFLRTLNLSHNSFSGSIPWELGFLPHLLYLDLRHNSFQGMIPGSLARASKLQILQLEYNSLVGTIPANLSNLQELEVLDVGSNQLSGRIPPSLGSLSKLTYLGLYLNNLSGGVPASLGNLSSLVDLFADTNKLSGQIPDSLGRLTKLKSLDLAYNQLSGTIPASLFNISSVATFELSGNNALSGVLPFDIGVTLPNLRNLILNDCQLSGRIPRSIGNASLLRYVQLDDNELEGTVPLEVGNLRDLEVLTLGNNQLADEWGSDWELMASLSNCSKLFSLSLDSNNFQGVLPPSIVNLSNTMQKLHLAHNKFHGSISSDIWKLSNLAILSLRGNFLSGSIPPRIGDLYKLGALDLSQNNISGEIPPTLGNLTGLSMLYLFQNNLQGSIPTSLGNLQNIASFVLSFNQLNGTIPVEVISLSSLTSYLGLSYNFLSGQIPSEVGKLTNLVLLDLSVNKLTGDIPRALGKCVELVQLQLNDNLLQGVIPQSLSGLQGIQELNFAGNNLSGSVPVFFGDWPDLVYLNMSYNNFEGSVPVKGVFSNASAFFIDGNKVCGGIPSLQLPQCPVKSVEKKRPRRVVLIGIAAGAMSLLIILLICGLLIQIMRQRQKVPNLPLSEDQHWHVSFEEIQKATNQFSPSNLIGMGSFGSVYRGTLSPDAQQVAIKVIDLRQHGAEQSFLAECRALRSIRHRNLVKVVTACSSIDHQGNDFKALVYEFMPNGDLDKWLHRNFATQDETPKTRRRLTMSQRVSIALDVAEALDYLHNHGQVPIAHCDLKPSNVLLDNDMVAHVADFGLARFIRKAVRNSTEESSTSIGIKGTIGYIPPEYGMDGNVSSQGDVYCYGVLLLELFTGKRPTDGSFQGGQTLQSYVAACYPDKIMEIVDPTLLPLQNGCLSKGDISSDDLDAEKLQECMAPIFQVGLQCSQESSRARMNIRSAIRELEAVRDVLLVC >PVH62725 pep chromosome:PHallii_v3.1:3:43300942:43303927:-1 gene:PAHAL_3G380700 transcript:PVH62725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNITVARISQSFVVPSSEPASSAETLELSAIDRVPGLRHTVRSLHVFRNKDSTTRRDDAARPAEVIRAALSRALVDYRPFAGRFVGSVVAGEACIECTDDGAWFVEAIVDCSLEDVNGLDYPLMVSEEELLPAPEEGVDPTSIPIMMQVTEFACGGFVVGLVAVHTLADGLGAAQFINTISEFARGMEKPTVAPIWGRALIPNPPKLLPGTPPSFKSFGFQHFTVDVTSDRIAYVKAQYYQATGQYCSTFDVAIAKVWQARTKAMKYNLESEVHVCFFANTRHLLTQVLPKNRGFYGNCFYPVSVTATAEDVTTAGLLDVIRMIRNGKARLPLEFSKWAAGDVNVDPYQLTFEHNVLFVSDWTRLGFFEVDYGWGAPNHIVPFTYADYMAVAVLGAPPSPKKGTRIMTQCVEEKHLMDFKDEMKAFF >PAN16730 pep chromosome:PHallii_v3.1:3:5318462:5322341:-1 gene:PAHAL_3G080900 transcript:PAN16730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKQQQQTAVAATGVWKTIKPFVNGGASGMLATCVIQPIDMVKVRIQLGEGSAGQVTKNMLANEGIRSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRISADEGILALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKFGAGEVSTVIGASAVSGFFASACSLPFDYVKTQIQKMQPDVNGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI >PVH62168 pep chromosome:PHallii_v3.1:3:14655177:14656141:1 gene:PAHAL_3G225300 transcript:PVH62168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSWWRGGGRRGGGPPEQPGQQAAAVSGAGGAEAAASGAQGAVEVRRQRQAADATVFEFGSAAESGAAVTLAGYCPVSDDLEPCRWELVPAAGEGAPQFRIVF >PVH62507 pep chromosome:PHallii_v3.1:3:22098795:22100321:1 gene:PAHAL_3G311200 transcript:PVH62507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTWPAIPPGSGVERALGPSAGHVAVLADVGAHDGLAVVVVAVETAEAEGGVEVPRFLAAAEDAVDGYGGAAHVPERAAHLGAGEVVEHAAEDHVLAALLGVHAPGPVAEVQLVAAEAAGQELVPLLPAQRPGPRRQVRAHRAQPPPRVPTAPGHHAAPGDALAGCIGGGGFVLFLHLADRRRGRGRGGVRGRGRRRDLRLGRAVVGRVQVQVVVERPRGPRGGVAGVAGAVCERGNGGGRNVATAVARRGRGLEPLDGGGVVCRGGGAEGDVGPDVELEAEVVGGLEEHAGAEKARAADGELVQRGPGRGRPVGGRRREQLRGERPRQARREAGGAEQRGEARGRARRPRPVPRVALEPPQDLEQHVVRQRRQRRRRLGPGPRARRPLGRARAPALLRLVPAHGMGGWRPAARSRWKFRGDGRRPECLMPLYYPFFFPSPFFRWGLMLFG >PAN15699 pep chromosome:PHallii_v3.1:3:458111:459263:1 gene:PAHAL_3G007600 transcript:PAN15699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAHQVVAVAVVAAVLLAAAATTEAAVTCGQVSSAIGPCLSYARGTGTGPSAACCSGVRSLNSAARTTADRRAACTCLKSAASRLSGLKAGNAASIPSKCGVSIPYTISPSVDCSRVS >PAN18031 pep chromosome:PHallii_v3.1:3:11467365:11468362:1 gene:PAHAL_3G173300 transcript:PAN18031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNLWLDLQHGQRRRCSARRGAARSCAGGGPRAGGTPGRSQRRWRSLATVSATGSSDASAEMGRHTSKTKGRVEVCLKCGRRSSAHGVVVNGVAGLAGGGGGTSMHRL >PAN17336 pep chromosome:PHallii_v3.1:3:8003500:8009602:-1 gene:PAHAL_3G123400 transcript:PAN17336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVLDASPARRRAATQDAAAGGGDGDGEVGPAFRLAPDLTFLQPSERSAIERLISLGFYYKELNRFATESRDLSWVQSSVEVSSPHADKTQKGKVRKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVMEIEQKDIRGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYSEFFIRRQDDRDGENDSSQRDFPDKFMQKLAKDTSLASWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEHVNQGQNPKGSHRMQSFTGGSGAPKDLPNFSNISTEELLPLAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMIPFQLAALKTIGDEDKYFTRVSLRMLSYGMKSSTSQKDLQKSNASELSSQGKAASELALDGWDSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWAAVMHKDHADFSDYCKDRKNGSATQLRRQRSKPLWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHVQDSHDFTELVSFHQEYLSALVSQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETRPNISEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTARGVMNSGRLRPSTAGAQL >PVH61644 pep chromosome:PHallii_v3.1:3:5545413:5546806:1 gene:PAHAL_3G085400 transcript:PVH61644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNSVSSSASADDEYEAGADDFARSRAPPSAAAKKVLEASACSVRWEWDHEGEKVSELETSAKRTRTTLVRKKRRLQERLERKP >PAN21557 pep chromosome:PHallii_v3.1:3:60922718:60924613:1 gene:PAHAL_3G476200 transcript:PAN21557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPHKKFARADTLELKAQIVKRLGHQRAELYFHSLRRFLGCQLSKDEFEKICVAAFGKENIKLHNFLVRSILGNACTADGPPLSKQAPTGNSQTSTVSNGTLTNSLLPARRGRPLAKRFGDKPSPIGKSPLGPPGAGEFVSAGSKALQEVISVEDGEEVDQARGSPVCVQSQSPIRAPLGVPKAQNPQPSTSCSLDVCYNSGELPDSQSLSKLLEDKLKAQGLSMPKECADVLNSGLNVYMSQMLKACLGVARARGNNMRMRQPSGCTAAAVSSGRNNSFPSESGCSYQASLLDLWAAVQSNGRLVGHGLQREKIASHLQNS >PAN21602 pep chromosome:PHallii_v3.1:3:61272298:61273481:1 gene:PAHAL_3G479300 transcript:PAN21602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSFRGTAKGSLEIWLSLKLAVAAPNSTTKGEKRRPVGNLVPWGCNPPLISGDPIRISIAAGRVYGLR >PAN18290 pep chromosome:PHallii_v3.1:3:12591104:12594184:-1 gene:PAHAL_3G192100 transcript:PAN18290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGMARALPLALALLLACSDVAVVAAQGTERIQGSAGDVLEDDPVGRLKVYVYELPPKYNKNILAKDSRCLKHMFATEIFIHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIQYISKRWPYWNRTEGADHFFVTPHDFGACFYFQEAKAIERGVLPVLRRATLVQTFGQKHHVCLKEGSITIPPYTPPHKMRTHFVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPMFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVTKLDTILTSIPMEEILRKQRLLANPSMKQAMLFPQPAEPRDAFHQILNGLARKLPHGKGVFLKPGQKVLNWTEGDPADLKPW >PVH62749 pep chromosome:PHallii_v3.1:3:45498849:45500293:-1 gene:PAHAL_3G386700 transcript:PVH62749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAGPACKPEPRHGAPRQRWSRDRPAACHGTRGEPPSALRHGTREGTPPGATPGDPAPREGTLLSAARGVVGACATGRARGCRAAPRDEPRARARWAAPRHATSRGRVREGPPRHA >PVH61930 pep chromosome:PHallii_v3.1:3:10281222:10281584:1 gene:PAHAL_3G160400 transcript:PVH61930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCTDAEVRHARAAAMRRLLPSPSEDRGRQRLRWSRGCRPHERGTERAGKRASARGRGGTRLTGSAAGTGRGRAPARLLARALGGGGRQLLTKNRRRGGGGVEGEKLQRKRRQLGWAG >PVH63026 pep chromosome:PHallii_v3.1:3:59219306:59220053:-1 gene:PAHAL_3G456300 transcript:PVH63026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLCYLLKIKLLGNLEKARKYVRCFSFEKFVDCDITNFKDFVESIVDRYPPGYKEVPHIQYYDDVLKIYPEVKTDKKLMTM >PAN19799 pep chromosome:PHallii_v3.1:3:20598929:20602224:-1 gene:PAHAL_3G300400 transcript:PAN19799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGMEGNNLLHFLDTPSAHYRLNCDGRFEAENDGDDRSDASDPANARELLECLLNQPANKFCADCGIPDPKWAALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDEEVHCLAESGGNSVVNTRYEAFLPENKKIKQDCSTEERNDFIRKKYQFQQFVCDPQFSCPLPLNKKHAQDKHQQHNSNKHGFGHAFRNSWRKKDSDSKGLKKMSDVGMIEFVGLIKVNIVKGTDLAVRDVMSSDPYVMINLGHQSMKTKVIKNTLNPIWNERLMLSIPDPIPPLKLQVFDKDTFSSDDRMGEADVDIRPLIAATKEHENSTITELTELYRWSASEDSNGVLAKDSVISIANGKVKQEITLKLQNVERGELEIEIECVPLSQ >PAN16665 pep chromosome:PHallii_v3.1:3:4961248:4962053:1 gene:PAHAL_3G075700 transcript:PAN16665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCNGNCGCGASCQCGPACGGSKMFPDVEATSATTTMVIAAATSKASSGGFEEAMESGGCDCNTCKCGTSCGCSCCSCN >PAN16664 pep chromosome:PHallii_v3.1:3:4961175:4962053:1 gene:PAHAL_3G075700 transcript:PAN16664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCNGNCGCGASCQCGPACGGKMFPDVEATSATTTMVIAAATSKASSGGFEEAMESGGCDCNTCKCGTSCGCSCCSCN >PVH62681 pep chromosome:PHallii_v3.1:3:38279859:38280519:1 gene:PAHAL_3G366500 transcript:PVH62681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKTQTISPKTLSRVSSCTQSCLWLRPPLEFRMAQDSDDSLFEASVVVWVLVVILAIVALHCPLPRRVVR >PAN16961 pep chromosome:PHallii_v3.1:3:6263248:6266387:1 gene:PAHAL_3G098500 transcript:PAN16961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGNTRLYVGRLSSRTRSRDLEYLFSKYGRIREVELKRDYAFIEFSDPRDADDAQYNLDGREVDGSRIIVEFAKGVPRGSGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSRSPSPRRGRGRSRSYSRSRSYSRSRSASGSPRGGRRDRDERRSRSLSYSRSPRRSASPSAKEKERSPTPNGSRSPRSPSPRDQVSPPPKDNGERNGSDRGDSPVRRENSRSRSPSDGYRSPAANGRSPSPRDDRSPSPKGNAGDDDGRGSPRGSQSP >PAN16962 pep chromosome:PHallii_v3.1:3:6263053:6266387:1 gene:PAHAL_3G098500 transcript:PAN16962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGNTRLYVGRLSSRTRSRDLEYLFSKYGRIREVELKRDYAFIEFSDPRDADDAQYNLDGREVDGSRIIVEFAKGVPRGSGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSRSPSPRRGRGRSRSYSRSRSYSRSRSASGSPRGGRRDRDERRSRSLSYSRSPRRSASPSAKEKERSPTPNGSRSPRSPSPRDQVSPPPKDNGERNGSDRGDSPVRRENSRSRSPSDGYRSPAANGRSPSPRDDRSPSPKGNAGDDDGRGSPRGSQSP >PAN21598 pep chromosome:PHallii_v3.1:3:61266981:61268875:-1 gene:PAHAL_3G479200 transcript:PAN21598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os12g0586100)] MDGTAAVAGGAAQAPAPAVPLPIMHDGDRYEHVRDIGSGNFGVARLMRCRATGALVAVKYIERGQKIDENVQREIINHRSLRHPNIIQFKEVILTPTHLAIVMEYASGGELFTRICNAGRFREDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEVLMKNKYNGKISDVWSCGVTLYVMLVGGYPFEDPEDPKNFRKTIQKIMGAQYAVPDYVHISPECRDLLSRIFVVEPNLVSCRIFSFLLCHLIIQM >PAN21599 pep chromosome:PHallii_v3.1:3:61264771:61269033:-1 gene:PAHAL_3G479200 transcript:PAN21599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os12g0586100)] MDGTAAVAGGAAQAPAPAVPLPIMHDGDRYEHVRDIGSGNFGVARLMRCRATGALVAVKYIERGQKIDENVQREIINHRSLRHPNIIQFKEVILTPTHLAIVMEYASGGELFTRICNAGRFREDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEVLMKNKYNGKISDVWSCGVTLYVMLVGGYPFEDPEDPKNFRKTIQKIMGAQYAVPDYVHISPECRDLLSRIFVVEPNLRITIPQIKSHPWFLKNLPIDIMDDDGSVSYEEPDQPMQNMNDIMQILAEATIPPAGTRTAAQLLSDDLDVEENMEDLDSDEDLDMDIESSGEVVVAL >PAN21596 pep chromosome:PHallii_v3.1:3:61264771:61269033:-1 gene:PAHAL_3G479200 transcript:PAN21596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os12g0586100)] MDGTAAVAGGAAQAPAPAVPLPIMHDGDRYEHVRDIGSGNFGVARLMRCRATGALVAVKYIERGQKIDENVQREIINHRSLRHPNIIQFKEVILTPTHLAIVMEYASGGELFTRICNAGRFREDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEVLMKNKYNGKVLSIPLCFKLPSDRRCYQPDNLCLLLDNRSPSSCCKVVIDLLMS >PVH63190 pep chromosome:PHallii_v3.1:3:62024710:62031044:1 gene:PAHAL_3G488300 transcript:PVH63190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSSSIASTTAAQAQARLLRPPSCCRRPVPGPAGFVACRSYAVRSKMMMRALPPIRASFQPAFPQQPSFQDAYRKKQNVPCYQRQQAPQIEAKSMEEVYGTLAEHLLSVLKNIEHLDSKYIVGLAGPPGAGKSTVASEVVRRVNMLWSQKHAKGSGAPLPTEEIAAMLPMDGFHLYRVQLDAMEDPKEAHARRGAPWTFNPSLFLKCLQTLRTEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYLLLEEDVWREIRDLFDEKWFIDIDIDVSMQRVLKRHIATGKEPDVAAWRISYNDRPNAELIMESRKNADLVIRSMDLSS >PVH61755 pep chromosome:PHallii_v3.1:3:7355922:7362990:1 gene:PAHAL_3G115400 transcript:PVH61755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAGTSPRKGRGASTKWIARPTSRSSLLMGRCSSLGFGRIRVYNADRGWRIHKDISCRSLQWTVSDIALSPDQQFLAYASLSPIVHIVNVQSSGKESHANVNEIHEGLAFTGDEHDDEDFGIFSVKFSKDGKEVVIGNNERSIYVYDLAANKVSVRIRAHTADVNAVTFADESGNVLYSGSDDSLCKVWDRRCLAGEKSAGILTGHLDGVTFIDSRGDGRYFISNCKDQRIKLWDIRKMSSVVRVRPVRIVDWDYRWMPFPSEAHHFKHPNDQSLATYRGHSVLQTLIRCYFSPMHSTGQRYIYTGSSDKSVHIYDVVSGKTVERLSWHSSVIRDCTWHPCYPTLITSSWDGYVARWEASGDDDDPSMLVADEQRASPYFQPYGDPFMM >PAN17236 pep chromosome:PHallii_v3.1:3:7355922:7362990:1 gene:PAHAL_3G115400 transcript:PAN17236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVQRGARGEASRKADRELDRFAMANEVFHITRARSEPCHRTRGAASARRRRPFSTFGLVSARESGRTGGAGFSPADRAYVGSRHIPTKGPWGVDEVDSEAYVSQFSADGSLLVAGFRGSRIRVYNADRGWRIHKDISCRSLQWTVSDIALSPDQQFLAYASLSPIVHIVNVQSSGKESHANVNEIHEGLAFTGDEHDDEDFGIFSVKFSKDGKEVVIGNNERSIYVYDLAANKVSVRIRAHTADVNAVTFADESGNVLYSGSDDSLCKVWDRRCLAGEKSAGILTGHLDGVTFIDSRGDGRYFISNCKDQRIKLWDIRKMSSVVRVRPVRIVDWDYRWMPFPSEAHHFKHPNDQSLATYRGHSVLQTLIRCYFSPMHSTGQRYIYTGSSDKSVHIYDVVSGKTVERLSWHSSVIRDCTWHPCYPTLITSSWDGYVARWEASGDDDDPSMLVADEQRASPYFQPYGDPFMM >PVH62421 pep chromosome:PHallii_v3.1:3:19560527:19561088:-1 gene:PAHAL_3G288200 transcript:PVH62421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVSPSSACLKATTAALALAVLALAYAAAPAHAQRCGALCPNNLCCSKYGYCGRSHDHCGTGCQSQCALGVGAAHQAPFDGVIRSSAQY >PAN17376 pep chromosome:PHallii_v3.1:3:8260480:8265279:1 gene:PAHAL_3G127500 transcript:PAN17376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGKGGAARVAAAFLVLLHLVAAIAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEANKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGRGGGGGGGMNIQDIFSSFFGGGGGGMEEEEEQILKGDEVVVELEASLEDLYMGGSLKVWREKNVIKPAPGKRKCNCRNEVYHRQIGPGMYQQMTEQVCDQCPNVKFVREGEFLTVDIEKGMQDGQEVLFFEEGEPKIDGEPGDLKFRIRTAPHGRFRREGNNLHATVTISLLQSLVGFEKTIKHLDNHLVEIGTKGITKPKEIRKFKGEGMPLYQSNKKGDLYVTFEVVFPKTLTDDQKAKLKDVLV >PAN15647 pep chromosome:PHallii_v3.1:3:733433:735304:1 gene:PAHAL_3G012900 transcript:PAN15647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATSRLPNLPAGFRFHPTDEELIVHYLMNQASSLPCPVPIIAEVNIYQCNPWDLPAKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILLTPTSENIAVKKALVFYSGKPPKGVKTDWIMHEYRLTGANKTTKRRGSSMRLDDWVLCRIHKKSNSFQFSDQDQEGSTVEEESLNNNMNGTIAASPKSEANDDHDHQFHQTTMTISKSYSITDLLNSIDYSALSQLLDAPAEPDEPPLIYPTTTQTHQAHYNNNVNRNSHFNLPQVDACSSDYVAPNNCNGPKRKRVMTMDGADSSFDDGSSNFSRKLKLPSDSRSSGHSHFGSTTSSYCNQQLVDTSGFQHSSLLSYPFLEMQ >PVH61565 pep chromosome:PHallii_v3.1:3:3962761:3964650:-1 gene:PAHAL_3G062900 transcript:PVH61565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHGEGTDWEGPYDLLLMQDDFDDVMYLLDIPAVVLDPAPLALAAQAQNVTAGPPGDNLPDPEWPAKLNNDDSVDSRAPTHNAAIAGDLPRSTQYVGAGTSATASASSSPHDENALLDCTGCHVLREVLHSNGLEAVKLRVHGAAGLFYHATLEVYRINSEGLATALTHQSYIDFRGQDYVWVKHYLTDYAQQRAGAGYTVVHDSISAFHDALCVGMNYGGNADGDDRREEMQMAAAAGNGGGSDQQQELAGTDDAAQSLIHQGNVPAAAGPSEPSASNEQEQREVRQVGRSALAIQRERASNLQLGDLARYFHLPMTQAARHLGVCATVLKTTSRRFHVPRWPHRKIKSIDNHIAKLRRTGDNGGTAAKREMERLTESRRKIYADLLGQ >PAN18457 pep chromosome:PHallii_v3.1:3:13237139:13238201:-1 gene:PAHAL_3G203000 transcript:PAN18457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFQEAEILWPGANDHHDNNDGDVEKTGTSTSPPVRSKPADTPELSAPVEISRRKRRCRPWAAEEHATFDQETGGGDGGEEDGGTDDAKQRSAKGMAVVPPHVLLARRRLLGGGTAAYSMCAGKGRTLKGRDLRDVRNLVLKMTGFIEK >PVH62355 pep chromosome:PHallii_v3.1:3:18219200:18223470:1 gene:PAHAL_3G273000 transcript:PVH62355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDVELVSYYLKRKIMGKKLIVDAISEVDLYKFPPWDLPDKSSLRSKDLEWFFFCPRDKKYPNGSRTNRATPNGYWKTSGKDRTIMLNSRVVGMKKTLIFHEGKAPKGDRTDWVMYEYKMEDEDLVSAGFSKDAFVLCKIFKKSGLGPRIGEQYGAPFNEAEWDNAEAETSMFPLMTSSEVVNPMDGPHAQHAVPAGTAREPPLQNTSVACVGEESSFDHATGTTSVEDLTFGCAIAGTAIQDIPAQQSVDGVVSGINTSNGVNDMYGPPDCDGFLLEELSRFLNDSPLRDTTLGESSGLPPMSEAEAHAFEVNTFGLYNELSGIAGLEGVPNNFSASNVAATDYMALPPDRELSTDDFIELNDLLASDPSFPSEFPAQNSQFMQYPPAQPTYNGHYDIAALSGSMEPTMPTNFNVFPPDNGGFTADEATNYSYQTMQYPFP >PVH62184 pep chromosome:PHallii_v3.1:3:14970042:14970290:1 gene:PAHAL_3G230200 transcript:PVH62184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREQKPPNSGKNWIVPLLPSVHLHPTPLPPPPVRSRRRPSPPPQHAPPPGFSSSASPRSPIKRKAVDRTSPKRSVGRREGT >PAN21788 pep chromosome:PHallii_v3.1:3:62047075:62048661:-1 gene:PAHAL_3G488700 transcript:PAN21788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLINLVLKTGNAMEEICSRQGPCISPFISRTLLRPHNHMGPFLDPRSPGAMLSSGRPAGRPTGRTAGHGVPEDNAS >PVH63014 pep chromosome:PHallii_v3.1:3:58980172:58982364:-1 gene:PAHAL_3G454100 transcript:PVH63014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRLQLAFLVLASLLACTTPTVTHESHERSTRSTYIVRVRPPPNFSTDMSSINLEIWYRSFVPPLLGTSRLRTPFIHTYREAILGAWSSIGMRDGSIIGLLDTGIDVSHASFNDEGMKPPPTKWRGSCNFGDAKKCNNKLIGGRALLGGHYPPEDSIGHGIHTASTAAGRSVEGARVLGNGNGTATGMAPHAHLAMYQVCNEWGCYASDIIAGMDAAIADGVDILSISLGGKSQPFDKDVIAIGAFSAMKKGIFVSCSAGNSGPLSSTVENEAPWVLTVGASTIDRQMEAIVKLGDGRSFVGESAYQPSNLDSLPLVHQLGSGNAEGKVVACDLDGSSSSQLQIGRNVQKAGGAGMIALGKEVSGQNTFAAAHILPASYVNSIDAAAIRQYIKNSDKPTASIVFNGTLLGTTPAPVVAYFSSRGPSTQTPGILKPDIIGPGVNVIAAWPFKVGPRYEDGKNMAFNSISGTSMSTPHLSGIAAIIKSEHPDWSPAAIKSAIMTTAYVLDEKKKPILDEKLIPAGHFSIGAGHVNPSRAINPGLTYDIDEKQYIAYLCGLGYRDSQVEIITHHKGACGEGRKITEAELNYPSIAVKASAGKLVVNRTVTNVGDANSSYTVEIEMPKEVTTSVSPSKLEFTKANEKKTFTVSLTWDAIASKHAEGSFKWVSDKHTVRSPIVIF >PAN16486 pep chromosome:PHallii_v3.1:3:4081269:4087399:1 gene:PAHAL_3G064200 transcript:PAN16486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLPCDGDGVCMVCRAAAPPEVDLLRCSTCATPWHSPCLSEVPALSDAVTWSCPDCSGSAAAAPAAAPAAGGELVAAIRAIEADATLSDQEKARRRQELLAGSAAAEDEEDGDGKVDDVLDIVGRSFSCSFCMKLPDRPVTTPCGHNFCLKCFQKWIHSGKKTCGKCRAQIPSKMAEQPRINSALVEAIRMAKISKKASPDSSTVQYQYIRNDDRPDKAYRTERAKRAGKANASSGQIFVTIAPDHFGPILAENDPKRNIGVRVGETWPDRLECRQWGAHFPHIAGIAGQSTHGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKMNAALLISCMKGYPVRVVRSHKEKRSSYAPDSGVRYDGIYRIEKCWRKIGIQGKFKVCRYLFVRCENEPAPWTSDDHGDRPRPLPKIAELQDATDITERKGRPSWDYDEKEGWKWMVPPPISRKPVLTGVSETDKQVRRRARRTQMSVAERLLKEFACSICQEVIKEPLTTPCAHNFCKTCLLGTYDSQSSMRERSRGGRTLRAQKIVKKCPSCPTDICDFLVNPQINREMMALIESLQQKAVEEGADDANECGDGDSEDNDGELAKEEDDISLNEDEQASAEEKKMECQIGNSDVNADGSVKTVAEIKEGDQQPMEHKGDPEEGKDATMNRTSAAQVVDGLVEEGAVEEMRKSSKEVDNNESQQPQKRKGDDADIGADGTKRIKTSASMDETAVSGGTVQHIRKSGEE >PAN16835 pep chromosome:PHallii_v3.1:3:5794495:5797908:1 gene:PAHAL_3G090000 transcript:PAN16835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVNAPAAGCCTVAARSSAGQQQQLPSRPASAAALLPSMRARRSRAAGRLVAVAAVGDVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGSTLRANAARKDQAQIVCPNCNGLGKLGQIDK >PAN18179 pep chromosome:PHallii_v3.1:3:12078291:12080566:1 gene:PAHAL_3G184500 transcript:PAN18179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSRAAAFAVAVLLLASSGEAFFDIFNIFSPRAESDYFQNAFDGSEEQAAPVQTEQEEKGAAPATATGLTRVPPSGPLSKAALDTVQVAAATGEGPVGEWTIVSENSGVSPMHMAVMRHGRAVMFDTSTTGRSLMRLPQDNCRTDPRAKEEGTMDCWAHSVEFDYSTGGLRPLKILTDTWCSSGAFDSDGNLVQTGGYFEGDKVVRVLSPCETCDWLERPNSFAEGRWYATQQVLPDGRFIVFGGRRAFGYEFVPAPGRMNDKASYMPFLRQTTDDVENNLYPFVNLLPSGNLFLFANDRSVIFDYQAGKIVRELPKLAGGSRNYPASAMSTLLPLDLRNATGDPEPVVIICGGALKTSFRFGENNTFKPALRDCARINLATPDAQWETEDMPIGRVMGNMLILPTGDLLLLNGAAKGCSGWGFARQPILTPILYSPRKEQGSRFRALASSTISRVYHSTSAVLPDGSVLVAGGNTNAAYNFSGVDFPTEVRVERFSPPYLSKDRVGNRPRIDAASVPPGGMRGC >PAN17507 pep chromosome:PHallii_v3.1:3:8799599:8806140:-1 gene:PAHAL_3G136700 transcript:PAN17507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKGMVAGSHKRNEFVMIQHDGDAPAAAKPAKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRQKGCPRVQGDEEEEDVDDIDNEFNYEQGNGKGPGWQLHGQGDDADLSSSARHEPHHRIPRLTSGQQMSGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRVVPIPSNQLNLYRIVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEVWFALSWLLDQFPKWHPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGRRKKKNKSYMDSQSRIMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQRAVALGQCGVNC >PAN17558 pep chromosome:PHallii_v3.1:3:8994634:8999784:-1 gene:PAHAL_3G139800 transcript:PAN17558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQSDLASFPFRVTEGPDEFPLVHVRFLGEERTFTPTQLLAMVLSNLKGIAEGNLKAAVVDCCIGIPVYFSDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPEHDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQANDGFPFSIALSWKPDSQNSAPQRTVVFPKGNAIPSVKALTFYRSSTFEVDVLYVDTGDSQIPQKISTYTIGPFQPSKGEKAKLKVKVRLNIHGIVTVDSAMMLEEEDVEVPVSSVNEAPKDATKMDTDDAPTDPSSGTDVNMQVPKSSDTAEGATAAENGAQDPEEKSVPMETDAKVDPSKKKVKKTAVPVNELVYGALPAAELEKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYNDFVTPEEKEGLLARLQEVEDWLYEDGEDETKGVYIAKLEELKKIGDPIEARYKEWTERGPAVDQLVYCINSFREAALSNDQKFEHIDISEKQKVINECSEAENWLRERKQQQDALPKFANPVLLVSDLKKKAETLDRFCKPIMTKPKPAPKPQTPPPQTPPPQPETQAPEPQTPEQQQNAAGAAGESACEGGAQEASGEQMDTDKPENSAASA >PVH62243 pep chromosome:PHallii_v3.1:3:16013930:16014899:-1 gene:PAHAL_3G247000 transcript:PVH62243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPASPANLLLFSHKYVLRLSINNCSIHFFYICSTVFIYITHSAATLPVPRRQVRVALPSPLNSFYPRPYPLVPCASRARRRHKSQPRTCRSTPSLPEQL >PAN16299 pep chromosome:PHallii_v3.1:3:3279463:3281177:-1 gene:PAHAL_3G051900 transcript:PAN16299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETCFRAWALHAAPAGGKDRLVVGSSSSSFAPSKRAAAAPLSVGRVATRRPRHVCQSKNAVDEVLVADEKNWDGMVIACDTPVLVEFWAPWCGPCRMIAPVIDELAKDYAGQIKCCKVNTDDCPNVASTYGIRSIPTVLIFKGGEKKESVIGAVPKTTLTTIIDKYIGSSS >PAN19757 pep chromosome:PHallii_v3.1:3:20314486:20315291:-1 gene:PAHAL_3G296900 transcript:PAN19757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAASALPISRLPKRRKLIVVPSPGSDHQPPRNSPEKWLDTVAAWKAELRAFGRGHAPANYLKLKFEDVVCRGGGCAELRAQLAALGATAPSCVYARKLPSVQADLRHGRLSVGAPWQAHHTEALPDGELDAIIDDDRTGGLNVPVLDREGRRYDFKCVYAEDTGFYRLTGTAEYERFMADKNVVRDVDEGKELFMELWAFRTPALGNKGRASAGDQHPEGDLGMVILFFDLDADGLGDELFDDDSITIKQLMRHYPKGSEEAAN >PVH63053 pep chromosome:PHallii_v3.1:3:59996325:60000934:1 gene:PAHAL_3G462800 transcript:PVH63053 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD1 [Source:Projected from Arabidopsis thaliana (AT4G15510) UniProtKB/TrEMBL;Acc:A0A178UV94] MAAAAAAAAAAAAAAATAFSRGRRSPPRTRPAAVTPRAEVPGRHRGRVVPAVPRRNVLSTMLSTSTVLLLGPKQITLAETTGGAFREYIDTFDGYTFLYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYISVEDLGPPEKAAEKVLKQYLTEFMSTRLGVRRESNILSASSKVADDGRLYYEVEVNIKSYASNNELAVMPQDRVQSLEWDRRYLSVLGVENKRLYELRLQTPEQVFMQEEDDLRRVMDSFRVIKTE >PAN19498 pep chromosome:PHallii_v3.1:3:18563323:18564556:-1 gene:PAHAL_3G277500 transcript:PAN19498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPLLYKAIKDRRSNAAGGGRAYDAAGGTPAPVDLEDPEQRRRWLQQELRSPVHAASSAAAEGPLPHRRNLSLEELAGEVGLSHDRRLRVPLPKARSVRAFACIGAA >PVH63283 pep chromosome:PHallii_v3.1:3:64012613:64013496:-1 gene:PAHAL_3G512200 transcript:PVH63283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESFRALSDFVGEAASILTKKVQIYGIQGDYVIILSDLGRELYLGYLQSVIEKHRNGKSWNGVLSIGDVQVTDRRTFEIAKEASESATRQAMTNDFKKLSELLATYFQTTKNHIPIYFTELESDMFSCFQKLGTYNSHRTLSFQEYILSHLALKSAMARAHLFLDLYGAHQLLGREDHLKLRQILNPSHSETEDWTLLVHMHPVLDKVFNRGISDPPISDPRGSEPARRKVAETTFLLMGED >PVH62990 pep chromosome:PHallii_v3.1:3:58453323:58454057:-1 gene:PAHAL_3G447400 transcript:PVH62990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDTMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQATQLKQLIHGIEKLTQELEEQRARAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN19833 pep chromosome:PHallii_v3.1:3:20850984:20853369:1 gene:PAHAL_3G302200 transcript:PAN19833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAARRALLTHLRVPVARPAAAAAAAGTVPVAARRLLSSTTEETKGSFLDKGEVADRVVSVVKNFQKVEPAKVTPTAHFQKDLGLDSLDTVEVVMAFEEEFGFEIPDNEAEKIDSIKSAVDFIASHPQAK >PAN18941 pep chromosome:PHallii_v3.1:3:15365342:15367652:-1 gene:PAHAL_3G236900 transcript:PAN18941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGFGPDERILWPASVLAGVAMCGAVYDLTRRVSSRCFKGYDGLNEMHKVEWNNRGFSTFHALAAAAVSFYLLVMSGLISEDAHRAIVIDRRSWLSDAMFGVSLGYFLTDLAMILWYFPRLGGKEYLLHHGLSMYAISLALLSGKGHVYILMVLFTEATTPFVNLRWYLDRAGRKDSKLYLYNGLALFVGWLVARIILFVYFFTHMYLHFDQVRSVFPLGFYSILTVPPVLSLMNLLWFCKICKGMVKTLCKAKQSASVKTD >PVH63100 pep chromosome:PHallii_v3.1:3:60696742:60697062:1 gene:PAHAL_3G473700 transcript:PVH63100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSNGGLNHRQGWVQDETLLDVFGDVVWVFHCKSKNGVSTNVLSKSNHTHGGGITEIDAQDNPRIRLWFICGKLGCMQRFVLKHFQNKFSSTKEHTMSIHTGSKF >PAN18644 pep chromosome:PHallii_v3.1:3:14061567:14065260:1 gene:PAHAL_3G216300 transcript:PAN18644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPAARLAARRLLGLASSASEAAARRLAPSPIAASSYAAAARGSVPSSRPFSTALNYHIDSPENKPDMKWEFTAANMKKVKEILSHYPSNYKQSGIIPLLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEDTLLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKASEGYTYNYYEDLTPKRVIEIVEMLRRGETPPRGTQHPERKNCGPAGGNTTLHGEPKPPPCRDLDAC >PVH62683 pep chromosome:PHallii_v3.1:3:38366544:38367035:-1 gene:PAHAL_3G367000 transcript:PVH62683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPITAYEARAPLHWDEEEWDFRYRSEDDDSLTDGEDLALLLGAKLEEDEDDASWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSGDSSDSSTGADGDDSFTSDDNGDDGDSSSDTGDSGPSVVPSPKRRKTSGVYWW >PAN20545 pep chromosome:PHallii_v3.1:3:26095349:26098427:1 gene:PAHAL_3G329000 transcript:PAN20545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAQGDALPPYKDASAPVEARVRDLLVRMTLREKAAQMAQIERTVASARALAELGAGSVLNGGGSAPGNRSPACWTGMIDGMQRLALFSRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGAARDPELLRKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEMVRSLTTSVTGLQGQPPADHPHGYPFLASIREKVLACAKHFVGDGGTDSGINEGNTICSYNDLENIHMAPYLDCIAQGVATVMASHSKWNGERLHSCRYLLTDVLKGKLGFKGFVISDWEGIDKLCEPRVAQGSDYRYCIKQSVNAGMDMIMIPYRFEEFLENLVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLLDMVGCKEHRLLAREAVRKSMVLLKNGKNQKEPFLPLATNVKRILVTGTHADDIGLQCGGWTIGWNGESGKITPGTSILEAIKESVGVQTEVVYEACATEATIATGQFSYAVVVVGEVPYAETVGDRTDLSIPFNGSDLITHVASRIPTLVIVVSGRPLDIETQVLATIDALVAAWLPGTEGMGIADCLFGHHDFVGTLPVTWHRSVDQLPLNAGDANYDPLFPVGYGLKMFEK >PVH62334 pep chromosome:PHallii_v3.1:3:17676194:17676469:1 gene:PAHAL_3G265600 transcript:PVH62334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWKIDRLGFELHGVTHYVCKVGAPEIATAVWASVSGRHSMLGDRMITPLTNGTAPLAGSSPPPPPLLPPLYWHCKCRSIQNWIDFFFSW >PAN15630 pep chromosome:PHallii_v3.1:3:828685:829783:1 gene:PAHAL_3G014900 transcript:PAN15630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTVANPLLGWERMGSPVNWIGGDKYLADGNNSFGSQSIFSNRTPEFYGMFMSSCPSSVRKLNSWTRETSGGFSVVER >PAN15907 pep chromosome:PHallii_v3.1:3:1037339:1039927:1 gene:PAHAL_3G019000 transcript:PAN15907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCCAKGAGKGADDADADGPAAAPKAEDHGGQRASSAAPGTKPSSPSGGRASTSKPAAPMGGVLGRPMEDVRTTYSMGKELGRGQFGVTHLCTHRGTGEKLACKTIAKRKLVAKEDIDDVRREVQIMHHLCGQPNIVGLMGAYEDKHNVHLVMELCAGGELFDRIIAKGQYTERAAAELLRTIVQIVHSCHSMGVMHRDIKPENFLLLSKDEDAPLKATDFGLSVFFKEGEVLRDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASEPWPHISPGAKDLVRKMLNINPKDRLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLDELKNGLAKHGTKLSDGEIQQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEHALKEQGLYDADKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGADPLNNKKRRDVVL >PVH61443 pep chromosome:PHallii_v3.1:3:2150028:2150813:-1 gene:PAHAL_3G035200 transcript:PVH61443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMLIPAKFVQQYIAKELLDNRMAIILGPIGKVYNIKLEVGHSGVFFAGGWSQFLRVHDITEANSLLLRYEGNMVFTVKVFEPDGYQREAKHKKNRVLQISTLPHIQEQKETPSASVQKQLKNNLPTVIGEKKPQDSKTSLDLASLK >PAN18497 pep chromosome:PHallii_v3.1:3:13368431:13369593:1 gene:PAHAL_3G205800 transcript:PAN18497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGASRSAAAEEDKSDPARPLALASPTVYPAAGDAEEEAQTATGWRSMQYLRKRRRRLLCCCGCCVTTAVVVGVVILALALTVFKVKDPRLTMNAVWLTAISTGPGAGVASPVATNATITADVSIKNPNAAAFRFSRSETDVYYKGQTVSVAYAPAGSVGADRTLRMNVTVDLLADRLARAMNGTGLIFGQEYELDTYTAINGRVSVLGIIKKNIGIKLNCTAVIEVGGAAAAIESGVASTVQSRSVDCVADVSM >PVH61615 pep chromosome:PHallii_v3.1:3:5150995:5153666:-1 gene:PAHAL_3G077900 transcript:PVH61615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVPIIDCMVGSRGFGSTRKEKETARETVKASFRGIGEGRNSREASSIPPQLVLLKMLKRLLVQTSEFSDYTAAASLCCSVLRFWSRFSMGICELRSLYAFSALHDWISWLRDEDEKSAIRRASLCEKLKTMNMLGALHRPCFASEGWTMDDCLCLEDGYCGFICTVDTRVSVSKLSSEALAAAACVRRAGAQLLAASDEEIGL >PAN21583 pep chromosome:PHallii_v3.1:3:61176799:61180796:-1 gene:PAHAL_3G478200 transcript:PAN21583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MAILAGLVAVLSALLAAALRRLLRILRRPTPAAGFFHPYTNDGGGGERVLWCAVRAVQELRPGLPCAVFTGDADASPEGLAERALDRFGVRLLGPPQVVHLNKRKWIEASTYPHFTMIGQSLGSVYLAWEALTNFTPQFYFDTSGYAFTYPLARLFGCKVISYTHYPTISSDMVGRVKQRSSMYNNNSRIAGSIWLSRCKILYYTMFSWLYGLVGSCAHLVMVNSSWTKSHIVNIWKIPERTKRVYPPCDTSALQMLPLERSTTPPVLISVAQFRPEKAHGLQLEAFALALTRLDPHFPKPKLQFVGSCRNKEDLERLQKLKDRAFELHIDELVEFHKDISYMELVQLLGGAIAGLHSMTDEHFGIVVVEYMAAGAIPIAHKSAGPMMDIVLDEDGHQTGFLASKKEEFADAIIKVLSMSQQERQEMAAASRKRAQRFSGQRFHEDFTEAVQPILLPREA >PVH62325 pep chromosome:PHallii_v3.1:3:17575997:17576854:1 gene:PAHAL_3G264300 transcript:PVH62325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMENSRDLSRKLGSLHCKKLHLICGENDVKGPHAEGLKFGKLQEASGSNRGMNWAGLGPGRSAQASRPKPAGLAHSEPGSPPPLTYPLLCLFKVPTPRLTYQFIHHPPPRRREARGTPSRTGGSC >PVH62593 pep chromosome:PHallii_v3.1:3:26931407:26932144:-1 gene:PAHAL_3G332000 transcript:PVH62593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPAERSGRTWIARMRRLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRAANAEYSLAALQAQMQEYESRNGIGVWIEEEEEEEPMETHWDKSTQTENEMDRFLPIKKRSIRTEEESP >PVH61400 pep chromosome:PHallii_v3.1:3:1416905:1417473:-1 gene:PAHAL_3G025600 transcript:PVH61400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAVCRPAAAWSTISCLTTKAGRGSLCEPLEEKEPHGHGTDGRGCPSPCSHGSGLRYSLPSSETELRQGSAQVKQHNGQIAIRQPNLKACACWQLAAWTMDRTAAA >PVH62957 pep chromosome:PHallii_v3.1:3:57740882:57743437:-1 gene:PAHAL_3G439200 transcript:PVH62957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLRSFCLHRIRSGSGGAAPTAAPPSICGAKEAGSSDGCGESNSLKGEEDEEAKKKGGSEAVAVVVGRKVMVAADGGSEEARTALHWALSHAVRPCDTLVLLDVVKGAGGNSKNRRDPRGCQHLEAMRSICQAKRPEVRVELSLAEGKDRGPAIVEAARKQGVSLLVVGQKKRSVTWRLLSMWMAGVKGAAGGGGGGGGGASAADYCVQHAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >PAN19335 pep chromosome:PHallii_v3.1:3:17703567:17704951:1 gene:PAHAL_3G266100 transcript:PAN19335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLLQEEPVMAGSSSVEPAGTDRLDLEAAEQLIQLSGGADDDGGSESRSADSVKCRGNKDKEAAVESRRRGAVRPVAAAGKDGGGGEPDSKGAAESFACSTAAGVEEKDNRAVVVESPRRSAGPHPAGKDRDGGIVHGEARRRPKFRSLAAIYRETEPRRLPLAGGGAEGHADRDPPEGERKKTRKRAADAVIPVGAEAGKAKREDRYMHACVS >PAN17674 pep chromosome:PHallii_v3.1:3:9591802:9596532:-1 gene:PAHAL_3G148600 transcript:PAN17674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLPCDGDGVCMVCRVASPPEVDLLRCSTCATPWHSPCLSKPPALADAASWSCPDCSPDSSPAPAPAGPGNDLVAAIRAIEADQTLSDQEKARHRQALLAGSAPAAADEDDDDDAADDALEIVGKNFSCVFCMKLPERPVTTPCGHNFCLKCFQKWIQNGKRTCGKCRAHIPAKMAEQPRINSALVEVIRMAKISKNPNSSGSTAPYHYIRNDDRPDKAFTTDRAKKAGKANASSGQIFVTIAPDHFGPILAENDPRRNIGVRVGETWEDRLECRQWGAHFPHVAGIAGQSTYGAQSVALSGGYQDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLRGYPVRVVRSHKEKRSSYAPESGVRYDGIYRIEKCWRKIGIQGKFKVCRYLFVRCDNEPAPWTSDDHGDRPRPLPKIKELQGATDITERKGRPSWDYVEKEGWKWVVPPPISKKPVLSGDPETDKRIRRATKRAHMSVAERLLKEFACSICRVVIKEPLTTPCAHNFCKACLLGAYDSQASMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMELIESLQRKAVEEGDTKVASDDPEECGDGESEGNDGALAKEEDESSMNEDEQDSADADGSVKIVVEIKEEGKDDKKTKMDVTEVVDVLVEEKAVKETKKRKGDVETGTDGAPAKRMKKNIAAVEEATCTPVKRIRKSGDVDSEGNGSPVVSSGRRVTRSSANASEADDSPARRTRSRTRADAGC >PVH61679 pep chromosome:PHallii_v3.1:3:5998076:5998543:-1 gene:PAHAL_3G093900 transcript:PVH61679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTKAQRFGDSSEKGIRLPRGERIRAARAITTPAPPPAQICGAPSPTSPAAVARIQDAFARAGLLLVPAPPPSRQRALLPLRLLQSSQALVLACPTRLLLLTLASAPRSEYLSIHPSVPLFLPPRVLVLPAALGACHFLSRTGLSAGFGAALCWIG >PVH62932 pep chromosome:PHallii_v3.1:3:56798995:56799617:1 gene:PAHAL_3G433100 transcript:PVH62932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITPLCKAMVLLALGSLFHVSSTEPVYGLRMLQRIKATPTSGATTQTINMDKTEFAHA >PAN21492 pep chromosome:PHallii_v3.1:3:60464809:60472258:-1 gene:PAHAL_3G470800 transcript:PAN21492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSSEETSGAGTGGSMDRVKHENFCRAVVEDNTVLLLSAVGKFRKEALCRIRKGSDASRVLDQEMSTRLLHLACKHDAVECARLLLEGGSGITAAPVDARDQLTRTPLHVAAETHSARCIELLLSKNARTDLRVVDGRTLLPLEIALMSRRVQTNWSLDNPIEDLLSFLKERDLKAVRLLAEKTRVVGELAYRYAMEGRVPALAMLLLVVEEKISAQVSVVIEGVRTKRSIYNAVVDEALSMGDASARDGNERRKALLCEIQLLNQFGSASWREHSDRRTLPPLLRAAKVGDMNVIKMLLMGNVDVNEADSEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPTKTKETPLFLAVKNGSVECVKLLLRFGADTKARNLRKQRPIDVATSQDMRFVLSSANVVPFSGNHSSPQKNHLMKKEICKELLGDEFDDLYNDDYSESYTGIKPSVGQRDLRSSNRSAQGFKSKSQYVTKQGQGSKFVPRNNHWPKHDYTRKIFVGGLPPSVDSEYLIEFFNAEFGPVEEAVVIGIPVGNRMQSRGFGFVKFEREEDMISAKEAHHVYMLGKRVEVKDAVARAYLPLEEQRATSLRQFMKERPKVTHSVLDGELTEEHNLRKRRPLPEKCLPSWFFIFRKWLPGFLEDASERLGGERYPLSSLKGDFRATCRMELDHTALGYPKLSDFMRSLPGICRMCVVPVGCGPATHMVLLPPLSRPKYVPLLEPYSFDRDELPESVSDYHSPRSPLNANITDDSPHNTDSQQGDDACSGTNVQIQQSDDACSKSNGQSHQADGSSRSSAGSMLDGSSSDNGSLLDDIPVSTPKLDLVEPVPTGKSDVIDSAPTRKSDLIECVPTRKPDLIDCIPTRKPDLIQYGSLHKNESGPVRKPNLLEFGPTRKLDFIQSRPSACFVDCPVERPAVPPSSCETEMRFSFFESQWDKYLTPYPKSDSCIICRSCEAAMELVPCHHKICVACMMRCNVRACMACGTCVSGVKSAPAVDAPYRYMGVTERIPDQRCQLMVVCRGAEAIVKCSPCRHAIACRGCLLASVTLLKTCTTCGCMIQHFMFG >PAN18258 pep chromosome:PHallii_v3.1:3:12426840:12430370:1 gene:PAHAL_3G189800 transcript:PAN18258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFVGSRYDKQEDFQVLLNKKDPGESPKHKHYLWMAHWTKASSSAEPQNNNSSNPLEDINKASTTKDSETLPYEFMKSTVAERLMIGVSHGNASVQHAQQFNSSMWGVAHHVCNELGAKNNEQVDESFEKSMKKNAVNLRARAVVSETYSVHKLSELPLNFQKLGNSEDPNSDWSHFPMFAINQKIGNILNPKRRSALGPASLNLNMSTSHVMALSSQEYRVNSHQTADENMEMSKPAGGFASPIEDPGGLNSDPSGQKLKRKLLDTMSCSCSKDDNNSSDCPIDEQHTSHYFAKAKHELPCASNGKKFTFAENKNYHRVASAFHNLKTRRSSVHKQQNDAKATSCTSVLGREFQNEPITISNNSKKDAENLNETYRSHDKAVSCSLLPFEQQHLKIQRTESAANLGGYMLPGQIANKLTEKSKSNGELLTHGPKSKEMHTGSCNRRGPCLFEKLTISSKSQSGYPKNSASSGKSSGFGVCMYGTNIGSQLFGAQNQSSVRTETLYSDTLIPSKSSAGIASLSAQKDYVCPDEAKSEQLATPSQRGDSRCSKDDGFHNVNENHDVSSKATIASKPSCMPRTRITNLDLILSQMSRMRNQISSGIVQPPIGAEPSDRWLKRLQLDISDPDIPGSKRPKIGDSPPLGQTNCLFGMALPCNKIDAEMIGRAKADQSLDEGNNELQDKRGRSPVPAKSMSSWIGRWCQGGTSVFHEDPCQGRQATKHDQPSEELEGQFPSIAAMAMMGRVMNKLRPCEHQKQGPFVVWKTD >PVH62038 pep chromosome:PHallii_v3.1:3:12426793:12430232:1 gene:PAHAL_3G189800 transcript:PVH62038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFVGSRYDKQEDFQVLLNKKDPGESPKHKHYLWMAHWTKASSSAEPQNNNSSNPLEDINKASTTKDSETLPYEFMKSTVAERLMIGVSHGNASVQHAQQFNSSMWGVAHHVCNELGAKNNEQVDESFEKSMKKNAVNLRARAVVSETYSVHKLSELPLNFQKLGNSEDPNSDWSHFPMFAINQKIGNILNPKRRSALGPASLNLNMSTSHVMALSSQEYRVNSHQTADENMEMSKPAGGFASPIEDPGGLNSDPSGQKLKRKLLDTMSCSCSKDDNNSSDCPIDEQHTSHYFAKAKHELPCASNGKKFTFAENKNYHRVASAFHNLKTRRSSVHKQQNDAKATSCTSVLGREFQNEPITISNNSKKDAENLNETYRSHDKAVSCSLLPFEQQHLKIQRTESAANLGGYMLPGQIANKLTEKSKSNGELLTHGPKSKEMHTGSCNRRGPCLFEKLTISSKSQSGYPKNSASSGKSSGFGVCMYGTNIGSQLFGAQNQSSVRTETLYSDTLIPSKSSAGIASLSAQKDYVCPDEAKSEQLATPSQRGDSRCSKDDGFHNVNENHDVSSKATIASKPSCMPRTRITNLDLILSQMSRMRNQISSGIVQPPIGAEPSDRWLKRLQLDISDPDIPGSKRPKIGDSPPLGQTNCLFGMALPCNKIDAEMIGRAKADQSLDEGNNELQDKRGRSPVPAKSMSSWIGRWCQGGTSVFHEDPCQGRQATKHDQPSEELEGQFPSIAAMAMMGRVMNKLRPCEHQKQGPFVVWKTD >PAN18257 pep chromosome:PHallii_v3.1:3:12426840:12430370:1 gene:PAHAL_3G189800 transcript:PAN18257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFVGSRYDKQEDFQVLLNKKDPGESPKHKHYLWMAHWTKASSSAEPQNNNSSNPLEDINKASTTKDSETLPYEFMKSTVAERLMIGVSHGNASVQHAQQFNSSMWGVAHHVCNELGAKNNEQVDESFEKSMKKNAVNLRARAVVSETYSVHKLSELPLNFQKLGNSEDPNSDWSHFPMFAINQKIGNILNPKRRSALGPASLNLNMSTSHVMALSSQEYRVNSHQTADENMEMSKPAGGFASPIEDPGGLNSDPSGQKLKRKLLDTMSCSCSKDDNNSSDCPIDEQHTSHYFAKAKHELPCASNGKKFTFAENKNYHRVASAFHNLKTRRSSVHKQQNDAKATSCTSVLGREFQNEPITISNNSKKDAENLNETYRSHDKAVSCSLLPFEQQHLKIQRTESAANLGGYMLPGQIANKLTEKSKSNGELLTHGPKSKEMHTGKSSGFGVCMYGTNIGSQLFGAQNQSSVRTETLYSDTLIPSKSSAGIASLSAQKDYVCPDEAKSEQLATPSQRGDSRCSKDDGFHNVNENHDVSSKATIASKPSCMPRTRITNLDLILSQMSRMRNQISSGIVQPPIGAEPSDRWLKRLQLDISDPDIPGSKRPKIGDSPPLGQTNCLFGMALPCNKIDAEMIGRAKADQSLDEGNNELQDKRGRSPVPAKSMSSWIGRWCQGGTSVFHEDPCQGRQATKHDQPSEELEGQFPSIAAMAMMGRVMNKLRPCEHQKQGPFVVWKTD >PAN18909 pep chromosome:PHallii_v3.1:3:15231286:15233329:1 gene:PAHAL_3G235000 transcript:PAN18909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHHQQRPRAAAALLLVAVAALLLASAPPSGAQPLPSPAAAAPGPSGSGGIDPACMTSLLNMSDCLTYVTKGSTARRPDAPCCPELAGLVGSNPVCLCELLSGAADSYGIAVDYDRALALPGICRVATPPVSTCTAMGYHVHVGPAAAPMSGSPSPMSGMAPSGEGPVFPGTSPVASPPSSTSHAARRSSSACGIHLAALAMLPLAAAAAAASGML >PVH63245 pep chromosome:PHallii_v3.1:3:63388363:63394471:-1 gene:PAHAL_3G504300 transcript:PVH63245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFHRGSAAAAACLPAVARRLTAAFYLSVRAGAVEPAPTPHQPTGASTPAATHRRRKNSSSVFAVALPRFTGLGLAWGGDPSRFVVYAMSRSVGVAEYQELDVSTMDPISHQPAFRGLKLYVKDLDSNTLPPFLARVCSPDKPTSYSEEEILCIFETAAEVHGRNIVPHIGQIISAIIRIMSSGCLHSAGCSKVVCTLSRYGIDPLGREEEKAEIISSLCYSLSDCLMNTNKSISSGSALCITALVQSNNWQFASNELVNGVCLKVSGAVEEVHCHTVVHLSLVVALSKYNPLTLEPYGRSLIRSGLQILDHSTKASNSRMIMSSIQMIHSIMKTLDVRMISSEISSIIQALEQCQGGYIPDICTAASQAAETAKLLERQEECGDHKKFSPLVNCSGRHSRNGSNSPLDDVDIRDSGSSESPCEVQSVRSFNDLDSQLPVGQCDDILGSTRARRRLWSSGSHFSHGMSNDEFFHISAPDCCDTMGIIGQSNSAGLVKASRRCSDVLTGVDDQCPTCLTPRATNQVYRRQALSTPRRQVYSFTSCSDSERESHRLPKSLAFRQIQYPDHLLFQKDGDLEERIGCCKSIQQSNQCHAQSSDLLTEDLKFPTNSRRSDSARTSCEERHAAAAEYQKMCGMKKRETNCLSGRLIAYVWAVLIVALLLAWWKQHPSNELYFVPT >PAN20373 pep chromosome:PHallii_v3.1:3:37389814:37390948:1 gene:PAHAL_3G362500 transcript:PAN20373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRHGRHPSLVRIALLLLAGLAAAVVVSTLRSAADPEDAEIRISVHYPTEEESQWLDRWAEKYRAKQPGSGFSVEPATDEESAYLNRIFSDGKKGGGARAGFDGRIEFDDNDRPRIVVDNNYSERRSSEPDSNADDLQNKESYSYAEHDAMEL >PAN21856 pep chromosome:PHallii_v3.1:3:62564308:62565705:-1 gene:PAHAL_3G494900 transcript:PAN21856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEHPSGVECGPDASCTGPNRIRIDGLLGSFLAHRTQRRDGAERDSSHPKRHAGPTPARLGSGRWRLAAAAEEVDDHDEEGAIAVELVEGSKHGDGSIFRPDEHPLHRLYHLHDTRETRLEPMRLSEPIDICHPCWTACRQHVGCAMMQIFSLKLSKLPRGAAAGHGPIQLYGFMAVRDLLDPLRNYVFNHTREDPFVVQDLRSDPFIYLSGPNRGIYLQCRVLI >PVH61309 pep chromosome:PHallii_v3.1:3:534986:536412:-1 gene:PAHAL_3G009000 transcript:PVH61309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKSSLTLPPCGHGSEMIMETMRRQQELLMQLRALVLPLLHAVHDTSADIAVQLFDDVIGCNVSVASKLEGCLMSTGENDGPVVELVDDKSLVRKRNSTDATGDRTTEEQAKPNNSAGQKRRRNDKRSRSLVTHVPHYDGHQWRKYGQKNINGRQHPRNYYRCAYRERNCLATKTIEQQEQNNAGTSSAMAGEENAEYTVVYYGDHTCKDHNISMVQAPQPVNMDLQNGEMAQATANEADLDLPALLEVFDNSLVDWDDWKM >PVH61511 pep chromosome:PHallii_v3.1:3:3188884:3192186:-1 gene:PAHAL_3G050200 transcript:PVH61511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSKEPCKKEACDIQACLSKNIFDSRKCVKVIQLLQSCCEQCEYKSTHCGSLSGLLKNISK >PAN18078 pep chromosome:PHallii_v3.1:3:11634114:11634506:-1 gene:PAHAL_3G176500 transcript:PAN18078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTAPLFPAGARPLQGQRDAAAGGSGGGGSYTPVFVVLGVIAALLVISCLVGQVCTKRHLRPRRPRRDRVAYYDDDMEAAGGFSAHGPPPHHGIAKMEAPPPAPSVETRAAAGDGAAAVAVQQPSSTT >PAN16314 pep chromosome:PHallii_v3.1:3:3327166:3332943:-1 gene:PAHAL_3G053200 transcript:PAN16314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLTIRRVPTVVSNYQDDADKPRAGCGRNCLGHCCLPVSKLPLYAFKANPAKPSQEDAASTKSLVNILLTEWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDPAKFNFTKVGQEEVLFQFENGAGDDSYFLNNAPVTAADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDPESFLLALQMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAPTHKIPLSEDTMKSGVTVSKLINYPVRGLVFEGGNTLNDLANVVSSACIWLQDNNVPYNVLISDGGKRIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRNDYEEASETSAWKLLAEVSLSEERFEEVKAYIFTAAGLVQADEEEASEGEEATYAPVPVAPLPVAEGCLVLQ >PAN18412 pep chromosome:PHallii_v3.1:3:13071299:13075023:-1 gene:PAHAL_3G199700 transcript:PAN18412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKSVLFGKKSSSRSTSTKSKDLSKGASNKGNASKEPAFSESSPVISEPVLVSAHNNETVREVAKGDNSNVQGEVAVPDVNQDLEKQVTVGSDASNDAERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVKFQALIRGRNVRLSQAVIHASRKLTQQNFESAKPDSWKEKLSSNAFARKLLSSPIVVEALHFQYDEMDPNSAFNWLERWTISRVWKPISQPKRVGADAKPHAKKASYAMETESGKLKRNARKSSATPFEPSQTNTSIEIEKTKRNPRKPSSATADSVPDGQLTELEKVKRSLRKVTNSIAETSKVSNPAPEIPDHQEVQSERPLRSAKQVPVCPENEEPQNVKLTDNAKIDILVPDLQPDEEVASDPVANDEKVDEPTVVAPPAESMPLQDINNEENALVNDTEQRSKEEPLSTESLKGSKRRSSFSTKPEYPENGSKNSPALPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSTNGKMIAHSPRTQRPTHAGGKDGAKGDKAMLSSRDASERPLKAEWRR >PAN18411 pep chromosome:PHallii_v3.1:3:13070865:13075647:-1 gene:PAHAL_3G199700 transcript:PAN18411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKSVLFGKKSSSRSTSTKSKDLSGASNKGNASKEPAFSESSPVISEPVLVSAHNNETVREVAKGDNSNVQGEVAVPDVNQDLEKQVTVGSDASNDAERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVKFQALIRGRNVRLSQAVIHASRKLTQQNFESAKPDSWKEKLSSNAFARKLLSSPIVVEALHFQYDEMDPNSAFNWLERWTISRVWKPISQPKRVGADAKPHAKKASYAMETESGKLKRNARKSSATPFEPSQTNTSIEIEKTKRNPRKPSSATADSVPDGQLTELEKVKRSLRKVTNSIAETSKVSNPAPEIPDHQEVQSERPLRSAKQVPVCPENEEPQNVKLTDNAKIDILVPDLQPDEEVASDPVANDEKVDEPTVVAPPAESMPLQDINNEENALVNDTEQRSKEEPLSTESLKGSKRRSSFSTKPEYPENGSKNSPALPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSTNGKMIAHSPRTQRPTHAGGKDGAKGDKAMLSSRDASERPLKAEWRR >PAN19840 pep chromosome:PHallii_v3.1:3:20914542:20914951:-1 gene:PAHAL_3G302600 transcript:PAN19840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGVAVNSPFPQIHQASGSPGRHGVFLHAFLEVGPYGGGLSPSITGPQPPPTVKSRCDGHQQRNLGQEPQIPRTILSRLRICRDGGNHISQVGDHDTPLFLDQEW >PAN16493 pep chromosome:PHallii_v3.1:3:4101074:4106590:1 gene:PAHAL_3G064400 transcript:PAN16493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MLLKSKFKLATAIGIMLSMLSLLVHLFLANYSAGGITKYSMHMDDVLPFGPRPRPRRLWGPLRKLDHLHPFAKPSKTYAAPSQHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEDHFIDALSNDVAIVRGLPKDLREARKKIKFPTVSPRNSATPEYYMTEVLPRLVKSKVIGIIVNGGNCLKSILPANLEEFQKLRCRVAFHALRLRPQIQALGSQIVGRLRASGHPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQSRRNQMIKRGTVKEQLTVDSVSRKMAGSCPLMPEEVGLLLQALGYPPTTIIFLAGSETFGGQRMLIPLRAMFANLVDRTSLCSQKELSDLVGPEEPLTSDLPHPPPPKSEKQLIEEWKRAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDASPIEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDCSSLIMGHRLYQSPSGITYRPDRKIVAALFEDVSDHRYHPPRNWTIAAREHLNRSAGVEGIVLSATLSRPVSFLAHPLPECSCRTPKSPAVQPVKSSNGRLLFGGEEECPDWMVRSLAMASAKNSEPQNEDYEDELPEDDSSLDTQHESDRSDVNKSSEQDEEMDPDD >PAN16494 pep chromosome:PHallii_v3.1:3:4101403:4106590:1 gene:PAHAL_3G064400 transcript:PAN16494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MLLKSKFKLATAIGIMLSMLSLLVHLFLANYSAGGITKYSMHMDDVLPFGPRPRPRRLWGPLRKLDHLHPFAKPSKTYAAPSQHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEDHFIDALSNDVAIVRGLPKDLREARKKIKFPTVSPRNSATPEYYMTEVLPRLVKSKVIGIIVNGGNCLKSILPANLEEFQKLRCRVAFHALRLRPQIQALGSQIVGRLRASGHPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQSRRNQMIKRGTVKEQLTVDSVSRKMAGSCPLMPEEVGLLLQALGYPPTTIIFLAGSETFGGQRMLIPLRAMFANLVDRTSLCSQKELSDLVGPEEPLTSDLPHPPPPKSEKQLIEEWKRAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDASPIEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDCSSLIMGHRLYQSPSGITYRPDRKIVAALFEDVSDHRYHPPRNWTIAAREHLNRSAGVEGIVLSATLSRPVSFLAHPLPECSCRTPKSPAVQPVKSSNGRLLFGGEEECPDWMVRSLAMASAKNSEPQNEDYEDELPEDDSSLDTQHESDRSDVNKSSEQDEEMDPDD >PVH61569 pep chromosome:PHallii_v3.1:3:4101074:4106590:1 gene:PAHAL_3G064400 transcript:PVH61569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MMSFHLDRGLAHGDCGVHCANLITCILLQNLAKHMLLPVSIMVLFMQRYMVALRRYSLQLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEDHFIDALSNDVAIVRGLPKDLREARKKIKFPTVSPRNSATPEYYMTEVLPRLVKSKVIGIIVNGGNCLKSILPANLEEFQKLRCRVAFHALRLRPQIQALGSQIVGRLRASGHPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQSRRNQMIKRGTVKEQLTVDSVSRKMAGSCPLMPEEVGLLLQALGYPPTTIIFLAGSETFGGQRMLIPLRAMFANLVDRTSLCSQKELSDLVGPEEPLTSDLPHPPPPKSEKQLIEEWKRAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDASPIEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDCSSLIMGHRLYQSPSGITYRPDRKIVAALFEDVSDHRYHPPRNWTIAAREHLNRSAGVEGIVLSATLSRPVSFLAHPLPECSCRTPKSPAVQPVKSSNGRLLFGGEEECPDWMVRSLAMASAKNSEPQNEDYEDELPEDDSSLDTQHESDRSDVNKSSEQDEEMDPDD >PVH61276 pep chromosome:PHallii_v3.1:3:237339:238268:-1 gene:PAHAL_3G003800 transcript:PVH61276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPHLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSSWHPIGVEVVGYRLVDILETAALEAIKLFCTQHPMEVAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETVRILTRFMDVQHHYQILLRHGVNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINETDDAQVHIEELQQQPILHAIPIMPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSVGNFEDF >PAN16544 pep chromosome:PHallii_v3.1:3:4330410:4332094:1 gene:PAHAL_3G068000 transcript:PAN16544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELLPGEDLHALDVLLEAELPVLERVAKGVHGVVRGELRRLALQPPRRIARGRVRCQRLSRIGRAARRAQPGQQLAAVGEAGRHGALQPHPLLFQAADAAAHGVAPCPEAGLQRGQGMDVPVDAAAEGRRVPAQSRGELVDQHRVHAPPLLLHRRRSGGRRVGEAEGGRQRVAHPQGRDEAPHEAGEAGARHGPVLEVRQRAVDAAGAVVDEGVVGDERRRRRGGERVDERRAVGVLPGKPHSEEDRRQGGGDGEGAPRVEQHGGGAVVAGVGIDGGREGGELLEDGEDVLPDEPPEVRVLVRGQRRVHQHHRAGGRRRRHAPRPVLASESNTPRDSDSDRDNKGRRDEAVLLVRIGKKWDLCHDFNTD >PAN15700 pep chromosome:PHallii_v3.1:3:450910:451956:1 gene:PAHAL_3G007500 transcript:PAN15700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSAVVAVVLVAALVAAETASAAVSCGEVTSAVAPCLGYAMGRSASPSAACCNGVRSLSSRASSTADRQAACACLKSMTGRVGNMGNAASIPGKCGVNVGVPISPNVDCSKIN >PAN21903 pep chromosome:PHallii_v3.1:3:62882744:62886183:1 gene:PAHAL_3G498400 transcript:PAN21903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDSTALFANREMQRHLFFTTCGKVSIPCPFGIGDGCAATSLSPSFALICNDSFQPPRPMFSNTSAAVEVIDISLEHGEVRVYGNVSYYCFTSNTTISDNNTAGFSLEGTPFIPSITHNRFTVIGCNTLGLIGGYTHSNSDLYVAGCYSYCQGINSTSDGAPCIGMGCCETTISPNLTDFAALLINQSSVWSFNPCFYSMLVEVGWYTFRRQDLVGHLGFINERAKRGVPVVGDWAIRNGSCPKDGTKAPKDYACVSTNSYCVSASNGPGYLCNCSQGYEGNPYLSNGCQDIDECKLRKQDLKYKDLYPCRNGICRNIPGGYICKCRIGTRSDGKNSGCRPVLTQTEQVATGLSGSAVVMISLTCILVMKLQRRKHRREKDAYFKQNGGLKLYDEMRSRQVDTIRILTEKEIKKATDNFSEDRVLGCGGHGMVYRGTLDDNKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFISNGTLFEFLHGSDARSPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDNEYNAKVSDFGASALKSMDKNDFIMLIQGTLGYIDPESFVSHRLTDKSDVYSFGVVLLELMTRKKAIYKDTSNEKRSLSHTFILMFHQNELQSMLDTEIVDDQVIVVLEKLAELVMHCLSPKGDDRPTMKEVAEGLQMLRRLQMQLVTKMHPIQAHYSCGGPSVFVPSGKMGYQSTETAKPVLDVDLAR >PAN20401 pep chromosome:PHallii_v3.1:3:37699299:37699643:1 gene:PAHAL_3G363800 transcript:PAN20401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAAHPTGGGPAGGGKPLRRGLFAKIANIPPFQRAGGLPRDVPPAQRAGRSGPPAAATGGRSPKGHPARCWAGVEARGIPPPQRAGGRREGQPALPTGGMSPHHLFKPPPPTP >PAN21454 pep chromosome:PHallii_v3.1:3:60305603:60306598:1 gene:PAHAL_3G467700 transcript:PAN21454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSKASAAALLVAAVAVLLAASASAQTTHDNCAKNKKITVQNLCAHDVALTLEPLANSPHLFNGAPTYTLRPHSHAEFPVCWWTGRLKAPGAPTAEFHVGIDGGSFYLAANTRQPGLGVPVIVSPHGSPLQGECPAVGCPVQGPCSASQVPSGRCRNVQEIKVIYCSPHV >PAN18735 pep chromosome:PHallii_v3.1:3:14366185:14368475:-1 gene:PAHAL_3G221600 transcript:PAN18735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVRIKRSKDAVKFKVRCSKYLYTLCVYDTEKANKLKQSLPPGLSVQEV >PAN17610 pep chromosome:PHallii_v3.1:3:9235761:9239465:1 gene:PAHAL_3G143400 transcript:PAN17610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAARWFRSFLGKKEPAKDQRRQREDQQPPPPPPSAKRWSFGKSSRDSAEAAAAAQAAVPAGAGGNAAIARAAEAAWLRSAAYAETDREREREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRAAPVLAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQATVRARRAGVPVLPHLHHPPVRPRYSLQERYADDTRSEHGAAAYSSRRLSASVESSSYGYDRSPKIVEVDPGRPKSRSSSRRASSPLLDAGSGGGEEWCANSACSPLPCYLSTGPPQPPRIAVPTSRQFPDYDWCALEKARPATAQSTPRYLHVHAPATPTKSVAGYSPSLHGCPNYMSSTQASEAKVRSQSAPKQRPELASCGGNGGGARKRVPLSEVVVVESSRASLSGVVGMQRGCGARAQEAFSFKTAVVGRIDRTLEVAGVENDRLAFLQRRW >PAN21787 pep chromosome:PHallii_v3.1:3:62045452:62047030:1 gene:PAHAL_3G488600 transcript:PAN21787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAASYVADDVDSCLKATELRLGLPGTEETPAAALPTPPSTPRGKKRDGNNTGAEEAAKTAPPAAKAQVVGWPPVRSYRKSCFQQSSSAAKSKPAAPEEKAPAPAPAPAAAAAGGNALFVKVSMDGAPYLRKVDLKMYKGYRELREALEAMFLCFSGGADAPAVNPSDFAVTYEDKDGDLMLVGDVPFDMFISTCKRLRIMKGSEARGLGSVKNN >PAN19103 pep chromosome:PHallii_v3.1:3:16193042:16193626:-1 gene:PAHAL_3G249600 transcript:PAN19103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRPGRHQRRASQSVFVLPENLATLDVDAAAEAGGNKAGTDGSGAEQQARPPVGRHRRAMSVAVASRDLELIKEDLGSYKIGA >PAN19641 pep chromosome:PHallii_v3.1:3:19530871:19532768:1 gene:PAHAL_3G287500 transcript:PAN19641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLSPSTLFTPLRGSRRSALAATATVSPRPAVVSCALKKPQAGVAAAPSSHGDPGAVGRSWVSFLHHGLAAAALSLAISLAPAPAPAAASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLEARKNIRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGSNKGIIVLVTSQKEGAVTGGPAFIQAVGEQILDATVSENLPVLATDEKYNEAIFSTARRLAAAIDGLPDTGGPSFKENKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >PAN17484 pep chromosome:PHallii_v3.1:3:8672456:8677085:1 gene:PAHAL_3G134800 transcript:PAN17484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVMMSRRSKWRAAEVALPMACTVLLLCVGCAVAVDEQGAALLAWKATLRGGDALADWKPSDASPCRWTGVTCDAGGGVTELSLQFVDLFGGAPANLTALGATLSRLVLTGANLTGPIPPGLGELPALAHLDLSNNALTGRIPAELCREGSKLETLYLNSNRLEGTLPDAIGNLTALRELIIYDNQLAGKIPAAIGRMASLEVLRGGGNKNLQGALPTEIGNCSRLTMIGLAETSITGPLPASLGRLKNLTTMAIYTALLSGPIPPELGQCSSLENIYLYENALSGSIPAQLGGLKKLRNLLLWQNQLVGIIPPELGSCPGLTVVDLSLNGLTGHIPASFGNLASLQQLQLSVNKLSGTVPTELARCSNLTDLELDNNQLTGSIPAVLGGLPSLRMLYLWANQLTGTIPPELGRCTSLEALDLSNNALTGPIPRSLFGLPRLSKLLLINNNLSGELPPEIGNCTSLVRFRVSGNHIAGAIPAKIGKLGNLSFLDLGSNRLSGALPAEISGCRNLTFVDLHDNAIAGELPPGLFQDLLSLQYLDLSYNVIGGTLPSDIGMLTSLTKLILSGNRLSGPVPPEIGSCSRLQLLDVGGNSLSGKIPGSIGKIPGLEIALNLSCNSFTGTIPSEFAGLVRLGVLDVSHNQLSGDLQTLSALQNLVALNMSFNGFTGRLPETAFFAKLPTSDVEGNPALCLSRCTGDAGDRERDARRAARVAMAVLLSALVVLLLAAALILFGRHRRAVRAGGEDKDGEMSPPWNVTLYQKLEIGVADVARSLTPANVIGQGWSGAVYRANLPSSGVTIAVKKFRSCDEASVEAFACEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGSTSAGTAVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKAENILLGERYEACLADFGLARFADEGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDPSFGEGQSVVQWVRDHLCRKREPMEIIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDSIEARKAGGAEAEAAGVKKWPDPKQPISPSKLIALAQPAQAQAQSRSSSGSQSLLKNRE >PAN17497 pep chromosome:PHallii_v3.1:3:8765635:8768959:1 gene:PAHAL_3G136100 transcript:PAN17497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMAAACSSSSSSSAWAAARLSYPPPALPSWRHVALPPSPSSAPSSHGCRWPVAGAGAPALPLSIRGGLRPLPSALLAAGGARAGAAARTRTAAAAAASPAAADGGGKPEGANGIPRTLQLGAMILGWYLLNVYFNIYNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPRPRLSLKQYAKILPLALIHMLGNVFTNVSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSVLVLGSLVPIAGGVVLASVTEVSFNWIGFWSAMASNLTNQSRNVLSKKFLADKEDSLDDINLFSIMTVMAFLLSAPLMLSVEGIKFSPLYLQSAGVNVKELCVKAALAGTCFHFYQQVSYSLLARVSPVTHSVTNSLKRVVVIVSSVLFFRTPLSPINALGTGIALAGVFLYSQLKKAKPKSKAA >PAN21622 pep chromosome:PHallii_v3.1:3:61412591:61419093:1 gene:PAHAL_3G480700 transcript:PAN21622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSRTVCKECIAYHYWHHMDDRKRFFKVLMGDFKNGVTIPRKSVANIREHLSEEVKLEAPDGKTYTVQVAMEQNELVLRSGWSDFACAYELKLGDLLVFRNSEKSHFKVRIFGPSGCEKELSCVLMDGAPCVQERKVSHDNHTQSSTGKRMAIGNPSGSRKTLKTNPTDSPSQKNEEHVPSSEGIQKPMNSGGSVQKPTKSCIVLPTGCNMTSEQRAQVITLEQKTQPELPFYITAMHRRSVASGILAISKNYAMKHLANKSGIIQLSQLDGSKIWAINLDITTKGHYAVSTGWMDFIRDNKLREGDICIFQPSKSKNGVTLIFHPLEESHCLQPPGYVPSSRSPAHGVTEPGYIVPRKTILTDQQKHQVEEKVRAIRSPYHLFVLIVQTSNITERSCIMGS >PAN21675 pep chromosome:PHallii_v3.1:3:61602118:61602947:1 gene:PAHAL_3G482800 transcript:PAN21675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMVSSKNPPPAAGYGDGEGGSVDAAAAAPVTSFLYLHPGAGTLDRDAVLRRIRHRRRHNRLRDTLRSMLQAPPPEPEIMDGAERQLPWPLDDAFSAP >PVH62511 pep chromosome:PHallii_v3.1:3:22509356:22510671:1 gene:PAHAL_3G313700 transcript:PVH62511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYSMATDDRFQRRTTPSGGVHQSDPTAWCAWAHRGHRLWAAMPAAFWLYVYKVQRCPEMSSHDWKACPYAHIGERARRRDPRRFPYLAAPCAEYLASRRQHLLARTGAAPSCARGLWCRQAHGSFELWLHPSRFRTRMCERGVGCPRSICFFAHFPAELRGGGEDDPVPLVGLPPTPPRTLSAPAPLSFLSRDDLIMQAMPGELRLYDGAGAPPSFASPATVAVATSARVPALLQASPDDGVLAGRSGSCSSEDGSVTDDYAHLDLIMDMVNHLEIS >PVH63103 pep chromosome:PHallii_v3.1:3:60781771:60784676:-1 gene:PAHAL_3G474800 transcript:PVH63103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDEPRTPKPADMRSRGAHKHWCKVCTKNFPSRRALGGHMSCHRFAGMQPRSTPSPPVIVVDLPVSLLNSSDEKPSLTSLETKCLHCSKEFSTCQSLRGNMQMHSAKKVMIKPDEEPAGLMGASANANGYHGHKVMLFSPVKRKRSKRGMPALDSEEMCAAATLLMLAGYSDKSSAYDSCCRGDNNDNISTPNLLKEVNLNAFDQLSQSDEFLNNTRPKSDKNSAFEGFYDFSEKENNLNLAADVPKKMVLLNVFDDGLVDGDAEFMKPGADISVDEVKYGSLSAAVNIKRYQCKVCRKLLRSEYALGCHMRLHCEKENSLNLVPKKLAQLNVFDHGLDVDAEFMKPGVNNSIEDLKSGDLSAAMNIKSYQCKVCGKLLRSGRALGGHMTLHLHHGQKTLNLVADVPKTEVLLNVFDHGLDAGAEFMKPGVNNSIEDLKSGDLSAAMNIKSYQCKVCGKLLRSGRALGGHMTLHLHRGQNTLNLVADVPKTEVLLNVFDHGLDAGAEFMKPGADISVEELQSGVLSAAVNIKRHQCKVCGKLLRSGRALGGHMRLHYVRKCNLDQGVADCPNSAMMEEQMQKLGLDSSILYRRRPRSHGSEI >PVH62117 pep chromosome:PHallii_v3.1:3:13496681:13503085:-1 gene:PAHAL_3G207700 transcript:PVH62117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPKRMVAVSWSMLLCLAAAAAVLKARAQLDSIGFISIDCGLPGTANSVDDATKLSYAPDAAFTDAGSNHNISVEYITPTLAKRYLNVRSFPDGARSCYMLRSLVPGLKYTLRAEFKYGNYDGLNRPPVFDLYAGVNFWSRVNASSQKVVVLEAIVVVPDDYVHVCLVNTGSGTPFISALELRPLKSSLYPQVNATQGLVLLSRRNCGPTDDADIVRYPDDPHDRVWLPFVNTARWEAISTSNKVRNLDDSEFAAPSKVMQTAITPRNASGRIEFFWLSEPQPGDPTPGYIVILHFSELQLLAGNAVRQQHLVLNDDLRFWSDYTPPYLLSDTIYSMKPLPRFSRYNFSINATANSTMPPIINAGEIFFVFSTANVGTDYSDVSAITAIKAKYRVKKNWAGDPCVPNNLTWDGLTCSYANSNPSRITRIYGNNPNLCTNAASCKPAKGRNKLAVYVAVPVVLVVVIVSAVLVFPFLIRKKQGSTSTNITMRPQIETMMSYAPATLPPGDAHTQSSLHLENRKFTYKELEMITNNFQRVLGRGGFGEVYNGFLEDGTQVAIKLRSQFSNQGVKEFLIEAQILTRIHHKNLVSMIGYCKDGQYMALVYEYMSEGTLQEQIAGNGRNGKLLTWRQRLRIAVDSAQGLEYLHKGCNPPLIHRDVKSTNILLNAKLEAKIADFGLSKAFNRDNEAHVSTNALVGTPGYVDPEYQATLQPTTKNDVYSFGVVLLELVTGRQAILCDPEPTSIIQWVRLRLARGNIEAVVDKRMRGECDVNSVWKVADIALKCTMQASTQRPTMIDVVAQLQECLELEEGHRIGDGTRGSFYSGSSNSDLNLSYNAHAADSRSTDVSQTSTPFEMEHNFEKVPRTDRGPVAR >PAN17812 pep chromosome:PHallii_v3.1:3:10068584:10071156:-1 gene:PAHAL_3G156300 transcript:PAN17812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAIHLRPLRSFAFSSARSRSLLHLLARPLSSSQASYAYHPYPSPAAAAPNHVHQPHQQQWAPTRNPSPLGPPPQWPPQGHPSPPHPGNYQQQAPPPPPPPRGYGPPPPQQHVPPPPSGGHGPPPPRGHGPPPPHQHVPPPPPRGYGPPPPHHQGPPPPPPPRGYGPPPPQKQAPPPPSRGYGPPPPQDQAPPPPHGYGPPPPQQHSTPPLPPPEPVAGPGELMGLCREGRVKDAVELLAKGARADPPAFYELAAACSNPKLLEELRKVHDFFLRSPFRGDLRVNNKLLEMYAKCAAMPHARRTFDNMPDRNMDSWHIMIDGYSVNGLGDEALRLFELMKECMAPSSHTYVLVLNACANSEAIEEAFLYFDAMSKDHGIEPGVEHYVGIIEVLGKSGHLNEAMEYIEKLPFEPNAMVWESVLNLARMNGDIDLEDRAEELLVSLDPSKENPKKLPTPPPKRRLGINMLDGRNKLAEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >PAN16887 pep chromosome:PHallii_v3.1:3:6007598:6008473:-1 gene:PAHAL_3G094300 transcript:PAN16887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMELCAQSASVHMSTHGIMCIAFFTITQKSVNMMGGLFISFSLIKPDSIVGDIHLLLSSKRFLCCI >PAN20392 pep chromosome:PHallii_v3.1:3:51129167:51130158:-1 gene:PAHAL_3G403400 transcript:PAN20392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVMASSATSVAPFQGLKSTAGVLPVSRRSSRSGFGKYVSNGGRIRCMQVWPTENNKKFETLSYLPPLSTEDLLKQIDYLIRNNWVPCLEFSKVGFVFRENATSPGYYDGRYWTMWKLPMFGCTDATQVYAELEEAKKAYPDHYIRILGFDNVRQVQCVMFLAYKPPSSE >PAN18920 pep chromosome:PHallii_v3.1:3:15253037:15253378:-1 gene:PAHAL_3G235600 transcript:PAN18920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGGRIPDRSRFDKRIKSSEPARGGRVRVPRPCNRASRSGGWAAAHGGSQLLRVRPRRRGRISRRDRGITARSLRRSRRDGRRWCRAESQGGTALRFHVRAFGEVRFGQRHK >PVH61370 pep chromosome:PHallii_v3.1:3:972005:975111:-1 gene:PAHAL_3G017900 transcript:PVH61370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAPAVAIILLVAVGASLLLQLAAAAPTPGHAAQGVTLSVHQGQVVVDNGVAQVTLSKPQGHITGVRYNGEQNLLDYGTRNDNSGGYWDVVWNYPGSAAHPTGMIDMLDGTEFRVVSSTDQQVELSFRSAYDPSSPNSVRLNIDKRLVMLKGSSGFYCYAILEHASGWPALSIAEARLAFKLNTAKFNYMAISDDIQRHMPSAADRDAPRAAPLAYKEAVLLVHPIEPQFKGEVDDKYEYSLDNKDNVVHGWISSSHPNPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGNDIVLNLGDGEYWKKVLGPVFIYLNSNPKNGNLRALWDDAKAQAQAEAGKWPYSFPGSPDFAKAGDRGSVAGRLLVRDRYVSKADMPAGTAFVGLAAPGQPGSWATECKGYQFWTRATTSGRFTVGNVRAGVYNLYAWVPGFLGDFMRASPVTVTSGGDIDLGDLVFEPPRSGPTLWEIGVPDRTAAEFFVPDADPKYANKLYLNMDKYRQYGLWERYAELYPGGKDLVFTVGQSNHSKDWFFAHVTRQVGNGSIPTTRQIRFELDHVVADGTYTLRIALAAAHMSRLRVQVNGGGARRGRGGVFTTAEIGDGNAIARHGIHGVQRSFEFPIRGRLLQEGENSISITQTRALEGLFLGVMYDYIRLEGPADPPRPRQVHDGRQFAGIR >PAN18893 pep chromosome:PHallii_v3.1:3:15174756:15181062:-1 gene:PAHAL_3G233900 transcript:PAN18893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFLQKLKRLDAYPKVNEDFYKRTLSGGVVTLVAAVVMLLLFISETRSYFYSATETKLVVDTSRGERLRVNFDITFPSIPCTLLSVDTTDISGEQHHDIRHDIEKRRLDSHGNVIESRKEGIGGTKIERPLQKHGGRLDKGEQYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVRGFLDVSKVAGNFHFAPGKGFYESNIDVPELSLLEGGFNITHRINKLSFGTEFPGVVNPLDGAQWTQPASDGTYQYFIKVVPTIYTDIRGHKIHSNQFSVTEHFRDGNIRPKPQPGVFCFYDFSPIKVIFTEENRSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >PVH62096 pep chromosome:PHallii_v3.1:3:13320469:13320843:1 gene:PAHAL_3G204600 transcript:PVH62096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGGNASLRLVSACLALCLLLSRFSPCEGRKLLVAEEEGGKAMHFEGDPVLRVAPSPRIGYGPGAVLGGRPGAQSAHQGHAIDALLRSVPHLGPGLASAEFSSSSFLYEAAPRDGKGFKNLT >PAN16751 pep chromosome:PHallii_v3.1:3:5455740:5463151:1 gene:PAHAL_3G083300 transcript:PAN16751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to MADS box protein [Source: Projected from Oryza sativa (Os05g0203800)] MHIQEVQATPSTDIMWGFSGQSTLTSTTGPKLKEPAVSSPGSGSVGAAAAAEKNGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKATSDNSSAAGTVAEVTIQHYKQESARLRQQITNLQNSNRTLVGDSIATMSHKDLKQLEARLDKGLVKIRARKNEVLSSELEYMQRREMELQNDNLYLRSRVDENERAQQTVNMMGAPSTSEYQQGFIPYDPIRSFLQFNIMQQQPQFYSQQEDRKDFNVGGR >PAN16750 pep chromosome:PHallii_v3.1:3:5455740:5463151:1 gene:PAHAL_3G083300 transcript:PAN16750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to MADS box protein [Source: Projected from Oryza sativa (Os05g0203800)] MHIQEVQATPSTDIMQWGFSGQSTLTSTTGPKLKEPAVSSPGSGSVGAAAAAEKNGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKATSDNSSAAGTVAEVTIQHYKQESARLRQQITNLQNSNRTLVGDSIATMSHKDLKQLEARLDKGLVKIRARKNEVLSSELEYMQRRVDENERAQQTVNMMGAPSTSEYQQGFIPYDPIRSFLQFNIMQQQPQFYSQQEDRKDFNVGGR >PVH61638 pep chromosome:PHallii_v3.1:3:5455740:5463151:1 gene:PAHAL_3G083300 transcript:PVH61638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to MADS box protein [Source: Projected from Oryza sativa (Os05g0203800)] MHIQEVQATPSTDIMQWGFSGQSTLTSTTGPKLKEPAVSSPGSGSVGAAAAAEKNGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKATSDNSSAAGTVAEVTIQHYKQESARLRQQITNLQNSNRTLVGDSIATMSHKDLKQLEARLDKGLVKIRARKNEVLSSELEYMQRREMELQNDNLYLRSRVDENERAQQTVNMMGAPSTSEYQQGFIPYDPIRSFLQFNIMQQQPQFYSQQEDRKDFNVGGR >PVH62163 pep chromosome:PHallii_v3.1:3:14475734:14477748:-1 gene:PAHAL_3G222800 transcript:PVH62163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSKKCDESPGSVGEAARRRSEARDPPPPPPEEETVKEVLSETPKAKPRPRPRRVAASAGQEAGEAVAKAKVGGARVKKDGGGGRARRAAGPARSGPSGDEKSEVASESSAATTAAGPERSPAKASARRRAAAVPGEAARGARRDRGGSSPVPGAGRAGVGAGRASPSPPPPPRRRDTGERPGRRSPSPAAKRTQEQRRASAGAAAATPGTQRKPPVPARPCGRASPRRGQEAPSPPRLSPPAQLPDAPAGSASPPPAPLAEEENAAATAGEGEGKESLDNPLVAMECFIFL >PVH62158 pep chromosome:PHallii_v3.1:3:14307357:14311785:-1 gene:PAHAL_3G220500 transcript:PVH62158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGRLPHALASPLRAHCPTPPRLSRTPPGGRGLGRLHLQAEGTRRRCCRASVSSAPAAARMEMDPSEVKKGLYAQVEPYDTGFLKVSDVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGRSTPHACLEQNTTWDLVADIEKLREHLDIPEWQVFGGSWGSTLALAYSQTHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEEERNCFIAAYSRRLTSSDPTVQIEAAKRWTMWEMMTAHLIQNNDNIKRGEDDKFSLAFARIENHYFVNKGFLPSDSYLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKVWPEAEFKVVPDAGHSANEVGIAAELRSATEKLRDMWRK >PVH61708 pep chromosome:PHallii_v3.1:3:6449632:6450275:-1 gene:PAHAL_3G101500 transcript:PVH61708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKGVEELHAGMGGWLRPARERRWPTWTAQSITGCVSVTFTGHGPRFLYFGKQLASDLYEKIVLFFFLPLPSPSLVQLLDCRIQLVSGKEWNGASEKSGFSKSVIESSGAQFVSLSTRACVSLSSGRFMTDQLVFTWKLLWHGEIR >PVH61709 pep chromosome:PHallii_v3.1:3:6449632:6451305:-1 gene:PAHAL_3G101500 transcript:PVH61709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKGVEELHAGMGGWLRPARERRWPTWTAQSITGCVSVTFTGHGPRFLYFGKQLASDLYEKIVLFFFLPLPSPSLVQLLDCRIQLVSGKEWNGASEKSGFSKSVIESSGAQFVSLSTRACVSLSSGRFMTDQLVFTWKLLWHGEIR >PVH61707 pep chromosome:PHallii_v3.1:3:6449632:6451305:-1 gene:PAHAL_3G101500 transcript:PVH61707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKGVEELHAGMGGWLRPARERRWPTWTAQSITGCVSVTFTGHGPRFLYFGKQLASDLYEKIVLFFFLPLPSPSLVQLLDCRIQLVSGKEWNGASEKSGFSKSVIESSGAQFVSLSTRACVSLSSGRFMTDQLVFTWKLLWHGEIR >PAN17686 pep chromosome:PHallii_v3.1:3:9658775:9667942:1 gene:PAHAL_3G149700 transcript:PAN17686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPDELGRRLAAVGVSEPPAAAAALESSNNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTQELHRIRSEAINTNQTSSRGIHQDGTLDAHTNTFSGAPLGTTEAFKWGAGEPMLQDAMRHKYLEAAQSNGIPRKLSGDQSAADSAFPSQLSTPSSRSLSPTRHRKEPDYDLRFNLSGQGALPVSELNSNVMWKQDLLAKVKEHEEEIAQLRRHLSDYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLSEYNLQPSVLDAQSIVSNLKVLFKHLQEKLIITEEKLKESQYQITPWRAESSNNTSAAAQSPSHPPGNALVTSVKANLDIVPQQAYSHVQSPASSPVRTRRDWDLLGNENRQTIPSEVATTSAEHDSIGRTSPSTSNHNMKDVPQGTEQDSRAVRFNIESKDQNPSFKDLIRSDASENLEGTEAQNPQEPSAQWDTEGSPKLASGLDDANPPYPYLPTVLEEPSSSFSEVAEDDPLPAIDGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLDDGSVQFIEGARQPTYLVTADDVDSVLAIEVQPLDDRKRKGEIVKVYANEQRKITCDPETKELIKKILSTGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVVTEKFQQAMTINIPYGRPNEFSIVSADGAEYNLKPAENAPSRDAIVLILRLFRMKAVEKSKGRRKGIFFK >PAN21909 pep chromosome:PHallii_v3.1:3:62905516:62908229:-1 gene:PAHAL_3G498900 transcript:PAN21909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSGDTPLTAANNIQPFLVLHKAAASSVPSSHARRRIQTSQPSSPSTYLADRCHDAARVQCEDEGDLDLYEQLRLEAFQQTWSKIQSTIDEVLKGINLKLFDQVLQWVKESFSLVRAIARPHHTEVQQSYPLLTDVICKRIPTAFILTKNAEFVDDITTFRDLAGHLESNGCHLAKLSATELSAKHGVGGCFRSLLRQLLSDVPDVAGVSVLASWYCEAENYDKPIIVIIDDLEQCSGDVLGELVLMLSEWVIKIPIFFVMGIATTLDAPKKLLSSEALQRLEPWKLTLGSPSDRMNALVEAILVKPCAGFCIGHEVAMFLRNYFFRHDGTITSFISALKLACSKHFSLEPLSFLCVGMLEEDCEEFWRDKFEALPQQIRKYAFGFPSCASAKISSNSSNNIIEGLSKLLRLQKDWGSVLLCLYEAGRHDKVQLLDIFCEAVNPDLQTENVLFVSEVTCESLSGVKSGCGKRSITQVINTIRHLPMETLLHVLEVWSNHLKGMSEIGMSEINDKVKELQSTTISADSVRLAKEKWTRRSAASTGNGTDPLNEKAAVLLQDVTRKYLVPVECLPFHEIICFKNVDVLQSALIGNPRRMVQLDLLKSQSHLKCSCCRNGTAVSGSLHDTSIMCNLAQEYGDVINLHDWYMTFEGIINSTNSKAKRKSYSSPSKKKSKPSSPEGKAMIQARFCRAVTEMQITGLVRMPSKRRPDLVQRIAFGP >PAN16437 pep chromosome:PHallii_v3.1:3:3784364:3789634:-1 gene:PAHAL_3G060400 transcript:PAN16437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) UniProtKB/Swiss-Prot;Acc:Q9FFN7] MDLVGPLLVAMAGLLDAPGLQFFGWLITAGSFGLAALIYALLRLQREASLYWTKAAAREKRAAWKTLRCPSSSHTWTEDCFRGGQPSTCCMCLSSLGSAQGVVGSRAAEADVVHRCSVCGVAAHSYCSRGAEKDCKCVAQAGVSPLLHHWSERWVELDDNPEISSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPQSVKEISEGPAISGMLNSIKEGFVNSSRRSRDRRPRSKKRMNNHSGGKTDPTPTSSSILDSVLEGFARLQGLDGKYALANPKLSQNSANQTYGSGIPNGAKRKYELVDLPQDSRPLLVFINAKSGGRNGPSLRRRLNMLLNPVQIFELSASQGPEVGLHLFHNVKHFRILVCGGDGTVAWVLDSIEKQNYESPPPVAILPLGTGNDLSRVMRWGGGLSSVERQGGICALLNDVDHAAVTVLDRWNVAIKEKNGTEGQCTKQVKFMTNYLGIGCDAKVAYDFHTSREEKPDQFCSQFVNKLIYAREGAKDMMDRSCSDLPWHVSLEVDGKNIEIPEDAEGVIVMNIPSYMGGVDLWQNDNDHDDDFSLQSIHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >PAN16436 pep chromosome:PHallii_v3.1:3:3784352:3789687:-1 gene:PAHAL_3G060400 transcript:PAN16436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) UniProtKB/Swiss-Prot;Acc:Q9FFN7] MDLVGPLLVAMAGLLDAPGLQFFGWLITAGSFGLAALIYALLRLQREASLYWTKAAAREKRAAWKTLRCPSSSHTWTEDCFRGGQPSTCCMCLSSLGSAQGVVGSRAAEADVVHRCSVCGVAAHSYCSRGAEKDCKCVAQAGVSPLLHHWSERWVELDDNPEISSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPQSVKEISEGPAISGMLNSIKEGFVNSSRRSRDRRPRSKKRMNNHSGGKTDPTPTSSSILDSVLEGFARLQGLDGKYALANPKLSQNSANQTYGSGIPNGAKRKYELVDLPQDSRPLLVFINAKSGGRNGPSLRRRLNMLLNPVQIFELSASQGPEVGLHLFHNVKHFRILVCGGDGTVAWVLDSIEKQNYESPPPVAILPLGTGNDLSRVMRWGGGLSSVERQGGICALLNDVDHAAVTVLDRWNVAIKEKNGTEGQCTKQVKFMTNYLGIGCDAKVAYDFHTSREEKPDQFCSQFVNKLIYAREGAKDMMDRSCSDLPWHVSLEVDGKNIEIPEDAEGVIVMNIPSYMGGVDLWQNDNDHDDDFSLQSIHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >PAN19574 pep chromosome:PHallii_v3.1:3:19106161:19107913:-1 gene:PAHAL_3G283900 transcript:PAN19574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRIGEFGESEGDWRYSSRHSGGCFCVQCRSRGAIRATIPISQHEYTKPSCSPCCRAPFRLPRSLALLHDVSMARGARAPPGLHFTPSLCAMPPASSPPRQSQGEQAAASQASDGIAEYGLCTQIIVV >PAN18152 pep chromosome:PHallii_v3.1:3:11920420:11931727:1 gene:PAHAL_3G181900 transcript:PAN18152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGDGGDEGAGAPSAAGMGSPGPASAPAAVAGGSSGAGASGSGGKPPVKRVMKTPYQLEVLERTYTEDSYPNETKRAELSVQLGLTDRQLQMWFCHRRLKDRKPPAKRQQRDEEVTMPVIAPPPVLPPPLPPSEIMVGTVGAYGEQMLPYSRRGPGRSSAVPRISVPEIGSRYYEPPPVILPHMAAVHLTQAEHRVIDSVEASIGEPLREDGPVLGIEFDPLPPGAFGAPIVPEQPKQPYRSYESKMFSGHDAKPLKASAFLPTIDPLLPNTVTGKRKSLVGSSSHLGSQAVHEYQFLPEQPSDIYERASQSRYYDAPTEVSNSRIASLSTGSRFLHGAEQAPSYSFHGQLSASSHLAQHGRSPILSGSADHEGALSNINVGPTPIHGQFGIPQVAGFENSHASSERMGYHDEDTYRVDRKRKHNEEAKIAREVEAHEKRIRKELEKQDLLNRKREEQMRRETERHDRERRKEEERMMRERQREEERFQKEQRREHKRMEKFMQKQSIRAEKLRQKEELRREKEAARQKAANERATARRIAREYMELMEDERLELLELACRSKGLPSMLSLDSDTLQQLDSFRGMLGQFPPETVRLKVPFSIKPWAASEDNIGNLLMVWRFFITFADVLGLPSFTLDEFLQALHDYDSRFLGELHVALLKSIIKDIEDVARTPSVALGVNQSSSANPGGGHPQIVEGAYAWGFNILNWQHHLNVLTWPEILRQFGLCAGFGPQLKKRNAETVYYRDDNEGRDGADVISTLRNGSAAVSAAALMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKTPYRKDPADSEAVLSAAREKIRVFQNALSECEEVEKDVDEAERDEDSECDDADDDADGDDMNIDDKDAKSPLVRAQDGAPVTVVCDVKKESKESNSVVNTLAPQIPQTKSSESVSLHTFDTKASTSTDPAVGDDAKYTEIDESNQGESWVQGLAEGDYCDLSVDERLNALVALIGVATEGNSIRAILEERLEAASALKKQMWAEAQLDKRRTREDFTSKTQYDSCVGLKVDTDRENNAAESTLTPVHNPIKNNDGNASAANNDLLVDKQNQLITGDIAHQQNGVSRESSINPESLSVQQYASSEKTRSQLKSYIGHKAEQLYVYRSLPLGQDRRRNRYWQFSASSSSYDPGSGRIFFESRDGYWRVIDSAEAFEALVASLNTRGIRESHLHSMLQSIEPTFKEAVERKRCSTLEQPAGRILKNGSNEIISPNCGNEFGSPCSTLSGVASDNLMAHSDTFKIELGRNEAEKIAILKRAYVFVKWMWRECYIHQSTYAMKYGKKRYPELIQSCDYCYQIYLTEERHCSSCHKTFKPIHNFLEHSSQCEEKQRTDPNWKMHIVDHSVPIGLRLLKLLLATIEASVPAEALQPFWTDGYRKSWGAKLYSASSAEEVLQMLTMLEGAIKRDYLSSNFETTTELLNSNTQDTNQNSVARSGSATVLPWVPDTTAAVALRLLDLDSSISYTFHPKAASNKDREAGDFMNLPPRYPAIRNKQEIEHFGAIGFDQQDGMLLANSNGRRGRGRGSRGGSRGGRSRSRGGKVPRGISSSSRIQFRDDNNISYEKGPLKIAKNTRGRGRGRGRGRGRGRGRGLRTVRPRQPSELGTRSIPKANLLGSFSMLSKANRSGTVHSPESSGAEEWALERREYVEDDDNNSVSQSDESEENENGEPMNEEYDDEQVPGYRRDNSESSPLQMMDDGSEDNDEDAEGDEEGDDGEDYEAEDPVGDDDDDVDMGGDGEIGDEDDDDDDGGDGVANADVDEGGTSYSSEYSE >PVH62699 pep chromosome:PHallii_v3.1:3:40760471:40761626:1 gene:PAHAL_3G373700 transcript:PVH62699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVAAVNEALDRALPLRHQERLHESMRYSLLAGGKRVRPVLAIAACELMGGDEAAAAPVACAVEMVHAMSLVHDDLPCMDDDDLRRGRPANHVAFGISTALLAGDALLALAFEHVARGCAGGVPAERALRAVAELGNAVGAEGLAAGQVVDLASEGAAVGLATLEYIHVHKTGRLLEAAAVCGAIVGGGTDEEVESIRRYARCIGLLFQVVDDVLDVTRTSEQLGKTAGKDLAADKATYPKLMGIDGARAYAAELVASAEAELDRFDAGRAAPLRHLARFIAYRQN >PAN18791 pep chromosome:PHallii_v3.1:3:14709529:14710945:1 gene:PAHAL_3G226200 transcript:PAN18791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFVAAAAAAALCLAALAAVAVGQGGFERQRLRDLRCHREVEESPLEACRQVLDRQLTGGMRYGVGPFRWGVGLRMRCCQQLQDVSRECRCSAVRRMVRGYEETMPPLEEGYGGDESAGEGYYGGEGYLPFPFGTGRRIGRVRLTKARQYAAGLPMMCRLEPQECSVFSGDQYS >PAN19481 pep chromosome:PHallii_v3.1:3:18457104:18460175:1 gene:PAHAL_3G276500 transcript:PAN19481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAAHHHRLLSSSSAAAPPARRRRRLSSLPFSPRPSSHGRLSSSTRASGGGGTSSAAAPPAAAATSASLSLEELRRGCTTWTWRGMRVNYLARGQGPPVLLVHGFGASVAHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLKEVVKRPTVLVGNSVGSLACVIAASESNRDVVRGLVLLNCAGGMNNKAIVDDWRIKLVLPLLWLIDFLLNQRPIASALFNRVKNRDNLKDILLSVYGNKDAVDDELVEIIRGPADTEGALDAFVATVTGPPGPSPIALMPRLADVPVLVLWGDRDPFTPIDGPVGKFFSKLPSELPNVTLHMLEGVGHCPHDDRPDLVHDRLLPWLDGLPPPAAGAAAV >PAN17522 pep chromosome:PHallii_v3.1:3:8864398:8865830:-1 gene:PAHAL_3G138000 transcript:PAN17522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSPPTPPAAAAPYVELRSAERVPDTHAWPAGPDDHHPSVEAVGRDAVPVVDVGDPGAARAVARAAEEWGAFLLVGHGVPARVTAGVEEQVARLFALPAPEKARAGRRPGEASGYGYPPALHLPKRMWSEGYTFPAAAVRAEFRRVWPEGGDEYLRFCDVMEEHHWEMRALGGRLLDVFFGALGLTADQIAAGETERKIRETLMATMHLNLYPRCPEPERAMGLAAHTDSGFFTFITQSPVPGLQLLRRGPDRWVTVPAPPGALVVVLGDLFQLLTNGRFRSALHRAVVNRERDRISVPYFLGPPADLAVAPLASAVPPGRKAAFRAVTWPEYMGVREKALRTDASALAMLQVEDEGEDGGVPPKS >PAN21289 pep chromosome:PHallii_v3.1:3:59222968:59226083:1 gene:PAHAL_3G456400 transcript:PAN21289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGVLGAAQEPREQQLLQQREEEKGAGAAADRKGPGTVAVAGMKFRVSARAPHGVAALLLIGGTAVVGAAVLAWRRSHRGKKGAERQRDRQPAKGEVLDGGVVEDGKVQDGALMQKLDQSNENLSAEKKEIGSGRLDGKETEESHQIHKDNEIVADQLDSKPEEKIDQNSGKNPVEVNMDDTDKEHVEKIDQNSSTNRVVITHDMCQDKEHVEKIDLVERIDQNSSMNPVGIGMQEVITICLIPGNVEKVDEDSSKNNIEKDITQKDNKDVKASDQSKLSISGPGIIFSKNNDESDDVQEAESMENTPTAQLMMQQDQLLDDMVTDTVTETEESNEGEGTSTDETELKQDEKKALAGLTELVSSPAVSSLFKPAEKKGPEFPGLNERGMKIKQDYTNGELREHDMISKGGVQGGAIATLDRRSPALAILALIFAMTIGITIIVRLYAPTRATKLQMDL >PVH62198 pep chromosome:PHallii_v3.1:3:15244973:15248733:-1 gene:PAHAL_3G235400 transcript:PVH62198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVGTWTARSPLRSSSNVRSGRDGGDEIKRREPTPRAPTKPGLRAAPTSSPIGALKSHSHGHTSPDHTIPNVQTKPNSARESLPPPSPTSRESTHGRGAGVMEFLQGQRLETTVAVAVAVVAVAAGAAYLFLRSRKPRGCLDPENFREFKLVEKRQLSHNVAKFKFALPTPTSVLGLPIGQHISCRGQDAAGEEVIKPYTPTTLDSDLGHFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQPGQVRAFGMIAGGSGITPMFQVTRAILENPEDNTKVHLIYANVTYDDILLKEELDSMARNYPDRFKIYYVLNQPPEIWDGGVGFVSKEMIQAHCPAPAADIQVLRCGPPPMNKAMAAHLDELGYTKEMQFQF >PAN21481 pep chromosome:PHallii_v3.1:3:60439620:60441169:1 gene:PAHAL_3G470300 transcript:PAN21481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor AS1 [Source:Projected from Arabidopsis thaliana (AT2G37630) UniProtKB/Swiss-Prot;Acc:O80931] MKERQRWRPEEDAVLRAYVRQYGPREWHLVSQRMNVALDRDAKSCLERWKNYLRPGIKKGSLTEEEQRLVICLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELRDSRRPPPEPSPDERGRYEWLLENFAEKLVGERPQVAAAAAAPPPPSLLMAAPVLPPWLSSNAGAATVVTQQPPPPRPPSPSVTLSLASAAVAPPPPPGPAQWMPERAAAEAAAAAYGFPSPQHAPPGGAPPPPGMAVVEGQALAELAECCRELEEGQRAWAAHRREAAWRLKRVEQQLEMEREMRRREVWEEYEAKMRNMRLEQAAAAERVEREHREKVAELRRDAQLKEEKMAEQWAAKHARVAKFLEQVGCSRPWSAATDMN >PAN21064 pep chromosome:PHallii_v3.1:3:58043642:58044849:1 gene:PAHAL_3G442200 transcript:PAN21064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGNPDAVLPDAGVDAHGTEERDWASLVRDAVREIASKLLAVDAAEYIRLRAVCTAWRISTAVPGWEPQFFPRDWLMLQQDVDAVAEAAADPAAHRRFVNVRKGATLRIRLPPSEEYSDLFAHAEELLLFHCARTDTVRVFNPMTTATAVLPGFSGATPVEEALELTAAGVVADGNAPARPTVVLVLVLPLTTVILCAKPGDDRWGTVDAGVIEAADGEAPPFQGGLSLQGRFYVPTRHGEVLRVELDPQPHLVYVARPTSGPCRCHCAVTSYLVPALDDDDAGEESDGMLLVRVSEGGIDVFGVHLGRGRYTRVPELGSRAIFLPGLTVRADKFPSLAPMELGTVHLNEDIEDYIIA >PAN16038 pep chromosome:PHallii_v3.1:3:1563131:1566059:1 gene:PAHAL_3G028100 transcript:PAN16038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRSSSNSMALALLVLAASAASLLPAASLSVTSPYVRPAPRPDALSLLQDDDDDDDDDGQTPQQVHLSVVGPDKMRVTWITDDDAPAIVEYGTTSGQYPLSATGNTTTYSYVLYKSGNIHDAVIGPLKPNTTYYYRCSSNPKREFSFRTPPATLPFKFVIVGDFGQTGWTESTLKHIAAADYDMLLLPGDLSYADFIQPRWDSYGRLVDPLASARPWMVTEGNHEIEKLPLVEPEPFKAYNARWRMPYDAGASPSGDNLYYSFDVAGGAVHVIMLGSYTDYDTGSAQHRWLRRDLAAVDRGRTAFVVALVHAPWYNSNRAHQGEGDAMRDAMEALLYGVRVDAVFAGHVHAYERFARVYAGKEDPCGPVYVTIGDGGNREGLADKYIDPQPAISAFREASFGHGRLEVVNATHARWTWHRNDDDEAVVADQVWITSLAASPACHRSKSKM >PAN19781 pep chromosome:PHallii_v3.1:3:20462322:20463098:-1 gene:PAHAL_3G299000 transcript:PAN19781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPRNRKAPPSKAQRRPRSLSLLDFRSPADGAWYGARVTVQRGALRVMYEEFLEEQDEWYDPAALAAASSSSAARDVAALRARFRTAALPLEDARCRDLRAGAPLCISCPLDDGLLKFYDAVLESVVPAAHGIVDGEERCACRFTVRWTDGPRAGSREEVGVERICCVQPSPVQDPVLIEFLDGVTKLLGDGNSGGATASQENGAVAAAEGGVTADVPPGFYRKFGARA >PVH61859 pep chromosome:PHallii_v3.1:3:9129129:9129473:-1 gene:PAHAL_3G142200 transcript:PVH61859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGDATPAGSRIPVPVPVYVRTRWRRRRPALSSSGESAGEDTADRSIDDAARKRTAPPPCDRFDVRPGEEAAAGRGGCRGIDLFVSIRPAGGDRRRRPLGAFPFVTAAFASLF >PVH62409 pep chromosome:PHallii_v3.1:3:19461532:19472049:1 gene:PAHAL_3G286900 transcript:PVH62409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MFCISKHTVQLVHSPSLWLAQTLLKLRKEKGKRKKKSHSRIQIDVGFHTVKPLTTPCKRLRTSRRAETRSEAAAARKPSVGRGRRPPPPAAADRTSSSLAEPSRALPDPGGRGAGMARFEVNGKSVQGVDLLRRRYWASRLDFWPFLALYALWLLLAVPALHFTDALIVLAALSAAHILAFLFTAWSVDFRAFVGYSKVKDIHAANACKVTPAKFSGSKEIVPLHIQRNVASSSAAGENEEIYFDFRKQRFIYSAEKDNFLKLRYPTKEPIGNYAKGTGFGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQIMEPFFVFQVFCVALWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTYRCGKWVKIPGTELLPGDIVSIGRSTSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSIAGRGPEEMLSIKRDKNHILFGGTKILQHTADKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANNKESGFFILFLLFFAIIASGYVLMKGLEDPTRSRYKLLLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGIVTLEGDDELISDANELPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSKKPGGQPVQIVHRFHFASHLKRMSVVVRIQEKFYAFIKGAPETIQERLVDLPAAYVETYKKYTRQGSRVLALAYKLLPEMPVSEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELGQSSHDLVMITGDQALTACHVASQVHISSKPVLILTRMKTGGFEWVSPDETDRAPYSAAEVAGLSESHDLCINGDCFEMLQNTEAVLQVIPYVKVFARVAPEQKELVLTTFKSVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKADSKSKAENKSGKLKKQKPANEASSQVTPATNSSAKASSSRPLTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHIFFLITAVNEASKHMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAMLMFCGCYGWERLLRWAFPGKMPAWEKRQKQAVADLEKKRD >PAN19630 pep chromosome:PHallii_v3.1:3:19461532:19471989:1 gene:PAHAL_3G286900 transcript:PAN19630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MARFEVNGKSVQGVDLLRRRYWASRLDFWPFLALYALWLLLAVPALHFTDALIVLAALSAAHILAFLFTAWSVDFRAFVGYSKVKDIHAANACKVTPAKFSGSKEIVPLHIQRNVASSSAAGENEEIYFDFRKQRFIYSAEKDNFLKLRYPTKEPIGNYAKGTGFGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQIMEPFFVFQVFCVALWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTYRCGKWVKIPGTELLPGDIVSIGRSTSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSIAGRGPEEMLSIKRDKNHILFGGTKILQHTADKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANNKESGFFILFLLFFAIIASGYVLMKGLEDPTRSRYKLLLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGIVTLEGDDELISDANELPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSKKPGGQPVQIVHRFHFASHLKRMSVVVRIQEKFYAFIKGAPETIQERLVDLPAAYVETYKKYTRQGSRVLALAYKLLPEMPVSEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELGQSSHDLVMITGDQALTACHVASQVHISSKPVLILTRMKTGGFEWVSPDETDRAPYSAAEVAGLSESHDLCINGDCFEMLQNTEAVLQVIPYVKVFARVAPEQKELVLTTFKSVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKADSKSKAENKSGKLKKQKPANEASSQVTPATNSSAKASSSRPLTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHIFFLITAVNEASKHMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAMLMFCGCYGWERLLRWAFPGKMPAWEKRQKQAVADLEKKRD >PAN19629 pep chromosome:PHallii_v3.1:3:19461532:19471989:1 gene:PAHAL_3G286900 transcript:PAN19629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MARFEVNGKSVQGVDLLRRRYWASRLDFWPFLALYALWLLLAVPALHFTDALIVLAALSAAHILAFLFTAWSVDFRAFVGYSKVKDIHAANACKVTPAKFSGSKEIVPLHIQRNVASSSAAGENEEIYFDFRKQRFIYSAEKDNFLKLRYPTKEPIGNYAKGTGFGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQIMEPFFVFQVFCVALWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTYRCGKWVKIPGTELLPGDIVSIGRSTSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSIAGRGPEEMLSIKRDKNHILFGGTKILQHTADKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANNKESGFFILFLLFFAIIASGYVLMKGLEDPTRSRYKLLLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGIVTLEGDDELISDANELPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSKKPGGQPVQIVHRFHFASHLKRMSVVVRIQEKFYAFIKGAPETIQERLVDLPAAYVETYKKYTRQGSRVLALAYKLLPEMPVSEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELGQSSHDLVMITGDQALTACHVASQVHISSKPVLILTRMKTGGFEWVSPDETDRAPYSAAEVAGLSESHDLCINGDCFEMLQNTEAVLQVIPYVKVFARVAPEQKELVLTTFKSVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKADSKSKAENKSGKLKKQKPANEASSQVTPATNSSAKASSSRPLTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHIFFLITAVNEASKHMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAMLMFCGCYGWERLLRWAFPGKMPAWEKRQKQAVADLEKKRD >PAN19453 pep chromosome:PHallii_v3.1:3:18338729:18345332:1 gene:PAHAL_3G274700 transcript:PAN19453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVKGLDPIKDWFVICEALAEMWSALSVNVLVYGFCGGEDASEMLSDKRSVLRTPLITVMECNNLDRVLISHCAISTNKYL >PVH62363 pep chromosome:PHallii_v3.1:3:18338699:18345340:1 gene:PAHAL_3G274700 transcript:PVH62363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVKGLDPIKDWFVICEALAEMWSALSVNVLVYGFCGGEDASEMLSDKRSVLRTPLITVMECNNLDRVLISHCAISTNKYL >PVH62365 pep chromosome:PHallii_v3.1:3:18338729:18345332:1 gene:PAHAL_3G274700 transcript:PVH62365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVKGLDPIKDWFVICEALAEMWSALSVNVLVYGFCGGEDASEMLSDKRSVLRTPLITVMECNNLDRVLISHCAISTNKYL >PVH62364 pep chromosome:PHallii_v3.1:3:18338729:18345332:1 gene:PAHAL_3G274700 transcript:PVH62364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVKGLDPIKDWFVICEALAEMWSALSVNVLVYGFCGGEDASEMLSDKRSVLRTPLITVMECNNLDRVLISHCAISTNKYL >PVH62366 pep chromosome:PHallii_v3.1:3:18344461:18344854:1 gene:PAHAL_3G274700 transcript:PVH62366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDKRSVLRTPLITVMECNNLDRVLISHCAISTNKYL >PAN19009 pep chromosome:PHallii_v3.1:3:15743039:15746677:1 gene:PAHAL_3G242500 transcript:PAN19009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGNLKADTQQVKPTALDDVPGVTGLNTLATQAVSQREKRRSGFRNFWNRLSSGPRLKKLGPSPSFRFQQLALQRDEFSRSIHSDNHGSHDHFQFLRKINWGHLWMMAKDWIKEPMNMALFVWIACVAVSGAILFLVMTGMLNHALPKKSQRDTWFEVNNQILNALFTLMCLYQHPQRIYNFVLLCRWEQKDILRLRKIYCKNGTYKPNEWMHMMVVVILLNLNCFAQYALCGLNLGYRRSERPPIGVGLTISVAIGAAAFAGLYNIISPLGKDYDTEQADIDQEAQIEVASTESGRPTSRYKSFARRYSFIHSEERRFVESRPEWVGGLLDFWDQISLAYLSLFCSCCVFGWNMQRLGFGNMYVHIATFLLFCLAPFFIFTLAAGNINNPSLQSALGLTGLFLCFFGLLYGGFWRIQMRKRFNLPENTFCCRNPNATDCFQWLFCCSCSLAQEVRTADYYDITEDRSHRGQVTEESQRIMSPLRREDGSPLFKSHPSSPYRSGNASPSIFILESPSAPRRSSGSTPQGGSPTMGDRAMKAPTPSVLQRGGGPDHDTGASNEAATSPMR >PVH62582 pep chromosome:PHallii_v3.1:3:25951466:25952422:1 gene:PAHAL_3G328000 transcript:PVH62582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTTEQRDAHNKHRREAYARKRQQNSDAKSCDLENTLLDLSTPSDSIIQTVSEVTAPTEDMDLDENCDWLHTNPSYDRGGVRVPDTTTGHELHH >PAN16060 pep chromosome:PHallii_v3.1:3:1662152:1668231:-1 gene:PAHAL_3G030000 transcript:PAN16060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTLALYSPPAAARRSPAKPYFASLHLCCSSTASFHLRASQNPALRALSPGWRTRRRWGGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFVSSVSEKALGANTIRGVRPEQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGKQVGVPVYSEGMEAKPPQITKNAVEEAKSKNIDAIVVDTAGRLQIDKAMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKMDGDSRGGAALSVKEVSGRPIKFVGRGERLEELELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEEAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVIGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRERRIRVAEESGKTEQEVSQLVAQLFQMRAQMQKLMGMVQGQEAIAGMGDLMDSLKAEEKAPPGTARRKRRNSKPKQRDLDAVLS >PVH62435 pep chromosome:PHallii_v3.1:3:19947661:19948026:1 gene:PAHAL_3G292600 transcript:PVH62435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPPPTQTTPRNAAAGQPPPTSLPGTQAAGRQRPEPPAHLPLACEWRPATPTPARPCRRAAASGLRAPGQPAAPAPCRRPCCLPSQWPPRRSRISGQQGRRPSLPTAPDPAARSADALNV >PAN16814 pep chromosome:PHallii_v3.1:3:5707206:5711856:-1 gene:PAHAL_3G088500 transcript:PAN16814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLATFGRSVLRFPNESMRLVMVTIIGAVLGFFIGISFPSVSITKLHFPASFVSYIEDKNSGLTTQAILNHAWTSARNARGNGTGPSSNSTLKIYVPTNPKGAERLAPGIVVPESDFHLRRLWGEPSEDLPFKPKYLVTFTVGIAQKENINRAVKKFSENFAILLFHYDGRVTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGVEHFNAEEYIKLVEKHNLEISQPGLEPDRGLTWQMTKRRGDREVHKDTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQSENGKAPWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLA >PAN21619 pep chromosome:PHallii_v3.1:3:61385789:61390857:-1 gene:PAHAL_3G480400 transcript:PAN21619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELGGRALGALWRTPARAPASGLGSDENAPPPGASGAATSPPAPTDPGAASRPPLRAIQPPQQPRWPVPTPKKGLGGRPASKTPARRGAVSTSLGPTKSLRKPALRSGFSTTVASSAEVPPSKVRDAILFWEENRSLQNVLPTLQQDDSQAPAKVEYCSDDGFMRNSEDILSLQLELDIIKTILVEEIKARAEIEVRTSALGDELKAANLHILEAYRQKEDAEKELNSARSVVDALESQQIILINKLDELKKKLKRMQASLEKACNLNTRYQQDQTSRSSAEQEMDEVRRQAEMETAEVIISLTEELSSVRQQLHATEKNELLAKQSLGEIDRIELLLDESIKTLVQKEVLEHNYVSLLRGMEEKISQLGSQLDQSDRCYKVRLKELEIKMQEVDDKASASLISWNEEREIAEQRKAYAEEKDEEVKLLERSIEDLEITVCTLEKKMDIMKEEVEHQTRQRGKIEVELQNVRQQLQDVPSSGKERSFLEDGIVDLASSTRFAWHQNDMNSELLGAHESRIFQREVSLESAPQVEHPKEPFSDEYMQEADQSDIKMEKAQLSDMDSCASEELEQISASKHPGLFGSGADSDRPLPAFDLEQVRPIVVEPVIEVLKENELPPVSTVRPNDPVNYMRAPSDELKRLRSRNHYEGRRTATDRRFWSIEQQDLYTSIYSRAKLFDMKWIDWEHIDSIDQFACVREQCAHLGLEQIMSYRCDWNSELIRQFYSTVHISADKSSMTWMADGRRVTTNKRAWEERFGIPGGVHTEIHSQFLLDDDDKRILYTAAEWTLGQISGLSPLASIANKIIRTTIYPKSGNTLHAHNWNVLYHIVEQHPFDIIALIFGEIELFISDRSRTKDLLLYAPYIMGMIMTAFEYDGPRESRHHSYKPRHSYKLKRIKKVSRPPAHAVPAPFEQPPSTFQPEVEAAGHQPQGVAVPGQAVLPQAMTRIDLLQVVEDGLRPIRDSLASMEGTFSRVEGGPTLPVQHTNNSSSHIPAPHSSPAPSLQATTPTPPQAPAVSSEQASRMHADNSGVSAQPALVRSTPFSQPVLVRRSPFSRFPWYQMEK >PAN21620 pep chromosome:PHallii_v3.1:3:61385789:61391002:-1 gene:PAHAL_3G480400 transcript:PAN21620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELGGRALGALWRTPARAPASGLGSDENAPPPGASGAATSPPAPTDPGAASRPPLRAIQPPQQPRWPVPTPKKGLGGRPASKTPARRGAVSTSLGPTKSLRKPALRSGFSTTVASSAEVPPSKVRDAILFWEENRSLQNVLPTLQQDDSQAPAKVEYCSDDGFMRNSEDILSLQLELDIIKTILVEEIKARAEIEVRTSALGDELKAANLHILEAYRQKEDAEKELNSARSVVDALESQQIILINKLDELKKKLKRMQASLEKACNLNTRYQQDQTSRSSAEQEMDEVRRQAEMETAEVIISLTEELSSVRQQLHATEKNELLAKQSLGEIDRIELLLDESIKTLVQKEVLEHNYVSLLRGMEEKISQLGSQLDQSDRCYKVRLKELEIKMQEVDDKASASLISWNEEREIAEQRKAYAEEKDEEVKLLERSIEDLEITVCTLEKKMDIMKEEVEHQTRQRGKIEVELQNVRQQLQDVPSSGKERSFLEDGIVDLASSTRHQNDMNSELLGAHESRIFQREVSLESAPQVEHPKEPFSDEYMQEADQSDIKMEKAQLSDMDSCASEELEQISASKHPGLFGSGADSDRPLPAFDLEQVRPIVVEPVIEVLKENELPPVSTVRPNDPVNYMRAPSDELKRLRSRNHYEGRRTATDRRFWSIEQQDLYTSIYSRAKLFDMKWIDWEHIDSIDQFACVREQCAHLGLEQIMSYRCDWNSELIRQFYSTVHISADKSSMTWMADGRRVTTNKRAWEERFGIPGGVHTEIHSQFLLDDDDKRILYTAAEWTLGQISGLSPLASIANKIIRTTIYPKSGNTLHAHNWNVLYHIVEQHPFDIIALIFGEIELFISDRSRTKDLLLYAPYIMGMIMTAFEYDGPRESRHHSYKPRHSYKLKRIKKVSRPPAHAVPAPFEQPPSTFQPEVEAAGHQPQGVAVPGQAVLPQAMTRIDLLQVVEDGLRPIRDSLASMEGTFSRVEGGPTLPVQHTNNSSSHIPAPHSSPAPSLQATTPTPPQAPAVSSEQASRMHADNSGVSAQPALVRSTPFSQPVLVRRSPFSRFPWYQMEK >PAN18536 pep chromosome:PHallii_v3.1:3:13577694:13580591:-1 gene:PAHAL_3G208900 transcript:PAN18536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPRARLLLLCCCVAAALLAGVAAAGGKHGHGKPRHTHTRRLRPGKDGAAAAAAAKPYPVNATRVEAIERQFTRWVRFMGAPGHSSYSRALNRALLPTRTLVVDRTPGAGDFTSIQAAVDSLPLINLARVVIKVNAGTYTEKVNISPMRAFITVEGAGADRTVVQWGDTAETVGSLGRPMGTFGSATFAVNSMFFVAKNITFKNTAPVPRPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQSRQSLLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGANYAGRVQWSRELTDEEAKPFISLDFIDGLEWLRL >PVH62496 pep chromosome:PHallii_v3.1:3:21774938:21775810:-1 gene:PAHAL_3G308600 transcript:PVH62496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCHEEPGFPRLLINSLEHLGITERPRYYSREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRLHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAATISSEAVAQESLRQIQDRRMQEWTNGGTPVPAIGETQVLIGTPITGWGGLFRTPQAPPEGTEGTAAATGGGAVERPQENGILEDDEEELLIPLEVHSAPEDDSPRE >PAN21603 pep chromosome:PHallii_v3.1:3:61280160:61283636:1 gene:PAHAL_3G479400 transcript:PAN21603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARKEDHHHHHLRALAARAVTDSLRAAAPRSATAAERAARFEDCVRSLEAEKAKMEVFRRELPISVHLVADVIEWLKEELAQHRRPAPAPAPAPTPELFAPVAAAPAAAKRKAAPEGGTVKAEADANDKRSWMSSAQLWSCGSHDASSTATANGGAAAKPSRKVSDAFLPRSGLPTLGRSPDDAAEKPAALPVPDLTLSSPAIDAACPAAPSATSSAVTDGGAAAQRLRQRQQQQQQQAQQRKARRCWSPELHRRFVAALQRLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASSSDGCDLQVSAAAACPWPAPEQQYTTSQHSTSQSGSPQGPLQLTVSSRAMSATAGDSCDGDEAEGGGSESYGFGAQHGTKASSS >PAN15738 pep chromosome:PHallii_v3.1:3:272220:275900:1 gene:PAHAL_3G003900 transcript:PAN15738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRDGTTNLSISGAALAALLHRCAAATGDCDGLLFGRASHLPAPPAALSDYDDLAAAPRDPALTISVSGHCSLSHPSSLSDPLGRFHPPSSDHSSVPAPAAVGFFSSRRRTALRPSMRELALAHSLSKTLQGRTTAHPLLFILVSPSASPNFSTHSYDYRAFLLLASRLVPASLTVVNVGPGFRDQYHAFSPESPMPCLPSSPAAAGYAHTIGEQKAVDEMVDGFGIGRLQGLLGSAAGQAAEMDDMYAGMLRKLEKLATEVEKTNIRVLEQENRNLLLRFRCAGME >PAN18970 pep chromosome:PHallii_v3.1:3:15487930:15490797:-1 gene:PAHAL_3G238900 transcript:PAN18970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MAASLLPPATLAKKYPTLLHPFGAKPHAQRLIFRCGATSDGADDGWASFLDEIKSSLQVGPSDTVASDAGAGATPDDLVTALPLDPSAGPTIGDTTNAAAGAANELLGVDASAAAASSDAGAGAIPDGLLSALHMDASNPAVRAAGGALSRLDALTAGLSDAQRWALLGFLGVTWLYLTARPGVLSGAVDAYVLAPLQLALDSALGRRSLKMSDFVVGERIGEGSFGVVFFGAVVPKNGAVVEERSGRARTSLQNDDRYKEKVILKKIKVGTVGAKECGDYEEWFNYRVARAAPETCADFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLANYLNDRGFPSNLEQLMFGRALRGLGTLERDALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRTLLDPDYCPPELYVLPEETPEPPPEPIAAILSPILWQLNNPDLFDMYSAGIVLMQMAIPTLRTQSGLKNFNSELRSAGYDLNKWRQSTRRRPDLQILDLDSGRGWDLATKLISQRGANGGGRLSAAAALRHPYFLLGGDQAAAVLSKLSLSK >PAN19289 pep chromosome:PHallii_v3.1:3:17343657:17345857:-1 gene:PAHAL_3G261600 transcript:PAN19289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSNHTMVMSPSAGVAPRHTPHTMSHELLRAAATGDKALLEQVLGLSCDSGGKLEAGISRRCLKGVTSEGNTALHTAAGRGYTELVRIMCGVDASLIRARNNLRNTPLISASRAGHVDVVCYLIDRALDPATAAAVLEAGASGDEESVLRARNAEGTTAMHEAIRNGHEAVLEKLVSADGGLAAVVDGKGFSPLYLAAALGRTDMVAVLIGGSPPDDGVKSPAYYVGPHGQTALHAAVLVSEEMTKSLWCWEPTLAKKVDNSGNTALHHAASAGKIGAVKLLLQKDSSLAYIPDVDGLFPAHTAAKMGKIDVIELLMETCPNSDELLDNRGRNVLHCAIEHRKEKVVQHMCRNPRFGRMVNARDGAGNTPLHLAVKHGCDGMAMLLTQDVKVNLSVMNNDGATPLDVAINELDHGYTYPMNPEVLIAQCLVWCGAHRSPRRRDEYLDKRAGAGGSDRELRKYTNLTQNRAIGSVLIATVTFAAPFTVPGATADAAERPAFRAFVLCNALAFMCSTVATCLLMYAGLTTVHPRYRSRYHVWSSNLLHVGVLLVIATFAFGVHLTLSPPGAAGVPVGNLNAAVCAMACVSVVFAHPGTTWWPVVLARPIWARLGLKGLLGVLLGPRPIPCQKLLLSRTPWLNLFKMLATLLILALILVTFLWDVAYLRSPAIHHHCLSSTLLLQSQCQVYSFPT >PAN17192 pep chromosome:PHallii_v3.1:3:7146665:7149689:-1 gene:PAHAL_3G112300 transcript:PAN17192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEADRSGAAPTISTERTSGSSDINPSSTGALGNLSHLLSETAQSSMLLPVYDKNHPETPNLARPKVPPKELFLDQLTAGHESPSTCRSSGQTLYFPFQQPLGYSSESGSGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPDNPQHGGTGIGSASVDSFYWDGTNPSSSNSTGSRGSNSLGFEPQSTSSILENSVFPWTDIGQEKDTRAHLGEELKWPDLLHGTFAETTIAMQNQSQSLYDDVIKAESQFNMDGICASWYQNQQPQQQLQAAPDIYDKDMQRMQLSFENI >PAN17191 pep chromosome:PHallii_v3.1:3:7146712:7149689:-1 gene:PAHAL_3G112300 transcript:PAN17191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEADRSGAAPTISTERTSGSSDINPSSTGALGNLSHLLSETAQSSMLLPVYDKNHPETPNLARPKVPPKELFLDQLTAGHESPSTCRSSGQTLYFPFQQPLGYSSESGSGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPDNPQHGGTGIGSASVDSFYWDGTNPSSSNSTGSRGSNSLGFEPQSTSSILENSVFPWTDIGQEKDTRAHLGEELKWPDLLHGTFAETTIAMQNQSQSLYDDVIKAESQFNMDGICASWYQNQQPQQQLQAAPDIYDKDMQRMQLSFENI >PVH62814 pep chromosome:PHallii_v3.1:3:52365941:52385486:1 gene:PAHAL_3G407500 transcript:PVH62814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan, water dikinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26570) UniProtKB/Swiss-Prot;Acc:Q6ZY51] MASLRPLDPSLAVAASARPRRGLAAPVPLGGAAGASSVRRRSGVAAPGRRGFSCRAGSPASAAERTKEKKGIKPVQLRVCLDHQVKFGEHVGIIGSTKEVGSWKSQVEMEWTPDGWVCQLDLPGETLLEFKFVIFLKEGKEKIWEDGDNRAVDLPKDGAFDIICHWNRTKEPLDLLGTPEVKLSGGADKVIGKDASVSGNIALEEIGNISVAGDGYLTPELESSKLGGLWQGTDTVFMRSNEHGNKESERKWDTTGLGAVPLKLVEGDKVSRNWWRKLELVRGLVSESVDDQSRLEALTYSAVYLKWIYTGQIPCFEDGGHHRPNKHAEISREIFRVIERIYYGKNTSAQDLLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHELKQEIKHTIQNKLHRNAGPEDLIATEAMLARITKTPGEYSEAFVEQFKIFYSELKDFFNAGSLLEQVQSIKESLSESALEALASFVKTKKNLDQREDAKDLDKNGGIHVLLKTLQSLSSLRSFLMKGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRYINALEALGGPASLAQGLDRDRNTSIWGDALEALAIGINQVSFSGWKPEECIAIGNELLSWKQKGLSETEGSEDGKYIWALRLKATLDRTRRLTEEYSEALLSIFPEKVEVLGKALGIPENSVRTYTEAEIRAGIIFQVSKLCTVLLKATRAVLGSSVWDVLVPGVAHGALIQVERIVPGSLPSSIKDPVVLVVNKADGDEEVKAAGNNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDTIANVRLLEGKHVRLGASSTSVDLSVVSNEDGSAAISSDTSSGGNLFARELPEEFSPPLAIDMSLDVFKPKSYTSGVNVMSGVLELSEASIESSGAKAAACGTLSVLASLSNKVYNDQGIPAAFRVPAGAVIPFGSMEDSLKKSGSLESYTKLLERIEAAQIENGELDSLSSELQAMVSLLSPSEEIIESLKNTFDQNVRLIVRSTANVEDLAGLGIIIHKESSP >PAN20731 pep chromosome:PHallii_v3.1:3:52365941:52385486:1 gene:PAHAL_3G407500 transcript:PAN20731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan, water dikinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26570) UniProtKB/Swiss-Prot;Acc:Q6ZY51] MASLRPLDPSLAVAASARPRRGLAAPVPLGGAAGASSVRRRSGVAAPGRRGFSCRAGSPASAAERTKEKKGIKPVQLRVCLDHQVKFGEHVGIIGSTKEVGSWKSQVEMEWTPDGWVCQLDLPGETLLEFKFVIFLKEGKEKIWEDGDNRAVDLPKDGAFDIICHWNRTKEPLDLLGTPEVKLSGGADKVIGKDASVSGNIALEEIGNISVAGDGYLTPELESSKLGGLWQGTDTVFMRSNEHGNKESERKWDTTGLGAVPLKLVEGDKVSRNWWRKLELVRGLVSESVDDQSRLEALTYSAVYLKWIYTGQIPCFEDGGHHRPNKHAEISREIFRVIERIYYGKNTSAQDLLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHELKQEIKHTIQNKLHRNAGPEDLIATEAMLARITKTPGEYSEAFVEQFKIFYSELKDFFNAGSLLEQVQSIKESLSESALEALASFVKTKKNLDQREDAKDLDKNGGIHVLLKTLQSLSSLRSFLMKGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRYINALEALGGPASLAQGLDRDRNTSIWGDALEALAIGINQVSFSGWKPEECIAIGNELLSWKQKGLSETEGSEDGKYIWALRLKATLDRTRRLTEEYSEALLSIFPEKVEVLGKALGIPENSVRTYTEAEIRAGIIFQVSKLCTVLLKATRAVLGSSVWDVLVPGVAHGALIQVERIVPGSLPSSIKDPVVLVVNKADGDEEVKAAGNNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDTIANVRLLEGKHVRLGASSTSVDLSVVSNEDGSAAISSDTSSGGNLFARELPEEFSPPLAIDMSLDVFKPKSYTSGVNVMSGVLELSEASIESSGAKAAACGTLSVLASLSNKVYNDQGIPAAFRVPAGAVIPFGSMEDSLKKSGSLESYTKLLERIEAAQIENGELDSLSSELQAMVSLLSPSEEIIESLKNTFDQNVRLIVRSTANVEDLAGMSAAGLYESIPNVSLSDPSSFGGAVGQVWASLYTRRAVLSRRAAGVPQKDAKMAILVQEMLQPDLSFVLHTVSPSDHDPKLVEAEVAPGLGETLASGTRGTPWRLSCDKFDGRVTTLAFANFSEEMVVLTSGPADGEVVRLTVDYSKKPLSVDATFRGQFGQRLAAIGQYLEQKFGSAQDVEGCLVGKDIFIVQSRPQP >PAN18099 pep chromosome:PHallii_v3.1:3:11705546:11714103:-1 gene:PAHAL_3G178000 transcript:PAN18099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGAGGKGAAAPVPAGSRKLVQSLKEIVNRPEAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEIKEVPEPRSRSNTSTSRGIRGGADRGGRSNSVHPSSTDNVPPRQPVSGPGAASINSSQKQTVASGSSANKHMVADGPAVSSQSSSGFQHGWSGTPGQLSMADIVKMGRPLLGKASSKPVVTADRGYAGQYPSLPSTVNQNLKQSASTVPPTDLDKGLPPPQDSAQVKNHGHSAAESKHTYGGDWCTQDEPTSANQLSLPETSGDPSFYEASIQSSALVTDVVNSHENSHLDENSTIAMSPAPASERHLEHSEGVSEYNDGVSYQPQNYSHEVEDSSADVSAAAANFQNLSLHNEELVAKKIAEDNPAVIIPDHLQVTNTECVSLSFGSFGSGAFSGLLPQKTTDSNVELPVREESAPVDQIDARNQDFYESSVVNSSADENLEAMMGANMENIDAPSVSQANEHRPEVHNPSGLQYDMPSVSSHAYSNTNTPQPNTMEDPQGNNQGHSLSHLSNLMQANPLSTSSLLGSNQNHAALHGLEFDLPPYLEAKYNTGSTTNPRPAISMQEALKTGVFSNTQSTQSLPSTSIPTGPPLPQQLATHPYSQPTLPLTHFANIVGYPTYLPQNYATYLSSGAFQQAYPSNGPFHQSAAALLGSGMKYSTPEYKNNLSATGLQQQQQPPQQQPPSSVISGYGGFGNSSNLPGNFALNQSTGSASTLGFDEALSRQYKDTSQYMALQQGDNSAMWLHGSGSRATSAHPQGHFYGFQGQSQLGGFRQAQQPQPSQFGGHGYPTFYQSQGGLSQEHPQNLAEGSLNGFPAGPSQQSHPSWQHQHTY >PAN20308 pep chromosome:PHallii_v3.1:3:29256980:29264872:1 gene:PAHAL_3G339700 transcript:PAN20308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MLPSPPLAAALSKIAAAATRRAATAAASVAVHRLVLPVRSPTHNPSAPGSLIPRRRFGHMPQEERTAPLALLGRHFAVAVGDGGVDGEAPALVVVSFYRFADFPDHAAFRRPLKELCEELRVSGGIILAPEGINGSICGTPSAVDKVLNFIQEDDRLNGLRMIQSPVTPEDEAIHHGHTSQSPVGVGDDAPFRWDHVRVKLKKEIVTFGDPGVMPTKMVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAIDPCTNSFREFPTWVDDQFELVESDTQESGVNNDNGVGQPAEDLNASKSKQLSRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKQPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSSKRHDAKDAAEAKQLPNSI >PVH62785 pep chromosome:PHallii_v3.1:3:50087500:50088222:1 gene:PAHAL_3G400700 transcript:PVH62785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHGWVDGVCHAEPGLPKLLILSLERIGVMEPPEYAYREYTSKGILRCDMMIFVGKSTRYPDIDPWFISTSGFRFPDTYRKPAHKALRRLRVIYKHHLQRTPMGIFPPTEGRGRTWIDRMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKATQELEEQQTRAARAEYSLAALQAQMQEYENRRGIGRWVEEEEEPEETHWDKGTQTEDEMDRCLPIKKRPIRIEE >PAN22088 pep chromosome:PHallii_v3.1:3:63985528:63988701:-1 gene:PAHAL_3G512000 transcript:PAN22088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGARRCLGLVALLAALLAADAGVTSPYRRKLEATADMPLDADVFRVPPGYNAPQQVHITLGDQDGTAMIVSWVTPNELGNSTVMYGGAPDKLELRAEGVHTRYDYFNYTSGFIHHCFLKNLKHRTKYYYAMGFGHTVRTFWFTTPPKPGPDVPYKFGLIGDLGQTFDSNITLSHYEENGGDAVLFVGDLSYADNHPLHDNNRWDTWGRFAERSVAYQPWIWTAGNHELDFAPELGETAPFKPFTHRYPTPHRAAGSTEPFWYSVRMASAHVIVLASYSAYGKYTPQWAWLHDELARVDRKVTPWLIVLMHSPWYNSNSYHYMEGETMRVQFERWLVDARADLVLAGHVHSYERSHRVSNVAYDIINGKSTPARDVAAPVYVTIGDGGNIEGIADNFTQPQPSYSAFREASFGHATLEIKNRTHAYYAWHRNQDGAKVVADGVWLTNRYWLPTQDDTN >PAN21073 pep chromosome:PHallii_v3.1:3:56656735:56657046:-1 gene:PAHAL_3G432000 transcript:PAN21073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAAGCSSAETGDLDILPPLPGPDALRRNERRILLGWRPSVITGREASCGYLTEGWRLQGMGLTACRTSCIAPADGQCNDEEEV >PAN18862 pep chromosome:PHallii_v3.1:3:15045593:15048766:1 gene:PAHAL_3G231800 transcript:PAN18862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTTGPAAMSSLLPILLFCSSLLAATTNAADLWFTDCPTNTNYTRGGAFEGNLDALLSSLPAAAVASYGFAKNVTGSAPGSQAYGIAQCRADLNASDCRACLDGSARDMAARCPGQKSAMLIYDGCLLRHSNASFFGAADASVRVAMWNPQNTTQPEEFRSLLGELMRNLTARAAYASPRMFAAGETGLTPFVNIYGMAQCTRDVAADDCNLCLSSAVAFIPNCCEGKQGGRVITRTCSIRFEVYPFYNAQAAEAAMSPAPAPAPGGGSVNGSDHSGPGSNGSNRTVRTSLLVSIPVAVVLLVLIAAYLCKRNRKPREHVQVASTRGHGDDEEMGTSESLLYDLGTLRAATGNFSEENKLGEGGFGPVYKGTLQNGQYIAVKRLSATSQQGQVEMKNEVVLVAKLQHRNLVRLLGCCIEEHERLLVYEFLSNNSLDKILFDPARQHELSWGQRHKIIQGIGRGLLYLHEDSRLTIIHRDLKASNILLDADMNPKISDFGLAKLFSIDSSVGNTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLVLEIVTGRRNTFTQDSGPSEDLLTYVWRHWSRGSVRELLDGCLAEGRRPQEVLRCVHVGLLCVQEDPQLRPGMASVVVMLNSRSITLPAPTVPAYALPGRAVTVAAANRRGRSSLDREGPMVAVAAREPSINEVTVSDLQPR >PAN18404 pep chromosome:PHallii_v3.1:3:13008232:13011296:1 gene:PAHAL_3G199000 transcript:PAN18404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAICVEDEAACAAAECARIEKLDLAAAAAGGAEGKAGVAGGKRSVYLMDCAPVWGCASTPGRSAEMEDACAAAPRFADVPVRLLASRRDLDGLGLDADELRLPAHLFGVFDGHGGAEVANYCRERLQALLSQELGRLGKDLGEVGEVDMKEHWDELFSKCFQRVDDEVSGRVSRLVGGVQESRPVAPENVGSTAVVVVVCSSHLVVANCGDSRVVLCRGKEPVALSIDHKPDRKDERARIEALGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVTVIPRAKDDDCLILASDGLWDVVSNEEACKVARRQIQLWHKNNGVTTSLCDEGDESIDPAAQSAADYLMRLALKKGTEDNVTVIVVDLKPRKKLKNNS >PVH62550 pep chromosome:PHallii_v3.1:3:23655450:23656487:-1 gene:PAHAL_3G320600 transcript:PVH62550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSSHDPSLTRANDHDVRGGASRVELHYGHSPYKEAQLDLFPTLRWSSPKELGHPSPTPLTHYYCVAVNL >PVH62270 pep chromosome:PHallii_v3.1:3:16550899:16553216:1 gene:PAHAL_3G253000 transcript:PVH62270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MPPPPPPPPPLSSPPSAGFTSLFPSHSASSLASGDHREAAQPLVRSSSGASPATSIRQVMAETIDLSGDGGVLKTVVRKAKDDAIAPSDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKVGEVAKITCKPEYAYGTAGSPPEIPPNATLIFEVELVACRPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >PAN16526 pep chromosome:PHallii_v3.1:3:4263344:4265165:-1 gene:PAHAL_3G066600 transcript:PAN16526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFQITPSRVTVENTEYSFSNLYLFQTPLDPNRNQFGVTSSDAATGPGAIVVNNWPIYDGAGPGATVVARAQGLHIHAGNWNNVFSIVFENQRFHGSTLEVMGISVEHGEHAIVGGTGQFAMARGVIYKKFHEPRSDGNIIQLTIRGFFPVLKRSPQTSRVIKKGPYGGNGGVAWDITDTPSRLESITIHYGGVIDGIEFSYFDQYDQKHTTGRWGGSGGTSTRTIELAPSEFVKEVFGTIGSYSPYNNIIRTLAIVTNVRTYGPFGNPRNGTTPFSIPAQNNGSIVGFFARGMRFLDAIGVYVQETQI >PVH63127 pep chromosome:PHallii_v3.1:3:61225739:61227482:-1 gene:PAHAL_3G478800 transcript:PVH63127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAPRPRAVVGPARLGRLRWRPRQSWRRLLGQHHPLDLLLLRIHLSHHPNAVTAAHHGLRPRPDAAGPR >PVH63305 pep chromosome:PHallii_v3.1:3:64479749:64480831:-1 gene:PAHAL_3G517900 transcript:PVH63305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGRLQLIFSPTAPVAAAAPCHRQSTPVWLKRPDPRSIVAAASSSRPSSSSASEQSAATISKGKTRRKVTLKLTYLEINSWVWEVQPQGQGLAPLRILVDPLVVGNLDFGAPWLFDGAKKNPSVKALGVDELLAPETGPDLLLITQSLDDHCHVRTLTQLSARAPDLPVVTTPNAQPVLASLPTPFRRVTYLEPGQSTAVSDQVRVLATAGPVLGPPWQRPENGYILMTAHDGHGLLYLEPHCVYDRSFLETKRLRADVVVTPVVKQLLPANFTLVSGQEDAVDLARLLRARYVVPMSNGDVDAGGLLAAVLSKQGTTQSFEALLSEALPQVQVVDPTPGVPLQLELDISGADDGQST >PAN16385 pep chromosome:PHallii_v3.1:3:3775191:3775827:-1 gene:PAHAL_3G060000 transcript:PAN16385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPSDTCSRTDGDGVRAGRNNKRKSSRACSYLNKKDGLVLRNARERYVINDEEEESDEEDGEEEGEVEGGDGEEEEEEEEEEEEEEEEGEEEEEEDDEAHAELLEVTADCFIAAKAWAEREFGPGNANIEQILGLLRFLPGYEEAFQPYPLDLWTEWMLEK >PAN18428 pep chromosome:PHallii_v3.1:3:13140786:13146493:1 gene:PAHAL_3G200900 transcript:PAN18428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREKAEAEAGEEEYESDLDDAPLAALRRRDAASDDEGQEDAEDGGTPLRRRKAGSDADSDGQGAPEVYDEGAYDDGEEEEYEDEEEMYEEFEEGGGGGRRVASEAVAAAGQEEGEAGDGKVEKEDEAAPGEEEEKKGNEPYAVPTSGAFYMHDDRFQEGRGRGRGRQRRIVNSRKLWSPKEDQAWVHDRFDEMDLHDFHGENPKRNQGGHFRGRGGGPGGRTRGISRGNFRGNISRTYYHDNSKNYSYVPKDSHSYHENTKNVRHALYDNGKNRVPKPSRAPYDNVRNNDIVPKESRTYYGDAKSQKNTPRVARGRGSKRYQPRLRSTTDTSSMQNNKSQSLEDTSSNTNLGQNQAQTSNSRPEQVHPIKQTIASNLNSASPPFYPSRSSNQEFPVSQGGNAQLSSTLLRGKAFVPSVGHVEAAMKGMNRPALHPVALSSNGPFPIATNQANRDYVQPARPVVQQNRVQSPTQSAPRMPAQMFGARFSNSNKISPVQPTSTVLSDDPEISSPSGSNKFDSRLTVKGQPGDQGEERTSFLYGGAQVLGATGAMGLTLGDQNFHGAPALLPVMQFGGQRPVGPGVPSIGMALPGFVSQQQLGLSNSEMTWLPILTGASGALGAPYGSPYIAVDGSYYPRTSEQASSSVSIRDPSASNASSLLKSQDMTEVAGDEPSQRQNKPRRYSEMNFGQ >PVH62993 pep chromosome:PHallii_v3.1:3:58600157:58601728:1 gene:PAHAL_3G448600 transcript:PVH62993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEKAAVERSLQEFRKAAEAYRVEMEGKVKAKVEELKVLGAKKAEIEARVESLEAELAAAVAKKGELEAEVVAKKRESDLVKGENDKLQSEVVAAEKRHNVAVAEVESLRMELGTLVAAKEAAAKAFDAEKARLVGELEGLKRKLEEIQADKEAAQAANRVKDAQAGKLRAELEELHTSMSKLQASCDDLDIKRSCLHNEKNSVLKALDAEKAEAVKLRSKIEELEKCNGKKDGDIGKLKVALEEKKGTIDTLSKDVELLQLAVAEAQKRKKGGIWTWLYAATTTMVAAISFIYATRSH >PAN16293 pep chromosome:PHallii_v3.1:3:3244293:3250574:-1 gene:PAHAL_3G051600 transcript:PAN16293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIQAALKRANVDPSLVQEVYFGNVLSANLGQAPARQAALGAGIPNAVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >PAN18014 pep chromosome:PHallii_v3.1:3:11427607:11431406:1 gene:PAHAL_3G172700 transcript:PAN18014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTSAASTLAFGHPKTLAAAGPKTPPAAASVSFPATQPACLLSASAARRRDVAAMVSAPPAVGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGVKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALAWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEESAYKNTVEGITGIISKTISKKGMLEVYNSLSEEGKEEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPEGDLGPLHPFTAGVYIALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >PAN17351 pep chromosome:PHallii_v3.1:3:8064666:8069587:-1 gene:PAHAL_3G124500 transcript:PAN17351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSEREQMRKGNYKQSVDADESRRRRENQMVDIRKAKREESLQKRRHVGFPATAAGATAPAGLSSALQQKLEGLPALVQAVLSNDPNVQLEATTQFRKLLSIERSPPIEEVISTGVVPRFIEFLTREDHPQLQFEAAWALTNIASGTSENTKVVVESGAVPIFVKLLNSHSEDVREQAVWALGNVAGDSPKCRDLVLGHGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFDQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDLQTQCVIDHQALPCLLNLLTTNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNVYAQMIDDAEGLDKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNPQNGFNFGNQPSVPSGGFNFG >PAN18248 pep chromosome:PHallii_v3.1:3:12392732:12393764:1 gene:PAHAL_3G189100 transcript:PAN18248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYMSCTLAKAPGGRCARVILPDGSVRQVALPATAAELMMDAPGHFLVDARAAAVGARLAALPADEELELGGAYATFPMKRLGTPLAAADAARLAAAATREARRSSAKVSDDPPPAEVAAAAEEKAPRLRLEDMEVDDAAAAEVGALKHRLGNARARRPTLETIQEENYLSSRA >PAN17022 pep chromosome:PHallii_v3.1:3:6502243:6505429:1 gene:PAHAL_3G102200 transcript:PAN17022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSQKRAAAMAAIVSILLFVVAGVVAGDPPPSSSSAAKDDKTPAAHARKLLINTTAAAAVQAVAAAKKRRGSTAPSECSQDAVVVSQAEVVRRPGGVPCYSVTITNTCLSCSVRNLHVSCGEFGSARLVDPSDFRRLAVGDCLVRGGGAVRPGETITFEYSNQFQYDLHVASAACTCG >PVH61740 pep chromosome:PHallii_v3.1:3:7048115:7049220:-1 gene:PAHAL_3G110200 transcript:PVH61740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLCTFWTTGVPQPLPPALPPSTASDSFVLSALKSTTGSEFKAKHAKILHGMSKTLGNPSLYFSSSSFFGLPFYAHREAGRRGKLSSSLL >PVH61846 pep chromosome:PHallii_v3.1:3:9061469:9063114:1 gene:PAHAL_3G140800 transcript:PVH61846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLRGNNTKSDKYWTEVQEEYNQATVKNRWRTTKQVKDRWYKINKLTNLFNDCWLKAKRVYTGGYSDEMWLEKAHKFFEEENKGLRFQLTNVWYMVRNEAKWMSYNDHSQGKRKEMEKGSTQEGGLEDVTSLCGACSYAMAAALITLCFVSTVPDLRTLRLCRITGRCLSWLISVMHVLVFTFFFVLALPEQLCCH >PVH63176 pep chromosome:PHallii_v3.1:3:61798845:61800106:-1 gene:PAHAL_3G486300 transcript:PVH63176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQPRAPAVAASGGSGGGAGGRLGAVALAEEGAAFSGEAGAAVVSSSLGERSATFSGELRVSPCYASGFVAILFSQNNVVVWGNTV >PVH62130 pep chromosome:PHallii_v3.1:3:13671785:13672907:-1 gene:PAHAL_3G210700 transcript:PVH62130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAWKCRGSGNSRTVRELCGFVKAHNPKFVFLSDTRMSTSRVRNLCWRLGLRNCLAEASVGLSGGLALFRDESVDVSLLSQGERYIDVLIKEDPDQAPWRVTFVYGEPRVENRRCMWNLMRDLSMWQYEHFSKMPRAEWQMFDFREILSHCDLHDLGFSGLPWTYNNNQPGNRNVRVRLDRGVAYSEWSLLFPDAGTKHLGCVCSDHKMLLLSLAEEENQIRGHKPFHYEIMWEREPSLSAEIEKSWLRRNPGSDLGSVADNLKSMTAALKCWSIERFGHVTRKINELRKELELLESDDAIRNRDETLHTKKELDELLYREEMMWLQRSRITWLKEGDRNTKYFHRKAESKKEHN >PAN18687 pep chromosome:PHallii_v3.1:3:14183298:14185574:-1 gene:PAHAL_3G218700 transcript:PAN18687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLNSTNYDFLDIIEPVTAYTDGYLLSLNLGTPPQVFQVYLDTGSDLTWVPCGTTSYQCMECVNDHSSSKPTPMFLPTQSSSSTMDLCGSRFCVDVHSSDNRFDPCAAAGCDIPAFTSGLCPRPCPPFSYTYGGGALVLGSLARDSVTLHGSIHGIDPLSPVEFPGFSFGCVGSSIREPIGIAGFGKGTLSLPSQLGFLGKGFSHCFLGFRFARNPNVTSPLVMGDLALSAATDGFVFTPMLRSVTYPNFYYIGLEGVSLLGDDGSGAAAMAAAPPSLSSVDPRGDGGVLVDTGTTYTHLPDPFYASLLSSLSAAAPYERSRELEARTDFDRCFRVPCARAPCAAEDRLPAISLHLGGGARLTLPKLSSYYPVTAVRDSVVVKCLLFRRMDDGGGGGPGAVLGSFQMQNVEVVYDLVAGRVGFLPRDCALRA >PAN19763 pep chromosome:PHallii_v3.1:3:20377534:20378267:-1 gene:PAHAL_3G297800 transcript:PAN19763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMAEGSKGTFVHTIMTESNICQGPCILYGYLPAFMMQMKQCFIRLGVLATYSLQDGGLSCSRSCCILAISFSAQILSVDARKFVC >PAN17938 pep chromosome:PHallii_v3.1:3:11005273:11010807:-1 gene:PAHAL_3G166300 transcript:PAN17938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSSVFPLEGKACVSPVRREGSGSERVRIGDCSSTRQNRALRRMCFGARGTTSSAQCVLTSDAGPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEEMKVDTSFLNFAIDDPTKYPYIASMGVYVFKKDVLLNLLKSRYAQLHDFGSEILPKALHEHNVQAYVFTDYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGNVPIGVGENTKISNCIIDMNARVGRNVSITNSEGVQEADRPEEGYYIRSGIVVILKNSTIKDGTVI >PVH62370 pep chromosome:PHallii_v3.1:3:18426543:18429518:1 gene:PAHAL_3G276000 transcript:PVH62370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGSRPTPAAVLLLAAAGICAQFAAVLAGDSRDDKKAEAQPKGHTGKTVLFALLGVGAVILLSFFIFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >PAN19469 pep chromosome:PHallii_v3.1:3:18426543:18429518:1 gene:PAHAL_3G276000 transcript:PAN19469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGSRPTPAAVLLLAAAGICAQFAAVLAGDSRDDKKAEAQPKGHTGKTVLFALLGVGAVILLSFFIFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >PVH62806 pep chromosome:PHallii_v3.1:3:52185744:52186852:1 gene:PAHAL_3G406200 transcript:PVH62806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLMLLQASKLYTPIIFEAFQGEYERSLIACTTTLEGNNEYLVAIGSLDENFTCFEKEYKVTGDPLKQTSTCSRGQFNRFGILYNEGRNIIENTKLDDMLRYKDMTRRFLNLALRAASNPGCTLLVNNTLGVLSKRVEEEINGCTDNVEPVTVPINVASPSDSVSTARLKKKEVQTKTSKRQKTWLDKKRKFTKKGSKKKGQGSMQEQENIKVPSVDGVPVQNIFTSTSLPKEGMSEAYMTINTFSQLLTGPFTNDLDAEFESFRE >PVH62166 pep chromosome:PHallii_v3.1:3:14597293:14599463:-1 gene:PAHAL_3G224500 transcript:PVH62166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRAAAECWDQPRLQDILVGEQGEAEGAAAAPRPSEVVIYVASGGEDDDASAAGAGDGGRYPESAGAICGRDGRLLLASRDGEGDTPLHRAARAGNTRTVARLVGLVRGGEAGDARALLRARNAAGETALHEAVRFGDTEMVAALVGGDRELARVVADDGTSPLYLASTWGRHRIAREMHDRDHGLSYSGPDGQNALHAAVLHDREMTRLLLEWNKDLLKQRDVNGSTPMHFAASAADPSLQFTNFVFSASSFEHYSLGSYFLLSQRCLAKLYERMNLTLPQVLRADPSSAFQPDTHGSFPVHVAASADSMASVVVLLTRHPACAGLRDARGRTFLHVAVERRRRHVLKFACRWCQQPSASPVLNAQDGDGNTALHLAVLAGERDIVWCLISNRRVHINLQNREGRTPMDLALGKVQSGFYFGLTAPRRILSMLTFANAQTANRRRDQIEDYNPSLNEEEESSKIREFAQIVGIGSVLVATATFTAAITVPGGVRTPGDVAAAAGRGGIAAPPPAGTPVLSGTYAFDGFVVSNTLAFICSTLATFSLVYCGVAAVDIHRRIKLVSFSLALLLGAARSFCAAFGFSLHLLLSQVDHSTAIVTSVVLSFALLDGLWFLLWTFKDLTVLLSRRARRRTVLRLGTGFVANIIYMFWPYLIIFGYLLLHFQKKDRAHS >PVH62899 pep chromosome:PHallii_v3.1:3:55913142:55924255:-1 gene:PAHAL_3G426700 transcript:PVH62899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDLFDHSYTTPPPQPVPTSKSQWFWVPTATVWDASAEKFLARLSEVKRFGGEAKGEFGKDWEVRQMTEADLRHKLNRNQEDRRKMNLQQQDQESMLCYNCHQTGHHKSQCSNPSFCCGCKQTAHISSKCPNAKSNKELRLCNYGMPGQLFYSLNILEEKGEEKTVTEKSLSAIVIVYEGRVLNLLGRMGKIKFICFDIQASMVETDRDTESFDMLKTDWVKAIGIPKLARKEVHVMELDYLVGDPEKFFLESLNSKENESGKPSSMQDVNQNNEDEAIDEDEPESQSSYGALDNEWLKARTPPTHSGAGGSKTTDQGKQAGGSNKKLARSSPWS >PAN18072 pep chromosome:PHallii_v3.1:3:11605939:11607193:-1 gene:PAHAL_3G175900 transcript:PAN18072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRSWADLHDDLFAEIARRLPCLADRVHAAWACRSWRDVVLQDPSPPQLPWLLLPYQSAAALPHGATRRASFFCVLCDRAHRVAVPHFTGRARFFGAYPGGCLFLAYGQSYNHGLINLRTHESIHLPDYGHHDGDAITRGGLASQHPMLILAATLSAPPYFSFSGKPHITFWRMGSRLASCTSRLDAAAEDVIYHNGAFHFVTRRGDLLVCTPEFQNEAPVEQLRVRSEYRITVGLMNAGARYLVECRGELLMVLRDQAHQEVTSSFRVFRMTPEEQAVDADGIVLNYAFCSWTELPALDGRMLFVGRGCSTSFEDAHFPGSQEGVYFLDDGSFHAAPMICYGDGPLQYSCCNDGVWSGAPGRLTMSATGSGDNAHQPTRLLLACCRSCFAATR >PAN18753 pep chromosome:PHallii_v3.1:3:14467045:14473261:1 gene:PAHAL_3G222700 transcript:PAN18753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVGGAEFHGFRGAAAQLPRSRMLGRPLRVAPPAATPAGGGASSGSIRAVSAPLKKDATEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINESAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVRKDNLFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTAAGDNSVDILTNDIGVVVVSDDAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEVEKYYGKKFESFRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQTWREPITKALAEAGLLEPKDVDPLNLTAMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLAESFMNKVKLDDIEKVLEPLFTYWNSTRQEGESFGSFTNRTGFDKLKEVVDKWAESPLAA >PVH62118 pep chromosome:PHallii_v3.1:3:13525227:13525601:1 gene:PAHAL_3G208300 transcript:PVH62118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQPLAHKLHSPTATPAVAPRLPIAEASQCEPLHHPPTHTKSPSPSPDTNYAAATSIHPIDHITSPALARISVAPSGAARLAWPWPAGGPSRPRLHNPPTPIIHPTRARNAERGRRRCRRRRL >PAN15718 pep chromosome:PHallii_v3.1:3:138582:139584:1 gene:PAHAL_3G002400 transcript:PAN15718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSGSGSSSAPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILLCVQMQHDGHATASASPPSLQRQQQQLMESEAYGSLLMQNGLMNSTGAHQQQMLGSAGNTALMLQEACLKKESLWA >PAN16083 pep chromosome:PHallii_v3.1:3:1795553:1797225:1 gene:PAHAL_3G032100 transcript:PAN16083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGSGVRSGRLELQLNLSPPAAVGMEVGQDDSDSSSPSSCVSSDGRSSSGGSPGSKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPCLVDLLHGEAGGAEADDKKRGKRK >PVH61804 pep chromosome:PHallii_v3.1:3:8203819:8204916:-1 gene:PAHAL_3G126600 transcript:PVH61804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPVESARVACPNVAYGCAARPAYYEQQAHRQLCLHAPCRCPGDACSFIGPTEALLDHFAGVHGWPCSTKVRTGEMSSVRLKDG >PAN17721 pep chromosome:PHallii_v3.1:3:9772642:9776549:-1 gene:PAHAL_3G151700 transcript:PAN17721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADAGFGFAAAYSPAALASSQHQPAYDLAFSAAAAHSVSMDAAASLPEMPAAHLGNMVQPSLVSEYDLGGEGDLFKAPEVIIEEPLLSIDPVAAAISMMSGSESAMDQTIKDADISTIQNDPLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEIPRQAEQVLIQAELPTMEKEKPAIPECSLQKSVSSGCLNSADWMNGAVRPNFLDFQGLDFEAAFGLRRAYSEGDIQNLGANTPRPAGNAANLQTPCERLVTISDLKTEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKIEECDLLKPSK >PAN16728 pep chromosome:PHallii_v3.1:3:5312877:5316898:1 gene:PAHAL_3G080700 transcript:PAN16728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAKGELEQIALPAGQRAAPPLAAVPEVDLAMAAANAGARAAAARAVARACEEHGFFKVTGHGVPAPLLARVEAAAAAFFALPQQEKEKAAAAPGGGSPFGYASKRIGGNGDLGWVEYLLLGVNAAGAAAPAAFPGAPLPAAPAGASPCSFRDLLDEYVAAVRRMTCAVLELMAEGLGLEDTGALARLVLAGDSDSMLRVNHYPPRPEPGRLTGFGEHTDPQIISLLRSNATAGLEISLRDGTWVAVPADADSFFVNVGDALQVLTNGRFRSVRHRVMVSSARPRVSVIFFGGPPPRERLAPLPGLLDREGGRRRYRDFTWREYKTSAYRTKLADNRLGRFEFDTTPAAAAAASS >PAN19633 pep chromosome:PHallii_v3.1:3:19479850:19483399:1 gene:PAHAL_3G287100 transcript:PAN19633 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MASVSEMQPLAPAGYRRAPEMKEKVEVSEVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVAALTVLHPTLNATLSDSAGLALVLAVLPFILMIPLYYYQHKHPHNFVFLGLFTLCLSFSIGVACANTQGKIVLEALVLTAGVVASLTAYAFWASKKGKEFGYLGPILSSALTILVLTSFLQIFFPLGPLSVALFGGLGALVFSGFILYDTENLIRRHTYDEYIWASVGLYLDILNLFLSILNMLRSMQSDN >PVH61323 pep chromosome:PHallii_v3.1:3:616105:618882:1 gene:PAHAL_3G011000 transcript:PVH61323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRTPAVLPLAPPPRFLRRLPQPRAVSSSSSSPFQPPPPPGMETTYKFGPYKINAREVFHATPLSYAMVNLRPLLPGNILVCPKREVKRFADLSSDETSDLWVTAKEVGVRLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKEKELKEKLDLDIERKDRSMEEMAHEANEYRALFS >PVH62291 pep chromosome:PHallii_v3.1:3:17223054:17223599:1 gene:PAHAL_3G260500 transcript:PVH62291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDRMELLLLLLLLYGHGPHPRCTAQSGGTGFGAKEYEQTLSSPIRSAGPGLHRGGPTCPCPAPPIIDDVRAHAAHGEGARSPDQTHRAASANARGPSSKADRARPPPRGGRPHASPSPPSISNAASPSHQAMADSSNGRSGVVAVPAGRRSDSRPAPDDADAVVQGVSCCPVAIRMRKG >PAN17450 pep chromosome:PHallii_v3.1:3:8528957:8533382:1 gene:PAHAL_3G132400 transcript:PAN17450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGSGGAGASGKVGLPALDVALAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRKKVRGIMEKEIAPIMTEYWEKAEFPFHAIPNLGTLGLAGGTIKGYGCPGLSLTASAICIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLAQFKTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADVLIILARNADTKQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDIILNKVFVPEEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLIGWRLCKLYESGKMTPGHASLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAVLTKSRL >PVH61379 pep chromosome:PHallii_v3.1:3:1035574:1037139:1 gene:PAHAL_3G018900 transcript:PVH61379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGRSLVRHVLRIPFRQINICRTPEGKPYLSNCSTFPNFNFNTSHQGDYVGIASELLCLVGLDIVSVSKPQGETTTEFISNFSSYLTDHEWDCIVRAGTPSEVLTEFYRHWCLKEAFVKAIGAGIGFELRRLEFHHEHWTNISIHVDGELSKKWRFWIFKLDEMHLASIAKGHPEDAVSSYKKTLSNANVVEEQLHSTLGSPVEAFTFWTVEQLTQSLEYHPA >PVH63177 pep chromosome:PHallii_v3.1:3:61834464:61837266:-1 gene:PAHAL_3G486500 transcript:PVH63177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSQGSGPAHYNYSVDPGKATGCGRNGGGADEGFLDMFGDRRSSGDLFDLVWQGRAGATSSIMEVQPSHLIPSLPPSEGEMAAWLCPVVRGDELVVTGPDDPTIPGDFDSMGERRKKAIGGVRRSHHSEAPNLTEKRRRCRINEKFKILQQLVPGCDKSNQVSTLDQTIQYMNSLQQQIQEMSFGCDVKPFAVYPVVPPQYLPPDMAAGLMPPATAAPGVLLGGLVRPGVVHALPPAMIPFGPALLPLVHHHPAAAMASSPMMYPAPAVRNNSTSQNKNSNFIPQKIYL >PAN17902 pep chromosome:PHallii_v3.1:3:10891271:10895547:1 gene:PAHAL_3G164400 transcript:PAN17902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLGTQEPHSIGISTGLLGDHHHQKSTYDQTSRASQQNGCSSNEASKHNIGISEVHFSWDDSSAAMTNLHPLLPCDYGSLVPTLAPRCSDSETVLSPNWKQNDSQSKAMYHSGEATDDNSEFLQLIFSDTYEGNSSSDLQIWDVLDLYFPESFAAVQFNTLTGFGNDDCTSYNECVDAADMVEMSISPPSPDRDTDDANCRVPVDYTSFYLQNKPPDSDNECSSASCIVTGYQCTDNQELPIGLLNLMDIGPPGDSDDLSRPSLTTKNIMLVLDLDETLVHSKLQPCDNFDFTLQVFFNMEDHTVYVRQRPHLEMFLHHVAQMFEVVVFTASESVYAEPLLDKLDPDRKLISRRFYRESCTFSNGTYTKDLTIFGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPADVELMELLQFLGTLVDAKDVRPIISKNFNNKGVFVS >PAN19466 pep chromosome:PHallii_v3.1:3:18417401:18420147:-1 gene:PAHAL_3G275800 transcript:PAN19466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALNNAKAGGPCLTPRRPAPPSLASLSPAAAAAGRRVWRRGPARRPLVAVRASGAGGKDGLGSGEGNGEEAESKASSSGHDDASASAGDASAGLNKPHDESKSSDPINVSSSSYWRDVRANLVRREQELFVDPSAPVESKASLGDPVQLPQKWAHAITMPEAGCVLVATEALDDDSIFERTVIFLLKLGSRGTFDGPFGVILNRPLYTKIKHVNPSFRDQATPFGDSPLFFGGPVDMSMFLVRTTDSSRVKGFEEVIPGICFGFRTDLEKAAVLMKSGAIKTQDLRFYVGHAAWDYEQLLGEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGQYSELSQKPKQDSS >PAN16249 pep chromosome:PHallii_v3.1:3:3074150:3081996:-1 gene:PAHAL_3G048200 transcript:PAN16249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MATPSLLPADAEEEARELLYEAYNELQALAAELGGAAGVPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCDAPQCRLLAGPGDEDEDAGVAGRPMPLADIQAYIEAENMRLENDPCQFSDKEIIVKVEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQASAVESLVRAKIQHKETIILCLEDCSDWSNATTRGVVMQVDPDLARTVIVSTKLDTKIPQFARPSDVEVFLHPPTCVLDVSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISSRESEDITSIEDKLGRSLTTEEKERIGVGNLRLFLEELLRKRYIESVPLIIPLLEKEHRNATRKLHEISQEISDLDEAKLKEKAQLFHDSFLTKLSLLLKGMVVAPPDKFGETLINERINGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGFRLLYILKRLIPISVYLLEKDGERFSGHEVLVRRVQAAFRRFVESTEQSCRERCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFVAPEQLLVNTLHEQFPGLNDNRQDRAKTDSKSNHSSDTNSSGAVPETRLVDLLDSTLWNRKLVPSSERLVYALVHQIFHGIREHFLVTTELKFNCFLLMPIVDKLPALLRQDLESAFEDELDSIFDVTQLRQSLGQKKRELEIEVKRIKRLKEKFGEINKKLNSLRVRE >PAN22132 pep chromosome:PHallii_v3.1:3:64465062:64467841:-1 gene:PAHAL_3G517700 transcript:PAN22132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGASAIETEYMLYGRLSKHIAIYFDRWCGNPWGSRPSCINRHKLLCSLQYACSYADCQMKRLQLDNSNEASSGDITNTVLSTDVESEGKNVERHQGGCQVK >PAN16455 pep chromosome:PHallii_v3.1:3:3906226:3911372:-1 gene:PAHAL_3G062100 transcript:PAN16455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGTKESGLAVGAPAPSLLSLCLDAAAARLTRDSAGVGADRTGWPGGCGGGGPGGCAEEGEGEVDDDNLGPEQVAEALPWELLHRLASRLPPAALESLHHAAHARCCSSADATAGLGGQDGHRRGTKRSRCEDFNTAWQSLFKLRWTLGVKPGHDSVVTVDWQQQYWEKHLQECLDEAAESALLPSFCGGIGELSISAKIMNSIYRCEDISQQHSRLTYQLSSFGCYARCLRLQGVLCTAETYDLLQHCKLERLMFIRIIAEPEVNGVCMLLSCHAETLLSLEFIHCQLYPAVMDKICVAVLQKGSQSHGIKQLRIISSRICETRPLTISAGLLNFLSSAKSLHLLSLHDSKLQSSYAQMIIHTLLESSCGLQTLEISENNMPGWLSKMNRSSTSSSLALKSDISLSSLSILNLRNNNLQQDDVVDLHKILIKLPNLRDLDISCNPIMDEGIRSLIPFISWAIEKENPLLRLNVENCDLSSIGVSKLLECLTSVKQPLDVLSIADNPLGSSVAAALAKFLGSHVRDLNVEDIDLGTIGFQKLEEALPLEVALSHINISKNRGGIRAAYFVSRLILQAPNLVSVNAAANILPPESLEVICNALKQRTCNLERVDLTGNFHLSDTIFPAFLEFKKHGKPILVLPSNLGTCAPYDDDP >PAN17275 pep chromosome:PHallii_v3.1:3:7523541:7529176:-1 gene:PAHAL_3G118100 transcript:PAN17275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDLGAILKPGSFGVSDHDAIVSINIFIALLCSCIVIGHLLEGNRWVNESITALVMGLITGGVILLATNGTNSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISFVIITLGAMGLFKKLDVGPLELGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDIGHFDALVLLNFIGKFLYLFFTSTILGVAAGLLSAYIIKKLCFARHSTDREVSIMMLMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSAIILGLVMVGRAAFVFPLSFLSNLSKKEARPKISFKQQVIIWWAGLMRGAVSIALAYNKFTASGHTEVRVNAIMITSTVIVVLVSTMVFGLLTKPLITLLIPPRTGPNTSSMLSSQSILDPLLTSMMGSDFDVGQISSPQYNLQFILTAPTRSVHRLWRKFDDRFMRPMFGGRGFVPFVPGSPVERSDPDSHLGTVTEAER >PAN15948 pep chromosome:PHallii_v3.1:3:1200779:1202070:1 gene:PAHAL_3G022000 transcript:PAN15948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVPFFGSSSGKKVTRRTRSKDGKSGSFGSTTSSSDECASALTTPRTVLPSPPPSASASGPKRRNPAAPLTREDLETALRRVVSSEEELAEMLAEAAGSGVLLEEIAAEAQAAAADEGELRDTFAVFDADGDGRISAEELLAVLASLGDDRCSVEDCRRMIGGVDVDGDGFVCFTEFARMMAQGV >PAN16370 pep chromosome:PHallii_v3.1:3:3487452:3492986:1 gene:PAHAL_3G055700 transcript:PAN16370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSEGEKHFIRGGIAQDIRTDGRRRLQFRALSVETGVIPQANGSARVRLGATEIIASVKAELGKPSILHPDKGKVSIFVDCSPTAEPTFEGRGSEELSTELSVALQRCLLGGKSGAGAAIDLSSLIVVEGKVCWDLYVDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSAASDEEPEVDVSDEEFLQFDTSSVPVIITLTKVGRHYIVDATSEEESQMSSAVSVSVNRNGQVCGLTKRGGAGLDPSVIFDMISVAKHVSQQFIAHLDSKISAAEAEAAAE >PAN17408 pep chromosome:PHallii_v3.1:3:8370409:8375161:-1 gene:PAHAL_3G129600 transcript:PAN17408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATNNGGGNGANGGGKVISLPLQYFCVLAAVVVAVMVLSLAFMSPAAMVAVRQNLGSVAASSAGSSGNSSGAEAGGAGPAAPAPPVARQEKEQAQRPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFRHPTGRLSDGRVIIDFFCESLGTHELNPYLKGIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFRRRSLEMFEQGLKGPVSKEGFENALYMMDIGHNDMVGVAHTPSDKWDKKITDIVGEVRKAISILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLASVNRAAKAYNKKLSQLCDDMRFHLKDATIVYTDMFSIKYGFVANHTKYGIEWPFMVCCGNGGPPYNFKPGKFGCGDLCPPEAKVVSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLASLIHGGSKKTSDS >PVH61403 pep chromosome:PHallii_v3.1:3:1584892:1586741:1 gene:PAHAL_3G028300 transcript:PVH61403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRHLPPVLFLLALSLLSLSFRRYLFLPRGPSPYAADALLRRLAATDVGGDQVLAEAAALFANASVSSFPSLGNHHRLLYLRLPYRSNATSAPRQRAVSRLRVPFQTLPSDESLLAAFRASLRSFLLAHRLRRRDTNVAAVMGDLAGLLGRPRRFPTCAVVGNSGILLGSCRGAQIDAHDLVIRLNNARVAGYAADVGAKTSLSFVNSNVLHYCAVRSAITTPGCACHPYGRAVPMAMYVCQPAHLLDALICNATATPASPFPLLVTDARLDALCARITKYYSLRRFVATTGEPPSNWTTRHDERYFHYSSGLQAVVMALGACDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYQFYHDLQARPEAVPFLDEAPGFKVPPVKLYW >PVH62010 pep chromosome:PHallii_v3.1:3:11869106:11869546:-1 gene:PAHAL_3G180700 transcript:PVH62010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQAIPYGASRPWPPRPRPAPMAAEAATVVDAAVAAAAERLPAQQPAAAAREEVRRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVAGEVELAGIVDGDVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >PVH61587 pep chromosome:PHallii_v3.1:3:4473433:4474239:-1 gene:PAHAL_3G070300 transcript:PVH61587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTVLCIANHAPLAPISFLERAALVYPDRPAAAPPRNWRETRARCLRLAATLAGLGVERHDVVSVFAQNIPAFCELYFGIPVAGGVICALNSRLDAGMASVLLHHSKAKVVFVDYALLDTAREALRLMSEAGARPPTVVLIREVLDEPTVPAGHPYLEYESLLCSTGGGSPDFAIRWPADENEPIALNYTSGTTSRPKGVVYSTATAARTSKPSRACS >PAN17067 pep chromosome:PHallii_v3.1:3:6719782:6726213:-1 gene:PAHAL_3G105600 transcript:PAN17067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYSIHPGISRAGGGGAAAAASEASGVAGGAGSPPPPPPQPPPPPADLTELVKAQIARHPRYPSLLSAYIECRKVGAPPEVATLLEEIGRERCAAAAAGGEVGLDPELDEFMEAYCGVLERYKEELSRPFDEAASFLSSVRTQLSTLCGAAASLSDEMVGSSEDEPCSGDTDAADVGQEHSSRLADRELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGSSSGTTLYFDTGTIGP >PAN17230 pep chromosome:PHallii_v3.1:3:7328064:7329118:-1 gene:PAHAL_3G114900 transcript:PAN17230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEPSQRQRQVIIPQQERLHRRLLWTTEEHRQFLRGLHMYGRGDWKNISRHFVTTKTPVQVSSHTQKYFRRVERTTEKQRCSINDVGLYDAEPLARSNSFSLEALAFVGGANKQNGYGSGSQLATMNNLAQIWSPFSYMTDQASNSQATTWIVQQTGFSSSATLAPEGPKIQMAWIGDQPGDFLPEQKM >PVH62462 pep chromosome:PHallii_v3.1:3:20572729:20573299:-1 gene:PAHAL_3G300000 transcript:PVH62462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAMAAGVNDNRATRSPIMPEQISAVDLFAQENDAHVPHSFFACALLS >PAN20780 pep chromosome:PHallii_v3.1:3:52997704:53000917:1 gene:PAHAL_3G410300 transcript:PAN20780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAPLLLHGLLALSLALVAYGIPGDHGNLTRQSFPPGFVFGTASSAYQVEGNTLKYGRGPCIWDTFLKYPGTTPDNATANVTVDEYNRYMDDVDNMVRVGFDAYRFSISWSRIFPSGIGRINKDGVDYYHRLINYLLANHITPYVVLYHYDLPQVLQDQYNGWLSPRIVDDFTRFADFCFKTYGDRVKNWFTINEPRMMASHGYGDGYFPPARCTGCHFGGNSATEPYIAGHHLLLAHASAVKLYREKYQVPQGGKIGILLDFVWYEPLTSSIDDEYAAHRARMFTLGWFLHPITYGHYPESMEKIVMGRLPNFTFEQSAMVKGSADYIAINHYTTYYASNFVNETHTSYANDWHVKLSYERNGVPIGKKGFSDWLYVVPWGLYKALLWTKEKFNNPIMLIGENGIDQSGSDSLPYALYDNFRIDYFEKYLHELQCAIHDGAKVIGYFAWSLLDNFEWRQGFTSKFGIVYVDRTTFMRYPKDSARWFRKIIKNEE >PAN18880 pep chromosome:PHallii_v3.1:3:15127260:15129411:1 gene:PAHAL_3G232700 transcript:PAN18880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIATRTLSLAVCAAVLVAVVVVSLAPVAAANEEGDALMALRRGLEDPDGVLASWDPNLVNPCTWFHVACNDDNRIDRIELANMRLSGPLPAELGKLEQLQYMEMSGNNLQGPIPPELGDLKNLISMDLYNNDISGHLPSTLGNLKSLRFLRIDHNRLTGPIPRELSRLPNLEAVDFSSNDLCGTIPTSGPFENVPLSSFSNNPRLKQGPGAYDAHC >PAN18881 pep chromosome:PHallii_v3.1:3:15127649:15129411:1 gene:PAHAL_3G232700 transcript:PAN18881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVYFLYDRTSGKDWRELANMRLSGPLPAELGKLEQLQYMEMSGNNLQGPIPPELGDLKNLISMDLYNNDISGHLPSTLGNLKSLRFLRIDHNRLTGPIPRELSRLPNLEAVDFSSNDLCGTIPTSGPFENVPLSSFSNNPRLKQGPGAYDAHC >PVH63001 pep chromosome:PHallii_v3.1:3:58721380:58725234:1 gene:PAHAL_3G450400 transcript:PVH63001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSLIDSFSTASVDVVKEDDPTNNVPDSIFSKIGLQLHRRDNHPLGILKNTIYDYFDKNFAGQFDKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDDWSGSGMDGTAYAAADLKKTLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINSLQLNVRETVKNKLNVELR >PAN21209 pep chromosome:PHallii_v3.1:3:58720569:58725234:1 gene:PAHAL_3G450400 transcript:PAN21209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLIRTARCCLLRARVRAMATLPMAPPAAAAAAAISSSSSTRPIFSSSRPNRILLARFFPAALAPAGARGLRTSVAAAAAAVEVGGVKIARDDVVKEDDPTNNVPDSIFSKIGLQLHRRDNHPLGILKNTIYDYFDKNFAGQFDKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDDWSGSGMDGTAYAAADLKKTLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINSLQLNVRETVKNKLNVELR >PVH63212 pep chromosome:PHallii_v3.1:3:62547308:62547592:-1 gene:PAHAL_3G494700 transcript:PVH63212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSWLKELVCFLSLWRTVKNLLSLENSLRNTHAKGGKCIVFTQTKRDADRLS >PAN18525 pep chromosome:PHallii_v3.1:3:13520158:13521109:-1 gene:PAHAL_3G208200 transcript:PAN18525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRALDFGERSGYLKGLVTDIVHDPGRGAPLARVTFRHPFRHGQQKELFIAAEGMYTGQPIYCGRRANLSIGNVLPVGTLPEGTVICNVEGRVGDRGALARCSGDYAIVISHNTDNGTARVKLPSGAKKLLQSNCRAMVGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPRVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGAKAGHIAARRTGRRRGQAAVAAGKSML >PVH62002 pep chromosome:PHallii_v3.1:3:11581180:11582067:1 gene:PAHAL_3G175400 transcript:PVH62002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAACQRIHVSVGFDRQRMKRRELGGRLIGVGGADGEETWKWNGYGDGGSRTNGGQAAEDGRHGAGEAAGEQREKFRGRRSALVYRPEDEERRASAGSSQMANGVFFNGTGGARLGPTAPADYLIRRSKKAKIVSIIQQKEKRWGEMGKKSRHFHIIYC >PAN18212 pep chromosome:PHallii_v3.1:3:12223422:12227976:1 gene:PAHAL_3G186200 transcript:PAN18212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGHVLALLVLLALASATAASGGRHPHQHRHRHGRKSARLRLIPSAPGASLADRARDDRNRHAYIRSTLASRRRAAEVGASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGAAGSPPDAQPPAREFRPAASKSWAPIACSSDTCTSYVPFSLANCSTPASPCAYDYRYKDGSAARGVVGTDSATIALSGNTGADSSRRAKLQGVVLGCTASYDGQSFQSSDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPGPEDAAAPSPASAPPAPEQTPLLLDRRMSPFYAVTVDTVYVAGEALDIPAEVWDVERNGGAILDSGTSLTILATPAYKAVVAALSKQLAGLPRVSMDPFEYCYNWTAGAPEIPELELHFAGSARLLPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLWEFDLRDRWLRFKHTRCAQ >PAN21300 pep chromosome:PHallii_v3.1:3:57632407:57634782:-1 gene:PAHAL_3G438600 transcript:PAN21300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAVWLLIPLGLLCLYSSSAASLSGGTAADEAAADYVVVATSWLKPKPVCQGLRVDAPANLTASSWVPLSHSYGPCSVAAGSWSPAPADVLLQDQHRVGYIQRKLAGSAPQADDGSSLPESKVDSGQSEHAPNIAPNVGAASNPTSKGSEAVTIFEPAAGGSGGQRLPGVKQTMVLDTASDVAWVQCAPCPMPQCHPQTDILYDPSQSSTYAPFACGSPICRQLGPYGNGCAPGSRQCQYRVQYPDGRSTMGTYISDVLRLNPTSVIGGFQFGCSHAVQGHFPNDTAGIMALGRGAQSLVSQTRLTYGGVFSYCLPRTASYSGFFVLGVPRVASSRYVLTPMFSIRQAPMLYLVRLQAIVVAGQTLSVPPAVFAPGSVVDSRTVITRLPPTAYSALRAAFRARMGMYRAAPPKNQLDTCYDFRGVRRVTLPKITLVFDHSAAVELDPSGILFSDCLAFAPGSDDRAMGVIGNVQLQTFEVLYNVAGATMGFRGAAC >PAN21623 pep chromosome:PHallii_v3.1:3:61406953:61411819:1 gene:PAHAL_3G480600 transcript:PAN21623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPLSHCAAVLLAKNHRRRGGGGGGHGGRGSNGHRDDPSSFLRQLRDTLDAASEDGSLYPLPDADGADADAAVSRSRSLARLRAQRDFLRATALAAAAGPFRSLSDLPLLSHAIATFLAMYPDYASTADVDRLRVDHYSHLDAPGAGRVCLDYCGFGLFDSSWDSSSSSFTLHGLNANLSNHALYGGAEPGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVNWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKKKGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAFDGLEDDSGINKDEKPASNAQNGSQLPAFSGVYTSAQVRETFDNDPGRDSSSDRDGASTIFEETESISMGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFNGRKCNKRMSPNLTSRISRSPLYDGHVISFDAVVLSVSQDADCLKEDPEEEFFENGRRTHFRQVSEIQEEPDVEEEVACQHGMNGGAEHKESAIRRETEGEFRLLGGRDGNSRFTGGRLFGVEDIGGGLSMGRRVSFSTEANIIADRLNRASDAGEASGYTFRDDDGCATDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPDSKGGDGLPLVHIYGPKIKYERGAAVAFNVKQSDGAFVNAEVVQKIAEKNGISVGIGFLSHIKLDMNQKQLNGMLDIPEASFYKNGRRDNKKVTVRVEVVTASLGFLTNFEDVYKMWAFVAKFLDPSFLESELLTISADHSEGQT >PAN16858 pep chromosome:PHallii_v3.1:3:5888223:5891870:1 gene:PAHAL_3G091800 transcript:PAN16858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHGRLSGSLCSPKIDVVIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFECLHRGDVSKHKLEHALKKMCKEGAYWGTVAGVYVGMVYGVERVRGRSDWKNAMIGGALSGALISGASNNHKDKIIKDAITAGAVATAVEFINYLT >PAN21418 pep chromosome:PHallii_v3.1:3:60051595:60052923:-1 gene:PAHAL_3G463500 transcript:PAN21418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQKALPPELADNVLRLYRECLRRAKFIGHQKHNTELLVTMVRQQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKITGRKFSG >PAN17495 pep chromosome:PHallii_v3.1:3:8753523:8760462:-1 gene:PAHAL_3G135900 transcript:PAN17495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGASEAARMVVAVVGVVVAAAAVLSPAAAGGLGREAAALVAIKAALQDPGQVLRDWDHKSGDPCRWNMVTCHGGHVQELSMVQQNLSGTLSPAIGRLRSLRYLSLRQNAISGPIPKTIGRMKLLQVLDLSNNQFSGSIPSTLGSMVNLQYLKLNNNSLSGPVPDSLATARMIFILDISFNNLSGPRPTFRTWNAFLDGNPLLSDINCEGSEPGAGTPLYGGRCSESLAFPAVGPEDMRKDDSRVMDKKIMILAICIAISCSMVAMVAGAVILFRHWHRCQRVFAIAEVQHCREVSLGHLKHYKLKEIRKATDNFNQRNILGEGGYGIVYKGHLPDGTPIAVKRLKDRDSVIGGDQFHTEVEVISLAVHHNLLHLIGFCTANNERILVYPYMPNGTVASKLKECVNGEPALDWPRRKRIALGASRGLLYLHEQCDPKIIHRDIKASNILLDEYLEAIIADFGLAKLVDHGMSHVVTTVRGTVGRIPPEYFMSGHASEKTDVFCFGLLLIELVTGRETLELHQNEYQKGGILAWAKELLEQNQLSSFADKKLRNNYDSVELEEMVQIALLCTMYSPKDRPRMSEVVAMLEGDGVAEKWEAVKNVEEPNPEDLKYRAINYDEDQCSSTELQAVELSGPR >PVH62068 pep chromosome:PHallii_v3.1:3:12956633:12960762:1 gene:PAHAL_3G197900 transcript:PVH62068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAVDASMSIYQFLIVVGRTGTETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSKREDATKELTEAVEEGDKDAIEKFSKRTVKVTRQHNDDCKRLLRLMGVPVVEAPCEAEAECAALCINDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFDVAKVLEELELTMDQFIDLCILCGCDYCDSIKGIGGQTALKLIRQHGSIESILENLNKDRYQIPEDWPYQEARRLFKEPNVTLDIPELKWTAPDEEGLITFLVKDNGFNEDRVTKAIEKIKSAKNKSSQGRLESFFKPVASTSAPLKRKETSEKTTKAAANKKTKAGGKKK >PVH62630 pep chromosome:PHallii_v3.1:3:30741696:30742242:-1 gene:PAHAL_3G344100 transcript:PVH62630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGTSHQAYMCRYHTSFKILAKPFLLQHIQFLLRFLELLKFLLNASCLAPV >PAN18983 pep chromosome:PHallii_v3.1:3:15579999:15583704:-1 gene:PAHAL_3G240200 transcript:PAN18983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARGLAALVVLLLAAALLPNPASGSDRRILEGEKPSSGEDAPAPTGPAGGSPKGEPPKESGHSSAAGQKSESHRHQNLLPPAPSPPKDTKVPSAEKGKEGGEAQASASPPPPPPPAKETDSHKASPPPGGPGSNGEGEVNGGTNPEDTGIQVKEEEMNKMKEVMEKCDASHKCSSGKEFSACLVSDNASVGSFAITVQNEGQNEINVTVKEPPIIDIDKKPLHLANGAFGQISITYNSPDGWNITLDGGNEDCSIHVRPSVKRQSVSDLQQQFQMFAAYATRLNPIYGAYIFVFTVVLVGIVCACCKFAKRRGNDGVPYQQLEMGAQAPNSAVVDNTTSTTDGWEDGWDDDWDDEEAPARPSDKKPTSSVSANGLSLRSQTNSKDGWDVDWDD >PAN19172 pep chromosome:PHallii_v3.1:3:16658270:16658533:1 gene:PAHAL_3G254200 transcript:PAN19172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFIYRAVVQYRKEGQVSFGDLLFDEPSTTSYFRLPGDSSRYPVTAANDLFSRTAADSGSAGAIRRSPVRCPMQRRRALDRES >PAN17121 pep chromosome:PHallii_v3.1:3:6962552:6964150:-1 gene:PAHAL_3G109200 transcript:PAN17121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGMPMCGRRKKVAASGGSIDALPDDILGHILGFLPAPEAVRTCVLARRWRVLWKLATSLRGHTPLQMCDLRFSHFYDNDDELLLMNQWFWHVVTCGVRMFRLENLRHDGFHLDDMPLVSQHLTRLELVGVDLRNRLCDFSSCPSLEHLEIDTCYWCSDINISSESLKHLAITYCDLDIWA >PVH62115 pep chromosome:PHallii_v3.1:3:13483238:13487887:-1 gene:PAHAL_3G207500 transcript:PVH62115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAITPRNASGNISFTWNSQLRPDDPTPGYVAVLYFAELQSLPSNAVRQFYINLNGELWYRSYSPHYLFADTLHSLSPMRDYAQYNFSINATTNSTLPPIINAVEVFSVISTTNVGTDSQDVYAITAIKEMYRVQKNWMGDPCGPKTLKWDGLTCSYAISSTPRITRVNISFSGLDGDISSSFANLKAIQYLNLSHNNLTGSVPDTLSQLPSLTVLDLTGNQLSGSIPQGLLKRSQDGSLNLRYGNNPNLCTNADSCHTVKEKSKLAVYIAVPVVLVVVIGLLVALLFYFLMSRKQQGPTKNTVKPQNETPVSHVPTGGTYVQSSLQLAENRRYTYKELKMITNNFQRVLGRGGFGKVYNGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQILTRIHHKNLVSIIGYCKDGEYMALVYEYMSEGTLQEHIAGNGRNRRYLTWKQRLRIALESAQGLEYLHKGCNPPLIHRDVKATNILLDTKLEAKIADFGLSKTFNRDNEAHISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGRQAILSDPEPTSIIHWVRQRLARGNIEDVVDVRMRGDYDINGVWKAAEIALKSTMQVSAQRPTMTDVVGQLQECLQLEEGRTGGDATGSSRDPSSGYNAYATDGQSAIDVSQSSSAFEMEHNFGRAPRMGIGPAAR >PAN17790 pep chromosome:PHallii_v3.1:3:9984599:9989305:1 gene:PAHAL_3G155200 transcript:PAN17790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRCPAATSSRSPFLPSTSPVPPARLARRPPATCRCHYYHGDGVGYRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQMEMFMNDDNPYNRQSQKVTEESVSAARSYDEFGMYTLSGMHEGPASYSMGMGMGGSMSMGMGRGGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADFINRSKSKVYTINLSMAYGQAAMLLSLGFKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELDTNTDYYLDLLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRAAAGAGRWSTPTTPR >PAN19527 pep chromosome:PHallii_v3.1:3:18776157:18779687:-1 gene:PAHAL_3G280100 transcript:PAN19527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPAAAAAASSPQPPAADEGARAAEAVAVDERVASHVDPFLVEALDNPRHRLVVLRMELDIQKFMQNPQLQEFEFQNFPTSYLRCAAHRVAQHYGLETTVADSSVDGSVSKIVARKTPESRYPLIALSEVPSKQARNDHEAAEKLKFVIYQRPKAFQNGTADAGNKNGAPKTVEERIEEYNKARARIFNGSISADTDAASVLGTLSTGRDEPVNVEPSADEIKVSTMNNRSRVAVFKDTEKDRSDPDYDRNYKRYVRSPVPDFNLSPGAFNFVVPQFMQYGVGYVQSPGMSTNQPTVYFGQPDLPMGSSSGAAVYPHWPTPAMMYPHCYDNAGPMISQVPLYQSFNHG >PAN16761 pep chromosome:PHallii_v3.1:3:5513398:5514671:1 gene:PAHAL_3G084600 transcript:PAN16761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTAMRMRAILVLLIAASAAASSASRCHSGDKAALLAIKAALGNPYHFASWTAASPCCDWYDVDCDASTGRVVGLSVFQDANLTGAIPDAVAGLTHLRTLRLHHLPGISGPIPPAIARLSNLSFLTISWTAVSGPVPTFLGALTRLSQLDLSFNALAGTVPASLAALPSLYSVDLSRNRLTGSLPPLLFSKATQVAYLRLSHNNLTGGVPAEFSAVAFAQIDLSRNGFTGDASGLFGRAKPVQQMDLSRNALSFNLSGVELPEQLIFLDVSHNTIYGGVPAQVANLSSLQFFNVSYNRLCGAVPAGGNTARFDAYSFQHNRCLCGAPLANPCT >PAN18293 pep chromosome:PHallii_v3.1:3:12601293:12603238:-1 gene:PAHAL_3G192300 transcript:PAN18293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTHASSMSFLLSHPQPRSATPGTRLPLRPAARRVRCATDAAAPTAQPAVAASTKHRRAADENIREEAARHPAPKQGLSAWYEPFPPAPNGDPNERYSLDEIVYRSSSGGLLDVRHDMEALARFSGAYWRDLFDSRVGRTTWPYGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYSYYKSGWTEFQPQVAKPTFASAIQIGDPVSVDRAVVALKATNGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRVIGSNERVVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >PAN21126 pep chromosome:PHallii_v3.1:3:58108059:58110367:-1 gene:PAHAL_3G443300 transcript:PAN21126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEHIKMAMLKQEQTFRQQVHELHRVYRVQKQLMMQMHITEAKNYGNIAAEEQTESTVKLGHQQWCGGSVEKETTLAEDFNLELTLATGTARRKQEKPSNSDSEATISSSTSAESESGRRYVPDSNVTTLRFQNESNRHDDKVMRSPWLYQCLSLKMA >PAN19279 pep chromosome:PHallii_v3.1:3:17247408:17248755:1 gene:PAHAL_3G260700 transcript:PAN19279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYYGVSGQSSSAAAAWAAPPSSRPWSKAEDKVFEGALVTFPEHVPNRWTLVASRLPGRTAQEAWDHYQALLTDVDLIERGMVEAPDSWDEDAAAGGGRAGAGRGRGAGGGEERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAGSRGDSKRKSIHDITTP >PAN21325 pep chromosome:PHallii_v3.1:3:59241068:59242358:-1 gene:PAHAL_3G456600 transcript:PAN21325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRPPSPLRLSFLSTDSCAAHSAPPSSAATPGREPQPPALGTPLRRRDAGARAAGGSSRRSPSSTPAASRVHLHWSAPRHPPPPPPAPVASPAGHATMLTPVARLAACRLLGLASFSASEAAARRLAPSLVYCMRTYEKISEAHQVRAEKKRLLGFLVKEAKAACEKGKLHGESEQIPQEHVMFANLCRMVLPLISALKPPYVHELPLPKGVKRSWDSAFEPIVLKKSTFCEGLITW >PAN22095 pep chromosome:PHallii_v3.1:3:64095696:64097794:-1 gene:PAHAL_3G512800 transcript:PAN22095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKATLGYSQEQLNTVGFFKDVGANVGIHAGLVAEVTPPWVVLAVGAAMNLGGYLMLYLSITGRVSPAPPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLAFYGPGGGGDTRPLILLVGWLPAAVSVAFLGTIRIIRAPRSPAAARREYRAFCGFLCVSLALAAFLMVAIILQKRFLFTRAEYGVCAAFVLSMLLIPFAVVLREEAALFKKNTPEAQAAEDYTEPSTATVAATKPVPEPSPVTSKPTTAVGAKLLAALRPPPRGEDYTILQALVSVDMLLLFTATVFGVGGTLTAIDNMGQIGESLGYPPRSIATFVSLISIWNYLGRVAAGFASEALLARHRLPRPLILAAVILLTVPGHLLIAFGVPGSLYVASVAIGFCFGAAQPLILASVSELFGLKYYSTLYNFCGTASPVGSYILNVRVAGRMYDQEAARQGAVAAAAGKGVTCIGVRCYRESFLVITAVTVAAAAVTAALAWRTREFYAGDIYAKFKEGTSTSSGDAIGEDRVEVQPAKEQL >PAN20305 pep chromosome:PHallii_v3.1:3:29291415:29293676:-1 gene:PAHAL_3G340100 transcript:PAN20305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVEGLGHGAGFAKRRPAALLNSLPLFLRSFLHRFCRDAFSSGSYPGGELNAELAERPRRRPPPKWGSCREVGRSASTARRRLHAYLAVGPAPKTSTDPVAPATSAHGGCECEFGRSASTARQRLLASLSIRPRRRARLPRRRRPRLTWGHGCREGRSASTARQRLPTSAPAWPQRQARLPWRQLPAIRWSARGTAGTEPRRFIPSAP >PAN18693 pep chromosome:PHallii_v3.1:3:14213922:14217807:-1 gene:PAHAL_3G219000 transcript:PAN18693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSIAATLSAPRGEPDSDADEPEPEPASAVSGAGPGEEVSSPRGRGTNQDPGAEEPEQQPGTPSRRVKDDISELTETLTRRLWGVASFLAPPPESSTTRAGAASHGEGEEDEEVGDGGADGEEAEALSPRIAGIRSDLAEIGGRVRSGFSMLQNNLAVAEISKIASSILPFGQGDADEGEPVPGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSIEHLVPGLSDLKIQICSTDMTEACFWKIYFVLLHTKLNKQDAELLSTPQILEAREQLLQTLQAQNKRGSKFSGESSENMNASTAPAEEKVIQPSSIQDKAETSEISSFEEPTSDITPEIESEKFPISTTGVEIVDKSVIEEELAVKNESKTSPVPSKLRFETDEDEVDEWPDDDPFEEFGAANNRTSLGHEEDVSFSDLEDDEDDDANKRDGQ >PAN21628 pep chromosome:PHallii_v3.1:3:61439573:61442088:-1 gene:PAHAL_3G481000 transcript:PAN21628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKTVVLFPGVGVGHLAPMLELAKAFLRHGGGAVDVAFAVVEPPVMGNGFAATVARAEAANASVAFHVLPTPPPASGSEGDGEPEQDHLARMVGFLRATNAPLRDLLRSLPSVGALVLDMFCGDALDVAEELGVPAYFFFPSGAAGLAVFLALPGRRASMSTSFAGLGASTVLSFPGAPPFKVSEMPEGISDDGEAFQAILRIAARMPDARGILINSFESLEPRAVRALRDGLCVPDRPTPPIYLVGPLVSPGGGDKEHECLRWLDAQPDRSVVFLCFGSMGAFPKSQLAEIAVGLEKSGQRFLWVVRSAPGAGEPSAADDLDALLPAGFLERTRDRGLAVRSWAPQADVLRHRAAGAFVTHCGWNSTLEGVAAGLPLLCWPLYAEQKMNRVRIVEDMRLGVGITAADDGAVSAEEVETKVRWVMGDSDGARALRQRAAAARDKAAEAIAEGGPSDVAFVEFLKDLLEASQDMHG >PAN18579 pep chromosome:PHallii_v3.1:3:13783673:13785478:1 gene:PAHAL_3G211800 transcript:PAN18579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAWQMAAAAILAVLGAAARPAGAAPQVPCYFIFGDSLVDNGNNNFIVSMARANYPPYGIDFAGGPSGRFSNGLTTVDVLAKLLGFDDYIPPFAGASSQQLLTGVNFASAAAGIREETGQQLGGRISFSGQVQNYQSAVQELVSILGDEDSAATHLSRCIFTVGMGSNDYLNNYFMPAFYSTGSRYTPEQYADTLAADYARLLQAMYSYGARKVALIGVGQVGCSPNELAQRSPSGVACVEEINAAVRIFNRRLVGLVDRFNALPGAHFTYVNVYGIFEDILRTPGAHGLRVTNAGCCGVGRNNGQVTCLPFQMPCANRHEYLFWDAFHPTEAANVLVGQRTYSARLASDVHPVDIRTLARL >PVH62675 pep chromosome:PHallii_v3.1:3:37869782:37871133:1 gene:PAHAL_3G364600 transcript:PVH62675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAKSMPVKFQRNKGTNRFQEMRRWRLVSPKKFSNTLKFLSDSSPSSSTSSEMSTPRIPDSRELSRSHGAGKLNHKTMISRLSTPIPSGKRLTSREYIANFKPCVAVWSKNPVERETKKKVYKTLKQVQEVEVIEISSDEDESPFCEQLILDL >PAN21556 pep chromosome:PHallii_v3.1:3:60959741:60962537:1 gene:PAHAL_3G476600 transcript:PAN21556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDAVAQAKCTTTTVRHYRHPLEVASFTHFTPRRLSNLGFRRGSLDKFVIQEPQVTPSDNQIRETGNHPNIVETEPENNSEQVFDNTNDLDSSPAAAANIDDATTSNIGDSSFQPDIFDPRYWDSLNSKQIDILAEKGPKRDLSIQKGPKDRFSRRFSARFYTRILSNGEECDRDWLVYSKELDRVYCFSCKVFVKGHRKGQLANDGFNDWTHLSERLKEHETSVDHVINMTTWYELRNRLQKEQTIDKVAQQQLEKEKEHWRKVLFRIVAIVKFLGKHNLAFRGHNCKLYEDSNGNFLGLIEMLAEFDPVIQEHVRRITNNETQVHYLGPRVQNELIYLLGSAINSEIIKKIKQAKYFSVILDCTPDASHQEQISLIIRYVDSSSNHVRIKESFMGFLEKWQILKDNLTGLTLKSVSATRWESRIDSVKAIRFQCANIREALLQVSDSDNNPVASSEAKPLANNELGDFEF >PVH62477 pep chromosome:PHallii_v3.1:3:21195139:21198308:1 gene:PAHAL_3G304400 transcript:PVH62477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKNVTDAEMTDLFSQNGNIKDLQILRGSQQASKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQGRKTQKAQFQSSNMLNANAMQQTSLFGALQMGYVPQYNRFGYQPQGTYGLMQYLQQQ >PAN17289 pep chromosome:PHallii_v3.1:3:7566334:7569364:1 gene:PAHAL_3G118900 transcript:PAN17289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYVPAAEGGAGGGRGRGARYPPLSALVVSAIAAFSAVIVLAVIHSAYDDALSRTRTLLGHNLEPTPWHPFPHDKGRPSARAALRCAPSVACLPPLSRPRPPPEATNNASSSSSSAPPGGKPPPRQCPAYFAAIRRDLAPWRRAGGGKGVTRALLDAARQRASMRVTITGGGRRLHVDLYYACVQSRALFTVWSLLQLMRRHPGRVPDVDLMFDCMDRPAVNRTEHEAGDPASPPPPPPPPPLFRYCTTRDHFDIPFPDWSFWGWPETNIEPWSREFKSIKAGAKATKWADRVPTAYWKGNPDVASPLRLALLGCNDTNLWRAEIMRQNWTDEANAGYQHSKLSTQCTHRYKIYAEGFAWSVSLKYILSCGSMALLIEPQYEDFFSRGLDPRVNHWPVSRGPGMCDSIRDAVDWGNANPTEAERVGRRGQRLMQDLRMAAVYDYMLHLLTEYAALMDFRPAPPPTAQEACEGSVLCLADDKQRRFLEASAAEPAAEEPCVLPPE >PAN16175 pep chromosome:PHallii_v3.1:3:2676517:2679211:-1 gene:PAHAL_3G042400 transcript:PAN16175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHAAVADSGEQHGRGRLAAGDGGGGDRQQPLPLDPVVMEATTAALPALSRGRQAREMSAMVAALARVVAGSAPPAKAPHQAVQEASAEEAWWPYDELVAEPSPAFVLDGYGETQPPPEHYWPAVAAETEAATSSQTQYRAASAAAAEEELPSPSSAGAGASSGGSAAPRKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDGAALRFRGSRAKLNFPESATLPFPPPPDPASRALPPPPRPDALLESHSQAPPTGGGMEPYAEYARLLQSAGGDPGGSSGTPSGTLPLPPATYSFAAEGVTPFSYLSPPQSRGEPAGNPAAAWASHYHGSYPPWRWDQSG >PVH62343 pep chromosome:PHallii_v3.1:3:17893819:17895602:-1 gene:PAHAL_3G268500 transcript:PVH62343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDKTTIIVCSVVGSLGVLSSILGFSAEGTKLTPNDILVLDDECIYPQNPALGLGICATIFLLAAQITVTAVGGCCGCCKSRAIPSKTKRIIAIVCAVVSWIAAVIAWALLIQGAAWNANVARVTAPDCFYLKDGIFAGGAVLTLAATALGLTSFVMLRRQTANNPTAAPAVHATGQPQFPPALAANKPIEHPPHAVVAMGQPQFWPAAAQPPLPAGNTMGQVVHQQFPQAAAAAGASPNKPDVQPPPTWVPTGHPQFSPPQAYAQPQPASYPPQYPVQPPQVYMQIPVLPPTAPPPQD >PAN18040 pep chromosome:PHallii_v3.1:3:11508279:11509575:1 gene:PAHAL_3G174100 transcript:PAN18040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF061 [Source:Projected from Arabidopsis thaliana (AT1G64380) UniProtKB/Swiss-Prot;Acc:Q9C7W2] MDGSLRTLSPASFPGEVRSAVSSLLLSSPGTSALDTVFSHLPPPVTIPPLGSSVYYRQCELLRHFAASQPHAAACSSSSSSSAASFQAPPDDAAAAAAMLRQKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDGQDCPEHLRQLRAAVDAKIQAIRARLARKRARARKQREEGKESARSEGAKPATATPARPVVSEGAATTSETTTTSTTPYGSPDGVLSVSAASADGDCPLERLPSFDPELIWEMLNF >PAN16278 pep chromosome:PHallii_v3.1:3:3199498:3201062:1 gene:PAHAL_3G050500 transcript:PAN16278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYSDDVQVVESSLVSPSLGTPRGQLWLSPLDLLLVNRGHTPTVYFYRPAAAGGDFFDVARLKESMARALVDFYPLAGRLGADADGRFVIDCNAEGALLAVARSDLTVDDFRDVSPSQELKELFVPRVEPSSIMLAIQVTFLKCGGVAFGTALHHAAGDAISSFSFFHAWSALCSGRDGGAMAETELPCHDRGLLRARSPPFVNPDAFAVFCPKLTLTTQPSGPVASKVFSVSKDHVAALKQACGGVSTFCVLSAHVWRCVCVARVLPADATTRLTLPANVRRRMKPPVPDSYFGNALIWVGTSGVVRDVTTESLADTAGRIRGAVRRMDNEVVRSAIDYFEHAEQNGKPIPGSLPETELRVISWLGMPVYDADYGWGKPQLMVRAESERSGFVYLMNDGGGGVQVVVCAEVTILKEFEKLLFAKTMWP >PAN21960 pep chromosome:PHallii_v3.1:3:63271207:63271876:-1 gene:PAHAL_3G503000 transcript:PAN21960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSGSGAGSCLGLVVMAVVSTGIILISYHLHRRLEADMKVKIGEGANLQDRRRRRPRGAKRVKKVRFADDVVEPSSNNEEYRRRVWSSSPPPSTISSGAVVDAETLSLSLGPLPRKGDALLALPAPTHAMRRRTRRPPEPDACRQRA >PAN16754 pep chromosome:PHallii_v3.1:3:5469827:5472044:-1 gene:PAHAL_3G083800 transcript:PAN16754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEAAAAEQLEQEQEREQWRGAVEAALPSTPASAAWPHIASFCALHRYLPGIDVCELAAGDDGRPGCVRYVASLAPAPAAGGAGREVASWAREELLEIDGGARRLAYAVVGSSMGFGRYVASMAVVADEDESAAAGCRLVWAFECDPVQGWSLDGLLGYLDGGVKAIAARIEEAEAAAGAPAGGDVVATA >PVH63086 pep chromosome:PHallii_v3.1:3:60436976:60437282:-1 gene:PAHAL_3G470100 transcript:PVH63086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEEQGFLQRHGYTILRFLSCSVLASWPPSSPWSRLEQLEEGRNRGPK >PAN21548 pep chromosome:PHallii_v3.1:3:60859118:60860670:1 gene:PAHAL_3G475800 transcript:PAN21548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIASSMVELLLRRLILGGNKSRAGEGRRPEGGGKFDLGAGSELLFRGLGAAGAEGKALVEGEQSWSAATEEACLLNWMGKLRDCRGLAWLMARHVPRRAYHSPAPGSACPLG >PAN17626 pep chromosome:PHallii_v3.1:3:9319850:9322773:-1 gene:PAHAL_3G144800 transcript:PAN17626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRVLHFLRGPATSPAPADCRGPRQPQRLRFPQAGEGMRRVSVACSSSSTGEDEGMTYKGAGVDIDAGTELVRRIRKMAPGIGGFGGLYPFGDDFLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAEPMFFLDYFATSKLDVDLAEKVIKGIVDGCEQSDCVLLGGETAEMPDFYAEGEYDLSGFAVGKVKKDKLIDGKNIVKGDVLIGLPSSGVHSNGFSLARRVLEKSRLSLSDQLPRNDGITTTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPSGLGAKIFTGSWEVPPVFKWLQQVGNIDDAEMRRTFNMGIGMVLVVSRESADRIIEDTHGSSPAYRIGEVIEGEGVHYV >PAN19514 pep chromosome:PHallii_v3.1:3:18662396:18664776:1 gene:PAHAL_3G278700 transcript:PAN19514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHFALSALLLLLSSTTCFSSDLDVQCLRDVQKSVIDPNGILKSSWTFDNNTAGFICRFTGVECWHPDENRVLSLRLSNFGLQGPFPQGLKNCTSMTGLDLSSNNFTGPIPSDISLQVPFLTSLDLSYNGFSGEIPLLIYNMTYLNTLNLQHNQLSGQIPGQFSLLARLLTFNVADNQLSGSIPSALQKFSSSSFAGNQRLCGPPLGDCQASAKSKSNAAIIGAVVGVVVVVIIVTIVVLFCLRRLPAKKKAKDEDDNKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNQFSKENIIGTGRTGTMYKAVLPDGSFLAVKRLQDSQHSESQFTSEMKTLGQVRHRNLVPLLGFCIAKKEKLLVYKHMPKGSLYDQLTQEEGSKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLMATPKGDVYSFGVVLLELITGEKPTHVSMAPENFRGSLVEWINYLSSNGLLQDAIDKSLIGKDTDGELMQFLKVACSCTLATPKERPTMFEVYQLLRAIGERYHFTADDDLVLSPLNTDGETLDELIVAK >PAN20460 pep chromosome:PHallii_v3.1:3:23532451:23533384:-1 gene:PAHAL_3G319800 transcript:PAN20460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQESKKELDRKAREGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRREQLGQEGYSEMGRKGGLSSNDESGGERATREGIDIDESKFTTKS >PAN22105 pep chromosome:PHallii_v3.1:3:64201029:64206884:-1 gene:PAHAL_3G514000 transcript:PAN22105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTKQLGTLTQCARSFYLNGSRCGSTDGASCSCPEDEDYAPKRQAASSIKQKSRSTHRASVKTQPPVQHVVGSIGQSTGHPTPAVHAIPSTSPPGKEPASSDRSNGPRNHHKILGSDYVQPSKQTARSISQSGIAGAGVYSELVNFRPASINGSTDQAPDMGANYSYQTLSDNHSSNNRAHNQHSFPEAKLPYNPSMGNDFEKGAPRAGCARPKQSFSGPSVVASGSPSQIRDQGRPGQQYANYHSNNFNSEARRDEVQTRNPSGLNGFSGSGNKFQSPTGTIKAHGGGPQSNLRSLKSLRAVEQYYHTLQQMKWGPMTEHVLDSLHCKIDAFQANQVLKLLHDHTIALGFFQWLKRQPGFKHDGHTYTTMIGILGQARQFGIMRKLLEEMSRAHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQEAGYEPDRVTYCTLIDIHAKAGYLDVAMDLYGRMQEVGLSPDTFTYSAMVNCLGKGGQLAAAYKLFCEMIENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRRDWAPDEPVYGLLVDLWGKAGNVDKALGWYQAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYIVLQNMLAQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMSITGHPAHMFLLYLPDAEPGGQNVRDHTGYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGLIWEVAAQKNVYPDSVREKSSSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGTAPERIDIVTGWGRRSRVTGSSLVRQSVQKLLNLFEFPFFTTRGNTGCFVGCGEPLNKWLHNPYVERMHLL >PAN17171 pep chromosome:PHallii_v3.1:3:7068554:7072969:-1 gene:PAHAL_3G110600 transcript:PAN17171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVPKQEQHKEEEEKEEEKESMILAEHSNRANFGHGGSGRSEIKEVDFFSTGGARRRTDDDDDGDGEDWNREASGAPGRGNTTVNTALDLLTTAAATPVNGGEGTAAGAASDQKEAATVEGELRQAGEENRRLRRMLDDLTRSYSALYHQLIQAQQQQQVQRCAEDKAVLITTYEGTHNHQLPPAAAAMAKTTSAAAAMLLSGPAASRDAGALFAGHHVAAPAPLFQSYPYASAAMGATLSASAPFPTITLDLTHPPPAPAAGLLPHRPPALPAMPFPMYGGFPAAHRPAAAVPPQPTTVSLGMMDARNRSALETMTAAITSDPNFTTALAAALSTIIGGGGEAAPRSGAGGNGDGNNGSGGTEPSATAAAAGARETALHALLQRLHDSRQ >PAN17172 pep chromosome:PHallii_v3.1:3:7068781:7072790:-1 gene:PAHAL_3G110600 transcript:PAN17172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVPKQEQHKEEEEKEEEKESMILAEHSNRANFGHGGSGRSEIKEVDFFSTGGARRRTDDDDDGDGEDWNREASGAPGRGNTTVNTALDLLTTAAATPVNGGEGTAAGAASDQKEAATVEGELRQAGEENRRLRRMLDDLTRSYSALYHQLIQAQQQQQASGAANSMLPAATVPAGLQFVDPRMAPAMRAAAAHDGGDRGDSDGGSGSAGEAEHQNNGRSSAQQDGSGTPERDENAERAEAPLRRVRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDKAVLITTYEGTHNHQLPPAAAAMAKTTSAAAAMLLSGPAASRDAGALFAGHHVAAPAPLFQSYPYASAAMGATLSASAPFPTITLDLTHPPPAPAAGLLPHRPPALPAMPFPMYGGFPAAHRPAAAVPPQPTTVSLGMMDARNRSALETMTAAITSDPNFTTALAAALSTIIGGGGEAAPRSGAGGNGDGNNGSGGTEPSATAAAAGARETALHALLQRLHDSRQ >PAN17742 pep chromosome:PHallii_v3.1:3:9849216:9854653:-1 gene:PAHAL_3G153300 transcript:PAN17742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGEAKENDVYEEELLDYEEDDDKTVDGSAAKPTGEVAKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >PAN21886 pep chromosome:PHallii_v3.1:3:62788947:62795299:-1 gene:PAHAL_3G497400 transcript:PAN21886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSPSKMAEPSPESDGEQRCLNSELWHACAGPLVSLPMVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADVETEEVYAQMTLQPLSPEEQKEPFLPIELGAGSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPVQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSIIFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSERWPNSHWRSVKVGWDESTAGDKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPMFNGGRSDEFARYSSLMWLRDGSRGSQSLNFQGLGVSPWLQPRIDYPLLGLKPDTYQQMAAAALEEIRGGDHLKQTSSLLAVQQTQNLNGVLDPLYGNPVLQQIQFQSQQSPLQAVQQGYGQNTGNSGFLQSQLQQLHLQKQQEPPPQQQQQQTQQVLQQQSHQDMQQHLSSSCHDITNVASSMSEAVSASQSQSSLLPGSSFYQQNIFEGNSGPGLHLHNSFHNFSSQEASNLLNLPRSGQLMASEGWPSKRLAVEPLANLEAQSVQHKLEKVSHQSNVSQNSGTLAPLSARDGSSAQALSTNVQSHLLSSSFAIHDGMTTVRGDGVGSGTDAITIASLRYSDVNLLPENSLATSSCLGESGTFNSLNDVCGVNPSQGGTFVKVYKSGSLGRSLDITRFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRGRDDLLSTHGATMLQGNACDDYSASHSMQHLTGSIAPVVPLDY >PVH63183 pep chromosome:PHallii_v3.1:3:61908322:61911984:1 gene:PAHAL_3G487300 transcript:PVH63183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDARGSTPLHNAAEYGNCKAVRLLLSKGVPVDPLGHRGTPLHLAAGYGHDQAMKILLEHGADPNRVVNHVHSPLMAACYAHSLECMKLLVEAGADVNFRSPSAPPVLMLAVNDGLTDIVNFLLEVGADPNIHVWDGKFPIMLAAAHEHHELVEILFPRTKPIPSLIDWSVDGIIRYIKYPHLEPREVVEEKIADAKSQGKEAFAKGDYLAAIYFYDLAMGKDPLDATLFANWSLCWLRLREGERALSDARDCRALNPRWATAWYREGAALSLLKNYKAAADAFVEALKLDPANNETKKALREATESMNYEER >PAN16985 pep chromosome:PHallii_v3.1:3:6345553:6350982:-1 gene:PAHAL_3G100100 transcript:PAN16985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MASAVAASTATRFLPHLPATWLRRARVTLLPSPLPWRSLAVTVAAASRRPGDGEGGGRGRTRRRRARGAEQEEGVSLSSEKETVNHTPGAQTKGTEPVLESSTTGKGSAIRRVTLVILAAVLFGISIALRDGAEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPKEYQNRVLSYGIAGAVVFRAVMIVLGVATIQKFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCRKFIPVTDYYDDDQFFTSQDGLWKATPLLLTLAVIELSDIAFAIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMAELEYLQPAIGVVLGFIGTKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGDK >PAN16983 pep chromosome:PHallii_v3.1:3:6345552:6350981:-1 gene:PAHAL_3G100100 transcript:PAN16983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MASAVAASTATRFLPHLPATWLRRARVTLLPSPLPWRSLAVTVAAASRRPGDGEGGGRGRTRRRRARGAEQEEGVSLSSEKETVNHTPGAQTKGTEPVLESSTTGKGSAIRRVTLVILAAVLFGISIALRDGAEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPKEYQNRVLSYGIAGAVVFRAVMIVLGVATIQKFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCRKFIPVTDYYDDDQFFTSQDGLWKATPLLLTLAVIELSDIAFAIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMAELEYLQPAIGVVLGFIGTKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGDK >PAN16984 pep chromosome:PHallii_v3.1:3:6345574:6350930:-1 gene:PAHAL_3G100100 transcript:PAN16984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MASAVAASTATRFLPHLPATWLRRARVTLLPSPLPWRSLAVTVAAASRRPGDGEGGGRGRTRRRRARGAEQEEGVSLSSEKETVNHTPGAQTKGTEPVLESSTTGKGSAIRRVTLVILAAVLFGISIALRDGAEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPKEYQNRVLSYGIAGAVVFRAVMIVLGVATIQKFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCRKFIPVTDYYDDDQFFTSQDGLWKATPLLLTLAVIELSDIAFAIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMAELEYLQPAIGVVLGFIGTKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGDK >PAN16987 pep chromosome:PHallii_v3.1:3:6345554:6350981:-1 gene:PAHAL_3G100100 transcript:PAN16987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MASAVAASTATRFLPHLPATWLRRARVTLLPSPLPWRSLAVTVAAASRRPGDGEGGGRGRTRRRRARGAEQEEGVSLSSEKETVNHTPGAQTKGTEPVLESSTTGKGSAIRRVTLVILAAVLFGISIALRDGAEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPKEYQNRVLSYGIAGAVVFRAVMIVLGVATIQKFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCRKFIPVTDYYDDDQFFTSQDGLWKATPLLLTLAVIELSDIAFAIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMAELEYLQPAIGVVLGFIGTKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGDK >PAN16986 pep chromosome:PHallii_v3.1:3:6345558:6350930:-1 gene:PAHAL_3G100100 transcript:PAN16986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MASAVAASTATRFLPHLPATWLRRARVTLLPSPLPWRSLAVTVAAASRRPGDGEGGGRGRTRRRRARGAEQEEGVSLSSEKETVNHTPGAQTKGTEPVLESSTTGKGSAIRRVTLVILAAVLFGISIALRDGAEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPKEYQNRVLSYGIAGAVVFRAVMIVLGVATIQKFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCRKFIPVTDYYDDDQFFTSQDGLWKATPLLLTLAVIELSDIAFAIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMAELEYLQPAIGVVLGFIGTKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGDK >PVH62632 pep chromosome:PHallii_v3.1:3:30742121:30745492:1 gene:PAHAL_3G344200 transcript:PVH62632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGITKQKFGNEHEMSINEFSHYLLFPGLFVAFTYNKKQPPAFGAAPAFWCILLSFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCWIPSFYGFLFCCRGRPQSHNVSKRRGYRETFLFSFVSNFVKNSILSLQQKSGAAPQLYTPFVRRTLVDSELRSQSKRPFNGPALFNAPLDPVLKMSFALLGAGRSRGSREGKRTNLLLHLARDEKERASSIDEQQIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLVESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNRIVALHSPPMRKDAAEKNGTLLRSAGCVGSHIRSSLFTRSFKHFVGGAPALLLRSNRSLLMLLRRRFFAFSSLWTGALMDTGREQAKRVVRNGKKDTTTSPLCWTAGANTVVSDQDQEPIRIWILTCWLFLTVGISPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHYWTSLLNILTLPCCVSGTFSIRSGLLAPVHSSATDDTRGRFLWRFFLLITGISMTLFYQMKQEASVRRTYKKEMVVARSTLVHLRHSARAQPRPVMLWKNFASCWAGYSEPATGCRISSRP >PVH61731 pep chromosome:PHallii_v3.1:3:6843316:6847166:-1 gene:PAHAL_3G107200 transcript:PVH61731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLRTPRRRTAVPPRFNPGTPWRWSPTSPACAGPTTQPSSWRAAPSPAATPSSTTPSSSLRARTARSGRSCRRGSSARARHRVGLPPRGWRPWSTTRSLTTPGGSGSISAARTGTGRSGCASRSRGRSWSSTPPTSDPTSSGSTAPFEALRRRHECQQQ >PVH61730 pep chromosome:PHallii_v3.1:3:6843316:6847166:-1 gene:PAHAL_3G107200 transcript:PVH61730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLRTPRRRTAVPPRFNPGTPWRWSPTSPACAGPTTQPSSWRAAPSPAATPSSTTPSSSLRARTARSGRSCRRGSSARARHRVGLPPRGWRPWSTTRSLTTPGGSGSISAARTGTGRSGCASRSRGRSWSSTPPTSDPTSSGSTAPFEALRRRHVVAKTFWKNNLLVEYTVSNSDGTALPEEIVDVKHVRRCPPQA >PAN17212 pep chromosome:PHallii_v3.1:3:7235662:7236215:-1 gene:PAHAL_3G113900 transcript:PAN17212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTETFRWSKGGPALAAGAGAARAVLGEVAVQKVDRIDQVLIIQDPSASPPVPGGGGVRTAPPGGGGAAKGDDIDRKAEEFINRQRSMWALRSKPGGTSSS >PVH62531 pep chromosome:PHallii_v3.1:3:23035993:23036493:1 gene:PAHAL_3G316600 transcript:PVH62531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYHRPYRGDLRSPPSSAPDPAFTHANGYFSSSTSPHSNNGYFSPAFAKNDAFPGAGPGGDRRVEIYTTAPPPHLPPPPGHTLALPPPPGWKEGRMGGGGGSAGRKGGGGGGGASMWCLSDPEMKRRRRVASYKAYSVEGKVKASLRRGLRWFKGKCSEIFHHGW >PAN20270 pep chromosome:PHallii_v3.1:3:49429242:49433066:1 gene:PAHAL_3G397400 transcript:PAN20270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAPNQERANQENPTPRHLRARGGDHGVLGRQLPDLPAPPGRGTRAGFSFSGAAAASVSRAVPQADSLPSPLHRRRRRRHRPPDSHRAQLCPAAVPSLLHRYSGKSYGPTTLPWKPTNRLVMWGLKQVATTATNLPDKSRMQLSPPLMLSLIHHYSSKGG >PAN21444 pep chromosome:PHallii_v3.1:3:60232701:60234011:-1 gene:PAHAL_3G466400 transcript:PAN21444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLLWLALLCASLTFTACAGIRLELVHVDARENRTVAERVRRATERTHRRLASMGEVTAPVRWVETQYIAEYLIGDPPQRAEAIIDTGSNLIWTQCAACRPAGGCFSQNLSFYDPSRSRTVQPVACNGTACALGSETQCTRDRSACAVLTAYGAGAIAGVLSTEVFTFGSQNASLAFGCVIASRLTPGSLDGASGIIGLGRGALSLVSQLGDTKFSYCLTPYFSDAVNTSRLFVGASAGLGGNTPVTSVPFVKNPSDDLFGTFYFLPLAGITVGKAKLDVPAAAFDLRQVAPGKWAGTLIDSGSPFTSLVDVAYQALRAELARQLGTSLVPPPARGLDLCVAGGDAGKLVPPLVLHFGSGGGAASDVVVPPENYWAPVDDTTACMVVFSSARPNATLPVNETTIIGNYMQQNMHLLYDLGNGVLSFQPADCSAM >PVH62367 pep chromosome:PHallii_v3.1:3:18397628:18397932:-1 gene:PAHAL_3G275600 transcript:PVH62367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCFRPVRSCLVLGWVWVFRGGGNGQIRAVDVASQGWRWLDVGSSEEFRIAPGFACNFMCPAAFLLESPFLRFYCFSCEERFISLFLF >PAN16868 pep chromosome:PHallii_v3.1:3:5933022:5935728:-1 gene:PAHAL_3G092700 transcript:PAN16868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRKALGAVKDQATIGMARVSGAVKPDLDVAIVRATSHDGAPPDDRHAREVLRLAAGGSQRACVASLARRLARTRDYVVAAKCLALMHRLAAEGDAHLRSELLRPAQGGRRAGEPVLSLLLDFRDEAHAASWEHSAFIRAYALYLDDRVRFLVSLLPPPRVVRFADDNNSGIGGGASPPAPASVSDMDPEGLLVRARQLRQLLDRFLACRPAGAARDSRVVLATLYPLLKESFQLYEDLSAVLALLLDRFFDMEYPECVKAFETYVSTAKQIDSLLAFYAWCDDVGVARSSDFPEVKRIDEKLLETLEQFLRERGQAGRSSPPPLPAQTAHQSAGHAQDEPMEYADMNSVKALPAPERYSADTTRSVPAKASAAAALAANKTDQARQADLVDLRKPAATADEQENKLALALFSAPPATSGTDSSWVAFPSDSDDAPAVTSAWQTAAAEPGKTDWELALVETASSLSRQTASLGGGMDPLLLGGMYDQGAVRQQVAAHAASGSASSVALPAPGRGAAAPVLMLPAPGGTVQAVGGDPFAASLAVPPPAYVQMAEMERKQQLLVQEQQMWAQYRQGGMQGQPAGFNGLAGGSVFAPNTAVAMPYGMPVAYNHVGGYY >PAN21287 pep chromosome:PHallii_v3.1:3:59212529:59216383:1 gene:PAHAL_3G456100 transcript:PAN21287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGQKLDSAAGAGGVGIGVLSLDLLGQVLDRLREPRDRKACRLVSRAFERAEAAHRRALRVLRREPLPRLLRSFPALERLDLSACASLDDGSLAAAVAGAGGGLAGLRRVCLARASGVGWRGLEALVAACPRLEAVDLSHCVGAGDREAAAVAAAAGLRELRLDKCLAVTDMGLAKVAVGCPRLEKLSVKWCREISDIGIDLLAKKCPELRSLNISYLKVGNESLRSISTLEKLEELAMVGCSCIDDEGLELLSKGSDSLQSVDVSRCDHVTYQGLASLIDGRKFLQKLHAADCLHEIGQRFLSKLATLKETLTVLKLDGLGVSDSLLQAIGEGCNHLVEIGLSKCSGVTDEGISSLVARCSDLRTINLTCCNFITNNALHSIADNCKMLECLRLESCSLINDKGIKQIATCCPNLKEIDLTDCGVNDAALEYLAKCSELRILKLGLCSSISDKGIAFISSNCGKLVELDLYRCNSITDDGLAALANGCKKIKLLNLCYCNKITDSGLGHLGSLEELTNLELRCLVRITCIGISSIAIGCKSLIELDLKRCYSVDDAGLGALARNAFNLRQLTISYCQVTGLGLCHLLSSLRCLQDIKMVHLSWVSIEGFEMALRAACGRLKKLKILTGLKTVLSPELLQMLQACGCRIRWVNKPLVYKDC >PVH63104 pep chromosome:PHallii_v3.1:3:60790689:60792761:-1 gene:PAHAL_3G474900 transcript:PVH63104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAAACSVGGIDSSTSGGCDDFLAQLYESYEQLNMEELLNGYAGFAQPFAAGDGDIGGVGILTQAAFDPTDGDSAGLQPPVPFPAGDTGGMGIFHEPVPFSTVDYDNAGILQPWFGPVDADDDAGLRGQLGDSTGLLQPVSYPAGDAGPASTAAVTEFPRSGFSSLPDDAGVLAGAGATAADMEIAQQGFSLAVDMVGLLALGTLRLEEDAHQLTFAGLNSDQACLSMLRAFRRAWHEEKQLHQRPDGQASLAEMDDPGPSDPVRRRAHGSSRARRPRAQHVGAPRSVAGRRSGSRMPGTVTAESRRSSTCSARSSEQ >PVH62953 pep chromosome:PHallii_v3.1:3:57535101:57536527:1 gene:PAHAL_3G438200 transcript:PVH62953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDAYGSNEEAGHSKANQARKLVLARPWRQPTPSAGNRRSGGGSTRRAWPAVASAVTVLIVLIVAYAVTVVTRTEELSLSVTGGIIYMKPEAVQPRKVGLTFSVQANNPSGRARFYYTGLHGKVFLVNNGTSKPIAKFSTGMKDMVVAPNSMLQTEAYVHFISIQRRSKRKSRKLFQQEQRKTKSIACRRRFAFLSSNATKLRVI >PVH62228 pep chromosome:PHallii_v3.1:3:15677808:15679096:1 gene:PAHAL_3G241600 transcript:PVH62228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERSVLMGRYEIGRLLGQGTFAKVYYSRNLATGQTVAIKVIDKDKIVKTGLMDQIKREISIMRLVRHPNILQLFEVMATKNKIYFVLEYAKGGELFNKIAKGKLTEEAARKYFQQLIGAVDYCHSRGVYHRDLKPENLLLDENETLKVSDFGLSALAESKRQDGLLHTACGTPAYVAPEVLSRKGYNGAQADVWSCGVILFVLAASYLPFHERNLIEMYKKISKAQYRCPRSFSAELKELLYGILNPDPNTRMPISRIKRSAWYRKPLEATTSGLIDCVGSERNQGSLALANLNAFDIISLSTGFDLSRLFDEKYSQRGARFTSNQSAEAIFEKLKELARSLKLKVTKKDDGVLKLATTKEGRKGILELNAEIFEIAPSFVLVELKKTNGDTLEYQKLMTDGIRPSLEDIVWA >PVH61630 pep chromosome:PHallii_v3.1:3:5350421:5353326:-1 gene:PAHAL_3G081400 transcript:PVH61630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAAMAGKKFSSYHLAAALRREPDPAAALRLFLNPPTSTIPSSAPFRYSLRCYDLIISKLAAARLFPAMESVLSRLASSSVPRPREQLLCRVISAYGRARLPAAARRAFAHPAFPEPRTARALNTLLHALLACRAPLSDLLAVCRDARIPPDACTYNILIRAAAASGSLEHARHLFDEMLRRGIAPTVVTFSTVVAALCDAGQPEDAFEVKEVMIRLYNVSPNAFVYASLMKGLCEKGDVDAAVRLKEKMAGNAELVLDSAVYATLVRALFRVGRKGEVVGMLEEMKGRGIVPNRVVYNAMVAGFCEDERDPSAAFAVLDDMQKSGCKADAVTYNTLVAGLCRLGQWRDAAELVEDMPRRGCPPDVVTYRMLFDGMCAAGEFLEADQVLNEMVFKGFAPSKDGAREFVQGIEREGDAALLEAVLCRLAKVNALESSGWEKAVSGVLNGPSKLRLEKQLDSLRIA >PAN15695 pep chromosome:PHallii_v3.1:3:472859:474591:-1 gene:PAHAL_3G008000 transcript:PAN15695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEPETVEGIPFPAEITAGSGNPLSLLANGITDIEIHFLQIKFNAIGIYLHNNDVLLGHLQSWKGKTADDLLGDDAFFQALVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAADRYEDEEEEALEKVADFFQAKYFKPASVITFHFPATPPAGAAAEISFATEGKDEAKMRVENENVAGMIQKWYLGGESAVSPTTVRSLADRFAALLSAA >PAN18662 pep chromosome:PHallii_v3.1:3:14089573:14092887:-1 gene:PAHAL_3G217000 transcript:PAN18662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKYLETARADRSVWLMKCPPVVSRAWQAASASSSSDAANPNPVVAKVVLSLDLLSNEEPSLQFKMELAQTNTGNTPKSYSLNMFQDFVPMCVFSESNQGKLSCEGKVEHKFDMEPHSDNLVNYGKLCRERTQKYMVKSRQVQVLDNDHGMSMRPMPGMVGLIPSGSKEKKKQTPAKPSDVKRTRRDRTEMENIIFKLFERQPNWALKALVQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDTDAA >PAN17494 pep chromosome:PHallii_v3.1:3:8749588:8751197:-1 gene:PAHAL_3G135800 transcript:PAN17494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSSKGVRKKSLISRTVERCRSGLSRIGAAAGRAAPVAGCFPVHVGPERARFMVRAEFASHLLFRRLLDDAEREYGHAARGPLALPCDVDAFLDVLCHMEHGGGGGEDDDGGEITPPEAVSSSPICGLRSCGSRGRAAGYRIMNPRSSPVVARRWPEGERKASRHARTRSLC >PAN18009 pep chromosome:PHallii_v3.1:3:11416568:11417729:-1 gene:PAHAL_3G172400 transcript:PAN18009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPPRKCDDDGIMDFIPRHDLVKPIPRSMARFVRDYDIVRIGKMLSAPDGDRSFAEKWEYIKNNLESYRKPNAHSAIHNENDDEDDEDEMDEDDELESAGDEKKRSIDVELQVPNEGERKCKNPRLAESLSAGQKKKIEDGEIRNSF >PVH63185 pep chromosome:PHallii_v3.1:3:61917590:61922288:1 gene:PAHAL_3G487500 transcript:PVH63185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPKNSDSAAALQAAIDGNLSLLKELAGKVNLRDAEDAKGRNALHFAAVKGHLEVCRFLVEESGLDVNSVSGEGRLPVHCAAAGGSDSVLRYLLDHGGDPAVPDHRRSMPLHDAAELGHFEAVRLLLSKGVDVDPINYIGTPLHLAASKDQDQAVKILLEHGADPKRVVNHVFTPLFMAACCGHSLKCTKLLVEAGADVNFTCPWGPIILMEAVDDGLTDIVKFLLEAGADPNIANEDGRFPIMWAAGHGHRELVEILFPHTKPIPSIPDWSVDGILRAMKYLHLEVQDAALVAERLADAKSQGKKAFANGEYFASIHYYGLAVDKDPLDATLFANMSLCWLRMREGERALEDARKCKMMRPGWSKAWYREGAALSFLKKYNEAIPAFMQAQKLDPTSDEIEKALMEAIEVVRSAS >PAN16536 pep chromosome:PHallii_v3.1:3:4293379:4298757:-1 gene:PAHAL_3G067300 transcript:PAN16536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEIRAEFESSGFSIGGAGPEDAAQILPTLMSYCINYKMSPADLVSNWEVYYLNRQLDGLKLEKSYLDGFLSHLQNEVKERLIEEEANLHIYSSNDVDMLLNNSHADEAAFLDTPGSKQEKPPGESSNTELTPKTSDRPSSSRVTKTNGDRITPFATRVNKFTQQYVLNADNAASMSSKHEGETTEDELIRRIQPSQRCSLQVQRSQPEPGCRFMYDRMEDRFNYLKDRIRKSATLFSASGLCGEPADATLASEEKMFAVGMVTCDGEGRLNEKSILLQGSVEHSRGERVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVASELIDSIPVSVDAQLPSAKKQSVDNGSHHQNSDAGTQSRALSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFHDIFYSEVLRKIQDFTQYLGHTVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQISSLANPSLFSSNQIHFGCCTVDILKQISGEEISRKPPGGKPGDRIGRLATHILKQQSYYPLYPPAAGVPLDFALAKEALEISSTPDVLLLPSDLAPFVKVLSLGEGNDDQKQFICLNPGRLAKGIGGGTFVELYYNEDTSRTNASIIRI >PAN16698 pep chromosome:PHallii_v3.1:3:5168342:5170326:-1 gene:PAHAL_3G078200 transcript:PAN16698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSVGIRTPPRNRISDIILDHRAPVYARSTQLVSVLDVAGRCKSASREDQTTMAPCWSSVLAVLGVHAHAEDDPAADVEKGEESKAAALLRRLLDDWAAAAAEKKEVRNLTAEVRALELALAEASGAREAAEAKRREAEARADAAEDELRAAAERHEAQVEELRRALDALEDRDARIRELEDRIKELNNTHSKWRFF >PAN20478 pep chromosome:PHallii_v3.1:3:24980150:24981512:1 gene:PAHAL_3G323400 transcript:PAN20478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGAGTTPSTVLTMKLLVDSRPLRRRVVFAEAGKDTVDFLFSLLAMPAGTAVKLLGKESMAGCMGNLYGSGEKLDDAYVHPDAANKDAVLCATVPTSPAAAGPNSSLLFRLPPEPTPVPAPAPRRLFVCGSNYNNCRGYVTEVRGTRCPNCSNQITADAKLVGLPPAPPPPVPAREATRRGFVQGGAVTYTVTDDLVISPMSNVSSIALLNACAVRDLGALQERTVQIGVWRFSEPRCSPRLC >PAN20477 pep chromosome:PHallii_v3.1:3:24980150:24981512:1 gene:PAHAL_3G323400 transcript:PAN20477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGAGTTPSTVLTMKLLVDSRPLRRRVVFAEAGKDTVDFLFSLLAMPAGTAVKLLGKESMAGCMGNLYGSGEKLDDAYVHPDAANKDAVLCATVPTSPAAAGPNSSLLFRLPPEPTPVPAPAPRRLFVCGSNYNNCRGYVTEVRGTRCPNCSNQITADAKLVGLPPAPPPPVPAREATRRGFVQGGAVTYTVTDDLVISPMSNVSSIALLNACAVRDLGALQERTVQIGYKEGLEILRASLQSKTVLTDVFLGKKPPSMNNGRSTTLSSGRRHESLTWRA >PAN20915 pep chromosome:PHallii_v3.1:3:55023829:55026069:-1 gene:PAHAL_3G422000 transcript:PAN20915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHSSLLLTSLVLIGLLSHITETISHGNCEGSSRCTYVVRVRPPPNFSMDMSPMNLEDWYRSFLPPGMARSKPQSPFIHTYREAILGFAVNLTKDEAEYIKTNDGVLMVYQDNLIPLLTTHTPDFLSLRPNGGAWNTLGMGEGIIIGLLDTGIDFAHTSFDDAGMATPPAKWRGSCKFESAHCNKKLIGGKSLIGGENPDAPTDDVGHGTHTASTAAGRFVQGASVLGSGHGTAAGMAPRAHLAMYKVCNEQGCYGSDILAGMEAAIADDVDVLSISLGGRPQAFHEDILAIGTFSAMKKGIFVSCSAGNSGPLPSTLSNEEPWVLTVGASTMDRQMKAIVKLGNGRTFVGESAYQPSNLASLPLMFELDDSENITGKVVACELEGSQVEIGQSIKDSGGSGLIVLGAEDSGYTTFAAAHVLPASYLNSPDAAAVRQYIKTSNKPIASIIFNGTSLGTTPAPVVAYFSSRGPSTTSPGILKPDIIGPGVNVIAAWPFKVGPNRVDEHGMAFNSISGTSMSAPHLSGIAAIIKSAHHDWSPAAIKSAIMTTAFVVYDSKKPILDEKLNPASHFTIGAGHVNPSEVINPGLVYDTDVEQYTLYLCGLGYTDSEVETITHQKGVCSKGTKLAEAELNYPSIATRASAGKLVVNRTVTNVGDAVSSYTVETDMPKEVKATVSPTKLDFTKEKESKTFTVSLSWDASKTKNAEGSFKWVSSKHVVRSPIVIF >PAN21079 pep chromosome:PHallii_v3.1:3:57537289:57538629:1 gene:PAHAL_3G438300 transcript:PAN21079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADAVGRKSAFRRGLNATRLAVASAVMVLIVLIVAYAVTVVTRTEELSLSVTGGIIYVTRESVQPREVRLTFSVQANNPSGRARFYYTGLHGLVSLVNNGTSKPIVKFSTGMKDMVVAPNSMLQTDALVHVDDIPLIAPYFDELYNSSSASIFSNAMLTLNGTLDVGLYSVHNKSSLQTVYYCWPLTMGAAGNASAPDMDDNVPCGTSDPME >PAN21977 pep chromosome:PHallii_v3.1:3:63378438:63380846:1 gene:PAHAL_3G504100 transcript:PAN21977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGFGPGSPRSFRYSARGGGGDFDLESGVSRKARRPKTPHLEPSVAMRARHFYEAHPVAVAAALLSAGLAVLVLLSVHETRARAARPGGGAWAEEYPLPGLRNLVMVAGHSVYTSASCGGTDREESWFLEPYQRHPGQAATFVAHIKEGVDVAARDEGALLLFSGGETRKDAGPRSEAQSYWAIAESKGWFGNDGSVRSRALTEEHARDSFENLLFSVCRFRELTGRYPQNITVISYDFKEERFAQLHRTALGFPEGRFFFLGTPATPAAREAALKGEAAVRAQFLEDPYGCLGSLHVKRLKRDPFHRTIPYPDGCPELKSLFSYCGSVPFSGHLPWTE >PVH63248 pep chromosome:PHallii_v3.1:3:63454028:63456094:-1 gene:PAHAL_3G504900 transcript:PVH63248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPMDPACGFVGSCQREYAWTIDLHTCHQVHAGGCCRVAMIHESCSILSSAAVLPVGAMRIILASSLYSQLCHHLPARAASRFSHRMRHAASQQCESIRVPSRDHSLLGASHFHSIQQQLWIELHLLVRSCVSLPLPRLFLNQLFSISRWENEKGNLVGQLDFSNIYIQTCDFIETC >PAN17659 pep chromosome:PHallii_v3.1:3:9546854:9554494:-1 gene:PAHAL_3G147300 transcript:PAN17659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDSVVRSVDRAGAAPGDDGSATPLPETVQIGNSPTYRLDRKLGKGGFGQVYVGRRISSPSVSDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGKQGEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWRDTGTGEHVEYDQRPDAFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPEIRPINTDGAQKLIYQVGQKRGRLMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSPYFLDKEWIMEQWEKNFYITALAGANNGSSLVVMSRGIQYAQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAVVMSRNAGFTAQVVELDFLYPSEGIHRRWDNGFRITSTAATWDQAAFILSIPKRKPADETQETLRTSAFPSQHVKDKWAKNLYLASICYGRTVS >PAN17656 pep chromosome:PHallii_v3.1:3:9546854:9552832:-1 gene:PAHAL_3G147300 transcript:PAN17656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWRDTGTGEHVEYDQRPDAFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPEIRPINTDGAQKLIYQVGQKRGRLMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSPYFLDKEWIMEQWEKNFYITALAGANNGSSLVVMSRGIQYAQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAVVMSRNAGFTAQVVELDFLYPSEGIHRRWDNGFRITSTAATWDQAAFILSIPKRKPADETQETLRTSAFPSQHVKDKWAKNLYLASICYGRTVS >PVH61932 pep chromosome:PHallii_v3.1:3:10450858:10451136:-1 gene:PAHAL_3G162000 transcript:PVH61932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKSATRLQLAAANRGERRRAAPSYYTSVPPIGEERRQTAHTRSPSPAGGSGATYLHIAVTEASIPSCCVNACCLLRAEEAAIDAKMHRRC >PAN18559 pep chromosome:PHallii_v3.1:3:13653636:13658098:1 gene:PAHAL_3G210400 transcript:PAN18559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRFQNLLGAPYRGGDAVFAGDSPVLLSAVGNRVASTDLAASSSLTLPFESSSNVTRLAVSPSGDFLLAADDNGRALYANLRRRAVLHRVSFKGAPSAVRFSPDGQLIAVAVGKVVQIWRSPGFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSAFLLASCKDLTARLLPVKSGLRGKPFLFLGHRAAVVGAFFATDKKTGRVRGVYTVSKDGAIFTWNLVEGNEDSDTSPPPSPGTPEQGSEQNDAMELDGGSRKRKSLGESGKSDTTLLHLAKWELQEKHFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCITYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPSDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNTKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQHTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDESFIFDPTDLDIDVTPEKVEEALAENQHQRALILSLRLNEDSLIKKCIFAVEPSNVRAICSAIPYKYLQRLIDAFADLLESCPHLEFILLWSQELCKVHGHYIQQNSRTLLPALKSLQKSITRLHQDLADTCSSNEYLLKYLCSAGTKK >PAN18560 pep chromosome:PHallii_v3.1:3:13653686:13658098:1 gene:PAHAL_3G210400 transcript:PAN18560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRFQNLLGAPYRGGDAVFAGDSPVLLSAVGNRVASTDLAASSSLTLPFESSSNVTRLAVSPSGDFLLAADDNGRALYANLRRRAVLHRVSFKGAPSAVRFSPDGQLIAVAVGKVVQIWRSPGFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSAFLLASCKDLTARLLPVKSGLRGKPFLFLGHRAAVVGAFFATDKKTGRVRGVYTVSKDGAIFTWNLVEGNEDSDTSPPPSPGTPEQGSEQNDAMELDGGSRKRKSLGESGKSDTTLLHLAKWELQEKHFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCITYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPSDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNTKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQHTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDESFIFDPTDLDIDVTPEKVEEALAENQHQRALILSLRLNEDSLIKKCIFAVEPSNVRAICSAIPYKYLQRLIDAFADLLESCPHLEFILLWSQELCKVHGHYIQQNSRTLLPALKSLQKSITRLHQDLADTCSSNEYLLKYLCSAGTKK >PAN19385 pep chromosome:PHallii_v3.1:3:17988096:17991937:-1 gene:PAHAL_3G270300 transcript:PAN19385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLSLTVIDAAAAMPAALRLGGSPVPRLAAARRPGPRPPPWLRFGWGGAARRGLLCSAEAARRAGDGEDAEAEEARRGSGGRAAAERRMRGGAAAAAVGTSVELLAIPGVGPRNLRKLVDNGFEGVAQLKQLYRDKFFGKSSEQMVEFLQSSVGIVHKNHAESITSFIKESVDEELKDTDSSKPTQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIDKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQAGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMHRRRSEEGGVTLDYLQGLHEKHESWLLPSKGSGPGILSVSQLPMHMEGSLPPEIRDRVFYLEGNHMHSSIQKVPALVLDCEPDIDFNRDIEAKRQYARQVAEFFEFVKKKKEEAPSEQSADKGCMNPQVMLPNRGRLWVPEGNPFAGSPMNLDFRRAMSSYIST >PAN19598 pep chromosome:PHallii_v3.1:3:19240739:19243230:1 gene:PAHAL_3G284800 transcript:PAN19598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTARRLRAVVACVVLAAVAAAPRPAAGILDPNDFLALQAVRRSLDDMPGSAFFDGWDFTADPCGFPGVFCDGDRVASLALGDPRAGSPGLTGRLDPALGRLSALTELSLVPGRVEGQLPASLASCSNLRFLAVSKNLLSGQIPDGFGALSNLRTLDVSFNQISGAIPPSIAALPSITNLILCHNQLTGGVPSFQDSSPLLRLDLKHNALTGGVPTLPAGLQYLSLSANKLSGTVDQVLPRLTRLNFLDLSMNQLDGPIPAAVFALPLSVLQLQRNFFAGPVQPSSDVTIPVVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >PVH62404 pep chromosome:PHallii_v3.1:3:19240739:19243248:1 gene:PAHAL_3G284800 transcript:PVH62404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTARRLRAVVACVVLAAVAAAPRPAAGILDPNDFLALQAVRRSLDDMPGSAFFDGWDFTADPCGFPGVFCDGDRVASLALGDPRAGSPGLTGRLDPALGRLSALTELSLVPGRVEGQLPASLASCSNLRFLAVSKNLLSGQIPDGFGALSNLRTLDVSFNQISGAIPPSIAALPSITNLILCHNQLTGGVPSFQDSSPLLRLDLKHNALTGGVPTLPAGLQYLSLSANKLSGTVDQVLPRLTRLNFLDLSMNQLDGPIPAAVFALPLSVLQLQRNFFAGPVQPSSDVTIPVVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >PVH62403 pep chromosome:PHallii_v3.1:3:19240739:19243230:1 gene:PAHAL_3G284800 transcript:PVH62403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTARRLRAVVACVVLAAVAAAPRPAAGILDPNDFLALQAVRRSLDDMPGSAFFDGWDFTADPCGFPGVFCDGDRVASLALGDPRAGSPGLTGRLDPALGRLSALTELSLVPGRVEGQLPASLASCSNLRFLAVSKNLLSGQIPDGFGALSNLRTLDVSFNQISGAIPPSIAALPSITNLILCHNQLTGGVPSFQDSSPLLRLDLKHNALTGGVPTLPAGLQYLSLSANKLSGTVDQVLPRLTRLNFLDLSMNQLDGPIPAAVFALPLSVLQLQRNFFAGPVQPSSDVTIPVVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >PAN19597 pep chromosome:PHallii_v3.1:3:19240739:19243247:1 gene:PAHAL_3G284800 transcript:PAN19597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTARRLRAVVACVVLAAVAAAPRPAAGILDPNDFLALQAVRRSLDDMPGSAFFDGWDFTADPCGFPGVFCDGDRVASLALGDPRAGSPGLTGRLDPALGRLSALTELSLVPGRVEGQLPASLASCSNLRFLAVSKNLLSGQIPDGFGALSNLRTLDVSFNQISGAIPPSIAALPSITNLILCHNQLTGGVPSFQDSSPLLRLDLKHNALTGGVPTLPAGLQYLSLSANKLSGTVDQVLPRLTRLNFLDLSMNQLDGPIPAAVFALPLSVLQLQRNFFAGPVQPSSDVTIPVVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >PAN17964 pep chromosome:PHallii_v3.1:3:11167920:11170939:-1 gene:PAHAL_3G168400 transcript:PAN17964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQSLSQPKPQPLSPSPPAASASTSTSSSLATAAASRPKKEAHPPSDSNPPKKPRLTFAIPGRPLSAVGEVGAAIRHLRDADPALAAVIDAHEAPVFQCPHRPFHSLVRSILYQQLAFKAAASVYSRFLSLLGGEASVTPDAVLALTPQQLRQIGVSPRKASYLHDLARKYVSGILSDSAIINMDDRSLAAMLTMVKGIGAWSVHMFMIFSLARPDVLPSADLGVRKGVQMLYGLEDVPRPSQMDKLCERWRPYRSVGAWYMWRLIESKVPQPAPAIPVGQLVLPSPDGQIMLQQQQQQQQQQQNVIQMIDPLQMLPGMG >PAN16991 pep chromosome:PHallii_v3.1:3:6353995:6355427:-1 gene:PAHAL_3G100300 transcript:PAN16991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVRSSAASLVPSIRGAAELVRQEALRRELDGCQLLAGIWCHGLTVAQLRSIRASLPPTARLLVTKNSDMAAAVAGTRWEALKPCARGMNAWLFVRSDEIPPALKPYRDFQKEWKLQLNDFTGAVYEGRLYGPDDFAQLEAMPTRAQSYQYLLGCLQMPAVNLLAVLRARQEAMLAQADKPPAEGEPAAPAPEPAEK >PVH61442 pep chromosome:PHallii_v3.1:3:2146625:2148107:-1 gene:PAHAL_3G035100 transcript:PVH61442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQGWRRFCQENSLKEGDICTFNIIESTMRHVNITHYIWKQQETPFPSSRKRKSRYDSSGSEDQKKQSGSITSLKKASLQVKCIYQIGPPAWIRKEMSAKTIKKYLPVPAAFCDAIGFREACTVTFKTSLSSTRSWQVRVLPYKHSSHQVGSGWRRFCRENEIKEVTCAPSTSLIPCSGMSSLCVDKL >PVH62254 pep chromosome:PHallii_v3.1:3:16178234:16186379:-1 gene:PAHAL_3G249300 transcript:PVH62254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPNPYRYPIGKRRLQPLGILVFASIMATLGLHVIIESTRSLVPDRDEFHLRNEQEKWVVDIMLSVTLVKLLLVIYCRAFTNEIVKAYAQDHFFDVITNVIGLVAALLANYVQGWIDPVGAIVEVKLKTHGLYVSIFQMDCWPLLLALLYIDPAILKPHGQPGNAT >PAN15958 pep chromosome:PHallii_v3.1:3:1251629:1254934:-1 gene:PAHAL_3G022800 transcript:PAN15958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFLRSQQSVLTMDYADSTAATAALAPNGAADPAAAINDDDDDDGVPEVAACISSMIDRGGSVESHRLFLARRTALEMLRDRGYSVPEDELARTLPEFRAWWAHKPELERLAFSTTLASDPSNKVKVVFCPPEPVKIAVIREIYSGVKEENLSRLILILQSRIMSRAKESIKEIFPFKVDTFQITELLVNITKHVLKPKHEVLTAEEKAKLLKEYNVVDSQLPRMLETDAVARYHGLGKGTVVKVTYDSELTGNHVTYRCIF >PAN16690 pep chromosome:PHallii_v3.1:3:5054797:5055605:1 gene:PAHAL_3G077000 transcript:PAN16690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGKMADITVARPDVAPASGGVAICEVKQACKEPTAPGTPSPAIVWRGRDDGRRVEGGDVGALPAWKLDCLCGESSLPPAVKGGFLCF >PVH62478 pep chromosome:PHallii_v3.1:3:21199238:21199531:1 gene:PAHAL_3G304600 transcript:PVH62478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLISR >PVH62939 pep chromosome:PHallii_v3.1:3:57110566:57113522:-1 gene:PAHAL_3G435000 transcript:PVH62939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFIYDGCTMLVHGYSRVVLEVLKLAASNHKLLRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPVPTGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >PVH61563 pep chromosome:PHallii_v3.1:3:3883315:3883473:-1 gene:PAHAL_3G061600 transcript:PVH61563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLVMIRRDALHGGAEPISLSQEWLASLYYLVHHQGVLMMDEMWMMAVILG >PAN18373 pep chromosome:PHallii_v3.1:3:12909716:12910861:-1 gene:PAHAL_3G197200 transcript:PAN18373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFGGWSMQYAAEPCLQPCRSSDDGLLGEFLGGGFDLCPDHGGLDLPASCPVQNLIQCHNGGSLSDGVQEGFMGLDAADVLPSVAGAAEDSLLDPFVYVVPDDITVAEEPAQTPASNTAFSGYSSTTGGGGNGNISSGESNTCGGYDTDVASPCAVSRTQLLQTTTGVPPSKRKLAGKYPAIAASGAKAAAGRRGEKRTTAATSSSSTSITFAAGQGHEHAAGGSACGGYEPDSDAIAQVKEMIYRAAAMRPVHQLVCGAAEPPSQTRPRRRNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSRMDTASMLDEAASYLKFLKSQLKALERANPSNGSLLQSYTGGLGGGGTSAGTVLAFGRGSAIGGYVKSNRDIA >PAN19105 pep chromosome:PHallii_v3.1:3:16203168:16203971:1 gene:PAHAL_3G249800 transcript:PAN19105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGTKVPRKAAAGRRGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSKRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKRNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTSSAATKESKEGKETKSPKKAATKSPKKAAAA >PAN16588 pep chromosome:PHallii_v3.1:3:4462569:4464700:-1 gene:PAHAL_3G070100 transcript:PAN16588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPSPPDVMDQYAETAKERRQEEKLKNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGLGTVVIVMSFVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGQRLGLWIIVPQQLIVEVGTDIVYMVTGGQCLRKFHDLVCQGRCRDIRLTFWIMIFGSVHFPLSQFPNFNSISAVSAAAAVMSLTYSMIAFFASAVKGAHAAAAADYGLRASTATGRAFGVLNALGAVAFAYAGHNVVLEIQATIPSTPETPSKRPMWRGVVVAYAIVALCYFCVAFGGYYAFGSLVEPNVLISLERPRWLIAAANLMVVVHVVGSYQVYAMPVFDMIETLLVKKHKFTPGVRLRLIARSAYVAATMFVGMTFPFFDGLLGFFGGFGFAPTTYYIPCIIWLMLRKPKKYGLSWSINIICIVIGVVLTLISPIGGLRQIILDAKSFKLYS >PAN17225 pep chromosome:PHallii_v3.1:3:7314923:7317747:1 gene:PAHAL_3G114700 transcript:PAN17225 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MSSWDDDESAAASAAEAATTDVELLRRAWRNEKAAPEILRFDTPLVSRVREQIQLLEETLDDFADTGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYTMHISRSDDLRSRLSPQERRFAKSCAEIMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVEGGRIDLI >PAN17434 pep chromosome:PHallii_v3.1:3:8471267:8477680:1 gene:PAHAL_3G131200 transcript:PAN17434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAAAATAVAAPTPARLRLRLPLAPRAPRSGHCRAASSSRLLRISCGATTMGDETSTSVPAQEQEPAVGAGSVKQQLSRLVLSSLRATVPEVEVEPMVEVSAKFADYQCNNAMGLWSKIKGSGTSFKNPNAIGQAITKNLPSSDIIESASVAGPGFVNITLSNRWVAKRIQDMLLNGINTWAPILPVKRAVIDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVDVLRRNHVGDWGTQFGMLIEYLFEKFPNWQEIGSQAIGDLQIFYKASKHRFDNDPEFKERAQQGVVRLQGGEEKYREAWKKICDISRSEFDLVYKRLNVELEEKGESFYNPYIPQVLAELSSKGLIQESEGAQVIFIEGYQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFEMFFNAAKMAGWLPDPKEKKYPKTSHVGFGLVLGSDGKRFRTRSTEVVRLVELLDEAKSRSKSELLQRLTENGKIVDWMDEELEQTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVVCEYLYNLSEMFTKFYTNCQVVGSPEETSRLLLCQATAVVMRQCFQLLGITPVYKL >PAN16954 pep chromosome:PHallii_v3.1:3:6199073:6204836:-1 gene:PAHAL_3G098000 transcript:PAN16954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAPPVPDGDGFPAWARSVADCEARLGVSASRGLSAPDAAARLRAHGPNELAEHPGPSLLQLLAQQFEDTLVRILLAAAAVSFLLALFSSAGEVTLSAFVEPLVIFLILVVNAAVGIWQETNAEKALEALREIQSDHAAVLRDGEWLPALPARDLVPGDVVQLRVGDKVPADMRVVRLVTSTLRVEQGSLTGETASVNKTSHAVPVEDADIQAKECMVFAGTTVVNGSALCIVVHTGMSTEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELDGWVPNNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDSSGEVRSFKVEGTTYDPQDGTIHDWPAGSIDANLETIAKVAAVCNDASVAHSSHQYVATGMPTEAALKVLVEKMGLPGGKNGLSLDPSDTLGCCKWWNNVAKRIGTLEFDRMRKSMGVIVRTSSGSNALLVKGAVETLLERSSHIQLKDGLMVPLDEKAKKMVLSSLHEMSTKALRCLGFAYKEDLGEFATYDGENHPAHKLLLDPANYATIETDLIFAGLVGLRDPPREEVYDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPDEDITLKSLTGKEFMALEDKKSLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYLIIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWDNFTVTPFTAGTRTFTFDNPCEYFQAGKVKATTLSLSVLVAIEMFNSLNALSEDSSLLTMPPWVNPWLLVAMSVSFGLHFLILYVPFLATVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTSSSGPKRRSRKQKGE >PAN18200 pep chromosome:PHallii_v3.1:3:12163693:12164490:1 gene:PAHAL_3G185400 transcript:PAN18200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQEPWLELLLIPPSRRLAAVRAAREVTFRRCRLQLHYRKFDNLVARLAEGSEEILHSTVIASICSPRGSVAAVMERQGCLGGGAAHRRPVRETGSRESTARASTGGELPA >PAN18159 pep chromosome:PHallii_v3.1:3:11957758:11959926:1 gene:PAHAL_3G182500 transcript:PAN18159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAITAPSSIEQIPLMRCPKANAGQAAAAIPCVDLSAPGAAAAVADACRSVGFFRATNHGVPAGVADALESRAMAFFALPAQDKVDMSGAARPLGYGSKTIGSNGDVGWLEYLLLSVSSNSVKVSSLPPPLRTALEEYTAAVREVGGRVLELIAEGLGVDRALLRSMVVGREGGDELVRVNHYPPCPLRAPGDCGVTGFGEHTDPQIISVLRSNCTAGLQIKLRDGRWVPVPPDPESFFVNVGDSLQVLTNGRFRSVKHRVVAPEGAQSRLSVIYFGGTAASQRIAPLPQVMRDGEQSLYREFTWGEYKRAAYKTRLGDHRLGPFELRAAASEPAQVADPQPHCSSGTCMPQQQQVAQVY >PVH62751 pep chromosome:PHallii_v3.1:3:45517895:45518690:1 gene:PAHAL_3G386900 transcript:PVH62751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSLQHAAYPLAPPQCPHPLHAAAAAPSAFTLHSPPPMRTLFLPSLPPAPGLAKVRRRVPFLLCRRRTAAPPRVVRRASSPSTDRHGTAAPPRVDNAITAAALLGAAHPDPATSSHAGQRASSQPLAADLRPLSATVGRSRKRRPPVRRNRWRREETAPCPISAAPLPCRCCHIAVVPPCAPPATICLLPAYASPRPDVGSTCASEPPKPKAPKPQPCS >PVH62565 pep chromosome:PHallii_v3.1:3:25530129:25531014:-1 gene:PAHAL_3G325600 transcript:PVH62565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVGFLDPQVFTATFISHQPSTVTKAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKKPKTKPKLTHRIDVNCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFKAALTVSIEDLKPVREMLCRFILKETLDPKGNFYTAFYL >PAN20161 pep chromosome:PHallii_v3.1:3:34455776:34455904:1 gene:PAHAL_3G353600 transcript:PAN20161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPVETAVCIAHNVFLLLDGWVWTCVLAADEAARLLRSATQ >PAN16745 pep chromosome:PHallii_v3.1:3:5393022:5394537:1 gene:PAHAL_3G082800 transcript:PAN16745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRTPAKPPPSPRLGAGSGNATPPKPSPSPVTRRRHALHRRLSPATTKRRGSPLKSLASAPAAVAATFDRSLRSCRRRLLKLFARLAVLGSPRKRRAAAAGFQRLRSASPPATPPPPPSLNPAARAQPAALPPPPSPGRRTLFLDLDETLIHSQTDPPPARFDFTVRPVIGGQAVTFYVAKRPGVEAFLRAAAESFEVVVFTAGLQEYASLVLDRLDPDGEVFAHRLYRGACRDAGDGRLVKDLAATGRPLDRAVIVDDNPNAYALQPENAIPVAPFVDDDNDQELQRVMAFLDVAAGYEDTREAIRYYQDLVTAS >PAN19546 pep chromosome:PHallii_v3.1:3:18911276:18913668:1 gene:PAHAL_3G281600 transcript:PAN19546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVIGGGGESSLMQRCKPYVAMVSLQFGYAGMNVITKVSLNRGMSHYVLVVYRHAFATLSIAPFALALERKVRPRMTPWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFIMAVLFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRVVEMVWTKHVHLHGPHPAGAAAAAAADKDWLTGSIFLIIATLAWASLFVLQAATLKRYDAPLSLTTLICFVGTLQAIVVTFVMERSTSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIKSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGILGSVLIVAGLYSVLWGKHKENLEKEAEAMEIPVAIKGVDGNGRIVDIVELDEVQLEKAQANAKRAAVAVTVPAEEARMQGKDEA >PAN17490 pep chromosome:PHallii_v3.1:3:8729657:8733153:1 gene:PAHAL_3G135600 transcript:PAN17490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKQSSSPLRVLADLSLLFCILLAPVCSAAPTTAPATLLQLKSSLTDPQGVLSGWSPDADVCSWHGITCRPGEVGIITGLNLSGYGLSGVIPPAIGGLISIESIDLSSNSLTGPIPPELGMLENLRTLLLFSNSLAGTIPPELGLLKNLEVLRIGDNRLHGEIPPQLGNCTELETLGLAYCQLSGTIPAELGNLRRLQHLALDNNTLAGGIPEQLAGCASLRVLSVADNMLQGNIPSFIGSFSYLQSLNLANNQFSGGIPAEIGHLSSLTYLNLLDNGLTGAIPEELNRLSQLQVLDLSMNNISGKLSISAAQLKNLKFLVLSGNLLDGAIPEDLCASDSSSLENLFLAGNNLGGGIEALLNCGALQAIDVSNNSLTGAIPPSIDRLSGLINLALHNNSFTGVLPPQIGNLSNLEILSLFHNGLTGEIPPEIGRLQKLKLLFLYENQMSGTIPDELTNCTSLEEVDFFGNHFHGSIPERIGNLKNLAVLQLRQNDLSGPIPASLGECRSLQALALADNRLSGALPETLGQLDELSVVTLYNNSLEGPVPESLFQLKNLTVINFSHNRFSGSLVPVLGSSSLAVLALTNNSFSGVIPAAVARSRNMVRLQLGGNRLAGAIPAELGNLTRLSMLDLSFNNLSGNIPAELSNCALLTHLKLDGNSLTGSVPSWLGGLRSLGELDLSSNALTGGIPADLGNCLGLLKLSLGDNHLSGSIPPEIGRLTSLNVLNLNKNSLTGAIPPALRQCNKLYELRLSENALEGPIPPEFGQLSELQVILDLSRNRLSGEIPASLGDLVKLERLNLSSNHLDGEIPPSLLQLTSLHLLNLSDNLLSGAVPAGLSGFPAASFAGNELCGAPLSRCVPSSPRRLPGTEVAAIVAGIAVVSAAVCVALLYTMLRVWSNWRAVSVSSSDGEESAHGGGRDKWGAAGDGKYWKVGSPVSSSAEEKHSSGSETSVLRGDKSTEAAGCAAKS >PAN17923 pep chromosome:PHallii_v3.1:3:10968128:10971139:1 gene:PAHAL_3G165600 transcript:PAN17923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRPVHWLASLLAVLIFCHAAGRGSEQRPEHARLGRASDTLERDVTSPLATVPVVTPTVTTPTAMPTATPATQAPSLAGGGGGSWCVASPSASSTALQVALDYACGQGGADCSPIQQGGSCFNPDTVRDHASYAFNSYYQKNPVQTSCDFGGTAVLTSTNPSTSTCQYPSTSTGASVLNTSTPLTPTYGSPPGGYGSAPPVGYGNSPPLYGSMSPPDYGDNISAAVTVSGNKKTTILSLATCLLIATMTLAG >PAN19299 pep chromosome:PHallii_v3.1:3:17392521:17395570:1 gene:PAHAL_3G262500 transcript:PAN19299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLVGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLGDVLQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSVNEGNAKNWDAPTAKYVEKCKFPQDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELAPAKLHDRSPIFLGSYDDVEEIKALYASESSTV >PAN17452 pep chromosome:PHallii_v3.1:3:8539628:8542670:-1 gene:PAHAL_3G132700 transcript:PAN17452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transition metal ion transporter, Ion transpor [Source: Projected from Oryza sativa (Os05g0164800)] MSGTGCFPAGGPDGSRACRDGAAAARLKTGSLLAILVASAIGICLPVALTRAFRGSPNYARGLLLVKCYAAGVILSTSLVHVLPDAHAALADCAVATRRPWRDFPFAGLFTLVGALLALLVDLSASSHLEAHGHGGGGHGHQETPYAPIPKKAPVFELTGEMSPKKRAFLDDDQEDLAPRAARNGAETDRDDVALFGAKNGAAVVRSDEVAAVGGGCHGVGHEVVEVGDGAGEEDEARRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGMATVGYMCIMFSVTTPLGILLGMFVFHMTGYDDSNPNALIMEGILGSLSAGVLIYMALVDLISLDFFHDKMMSASIKLKKACYIALVLGSASMSILALWA >PVH63242 pep chromosome:PHallii_v3.1:3:63344213:63345249:-1 gene:PAHAL_3G503600 transcript:PVH63242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELLLPPQQPLMGHLTSGSSATETHARAPATSSRLLSPPSIHVHRSPLMMHALRLQPFCLLASVSGGGAAPSWIMQLPSRPCQWIREGISSVHVRNQEGMNNQWDRLCMYCSSAF >PAN19261 pep chromosome:PHallii_v3.1:3:17142115:17143143:1 gene:PAHAL_3G259500 transcript:PAN19261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTWSVVACSLSVYRSRELGYRLPVPATQDLSIQIVVPQAVLPGILEETLVCLLQLVLRPNTEGASSIHLGASYTALGK >PVH61888 pep chromosome:PHallii_v3.1:3:9602773:9604278:-1 gene:PAHAL_3G148800 transcript:PVH61888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MSEIVFFDVETTAPSPAGRWCLLEFGAILVCPRKLVEVASYDTLIRPGDLSAVSRRFTDVEAIASAPTFREVADKIFDILDGRVWAGHNIQRFDCPRLREAFADIGRPAPEPAGVIDSLNVLAAEFGRRAGDLKMATLATYFGIGKQKHRSLDDARMNLEVLKHCATVLLLESSLPHAMQLAGRDGAVTRRSSTASAPAAAHRHRPAMSQTKLPFTPVKAVPAPAAGTPHKSGGKRDSLGKPVGRANATSNKPAAEASAPAALSARPPRRPTVVTPFHMILRHSRAILR >PAN17676 pep chromosome:PHallii_v3.1:3:9602372:9604278:-1 gene:PAHAL_3G148800 transcript:PAN17676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MNGCGNRRMSEIVFFDVETTAPSPAGRWCLLEFGAILVCPRKLVEVASYDTLIRPGDLSAVSRRFTDVEAIASAPTFREVADKIFDILDGRVWAGHNIQRFDCPRLREAFADIGRPAPEPAGVIDSLNVLAAEFGRRAGDLKMATLATYFGIGKQKHRSLDDARMNLEVLKHCATVLLLESSLPHAMQLAGRDGAVTRRSSTASAPAAAHRHRPAMSQTKLPFTPVKAVPAPAAGTPHKSGGKRDSLGKPVGRANATSNKPAAEASAPAALSARPPRRPTVVTPFHMILRHSRAILR >PAN16515 pep chromosome:PHallii_v3.1:3:4200081:4208945:-1 gene:PAHAL_3G065700 transcript:PAN16515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSPRRSLKEFSHKRGHSFGSILPAKPKDDELILFTNMQKHERDNFLLEPAEDFDESISKLSYFRDLKLGVNIAARGESHDLLNADGERNDYDWLLTPPETPLFRSLDDEEDQRIGMALRGRTQIKPISISRSSTMENTRRSNRSSASPSRLSPSPRSCSSTVLTRTRSSNSSSRCSPPLTLQPATPSRRSSTPASKTLTPPRRSPSPASRRMSTSSSDPVSNGRRGSSPVKASHRSSSPKLQGWQSSHPGFSFEAPPNLRTSLSDRPLSRSRGGSPSSFSGLDMNWRGRRQSMSPTPSRRASSTHSNDRDHFSSYSKASATSSAEDDLESTQSIPNSYSGSTAARKNLSVMKSRTIASPKKPSKSFSPSSAPKRSFDSAVWLMDHRKTPQDKFRPLLSSVPSTTFGVVKGDDIHSSMLSHNSSLATSSNLSSEYGVTFGPCMGNDQEQSDVVGECEATPSSVIYEDISIIDKLDGLNEGRGCHQCTLSTTQSGPESPSSVKYAESTIEGLNMERSRIAQTSCNVVSSSKVGNTKMATCTRCGKSLNAIEDGEEANFCEECALVDEVLFVDPKTQTMEEGHQQDHKTKKFKPCIAWENPHIASDCIEDIKKLSLDSQLANDEPQAGCPQKCLQSQSTMDTTDRLLLQQHGENVAQNLRPHDTGDSPQGDSIDISPHQCSVTVCQQKEPTSVVECDILRDQTTKHRNEASKCLLESMYEGTKFVSDTLTIDSSHKRGSVEHLNLKAENTEGAGISVLLLQKSSSNKWPVVEGRPLSATNVLCSEPYYTRDSVSTQKRTTGWDSSSAASSIDQGSSRQSVHLERLKSSNRYDFERSQISSTVSCQSIASMSDVSTSNRSVSVCPQSNAIVDIGFLTDNSESSASRTMNYTEEFDESCKYTLSSAIECWSAAQAIVNDDGDSFGDVAIQNRSTGGKAHKDNISANSCSLDMKMHSNIPLSLPPEESCIQKTEEGTSAITQCCSDGTPEHPDECGIDNQQTQYEAVPSSNEANRLDDGCVSVISEEDLLISATEDSTMELPGNEKSLATVRGSREQIQRCFTLEEATDTILFCSSIAHDIAYRAATIGLEREQQSELASAPRPTVTMVEQPISRGDTSLQPPNRRMSRHRKRSEGGTVTETDKLEVVTKDPVSVQPVPELLRTSDSMKPPKVESKCNCAIM >PAN21231 pep chromosome:PHallii_v3.1:3:58771699:58772400:-1 gene:PAHAL_3G451500 transcript:PAN21231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAGGLPLELSLDTVAAPAAATTAESAVERRRRRDREVQRRRRARVSALYAELGAMLPSLPTSRPATQEKIVDAAKARVEALEDTAAALQACRGAPRPGREVALSCGTVTVSARLPSPKPAGALRRVVEAFERRGARVLMATMARHGGAVVVTVTAAAAALEVVEMIRADIASIN >PAN18106 pep chromosome:PHallii_v3.1:3:11739213:11741398:1 gene:PAHAL_3G178700 transcript:PAN18106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFDPWPVFFRREWRRNWPFLTGFAITGFFIAKMTANFTEEDLKNSKFVQEHKKH >PVH63275 pep chromosome:PHallii_v3.1:3:63841039:63842356:-1 gene:PAHAL_3G509900 transcript:PVH63275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDGAGASSEVAGDCEAEQQQQQQPVVLITGCAKGGIGYEYCLAFSALGCRVVATDIPDRVPDLADLAAAADTLPLDVTSESSVESAVRRVLADHGRIDVLVNNAGVGCTGPLAELRLESVRRAMDVNFLGQVRMVRAVAPHMARRGSGRVVNVGSVVGRAATPWAAPYCASKAAVHAATDALRLELRPFGVHVVAVVPGAVRSGLGRANTAGLAAAGRGEWRLYRGFAAAIEERARASQAGRATEAGALARHVARRVMSARPPREIVYGHMTLLFAALAASPGWVRDAFFARRFGLHNKTTIPPASLD >PAN19217 pep chromosome:PHallii_v3.1:3:16893557:16898183:-1 gene:PAHAL_3G257000 transcript:PAN19217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATASTTATTCSSSSTSPGPHRRRQLNDIERDAAPHDDCCCSSSSSSSCCGGGADHHLHLHHHHNHSACCAHDDAECGGLHGHAHAPCGGRALLLARRKRAAGVPGRAAWMRGIVLCLLGLVAVIGFLGSHRGSGGRAATGAGAGGDGADDGGGGGLVHKVEVTDADVMGWTEENLTAIARRPPEPPVPEIWMKPESEGYRQCIERPKNHRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVDRFKETLKEDIVIVDSIPPDFRRVKPYVRAPTSWSRASFYRDFAKILRKFKVVRFTHTDSRIVNNGLAPSLQKLRCRANYKALQYRKEIEALGNTLVDRLRNGSEHYIALHLRYEKDMLAFTGCNHNLTLHEAAELTDMRLKVRHWKEKDINSEEKRLQGGCPMTPREAAVFLKAMGYPATTKIYIVAGEIYGAHSLDALKAEYPNIYTHYSLATVEELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSVTWSEFQIAVRKHHENRLGGPYDRLRGESPRQEEYFYSNPIPGCLCKRVQRSRGR >PAN18085 pep chromosome:PHallii_v3.1:3:11653211:11655735:1 gene:PAHAL_3G177000 transcript:PAN18085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGTARSAAAAAAMPPHRRLPDFLQSVNLKYVKLGYHYLITHLLTLMLLPLMAVILLEAGRTDPNDLRQLWLHLQYNLVFVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPAHLQVRFEEFMRHSKLCGFSEDALEFQRKILERSGLSEETYVPEAMHAIPPQPTMANARAEAEAVMFGALDNLFKATGVKPKDVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAIDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYSLKHVVRTHKGADDKAFNCVYQEQDGEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPVSEQLLFFATLVVKKLFNAKVKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLSPAHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPNSPWDDCIDRYPVELVDGFPIHKAQQ >PVH62930 pep chromosome:PHallii_v3.1:3:56665209:56666142:1 gene:PAHAL_3G432100 transcript:PVH62930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLQSELQHLIAVHLEEVLCPLREEASTIKLWLACVASRLERVEPCEEHAPISNLADLFGPCSPVRSSPAPLILASLVAACTHADSIKRKDTCADNAESVINEMTIEVAKRTLGLEIHQKLPTEQAFEVPCGTSTSRMLEPLLLQTITSIEDVVLVEDASDDEEAILDAQVTIKDPILLVTIEDSSTQSTVEVMAEEPRLLEDPLIEEVSSLAAIATNDEDLAYTPTLSPSPLTTKTRRRHYADCLKESLPPDLHKLLMSLKGGALWDLVVEIASHRC >PVH62588 pep chromosome:PHallii_v3.1:3:26478013:26478315:1 gene:PAHAL_3G330500 transcript:PVH62588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSGRTPFGDISNTIGTGTQDTSNTTPHALDQKELKRQRERERYAGMSAEQKAEKNKKRPMSGEKRAEINKKR >PVH61622 pep chromosome:PHallii_v3.1:3:5242896:5245318:-1 gene:PAHAL_3G079400 transcript:PVH61622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49170, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49170) UniProtKB/Swiss-Prot;Acc:Q5G1T1] MGLWGTDVSVGCALIDMFAKNGDLVAARRVFHGLVERTVVVWTLLITRYAQDSCADEAVELFLDMLENGFRPDQYTMSSMLSACTELGSFRLGQQLHSLALRLGLESDDCVSCGLVDMYAKSHIGHSMHNAREVFNRMPKHNVMSWTALLSGYVQRGSQDNKVLILLCEMLNEGIRPNHITYSSLLKACANLGDQDSGRQIHAHSIKSNLANINVVGNALVSMYAESGCMAEAMQAFDQLYEKNLVSFSCDFDGDGRNQDYQIQRMDVGISTFTFASLISAAASVGLLTKGQQLHALSLKAGFGSDRGIGNSLVSMYSRCGYLEDACRAFDEMNDHNVISWTSMISGLAKHGYAERALGLFHDMISAGVKPNDVTYIAVLSACSHAGLVKEGKEHFRMMQKDHGLIPRMEHYACMVDLLGRSGLVEEALNFINEMPCKADALVWKTLLGACKTHNNMDIGEIAANHVLELEPQDPAPYVLLSNLYADAGLWDQVARIRSAMRDKNLMKETGLSWMHVENTIHEFRAGDTSHPRADEIYTKLDKLIRDIKGMGYVPDTSIVLHDMPDELKEQFLLQHSEKIAVAFGLISCTSATKPIRIFKNLRVCSDCHSALKYVSKATGREIILRDSNRFHMMKDGECSCGEYW >PVH62329 pep chromosome:PHallii_v3.1:3:17593068:17594748:1 gene:PAHAL_3G264700 transcript:PVH62329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKIRPVALHKDDSGCVWHSFPNSFESCFGRSYAKHHPKTASVKKRQENLLTGAIWGRRSQKSSLRPLRPPLRHVLSSAAPGGVHQETRPRRGCGGGRRVCVEELNGGISAELDGVDEVVEEGTAESWRRVPRWRNPAAAARPGEEDRRRRKCSTAARGGGSARRRPAKEDVLDGGRRWRCARRGPRRMCSTAACRTAEEVPSGGCTAGGGCEGAGRRRARLEASRQDRLRWGSRLEAGEMSG >PVH63289 pep chromosome:PHallii_v3.1:3:64214927:64215286:1 gene:PAHAL_3G514500 transcript:PVH63289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSILPRRISAGSSLSLWLVVKMMMRSPPHADQRPSMKFSSPESVTLVPSSLSGSTGSMGLPSASSSDFFFCAFLSLRLPVRSTEQSMSSMTMMDLPVVSMKSLRSSVLFFTAVSSRS >PAN19423 pep chromosome:PHallii_v3.1:3:18128269:18130076:-1 gene:PAHAL_3G272200 transcript:PAN19423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSRFLPLGLQLAACSVVLLLALAGAAHGHPHGAGSALSSAFYDASCPGAYDVVRRVIRDARASDPRIPASLIRLHFHDCFVNGCDGSLLLDEDLPAIQTEKDVPANINSARGFEVVDDIKSALEEACPGIVSCADILALAAEISVELAGGPRWSVLLGRRDGTTTNVESANNLPSPFDPLNVLQEKFRNFNLDDTDLVALQGAHTFGKVQCQFTQQNCAAGQPDDTLENLDEATPKVFDNKYYGNLLHGRAKLESDQVMLSGPAAEATTAPIVHRFASNQKDFFKNFAASMVKMGNIAPLTGNDGEIRKNCRRINSKGY >PVH62389 pep chromosome:PHallii_v3.1:3:18981030:18982212:1 gene:PAHAL_3G282500 transcript:PVH62389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGDYTKTPYIPVYASLPMGIINSHCQLVDPEGVRTELRHLKSLNVDGVIVYCWCGIVEAWIPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGDVLISLPNWIMEIAKENQDIFFTDCEGRRNTECLSWGIDKECI >PAN22064 pep chromosome:PHallii_v3.1:3:63844860:63846026:1 gene:PAHAL_3G510100 transcript:PAN22064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEEEAVLMPAAAVVFSWEPVVVKAAGARRDVPPGSPKKAPQPPARRLSVPPPPGRATAARSLSSRGRAVRPEDDPFLAAYLACTKSSGRRGGKDAGGAAREEAKGRRRFTWAGLGLSCKSSAGAVEQSMVKVAKRPELHPID >PAN16520 pep chromosome:PHallii_v3.1:3:4233406:4236656:1 gene:PAHAL_3G066100 transcript:PAN16520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRFIRAIDQHRVQERAVAIATESFLNFGRGIAMAESRGSIAFFTTYRPAVPLDIFSCPANPELSAAHSEAHLTDGVSYNQNCRPIPAAALSELLTFLGKKNPAVASRCGATPDDAAAGRVTGLVFVSERDDGLETLHVALRFNKPPGKVPVVLRLADIYGADTFGGARMEDSGCIAGGFEVEDGRAVGHSLVYVSTKEPVRARRTPWTVVYKTNLADGRTERLTPPDQYDLSPAVSPSGKMVAVANFKFHRWTGEIERLKTDIVVMNVDRQAQGGLRRRVLIRDGGWPSWGSDGVIFFHRGIDRTLASGDVQTTWGVFRYDIATGETVRVTPEAFDAVTPAAISETKVAVATIRQKAKLNDARRRVEAQYRHIEIFDVAAPNEPARITQRICPEADYYNPFILDGGARVGYHRCRTTCNLQQEGTNNSVSKKFHKLQSPKSHEDVGLFRVSGVFPTISKDGSKLAFVDNSFKAVWLADGQNLHKVYERKSDNSIFSTVWNQKPEKDTLYVCIGPSFDAAKPLEIYAISDVSKPHSQQVVRRLTKGEFNNAFPSSNPEGTKFVFRSTRDGGDEKHKNLYIMEDSDIGEFSGGTATRLTKGPWTDTHCSWSPSGDWIVFSSSRDRPADAPKKDILDPGFFAIFLVNANHPDVVVRVMKSSDTIAGHVTHPMFSPDMRSIVITADLAAVSTEPISMPQFLHSVRPYGDVFTINLRDTDDITKNKDIEEFHRITHSRYEYATPAWTKFAIDDPNNQWSELITKSSAGFGVACPR >PAN15692 pep chromosome:PHallii_v3.1:3:489984:494553:-1 gene:PAHAL_3G008200 transcript:PAN15692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSAGAMLRLLVWAAFLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFEDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGRADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVAKGVKFVGDGYTHCEASGSGRCEINNGGCWKDTRDGRTYSACTNDGCKCPDGFKGDGKHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNGATETGWGFLWVIFFGLVAAGVAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >PAN18372 pep chromosome:PHallii_v3.1:3:12500406:12505893:-1 gene:PAHAL_3G190800 transcript:PAN18372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLAVALARLLLLAAAFFPRGAPAADDETYYNPGACPKSLRCGDGVQVHYPFFLANASYTIDGNSYCGYPGMAVACEGGRATLRLKDSNYTVLAINYDKHTVTVADADVLDDGGGGGCPRVKHNVSVPVETWLNLSTTANDNLTFYFGCDFTAATPPQPPIPPISCSGFPERDGVSYVAVQADVPHKDSWPRACKEVVVTPVLKELLLSSDDAYLPSLNSDGYGKLLKQGFQLTWDPSAGPCFVCEDSGGQCSYNQSGEFIGCLCSDGRVRNPVCGKKTKPRKLALTIGSSIAAGVLSLLLAVMTCLYFRKRRQYKMTSSSRLLKYTASGGTPRSRGSTDMESGSVHSPHTHHFTYEELEEATDSFSGAMEIGDGGFGTVYKGHLRDGRVVAVKRLYNNSCRRVEQFLNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGHCAAERALSWPLRLSVAVEAAAALAYLHAVEPPIVHRDVKTTNILLDANFHVKVADFGLSRLVPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDRSDVYSFGVVLVELISSKPAVDVTRDRSEISLAGMAINKIQQCQLEQLVDLGLGYGSDEATRKAMTMVAELAFRCLQQNGEMRPPIKEVFDALRSIQEGGFAKEKEGDALVAPRSPNTVHAPWDSMSTTTSVSSQ >PAN19557 pep chromosome:PHallii_v3.1:3:18963369:18968178:1 gene:PAHAL_3G282300 transcript:PAN19557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 1-deoxy-D-xylulose-5-phosphate synthase [Source: Projected from Oryza sativa (Os05g0408900)] MALSTFSVPRGFLGVPAQDSHFAPAAELHVHKQLQARPTVKARRRSTCVSASLSEREREAEYYSQRPPTPLLDTINYPVHMKNLSAKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRAESEYDCFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGPGSTLFEELGLYYIGPVDGHNIDDLVSILNDVKSTKTTGPVLIHVITEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAEALIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGTPLEVGKGRILREGDRVALLGYGSAVQYCLAAAGLVERHGLKVTVADARFCKPLDHALIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQNREALAIMAVPNA >PVH62558 pep chromosome:PHallii_v3.1:3:25245611:25246083:1 gene:PAHAL_3G324400 transcript:PVH62558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPGRCITTSKCCEFWCKTWKRRWNSTRVNGLIVSARNGRTGAVKENNLRRLREAATLHHLLTCDV >PAN21468 pep chromosome:PHallii_v3.1:3:60389202:60390309:1 gene:PAHAL_3G469000 transcript:PAN21468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGNCNCGSSCGCGGGCGKMYPDQAEKSTAAAPAAMVLGVAPEKGRFEEGSEKATESGEAGHGCTCGSSCTCNPCNC >PVH61313 pep chromosome:PHallii_v3.1:3:544314:546656:1 gene:PAHAL_3G009300 transcript:PVH61313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAKEHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPNTVRSFKQYDKPLMDLISTLPEGEKVILVGHGAGGLSVIHAMHEFVDRISQAFFVAATMLPFGFQTDEDKEDGLPTLPENEIELTLGAAADDPPTTVALRLEFQRDRLSQQSPEEESVLASMLMRPWPAAAISTANFEGDDERLNRVKRIFIKAERDHMLNPEQQDSMIKKWPPSEVLVIDTDHSPFFSAPEQLFNLIAKSL >PVH61352 pep chromosome:PHallii_v3.1:3:903044:903650:-1 gene:PAHAL_3G016400 transcript:PVH61352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADASCLSSASAILLYAALFFAGAHAEAEPTEVSQAHSPSARRSAVAARSSTSSSVWRRSAPIGRSANAGTNYGTYSVIAADLLTANATSTATKIDGLLRERGGKDEEATTTRCLRSCRALYGGVVRRQPGCAAAVRGRRGGEATSCLETAASAAEECEEGFGKSKVASPMTMENDDAFKLAKLAVALLSWAR >PAN16307 pep chromosome:PHallii_v3.1:3:3300788:3302019:1 gene:PAHAL_3G052600 transcript:PAN16307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDLPQALVQRGLRKELMPRHVAFVMDGNRRWAEARGLTTAEGHEAGSRALKKIRQLSVAWGIRAITVFAFSQENFRRPEEEVDCCMELIEQGIRDEMEEYTRNGIRLHVIGDPSTRPASLQDAVREAEEMTRNNSRFRMILATGYSGRWDIVQACRELAAKVQDKLLNPEDIDEAMLAGHLSTNVLGEFACPDLLIRTSGELRLSNFLLWQSAYTELYFTNKMWPDFGEDEYIQALKDFQSRERRFGQRKPSSA >PAN19492 pep chromosome:PHallii_v3.1:3:18511722:18516067:1 gene:PAHAL_3G277000 transcript:PAN19492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEEAALQFTPTWIVAAVCSIIVLISLAAERGLHHLGKTLKKNDQRSLYEALLKVKEELMLLGFISLLMTAFQGTIQRTCIPPSWTVYMLPCERPGDHQPTGVAARFSAAEILAGISRARVLSEGGAGAEAGLCQKEGKVPLLSEEALHQLHIFIFVLAVAHVFFSATTMLLGGAKIHKWKQWEEEIQKNNDAGNGPKKVLPMHQLSFIREHYKGIGKDSLTLCWLQSFVKQFYGSVAKSDYTTMRLGFIMTHCRGNPKFDFHRYMMRALESDFKKIVSTSWSLWIFVVIFLLLNVNGWHTYFWMAFLPLVLLLAVGTKLEHIIAQLAYDVATKQTAVEGDLVVKPSDEHFWFGQPRIVLHLIHFILFQNAFELSFFFWILMTYGFHSCFMDHVGFLVPRLVLGVVIQLLCSYSTLPLYAIVTQMGSYYKKEIFNEHVQQGVLGWAEKAKKKSGLRECHSAVESMHGDGADAA >PAN18778 pep chromosome:PHallii_v3.1:3:14634389:14636927:1 gene:PAHAL_3G224900 transcript:PAN18778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPGAMREAAAAAAPEAHREALEYIERVTAGAGQVQRRVLAEILAQNAPAEYLRRLGVAGDAPGAAEAFLRAAPLVTYEDILQDVLRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPSIADEMDRRSLLYSLLMPVMSQAVPGLDKGKCMYLYFVKAESRTPAGLPARPVLTSFYRSRHFLERPHDPYTVYTSPDVAVLCVDAYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIRFLEKHWQRLCRDIRAGTLDAEITDRSVRAAVERVLRADPALADAVEVECAKPSWEGIIRRVWPSTKYIDVIVTGAMAQYIPTLEFYGGGLPLTCTMYASSECYFGINLNPMCKPSDVAYTLIPTMGYFEFLPVSSSAAEPHHRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNQAPMFNFVRRKNVVLSIDSDKTDEAELHAAVAGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRGGGATPVPASVFEDCCLAVEEALNSVYRQGRAADRSIGPLEIRVVSEGTFDKLMDYALARGASINQYKAPRCVRPGPLVELLDGRVQARYLSPKCPKWSPGGGKQWSSNAAAAVVTKAADINAGSGAVVA >PVH61689 pep chromosome:PHallii_v3.1:3:6136804:6137355:1 gene:PAHAL_3G096800 transcript:PVH61689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKNVAAALLVLLPLMMLMCTALAARHSPVGAVRLNLTPPFLARAPPPPATASAGAGDDSPLRKVPRGPGHSPNDPSPPPPSAALVTEKLPLLIGEEVASEHPTGYMRPPAKDPINAPEPPAKN >PVH62245 pep chromosome:PHallii_v3.1:3:16060603:16063777:1 gene:PAHAL_3G247800 transcript:PVH62245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPASPGGGGGSHESGSPRGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEGDSKLTAKAGDGSIKKDALGHGGASSSATQGMGQQGVYNQAMGYMQPQYHNGDISN >PVH62628 pep chromosome:PHallii_v3.1:3:30279717:30280900:1 gene:PAHAL_3G343200 transcript:PVH62628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWTTIGIYWDADGYAHTDCLYWEGFPRILWDTLHLYHYPDPPQYKGHEFTQVGVPRCRATVTIPQHPTLEWQSIEIEVVGYRLAITTFCEQHLNVVAAYPIGLFPTVFAHDAKWIFRTTYLGHLVGDIAEETLRTVIRYMNAQYRLQSLKQRCMDDMVNLAQDFHRDLTLKDDQIHSLGQEIVGRDTTIGHLEVQILESDAQILQRNTVIEFLQEQEEDSEEEPEEIEGVSKIDSEHGDPEPNPQDDDSSSGSASFVGNLDDF >PAN20845 pep chromosome:PHallii_v3.1:3:53849741:53857315:1 gene:PAHAL_3G415400 transcript:PAN20845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGKGGKGGKGGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGAREMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPYKDADTTAIKEACESAVYTLNESGFRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANQQVRVVRRDNGLKVDIPAANLVEEVKALLDEIQANLLKTAKEKRDACIEVIHTWDEFTTALNNKRLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFDQPELPEGTLCFASGKPAKKWSFWGRSY >PVH61789 pep chromosome:PHallii_v3.1:3:7835081:7840158:1 gene:PAHAL_3G122200 transcript:PVH61789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MKGVDDAFLGVGDKPGLDIWCIVGSSLVPLAKSQHGKFYARNCYIILNTTELKTGVRRHDVHYWVGEEAKEEDCLLASDKAVELDAVLGSNTVQYRETQGEESDKFLSYFRPCIIPVHSHPPSHMEGSRSKSSQTTMFRCEGEHVARVTEVAFSRSSLDHKGVFIVDTASKIFIFSGCNSSVQTRAKALDVVRHLKENRHSGRCEIAAIEDGKLVGDSDAGEFWNLFGGYAPIPRDLPEAVREESMNMPAEKLFWINKRILVPMEAHLLDREILNSDRSYILDCGTEIFLWMGMTTLVSERKTSVTALEDYVHSQGRSSNVRAVIMTEGHETVDFKLHFQHWPKIVEMKLYDAGREKVAAIFKHQGYDVTEIPEDRPQQLISCNGTLKVWLVDCGCTTLLSTEEQEKLYTGDCYIVQYSYVEDGKEYHLFLAWYGKNSVKEDSVATASLMSSLANSVKGHPVVAQVFDSREPELFFSIFKSLIIFKGGRSAAYKNHVVQKSDRNGCPQKDEVALFRVQALRHDCVQTIQVDLVASSLNSSHCYILQDGGSFFTWLGSLSSPSDHNMLDRMMNKLCPFKQSLLVREGSEPDDFWKALCGRSEYSKEKRVKGWPADPHLYSCRFEQVLFYTCIVASLSLYDLSAGLLKVKEVFSFCQDDLATEETLVLDCNSEIYVWVGLHSDVTSKEQALNIGKMFLQDGILHDRRSIETTVYIITEGDEPAFFTDFFKWDSSKQSSMVGNSFERKLALLKGLSPKLETPDRSMRRPSPRRLGVSSEPTTPEHQQQQPMAARRAFGSASAGRFARERSPAAALTASPPTPSPKSRSSSSTSTPTAVARRLFPASLHASQAVHVLSNGTARRR >PVH62183 pep chromosome:PHallii_v3.1:3:14968466:14969715:1 gene:PAHAL_3G230100 transcript:PVH62183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFREVFVRPAHISQNRRTVTRVLLNHTSIFFTSLMDPAVAEPQRADTKNLLQVERLTVGCPRVTGRRRRGCPQRTAGCRFADRARLEAQLDSGTRAFFFSFLCNTAEI >PVH62989 pep chromosome:PHallii_v3.1:3:58439314:58442632:-1 gene:PAHAL_3G447200 transcript:PVH62989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAGSVSWVAAQPSVLGRCGGGGGAPSASFKGRAGGGGGGGGAGVRGVGVVRCCARAQAKEKRPPRVRKSKEERREMVESFINSYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDVIQENRVLGPGGLDATALSFEDCPDSSEVSMKHEFGQDTIESLEMSDNGQVGKCSVSESSSSEESFSLQNNSISTEILLGSSNILEVGVLKSVVQNGSAAGATLLETNLEKQDEFPSGGPIEVSLNSSEEQDPSFAHVSDSDKDIGLNSQADAHEGVGSIVTDRVILSSESTAVYETNGALLREHGTLPNDSHDGSNDSVVDDANVLAATNGVLQEKQESFHEHVRSNESVPVDDVQSLDGQSSITVSANSINGFNSEPEVTNKTIEASNERSLQDELEQPLLDTICDQQESGGSPVSHPALDTKGLLHTEVQHSVVEVDESQFKKSTSGVTKEEVEATDARHEQGTSTTTTISRHALCLLTLRCMLTVYNFLHMSQKATVY >PVH62988 pep chromosome:PHallii_v3.1:3:58439402:58442243:-1 gene:PAHAL_3G447200 transcript:PVH62988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAGSVSWVAAQPSVLGRCGGGGGAPSASFKGRAGGGGGGGGAGVRGVGVVRCCARAQAKEKRPPRVRKSKEERREMVESFINSYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDVIQENRVLGPGGLDATALSFEDCPDSSEVSMKHEFGQDTIESLEMSDNGQVGKCSVSESSSSEESFSLQNNSISTEILLGSSNILEVGVLKSVVQNGSAAGATLLETNLEKQDEFPSGGPIEVSLNSSEEQDPSFAHVSDSDKDIGLNSQADAHEGVGSIVTDRVILSSESTAVYETNGALLREHGTLPNDSHDGSNDSVVDDANVLAATNGVLQEKQESFHEHVRSNESVPVDDVQSLDGQSSITVSANSINGFNSEPEVTNKTIEASNERSLQDELEQPLLDTICDQQESGGSPVSHPALDTKGLLHTEVQHSVVEVDESQFKKSTSGVTKEEVEATDARHEQGTSTTTTISRHALCLLTLRCMLTVYNFLHMSQKATVY >PVH62987 pep chromosome:PHallii_v3.1:3:58438419:58442631:-1 gene:PAHAL_3G447200 transcript:PVH62987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAGSVSWVAAQPSVLGRCGGGGGAPSASFKGRAGGGGGGGGAGVRGVGVVRCCARAQAKEKRPPRVRKSKEERREMVESFINSYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDVIQENRVLGPGGLDATALSFEDCPDSSEVSMKHEFGQDTIESLEMSDNGQVGKCSVSESSSSEESFSLQNNSISTEILLGSSNILEVGVLKSVVQNGSAAGATLLETNLEKQDEFPSGGPIEVSLNSSEEQDPSFAHVSDSDKDIGLNSQADAHEGVGSIVTDRVILSSESTAVYETNGALLREHGTLPNDSHDGSNDSVVDDANVLAATNGVLQEKQESFHEHVRSNESVPVDDVQSLDGQSSITVSANSINGFNSEPEVTNKTIEASNERSLQDELEQPLLDTICDQQESGGSPVSHPALDTKGLLHTEVQHSVVEVDESQFKKSTSGVTKEEVEATDARHEQGTSTTTTISRRTSKVQQKKDDNLFWLVLRAFVVAISKIWAK >PAN16208 pep chromosome:PHallii_v3.1:3:2824504:2825942:1 gene:PAHAL_3G044400 transcript:PAN16208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSQNHIHDQSAANLCYQFGSDNPFLGMGIQQPFAPFTSPFGACSSTNIPHMDWDPATMLDNLTFIEEKIHQVKNVIRTMVDNGSQLPCRPGELGQQQQVVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPPAGHTGIVKHVGSSSSFVPNELCSPEDYEELFKGFTDGAMEGGIEIDNVLVEELDAKDGDEGGDAGMDGEILPPGSYELLQLEKDEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDTSAEHEVVKRYSCPFVDCKRNKLHKNFQPLKTILCVKNHYKRSHCEKSYTCSRCHTKKFSVMADLKTHEKHCGRNKWLCSCGTSFSRKDKLFAHVALFQGHTPALPTEAKTSSDQIGAVGSHQEPAKLPNSMGSSFMWGTSSGGNSALDIKGFDGCSDDFLSTVNFGSFNFSFGPADGFTGEPSGGSFPMMPSEHFQNAQKKGKN >PVH61614 pep chromosome:PHallii_v3.1:3:5139798:5140108:1 gene:PAHAL_3G077600 transcript:PVH61614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSQKAAQQAYESFLICIKNLNKNLSRTKIGDGCMHPQHTEATRTLGTNQLMNLGRYAWSLIHIFDHHFFYAFELNIVSFKVNGQSF >PAN20317 pep chromosome:PHallii_v3.1:3:28731066:28733428:-1 gene:PAHAL_3G338600 transcript:PAN20317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAVSPCDHAGAIGRAQTRLSCQRFRSLTASIEGEENWMNVSLSGFLFYPHEGNSCFSPICFAVSITSLSTSKNLREGTHVSWIIIC >PAN18830 pep chromosome:PHallii_v3.1:3:14905220:14905810:1 gene:PAHAL_3G228600 transcript:PAN18830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKENVPLAPQPQPRQTSNARPPPPQRPKVANGATEPAAGGGVLKCTCFRLPSRSKKQPSPPPPAVKPSSGSRGSAVAPDDVPAAASRSRSRVTFRASASLATWWPASPSAAASAGAGGSAAPRRASASSAPPRNAAGVPRTASPSFSHWRRSLSPRVMPHGGRASFSFPASPASASSSCMSTPKIPQGFQQQG >PVH63189 pep chromosome:PHallii_v3.1:3:62020233:62024709:1 gene:PAHAL_3G488200 transcript:PVH63189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGVAAAAGVSRGWRECARRVWRGAEEVRLRAAGVRPVGALTARCPALARLVLRMDSDVDATMLACIAFSCPNLQTLDISMANNAVNRITGDELSRFVSEKRVLSVLKLDSCSSLGFLNISSSSLSTLWLSGLCSLTKAVMNCPNLNELSLVFPKQNNDSTDLVALMDSLGRTCPNLRNMHISSIRLCNEAVFALETANLRGLCMLSLVLGSKITDAAVASIVRSYASLELLDLSGSSITDNGLGMICNAFPDTLTRLLMALCPNITSSGIQVAAAQLPLLRLMDCGKSICANPQPEAGRSYFGDLNGGIKFCSKLPIQKKQQPTYQKVIIKHSSLKKLSLWGCSAIEALYVNCPELVDLNLNSCMNLHPERLLIQCPKLKDVHVNGCRDMLIGAIRNQVLNEFAAAEPRLPCKRLADGSKRVHVPHFMIEQLEEQEKWGRPRKTQCTVHLT >PAN16226 pep chromosome:PHallii_v3.1:3:2946153:2946485:-1 gene:PAHAL_3G045900 transcript:PAN16226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGVVPPLTASWRAVAAAALALWLVPVVLALALLWLPLLCCAVAAVRFRRVRKSRGSGGRGGSWREEITVDDAGDQARLLHRYLRDQMELVVAGAGAGDLLEELPVQ >PVH62663 pep chromosome:PHallii_v3.1:3:37348209:37350248:-1 gene:PAHAL_3G362100 transcript:PVH62663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGLDVECPHIFDGTHFARWKNWMTCNFKFICPQMWWMVDVGFSHVLDERNLTQTQEKCLDLDIQATNILFRSLHDCILCEVMDKETAHEIWSYLNEKYGAASDDHDDFKAIQEIHEDGEHIHDMVFVEDCSTSWSSDDDDDQYTTSSLDMIDGDDSSAANDDPAPSTLDDQVGSYMDDISTSSSSPSSYCFRSQGDTKVSNCNVINPNSYNELLSRYASMNKLFEKVLAKTIKLEKENSFLKDTCEQQKHLLCVLSCSHEELKLTHGELSVAHENLVLDHTLLTSKFSNKEIKTSESSSHGLKDQLQNIANPCDVGKKHVSTSCDDLLSMPCTSHIDACSSSTMQYETNLVEENKELQSQVKYLSNKIERWTKSKVTLESIIKNQRNFGDMSGIGSNKSKAKGKRWGKNKYDRKMKKQEEMKLFHFMCFQCHEMGHFANGCPNKENLKLKKEEEKIKHVKCLNYRTWGHLTSMCPTKQLMKQQEAQPKPQVEQEKAPQPQVKINHDDQVDDLKMMKKRTRRGGKARARHPTHIQDAKMLSKNKIQDKNPHAHIKCHSCAILGHLASGCPNKLEKKAQANNEKQGNEKHQMSKEGKAQQKRRCYLCREKGHMAYSCPLGNNSNPISINAHIMLRKDGNGTSFVAITKHPAIHTKALPKYVAPNLRGPNLVWVPSKRG >PVH61597 pep chromosome:PHallii_v3.1:3:4810674:4811945:-1 gene:PAHAL_3G073100 transcript:PVH61597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTTTAMPMRFHRRLPYVLFATAPVLLVFLHVPLAAAMAGRNNASSYPALFATGATGAAPDDVYALALCRGDTNASSCASCVAKAFDDAQQLCALNRGVTVYDDPCVLRYADWDFLTNATDNRGVMVAWNFDNVSASAAAAFDAASRRLVNATSEYAAADPARRFGTGEVWFDETYPKIYSLAQCTPDMAAADCRTCLGGIYVGKNRSFSFKLIRR >PVH62709 pep chromosome:PHallii_v3.1:3:41270773:41273868:-1 gene:PAHAL_3G375100 transcript:PVH62709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAALPNDVVAKILIRLPHDDPSCLLRASLVCKPWLGIVSGPDFRRRLGAFHRTPPMLGLLRDYCCAHTPRFTATTASAFSLPAAGGPDDCLGTALGCRHGRVLFLASNELLIIWEPMTGDLRRVPTPAAFDYIYFMPNAALVCTASGCDHRACTGGPFQITQAWDEELTTIYIDSIVTTKRSILVGRTLYIPFNLGYILEYDLCGLDLVVIQIPDLLLAEFKGNLMLMPVQGSGVGISGIKESTLSVWSRDASGHGDVGWEQSRVIDLDPLLPAADDMELLGFAEQANVIFLGTCNGIFTIKLNSELVSKVCEKGDSEMLLPFISFYTPGTGSFLAAYTEA >PAN18696 pep chromosome:PHallii_v3.1:3:14233252:14236717:1 gene:PAHAL_3G219200 transcript:PAN18696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVASSSTPRPLRLAPRRALGGAPDHVNAPPLRSRRPRLAVSASAGAEAEAGGSERFYFNFTGFPFPLGPFLNRRTIRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIRLKSGGLWVHAPIAPTKECIQLLKELDAPVEHIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPINLPLEFFGIFRAKPLKDEDDATPWAAEIEQKVLSSPEVGIGPYVEVAFYHKPSKTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKLLSKGKEVPDEPVVDNTLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPCHFSAPINASRSDFLAAFAFLDELLPDRPAAVPGLSLLFASFMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >PAN18041 pep chromosome:PHallii_v3.1:3:11512232:11512570:-1 gene:PAHAL_3G174200 transcript:PAN18041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERGEEQQRPPALSPWDCGSPLYDAFELASVYRVLDTHLMALPYARRASPPRARGTGDVAALAERAAVAVAKTKGRGRRRRTVAKAARVSGKAVLHSIFRSVATCGGRRL >PVH61931 pep chromosome:PHallii_v3.1:3:10380756:10382456:-1 gene:PAHAL_3G161500 transcript:PVH61931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVASVPHGHCVHVQEVETPYSISICSVTAPPPAPHLARHSAYVYSDVHHPGVPEKTKEADAELNISEEAKQARYHPQPTLTIRSEEPPEQEQGTLARSDSMRDRRFDHFKTFAGRLERQLSSLRGGSRHPADNEPAESKVSEDDTDDDEVPTADRYFAALEGPELETLRPTEVPVLPEDATWPFLLRFPISVFGMCMGVSSQAMLWKTLELEPSTAFLHVNRDVNDAFWWFSVALMGLVSVIYLLKVVFYFEAVRREFHHPIRVNFFFSPWIACLFLVKGLPEPVWTIHHVVWYMLMAPLLVLDLKVYGQWMSGGEWRLSRVANPTSHLAVVGNFVGALLGARMGLREAAIFFFAVGLVHYLVLFVTLYQRLPTNVPLPRELHPVFFLFIATPSVASVAWARICGEFNHGAKVAYYISLFLYLSLVVRVKFFRGVRFSLAWWAYTFPMTSAAIATAIFASEVSNALTRALAVVLAGVASVTVAGVLAATMYHAFVRRDLFPNDVSIAVRRRPKAKFGKILARIRTSSADLKELVLVSRHGGSETSSVSEPHTPMVYDGRGRAEP >PAN19195 pep chromosome:PHallii_v3.1:3:16743940:16748632:-1 gene:PAHAL_3G255600 transcript:PAN19195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGGGFGGGGGGDHQHQHLLLGQAAGQLYHVPQHSRREKLRFPPDPADLAPRAAWPAPPPFYSYASSSTSSYSPHSATLAHTQLVAHALPAGAGAQIPSQNFALSLSSASSNPPPAPRRQLAAGVATGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPAQVDRRSDDGLLAMDAMDAAEDVDHDMDGGDRAAAEAVVVSGAEQQWRKTRLISLMEDVCRRYKQYCQQLQSVISSFETVAGLSNAAPFASMALRTMSKHFKCLKGMILNQLRNISKVAAHDGMGKEDMANFALMGGGSGLLRGNSANAFSQPHNIWRPQRGLPERAVSVLRSWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQQHKNPSLDRNQLGMQQTQHSSDSSGKPSDPSSSQRGQSSGMTTRNLSSPASRHIQDELSQMPHDMPGQVSFAYNGLSAHHGLALSHAQQAEGVGVGAGGAAANGGVSLTLGLHQNNRTYIAEPLPAALPLNLAHRFGLEDVSEAYVMGSFAGQDRHFTKEIGGHHLVHDFVG >PAN18344 pep chromosome:PHallii_v3.1:3:12817776:12819036:-1 gene:PAHAL_3G195800 transcript:PAN18344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFIVASLVLLLASAAAVEGRMGRAAVFDNSMPTNHVLTGGDELPRICDQVRFKTICQGFTKLPGVATPRQLLLASIRVASDKAKEAKLLVEEYKARTHASGPMESIADSCRQGYDNVVQSLEETRQLIEARGTNFDVNNKASFALTSAGDCKTALEDFPDIKSPFAAVQQNVFRVVDNVLNIAVVVQQGEAHQAKLLGPHGH >PAN21621 pep chromosome:PHallii_v3.1:3:61394344:61396159:-1 gene:PAHAL_3G480500 transcript:PAN21621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLHRSPAPLPPPPDALLLRQPAAAAGRERCPRCASRDTKFCYYNNYNTAQPRHFCRACRRYWTLGGSLRNVPVGGSARKRPRPARPTRAIAAAVAAATTTAPAPSGPFAAASHVAAASAPALQGGGLLGSLPLLALGAAPLLEGRLGSDDLGLGQPALLAAGAGDLAQLAFGAGPLPWPVATTILEGERAEAAWKSCGAFPLPPAAALWQELVAAAPPAEAGGLPLHHGGSPHLLL >PAN19124 pep chromosome:PHallii_v3.1:3:16308668:16312757:-1 gene:PAHAL_3G251200 transcript:PAN19124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAQATPPTAVAEEVQEAPLPATTVGAKAPPQLELFNSMTKRKEPFQPLVEGKVAMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANERGETVTSLSSQFINEFLLDMTELQCLPPTREPRVTDHIEHIIELITKIMENGKAYAMEGDVYFSVDSFPEYLSLSGRKLDQNQAGARVAFDMRKRNPADFALWKAAKEGEPFWDSPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDKKMAKSDNNFFTIRDIIALYHPMALRFFLMRTHYRSDVNHSDKALEIASDRVYYIYQTLYDCEEVLAIYREDGISVPVLAEEQKMIEEHHKNFLDDMSDDLKTTDVLDAFMNMLKAINSNLTDLKKLQQKLDQQNKKKQQQKKQQQKQQQSQKQPADHIQALIALEAELKDKLSILGLMPPSSLAEVLKQLKEKALIRAGLTEEELQELIEQRNVARKNKQFEVSDGIRRNLATKGIALMDEPTGTVWRPCEPES >PVH62786 pep chromosome:PHallii_v3.1:3:50180552:50181064:-1 gene:PAHAL_3G401000 transcript:PVH62786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSTPSLTVALATSTPSRTSLPTPAVAGTLQAAVTESPARPPHLRLQPASPNPSPFRFSSSPPLPPPLPPFPTPDTLTYTVFPSSTSSLLRACLCALDYIADSDCLHVRPSAPHCRKRIPCKKRIHFAYLPTLRLARCRPITLNSNSIASRNLGGKVKAIIAKPTVFF >PAN19702 pep chromosome:PHallii_v3.1:3:19875725:19877875:1 gene:PAHAL_3G291400 transcript:PAN19702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPFRPIARRLFHLRRLSITPRDHLLLRFAALAKELSEQPPPPPLPTRPRSPHPYEYNRLMSAHAASGDRDGPGAGAERALHLLDEMRSLLGRRPDTACFTTAAAALSSSSQPGTALAVLNAMAADGVSPDAAACTVLVGVYACRLRWFDAAYEVVQWMAANGVAPDVVTYSTLISGLSSAGRVAEALGVLDLMLEEGCQPNAHTYTPIMHAYCVSGMIHEAKELLETMIAAGFAPSTATYNVLVEALCKAGAFKEVDALLEESRVKGWTPDTITYSTYMDGLCKAGRVDKSFTLVDKMLSEGLRPNEITLNILLDGVCRRSTAWAAKCLLECSAKIGWHVNVVNYNTVMRRLCDERKWLAVIKLFGDMVKKGMAPNSWTFSILVHSLCKLGKLREALCLLGSEEFVADVVAYNTLIHHLSFLGKTYEACLVLHEMIDKGITPNDITDSLVVDCLCGERKFLVALSYLNQSLEDGLSRSAVSSIVRGLIAGRKLHELHTLIGWILEQGFIVDVYMYQELIIAFCKKGYCRGIEMYKVSHALERMLRLK >PAN21934 pep chromosome:PHallii_v3.1:3:63088046:63092216:-1 gene:PAHAL_3G500900 transcript:PAN21934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVENNGSSEMGAGQPMAVGWKLLPPITPGGAPVFVREKQYDAIIRLRERRHLVKEARKRRALRVKKHIPRCPKGHFVRTKGNQKGTNGGIMVPFRAALRDCCLPFIVANGYQEVTTVGSELQSSTPAIGFNWPFNATNGGGEHVGEVMYLPLIATNVGDANAGEVSYDIEAPSNTPGIGLCWPFAETSEYYENIAEATSGSTVSISNPTGDFYWPVVATNEEENVSDVAYSSILNLESPNPTTVLRIMMGNGYNTDQVSEQFQNVARLQAPEFTTLLTVMNNAGYDEAADDGHYDVHKVMTKLEGW >PVH61778 pep chromosome:PHallii_v3.1:3:7661066:7662431:1 gene:PAHAL_3G120600 transcript:PVH61778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLNISSSARPAIWVKKFHSSASSFYSNVSNNIWRCAIFRRVISTKFARLTTFRVARERVKFDSISATGFAFCKQQ >PAN17161 pep chromosome:PHallii_v3.1:3:7803156:7811158:1 gene:PAHAL_3G121800 transcript:PAN17161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVMGAMGSLLPKLGELLKEEYGLQKGVRKKIQSLSQELEAVHAVLRRIGDVPPEQLDELVRLWVRDVREASYDMEDIVDNFLVRVDEPADPHMLRRLRKKVGGLFKKSKARRKISCLIQEIYEKLDEVAARRCRFTVDSIVAKPAAATTIDPRILNLFKRATELVGIEGPRDELINMLSLGGDVDMPRKKAMKVVSVVGFGGLGKTTLAKAVYDQLKLHFERSAFVPVGRNPDVKKVLRDILIDLDREKYANSDLMVLDEKQLMEELEEFIKEKRCFIVIDDIWDKESWKLIRCALQHGHCGSRVVVTTRINEVAAQADEEYQIQPLSCDNSKKLLYARIADGKGKYFDSPSAEACDKILKKCDGVPLAIITIASLLASKPWEDWSEVYNSIGFGQGGNDDVDNTRKILSFSYYDLPSHLKPCLLYLSIFSEDQVISKNSLIWMWVAEGFVHEEQAEGIRLFELGERYFNELINRSMIQPVERFLGYVDSCRVHDMVFDLVRSLSSQENFVTVLDGNDERQKLSGRLVARRLALQRIKESRGDQLLANIAVDKVRSFIASESNFSPSSRPYTPVLRVLDIDLGEKVNGGMLDHLGSLLHLRYLRLALSYPQASFPFTYSNNVELPRGVRYLKFLQTLDLAEFYTYELPEEVGLLTQLVCLRVGPETRIPDGLIGKLTSLQELVRWPADDDYDDARTMQFVKELGMLRELRVLWTRIHVRDESMARALLESLGNLHNIRMMHIEMSWRYVVKSMTSHEGFITCRHLQFLDLNCLVFSGLPKWINSSLAPNLSYLRVRVQAVKGQDMETLARLPELRSLTLILCDKTKLVNIKIPCTAQGVGYYFRKLRILKIGGAPFWFDLRDCVSNGSVASAIMPSLESLEFKVRVRFLKDAALLSFGRLLGFESLGRTSLQSVTVTVNCEDARILDVEDVEDALERTAAVHPKCPNLRTTREQEEEMLSSTYQEARMDVSRAPDFVLKAWKSADIVDSGHIRALCIPPDPEASSTKVLRLLYANKGKYFFTLSSNAILKKWKWGPSEKNPRGRPTTSVPPLLWQPRQGILMTNDRTEANTGVAAACIALSKDVRYIISASGGKVSLFNAMTFKVLTTFMAPPPASTFLAFYPQDNNIIAIGMEDSSVQIYNFRTNWVQRVLMGHQKKVTGLTFSRSMNVLVSSGADAQLCVWSTDDWENKKSRYIGPPSNGSALVGDTMVQFHYDQTHLLVVHESQLAIYDGKLECLHSWSPRHALPCPISSAVYSSDGFMVYAGFRDGAIGIFDAESLRLECRIAPSAYIPSSVPSGGGIVYPMDVAANPWNPNLITVGMSDGGIHVLEPLED >PVH61322 pep chromosome:PHallii_v3.1:3:594891:595553:-1 gene:PAHAL_3G010500 transcript:PVH61322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGILPTHIAPSSTSSKPPPTKDPRRRRCLCVCLLVTLAVLLALAITLLVLFLTVLKVRNPTTRLVSTRLAGVAPRLTFPAMSVQLNVTLLLTVAVHNPNPASFAYDTGGHTDLTYRGAHVGDAEIDPGLIPSKGDGEVKLALTVQADRLAADMAQLVADVESGSVAMEASTRIPGRVTILGIFKRHAVAYSDCSFVFGVAEMAVRSQQCHDRTKL >PVH62342 pep chromosome:PHallii_v3.1:3:17828808:17829687:-1 gene:PAHAL_3G268100 transcript:PVH62342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYGWKEIQSRFYAATGFWHDRPQFGNRYRQLRGLWQFIQQLRTDSGLGRRPDGSVVATEAWWRANTMGHPEWKKLQSGWPIYLDELDRMFMGVAIDGSSSYVPGDEDPVDVTPNDEEEDSEDDHSLQTPQSTGSKRTRDSSQSLRSTATSPNKKTKSPAVRAMVSQMQLHNEIQTQRNAAMEGFMTKRLQVKQAEEAKMEKQFDTIMEAARDCGVTEDNAQLWIGVLKIAQDKGASYFFLRSLPHGRKALIEHYARVVD >PAN17997 pep chromosome:PHallii_v3.1:3:11328490:11329974:-1 gene:PAHAL_3G170900 transcript:PAN17997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIPFGLLPMDPGTAATLTGAISPPFPAGAAANSAAYCIDPALFASLLPPGRQPAAPAAAEETRRRQEEEEEGAAIRLVHLLVTCAGAIQSGDYSAAHGNLAEARAILSVITTSTGIGRVANHFADALAQRLFPAYPQAAPPAPPPAALADLYSHFYDAGPYLNFAYSTANQAILDAFEGCDRVHVVDFALMQGLQWPTLIHALSQREGGPPRLRITGIGPQPTGAGDELREVGVRLAEFARSLGVPFYFQGVCVDQLDGLSNWMLKIVPGDGEALAFNSILQLHRLLVDPDADPAVPAPIDILLDLVTELQPRVFTVVEQEADHNRPPLLERFTNALFHYAAMFDSMAAVGHRGGATDSLAEAYLRAEIFDIVCGEGSARAERHEPLGRWRERLARAGLTQVPFGRNEVQLATAQLLRATSSPGSGYSILECAGSLALAWHDRPLYAATAWSAAGGSAAGAVAGTEGRDNNGRRKTRNGSREINSTGNLAIA >PAN21306 pep chromosome:PHallii_v3.1:3:57139472:57140480:1 gene:PAHAL_3G435600 transcript:PAN21306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPEELVTSFEDHLLWHDGVYSANDIYITKDEDRVISYCKSEAVEDDGTVTPIESINMSEIITGKCIAKIAANNPTISIAPHRNGCNERSSVSCTPPEALKDITAVLYDEDRNERYTGNKHDLVRVWSNSSGFIFGKL >PAN18156 pep chromosome:PHallii_v3.1:3:11938751:11942444:-1 gene:PAHAL_3G182200 transcript:PAN18156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPARPAAASVSGAFGLSPDPKRCSFDQALRQKDFQENRLLMSFVNFHEQEKISKEIVTEAIENCMKKQADNLLNSLEVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANLSYRCLEKHVKEVQQSVQVLQEKQELAETQKEMSKLQIVHEDSAQKSEGTAPSVFMTRENELALVPLHQVNAGQSPAMQFQSCNGLILQQLVPVSLSTQQDTQRSNQTTMYCMQGQSHIEHRQAQPFQPTAQSVQPLHQKPQPQTVVEVPQVTSQAPEFYIQPQQQWPHQTGQQVQSQARQPQPQVVQQQQQYTNIQQVPAQMVQLPTSSPQAHSAPQVTLVYPPYGPHQSASANAEARTGGTVVQPSYSTISSSQRKHHEVAPIYVQSNTVSVPLAEQRQPQQLHSLGNCSFLPQPSKVGPSGVAPYTIQGSAQTYNTAYGSPSSNPATIVAVLNQQAHGSAPMVLHHLGPQSVQNHPDIAEKVARMGYSKDQVEGVALRMVAAGQPAEYNPLHDRLSSVSHGVAPQTWSG >PAN20429 pep chromosome:PHallii_v3.1:3:32176640:32179021:-1 gene:PAHAL_3G347300 transcript:PAN20429 gene_biotype:protein_coding transcript_biotype:protein_coding description:AZG1 [Source:Projected from Arabidopsis thaliana (AT3G10960) UniProtKB/TrEMBL;Acc:A0A178VPT2] MAGSIIPSASSGEHVAASPTTKLGRLNAAVERSWVGRRFRLAARGTTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTVDDCDAPSPGCKFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGAFANLPFALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISVVGLRSKLAQFIPKPVRISASAGIGLFLAFIGLQSNEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLALVGFLIIAFCLIKNVKGAMIYGILFVTFVSWPRHTAVTAFPDTAAGDDSFHYFKKVFDVHRIRSTAGALDFRGIGHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDASAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFTAALFITPLLASIPSWAVGPPLVLVGVMMMRAMAEVDWNDMRQAVPAFLTLALMPLTYSIAYGLIGGIGSYMLLHSWDWACEAAARLGCRRKVGGGAERSSGGDAEQGKETESA >PVH62759 pep chromosome:PHallii_v3.1:3:46512829:46513853:-1 gene:PAHAL_3G389900 transcript:PVH62759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTERCFSSSGLLEMLPMYQPRLTTLGVYGSAQGMAIAVHTPAFCGTPVNTLSR >PVH61793 pep chromosome:PHallii_v3.1:3:7992370:7993892:1 gene:PAHAL_3G123100 transcript:PVH61793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRVDKINKILREHIEQYNRKVGIENIGRVVQVGDGVARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKAIGRIAQIPVSEAYLGRVINALAKPIDGRGKIVASESRLIESPAPGIISRRSVYEPLQTGLIAIHSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSLAQVVTTFHEEGAMEYTIVAQAYRQMSLLLRRPPGREAYPGDVFFLHSRLLERAAKLNSLLGEGSMTALTIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAEIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFADQNQLARGRRLRELLKQSQSNPLPVEEQVATIYTGTRGYLDSLEIEQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEQAETLLKEAIQEQLERFSLPEQT >PAN19692 pep chromosome:PHallii_v3.1:3:19801031:19804622:1 gene:PAHAL_3G290800 transcript:PAN19692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKYASSYTGTTRANHDGRRRHRARAAPAADTSSGIRWCLVVEEREGIWWYLVARRATSRRRVAAAGNEQPAGGHAWWPKLHASAAGPLYIAHLRKRCLKQTPQRNTPTGRGGSPRLQSFCHLVPSTSGNKIKKHGKSSNG >PAN21117 pep chromosome:PHallii_v3.1:3:58080566:58086255:1 gene:PAHAL_3G442600 transcript:PAN21117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARPPPPPRPHLALPPRSAAESLFTGAGDASPGPLTLASALFPSDADAGGGGASSGASGAASFTQLLTGSFAPQQQQQRQHEAAERGRGGGVARAGPALSVAPPASAASGASVFTVPPGLSPSGLLDSPGLLFSPAMGGFGMSHQQALAQVTAQASHSPLRMLDHIEQPSFSAAGASSGALQPMNSSASMTGMPDMAITPANNDNASLQSAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSAADQNDQSNDTTSGLSGAKRDQDAIYGMSEQVSGLSDGDDMDDGESRPHEADDADNESKRRNIQISSQRTLSEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGGSQNAGMSQQKGQNSISSNQHYSNTNQMPIGILQFKSEQ >PVH62407 pep chromosome:PHallii_v3.1:3:19402802:19405212:1 gene:PAHAL_3G286300 transcript:PVH62407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGMAPNRIAVIFEDLEALGLPITDPRFVGCFACMSMLKRETIWRRMALYQCFGLSQCQVARAVMTQPQLLNLTDGNIQRKLLFFQDELKIALPQVIALPKILTFSVEKNILPKCAVLSLLMREWKIQRSINLLGSLGVSAKDFSERYVKKYEKDLPDVVRAYEGKLKFEGFMAQDIEPCRSQAPVF >PAN19175 pep chromosome:PHallii_v3.1:3:16662465:16665169:-1 gene:PAHAL_3G254400 transcript:PAN19175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAGRPGSWGGLVLRVGQTFFAAACIGVMGSSLGFASYTAFCYLVASMGLQMLWSFGLACLDAYAIKVKKDLTSPILLSLFVVGDWVTSILSFAASSSAGGVVILFQKDVLFCRRYPQLPCGKYELATAFAFLSWALSATSALIMFWLLAAF >PVH62766 pep chromosome:PHallii_v3.1:3:47002316:47008102:1 gene:PAHAL_3G391400 transcript:PVH62766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTEEPKSHGHGGSPSAIAHPWSRRWRWLSPMAARGEAGTPSSSRQRHLSWSLPPGSRSRQHIHEQLDVRVLSHTSGAMTRSTECCATTYKRENQRHSTTETTNWS >PVH62765 pep chromosome:PHallii_v3.1:3:47002317:47009112:1 gene:PAHAL_3G391400 transcript:PVH62765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTEEPKSHGHGGSPSAIAHPWSRRWRWLSPMAARGEAGTPSSSRQRHLSWSLPPGSRSRQHIHEQLDVRVLSHTSGAMTRSTECCATTYKRENQRHSTTETTNWS >PAN21601 pep chromosome:PHallii_v3.1:3:61258613:61267009:1 gene:PAHAL_3G479100 transcript:PAN21601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLLEQLAGEALRELLGAVRGTLFCRSTAERLRRSVEPLLPLVEGLGPHAGQRSAGELAELAARVREALDLAHRAALSPRWNVYRAAQLARRMEAADRGIARWLARHAPAHVIGGVRRLRDEADARIGRLERRVEEIAAATQPPPPALSVPVAPPPQMHKGVPMQMQMPMDAPLTKGSVAAMPVDGPPLKGVAVPAKAGVMAMDMELAEGHEDEEMVGGGLKVAKEKVKEMVMSGGGGWEVVGISGMGGSGKTTLAMEIFKDHKVRAYYNDRIFFETISQSANLETIKMKLWEQISGNIVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLLQLEDLVFKFPGCKTLVVSRFKFPTLVSRTYEMQLLDEEEALSVFCRAAFDQECVPKTADKKLVRQVSAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASVECLPEKVRDCFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDYSVTQHDVLRDLALHMSGRDPLNKQRRLVMPRREETLPRDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYSTTSATLDNLSAFTTLSSLRSLWLEKITLPPLPKTTIPLKNLHKISLVLCELNNSLRGSTMDLSMTFPRLSNLTIDHCIDLKELPSSICQISSLETISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCINLTDLPEELGHLTNLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >PAN18484 pep chromosome:PHallii_v3.1:3:13324542:13324985:1 gene:PAHAL_3G204800 transcript:PAN18484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCYLMSQGHKHGTKPLVLAFATLKGFLREVCIYAANLDLVCNNTITFWPRPYIPSHIQNFPFKPPNHLHGFTPHRGLASFIWYHNTLTLIVSYDLSAVCITV >PAN19502 pep chromosome:PHallii_v3.1:3:18576665:18581161:1 gene:PAHAL_3G277700 transcript:PAN19502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >PAN19501 pep chromosome:PHallii_v3.1:3:18576665:18581161:1 gene:PAHAL_3G277700 transcript:PAN19501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >PAN21472 pep chromosome:PHallii_v3.1:3:60403815:60406327:-1 gene:PAHAL_3G469500 transcript:PAN21472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEPAYSAGGPGHFTTGYQDFPMCFQESPYGPCNVQDLLLEFNAGLTHLPDPYPAAARDIAGPYPYMAAADVFGGMDSAPPELIQPPQVNAAGPGPYPAGDTTGPYTATAGMGVLHMAMESASGEAIAGAGLAQPDPYSAVDIDLSAATDKEMVRLLCERYEHHKREAKEESQSLSQLEASAAAGLVQPDPWDLPRRCYAARKKFGSDEKTRRGCWKERDSEFTAIRGDPWLPRALRYVGFRRTLEFHTDDGTKTDWLMHEYAMLHHSDGHLFLKEEIVLCNVFNNGKDGVPYPSTNTANDGEPEGELDGNNLPHNDHCLHLHHDAVVQSDQAPSSGTKRPRADGPKTRTTGESGVWQHFTKIYIEAPKEAGSKKKDCYSLVYAVCHGCDKVFRASPKNGTSSLRRHAATCQCKHMHATSS >PAN21370 pep chromosome:PHallii_v3.1:3:59801720:59802249:1 gene:PAHAL_3G461100 transcript:PAN21370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAHADAYCIISNSRADAQIPAYMGNGESTHTLCHDVSRVLSSVSLHSLVALEAAKGKVQLGVPVLVRVRALRPSAFLPWRRVAAWSQR >PVH61483 pep chromosome:PHallii_v3.1:3:2845182:2846451:-1 gene:PAHAL_3G044900 transcript:PVH61483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIACQVQGAQRNAWNPAAKPQCSVKSLATARPRRARERIKHRVVIVSDDPRTQQAINGAPRRRRKAARARRRKTSEHGAHHVARAAPAARAGSEDGAAVRVHRRRTDQGGHGAPLLRPRRGARPGRTQLVSLSHDSTPWGPRNGAPWRRRWPDANDYVSGF >PVH61534 pep chromosome:PHallii_v3.1:3:3556649:3560432:-1 gene:PAHAL_3G056300 transcript:PVH61534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVADMAANAMGVEIEYLELEGLQEDENLKLFNHHVYSGRNPQDFVDLKFIGKELAKQLGGCPLVTKVVSGYLQCNMSFQCWNSFLQDGLEHFKGSEDEVMETLRLSYYCLPAEVQICFRYCSIFPQDYEFKKKDLVLMWMGSGLISQDGNKPRRIEDIGEQILAELTRKSFFEMKLKVVQYSQRKEEYYTMHDLMHELAKYVSAGECTTIIDPSMLKTEDDTIRHLRIACIDKLSTEEIKKITRFKNLRTIIIDGPGLIDKDMLRAVEYSIEKSKSLRLLRSNMENTFHLPKLAGLKHLRYVYLHRISLEGMRGLVKLYHLLLVDCLNDSREEPRQVMYFGNIGHLRYVNYGAGRIGEFPIGRLTSLQELHNYCVQGNKGNKISAIKNLRTLRELQVFGLENVESLEEADNAKLSEKQYLDSLSLMWAARANAENGKDDLILDHLEPHANIRNLKISGYCGTRLPIWIENLRVKNLVSLELARCIYWEQLPSLGELECLKKLWLECLPSLQQIGQSSQLSNISCIGSYLPPHLDTLIVRLCKELKQLPILPPSLVHMEISKVGLTKFPRIGNLHGASIETRPSKLQFVHVEECERLTLLEESLLLQIHYIRTIRSLCISDCKELESAPLFGEMSDLRELNIINCPKLRATSEIKGMILSPSLKNLIIKQSADLGHLLMKSLHGLANLSELQLENCPGLRSLPSADVCKSLKSLKFLEIIGCENLSSFGGLGSLRSLISLKISSCSNLAALHESDNDGDAAAADENDDDVIEEENLVVPVSSLDIDYLEVDVPSVLNIEPLSSLCHTKGLVIGGGPQMESLPEQWLLQNRKELQSLKGLGNSDHFQTCPPPCSGSMSWAAVQSWRYRLE >PVH61533 pep chromosome:PHallii_v3.1:3:3556237:3560432:-1 gene:PAHAL_3G056300 transcript:PVH61533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVADMAANAMGVEIEYLELEGLQEDENLKLFNHHVYSGRNPQDFVDLKFIGKELAKQLGGCPLVTKVVSGYLQCNMSFQCWNSFLQDGLEHFKGSEDEVMETLRLSYYCLPAEVQICFRYCSIFPQDYEFKKKDLVLMWMGSGLISQDGNKPRRIEDIGEQILAELTRKSFFEMKLKVVQYSQRKEEYYTMHDLMHELAKYVSAGECTTIIDPSMLKTEDDTIRHLRIACIDKLSTEEIKKITRFKNLRTIIIDGPGLIDKDMLRAVEYSIEKSKSLRLLRSNMENTFHLPKLAGLKHLRYVYLHRISLEGMRGLVKLYHLLLVDCLNDSREEPRQVMYFGNIGHLRYVNYGAGRIGEFPIGRLTSLQELHNYCVQGNKGNKISAIKNLRTLRELQVFGLENVESLEEADNAKLSEKQYLDSLSLMWAARANAENGKDDLILDHLEPHANIRNLKISGYCGTRLPIWIENLRVKNLVSLELARCIYWEQLPSLGELECLKKLWLECLPSLQQIGQSSQLSNISCIGSYLPPHLDTLIVRLCKELKQLPILPPSLVHMEISKVGLTKFPRIGNLHGASIETRPSKLQFVHVEECERLTLLEESLLLQIHYIRTIRSLCISDCKELESAPLFGEMSDLRELNIINCPKLRATSEIKGMILSPSLKNLIIKQSADLGHLLMKSLHGLANLSELQLENCPGLRSLPSADVCKSLKSLKFLEIIGCENLSSFGGLGSLRSLISLKISSCSNLAALHESDNDGDAAAADENDDDVIEEENLVVPVSSLDIDYLEVDVPSVLNIEPLSSLCHTKGLVIGGGPQMESLPEQWLLQNRKELQSLKVLSASSLESLPPRMRDLRALNFLLLSGAGKLRSLPDLPSSLQWLHVMGCCPELEIQIRVKDSPEWTKISHIPKVHIAAVKPVQSRPHVFHGGYYFMYGKECSEETIYGKANQ >PVH61794 pep chromosome:PHallii_v3.1:3:7999584:7999883:1 gene:PAHAL_3G123300 transcript:PVH61794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTTTHRRRRRRWWSGCWWPLCWAPRAPGPQAACIAVASSPPAPLPQSRTTAQEMPIRGDGGGRSRRPHEGEGVCNAAGGLCKMEQGRVGHKPPHHL >PAN18924 pep chromosome:PHallii_v3.1:3:15275315:15277139:1 gene:PAHAL_3G236100 transcript:PAN18924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKGQTKGAVQKGSKGPKLGGGGGKR >PAN18923 pep chromosome:PHallii_v3.1:3:15274750:15277221:1 gene:PAHAL_3G236100 transcript:PAN18923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKGQTKGAVQKGSKGPKLGGGGGKR >PAN17504 pep chromosome:PHallii_v3.1:3:8789295:8793557:1 gene:PAHAL_3G136600 transcript:PAN17504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTAVSLGGGRAGGVLPLASLNHISIVCRSVEESLRFYTDVLGFVPIRRPGSFDFDGAWLFNYGIGIHLLQSEDPGSLPEKREINPKDNHISFQCESMTAVERRLKELGIPYVQRCVEEGGINVDQIFFHDPDGFMIEICNCDNLPVIPLAGAPVLGACKRVAAAVKQQHQQQQGGAVPPAPAAAAQCVPSAAAAQAIRVGEEAHISCA >PAN19823 pep chromosome:PHallii_v3.1:3:20782034:20783229:1 gene:PAHAL_3G301500 transcript:PAN19823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNGRSNPQPPPGYPTVAPDGGEGKKKGSRRASTTKRGEASFIEGCIAALCCCWICDLCCN >PAN20983 pep chromosome:PHallii_v3.1:3:56072939:56076409:1 gene:PAHAL_3G427700 transcript:PAN20983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDAPCLPPYLRTLYLCTIISYLFISNPQLDRRSREREREREREMADLTHGAVDSLLGVLSAAIKDEAQLLSGVKGDIQFIKDEMDSMNGFLLHLNKSGDDHDDQQRAWMKQVREIAHIAQDCIELYMRDLAPPDPGFWAQLRHVVVRLRTMPARHQLATKIRDLKVRVRDVGERRQRYGVTVPEVKRGRPAGVHGGKTAGELSTARDEFLRALALDMDAGKASFENAIALLPEDFKSATEAIQTALAEGQHEMDQDSSTICSMEMLLRALHVSPQGQGRVNKEELDNLRAASESDSGTDLPKQVMVLCYSKLSRDYKSCLQYLTAFLEEKSISRTSLVRRWAAERLVAKEDDKQSYEQAAEMCFSDLLFRGFISPADHGAAGKVKSCKMRDQVKKFVSEISKSENFEGSHLPTHLDNQLRIRHMVAKQQKKLEQKEPEQAQDHGICGLPIPGKKDEKSMAAADSKKLQKSIDKQLNHLRSLPKTYRLNVMDLGGCRGLKKRHLNSICKLLTLKYLSLRYADVSGLPSQIQALQLLETLDIRQTKIRGSDTKHITLRKLKHLLAGDNNPSRDGESICTMRMPANISKMTDMEVLSRVEISHGNELSEVGSLKKLRKLGVLLPGREQDIKDLRREIFKVLGRLCSLSIWIKRGTLSEAASLDKDERDGIFQPPRSLESLSINGMDKSGLPSWVAADLSCLSKITLCDTHLTAQKLENVVSKLPGLLCLRLRRGSYKEDVLAFSAGGFKALRFLLLEGASITKLTFEENSGPQIEKIVWSMMNADVAAKASATGIEHLGGLKQLVLPEDHSCPLVEDAIAKHPKSHGIRRSY >PAN17028 pep chromosome:PHallii_v3.1:3:6548173:6550918:1 gene:PAHAL_3G102600 transcript:PAN17028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPAPAMSRAALPLALALLLLLRRAPEPAAAVRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPTSGSGRALYASAVPVRAGFSTQFAFTVATLNPSSVGGGLAFVVAADDSSLGDAGPYIGVATGTDAAAVEFDTLMDVQFGDVNGNHVGLDLGSMVSAAVADLDEAGVELTSGRTVNAWIDYRPDKAKGGILEVSVSYAAKRPMVPLLSAPVDLGESVKDAAFVGFSASTQGSTEVHAIEWWSFSTASPAPAPHSAPTPPPESPVVQPPPSVNPVLPSPLLPGVTTPSPPSATVSAPTSSISAASAPSNAAAGNAGSPHPPGHAAVAGAATAGAFVAASFAGFALWALARRARARKRTALAVATKRDNLASAAALARSPREFSYKELSAATRGFDASRVIGNGAFGTVYKGIIPDTGAMVAVKRCTKANANANSEQARSEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDKALFDASAPVLPWHHRRDILAGVASALAYLHHECERRVIHRDVKSSNVMLDEAFRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEGTDVFSFGALVLEVACGRRPIGTEGRCNNLVEWVWSLHGEARVLDAVDPRLGGEFDEGEVRRALLVGLACSSPEPALRPGMRAVVQMLSGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLTLSDSSSDSLSSSSLTSTLRRGGHDIGFSSTGGDAR >PVH61779 pep chromosome:PHallii_v3.1:3:7680084:7683952:1 gene:PAHAL_3G120900 transcript:PVH61779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPARSMKRAKREKAAKEAASPGSAGANKKEMGSSGSRPASAAAAAAGAEAVAYVAAEDADALECGVCFHPLKPPIFQCDEGHVVCSLCRDKLAPAGKCHVCGIATRDYHRCHAMERLLESIRVSCPNAAHGCGARPAYYDQHDHCQTCPHAPCHCPGKDCNFLGSTEALLDHFTSAHGWPSTTKISAFETCCICLRDGFNFILADCTEDDDHLTTSSSSRRYLFLLNVTRQSLGCSITVHFTGHESPSEALICVLGYSRVLYDPRDRHKFLGSHSLQSEINVECMDLSNGLPNPEDCFQFVVPDSVIRKKDKKDGIRAEVRIGIINLE >PAN21157 pep chromosome:PHallii_v3.1:3:58334236:58341101:-1 gene:PAHAL_3G446000 transcript:PAN21157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MLGASRRSLCAAAAAARSRAAAGAAPAVSADAAASVPPRPVSNGAPRAPQQQKRLLSVLAAPKVSGTSNVASLKLMDGALIGRRYESSAAAVDSTDMPPAEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPELLKDGPELDIRIQTDKDNGIVTITDSGIGMTKQELVDSLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVADKVVVSTKSPKSDKQYVWEGEADSSSYTIREEKDLEKLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAETKKEGGAEPQTEKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTEEYNEFFKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGAMEDKENHKRIAPLLRFFSSQSNDELISLDEYVENMKPEQKDIYFIAADSLSSAKNAPFLEKLTEKEYEVLLLVDPMDEVAIQNLSSYKDKKFVDISKEDLDLGDKNEEREKEIKQEFSQTCDWIKKRLGDKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDTSSLDFMRSRKVFEINPEHEIIKGLNVACRSNPDDPEALKVLDVLFETAMISSGFTPDNPAELSGKIYEMMSSAIAGKWSTQSQAQPASPSPQHDAPAVEPEPIEAEVVEPEPVESGQKK >PVH61451 pep chromosome:PHallii_v3.1:3:2284282:2285706:-1 gene:PAHAL_3G035900 transcript:PVH61451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEHHDRSARRQLDFALGINMAGMASRHRANNKEADSSNRPQKEEKEHVTEEQVRYVRNQRPTSSDLLRKYEYQYKQRLRRESEEEEYERRTEKRLRKHEDARDHWHCPFFRYCWDSGMNPLPTVRDCPECASMKTEARESVFGRLGHVPAQQERVRSPRREDEEEDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLRKARPDLAEQVHYEQEKKPRTSRKEWRPKSTRADKKVSADTHMVFVLPAEFHARTHEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNATLSDFNGQTSEAQGVLSVDLTIGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCYEVEVVQADDSIEISHAAMSIWDAEFQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PAN19048 pep chromosome:PHallii_v3.1:3:15956832:15962055:1 gene:PAHAL_3G245900 transcript:PAN19048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAAAREDVKSSHFPASAGGKKKPHQARNGAGGGAGGGGGGEKKRLSVLGEDGCDVGAGIEEKYALDRELGRGEFGVTYLCMDRGTRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSPSIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKNGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALVEDGGADSMDVVNDILHEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSVKLGNE >PVH62297 pep chromosome:PHallii_v3.1:3:17362858:17364638:-1 gene:PAHAL_3G261900 transcript:PVH62297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVAAQQERRRIRPPEPLVMAAAPPTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEQVLSQLAAADGEPAAAEKAVRSLAAKIAPEKGKRESVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAQELVAYLTGDVTEKRGRVNMAVEALAAVLSADGVAEEAKDALVAALVAEDLGRVVTTLLGAGANGAAVLEAILTSPAADADAKTAIADLPELFPDLVRILRDAASPAAIRCMAAAVQVRGRPARASMVRAGAIPALALAVAAAPTAAAESALRLLAEAARCGDGKAAIAADAAEVAAAVMGRMIRVGPAGREAAVVALWLSCCAGGGERRVREAVASAPEAVGKLLVVMQGDCAPTTSRMAGELLRAVRLEQERKGMAAAYDSRTIHVMPY >PAN17614 pep chromosome:PHallii_v3.1:3:9272256:9274676:-1 gene:PAHAL_3G143800 transcript:PAN17614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLPRDALVPLLVLLLVVVHATDATQRLEMVTSSAPARGGTGAGTTSRARVAASSCASWRLGVETNNIRAWYSIPAACRGYVSDYMFGEQFRQDCAVVAREAAAYAEGLALAGDGREAWVFDVDDTALTNLPYYADTGFGAEPYNATYFEEYVANATAPALPEVLGLYGKLLALGIKVVFITGRHDYEREPTVKNLRSAGYHTWEKLVLKPSSLGSSVVPYKSGERQKLVDAGYRIVGNMGDQWSDLVGAPQGDRTFKVPDPMYYVA >PAN16311 pep chromosome:PHallii_v3.1:3:3307753:3309119:1 gene:PAHAL_3G052900 transcript:PAN16311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPAPPVTAGATTPPPSIPQTSPSPLASTRATRRQLLASGLLLAAGSSISTAAGARAAAGGGGGLVGYDGPVVTEADRAASAAVSRRVGEAVELLELGRELQARGEFPEALASFTRVVAEYADLALSEYARVGRALVLYEIGDRDQSIAEMEDVSIALKGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVDSLHDFITLS >PVH61412 pep chromosome:PHallii_v3.1:3:1750643:1751947:1 gene:PAHAL_3G031300 transcript:PVH61412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVITAIVCSVRVKRQLYTALFPHYSHCSPAELYICVAVVEMSPECQHHQEPQERRRSDAGAAEVDDAFKPRLPLLPGRPPFDKLFIPQPGRRLGAASLPGARHGRGHHGQPVPPAHAAALAVLDAEAHLRDMPEALVARDGGVQRDAPTEHHGEPHHRLPREPPPVHGHRAPARRVHGASGGRVDEDPAERQVGGSDGLRRREALLHERVDAERRAQEPPRRGVGLHDARHDAGAPVIEVDGRDEPRGEATRTRRDGGRRRRHGRGRGRERERERVGEELPVALVVNGGHVAERGPAREAERAPGVRVGGEAAEGGARAAERGQGRAVEAAEHEGQDVRGQVLDGGRALPVAAAVGRERSRAAPVVAGRHVTRRGGGERRVERIGSQGTGIPTVRELAQVSCLTSLLCWVWIRPGELVVLRTYTSAHVRVRDY >PAN19874 pep chromosome:PHallii_v3.1:3:21108159:21111238:-1 gene:PAHAL_3G304200 transcript:PAN19874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PAN17241 pep chromosome:PHallii_v3.1:3:7380501:7389176:1 gene:PAHAL_3G115700 transcript:PAN17241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGKGAWVVPAPAYREVEGWDGVGEDAPGFRCGHSLTAIAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVDKRRWTRLHPAGEPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDRPSARMYATASSRSDGMLLLCGGRDTSGTPLSDAYGLLMHTNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTSKSSNEHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVAENAPFQSELTSSMYNADRVPRGESPNRNHNYYSDSSVQQSSNNSTDKKSIDMLIEASTAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSLEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPGRRALNRQRSPQGLHKKIISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGDRNSLAYI >PVH62362 pep chromosome:PHallii_v3.1:3:18342604:18343140:1 gene:PAHAL_3G274800 transcript:PVH62362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTHSMAGSPISVAISKTLMKSQLLKYGTRSSSPLPLHLPRLSVLGLVICSSAHPWRSRSTAGRRCPRPSLLEAWPPPPPRLLRCPSLMPWLPATSTSSMLATWTSPPPSCTSARRKPTIVFFYSLLTIVHCTSRTDFHSSIPMLYFVFSFRSVREDEVARAQLPPCRKDKKRKAKNW >PVH62616 pep chromosome:PHallii_v3.1:3:28719213:28719537:-1 gene:PAHAL_3G338500 transcript:PVH62616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIRIRGILPCYRLVNRYLFNSFRHSFSAVPRYRPSYDTSSPLYLYSYLWG >PAN16837 pep chromosome:PHallii_v3.1:3:5800960:5804725:1 gene:PAHAL_3G090200 transcript:PAN16837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAPACVRVASPFMGAPLRPPCHVISAILGPRRAGLAVSAAAAAGGGSPSTVLVTGAGGRTGQIVYKKLKERAGQFVGRGLVRTEESKGKIGGGDDVFIGDISDPESIASAIEGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEEGSYPEQVDWIGQKNQIDAAKSIGVKHIVLVGSMGGTDINHPLNKLGNGNILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGLRELIVGKDDEILKTETKTITRADVAEVCIQALLFEEAKFKAFDLASKPEGEGTPTTDFRALFAQVNSRF >PVH61413 pep chromosome:PHallii_v3.1:3:1770838:1771170:-1 gene:PAHAL_3G031500 transcript:PVH61413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNSGVVVNYAQRRSTGYLSRRIIPSNILGARSRCRTTHWASTRCAPRGSGRTRRRGREPRGEWGFGGRRGSGEAMRAIGTRPQVEEDGTRTKRGKRVKEN >PAN16908 pep chromosome:PHallii_v3.1:3:6081281:6082134:1 gene:PAHAL_3G095600 transcript:PAN16908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKISLKLLVDNKTKKVLFAEAGKEFVDFVFSLLTLPIGAVVKLISAGTMHGSIGRLYQSVDNISASYLLPNTDKKGLLQPKVLQPDGGELLLLQGAAGSDGADGSPLGRFRMYTCPGQCVTVAMEARAVCPQCRQAMTTEMAFVLPSAAPKASVGKGGAAGASDAEERGGYVKGLVTYMVTDGLEVTPMSAISSITLINRFSIGKGDVDLAEKFVTVGMDEGLSLLKAALRSDTVLSDVFLARKK >PAN17211 pep chromosome:PHallii_v3.1:3:7233201:7234325:-1 gene:PAHAL_3G113800 transcript:PAN17211 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme 4 [Source:Projected from Arabidopsis thaliana (AT5G18930) UniProtKB/Swiss-Prot;Acc:Q3E9D5] MASSGFKGFEKLLELVFSLPSCGGARAQHGLRLLPVGALREALEAVQCAVVSAAGNTAFDAYVLSESSLFVYPSRAVLKTCGTTRLLRAVPVLLRAAGDELGLALRSCRYSRGSYLFPEAQPFPHDDFADEVRYLDGAVPSGLRFRRASVMPASHRHSGQNRWHEYAASASDDDVTGKDDEHADGTPHFTVDVCMTNQDRALARQFFLTPGDGRTSHATGEAMTAASGLGDVNQRSLAFGYAFAPCGYSMNALDGARYATVHVTPEDGHSYASYERGGDCAGALASIGKAVAVFWPATVSVSVCYYGTTATTNTEGTPQAIASAWVWSAVADAVEPLGLACRSRAAETFPGAMTVTYQTFTRTPAASLDMIDRS >PVH62562 pep chromosome:PHallii_v3.1:3:25362232:25362675:1 gene:PAHAL_3G324900 transcript:PVH62562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVSPIHQKTASLPTSSPRLPTSSPVRKQFQLALVAAAALPNAPPGSSSSRLAAVHALAAGIALSPTPPLPRPPRALGLSSPSPASTTTLSPPPQAVSSPPTKPCSPPMTPRQHRGRGGRRRRRPLAPHPHEVAGLPPRSTSTCQP >PAN17980 pep chromosome:PHallii_v3.1:3:11245356:11249937:1 gene:PAHAL_3G169400 transcript:PAN17980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGDQTSGGDAGAEAEAFVPRGGLHGLRRRTGPVLLDSSPRSGRAGDGRRSTFREDVGHAAAETYLVTGLAFTLLGYLGVGYRWISQLIALLLYAVLLMPGFIKVGYYYFFSSQVCRSVVYGEQPRNRLDLYIPRDHSKSCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVTDASEGISFVCNNAVSFGGDPNKIYLMGQSAGAHIAACALLDQAVKESKGEHTSWNLAQVKAYFGLSGGYNIQKLVDHFHERGLYRSIFLSIMEGEESLPLFSPEIVTKRSSAEAIALLPEIVLMHGTADYSIPSSASETFADVLKHAGGKVKLQLYEGKTHTDVFLQDPLRGGRDQLVEDVISVIHADDAHAREKDALAPTPERLVYEWQLKLARQISPF >PAN18172 pep chromosome:PHallii_v3.1:3:11635986:11636975:1 gene:PAHAL_3G176600 transcript:PAN18172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALSSASFSLLTVPTASSSLPKATVNFPARGRGGRFAVACTSTASQKVLELGDAISGLTLEEARSLVDHLQDRLGVTAAAFAPAAVVAAPGAGAAGGEEAAAPVEKTEFDVVIEEVPSSARIATIKVVRALKDLPLKEAKDLIEGLPKKLKEAVSKDEAEDAKKQLEEVGAKVSIA >PAN18080 pep chromosome:PHallii_v3.1:3:11635986:11638965:1 gene:PAHAL_3G176600 transcript:PAN18080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALSSASFSLLTVPTASSSLPKATVNFPARGRGGRFAVACTSTASQKVLELGDAISGLTLEEARSLVDHLQDRLGVTAAAFAPAAVVAAPGAGAAGGEEAAAPVEKTEFDVVIEEVPSSARIATIKVVRALKDLPLKEAKDLIEGLPKKLKEAVSKDEAEDAKKQLEEVGAKVSIA >PVH62575 pep chromosome:PHallii_v3.1:3:25657851:25658409:1 gene:PAHAL_3G326900 transcript:PVH62575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSCWAVPHLTLLPRHQAIAPRTRQFSARHGHRPTPQFVVSVAALPSLPLPHGSLDLAEDGERRK >PVH61965 pep chromosome:PHallii_v3.1:3:10990317:10993304:-1 gene:PAHAL_3G166000 transcript:PVH61965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQLVRSGKLADARSLFDAMPHRDEVAYATLLAGYAAAADFPGAMALFYRLRASSPPHAAADPFVLSPVFKACASAAADAGILRRAAALHAFAVRSSAVSSVFVSTALADAYAKAGRLELALQVFDEMPCKNVVSWTTLVASLARAGRRHDALRRFAEMRTSGVACDSHAYAAALTACVDAGLLPRGREVHALCAKLGLDATPYVANTLATLYARFGDVDRALATVSRMSSRDVAACTTLIASYVQTGRAEEAIEAFVRMLHDESSNSASPNEYTFSAVIAACANIERVYLGEQIHAQAARRGLSHARSVANSLVKLYARCGRLSAATDVFRESIVKDVVSWSAIISGYAQEGYAEEAFAMFSEMRHHSSCPRPNEFTLASLLSVCAGAAAMDTGRQLHALAVSAGLEHHAMIRSALIDMYGKSGSMSDADVVFSNRTNDDVISWTAMIVGQAEHGHSKGALELFEEMCRVGLKPDHVTFIGVLTACSHAGEVELGLRYLNAMSKRHGVEPSKEHYGCVVDLLGRAGRVHEAEELIGRISDDERDGVVWTSLLRACAARGAEETGKRAAKRVMEAEPWGSGAHVAMANLYASKGQWREAAQERHLMKRKGVVKGAGWSSVEVGGEDRGIGVFVSGDRTNPQDNAIIAMLNLMYYGAGMVCHIPDQLDLGSEVELTVN >PVH61964 pep chromosome:PHallii_v3.1:3:10990317:10993165:-1 gene:PAHAL_3G166000 transcript:PVH61964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQLVRSGKLADARSLFDAMPHRDEVAYATLLAGYAAAADFPGAMALFYRLRASSPPHAAADPFVLSPVFKACASAAADAGILRRAAALHAFAVRSSAVSSVFVSTALADAYAKAGRLELALQVFDEMPCKNVVSWTTLVASLARAGRRHDALRRFAEMRTSGVACDSHAYAAALTACVDAGLLPRGREVHALCAKLGLDATPYVANTLATLYARFGDVDRALATVSRMSSRDVAACTTLIASYVQTGRAEEAIEAFVRMLHDESSNSASPNEYTFSAVIAACANIERVYLGEQIHAQAARRGLSHARSVANSLVKLYARCGRLSAATDVFRESIVKDVVSWSAIISGYAQEGYAEEAFAMFSEMRHHSSCPRPNEFTLASLLSVCAGAAAMDTGRQLHALAVSAGLEHHAMIRSALIDMYGKSGSMSDADVVFSNRTNDDVISWTAMIVGQAEHGHSKGALELFEEMCRVGLKPDHVTFIGVLTACSHAGEVELGLRYLNAMSKRHGVEPSKEHYGCVVDLLGRAGRVHEAEELIGRISDDERDGVVWTSLLRACAARGAEETGKRAAKRVMEAEPWGSGAHVAMANLYASKGQWREAAQERHLMKRKGVVKGAGWSSVEVGGEDRGIGVFVSGDRTNPQDNAIIAMLNLMYYGAGMVCHIPDQLDLGSEVELTVN >PAN17848 pep chromosome:PHallii_v3.1:3:10273218:10277210:1 gene:PAHAL_3G160200 transcript:PAN17848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTITQKKPWMFFPDIIPLGHPIFDIIESTDPEMDWDLRLACLLLYAFDIEDNFWQLCGDFLPGPDECTSLLLAPKEDLMELEDEDLASEMLKHQQRAIDFWQKHWDKAVPLKLKRLARDHERFLWALSIVQSRSVNMKMRMGAFIQDANILMPIC >PVH62136 pep chromosome:PHallii_v3.1:3:13854637:13855717:1 gene:PAHAL_3G213200 transcript:PVH62136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPAAPRCSGGGDSERWRRAPSGSGGGLGGGGGGTTIAAAAWIWRCGGAAGVDPAGERTVTARSSGAGGSKRARGVGGGGVEGRRGQRREGRRWREQRSEGRRQRERQGLVGGVGVERWSLSPF >PVH62741 pep chromosome:PHallii_v3.1:3:44874035:44874964:-1 gene:PAHAL_3G384700 transcript:PVH62741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTIGLHWEGFPHLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPIIDPGNSDWDFRTDHHGHLLGDLAEETVRMVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSSSGSQFSVGNFDDF >PVH63235 pep chromosome:PHallii_v3.1:3:63236877:63237263:1 gene:PAHAL_3G502500 transcript:PVH63235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTTGISKGTPSSFLIFLIHVLTPSSPSLIVLSSASRPTTENKFTLLSCNRTLCAVLSNPAAVPLGKDRLMMANLVHHGRPAAVVAWQGLVDILHLANPGGSKS >PAN17396 pep chromosome:PHallii_v3.1:3:8312661:8316480:-1 gene:PAHAL_3G128500 transcript:PAN17396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLLSLCLVSALAFSSCSLSLASHQRDADRVARLPGQPESSSVSQFAGYVMMNKRHGRALFYWVFEAQTPPEEKPLLLWLNGGPDCSSLGYGAASELGPLRVIREGTALKFNEYAWDKGALHTQANLLFLESPVGAGFSYTNTSSDLDKLNDDFVAEDAYSFLVNWLQRFPEYKDREFYIAGESYAGHYVPQLVALVSERNKEKKGKAYINIKGFIVGNPVTNDYYDSKGLAEYAWSHAVVSDEVYSRIKKYCDFKISNWSDDCNAAMNIVYGQYREIDMYNIYAPRCLLNQSSASSANRAFFVNDQFRRRTRMFSGYDPCYSSYAEDYFNKQEVQKAFHANFLLPGKWHACSDLIQNYYNFSVSSVLPIYSKLIKAGLRVWLYSGDADGWVPVIDSRYCVEALGLPIKTQWQPWYPGPGIWGTFFKKGLKKVNSKKGADLENFQKGHLPPIQRTGGAKFFSRPLPRASSRFLFVKRPLTRPRGHPACWAGGRFPSPYISPHLSLNSYFIQQKSGKKEREERKRRSPVHTSVWRYIFREGYL >PAN17395 pep chromosome:PHallii_v3.1:3:8310126:8316573:-1 gene:PAHAL_3G128500 transcript:PAN17395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLLSLCLVSALAFSSCSLSLASHQRDADRVARLPGQPESSSVSQFAGYVMMNKRHGRALFYWVFEAQTPPEEKPLLLWLNGGPDCSSLGYGAASELGPLRVIREGTALKFNEYAWDKEANLLFLESPVGAGFSYTNTSSDLDKLNDDFVAEDAYSFLVNWLQRFPEYKDREFYIAGESYAGHYVPQLVALVSERNKEKKGKAYINIKGFIVGNPVTNDYYDSKGLAEYAWSHAVVSDEVYSRIKKYCDFKISNWSDDCNAAMNIVYGQYREIDMYNIYAPRCLLNQSSASSANRAFFVNDQFRRRTRMFSGYDPCYSSYAEDYFNKQEVQKAFHANFLLPGKWHACSDLIQNYYNFSVSSVLPIYSKLIKAGLRVWLYSGDADGWVPVIDSRYCVEALGLPIKTQWQPWYPGPGIWGTFFKKGLKKVNSKKGADLENFQKGHLPPIQRTGGAKFFSRPLPRASSRFLFVKRPLTRPRGHPACWAGGRFPSPYISPHLSLNSYFIQQKSGKKEREERKRRSPVHTSVWRYIFREGYL >PAN18899 pep chromosome:PHallii_v3.1:3:15203506:15204850:-1 gene:PAHAL_3G234500 transcript:PAN18899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAATRTASLAAAVLIVAMVPAASLEPAAANKDADALTALRSGLKDPDGALASWDPSLVNPCTWFHVTCDGSNRVIRLDLGKQKLSGPLAPELGQLDQLQFMEIYENNIEGRIPSELGGLASLVGLDLHGNRISGPIPLALGNIQSLKLLDLSSNDLCGTIPTSGAFKDIPASSFANNPRLHRGGNYEPNC >PAN17055 pep chromosome:PHallii_v3.1:3:6661852:6662685:1 gene:PAHAL_3G104500 transcript:PAN17055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEDGSHVWRAGLQSGVRKSQEGEQGEGGGAFREQRSPLGVSGGGDVTGLHSPPQIICAIIAARPRR >PVH62758 pep chromosome:PHallii_v3.1:3:45993673:45994545:-1 gene:PAHAL_3G389000 transcript:PVH62758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCHEEPGFPRLLINSLERLGVTERPRYYSREYEHLGTRRCRVVLSIARSTRYPDIEPWRAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQASLRQIQDRRMQEWTNGGTPVPAIGETQVLIGTPIAGWGGLFRTPQTSPEGAGRTAAAVEGGAVEQPRENGILEDDEEELLIPLEVHSAPENDSPRE >PVH61654 pep chromosome:PHallii_v3.1:3:5660759:5662414:1 gene:PAHAL_3G087600 transcript:PVH61654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTLPEVAGDDGARGRHPKRGFPNRPLSLGRRCIHSCPSNPPAGPLPGPPARSPSWDEARKPIKPKLSRENFSDGHSQGQTLREGVT >PVH61650 pep chromosome:PHallii_v3.1:3:5615556:5616635:1 gene:PAHAL_3G086700 transcript:PVH61650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNRRQREAVRLHVIAGPGAGTVEAIRASDFRSGGYVRGAMARLFDVDDSIDQDALVATLYDDDEYDDLLAQAGHHRSSKRARTSEATLQGLPEVTAGRSREGEDCAVCLQAFCADEKLRAMPCSHAFHQHCISEWLRRNAVCPPCRHQLPPADADADADEQIAI >PAN17113 pep chromosome:PHallii_v3.1:3:6911780:6913248:1 gene:PAHAL_3G108300 transcript:PAN17113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSGAGAVAPSLLLCCCCCVLIAVLAGQPAAGDDAFERTVFEMVNVAIRGNATVQGDPRVGPALIRLLFHDCWVNGCDGSVLLDKTPADGARTEKTAARSIGLGGFDLIDAIKARLAAAGGGASCADILAFAARDAATVLSGGRIRYAVRRGRGDGVASSAEAADAALPGPVSSFAELEESFAARGLGKGDLAALSGAHAVGACHGSSFADRLRPSVAAAYQINGTYQLALVARQKLLLLQNASDSATTMMNNVRDMDPAFRAASSYSGVGVDTAAAGALDNSYYTANLQNMVLLKSDWELTQDEDTLARLVAYRDDAARWNKDFGEAMERLSSLRPPVGARLEIRKTCRLTNLSPGRAVVHALKSFLRRRYDQISGLLKYFNAGLM >PAN18844 pep chromosome:PHallii_v3.1:3:14959192:14959959:1 gene:PAHAL_3G229800 transcript:PAN18844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPRSITVSTKSSWQYQNRDKGVRYMKLTTKAGTMLDARHLEAELGKEPPVLACLEPLLQAGLRGLAGGDLLVPGGERVGPSRGDVLEVDVEGVAGRHEVGEVDELDEALDPGLLRRLLGGVLADHLLGVLGEACDEAVPVGAVAGALLEHAHDHRLPASEPALEEDHGLTGLQELHHLQLLLLLLLLRRRRRVGGWGGLGRWRKMVGVGGARIK >PAN19937 pep chromosome:PHallii_v3.1:3:21783918:21784856:-1 gene:PAHAL_3G308700 transcript:PAN19937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAGEEAKKALRIAATDGDGASTRVSVAPAEQQCPPPLLPAAAAGVAGGGSVSVQRCGEDDDGDGDGEKVERFYALIANIRALRGLCSAGESGGGSAAGRGRKREREAEAPWRPAFRMEDFEEEVSQVTANARSAVKNRGATGGGGARLPAAARARAAAADHEEDEHEHEVAEARGKKLRRRVAAQG >PVH62384 pep chromosome:PHallii_v3.1:3:18765445:18766401:-1 gene:PAHAL_3G280000 transcript:PVH62384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEEPSWFARCEEQLPRPDELMPLSQTLITPDLAVAFDIHSHGGGGGAGGGAGGGGAGGSGGGGGAGGPDMNGGGASSAAGSSGGGGGGAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLGGGGGGGGGGGGGAGGSHSSGSGTDAATEHLFATGPVPFLPPGHRAPAAAAADPYPPFAPMGHHHHHHHAPQIGHFHHPAARPLGHYGPAGAGFDHGFLSRAVGAGAPPVGPPGMHHHRMMAPASFADDMDLGSRGGGGASGRRELTLFPTSGDH >PAN16920 pep chromosome:PHallii_v3.1:3:6113157:6119515:1 gene:PAHAL_3G096300 transcript:PAN16920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRITLWPRCRQVDVVLAGPQPPAGTALSCGAQPDECPTVQNLNSSGERKGHQSLEEERGAESEAEAEEMLRGGGSRASAKPRRPHSRQRPPSPPAPSRRASGAAGAPESKEPSALEAPAVSSVEETSFTFEFERGFKRAKKAMLPSMDAPSGEDYIREGFSNKSNAVPAKKEAPKHVEFTHCSPGIVARLMGLDTVPRPKKVLDRCQSDTQANLQRHLSGVVQEVACASSGDQPCNVSSDELPELKDVFEVTEMENMVMHELSQPRNEEQYLRNLEADLEFVRQKFLDAKRLATDEGHRNSKEFSEALEILHSKKDAFLEILEENRTAVSGFSGHILRHSGLQCSPHTSNTAASELSDQEILCSMAGMCDEMFDRPKEFEKLIPSMLLKETSVASVEPLAPNGYKSKGSRHRSQIVVLKPNLQRKSFTPVVSSQEASKYKQRRGTQHSKPPHHSKQYSVQQKNEVLEGEEGIATQKLRKQTAKSGSRRRQSKEEYNLAVDIERAKVASTFHDDTMPIYSSMHFSGPSVSRKARKHLSERWQMACQSVSENSIPKGITTLGEMLGLSAGDAPEESSHKGSSDPNFSRSNVREVPASPLGISSKDGWKPGIYCEDDSRGGMSRNFPRSKSLPASSTTSSKLSGRRQAAPTCRLPILKDILNTPTDESENTPVRKRSPIRNAKQRNESTIVHLGKENMLPEKEIHVTLEKARHSVCISDLSWASNIYSEKFPDDAIRTEDIQKSDSDVQHDDKKNSEGHMGWTYQTLATSFPKTKEVLMNQDIIALEEGRNPSLEIDIAQVDTRGTQSVSIASGESCECSSPTTSSPRSSGEGTSYSGIFKSINVGIEGLRAQLKMLKMEDQDDTCGDYSDTQSTGECNNVDILTYQATEEQLPIFKDDEDRDLCYVQDMLASVCELPDYTEGWQVGSDVFLWLENKYSKLLLWSKSERKLLFDLVNSILADMTTPDNSLHSKIMMKYWPEIDHEQLAENVWQVVKKRSKYDPFALEDVQPLPLDHRSELEVIGMKVSRMIHDDIIKDSIVEFLSQESYLVNN >PAN17347 pep chromosome:PHallii_v3.1:3:8048532:8054517:-1 gene:PAHAL_3G124200 transcript:PAN17347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCDCIEPLWQADDLLMKYQYISDFFIALAYFSIPLELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFTTYTKTIAVVLTVAKVATAIVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGKIRTKEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECALWMPSRSGTTLHLSHTLHNNAPLGSVVPINLPIVATIFNSNRAERISHNSPLASIKTQTRRYMTSVPEVVGVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALNAARREAEMAICARNDFLAVMNHEMRTPMRAIISLSSLLLETKLTAEQRLMIETILKSSNLLETLSNDVLDISKLGNGNLELEIAPFNLHATFTDVVDLIKPVAAFKRLSVMVHLAPELPAYAVGDQKRLMQTILNVAGNSVKFTKEGHISITASIARPDSLRDPYAPDFHPVLSDGSFYLAVQVKDTGCGISPEDMPHTFTKFAHPQNATIKSHGGNGLGLALSRRFVALMQGNIWLESEGAGKGCTTTFFVKLGLSDKPNANLRRIAPPVQPKQGTASPDTSLVANNDMAILPLCYQSMV >PAN17348 pep chromosome:PHallii_v3.1:3:8049692:8053124:-1 gene:PAHAL_3G124200 transcript:PAN17348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCDCIEPLWQADDLLMKYQYISDFFIALAYFSIPLELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFTTYTKTIAVVLTVAKVATAIVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGKIRTKEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECALWMPSRSGTTLHLSHTLHNNAPLGSVVPINLPIVATIFNSNRAERISHNSPLASIKTQTRRYMTSVPEVVGVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALNAARREAEMAICARNDFLAVMNHEMRTPMRAIISLSSLLLETKLTAEQRLMIETILKSSNLLETLSNDVLDISKLGNGNLELEIAPFNLHATFTDVVDLIKPVAAFKRLSVMVHLAPELPAYAVGDQKRLMQTILNVAGNSVKFTKEGHISITASIARPDSLRDPYAPDFHPVLSDGSFYLAVQVKDTGCGISPEDMPHTFTKFAHPQNATIKSHGGNGLGLALSRRFVALMQGNIWLESEGAGKGCTTTFFVKLGLSDKPNANLRRIAPPVQPKQGTASPDTSLVANNDMAILPLCYQSMV >PVH61625 pep chromosome:PHallii_v3.1:3:5305801:5306547:1 gene:PAHAL_3G080500 transcript:PVH61625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPLSSSSSSSSLPSSSPSPGAAAHAPGAAGCLPADKSCFAVAVSVGAPYASRHDSATAAAAATPARPCCTTTSYIAVLGISFGSLLAILLILCAIRWYLVRRSATRDAAAEAAAAVEPDKKRSTGLDADAIAALPEFVYRKEEAADEAEERECAVCLGALAEGDAARLLPLCMHVFHRGCVDVWLREHSTCPVCRAEAVAVRPADEGAGGKEQEAGGTSRASTSAAWPPQDSLLDDGERDLEAQL >PAN16842 pep chromosome:PHallii_v3.1:3:5819666:5822362:-1 gene:PAHAL_3G090600 transcript:PAN16842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKARSSAKQSRAQAQAQAQQQNGGGGGAHALSSKLARYLDPEASWDKDQLLDAVHWIRQAVGLFCGLLWGAVPLVGAVWIALFMAISTGIIYWYYAYVLKIDEEEYGGHGALLQEGLFASFTLFLLSWTLVYSLAHF >PAN18075 pep chromosome:PHallii_v3.1:3:11617482:11618869:1 gene:PAHAL_3G176200 transcript:PAN18075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGARGGRGPEAPDLSNDEQTGPRAGVRGRAREQRRKCSSSRVAARVLELGGDSGGAAAQASECARAQGGLQHSARGGKSSRIWHAKVSKPAAHSSPASARGEG >PAN19868 pep chromosome:PHallii_v3.1:3:21087906:21093335:-1 gene:PAHAL_3G303900 transcript:PAN19868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGSDRDQQQQQPAGAAAAAAAEGRGRESVKLFVGQVPKQMSEPELAAMFARVALVDEVTVIRDRATRVSRGCCFLICPSREEADKAVTAYHNKCTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVTDDEMTDLFSQYGNIKDLQILRGSQQASKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFQSSNMLNANAMQQTSLFGALQMGYVPQYNGYGYQPQGTYGLMQYPPLSPMQNQAAFQNMVQPVNQGSSVRGANSELSPNSVPRSFNSTQLGSPYSPLPGIQYPGTYPGGAINNRPFANSHNSVKVQNPNANSPTSSGASSNPGPQIEGPPGANLFIYHIPQEFSDHDLASAFHSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQTAISMMNGFQLGGKKLKVQLKRDNSKHSKPF >PAN20239 pep chromosome:PHallii_v3.1:3:35811661:35820000:1 gene:PAHAL_3G358100 transcript:PAN20239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPGFSPPQPEPEIPGHDNRNWKADMMSALGESVSFGRFLTEPLEWGKWSAFAHNRYLEEAAVQARPGSVAQKKAFFEAHYTRKKKRKSEDHGSAAGGDGSLEAAAAVEDEEEDGGSAASRPSSSAQSSCMTDQAPAPGEEGGGGEAGVVDCGGPRARDEPVEVAEELAVAAITYSVGPSCRMDAPVDRLCHREGGNEQVAGAVSESPEKKDLCSRNLVVVDVVEKQPLKESSIVNQDITDSAKKRRIQMSSLLQKPTRFRSPPSGKKGQPSSVKRQSPLHSAKENTSPPGTDNNMHGATSVPVPQKRSTLAALHMPKSFTRCETENAASGSRNLGTRIAERIRQLESASRPVETTQPEEFGPQRKTLSTVLPEVAIGTSQVAEQRSSHVMRIKEKLFRSTSPLVHQKAATTKEKERKFNNEPEFKESRQSFCFKARPLPNFCRRNKQVKDTNQQMTLMHPSEKDNNSMNKRNTDEKTWLDTYDILSHSL >PAN20238 pep chromosome:PHallii_v3.1:3:35811487:35821190:1 gene:PAHAL_3G358100 transcript:PAN20238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPGFSPPQPEPEIPGHDNRNWKADMMSALGESVSFGRFLTEPLEWGKWSAFAHNRYLEEAAVQARPGSVAQKKAFFEAHYTRKKKRKSEDHGSAAGGDGSLEAAAAVEDEEEDGGSAASRPSSSAQSSCMTDQAPAPGEEGGGGEAGVVDCGGPRARDEPVEVAEELAVAAITYSVGPSCRMDAPVDRLCHREGGNEQVAGAVSESPEKKDLCSRNLVVVDVVEKQPLKESSIVNQDITDSAKKRRIQMSSLLQKPTRFRSPPSGKKGQPSSVKRQSPLHSAKENTSPPGTDNNMHGATSVPVPQKRSTLAALHMPKSFTRCETENAASGSRNLGTRIAERIRQLESASRPVETTQPEEFGPQRKTLSTVLPEVAIGTSQVAEQRSSHVMRIKEKLFRSTSPLVHQKAATTKEKERKFNNEPEFKESRQSFCFKARPLPNFCRRNKQVKDTNQQTVQEFPKFRDSNHSMTDGNHAQQMGKGVSKERQICCFPIRNLY >PAN21386 pep chromosome:PHallii_v3.1:3:59854501:59859587:1 gene:PAHAL_3G461500 transcript:PAN21386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MATHFTPSQAHAASHAAAHHHSASAAAAVTAAATARLQASAPPASASAAAAALCPPHLLAASSPASSSAACPPANNPIFVGPGAPWVQQPQRAAAAAAALGPEFRRARTTRTISKRTRGGGGSAGAQDRGRVSSAAAGRCVDKLLRVGPEDRRALGAALSSFRGELVAPEDYCQVLRELGDRDKSAYRAFEVFYAALPLVGGGAVGKGKLLTAAIGALGKMGRPDLARRAFDTGIAGGYGNTVFAHSALISAYARSGLATEAMGVLELMKGAGLRPTTVSYNAVIDACGKGGVDFTFTLGYFRQMLHDGLCPDRKTFNSLLAACSRAGHLEDARAVFDEMIHLGSGRDIYTYNTFVDAICKCGNMELAMQVVLDMEANNVKPNVVTYSTLMDGFSKLEKYDEALKLRGKMKSLGIQLDRVCYNTLLAIYVKTGKYGEIASVCEEMESLGIDKDTVTYNSLINGYGKQGRLDMVAFLVQDMRAQGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVECALSLLDEMMKMGIKPNVVTYNTIIDAFGKSKILTEEDPEIGDMGIVGVYGGQIVRSANSATSGGRSAIDVRMRRSQELFFILELFQKMVQQGVQPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLMGHQEVWSQARSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRQGAQQVVLEGVNRRVWENTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFAGRAMPEFLSILTGWGKHSKIAGSSTLRRVIEALLLSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTINILLLRNERVQHANLPNLVPRLQALQL >PVH62210 pep chromosome:PHallii_v3.1:3:15333425:15334876:-1 gene:PAHAL_3G236800 transcript:PVH62210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSLSLMQFHDPYLYSGSVVGANLPLSSHSFLPHHQEFVHTGDRCLGKDDVPEFVDQQAAAEVSGEQELAVSKEVSEGGGDGAVEEQSGAATARGEEEAHGVRMIALLMECAVAVSVGNLTDANGMLLELAQMASPYASSCGERLVAYFTKAMAARLMSSWIRNCAPLAPPCAAVHAAFRAFYNVSPLARFAYLACNQAILEAFHGKRLVHIVDLDVVPGGALQWLSLLPALAARPGGPPLLRVTGFGMSASALHDTGNQLAGLASKLSMPFEFYAIAKRPGDVDAAAAVLSRRPGEALAVHWLRHAMYDAAGDDGATMRLVRWLEPKVLTLVEQERGGAPGDGGGAGHDHGHFLDRFVSALHHYSALFDSLGASRPADEDASRYLVEHGVLGREIGNVLAVGGPSRSGRDKLGCWQAELARHGFLRAGGAGRAQLVAGACPAGLGYTVADDHDGTVRLGWKGTPLYAVSTWTCCPSPHAQR >PVH62454 pep chromosome:PHallii_v3.1:3:20333759:20335755:-1 gene:PAHAL_3G297300 transcript:PVH62454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNDVEETLSQALEYQNSLRRELNFDWSQEEEEEAVGATSGGGGGTDGSARGRRSGGGGGSDGGALGRGSGGGALGRDAQGQGHGRGSGSGSLGRGAQGLRLGRGSSIGVQGTGDPARGRGKRPAQSSSSGAVRPVRGCGRPCTSQAYRPPRSTSVDIDHGSQPIDVTDDNLHDTTQNSNMKEVYDKADWTFDNTRVFCELCIQEIDAGNRANGIMTTRGYNNIAEKYRIAVGLHHSKVQLKNRLDLLKGLYSFWLQLLKDTGLGWNEALGTVVASEDYWNKATKGHPTWKQLKRGPPDHENLLQEMFGGIVVDGSSACAPGEAVERNEDEGLSAGDQQGDDTDMYSTPPSTAHVPNRTSSLNRASGSTATCPLKQPKNQMVKVMQKIHATLENNCKIANKVMLG >PAN18043 pep chromosome:PHallii_v3.1:3:11517408:11519722:1 gene:PAHAL_3G174300 transcript:PAN18043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATACGAPPCPCARSRLLPSASLRICYGSGRVRSTRRRAPRRAAVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATTKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEEEDTKESISESSQDSKEKSSV >PAN18044 pep chromosome:PHallii_v3.1:3:11517408:11519722:1 gene:PAHAL_3G174300 transcript:PAN18044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATACGAPPCPCARSRLLPSASLRICYGSGRVRSTRRRAPRRAAVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEEEDTKESISESSQDSKEKSSV >PVH62259 pep chromosome:PHallii_v3.1:3:16304930:16306318:-1 gene:PAHAL_3G251100 transcript:PVH62259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVETCWWRRRCFVINTTTSGAYHIEKIVSSQVGGTLADCIYLLLWSYDGIRLYSIRLDDWSISFVLVPACTADPDDDWAGTWYNLFWVIPPSYYCQEPTKSLSTITGKVSPQINENVVLMEDKEQIASPWSRLPVELIELLIPKLSFVDYLRTRAVCKEWSLIDKPI >PAN21951 pep chromosome:PHallii_v3.1:3:63179622:63185369:-1 gene:PAHAL_3G502100 transcript:PAN21951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRARRVPPPPPPHPPSPSGESGELATARAGARRRIPMATDGEQQQAQPAEEVVSVEMPAPEGWTKKFTPQRGGRSEIVFVSPTGEEIKNKRQLSQYLKAHPGGPAASEFDWGTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSSGRKGKQEKKEAPETEEAKDAEAGKNAEEAPNEDAATKDTDVEMKPAEEVKEAPTGTEDAEKSADKADAPAPAPAEEEKKETEKPAESDVAPPTSLEEKKDVEKKDVAEEKKEDAKPAEPEAPAPASNPTDNSAPAPAEPAAAATPASETKSDAAAPASGTKPDVAPVENSADKGASQESQPNAVNNGQLPPSAVKCT >PVH62764 pep chromosome:PHallii_v3.1:3:46997325:46998797:1 gene:PAHAL_3G391300 transcript:PVH62764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLCDYYPGIVLFTLTAALPSLRPPHCASPSAACQHGTPGQLTALYAAVCLLTIGTGGTRFNVATLGADQFGTARDQDTFFNWYFVFLYASFIVGDTAIVYLQDGVSWVLGFSVCLGTAVASLVMLVLGARYYKMPAPKGSPYTELVRVVVAAVRKARVDIAGRVQYYLGDGTVVDSGSDGAPTKRLGFLNRAALITAREGTPDSPGGGHRPSGWRLCTVQQVEDLKSLLGVLPLWSSGILISVSIGVMAGLVILQALAMDRSLGSRFKIPAGSITVCSLAAFIAATPVLERAVFPLWRRATGALPTPLQRVGLGHVVNVAGMVAAALVERRRLSVVCTHHGADEAPGWVTPMSVLWLIIPLGVVGVGEALHFPGNMAFYYQEFPKTLRGTATAMAPLLIALGFYLSTMFVDVARRVTAWLPGNINQGRLDNVYWAVAVTAAVNFGYFLVCVSLYKSRK >PAN19716 pep chromosome:PHallii_v3.1:3:20000838:20007315:-1 gene:PAHAL_3G293400 transcript:PAN19716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEQDVDVFGEDYDVQDGGAEAEADGGGDSSGSSSPSSSSSSSAAASSSSSSGGSSRSSSDGAGGEGEDGADEGDGEEYDSSNLVGTRGTGAGGYRDDERGEDGDEEVEEERDLFGSDNEDYVRTPARSQYLVPVLPAIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNFGYGRFGNGRNVEGFVSDLKLNKSEETLSRKAVAFQEPYEIACLSRVEGGGVFFDDRSLRLFKREICDYVGADLNKGFESFIEKKDLGSEGFGDLLACIRSTSIILQNNIHFVTYRNNLNKILATAYLREPWKMGVHKRRGVVYLDVHKLPERPKSEMERRRCYWGYSFENLATENSLSEDGGGIDANVEYCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTLEAYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGILVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVHPFNRLELLRAQSPCPEAITLHVQQLSGAAD >PAN20726 pep chromosome:PHallii_v3.1:3:52228376:52230197:1 gene:PAHAL_3G406800 transcript:PAN20726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRGRRRQIPVFGEWNQQLQQCEELPMTQYFESAMQAGLVVRAGGRCCFHDATGGGEAALSSRSSSGSPPPHKPAKKVRSAMESRHHHQQQQVVNAVSRRRQQGAPLVADGGARAPRRPRVVRSVDEDLYKVHPDLLPKKGKGRKHVRSLWMGCVGLNCVA >PVH62811 pep chromosome:PHallii_v3.1:3:52228376:52230197:1 gene:PAHAL_3G406800 transcript:PVH62811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGRRRQIPVFGEWNQQLQQCEELPMTQYFESAMQAGLVVRAGGRCCFHDATGGGEAALSSRSSSGSPPPHKPAKKVRSAMESRHHHQQQQVVNAVSRRRQQGAPLVADGGARAPRRPRVVRSVDEDLYKVHPDLLPKKGKGRKHVRSLWMGCVGLNCVA >PAN19452 pep chromosome:PHallii_v3.1:3:18333775:18338260:1 gene:PAHAL_3G274600 transcript:PAN19452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPPADADPPECPVCLSPFDATSAVPRVLPCGHSLCGPCIGALPPASAAAAGSSLRCPLCCQCVPFSRALGPSSLPKNLALLALLPSSPPSPSHTITATAPAPLPLPLHASHSRLLSRFRHAVLPESASPLRSAPTPACLASGSLDSDLGAPWFCARGSPVSLLPIETRPGEGRPPAEQEAEFYRPSHAARVLAAIGALSDAAMEELAGLIASSARLARRVCRVYGVWMDPDAPPLWMVSERHPRSFSQLLEEEMVAQIGFVVMEACEVIMRLHGEGLALGCLGLDCFCLDSFGHCLLDFSRVLALCRGVRAGVSSYSIGALIAPEVVAVLGDTLRMKNHDFDGFFGCSSDIWSLGCLLVSLLTRDERLVAGWNSEGSYDDWEKKVGTRLNASLLGTQLEPLAAIIVSCLSYDPKGRPEIADVWKCVRGLLMKSGDVTLAPDDDFAVQKSFRCLLLGELSSMFAESSAVESDGKAQLSRGAEDSSSNQDDVSNGGCRNDRALDLSGIDDPESAGMFKSATLIAHRDCVTGLAIGGGFLFSSSYDKTINVWSLQDFSHVQCLKGHEHKITTIVVVDNENHSLCISGDSGSGIFVWRIDSTLKEEPLNKWYEHNDWLYRGVICLAVSGTGYLYSGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAVASGILYSGSWDGTIRSWWLTDHTPLSVLEDDIAGSIAPVLSISTEANFVVSSYENGYFKIWKNDVLVKSEKLQNGAIYAVKLSGKWFYSGGWDKIIKIQELLEDESEVELRDVASITCDTIITSILSWDERLIVGQFNRDIKVYYKAQ >PVH62894 pep chromosome:PHallii_v3.1:3:55475247:55476197:-1 gene:PAHAL_3G424700 transcript:PVH62894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEASGAKAASGVCQWTPTQSTFVLTFLSNIVADGTKTSTGFKKVHLNACAKALNDHFKLTRTGDQVSNHLKTWKKKYASINYLKNLSAALWDEDEFIVSLDHDYYKGHMTDPKNKADDEYLNKPLPYYGFLATIFGNSVTTGQYAKSSNEPIGTDKSEGVSNGGDAIAESDGLNHGIGKSVVNDDISSSARPAKRAKTTDDTWRKTDCLVEAFDRGSQRLAKAIEKASNALPDGLFEAMDSLPGFELHHKTRYYHHLVRHPNDAHAFVNLPSDWKLSWFSSFVSENF >PVH63061 pep chromosome:PHallii_v3.1:3:60114727:60116203:1 gene:PAHAL_3G464600 transcript:PVH63061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQQADNLGANGGGLVWPSAMSSYMLQHLAQLVSSGMKTSTGFKQVHLNGCARALMENMGYHVTGTQVGNHLRKWKKIYGKIQKLKNLSGALWDEETCTISLEREHYLAHIQIHRDDAKYLNCPIEHYHEMATIFGNSLATGAYAKGASDPLASEVTATANASQETKDGAETNEQGEGSPLEAEEMTFSANTNGAGSSGTKPPPAKKHKVAAVEDPNIAMVSIMSEGLGNLAAAIEKVGKEDDGIPEGLYDDMMSIPGFDEAHLDHYYAYLCEHPSLARRFYNMRLSSKMVWVARYIKEHL >PAN17899 pep chromosome:PHallii_v3.1:3:10497113:10506202:-1 gene:PAHAL_3G162300 transcript:PAN17899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPGSPWRGGAAFLSQPVTEDDERAAECVVETIYYFNVRCPVGTPLPDIEYRSSDGEIDILRHVFRWDRAPYDFVFQHGFEARRQENTPDSVFYNLERYVNSGGRPLDIRRDTTHGFVSTTISSSWFPPVNSGTVDRVYRYEIYAPGGILVSETLGDLYRYPAQDEVAFPAGIAPQYIRSAQLFELANDRRYTRRTRVNNVLYINSHFNPQSHPPRELKIQYPVSRYNENGETRKLEIQMVPEQRLQKRSMDTNDDELVDYYTEEVTDVDYYIDSAFRSTRRNEAYIFIKGQYVLINYAPGTTGDRVVNGPHFIGSSFHSLVGTVFAEYGIDAAFGCHDRDDNEAMIFSGNLSARINYAPGTTDDWIIEGPKTIRQKFPFFKGTHFEGGIDAAFESTVTGEAYLFKGSEYALIDYSKPSLIAMRPITEGFQCLRNTIFEREIGAAFASHVDNEAYLFKGNSYVLLHFTPGETKDYIISGPKEIVPANWPSLNGILPQKNIGLDIFYEFPQPEKQHRDHDEL >PVH63287 pep chromosome:PHallii_v3.1:3:64209482:64209914:1 gene:PAHAL_3G514200 transcript:PVH63287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKPVHADCLLRWRCWQLETDTWTLMRGSQPARNFLTVIIKIFNSLRTIEKIVAPI >PVH62344 pep chromosome:PHallii_v3.1:3:17918024:17920401:-1 gene:PAHAL_3G269000 transcript:PVH62344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNPDDIIVDPAKRVPIDEYDNSIRDEVRCAYLLRGPTQPKDHEFPHKMQNGCPRSFQKAWFDRFDWLEYSVEKDAAFCFYFFTKTGYSNWRKGLENLEKHVGGPNSSHNIARGNYHGREAEIHYKIRLSASLSCAQYLLMQGESFRAHDESSTSMNKGNFEELVEWYKNRNEKVKKAFDKAPLHCVMTSPRIQKDLAKACAQEVTKVILDEIGDKNFSVLIDEFVNNQGKVVGRFLGIKHIKSCASAALKEALFDMLGSRLSVCKIRGQGYDGASNMRGEFNGLQKLIRDESPYAFYIHCFAHQLQLVVVTVASSSRSVSDFFNQIPLIVNSMSSSCKRMDALLAKQQDVLLQKLESGNATTGTGQHQEMSLARPSNTRWGSHLKTLLRIYQMWEAVIEVLEIVSQDASGTSNHGEASGLIQKMESFEFVFIMHFMIDLLGVTNELSLALQRSDQDIVEAMGLLEDVKMRLQDMRDNGWTPLFQRVKSFCETNAITVPDMEELIPVRGKSARKKHTVTHFHYYHVEIFIAAIDAITSEMNHQFNECSSELLVCMSCLDPRNSFSKFDVDKLVRLAEIYADDFTMADRLLLRNQLQTFILNIRRSVEFHGCGDVAKLAEKMVETRKNRTFPLVYRLIELTLILPVATASVERIFSAMNIIKTDLRNRVSDEWFNDLMICYTEREIFRSLDDRMIMERFQAMNKRRMALPTTRITTT >PAN16535 pep chromosome:PHallii_v3.1:3:4291866:4293151:1 gene:PAHAL_3G067200 transcript:PAN16535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHAITATPRAGAESMFLPFLAMFASIYLVGFFIVFRGWGPRHRAEAASCFTSLFHGTPAALLALRAVLSRHRAAGGSLPALLAAPNAAADDLVLDFSTAYFAVDLAHYLLFLPGEALFVAHHLATLYVLATCRHAAGAGAGALLPLEVLAEATSAAQNVWTLAGMRRLDSPLAARVHAALSPPFYAAYTAARAALGPAWFVRMVRFFYESDGGGGRVPAWAWASWTVVIGAGIAVSILWVGNLWLAYFRERKESKQLRSSKQQ >PAN17104 pep chromosome:PHallii_v3.1:3:6762438:6764936:-1 gene:PAHAL_3G106100 transcript:PAN17104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMAGDDDITVHVEWLARRLTQQQEDAAATEQHRIMVNTLRVSRVPGHVRIPNPDAYTPGLVAIGPLHAKDAERRLRPGNRLKMAYLHSLISRGHPDPARHLAVIQGYVRLVAAREREARAMYAAEDVDDVRAEDFIQMLVLDGCFIIEHLVNVATGKEEQSLHATPFGPAQLSVDLVLAENQIPFFVLVDLINSTRLPEFDSTGYAPPVLLMKLVLYYLGGEKGRDMSEALPPADAVFHILHLLHAMVSAARTRWEPPPRIQGGATLEMMQEAARLLRRLPLLLLVPLLYPILPEERRWRASYGREDLPSASDLKRMWVRFNKARGGSSSKAPAGIASVLGPVPLAVKLAHEDRLRLPQLRIEFRTAPLLLNLMAFEQSAEQRAGAVSAYVWLMAKLVQSAEDAGVLVAAEVVQSSATGSESKEDVARFFRQVGAASEAAGELEKSYLGETLRKLRERSQHPLFMMWADVQRNYFTVPWAVVAEFVTFITFISTILQTYGSFKH >PAN16072 pep chromosome:PHallii_v3.1:3:1736329:1737223:1 gene:PAHAL_3G031000 transcript:PAN16072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFALCSLQPISTLRCISIDHICLSGTIFSSAGVSTCLALRMDLSSALV >PAN16133 pep chromosome:PHallii_v3.1:3:2516604:2519127:1 gene:PAHAL_3G039200 transcript:PAN16133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MAAPSFSTTALTPVLIHLLRGASDLASVAATHAKILKAGVASTLASSNHLLAAYCRCGAMSCARELFDEMRDRDVVSWTTLMSGYTASGRSREAVSVLCAMEFSGVQPNVVTLSTAASACARLADAGLGRQVHARAEVAGCARDTIVSTALVDMYGKAGRVDDSRAVFDGMAAPAMNAVSWGAMLAVYAQNALGNEAIQLFAELRTSGNGLAPNHFMLSSVVSACASVVRLSIGKCVHGAVLRLGHGNNEVVAVALVDMYSKCGCYEYSRKVFDRIEQPSVIPYTSIIVAAAKYGHGKCALALLGEMVDRGVQPNDVTLLGVMHACSHSGLVDTGLQFLHSMQSKYGIVPCPSHYTCAVDMLGRAGRLEEAFGLAKEAQVEGNEALLLWNSLLSACRTHKRLDLATLAGQRVSEFNQDVAGGLVVMSNAYASAGQTENAAAVWSSMRQRGIQKDPGCSWIEVKDIPYVFYAGAVSCAGARADEVLMLLDELEYKMREKGYRGILGSARVSDAHEDDGDGGKGVMVGVHSEILALGFGLLVVPKGMTIRVMKNLRMCCDCHETFKLISGIVEREFVVRDLNRFHHFKTGSCSCNDYW >PAN17582 pep chromosome:PHallii_v3.1:3:9106721:9112769:1 gene:PAHAL_3G141700 transcript:PAN17582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAQAGMGLTKVVVLVGAGVAGSVVLRNGRLAEILGELQEILDKGNKGKSGVAGDADAELNEVLTSQVRRLAMEVRQLASSRPITVLNGCSGPSGVSGLIVPAATVGALGYGYMWWKGISFSDLMYVTKRNMANAVSSMTKHLEQVQSSLAAAKRHLTQRIEKLDDKLDQQKAISGQIRDDVTDARLKLETIGSEIKNIKELVWGLDGKIDSMEAKQDFSCAGVMYLCQFIEQNGGKLPERLEGPKVSTKRFGGQKFLQGLQLAIESGNYGKETIDSLINDSDSSDKINRSGSIKSVS >PAN16386 pep chromosome:PHallii_v3.1:3:3595317:3599848:1 gene:PAHAL_3G056800 transcript:PAN16386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLCFAFQFLSNLSLSIFSPLKKRLPCFFCPVMFKKHLVCFLILSGKHKKLTLCCFNHRLEIQAMVISCQVQRLARKTAKIGFSAINSFKKKALHLMGCLCSKGAKDDSATSGHRTPSWRDDSAVLNAKFKENTFNSSTLDSYGGAKVVALDARISSGNNADLKGLSGEHVVAGWPAWLINVAPKAVEGWLPRRADSFEKLAKIGQGTYSIVYKARDLESGKIVALKKVRFFNMDPESVRFMAREIHILRKLDHPNVIKLEGIITSRVSQSLYLVFEYMEHDLAGLVATPGLKLTEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDGNGMLKIGDFGLAITYDPNNPKPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCILAELFAGKPIMPGRTEVEQIHKIFKLCGSPPEYYCKKSKVPETAMFKPQQQYGRCVAETFKDFPPPAVVLIDSLLSLEPEVRGTAASALESDFFRTKPLACDPSSLPKLPPSKEYDVRLRQEEARRQRNAELGGRGAESVKPGNENHVTSRAIDIAAQVKQPTHTTSKSTCEKFNPEDSVPGFRVEPRALPTSVQVPECGSTWNMRGYADHHAVPGRICSSVHVARKKVSSYSNLPQYDATNLKNGIEVTDHNQPADRPAPSQKDLQENHGRKYKRIHYSGPLMPPGGNIDDMLKEHERHIQEAVRKARLSKGSR >PVH62007 pep chromosome:PHallii_v3.1:3:11737236:11738054:-1 gene:PAHAL_3G178600 transcript:PVH62007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHMLESRGFRLSRTKTEYMMCDFSPTRHENGDVSLEGQVVAKKDTFRYLESMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTPIRPAMLYGAECWPTKRRHIQQLSVAEMRMLRWFCGHIRRDRVRNEEIRDRVGVAPIEEKLIQHRLKWFGHVQRRPPEAPMRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNITKELAMDRSAWRLAINVPES >PAN21357 pep chromosome:PHallii_v3.1:3:59627222:59629840:1 gene:PAHAL_3G459000 transcript:PAN21357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVGSAAAEEILKQAFAGLIGKQQHEEGRDEKEHHMERLEMAQIKLEAAVETSCRWQIKDASLVRWRKKLKRAARECDDTLRGFKQRAAEDEAREQEARGSSFPRRWARATKSIVTALLSRDNSYASSSSAAVRRFERLADSAGEFLRFAELGGTPRRYMFADPLIGHLLAGQELRYRLVRRGQYHLFCVRPIRLEEDRGMEAKLLFMYEDDEAPEKNLCLGSILRLSESTDIVGTIIKFLDLLVAPHFKSTAESVSKELAQLPTQDFWWVPYVDSSHKKHWNSIHSSMTQWFRPDPLCCKQHEPMLSYGGSSSSSTMKLSDVADLEPVIEVSLQRHIPLSECNKHRSKAAEGDTSCLKNVPHLKIGLLFAPHGSSEGLLPSVESSAIEVIDGAEQSGAHTNISLEQLDEFMLPKAIDCLRQKAELTVYQMFWRSKHGAAFLQVGKTGLMKIPPRHVTGVGDRRFMIQRRRDPKLEKWVQLVKDFLDLWAAHAPRKLQSSIVEWIQKVDEKQVACQAN >PAN21355 pep chromosome:PHallii_v3.1:3:59627632:59629194:1 gene:PAHAL_3G459000 transcript:PAN21355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVGSAAAEEILKQAFAGLIGKQQHEEGRDEKEHHMERLEMAQIKLEAAVETSCRWQIKDASLVRWRKKLKRAARECDDTLRGFKQRAAEDEAREQEARGSSFPRRWARATKSIVTALLSRDNSYASSSSAAVRRFERLADSAGEFLRFAELGGTPRRYMFADPLIGHLLAGQELRYRLVRRGQYHLFCVRPIRLEEDRGMEAKLLFMYEDDEAPEKNLCLGSILRLSESTDIVGTIIKFLDLLVAPHFKSTAESVSKELAQLPTQDFWWVPYVDSSHKKHWNSIHSSMTQWFRPDPLCCKQHEPMLSYGGSSSSSTMKLSDVADLEPVIEVSLQRHIPLSECNKHRSKAAEGDTSCLKNVPHLKIGLLFAPHGSSEGLLPSVESSAIEVIDGAEQSGAHTNISLEQLDEFMLPKAIDCLRQKAELTVYQMFWRSKHGAAFLQVGKTGLMKIPPRHVTGVGDRRFMIQRRRDPKLEKWVQLVKDFLDLWAAHAPRKLQSSIVEWIQKVDEKQVACQAN >PAN21358 pep chromosome:PHallii_v3.1:3:59627162:59629840:1 gene:PAHAL_3G459000 transcript:PAN21358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVGSAAAEEILKQAFAGLIGKQQHEEGRDEKEHHMERLEMAQIKLEAAVETSCRWQIKDASLVRWRKKLKRAARECDDTLRGFKQRAAEDEAREQEARGSSFPRRWARATKSIVTALLSRDNSYASSSSAAVRRFERLADSAGEFLRFAELGGTPRRYMFADPLIGHLLAGQELRYRLVRRGQYHLFCVRPIRLEEDRGMEAKLLFMYEDDEAPEKNLCLGSILRLSESTDIVGTIIKFLDLLVAPHFKSTAESVSKELAQLPTQDFWWVPYVDSSHKKHWNSIHSSMTQWFRPDPLCCKQHEPMLSYGGSSSSSTMKLSDVADLEPVIEVSLQRHIPLSECNKHRSKAAEGDTSCLKNVPHLKIGLLFAPHGSSEGLLPSVESSAIEVIDGAEQSGAHTNISLEQLDEFMLPKAIDCLRQKAELTVYQMFWRSKHGAAFLQVGKTGLMKIPPRHVTGVGDRRFMIQRRRDPKLEKWVQLVKDFLDLWAAHAPRKLQSSIVEWIQKVDEKQTVGPCFVCLLF >PAN21356 pep chromosome:PHallii_v3.1:3:59627185:59629840:1 gene:PAHAL_3G459000 transcript:PAN21356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVGSAAAEEILKQAFAGLIGKQQHEEGRDEKEHHMERLEMAQIKLEAAVETSCRWQIKDASLVRWRKKLKRAARECDDTLRGFKQRAAEDEAREQEARGSSFPRRWARATKSIVTALLSRDNSYASSSSAAVRRFERLADSAGEFLRFAELGGTPRRYMFADPLIGHLLAGQELRYRLVRRGQYHLFCVRPIRLEEDRGMEAKLLFMYEDDEAPEKNLCLGSILRLSESTDIVGTIIKFLDLLVAPHFKSTAESVSKELAQLPTQDFWWVPYVDSSHKKHWNSIHSSMTQWFRPDPLCCKQHEPMLSYGGSSSSSTMKLSDVADLEPVIEVSLQRHIPLSECNKHRSKAAEGDTSCLKNVPHLKIGLLFAPHGSSEGLLPSVESSAIEVIDGAEQSGAHTNISLEQLDEFMLPKAIDCLRQKAELTVYQMFWRSKHGAAFLQVGKTGLMKIPPRHVTGVGDRRFMIQRRRDPKLEKWVQLVKDFLDLWAAHAPRKLQSSIVEWIQKVDEKQTVGPCFVCLLF >PAN16178 pep chromosome:PHallii_v3.1:3:2692392:2695247:-1 gene:PAHAL_3G042800 transcript:PAN16178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAEGKRWRFAMVCSSNMNRSMEAHSQLGRAGLDVESYGTGTHVKLPGPSLHEPNVYDFGTPYGAIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGVFDMIMTFEERVFDLVVEDMNNREPKLMKSVPIINMDVKDNHEEAGVGAKLAVELCQKLEAIDGDWEEIIDDLINGFEKQHKRRLAYSIAFY >PVH61977 pep chromosome:PHallii_v3.1:3:11080611:11084628:1 gene:PAHAL_3G167700 transcript:PVH61977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEAYPAHHQHLVVVVALFLNLSACSKKSLLLTYWQKPIQWSTTERCWTWEGSKCSCITEPGDMKGMNNGSLRKSASRENSCDNYIYCPTAQDVQNGNLDHFQEHWLKGQPVIVRDTLALTSELSWEPMVMWRALREKRDKDKYERLSVMALECLTWCEVDVNIHMFFAGYSRGLVGPEDLPLLLKLKDWPPHSKFGERLPRHGAEFMSALPFRDYTDPEFGPLNLAVKLPSDVNKPDLGPKTYIAYGVAQELEIGDSVTKIHCDMSDAVNILTHTDEIKLKEKKDYSNREKEGYLNYKANK >PAN17989 pep chromosome:PHallii_v3.1:3:11302206:11303332:1 gene:PAHAL_3G170200 transcript:PAN17989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPHRRFHQTPLPPPPTRTTRTNQPRPATPSRKRQRDPVPSSMEAEAFPIRFTRGVRAYWRRRKYHRLEAADGTASGGATRQLGAARRGGWGAMRRLRVRVRVALAAPRRALARARDAYVGAMLALARRASALALPGGADGLWAKRVPRRKQLPAPRATEFEQRLILEIYKSIVASKELTTMLHSSAAHLPPRAAAAPMPAKHLLDM >PVH63158 pep chromosome:PHallii_v3.1:3:61624756:61626726:1 gene:PAHAL_3G483400 transcript:PVH63158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWSSAPSDEPSGEKTTAPANRRTPSFSDRTAYAAPPSTTMYTRLVTSPPPTAARSAHRGAVGVTGGGAGRGSAAEPVRREQRLGVPAPEPAGRRGGRAAPPRRGQDGGGLRRQRHEEPAPGPAVGAPAGEGGRLARRGAVGAEGEDAGGAPAPAPAAAERGRPALAPEAAAAGGGAVGGGGRAVAPGRGGRGRGLHGEAALEAEGVGALEQEVPGASELVRAGRRNLGPGAVGRGRRDRVEEEEVVEAGPHPPRRAVERRRDGRRERDGGAAERAGGVRAEPDVDAVGVEGVRAQRQRAELVAVRELEQAHGALSGRPRPARRRGREVLRRGERLDGGVVEAAVGREGVVVVVVGGEGREGAVPAARGGGGEGAAARGHEQPQPAPAPPPPRRAEHPAHQACVQHHQQRRAHHRQHRDERRAQAPARPPPAARERRRRRGRLRRGTCTRARRRAWGGRERRRVGRRRRMAGVARGRRGGRSWRLRRGRGPRPAGRAHGGLAAVSACLPACLWVCRCGGGQCAGGGGGERMARRQELLLRLRLLLLLVGVSKQRRGMMRVVECTGGVLAHLLSH >PVH62671 pep chromosome:PHallii_v3.1:3:37703364:37712519:-1 gene:PAHAL_3G363900 transcript:PVH62671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANSSKSGALPPRNAEAVAHPKPPVHPPVPPPRRSEVVLPPLETQLHPPAGLRAVQPVSISLPASPGSFGVPTPSAATADSADLRRQAMANAARGPHRLAAQDTGSNSVRFTQSDREAMMFRSQPIPGPPPARLASRAGRSRSRAAAAMNWDRRYDSFKTWSGKLERQITHLTGGPDSFDDDEGGEDVGNVIGSHRTSATSVPEVDRFYAALEGPELDQLKPSEDLVLPSDTTWPFLLRFPISAFGIPMGVSSQAILWKVIALSVPTTFLHVTSKVNLVLWCVSVALMLAVSATYACKVALYFEAVRREYYHPIRVNFFFAPWVTCLFLAIGVPHTVTRATRLPHWLWFLLMAPLLCLALKIYGQWMSGGQRRLSKVANPSNHLSLLGNFVGAQLGATMGLREGPLFFFAVGLAHYIVMFVTLYQRLPTNETLPKELHPVFFLFVAAPSVSSVAWSKITGEFGHASKVAFFVGMFLYASLGVRINFFRGFRFSLAWWAYTSPMASAAAAAIRYSTEVDNAFTKALCVALSAVATLTVAALLATTVVHAFVLRNLFPNDICIAITERKVKPILELQESHGNDIDATAA >PAN20369 pep chromosome:PHallii_v3.1:3:37710242:37712519:-1 gene:PAHAL_3G363900 transcript:PAN20369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANSSKSGALPPRNAEAVAHPKPPVHPPVPPPRRSEVVLPPLETQLHPPAGLRAVQPVSISLPASPGSFGVPTPSAATADSADLRRQAMANAARGPHRLAAQDTGSNSVRFTQSDREAMMFRSQPIPGPPPARLASRAGRSRSRAAAAMNWDRRYDSFKTWSGKLERQITHLTGGPDSFDDDEGGEDVGNVIGSHRTSATSVPEVDRFYAALEGPELDQLKPSEDLVLPSDTTWPFLLRFPISAFGIPMGVSSQAILWKVIALSVPTTFLHVTSKVNLVLWCVSVALMLAVSATYACKVALYFEAVRREYYHPIRVNFFFAPWVTCLFLAIGVPHTVTRATRLPHWLWFLLMAPLLCLALKIYGQWMSGGQRRLSKVANPSNHLSLLGNFVGAQLGATMGLREGPLFFFAVGLAHYIVMFVTLYQRLPTNETLPKELHPVFFLFVAAPSVSSVAWSKITGEFGHASKVAFFVGMFLYASLGVRINFFRGFRFSLAWWAYTSPMASAAAAAIRYSTEVDNAFTKALCVALSAVATLTVAALLATTVVHAFVLRNLFPNDICIAITERKVKPILELQESHGNDIDATAA >PAN19274 pep chromosome:PHallii_v3.1:3:17190935:17192411:1 gene:PAHAL_3G260100 transcript:PAN19274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATAPRLSVPKSGASFRTAAPAARAPSSVGFTRQAPRASGPRLSGRFVASAAVHKVKLVGPDGVEHEFEAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMSDGEVDQSEGSFLDENQMAEGYLLTCISYPKADCVIHTHKEEELY >PAN16800 pep chromosome:PHallii_v3.1:3:5654452:5657609:1 gene:PAHAL_3G087400 transcript:PAN16800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >PVH62338 pep chromosome:PHallii_v3.1:3:17761632:17762657:1 gene:PAHAL_3G266900 transcript:PVH62338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILPLPLSLLSWIFFFQIESPTPPTRAAPLQPPATRSRRASAAPLPLRQPVPGSRGVGQSAAHGAASVRGACPRRRTERRPSTAVTRHALVRGARGGASPRRRTKLRRGVRQSAAHGMTPVHVACPRRRTELRPSVALAAARRVRPSALHGAAPRGAPRRQQPLVVGRQDSLLVDRPVCARSQSRRCKWIFHDA >PVH61749 pep chromosome:PHallii_v3.1:3:7197457:7199417:-1 gene:PAHAL_3G113100 transcript:PVH61749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGTGIDHSISGHTIILHHMPEPKEHVQAPAMATLAFAVRRGEPVLVGPAAPTPRETKRLSDIDDQETLRSHVPFIFFYRGGARAHADGRDPAGVIRRALGEALVPYYQLAGRLREVEARKLVVDCTGEGVMFVEADADVRLAELEAAVLRPPFPCMDQLLFDVDGSGGVLNCPVLLIQVTRLLCGGFVLALRLNHTMCDAIGLAQFMSAVAELARGLPTPTIEAAWSRELLEARRPPKPAFPHREFDPVPMPPPPPGDMVMRTFTFGPDDVAAIKRGIPPELAATTFEALTAALWRARTAALELPPDDEARMVSIVNFRGVPELGLPAGYYGNACVPVAVLTTAGALLAGSLGDAVELVRGTKAAVTAEYVRSALDLLVLRGRPFVAMANLFLVSDNRHAGFHRVDLGWGEPVYGGPAATLFGVSFFVHVGNGGGEGAVAVMIVLLRPAMDRFASEVEALLKG >PAN15661 pep chromosome:PHallii_v3.1:3:669473:674650:1 gene:PAHAL_3G011900 transcript:PAN15661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLLLFPSSSSAAPPTASPHPAYSHATSSHSLLPPLPSSQDHLLLQYLHHLDQHQESAAMVRKRPAPDMDLPPPRRHVTGDLSDVTAAAGGGQPHQSAASAQLPALPTQLQLPAFQQQQHHGHAEVDVPHAPPPAAAEVAASTTAWVDGIIRDIIGSSGGAGVSITQLIHNVREIIHPCNPGLASLLELRLRSLLNADPALPPLPHPAALLHNTPPAAAPTVPALPPPPLPDKRRHEPQPCQQEEPNPSPQSPKPPTAEETAAAAAAAAAAAAAAKERKEEQRRKQRDEEGLHLLTLLLQCAESVNADNLDDAHQTLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPPASPAAARLHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFYAVAEKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRSASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTPCR >PAN16435 pep chromosome:PHallii_v3.1:3:3783163:3783763:1 gene:PAHAL_3G060300 transcript:PAN16435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKMDGLIPFVLKALKKKKAMKHYRSLSSSDHLAHFDVTEPSPQGSLFMTPQHPRAPRWHGGDANNFMTPQHPRASRWLDVDEGDGNGEPSAAAAVPAAVVPPVARAKSSSTRLLPVMEPPPLNAGHERGLRYGRSCHGGK >PVH62518 pep chromosome:PHallii_v3.1:3:22814621:22815271:-1 gene:PAHAL_3G315000 transcript:PVH62518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSGRCAGTGPDPSSHLVPTGGTRISISQKKPHARRRRLLGSPSRPMRYGVINASRHLLVGPIYRWLLGGNNCRVPTFFLEKPNRRGAFACFPKPSHQTRGFSSFPLPSPATSPSSPLIVAHILLAHRHCDDGEPQAQDLFSQSPATSRPSVRRRGPASTAGSSPPVIEALPVMVFDAGDKLKVEDVPLAFEPVAATHRNQGDGAGARPATSST >PAN19699 pep chromosome:PHallii_v3.1:3:19878183:19884210:-1 gene:PAHAL_3G291500 transcript:PAN19699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTEIGVSAGINILSALGFLVAFAVLRIQPINDRVYFPKWYLKGTRSSPRHLGTVISKFVNADMSTYIRFLSWMPAALRMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIALLAFAVLVPVNWTSGTLENEKGLSYDQIDKLSISNIGKGSKRFWAHIVMCYVFTFWMFFVLFHEYKVVTTMRLRFLANQNRRPDQYTVLVRNVPPDPDESVSEHVEHFFAVNHRDHYLSHQVVYNANHLSGLVEKKKGLQNWLIYYENRHAKNPEKKPTMKTGLWGLWGQKVDAIEYYKKEIEELCKQEDEERQKVITDPNSIMPAAFVSFKTRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWANLAIPYVELSVRRLIIAVSFFFLTFFFMVPIAIVQSLANLDDIEKVLPFLKPIIERNSLKPVIQGFLPGIVLKIFLILLPTILMAMSKIEGHTSLSGLDRKTAMKYYVFLFVNVFLGSVITGTAFQQLDNFIHQSANKIPEVVGESIPMKATFFMTYIMVDGWAGVAAEVLRLKPLVIFHIKNAFLVRTEHDREQAMDPGSLDFYNSEPRLQLYFLLGLVYAVVTPMLLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVHMRLIMALMVSQILLLGLLSTQEAEKSTIALLPLPVLSLWFHYVCKGRFEPAFVKFPLQDAMVKDTLEQAHDPTLNLRDYLKGAYVHPVFQKNDIYELVTIDEEEKNPLVVTKRQSRMTTPGGSKFNSSSGTNEGEFSRVPPP >PAN19700 pep chromosome:PHallii_v3.1:3:19878184:19884210:-1 gene:PAHAL_3G291500 transcript:PAN19700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTEIGVSAGINILSALGFLVAFAVLRIQPINDRVYFPKWYLKGTRSSPRHLGTVISKFVNADMSTYIRFLSWMPAALRMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIALLAFAVLVPVNWTSGTLENEKGLSYDQIDKLSISNIGKGSKRFWAHIVMCYVFTFWMFFVLFHEYKVVTTMRLRFLANQNRRPDQYTVLVRNVPPDPDESVSEHVEHFFAVNHRDHYLSHQVVYNANHLSGLVEKKKGLQNWLIYYENRHAKNPEKKPTMKTGLWGLWGQKVDAIEYYKKEIEELCKQEDEERQKVITDPNSIMPAAFVSFKTRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWANLAIPYVELSVRRLIIAVSFFFLTFFFMVPIAIVQSLANLDDIEKVLPFLKPIIERNSLKPVIQGFLPGIVLKIFLILLPTILMAMSKIEGHTSLSGLDRKTAMKYYVFLFVNVFLGSVITGTAFQQLDNFIHQSANKIPEVVGESIPMKATFFMTYIMVDGWAGVAAEVLRLKPLVIFHIKNAFLVRTEHDREQAMDPGSLDFYNSEPRLQLYFLLGLVYAVVTPMLLPFIIVFFSLAYLVFRHQDAMVKDTLEQAHDPTLNLRDYLKGAYVHPVFQKNDIYELVTIDEEEKNPLVVTKRQSRMTTPGGSKFNSSSGTNEGEFSRVPPP >PVH61362 pep chromosome:PHallii_v3.1:3:948439:953108:-1 gene:PAHAL_3G017300 transcript:PVH61362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRTAPSSSASPSDAPYTGAPPLVFREEGKIENLVRSVYSEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKQFHDVKTLTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPAFVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGGVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALSARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRNAIISGLTSLPNCVSEVLKLDAEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKRDASAVCPTGSCRVIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >PVH61363 pep chromosome:PHallii_v3.1:3:946642:953326:-1 gene:PAHAL_3G017300 transcript:PVH61363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRTAPSSSASPSDAPYTGAPPLVFREEGKIENLVRSVYSEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKQFHDVKTLTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPAFVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGGVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALSARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRNAIISGLTSLPNCVSEVLKLDAEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKRDASAVCPTGSCRVIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >PAN21340 pep chromosome:PHallii_v3.1:3:59313595:59314511:1 gene:PAHAL_3G457300 transcript:PAN21340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGRVTEECPVAVSVELLWKVVFTGDVSIFTKACVGLVDAVEVDGDGGPGSVTTMKLNPAVGDAKVFKTRLLARDAAARVVKSELVMEGGELAGQMKSQVSEVKVVPAGEGATVVHMTVEYERVDGAPLPPADEAMIVQGYLSLIKKVEEYLVAHPGEFA >PVH62633 pep chromosome:PHallii_v3.1:3:30812703:30813699:-1 gene:PAHAL_3G344600 transcript:PVH62633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHLDSPMGTSWYLWLFSFKYSFGFCTSCTPTFFLPLPGPTMVVDRDEKWMPVLPCNPSRAMGPSSSAPAGRSSSGGSETPPPPNSSDDEASSSWNITSRCSPKSVFSLIFKFREFKKQLIREMGFGGFLTFLVSASLI >PVH62879 pep chromosome:PHallii_v3.1:3:54949193:54950741:1 gene:PAHAL_3G421100 transcript:PVH62879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGDEAAARDEDMLQDSRKQHADHGLTEVLLPTILGESKHEQENKMAMVVDKEKDCSVGNEDVQMLTAVPEERETSVGNDGVRVNQCEEKVNQLVLHNIHEVQEEEEEGGDLELELGEEMSGDVQRQRAVDEESEEWDLPTADELNRRVEDFIARFNMERQLEEARMPVCCY >PAN18623 pep chromosome:PHallii_v3.1:3:13958988:13959260:-1 gene:PAHAL_3G214900 transcript:PAN18623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGAREILCVLLLLAAVVQHARSARPLQQAEAAPAVKGSSSGLLRPAVVHADAFGDGAAGEGGDAAAGIAVPYEDKRLSPGGPDPQHH >PAN21376 pep chromosome:PHallii_v3.1:3:59755195:59757058:-1 gene:PAHAL_3G460200 transcript:PAN21376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDREQKVLLYACRNCDHQEIADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKDVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >PVH62778 pep chromosome:PHallii_v3.1:3:48704743:48705891:1 gene:PAHAL_3G395200 transcript:PVH62778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQFSIQQRPFRATTKGVRKGWSPGHNTQTDKHGHRSKLTMAFPSPSLSFAVLAMLVLLPSPSLSGDPDLLQDICVADLTSTVKVNGFACKATVTEDDFYFKGLATPGNTNTTYGSVVTSANVEKVPGLNTLGVSMSRIDYAPGSLNPPHTHPRATEMVFVLQGTLDVGFITTGNKLVAKTINAGDVFVFPRGLVHFQKNNGDAPATVISAFNSQLPGTQSLAMTLFAATPEVPNNVLTMAFQVGTKEVQKIKSRLAPKKS >PVH63017 pep chromosome:PHallii_v3.1:3:59052735:59053878:-1 gene:PAHAL_3G454500 transcript:PVH63017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMDINQRTRSNTVLEVNEPCNMALEPEKAFAIFFYEFAKTENFGKKNKKLGSGRGRFEETYKKQNSFLICN >PAN21446 pep chromosome:PHallii_v3.1:3:60242278:60245831:1 gene:PAHAL_3G466600 transcript:PAN21446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MAMRAATAAATGFFSSPSSVSPRRFSSSSPPALLSAGRCIRRHRLRAFPSSELPLEELNPSVDLLRKTAEAVGDFRKTPIYIVGTDCTAKRNIGKLLANSIIYRYLCSEELLEDVLGGKDALRAFKESDEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLEMAVNDMLKSTGTQATTDPDSFSQVMSKLRQQYDELKERYGISDITVSVQNVASQMGYSSVDSVTLEDMVLEIVRQIERLIRTKAMMEAAGKPF >PAN17917 pep chromosome:PHallii_v3.1:3:10934224:10938138:-1 gene:PAHAL_3G165200 transcript:PAN17917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSCPGVSMSKKASDLGKLASCRCSWPVTRAAPRRRRTPCVCFVASPATQPGLAAVGVPPQTIPTATTASVPERISVSSLLEVVSDDLLNLNTNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAALSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVGTSICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPRLREIINSEFSEPGSLGAAIELVHRSDGIRRAQELAKEKGDLAIQSLQCLPRSEFRSTLEKVVHYNLQRIE >PVH61405 pep chromosome:PHallii_v3.1:3:1639182:1639562:-1 gene:PAHAL_3G029200 transcript:PVH61405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPDLSPVAAEVARRVAAAASAEEQQERQGPARGRGGGRSGSPRRGAACARRGSGSTQRARCCCRRHSCGGGRIGTRQERKLPAVARLDGRGWPDLGTAAQRLLWRQARPILAKAAELLWWTAV >PVH63021 pep chromosome:PHallii_v3.1:3:59140894:59142699:-1 gene:PAHAL_3G455400 transcript:PVH63021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRECEKDPSFARGRNLVTYAADLTMKPNSRKSFLNGVLILGALLRPKHRWSGQAGVIKQVLTGSASFSHVVRQLLETFGPTSPYSSEIREEAARIVELVARSIRLEQFPGVAIHCVSSLLDTFDEYIWWSGGYERKVDIPKECDRDWLFEEYDEDYLAIDGVPRPKAAEGESPESDRHRLHGYKALVVVGLRILQKLAVHADNCMVISSTEGLVLKTMVHLTSGHLHRDHHDEWCGIAVESLKLMTGLMAAPGETGTKLRHQISGSMEAVISTSERVMDCPDCDMLLKRQTVQVLLDMSVDTSSIIAASESRKVIFTWILLHIFLLPDRERCGSTHLAKKSSYIRKLAGGKLQSVLSLQSEGSETRMLQSLGAVAGDLVSRTIVDAIDNSHRLHAVHILMDLCRRYTERGVFLEGLKNGITNVLPKILQEIIGCGSTSEEIQVVTEENNDQVWAAPGADIEKGDVSQGRGQENASSQQKNGNEQPEVSKVQEALISLCMVIIKNWIGKDQDVTRRFDNITSRLCSEQGMPAKTFAPLVTEVRDKLLKEKKMLKDW >PAN21433 pep chromosome:PHallii_v3.1:3:60146224:60153231:1 gene:PAHAL_3G465200 transcript:PAN21433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53580) UniProtKB/Swiss-Prot;Acc:Q9LFG2] MTPAAAATTSFAAAATVAAPTAPFRACVRLPLRGGPGAPRRAVASMAVSTPRSAAAASFLERRESERALHFVKYQGLGNDFIMVDNRDSSVPKVTPEEAAKLCDRNFGIGADGVIFVMPGSNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFTIHTGAGLIIPEIQSDGQVKVDMGEPILSGPDIPTKLLATKNKAVVQAELDVEGRTWHVTCVSMGNPHCVTFGAKDLKVLQVDDLKLSEIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLKIEWREDDNHVYMTGPAEAVFYGSVAH >PVH63065 pep chromosome:PHallii_v3.1:3:60146224:60153231:1 gene:PAHAL_3G465200 transcript:PVH63065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53580) UniProtKB/Swiss-Prot;Acc:Q9LFG2] MTPAAAATTSFAAAATVAAPTAPFRACVRLPLRGGPGAPRRAVASMAVSTPRSAAAASFLERRESERALHFVKYQGLGNDFIMVDNRDSSVPKVTPEEAAKLCDRNFGIGADGVIFVMPGSNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFTIHTGAGLIIPEIQSDGQVKVDMGEPILSGPDIPTKLLATKNKAVVQAELDVEGRTWHVTCVSMGNPHCVTFGAKDLKQVLQVDDLKLSEIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLKIEWREDDNHVYMTGPAEAVFYGSVAH >PAN17331 pep chromosome:PHallii_v3.1:3:7909588:7913299:1 gene:PAHAL_3G122600 transcript:PAN17331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALKQQLAAGGPKMGAEKLIIRSEKVRFIDILSMLFLRRPITSYPFVEAGDQTAADFGSTPGDWFVALTQLIQKALAGAYYPALMIGAVVEFLLNFIALNNGILGIFLNIFRCKLVIPLNREAPNFRSMIAMIDGRTELKPLPAGGGPDDRRMQVVGVSAAGAGKAAATVGEDDYYVDVESGECGSASVPLVQQQYVNGRLIRLRTFSVFEITVMAAKIAYENAAYIENVVKNVWKFNFVGFYSCWNKFVGDHTTQAFVMTDKASDASVVVVSFRGTEPFNMRDWSTDVNLSWLGMGDMGHVHVGFLKALGLQEEDGKDVARAFPKAAPNAPAGKPLAYYKLREVVKEQLKKHPSATLVVTGHSLGGALAAIFPALLALHGEQDVLDRLLAVITYGQPRVGDKVFTDFLRARIRVEMLRVVYRYDVVPRVPFDAPPVAEYIHGGTCVYFDGWYKGTAIAAGGDAPNPNYFDPRFLLSMYGNAWGDLFKGAFLWAKEGKDYREGAVSLLYRAAGLLVPGLASHSPRDYVNAVRLGSIAAKEA >PAN18003 pep chromosome:PHallii_v3.1:3:11397145:11401743:1 gene:PAHAL_3G172000 transcript:PAN18003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLLKSTSELLRRSRGYSSSANPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTVGVAADVSHINTPALVKGFMGDDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKALSTAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAGVPVKDVNVPVVGGHAGITILPLFSQATPASNSLSQEDIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSFVQSTVTELPFFASKVRLGKNGVEEVFGLGELSDFEKKGLENLKGELKASIEKGIKFAHGN >PVH62159 pep chromosome:PHallii_v3.1:3:14344677:14345150:-1 gene:PAHAL_3G221000 transcript:PVH62159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVSLSSLPIRPRRGKSPTKGRPPSPSRRGSTTRGAGSHRPRRRPRRARPAPRRGFPTRGRRPRRHRRPLLAAAPPPRRRGPRTAAPPRLGPRAAAPSRGGCTSSTTPSTSHRRFYTLRLNLLHAARAASFTPSAQVLAAPPRLLHAAVRLALLKLER >PAN18886 pep chromosome:PHallii_v3.1:3:15148870:15149589:1 gene:PAHAL_3G233200 transcript:PAN18886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAVAVSASLCSSSDHLGLRPTSVNHHLGRSLLGGRIAGRCRRRRLTVLAAAGKPPGEAEEQVPAWAKPGAEEPPPWERKGGAVQGQEAGQVPFYAYLLASAVTAIAAIGSIFEYTNQRPVFGIVGSDSALYAPLLGFFVFTGIPTSGFLWFKAVQTANKDAEEQDRRDGFL >PAN16937 pep chromosome:PHallii_v3.1:3:6151222:6151719:1 gene:PAHAL_3G097000 transcript:PAN16937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHKSSAAPTAAALLLLLLMCSTTASAARQLGGSAVVVQPFPDDPPPSAPRRKREASSPPPPPRVTPAAPSPYLIQVPSGRPSVTYIDAPPPLTYWPSVPGN >PAN21653 pep chromosome:PHallii_v3.1:3:62321024:62324627:1 gene:PAHAL_3G491000 transcript:PAN21653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGASAAAKNEAYVRADKIDLESLDLQLEKQLAKTWEKHKGKSIQGPREDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAKLRASFKQEVAVWHELNHPNVTKFIGASMGTTDLKIPANSSSSGVRTELPPRACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQIALDLARGLSYLHSRKIVHRDVKTENMLLDMQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLEGKPYDRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSAMANIMRKCWDANPDKRPDMDEVVRLLEALDTSKGGGMIPEGQAGGCLCFFRARGP >PAN21654 pep chromosome:PHallii_v3.1:3:62321045:62324519:1 gene:PAHAL_3G491000 transcript:PAN21654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGASAAAKNEAYVRADKIDLESLDLQLEKQLAKTWEKHKGKSIQGPREDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAKLRASFKQEVAVWHELNHPNVTKFIGASMGTTDLKIPANSSSSGVRTELPPRACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQIALDLARGLSYLHSRKIVHRDVKTENMLLDMQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLEGKPYDRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSAMANIMRKCWDANPDKRPDMDEVVRLLEALDTSKGGGMIPEGQAGGCLCFFRARGP >PAN16599 pep chromosome:PHallii_v3.1:3:4492060:4493367:-1 gene:PAHAL_3G070700 transcript:PAN16599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAPELPPQYYAAARSGHRSSPAASCVVALLFLLLAAGGGAAALFLLYRPRAPAIAVTAVQLPAFAAANGTVAFTFQQLASVRNPNRAPLAHYDSSLRVACAGGEVGSMYIPAGQIDGGRTQYMATSFNVPAFAVAGPAASSSVAQPATISVPASGPSPHVTASLVEQQPPVMEVDSLLVVRGKVTVLKVFTHHVEAAKVCRISVSPADGRVLGFRC >PAN16979 pep chromosome:PHallii_v3.1:3:6331153:6337778:-1 gene:PAHAL_3G099900 transcript:PAN16979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRNCPPSPSPRSTEKSGRELRSGEANGSANTNTNTIPKGDKEKGVNVQVILRCRPLSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSRQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKVKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKTKKPIALMEDGKGFVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEINQRMMKSAMIKDLYYEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELGEKLGKTQKDLEDTKSALHDLEEKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLRAELENAAADVSGLFSKIEHKDKIEDGNRSLVQRFRAQLTHQLDALHKTVSNSVMQQEDHLKEMEHDMQSFVSSKDEAAQGLRERVQKLKVLHGSGITALDGLAGEIDMNSRTTFERLNSQVQSRTSALEKCFGGIASEADNLLNELQCSLAKQEERLAYFANKQREGHLRAVEASRSISKITAGFFHSLDVHASKLTNILEDTQSVQDQQLVDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVSSLRESAVNRTSHLQKEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGIEANQLLRSKLSSAVSSTLEDIDVANKALLSSIDSSLKLDHDACANIGAILTPCHGEMRELKGEHHHKVVEISENAGKCLEEEYLVDEPSCSTPRKRQIDLPSVESIEELRTPDYDELLKSFRESRGTWKQANGGSRHLPEAALEPLIGRN >PAN16660 pep chromosome:PHallii_v3.1:3:4946995:4950175:1 gene:PAHAL_3G075300 transcript:PAN16660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQLLLLPAPSRAFSKPLPSQSTTLASLSLRQHISVSAAARRGLLRCGMKRSGFVAELEIAKDKQPQSRRANGIFWILLLNFGIYVADHMFQIREIKALYLYHALPTWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTITGQSFQVNNIAHVSGALIGAALVFLVSRIPFSSNDDSPKTTKESK >PVH62406 pep chromosome:PHallii_v3.1:3:19317857:19318012:-1 gene:PAHAL_3G285900 transcript:PVH62406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVNNKSGGLKMERVLMKHRFQVPIFWVSAPQMFSYSSFSLGVTLFVSVM >PVH62734 pep chromosome:PHallii_v3.1:3:44310877:44311632:1 gene:PAHAL_3G382900 transcript:PVH62734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIKVDVVGYHLVYTIETAALEAIHIFCNQHPMEVAGHPIGLFPAIDSSDPEWNFRIAHYDHILGDLAEETLRGTIRFMNVQHHYQILLRRGMGQLTGIAQDHYRNVDRQVTQIVELQALVTEKEEIIAEREETIIHREDQINESDAIITQHDTIIEFLQEQVHDLILEVDDAHAHIDEHQQQPVPPAIPVAPEGEEEDPEEIEGVSDLDSEHGNLEPNPQPDHSSSGSQSSVGDLDDF >PVH62076 pep chromosome:PHallii_v3.1:3:13064248:13066153:1 gene:PAHAL_3G199500 transcript:PVH62076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLMVKSEIESYAGPAPAAAINAPEASQVVVRRRRREPALLAPISGGANGSGIGKPLPSITVKRSSRFRGVSRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYAKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSEYINTTSTFVSNTTMTKFHIQITSPSLVESVPGTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPSPSAPVAFNPEALLMQATPAEQLHPAETQMLPRGNPFLLDHNAPPPPPPPPPGSSGGQEASLMSPGGVRRRGSPTALSLLLKSSMFRQLVEKNSDAEEAGLGIREAAAHPEAYEYHNFFQGEAPDMCDLFSSGSGHAQEGGFQGEIACYDDAERLDNWSGFGNMSSLQ >PAN16953 pep chromosome:PHallii_v3.1:3:6195218:6198859:1 gene:PAHAL_3G097900 transcript:PAN16953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPSGKKRPQAATTFILLCILSSFCICKAQFKPADSYLVDCGSSKSTTVGQRTFTSDGASPVKVSTSQEILAGTSANGVASFDNSALYQTARIFTSPSSYTFPIQKQGRHFVRLYFFPFTYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDTLVISFKPSNGIAFINAIEVVSVPDDLIIDTAQMVNPVQQYSGLSTQPLETVYRVNMGGPKVTPDNDTLSRAWVTDQKYLLNPTVTKQVVYGKDVNYKKGGATQLTAPDIVYGTAAELAASNTSNALFNMTWQFDVDAGFSYLIRFHFCDIVSKALNQLYFNAYVGGFSAQNNLDLSVMSDNQLATAIYIDVVLSSNDASSKLGISIGPSTLNNVLPDGILNGLEVMKISTGGSAFTVGSGGGNKNLVVILGAVLGGVGLLIIVVVLVLLCRKKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDETKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRISGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIVELPSQVQNVGALESISVTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGR >PVH61627 pep chromosome:PHallii_v3.1:3:5317271:5318066:1 gene:PAHAL_3G080800 transcript:PVH61627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGYCAQELERVAANHLLPPEIIAEIGIVVDTTVNSAAACRSHAVIEGLAAHLASILGLAGMGTQHRPPPANATPHNQHADLKTNGGMMAHVAPGSGKNDDMVLCPGTVKMFATTPVVAAPPASSADLLPERRHQNGRGTGVFMPLAGAYRARPFKSRSSKGVKPPRLMRKEAAECRCSRVASLH >PVH61816 pep chromosome:PHallii_v3.1:3:8316668:8317332:-1 gene:PAHAL_3G128600 transcript:PVH61816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSAAKQQVQGPGDGRPLLQRPDPPHPPRRRAAPAAAAPQGRRGARSRRGGGGGGGGGGIDTVAAPCAGRRGPCPRTHALEEFASSSASGVGAGERARCRRGAGGRGDPRHFALVLVLIHRVLRVVAVVLVLHVVTRDRAAAALPCSWSSALLSPAGLGRREVRDDGWTPAVIRNGGSRE >PAN15815 pep chromosome:PHallii_v3.1:3:958579:959954:-1 gene:PAHAL_3G017500 transcript:PAN15815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPVYRRVLKAVQKHVGGGASKQHFRDFVAAEFRAPAGTEADARARLRLAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >PAN21920 pep chromosome:PHallii_v3.1:3:63006761:63010209:1 gene:PAHAL_3G500000 transcript:PAN21920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASSTLHGVGAVASPKPRSAAPAAARRSARVAAVAAKGGAGPARGRLVARNAVAAKADEAAAAAGSKSGGHEVLMFEALREALIEEMNLDPTVCVMGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >PVH62737 pep chromosome:PHallii_v3.1:3:44611797:44612411:1 gene:PAHAL_3G383700 transcript:PVH62737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSGTLCKHHNAASVASRQRCRVCTVVSIAALLLLAVVAAVLALRPRPAVATLEALRLASISVSPIGPSMNATVDVNLAIDNPSPVAAFAHVAGHAEVYYHGALAAYAELPPGRVGAGGTEAMTVRFTVLADRLAARTPQLYGDIIGAGDVPLTVRTAMPGTATVLGFLRHRVVVITVCDVAVSVRAQGGQTSSCRYRTKL >PAN19191 pep chromosome:PHallii_v3.1:3:16709420:16716499:1 gene:PAHAL_3G255400 transcript:PAN19191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADRTRSFMKDVKRVIIKVGTAVVTRHDGRLALGRLGALCEQVKELNTLGYEVIMVTSGAVGVGRQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENPNFRERLRETVESLLDLKVVPVFNENDAISTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYSGPPSEPQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAFVASNSGTPVVITSGFASQSIVRVLQGEKIGTLFHKDASLWEPSNDVSAREMAVAARECSRRLQNLSSDERKKILLDVADALEANEDLIRAENEADVLAAQDAGYEKSLVARLTLKPGKIASLAKSIRTLANMEDPINQILKRTEVAENLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITDALPDNVGKRLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSADMDIAKRIVMDAKIDYPAACNAMETLLVHKDLIKSASLDDILISLKTEGVSIYGGPVAHEVLSIPKADSFHHEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDSKVAETFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWIMRGSGQVVNGDKDVAYTHKNLSLQ >PAN19192 pep chromosome:PHallii_v3.1:3:16710435:16715968:1 gene:PAHAL_3G255400 transcript:PAN19192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVASSWAFVACSPQSWQLLVGTAVVTRHDGRLALGRLGALCEQVKELNTLGYEVIMVTSGAVGVGRQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENPNFRERLRETVESLLDLKVVPVFNENDAISTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYSGPPSEPQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAFVASNSGTPVVITSGFASQSIVRVLQGEKIGTLFHKDASLWEPSNDVSAREMAVAARECSRRLQNLSSDERKKILLDVADALEANEDLIRAENEADVLAAQDAGYEKSLVARLTLKPGKIASLAKSIRTLANMEDPINQILKRTEVAENLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITDALPDNVGKRLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSADMDIAKRIVMDAKIDYPAACNAMETLLVHKDLIKSASLDDILISLKTEGVSIYGGPVAHEVLSIPKADSFHHEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDSKVAETFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWIMRGSGQVVNGDKDVAYTHKNLSLQ >PAN17182 pep chromosome:PHallii_v3.1:3:7108542:7109891:-1 gene:PAHAL_3G111400 transcript:PAN17182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAGKVKIQWIMEKGVRQASFRKRRGTLFDKARDLSILCQIPAAVIVYGGGEAEPQVWPGVQEVTEILRRYRDLPDSLKEARRLDNEGFVRRRTQKMKRKLDNCRASASRLEVNLILNDISLGRPREFADLPRELTGAVVSALDALRSVTADRVNFLRSEAAQAAALPQQLLEEAVAAALMALQEPPMVPPAVAMAPPMVANAPLLLPALEPEPPMVPPLAMAPVVADAPLLMPVPEPEPPMVPPLAMAPVLADASLLLPAPEPEQPMVPPLAMAPVLADVPLDLLLLLLLLPEPEPEPVPPQSELVVALAPPPQEPPMVADAPLLLPAPEPEPPMMLLDLLLLPEPEPELVPPQSDELVVAPAPEPQEPPMVADASLLLPAAGPEPPATAAGAVVDEPLNLYAEPRDGSFLLEMADAIMDDGSGRQATAEDVDRLLREYGLESFKPM >PAN19029 pep chromosome:PHallii_v3.1:3:15847908:15848596:-1 gene:PAHAL_3G244300 transcript:PAN19029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMATSGKKAMASGLLLCLLLLHSGMGVVGSEDDCWVNNNADYPICFHQPKCRGHCQDRGKADGRCNNKFPNLVPICECLLPNCKR >PAN20811 pep chromosome:PHallii_v3.1:3:53243747:53246548:1 gene:PAHAL_3G412200 transcript:PAN20811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVSWRQTTMFWREKKRSPYSIEGKLPNQIQGEFSVSGVPGKMEPPEGTGGGAAKKMMLSPAPQIAALGDDLLGEILLRLPDMASLASAALACKRWHRVASDPAVFRRFDALRRPPLVGFLLTDRGDMPPTYRSPNLFFVHATRNPNLAAAAADGDFFFEDLPAVDSDDEEEGYDWDEWRLRGCDGGRRLLSRRHDGLDLAVYDPISRTAVFLRPDGVFRKCTHVVRYAIVVDEADGSFLVIGFGFSYMTAVFSSRTGKWVSINMERVKMGKSDVEQEQDEEDEYVYLSFGSDGIAAGRFAYWRSDTKKRRHFNPVESILVLDTATMEWSVITAPFPAGESYCVADMPEHGGLCLFSSKEQCLQLWVRNSIGGWVLKKDFSLLNDWMKKIRRAEWMKRVRVLAARAGYVYMEFWSIRKANSYFLVLNMRTMKMSVFPNNPEDPHRGRAFPFFMRLEPLLGPDEDQNVHLDV >PAN18801 pep chromosome:PHallii_v3.1:3:14765331:14766462:1 gene:PAHAL_3G227000 transcript:PAN18801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLACCALDMALPSRGPSVLLQLLLAGLALAAAFSCADTARAPAAHEEGKATAPEVSDALPVELPHVDVIDKFGGGHGGGGGGGGHAGGGGGGHASGEGGRAHSSGAGRGGAGPGAGARAAAAGAATGNRRRSAAAGASERGAWKAAGAGAALAAALIAVCR >PVH61662 pep chromosome:PHallii_v3.1:3:5739441:5741267:1 gene:PAHAL_3G088800 transcript:PVH61662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAIKGLFISCDIPMAQFIVNLNASMPASERFIVHMLDPTHMFVQPHVAEMIRSKIGEFRDQNSYEKPQ >PAN15672 pep chromosome:PHallii_v3.1:3:600789:604350:-1 gene:PAHAL_3G010700 transcript:PAN15672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPALTASTSDSLLVPSNGFLLNLSDGLHSTYVQLPPADADALLLAARPHLVGHLVHLDRLRFARPVPRAVGLRVVPSSRALPCVGTPEPLVARPAACARGYVIQPAASPSDAAPPLMPSSGSETSDAVKRTVLGPKNAVAEPAPPPAGSAVKRRFSSPAPSKQRDPSPSVKAASRAPSPSMKGASRASSPAVRGTPRATSPAPSKCAVPSLVAAKEENRRAAREPAIVVPSRYRQPSPAGGRRGAASPAVGGRRTSLSPSSRRLSGEGTAKKKVGVLVAGISKMTDLGNGSAMKPGRKSWDDPTMFLAAAAAGSVMKSRAKVHKDTILRTQEAMSRRLSDATTEQSSNDDSSVDEWPKPRKKVDSASVKAKTIVPKIKLHDPKWTDGSIPWDAVSDKLSTIGREAIERRDAAATAAASALQEAILTESVVRNLSKFSEICSSSKTSNPLPTVDIFLAVYEDTLKWKTIAESMVTIEADEAFLEKSSHDWVHAALATDLEVLKLLNGATESISRMKSTNRPKAPSVEPPRTSLSRKQSLGASAKAQPKVSPSSTWNNTESMYETVELSKILWREVHMWFLKFVDEALDVGFHLFEDQNVASKGKHSSHITVVLSQFKKISDWLDGVGKIAEEEKTKEKIECLKRKIYGFVISHMGSAFESSVSVSSRS >PAN19712 pep chromosome:PHallii_v3.1:3:19967909:19975661:-1 gene:PAHAL_3G293000 transcript:PAN19712 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDKD [Source:Projected from Arabidopsis thaliana (AT1G66750) UniProtKB/TrEMBL;Acc:A0A178WBE6] MASAASSGGGDDDSAGKLLVNRYLKGEIVGEGTYGIVNKAIDTKTGNIVAIKRIRIGEKKEGVNFTALREIKLLKELKDPNIIELIDCFPYKDSLHLVFEFMETDLEAVIKDKHIVLSPADTKSYAQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARMFGSPGRNFTHQVFARWYRAPELLFGSKHYGSAVDIWAAGCIFAELLTRRAFLQGSSDIDQLGKIFAALGTPKPSQWPDMAYLPDYVEYQYVAAPPLRTLLPMASDDALDLLSKMLTYDPKARITAQQALEHRYFSSLPAPTRPSQLPRPRRKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGLEVNMHRADKVDEHPSGMRHTDEMSSHSSRIPMSVDVGAVFGTRPAPRPTLNSAEKSHLKRKLDMDPEFGYAE >PVH61653 pep chromosome:PHallii_v3.1:3:5662319:5662962:-1 gene:PAHAL_3G087700 transcript:PVH61653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPVFLAMWNPLSLLLTLLCSSLLGETVHLGSVLGGILLVGGLYSVLWGKRKEEIQLVMPQDHHEEQSSKEKQLDAKECEVKEPASLDQQV >PAN20365 pep chromosome:PHallii_v3.1:3:38099015:38100873:-1 gene:PAHAL_3G365600 transcript:PAN20365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAVEASGHQPPLRVVSRRLVKASDPSIQPHTAAVSNIDLYNGGTGQFRIACLYPDELPKAGRSFDDVVAAFVSGLPSLLNHYYPLCGRIAADPTSGLPELHCHNQGADLVVAEVDAALGSLDFGEAETLKKIALPFPDDVGLSVHLLSFACGRFAVVWGINHLLGDGSAITQLIRKWSELSRSGTIAGTGEPSHDRSVFRPRDRPSYGARVDKLFTTFDENRMVNVLTAHDSFVERLYYVEAGDLDRLREASSAKGGRRASRVQALSAYLWKALAGVVAASRVPEERCRLAWWVDARQRLTAPELAAVMPNYFGNATTYTAADATVEEVQRKPLADVAAMVREAITAIDYDEYVQEISDWVEEHKEEIFVESPLIGLGAPTLSQTVFASFPLDTDFGFGQAVLAMPVFRYTRLSSGFMAISARPAGGDESWFVSACVWPRLAAALESDEQHIFKPLTADYLGLV >PVH62077 pep chromosome:PHallii_v3.1:3:13090996:13094472:1 gene:PAHAL_3G200000 transcript:PVH62077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPGCPRTPRMAGHQDGQTMAAPSRLRRQNSEILRAQYIDVRELRICAGTWNVGTKCPPSDLDIHEWLDIDEPADIYVIGFQEIISLGVGYMIGTEDNRPVAVWEHIIHETLNKMCPDKSEFKCHSDSPPPARFIPPDCVIAMDSELLSESNNDSDEELHLLDSSKSSTSARCLQPLDLACDVNIDNRVKRKRPQYVQLISRQMVGLFLSVWVRRSLQKHIQNLRVSIVDGSISVSMSIHDTHFCFVCCHLTSGEKTGDELKRNANAEKILRKTVFNPVGRVGVPQRIHDHERIILLGDLNYRLNLSYEMTHKLISKQDWDGLFEKDQLKRELGKGCTFDGWIEGSISFAPTYKYEFNSKKYVSDASKSGRRTPAWCDRILSYGKGTRLLSYKRAELTLSDHRPVTAFYISEVEVLVHRKFQRALKFTNAEVEDNLLLGKEAILKPLSCETGNAMTNWI >PAN21408 pep chromosome:PHallii_v3.1:3:60021018:60026157:-1 gene:PAHAL_3G463200 transcript:PAN21408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEWDSGSEAGPTGDRPSAAASPVKGNAALPEESDAGASASGSSEAKVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKTLSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAMEAAKQCKSVLDAVESIFQCGIPGVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDECCTRIQKRFAVFLLYGGVEVSPPSLGSQTEGSFVPRNNLEEAILLLMILLKKWFLRKTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTERWYSLALCYFAASHNEVALNLLKKSLNKNESPNDIMALLLAAKICSSSYPLASEGVDYARRAVKDAESSDGHLKSVALHFLGSCLAKKSRVASSDHQRSLLQTEALKSLNEAISLDRCNPELIFDMGIEYAEQRNLHAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIRAKLKIAQSLPMEAVEAYRTLLALVQAQRKAYGSIKNGAEEDEDKVSEFEVWQGLANLYSSLSYWRDAEICLQKAKALKTYSATTDHAEGNLHEVRENIQDALAAYFNALSMEVEHVPSKVSIGALLAKKGPKYLPVVRCFLSDALRLEPTNRMAWFYLGKVHKHDGRLADAADCFQAASMLEDSDPVESFRSL >PVH62619 pep chromosome:PHallii_v3.1:3:29299686:29299952:1 gene:PAHAL_3G340200 transcript:PVH62619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLQFSPSSPANYAPLPFFPATVQRARRAKISPRPPRRPSTLAPSPAPTPGGRHPSSPARIPAGRCSLAYPPAKFYEVTAASFPSRP >PAN20803 pep chromosome:PHallii_v3.1:3:53334313:53337949:1 gene:PAHAL_3G412600 transcript:PAN20803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELELTEIRDVLRCILHTIFFHRTLSLVRPKDVDCDFLEITYVQCGLPELEKEVDEKIDLFIAWVEKHPNRRIQVCLSFFDEKHKHPGWFVNKTERIYWEQWFINLHVMNPKGYSKSKSSKGLTKIGGNALEETSSRRAALESSINEVLFHIINFANEKKDHIPAIPDRIFNHEIMIPSSSDSVFGWNTDTFRRVLNSGHPYSL >PVH62880 pep chromosome:PHallii_v3.1:3:54951183:54951386:1 gene:PAHAL_3G421200 transcript:PVH62880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHLCKSGLIPNYERWYKHGKSREQEAYNPVDSFEENEDRMDAMMDDFVEHVENAAEIPEYFGLLA >PVH62823 pep chromosome:PHallii_v3.1:3:52762892:52764775:1 gene:PAHAL_3G409200 transcript:PVH62823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPSSSSRLTAAFAPQRSPTSFTRGSITRPVRVRAESSRRGIASPELRSCVVPLPDVEYGHLHYTTQPSLCARLASVPVPPPAARNRSPEPAPTRTAALRTPRQAELPGPTACARLLSRARAAAVAHPGPSRSRAAYACAEPPARLHTPLAALGHHRPACRSRAHTCGRTEPRLLGLRLLAPRAPVCPRAAPGPAARSPVLPLASRDARCRAIPAPVRPAEPGRRRACAGSLPRGPIRAVRRSILASHAPAMRPRTPGRRSASATPCLSARPSHRSLHQPRAPRTAAARFGFRSPRAWAARSARVGRSPPGLAPLRAPPSRWSPHAPPPGARHRLGLPLARAARPRLGRPPPRPGAAPAPGPLARLRRPLSSAELRAPGAWTCAAGTWAEGERERSRRERTGRWIRVEQRKMCCR >PAN21971 pep chromosome:PHallii_v3.1:3:63347463:63353297:1 gene:PAHAL_3G503700 transcript:PAN21971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKGFPLLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVLDLDAHKLAAFSAAYTELESALSGLNVLVETYFADVPAESFKTLTSLSSVTAYGFDLVRGTQTVELIKSGFPAGKYLFAGVVDGRNIWADDLAASLSTLQALEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVDALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQRKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVSAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCEIKDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >PVH62650 pep chromosome:PHallii_v3.1:3:36499439:36501445:-1 gene:PAHAL_3G358900 transcript:PVH62650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRINGNFIDKTSSIVANILLQIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >PAN15849 pep chromosome:PHallii_v3.1:3:857901:859735:-1 gene:PAHAL_3G015500 transcript:PAN15849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASTTMLAATTLPRLLPGSSYPYASLYLQPRGSSYCGNGCGARNADLRCRRRLLTARGERPPPDDEDEQDQQDPSSAPAGGFDAAVALFNRGEYHACHDVVEELWYGAEDPARTLLHGVLQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLNLDDDDPFSRFREEVADVLQFLYRTQKELAACTDYLCLTMDGSPSSYQLLGNFAAGQQLYRFEADDTDNGASSIIFSVSDDRTAQEAHHRVKLPTLHATEQNLTDLQCAYQHI >PAN15756 pep chromosome:PHallii_v3.1:3:858386:859746:-1 gene:PAHAL_3G015500 transcript:PAN15756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASTTMLAATTLPRLLPGSSYPYASLYLQPRGSSYCGNGCGARNADLRCRRRLLTARGERPPPDDEDEQDQQDPSSAPAGGFDAAVALFNRGEYHACHDVVEELWYGAEDPARTLLHGVLQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLNLDDDDPFSRFREEVADVLQFLYRTQKELAACTDYLCLTMDGSPSSYQLLGNFAAGQQLYRFEADDTDNGASSIIFSVSDDRTAQEAHHRVKLPTLHATEQNLTDLQCAYQHI >PAN16651 pep chromosome:PHallii_v3.1:3:4915228:4924154:-1 gene:PAHAL_3G074900 transcript:PAN16651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEGQMTSKGTEASAPAVPPDEGVRREPQKGRLPNGRTTGPARRSSKGNWTAEQDDILRKAVETYKGKNWKKIAESFPGRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIEMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKDAWTQEEEIKLIHAHQTYGNKWAELTKFLPGRTDNAIKNHWHSSVKKKIDSYRASGLLAQFQGLAPVEYTTGGLSVDSSSAMANQISEDSCLNVFREVEDSTEFSQSSFAKGSCSQEEQTDVALGSHLNVHESLCQDGFTNADNAASALPEMHHQLSTSDMDQDKHFQDELSQGMDLDKHLQLQQEFSQGMDLHLDIDEVPNNFVITDSQASNELTGQFQDTQIMHSSENDGVSLIPYAVTPCVSILPSVPGCENNINMMCEVGIKNEDHFQSEQWQNISIQPGSYSSEAASNFSVPLYPLQTSEPATMMGDPLYYQSSVTSLPPSFISSDGASNASDVKFEMSRFPVSHQDLEIKTCHNSSGDPDQSSYINSEGDRNRTSEPMDSIPDSEKKQLVDLEQSCLEPTAYIGKEALSSHGDSVLSKKEDAGALCYEPPCFASFEVPFVSCELVTSSDLPEYSPLGIRELMRSSLNFPTPVRLWGSPTRDGSPDAVLKNAAKSFVCTPSIMKKRPRDLSSPSPDVRNEKKLNTEKDCGRSGMYSTRIGKSCMDTPDDFVDLVSPTRKTAFQKKLKLSQENKENVNQNTDQGENEGNAKHSAGILTDSSVDNLNTPKHGPNYESQRLNTSAKAWSNSKDIIFSRSKPSELLVEKSIPCIDADHEYVNILADTPGIKRGLESPSAWKSPLFTPFQDAYFMSPASRAFDALGLVKQINEQSAAALEEAHEVLASGSPWKRHCKENSDKENTAWKNEVGTSKPPSKLMAEGRVLDFNECSTPVRKKEDKKMDLALGGSASSPVASSYLRMNVR >PAN16732 pep chromosome:PHallii_v3.1:3:5349282:5351427:1 gene:PAHAL_3G081300 transcript:PAN16732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIALAAGEARAGRGGERQVAPDGEVVAHVVVDVADGSAASDRERQWPGCRICHLADGDGELPQQLSGRLVRLGPGCRGELAAAHRRCAEAWFSVRGNRLQALRDLWRERGEHHRRRGQGVHTAVAQHGGGHGRQRPVQGVRWLLQEPVVLQPASPVARHRVPPVVLP >PAN18323 pep chromosome:PHallii_v3.1:3:12727211:12727925:-1 gene:PAHAL_3G194500 transcript:PAN18323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRPSPSTPLLAVVLLLLAPLLLARELGADACGSYEQHVRSPLTLPATASDGDGAVVPPELGALLPGVSRPGGRAPPAPKPSYGPQPLREPSSPHGLGSPRGVSGRQGLAPPSPEGKAPPHYRRSGPAAADVLDALRVFRDALVRYVVGALVR >PAN19936 pep chromosome:PHallii_v3.1:3:21760601:21762001:-1 gene:PAHAL_3G308500 transcript:PAN19936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKRARGAEKAAVRPVTPADRSCDADADADSDATPSPARAVRKTPAPTAAAPPPPPVDDDDEPVAAVEDSGAGASVEEEEDDDEQVERFYALLANIRALRGLVPPPYAAAASTSCGGGDAGAGGASSRMRRPRGAEPPWRPAFRMEDFEEPAAPPPSTKRKRAACAADDDSGESASGAARPVLVTASSPPQAAVRPDSGSYKN >PAN17828 pep chromosome:PHallii_v3.1:3:10182681:10186455:1 gene:PAHAL_3G158400 transcript:PAN17828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGDPSGLVGRGVCMMSTSWRDKQHPNLINFMATFLAANSYRLNFLSVSPDFIFNNGGTSVAFVFETNWDSEKEPAVFSRVNTLKRQFKHLYVVVVLPTGEQIESFNQSYFKYGMVLGCPTFVPVCDPEMGFEKIVKIAHARGVCKQQDIITTMRNERAQAVQCMDAFLRVLTSIPGIDSHDANALAQAIGSIEAIAKASKEFILENTDLSTEKAQRIFRFFRDPQYYLSPKIN >PVH62833 pep chromosome:PHallii_v3.1:3:53427793:53442643:-1 gene:PAHAL_3G413100 transcript:PVH62833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSRPPPPFAPQNPTPEPGPLAGPFSNMQISRSGAAPPPIAGGPPGSGPAPPSAIRAPQGPTPTGARPFPGSPPPPSQPPPPFARPGAPLQQQQSPPPFGGPPPALASQPQRPAFGGPPSGPPPQVQRAPLGGPSPGVSQQPPPFGGPPPGVSQQPPQFGGPPSGVSQQPPPFAGPPAAAASRPAPFSGPPGVASQPPQPAAVPQRSPFGGPPAAVSAQPPPIGGGSFTAAPPGSVPQTAPTGGLRPPFGGPPAPSQQVQFGVAPPFGRPSAVRPGSQPPPFAASQAPPFMGPTGGNAPTFAPPAWQGQARPGAVSGGMQPSMRMPGMPGAMPPSALGQGMSPASTPTMPYSPHAQVSTPSKIDPHQIPRPIPETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCNPRLMRCTMNQIPCTGDLLTTSGMPLALLVQPFALPHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMRFSDQGKHFICNLCGFSNDTPREYFCNLGPDGRRRDADERPELCRGSVEFVATKEFLVRDPMPAVYFFLIDVSMNAVHTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPISECRENLEQLLESIPIMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSVLPSLGIGSLSAREAEARSNISTGDKEAHKLLQPVDKTLRTMALEFAEYQVCVDVFLSTQSYVDIASISVVPNTTGGRVYYYYPFSALSDPAKLYNDLRWNISRPQGFEAVIRVRCSQGLQVQDYFGNFCKRVPTDIDLPAVDSDKAIMVTFKHDDKLQENSECAFQCALLYTTVFGQRRIRVINLSLSCTNMLSNLFRYADLETQFTYVVKQAAKGIPSLPLSQVRDQVTSTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALTKSVGLRNDGRLDDRSYWASIVSSISVLLAIPLVFPRMIALHDLTSREDDDSLIPSSLTLNSENIHDDGVYLLENGEDGFIYIGNSVNPVTLEQIFGVSSLAGVPNQLVLEPFDNELSRKVNEVVNEIRRQRCSYLRLRLCKKGDPSGDFFRSLLVEDKAPGSLSYVEFLVHVHRQIQSKMT >PAN21280 pep chromosome:PHallii_v3.1:3:59107020:59112968:-1 gene:PAHAL_3G455000 transcript:PAN21280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADEMDIDLDGAGAGATDDIDAPFSASPASASGSLPAVLSELAALHRRASSSSSSSYSSASPSLSLPSITYLSSAPAAVASLFPRLSAAGIPASSVLPPLEASLSAHPLPAAVAYLRLLLAPASPLLTLFSPLPFLSLLLAIRKAASSSAGAANPSSGSGGGRGNPRKRKNQRHQPAAAPQAAPSLLPRALSLLADAAGRLPLRAHPDARRSLVDTAAELAAFDVLAAVLGSDYHAEAVQDVVRALAPVVLSGTKSTARVAAVQFLVTKLVPLGAEEGEDVVRKAMEYLPRYLSVKAPDKAEARALAVEAIVEVVRALGSEEREGFAGYVVAMSKGKAKGRLLAVDLVLAMLPVLLPSDRDDWDLEEGSWGLKFLQVLVERCSDSVGGVRARALTNAAQALDVLSERGVEVDRLQEVMRTGDMGLGELLRRRCTDDKAAVRKAALVLITKAISLIGRPVDESLLCAMGAACSDPLVSIRKAALAAISEVFRKFPDEKVMKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICQSANLNPDDDSIKLEDVFPEGTLDLLKSICDGEIAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRSRKPIETWTAPIGSWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVRQDDRGKACSQVEPNCALWAVNRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKILCKRKAKTAKEGDALILKWAQQLIRSAVDILDQYIKETSESARGHSFVTPVSSKSRGKKQTPASKSTSDAVIAVFTVGSLILACPTANVKDITPLLHTIITSGNSELRPKNLVGGTISFKELAPSLYIQSWDTLAKICLVDDKVAKRYIPIFVQELERSDMATLRNNIMIAMADFYVRYTALVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVLFLRFLPCLVDESEKIRHLADYLFGNILKAKAPLLAYNSFIEAIYVLNDCTGHGAYSESQGSSDRRPALFAVRGTDERSRSKRMHIYASLLKQMAPEHLLATSAKLCAEILAAVCDGLLSVDDAGGRAVLQDALQILACKEMRIHPNICTDNSEMDEEGGEGGGTASALLAAKGRAVTQVAKKNLIQIAVPIFIELKRLLESKNSPLTGCLMECLRALLKDYKNEIEEILVADKQLQRELLYDMQKYEAGKGKGKAAAEFETGPSGTARSPARQTPAGAAAAKVDASARATVRSVLKEVNRNTPTPPLHSMSVPKVKSILGTAGPGSRRPGVLESVRRLQPFESDDEN >PAN16561 pep chromosome:PHallii_v3.1:3:4403156:4407098:1 gene:PAHAL_3G068900 transcript:PAN16561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNYKPKNILITGAAGFIASHVAIRITKKYPDYKIVVLDKLDYCSNLKNLLPVSSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKITGQIKRFIHVSTDEVYGETDEDAVVGNKEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKLILLAMRGEPLPIHGDGTNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVIDVAKDVCNLFNLEADKVIMFVENRPFNDQRYFLDDEKLKSLGWAERTPWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGYEGSEEIKGILSQFNNIKTKVASTSDGAPETHAFKFLIYGRTGWIGGLLGKICEKQGITYEYGKGRLQDRSSLILDIQTIKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVVGTLTLADVCREHGLLMMNYATGCIFEYDAHHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFVTKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIYNFTNPGVVSHNEVLEMYKQYIDPSFEWTNFTLEEQAKVIIAPRSNNEMDASKLKKEFPELLSIKDSLIKYVFEPNRKVPVK >PAN16560 pep chromosome:PHallii_v3.1:3:4403156:4407098:1 gene:PAHAL_3G068900 transcript:PAN16560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNYKPKNILITGAAGFIASHVAIRITKKYPDYKIVVLDKLDYCSNLKNLLPVSSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKITGQIKRFIHVSTDEVYGETDEDAVVGNKEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKLILLAMRGEPLPIHGDGTNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVIDVAKDVCNLFNLEADKVIMFVENRPFNDQRYFLDDEKLKSLGWAERTPWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGYEGSEEIKGILSQFNNIKTKVASTSDGAPETHAFKFLIYGRTGWIGGLLGKICEKQGITYEYGKGRLQDRSSLILDIQTIKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVVGTLTLADVCREHGLLMMNYATGCIFEYDAHHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFVTKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIYNFTNPGVVSHNEVLEMYKQYIDPSFEWTNFTLEEQAKVIIAPRSNNEMDASKLKKEFPELLSIKDSLIKYVFEPNRKVPVK >PAN20625 pep chromosome:PHallii_v3.1:3:28110892:28117365:1 gene:PAHAL_3G336400 transcript:PAN20625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGSQEPLPRRSHGVDHESLQQPQSDPKRKGGWITFPFLGVAMMGLGVATSGALSNLVVYLIKKYNVPSVDAAQISNIIAGCISLAPVAGAIVADAFFGCYPVVAVSMAFSVLSLVVFTLTASLHGLHPVPCQPAAAGPCEPASAGQMAVLYAGVFLMCVSAAGSRFNQATMGADQFDSAGDRDVLFNWFFIFFYTSSVLGSTVIVYVQDTVSWTLGFGVSAAASVMGLAALLLGSRYYRRPAVRGSPFTGLARVAVAAARKRKVVVNVATSGELKFYHGRRRSGDGDDKAAGNSGTDPIAPSDSFSFLNRAALITDGDTIGADGSVARPWRVCTVQQVEDFKTVLRILPLWTATIFLSIALGVQINFTILQALVMDRTVARLTIPAGSMIVGCFIAVVVFLGLFDRILLPLWRRVTRHDPTPLQRIGAGHVITIVSMAASAVNERRRLATVHAHGEEGDPTWVSPLSAMWLLLPFALSGAGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIVALGFYLSTALIGIVRQATAWLPDNMNASKLENLYWLLAVLLAVNFGYYMLCARLYKYQNVGK >PAN17030 pep chromosome:PHallii_v3.1:3:6557673:6561848:-1 gene:PAHAL_3G102900 transcript:PAN17030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWLPAILRRAAPAGGAARLFSSSSLLFDETQEQFKESVHRFAQEAIAPHAAAIDASNRFPNGVDLWRLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGNPEQKQKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDLAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAGGPIGLMQACLDAVLPYVRQREQFGRPIGEFQFIQGKMADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAEKATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >PAN20319 pep chromosome:PHallii_v3.1:3:25911164:25914838:1 gene:PAHAL_3G327700 transcript:PAN20319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTARRKIQKDKGVEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAVQMDVPGNRKTVIIHVPYRLQKSYKKIHVRLIRELEKKFSGKDVVLVATRRIVRPPKKGSAVVRPRSRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGAKVMKVFLDPKERANTEYKLDTFSSIYRRLCGKEVVFDYPVAESA >PAN18228 pep chromosome:PHallii_v3.1:3:12292011:12299188:-1 gene:PAHAL_3G187300 transcript:PAN18228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRPPSVRAVTIPFADLEDKGKDLSGKIGGGFGRQGLGIVSIADVPGYPELRKRLLRLAPRVANLPEEVKRGLEDPNSRYNFGWSHGKQKFESGKFDTFKGSYFANPLFDVPTTDDVLLTRFPSYCRPNIWPTGDLPELETAFKDLGKLMLEVGLMLAHHCDHYVMNQGVGQYIGESLGQTLARSRCHKGRLLYYFPKKFSKQKDGQSISSWCGWHTDYASLTGLTCGLFVRKSVEIPCPDSGAGLHIRSRDNQVVKVTFEDDELAYMTGETAEILSRGHLHATPHCVKAPSSEDASLVDRSTFALFVQPNWDEKIKFPSGFPYHQELSIPPNETLAYGEYSERILNKHYEEART >PVH62570 pep chromosome:PHallii_v3.1:3:25622873:25623364:1 gene:PAHAL_3G326400 transcript:PVH62570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESETTREPTPEYDPIAAYEDRAPLHWDAVEWDFHYQSEDDESLTDGEDLALLLGAELEEEEDDTLWGEDLSLSEEEFDSISSEEDPMAGTFFFDRSSDDTSDGREGADDDDGFTSSSGGDDDGSRDGSSSSGTSIAPPSKRRKASDVYWW >PAN19438 pep chromosome:PHallii_v3.1:3:18264056:18265246:-1 gene:PAHAL_3G273500 transcript:PAN19438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secreted protein with a CLE domain, Maintenance of the floral meristem (FM) and the vegetative shoot apical meristem (SAM [Source: Projected from Oryza sativa (Os02g0324400)] MRRPDAAAATVVVLLWLAVLTVAFHGCGRRGCGGLLARRTGSVVAVVPARKMLLAATSSDDAAASSSTDQSHHHHHQHHQHHRHQHHHLHRWNRQGIPPPSAVGQGSKEIDPRYGVEKRLVPTGPNPLHH >PVH62789 pep chromosome:PHallii_v3.1:3:51085223:51086020:1 gene:PAHAL_3G403200 transcript:PVH62789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLGRNPLSDALHLNPGVVLNGQGPGRHPLRGVSYRDPGTMISEQGSGRRSLCDALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPIRFRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISSFKSQFWEDLDSMVSTVPISKKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PVH62448 pep chromosome:PHallii_v3.1:3:20187839:20188862:1 gene:PAHAL_3G295500 transcript:PVH62448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSLFSPRFSLSFPTPTVWPTLTRGGYRAPAGAEQMAELARGRAAAATRARSGSRSPVGGRSGGGAQPRQLPCAGPTRGGSRRGWSGEPAMEHARDGSGSLVGADGRRHTHAAAPTRPSGGGAAAEHAHGGSRSLAWAERTVELARGDGATAAHTHGGFRSLIGGRSDRRPRWG >PAN19906 pep chromosome:PHallii_v3.1:3:21493725:21498968:-1 gene:PAHAL_3G306400 transcript:PAN19906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLPCFGSSGEGAAKKGGARKDGSSDRRVTRVGSDKSKPQGGSDSKKDAVILRDGNNQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLENGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGHFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNTSTPRASRGGGSNDQRRLRSPNHHSPDLRRREATTGSKYEAEVSRTNSGSGSGRRSGLDDVDTAGLQLGSPGHAGRKRESPRTTERQLAVATAKTWGENSRDRN >PAN18763 pep chromosome:PHallii_v3.1:3:14565962:14566264:-1 gene:PAHAL_3G224100 transcript:PAN18763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRADHRAVVAAVLLVVAAAAAAAGVGAGTGGAARVPLPLAVRVHCTGLHVVRPGETCASVARAAGLTVGQFTLLNPNVTCAAMFHGQWVCVRGSAIG >PVH62358 pep chromosome:PHallii_v3.1:3:18279509:18283544:1 gene:PAHAL_3G273800 transcript:PVH62358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWEYASYNSYPSFPNTAFQNGTFPGYYYMKASSTPANTVYQEPCGYGNFATSSSYMGYNYEYNNPMYGVPLPPEGGRPVEDRGREPEPPAPPPPMPMQETSPWDFFNPFDSYEQELPQYKAKGYDSHGSFTSSPNSSEVRAREGIPELEEETEMESMRESVKARKAVESTASNRVNNVDVSAKVKVSMEHKEIEIESVDSVSVLESGEESVCSCDCDHANARTGGLVPPGDDQGKVKRVSSEDHSSIVVGEDVRPPENIGTRDVAEVVQEIKEQFNSVAACGEDVARILEVGRMRYRSRNKVLRLIFSRMMGTFALLFSSLSETPVKNLEQSAMNSSKRSQDSSKRFDFSSDVELNTLSATMDRLYVWEKRLHKEIMEEERLRITYDKEWRRLKELDATGAEPYKIDTSRASIRTLLTRINISIRSAKVISRRIHILRDDELHPHLVTLIQGLVRMWKFILECHRKQFHTILETKSHILIPKNGPERSSPKVTLELEMELLNWCSCFRNWILSQKAYIETLNGWLVKWLPQEKEETPDGIAPFSPGRLGAPAVFITANDWCQAMKRIPEGSVIDTMEAFAVNVHVLWERQDEELQQKLKADYLSRDFAKRLKSLQKEHGLQGQFEADKTVLPIADNGRAVDNRMVALDTLHKRLDEQRARHEETMKQIRQASAADLKSGLAPIFEALESFTQETLRGYENVRITVGSG >PAN18810 pep chromosome:PHallii_v3.1:3:14797802:14801259:-1 gene:PAHAL_3G227300 transcript:PAN18810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLACAGRAPLRRLVSTTSSPRVLSPHVPYAISSRGGGNLPPLLLPLYACSSPSRDGACGPASGARRGMSFCARAVDVGDETPSSSAAAGSDLSAPYLSVRIRCRKQDAEVLSEALLCFGASSVTVDDIADAGNLDEISITSIYADGEDVDSSVSSAASSAGLNYSAVYETSVGKQGDWVATVQETYESTEVADGLWVVPKWRSPPDPQATNIIINPGLAFGTGEHPTTKLCLLLLREVIKGGEHVLDYGTGTGVLGIAALKMGAALATGIDIDPEAITSASENLLLNGLRSNQMPVFLVPMNAQPSSFPNAVDKSEENKLINNPDLKSSRGTYDVVAANILLNPLLELVEDIVGYAKPGGVVAISGILEEQVPKVKDVYSTYLYSVSVSEMDGWACLQGTRRV >PAN18811 pep chromosome:PHallii_v3.1:3:14798776:14801150:-1 gene:PAHAL_3G227300 transcript:PAN18811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLACAGRAPLRRLVSTTSSPRVLSPHVPYAISSRGGGNLPPLLLPLYACSSPSRDGACGPASGARRGMSFCARAVDVGDETPSSSAAAGSDLSAPYLSVRIRCRKQDAEVLSEALLCFGASSVTVDDIADAGNLDEISITSIYADGEDVDSSVSSAASSAGLNYSAVYETSVGKQGDWVATVQETYESTEVADGLWVVPKWRSPPDPQATNIIINPGLAFGTGEHPTTKLCLLLLREVIKGGEHVLDYGTGTGVLGIAALKMGAALATGIDIDPEAITSASENLLLNGLRSNQMPVFLVPMNAQPSSFPNAVDKSEENKLINNPDLKSSRGTYDVVAANILLNPLLELVEDIVGYAKPGGVVAISGILEEQVPKVKDVYSTYLYSVSVSEMDGWACLQGTRRV >PAN18809 pep chromosome:PHallii_v3.1:3:14797802:14801260:-1 gene:PAHAL_3G227300 transcript:PAN18809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLACAGRAPLRRLVSTTSSPRVLSPHVPYAISSRGGGNLPPLLLPLYACSSPSRDGACGPASGARRGMSFCARAVDVGDETPSSSAAAGSDLSAPYLSVRIRCRKQDAEVLSEALLCFGASSVTVDDIADAGNLDEISITSIYADGEDVDSSVSSAASSAGLNYSAVYETSVGKQGDWVATVQETYESTEVADGLWVVPKWRSPPDPQATNIIINPGLAFGTGEHPTTKLCLLLLREVIKGGEHVLDYGTGTGVLGIAALKMGAALATGIDIDPEAITSASENLLLNGLRSNQMPVFLVPMNAQPSSFPNAVDKSEENKLINNPDLKSSRGTYDVVAANILLNPLLELVEDIVGYAKPGGVVAISGILEEQVPKVKDVYSTYLYSVSVSEMDGWACLQGTRRV >PAN18986 pep chromosome:PHallii_v3.1:3:15593133:15594566:-1 gene:PAHAL_3G240600 transcript:PAN18986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPSRRAPALPLVATALALALALAPRPAGAALSCSTVYNTLMPCLGYVQSGGAVPRACCSGIQSLLSEARTTPDRRAICTCLKNVAAGAAGGPYISRAAGLPGRCGVSLPYKLSPNINCNSIN >PVH61901 pep chromosome:PHallii_v3.1:3:9765794:9768905:1 gene:PAHAL_3G151500 transcript:PVH61901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLDGTEFQVVSSSEEQVELSFRSSYNASRPNSLRLNVDKRLVMLRGSSGFYCYAIFEHTPEYPALNISVARLAFKLNAAMFNYMAISDDIQRYMPSAADREPPRGVPLAYKEAVLLVDPVEPEFRGEVDDKYQYSMDNQDNTVHGWIGGGDGPAAAATGFWVVTPSNEFKNGGPLKRELTSHTGPTSLAVFLGPHYVGRDMVIQFEEGEYWKKVLGPVFIYLNSGDPNCKRDLWEDAKARARAEISEWPYSFPGSPDFAKACERGSVTGRLWVRDAAAKQQQPAAMAYVGLAAPDQPGSGSWARESKRYQFWTRATSDGLFSIGNVREGVYNLYAWVPGVLGDYMHASPVTVTPACAVDAGDLVFEPPRSGPTLWEIGVPDRSAAEFHIPDPDPRYASRLFLARDRYRQYGLWERYAALYPDSDLVFTVGESNHSRDWFFAHVTRKVAAGKDNTVEPTTWQIRFHLDGVVADGTYTLRIALAASHMASLQVRVNDGGAPPAPAASLMGDNNAIARHGIRGTEWSLDFRIEGRLLSQGDNTIHITQASALNQLVGVMYDYIRLEGPST >PVH61954 pep chromosome:PHallii_v3.1:3:10731669:10732403:1 gene:PAHAL_3G163100 transcript:PVH61954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCHAEPGLPKLLLLSLERVGVMETPEYAYREYVSGGTLRCDTMIFVERSPRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERSGRTWIARMRGLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIHGVEKLTQELEEQRTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN15734 pep chromosome:PHallii_v3.1:3:196400:202381:1 gene:PAHAL_3G003500 transcript:PAN15734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKSLWSQVVKSTRPTNLSISARNLQPQDLGAVIFGCTNNTIAECHTRQLFGLPRAHISYVRNIKEGLPLFLFNYDDHKLYGIYEAAGSGKFCPESNAWKNDGQGKTSFPAQVAMRVRVWCFPLAENQFRNAIVANYYQNTPSVPGQKLHFFQFELDHAQTRVLMDMFTPSPPPNNFWMPPVAAPADEHVRELVSSPVWAPKHEGKVKPEKFVKSYADMVKKNKFEEVGKGDVDEEHGSSDNESSNGFDDLDCGYTPTEREECALLDQAVEMKQQQHSDKKTKVLSFNQVLEGHAALPVQQWNPNLYANSTETEDNDAYSYKYAQEVKCAILDGHSNLPETLDVEANQLSVVVQLLDSESCTEAKLIDVVKELSGRIELMEKKQAWSNKEVKYLQGMNERLLKRIVELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGLSFLPSLDSFSPSLDILTPLKPMPIGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRSRDDWTTCPSLTHDKGSLAGVSVNGRIYAFGGGDGSQCFSDVEIFDPTHGKWIKNQPMLEKRFALAGVALNGVIYAVGGFNGAQYLSSAERLDPREPNWKMLPMMSAGRGCHTLAVLNEKIFSIGGYDTGAKAMVATVEVYEPRMPLWVMVEPMSYTRGYHSSAVLGGSIFTFGGVKGEGDTILDVVERYKEGCGWLTTDLRSIGRRCYCSAIVL >PAN15636 pep chromosome:PHallii_v3.1:3:196400:202381:1 gene:PAHAL_3G003500 transcript:PAN15636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKSLWSQVVKSTRPTNLSISARNLQPQDLGAVIFGCTNNTIAECHTRQLFGLPRAHISYVRNIKEGLPLFLFNYDDHKLYGIYEAAGSGKFCPESNAWKNDGQGKTSFPAQVAMRVRVWCFPLAENQFRNAIVANYYQNTPSVPGQKLHFFQFELDHAQTRVLMDMFTPSPPPNNFWMPPVAAPADEHVRELVSSPVWAPKHEGKVKPEKFVKSYADMVKKNKFEEVGKGDVDEEHGSSDNESSNGFDDLDCGYTPTEREECALLDQAVEMKQQQHSDKKTKVLSFNQVLEGHAALPVQQWNPNLYANSTETEDNDAYSYKYAQEVKCAILDGHSNLPETLDVEANQLSVVVQLLDSESCTEAKLIDVVKELSGRIELMEKKQAWSNKEVKYLQGMNERLLKRIVELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGLSFLPSLDSFSPSLDILTPLKPMPIGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRSRDDWTTCPSLTHDKGSLAGVSVNGRIYAFGGGDGSQCFSDVEIFDPTHGKWIKNQPMLEKRFALAGVALNGVIYAVGGFNGAQYLSSAERLDPREPNWKMLPMMSAGRGCHTLAVLNEKIFSIGGYDTGAKAMVATVEVYEPRMPLWVMVEPMSYTRGYHSSAVLGGSIFTFGGVKGEGDTILDVVERYKEGCGWLTTDLRSIGRRCYCSAIVL >PVH61423 pep chromosome:PHallii_v3.1:3:1972126:1972656:1 gene:PAHAL_3G033700 transcript:PVH61423 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 6, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG01080) UniProtKB/Swiss-Prot;Acc:Q95695] MDLPGPIHEILVLFGEFVLLLGGLGVVLLTNPIYSAFSLGLVLVCISLFYFLLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNYWTIGDGFTLLLCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISLILLVSLIGAITMARQ >PAN16171 pep chromosome:PHallii_v3.1:3:2661077:2664665:-1 gene:PAHAL_3G042000 transcript:PAN16171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKASNQPLRRSRRRLFPQRSPSRPLLPYQNPSILAAIQERPGPRLSGGWMEFTAMKRRELLDLCRQHGLATRGSKADLAASLAGAISGAAAAESVVEVVVGKGCLKRLGGSTSGGTSGAAKKVRFALDEESEERARRWRSQVILQPVVTKTRGRHKARKIHPAAAVSGRGCRWKRDDVGGDSADKDVIGEVGADAPLTWSTMPAVCLCAHSEAESQNNPAEAEKEGEVFEAAIDRKWKQKTHENAEVIAANAQAAGNRWRKTRGKPKAEEALPDTVVSADAPVTQSRKEAMDLCPESGVECQNNPVEAEEEGLVVGTAIDCRKQKRKRKAQENAKGIAANAQGGVSHGSTRKSSLSTAAVLLSAVVENKRRRKLGNDKDESGDEEQTAQVQDLATVVSPVDIENERSRRNSEDCVPAVQKSGRTTRSHSVAAAAILPIVNGNKVWKAEHVLPDGDLPANSEVPRNDAPVTRSLRNRIVQVYTTVVEETHVGEKLEDKRKRGRPSTRRHQQLVSCCKGRTSCCSL >PVH62815 pep chromosome:PHallii_v3.1:3:52387508:52389666:1 gene:PAHAL_3G407700 transcript:PVH62815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVVGMSFDNMDDVEKFYKAYAHDGGFEVRVVSRNLSLDGQITNKRFLCSRNGFNKSKDNETDEPSKKKKKRVVKRCGCDAHIYVKLGADKKYYISSMVEEHNHALASPSKTPFLHSNRSVSQRAKNILFTCHKASIGTSLAYRLLQVTDGGFNTIGCTKRDFQNYYRGLREKIKDADAQLFIAQLERKKEANSAFFNDFVVDEEGKLVYVFWADATSRKNYSHFGDLVSFDATYSTNQYGMKFTPFTGVNHHTQSVFFGAGFLLNEKIESYEWLFKTFLSAMGGKAPSLIVTDEDASMKVAIASTFPETVHRLCMWHILEKVHEKVGHARSNQEEFWPLLNACVWGSENEDEFETRWNAFIAKYALKRNEWMANRYAIRESWVPAYLKHIPLSGILRTTSRSESANSFFKRFIHRKLSLVEFWLRFDTALECQRQEELKEDHVSLHTTPQWITPWPMEKQGSILYTRNVFKRFQTEVIAARDRCSVVSITPFESIKMVFINDESKRDRVVRWCTTSIFGNCSCMLFETMGIPCRHIISVARGEKLRELPEAYILKRFQKRCKRQQEYEGFIGCQIPEKIEIHPPTNVRSKGGCKRIKKAKELPKPRKRKNVKQDPPAQ >PAN20978 pep chromosome:PHallii_v3.1:3:56041226:56048397:1 gene:PAHAL_3G427400 transcript:PAN20978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGGGGGGGGPEAGGGGGGGKDALFVELWKACAGPLSSVPPLGEKVYYFPQGHIEQVEASTNQLAEQQGTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKRDENTTTTVESDDPEEEVVPNALPATNEQLRIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRALRHQTTIPSSVISSHSMHLGVLATAWHAVNTGSMFTIYYKPRTSPAEFVVSRDRYYESLKRNYSIGMRFKMRFEGEEAAEQKFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSIPRPERVSAWQIEPAVSPSPVNPLPPRFKRSRSNVNASPSIMSNVTREVASKVMPDSQQNSLPRAVHSQGRTHLTSRYRDSSDLKSAQDLTKWSKGMEQERNNISAQTKLSLECWTQTRRPEGYNQPLSAFQQLQDAQNPLCSFPSQISGNCSRTWDAVDAHYPVQQANHNMLPGTWSLMPHNNGFRMDQQMNLSMPGAPHPQRAEIAKFSGKSAFTPLQGHGIDQCSSDWFGHIKPQPLVIENDGQKTKGTSFKLFGIPFGSLEKSEPLVSPPSVAYDGKQTSPSERNQLHIIEVHKQGSALGRSIDLTKFNCYDELIAELDQMFDFDGELSSPQKNWLVVYTDNEGDMMLVGDDPWNEFCNMVHKISIYTREEVEKMNPGALNSRSEDSLPDSLGSGLGSKEASGGPSTSSLNSENC >PAN17075 pep chromosome:PHallii_v3.1:3:6737845:6741218:-1 gene:PAHAL_3G105800 transcript:PAN17075 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MEYKARSNGTSETSSGSFGGLYNMKYSSYLNDEPMPDAASEKEQGNEYFKQKKFAEAIECYSRSIGLSPTAVAFANRAMAYLKLRRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKFKEAMDDAEFAISLEPNNPELRKQYSEIKALHMEKLAKKTQVPAKRAVSGFDKPDDKKEITSQPPTISQKDSFMEVDSPSRAAVDIRESAGGRSKGGSGVIINENVMQQSRDANLKPGPEASIQELASRAASRYMASTVKSVKIPKTAYDFEVSWRALSDDTAQQIQLLKSIPPASLPEIFKNALSAPFLVDIVKCSASIFRDDAALAVSILENLAKVPRFDLIIMCLSSMHKSELRKIWDQVFLAEKASADQVEALRQLRGKYIQGGWQDNMFTTS >PAN17074 pep chromosome:PHallii_v3.1:3:6737511:6741241:-1 gene:PAHAL_3G105800 transcript:PAN17074 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MEYKARSNGTSETSSGSFGGLYNMKYSSYLNDEPMPDAASEKEQGNEYFKQKKFAEAIECYSRSIGLSPTAVAFANRAMAYLKLRRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKFKEAMDDAEFAISLEPNNPELRKQYSEIKALHMEKLAKKTQVPAKRAVSGFDKPDDKKEITSQPPTISQKDSFMEVDSPSRAAVDIRESAGGRSKGGSGVIINENVMQSRDANLKPGPEASIQELASRAASRYMASTVKSVKIPKTAYDFEVSWRALSDDTAQQIQLLKSIPPASLPEIFKNALSAPFLVDIVKCSASIFRDDAALAVSILENLAKVPRFDLIIMCLSSMHKSELRKIWDQVFLAEKASADQVEALRQLRGKYIQGGWQDNMFTTS >PAN21503 pep chromosome:PHallii_v3.1:3:60595615:60595956:1 gene:PAHAL_3G472100 transcript:PAN21503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAVLEGGARQRAAQPAGAAASHGGVRPRRATLPAHGGSLAQQPGGRGQGLGARRAKHGSRARRPARCDGRSRQQSAPAGLLRRQGAAARRGGRRGRGPGTQGRERWRVWRR >PAN19392 pep chromosome:PHallii_v3.1:3:17889194:17891086:-1 gene:PAHAL_3G268400 transcript:PAN19392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKMDKMVIIVSAVVGSLGALSAILGFAAEGENAINPNPSPGLGICAALFLLVAQTTVSAVAAVGYCKFRPVLSETKQTIILVSGVVSWIGTVIASVLFLFGASQNANGRSSGGMYAGAAVLTVAATALGITSFIMLRRQPAEDAPNKPAGEQPPPAGIATGQQAGVAGVYGSQAPHQQPPPPAQGNGTTTQAPSQQFAPPQGHEHV >PAN17503 pep chromosome:PHallii_v3.1:3:8776612:8780403:1 gene:PAHAL_3G136400 transcript:PAN17503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSGGSRLQCADTKDWGCCFLALPPVAPAGVDGDGGFNLSWTLHQSFHPPAGLFASVGQQVGVGFPGASSSAPSPETPRDQYMKYVSPEVVETPLPGEGVGLRDKGKKKVVKLNIKVGNHHLKRLISGAIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFQSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEEQKIPVPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLDAFVKIVRDEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKILIEEEDE >PVH62710 pep chromosome:PHallii_v3.1:3:41478754:41479200:1 gene:PAHAL_3G375500 transcript:PVH62710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLESSWSMLACGMFLSGEAGGGGGGRGRRLWGTGRPAAALGEARGGARGGRRWGAGRPAAGERGGRRRRAGRPEVGEWGGLRRGAGRPAAAGGEAGGGAWGGRRLRRLGLGVGTWEPGCLSAWLAAPRRLGPGSWEPGRVGCWESGP >PAN16752 pep chromosome:PHallii_v3.1:3:5463389:5464360:1 gene:PAHAL_3G083400 transcript:PAN16752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLDTASLVALAAFFVLCCAASSAAAQASMTADLGAMQASPSCDRALGQCAVGSDEEDEYAELGGGEASLRRAMAQRQPTNRYISYAALRADQVPCNQRGRSYYSNCASQQPANPYRRGCSAITRCARNTN >PAN19570 pep chromosome:PHallii_v3.1:3:19096165:19099787:-1 gene:PAHAL_3G283700 transcript:PAN19570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble gibberellin receptor, Gibberellin signalin [Source: Projected from Oryza sativa (Os05g0407500)] MAGSDEVNRNECKTAVPIHTWVLISNFKLAYNMLRRADGTFDRDLAEYLDRRVPPDARAQEGVSSFDHVIDPSVGLEVRIYRAAANNAARAAAVTLPILDFLTGAPSPDPFPVILFFHGGSFAHSSSSTAIYDNLCRRFVKLSKGVVLSVNYRRAPEHRYPCAYDDGWTALKWAMSQPFLRSGGDAQPRVFLSGDSSGGNIAHHVAVRAADAGIRICGNILLNAMFGGAERTDSERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLRGLPFTKSLIIVSGLDLTCDRQLAYAEGLQEDGHHVKLVYREKATVGFYLLPNTDHYHEVMEEISDFLRANL >PVH62533 pep chromosome:PHallii_v3.1:3:23123779:23129457:1 gene:PAHAL_3G317100 transcript:PVH62533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKTFALGKGAISGSALWEGFGSGSSAASAGALPNGGRRDRERSPPREKAKERTRSPRSPAKASLTQRKRSPPREKAKDQRVRSPKHGREQSRSPSPARRRGSRSPSPRTKRLRRAHGEREAAQVTDNDRRKSTWRHWEPDEARDASSDRKVEREMAQVTNGDRRKSFHREDRDSGGKHREHDEGRDASRDRKAEREDARDKKSDRDDSKDHSRHRRAGKDDKSGASKETLSSPDDDRHDSRGGRSDRDDWKAASSREQRVDRTEKKDSSREKLTGREESNGGSEDEHRHRGRHESHPSPRVSRSAARTEDINSRGGEASRSGDPDALAIMNAATEALEAKEKQKPSFELSGKLAEETNRVAGVNLLYSEPPEARKSEIRWSPYVFKGGEPLNAMLYHTALFGRPYLMDLDSTNGTFINENRIEPCRYYELFGKDTIKFGNSSRKYVLLHENSTE >PAN18852 pep chromosome:PHallii_v3.1:3:15002918:15008872:-1 gene:PAHAL_3G231000 transcript:PAN18852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYDITIRKGVKTVGSSHLKQCIQAYNVYDFLREVVSKVPDTSTSDAIVDDKLGKRRKSEEDGSEEELKRTRNDAERHTSNGRGCRRGRGRGRRGGRGAWREAVTTHEQFVENQSSKPAGLKVEIADEISDVTEAKEATPVSSARACLRNIDLNLDPVDEDDDGVTVPPQAQSSAPATNSAAANLGLTAPATSSAAANLGLTAPATSSAAANLGLTAPATSSAAATAGPSVPRLKEGAKLKEFLGGWELPDMNKMDMDPAQFALSSNHKLDDDEDYDNEN >PAN18853 pep chromosome:PHallii_v3.1:3:15003306:15008474:-1 gene:PAHAL_3G231000 transcript:PAN18853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPALVKKLCAGEEISGTVSGTMRKKLDPHFSAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYDITIRKGVKTVGSSHLKQCIQAYNVYDFLREVVSKVPDTSTSDAIVDDKLGKRRKSEEDGSEEELKRTRNDAERHTSNGRGCRRGRGRGRRGGRGAWREAVTTHEQFVENQSSKPAGLKVEIADEISDVTEAKEATPVSSARACLRNIDLNLDPVDEDDDGVTVPPQAQSSAPATNSAAANLGLTAPATSSAAANLGLTAPATSSAAANLGLTAPATSSAAATAGPSVPRLKEGAKLKEFLGGWELPDMNKMDMDPAQFALSSNHKLDDDEDYDNEN >PAN21537 pep chromosome:PHallii_v3.1:3:60700853:60702095:1 gene:PAHAL_3G473800 transcript:PAN21537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTHLVAVAVVLAALVGGAWCGPPKVPPGKNISADCDGKWLEAKATWYGKPTGAGPDDNGGACGYKEVNKAPFNGMGACGNSPIFKDGLGCGSCYEIKCDKPAECSGEPVIVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYPADTKIAFHVQKGCNPNYLALLVKYAAGDGDIVGVDIKEKGAKEYQSLKHSWGAIWRMDAPKPIKGPISIRITSEGGKTLEQEDVIPEGWKPDTLYPSKLQF >PAN21588 pep chromosome:PHallii_v3.1:3:61194900:61196549:-1 gene:PAHAL_3G478500 transcript:PAN21588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAVLDAAATPSPEVVFAAAAAPATSSGGDEEEVEGQEAKAVTDQQQRAEGWAKRKRSRRRREQLPREPTEEEYLALCLVMLARGRRDVAAPAPPHQQEPHACSVCGKAFASYQALGGHKASHRTKPPAPVATASTAAGAEQQQQQHAAPAPSSSPAGSAGEGGKPAAAAHECNVCGKAFPTGQALGGHKRCHYDGTIGSAAAPARSSRVTSSTAVGGFDLNLPALPDVPERCGAVPEEEEVLSPLAFKKPRFMIPA >PVH62255 pep chromosome:PHallii_v3.1:3:16204000:16204240:-1 gene:PAHAL_3G249900 transcript:PVH62255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHPDNQAQNGGPSFYTSSQSYYKQHLMIHSSHPSIALHRYTPPNLNQWLTDRT >PVH62678 pep chromosome:PHallii_v3.1:3:38204136:38204562:-1 gene:PAHAL_3G366100 transcript:PVH62678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEPVAVSAMLPEALGKSEERALILGWAYYLYAFSSYPLHTWLPSVYRRHDNWYTRGASFPVLSY >PVH62240 pep chromosome:PHallii_v3.1:3:15947517:15948542:1 gene:PAHAL_3G245700 transcript:PVH62240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEDSAKRHPVFQSPAASIIIKGKGTEQERLLLGHLGVAQPPLADPNVAVPPLYPPVLPTLHGLCHAAPGQLHKAEVRLVAERVGGDDAPARIDPPEPAGGQHLAVYIDPPCERERGRQAHQLLPLPCLRQKPPHDEAPPLPIAVLTEHGDSVLVRRPVRAFRLGEDAHLRVPRRLLGAVDVREAEHLAAEGVADEARNVEVQVDGSQLAHLSAAARGDDGGRGAYVHEGEEEEAVAARCFDDTAVEKGHVVAAGVVAGWVGEVGGDDEVGEARGFGEPERRARRPRVCQPRERVDHSPRRARGRRGEAAEEAAGDGGGEAKDAAERHLEEPPPRRCARLL >PAN19694 pep chromosome:PHallii_v3.1:3:19819021:19819143:1 gene:PAHAL_3G291000 transcript:PAN19694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKETSKLGKLRSSVRQQKGKLYIIKMCIAMLICGGPN >PAN19257 pep chromosome:PHallii_v3.1:3:17131709:17134322:-1 gene:PAHAL_3G259200 transcript:PAN19257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKRDTWRLLFSLFLGQIVSFSMAISSFTTSVISSLGVDAPLAQSFLVYMLLALVYGTILLHRRQKLLIPWYWYLALVFIDVQGNFLAIRAYQYSYITSINLLDCWTIPWVMILTRFALGTRYSFWQFVGAGTCMAGLSLVLLSDSNSPDIQDASKRPLLGDVLIIVATFCFAFSNVGEEYCVKKKDRIEFIAMLGIFGVLVTGIQISLFERKNLETINWSPTLISLFTGFAIAAFVFCTISSFVLKMSGSTMFNLSLLTTDIWAVAIRIFFYHQQVNWLYYLAFAVVAMGLIIYSLNESSSDDETAASTTETTTQYEQLSSEETGGANLDWQN >PVH62627 pep chromosome:PHallii_v3.1:3:30259451:30259966:1 gene:PAHAL_3G342900 transcript:PVH62627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSVSSNSPSSSSIISITSPNSNTSREATPEFDPIASYEDLAPPHWDAEEWDYSTWSEDDEPLTDDEDLQILLHGDLGEDDDEESWDDDSFSFSEEEAKETSTDDDSVAGGFLRDGLSTSKDGGNTSDDTSDDGCNSSGTSGGDGSSDVDTSVSPLYKRRKTLGTYWW >PAN15673 pep chromosome:PHallii_v3.1:3:596742:600546:-1 gene:PAHAL_3G010600 transcript:PAN15673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKNGKPILYLVFEFLDTDLKKYLDVYRRGPSPRPLPPHLVKNFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVSGLRDWHEFPQWKPQSLARAVPTLEPEGIDLLSKMLQLDPANRISAIAAMDHPYFNSLDKSQF >PAN21050 pep chromosome:PHallii_v3.1:3:56534909:56545438:1 gene:PAHAL_3G430700 transcript:PAN21050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNTRNKNKRPRSDESNSPSAAVFKKIHSNGNISKSDIRQLYMVWKPVCQGCHGNTKDSPNCFCGLIPTTNGVRKTGLWQKMQEIVRGLGPNPSRDLRASTETPAGLTNLGATCYANSILQCLYMNSSFRSGIFSLELDVLEKYPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEQSLSHSKVPGARTIVQRQFCGSVSHVTRCSSCGKDSAASSKMEDFYELELNIKGLNNLEESLNDYFSEEALDGENQYFCESCQKRVDATHCIKLRSLPPVVNFQLKRYVFLPKLDLGKRLSNPSSSCTYDLAAILIHKGTGANSGHYVAHIKDESNGQWWEFDDETVSKLGLHPFGEKQGKASNKDDQKSQGISTAGSMTDNNNNGHQEAAPTSNTAEMFSSTDAYMLMYKCTSKDVNGTESNKNTEINESLPRHLLDQISELNASYMKSCEEYQSKKDSHLAYITERRQEVKSILTEAPADPDDDSYFWISTDWLRQWADNITPPSSIDNGPIQCEHGKVPASKITSMKRLSSIAWQKLFSKYGGGPSLSSDDCCMECLKDGAKNAVSADVYRERKASLKNIAEAALAGNCPDGPSYFISKTWLTHWLRRKNADIPSDADNGPTSALRCCHGDLLPEHAPGAKRVSVPESLWLFLYQTINEKKADDIMTFPSDSQPCEICNQELSDVASVEGNLRAVKLKQRQNHEKLISGKSFALHPGQKYYLVPSSWLSEWRAYITATGKNVSSLPEPQSLEAIVDSLICEKHSRLLQRPLDLVCKRGNITQKTSNTDGLTIIPEYDWKLFSEEWSATPEKGISAEIAFSKSSQDKLPGASEATPIMDGDLDQSLDDANDDVGGREPYVRSDPEVCEECIGERESCALVEKLNYQNEDIHVYLVRGKEAPKSIKEASKAVAVSDRRTSKRSRRTSSGNSISLKVSGSTSVYQLKLMIWESLGIVKENQKLHKGSVEIEDDLATLADKSIFPGDVLWVRDSEIYENRDIADEISEQKADMLQAEEGFRGTLLTSSVSAQLCQDVAFSE >PAN21051 pep chromosome:PHallii_v3.1:3:56534909:56545438:1 gene:PAHAL_3G430700 transcript:PAN21051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNTRNKNKRPRSDESNSPSAAVFKKIHSNGNISKSDIRQLYMVWKPVCQGCHGNTKDSPNCFCGLIPTTNGVRKTGLWQKMQEIVRGLGPNPSRDLRASTETPAGLTNLGATCYANSILQCLYMNSSFRSGIFSLELDVLEKYPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEQSLSHSKVPGARTIVQRQFCGSVSHVTRCSSCGKDSAASSKMEDFYELELNIKGLNNLEESLNDYFSEEALDGENQYFCESCQKRVDATHCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSTFSFPGQLDLGKRLSNPSSSCTYDLAAILIHKGTGANSGHYVAHIKDESNGQWWEFDDETVSKLGLHPFGEKQGKASNKDDQKSQGISTAGSMTDNNNNGHQEAAPTSNTAEMFSSTDAYMLMYKCTSKDVNGTESNKNTEINESLPRHLLDQISELNASYMKSCEEYQSKKDSHLAYITERRQEVKSILTEAPADPDDDSYFWISTDWLRQWADNITPPSSIDNGPIQCEHGKVPASKITSMKRLSSIAWQKLFSKYGGGPSLSSDDCCMECLKDGAKNAVSADVYRERKASLKNIAEAALAGNCPDGPSYFISKTWLTHWLRRKNADIPSDADNGPTSALRCCHGDLLPEHAPGAKRVSVPESLWLFLYQTINEKKADDIMTFPSDSQPCEICNQELSDVASVEGNLRAVKLKQRQNHEKLISGKSFALHPGQKYYLVPSSWLSEWRAYITATGKNVSSLPEPQSLEAIVDSLICEKHSRLLQRPLDLVCKRGNITQKTSNTDGLTIIPEYDWKLFSEEWSATPEKGISAEIAFSKSSQDKLPGASEATPIMDGDLDQSLDDANDDVGGREPYVRSDPEVCEECIGERESCALVEKLNYQNEDIHVYLVRGKEAPKSIKEASKAVAVSDRRTSKRSRRTSSGNSISLKVSGSTSVYQLKLMIWESLGIVKENQKLHKGSVEIEDDLATLADKSIFPGDVLWVRDSEIYENRDIADEISEQKADMLQAEEGFRGTLLTSSVSAQLCQDVAFSE >PAN18697 pep chromosome:PHallii_v3.1:3:14237391:14238589:-1 gene:PAHAL_3G219300 transcript:PAN18697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSWCRGLRLLFVASLLVLSVAALHPPPEPSAADPLLARMCDPRSAHPAPASWCHGLHLRRRGGAVGHHRRHNHNHHHRPEPVPLPPPGRGGGEEIDVRYGVAKRLVPTGPNPLHN >PAN18731 pep chromosome:PHallii_v3.1:3:14350894:14353372:-1 gene:PAHAL_3G221400 transcript:PAN18731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRRFLYLLRDSVSHRLGDSISQLQSNFALHRINMSGLFYPTRPPPNRPTGAADAGRTTAAGRSPNTTVTVEDARLPRPLITFQSPSSYSSLGCMGFMLFSSARGGKDQIVGIDQWGNTLLYSTDLHFIRVMPTLKQRKRMPISLVVGDSLYTMDTFPRPSDAKCFEVLTHCPTSSNLFSKLDWQTLPPPPFVFEPFPELPKRYIRSYTVVRHSDILVSVEDVGTYSFDTVSRAWRKTGDWVLPFSGRAEYIPEYDLWFGLSSYADNNLLCTSDLSAASVLKPPTLRHIWEDELRPPEDWVRGWAYAVHLGSGKFCIARFFETPEEEPCEDPLNEKRTQARSLKKKKEPCEDGSAFIRWGRERFAVLTGVEVERCGEAGGGLRMITHRSKRYRLANSMLLDLVL >PAN16027 pep chromosome:PHallii_v3.1:3:1514260:1515946:-1 gene:PAHAL_3G027400 transcript:PAN16027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGGGGRLRASSIKKQRQRTMNNIKITLLCGFITVLVLRGTAGFNLLVNSGDPDGAAADAKVVEDIERILAEIRSDSEPDDVVVLVGGDGSSSSSPNATAGLGNFSSSATLIKVKEYSLGPKVSDWDDQRREWLSRNPEFPSRDARGNPRILLVTGSPPGPCDNPAGDHYLLKAIKNKIDYCRLHGVDIVHNMAHLDPELTGYWSKIPLVRRLMLAHPEVEWVWWVDSDAIFTDMAFELPLSRYEGRNLVIHGYPDLLEKRSWISLNAGIFLLRNCQWSLDLLDAWVPMGPRGPSRVEAGKLLTASLTGRPPFEADDQSALIHLLLIEKDRWMDKVHIESEFYLHGFWTGLVDKYEQMMEEHHPGLGDDRWPFITHFVGCKTCGRYEDYPLDRCLRGMERAFNFADNQVLRLYGFQHRSLVSAKVSRVTDPRANPLEAKEAALKMDAKFERV >PAN19733 pep chromosome:PHallii_v3.1:3:20095409:20097502:1 gene:PAHAL_3G294500 transcript:PAN19733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 1 [Source:Projected from Arabidopsis thaliana (AT5G26230) UniProtKB/Swiss-Prot;Acc:Q3E936] MGRSRGSRGAGGAKSSFPSPASSSASSSEFEFTVTLSPASKQRSAAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSTSNSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATEDDRHLHPLPAAASFAGLPPAKRTGKQYLSSFATRFSSVFHRGGAPAAKKQPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQSNVNGGGVAANNQPQQGSKKPFTFSIRKKRADDDHAVPAAAAVDADVVVGGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGVGFPDVPAAAAAAVASGIGVGPVSLSTASSMEELQSAIEGAIAHCKNTMGGAVPACPRKAAVAGEICAF >PAN21443 pep chromosome:PHallii_v3.1:3:60224459:60229951:1 gene:PAHAL_3G466300 transcript:PAN21443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAILLLLCLVAVSSELAARARGQQQADAAPSGFVSIDCGIPEGAAYADQSTRGLRYVSDAGFTDAGLNAGVNPPYNIKGLADRYLTARYFPGAGGARSCYTLRAVAPGGRYLIRAAFYYGNYDGLDRPPAFDLHVGVNRWVTVNVTAAGAVYIFEAVAVAPADFLQVCLVNRGLGTPFISGLDLRPLQDDMYPEATVNQSLTLLNFRRPTATYSFNRYHFWRPASTYRVFRYPFDPYDRLWQSFGDIDAWTNITTSTAVDVSNISSFHMPSNILWSAATPVNGNRIDFTWSSDSSINNGDASYLLLLYFAEVQRLPSNALRRFDILVDNATWNSSQGYSPKYLSAELVKRTVQGSSQHTVSLVATPDATLPPILNAFEIYTVLPMTELATNDGDAKAMMKIRAEYGLKKNWMGDPCAPKEFAWDGLNCSYSSSGPAWITALHLASSGLSGQIDASFRDLKSLQYLDLSNNNLSGPVPDFLAQMPSLKFLDLSSNKLSGPVPAVLLQKHQNGSLVLRTGNNANLCDNDASTCEPENKIGNRILVIAIVVPIAVATLLFLVAFFILCRMKNKQVNRTANNSRLPSPRERSNIFENRHFTYKELKLMTANFKEEIGRGGFGAVFLGYLENGIPVAVKMCSKTSQGDREFSAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMHGGNLEDRLRGEASAATPLTWHQRLKIALDSAHGLEYLHKACQPPLIHRDVKTTNILLSAELEAKISDFGLTKVFDNDFRTHITTQPAGTLGYLDPEYYNTSWLSEKSDVYSFGVVLLELITGQPPAVHITGTESIHIALWVRQKLSMGNIESIVDPRMGGEYDVNSVWKVAELALQCKERPSRERPTMTDVVVELKECMELDVLHAKGYYSSAPSSTINLSAASVDLQSDAQESYAGQETVLELEQLGNRSSTQLGPAPR >PAN21995 pep chromosome:PHallii_v3.1:3:63581203:63583356:1 gene:PAHAL_3G506200 transcript:PAN21995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEPEWRITAEAAYVATYLFYGRVPPGDEAAAWGRGRRPEEDQAGPGVWVEVVVILCVVGTYLLGTMPADYFAAVTQRTTTGSTAVALLPTCLPIAHGPPMCYEWERPSAMAPPPPASGSGDSDWSDNDDEKVAMDGMECFYGLVRDATRSCSYGWERPAMAPRGRESDWSGNDDKAAMECFYGLVRDAAKNCFGWSHDTVRSDGHIMMIRLQRARELRVS >PVH62828 pep chromosome:PHallii_v3.1:3:53087111:53087832:-1 gene:PAHAL_3G411200 transcript:PVH62828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAATHKGGAFATCIVLILIPSLLGKPATAAYCSDYCASQCRSVCNNAVTSSCGNVRNSVMQQCTRSC >PAN17694 pep chromosome:PHallii_v3.1:3:9710415:9710990:1 gene:PAHAL_3G150200 transcript:PAN17694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKTRAATLSLALVGLLLLGHLTAPASAKNNAHVMSVDGAGGGGDEGRVVYADLKLSSSDAPVPAPAPGPSASDE >PVH62217 pep chromosome:PHallii_v3.1:3:15494814:15496227:1 gene:PAHAL_3G239100 transcript:PVH62217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVLFYVLAAIGAVAVVFLLCVFLAGRYLAESLLQNVEAAGLPHGNASAGAEQGEGIAVYIAATNGPAPVWSMAMQLRFH >PVH61257 pep chromosome:PHallii_v3.1:3:56549:58597:-1 gene:PAHAL_3G001100 transcript:PVH61257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIVKHEIKEEPSSEDVGCHLKLGIRKKNNGQWEISKRGDTDLVLSSDNDHARHMENKNSTLSCSIDDTNIGDEGYNLELARNDYPMTHVHDLDSSASDENAPPSTEQDVIVLSDSDDDVVMVLSPSAVNCGSAHDTGNLFTPSPPETSGVCGEQLGGGPDETPFLALKEGFDDLGLSFWECRPRDDPTYQMADLGILVTDNPGEVDEQVYGGDLGVAAAVANLLEDGHDGVSQPCTSTERDGAISLANLGDRTQTCGDGHPENRTAGSISGDEDCLTNARNAPQKRRNPGNGITALHGSAAGSRNDYVLTGAPPEE >PVH61724 pep chromosome:PHallii_v3.1:3:6621316:6621798:1 gene:PAHAL_3G103800 transcript:PVH61724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELTGGHTTSSIRRQHKAHRAIAVSKATEQASHDRSEETRSGTKGAG >PVH61278 pep chromosome:PHallii_v3.1:3:290667:294131:1 gene:PAHAL_3G004200 transcript:PVH61278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVANLSSSSLEPTVKPLAAACYDNNLVNSQGMFLGDQPLRFSLPLLLVQVSVILVLSAAARLLLRRLGQSRFVTHMLVGVLLGPTVLGRSESFRGVLFSERGTYILESVSLVALILFLFSMGVKTDLSLLRRPSGRAVAVGITGSLVPLAVTLPVFHALQPSLPEDLRGSSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWFLRACSAAVFLVSEAKSPAFTAQILASFVAFVLFVGFVARPAGRYIAYKLTPAGSLLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALSGYRTDLAELTKEETSEKWCALELFVGLCVSGKLVGCVAAGLFFAMPFRDAIVLALMLNIRGIVEVAAINNWGDTMKATAEHYSILTLSMVLITAVSTPLIKLLYDPAGQFMRAKRRTLEDLRPSADLRLLTCLYSEDHAAPLIDLLEASAGSSRESPVSLIVLHLTELVGRAASVLKPHRQSKSGGGGGSSSSDRIMNAFRHLEQQAAPGAVTVSPYVAQAPYSSMHHDVCSLAHSRKANLIMLPFHKSSDGARSTANNSIRSINRAVLQYAPCSVAILVDHGLAAGSACATSANSLLQRGALYFLGGPDDREALAYAARMPDAGNMSLTVVRFKLRNWVGMGGSDEARDEEVLHQFWTRHRDNDRVVYVEKTVEDAEGTASVVRSMSEKFDLLIVGRRGGDDKDLEGSAALTSGLSDWSEFPELGVLGDMLASAEFASRVSILVIQQQPIKNAPAC >PVH61337 pep chromosome:PHallii_v3.1:3:770465:772180:-1 gene:PAHAL_3G013800 transcript:PVH61337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVRVSGTIREYRHFLPLDRRRCPGSGSSLASSPQDLWPCLGLEPGLHPTQCRQKQCPKSKSGRANWNHQMIVYLIGLLKDHDVPRFRTNNAWSKEAWKSITEQFNKKFSTLYSVSQVKQKEQDMKKEYRVVKDLSAESGFGWDPDRMMVTAPDVVWKSLEAHRNKEALLRWRDKSFPYYNDMFALYDGRYAEGRSCRGMDHYSNREKQPVGVVGSDSSLNFISEEGGSKDETNWFGTDAFSQFSDQANDSAFLEALEGEKEQSFVDQTAFDSEQVPELPCINSRPSISTPQVPDKRRAKRPKPDTTSSNDFHERYLRLKKEEIDRFTAIEEKKWRIHTA >PAN19454 pep chromosome:PHallii_v3.1:3:18358218:18362020:1 gene:PAHAL_3G274900 transcript:PAN19454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDSHHSSRGSDHPVGMNVARRVPPADDADGNDDAAAMGLCWGQPKIPDPFVWPQADALASSERELDAPVVDVGAAMRGGGGGDGMRRAAEQVAAACASHGLFQVTGHGLDPALARAALDGAAGFFRLPLATKRRARRAPGNVTGYAAAHVDRFTANLPWKETLSFGHRDHRAAGAHVVVNYFTSVLGSEFKPLGAVYQEYCEAMKQVSLAIMEVIGVSLGVGRSCYRDFFADGCSIMRCNYYPPCPEPERALGTGPHCDPSALTLLLQDGAVDGLQVLVDGEWRPVRPRPGALVVNIGDTFTALSNGRYRSCLHRAVVHRERERRSLAFFLCPREDRVVRPPPRLLAAARGQEERRRRYPDFTWADMARFTQRHYRADARTLEALARWLGAAAPTCAAATSASQSQDKAQETA >PAN16016 pep chromosome:PHallii_v3.1:3:1469474:1470801:-1 gene:PAHAL_3G026400 transcript:PAN16016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHYRYKSDVQTSSVPMPTPSVSVADPKNLILRTARHGHGRTCGRGESVALHDARAGEEHTDGSALVPRNSTVLVRRVAGPPAETITVASSPPKATTHDGVPSDSAVTSSSSAEDDEARAISAVIDAAQLKWGDQHRSRYDHHGALEARAPPAGYDLGRASSKINPLLVDPIPDDGVPPELHCKICSEVMVDAVVASRCCFGSFCDVCIRGHIAAKSSCVCGAQSCAADLIPNLTLCATIAKLLATSAPGSGSVGTDNRKSSAGSNAEPTTSQSAAASRSHGTAAAGLEHSGGSASSTSKSAAAPAAREPRSKHATAESVETGAHAGYLEQYGYYRNPFGPACYDPFLGAAPWACDPYMYYGMPYGGGYTNVPTPAGYHDGCHGRKRMADGGFQRHGEAGLKRRCGGGSEVVF >PAN17259 pep chromosome:PHallii_v3.1:3:7476924:7482756:1 gene:PAHAL_3G117000 transcript:PAN17259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKSEQKSRKERRKEARSEKQKLRFLSWVQHQGGKKKKLAMPAVEPSPEEGKKPKKEPATVKKKRKRELEGKHKPKSNFQEYLEMEMGGAVSMEEDLEMERRLAKKLKVKKGKLGGPDDGMDELFADLGFGGDFGSDDETKACDRTKGKKKKKNVTEDDMEMEELGVGNEENDRKKKKKKVKKDDMETEELDNRGEENNGKKKKRKKKKPKKDDMEVEELDDGGADMDEENDGAVLESEDGEANVVELSTESKGKYVPPSLRASSNSESEEIAHMRRRVRGLLNRLSESNVESITQEIATLFRSVPRSVGCQVIGDEILASCSRGPRGNDQYAAVFAAFVAGMACLVGIDFSAKILASIAKTFEDEYSKEDSISLRNLTLLFCFLCIFGVISSDLVYDLLSILSKRLTEMDVSTVLTILQCCGMKLRGDDPGAMKDFVLSIQNSVNQLKLHSGVGEDGKTDALYSTRMKFMLDTICDIKNNKKRPKDVSDHTRIKKWLQKLKAEDVLLRGLTWSRLLDPDKKGQWWLSGDVPSAAGNIEDVAAVISKDVAETQKLLQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLGLSGKQDREIIRVIVDCCLQEKMFNKYYAVLASKLCSHEKNHKFSLQYCIWDHFKELDNMEPNRSMNLAKLVAEMLSNFTLSLATLKVVNLANPVEMTPERIAHFQVLFETLLQKDDALVWNFFSRIAGLPELEILRDGIVLFIKQHVIAEDTGKDLASKFKIAKKALDNTAGVLM >PAN17258 pep chromosome:PHallii_v3.1:3:7477626:7482733:1 gene:PAHAL_3G117000 transcript:PAN17258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKSEQKSRKERRKEARSEKQKLRFLSWVQHQGGKKKKLAMPAVEPSPEEGKKPKKEPATVKKKRKRELEGKHKPKSNFQEYLEMEMGGAVSMEEDLEMERRLAKKLKVKKGKLGGPDDGMDELFADLGFGGDFGSDDETKACDRTKGKKKKKNVTEDDMEMEELGVGNEENDRKKKKKKVKKDDMETEELDNRGEENNGKKKKRKKKKPKKDDMEVEELDDGGADMDEENDGAVLESEDGEANVVELSTESKGKYVPPSLRASSNSESEEIAHMRRRVRGLLNRLSESNVESITQEIATLFRSVPRSVGCQVIGDEILASCSRGPRGNDQYAAVFAAFVAGMACLVGIDFSAKILASIAKTFEDEYSKEDSISLRNLTLLFCFLCIFGVISSDLVYDLLSILSKRLTEMDVSTVLTILQCCGMKLRGDDPGAMKDFVLSIQNSVNQLKLHSGVGEDGKTDALYSTRMKFMLDTICDIKNNKKRPKDVSDHTRIKKWLQKLKAEDVLLRGLTWSRLLDPDKKGQWWLSGDVPSAAGNIEDVAAVISKDVAETQKLLQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLGLSGKQDREIIRVIVDCCLQEKMFNKYYAVLASKLCSHEKNHKFSLQYCIWDHFKELDNMEPNRSMNLAKLVAEMLSNFTLSLATLKVVNLANPVEMTPERIAHFQVLFETLLQKDDALVWNFFSRIAGLPELEILRDGIVLFIKQHVIAEDTGKDLASKFKIAKKALDNTAGVLM >PVH61609 pep chromosome:PHallii_v3.1:3:4988371:4991252:-1 gene:PAHAL_3G075900 transcript:PVH61609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPLHVLLGLLLLASLQAPAPAAGATTNTLAAGQALAIGDKLVSSNGKFALGFFQPTAAVTSKSGNTTSSSWYLGIWFNNIPVFTTVWVANREQPITDPKLTQLKISSDGNLAIVSHASPESVVWSTHIVANRTTGAGTNTTSAVLLDTGNLALVGSPPSNVTLWQSFDYPTDVMLPGAKFGRNKLTGFSRVGVTRKSLIDPGLGSYSVEIDTSGVVLKHRNPSVVYWHWLSGGASTLKLILILKTMLQSNPRTKGLIDPTYVNDDAEEYYMYTSQDNSSLTFVSLDISGQIKMNVWSQAEQSWQAIFAQPADPCTPYATCGPFTTCDGTSPPFCDCMESFSQKSPQDWTLDDRTGGCTRNTRLHCTTSSEKNTTSSTDVFHPIAHVTLPYNPQSIDGAANQSKCEEACLNSCSCTAYSYNSSRCSVWYGELFSVNKNDGIDNNSEDVLYLRLAASDSPSLRRSKGKSSIGVVIAASIMGVGLLILMLLFMIWREKIRWCGTPSYDSQGDGGIIAFRYTDLGHATKNFSEKLGAGGFGCVFKGALSDSTTIAVKRLDGARQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMSNGSLDAHLFQGNAAALNWNTRYQIVLGIARGLSYLHQSCRECIIHCDIKPQNILLDGSFVPKIADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLGGVAITSKVDVYSFGMVLLEIISGRRNSPEASSSSNYQIEYFPVQAISKLHEGDVQSLVDPQLHGDFNLKEAERVCKVAYWCIQDNELHRPTMGEVVRVLEGLQEIDMPPAPRLLAAITQGPEAVTEGCDASLM >PAN19209 pep chromosome:PHallii_v3.1:3:16835794:16839150:1 gene:PAHAL_3G256500 transcript:PAN19209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPELGQDKGYLSESIFSSIFLSFVLWTFHPFIYHSKRFYTVLIWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSELATLPPPNSVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKFLAWFMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTKEKEIRLKEEKDSKLKDEFHKLLNGNHGDPTDRRQRAQMNGRQHDEDINHALTDAANANGT >PAN19210 pep chromosome:PHallii_v3.1:3:16833971:16839150:1 gene:PAHAL_3G256500 transcript:PAN19210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIAREASKVWRKVTAEISVELQLLREKWGLLLAGLIFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFSSIFLSFVLWTFHPFIYHSKRFYTVLIWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSELATLPPPNSVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKFLAWFMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTKEKEIRLKEEKDSKLKDEFHKLLNGNHGDPTDRRQRAQMNGRQHDEDINHALTDAANANGT >PVH62238 pep chromosome:PHallii_v3.1:3:15816192:15820842:1 gene:PAHAL_3G243900 transcript:PVH62238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSSDRARRPFLLSLSLFLFISAVLVLLFLFLDPSPGSLAFLPSRVSASAPSIPSPQQQSLTPVPTRGSSPHSQPAGPLATANAEASQPPAAEARGGSGSPGADDSSGAMGVEADGKADTSIAAAGAGGDDEELPVSVRWQTCSRMGRGVSSTDYIPCLDNVRAIKALRSRRHMEHRERHCPVAPRPRCLVPLPFGYRTPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTRSGDYLVFPGGGTQFKDGVGRYIQFIEQIMPAVQWGTRTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNAFDVVHCARCRVHWYANGGKPLLELNRVLRPGGYFIWSATPVYRQEKRDQDDWNAMVTLTKSICWRTVVKSEVVNGIGVVIYQKPTSNSCYAERNANEPPLCSKTDGPRFPWYAPLDSCIFTTVVSSLDERNNWPVPWPERLNVRYASVPGDSYNKEAFEADTKYWKQVVSEVYFSDLPLNWSSIRNIMDMNAGLGGFAAALIDQPLWVMNVVPIDQPDTLPVIFNRGLIGAYHDWCESFNTYPRTYDLLHMSNLVGSLTTRCDIIEVAAEIDRILRPGRWFVLKDKIEMIKKMRPVLKSLHYETVVVKKQFLVARKSFWRPGKPASRSG >PVH62456 pep chromosome:PHallii_v3.1:3:20386704:20388454:-1 gene:PAHAL_3G298100 transcript:PVH62456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDGTGPGSEICCRQILFSRSVSLPDAVPQCASCCVICLASGAQPRAHLSARSPSSNRCLAYERISLSFLCQLYSLDRSIPFLSYCRSLTRPSPSFPLPLLQSSARQTSPPATTTMDPPSAIAPVCAPGCGQRLEEPLFRCYCGDPAWSVTSVTHRNLAASSTCAARGGGAASFGFGRM >PAN21899 pep chromosome:PHallii_v3.1:3:62846681:62849252:1 gene:PAHAL_3G498200 transcript:PAN21899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEALLLLVLTIVSVTAMSATSSGLSIALPGCPDKCGNVSIPYPFGIGDRCAATGLNRYFAVICNDTLQPPRPMVGDPSAAGEVIDISLERHEMHLYGPVSYNCFTSNTTMSDNYTAGFGMEGTPFIPSTTRNRFTVIGCNTLGIIGGYIHSNPDLYVAGCYSYCQGINSTSDGALCTGMGCCETTISTNLTDFSALFVINQSSVWNFNPCFYAMLVEVGWYSFRKQDLVGHLGFINQRAKRGVPVVSDWAIRNGSCPKDGAKAPKDYACVSSNSYCVSASNGPGYLCNCSHGYEGNPYLPKGCQDKDECKLRKQDPKYKELYPCKNGVCRNTPGSYICKCRIGMRSDGTDYGCQPVLSRAERVVIGLSVSAVVVMSLTCLLVMKLQRRKHRKEKDEYFKQNGGLKLYDEMRSRQVDTILILAEKEIKKATENYRDDRVLGCGGHGMVYRGTLDDGKEVAIKKSKVVDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFISNGTLFEFLHGNDRRSPIPLDLRLKIATQSAEALAYIHSSTSRTILHGDVKSLNILLDHGYNAKVSDFGASTLKSMEKNDFIMFIQGTLGYLDPETFVSHQLTEKSDVYSFGVVLLELFTRKRAIYIDNLNEKKSLSHTFILIFQQNKLRDMLDCEIIDEQIMVVLEKIAELAMHCLNPRGDERPTMKEIAERLQVLRRLQMQLTTKTNPIRAGYSYGTPSTPVASDEVRYQVMETAKLFLDADLAR >PAN16764 pep chromosome:PHallii_v3.1:3:5519261:5520033:1 gene:PAHAL_3G084900 transcript:PAN16764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTCVPKSSCKPYPLRRHRLSYLVDPFHPDPAIGTCVLLGCSSDRAQGGDIARGTWASGPGIRSPFGRPQGCERATEG >PVH61631 pep chromosome:PHallii_v3.1:3:5355789:5357530:-1 gene:PAHAL_3G081700 transcript:PVH61631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAAMAGKKFSSYHLAAALRREPDPAAALRLFLNPPTSTIPSSAPFRYSLRCYDLIISKLAAARLFPAMESVLSRLASSSVPRPREQLLCRVISAYGRARLPAAARRAFAHPAFPEPRTARALNTLLHALLACRAPLSDLLAVCRDARIPPDACTYNILMRAAAASGSLEHTRRLFDEMLRLGIAPTVDTFGTIVAALCDAGQLEEAFEVKDAMVRRHDVSPNAHVYASLVKGLCQRGEVDAAVRLKDEMASKPELLQNSAVYGALVRAFFRAGRKGEVDGLLEEMKRRGIVPHRDRVVYNAIIAGFCEDERDPSAAFAVLDDMQKCGCKPVAVTYNTLVAGLCKSGRWQDANELVEDMPRRGCTPNVVTYRMLFDGLCSAGEFHEANRVLSEMVFKGFAPSKDGASKFVEGIEKEGDAVLLESVLCQLAKVNALESSGWEKAMSGVLNDPVELSIEKPLASIRFA >PAN20459 pep chromosome:PHallii_v3.1:3:23509931:23511065:-1 gene:PAHAL_3G319700 transcript:PAN20459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Em-like protein GEA1 [Source:Projected from Arabidopsis thaliana (AT3G51810) UniProtKB/Swiss-Prot;Acc:Q07187] MASGQESREELDRMAREGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRSKQLGHEGYSEMGSKGGQTRKEQLGEEGYKEMGGKGGQARSEQLGHEGYKEMGSKGGQTRKEQLGHEGYSEMGRKGGLSTMEESGGERAAREGIEIDESKFRTKS >PVH61927 pep chromosome:PHallii_v3.1:3:10259708:10263295:-1 gene:PAHAL_3G160000 transcript:PVH61927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEASTSYQRAYSRVSSSGMIVPTLFGDCSAVHDVWAETAQDAFVDITALLHRDSEVDYMIGIDLEFAIPDGVVSLGREPPSANVHYEELCKTVNGGNLVQVGFAAADANFNVLGVWQFNLQFQSAWRAPWHAGVAFLRDEAKLNLEEHASHGIPAAQFIDWLASSAMIRNPKITWISFMGYPDFGFLIRLLTRQEALPVDRVQFLNLFWELFPRSFDVRVFTKLGRCRKEVIHGGLATVCKTLQVERVGDAHHAGSDALLAVRCFHKMMTDSSDFVTQIPRYCGVLYGVVVDCCRCP >PAN17069 pep chromosome:PHallii_v3.1:3:6732815:6733025:-1 gene:PAHAL_3G105700 transcript:PAN17069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHELNQTKRWVFQLHHSKGKKSTMEVPLKLGLEHWSR >PAN19355 pep chromosome:PHallii_v3.1:3:17815204:17816420:-1 gene:PAHAL_3G267900 transcript:PAN19355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTLIIVCSAVGSLGVLSAILGFSAEGTKLTPYTILVHDDDCIYPQNPALGLGICAAVFLLAAQVTSTAVGGCCGCCKSRSPSETKRIVGVVCAVVSWIAAAIAWVLLIVGASWNANVVRVAAPYCPYLKDGIFAGAGVLSLAATALGIASYVITRTQRAKAAAPAPAPAPAKGEPNIPPGSSAQGNGPHAPNQESPATQESPAEAPQPQAVAPPAPLESAGELPPVAMGQQQQPATATHQGDELPTIIRNEVTKQGIRLAAKVVEHSLLS >PAN21099 pep chromosome:PHallii_v3.1:3:57782087:57786985:1 gene:PAHAL_3G440100 transcript:PAN21099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MARASLAASLPIAAAARFRFRPSFRGMASASAAVGAPARVGVVQMTSVGDVDANYATCSRLTKEAAASGVKFLCFPEVFSFIGSKDGESVKLAEPLDGPIMQRYCSLAKESGMWLSLGGFQEKGPDDSHQYNTHVLIDDSGKVRNSYRKIHLFDVDVPGNMVYKESRFTTAGNTIVAVDSPFGRLGLTVCYDLRFPELYQILRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADLDLSKVEAVRTRMPISEHRKFDSDWKSSTQQ >PAN17870 pep chromosome:PHallii_v3.1:3:10324167:10329252:-1 gene:PAHAL_3G160700 transcript:PAN17870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPKEMKYRRRGRVPEPVQYGQCSDRSGVLDWGALKQDPLELLRKLDEIRDQITRSCELTGQPPERPRMSRRTISLRPSHAEPPPHAGRGPEYYRSRYAGRYRTSLPLSPYDQWQRSVSDETYARQPSGRFRQYPDGRRENSGFGQGSRHHSTCQCAQCLQGQRVVAPEEHIPMARYFAGQQGSFRYDRSQPFSSELDRRSVASSLYSDPSMSKRRVEYFRKKAENFCRPLRGAAPFVVCSSCSHLLQLPQGKSTGRKKNLVQCGSCSEIITFKPKEAKVHPVTLPSSFPVPKSVRSTNRRGPKNSGWYQHQDDDNFNFYKLQAHDSHGQKKDFSDNMSPSSTASCDRSDSEHGSSRSIQLKSLPASRSRFSNDPKDILCQGDTGSPHGPILEDKQIDPFSSQRKDYSGGNEIKRKEYDVSIKADYEANGGDESLGRKCTQKSKEGRIGVLEDECSNRRTHERKGKHGNIGSPEDGIVGNKYKHKTSNAVTSSLEDEGMSIKYEHNGSFRVQGISKRDEKCNKKDDNNTLEVESITKRCEQENIKGDSGKLLHSDSRNGNTPAKNDSLVNERTSSSSRVSSEAEVDEIQSSIGKNGDSSFLTGFLKKGFKDLSLFNQSADSAKVSINGHPISDRTLRKAEKKAGPIGPGSYWYDYRAGFWGVFGQECRGIIPPFIKEFNYPMPKNCAGGDTGVFVNGRELHQKDFDLLVGRGLPRMSGKSYSVEISGNVVDDTTGMKLRGLGKLAPTIEKMKRGFGMHIPEETS >PVH62561 pep chromosome:PHallii_v3.1:3:25254839:25255418:-1 gene:PAHAL_3G324600 transcript:PVH62561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESAKNSNEDSSNSGRACHGLLLPDGGGGAPAPEAPAAAAAVVVAVAPL >PVH62574 pep chromosome:PHallii_v3.1:3:25654623:25655901:-1 gene:PAHAL_3G326800 transcript:PVH62574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHSLKWVFDRCPRVTRRSPRRLGDDGHGPRRAVRHGPALPLLFPVAGLDILVSCCCTAEAALLALKMHPSIITARFNHELADHASTSYEVTTTNRKTVYRR >PAN19233 pep chromosome:PHallii_v3.1:3:17000063:17002559:1 gene:PAHAL_3G258100 transcript:PAN19233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQVAAVAPSPCARSSSSPSTSSRPLPAFLGAGGGCAGLARSRSPVNWGAGLMVRRPRPAPTTARCALSASLDGMGAGDAEFLRKIEELAAAAGVQPAGAAGCGWPASVERSASSVGLPLSLRMLKRKKQQAQQQRQVVARQSRWDEGLLGSAGESVGRAFSSMVLIVRELQSFALQQMRDALLCDDLQGVLARVQGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGHSVAAAAATVPPAPPAVAAAAALVDSQRAEPSRKRFDAASVKTFSVGRTASVGGNSGGGGKAPPIAGATGDGRSDESLYRLSRVAPQQPSTPAGAGIGEAAPDAADADEQSIWEMMVTEALRMQASGRAEELSDPDVLGSLVAPVEAVLETEDHAEHVRTQQSYELAVADEPNNSLILANFAQFLYLVQNDHDGAEHYFERAVRAEPADAEALSRYATFLWKARNDLAGAEDTYQEAIAADPGNAHHAAAYAHFLWNTGACAGAATRRDA >PAN18835 pep chromosome:PHallii_v3.1:3:14836687:14839720:1 gene:PAHAL_3G227800 transcript:PAN18835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNKPPAGGAAVTAVDVGEDTGLARLQELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLTFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVNYSLAQLIQVIILLGTGGKNGGGYLASKYVVIAFHVAILLSHAVINSLPITVLSFFGQFAAAWNMLGVFVLMIAVPTVATELPAPSSNFYIFVLGLLMSQYTLTGYDASAHMTKETKNADKNGPIGIISAISISIVVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGSGAGGIVCLGIVAVAIYFCGMSSTAPCSFSSVWHKVNKQEVPINAVWLSVSVALCMALPSLGSLVAFQAMVSIATIGLYISYALPILFRVTLARKHFSPGPFNLGRYGALVGWVGVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGELFFLVPSSWVLSARHWFKGPVTNLDG >PVH62829 pep chromosome:PHallii_v3.1:3:53208451:53212908:1 gene:PAHAL_3G411900 transcript:PVH62829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPELLMAACHGWDTKLTSLLSSEEQDTASVVVVEIDRATAAASSQARAPSSSLLLQGVTSDGDSALHVVAAAGDGGGYLRSAEVIYGKARHLLEARNKGRSTPLHCAARVGNVEMLTLLVRLAGVERVATLLRMQNEVGETALHEAIRAGDMRLVDVLMTADPCLARVPDGGAGASPLYLAVALRRYAIARDLHERDSQLSYSGPAGQNALHAAVLQSKACLLAVKLQLSSSADVIAPTGVDSTGAKDLHTSSTPNASVSVNYQYTDAFFFTVDSTGASVFLHLIVMALDRCTDIFNRCSQDEHGNTPLHFALSLENETHGMLPLYAVPVKKGKAIATLLNITELPLELTRQLLEADAYSAFQPDRKGSFPIHIAASAGRLSSVIVLVTMFPGCAGLRDSDGRTFVHVAAKNKRHNIVAFACQTPALSTILNKQDSEGNTALHLAVEVGDWWIFACLFVKKQVDFNLPNKKKHTPLELSVNTIPTGLYCLLNSRILIQETLIAANATRAISRRDAGMDEYSSQSEAENEEKGSSIVSNSTQFLSIGLVLITTMAFGATFALPGGYIADDHINGGTPTLARVKQFQGFMMANTLAFFCSSLAVLSLVFAGTPTVELPMRYMHYNISIWLSLNAVGSLAIAFAIAVYIMITPVAAKTSLAVIVVILSIGILHSPSVTEKFAVLLLVLCIRPGILPVLRSSISKVMLLMCWPLIVIFGWQEFSSRYQ >PAN17140 pep chromosome:PHallii_v3.1:3:8143907:8145381:-1 gene:PAHAL_3G125600 transcript:PAN17140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERASSYPSRRWRSPIVFEAGDASSFSFRRTKRSRIFDDSSDDDDDLRYVKAVNDGGDEEEVDGDEGEQVESETEYSPLNAYYGGGGGGDAVAEDPSRRGGGRAGEDQLPRPRAAEEEEDSDDERPIRFVRRGSAAPAALRRGSTEPSRAAAPAPVAKAPEPSPSSCSDSSSVIRDATVEDNGALDCSICYLPLKPPIFQCHVGHVVCCRCSDKLRQATQRHKCRAPTPGGYRRSYDMENLVAHAAPGCAHRPAYHDREAHARACPHAPCRCPGEACGDAGPAAALADHGWPCTAENEDGAGTNLILRDGFNFLTASRAAASPNHGAANKFLFLLNMVPARPFGRAITAFCIHPDRTATATLQVSIYGGERCNDMCIKHLQWSKFKVWCTDLSDGLPDPSSGCFVFVVPGPGREEGDDTTRNIVGVTPPHIQ >PAN18278 pep chromosome:PHallii_v3.1:3:12544708:12548414:1 gene:PAHAL_3G191500 transcript:PAN18278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLWIAKVKEGHHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPNTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRVIDRNCEIPHEGPFCDLMWSDPEEIDTWAVSPRGAGWLFGTRVTQEFNHINKIELVCRAHQLVQEGLKYMFDKGLVTVWSAPNYCYRCGNVASILSFSENMEREVKFFTETEENNQMRGPRSAVPYFL >PVH61524 pep chromosome:PHallii_v3.1:3:3380732:3386796:-1 gene:PAHAL_3G054300 transcript:PVH61524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGRLPRRPIDDRRGYNEVRLVEPRGYPGYLDTRLVDERRSYPGVRMIDDHRGYSAIRIDDRRAHPDIHEGPRMRGAPHPHPAVLEEELELQEHELRRLLAHNRALAEERADLNRELQAGKDEVRHLNVIISDITAEKEAYISKLVDKKRKLEAELRANEHLRDEVVQLRGEIEKLIAARKELSAEAASLMEDLTRERSVKHQLPMLKAELEGLQQELIHARTACELEQKGNFELVEQRKAMEKNMISMAQEIEQMRAELAKCEVRPWGTGGTYGMQMGSPEVTFTKSYEDGYNIHAGVSEKGPLHPPESSSWGTYDKNRLQYR >PVH63249 pep chromosome:PHallii_v3.1:3:63464614:63468266:-1 gene:PAHAL_3G505000 transcript:PVH63249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVSASGGAMGSLLRKLSELLTAEYKLLKEAKGQIMFLKAELESMYVFMKKISDSEEPDDQDKCWAKEVRELSYDIEDSISEFMLRVERDSSKPHGFKGFITRSTKLLTTMNTRHEIAKEFEGLKIRVKEASERRTRYKIDDTVPKQNNTTIDPRLLALHAETTSLVGVKGPRDQLIQLMDGEGVPAHQLKVLSIVGFGGLGKTTLANEIYHKLEEKFQSPAFVSVSQKPNIRKILRSILSQAGFVAPKDTNIEMWEESELIIALKNFLLDKRYLIVIDDIWDASAWDIIRCALPENTNGSRVITTTRIEAVARACCSNHIECVYKMKALSDQDSRSLFLKRIFGSEDMCPSYLNEVSSEILKKCGGLPLAIITTSSLLANQPNKLKKERWEYVRKSLGSNFEVSPSLEGMRQILNLSYINLPHYLKTCMLYLGIYPEDYTIDKNDLTRQWVAEGFICKERGIDPKDIAESYFNELINRSMILPVDTDYNGEVISCRVHDMMLDLILHKSREENFITIIDDMQDMTGHQNKIRRISLNLDDATNDTADTAARSVQLSQIRTLARFGTSSQLLSFKLFKHLRVLGIEISGWSNPSLDFTGIRHLFQLRFLKIVAEGCHVVLPSKIGDLQQLETFDIRTGIAPRITKLPSDIFNLSRLLHLAIPGYVILPDRIGNMKSLRTLGQFDLGNSLDSIKGLRELTNLTNLEISCGYEYKKSGDETAARCWQVVHAFENLCNLRHLHICSNNVFFRSCFDVWRSVPAYFFHLQSFHAKWLSWFSRVPKWISQLHSIYDLYLTVQEVLEDDVGILSQLPSLIHLVLHIHRAPEAKIIIPGGSGLFPVLKHFRVACGRISYLTFEAETMPQLERLELCFNAKGWDRYGAVPAGIEHLPGLKEISVVMGGRGAKESNQRAAESALRDTADMHPRHPVANIKVSKGFEWFSDEPEEEEEEEGNGGSSSSST >PVH61482 pep chromosome:PHallii_v3.1:3:2838976:2839737:-1 gene:PAHAL_3G044700 transcript:PVH61482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHDRLWNGSPPLPLSINGAARRRSEAAHARRRTTSEHGAHHVAQAAPAARAGSEDGAAVRVHRRRTDQVGHGAPLLRPGRGARPGRTQLIVSLAKQLHAMGPEEWSPVAPPLAGRQRLCIRILSLSLLAEERMRAPTPCFRSSAPASPPSPSPSPPYGRCVESVICCFFMIFIV >PVH62908 pep chromosome:PHallii_v3.1:3:56160620:56165036:-1 gene:PAHAL_3G428300 transcript:PVH62908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGCSSEIVESIEEEFDSAPIGGSSLLHIKLRDEEKRSKCHDYPVEDDLDQLLKAIDSRTFRRALSPASTGGDVLGKSVLKKPARSGLSQNAGIGISSKTVNMKQALRRLCISQASEMAAMKRMSMSPGSSSSSEAGTIHRLYASLMVQSSTEGLLHDDEKMNLIEISITPEKMDKSSSRGTSEFSEDCEFETADGSAVTSIRSVSSTSAEIRKIRIQDVISGDPIDSESSLVENGKLGSKVFAATADGSPRVPILSKPITTSRLVKPVFRCKTAGKKKVKEEPSSLGDSSNSTKFCSSKESISLASTSCSSTSSITNPTSYAEEEKSTPGPEKSDETSSEWLGAEEKGECSQNSKSSISEYGCTTSISDESQFGLCSYNNRPHMAKDLRWLTIRQLALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIDYLINRKKMLRAQAEREILEILDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQKQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVCEDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAENYCINSSCLQQPSCAQTSCFTPRLPSIPKPRKPKSSQKRLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPAVSSNAKDLIRGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETQPCNVVTLAKERKKKEGKCLEFRSNSEDLEFEVF >PVH62909 pep chromosome:PHallii_v3.1:3:56160615:56164959:-1 gene:PAHAL_3G428300 transcript:PVH62909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGCSSEIVESIEEEFDSAPIGGSSLLHIKLRDEEKRSKCHDYPVEDDLDQLLKAIDSRTFRRALSPASTGGDVLGKSVLKKPARSGLSQNAGIGISSKTVNMKQALRRLCISQASEMAAMKRMSMSPGSSSSSEAGTIHRLYASLMVQSSTEGLLHDDEKMNLIEISITPEKMDKSSSRGTSEFSEDCEFETADGSAVTSIRSVSSTSAEIRKIRIQDVISGDPIDSESSLVENGKLGSKVFAATADGSPRVPILSKPITTSRLVKPVFRCKTAGKKKVKEEPSSLGDSSNSTKFCSSKESISLASTSCSSTSSITNPTSYAEEEKSTPGPEKSDETSSEWLGAEEKGECSQNSKSSISEYGCTTSISDESQFGLCSYNNRPHMAKDLRWLTIRQLALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIDYLINRKKMLRAQAEREILEILDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQKQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVCEDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAENYCINSSCLQQPSCAQTSCFTPRLPSIPKPRKPKSSQKRLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPAVSSNAKDLIRGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETQPCNVVTLAKERKKKEGKCLEFRSNSEDLEFEVF >PVH62907 pep chromosome:PHallii_v3.1:3:56160642:56164959:-1 gene:PAHAL_3G428300 transcript:PVH62907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGCSSEIVESIEEEFDSAPIGGSSLLHIKLRDEEKRSKCHDYPVEDDLDQLLKAIDSRTFRRALSPASTGGDVLGKSVLKKPARSGLSQNAGIGISSKTVNMKQALRRLCISQASEMAAMKRMSMSPGSSSSSEAGTIHRLYASLMVQSSTEGLLHDDEKMNLIEISITPEKMDKSSSRGTSEFSEDCEFETADGSAVTSIRSVSSTSAEIRKIRIQDVISGDPIDSESSLVENGKLGSKVFAATADGSPRVPILSKPITTSRLVKPVFRCKTAGKKKVKEEPSSLGDSSNSTKFCSSKESISLASTSCSSTSSITNPTSYAEEEKSTPGPEKSDETSSEWLGAEEKGECSQNSKSSISEYGCTTSISDESQFGLCSYNNRPHMAKDLRWLTIRQLALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIDYLINRKKMLRAQAEREILEILDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQKQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVCEDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAENYCINSSCLQQPSCAQTSCFTPRLPSIPKPRKPKSSQKRLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPAVSSNAKDLIRGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETQPCNVVTLAKERKKKEGKCLEFRSNSEDLEFEVF >PAN16458 pep chromosome:PHallii_v3.1:3:3917730:3919218:1 gene:PAHAL_3G062300 transcript:PAN16458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTSDSDPELPAAVSSTTSASPPPPPPPASLLRRPRSRRRRRRAASRQAVPAAAAPEAEDVWRGAQWEAAWPGRRDARPVLLAADAAAPGAADDGVGRSRSLTDDDLEELKGCADLGFGFSYDEIPELRGTLPALELCYSMSQRLLDDAHHQAADPAPPVTNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >PAN19427 pep chromosome:PHallii_v3.1:3:18151148:18153419:-1 gene:PAHAL_3G272500 transcript:PAN19427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQETPVAVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >PAN21230 pep chromosome:PHallii_v3.1:3:58768454:58769107:-1 gene:PAHAL_3G451400 transcript:PAN21230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGLLPLDLSLATAGASASAGERRAGPRGHRRTVSALFAELGAMLPNDLPTNRPASREEIVDAATERVKVLEDTAAVLETYRAVRGAGAGAAPRPEVAVAVGTVCFCARLPAPRPGSLTRVLEAFHRRGVEVLVATVARHGHGGAAVVTVNAAAAPPEVLELIRADIADIY >PAN22117 pep chromosome:PHallii_v3.1:3:64286800:64288379:-1 gene:PAHAL_3G515300 transcript:PAN22117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRAGGGGRHKFFKVLLPGFFELSLIIHEINPTNRMQSIPPKFTAGRPWRATAGKLRDPTGRSWDVDLHRDGDHRVSFTGRGWLGFVSANGISAGQLLVFEHRGGLDFAVDLFDASGCLSGDGSRDEDDDEQRMAETTGDKESGNCRRRPEATGVKRRRKRSPGSTATTGSGDDGTLCRRIERPYQLRFLDLSKSFCDRVGWTSSRDVELVAGGDEQRRRWPVSVKVSAKSGMMGAGWTEFAQGNGVGLSDGAFVPLECGALHVRVIKMT >PAN17807 pep chromosome:PHallii_v3.1:3:10051544:10053559:1 gene:PAHAL_3G156000 transcript:PAN17807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLIFAAARLGSSTSTTEECSSIYGINPARGCMAGGWLGDSCACGGPGDEEGALPATVNSEPAPYTLGCAAVPWFRRSLLEYTMSCVRRCDVHVWESVVCTMMHEFVVAFASGLG >PAN17703 pep chromosome:PHallii_v3.1:3:9720776:9723718:1 gene:PAHAL_3G150600 transcript:PAN17703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGLEVKPGTTVKCDPSDGYILHLSQAALGESKKSDNALMYVKVDDQKLAIGTLSPDKYPQIQFDLVFDKEFELSHTSKAASVFFSGYKVEQPGVGDDMDFDSEEDEDEEEELNIPVIKENGKAQGKEEQKSKAGPVASKSSAAVKDVEKKSKDEDESDEDETDDDSDDEDLSPEEGDDDDSSDEGDSSEDDEDSEDDEEEETPTPKKPEVGKKRAAENALKTPVSGKKAKVATPSGQKTGGKKGAAHVATPHPAKVVSKTPANNDKSKEKSPKSGGSVTCKSCSKTFSSDMALQSHSKAKHGGK >PAN16324 pep chromosome:PHallii_v3.1:3:3360967:3364191:-1 gene:PAHAL_3G053800 transcript:PAN16324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACYAAHGLALHAWSLFASMRRSCPDLAGDGFTFSALLRPPRQVGNADDAEFLLRRGALAHGLVLRLGHLADVVVATALLDMYAKYGRVAEARRVFNAMVVRNVVSWNAIIVCYGWHGEGNKDALELFRMMVRDGCCCPDERTLASVLSSCANMAAANEATQVHAYAQKRGLQGFLQVANALIMAYGKNGFVQEVKRIFAMTDNPDMVTWSSMVSSHAYLGYAKDAMHLFQRMLQQGIQPDGIAFLGVLSACSQAGLIEDGLRYFLMMTRSYLIDPSPQHLACLVDLLGRAGRIEDAYNVVVKLSSESNTDIIGAFLGACKMRGNIELAKWAADKLLRLEPREAVNYLLMSNAFAAAGAWNELAKVRSAMRNRCANKVPGCSWIEIGGTVRTFVSNDMVLHRSMEMQLMMELTISHVQKECTEDTIFEDPILIQQWQ >PVH61836 pep chromosome:PHallii_v3.1:3:8852207:8858455:-1 gene:PAHAL_3G137700 transcript:PVH61836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGCCCCRRRCPKMLHGSPVVYRTRINHCWCNPMTYWCESEVSGGIPRKDAGAVWVFGRCSAGVPGTCSAEFPIGVPSAGARAWVPALHRAADERAVDCMLSKTGQAARCDGSMIWYGHLAEQIDRPTTTNSCHKQLRIGGVAHCNSELCHYPWIWMNDAWVLNVGGTGRQCCFAELGLSPTSRRALLRSICNGLHVQEWVDALVNMCSLS >PVH61422 pep chromosome:PHallii_v3.1:3:1971264:1972033:1 gene:PAHAL_3G033600 transcript:PVH61422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMLTGFISYGQQTIRAARYIGQGFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSPQSKIDEEKSWNSRTITDY >PVH61633 pep chromosome:PHallii_v3.1:3:5359272:5361598:1 gene:PAHAL_3G081900 transcript:PVH61633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLRKSRSSSGVAGLGAQSGTNGVASQSNPAAGILPSFPAFRVPSGHGFRPAYATAGVPPAGGFIPMYPTGPSSTAPSASEAPTASCGGRNRRPPVAPSSIGVGMDNDTELTDASMAFWSDERTRIVCDIFAEEVLIGNRSSTHLNKAGYNNVIQKFKTATGLEYTRKQFKNKWERLKSDHSIWKQLKSQTGLGWDGNGNIIMTDEWWKKMSKEIKGSGRSRQEGYKMRRS >PAN20791 pep chromosome:PHallii_v3.1:3:53111869:53112231:1 gene:PAHAL_3G411500 transcript:PAN20791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSGALKGAALVAMCVVLVHSSMEQQPLPPPTPPSSYCPNYCYSQCNTTCSAAAASSCQNVGLPVFHRCFYGCFNWCSRSFSPSSCGSMCGDLALTLLAVHTPAACSNHPRLRPTGSA >PVH61361 pep chromosome:PHallii_v3.1:3:941594:945089:1 gene:PAHAL_3G017200 transcript:PVH61361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCLETVEFIKSSLKLKAFETREEIKKYLLDTSNIVICTPLSCSTILSLQNKKNGHVVDLVVADDASRISSDLLTKICSFGIKNIVLACHMMPQLQSVYTELSSMNTKMHRLTQQYQLKRTNFIADTNVQKSSTPFIWVGIPEHLLAPMRNQGNTEKCALHACLAATETHYRLEAAIDEPPRKFTIKFCVEDMERQYKALTGNELGSETSDGQMGISRVENALKALKKTGVLGKGKCSKEMVPVAFRIYHHSKNTLARIWTKSVGFWRREELWLDPSIYQETTSPWTQGKHTSTILKNQS >PVH61360 pep chromosome:PHallii_v3.1:3:941594:945089:1 gene:PAHAL_3G017200 transcript:PVH61360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCLETVEFIKSSLKLKAFETREEIKKYLLDTSNIVICTPLSCSTILSLQNKKNGHVVDLVVADDASRISSDLLTKICSFGIKNIVLACHMMPQLQSVYTELSSMNTKMHRLTQQYQLKRTNFIADTNVQKSSTPFIWVGIPEHLLAPMRNQGNTEKCALHACLAATETHYRLEAAIDEPPRKFTIKFCVEDMERQYKALTGNELGSETSDGQMGISRVENALKALKKTGVLGKGKCSKEMVPVAFRIYHHSKNTLARIWTKSVGFWRREELWLDPSIYQETTSPWTQGKHTSTILKNQS >PAN19639 pep chromosome:PHallii_v3.1:3:19521622:19527557:-1 gene:PAHAL_3G287400 transcript:PAN19639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAALLPLAPSPLHPNQRRHLFRCGASRRGFTVHTAVAIATASAAASAAAAEAEAPPSPSPPPSPPTQAPPSKQGSPVLGGIANTRSWSQYYGSGFSIRVPPSFDDILEPEDYNAGMTYYGDKAKPRAYAARFASPDRDELISVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPAGAKVFSARTIKVKDEEDIRTYYFYEFGLDKQHVALMATVNSGKTYIAGATAPETKWGDDGVKLRSAAVSLSVS >PAN21707 pep chromosome:PHallii_v3.1:3:61647349:61652226:1 gene:PAHAL_3G483900 transcript:PAN21707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLNKIFKGSVNRVSRGHYDGDWHEGQSSDYNRDTYGDSDNEDIDRAIALSLAEEDQHKGTAAIDTHYNLEEDEQLARALQESLNAESPPRQNVPDENVPPRRNVPIEDVPPRQYVPAKEPPPHVYPASGFRTCAGCHNPIGHGRFLSCMGSVWHPECFRCFACNKPISEYEFAMHDDQPYHRSCYKEFFHPKCDVCDNFIPTNRDGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPREIKYITLDDGRKLCLECLNSSIMDTPECQHLYMDIQEFFEGLNMKVEQQIPLLLVERQALNEALEAEKNGHHLPETRGLCLSEEQIVRTILKRPQIGPGNRILDMITGPYKLSRRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRNLSIEVEEGICQVLSHLWLESEIIAGSSSNVASSSAASSSSSSAPTSSKKGAKTEFEKKLGAFIKNQIETDSSEAYGGGFRAGYPAVERYGLRRTLDHIKLTGSFPY >PVH62567 pep chromosome:PHallii_v3.1:3:25568227:25568587:1 gene:PAHAL_3G326000 transcript:PVH62567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLPELVDDLIAEILLRVKPGEPACLVRASLVCKPWRRLISDPTFRRRYRAFHRARLRIVCDAIEWRQIVS >PAN16256 pep chromosome:PHallii_v3.1:3:3092405:3094462:1 gene:PAHAL_3G048500 transcript:PAN16256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALELVRYCCRRMLMTHVDLIEKLLNYNTLEKTDTS >PAN18850 pep chromosome:PHallii_v3.1:3:14992213:14994905:1 gene:PAHAL_3G230700 transcript:PAN18850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGPSKRRLSGASNGGSGGNAAGGGSGAAAGQWEGSGNAARIRGVNAGIMDEKVLELVFRTLNWDPQSLCVVARVSRRLRAVAERVLWRELCVSRAPRMVAALTGGAPASAPAAGRIGGGWPALAKLLLFCCGAAGAAVPGHFAPVSRFSKTSGRSFLSRRCAGDLLYVSDPCEHAVAGAADDVGAYRGVFRGFMRSRTRAWLVGHRAPLEPRVRCPYCGARVWSMTAAGLAPRSASRRLGANDGCLEYFVCVSGHLHGSCWLARLSDSEGAGPDGSDADDASADEDDVGL >PVH61834 pep chromosome:PHallii_v3.1:3:8773572:8775694:1 gene:PAHAL_3G136300 transcript:PVH61834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGCAAVPLRGLALPLLLSVLPLALTAPSGASAAAARACTYTLRVKTSCASPAARTSDAVSVAFGDAYRNEAHAPRLPTSGARALERCGTDTFRVPGPCGYGVCYLYLRRDGRDGWAPEWVQVVQPGPRAPVAATFYFGDPLPDGVWYGHDRCPKAKAADADGEHAARPATSTDAQHASNSSASPRG >PAN18706 pep chromosome:PHallii_v3.1:3:14258448:14261481:1 gene:PAHAL_3G219700 transcript:PAN18706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGNLTNEELAARCTKAEVPAAGAGAKQSPAVPSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPQAQDLVAHLPPSMVAPFANGAADRAAAAAANAATGASAAMADVDKRHCHGGIKGLMGLNNSVGLVNGAMPLAHGLYYTPGEPWANGNAVHDQVGHGTSPQTVGHHSPFSSLLSLAPGPQLVFYSPEGGGFAMKEAADHQFPVDNLDHSQGQLSLSSSARSFLHPGSQG >PAN18705 pep chromosome:PHallii_v3.1:3:14258445:14261481:1 gene:PAHAL_3G219700 transcript:PAN18705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGNLTNEELAARCTKAEVPAAGAGAKQSPAVPSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPQAQDLVAHLPPSMVAPFANGAADRAAAAAANAATGASAAMADVDKRHCHGGIKGLMGLNNSVGLVNGAMPLAHGLYYTPGEPWANGNAVHDQVGHGTSPQTVGHHSPFSSLLSLAPGPQLVFYSPEGGGFAMKEAADHQFPVDNLDHSQGQLSLSSSARSFLHPGSQG >PAN16248 pep chromosome:PHallii_v3.1:3:3069546:3073911:1 gene:PAHAL_3G048100 transcript:PAN16248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHHLLRRGVSGGSPLHQLRGRLLASQELGWRQLSSAAAGEAAAELRGAREDVKQLLKTTSCHPILVRLGWHDAGTYDKNIAEWPKCGGANGSLRFEIELKHGANAGLVNALKLVQPIKDKFSGVTYADLFQLASATAIEEAGGPKIPMIYGRVDITAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLNDKEIVALSGAHTLGRARPERSGWGKPETKYTKDGPGAPGGQSWTSQWLKFDNSYFKDVRERRDQDLLVLPTDAVLFEDSSFKVYAEKYAEDQDAFFKDYAEAHAKLSNLGAKFDPPKGISLE >PVH62767 pep chromosome:PHallii_v3.1:3:47048745:47050643:1 gene:PAHAL_3G391600 transcript:PVH62767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEKEKEKEKEKHEGNHIEEEEENEEGNKRIVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVMNLTILSPGRPDLVLPIPFQADEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNIVWKTGVRVENQKMMLGTFSPQLEPYVYEGEEETTPAGIFARGSYSAKLKFVDDDGKCYLEMSYYFEIRKEWPGAQ >PVH62954 pep chromosome:PHallii_v3.1:3:57726597:57727034:-1 gene:PAHAL_3G438900 transcript:PVH62954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSTLRLIVTYAGAAEPPPRSLGWTVGPAVVVGETAAGAGTTGDNRMGWIGGGGDEQHSRGGGGADGTQAAAGTGWGGKVVGAADHQWRKGKGGCGGAAAASAAAMEAARWGGAGAMGMKLTE >PAN21973 pep chromosome:PHallii_v3.1:3:63369026:63371551:1 gene:PAHAL_3G503900 transcript:PAN21973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGESKGEVPPAAGCDGIDVCPDEVLQHILGFLEAQESVRTCVLTRRWRHLWKSATGLCVVADEGKFLGSVEKLCDFVDSLLRHRGGSPLHTCKLWFTSFGQAMSACLYEHDYRLTRLVNTWFWHAVRCQVRVLSLKAYDTGRFVHQRTLALDDRTIDSRHLMRLDLHSVVVGRRFLNFSNCPSLEHLKLQSCYFESENDSRLHICAPSLVSLSLILDYIWALIPSLESMASLLKAAVATEHSLEFCNLGKNCNCEFCHNSYSIGDDTGSNDCVLLKGLSEAKDLALISGPETFIFKRDLRWCPMFSN >PAN16288 pep chromosome:PHallii_v3.1:3:3224794:3225198:-1 gene:PAHAL_3G051100 transcript:PAN16288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFKLTLLFLILQKLLCASNMYSTASLHRGGSIRLSRDATIWIVGSLKLSFRITERGSSLCIVGKIEDAYIRTVFTNDLVVNLTDRYSSPIFLTPEGCRMRRGYP >PAN19366 pep chromosome:PHallii_v3.1:3:17908047:17913452:1 gene:PAHAL_3G268700 transcript:PAN19366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYEEVRDIGSGNFGVARLMRNRETRGLVAVKLIERGHRIDENVYREIINHRSLRHPNIIQFIEVILTPRHLAIVMEYAAGGELFDRIVDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLFVMLVGAYPFEDQDDPKNIRKTIQRIAAIQYKIPDNIHISDECRELISRIFVSNPSRRITMREIKSHPWFLKNLPRELTEAVQLSYFRRDNSVPAFSDQTTEEIMKIVKEARTMPKSSRSGYGYSDEFSDEEEKEEENEPKVEEEEEDECDKRVREVRESGELDMASLHI >PVH63297 pep chromosome:PHallii_v3.1:3:64345045:64347425:1 gene:PAHAL_3G516200 transcript:PVH63297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRTLYLLPAIEKSKKIYGPRMTERECFPEKHGNYSNRSGIAPFPNARPKLLHQNRSLPRYSPHPCHIARVHPHPLFPSRFQSRHPSRRPVLHRRSSQRRARHPIGLRGARPSGSYGSQRRAGDPIADGAPKRRQPRA >PVH63296 pep chromosome:PHallii_v3.1:3:64345045:64347425:1 gene:PAHAL_3G516200 transcript:PVH63296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRTLYLLPAIEKSKKIYGPRMTERECFPEKHGNYSNRSGIAPFPNARPKLLHQNRSLPRYSPHPCHIARVHPHPLFPSRFQSRHPSRRPVLHRRSSQRRARHPIGLRGARPSGSYGSQRRAGDPIADGAPKRRQPRLTDFCGYQPAELESTVTARYFLSCS >PVH62640 pep chromosome:PHallii_v3.1:3:33774969:33776590:-1 gene:PAHAL_3G351400 transcript:PVH62640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEDDVIVLGTGLKECILSSLLSVDGLKVLYMDRNDYYGGDSTSLNLNQLWKRFRVEEKPPAHLGASRYYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKVMSQA >PAN17402 pep chromosome:PHallii_v3.1:3:8346566:8360283:1 gene:PAHAL_3G129300 transcript:PAN17402 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MFFSGDPSARRRVDLGGRSSKERDRKVLLEQTREERRRRQGLRLQNSSATKIQKFFRGKKALELARSEIRKNFCSTFGEHCERIDWNSFGTNSDFLRQLLFFFNANEDNDVAILCQVCNFLLQYVKRGGDTVTLFAGVNDSSQQPLVAHRVKKLALICVQAVYQKRHDWGSQVLTAPGSTSVPSVSLLETVACLINPKLPWNCKVVGYLQRRKIYYLFRGIIISVPQKDRNFGQFDSASALEQVLMLVASHVGHHPCCCPAVDPRWSFSSQLLSIPFLWHRLPQLKKVFTVNGLSKYYIHQIACFLPSLADVLPNDISANHPGYACVLANVLEASTWILSDAKFASDTAADIISISTSLLDTLPAVTSPTERADDDDEMPMDVDVKNGLDVDLERQITTAIDSKLLQHLVNALFRGRLSTDHSDLSGPSDAEVDAVGSICAFLHVTFNTFPLERIMTVLAYRTEIVPALWNFIKRCHDNRRWPYFSKFASSLPADAPGWLLPMSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKQALWQLLWVIPSSSTLKVAPNPSGLKKLSVENVKTRARVGLSELLTQLQDWNSRLPFTSASDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTSRVKIFTSQLSSSRQSASHSGLTRHRFKIRRNRLLEDAFDQLSLLSEEDLKGPIRVSFINEHGEEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPASGLVHELHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKQKYNFLNDLPSLDPELYRHLLFLKHYNGDIAELELYFVIVNNEYGEQCEEELLPGGRDMRVTNDNVITFIHLVANHRLNYQIRAQSTHFLRGFQQLIPKDWIDMFNEHEIQVLISGSLESLDIDDLRSNTNYSAGYHPDHEVIEMFWEVLKSFSSDNQKKFLKFVTGCSRGPLLGFQYLEPKFCIHRAGVPGMEEHADRLPTSATCMNLLKLPPYKTTEQLQTKLLYAINSEAGFDLS >PVH63016 pep chromosome:PHallii_v3.1:3:59035420:59036091:-1 gene:PAHAL_3G454400 transcript:PVH63016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASYLRERAILTPTNDIVDLVNNHVVSLIPGEAKQYLSCDRISKAPGSHESLDILYPVEFLNSLNGNNFPTHELILKKGVPIMLLRNINQSIGLCNGTRLIVTALGNMVIEAQIMTGTHIGRTVLIPRISQTLKNTKLPFTMERRQLPVKICYAMTINKSQGQTLSSAGVYLKKPVFTHGQLYVAVSRVNSKKGLKMLIEDDDGNCTDTTRNIVYSEIFSNI >PVH62530 pep chromosome:PHallii_v3.1:3:22992906:22999151:-1 gene:PAHAL_3G316500 transcript:PVH62530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFKEVTQEGPGTNQHNDERSICLHAFSDLSHVPPATFIYLLKDCYVYGTNKATSKFKILMQLVKVALHNAPQPGPFTYAVQCMYIVPLLGKTYSEGFSHMLTSSLKHLKSVESVQKDFLDAKYLAAQLVLNILDSVVPHENRILVKLLETFEIELRDMAHALYGSELDDDLVKAREHLRQYVKCFMESESNAIAVALITRFSIQCCDESFLIKLIENYQLDIAEECATFMGKEMISLLIQKFLDMKMLKSANKLVKEHDLTEEFPDVSYLYKESLVKKLAEKGCWDIAEARAKKETKLVEYLVYLAMEAGYMEKVDELCQRYSLECYVDSLDLKKLDVQEIVWVDEINGLLNATSCIEACKIIGMDCEWRPNFEKNSKPSKVSIIQIASDKIAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLGFDIQCDLHQLAQSYGELECFQSYKMLLDMQKLFKGVTGGLSGLSKEILGAGLNKTRRNSNWEQRPLSQKQKEYAALDAVVLVHIFHEHIRRQPQFGVSEGCKAEWKSHVVSRVNSARRPLRF >PAN20098 pep chromosome:PHallii_v3.1:3:22993660:22998193:-1 gene:PAHAL_3G316500 transcript:PAN20098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFKEVTQEGPGTNQHNDERSICLHAFSDLSHVPPATFIYLLKDCYVYGTNKATSKFKILMQLVKVALHNAPQPGPFTYAVQCMYIVPLLGKTYSEGFSHMLTSSLKHLKSVESVQKDFLDAKYLAAQLVLNILDSVVPHENRILVKLLETFEIELRDMAHALYGSELDDDLVKAREHLRQYVKCFMESESNAIAVALITRFSIQCCDESFLIKLIENYQLDIAEECATFMGKEMISLLIQKFLDMKMLKSANKLVKEHDLTEEFPDVSYLYKESLVKKLAEKGCWDIAEARAKKETKLVEYLVYLAMEAGYMEKVDELCQRYSLECYVDSLVPENVFCGSDYLDLKKLDVQEIVWVDEINGLLNATSCIEACKIIGMDCEWRPNFEKNSKPSKVSIIQIASDKIAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLGFDIQCDLHQLAQSYGELECFQSYKMLLDMQKLFKGVTGGLSGLSKEILGAGLNKTRRNSNWEQRPLSQKQKEYAALDAVVLVHIFHEHIRRQPQFGVSEGCKAEWKSHVVSRVNSARRPLRF >PVH61449 pep chromosome:PHallii_v3.1:3:2213695:2214627:1 gene:PAHAL_3G035600 transcript:PVH61449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVFRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVASYPIGLFPTIDPGNTDWDFRTDHHGHLLGDLTEETVRIITRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEEDPEEIEGVSEIDSEHGDPVLSPHHSSSGSQSSVGNFDDF >PAN19424 pep chromosome:PHallii_v3.1:3:18140256:18143263:-1 gene:PAHAL_3G272300 transcript:PAN19424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSALVLAAHCAVLLMLALAGAAHGHPPSSAAGSALSSAFYDASCPGAHDVVRRVIQDARVSDPRIPASLTRLHFHDCFVNGCDGSLLLDDDLPAIETEKTVPANDNSARGFEVVDDIKSALEEACPGIVSCADILALAAEMSVELAGGPRWRVLLGRRDGTATNIESARNLPNFFDPLDVLQEKFRNVNLDDTDLVALQGAHTFGKVQCQFTTENCTSGQPEGALENLDKATPKVFDNKYYGNILQGRAQLPSDQIMLSDPAAAATTAPIVHRFASNQKDFFRNFAASMIKMGNISPLTGKDGEIRKNCRRINIKGY >PAN21504 pep chromosome:PHallii_v3.1:3:60515539:60516241:1 gene:PAHAL_3G471400 transcript:PAN21504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYMDDKQWKLSSSSKKGSRRSAAVADEGSPRGFKAREAASRGPAARSSVPAKRLASMVREQRARFYIMRRCVTMLVCWRD >PVH61976 pep chromosome:PHallii_v3.1:3:11077486:11080403:1 gene:PAHAL_3G167600 transcript:PVH61976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLQVSAKENGNEDTLEASIKKNAAQGTQEISGTKRERRGDPVADPSSLVPRTSRFRERPIAPAVQEHQKESNMCHQCQRNDNGRVVRCQGCTSYKRRYCVKCIKRWYPHLSEDDFVERCPFCRHNCNCKTCLRSAYVVKKVDKWKVSEDDNNKFSMCIAHFLLPWLKEFHEEQMVEKRIEALIQGVAEC >PVH62905 pep chromosome:PHallii_v3.1:3:56128715:56129538:1 gene:PAHAL_3G428000 transcript:PVH62905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSYELVQKGFHLTCTSMFHVDLLLLFQMFADNNLEVHRESLDAIPQQLSTPSRIF >PVH62032 pep chromosome:PHallii_v3.1:3:12358760:12359904:-1 gene:PAHAL_3G188400 transcript:PVH62032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIICHERYHQLIASSCYRLRQVVVFLLVQAVQPYGSQLPALRPPPPPHPPRCRLPRVHRLGRPPQRVPNPILLRRQLQPPQRERRRCLVTAQGCQSPPCRRHGGRPVAGAGAGRRLSIQTLPTKGGF >PVH63033 pep chromosome:PHallii_v3.1:3:59574803:59579052:1 gene:PAHAL_3G458400 transcript:PVH63033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLPADDAAKKELPPPSYRSLSAPVATPVDKFALLPAFLKVRGLVKEHIDSFNYFITRGIKNIVEANNRIEARNDPTIYLRYANVYVGTPSVQVEYKIENIMPHFCRLTDRTYSAPIRVDIEYTVEKQHEPQHKKNVLIGYMPIMLRSYTCVLHGRDEAELARLGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVITMDKEKIYLQLNQFTKPLHLRIKCGIFSSLILRFFRRSRILVQEIRRRVGQNQFYVMYLLHMCRLRMGTSDKNVYTLA >PVH61452 pep chromosome:PHallii_v3.1:3:2298517:2303602:1 gene:PAHAL_3G036000 transcript:PVH61452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIRLDLSCSGCRQPRLLDGAYQCTLTAGSLDEACGQLLCYSCSRAHSSATSHPCFRVVGLDSRVMAGVIDCPHRCGANLTPANYMKHARSCKLRELMCPMSMCRQKPFFWNTLASHLIDHHGFFSENTTYEGYKEDIPLIRCGTGVVLEGQEEYFVFFIAETGLYFIWLGDAEAKPDLPSFMLKVYLHQAGPDGGPREELGHYLSPHPARVMDVIHLCVIPRVTNVNITQQSFYLRVLIEDRA >PVH62698 pep chromosome:PHallii_v3.1:3:40745096:40745778:1 gene:PAHAL_3G373500 transcript:PVH62698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSCSARQHGENGAVEDGDADDGAKAGLSDAARRNASKGSRMAAAASTRPVESSTCYGEPPAGN >PVH63105 pep chromosome:PHallii_v3.1:3:60796199:60796861:1 gene:PAHAL_3G475100 transcript:PVH63105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPSPFAGRRPTIDALRGHPPWSSAEAAALELRSRRAPDNAGGRSRRAPPHQVPRGRLRLVRASDLRSITRSAPPRLHPPIPSAPARSPPSPRPVQEPRSAAASPPACRSTMVAAFGECGAGGEGGSAAGGSRGAGGGEGGSPLPSPCVAAHAHLDGGVPMVAGRVQQLLQRGATALEWCGGPAPAADAGEEGRRSALGRGEEGGGARGWRKETNRFSI >PAN16003 pep chromosome:PHallii_v3.1:3:1404043:1411794:-1 gene:PAHAL_3G025400 transcript:PAN16003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEPREGSDRTLDASPAAAPAPAAEEVEMEGADSGAAVGQPAAARAASEAEAGEGTAAERARDVGASVSEARVEVDEGGAAGEEHATKSQANGVDEGGAAGEGRSAVSATKEVNEGSVSGEVQDANPVVYEAKMEVDETGAASKENSAASTVNGCEANGVSVPGAAQDLAPVASEVMMEVDGGCIQEQECTAAAAAGEVKMEEGDGRVVNQGPAPPAAGLQVKEDVGECLVGRYIGRGAPGHAGILIGKVASYNSTTRVYSVVFEDGHGEDLGLPQLQEFLMSDENGALGMKVSCRKRKLDLLVSSGSVSEVKEPASTRQRVDGCETSARPDAPQHGGCGSDMSEDVESSSNSSDFTKEEPSEPCPPVQAVELPPSSGDIPVPEESISYLFSVYNFLRSFSVQLFLSPFGMDDFVAAINCTVQNNLLDAVHVSLLRALRRLLESKSAEGSQLASNCLKYLDWTLLDALTWPTFLLEYLYVMSCIKNLGGRSFGRSLLATEYYKLPVPMKLRMLQILCDHVIESDELKTELEDREGYNEEMEYEMDSSTLLEAGSRAVSTRASKASAYKKMNDLQNLESAPNVTNPEDTAVNASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGEWFCPECVVNKLGPTSSRIERGARGAQMFGIDLCGRLFLGTCDYLLVIGTSSDAESYARYYNRYDVVKVLQRLALSDAYGDICRQIEEYWKHLLGIVQSERSKVGKEIGVSHTPQSSMLSFTPTKAGDGSGWTTLKDGGSSKTVALPQTNVQQKFVASEEQKCMSSLGAVAENNADVCNRTLSAQYNIHNAPRNRAFAPSVVSSISHQNGSVVTGVYNIAQAQPAQSTSHPDLSTYVGTNSMPREGKEHLQLFAERPGNMSGGKSAKLLSFKPQAYMNLYNHGNIAASAAANLAVITSDEGKISASKLTANPRKRMAADNSLQLKAFSSAAAQFVWPSTEKKLMEVPRDRCGWCLACRSSAIGNKKACFLNMATANAAKGSARILSAMHVIKNSDSHFPSIVAYLANMEESLRGLLVGSLQDVQQKERWHQQLQEASNCRTVVPLLLELESNIRGVAFSASWLKPRDDWPVESPGLSAGSSRPAPYHKRGAGGRRSRRRSLALESGTSTDDDNSWTWWTGGNISKRTLQRGALLCSTIRKAARQGGKKRIAGLSYYEGSSFPRRSRQFAWRACVGLSQTSSQLALQVRYLDAHIRWKEFIPPDQIPSDGKSSDADLSSLRNAVICDKKIIDNKIRYALKFPNQKHLPVRVTKNILEAEGDQDEKRKLWFSENHVPLYMLREFEQNAGVSSLPTPDVWDSKCFTNFYPRRVRAYTGDVFSYLFHKGEVYPCTSCKKDVLYRDIVKCSSCQGNCHKECTSRSIVSKGVSATSTLTCKLCLQKRNLMLTSYNTNASYLRPQQKSTGQQQMTAPRIVFKVGSSHSAEPALKVEAQPVTKVKAQPATKVKAQPAAKVEAQPIVNVKTQSVAKVEVQPLAKVETLPITNVATPNIASVQAQPKKKAKNSKSEKPKKLKKVQAITYFGLVWKKNKTDKDDGSDFRANDIILKSKDGIGSSIKPTCCLCNKTYSPDFLYVRCERCRNWFHGDALQLEDERIGELVAYRCCRCRRRAIPQCPHSDDYTKPEPEFGEQTVATSSQSTMLSSEKTFALADQDPLLASYGIVEPVGEETVDADLSANMLSFASGSNQKLSIRRAQTKNCEYLDQARSVNGYYIQDQPPGNGNINFSHMNEFCFSEADSVDASELLGWDFSQGTAYAALPDSTANHQANDNSCGSFVMDQYEPQTYFSFTELLEADDTQFDNAFGMSTGLQDDGNCTGNFNQQGAGFDEMSFMIEDGASNMNLPTDDPSPDVVACHKCQNTEPPPDLKCAVCDLHIHRQCSPWDENVPPAETGDWSCGACREWR >PVH63110 pep chromosome:PHallii_v3.1:3:60929422:60930730:1 gene:PAHAL_3G476300 transcript:PVH63110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGGHEDGHAQARGDLQAAGPRAAPPVPDPEAADERPDQRRAGGHPPAQQAAAARAEPAAARRRVHRQRRRGRRGRRRGAGADARRRRALGRPPQEQQQEEARAARQPRRRRLLPVRVRRLLRREPPVVVAVLGRVLLRRGAGGGVPSAAAAVPEGDGIRPRGRDDEAAGAVADAVPAVSQPQDDMNIRTCREDHHPYQSHCTRVCCVVEEAVAASFFSLFHFSFVLAMS >PAN19219 pep chromosome:PHallii_v3.1:3:16908790:16913160:-1 gene:PAHAL_3G257200 transcript:PAN19219 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MAPVVRSCVSVKASASPVRYRSTRVGAVGLGAPARLRICTSSVPLGGDACAASISGRSLVSGGPSSLGGDGPSVSRRDVVATCSASFDRVGPVPVPAAAAVASAVRPAVASAFPERAKVVALVAAIMLLCNADRVVMSVAVVPMAAQYGWSSSFVGIVQSSFLWGYVFSSMVGGALADKYGGKKVMAGAAALWSLATILTPWAASRSTIMLLAVRALFGLAEGVAFPTMSTFLPKWFPTQERATAVGISMGGFHLGNVISFLATPIIMSHIGLTGTFAFFASLGYLWLSVWMFNVESDPIDSRTISKSELQFILAGRSGSKAKGTKCPSLRELFSRLEFLAVTVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMAVSGYVAGASADFLIKSGFSVGLVRKIMQSIGFMGPGVSLLCLRFAQTPSVAAVLMTIALSLSSFCQAGYFCNIQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAALYFSATIFYNVYATGDLIFD >PAN18321 pep chromosome:PHallii_v3.1:3:12716195:12718914:-1 gene:PAHAL_3G194400 transcript:PAN18321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVAGGEDAELAPDIHGLGECRMSSRLRFRGDP >PAN17342 pep chromosome:PHallii_v3.1:3:8040432:8043216:1 gene:PAHAL_3G123900 transcript:PAN17342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNQPQEPQHPNLRQRLDISNHTWRNWANGLPQEMRALIAERALDTDEKDLIRFRAVCSAWRDSIGGENRINRGIHPRRWILLPEASDAATLCKFGNIATGECIQLQNLHQLANHTVAEGPHGNPEGMLVLRDDTSFVIRLFNPLTRQLIHLPSLKTLVVGVEGDDDQMPDEEFGELHEVTAAGFVGGSKLVLYFDAIKMIAVAKPGDKDWEVVAYDRPLMSVATIGDHFYCLSTESLFEFDASTSPPQLVVVS >PAN18221 pep chromosome:PHallii_v3.1:3:12264102:12268499:-1 gene:PAHAL_3G186800 transcript:PAN18221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAAATAIAATPSASTRSARSGAGPVRVVARICPGGGSRGSFQVAARVSDAADSSSASVSFIPMATPAAAGALTTRKDHEYKLDYCYLKDDSSTRIFNNEVKHLLDDIFCGEGHSNACVITCGAAAKTDLIMGFQDHPGLLTLAMEQILHRAKPTGAAVSVSSYQVLQDSHVFDLLEPKDSEVHVLEDADGRTHLKGLSKVDIKSIEEFSDLCCGGSYKLKHSTKPSNQIQTKGHKGFVIYISRFDQSGRECAVSKINFLDLAGCVDTKQKNCGGGFAPSNYNRSLYAIMNVVQALNSNQSFIPYRPYKVTRILQDSLCKTSGAVLICCVDAVSCQDAVSTLTLASRSSQTVNEQFYNLSLGTRSCSKSNVNLSASAKNLSRSLLPSIQQRSSVLQKHGQTQFINGAVKATQTPTVDKRSEVAMHSAKKPVSSASTSINMKHSGAKSILSGRRLLFSPTTNSSKGDNRHVAPTMVVKTEEVQSSLGMAIQALSPFEACDETEKVLDTSSEIQQVVPCSLNELVSVDMQEKEPLCPLVQDHSSSDWHAENSYTDFGLTCSSDIADEFAEKTPVCATQSSPKLSDRLKEISNSLKLLSTRPLNITKQKPDMVCAQPFYTDVAEPKTPAVHLKFGHIEDLQESLKGRSTGIKQKSLAQECLAVLNSANKEQLKSLKGIGEKRANYILELRKESPEPLKNIDDLRTIIKMNKKEITKMMSAMVMDSEIDKEALC >PAN18222 pep chromosome:PHallii_v3.1:3:12263195:12268709:-1 gene:PAHAL_3G186800 transcript:PAN18222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAAATAIAATPSASTRSARSGAGPVRVVARICPGGGSRGSFQVAARVSDAADSSSASVSFIPMATPAAAGALTTRKDHEYKLDYCYLKDDSSTRIFNNEVKHLLDDIFCGEGHSNACVITCGAAAKTDLIMGFQDHPGLLTLAMEQILHRAKPTGAAVSVSSYQVLQDSHVFDLLEPKDSEVHVLEDADGRTHLKGLSKVDIKSIEEFSDLCCGGSYKLKHSTKPSNQIQTKGHKGFVIYISRFDQSGRECAVSKINFLDLAGCVDTKQKNCGGGFAPSNYNRSLYAIMNVVQALNSNQSFIPYRPYKVTRILQDSLCKTSGAVLICCVDAVSCQDAVSTLTLASRSSQTVNEQFYNLSLGTRSCSKSNVNLSASAKNLSRSLLPSIQQRSSVLQKHGQTQFINGAVKATQTPTVDKRSEVAMHSAKKPVSSASTSINMKHSGAKSILSGRRLLFSPTTNSSKGDNRHVAPTMVVKTEEVQSSLGMAIQALSPFEACDETEKVLDTSSEIQQVVPCSLNELVSVDMQEKEPLCPLVQDHSSSDWHAENSYTDFGLTCSSDIADEFAEKTPVCATQSSPKLSDRLKEISNSLKLLSTRPLNITKQKPDMVCAQPFYTDVAEPKTPAVHLKFGHIEDLQESLKGRSTGIKKSLAQECLAVLNSANKEQLKSLKGIGEKRANYILELRKESPEPLKNIDDLRTIIKMNKKEITKMMSAMVMDSEIDKEALC >PAN17645 pep chromosome:PHallii_v3.1:3:9486522:9487668:1 gene:PAHAL_3G146200 transcript:PAN17645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGVSCAVAGEGPTPGPRRRLGLTIDPAGDVEAPAERVGRLVRESPVVIFARRGCCMCHVMRRLLAAVGAHATVIEVEEAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGLLVPRLREVGALYG >PVH61831 pep chromosome:PHallii_v3.1:3:8659576:8662069:-1 gene:PAHAL_3G134500 transcript:PVH61831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPKFAAASSPPIDRSKVGCKPATTSPQKGHDRRRPQATAASTSSPLPSSSSGAARHRPRAMRGASAGRAFKSRGRLLLLLIAGRPRQQSRKTRLPRCFPIVFASKDPAARTKVVAARAPMAGGGERSRVPAGAAGLAVWATLLCNAASLAYFLRSYVVDGSRRRRGCVARSGADDGALRPEEDGALRSEDEEPCHAVALAPDAVLNLELGDPTMYEAFWREVGGCAATVIRGWQAMSYFSDAAALCWFLEPELEREVRRLHRVVGNAVVDGYHLVVGTGATQLYQAAMYALSSPARGDKPVPVVSPAPYYSSYPPQTDLQLSGFYRWAGDANTFAGGECIELVCSPNNPDGAVREAVTGGKAIHDLVYYWPQYTPITGRAAHDIMLFTVSKITGHAGTRLGWALVKDREVARKMVYFVDRSTIGVSKESQLRATKILGVVSDAYETPPAGDSGATVPRLFDFARRRMEERWRTLRATVAASGAFSLPEETTGYCNFNKRTVTACPAFAWLRCEKEGVEDCAEFLAGHKIVARGGEQFGGDARCVRINMLDRDQVFDMLVQRLAAI >PAN20182 pep chromosome:PHallii_v3.1:3:42269501:42272418:-1 gene:PAHAL_3G377700 transcript:PAN20182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAIRLLFLPLMAVAFCGYGVCGRSHFHKKPPRGVGRHRGGGKEGSVVSSPAVPPADDGTRPVAPQPPTGTMPSDPATPVQPAEPCVFDVRAYGAVGDGTTDDTQAFREAWRAACASDSAVLLVPSDGTFAITTTTFSGPCKPGLVFQVDGVLMPPDGPDCWPPSDNRRQWVVFSNLDVFSLRGAGTIEGNGEGWWNLPCKPHRGPNGSTLRGPCDSPTLLRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLYISSPANSPNTDGIHVENTERVGIYNSRISNGDDCISIGTGSYDVDIQNITCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGAVSGITFDTVAMENVRNCIIVDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDARSAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGTQQTPTIPPITCLQEGLPEALLDNPDLKCR >PAN16268 pep chromosome:PHallii_v3.1:3:3160656:3161600:-1 gene:PAHAL_3G049600 transcript:PAN16268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRGEVDTSRAFRSVKEAVAVFGEHILVRQAQIRPNGGGHGDRRVGREVNPRADGVAVAASDEKLERSDGVRASGLHPRESYSKPSVTFNAKQEASSSNNRSASTSNELPVPVPRPVSEDVPMYLVPTSPPFFASSPSLANDDEEQERKEADLMVLSSIKKLEEEAGRTRQEVSQLKRRLAEMELSMATLNAKLHRALSKVAHMEADNAAAARASIERGRSGDMALAVWAERRAPSRPQLGHLLRLGEADRDAVVSGGGRAVVAPARKVQKQKPIIPLVVPLINGVLFSKKRKTKDKESMYMKELYSLLRLS >PAN20838 pep chromosome:PHallii_v3.1:3:53782847:53785834:1 gene:PAHAL_3G414900 transcript:PAN20838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILLPYLLLLQLTAFSATPEAQITSGCPDRCGGIAIQYPFGIGTGCFRKGFEIICDRSMNRPVLAGTTKPVPVNHLSIRTAEARVMLPVAWQCFNSSDSVYAYSDGDVQFNLDEVYRISNTNNQLVVIGCNTLGYTQSQRSEGNDYDYGYYTGCMCYCNNSRSAMNGACAGVGCCRLDIPQGLTDNRMNFNVYTHKARLGYSPCDYAFLVDRSNYTFHTADLKMDINTTMPVWLDWAIRDNLTCDEAKKAAESYACVTPNSECRDSSNGLGYVCNCSMGYEGNPYIANGCTDINECERRQEYPCRGVCRNTLGSYECKCPSGSHSADPFNDPCNPNFPLAAKIATGAIGGLFIIAVAVFVSLLVKEKRKMKDYFRKNGGPIIEEVNKIKLFRKEELEQILNRNNCIGQGGFGEVYKGYIRDETHLVAVKKPKIDVKLAGQFANEVIIQSRVLHKNIVKLIGCCLEVDVPILVYEYVRNGSLDKILHDSNRVPLSLDIRLQIAAQAAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMITVDENYASTIIGNWGYMDPEYVLTGLYTSKSDVYSFGVVLLELITRKKALDPDNNILLGNSLDTYTKKKRVIELVDPEIAAIGSTGIFHSLAELIVQCLSSDVDQRPEMADVAEHLQYLLK >PAN19341 pep chromosome:PHallii_v3.1:3:17738471:17738807:-1 gene:PAHAL_3G266600 transcript:PAN19341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRRKEETHSTLFQAYANLLGEASQVLNILTCR >PAN19750 pep chromosome:PHallii_v3.1:3:20204827:20208084:-1 gene:PAHAL_3G295800 transcript:PAN19750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKVAAEAAAAEARRRLVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYVAIGALLQAISWLAIALWPAISLPVLTIFLLLSNFGASICEVANDAIVAEAGKQVTSSSGSGQLQSFAWMFGSSAGALGNLLGGVALSYFSPRIMFLFFAILLVIQFFTTVAIPESSLKLPRAATNLSALTSIRKQVKELSCALCMPEMFWSIIWFTLSYTVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSMSYNKYFKTMSARKVLSVLQFALALIMLSDVLFVQGIYRKIGIPDSIYTIVFSGLFEGLMFFKVLPFSVHIAKLCPAGCEGSVMAFVMSALALATIVSGYLGVALAAFMGVSGDDFSALPACLLIEAACTMLPLCCSSLIKERREKEKKEE >PAN20817 pep chromosome:PHallii_v3.1:3:53542096:53545542:-1 gene:PAHAL_3G413400 transcript:PAN20817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPVVSASTGVMNSLLAKLSALVEGQYGLLEGTKCDITFLRNELSSMTALLEKLATAEKLDTQVKVWRDNIRELSYDIEDCIDIFMHKLNRGDPQAGFAKNIIDQIKKLWSRYQIANQIQELKARVIEESERWLRYKCDESIAVAGKIEIDPRLPALYVEAEKLVGINGPIQVIEWLMKDDSTQQLKVVSIVGFGGLGKTTLANQVYNKIKGQFDCTAFVPVSRSPVIKKILRDLLAELGSKTSASDDERQLINELREYLQDKRYLIIVDDIWSTTAWEFVKSALPENNLHSRIITTTRHSDVAKSCCSSYEGYIHNIQPLSDQDSTMLFYKRVFQSQRPCPPHLEEVSLAIIQKCHGLPLAINTVASLLANKSEAIDQWEQVRDSMVSGLNSLVRDILLLSYYDLPYHLKSCFLYLSIFPEDCKIKRDKLIWRWIAEGFIPDVMGQTLDQTGDNYFNDLINRSLIQPIDIAYDGMARACRIHDMVLDLIISLCTEQNFVTIVDGQVYKCSTNKIRRLSLLSGFLENDVLQNIMNKCAHVRSLIRFRVVDKEAPHLPIFHSLRVLVLRCTCDLGNQHIKYIGSSLQLKYLEIGCPSITELPNRIGDLQYLQTLDIHGSKIRKLPPTIGNLKNLVRLLVDFHLELPDEIGDLQALHMLSHAYSYDSLKFWEQLRRLTNLRVLLIRLHDSNELDYHGNGKYQQALESSLTVLGKCGLQSLEIDSNDYSTNKLMDLLCYNAPFLRKLCNQSYISRLPHGMQSLVNLAHLDIRITRIKHEDLCILGAIPTLLYAMLTSLEAPTERLSIGRQQFYNLREFIFRSYGEGGLRMVTEQEAMPKVRSLHLSFRAKETESKIGFEFRFVHLTNLEHLRATIDCYMATRSRVEAAEAAIRNTASIHPGHPALQIERCREYKTVEDENAKEMRLQDDIIYKEVVRQEHARKRKCCEDLLPY >PVH62439 pep chromosome:PHallii_v3.1:3:19987180:19988826:-1 gene:PAHAL_3G293100 transcript:PVH62439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEKDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEKDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATIPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRSLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN15628 pep chromosome:PHallii_v3.1:3:834753:840189:-1 gene:PAHAL_3G015100 transcript:PAN15628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSPQPSPPPVSSPPSSSSSSSSSISIEEIPTRKRARTQAKGADKPKRPRKEAPRQTQSKEDPADPAPNAAAAASGKRSSVYRGVTRHRWTGRFEAHLWDKHCLTSLQNKKKGRQVYLGAYDTEEAAARAYDLAALKYWGPETVLNFPAEDYSGEMSEMEGASREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDHPLLLAQLQQEPQVVPALNQEPQPDQSETTVQESDSSEAKTPDDVAEPDDNAEPDANAQPGDNAEPLTVDAVIEESLWSPCMDYELDIMSRSNFGSSINLSEWFTDADFGSDIGCLFDGCSAVDEGSKDGVGLADFSFFEEGDGKQKDVISDMEEGIHPSTMISVCN >PAN15983 pep chromosome:PHallii_v3.1:3:1302120:1306690:-1 gene:PAHAL_3G023900 transcript:PAN15983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRHADEGGQLQLMEADRVDEEEECFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKILSQGFMTGSDLLLKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQVTSGGIMDAKD >PAN15984 pep chromosome:PHallii_v3.1:3:1302120:1306692:-1 gene:PAHAL_3G023900 transcript:PAN15984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRHADEGGQLQLMEADRVDEEEECFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKILSQGFMTGSDLLLKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQVTSGGIMDAKD >PAN19691 pep chromosome:PHallii_v3.1:3:19796184:19799664:1 gene:PAHAL_3G290700 transcript:PAN19691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDFSTLIEVSIQFKDDIDSAADYVMQNVLPNIIPDPSLPNPNDDLYIHGHQLAFDDTRTQLGLDPELNDANSNSVHFDQNDNEKADNLMAKLLKQPSTGLCPEFFDVPSTSGQNCVSEEFSAVCLLADLQLHASSERNLEISASEGDMSLHDDGSPHVTLRSSYSVNLESLDNVIADEHYKKNALMSNVAAISEMLQEVELNEEKTKRAISEANQAGNDILAKVEELKEMTTHAMEDNNTVAGEIFAEKSILATEAQELQTRLFNISEETKSFVVTIDEMHNTLQRRLAAAEAESAAAERAKLEREASAQKSLREQELSLEAAKNHSKRLEQQAQENAKLRQLLTDRGHAVDALHGEMIGIFDCITQLKLRVDMQLPVGEQWQHVSWSLSSSAVDAPAQVPLVLSSSAVDEPQQQAPSILSSSAVNEPLQQVPPILAFDEDLDQVSSTLSSSSFNGNGPLQLVSSRLSSSARSASDRSSAGSLASKSSWSSAAESSACGVSGGDFALDDGWDVVDDEETMVTPVRVKSTPMLL >PVH62140 pep chromosome:PHallii_v3.1:3:13943247:13944562:-1 gene:PAHAL_3G214600 transcript:PVH62140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELIVSLTYTVISKAAFGNKLGGMDPGSCRAMMKEVADLLETVAVSDMFPRLRWRDWATGLDAKIGRTARKLDNVLARALQEHEKKPEDEDEAADLLNDLLLVIKEGGEGLNLDRIDVKGLILDLFIGGIDTTSKAIEWAMAYLIKNPREMANVQEEVRQVAGPQGVLEEHLGRMSRPQAALKEAMR >PVH61487 pep chromosome:PHallii_v3.1:3:2936107:2937687:1 gene:PAHAL_3G045700 transcript:PVH61487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYTDIPVLPSNARPGDTMEVFFVKDSLLELTGPSRAILLWDEPVFEIDLKVKYKGSSSSEDDKILCLDFFGYNNICYKGSLSYTRTEVVSSKHSTVEVRFAHLKRSVEATIMARISKGSGNFSARLTACNTSIGEDVVLLDTRGQGVSVGKDGEVTLQRRVVVVEEKAQLVLGIKAEQLGDTAESSTMVEKKFGFYAKSSLRNEGYFHIGSSSLHILVAWSLLP >PAN19097 pep chromosome:PHallii_v3.1:3:16166352:16167191:1 gene:PAHAL_3G249000 transcript:PAN19097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLIALGFVVLMSMGLANAVRVARYSSADGTGTGGGGGGGYVNGAGSGSGSGTGEGESSSSGVHATAGGGGGGGGTSQYGGSGYGGGSGSGSGSGTYNQGTYSGYGESSNAGGTGGGGGGGQAGGSWGSSAQGSGSGTGSGSSYSNRYWYGPSYAGANANGNGGGTGSSQNGGGGGGQGSGSGYGNANP >PAN18887 pep chromosome:PHallii_v3.1:3:15150077:15153128:1 gene:PAHAL_3G233300 transcript:PAN18887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAFESWSGCVKCDEIKPSAPEAQKVPADLPKQMKAAAQAALEEMAASPRQKLLDVCCLQGIVDTIDHCSTIQCCKYRKQK >PVH62008 pep chromosome:PHallii_v3.1:3:11740260:11740663:-1 gene:PAHAL_3G178800 transcript:PVH62008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFKRKVNSMTEFVVIYHSVLDALSLDKMPSKHFLNKTLQDKWIGFSPLRITTVNQY >PVH62295 pep chromosome:PHallii_v3.1:3:17348303:17349759:1 gene:PAHAL_3G261700 transcript:PVH62295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSRCRAIPAGWRTARPPPADGSRTWRTARPSRSWIPGPRPACRWRPSAGRGRRPGCRPCPPGADPAAACRGTTTGGRKPPPRRQRYRPRPRRRRAWGARACLGGSSGTRRRRARCGGSRPWSSSGGSPCRSSGAG >PVH61531 pep chromosome:PHallii_v3.1:3:3469844:3475498:-1 gene:PAHAL_3G055400 transcript:PVH61531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLTQQDRHGVAMVPHNLIGPSQAQSSHRGAAHRGAANRGNPRPPSQTRAMERELQAPRPFATPPLANGWQWQSRSRTSGSECEKDAASPSGCDYEVEDVDDNYTSDDDGDLSDDTSDDYDSNASEKSFETRKMNKWFKSFFEDLDTLSVEQINEQTRQWHCPGCQNGPGAIDWYNGMQPSMTHAKAKGSTRVKLHRELAALLDEELSHRGTSVVPAGEQFGK >PAN21860 pep chromosome:PHallii_v3.1:3:62583747:62587015:-1 gene:PAHAL_3G495100 transcript:PAN21860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPADVDLGKLSYEIFSLLESKFLFGSAAGVGSVPGTPARAAAGEDRGRVRVLAIDGCGPGPGDALLAAVALARLEAALRERAGDPDARVADFFDAAAGAGAGGVLAAMLFLKGEDGRARYTAADALAFVAASLGRRQGGWGGEGARRSRWAALLFRRGERSPLRRVFGDATLRDTVAPLLVPCYDLATAAPFLFSRADAVESDSFDFRLRDVCAATCAGGAAAAAVRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLAVGVDDLLVVSIGSGSSAAAVAASATPSGGWRTPIPPRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPACSGKKALSSLDAKKAVAVADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRARSPGLPNVVIKQVAATPRPSSATTASSATATARTASTMPSPASQGSYGH >PAN20025 pep chromosome:PHallii_v3.1:3:22480160:22482700:1 gene:PAHAL_3G313600 transcript:PAN20025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRCAAALCALLLLSISGLALSDAPPSTPVSPSAACNATTDPTFCRSVLPPRGKGDLYTYGRFSVSESLAGARKFAALVDRYLARHRHLSPSAIGALRDCQLMAELNVDFLSAAGATIRDTDTLLDPQADDVHTLLSAILTNQQTCFDGLQAASAAWSDRGGLDAPIANGTKLYSLSLSLFTRAWVPTAKKAGHSHKGGGGGKGRGHHGHGGKHKKLPARRGLFDVTDDEVVRRMAIEGPERTVAVNTVVTVDQSGAGNFTTVGDAVAAAPRNLDGSGGYYLIYVLAGVYEENVMVPKHSKYIMMVGDGIGHTIITGNRSVIDGWTTFQSATFAVVGQGFVAMNMTFRNTAGPAKHQAVALRSGADLSAFYGCSFEAFQDTLYTHSLRQFYRGCDVYGTVDYVFGNAAVVFQGCTFYSRLPMQGQSNTVTAQGRSDPNQNTGTSIQGCALVPAPELAANTAFTTLTYLGRPWKNFSRTVVMESYVGGLVDPAGWMPWSGDFALDTLYYAEYNNTGPGADTSRRVAWPGYHVLGDGTDAGNFTVTSMVLGDNWLPQTGVPFTSGLLS >PAN18410 pep chromosome:PHallii_v3.1:3:13066346:13069741:-1 gene:PAHAL_3G199600 transcript:PAN18410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGPGLYTEIGKKARDLLYKDYHTDQKFTLTTYASNGAAITAASTRKNEAIFSEIQSQLKHENVTVDVKATSESTVTTTFTIHDLGTPGLKAILCIPFPYQKSAKAELQYLHHHAGVAASVGLNANPVANFSGVFGTKTVAVGADASFDTSSGDLTKYNAGLSYTTNDFVAAVTLNNKGDSLAASYYHLVNSTTAVGGELTHIFSSNENTLTFGTQHALDPLTTVKARFNNYGMASALIQHEWRPKSLVTISTEVDTKAIEKSSKVGLSLVLKP >PVH63155 pep chromosome:PHallii_v3.1:3:61562417:61564464:1 gene:PAHAL_3G482400 transcript:PVH63155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHNKLLQFLRPDPEAPAPAAKKQPSSSSDDEDDGYASSSAPTTPTTGATTPTTTNTSAAASPFAMSPWTQLPGLGFGAGDGYGGGAVANKTGLLGSLVKADGHVYSLAAAGDLLYTGTDSRTVRVWRDRRDLGGLRSGSGLVKAIVVAADGRIYTGHQDGKVRVWRASADDPATHRRVGSLPGLRDVLASALRPSRYVQTRRRRSGLWMRHFDAVSALCLDAAAGLIYSGSWDRTFKVWRASDSRCLESVYAHGDAVNAVAAAGFDALVLTGSADGTVKVWRRGARGRRGRDTWHTMERVLREGDSAVTAIAVSAEARVVYVGSSDGAVAHWQWRRGAPPGAAPRNGGALRGHKMAVLCLAVAGRVVVSGSADRTICVWRREEGADHARLAVLTGHTGPVKCVAMDEEEEEEDDGSGDARRWVVYSGSLDGSVKVWRVSASGGGAHGDAATPARAWKGASPSPLRPWTPYAAAPEPKHMGAA >PVH62427 pep chromosome:PHallii_v3.1:3:19715173:19716534:1 gene:PAHAL_3G290300 transcript:PVH62427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTQFQQPDRNRHGGNPPYQGGLNPYVFMYQTGPSAWNPNQVMHPGMPPMTAAGMFPMTAAGMPPPNAGGMHPHMSPQLQPSANVDEMHNPTDDEVEEVAIVGGPSNGGRKRGGSNTKLANFAVEEDVNIVRSWLEISCDPIVNTGQKRDNFWDRVMKQYNGRRGSFPKRTLRSVQSRWDKIKQEATKFTGYVAKAIRDDASGTSDADKTTLAASDFAAIEGYNFQFMHCWDLMKDEPKWQDVKQRSSKTVGSNTIDLDGDEASPAGTGKRPIGRDAAKACKKKCPSGSTSSSEYASNLQDLSLQKMTMWQEENSKKVNRFDHLASIEEKRFDEMREHNKSILQLEEEKIKIMRDKLNMQMQEKERERLEREKQEDERILKVDLDSCAPELRMYYEALREEILHKVSARRQRSRQV >PVH63276 pep chromosome:PHallii_v3.1:3:63844389:63845202:-1 gene:PAHAL_3G510000 transcript:PVH63276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMQAGVVCVLPARQTCEQMVFILERLRVRSQLVVLIPLFIEHARLMVISFMHGDAYYGIIIIIVYKRE >PAN16043 pep chromosome:PHallii_v3.1:3:1595253:1596367:-1 gene:PAHAL_3G028500 transcript:PAN16043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVEPLVVGRVIGEVLDSFNPCVKMIVTYNSNKLVFNGHEIYPSAIVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDAYFGREVISYESPRPNIGIHRFIFVLFKQKRRQTVTVPSFRDHFNTRQFAEENDLGLPVAAVYFNAQRETAARRR >PAN16077 pep chromosome:PHallii_v3.1:3:1762194:1767137:1 gene:PAHAL_3G031400 transcript:PAN16077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAALPLSGSDHGAMSGGGGGSFASLRAYGRALAQTPRRVARRACAATAPGEEMSRVRARSGAHMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLFAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLISVCICYSTKESSLVNMVLTAVHVAFILFIIVIGFVHGDARNLTRPADPSQNPGGFFPHGAMGVFNGAAMVYLSYIGYDAVSTMAEEVERPARDIPIGVSGSVVVVTALYCLMAASMSMLLPYDAIDPEAPFSGAFRGRKGMAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGLFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVGAELGRARSAWPTLAFLAAFSLVALSFTLVWKLAPPERGVRTGLLAACAALAVATVAAFQALVPQAHAPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYIRFGFFSVAALLVYVLYSVHASYDAEESGLDGGGAKVQDEACTV >PVH62288 pep chromosome:PHallii_v3.1:3:17141725:17142074:-1 gene:PAHAL_3G259400 transcript:PVH62288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFDIPFCCEGTVLFSAPIMSIISMSPHRKASTWLLNPDPHSGTLEIYTD >PAN16113 pep chromosome:PHallii_v3.1:3:2395412:2397350:1 gene:PAHAL_3G037000 transcript:PAN16113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMGGSMRHHLMCPISLQPMQDPVTAPTGITYDRRAIERWLAAGHATCPVTGQPLALTDLTPNHTLRRLIQSWRPTSSTPVGVGHRPDDVAANVAKKLLAMASCPPVDVLREAAEVAAQNDVARRNMVDAGVLQRMLRLAVSRAKAKSCHGDNKEVSLDDIATVTACLSLVRALAISGDELRPLVADNHVHELVDAVTDVLVALVPGPSGDPARASAVQLLDAVTEACGAQVLERLRLELFRAVTAVVRDRLSPSATRSALRALLHACPVGRNRALIVEAGAAHEAIELELDAPPPPAAGGRRATELAMALLAELCACADGRAAVAAHPAGVAVVARRLLRVSAAADACVVRVLAAVGGRAASPEVLREMARVGAVGKLCCVLQADCDAAVKEAARAVLRLHSGVWSGSPCVSAYLLSRYL >PAN21322 pep chromosome:PHallii_v3.1:3:56835596:56838202:1 gene:PAHAL_3G433600 transcript:PAN21322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDREMEMLLNEIPLLHHGGLLGGSGDAGADDDADLSFLIHELAAMGVVDGDDEPPAPPAADGLGFPGFIYPTKGDSLVASNPFSIANYCSHMPSLFDPVPFDATGAADGWDIRCSPPPSAPPAAMPRARCKSARRKNGAMAASPKKCGAAAAAAAAKPHGESLAGLRGFMYHVARDQHGCRFLQQRLDDGKREVDLIFAGVSRHAAQLMVDPFGNYLMQKLLAVCDAGQRMALVFTLTADPFVLVRISLNVHGTRAVQKLIESLRTREEIRLVVDALRPGFLELIKDPNGNHVVQKCLQSFEADDNKAIFDAASIHCLDIGMQCHGCCVLQRCIARSRGEHREKLVAAIACNGFELAQDAYGNYVVQYVMDLKIPNANSSLAQQFEGKYIHLSMQKFSSNVVEKCLKVFKEADKAKIILELLAMPHLERLLQHPYANYVIYSALQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >PVH62189 pep chromosome:PHallii_v3.1:3:15054667:15055782:-1 gene:PAHAL_3G232000 transcript:PVH62189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYRGKSSLAETAHGEGGGTLRQCSSPTGTTRLIGEACDAAAASTNRWRALCGRPAAASAPILPPPLLTSASSCHLWGMHTMCLMICL >PAN16903 pep chromosome:PHallii_v3.1:3:6068316:6070848:1 gene:PAHAL_3G095200 transcript:PAN16903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEPLPLFMGDGTDAALFSAFWSSFPDDLQQPPQESVAELKQSLVATTLELEAAKEELKKKEQSIAKLADLVRQVAKERDDARDQLQQLRLLAAAAPAPAAPPQPLVTSSVTDSDCSLVSSPVDPFFDPVTSADRRCKHSPATPPPPSAAAKQQCQPGPNVVGSAADAVLDMLASKRTLPQKGRLLAAVMEAGPLLQNLLVAGQLPRWRNPPTVHAPDTLPLGARAGYVSAPMAAGGANAVAAATTLGYAGSNACMKRPMMTAMPMLPLTPMAAGNSSSGFIAKRQRLH >PVH62621 pep chromosome:PHallii_v3.1:3:29310453:29314889:1 gene:PAHAL_3G340500 transcript:PVH62621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTLAAKKTLSLALGGARPLAAAARGVTTVALPDLSYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAIAKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPISEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWVWLALDKEAKKLLVETTANQDPLVTEGASLVPLLGIDVWEHAYYLQYKNVRPDYLNNIWKVMNWKYAGEVYENVLA >PAN18699 pep chromosome:PHallii_v3.1:3:14241011:14243128:-1 gene:PAHAL_3G219400 transcript:PAN18699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHGHHDPAPPACCCCGCGCGYSGGCAGAAPCYYPAPVPAPPSSAASDHLLHAIAAHLLLSSPAPAQPPPQPQPQAQPAPPPPPPAAHHATNPYPYPHPYQHQHRYQQQEAKTHAYTHPPAPPQPNPSGDHGHLLLHSLLRRVAALESALPRSFPAPLPARRPPHPNPRPRRGARYQEEVEEEESESEPEPESPPSPPLRGQPRRPARAGPPSAASERAARTIQAHFRRFLARRSRTLRQLKELAVLRSKAAAIRGSLSGRRGCADPAAVSEAAMGVLLRLDAIQGGDPMIREGKRAVSRELTRILEFVDKVLVKEHEQVAMGDALDTDEYHEGCKAAYMAGRPSTSKKKVSFSGNGQVHELNGNTENGNEVDESSENSSSAESDEVKPSKRGANGKPGLAAPMPVQMESRRIADERR >PAN16117 pep chromosome:PHallii_v3.1:3:2430479:2433056:-1 gene:PAHAL_3G037800 transcript:PAN16117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERRSSFASTAAGASQSRGTERPSVSTSVEPDTIDGLARPTRCSLLVQVGGSSRLEVGKGLVYLGVSQLDDAQVSDVCVVVKIDLLHEFAKDIKLDVPPDDMTTTLRDAVARRVQWRRAGIDIDPADADSVLTTEPQPQSPPVLLTFSEPRPQLPDSWEMFPDPHPCVPTQPQITPLHLFRQSQLPLPRSQARLIL >PVH62246 pep chromosome:PHallii_v3.1:3:16070937:16073002:-1 gene:PAHAL_3G248000 transcript:PVH62246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRSPYKAAMSSDPGGGGGGTKLEGQAARTGGAAKADATEKLTGNSDPKVDADAVTVEAAEEVTGVKDAGTDGDGGLAMVSGFLYKIEAAGMVDAEIDGAGYGENVQGKLGVESGGLQSEEGAAAKIVERSAYHTSQHAEAELNKSDQSARYCLPHLDSGDIRVSDLVRGKLEGYNWWPGQIFDPSDASELALKHQISGNHLVVFFGDDTFAWCDESQLMPFMTNYAQMEKQSNSDMFVNAVNHALEELARQILLGMSCLSSPEELSVSESGMSYLVENHGLRDGVTSSTTNRAEVLKHFCPKNLVQYVKLLALCPGQGGDLLELVIACSQLTSFYRSKGFPELATFQTTSGRAENAMDALSAKNVEEDVSSIVDSNYDKSREGIRSPRKQKPEDGIEGQNRIDVHSPVVEMTDHTLDSCWSELSLHNDPIYSLKRANTRTKHTHKRRSSPERSVPSSQQVQPATLAPKKIQVMERPIIHVNAKMAHEVKPTALVLRFGRSAALPLEMDLIKMFRGYGPLKQTETEVHKDTNTVMVVSKKRVDAERAFSVAGNYCTFGPWLQSYCLVNMPFSLGPLEASNPVTYLEAN >PAN17008 pep chromosome:PHallii_v3.1:3:6431383:6437535:1 gene:PAHAL_3G101200 transcript:PAN17008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGGTSVLGIVNFITFLISIPILGGGIWLASRANSTDCIRFLQWPIIVVGLVLMVISLMGFAGACYRQTWLLRLYLFAMFFVVLALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYSGWLRERVADPQYWATISACLRDGHACSGMRRLARDPNTGMLVPETPGMFYVRNLSPIQSGCCKPPSSCGFTYINETYWTPNPGVPVISDADCNRWSNDQHTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNAKRIENDEPIGMARMTKSQPSRFQF >PVH62573 pep chromosome:PHallii_v3.1:3:25654044:25654396:-1 gene:PAHAL_3G326700 transcript:PVH62573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCWGRENWRRCPPLPIGLRFHSSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLVVRRRGRGG >PVH63106 pep chromosome:PHallii_v3.1:3:60792962:60797717:-1 gene:PAHAL_3G475000 transcript:PVH63106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGAAATLRNINDAMEEVRRRSLRDRGCTIKEAMKDMRSGTWEWRRKVYRMLQANAGLAEADPWHLPAQFVMPMQKKPGSSRLSTHSGYWEEKEDDFIAIRSEGGGEGSSSPSSSPCYEGVRRTLEFYEHNGAKTDWVMHEYNHLDAKMFIQDDMVFRKVFNKKHTYRGPNHLKLVDDIMEICRKRLAELAERESRHGRDYTKPALLFFVMELVLAKRNLLSKFMPSLRGGFEETESGCGEFPTASAAPDGESDDVWQHFTRINTKDPDVVYAACHRCDRVLRAHSKNGTSHLRRHRTTKTCTCSNNPSSTTEDQESLRELRANLDLYKQGKMEGRVVDSPDLNASVDPWDLPTPRYFTSSLSRKTHQGRWEEIKSNDKLIAIRIGQLPVPQYAGLKRALEFHHDDGTKTDWIMLEYHQVDDYNTRDLLLEGSMVFRKVIQIFKDAVKELERMWNGDDEEEESYIGEREEELEACMSTLLRDCLLGEVGQGDQSRVGKRKRTGAPGGRSKIWLHFTKIYTKDPDRVYAVCHCCDRCYKGHSKNGTSQLIRHNESCSSKQRKV >PAN15954 pep chromosome:PHallii_v3.1:3:1231806:1237450:1 gene:PAHAL_3G022400 transcript:PAN15954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSSAKPFYSTTQTPTPIANRLPSPTLSSRTAPRFAHGLSAAAAAAAIRASPSARRLRALARPVRASQQQPRRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPAGEDGHVPVICGLSRCNKKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGNLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLTSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEISDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLSDIQATCGTLGLSTATVKLIGPDGEEKIACSVGTGPVDAAYKAVDQIIQIPTVLREYGMTSVTEGIDAIATTRVVVTGDVSNNSKHALTGHSFNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGAVKASSEVPQSTRVQSRE >PAN17605 pep chromosome:PHallii_v3.1:3:9213004:9215010:-1 gene:PAHAL_3G143100 transcript:PAN17605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACIFEEVQRGERERGGEAVARQHATGSLDRNGMLLIIRVTLSMCYCLLSNKLPCCVDMSTKLGTR >PAN22090 pep chromosome:PHallii_v3.1:3:63907472:63913701:1 gene:PAHAL_3G511400 transcript:PAN22090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAMLYGNLPTLRFLLDHGANLHSQHKGIGLLHAAAEGGRSEIAKFLLSRGARADVEVEPTSFTPLFIATFRGYASILKMLLEQNADPNVCMNDQIIPLNMALRHCSVACLKLLVQAGADVNVFGSYNPLATAAEKGLTEAIKCLLEAGANPNVPDTFGRMPIELVAEYGTWEDVELLFPVTSKITTVADWSVHGIISHVHMEVMQFEDDDFVKKRKSLS >PAN18046 pep chromosome:PHallii_v3.1:3:11519966:11520823:-1 gene:PAHAL_3G174400 transcript:PAN18046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRGGRGRRASGRRCGWPRCRTWRPWTTGSAGASTGRRTSSAPCTPGQPHTSQPPRHSHLAARNDYYGQSRVDGVERATLTRVGPAIGFALCRAYFRCTHRNSQGCSATKHVQRADGDPLLYDVVYHGAHACAQAAHPGAEHLRRQLQPEGHADAEQSSPLAPEAECLQAGLEPVAPYSFAPAPGAGADFVGYFPLLSPTGLEWQLRSSYAAGGLGVGMDYEPHFEEFYKNATDPFQWEYSDLYAAN >PVH61996 pep chromosome:PHallii_v3.1:3:11519723:11521220:-1 gene:PAHAL_3G174400 transcript:PVH61996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCGGEKRALASELAQVQAMVRELEARMDQDLPAAARELCGELASSVDRSIRIARACGWYSPGSRDGSPRSDGAQHPGGGGGGNAQSKRRQVERSTFCFIVRCIEQQSSDDSSDMCPLALSVCCRRKGTPCVRTQVRVAAVQDMAPLDDGLSWRKYGQKDILGAMYPRAYFRCTHRNSQGCSATKHVQRADGDPLLYDVVYHGAHACAQAAHPGAEHLRRQLQPEGHADAEQSSPLAPEAECLQAGLEPVAPYSFAPAPGAGADFVGYFPLLSPTGLEWQLRSSYAAGGLGVGMDYEPHFEEFYKNATDPFQWEYSDLYAAN >PAN18045 pep chromosome:PHallii_v3.1:3:11519723:11521229:-1 gene:PAHAL_3G174400 transcript:PAN18045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCGGEKRALASELAQVQAMVRELEARMDQDLPAAARELCGELASSVDRSIRIARACGWYSPGSRDGSPRSDGAQHPGGGGGGNAQSKRRKGTPCVRTQVRVAAVQDMAPLDDGLSWRKYGQKDILGAMYPRAYFRCTHRNSQGCSATKHVQRADGDPLLYDVVYHGAHACAQAAHPGAEHLRRQLQPEGHADAEQSSPLAPEAECLQAGLEPVAPYSFAPAPGAGADFVGYFPLLSPTGLEWQLRSSYAAGGLGVGMDYEPHFEEFYKNATDPFQWEYSDLYAAN >PAN18338 pep chromosome:PHallii_v3.1:3:12786896:12789883:-1 gene:PAHAL_3G195400 transcript:PAN18338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSQLDDEIVHGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRVCFTHHPSSILCSSIYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKKCGFSLEPSPNVTNEAAFTPDGQYVISGSGDGTLHAWNINTVQEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPSQSS >PAN18756 pep chromosome:PHallii_v3.1:3:14494192:14499794:1 gene:PAHAL_3G223100 transcript:PAN18756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKHGRNGYDDDNVNPFAGGSVPPATNSRLSPLSHEPADFYNVDIPLDSTKDLKKKEKELQAMEAELNKRERELKRKEEAASQAGIVIEEKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSFLGLIACLFFNIIATTTAWIKGEGVIIWLLAIIYFISGAPGAYVLWYRPLYNAMRTESALKFGWFFLFYMIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVISKSAIVGIFYFVGFGLFCLESLLSIAVIQQVYMYFRGSGKAAEMKREAARGALSSAF >PAN19207 pep chromosome:PHallii_v3.1:3:16823577:16826312:1 gene:PAHAL_3G256400 transcript:PAN19207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTECSDLGEEFWLPEEFLDDDFFSEEEKAAVAARSESDEEDSLAGLSRRLAGLLGDDGERKAPAKEVVTVGSPQSTLCGLPKSGQESPNGGASKGTSPPSSPLEQRPADPWELLYEAAGQVARMRSVTNSIPVPSNAYGFNGHGGFAPPARKPSPPPVAPPATKAPAGGYYHPLAHLVSQRQMQAAQFHLLKQQQLLKLQRERHLAAAAAWSACQGAGAKPVGCGGCDAPPGLNPAAWPPLQKAPQQHQAPALPAGGMRAVFLTPPGAKRERNGTGVFLPRPAGAPTEPKRKTGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALISRSNMLASQKRRAAAAAVASPELCHSS >PAN18824 pep chromosome:PHallii_v3.1:3:14861483:14876800:1 gene:PAHAL_3G228100 transcript:PAN18824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGGVGATDEIPEVEREVVERAPEEPGRVGSKRVRDSPSALGSHGFYGVTTAEKKPMLQPHNVDKSLSKAGNSNLLHMNSDGASKVGGRYLHGTDGLGTPDSSALRLNILSPGENLENETKWATKICTFYTQGWCKKGNSCAFLHEREGLGFAKAGLLAPPGFENHRGSEEGSQGQHQSNLKVPQFKDAEGSSKHELYRSLIHVYGEDNERLAHIADKRNSTTPGISQGLHGTGSIVQGRVVHEKNHKPFMRHHTGLSPETYLDGRGILLDGGNFQSGMDRRNGLSNAHVARTFLDANTLNSGHQFQSCGLSISSDPFQLSEKLSAYGATTENLPNTHQKEHSSHASYSSRSLTGFRNPGYAIPEISLGSPTLQTTSQLGVQFHHLFTTGTEKVNLHTYIDADKGCGTSRPALLSSSSSEPYIMSAGPLSPIKDEVWETSVPFVPSFSFPDSTTPPGSQYDPFVDYIEPPKVGDTDNLKSSYVSFSTSSQHTNQYVITDESLNRDDELTRNIHAKGANGPAYLISSDRGHSSSLDDNNRVKSCERKIGAANNDEKARDFRFHLAEHIKELIKPIWKAGNLSKDAHKLVVKKSVGKIVDSIGPNQIPTTEELIAKYITTSGSKIEKLVKAYVDKHRTT >PAN18825 pep chromosome:PHallii_v3.1:3:14861483:14873983:1 gene:PAHAL_3G228100 transcript:PAN18825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGGVGATDEIPEVEREVVERAPEEPGRVGSKRVRDSPSALGSHGFYGVTTAEKKPMLQPHNVDKSLSKAGNSNLLHMNSDGASKVGGRYLHGTDGLGTPDSSALRLNILSPGENLENETKWATKICTFYTQGWCKKGNSCAFLHEREGLGFAKAGLLAPPGFENHRGSEEGSQGQHQSNLKVPQFKDAEGSSKHELYRSLIHVYGEDNERLAHIADKRNSTTPGISQGLHGTGSIVQGRVVHEKNHKPFMRHHTGLSPETYLDGRGILLDGGNFQSGMDRRNGLSNAHVARTFLDANTLNSGHQFQSCGLSISSDPFQLSEKLSAYGATTENLPNTHQKEHSSHASYSSRSLTGFRNPGYAIPEISLGSPTLQTTSQLGVQFHHLFTTGTEKVNLHTYIDADKGCGTSRPALLSSSSSEPYIMSAGPLSPIKDEVWETSVPFVPSFSFPDSTTPPGSQYDPFVDYIEPPKVGDTDNLKSSYVSFSTSSQHTNQYVITDESLNRDDELTRNIHAKGANGPAYLISSDRGHSSSLDDNNRVKSCERKIGAANNDEKARDFRFHLAEHIKELIKPIWKAGNLSKDAHKLVVKKSVGKIVDSIGPNQIPTTEELIAKYITTSGSKIEKLVKAYVDKHRTT >PAN17622 pep chromosome:PHallii_v3.1:3:9313053:9315304:1 gene:PAHAL_3G144500 transcript:PAN17622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATVVVAPAPVAITPPPQRKLMELKSSFAPAAKSSPARKAKPAKKKLAGGSGGYVLEDVPHLTDYLPELKSYPNPLQDHPAYSVVKQYFVNPDDTVAKKIVVHKSSARGTHFRRAGPRQRVYFQPGEVTAAIVTCGGLCPGLNTVIRELVCGLHDMYGVASVLGIEGGYKGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQDTAKIVDSIQDRGIDQVYIIGGDGTQKGAASIHEEVQRRGLKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFVERRLRDNGHMVIVVAEGAGQDLIARSMHLADTHDASGNKVLLDVGLWLSQKIKEHFKRKPNFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLTHEDVEGAGQEEEEPHLSLVEGENALVRSPSMCNGNGHRCSGAA >PAN22086 pep chromosome:PHallii_v3.1:3:63969653:63972066:-1 gene:PAHAL_3G511800 transcript:PAN22086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEVRHWNVDVNGVSIHVAEQGPADGTAVLLLHGFPELWFSWRRQMAALAARGFRALAPDLRGYGESSVPDDPAAYSIFHLVGDVVALLDHLQLTKVFVVGHDWGAQVAWLLCLFRPDRVRAVVALGVPYFPRHPRPMTEVFAAFGDGFYMTQFQEPGRAERAFARYDVATVLKKFYSIQIDNLTAPPGMEIIDFLEASPSPLPWIAEEELIQYAEKFQKSGFTGPLNYYRMAETNWRLLAPWNGAKITVPAKFIAGDKDIGVQSFGIEQYIKSGGFKSNVPELEVAIIDGHHFLQQEQAERVNSEILSYLDKFTSE >PAN20042 pep chromosome:PHallii_v3.1:3:22594481:22613807:1 gene:PAHAL_3G314400 transcript:PAN20042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLERLPPPAGSRHGHYRYARMPPPPGPEGDASPSDPEPEPERRPEVLAASASASLRVPDAARMFDELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQFRWRLYKKASQVFYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPAVHDEDEADDVNIPPQSDDNSIRNRNVPSSAVLPVIRPAIGRQNSISDRAKVAMQEYLNHFFGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKDQKKRCCSCGLFNCCKSNWQKVWVVLKPGFLALLEDPFDPKLLDVIIFDALPHMDINGEGQISLAKEIKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLLEDGSMVQWFIDGQAAFEAIASSIEEAKSEIFITGWWLCPELYLRRPFQNHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDSPEHKVTDSPPVIWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQAIPLLMPHHHMVIPHYKGRSKETNDEAEGKQYHDRDIDIRKPVLTSRTSCQDVPLLLPQELEPQELSSADLRVTDLDIINHSDRLNKKSFNQPLLNRKAKLESSHQDLPMRSFVDNLSSIESSSIRRFDSSKDDRNLMDKKWWEKQERGDQVSSVLDIGQVGPRAACRCQVVRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLFDVIGPKALDYISFYGLRAHGKLYDGGPLVTSQIYVHSKLMIVDDRITLIGSANINDRSLLGSRDSEIAVVVEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHLRDKIGHTTIDLGVAQEKLEAYQDGDLKGADPMDRLQLVKGHLVSFPLDFMCQEDLRPYFSESEYYTSPQVFH >PAN19194 pep chromosome:PHallii_v3.1:3:16716618:16718921:-1 gene:PAHAL_3G255500 transcript:PAN19194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGQAGGWLLDYGLVEEEIQGSEFIYMVDDPAVSSVILGFDAPRKEDGVQDNPAAKKRSRPESSAPPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVAILSDAARLLSQLRAEAQKLKQSNESLQDSIKSLKAEKSELRDEKTKLKAERERLEQMLKGVGAAAAPAPFVPHPAPSFHPAAFAQAGKFVPYPSYPPPAAFWQWIPPTSLDTSKDPAHWPPVA >PVH61851 pep chromosome:PHallii_v3.1:3:9090055:9092714:1 gene:PAHAL_3G141400 transcript:PVH61851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLNFFPSIASEHLSPLQIPEAEKTPRPSAYSSSCSRQSLGHGDKLHLAHHNPHSLCLPPPHPPPSRCRPSLPSPLKPNRFRIPPKPPRFSRVPSISPSLKTPTVGVLSSVASTLRTLLFLLAAGLLSLSGVRPLPALASAPPPTLQPQEIEGQDDDEQQECEERKQEVEKAEVKEKEEQQEDEDDDEMRMYSAILSRSPGDVDALKCALYAKMRRADWGGALRYARRLRDAEPGEVEWRLMVAQLHELSGDLAEAERQFREVLAEDPLLLRALHGFALCMHKKLEGPTVFEILENALQVAVSEKRVPEERNIKLLIAQMHVVKGQFDVAAEKLQNLIDEDPRDFRPHLCQGIVYALLDRKEDADKQFDIYRSLVPDEFPDKSFINDVILAAKMESDDRIQKEFGSEFLSKK >PVH61850 pep chromosome:PHallii_v3.1:3:9090117:9090989:1 gene:PAHAL_3G141400 transcript:PVH61850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLNFFPSIASEHLSPLQIPEAEKTPRPSAYSSSCSRQSLGHGDKLHLAHHNPHSLCLPPPHPPPSRCRPSLPSPLKPNRFRIPPKPPRFSRVPSISPSLKTPTVGVLSSVASTLRTLLFLLAAGLLSLSGVRPLPALASAPPPTLQPQEIEGQDDDEQQECEERKQEVEKAEVKEKEEQQEDEDDDEMRMYSAILSRSPGDVDALKCALYAKMRRADWGGALRYARRLRDAEPGEVEWRLMVAQLHELSGDLAEAERQFREVLAEDPLLLRALHVGIRLSITYMLLLI >PAN15811 pep chromosome:PHallii_v3.1:3:174367:177036:1 gene:PAHAL_3G003200 transcript:PAN15811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVSTNVNLEGVDTSAILAEASKAVANIIGKPEAYVMVVVKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSVPKSRFYLKFHDSKRSDFGWNGSTF >PAN19099 pep chromosome:PHallii_v3.1:3:16176645:16177439:1 gene:PAHAL_3G249200 transcript:PAN19099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLISLGFIVLMSMGLANAVRVARYSSSDGTGSGGGGGGGYVNGAGSGLGSGTGLGESSSNGVHATAGGGGRGGGSSQYGGSAYGGGSGSGSGSSTYNQGPYYGESSSAGGTGSGGGGGQAGGYWGSSAQGSGSGTGSGSSYSNRYWYGPSYAGANANGNGGGTGNSQNGGRGGGEGAGSGYGNANP >PAN21763 pep chromosome:PHallii_v3.1:3:61894716:61895246:1 gene:PAHAL_3G487100 transcript:PAN21763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSLLAAALAAAVALGCCGVAAADAVTDSCNAIRDFVDVSFCAARLGSVPGAAVADRHGHLLMAADLAAASGASAGDAAAAAAEARGDRDPAARDALRACVFLYGAASVPALRLMRGYAAARAWGAARALLLLTGKAGIGCDAALAGSAAGGMAAANREFDQLSAMATALLNVVD >PVH62083 pep chromosome:PHallii_v3.1:3:13127269:13129148:-1 gene:PAHAL_3G200600 transcript:PVH62083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKALNEAGFGQGIKVVVPLNADIYSSPENKPVPSAGSFRKDINDLMVDIVNFLHVNDAPFVVNIYPFLSLYQNPNFPLNFSFFDGATKPVYDQGVVYTNVFDANFDTLVWSLRKAGVPDMRIIVGEVGWPTDGDKNANVKYAQRFYSGFLKKMAKNVGTPLRPGHMEVYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFSMDLSGNGKVNYLAEVKGVQYLPSQWCVFNKDAKDKYKDLPASVNYACSNADCTPLGYGSSCNGLSHDGNISYAFNIYFQTMDQDVRACSFGGLAKITTTNASQGGCLFPVQILSVSGRVVPLIFLPLSLVLLVSVFTLL >PVH62390 pep chromosome:PHallii_v3.1:3:18990133:18991048:-1 gene:PAHAL_3G282600 transcript:PVH62390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCLPQGYNGCQFQRVVKTFMIQGVDFLKKKTIMDHQEQLMYFFNMELWMHYLRQPLAHSQSTCTWMASCTQLCK >PVH61272 pep chromosome:PHallii_v3.1:3:169369:172211:1 gene:PAHAL_3G003000 transcript:PVH61272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPTTASIRAPCPGLNRVSLPCCLRRPRRATPWWRPPRCSRKGKSVARTSWRRRHRGDQRLRERMTKSRRPVRCVGWLRIDGVAADIISIAAPAVLALAADPVTALVDTAFVGHIRKGSDELAAVGAFASVFNLISKLFNVPLLNVTTSFVAEQQAVNGNSSNTTGQRDEFLMSEKKASQQRKVLPAVSTSLALAAGIGLLEMVALIVGSGTLMNIIGFTNASTSRTISYIEGIWCSTNYSGTCSLGCISWIPGYEDTIVCCGCWQSIKCNTGCCTYLPTWSRSKRRCLGYSDL >PVH62106 pep chromosome:PHallii_v3.1:3:13397697:13400726:-1 gene:PAHAL_3G206600 transcript:PVH62106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWRVNPIRQPEACHRSRRSEFPLVMVFALHTLLPECPLPVASSPRTPQDRHRPHLQLVRHQQLASCGGRNRRPPVAPSSIRVGMDDDIELTDASTAFWSDERTRIVCDIFAEEVLIGNHSSTHLNKAGYNNVIQKFKTATGLEYTRKQFKNKWERLKSNHSIWKQLKAQTGLGWDGNGNIIMTDEWWKKMSKEIKGSGRFKTRGLQNEDKLEIMFENLHNTGEDHWCISSGVPPSQRYQPSEEEEEEEEEDNSEPDPGTPTSGAKRRNKLSDNSKGKQPKTSKGSWLLGEVERMVEMNERTTRSCESIARSAKEKVQSVYSIQVVMALVKDCGAVPGTNEHFIATTIFTKKVERRDVHDIRELRGEI >PAN19104 pep chromosome:PHallii_v3.1:3:16196872:16197803:-1 gene:PAHAL_3G249700 transcript:PAN19104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSATRPNMMMKHQASAPDPGMAATHSTRSPSPRNGASETQPIGRHGSGRRAAAPHHSSAPNTQGGGGAGEGPGKPARGGVAPIRAPAHQAAKGVGCSRGRPGRGSCRGRPPSPRKGCVDPGFGEVDLPSVAGDRRRNGATGDLGFARVRVRVGRKTARGGGGGDGWGLGRHHHGRSPVAAAAAGVRAWSRRDRRLDARSLPRRPHERPWGKLIIPTMVFAWCMELNSM >PAN22082 pep chromosome:PHallii_v3.1:3:63913774:63922054:-1 gene:PAHAL_3G511500 transcript:PAN22082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATYYSSPGSERDSQNMYSRDPGNASYPMPSALGNLLYLNNSTSGPYTEFSGILQSQQNCMEMPDPGHPSVMSHDSSARESDMLGSHQGQRSFGPVKDMKNEMLMHMLDGSQSSTADLIHDDAHNGAQLDFGVLNNHSSSNIPSVQGQGLSLSLNTQILAPSLPYWSVKPDMLSPHSYHDSLRVDDIRMKSMQSEASRAIRNSRYLKAAQELLDEVVNVWKNIKQKAQKEQVEAGKTDGKDTEGGLKSEGVSSNAQESAANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQSVVSSFDVVAGPGAAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDIEQDSNSSSDNVSRSKGKMASSEDKEDLKSSTPRVCESSQLSESRASIGTMNVGVAPVGFQNESNPDDSFMNLMLKDQRSNEADGGLLLHNAVAHHQDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSSLSVPNAQQSFAGVGDDDIYNATAPLGVSVASSDYESMNQMDQRQRFEQSPLLHDFVA >PAN18448 pep chromosome:PHallii_v3.1:3:13215520:13216748:1 gene:PAHAL_3G202500 transcript:PAN18448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGSRARQNAMRSGVVVLGAVAFGYLSFRVGFKPYLDQAQEAIESSNDPPAAAAAADDDESGADVPGEGVADPAPSKDPAVVLRD >PAN20179 pep chromosome:PHallii_v3.1:3:42181569:42184721:1 gene:PAHAL_3G377400 transcript:PAN20179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGVVLAVVIAVKVVVFAAADKYKAPESILVNCGSAKEGQDADGRKWVTDKDSKWLNDGGKSSIMADADVQDPSLPSPVPYMSARVFTKETVYNFPVDEQDRHWLRLHFYPAAYHGLPAEQFFFSVSTSTGITLLRNFSVYISAKALSQAYIIREFTLPPVTGGALSLTFKPTAMNNASYAFVNGIEIVSMPNIFAEPATMVGFADQTVDTAAGSLQTMYRLNVGGSYIAPANDSGLSRDWYEDTPYLFGAAVGVTFHANETDKIKFPSPEAEYAAPASLYLNSRSMGPNPKVNQNYNLTWVFEVDSNFTYVVRLHFCELLLTKVNQRVFDIYINNKTAQADADVIGWTTEKDVPVFKDYATFMPDGPGDKILWVALHPSTSMKPEFYDAVLNGLEVFKMSDSSGNLAGPNPDPSKMLEEAEMELTQGKFKDKPSHLRAAVIGGAAGGAAAFGIVAAICVVAYQSKKRRALGTSVSHSSGWLPVYGGNSHTSTSKSSGGKSAALLNPNITAMCRHFSFQEIKAATKSFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDDGEMILVYDYMAHGTLREHLYKTGKPALSWRQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKTGPTTAMQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHAMSCQRKGTLQDIIDPLLKGKIAPDCLKKYADTAEKCLADHGVDRPSMGDVLWNLEFALQMQDTFENGGKPEGGGSVSGSSTVSAADSMAASAAALELISEDMDEEDIANSVVFSQLVHPTGR >PAN17326 pep chromosome:PHallii_v3.1:3:7886834:7890664:1 gene:PAHAL_3G122300 transcript:PAN17326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLTTGAGGGGGHLMETLRLPPSLVPAVQELWNAWEIHCLILISLSLQVFLLLFGGIRQRSSCWLPRAFLWVAYLSADSVAVFVLGHLAVRASEPGHPLMSFWAPFVLVHLGGQDTITAFSKQDNELYWRHLLNLVTQVAVAGYVVGKVSWPDAGLRAAVALVFLSGCFKYAERIGCLYMASPERIGRVSRHVLSRKLTGREETREAEAVIKTSDLPSLMSKGSSGRDGQFWDAISVLNDVISVDAPLNQTHNITTADDLPEMFSKFMSSESRCNAYEHVGAVLGICYRQLYTKGLLRERRFRFYRRWHSTLPFGIFTWVPIPVALALFMAAEKGDQLTDIWVSYLLLVGAVALDVSSAALFIFSHLANCNKPEWCKKQWSQKIEQYSVIKSAGMWFIKRWLFSCDITQQDQVSFKKFILDTLLVSGARKEWSIASTRGQLALRRWTNRPPREHSGDSTRTTLEALEETVRSGFDFPTSLLIWHIATDICYYSDQVKEHNSHKKMSRELSQYVMYLVFKCGVMLTTNSEIVHDNTREEIKDLSQDDLGEKDVVMRLFQGKEKQQQGSKDEIQTEQHEESEEKQDTDEIQIEREESPDIDSGSYNHMKKLQQSFQALKSSVLPRARAVAQELISIKDEADRWDLVAAVWAEMLYYTAARCGGGFHYEHLSTGGEFVTHVLVLMYLLGPFLPPAYQ >PAN17353 pep chromosome:PHallii_v3.1:3:8080310:8086003:1 gene:PAHAL_3G124700 transcript:PAN17353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTVVAIAGDGDDSFRRPLLAHTEEIHPYEEPPSSQRAPLDAAAAQPEQQRKSLRVASLDVFRGFTVAMMILVDDAGGAWPGINHAPWFGVTMADFVMPAFLFIIGVSAAVVFKKMPNKTAATKKAMVRAIKLFILGVILQGGYIHGRHKLMYGVDLDQIRWLGVLQRIAIGYFLAAISEIWLVNNNSVDSPVSFVKKYFMEWIMAMMITVLYVALVFGLYVPNWEFKVKISNPTLSTPISLVEMKTIHCGVRGSLGPPCNAVGLVDRVLLGENHLYKNPVYKRTKECSINSPDYGPPPPNAPDWCLAPFDPEGLLSTLMAAVTCFVGLHFGHVLIHCKNHSQRMLFWLLASTVLTIFAFLLLLLGMPFSKPLYTVSYMLLTAGVSGFLLLLLYYIVDVIHIKKPFILFQWMGMNALIVYVLAACELFPTLIQGFYWRSPENNLVDITESLLQAIFHSKRWGTLAFVLLEIVFWCLVAGFLHMKGVYLKL >PVH62354 pep chromosome:PHallii_v3.1:3:18197813:18202082:1 gene:PAHAL_3G272700 transcript:PVH62354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIWRIGPGSCDGVSEDTTKRRTSKSKSFKDVENFEVLVLEKNCDCKFKSLKIMIIAIISATVLTLITPTLYEHQLQSASRYVDVGWMWDKTSSDPRYASSVDVQWDDVYRALRNLKSGNQDLKVGLLNFNSTEYGSWTQLLPDSHVSIIRLEHAKDSITWQTLYPEWIDEEEETEIPSCPSLPEPNVRKGVRFDVIAVKLPCTHVAGWSRDVARLHLQLSAAKLAVASSKRNHKVHVLFVSDCFPIPNLFPCKNLVRHEGNAWLYSPDSKALREKLRLPVGSCELAVPLKAKSRLFSVDRRREAYATILHSASEYVCGAIAAAQSIRQAGSTRDLVILVDETISDHHRRGLESAGWKVRIIQRIRNPKAARDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMIIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDSEEMKAKKTQLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNNPPMREFASDVAHARWWKVHDKMPRKLQSYCLLRSRQKAGLEWDRRQAEKANSEDGHWRRNITDSRLKTCFEKFCFWESMLWHWGENNNRTKSSPATTVTTASLASS >PAN19429 pep chromosome:PHallii_v3.1:3:18196593:18202082:1 gene:PAHAL_3G272700 transcript:PAN19429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPMEPRYRPAGAPEDTTKRRTSKSKSFKDVENFEVLVLEKNCDCKFKSLKIMIIAIISATVLTLITPTLYEHQLQSASRYVDVGWMWDKTSSDPRYASSVDVQWDDVYRALRNLKSGNQDLKVGLLNFNSTEYGSWTQLLPDSHVSIIRLEHAKDSITWQTLYPEWIDEEEETEIPSCPSLPEPNVRKGVRFDVIAVKLPCTHVAGWSRDVARLHLQLSAAKLAVASSKRNHKVHVLFVSDCFPIPNLFPCKNLVRHEGNAWLYSPDSKALREKLRLPVGSCELAVPLKAKSRLFSVDRRREAYATILHSASEYVCGAIAAAQSIRQAGSTRDLVILVDETISDHHRRGLESAGWKVRIIQRIRNPKAARDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMIIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDSEEMKAKKTQLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNNPPMREFASDVAHARWWKVHDKMPRKLQSYCLLRSRQKAGLEWDRRQAEKANSEDGHWRRNITDSRLKTCFEKFCFWESMLWHWGENNNRTKSSPATTVTTASLASS >PAN16172 pep chromosome:PHallii_v3.1:3:2667302:2669974:1 gene:PAHAL_3G042100 transcript:PAN16172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPRDDPKKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEVQLQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEQINLKFVDTSSKFGHGRFQTTDEKQRFYGKVKAPIKY >PAN17086 pep chromosome:PHallii_v3.1:3:6793112:6798765:1 gene:PAHAL_3G106400 transcript:PAN17086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRLAAGARARGGGGGAGRRSAWLAADGSKRWGEAFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLILGLVSTVPAFLIPLFLVGKADSVRSLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTAHLPQSIRWLFEAAWILALSYFIAYLETLAIANFPYYEFVDRDIMYKVGSLFYAIYFIVSFPMFSRIDEKDEKWNLSRVAVDSLGAAMLVTIILDLWRIFLGPIVPIPESRQCGQPGLAWFQAQN >PAN21465 pep chromosome:PHallii_v3.1:3:60368147:60369875:1 gene:PAHAL_3G468600 transcript:PAN21465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLTMSMATALMVTIVVILVLGSVVRPRRRQRKALNLPPGPRGWPVFGSLSLLADTLPPHRVLAKLAARYGPLLHLRLGSFHVVVASSADTARLVLKTHDLAFADRPPAAWGAIIAYNYKGIVQTPYGPYWRMARKLCATELFSAQLVDKFEPVRAEETRGLTRGLFERAGTAVQVKELLMSFTMRNILRMALGEKWSSYYCSAEGEGFRRSLEEAFAVSGAVSNVGEWVPWLAWLDVQGLVRRMKRVHVVFDRFNEQILDEHQEDRRRAGAGGFAARDLVDVLLRLAEEGQEEPTETRLTRAGVKAIVQDILTGGTETAAVTMEWAMVELLHRPDAMAAATSELERVVGRGRWVTESDLPELPYVDAVVKETMRLHPVGPLLIPHQAREDAVVGGYDVPAGARVLVNAWAVGRDPASWPDAPGAFRPERFLAGGSAEGVDARGAHFQLLPFGSGRRMCPAHNLAMREMAATLANLVQGFAWRLPDGVAPEDMSLEESFGLSVSPKEPLVAIAEPRLPAHLYTTVH >PAN22010 pep chromosome:PHallii_v3.1:3:63638319:63638831:-1 gene:PAHAL_3G507000 transcript:PAN22010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACSSVVFLLLAAFVAGASAATFTITNKCSFMVWPAATPVGGGAQLSPGGTWTITVPAGTQSGRVWGRTGCSFSGGSGRCTTGDCGGAFSCTLSGQPPMTLAEFTIGGAMDSYDISVIDGFNVGMAFSCSTGVGLVCRDAGCPDAYHQPPDRKTHTCAANSNYQVTFCP >PAN15668 pep chromosome:PHallii_v3.1:3:619946:621910:-1 gene:PAHAL_3G011200 transcript:PAN15668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRIHPSDAGRGGRARRAAPAPADRRPAVYTVWKRSSMGFQGTDGFCVYDDAGRLAFRVDNYSRRRKLCAGELLLMDGQGTPLLSLRPQLLSLHDRWNCYMATEEEGLDKKPSPTSQQQVFTMSRCSALKSSDEAEVHMSPAGTTASSSSGLSCKHPQVAAAPAYRIEGSFSRRNCKIRRGSDGREAARIARKSASVASRPVATLGDDVFSLVVRPGVDVATIMAIVVVMDRICHKPYTPMVCSSQ >PVH61382 pep chromosome:PHallii_v3.1:3:1063630:1065483:-1 gene:PAHAL_3G019600 transcript:PVH61382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGPEIFSRGFRFNPTPLEAATYYLPRLVAGAPLHEAVRPVIHHADVYACEPADLAREFRPMPRTGHRFFFTSCKKGVRSAGPGFWSLQRMTVIQDGTGAKVGAIKKLRYKKRGVFTDWLMDEFSTCCSEDAVVGDRQYVFCNIYVSPRAAPGSAARQESAAFFAPPAPAPAPAVQAPPPKRPAPKVAEPPPFPKRMRGAVAPTPPVVPPAAGCAASLAPPRPRVPNRGVAPPSTPSVTGSSPASAQPLPPAPIRLAAPAPAPPQPKQQMPPPTLPVVRACHIPGQEEPAHHYGPQPSVATKKMTRDPFEAAEPRDKAEEERVVEASDLPSEESPPAADQDDDRRPLKGSC >PAN22029 pep chromosome:PHallii_v3.1:3:63686838:63691190:1 gene:PAHAL_3G508100 transcript:PAN22029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQDSADDWVVLDSCTSSDDDDLILVLSSGCGTPDSATDSDSDSDSAAAAAHLLAAACADDAEGVYALSDAEDEDAAYPTPAPPLPRPLAGLFHHALGGAVRYAAFEPAAPGDAYRGAKQLVPDPTFSSLIHEDAAAALASTRGLVCLRGRASGDYYVANPLAFTRARLPPPARAHFAHGDPAVVITFDVVDDGDEEAEGKDDGRGFYRHYHVAVAFPIGDGIYAFESFSSRTWEWTIGAGVAAAETVVPLSGVGAHGCAFWRTTMGFFLCYEPVSGCSDLVPAPMEVQQWPVWELGEMEGTLCATCMDDRVSAVVVICLDFARRDTNGGVAWTLAGHFEGGCLRGRQDVTLLRSQGKAEVVMWDPTAQMVVAMDLEGRTTRTITFVPGSGYYADFIPYVSSLAAVSASGNQLRAKRRTNATNEAGAEYKARDIDAEAY >PAN22030 pep chromosome:PHallii_v3.1:3:63686935:63688173:1 gene:PAHAL_3G508100 transcript:PAN22030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQDSADDWVVLDSCTSSDDDDLILVLSSGCGTPDSATDSDSDSDSAAAAAHLLAAACADDAEGVYALSDAEDEDAAYPTPAPPLPRPLAGLFHHALGGAVRYAAFEPAAPGDAYRGAKQLVPDPTFSSLIHEDAAAALASTRGLVCLRGRASGDYYVANPLAFTRARLPPPARAHFAHGDPAVVITFDVVDDGDEEAEGKDDGRGFYRHYHVAVAFPIGDGIYAFESFSSRTWEWTIGAGVAAAETVVPLSGVGAHGCAFWRTTMGFFLCYEPVSGCSDLVPAPMEVQQWPVWELGEMEGTLCATCMDDRVSAVVVICLDFARRDTNGGVAWTLAGHFEGGCLRGRQDVTLLRSQGKAEVVMWDPTAQMVVAMDLEGRTTRTITFVPGSGYYADFIPYVSSLAAVSASG >PAN20977 pep chromosome:PHallii_v3.1:3:56025279:56031446:-1 gene:PAHAL_3G427200 transcript:PAN20977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAEGRMPEGEGKKGEAGMDARKDGVAREVVRMEREAVIPILKPKLVMRLAYLIEHEVDRNEFLKLCKKVEYTIRAWYLLQFEDLMQLYTLFDPVTGGKRLEQQSLTPEDIETLEFNFMTYLFQVMEKSNFKLLADEEYDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHDNLPEFADKYVIFRRGIGIDRTTDYFFMEKVDVMISRAWSSLLGFTRIDRLFSKKQHLKPKKDTKKTDEINEDEEEPQLFVERIRLEKMELSMKNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAAIGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATIQDLDLRCEELIKEEFGAECNFDVHDAIKKLEKLSIVHRDSIGRIVCVPLKRANEIIGTTTEELVMRAQQSPAS >PAN20332 pep chromosome:PHallii_v3.1:3:38503923:38504808:-1 gene:PAHAL_3G367500 transcript:PAN20332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASTILCFVVVASLFVAVASLQQKRRLLLERPHTRLHEEAGAPRKEKLPWWWDTDYMRSKRRRPIHN >PVH63286 pep chromosome:PHallii_v3.1:3:64195436:64201028:1 gene:PAHAL_3G513900 transcript:PVH63286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSDLLQPASSGGADSKLFGEMPPWPPPCLQPTLATARRTTAFSFSLSRRSNISSSSSSTPAFVCATPGDHAHEQQGDNEEQSLVVVGGGAAGVYASIRAKTLAPRLNVVVIEKGRFLSKVKVSGGGRCNVTNGHHLEPMGLARNYPRGNKELRGSFFAAHGPQDTMRWFTDHGVELKTEDDGRVFPLTDNSASVVDCLLNEARRLGVSLQAGKAVSSASVTQHGKFVLKVEKRTADLVDYINANYVLVATGSSQQGYSIAAQLGHSIISPVPSLFTFKVADKRLADLAGVTFPVVKAKLKLDGLQKNVPELSQTGPMLVTHWGLSGPVVLRLSAWGARELHQCNYQGKLMVDFVPDIHIEDVKGILFRYKDQHAKHKVNNTFPMEFGLVKRFWRFLLEQESLNGDMHWASMPNNHLNAIAVRLKQWMFEVVGKGQFKDEFVTAGGVPISEISLGTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIATLALTSNLQEPQSFFQLEGSQKKMWIER >PAN21802 pep chromosome:PHallii_v3.1:3:62141151:62142849:-1 gene:PAHAL_3G489500 transcript:PAN21802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSKGSKKESKNLLGRYELGRLLGRGTFAKVYLARPVAGGETVAVKVLDKAEVMGTVGMAPRVLREVTAMRRLHHPNVLRLHEVLATRARIYLVMELAPGGDLLSRLAALPRRRLPEHAARRVFVQLIAALSYCHARGVAHRDVKPQNVLLDGDGNLKVSDFGLSALPDSLRDDGRLHTACGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYEFPEWVSQPARRLVNRLLDPNPATRVAVEALVTHPWFKRSLSVDSQLGGLLNGQQERALAFQAQAVNAFDIISMSPGLNLSGLFDKRNREKRFMTTASPEQTLEQLGRAGGKLGYVVVGKKGLECLPLGGLSGSVLAAMTVEMSEVAPPLMLVELRLEVADGDGDDEGETFGWEELRHELGDVVRAWHSCQDF >PAN18757 pep chromosome:PHallii_v3.1:3:14536518:14540494:1 gene:PAHAL_3G223600 transcript:PAN18757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRHPKPPSPSPRPPPSDSDTGIGFDPVEEWLVDFDPAMSGELGSPAKGLGPAEEAVLPHAPAPTTTTCDDSAGKVSDGSAALNSCEFGMKREPVQAGDFCGGEIGEKAEMVGGRLDVLLAPDQLLASGIGDLAVKEDVSEQAVAIEMAAAPADVEMNTAVSGGKEEQESREEESESSEEEESSEASSSSEDEEQGDKKDEESSEASSSSDEEELEAMKLGGAGGNSLEALLEEGELMVGSDEEDEEPKGRSKSKHEAEILPPVPKIEIQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRIPLGIVDELFGPVKNPYYLVRYNSEEEVPAGISAGTSVSFVAEFADHILNMKELYAKGYDASADNDDQEDEPEFSDDEKEAEYKRSLRQAKRQTDRQHEPNKHSGDKKRSQPRGGGFRKDMPPRNRDAPIPGQQSHPRFHSSDMAPAVAENKARSLGPQNAPMSSPTMLPPGPMNPAMPSPVHLANQMGGCFINPAQQFLPQQPNMVWPGGLPPPPHANMGVDGAALAASIMQNLLAGANQFQQQMQNQNFGGFPNQMPMPFPQFMPQTGMPANQLPFGGGPPAGNSLFGAAPQMPMGQGNFGQLPPHMVSGNRQEQGPRPGLPDDPRGFTNPAQPHGDGAEHSPPQFSSGQFNQGSSSFRGGRPQRGGRHSSGRGGARGGRHRR >PAN21049 pep chromosome:PHallii_v3.1:3:56529110:56531352:1 gene:PAHAL_3G430600 transcript:PAN21049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVEPPPSLCPLCGHPTSAASPPATSASPARPPLLRRAAAPPEAPPAVVRVEIGDEAAALREALARQQAALGDLQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMAHDAAELAALEEALAKRDATVRALQAGEPRPTPRHPCAAAPSGASTPRRPSAATPRHPPSSPSPEPSTGGYYPPLRCMIDHPRTASEVDALETPHDQLSRLAHRVHLLERGATPVAAATTTPIIRVAPGSTFPRHSRAYSDDSLDFYDGECFPDDDDDCGASDRVYTVDAIHGAPLAVPEGSYGGATPLVSECGGGTMPWADDEEVRKLSARMQALEADRESMRQAIISMGAEKAQVMLLKEIAQKLCKEAAPPVPAPTMPQHSFYKGGNTQPAMTVTVRPPRHPPVLMQRKVVKSQTTFFAAVAKWVTSIMWWRRNSSRVKYPIGQCGNNVGLLLLLEKAPRAGHGHQRPPKKI >PVH62830 pep chromosome:PHallii_v3.1:3:53308912:53311452:1 gene:PAHAL_3G412400 transcript:PVH62830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCEGTVGGATKKPKPSPAPPIAALGDDFLGEILLRLPDMASLASAALACKQWHRVASDPAVFRRFDALRRPPLVGFLLTDRGDMPSPYRSPNLFFVHATRNPNLAAAAADGDFFFEDLPAVDSDDEEEGYDWDEWRLRGCDGGRLLLSRGRDGLDLAVYDPISRTAVFLRPDGVFRACTHVVRYAIVVDEADGSFLVIGFDFRGAVFSSRSGKWVKINMERVKLEKGDVTYEHEIFDKIHSFSSDGMAAGRFAYWRSNTKKDRHFNPVERIMVLDTTTMEWSVITAPFPAGESYCVADMPEHGGLCLFSSKEQCLQLWVRNSVGGWVLKKDFSLLNEWMKKIRRAEWMKRVRVLAARAGYVYMEFWSIRKANSYFLVLNMRTMKMSVFPNNPEDPHRGPAFPFFMRLEPLLGPDEDQNVHLDV >PAN21047 pep chromosome:PHallii_v3.1:3:56474056:56476847:1 gene:PAHAL_3G430000 transcript:PAN21047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVCVGNRFTKNRFFGHFSLWPARSRSTGTPSNPTTTSRSVPVVQVQPSESDAKTSPPPPTTAPAPIVISEPGPAPLPEPQPTPPPSELDPSQPPPQASQSQQQPASQPQPQPQPQQQARQQSRKKAAHIKRISSAGLQVESVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKGTGREYACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPNIIGIRGAYEDAVAVHVVMELCAGGELFDRIVRRGHYTERQAAELAKVIVAVVESCHSLGVMHRDLKPENFLFVGNDEESPLKTIDFGLSMFFRPGEEFMDVVGSPYYVAPEVLKKHYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGSLDFDSDPWPSVSDNAKDLLRRVLIRDPRKRLTAHQVLCHPWLQTIGSVPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINFEELKAGLQRVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEKEDHLFAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSTGGFGKKGHQYNLSIGFRDALKQAHS >PAN22040 pep chromosome:PHallii_v3.1:3:63754577:63755044:1 gene:PAHAL_3G508900 transcript:PAN22040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRPILLWPKPFQRFSHTVYKIPAPFALLYHGRLNTRAHLYHRHTKPLDESWCERCHGVLETDEHIFNGCSTVLGVLGRLHICIKGDSFRRSWKIVMATALPEAVHVDMILLILWHIWNGLIFEHQDLSPVDVLRKTLKDINAWSYRYKKLQA >PVH62870 pep chromosome:PHallii_v3.1:3:54664640:54665374:-1 gene:PAHAL_3G419400 transcript:PVH62870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGWIDGICHAEPGLPKLLMLSLERIGVMEPPEYAYREYTFQGILRCDMMVFVGKSTRYPDVDPWFISTSGFRFLDTYRKAARKALRRLRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDELYREQAAHLKQLIHRAEKATQELEEQRVRAARAEYSLAALQAQMQEYEDRRELDGWVEEEEEPEETHWDKGTQTEDEVMDRSLPIKKRPIRVGEESP >PVH62673 pep chromosome:PHallii_v3.1:3:37738608:37740108:1 gene:PAHAL_3G364100 transcript:PVH62673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPVCKIINSDDEKEGCVSSMILNTERANMESHLIKETAEESLQILKRARKRTGEKKAIIPVKEWVTRQIGVMFF >PVH61660 pep chromosome:PHallii_v3.1:3:5721048:5723228:1 gene:PAHAL_3G088700 transcript:PVH61660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHVSAYMHRSGEQQAAPSSCDLAAVDDVPANGHKPGKAVTASVYRAKIAGHSRVVTVSWSRDLLSHAFAVAISGADGAAAECRIELRPWQFWRRAGSRRVELGGGASTAPATVRVLWDLRRARFGAGIPEPRGGYYVALEAAGEVVLVQGDMRRDALRRAACAPAEAEAVPVARREHVFGRRRFAAKARFHDQGDVHDIAIECGGGGEGGDADMEMTIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFSAGTRPALFIFRPIVLSSASAPAGMASGLLDATVATTGFCLYLYAWKLD >PVH61676 pep chromosome:PHallii_v3.1:3:5930665:5932327:1 gene:PAHAL_3G092500 transcript:PVH61676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCLHPASAESSSESSNNEICVQTEQAHWITSLANLGHPCPCALPPSFSSQAAGATPRRMKRRCGRRDASSSRSAPAPAVILSTSSPVPRPAALLLMAMHVVVPWSLCCRLTTSVTSQTWPTRARREGAPSCVRGTPSTQRTAGSRAWWNELRLVLPGDAVGWEPGWRQLSILLNACCCCCCVCVCVCVCVCVCVREREREREREREREDFPCARQEASSSSKVDGGRAPYRRIDTEALRSGSQAISAPRTTPSTTSCQFTEKGMELFKK >PAN19460 pep chromosome:PHallii_v3.1:3:18386849:18389220:-1 gene:PAHAL_3G275300 transcript:PAN19460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSEAPPGNPKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAGNKNKAVVWEENTLYEYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATA >PAN19831 pep chromosome:PHallii_v3.1:3:20843190:20844492:-1 gene:PAHAL_3G302000 transcript:PAN19831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIHDEDGKASSREEEEVKKVPTQEEGGLHSSVPLVQHGNFWISPHLLKSIQLVQEEFVPRATDVILATFPKCGTTWLKALAFTITNRSRHMLADHPLLTHHPQDTVPFLELPNRQIQPLSELEAIPSPRLLATHLPFSLLPASVTAVGSRVVYMCREPKDVFVSKWHFENRVTEKLVVELGLSFHLFCEGVSVWGPIWNHYLEYWNESKARPDKVLFLKYEEMMSDPVKEVKRLAEFLGAPFTDTEERSGVVDEVVKLCSFEHLTSLEVNSTGVADRIGGFPMENSTYFRRGKVGDWENHLSAEMAQQLDSIVEEKLKGSGLTF >PAN16720 pep chromosome:PHallii_v3.1:3:5268066:5270770:-1 gene:PAHAL_3G080000 transcript:PAN16720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRRRGFSFPAAACLVGVLLWCLSASAEPLPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFEEVKQTICSDPQECRAFFSKSLFVFGEFGGNDYSFAWKADWSLEKVKTMVPAVVSSLVRGIERLLDEGARHVVVPGNLPAGCIPITLTMYPSEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDRLQRRRPEARVVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGSTTCEDPDAHVSWDGIHLTEAPYRFIANTWLKGPYAHPPLATVVREDMVD >PAN16519 pep chromosome:PHallii_v3.1:3:4230359:4232288:-1 gene:PAHAL_3G066000 transcript:PAN16519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNDDEMPSASNTGMTKGLVTIKQVKYFKDDAALTADTVTAEVEINATSSTVVREGLDLVAVLDVSGTMAEEKLESMKKAMVFVIMKLTPVDRLSIVTFSDGATRLNPLRSMTTAAQNDLKALVDGLEARGATNIKAGLEIGLAVLADRVHTKARTANIFLMSDGHQNAGDARQVNPGQVTIYSFGFGQHTDHQLMSDIAKRSAGGTFSSVPDGSKVSIPFSQLLGGLLTVVAQDVELTLTPKKDEGDVDTIVVAPGTDYKTTTDDATGVVTITFGTLFAGEGRRVVITLTLKDVSATENEEYDAPLAEAQHSFTAQGRPREAQVPQDIQIKRTPTPSQAPGASSKARQVQAEIARRQHAEAIRQARLLADEGGLEEARYKLVDAQNALEDIVLDSLADGQKLVDSLRAELMQLIKLMETTELYEAKGRPYALASETSHGRQRYCSRGCDEDGDVRLFATPRMDTYREQAKNFEKDPTAPVPNANEDVKQEILANPISAVSTELAYHLRMAIQALQAIEKIVAPST >PVH62865 pep chromosome:PHallii_v3.1:3:54486820:54489049:1 gene:PAHAL_3G418500 transcript:PVH62865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAVQVVPEEMLGRMLEAGCKLEEKIFMQAFMFVLCLSSWE >PAN15978 pep chromosome:PHallii_v3.1:3:1287406:1287627:1 gene:PAHAL_3G023500 transcript:PAN15978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >PAN15936 pep chromosome:PHallii_v3.1:3:1149749:1155236:1 gene:PAHAL_3G021300 transcript:PAN15936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLEKSLREDFDLPPKNPSEEAQRRWRSAVGALVKNRRRRFRMVADLDSRSQNEAHRRSIQEKIRLALYVQKAAITFIDGAKHKEYRVTEDIIKAGFSINPDELASITSKHDAKALRMHGGIDGVSKKIRSTFDRGISASDLDTRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMVCALISAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYISQDKPFILAGTKVQDGSAKMMVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLMVRFFIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWIAEVSKSVSGNNTLEDLNSVISPTTLGLLLQGIFENTSAEVVKEKDGRQNVLGTPTERAIFEFGLKLEGGHNAEDRTCTKVKVEPFNSVKKKMAVLVSLPNGTYRWFSKGASEIIVQMCNMMVDADGNSVPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDFDDDSESPTSGFTLISIFGIKDPVRPGVKDAVKACMSAGIVVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVTRWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDGMMKRPPVRRGESFITRVMWRNIIGQSLYQLVVLGALMFGGEQLLNIKGPDSKSVINTLIFNSFVFCQVFNEINSREMQEINVFRGMISNWIFIGIIAVTVAFQVVIIEFLGTFASTVPLSWQLWLVSVGLGSISLIVGAILKCIPVKSDENPSSPNGYVPLPSGPDNI >PVH63201 pep chromosome:PHallii_v3.1:3:62382080:62383946:1 gene:PAHAL_3G492000 transcript:PVH63201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLAKTAADSPLLLANESAPLAGSPSPGAVYGLAQCTRDLTAGQCSWCLTTYINQLRDRFPNNTGGAIKGYSCYVRYKVGAFDITLPPRRAALPPPPVSPPTPPSPSVSTELVVGLSVAGVLLIGLGLSIWLLCRRRRKRVRQTMERELEEGDFLDDEPEMQDDFEKGTGPKRFRYGELAIATDDFSDDHKLGEGGFGSVYRGFLKEMNLDVAIKKVSKSSKQGRKEYASEVSIISRLRHRNLVQLIGWCHDGGQLLLVYELMPNGSLDTHLYSSASGNTVLPWPLRHEIVVGLGSALLYLHQDWEQCVLHRDIKPSNVMLDASFHAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRASAESDVYSFGVVLLEIACGRRPMVSRHGEDDVVHLVQWVWEFYGRGAILDAADARLVGKFDGREVETVMIVGLWCAHPDRSLRPSIRQAVNVLRLEAPLPSLPTRMPVATYMPPPDAVYYTSSVATGSSAATTGTSQSSSTTETSTLLK >PVH62048 pep chromosome:PHallii_v3.1:3:12625735:12626008:-1 gene:PAHAL_3G192700 transcript:PVH62048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIARGGSDISVRFLYLFVITNLSFAAVQTHNSILRNFEDYPRSCNAIIPFVC >PVH62151 pep chromosome:PHallii_v3.1:3:14103334:14109153:1 gene:PAHAL_3G217300 transcript:PVH62151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMIMRETFGGALLSQVKCLLCKGESNKTDDIMDISLDLPGSSSVADALARFFQPEILEGANKYSCERCKKLTSARKQMFILRAPKVLVIQLKRFEGINGGKINRSIEFKEALVLSDFMYDKNQDSHPAYNLFGCIVHSGLSPDSGHYYAYVKDAIGRWFCCNDSHVSLSSSQNVLSEKVYILFYILNSKNQKPSPNGCSSTAAKPFSTNGSGISSTSPNETLKIPLIKQNGSCSTEGNALLPLKNGKIASGPLIKPIHFKNSGTEKVKSNGKENLPSKMNPEVNESAIPSESNGHKTGKFAEPSKKDADGTMSCGKIDDHSERILQDANGNGHLIRSQYLGEASNGNATCAQQYSEQSSGAVASKSPVSHHEESAKVKDVANSSKDSVHLKRQHEEDRFKEVLAKSASSELRLSVWVDDVSNFMRSQKRRRIQNPGIPQDIDAMRKLLKSDSERIFRSKVPESLVESLIQRLRSYFESIYPPNP >PVH62149 pep chromosome:PHallii_v3.1:3:14102718:14103371:1 gene:PAHAL_3G217300 transcript:PVH62149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRRRRRFVERRRRRRGALCCPAAALPPPRPPLGAGDGPDRGATFAAASAAPPHGPAPGAEKPRQHLLPQQRPPVPRVHAAPRHVLPRLAALQPVQEDIPEQGQGLCILRARAPDRAAPTGRCRGARLASEDHPLHAPVRRALQMGAPGGCARVPPLCRRRLSHCWSPHAQAATCGSCQWKLW >PVH62150 pep chromosome:PHallii_v3.1:3:14103031:14109377:1 gene:PAHAL_3G217300 transcript:PVH62150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFAEHFRWGRQEDAHEFLRYVVDACHTAGLRMRKRLPAAVANGNCGEEEGRGQGMCMIMRETFGGALLSQVKCLLCKGESNKTDDIMDISLDLPGSSSVADALARFFQPEILEGANKYSCERCKKLTSARKQMFILRAPKVLVIQLKRFEGINGGKINRSIEFKEALVLSDFMYDKNQDSHPAYNLFGCIVHSGLSPDSGHYYAYVKDAIGRWFCCNDSHVSLSSSQNVLSEKLNLSAPMEVAYQAPHLMRP >PAN16724 pep chromosome:PHallii_v3.1:3:5300756:5301100:-1 gene:PAHAL_3G080300 transcript:PAN16724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGGGDGGECRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEVAVELIKAPLHVMTWFTSKIPC >PAN16236 pep chromosome:PHallii_v3.1:3:3023694:3030090:1 gene:PAHAL_3G047200 transcript:PAN16236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKASSTSDARAKWRKRKRAAASPSPSKQQADHSDDSDSAAAANGDEDAPRGAFANGGGGTLAAGGGGDDDPVLDLRGADVLSSPAEPVSSFPAAVRRAVGRPHPSVLAIVDAERAASGADGAPAAPALVPVLENISHGQLQVISAMLPDHPSLSYDPDKPSTYVCTPPPLMEGCGVHKQFYGKLHIVPRHSDWFVPTTVHRLERQVVPQYFSGKSQGQTPEKYMMLRNKVIAKYLERPGKRLVFAECQGLVTSTPELYDLSRIVRFLESWGIINYLATGSVHRGLRMAASLIKEETTGELQLVSAPMKSIDGLVLFDRPKCSIRADDIASAVSTSSASVVANGDTDYVNLDEKIWERLSETSCSFCSQPLPSLHYESQKEADIALCADCFHSARFVTGHSSLDFQRVDGMKDRSDTDGDRWTDQETLLLLEGIEKFNDNWNHIAGHVGTKSKAQCIHHFIRLPVEDGLLENMEVPEASLPSRMQSNGFLHSDSNGSTSGCLRQSNQPGNQIPFINSANPVMSLVAFLASEVGPRVAAACASAALSVLTRDDSRMCSEGINAMGHAAHQNYGPSSSISPETVKHAAICGLSAAATKSKLFADQEEREIQRLAATIINHQLKRLELKLKQFAEVETMLLKESERLELVRQQLAAQRVRILSTRLPSAGGTLPGGGSTMSSNPMNQAIGVRPQMMQVSMPQSSMPAMYANNMQGHPQMAALLQQRQQMLSFGPRLPLSAIHPGTSSSSAPSMMFNPGMPNSAAPNHHPLLRPPSGNNSNVG >PVH62292 pep chromosome:PHallii_v3.1:3:17278355:17279532:1 gene:PAHAL_3G260900 transcript:PVH62292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAAALPRLGTGESEGRDAGGAAGAGGGGGRHGGNEVDERGNDGGVGVPAVGAEVPRQHPLQPLPVAHAVRGHHLLHGRPEVAVRVGGVERHGHAVAEGRGGRRRVLGRLAGGGGGPMRGGLGRLPLEDGAGGRLRLEEEAVVGAEAADDGVGHVVGRGGLGLGGRAVVGRAVDTVRRARGGVGEGRLAGVKEEGRDGLGGGGRGPEGLGSWVLARGPHPCRWGGCWW >PAN16876 pep chromosome:PHallii_v3.1:3:5956920:5958875:1 gene:PAHAL_3G093100 transcript:PAN16876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLVASLVLSLLVPSLGAAVPSPATPGRSTAAARWRELHGNRSWNGLLDPLDIDLRRSIIAYGELAQATYDGFNRERRSPHAGACLYGRSDLLPGVGASAAGRRYAVTRFTYATSAVPVPESVLLLPLPEVKDAWCRESNWIGYVAVATDEGAAELGRRDIVVAWRGTVRDLEWANDFSFAPVSAAPVLGAAAAANPLALVHRGFLSVYTTSNANSKYNKASARDQVFEEVRRLMELYKHEVTSITITGHSLGAALSILNAVDIVANGLNTPVGSSSRPPCPVTAVVFGCPHVGDRFFRAAFRSFRDLRALHVKNAGDLVPVVPPLAYVDVAVVLPIDTGRSPYLRPPGTAQTLHNLECYLHGVAGEQGSAGGFRLEVDRDVALVNKGADALKDEYPVPANWWVPENKWMVRGADGHWTLKDFKEI >PAN19834 pep chromosome:PHallii_v3.1:3:20858209:20859234:1 gene:PAHAL_3G302300 transcript:PAN19834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRRRRQLRHQAAPDRPAPLPLLHQPGPTPSRRAVSPPAACSPKSAAARCSATAYRKRCLFFCGKCCAACLCVPAGTYGNKASCPCYSNWKTKRPPVPLAENCNEMWLRSCPP >PAN17502 pep chromosome:PHallii_v3.1:3:8779500:8781995:-1 gene:PAHAL_3G136500 transcript:PAN17502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDKAAVAAVQAGGDAQQQKPGAGNRIQVSSSKKPLFFYVNLAKRYMQQHGDVELSALGLAISTVVTIAEILKNNGLAVEKKIRTSTVEISDEMRGRSIQKAKIEILLGKTDNFDELMAASAGEANAGDGEQS >PAN17521 pep chromosome:PHallii_v3.1:3:8848795:8851393:-1 gene:PAHAL_3G137600 transcript:PAN17521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELHFVLVPLLAQGHVLPMLDLARLVAGHGGRATVVLTPVNAARNRAVLEHAARAGLAVDFAELAFPGPALGLPEGFENLDMVTDVSLVVPFYDAVWLLAEPLEAYLRSLPRRPDCLVADSCGPWAAGVARRLGVPRLVFHGPSAFFLLAVHNLARHGAYDRAPGDFEPFEVPDFPVRAVVNRATSLGFFQWPGLERQRRETLEAEATADGLLVNTCAALEGAFVERYAAALGRKVWGVGPLCLLNTGADTMAGRGNRAAMDAEHIVSWLDAWPAASVLYVNFGSIARLFPAQVAELAAGLEASRRPFIWAVKDTAGLDAEFEARVKDRGLVIRGWAPQMTILSHRSAGGFLTHCGWNSTLEAVSHGVPLLTWPHFADQFLNEALAVDVLGVGVRAGVKVPATHAGLVRPGELLEVQVGRDDVGRAVAELMEEGPAGAARRARARELADKARAAMAEGGSSDTDVRNMVLHIVELARKKAGMAPGAEEAVSNSKEKKMDDGADDMLPVAPKVA >PVH62371 pep chromosome:PHallii_v3.1:3:18492893:18493633:1 gene:PAHAL_3G276800 transcript:PVH62371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPCASLSLVRSVSRSPRTPRRRRRRRSPSPLPPSTLPAPPPQLEGVPERDGQDRAPEVALARAHGRGSVAPPQEEVEGKVATAAKTATKAPRRLQHYLGAHGHEGAEEAPAGGEQKAPEVVLESSDEVPVRGRGRVGAADGVGVEQQGGRQRLHGEGRRGERGREGEKRHEERYPAASLARLSSLSPPRALLLTPPISQGRPPPWPPELSAAVGFRPLKSPSPLPACRGHDSRELRDAIR >PVH61980 pep chromosome:PHallii_v3.1:3:11291431:11292429:1 gene:PAHAL_3G169900 transcript:PVH61980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTDEPAPPRTAGLFETLQVFSVRVAGRTEAGAGAGAALRWPLDVFGLVAVRDGVDYNRNVIFRRARDECQTLTEEDPYLELTGPTRAGLLDCVVVEAALKVKGATESDDRDLSFLAVPMMRQSTLASCLLIGGEHSSKLSTLEVKLGHIVFSVEATILVRVVGGSWPDGIHGLFAARTASIEHEEIVLLRSKDNKR >PVH62608 pep chromosome:PHallii_v3.1:3:28002952:28003968:1 gene:PAHAL_3G336200 transcript:PVH62608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFVWLVECYIETYIRGHHGPDPTQPDLLCSDNATQTLARYGDEMVSRHGEEYDWRTSDVDVGALYSSGGGKKHGRFSMLNGVIDTSGALSEARCSQSTQNSRGYQQQSQRETVMQEKIRQHEEAMQRQEEWARQQHEYMQNFFAQHRQIQEMLAATLGSQFNLPPLPSPPPPPPNFVPFVRVPSPQVGSTSTHPRGVSASPSTPASAPRNISGGDCGSGHNITPPP >PAN17152 pep chromosome:PHallii_v3.1:3:7122566:7125679:1 gene:PAHAL_3G111800 transcript:PAN17152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSMFMQWAMDTLLNEHPEPAIIGDGCGDGEATFPSLQALRNASHAVEMVRELMADEAHDAANSWSSGDGDITDGSGTTVRAPAAARDDNHGSWPQSQCSFRRAPPPSSNTNLPLVSWNFVTGSAQPGSGGMVEEGAAPRSLPEPAHVSPPRTRRASLRSLGAASSASYAPDHIIAERKRREKINKRLIELSTVIPGLKKMDKATILSDAAKYVKELQQRLKALEEEAAAAGSNARSNETVVRVKKPCNAAAAAADENGSPSSMSASSGPPATGKPALPEIQARFSEKSAMVRIHCGSGKGVAVTVLAEVEDLGLSIVHANVMPFSACTVIITITAKVEEGFTVTAEEIVDRLNSALSHQHSNCNGTEETGN >PAN17187 pep chromosome:PHallii_v3.1:3:7122566:7125151:1 gene:PAHAL_3G111800 transcript:PAN17187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSMFMQWAMDTLLNEHPEPAIIGDGCGDGEATFPSLQALRNASHAVEMVRELMADEAHDAANSWSSGDGDITDGSGTTVRAPAAARDDNHGSWPQSQCSFRRAPPPSSNTNLPLVSWNFVTGSAQPGSGGMVEEGAAPRSLPEPAHVSPPRTRRASLRSLGAASSASYAPDHIIAERKRREKINKRLIELSTVIPGLKKMDKATILSDAAKYVKELQQRLKALEEEAAAAGSNARSNETVVRVKKPCNAAAAAADENGSPSSMSASSGPPATGKPALPEIQARFSEKSAMVRIHCGSGKGVAVTVLAEVEDLGLSIVHANVMPFSACTVIITITAKFVSGGGGLHRNSGGDRRPAQLCIITPA >PAN18481 pep chromosome:PHallii_v3.1:3:13311118:13314282:-1 gene:PAHAL_3G204400 transcript:PAN18481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPKVDENSKKLKKPKAWKHTQPITQAQLKQMRDEFWDTAPHYGGQKEIWDALKVAAESDLALAQTIVDSAGIIVSNPDMTLCYDERGAKYELPKYVLSEPTNLIHDG >PVH62688 pep chromosome:PHallii_v3.1:3:39178900:39179835:1 gene:PAHAL_3G369100 transcript:PVH62688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNIFWDHAEHLHTNALHWEGFPHLLWESLSLFFYTEPRYTMEWNIERKWHPIKVDVVGYRLVDTIETAALEAIHIFCNQHPMEVVGHPISLFPAIDSSDPEWNFHIAHYGHMLGDSAEETLRGTIKFMNVQHHYQILLCRGMGQLTGIAQGHYRNADRQVTQIEELQALVTEKEEIIAAREETILHWEDQINECDAIITQHNTIIEFLQEKIHDLILKVDDAHAHIDELQQQPVPPAVPVAPEGEEEDPEEIEGVSDLDSEHGNPKPNPQPDHSSSGSQSSVGDLDNF >PAN21804 pep chromosome:PHallii_v3.1:3:62159728:62160847:1 gene:PAHAL_3G489700 transcript:PAN21804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSPEQVSEFREAFAFFDKDGDGCITVEELATVMGSLQGQRPGAEELREMIRDADADGDGAIDFAEFLGLMARKAAAGGAGAGDAAADPDEELREAFKVFDKDQNGYISAAELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNYDEFVRMMMLSDGHHQ >PVH61262 pep chromosome:PHallii_v3.1:3:93993:95747:-1 gene:PAHAL_3G001600 transcript:PVH61262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIALGRSPADEAKTGLHKLSKFLQGDSGLFFTNLPRDEVERLFREFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELVADHVVARKENPFHQKQHRLCACSGSRWQHSGYTLCAAGPVMTLKCTKKAWRT >PVH61298 pep chromosome:PHallii_v3.1:3:428508:428756:1 gene:PAHAL_3G007000 transcript:PVH61298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSRLFQLLGPLLLLLTVFAPRPVTAAASAGTPSMMPRERSSSEDHRQLPAAGSSSSYEWLLNRKPRGKPPPSAPSKRTN >PAN21017 pep chromosome:PHallii_v3.1:3:56804409:56810062:1 gene:PAHAL_3G433200 transcript:PAN21017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRKGGSQFHTSRSLITGMMFQKWCSCGLWTVPSFFQLSGEQVHILACLSSSKQDIQVISPFRIAAVMSKNGNSLQHSMDKFSPVNANGHDIDTTGENGCQDVDNDMQSVELNSDASPSGHDILETQSLLQMEDHKQQIELMLQRFSESNFFVRIAESDEPLWSKKRATAAKMSDGRSDGQGNSKASRSNIYNTISDKGIFDGSTSGGVARDTVKCYSLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKSISNNCMPENLVDGLSDSNDDPCRELLSWLLPLDRTLPPRSLAPSTLNPSASHKQSYSSTGSQIFNFRSYSMPSASSVQTPNNIRPPSISESQEFMPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERRTNSDAPPALSLPTMTGATLNTTPKVGEPFVALSDQYAVLVSYRCNYTESKLFFKQATTWRPSAASDLMISVSSELSLRNPSLGARVPQLPVQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSAPTTPNGSYDGVNESAKRSGLGKQELGFRRLNSVLATSPKESDNAGNRISSASGCTHLWLQSAVPLGCVPPRSSTTVKLELLPLSDGIITLDTLQITAREKGLTYIPEHSLEIHATSGISSGRS >PAN21018 pep chromosome:PHallii_v3.1:3:56804409:56810062:1 gene:PAHAL_3G433200 transcript:PAN21018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLYRTAVQPAAPELPRIPEDTLPKPATTLEGLIIAEDSYQPPSARGEDGAASNGPGDAGAGSGSLDLKGQVLPGTHTDVAEEEGWITIPYKSLPDNWNDVSEMVQLRPLDRSFLFPGEQVHILACLSSSKQDIQVISPFRIAAVMSKNGNSLQHSMDKFSPVNANGHDIDTTGENGCQDVDNDMQSVELNSDASPSGHDILETQSLLQMEDHKQQIELMLQRFSESNFFVRIAESDEPLWSKKRATAAKMSDGRSDGQGNSKASRSNIYNTISDKGIFDGSTSGGVARDTVKCYSLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKSISNNCMPENLVDGLSDSNDDPCRELLSWLLPLDRTLPPRSLAPSTLNPSASHKQSYSSTGSQIFNFRSYSMPSASSVQTPNNIRPPSISESQEFMPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERRTNSDAPPALSLPTMTGATLNTTPKVGEPFVALSDQYAVLVSYRCNYTESKLFFKQATTWRPSAASDLMISVSSELSLRNPSLGARVPQLPVQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSAPTTPNGSYDGVNESAKRSGLGKQELGFRRLNSVLATSPKESDNAGNRISSASGCTHLWLQSAVPLGCVPPRSSTTVKLELLPLSDGIITLDTLQITAREKGLTYIPEHSLEIHATSGISSGRS >PAN21016 pep chromosome:PHallii_v3.1:3:56804409:56810062:1 gene:PAHAL_3G433200 transcript:PAN21016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLRPLDRSFLFPGEQVHILACLSSSKQDIQVISPFRIAAVMSKNGNSLQHSMDKFSPVNANGHDIDTTGENGCQDVDNDMQSVELNSDASPSGHDILETQSLLQMEDHKQQIELMLQRFSESNFFVRIAESDEPLWSKKRATAAKMSDGRSDGQGNSKASRSNIYNTISDKGIFDGSTSGGVARDTVKCYSLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKSISNNCMPENLVDGLSDSNDDPCRELLSWLLPLDRTLPPRSLAPSTLNPSASHKQSYSSTGSQIFNFRSYSMPSASSVQTPNNIRPPSISESQEFMPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERRTNSDAPPALSLPTMTGATLNTTPKVGEPFVALSDQYAVLVSYRCNYTESKLFFKQATTWRPSAASDLMISVSSELSLRNPSLGARVPQLPVQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSAPTTPNGSYDGVNESAKRSGLGKQELGFRRLNSVLATSPKESDNAGNRISSASGCTHLWLQSAVPLGCVPPRSSTTVKLELLPLSDGIITLDTLQITAREKGLTYIPEHSLEIHATSGISSGRS >PAN17291 pep chromosome:PHallii_v3.1:3:7577282:7582453:1 gene:PAHAL_3G119100 transcript:PAN17291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASGDGADAGGDGASSAVAAPAGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSAEAGSIPSFYKKKPEEGSISSRVQRLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPTQFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDIFLALDKDQNGTLSKQELKEYADGTLTEIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRISLQDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >PAN18921 pep chromosome:PHallii_v3.1:3:15266987:15268154:-1 gene:PAHAL_3G236000 transcript:PAN18921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAGKPLLALLVLSGLLLLPLVASVPLPRCLRLGSPQQHPPALKLTSSQEASMAAWNLGSRPAARMTVEVNDYQPSGPNNRHDPPKGPGRA >PAN19556 pep chromosome:PHallii_v3.1:3:18952366:18956529:1 gene:PAHAL_3G282200 transcript:PAN19556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASKSKSKDRSAAKVTKEQPKVAGKPMGNGTLASSYNNLSGKFHVLEPSASFLGSQGIDKFRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKATSTASRVDSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSADQATMALIQNEGCVEESVTWLCNFDGSEETKQQLAADQQSGVNLKIDIADELAKIVSLEAKYKCTKQEVERAVVSCEGDLEKAEEVLKTHKQESTAAPSKPEGSGDSSGLPNKQQVVLVQNPARPQTNGFSSVGVQQMRREEKDLNYKLLMNGSGPKEPAMKGFQPLAAPIKPELVRQQFVQPEKRRLSANSVPSVPYVASSPLPVAVPQLKSDMRHVAGGNEVKSAMPNGSLRESVVVMQRPQSAGSKQSLPSTSHSMFASEPSSREWYLNGASGVDMILNGGLGHGLRNMSLDNISSARPFGHANHQQSFVSNPIELAANGWGGTWSSGGTSSSRSVASSLGAFRGWNSSESSSTLSHSDWRTNGLAPYDYTSVDWSVDTTLLNPAAKSERLSDTWSTMFMGGRSGRSAGNLSGAGIAGLHDSNHPMDPAPSPRPYEWPSFCRGGSS >PVH61450 pep chromosome:PHallii_v3.1:3:2249934:2255631:1 gene:PAHAL_3G035700 transcript:PVH61450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDFIDLSSDDDKVVAQYVDLISDEDDFEGEHLAYGQGDAAQSITFLKQEFVADDGQAEAAQCTGTLQMQELGAYDGQANAARSTAILQMQEVRADDGQANAAQSTNTMQELTVDDGQGDAAQGTATLQVLAQKTKEAEITEQNLLPQAIKTNAEHTESVRKDLLRVHEMRDGSMTGCLHSVGSDLTCSWELPEQNVSMQSSQEAAAVDKDPRTPTSVREPAVPVCSLESGVLEQGIKKSITGADEMQSTQDKQSSSGAGKWVVSKTESGAAVTDPLGGSIPKRLRTAGSVVAGAGWASTVEELVQQAAGRLVQQAKAGNASAGMVVDAVLELLSDALGVASPPAGSSDTAVVMALGAVYKQLTMERLNEEVAVETARDL >PAN21383 pep chromosome:PHallii_v3.1:3:59933014:59935290:1 gene:PAHAL_3G462000 transcript:PAN21383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGPTQPSSHVFPRGEDKRRFRKEWFEKYNWLEYSLVNDKAYYFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALRKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDRASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDISSGRGQNQETSLPRSGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDIPIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDYFCFDNRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN21478 pep chromosome:PHallii_v3.1:3:60419043:60419823:1 gene:PAHAL_3G469800 transcript:PAN21478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLPLAVVLLLMLLAASAVPATAEGKHSGGGRMVIIRRGPRTAAGRARANDRWRNGGGRLLEDEVAPELFGGPVGAGGGGNIGYGVLKSDTTGCPSGGQCAAKSGGSYTRECTYKENCRH >PVH62229 pep chromosome:PHallii_v3.1:3:15700575:15702243:1 gene:PAHAL_3G242000 transcript:PVH62229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPSQGSARGGGAATPPSKPQSPLRITHDGEFYARLLTRESSLGNPSFRYYGAGPGAVPFVWESQPGTPKDAYSSRMLAAGAGAPVITPPPSYHLRGAAFGHSARRHGKAGGGSRSGCRYKLRWIKVGFIATVFRRLAFGKSCRSSSSSVKPSPSTRWLFSGSNAADEARDQEYCGGYEPAPPPTRMKGVLRLGVRPSPWMVQFCSGQREQAGWVYGWRP >PVH62900 pep chromosome:PHallii_v3.1:3:55972950:55976132:-1 gene:PAHAL_3G426800 transcript:PVH62900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKEEDLESPLLSNGEPAPADSEGSAYPLFCALLASLTSIIFGYNRGVMSGAQKFVQEDLGVTDGQLELLIGATSVYSLVGSLAAGWTCDRAGRRRAVALSAAMFLAGSAVTAAANGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPASSRGFLSSIPEIASNSGILLSYIADLALAGLPTTLSWRLMIGIGAVPPLFLAAAAVLAMPETPRWLVLHGRPDEARRVLARIAGDDGDRRLQEIVASVREASSKSAGGSPAGVWSEILVRPTPAVRRVMVAILGLQVFQQACGVAAMVLYAPRVFSHVGITSDRAVLGATVLLGAVKTVAIVVPLFLADRLGRRPMLLASAGGMAASLLVLGLSVRAAATWWAAAACVAAAAAFMAAFSLGFGPVIWMYASEILPLRLRAQGTGIGTALNRVMSAVVGMTFISMYEAVGMAGTFYIFASLTAGAWVFVYACLPETKGRSLEEIEELFDTTGAIKPSPRATLS >PAN15643 pep chromosome:PHallii_v3.1:3:746318:748278:1 gene:PAHAL_3G013200 transcript:PAN15643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAATTSSLVLFSSPRRSLTSLKTSPSLLRPSCSSCSVPSAKQQPPVCLAVPWLNSKKSSTLRCSSNLADGPSTLGSSVRWVLDPAGDGDWRHIGYKVARPGAFEIASNAVTVGRVADKADIVLPVATVSGAHARLEKKDGRLLVTDLDSTNGTYINERRLNPGFPIPIDPGSLLIFGDIHLAMFRVRTMIVEVPSETDAAQQETKTEVVSAAVEDATS >PVH61852 pep chromosome:PHallii_v3.1:3:9093891:9098944:1 gene:PAHAL_3G141500 transcript:PVH61852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHHFNNISLGGRGGFKEPWKFKLCSGGFAWKKQGGGKIIEVDKADITSVAWMRIPKSYQLNVGTKEGLFYRFFGFRQQDVSNLSNFIQRSTGISPQEKQLSISGHNWGGVKIDGTRLCFNVGEKEAFEVSLADVSQAQMQGKTDVVLEFHIDDTTAANEASTWLLDKVFNYVNETNSKCIDEYCLKIKDLLTDLSFHVPTSNAQFIGDEHRTSAQMLCQEILHRADKGSPSEAAVVTFEGIAILTPRGRYSVELHQSFLRLQRQANDFKIQYSSILRLFILAKSQNPHTFVVIALDPPIRKGQTLYPHIVIQFVTEAMVERELALSEQVLAEKYKDRLQGSYRGQIHAVFSNVLCGLSGAKVTGPSTSCSCQHGYAVKLTLKAQDGLLYPLEKSFFFLPKSPTLILYEEIEYVLFERHGAGGVNISSQYFDVLVKLKNDHLFSNIQRIECQNLFSFISDKHLKILNLGDGQRITGGVTSVLESTDDDSMDPHLERIKNQACNEESDQDDEDFVADKDDSGSPSDDSEEEDSDASMSGDEKEPHVKRKLNSGSDEGSQNKKPKRKKEQKSGSDEGSQKKKPKRKKDPNAPRRAMAPFTYFSKAERANIKNSNPELTTTEIAKKLGERWQKMTVCLQKPRRDSQPYVEQSRADKQRYEQESAAYRGAGAQQGPGGGSGGRL >PAN18115 pep chromosome:PHallii_v3.1:3:11788530:11791754:-1 gene:PAHAL_3G179500 transcript:PAN18115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASHDHHHHHSHDHNHSHGDGDGHAAGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFTKRAPPLASRTFTDRAFTVGIGGPVGTGKTALMLALCRFLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHVLQAWEIATGNKRR >PAN20587 pep chromosome:PHallii_v3.1:3:40768359:40769318:1 gene:PAHAL_3G373800 transcript:PAN20587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPLPHLPAPVAPPGAAEVDPQSQPAAPPAEPPEVMHRTRAVDFLGCCTPIVYQNDNGPCPLLAICNVLPLKNVISLNPDASKDKDEEYVCNREQNIADAIDLLPRLTTSIDMTIMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQLHHLNFDLQRPITVLIFLLSFGGCFSLHD >PAN18734 pep chromosome:PHallii_v3.1:3:14359328:14364125:-1 gene:PAHAL_3G221500 transcript:PAN18734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFLHRALVLSSLLLLASGEIFFEERFDDGWEHRWVKSDWKKSQGQAGTFRHTAGTYSGDPDDRGIQTTGDARHFAISAKFPEFSNKNRTLVVQYSLKIEQDIECGGAYIKLMSSYVNQKKFGGDTPYSFMFGPDICGDQKKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDATYSLLIDNREREFGSMYTDWDILPPRRIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKEIPDPMDKKPESWDDDDDGIWKRRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAERKRKAREEEEARRAREEGERRRRERDRDRGRDRYRDRYKRHRHYDYHDEL >PAN17073 pep chromosome:PHallii_v3.1:3:6625990:6626711:-1 gene:PAHAL_3G104000 transcript:PAN17073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFPTAARGRLRRGDTAGPARPGLRDGDSADRSVGRYSQGARGIPAIGCCRMRRRPQAPNLRRRRLPLGETSSKKITIRFNLQSCTDRDRRDLSESILPWNI >PAN19632 pep chromosome:PHallii_v3.1:3:19473934:19478607:1 gene:PAHAL_3G287000 transcript:PAN19632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTPTAVLLNENMQMIQKGKRADAPSTKPLKPSTKPGLQERKALQDVSNIPKGTALSNRSSVKERSILKDKSTMKERSILKERPGLHNVSNTLRERSILKEKSDLRSHQAINNPVNIFADEETKKCHEWAKHGVEGIHFTGNDSQKLDKDVQDKRVKKKVAKVMSALHGWSDVVVDPVMFPATEVANFPEEVKELELEPEILPDNIRYLVTSGNRADAPRAKPLKPSVKPSRQERKALQDLSNTLSERKGLQDMSNAVKEESILKESSALCSHEVTKNPLKIFTVEETRKCHEWAKDVIEGSHFTGNDPQKLDKDVQEERDKAQLAEGSSTDDELDEYPFLDNNPIKFELRDEPGIPDL >PVH62410 pep chromosome:PHallii_v3.1:3:19473842:19478338:1 gene:PAHAL_3G287000 transcript:PVH62410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTPTAVLLNENMQMIQKGKRADAPSTKPLKPSTKPGLQERKALQDVSNIPKGTALSNRSSVKERSILKDKSTMKERSILKERPGLHNVSNTLRERSILKEKSDLRSHQAINNPVNIFADEETKKCHEWAKHGVEGIHFTGNDSQKLDKDVQDKRVKKKVAKVMSALHGWSDVVVDPVMFPATEVANFPEEVKELELEPEILPDNIRYLVTSGNRADAPRAKPLKPSVKPSRQERKALQDLSNTLSERKGLQDMSNAVKEESILKESSALCSHEVTKNPLKIFTVEETRKCHEWAKDVIEGSHFTGNDPQKLDKDVQEERDKAQLAEGSSTDDELDEYPFLDNNPIKFELRDEPGIPDL >PAN19631 pep chromosome:PHallii_v3.1:3:19473934:19478607:1 gene:PAHAL_3G287000 transcript:PAN19631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTPTAVLLNENMQMIQKGKRADAPSTKPLKPSTKPGLQERKALQDVSNIPKGTALSNRSSVKERSILKDKSTMKERSILKERPGLHNVSNTLRERSILKEKSDLRSHQAINNPVNIFADEETKKCHEWAKHGVEGIHFTGNDSQKLDKDVQDKRVKKKVAKVMSALHGWSDVVVDPVMFPATEVANFPEEVKELELEPEILPDNIRYLVTSGNRADAPRAKPLKPSVKPSRQERKALQDLSNTLSERKGLQDMSNAVKEESILKESSALCSHEVTKNPLKIFTVEETRKCHEWAKDVIEGSHFTGNDPQKLDKDVQEERDKAQLAEGSSTDDELDEYPFLDNNPIKFELRDEPGIPDL >PVH61300 pep chromosome:PHallii_v3.1:3:447284:448858:1 gene:PAHAL_3G007300 transcript:PVH61300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSAPADSYTPAAVLRLLRRLPCRSPSSAAAGRQLQALVAKLGLLYHPAFLSAILSHLPATSPSSLSLLFAAPPCILSPSLFCPLITKFSSSPILSRASAAAVFAAKGAELHCRLLKLGGEQDGYVQNGLVSMYGKLGRLGDARRVFDEMPIKNAVSWNAQVGAHGASGDLQGAARVSLATPARNISEMPERDAVSWNSMIGGYAKLGMYDRALDVFREMQENGMEPTELTVVSVLGSCAEMGELELGRRIHNYLESKGIAADGYVGNALIDMYAKCGNLKLARQVFDSMSIRDLTCWNAMIVGLSVHGQSLDALELFDSMKIEPDHITFVGVLSACSHSGLVNEGRAYFNSMTEDYKIVPSVKHYGCMIDMLCRHGKVHEAYRMIKDTPIQANSVLWKMVVAACRVHGQFDLASKAFREQQQLMPDAITVSNVYAEAERWDDVEHLRRKVIGCNVSEHAAWSQVHVR >PAN17307 pep chromosome:PHallii_v3.1:3:7655100:7657124:1 gene:PAHAL_3G120400 transcript:PAN17307 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSPO [Source:Projected from Arabidopsis thaliana (AT2G47770) UniProtKB/TrEMBL;Acc:A0A178W1Q1] MAAAAQEGLTHRVAAREDDRPAVAGGASRDPGSRKPGAGRRGLRSLAAAVSLSAALTALSFFGSSSSSAPASTVAIVRAGSVASEAVLALAAWMAWAEGGLHARPAATLLPYAARLACALAWAPLVLGRGAARAGLACCAAMAAGAVACARGFGAVNPVAGDLAKPAVAWAVLLAVVNYKML >PAN21163 pep chromosome:PHallii_v3.1:3:58372455:58375715:-1 gene:PAHAL_3G446600 transcript:PAN21163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHSHTSPYIYNTHSGPFPPYLPHLHTACLEIIDRPHAHSLACTTTRSPQLRAPASARARSSSRQDQIRTAMRKPEGPAAANSCNAGAAAKLRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNCSSASSPAATDCPSPEPTSKVVAGIDISGAASCPDLAGLDHHQDGGHHHAMVTTGGLWMVDSSSSTSSSTSPMQGRPPSAMAAAVARSYGGLLPLPDQLRGGMAANASPAGFFHGQAAPFKHQVASFHGGYYVSAPHHHGMISTMDGGGGCFMRGEGLFGVPPLLEAMSAQDQDHAQTTLMASSGGNNNPKNNSSNNTTETTATTTTTVSNNESNITDNTTTKDNINTMSLVNSSSSNVAAVYWEGAHQQYMSRNVMHGEWDLEELMKDVSSLPFLDFQVE >PAN17313 pep chromosome:PHallii_v3.1:3:7778519:7786378:1 gene:PAHAL_3G121500 transcript:PAN17313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVTGAMGSLLPKLGELLKEEYGLQKGVRKKIETLSRELEAAHAVLRKIGDVPPEQLDDLVKLWVRDVRESSYDMEDIVDTFLVRVDDGAEPADPHRLRRLRKKLGGLFKKSKARRKISCLIQEIDEKLDEVAARHGRFTVDSIVAKPAAATTIDPRIRNLFKRATELVGIEGPRDELINMLSLGGDVDMPRKKAMKVVSVVGFGGLGKTTLAKAVYDKLKLHFERSAFVPVGRNPDVRKVLRDILIDLDRGKYANSDLMVLDEKQLMEELEEFVKEKRCFIVIDDIWDKESWKLIRCALQDSNCGSRVVVTTRICEVAAHADEAYKIQPLSRDNSENLLYARIADGEGKYFDSPSAEACYKILKKCGGVPLAIITMASLLASKPWEDWSDVYNSIGFGQGGNDDVDNTRKILSFSYYDMPSHLKPCLLYLSIFYEDQVIRKNSLIWMWVAEGFVHEEQAAGIGLFELGERYFNELINRSMIQPVGIFDEGYVSGCCVHDMVFDLVRSLSSQENFVTVLDGKDERQKLPGRSVARRLALQGIKEHRGDQLLANIAVDKVRSFIASECNFSPSSPPYTPVLRVLDIDFGEKVIGGTLDHLGSLLHLRYLRLASLSYNFELPREVRYLKFLQTLDLSRFCINELPEEVGLLTQLVCLRVCPGTRIPDGLIGKLTSLQELLRWPVVNDDYDDARRMQFVKELGMLRELRVLWTEIFVRDESKARALLESLGNLHNIRKMHIEGLPLYVVKSMTSHKGFITCRHLQYLHLYCLVFSRLPMCINSSLAPNLSYLFVKVQAVKGQDMETLARLPELRSLTLILCDTTKLVNIKIPCKAQGVGYYFRKLRILKIGGAPIWFDLRDCISNGNVASAIMPSLESLVFQVHVRLLKDAALLSFDRLLGFESLGRASLQSVTVTVNCEGARILDVEDVEDALERTAAVHPKRPNLRTTLEEKMHTTYLETSMNASRIPDFVPKAWKSADIVDSRHIRALRIPPDPEASSTKVLRLMYANKGNYVFTLSSNATLMLRNWGPSEKNPRGRPTTSVPPLLWQPEEGILMTNDTTEANTGAVASCIALSKNESYIISASEGEITLFNAKTFRAFTPFLVPSPGSTFTAVHPQDNNIIAIGMEDYSIEIFDVHTNEVQRVLMGHQKKVTGLTFSQSMNVLVSSGADAQLCVWSTDDWENKKSRYIGPPCNGSALVGDTMVQFHYDQTHLLVVHESQLAIYDGKLECLHSWSPRDALPSPISSAVYTSDGLLVYAGFRDGAIGIFEAESLRLRCRIAASAYIPSSIPRGGVVYPMAVSAYPWLNPNQIALGMSDGAVHVLEPLED >PAN19239 pep chromosome:PHallii_v3.1:3:17053207:17055891:1 gene:PAHAL_3G258500 transcript:PAN19239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATAAVRGAAASLARARVSFVCGGRARGPRRVVGAGLAARGRRRGALVAVASLHEPLPSRAQEGHVPAAPQADEEEIHGNGSAAAETSSPPVVSGKTVRVRFVLEEQCALDQSVFLVGDDPALGLWDPANAIPLEWAESHGWILEKDLPANKLVEFKFLLRDSSGKLHWQNGPNRIFQTGETVNTLVVYEDWGDVKNQKIAEEEGVASVGIEEAVVTDDSESRNESVQAVVLEDELQMDDDQEVNGVKEDESFVADEEDEKLAVPTNESVQMDPLKTKEANTEEIPV >PAN18624 pep chromosome:PHallii_v3.1:3:13961208:13962836:-1 gene:PAHAL_3G215000 transcript:PAN18624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVDFIVAHYMWGSKKYIILWRELDIESIEIKSDEHLLEWFQVNLKKGVVHIIAQINDFDSPIQFSLTKHRCHPSLRNRVPTNERATRETTTNVRAKSTSKKKRTTYESVGVDEEGMYSDTDSLVAPSDSSYDIDLTASSDSGDDCSDPEFDPDSEIVDGDDEFDPPPFSYDADDPCIGQCGVPRCGSMQISGYPSSYVE >PAN19068 pep chromosome:PHallii_v3.1:3:16033813:16035560:1 gene:PAHAL_3G247400 transcript:PAN19068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >PAN19917 pep chromosome:PHallii_v3.1:3:21610153:21611672:1 gene:PAHAL_3G307600 transcript:PAN19917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGSELWQRAQTPSSILHISFFDPNELLLALTLLSLFVPGGTQDGSAAPSSDHCPPARRPRCRRHRSFDRNRYVLAQFLGLGAQETSVNLARNACDGGKGGGSDGDGIFKQREDLPEGHERKTRTILIIPT >PAN21276 pep chromosome:PHallii_v3.1:3:59090999:59095523:-1 gene:PAHAL_3G454700 transcript:PAN21276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSSRRLPWSSNGDGDGAAGEMSRPRRRWQSVVLQVRGMRRKTTTEGLFGFRRIMEAEFMGMFLPVFGSMMRRVVSEEVEKAMFRQFSASAAPPRLLVDWNQRPRYHLVFLNGLKPLYTMTRLEADDGTAIKVAIVERLENNRTNIVRFGPLSSVRVEVVALHGNFNAKSEECWSPEEFNKHIVTCREKSVQLLTGNLTLKLNGGEALLENAIFTDNSSFTSTKMFRLGLRLVNPSGERVLEGVTKPFRVKERRVEGFEKHYPPVLDDEVWRLKKIGKTGAYHQALSDNGIESVKKFLQAYMKDEQKLVKIFNKMPQSTWKSIIEHAMTCKFGDSLYLYEVKDSDAGLYFDEIYQLVGVKFGDCYKPIHQLDQIEKNLVDSLKQVAYQNIDGIQSNYKMVNNYPVLHRFPAQGTSLMSPLLPNQQILNYGQHSSYLGDTFSTRQGFRTKHSREDFNTSQGSSNVPGDMSRFVQGQASNDVQMIHEPITNRDVQYSSSQGTLLSAPRITQLQIPNNEITYFGRDASPPAVVPDDILVSEAAARFNQGRQREPSHFSEESYNLLPIDSLSSTDAFMPLMQPQFHLLSNGENSSNHWNQRYNGETIMQSQQVVTGFQTSRTNSFDSSSCEDLIQNFISQIPNSDRAATPLSPRKWFKIKVAFKLASVGRLSRTSRRGPHCPAPRPRLVPTI >PVH61306 pep chromosome:PHallii_v3.1:3:520102:521677:-1 gene:PAHAL_3G008700 transcript:PVH61306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHNSRYLPQSSPSDHPSFVSDHPSAMKEIARGQSLVTQLRAIVLPALQADQRYELVAQMFQDILDCSSKAITELQLQHQSDARPEDALVDDKKRVRRISSDDCIKEEGATANPHHQHKRRRSDDSVSLETPVPHYDGRQWRKYGQKHINKAKHPRSYYRCTYSKEQDCKATKTVQQQDESTGTDNPVMYTVVYHGQHTCKDNNGVDSGTDESETSTLSSSDSRSSISTTCTDPCDHQTSLGDNKLIEKSADLVTKSMYEPLDMNPFAPLDLDSWELDALLRFHLEPDN >PAN18113 pep chromosome:PHallii_v3.1:3:11776634:11779595:1 gene:PAHAL_3G179400 transcript:PAN18113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSLGLLHAPFFTAAKCQPVASRAGARRAPRPLFAVRASAADGANKDAVLRAFRENRALKIISGLQNFDRSSVASVVTAADKGGATHVDIACDEDLVKLALDLTTLPICVSSVDPSAFHSAVQAGAKMVEIGNYDSFYEMGIEFSSEQILKLTRETRKMLPGITLSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSSPTNPGVLGLIEKATPTLAAAYSISRAISIPVMCSSGLSSVTAPMAVTAGAAGVGVGSAVNKLNDVVAMIAEVRSIAQAMGLDSRNVSDNLRTVHH >PAN18977 pep chromosome:PHallii_v3.1:3:15530673:15535347:1 gene:PAHAL_3G239500 transcript:PAN18977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRLTSATKSQPPRCSSSDRMDAAIQELRRGTRLADLLREQVELIPELERRHAAVANVGEISTAMELSLSLLQSEMEHPSSPEVGAVAKAAPAAYSSDGGTGERNGAVARTRRVRHRRGKHGAELPIKEILTEAPENDRFHWRKYGEKNILNAEHPRLYYKCGYSDDHKCPAKKYVQQQSNSSPTPVFMVTLINEHTCETLFPDEASSSSSSAASHVLDFTKASLSPPVMAAAPGLKKKAEEDSMSAVSMHSYPYDEYLSSSLPTMSPDGDQVKFSPGPGW >PVH63222 pep chromosome:PHallii_v3.1:3:62704070:62704546:1 gene:PAHAL_3G496300 transcript:PVH63222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASSSPVVLPVFVRSPDAPPPPGSARSRVVVVFPAPTPQPPGLACFLLGGALACRAAAIPPPAAVVRDRIRLPWKVDLHTKCTDHKDFADKPVEAAKPIDLEAPPKSASSSEAIYGRRCPGLGK >PAN17117 pep chromosome:PHallii_v3.1:3:6938437:6941028:-1 gene:PAHAL_3G108800 transcript:PAN17117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLEAEMSWNVLISPSQLDRKGLLLRKAIIVCLLEDVTNRRASKEHGYYIAVNQLKAISEGKVRELTGDVLFPVTFTCITQKPMKGEVMVGYVDRILKHGVFLKSGPVESIFMAEKSMSDYKYIGGENPMFMNVHSKLEKGTAVRFKVMGFRWMEADRQFQLLATIAGDFLGPL >PAN21348 pep chromosome:PHallii_v3.1:3:59557958:59562919:-1 gene:PAHAL_3G458300 transcript:PAN21348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERGAVEQLPAKRMKLAVPVGVGGGGGLASPRSRRLRQTLLVVLFLVRASPRATVTAGVSRIGAMLDRAFHKYHGLITSKLDSCQRQVESKLEAFQGHVQSKLETFQGQIEGLGQEVRQLARLHSNRHPDRHTRIEPNQEHAASSGSNTNIHLGFLNKWKPPLYTDKDITDENNVAIKVAVFEGDKMITTGPLSKAEIEILVVHGSFYKKVQDNWTEEEFEKHIVQGRDEQRLVLGTVRLTNGEVELSQIRFKEGSCRKKFSMAARFCKSEKIAGRVREAIMEPVEVKDRRSEPNEKSDSPRLDDDVYRIKAIARDGAYHQRLQEANINTVQDFLKALNKDPDELYKILKMKNKGKSWSKMTGHARKCILEDRLLKAYQTDHGAVMLFFNCVHDLVGARFGSNYIACENFDIDHKASVKRLKEHVYNRLEDIPYDYVMKDDAPERIPLGTGAAVGPSVVSVDARQPNSIADNLEAYEGYQGAGAADNFHSDGFNLVTEPIYTHANYGPMNSGPLNTYDCQGQGIPPPRQQQITLPSIGPEWQQNAQVSEDSPDPFEWATMNPMLQQSSEASTSAQPNLEPHHLPQLLQTPPAAAPAWTVAQPPFPGQDQGPDCSAFPGSGHGNGW >PAN15767 pep chromosome:PHallii_v3.1:3:412895:415826:-1 gene:PAHAL_3G006700 transcript:PAN15767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGKILMERYELGRLLGKGTFGRVHYARNLESNRSVAIKMMDKDKVLKVGLSEQIRREITTMRLVAHKNIVELHEVMATRNKIYFVMEYVKGGELFDKIEKNGRLTESAAHNYFQQLISAVDYCHSRGVYHRDLKPENLLLDEDENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKTGYDGAKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKIQHGDFRCPSWFSHKLKKLLYKILDPNPSTRISIQKIKESTWFRKGPEGTRTVKEKIPSENATTNAAPTLSVRRGKNAYEDVKPLHVTNLNAFEIISFSSGFDLSGLFIEMEHRKEARFTSDKPASDIISKIEDVAKMLNLRVRKKDNGVVKIQGRKEGRNGVLQFDTEIFEITPFHHLVEMKQTSGDSLEYQKLFEQDIRPALKDIVWAWHGDDQQQMQE >PVH62451 pep chromosome:PHallii_v3.1:3:20281059:20283340:1 gene:PAHAL_3G296500 transcript:PVH62451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEDFKFIYWMEYAHRMWGRALVFVFAGPFAYFIAKGYVTRQLGLRLSALFALGGAQGLIGWWMVKSGLETALSVVMPDPPTGSMSWVNGASKIRKLAVPVSAVVGITAISGASVAGNDAGHAYNSFPKMGDTWIPEDVFCIEPFIRNFFENTATVQFNHRILATATLLSVGGLWLAARKIDMHPAVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLATAVKST >PAN19752 pep chromosome:PHallii_v3.1:3:20278649:20280079:1 gene:PAHAL_3G296400 transcript:PAN19752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPLFCPQPPNRRPPEPPFQPARRSRITSRRLGRAWCLGPWAAALSRLEQRLSSPSRCRALEVKEAAAQATLLGQCTRKMSTTAAALNSTMANGTANQGLKLLVTKGPQARMAVGIWLFSCAAWVFSLVVLGGITRLTRSGLSMTDWKFTGEIPPMPDEAWLVEFEKYKQ >PAN19609 pep chromosome:PHallii_v3.1:3:19315389:19317160:-1 gene:PAHAL_3G285800 transcript:PAN19609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPRLLRLRTMASHSCPRPAAVAPRASRPLSSLLLAPSPAAARPRPAPLSCAARPCRGLAAASPSRGAAVRRFPGVAAMSSSTPPPGPVQKSEEEWQAILTPEQFNILRLKGTEYPGTGEYDKFFDEGIYKCAGCGTPLYKSSTKFNSGCGWPAFYEGLPGAIRQTPDPDGRRTEITCAACGGHLGHIFKGEGFKTPTNERHCVNSISLKFIPASEEA >PAN21897 pep chromosome:PHallii_v3.1:3:62841809:62844784:-1 gene:PAHAL_3G498100 transcript:PAN21897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCASPYSYAVLERLLQEYFPFPRRLLQLHTLVLTSGALLPDPKCSPAIVTAFPYNCLAHAHLRLSAASPPSAPLRLFSAMLTHGARPNRHSFPSLLKSAAASGSAAAAGALHAQCLRRGLASDRFVACSLVSAYGRTGHPARDARKVFDEMEGSPDLAACNALLDALCLAGDLEAAGTFFEQMPARDAVSWTTFVSGLSRGGRHRCALEVFRGFLLDNMGRRLEEATLVSVLSACANLDGAEGLMSGMAVHAYVVRHEVEPTAFLGTALVDMYGKHGRLGGCTSAFEFVCKKEVCTWNALLSALANHGKEAEALVKFDMMRAEGFLPNQITFVALLMACARAGSVEIGLYWFEAMVAEYKVTPLMVHYGCVVDLLGRAGRFMDAIQVIERMPFAPDASVWGALLGACRLYGNVELAVEVGQKLMALGPQQSGRYVTVRNVFLEDGNWQAGVRMGEVMQEAGIKKIVGQSSVVLLGHAIP >PAN17029 pep chromosome:PHallii_v3.1:3:6554438:6557532:1 gene:PAHAL_3G102800 transcript:PAN17029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCFKPEKKTPSTRMESREVTVVKKASTENEAPPREPGSIKPSPVSSKHKPSSETSTSIEPPKASSSVAKTAKAFTFRELATATKNFRSDCLLGEGGFGRVYKGKLENGQLVAVKQLDLNGFQGNREFLVEVLMLSLLHHPNLVNLVGYCADGDQRLLVYEYMALGSLAEHLLDITPEQLPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDEEYNPKLSDFGLAKLGPVGGKTHISTRVMGTYGYCAPEYIRTGQLTVKTDVYSFGVFLLELITGRRAVDSSRPPSEQVLVNWVKPMLRDRKRYSELADPLLRGEYPERDLGQAVGVAAMCLQEEASVRPYMSDAVVALGFLAEVPAGYKYKSGPIPQMKQVEDPSSTSSSKQDKSAYDRQKAVAEAIEWGSLRQKQKAQSPEKKAFSQGIIPSRSL >PVH61970 pep chromosome:PHallii_v3.1:3:11038452:11039162:-1 gene:PAHAL_3G166700 transcript:PVH61970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAARARQSTPWSDLQPELLGLVLSQLPSLADRVRLRAVCHWRCRAARLAEPLPPPLPWVTLHDATFVSFPAGETHRVPIPDDARHCHGSVGKWLFFQDKIDGTCSLMDPFSGDIVQLPRLASFATLEEQIMRSFFKLVLPSSRRVSPDSLFAALTTCGDQSTISVGQASTAAAPASFRVPLVETLSDAAFFDGKLYAISHSNKLFVLDIDSSDQGRKPRIQSMKCVVADSINDSS >PAN20409 pep chromosome:PHallii_v3.1:3:44376413:44377859:-1 gene:PAHAL_3G383000 transcript:PAN20409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVHPNAAAAAAVAPAAVAEEKGEAVSLTVWRRSLLFNGKGFTVFDCKGNLVYRVETYGGGSPREVVLMDADGHGLLTIRRKKLSLADEWLVYEGDAAASRSAAAPPKRFTARRHVSLRPTRCLAHLSPPRRQSCADGGVVPSCRYDVEGSYAGRSLDVFASSVLGDEQRRRVATVCQKEAAVGPDVFRLVVQPGFEPSLAMAVVILLDQMNAS >PAN22092 pep chromosome:PHallii_v3.1:3:64030823:64035041:-1 gene:PAHAL_3G512500 transcript:PAN22092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIVSSRRTSNGRTRPMAGLRATAITMLMLATILLCSVPTIALTPDGEALLELKLAFNTTAQRLTSWRPSDPNPCAWEGISCSLPDLRVQSINLPYMQLGGIISPSIGRLDKLQRLALHQNSLHGPIPAEIKNCTELRAIYLRANYLQGGIPSEIGGIVHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQRACRGTLGFPAVLPHSDPLSSAGVSPINNNKTSHFLNGIVIGSMSTLALALIAVLGFLWICLLSRKKSIGGNYVKMDKQTVPDGAKLVTYQWNLPYSTSEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTSFAVKRIDLSRESRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFVELGSLDCYLHGDEQEDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNGAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFIKKGLNIVGWLNTLTGEHRLEDIIDERCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELCYEQHLEL >PVH62326 pep chromosome:PHallii_v3.1:3:17585209:17586361:1 gene:PAHAL_3G264400 transcript:PVH62326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGDLPNFRYGQGRPQFNASSSIQNMVPLCIQLKDFMNEQAKINKDTVTKFKAMDKVLENIDGNVTEVRSSNHQVLNMMKMLETQVGQLAGCLTANEGRLLGQPHGPEMAKAIQTHSGKETKDPEYPAGARKPKPTAEMEEDTKEKVTSLPEIVTEEPEFEMPGEDTKMPPLKPCYFQGKIDNHFEKFVEVVRRLSINMPLLDALQVPTYSRYLKDILANKHEMETLRVDHVKMSEQCSAAIANQGPEKQRDPGCPTIPCSIGSFMFEKALCYLGASLEPTAMCLELGDNSIRYPLGIAEDVPIKVGDHFIPVNFVVLEMGEREKPPLILRRLFLKTVEATIDVGKGDTSMAREVLSSFNHDSRYAT >PAN21851 pep chromosome:PHallii_v3.1:3:62531733:62535662:-1 gene:PAHAL_3G494400 transcript:PAN21851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQIVCHGCRSLLLYPRGAPSVCCAVCHAVTTVPPPGMEMARLICGGCQTLLMYTRNATTVRCSCCDTVNLVRPVSSIAHVNCGQCQTVLMYPYGAPSVKCAICNFITNVGGHQVPTVRPLPPALPASSGNSYNIPSTSAPTSQSQNVTVVVENPMTVDDKGKLVSNVVVGVTTGGKK >PAN18602 pep chromosome:PHallii_v3.1:3:13868420:13871985:-1 gene:PAHAL_3G213400 transcript:PAN18602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKFKHKPTGERTFSSPEEIAAGTSADRPRTFKKQGENDVYDRRGEPEQEEESEDFAKPKYKGTEGLIEIENPNLVKPKNIKPKDIDIGKTTDMSRREREELEKQQSHERYMKRQEQGKTEQARKDLERLTLIRQQRAEAAKKREEEKAAKEERKAEARK >PVH62227 pep chromosome:PHallii_v3.1:3:15628952:15631847:-1 gene:PAHAL_3G241100 transcript:PVH62227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGAAIDEWPSSPYSSSAPDGEVLRSLHRLARDLSAAEAPAPFLRPVFAAVARRARLLAAVFDDLLLCGAGAGPLLLLPRSASLCLREVLLVLQRFKALVADCAARSRTRLLLQSDEVAARAGELQHDLATLLDLLPVADLGLADDVADLLALASRQCRRAAAAELGLRAAVLALIHEVEREIVPERERLEGILEEVGINDPASCSDEIETLEREIGDRAAERWTSSMIALVGLLRYAKCVLFSAATPRTVDSKVDLDDDGAEPPSPPLDFRCPISLELMRDPVVASSGQTYDRESITRWFGSGKPTCPKTGQVLADLELVPNKALKNLIVRWCRENGVAVEVAEAGKAEPAPVVAANKAALEAARMTASFLVKKLSASFSLEPTKRVVHEIRQLAKSGSDNRAFIGEAGAAALLVPLLRSEDSALQLNAVTAMLNLSILEANKKRIMHAEGAVDALCHVMGSGASWRAKENAAATVLSLAAVPAYRRRLGRNPRVVESVVQLARTGPSSTKKDALAALLSLSGERENVGRLVEAGAAEVAVSAVGEAEAAAAVLASLAKRGGAEAIVALDGAVARLVAEMRRGTEWSRECAAAALVLLCRRAGATAAAQVMAVPGVEWAIWELLGTGTDRARRKAASLGRACRRWAAAANAAGAEQSTTECPTSDAAPALTTVS >PAN18643 pep chromosome:PHallii_v3.1:3:14055154:14056424:1 gene:PAHAL_3G216200 transcript:PAN18643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAAASAALFAGTCVARPSQAHGLPQLRARAGKVRCGAFSKQQDGSGKAPAAAPAGASLLAAASAMTASPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDEDEDSGLSL >PAN18601 pep chromosome:PHallii_v3.1:3:13866108:13866943:1 gene:PAHAL_3G213300 transcript:PAN18601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDMDSEPSTPTQPSYFSGCMASPAWLPPGVRRSPARFQLLARGGDDAGRGGRRAWRGLLRRLVRESKSICSNACRAPAAAATFKYDADSYAKNFDSGRWRPCAANAGGGHALSPLPGGRPVDQSRSES >PVH62232 pep chromosome:PHallii_v3.1:3:15755520:15756057:-1 gene:PAHAL_3G242800 transcript:PVH62232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRWPVARCGQSRSDVPSPRSFAAPSRLPPRFPPAFLLLTAEPLNGEKAPVSPPIREESHTAYEVILLRAEAGDTQQEEEGGARPLVRDGEAGRRPRRASRAGGRGGAAGASRGEEGGARPPAACGVAGRRRATERLGRRKLGERKDEY >PAN21864 pep chromosome:PHallii_v3.1:3:62601146:62601916:-1 gene:PAHAL_3G495400 transcript:PAN21864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAHCLTEDEEEMIAALRELVEDGADDVRGLGEDLRALRSRAAALEDAEALTPESWDWAENIERVARRAAETMAEQADDIVQRALPPLSRRPGEEAEEVAAATRRARERDLRRLAAAEHLVHPDTAGFLGHVARETDAALVRGEVLAPDELAVAALVEDEAVRLEERMATLAGRLRRGAAEFAARPGEEALVAALHGQAANADAARATVEAFTASVRRYRASAGSAPPAGAAGTGHAGNALNYLIALTSLAIC >PAN19224 pep chromosome:PHallii_v3.1:3:16967519:16970444:1 gene:PAHAL_3G257600 transcript:PAN19224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGAFSGTPYATRQSPIIAVALRDAKLRTRPRRGANRKEKKNRSRSRSAASAKFSASPWRSDQTATEHQLSWPPPPRRHGRDALPLPGGTRRCRRTGRAAVSGGWRPVGPVAAGFFPPLLVHTGTSDSNSASRAVRIGR >PVH62534 pep chromosome:PHallii_v3.1:3:23167754:23168512:-1 gene:PAHAL_3G317500 transcript:PVH62534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNIGQSTGPLGTGGRGGVGEDAVGEEGEDAAAGVGEDAVAAEDNRASLANRASLANRESAAVSLAGSAGPRSAPTASTAAPAAPAAPAALAAPEAESVSVNLDKKERNL >PAN16049 pep chromosome:PHallii_v3.1:3:1616732:1620849:-1 gene:PAHAL_3G028900 transcript:PAN16049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAASHHGLVAVAPASSSAAAASRVPRGGFLGLHAASPAPLATARRICCQSINSANMLGASSSKSDEAVPVPVVMIDQESDRDSTIVQLSFGDRLGALLDTMKALKDLGLDVTKGTVTTDSDVTQTKFHIMRFGRKVEDPDMLERIRLTVINNLLKYHPESSEKLAMGEFFGIKPPEKKVDIDIATHVVVEDDGPKRSMLYIETADRPGLLLEIIKIITDTNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLINCLRYYLRRPETDEDSY >PVH63112 pep chromosome:PHallii_v3.1:3:60955993:60957203:-1 gene:PAHAL_3G476500 transcript:PVH63112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTNTPSIEYNRANDERGGLLLHGRMVYWNAGPDILPSCFSKDRFSVGPDFKKLEKRFAAAQSRSTAAKPVTFAAAQSRLTAVKPDMHDKGIRLKRIRDHDDMQMEELEAKRMKLKAVFSQVIESRKKKLMGSLTMKEGAMSAIGV >PAN21561 pep chromosome:PHallii_v3.1:3:60955736:60958402:-1 gene:PAHAL_3G476500 transcript:PAN21561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALPLADVWADQSYLDTTPPQRIPALALLRPTDPDKVYFFISSCIFAVDLRMRKVVEFSDFWMPKPPPHLKRSSHFVHVWRNDPSCRPDILPSCFSKDRFSVGPDFKKLEKRFAAAQSRSTAAKPVTFAAAQSRLTAVKPDMHDKGIRLKRIRDHDDMQMEELEAKRMKLKAVFSQVIESRKKKLMGSLTMKEGAMSAIGV >PVH61953 pep chromosome:PHallii_v3.1:3:10730736:10731373:1 gene:PAHAL_3G163000 transcript:PVH61953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRSPLDSAWRKTLMILCSSLV >PAN19765 pep chromosome:PHallii_v3.1:3:20385225:20386703:-1 gene:PAHAL_3G298000 transcript:PAN19765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNYLTSEISSNPCGDQCLFLYGTGGMDGHREPSASTDSVGRGNKMPEYEDESSEEWGNDEDAAEIKAGKNWSSARKVNMIVSKFGELKKNLVRNIGFGGILDLPLFNKVDRKFTIWILSRIDCLRWVIVVNGEDQTDIVDMDVQRILGIPCGSRAVSALNSNELVMQFEFRSLKSAELVLSKDYPDGMDKIETDRSRWPLLSLLLAHS >PAN16815 pep chromosome:PHallii_v3.1:3:5714370:5719802:1 gene:PAHAL_3G088600 transcript:PAN16815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MPPTPPEPETEPEFAEVDPTGRYGRYMEVLGKGAFKTVYKAFDQLEGLEVAWNQIKVGDLLRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDRKNNNINFITEVFTSGTLRQYRIKHKKVDIRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILYNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLTKIDDPEVKLFIEKCIAKAPERLSAKELLMDPFLLDVSDEKIFYPLHPNINASDTAGSPNPSTSYRYDRAAASVGRHDHTGSMPDSHPTDNYAHDTMDPHAAIGRSITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWAVEESVDNQGDEGAHSETHSSEGDEGISELRNELDASHNGFVQEQLPSGRKYWSDSPRRDGEISHSMVVEPQIGDNIANGIPKRNDLDDIVIAKDKEDQSFGSSIHPVEGIFEQISSSVDLSNSSVVGRISRGASVGSSPRSSDDEREYNCGQHLVADITERLINLLAQQEKELSALQRKHKADIEDMLKSLPAKDREETLTRCRLKMDEKIRGDKL >PAN15998 pep chromosome:PHallii_v3.1:3:1384622:1387430:1 gene:PAHAL_3G024900 transcript:PAN15998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQPQSPAGPTPSPPQEGRHGDAPDPPAASGGPPAAAGPGGEIAALDKQLAVVGGGEERKPDGGASAGAGGGGKLVAEAMRKYAAPRSSRFHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHVYLGSYVTEEQAARAHDLAALKYWGTGPNTKLNFNISDYEKEIEIMKTMSQDEFVAYIRRKSSCFSRGTSSYRGVTRRKDGKWQARIGRIGESRDTKDIYLGTFETEEEAAEAYDIAAIELRGVHAVTNFDISNYCEDGLRKLEGPSEVPKLEGPSEVMKLAGQ >PAN18675 pep chromosome:PHallii_v3.1:3:14136543:14138916:-1 gene:PAHAL_3G217900 transcript:PAN18675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPHPHLLLVRSQNLTPLLRRSPSRDTALRRPRRFLSARASGAQLAAAGVATAQQPELGVEDAVVGFLTGRRKATEVAHAVWRSIARKGDTVVDATCGNGNDTLALLKMVADQKGQGCVYGMDIQDSAIESTSSFLKMAVDDDHQGELVKLFPICHSRMEEIVPKDAPVRLVAFNLGYLPGGDKTLITVPRTTELALQAASRILSSGGLISVLVYIGHPGGRDELNVVESFASSLPIDSWVSCKLQMVNRPIAPVLILLNKK >PAN20325 pep chromosome:PHallii_v3.1:3:41509167:41510590:-1 gene:PAHAL_3G375600 transcript:PAN20325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPARYWMLNRRKLSDHKEALFTTAIAVGRGGSSSASYYGSWEERAFDEDSAGHLGGYIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLAGVADDGETDNQIMSDHQSYLIQPCPPQIAALQQAYGVKSSASSTETNPNLICSVLPCPSRSYVQAATRRTVWGEQVSSTPISSLQAYNIDYGKKEVILDASQLSQDARPKEKMCSKMELDGGRCELKLSVLGCRTRRDFGASDDDEIFQATCKRKTVDLEASSLVLYSSHEKLQEVDKYDGDEKPNGAKVLKLCPSSPIEELDLELRLGAMPKTL >PVH63010 pep chromosome:PHallii_v3.1:3:58887803:58892207:1 gene:PAHAL_3G453200 transcript:PVH63010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGCRSLSRDSFRDPATSMELLWFSRRCMSLAAITMAVTLATFRFWISKVCHGQSWRLSHNQDLQNHLNQFHLLHVLVIHCVFASGFNIFQIPWGNKILCLAGHTREPTESLSVKEFDPQTCTWSTLRTYGSSPSSRGGQSVTLVGDTLVVFGGEGHGRSLLNDLHILDLETMTWDEFATTGTPPSPRSEHAAACFAEQYLLIFGGGSHSTCFSDLHVLDTQTMEWSRPEHQGVTPEPRAGHAGVTIGEYWFITGGGNSRKGVSETLVLNMSTYEWSVVTGLEARTPPTSEGSSLVMHTINGENFLVSFGGYSGRYSNQVYALKASLKSRVLFQQINEAGTNGIAPISPISEAANSSRKVIFEIEELQDKPGNKADTSKITAQVVKGERNQIEDKLNQEQLQSLRLKQELANVERRNTELTEELHLVRDQLSAEQERASKLENEVSEIQERLQKMDVLEKEFKLLRGEIDSGSDTAAASTDQLSRGGGFWRWNG >PAN21256 pep chromosome:PHallii_v3.1:3:58886674:58892664:1 gene:PAHAL_3G453200 transcript:PAN21256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQGPVPVGTASRNGIASEEPKVVVAENGKTVDVQDKEVSTEGLCSISAYDQWMPLSVSGQLPRPRYKHGAVVVQQKMYVFGGNHNGRYLGDIQVLDFKSLSWSKLEAKSQSGPSESSESVSFAACAGHSLIPWGNKILCLAGHTREPTESLSVKEFDPQTCTWSTLRTYGSSPSSRGGQSVTLVGDTLVVFGGEGHGRSLLNDLHILDLETMTWDEFATTGTPPSPRSEHAAACFAEQYLLIFGGGSHSTCFSDLHVLDTQTMEWSRPEHQGVTPEPRAGHAGVTIGEYWFITGGGNSRKGVSETLVLNMSTYEWSVVTGLEARTPPTSEGSSLVMHTINGENFLVSFGGYSGRYSNQVYALKASLKSRVLFQQINEAGTNGIAPISPISEAANSSRKVIFEIEELQDKPGNKADTSKITAQVVKGERNQIEDKLNQEQLQSLRLKQELANVERRNTELTEELHLVRDQLSAEQERASKLENEVSEIQERLQKMDVLEKEFKLLRGEIDSGSDTAAASTDQLSRGGGFWRWNG >PVH62026 pep chromosome:PHallii_v3.1:3:12205378:12206905:-1 gene:PAHAL_3G186000 transcript:PVH62026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNFSVVSCGFPSDDTSEFRNWETGRSQFFSFAVVCAMKECASLLLTAPVHPEILNIFLSLDYHSTKKVAATPSKKKWQQRCMGGTFHFCFRFK >PVH63075 pep chromosome:PHallii_v3.1:3:60286594:60287280:1 gene:PAHAL_3G467400 transcript:PVH63075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTLRSPTNRVVTPAETGPPVRPRVRAGAACRSPTPGRRQPQHAAPARAPAGEPWPRHRTLVPLPEQCRSLLSLPLRAAPPHRIWIP >PVH61428 pep chromosome:PHallii_v3.1:3:1974226:1975614:1 gene:PAHAL_3G034100 transcript:PVH61428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYGSNEPGLDLERLINQSYPATLEILLYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPWLVIIGAVQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGALLQILSHGFIGATLFFLAGTACDRVRLVYLEELGGISIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFMLMPKMLITFVMAIGMILTPIYLLSMLRQMFYGYKLFHVPNENFVDSGPRELFLLICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >PVH62416 pep chromosome:PHallii_v3.1:3:19539057:19540454:-1 gene:PAHAL_3G287700 transcript:PVH62416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSISVPKILFSFLPAETNIARGCSKMGAKKLYLEYCNYYEHYLRRRRLLVCIAICAKLKDRARRLLVKPIKFFNEMQELFLNSSADGSLAMDATNCMNDPQTNDTQGDEDNDYDDDIFNDLSNYAQPVDDLSDDSDTLPSPISGQPNFASQVAENSSSSSGMKRLKAEGKHAKRDVRPKSCM >PAN19059 pep chromosome:PHallii_v3.1:3:15996132:16005400:1 gene:PAHAL_3G246700 transcript:PAN19059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKHFLERQPESSGQDAGDNAKSGGSESLAEKTSVGPRKIEIWTPIRKSLGNIEQMMSLRVEKKQYSAGKQQANDGTHPVKVEEGKLSEDSEDEFYDVDKVDPSQEMHSGDTGNADVGSRSHEETCISKEELECLVHGGLPMALRGELWQAFVGTGARRVEGYYDSLAAEGESESNKCSDSSTSEAIHEKWIGQIEKDLPRTFPGHPALDEDGRNALRRMLIAYAKHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETILQELSNKHRPSVISSMEERAKGLGAWTDTNGLASKLYNFKRDPEPLVSLSDSADQLSDVGDGDANQESDPGNMDDMYGGVTVNSEIDSLPDPKDQVAWLKLELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQLEQEISELRQALSDKQEQEEAMFQVLMRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRTSMLDASPSQASQTSSQEFQPRRKNLLGPFSLSWRDKNKEKQNNADDSTNTKFSNNNDETVEFSNKDDEKQRETLELDSEQTTESTAEDGKLRAEMPEKDNELPGVEIATSNLNGQHEQMQEIKLD >PAN19367 pep chromosome:PHallii_v3.1:3:17915023:17916871:1 gene:PAHAL_3G268800 transcript:PAN19367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTSSPLLQPRSPLLILLLLTAAAAMAAEPEQNAAPAAAAQEAAVHIVYVDRPEGADPEEFHLRTLTPVLGSEQKAKDAVLYHYKHAASGFSAKLTPQQVEELKKQPCVLQIVPSQTYHLHGPESGTHQGTTRTMGLM >PAN22076 pep chromosome:PHallii_v3.1:3:63899495:63900376:-1 gene:PAHAL_3G511200 transcript:PAN22076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGFRLFGSELKLHAATFIQAAVGSHSHCRREKRRKKQRDEQKDK >PAN16736 pep chromosome:PHallii_v3.1:3:5354450:5354774:-1 gene:PAHAL_3G081600 transcript:PAN16736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLMAIHIPRVKEPSLGMNWSKGRRIWILVLFSAMEEKLYSNMYL >PAN18176 pep chromosome:PHallii_v3.1:3:12068665:12070952:1 gene:PAHAL_3G184400 transcript:PAN18176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASNSGSGDSMEWGRGRSSGSRKGKKGGNSSSDKPRQPQRGLGVAQLEKIRIQNEMAEYLHHPLGQPTPIHRTGSFNLEEPRFSHSLPSSPPSPFHANNIGVSSSYPIHHPNLAMAYGERSGDIRSGAFQTNSIIRSPNYHGAMYGSEAVHYSHPNNVTLPLFEPDHEESVCLNRPYDLNQLVDSSSLDEQEVDLELKL >PAN18033 pep chromosome:PHallii_v3.1:3:11472267:11474758:1 gene:PAHAL_3G173500 transcript:PAN18033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39680 [Source:Projected from Arabidopsis thaliana (AT5G39680) UniProtKB/Swiss-Prot;Acc:Q9FK93] MASPGGAALQRHAAVAVLRAVAAAGDLSKGKALHARIIKAAHFDVVLHNNLISFYAECGQVGLARKVFDAMPFRNAVSGNLLMSGYASSGRHKDSLALLRVVDFGLNEYVLSAAVAASTHVRSYDMGRQCHGYAVKAGLAEQAYVCNAVLHMYSQCAHMEDAVKVFENVSGFDAFAFNSMINGFLDRGQLDGSVRIVTAMVGEVEKWDHVSYVAVLGHCASMKDLVLGGQVHAQTLKRRLELNVFLGSALVDMYGKCDRAHDAQCAFDVLPEKNVVSWTAVMTAYTQNELYEDALQLFLDMEMEEVKPNEFTYAVALRSCAGLAALRNGNALSACALKTGHWVHLVVGNALMNMYSKSGSIEDAWRVFVSMPLCDVVSWNLIITGYAHHGLAREAMEAFHCMLSAGEAPSYVTFVGVLSACAQLGLVDEGFYYLNTMMKEVGVKPGKEHYTCMVGLLCRAGRLDEAERFIVNNCIGTDVVAWRSLLSSCQVYRNYGLGHRVAEQILQLKPNDIGTYVLLSNMYAKANRWDGVVKVRKQMRERGVRKDPGVSWIQVGSDVHVFTSEDKVHPQMDQITVKLEELINQIKSIGYVPNFDVVLHDIEDEQKEEHLMYHSEKLALAFGLIHTPKGATIRIMKNLRICDDCHVAIKLISVVTGRKIIVRDAVRFHCIDGGVCSCDDYW >PVH61351 pep chromosome:PHallii_v3.1:3:876211:877878:1 gene:PAHAL_3G016000 transcript:PVH61351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTAAAVHMDSAHGHGPLPSSSTSPPPPWLPVLADRHQLLRGFTASSAPSTTPATAAPPNHHHLRTAATATRRVAKRRPRPSRKLPTTYITADPASFRRMVHQVTGADDLLPVPPEALCRPAPYRAGAAGPAGALMLPTLDTSAFLLGAPGVAAPAVDRAEAGGPAGVACNYSSSCGGFPTLDSWDALF >PAN18334 pep chromosome:PHallii_v3.1:3:12761612:12765100:1 gene:PAHAL_3G195000 transcript:PAN18334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESAYYDVLGVSVDASPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPGKKEAYDKYGKEGIPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVDENSNSQEARAKVQEKIKELQKEREQKLTQSLKDRLQPYVDGRKDEFVSWASAEGRRLSEAAFGEAMLHTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHHIKSQVNAASGAISLIQLQEGMKKMEGSENNEEELMKIFEEKKEAMLSSLWKINVVDIESTLSRVCQAVLKDSTVSKEVLKLRAKALKKLGTIFQGAKSLYHRENSLRVETSTDQQATPSQ >PAN19455 pep chromosome:PHallii_v3.1:3:18363819:18366072:-1 gene:PAHAL_3G275000 transcript:PAN19455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGVPGPAAHSQELQLPPGFRFHPTDEELVTHYLCRRCAGLPISAPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGTPRPLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNHSLRLDDWVLCRIYNKKGAAAEKPSSGSSDGVAMATGSPPEQNPSVLPPPAAGAGYAPPPFPELAAYYEVRPSDSTPRAHGGADSSGSGHALAATSSCGGAERPEVQSQPRIAAWERTFSRGAGPGVNPAGSMLGGHQQQLGPAAGGGGDPLLHDILTYWGKPY >PVH62853 pep chromosome:PHallii_v3.1:3:54011995:54014112:1 gene:PAHAL_3G416600 transcript:PVH62853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRSFLILLTVISSIAGTSVVLVVGQHYRAYEPNCSTTGNYTTGSQYQVNLVKLMSELPSSAIANRGFHYGTAGEAPDSQDCPFSREMRSFYDEACILRYSDAPFASGAADTGIAFYEWYDDSVADAAGFNASRWELIARLADEAAASSLRLANGTQGYRDSHGVEQVLYGFAQCTRDLNASECTRCLEVFLADVSISLPNNTYGSAMGYSCYMACSVGNELSITIPPAMAAPPLPSRSSDTPRPAASLVAGVTVASGVFVICVGISIWFVLRWRRQKAIEHELDAYDDEEPPEDDFEKGTGPRRFRYCELAIATSFFSDKEKLGEGGFGSVYHGYLKDLDLRVAIKRVSKTSKQGRKEYISEVRIISRLRHRNLVQLIGWCHGGGELLLVYEFMPNGSLDTHIHNHDKVLPWPLRHEIVLGIGSALLYLHQEWEQCVVHRDIKPSNVMLDASFNAKLSDFGLARLVDHSRESHTTALAGTMGYMDPECMVNGSASAMSDVYSFGVVALEIACGRRPVMVLQEAGTGTDEPTTMHLVQWVWELYGRGRIVDAADARLNGEFDNQEIERVMITALWCAHPDRSLRPSIRQAVNVLRLEAPLPVLPAAMPVAMFVPPDVASLSEYADVTGSSGSSSVGTTRSSAVLTDASSLLR >PAN15670 pep chromosome:PHallii_v3.1:3:610480:611708:-1 gene:PAHAL_3G010900 transcript:PAN15670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVHPNAVAPEPAATTTTSRAAEEEPPPALLTVWRKSLLFNCDGFTVFDAKGNLAFRVDCYASARRRAEVVLMDVAGKPLLTVRRKRLSLTDHWVIYDGDGTARPLLSVRRHVSLRSSSKTLAHVTPLGSAAASAAEYVVEGSYGRRACAVRDARGDAVAEVRRKESVGDDVFRLVADPRLGEPLAMGLVIALDEMFGGGRGSARSLLRRTWSA >PVH62160 pep chromosome:PHallii_v3.1:3:14348893:14350369:-1 gene:PAHAL_3G221300 transcript:PVH62160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGRRSGRPGCSGGWLPGAGDRGTNAGGLIRWLPPAGGRRELRKTAVSRQQRRREKLPLRLHIVYQPGAPKASGFAIGGVPVEIARRSNPKIAKEFNHQTGLGSNGDTYTGEKMLSLKIEWEATDAARNVLMGRLCRNASFSRAFSRPLHKTIAQQACDKLEPTSMSITSQTS >PVH62471 pep chromosome:PHallii_v3.1:3:20944625:20946473:1 gene:PAHAL_3G303000 transcript:PVH62471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEAHYASKDRWGWREEFLELCGWEPESLTVVTALESKILGRGNTEAPRPAGRPDQSDRSIGGSKLIDITRPPSCLTKYPLIRRLLASRSSLPIGA >PAN21301 pep chromosome:PHallii_v3.1:3:57180315:57181305:1 gene:PAHAL_3G436000 transcript:PAN21301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADAVGRKSAFRRGLNATRLAVASAVTVLIVLIVAYAVTVVTRTEELSLSVTGGIIYVTQESVQPRKVGLTFSVQANNPSGRARFYYTGLRGLVFPVNNRTRKPIANFSHGMKDMVVAPNSLLQTDALVHLDDIPLIAPYFDELYNSSSASIFSNAMLTLNGTLEVGLYSVHNKSRVQTVYYCWPLTMGAAGNASAPDMDDNVPCGTSDPME >PVH62087 pep chromosome:PHallii_v3.1:3:13193499:13195521:1 gene:PAHAL_3G202000 transcript:PVH62087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKWVLVFLYIPRRPASMLVVTGAASNLQRSIHAANPLSRLVLHFLNLQEESAATTYTLKESLPKIMGFAAEIFSSVVVAELVNRCMSFLFAKCENKQATATVQEDLQLLRRLLMRGDTIVEEAERRHVANRDMLRQLSALRDAAYRGYYVLDTVRCRALPRGGGGGGSHSNEEEEEVNRRRAFALSRFNPAKRVCYPSGEADDATSSVELRKMVCSLEAMIDGMDGFVVLLMSCPPLYREPYSAHLFMDRCMFGRNMERERIVEFLLQTEPPPGAGNLGVLPIVGPAHIGKSTLVEHVCHDVKVCSHFSLIMVFSGNELKDETAASFRDKCVIKHQNDQASKGRVLIVVELLEDVDEEAWKRLYSSERSMSQGSKMIITSRSDKIVRFGTTQALRLKCLPIEAYWYLFRTAAFGSDDPGQHPKMVSLALEMAILMQGSFMFAYMGAALLNTNFNTQSWSRILTRVRQYFQKNASLIGEYPDDIKVKDYPRYTWNVIKEKPDKYFMLHDIYQRDSGQEVPDISFLDLQAGRAQPPPGKYEILFMRSPIPPYFNYICACEIRDM >PAN21679 pep chromosome:PHallii_v3.1:3:61618373:61618643:1 gene:PAHAL_3G483200 transcript:PAN21679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYKVECSKCGKFTWNGCGKHVASVYDGIEKGKHCTCKSWPGVDTKEEGSTSTAKEGEAKA >PAN21604 pep chromosome:PHallii_v3.1:3:61283896:61288546:1 gene:PAHAL_3G479500 transcript:PAN21604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVVTVQVGGFANFVGSHFWNFQDELLGLADDPGADPVFRTAALDMDVLYRAGETHQGVATYCPRLVSVGSRGSLGSLSSSGTLGSSSAAADQPNVLTWSGNVTKSVAKPHERNLFLQSLSEEEQNTSSSNDRNNAKKSVEDKDLVESLENGVKFWTDYSKVQYHPQSLCELYGSWTDFDKFDNYGAAREVVSEWSQMEELNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQYLENIADDYTNTPVLLYCVRDPVSHGSSRNQRETVIRSLHDAVSFSKLSSSCNLMVPMGLPSLSYLSPLLSIKDEKYFHSSAICAAAIHSLSVPFRLQHAGPASDSAHSSGNLDIGELVHILSDQGRQNMVTALDVAMPAPSLTDRKGLRTIQRSLRALTPEISDEDEDPYTVESLVFHGALGSGGQRASISQVKDFVCSALEGRETKPKFSHLSVSPCPLPVPVPFPSIFSSSIGQHGEILSNDLPEGTRPKGSLDVVSIPMAARLRSSNAVVPFIERRSASLQKLGMSRGGLGSQVLRDWGFGKEEVEDMGEHLAKMLRPFYPEMDLSSDSD >PVH61999 pep chromosome:PHallii_v3.1:3:11545553:11546747:-1 gene:PAHAL_3G174900 transcript:PVH61999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAWPATKRQAAASAAFVAAHARRRPHELILHRHGPSSTGALETIPLATLDEARGRRRLHVQYPEYTRPRPPRGWSGYAMIASCDGLLLFERHPVTRRWAAVPRTPGTFMQPCGFYVHKPSAEHRDPCITNGQQGSHHVCSLEAAEAHRLGPAPPFAPLLYVLPLHHVTLDGKLHWLWYPSVLFPMDNGRYPGAEEIGKIVAFDTESETFRLMRRPPRRVVRYSGGVELFLLQVDGMLAMADFLNGSMDLWVLEDHDDNDASWTLRLRVDLPSPLRRASWAMNLRMVGQDVILLGDRGRCWVALYDVMGKRVLKQIQLVPDDTWNHLNVFVFRDSLERHGFFDLHGPACSRSRKEDCNKSDNTALSL >PAN21830 pep chromosome:PHallii_v3.1:3:62427371:62433816:-1 gene:PAHAL_3G492700 transcript:PAN21830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKLQPRDKDRDRGGKPAASSPTGGSARMAGAGAGEEALSSATKQKVAAAKQYIENHYKAQMKSLQERKERRWMLERKLADADVSEEEQNHIMKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDESRFYVAETILAIESIHKHSYIHRDIKPDNLLLDRCGHLKLSDFGLCKPLDSSSFPNLSDLDYAAGKSTNPSSDGDMQSSNSTGPRRTQQEQLMHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEARLSPEAKDLIGKLLCNVDQRLGTKGAHEIKAHPWFGSVEWEKLYQMEAAFIPEVNDELDTQNFEKFEETAPPMQTSSKAGPWRKMLSSKDVNFVGYTYKNFEIVNDPELPGIAELKKKNNKPKRPTIKSLFETADSEDQTSEGSFLNILPTQLELPESLEPSPHSSISSEDSQARHR >PVH61404 pep chromosome:PHallii_v3.1:3:1624780:1630295:-1 gene:PAHAL_3G029100 transcript:PVH61404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSSSTSHSRQDPPLPGYGFHGAIANSTPPANFFDQGRGTYFGELEEALMHQVASLKRTQQAATTSRAHHGDTKPFPTAATATAATATARPPTLDIFPAWPMRSAHTPKEGSNLTADSTDDSESSSMNNSNHSSDQLGAAANRARQFDRVSQQQIQHRNMATSSTPKTGKTLDPKQTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDMQRARSQGLFLGGAPGANTSSGAGMFDVEYARWLDEHGRRMAELHGALHAQLPDGDLRATVDDTLTHHDELFQLKAVAAKSDVFHLITGVWTTPAERCFLWMGGFRPSDLLRTLLPQLDPLTEQQLVSICNLQQSSQQAEEALSQGLEQLHQSLADTMAGGSLIDDANMSFMGQMAVALGKLANLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRSLSSLWASRPREILVGDEGNCGEISIAAQPSQSQFSAF >PAN16109 pep chromosome:PHallii_v3.1:3:2355297:2356880:1 gene:PAHAL_3G036500 transcript:PAN16109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSPLRAAMVAGRGTLWLALLVVAVVSASSSGEAASGQPAAPTGPDTNVLCVSKCGTCPTVCSSPPPPPSPSDAGDTTTPPTPKSSGSGGSSPPPGQSKGGRPSNYYYFFTAAGSRSSCAGASVYALVFLALVSAVASLQ >PVH61963 pep chromosome:PHallii_v3.1:3:10983311:10984900:-1 gene:PAHAL_3G165900 transcript:PVH61963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAALRLRLLYRMLRVGELLALVAFLSWSSSRVPSAAAAVLRLAGSLLLNARFVFVLGNAIVLLLVALSRHDLSVSSNQQTSTASATAANPPPPQAAPAASASFPSFATPAPTPSGTFLEEAASFATPAVPAPAAMEAPEAAAAPAAPETARAGTAFEDQPSVRASRLARAPRRSRSEKMGPRLARRAVSPELRRSESENGRRRRSSVTARDAQACWGMDDADEFRRTVEAFIAKQTRFHREESLTMAGAGAHCGADQAFACALAVVE >PAN21344 pep chromosome:PHallii_v3.1:3:59535994:59536479:1 gene:PAHAL_3G458000 transcript:PAN21344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVTDECAVAVAAERLWKAALADQEHAVLPKACAGYIESVEVEGDGGLGTVTTMRLNPAVGGAAAFRSRLLARDAAARVVRSEVLEGGEVSARLRSQVTEVGVEPAGDGASVLKIAVEYETRDGAPLPPEDQAKLTQGYIGLIKKVEEYLVAHPEEFA >PAN15818 pep chromosome:PHallii_v3.1:3:21513:24398:1 gene:PAHAL_3G000500 transcript:PAN15818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMISNTTSNATAPSAHLQNKQEAAMVASPAREEAVAARNVKAKQARQQQAASEERKPRPQHDQALNCPRCDSTNTKFCYYNNYSTTQPRYFCKACRRYWTQGGTLRNVPVGGGCRKNKHNRAGGSGGSSSSSAPSASSSSSSGCKKVNITQQLMTMMPAAAAVTADFPNVLPTFMSTGGGFELPSCDRHLPFAPLSLSPNPGSFLDILRVGFLDGSSSNNYGTGSNINNGMVLPFLPPSPFGAMQHGHGMMGDQQLVGALQGVEEVKPVATEHGNINCDGGLFGGGSSSGGAAQQEQQVVGGDGGGSSSNNNSNRAAEYYWQGDLISNSSLV >PAN16308 pep chromosome:PHallii_v3.1:3:3302674:3304870:-1 gene:PAHAL_3G052700 transcript:PAN16308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPKSPPEQHCFNFTSSPLPKFSMEKVLVLIVGAGPSGLAVAACLSELFIPYCIIEREDCSASLWRKRTYDRLKLHLAKEFCELPHMSYPSDTPTYIPKEQFVRYVDAYVDHFNIFPKYSTSVESCKYDEVSNCWGVMAHDKVTGLEIEYTARFLVVATGENSEGIIPEIPGLHDFPGEVIHSSSYKSWNNYAGKGVLVVGCGNSGMEIAYDLASHGVETSVVIRSPLHVMTKGLINLGMKLFNWRLPLKFLDFILVTFANIRFGDLSKYGIVRPNMGPFLLKAKTGRSAVIDVGTIELIKKGFIKVLGPISSIRGNSVEFEDGKECSYDSIVFATGYKSTANRWLKNGESMLNNNGMPKREFPNHWKGGNGLYCVGLAMKGLAGISHDAKSVAADIKSIVDSMGPF >PVH61832 pep chromosome:PHallii_v3.1:3:8671306:8671692:1 gene:PAHAL_3G134700 transcript:PVH61832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVKQAALQQKFYFQCKSPARSIIFYRIGIGNAALVTQNSSFTNGNLEVQEGRSRSVCLQNFLPFCQYIYFICWMAALH >PAN19510 pep chromosome:PHallii_v3.1:3:18639354:18645365:-1 gene:PAHAL_3G278400 transcript:PAN19510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARLLLALLAAAAAAAVGPCAGAGRVDLWPMPASVARGAQTLLVSRDLKLSTAGSNYSDGRGILREAFQRMVAVVELDHAINGSYDGRGSPVLAGVRVVVRSPNDELNFGVDESYMLSVPATGNPLYAQIEAQTVFGALHALETFSQLCNFDFNARLIELHSAPWTIMDMPRFHYRGLLIDTSRHYLPVPVIKSVIDSMTFSKLNVLHWHIVDEQSFPLQIPSYPKLWNGAYSYSERYTFDDAIDIVQYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSAICKEPLDVSNDFTFQVINGILSDFSKIFKFKFVHLGGDEVNTSCWTTTPRIKSWLIQHGMNESDAYRYFVLRTQKIAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGSGVAEKVVTAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIHNPEQQRLVLGGEVCMWGEHIDASDIQQTIWPRAAAAAERLWTPIEKLAKDAGSVAARLARFRCLLNQRGVAAAPLAGYGRSAPSEPGSCLRQ >PAN19212 pep chromosome:PHallii_v3.1:3:16840425:16844161:-1 gene:PAHAL_3G256600 transcript:PAN19212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGEQSSIEPDAEDLERGERRRDAPEFVDGDDGDEEESQYFSDAEDRSWPSHSRHDSTAYEDYISPCASACASSVDADADGDGETVGEHCRKSSCVSEGSLDDVDLEAGLAEIIKASPEKSELNCRICHLGLESAAAESGAGITLGCSCKGDLSYAHKQCADTWFKIRGNKICEICSSTASNVVVLGDPEFSDQWSETNNAAAVQAPPAEARRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >PAN18960 pep chromosome:PHallii_v3.1:3:15448114:15451721:-1 gene:PAHAL_3G238200 transcript:PAN18960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MAPAFAAAPSSSVCGVRIPTACRSEAQSTVPTPRFLRNRVAAKTRSSAAWSLKAGLWDSLRSGFLKNNNSTETVEPPPAPLEVEEPLPEELVLLERTLPDGSTEQILFSSAGDVDVYDLQALCDKVGWPRRPLSKIAASLRNSYLVATLHSIVKSSETEGEEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRALLQRDINNITLFADNKVVDFYKNLGFEADPQGIKGMFWYPRF >PAN18528 pep chromosome:PHallii_v3.1:3:13556696:13561692:-1 gene:PAHAL_3G208700 transcript:PAN18528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAAAVGTAVVVCAAVGVAVVVARRRRRREAELQGSAEADRKRRAAAVIEEVERSLSTPTALLRSIADAMVSEMERGLRGDIHSQLKMLISYVDNLPTGDEHGLYYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGSSMELFDFIAAALAKFVDTEGEDFHLPEGRQRELGFTFSFPVNQISISSGTLIKWTKGFSVNGTVGADVVSELSKAMERQGLDMKVTALVNDTVGTLAGGRYMDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPKSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKILGAKLKDIVGVADTSLEVRYITRHICDLVAERGARLAAAGIYSILKKIGRDKVPSNGSKMPRTVIALDGGLYEHYKKFSSCVETTLTDLLGEEASSSVVAKLANDGSGIGAALLAASHSQYADVD >PVH61386 pep chromosome:PHallii_v3.1:3:1105870:1106391:1 gene:PAHAL_3G020500 transcript:PVH61386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL69 [Source:Projected from Arabidopsis thaliana (AT5G07040) UniProtKB/Swiss-Prot;Acc:Q9FL42] MSAYLRASAIATAPALAPAAAAAGGVGLGYGIAIAVGVLILVSTVMLISYLCVLTKTDAAHAATLLAAPAAPSPAAAAAVPGLDVAAIDAMYPKYPHVAGTGDDGDGGGGSCAICLGDFARGDALRRGPGCGHRFHACCAERWLRVSATCPVCRDSPVATPLAEAVPLAAHAR >PAN16574 pep chromosome:PHallii_v3.1:3:4571772:4573679:1 gene:PAHAL_3G072000 transcript:PAN16574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFSAMDPLGWLEYESYSPQNCYPPSKTPPCQDFLCFYNLFSRAFRIFFILSFLIVCFCIRACGAAVAVAASHEWLARASQMNHPSAQATPPCLLDIRYQQSSPHLSCRNGESAATMATRRPTPHGFPPHLAGVAAAAFLLLALALQAPLAAAAQDQPPPPPWLLCGPEPVSGKYTANSTYQANINRLAATLPRNASSAAFLYATGSVGAVPDIVYALALCRGDANASACGRCVATAFRGAQEGCPLFKDAMAFYDLCQLRFSNRNFFLDDDYIVNTYILQGPQLAAPADARARSAAGAFDATVGRLVNATADYAAENSSARFATGVVGFGDESGPMIYALAQCTPDRTVDICRTCLNTIISQLLPSYFGGRNGGGVFGVWCSFRYEVYPFFSGQPLLQLPMFVATPAAPASRRQAQTLGGRICPGTRRLIRQEARVVFGGRVMPWKAQTHLGSSSVSVTSFGDIGALDLPVDCNFIALPSESSISGMQNVGYDGTWSDTVSLPSMTFTDDGAGLSAGLSWTQSSPMWTSFDIWS >PAN18325 pep chromosome:PHallii_v3.1:3:12738725:12740238:1 gene:PAHAL_3G194700 transcript:PAN18325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAGIGGRRLTRLRTLGRGASGAVVSLFAAGDEGELLAVKSAAGAAGAAQLRREGGIMAALRSPHVLPCLGFRAAAGGEFQLLLEFAPGGSLADEVERNGGRLAEAAVRAYAADVARGIAYLHGEESVVHGDVKARNVVIGADGRAKLADFGCARRVGSKGPLGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWTDLDMDDVVAVVHLIGYTDAVPEAPEWLSAEAKDFLGKCLRRDAGERWTAPQLLEHPFLASAGCGVKAEDAKPKWVSPKSTLDAAFWESDADDEDDEMPESAADRIRALAGPRSALPDWESDDGWIEVCGGCSELSDATTAGQEAKFPAAQCEFPSTPVAASPEQVHSEVPDVLPVAAPAAETTSYENFWWGESEAELETESFGANLDADDDPVHNVGAAGAYVHQQQQDFYENFTSNPIVLHLCISEEIAKNSFHCQIAPFHLPPTLFVF >PAN17829 pep chromosome:PHallii_v3.1:3:10134349:10136043:1 gene:PAHAL_3G157700 transcript:PAN17829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter, Galactose transpor [Source: Projected from Oryza sativa (Os05g0588500)] MVSPDAVRDIVGIIGNVISFGLFLSPLPTFIQIVQKGDVEKFVPDPYLATFLNCALWVFYGLPIVHPNSILVVTINGAGLVIETVYLSIFFAYSPRPKRLKMLGVLAVELVFVAAVVAGVLLGAHDTDKRSLVVGSICIFFGTLMYAAPLTVMKRVITTKSVEYMPFTLSFVSFLNGICWTTYALIRFDIFITIPNGLGTLLGLAQLILYFCYYGSTPKPSDDSNNSMELPVTTAGDEGKN >PAN18354 pep chromosome:PHallii_v3.1:3:12846999:12850604:-1 gene:PAHAL_3G196000 transcript:PAN18354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLTLPLAGAVMLAAAALLLCGGAEARVLLTLDDFGAVGDGIANDTQAFVDAWAAACASSEQAVLAVPVGKAYRIWPVQLFGPCKRKLKLLIFGAIVAPASPDAWAGRDPMKWLYIYGVDGLSVSGGGTIDGSGQQWWASTCKRRKTPPCYSGPRPKTVHFEACRGVSVQGVTLQNGQQFHLTFTRCSDVRASFLRVIAPADSPNTDGVHLNDSSHVQITDNLISTGDDCVSMVGNCSEVRVKDISCGPGHGISIGSLGKNRTTDMVENVRVDTCLLTNTTNGVRIKSWQGGMGFARDLRFESIVMKNVSNPIIIDQYYCDQPTPCANQTRAVEVRRVGFADIRGTSATAQAISIACSDAVPCRDLELKNVNLTLEGGGGGQATASCYRASGKSAGAVVPPSCLAKPNAS >PAN17682 pep chromosome:PHallii_v3.1:3:9633364:9635105:1 gene:PAHAL_3G149400 transcript:PAN17682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGIGGSPRPLALPPPAKDVDEVALALTKRARKRSRYLSPPYTDTDVREEEVAGEGPPPNVSAAEALSAVLTAALRQEQGQGVDPEALRFLTLYRNRNRATTLTFDTHPGSRAAAGGGSNKPPSPAAAGGGGGGHTMANLSAGPAMPRPGDGSPAPAKTKKNPQAPPAVGKTADGQIRAPKSAGFAVNAANGLANPSPPAKKKKYKKRMRSAGHEQQHFGNPVALVLDFAAGTPLPSKEDLVSTFRRFGSVIDSETAIAQDKHSARVAFATRAEAEAAFSCAGALGAFGPPSAVPSLQDLPPTVRGAPPPVPKLPLTDIRSNLEKMIASLNRRGPEEAVPAMGNLVGEMQGLLAKVDKMLQGRSATGRHHH >PVH62353 pep chromosome:PHallii_v3.1:3:18184563:18185189:1 gene:PAHAL_3G272600 transcript:PVH62353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPLFFTNLPLSQKHFMAARSSSCPDSFIGTTSVPHCLVPIRPGSSGAASLGPLTMTTSHCASGTSQDSEVSASRHSNLLAAHEAPPAHTVAAATPSPPPSTRRAMSGPHVVDDECCCCGAGPARRVPELRGAHGAVCVAAAPARPWAPLGGTVRAAGRRSRLGGAEEEHVGRRIGGATRGGTESR >PAN21462 pep chromosome:PHallii_v3.1:3:60340017:60343429:-1 gene:PAHAL_3G468300 transcript:PAN21462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical domain containing protein [Source: Projected from Oryza sativa (Os12g0570000)] MAGDGGTAAERAAAAVEAAAAGGWEFRNAYRRQLLALSRRIRLLGPFAEELREALRGPADGEKERERALAPLADALERALDLLRLGRDGSRIFLVLERDNVMKKFQAVIAQLEQALCDVPYDKLDISDEVREQVELVHAQLRRAKERADMPDDEFYNDVLSLYNKSYDPSAESDILERLSEKLHLITITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPEMGPPIGTKLMDSNGEPRPVNIPDEFRCPISLELMKDPVIVATGQTYERLCIEKWLASGHHTCPNTQQRMTNTTLTPNYVLRSLIAQWCEANGIEPPKRSSQPNKPTSACSSSERANIDALLSQLCSYDPEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGTGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGSPRNRDNAAAVMLHLCSGEQQLVHLTRAQECGIMVPLRELALNGTERGKRKAVLLLERMSRFLVQQQEEKEAQLLACAQAIPRLPEQVQETEIPEQLESHSP >PVH62431 pep chromosome:PHallii_v3.1:3:19896711:19897030:1 gene:PAHAL_3G291700 transcript:PVH62431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQISGRIHGVLMLLEVISPVASLCCKAKRILSYICRCNSAAPSAQLST >PAN19047 pep chromosome:PHallii_v3.1:3:15949889:15953714:-1 gene:PAHAL_3G245800 transcript:PAN19047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAQLLLVGLPALLFFSDLTHIFAPPPPHLRHPHHHPPHHHPHPPHHPHPHPPHHHPHPPHHHHHPDPTAAAIQEPRVDGSGFGATVELQFCASCSYRGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLSKVIPIVQVGAVATIMAGDQIFPRLGMVPPPWYYSLRTNRFGTMATIWLLGNFAQSFLQSSGAFEVYCNGELVFSKLTEQRFPSEFELRDLIGSRLPESPFGRNLGKTWT >PVH62261 pep chromosome:PHallii_v3.1:3:16331072:16337759:-1 gene:PAHAL_3G251600 transcript:PVH62261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYMGSLPLDAVPRIIARRPPGSVYRSPQLYARLRADMDADNSTDALATVWRHTYKGGTWRPCIKNGTNGLPESNGYLYVEANGGLNQQRTSICNAVAIAGFLNATLIIPNFHFHSIWRDPSKFSDIYDKDHFVQHLQNDVRVVDKIPDFIMERFGHNLSNVFNFKIKAWARIQYYKDVVLPKLIEERFIRVSPFANRLSFDAPPAVQRLRCLANFEALKFSKPIVSLSETLVYRMREKSFESDGKYISVHLRFEEDMVAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNKTAIFLASGKIYKAEKNMAPLLEMFPLLQTKETLASEEELAPFKNFSSRMAAIDYSVCAQSEAFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAVLFDNPRIGWKSLKRHLLNMRAHSDIKGVEMKRPNESIYTFPCPDCMCRLNRTEHSKPKHSR >PAN21942 pep chromosome:PHallii_v3.1:3:63117538:63122484:-1 gene:PAHAL_3G501200 transcript:PAN21942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISAKWIKSLVGLRKHEKGRNAESSVGRSSGAQLLHKQDHSVDTEGALAAEELRVQAAEANIETISNSTSSPSTSFQVSQTERGTKEYQAAIVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRVSLESQGTQKKHPEKNVHEDHVRDIEEDWCGGIGSVEEMKAKALKRQEAAAKRERAMAYALTHQWQTGSRKQKVASLQDQGLAGDENQWGRNWLERWMAARPWENRLLDSNAKESVTVGDDKPAEEDKAKALNKPKGKVPVSTTQSNGSRQKKGTGDKKSHSDVSGSSSGQSASVQPTASLESSKIKEKPSDEITDEVSSQPSKLASRSTSNPKERPAQVNAPAKKQLSLPNNATANGGVGKRPANSSQTTQAMRSKNAVKGPSKSESREQPKPSSTTVKPVEAQA >PAN21943 pep chromosome:PHallii_v3.1:3:63117661:63122312:-1 gene:PAHAL_3G501200 transcript:PAN21943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISAKWIKSLVGLRKHEKGRNAESSVGRSSGAQLLHKQDHSVDTEGALAAEELRVQAAEANIETISNSTSSPSTSFQVSQTERGTKEYQAAIVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRVSLESQGTQKKHPEKNVHEDHVRDIEEDWCGGIGSVEEMKAKALKRQEAAAKRERAMAYALTHQWQTGSRKQKVASLQDQGLAGDENQWGRNWLERWMAARPWENRLLDSNAKESVTVGDDKPAEEDKAKALNKPKGKVPVSTTQSNGSRQKKGTGDKKSHSDVSGSSSGQSASVQPTASLESSKIKEKPSDEITDEVSSQPSKLASRSTSNPKERPAQVNAPAKKQLSLPNNATANGGVGKRPANSSQTTQAMRSKNAVKGPSKSESREQPKPSSTTVKPVEAQA >PAN17823 pep chromosome:PHallii_v3.1:3:10115362:10117672:1 gene:PAHAL_3G157300 transcript:PAN17823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDKAFESYKKALTTAASVAASLMLARSVVNEVVPYELREMLFSGFGYLRSHMSSQHTIIVEKKNDGFTNNHIYNAVRTYLATRINTDLQQRLRVSSMDENDKMMISMAEGEEMLDVYEGTEFKWCLICQDNSSDSSNGNGTPNEVSFEVSFHKNHKEKALKSYFPFILATAKDIKAQERTLRIYMTEYSSEWSPIDLHHPSTFGTLAMDQKLKQSIIDDLNRFIKRKDYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFDIYDLELTEVNSNSDLRRLLVGMSNRSILIVEDIDCTIDLKQREEGEGHGKSNSTEENKGEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHIHMGYCTQESFRILANNYHSIDYHDTYPEIEKLIKEVTVTPAEVAEVLMRNDDTDTALHDLVNYLKSKMIETNEIKTEHKEANNQLNEEKDIRYSDRK >PAN17815 pep chromosome:PHallii_v3.1:3:10085336:10087588:-1 gene:PAHAL_3G156600 transcript:PAN17815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAMASAPSPICSLLLLVMLLPPSTAALSKPPAGYQYHTLRGTPLSAKPTARAGVGAGSIFGANFAAFFDDTGNASTVRLLLAHREAFAAPNATAPQLLAHRLARDAARAVEISAAAAGATANGTRAPQRRRGGGFAAPVVSGLSQGSGEYFAQVGVGSPPTPALLVLDTGSDVVWLQCAPCRHCYAQSGRVFDPRRSRSYAAVPCATPLCRRLDTGGCDKRRGSCLYQVAYGDGSITTGDLATETLSFARGARVPRVAVGCGHDNEGLFVAAGGLLGLGRGRLSLPTQVARRYGRSFSYCLVDRTSSVKPSSTRSSTLTFGPAALAGAAHASFTPMLRNPRMSTFYYVRVVGFSVGGARVRGVSERDLRLDPATGRGGVILDSGTSVTRLARPVYAAVRGAFRSTAAAAGGLRPSPGGFSLFDTCYDLRGRRVVKVPTLSLHLAGGAEVALPPENYLIPVDTRGTFCLALAGTDGGVSIVGNIQQQGFRVVFDGDRQRVGLVPRSC >PAN20470 pep chromosome:PHallii_v3.1:3:23733755:23739337:-1 gene:PAHAL_3G320800 transcript:PAN20470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNDAPLSPRSQLALSCFEELLDCAVADVASECHRIARLGLDRSVDAEEEELRVWAARAAAGGDHHHPGGGGPAEEGGGGGGSKGGVDVFGQTHPAIAADVIECMNCGRPVVAGRFAPHLEKCMGKGRKARTKITRSSTAGRTRSSNGIAASSYSPYSNAANTNRASVPNGVTDGGCGTGGDHSSHVL >PAN20167 pep chromosome:PHallii_v3.1:3:34827155:34831354:-1 gene:PAHAL_3G355400 transcript:PAN20167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSSLASSSHLSRHATAAAGPCSTRRQQRIRCSWAGGRAASRRRAPGVCFVVSPSQPGLAAIDVPAATIPNTATISERTSVSSLLEVVSEDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPELRAIIDSEFSETDSLAAAIDLVNRSGGIRRAHELAREKGDLAIQNLQCLPRSDFRSTLEKMVKYNLERIE >PVH61809 pep chromosome:PHallii_v3.1:3:8215414:8216757:-1 gene:PAHAL_3G126900 transcript:PVH61809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGREPKRKRSAPLNGGGSEVASSGLAMATSSSVEDADALDCGVCFLPLKPPIFQCEVGHVVCSPCRDKLKATGKCHHVYGVATGSYRLCHAMERLVESIRVPCPHAAHGCTARPAYHDREGHRSAPARAMPLPCWPCITNLEADKYGEFAVHLRDGFDFLLADCPTANMKQGATATVQCLLLLTVARQPVGRTISVLCIDPHAAAAANGGDGPAASKQMECRLTYYRPWDFNDNNHHGGHQVWDHYQQSNFRVACTDLSDGMPNPDGCFQFVLTNSVIGAYDRDGIEVRVRIYKYTGRCV >PAN20284 pep chromosome:PHallii_v3.1:3:38888532:38888957:-1 gene:PAHAL_3G368700 transcript:PAN20284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSPPSRAPQTAKMSHEAAASGDASATLHPSSTSTSAFDAVAEELLSDPAQDDGIEARVVAQLFRGASPGARRRAAARQEALRAQREALLRQARRLRGYSRLLLRADVSGYTEAQAEQYRRELRRRSEELFGK >PAN20482 pep chromosome:PHallii_v3.1:3:25042592:25047584:1 gene:PAHAL_3G323800 transcript:PAN20482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITQILLAAQSPDANLRTVAESNLTQFQEQNLPNFLLSLSVELSNDEKPPESRRLAGIILKNSLDAKDSAKKELLTQQWVSVDPSIKSKIKESLLVTLGSSVHDARHTSSQVIAKVASIEIPRREWQDLIAKLLGNMTLPGASAPLKQATLEALGYVCEEISPQHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVVCDTAVSKEVEIRQAAFECLVAIASTYYSHLDYYMQTIFNLTANAVKGDEEAVALQAVEFWSAICDEEIALQDEYEGSDDGNSTIHFRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQSGLDFLLNTMNDSNSQVKDTTAWTLGRVFEILHSPAAANPIIDNSNLPRIMAVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESMSSVLTPYLPNIIAALLSAADRADTTHFRLRASAYEALNEIIRVSNVPETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSTDAKSIIAQTADQLMTLFLRVFACHSSTVHEEAMLAIGALAYATGPDFVKYMPNFFTYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDRIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAELLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYATHLLQFTEAVFRDRSRDDSVTKAAVAVLGDLADTLGATSKDLFQTHLFHVEFLRECLDLDDEVRETASWAQGMINQAVVS >PAN18306 pep chromosome:PHallii_v3.1:3:12661517:12664402:1 gene:PAHAL_3G193500 transcript:PAN18306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSEMLTAARGAGAAPSTASSGASAAADLAGAGGAGAIGGGGGNFPLAVALLAFAFANFINLLSIWLKEKRWDARKFLSSAGVMSSLSATVGSLAVAVRQQEGADSSAFALALVFAAVVMYDASGIRWHTGRQAALLNQIVCDFPPEHPIISTFRPLREPLGHSPLQVLAGALVGCTIAYFMGKSV >PVH61962 pep chromosome:PHallii_v3.1:3:10919166:10919494:-1 gene:PAHAL_3G164900 transcript:PVH61962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCLQVATAFLWLWSERTEQGALGETNEPVSRQTKFSIVCTAGLVKQNCTQLHDQIMYWCSVCSSCCHSRLSVASLIASLYNTSISC >PAN21144 pep chromosome:PHallii_v3.1:3:58251290:58255780:1 gene:PAHAL_3G445000 transcript:PAN21144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARKARATAAPAKPATKRCWRSISRKSLEEEPMASSSTATSCIAWNGAEDKEEEKKSKCELIRYEALPDWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWSHLIGFLLFLCLTVFTAMVIPRDGSSSSSWSSRSSSAYWGNLVEMANMTVALRHEALAACFLLPPSAAAGPGLSEDGQQIPTSCPPNTSSSHHRHHGIQIQKETTSTATDALAAAEPVTRWPLFAYLGGAMLCLLTSSACHLILCHSERTAYVTLRLDYAGIASLIVTSFYPLAYYSFLCAPALRRLYMGSITALGAAAATVSLVPAFQAPELRPLRAALFSCMGASGVVPIAHKLVLYGGTAPGAVASAAYEALMGALYGLGVAVYAARVPERWAPGRFDLVGHSHQLFHLFVVAGAYAHYLAGVEYLKWRDVDKC >PVH61813 pep chromosome:PHallii_v3.1:3:8264806:8265955:-1 gene:PAHAL_3G127600 transcript:PVH61813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDLPQVDGLELRRRLCSRTIEARRESRRRAVPLERSTSRVMEAPPLGERDSTTEHAGAFPSRAAQLIPAATADGGRPMRMPAGMTNCRCSLGP >PAN16048 pep chromosome:PHallii_v3.1:3:1613333:1616171:-1 gene:PAHAL_3G028800 transcript:PAN16048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNIPYCNSQAPAAAVAAISSLRFSSSSPALIPPLPQPLVSPPPALPEENPFAALLASDPPPPEPLRLVLDAGDVHSALRGLPGLARQLFRWAEDTPRGFPRTASAFAAVLVPLAQANHIRAAYPVSLRALHLGLLLPLVSLLLSTHLSPAPKSLLSFLLRLSTKFSTECQARVVTPATCSTLCLPAFHEMARHGVAPDVKDCNRVLRVLRDAARWDDICAVHAEMLQLGIEPSIVTYNTLLDSFLKEGREDKAAMVLKEMETRGTGCLPNDVTYNVVISWLTRKGDLGEAVELVDWMRLSKKASSFTYNPLITALFARGFLKKVEALQLVMENAGIMPSVVTYNSMIHGLLQSGQVEAAQLKFVEMRAMGLLPDVTTYNSLLNGYCKAGNLKEALWLLVDLRRAGLAPTILTYNILIDGYSRIGDFEEARRLKEEMVEQGCLPDVCTYTILMNGSHKVRNLAMAREFFDEMLSKGLQPDCFAYNTRIRVELALGTASKAFQLREVMMLEGISSDTVTYNILIDGLCKTGNLKGAEDLLMKMVSDGLQPDCITYTCLIHAHCERGRLREARKYFNKLISGHLPPTAVTYTVLIHAYCRNGNLYSAYGWFQKMLEKGVEPNKITYNVLIHALCRMGRTQLAYHHFYEMLERGLAPNKYTYTLLIDGNCKEGSWEDAMGLYFEMHQNGVHPDYCTHKALFKGFDEGHMQHAIEYLENVVLGE >PAN19066 pep chromosome:PHallii_v3.1:3:16025652:16026548:1 gene:PAHAL_3G247200 transcript:PAN19066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETIQAPAPAMPAVPGRAAAGGAGGNGRAGLPPPRRGQIKGRILKDVVAAVTAMAAGLVKNARAGAGAGGLPASEETGEK >PAN16659 pep chromosome:PHallii_v3.1:3:4942427:4946429:1 gene:PAHAL_3G075200 transcript:PAN16659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDMSEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWYNLKKTPSMDVGQVGFHNPKLVRTIKVEKRMNEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKAQLRDKKRREEMERLEKEKQAEIRSYKGLMVQEKMTSNKQIASGSKTLQELEEDFM >PAN16069 pep chromosome:PHallii_v3.1:3:1720726:1723676:1 gene:PAHAL_3G030600 transcript:PAN16069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSSQPDFSPSQFTSSQNAAADSTTPSKMRGASSTMPLTVKQIADAQQSGTGEKGAPFVVDGVETANIRLVGMVNGKAERTTDVSFTLDDGTGRLDFIRWVNDVSDSSETAAIQNGMYVSVIGSLKGLQERKRATAFSIRPITDFNEVTLHFIQCVRMHIENTKLKVGSPARTSSSMGASASNVFSESSTPTSLKSNPAPVTSGASGSNETDLNTQVLNIFREPANIESEHGVHIDEIIKRFKLPENKIREAIVYNVDVGHIYSTIDDFHYKSAYTD >PAN19199 pep chromosome:PHallii_v3.1:3:16787905:16791614:-1 gene:PAHAL_3G255900 transcript:PAN19199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGGAGEEEEEEERGATSEEALMADSADEEGRRGSSSSASSEAASSVSYTYTPPDEWQKVAIKTCVSADVVVAAAAAPGAGGGGDAADDRRRASEMEMMKERFSKLLLGEDMSGSGKGVGTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCVSDHIVELVPTWQTFPDGTRLEIMTSRPRSDLYINLPALRKLDNMLLEILEGFRDAEFWYVDQGICAPDCDGSASFRRTFHRRDDKWWLPVPRVPHGGLCEATRRRVEHRRDCANQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDVIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASVYVWRRRGGAAKPASRAGAKSSWGIVKDMIMDTEKRDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLHVDELSKQSDHLPAGGADGNIACKNTTTGKATAVPASGTPYATAYATPSFSPAQLSSPSKIGRALLVDRRSHHAKGAGARTTATPAVDRAGVEVVKGMLLGSAVFDIPTAVTAEL >PAN20224 pep chromosome:PHallii_v3.1:3:37073446:37076283:1 gene:PAHAL_3G361400 transcript:PAN20224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQNKAANEDFLRSWLMIAILTFLCPPTSLGISPRCYKTLVNFSNVKNLNWCQFVVDQLKDATIKIDKKNSMRGCIPLLVILYADSLDLQNIQIPIDIPRILAWSRKLLDQVQVIKLDTNCDGSFGKLKLKQSGHSVGVGFALQMDDISRFVTTKAPRQLPPEKMKKICEAVSKALSGVTEVLGTFIQEMAALEESAGPSLRRSSGRGQRIVMKKTMTLRKIRTMKKKMNLTMEMMKMNLTIRVSITRALEWTPRMNLTTETPPGDAPPTDEPAHGDDPCDAPSTDENEDFIALSRRLKGIQSGAFKDFVPF >PVH62662 pep chromosome:PHallii_v3.1:3:37071822:37076283:1 gene:PAHAL_3G361400 transcript:PVH62662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQNKAANEDFLRSWLMIAILTFLCPPTSLGISPRCYKTLVNFSNVKNLNWCQFVVDQLKDATIKIDKKNSMRGCIPLLVILYADSLDLQNIQIPIDIPRILAWSRKLLDQVQVIKLDTNCDGSFGKLKLKQSGHSVGVGFALQMDDISRFVTTKAPRQLPPEKMKKICEAVSKALSGVTEVLGTFIQEMAALEESAGPSLRRSSGRGQRIVMKKTMTLRKIRTMKKKMNLTMEMMKMNLTIRVSITRALEWTPRMNLTTETPPGDAPPTDEPAHGDDPCDAPSTDENEDFIALSRRLKGIQSGAFKDFVPF >PAN18013 pep chromosome:PHallii_v3.1:3:11421238:11424560:-1 gene:PAHAL_3G172500 transcript:PAN18013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAADGRGEAAAMRAAVRRLSFGAAEEQREAAAEVAALARSDERRKRLLPELGVVPPLVAMLAGARLAAAAALLELARGTHRNKVHIVKAGLLKKLPQLMDDKDLARSQQLALLVLSISSLANTDFPLSASELLPFLVATLSADDVPADTKLPCLAALRNLSTKLEHVRDVVSSGAVHALLSLVLDKTASEAALSILGELAATSAAGKKAMEEDEAAPRALLEAMTWHGSARCQEHATYLVMVLTHGSRALRRQMRQLGVVQALLEVSLLGSPLAQRRAAKVLQWFKEEGQSRIRAHSGPRMEGASCHDGGGGQDAKDCRDTVDKIVKQSLDRNMKSILRRATASVDLTNVKLLVASSSSKSLPC >PAN18012 pep chromosome:PHallii_v3.1:3:11421238:11423137:-1 gene:PAHAL_3G172500 transcript:PAN18012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKDLARSQQLALLVLSISSLANTDFPLSASELLPFLVATLSADDVPADTKLPCLAALRNLSTKLEHVRDVVSSGAVHALLSLVLDKTASEAALSILGELAATSAAGKKAMEEDEAAPRALLEAMTWHGSARCQEHATYLVMVLTHGSRALRRQMRQLGVVQALLEVSLLGSPLAQRRAAKVLQWFKEEGQSRIRAHSGPRMEGASCHDGGGGQDAKDCRDTVDKIVKQSLDRNMKSILRRATASVDLTNVKLLVASSSSKSLPC >PVH61675 pep chromosome:PHallii_v3.1:3:5932328:5932953:1 gene:PAHAL_3G092600 transcript:PVH61675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEYIYKKKKHLFTQTIICYGTMCNEKKSSPNIGERWGQILRIEGPKYSLQRYT >PVH61904 pep chromosome:PHallii_v3.1:3:9826338:9827106:1 gene:PAHAL_3G152700 transcript:PVH61904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAQRSLGCRPCRLGPTEGLAHPHSGPHQWRAATLPPVAMVGTASGRARWLGRRVRPPSGPHPTATHLFPPLPPAR >PAN20174 pep chromosome:PHallii_v3.1:3:42027520:42027999:1 gene:PAHAL_3G376800 transcript:PAN20174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKYRIAGSKGRKEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLLKEDILPSRGEIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLRVI >PAN17311 pep chromosome:PHallii_v3.1:3:7899848:7900717:1 gene:PAHAL_3G122500 transcript:PAN17311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTQEVEQDWMAGDEAAAASPRARSSSSSSSRYKGVVPQPNGRWGAQIYERHARVWLGTFADEAAAARAYDVAALRFRGRSGAVNFPRPADAAEMAFLAARPKGEVVDMLRKHTYDDELRQALRSGGAGDRALALVPRVSLFEKAVTPSDVGRLNRLVLPKLHAEKHFPPLDEGADAAPPVLLAFEDVGGGKVWRFRYSFWSSSQSYVLTRGWSRFVREKGITAGDTVAFSQAAVSSTSGTDGEMDAKRRMFIECRKRKRKDDGGARVVRLFGANIAGSAIGASCMC >PAN18168 pep chromosome:PHallii_v3.1:3:12001667:12005231:-1 gene:PAHAL_3G183500 transcript:PAN18168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGSSSGTRAANGAAAISAAATAAGSADARFHSQLLQQDRRSRWAGCFSGLSCFGLQKGGKRIVPGSDGNGSTARGNGVQSGANSNQNVPLNLSLLAPPSSPASFSNSTLPSTAQSPNCFLSVSANSPGGPTSNMFAIGPYANEPQLVSPPVLSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMDIKTASKEHNMPFLSTTYSGGSGLQASYPLYPESPCSSLISPASATPRTGLSSPIPEQEVPTAHWKISRSACDTPYFRASPIPEQEATAQWKTSRSACDTPYARTSPANIFGLDSSTPRNYMLDSNFFRPAASAQFYLDQAQQTFPHNGGRVSVSREKQDADEIEAYRASFGFSADEIVQTQSYVEIPDALDESFSISPFGNIAPATEMCAFNDLPNGAQKVDKSFVYVKDGTSPKKSTNQLSIDSPNKVLHLDAFKGTKGGHQSEDEGIAKDSHPFRKATDEILLKPIEVRKKSPPGHSCSDAEIEYRRARSLRDANGVLSRRSALARQLH >PVH62980 pep chromosome:PHallii_v3.1:3:58313184:58315467:-1 gene:PAHAL_3G445600 transcript:PVH62980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQPRDAEAPLLAKPDATAPAPAPAVKRNKYPFFCAVLASMTSVLTGYNVAVMSGAQIFMAEDLGISDAQVEVLSGIINIYSLAGALLAGWTSDRLGRRLTIVLANVLFLAGPLCMTLAGGYNALMVGRFIAGIAVGYDFVIAPVYAAEISPASSRGLLTSVPEIFNNTGVMLSYVSNLAFSGLPPHLSWRVMFAAGAVPPVFLAAGTLTMPESPRWLVMKGRVAEAKAVLHRTSDTPAEAERRLLEIEDVVSGGAQGKGSSSAWKEAATKPGVRRVLAMVLTLQFFQQASGIDSVVLYGPRILATAGVTSNTSLLSLNVLFGVAKAGSILIAMALVDRAGRRPLLLVSTGGMAASLLLVGSLFAASASTKKGDAAVSAASVAAVVAYVVFFSMGLGPMAWVYSSEILPLRLRGQGAGLGTAMNRTMCAVVIMTFITLYKAITMAGAFYLYAAISAAAFVFVYACLPETRGRSLEDMEDLFHTKL >PVH61254 pep chromosome:PHallii_v3.1:3:29796:31210:-1 gene:PAHAL_3G000600 transcript:PVH61254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGGDAGDPKLQKHGEQHHAAGMMGNGSDSYKQYYDDAHALSPSEEWHSSSPASSTRQMLSRRFTPVAFVKKVDWGSLWAKAKEWIGNPMNMALLPSGGILFMVRTGMLNRVLATKPQRDTWFEVNNQILNAFFTLMCLYQHPRRFYHLALLCRWRAGDMLQLRQVYCKDGTCKPSERKHITVVVLLLQLNCFAQYALCGLNLGYRRPERPVIGVALTISVAICAPAVAGLYNNLSPLGNDYEAQASQAYDDDEEEEASSSSCNNNNNNPRQLQRKRRKSSSLDKQQSREWAGGLLDVWDDMSLAYLSVLCSCCVFGWNMSRLGFGNMYVHIATFLLLCLAPFFIFDLAAVNIDNEDIRDALGLAGVFLCVLGLLYGGFWRIQRAPEPDLTDCLQWLCCCPCALAQEVRTADALAPPAARPVASINDDDGVVTVPPASVSVIIRST >PAN18557 pep chromosome:PHallii_v3.1:3:13648703:13652584:1 gene:PAHAL_3G210200 transcript:PAN18557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKGGILRQAITGASGGDGAAGDSFERVLANALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVVDTVSILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELMFSLQEAERRMDLARVADLKYGALQELDAAIAKLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPAKDELAYKVDRSGGLVNAETGQKSDILIQVPSGAGRSDASQAVKKMRIMEEDDEDGMDEE >PAN18738 pep chromosome:PHallii_v3.1:3:14374550:14376157:1 gene:PAHAL_3G221900 transcript:PAN18738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTLVFLVSFILSSLLCGVSSASSRNITSMFTLGDSHIDTGNALVMAAPVMPVWIDKPPYGETFFGHPSGRFSDGRVIIDFIAEELGLPFLPAFLASSPNVSHGVNLAVGGATAIEVSFFETNGLVPFKLLNNSLDVQLGWFEQIKPSACDGASEEGQGYNDRDCLDRALFFVGELGVNDYNFIWMAGKTEDEVKTYVPKVVDTISMAVERLINQGAVYIVVPGNPPTGCSPAILTFRLSPNRADYDHIGCLRAVNAVARYHNLLLRAAVGGLRGRYPHARIVFADFYDPIIRILENPGHFGFAGDALKACCGAGGGAYNWDPSAFCGMPGVAACGDPAAYVSWDGVHYTEAANRYVADGWLRGPYADPPILSAVGRS >PVH61369 pep chromosome:PHallii_v3.1:3:969505:970062:-1 gene:PAHAL_3G017800 transcript:PVH61369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPAVAEAEGGQRRKQPGTAGGAWGRPTSARIRPPQEQIWPTAMLRAQGGRKTGGDERTKREMGNEGGRRRRLRHRPPCCSPDFRQVAPAAAEAESGGGVRVGRRDGGATPEDDAGLLFQYRWYCIKEPKLGCQAGPLLRCALYMGLRIGLAQLVMSVVKLRERERDKAQLISDRSRHSRPELK >PVH61823 pep chromosome:PHallii_v3.1:3:8512096:8515259:-1 gene:PAHAL_3G132000 transcript:PVH61823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWRHILLSALALASNVISSTTPAFAFASGDAAAGSPAAAGARTLFGYAPPAHISGETTHLDLESWYRTFLPTASSEEARSSMAAMTGFAATLTEAGAAGVAARDDVLGVHPDRAATLLTTHTPDFLGLRAARGPGGHGHGAWDGAGRTGEGTVIGVIDSKVDLNTSSFRDDGMPAPPPRWRGKCEEPAGEGGGVCNRKVVRVGSGGVGHGTHVAGTAAGNFVRGASLLGSGGGTASGMAPRAHLAVYQVCGRRGCWNSDILAGMDAAIADGVDVISVSLDSGKERFHDDPVAVGAFSAMRKGIFVSCAGGNAGPQPSTLILTVGAGTIDRQMQAVVERGDGRTFVGESAYQPHSLPATTALVYPPDNDNLLQANCWSYLNGTLVAGKIVACENFFSDGYGASYSVKEAGGVGVILLGPEARGRTTLAAAHVIASSYVAHPDSVSIKKYINSTAKPIASIRFNGTALGTSPAPIVAHFSSRGPSKQSPGIVKPDIIGPGVNVIAAWPYKVGPSGELEDGGDNEDESTTTFNLISGTSMAAPHLSSIAALIKGAHPDWSPAMIKSAIMTTADVASADGKPIPDEYLNAAGAFAMGAGHVNAAKAISPGLVYDIEETQYIAYLCGLGYTDDQVESIAHRRNACRSASKIAGAELNYPSLVVPATAGGITVNRTVTNVDATHARYTVDISLPAGVRAVVLPSAQEFGGLHDKRSFTVKLNWDAGKTTHAEGSLKWVSATHVVRFPIVVF >PAN21538 pep chromosome:PHallii_v3.1:3:60714482:60719321:1 gene:PAHAL_3G473900 transcript:PAN21538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSSSKKRRSQRLCCIYRRYRGKVLSTTPIVRASDVENFASSGEVVHIGTSAATRRRSDGSNVTFHLTQLQWHHSELDTENGNVVCQEEAWFDSVSILGSDSDEDFSSVNGDLPAMPNETGTQLMQCEDASSIADAIQKFERIFDGSSVAQAVGQYLKRDANKIEAERPKVASPEACDVTSGKADEGKTRNEGIKILTKLRRGEDACNTLKPFKDGEKPHESIFKNLTPVCTPRHANKVQPLAVASPRGQKKKSAVVRLSFKRQSFDGEQTTEICSSRRYLIRPRAGLLVPQAGEKILEGCWSVLEPSTFKLRGESFFKDKRKSPAPGCSPYTPFGVDIFMSPRKIHHIAQHIELPPVKPNEKIPSLLIVNIQMPTYPAAMFLGESDGEGINLVLYFKLNDNFEKEISPQFHDSIKRLVNDEIEKVKGFPMDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHSFYVGSNYLEIDLDVHRFSFISRKGLEAFRERLKHGVVDLGLTIQAQKQEELPEHVLCSVRLNKVDFVNNGQIPTLLPCDDD >PVH63101 pep chromosome:PHallii_v3.1:3:60714482:60719321:1 gene:PAHAL_3G473900 transcript:PVH63101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSSSKKRRSQRLCCIYRRYRGKVLSTTPIVRASDVENFASSGEVVHIGTSAATRRRSDGSNVTFHLTQLQWHHSELDTENGNVVCQEEAWFDSVSILGSDSDEDFSSVNGDLPAMPNETGTQLMQCEDASSIADAIQKFERIFDGSSVAQAVGQYLKRDANKIEAERPKVASPEACDVTSGKADEGKTRNEGIKILTKLRRGEDACNTLKPFKDGEKPHESIFKNLTPVCTPRHANKVQPLAVASPRGQKKKSAVVRLSFKRQSFDGEQTTEICSSRRYLIRPRAGLLVPQAGEKILEGCWSVLEPSTFKLRGESFFKDKRKSPAPGCSPYTPFGVDIFMSPRKIHHIAQHIELPPVKPNEKIPSLLIVNIQMPTYPAAMFLGESDGEGINLVLYFKLNDNFEKEISPQFHDSIKRLVNDEIEKVKGFPMDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHSFYVLHLKERARSIPRTIETWGS >PVH62044 pep chromosome:PHallii_v3.1:3:12519431:12520360:1 gene:PAHAL_3G191100 transcript:PVH62044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLLFHVVVLLAAVSLAACRGERGHRRPHAHTHQNDPSMCHKSLPCGGHIDVRYPFFLANANLAAVDGGDGHPARSYCGYPGMAVTCDGGCAILRLNGHDYTILDISYENHAVMLVDRDLLNSGECPRVTHNVTVPAPSSLSATANNEDLSFFYDCVFTADTAPAPPDIPPINCSSFPGGRGASFVARRSDMERPQQDAEWPRACRMAVVVPVPKDWLPSAEYHPQLNSDGYGQILKRGFQLSWEPSAGPCYVCEQTKGKCSYNHRGEFVGCLCSDDGSVRTSDCGKPGLWNMLIFLRVLGQVLNLAH >PAN20774 pep chromosome:PHallii_v3.1:3:43724133:43725230:-1 gene:PAHAL_3G381500 transcript:PAN20774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGKLGMKLIENPKKRRATYKNRRDGLVQKTSQLATLCGVEALLICFDPKPAGSGQDGGGSAYAVTTWPADREDVLELIKKYRETPADKIRHSFTAAAYYQEELAKQHRKLLKIEQCGPDMLSLQDCRLADLSPADLDGLLVTLDETLRKAQQRIVALGGHVEDDGDVPSATATVAAPMPLADYNSFDLAFSVPDAGSMVTQYYYPPLDMLPQPVLPLQPPCLAYHQMPLPSYTFQMPPPPLDLGMAGTGTVDFRPFATNFSHGGATGPEFYDDFMPGFDATGGGVYVDDYVIAGGQSFAAGHAGAGYQHEHNLPAGILPVSWLNNNPGPMDAAALEERNGYAVLPGSSSSSSSTFFQGGFQKK >PAN16830 pep chromosome:PHallii_v3.1:3:5768462:5768677:-1 gene:PAHAL_3G089600 transcript:PAN16830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAEECAGGKTSWPEVVGLSIKEAKKVILKDKPDADIVVLPVGSPVTEDLRPNRVRIFVNTVAETPHVG >PAN16831 pep chromosome:PHallii_v3.1:3:5768274:5769095:-1 gene:PAHAL_3G089600 transcript:PAN16831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAEECAGGKTSWPEVVGLSIKEAKKVILKDKPDADIVVLPVGSPVTEDLRPNRVRIFVNTVAETPHVG >PVH62882 pep chromosome:PHallii_v3.1:3:55258780:55265390:-1 gene:PAHAL_3G423100 transcript:PVH62882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVMVSTATGAMNSLLSKLFKLLSYEFNLFRGIRNEIEFLKHELSYMNALLHNLANMEELDIQTHVWRNKVRELSYDIEDCIDIFMHHLGNNDEKAGLVHEATKMFKKLWVCHRVSKQIQQLKDRVVQENERRKRYKLDEGPSNSRVVEIDPRLPSLYAHDGQLVGVDGPRDTIIHWLIGDEGRGSSQQLKILSIVGFGGLGKTTLANSVFCKIKDKFDCTAFVSVSQKPNLPKILKDILSAIGGFMGDPDDNLQILIDKIREHLMYKRYLIIIDDLWSIPAWDTIKCAFVENNNGSGVITTTRIQDVATASCFPYGRHVYQMQCLDELYSRRLFFNRVFGTEDGCPEQFREISDDMLRKCKGVPLAITSIASLLANRSMHVETWEKIRKSLGYELDTNPTLEWMRHVLNLSYNDLSHELKTCLLYLGVYPEDHAIGKFDLVRKWIAEGFIREKHGLDPEEVAENCFNELVNRSMIQPFFDDSDEEWSCRVHDLMLDLIILKCSEENFTTIIDRQFKMKNGASQVRRISHQSNNSNIALVVDKMSLSQVRSYNSFPAADCMPLLSKFEHLRVLDIDHGSYAAPESICFALSAINHLFLLRYLKVRGFRLELPEKFGKLEHLMTLDISRGKLYPSHQSSDFTSLSSLRHLSLSMFGHGVVLRNGLSKLCNLRTLFHFDVRTNSADCIRDLGELANLRELSVSYSRPFGVQDNPDTRLLKYDILAASLDKLGSINLRDLDIVGCDSSATPTQFWDNCFTRPRNLQRLWLPKLSLPKVPNWMVHADRLENLKKLTVQELRHDDFLVLAQLPCLMYLFLEAKTIPERNTTVQSNTFPSLKKFHFWCELSRVTFEPAAMPRLQALRIALDGCGQGARSPWLCMSNVVTGLA >PAN20310 pep chromosome:PHallii_v3.1:3:29095561:29097312:-1 gene:PAHAL_3G339500 transcript:PAN20310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPYAQVIEDMRRVRDLATQLQGLLRDSPEAGRLVDQILHAMSRAIETARAAAAAEEGSEGQSEVTCAGSGAGKRKAAGGGDKRAACRRRSQNSSIVTVTVKDIEDGHSWRKYGQKEIQNSKHPKAYFRCTHKYDQQCAAQRQVQRCDDDPDAFRVTYIGVHTCRDPAAVAPVVLHSADELHAGSRLISFAPNASATTASTTTTGNTSQQGDRKDAALLAGLRPLKLEAGAGAGEQEEVLSSLSPAGSSAAAEAARNAAATPGLQGDVTSGLQHCYGGGLADMAPFDDDTFDLDDLVGFGFDQGQDDF >PAN16457 pep chromosome:PHallii_v3.1:3:3912025:3916835:1 gene:PAHAL_3G062200 transcript:PAN16457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVARPSCNNNKRRAGGGARAGVGNRGGRLGGANVRSYSTLSSICNGARDAAAQPCAPLTVLGEGLAAEASAKELLRRYQLGEELGRGEFGLTRRCTDTSTGEVLACKSISKRKLRSSVDIEDVRREVAIMRALPDHSSVVRLREAFEDGDAVHLVMEVCEGGELFDRIVSRGHYTERAAAGVIRTIMEVVLHCHKHGVMHRDLKPENFLYANKSENSPLKVIDFGLSVCFKPGDRFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWADTDEGIAQAIIRSNLDFSREPWPKVSDNAKDLVRKMLDPSAYSRLTAQQVLEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKAALLVVAECIPTEELEAIKELFHMLDTNKDGHLTIEELRNGLQTTGHNVQDTDVDMLMQAADIDGNGTLDCKEFVTVSIHLQKIRSEDHLPKVFSYFDKNGSGYIEIDELKEALSPRGDQKAIDDIIMDVDKDKDGKISYEEFELMMRAGMDWRNTSRQYSRAVYNTLSRKMFKDVSLKLDINDGGAEALGAVAKDEQESHQKKKEEQEAVD >PAN19049 pep chromosome:PHallii_v3.1:3:15880547:15881995:-1 gene:PAHAL_3G245000 transcript:PAN19049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEGAAGGSQQVVMRWRYGDVGDSNFAVHGRAVPLLVGLLCAVVFFVALCLYIRWRCHRYTPDPEADASSSSAGAAATASLPGLDADAIRRLPVTLYRPPASPPARLPGKGDSGGDGEADEHAAALCSICISALVAGEKVKVLPPCGHCFHPDCVDAWLRSQASCPLCRCLLAAAAKPAAVNGSDDAV >PVH62350 pep chromosome:PHallii_v3.1:3:17954231:17954693:1 gene:PAHAL_3G269700 transcript:PVH62350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAATLGSQFNLPPLPSPPPPPPNFVPFVRVPSPQVDSTSTHPRGVSASPSTPSLAPRNISGGDCGSGYNITEPIKIEDSFSSAERADEITVIFSSASKADENSCIFVSCRRK >PVH62620 pep chromosome:PHallii_v3.1:3:29302987:29304356:1 gene:PAHAL_3G340300 transcript:PVH62620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYKQGWSRSPLHGCQVIDPQAVLPAVHPLAALLTYQHPERRLWLTFKCVGRAIRMYEPSSSGDEVNGVNDFG >PVH62782 pep chromosome:PHallii_v3.1:3:49555948:49557696:-1 gene:PAHAL_3G398100 transcript:PVH62782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIMLLVVVASILPPNIDLDDFSSLSSEDLDSLQLNAQVTYLLFSTLSKEMQKIILEEEDIREDAHLIWEIPEEMYVEPQSDDHAREANMSPEECSSSSPTCCEPQVTFKKKQDGPRSNDPVSLQKRSTSCSPKSLMNSNNLMISWQIVLNDEPEKITSEHKVLQTTHKELESSHEKVVESYAALDIAHEVVMTSVKLYQPPSHICTCSHVEIILSCDKPCCSQETQSCVGHVVVESCDDLIAQENDELKREVEKLQLELTKLKSKVQVQPSQDNRGIMVKKLKKGSNITTSAPQKGHNSYICPMEIKNKTKLTRGQKYISKTRTCFSSKKLGHMIATCPIKQNRSHSYKKKQESKSMMKPVVKQVQDAKVNDETRRVKHHTCYTCREKGHFGKDCPKGNLPKSNLVHYNFAKIGKDNAGACAVRVIDSPRTSIRVIWIPKHLVTNLDGPNKIWVPKGSY >PVH61418 pep chromosome:PHallii_v3.1:3:1870263:1870893:1 gene:PAHAL_3G033000 transcript:PVH61418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCCTGRDAKTTLGKGQRHRAGAVEEEVAERERRRRSNECEPSAAIPAEGHRSQGPPPSRSRALSGGQIRFPGGERRLRVGGDFTAAASAGRLRGGDSSTGAGNPSAVACS >PVH62803 pep chromosome:PHallii_v3.1:3:51178019:51179483:1 gene:PAHAL_3G403900 transcript:PVH62803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNTSSISPSFASSTTPFFLAPSSSKRHFLGSTWQGAAPSKMAPAPVSWVERLFCWSWVQMTYYPYLFFSQFFLLFLSFLFFLPFLLSPYPHPTPGCRRRLLPLRACLPVLCSRTGLLCASPLPMQRRSPRPPPPQAPAVHPRLELPPLPVVPHAPAAARPPAVARRHPALLCPAAPRAPAAVQPPAPPRRSPGSRLHLASHPAAPRAPAAGEAGRA >PVH61960 pep chromosome:PHallii_v3.1:3:10878238:10885789:-1 gene:PAHAL_3G164200 transcript:PVH61960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGHPETSPKTPPPDRPAVQSPPKQTEPGRRPPSPRRPRVLPRRTAGRRAEEPPAMAMAAAAAGAPRAQTLRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWFNLPFATALIILFRYISLDYDLRRKSTTSIDQDISRPLVKTKSTELKKVLLTEKDRKSDWRSKVNSPPVEAAFEQFSRHLVTEWVTDLWYSRVTPDKEGPEELIAVVNTVLGEISVRARNVDLISLLTRDLVDLICNSLECYHFCQAKIGKEKFVNLPSERRDAELKMTLIAENKLHPALFSANAEYKVLQSLADGLISIIVRPQDLLCTFFRCTARELLACAVLRPVMNLANPRFINERIELLALSRANKLAKGVEESMEDATNVKQREPSMPSIDEFSALIDHSSPGVELVPFHQGQSNTASDMQPSRSKNPSSLNLESTNASLIGSSHPLESTSLPSTSLIASGNDFSLHSKSSDRASPDSRGREHAQPWGISSQRTHQALAPEHLENMWTKGKNYKFENAKHNAKVHVRSDFLGLSSSAQQSIPFRTSISQRQALISQSEDQRLIRQSTAPTYSNGTNHMPKSLSAEIAEHAGPEDFGVESESSYATEDDEITNVTGLDSPVTRVWESKSKGNATSSHIHHPLESSGFHRAKKNRSHVGKLKMSRTSSGRKRSRSNAQKPPIWQEVERSSFSVGDDLDILNASANDSKTDELVEDTEAESMGRMFSSANSSTFSLGSTDSSYSSSGNVLQDSYLKLSCEVVGASIVKSGSGMFAVYSISVTDANGNSWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKSLLQIPIVSSCIEVWDFLSVDSQTYIFTDSLSVIQTLSVSLDERSNGKNRKALNSSGALNGNLISEGQSFHGHKDNTVRKDLDFTVGDGLRSRKGNVENNLANSAGNMTNSACDTTANLYQDNSGSDPEQNDYSFSIDSVNPKKLRPSETSDTSQMLESDGFSVSPNDWVAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVAKQLLQLGMGDTFDDWLVDKIQLLRKGRIIAFAVKRVEQILWPDGIFMTKHPKRKTSPPPPGTQNNGMGNYLSAEQRLEAAHRANFVRELIIDKAPSPLVSLVGRKDYEKCAQDIYFFLQSPICLKQLAFELLELLVLAAFPELDGTVKKWHDDKQQFRAL >PAN20829 pep chromosome:PHallii_v3.1:3:53754945:53756528:-1 gene:PAHAL_3G414600 transcript:PAN20829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSAVIGDAVSRVISLLMGRFGVGHHQSAEAKLRRIFHTLVRIHAAVEEARGRQITNGGALQWLSELIYGEYQARYLLDTVGRGDRDELEDTDSRDDKQVPPPPRDSSTSPFNPAKRVRRVAASAVRRALSRRDPGADGDEIDRVLESLQEVSAHLGEFILLLQGCQPIRRPLPTSIFLDGQMFGRHVEKERIINFLLHDGGGRPAGELGVLPVVGAIGAGKTTLVQHACDDDRVRGHFSAILFFNFSCTYAIAASGGAAALRSKHVIGDAQLSLNDDPLRWIKGNFQSKRFLVVFEDVDDMRRKQMLEELLLKLRCAEQGSKVIFTTSNRHVSTLGTVEPVVLKVLPTPEYWFFFKALAFAGRDLEENPRLVAAGKAIARKLNGSFSGAKIVGGLLKNCPDPRFWCKVLASNIGGLSLLGDGFGYIADLSENLLPDHVNVFQVTISKAPFASQIELSRFQDLCEPRGSETDLAENTGFVRVLLCKSVMPFYSTYYIASCTVGSGNSCYERTMVSSNFSLDHV >PVH63087 pep chromosome:PHallii_v3.1:3:60437351:60438760:1 gene:PAHAL_3G470200 transcript:PVH63087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGRQCYVVTVFFCVYFLFAMRLENFLFLIRNRLPALEPAASIHMLLGVLCFFFWRVFLHMQVLIFCIRFLVPLIIHYFELFCSVCSASPFFLVKPRNSESKLCQVIFLSCCVLERVLFPLQK >PVH62027 pep chromosome:PHallii_v3.1:3:12240995:12242407:1 gene:PAHAL_3G186500 transcript:PVH62027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSQSCKYSSATNRIRSPFVAKLLTDRSADTPVGFHRPEDADLPQTQVRSAGQLRVSSSSDGHFSLEELQTTDFLMEPRSPQGGWESIQACLVTIAEPVTLPEPKLLPTSHCSSGKSEERCHGTAGAWSHGQRLPNTKLRSGQVWQVAGLALPTWTCITCSCCRGITVSGIHGICCHHRHHCCRILKRFPCTETQTDTHTCPVPNNQHHQASRSHDPRSVAAVLRRGTSGA >PVH61910 pep chromosome:PHallii_v3.1:3:9854821:9858444:1 gene:PAHAL_3G153400 transcript:PVH61910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALPKEEGASEAELSPAVDVSAEGTLVWLRRPNGSWWPSIVISPQDVPVGCAAPPRCAATPIMLLGRRRDGPTFVEINKGIIRCRSDWCNLDRCKRVKPFRCGEPDFEERITNALAATGNKTSWNYNKGRYARMEDAILQALDIERERKLEPRSKTYLHGGTCSPDPKIEMPNGQVKDAAARDPSTDIQPPPPLPPPKRKRKTPYDSEDDVPKGSRRMRDLRDIGSKTVPPTDIPHAGTISAPKYDDLPNVDQVKTSMLSPASAKRKHAAVHRDQPCGIPRKKDRSRPLSELCNGDIWNGSGSNDHNDNEHFLSVSTCSSSSSGTSTLDSSLDITSCHRHAAFKTDQAKGTETSCMTRLLTDDFRHGGDFVETPLAGRSTLEPDHLQKYQLCGLAKHPTWKHNKQANDCSKAVTCDRKNIKMRTISSVDQEVNNRTRDSDKHEHHKARTVKHKTPRDEVVLLEKRLDKRSLNKTSGADGKLHLAVIPTDLDCVGAVEQQGSKSKHDPEESSETISNRSNCDSGSVTSLVFELPLQVLPPQNKAWDLERCHAVKPIKTMHLNSVLYDVELSVLGSSNKGRRVPLVSLMSKWNRKPVVGYPVSVEVRDDVFDHPLSSRDDQHPVTGSVDGMILKRDETHPVTSSVDGMILKRDETEGLQCLVPPPPQACRAKPKNRSRKTSEKEVDKLWQPHTKKPASSSRKMRRLSSFASGQRDGDDRKSAVGKVSRATVACIPLRVVFSRINEALSFPVK >PVH61909 pep chromosome:PHallii_v3.1:3:9854774:9858444:1 gene:PAHAL_3G153400 transcript:PVH61909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALPKEEGASEAELSPAVDVSAEGTLVWLRRPNGSWWPSIVISPQDVPVGCAAPPRCAATPIMLLGRRRDGPTFVDWCNLDRCKRVKPFRCGEPDFEERITNALAATGNKTSWNYNKGRYARMEDAILQALDIERERKLEPRSKTYLHGGTCSPDPKIEMPNGQVKDAAARDPSTDIQPPPPLPPPKRKRKTPYDSEDDVPKGSRRMRDLRDIGSKTVPPTDIPHAGTISAPKYDDLPNVDQVKTSMLSPASAKRKHAAVHRDQPCGIPRKKDRSRPLSELCNGDIWNGSGSNDHNDNEHFLSVSTCSSSSSGTSTLDSSLDITSCHRHAAFKTDQAKGTETSCMTRLLTDDFRHGGDFVETPLAGRSTLEPDHLQKYQLCGLAKHPTWKHNKQANDCSKAVTCDRKNIKMRTISSVDQEVNNRTRDSDKHEHHKARTVKHKTPRDEVVLLEKRLDKRSLNKTSGADGKLHLAVIPTDLDCVGAVEQQGSKSKHDPEESSETISNRSNCDSGSVTSLVFELPLQVLPPQNKAWDLERCHAVKPIKTMHLNSVLYDVELSVLGSSNKGRRVPLVSLMSKWNRKPVVGYPVSVEVRDDVFDHPLSSRDDQHPVTGSVDGMILKRDETHPVTSSVDGMILKRDETEGLQCLVPPPPQACRAKPKNRSRKTSEKEVDKLWQPHTKKPASSSRKMRRLSSFASGQRDGDDRKSAVGKVSRATVACIPLRVVFSRINEALSFPVK >PVH62242 pep chromosome:PHallii_v3.1:3:15976445:15977088:-1 gene:PAHAL_3G246300 transcript:PVH62242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PVH62215 pep chromosome:PHallii_v3.1:3:15434729:15435743:-1 gene:PAHAL_3G238000 transcript:PVH62215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRENPAKRFQQKLDFFHRCFSGNPKYNERPIFLIRVLPLASQESDCIFFTVLPPPPPPLPAAVPARRCGPAPARCRRPRAPTRRRRLRAPGPRAPLPPRASLRPRTRSLPPAPRPHAPPRPRSSRRRARFRPAKSLQNWSDGSPSSEASRGCRALHPRQQEKGERGKQDTSAARQRTARAAGLGPATSVRRTTGLEDGKSGDKTVIGTEALATEAAARMEDSIGDVKRTGQSVVRRGESVVERQQECRGDPLGYNHHDYYLLFYFIIIVCFMTIIIL >PVH61481 pep chromosome:PHallii_v3.1:3:2828361:2829927:-1 gene:PAHAL_3G044500 transcript:PVH61481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPPPPPPAGIIPLPTPPLPPPPAGVVPISVSESGTPHSVTSPDEQDIVSVGNDGNAEPDRTARRLNWTEVEDLRLVSAWLNSSKSSNSKYWANVVGLYNRNTPKDRKQARVQLKHHWQKINKKVAHFYDCWCKVEAKYSTVWDQPKWKRYISSLYSKKTKLSESGDCTSSSKDSEDAPEIETGEQGSMPVKKKHKAKGKVPSLSSELQEDIQCSADPQNMIEKNHKEMMEESFKMKDKEIVISDMQTDLLMSGTSRVHKLQHGKDGLMADIARFNEFQHGSAVREDVPEKKTQPQGRKTVEHAGTVRGGLPEKETYPQGSKMAKRTMLQKLRLSKEKLEIARLKHQEAKDRKETTLYEKYTELLMADTQRFDEFQKEEHRKAVKRMGEMLFGNDGMELPSGNLRHMY >PAN21471 pep chromosome:PHallii_v3.1:3:60396443:60397872:-1 gene:PAHAL_3G469300 transcript:PAN21471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKSSKSKSKSKSKSSHPSHDAAASKKSKASAAAAAGPGTLDALFAPCADVKGLRFGAQLVTRALTVRRAAPLELPHLLRAAPSPGAGAAAGDALSFAPTTTAYIPTNFAILAHHAWHTLTLGLGTKNSKAAVFVFESAAMKAAADAAWPGVVPLGDVGRRLIRAAPGAPEMARFKFRKGCVTFYVYAVRTAGARGFARADELRAVVEAVARLKDFLDHTAMLALPGQRSIDVAAAAAPVGVVH >PVH62960 pep chromosome:PHallii_v3.1:3:57762998:57765634:-1 gene:PAHAL_3G439700 transcript:PVH62960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQREKSAAVAAPAPGAAASGSPSPSSSSGAPAAAAGERWSAAIGNLGELGANVDALQKLLARKAVFVDDDIFSKASLAADQARTIKVLDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTARVFELHMEELRLKQEEIAKKDSDIKVLEAIIRTLSSKDDILSSKDDDGSSE >PAN21484 pep chromosome:PHallii_v3.1:3:60445941:60447233:1 gene:PAHAL_3G470500 transcript:PAN21484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVSKSSPVVVRPSEPATTSSTTIKLSSFDKGLERMPTAALLVFEHPIHETTETIKRALSQALVHYYPFAGRMVAGADGDDAGHIECSGEGAVFVAASASCAVEEVIASDHSPASRALRNDLAVCYPGESCGPADPLLLVQVTEFSCGGFVLGVTWNHAVADGAGMAQFLKAIGELARGLPSPSVAPVRRDYSLPSLPAVVPIDKLLSLEPFDDLVYLDFTVPSSAIGRLRADFGSRFGGHPCSVFDAVSAVVWRCRTRAVMSDPEAPAALFFSANARRHVGAKQGYYGNCVTAQLVTEKSGTVAGGDVVELAKKIRDAKETIADQFKDAEGGGPRRAATRPVLQDRYDMVIVSSWQNLGFDEVDLGSGRPARVTSRMRERLHFPALGILPPCKGRDGANVLSVVVKEKHADAFLGELARFIDVINAV >PAN16008 pep chromosome:PHallii_v3.1:3:1430285:1431095:1 gene:PAHAL_3G025800 transcript:PAN16008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMRVTHRDEEGKKVTEKLPVPETRRPDTAKHFERKLEEQGFHRMERHPANAPRGVGIGTPPPKSGRGGKYTWEGPGGLVEDELDPAPPAIDPNDPNYEEEDGAEDEEAKEVVVGEVEVAKVAEERDGVARVDVAPPLLQEQHQ >PAN17840 pep chromosome:PHallii_v3.1:3:10239639:10245198:1 gene:PAHAL_3G159400 transcript:PAN17840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDGAVKDQESAANTASASSAVTEASGDSPAASEAAAVSTRPSARPPHDKRLGVRHPLKHRRFRAGGKMMVEPGGVPPAQAVAEGEEEEETSEVEEDEAEEEEASSTETEMQAADVEVSSAPAAGVQAMEVDGGEMEASPEPAVAVGDTELEAQQEEEDEVSSIAVAQGERKQEATPATSTVLAVEAPREKDQDKEREEKEKRDKERERQKERERVDEVGYMSGGWKSVDGSLNCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFDNLMKHPEFMKDTKLAISETYRKTDSEFLDAERNSHRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVIAEPEIQEQEINDELEFLIIASDGLWDVVPNEDAVSLVKMEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKLSGDSPSPSGDKS >PVH61607 pep chromosome:PHallii_v3.1:3:4929719:4933012:1 gene:PAHAL_3G075000 transcript:PVH61607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKQGGDAASAGGGGGPGMPAAEMERVFRRYDANGDGKISAEELASVLRALGAPPGPGEVRRMMDEMDADRDGFVDLAEFVAFHCGGAGSARLEGEDDDATEAELREAFRMYDADRNGLISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGSVNFDEFKKMMGAGAGARR >PAN16654 pep chromosome:PHallii_v3.1:3:4929719:4933012:1 gene:PAHAL_3G075000 transcript:PAN16654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKQGGDAASAGGGGGPGMPAAEMERVFRRYDANGDGKISAEELASVLRALGAPPGPGEVRRMMDEMDADRDGFVDLAEFVAFHCGGAGSARLEGEDDDATEAELREAFRMYDADRNGLISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGSVNFDEFKKMMGAGAGARR >PAN19713 pep chromosome:PHallii_v3.1:3:19994046:19996639:-1 gene:PAHAL_3G293200 transcript:PAN19713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGECRSNDYGAAAYWDARYSSGSPASGGGGGKFFDWYQTYAALRPLLRARIPAASRVLMLGCGNSLLSEDMAKDGYKDIVNIDISSVVIEQMREKHKEIPQLTYMQMDVRDMTFFADESFDCVLDKGTLDAMMCADDAPDGASKMLAEVARLLRPHGIYLLITYGAPKERVPILCQAGCSWSITLYIMPTPRYHLKMSKGAPQPTMEEVALTEDGQLPPDYVLKDPESHFIYICHKLAVKEANCRATEPEETTNAN >PAN20227 pep chromosome:PHallii_v3.1:3:47986916:47989651:1 gene:PAHAL_3G393600 transcript:PAN20227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETAKALKPMKATSDGVFQGENPLNSALPLAILQICIVVVLTRVLAFMLRPLRQPRVIAEIIGGILLGPSALGRSTVFLNTVFPKQSLTVLDTLANIGLLYFLFLVGLELDLRAIRRTGSSALVIAVAGISLPFIMGIGTSYVLQQTVARGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVVAWILLALAIALSGSGSPLVSLWVLLTGVGFVLAAFFFLRPVLNWMARRSPEGEPVKELYICATLAIVLAAGFATDTIGIHALFGAFIVGIIVPKEGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVMTIKGGESWELLVLVVGTACIGKIGGTLIASLVVRVPLREAVTLGFLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALITTFITTPVVMAIYKPARRGPPYKNRAVERANPNDEFRMMACFHSTRNIPTIINLMESSRGKRKRGITVYAMHLVELSERSSAISMVHKARRNGMPFWNRRCNGDGDVDQLVVAFETYQQLSRVSIRAMTAISDLHTIHEDIVTSAHQKRAALIVLPFHKLHQMDGHMESLGDQYQHINQRVLHHAPCSVGILVDRGLGGAAQVAASDVSYTIVVIFFGGRDDHEALAYGMRMVEHPGIALHVLRFSPQSDAGDRATDDAFLEDFRTNVANGNESVQYEEKQVGGRAEVIEAFKAAGRCNLFLVGQGAPCTPLADRSTDDCPELGPVGSYLVLPEFSTVASVLVMKQYDPTAKHYDLVEEVAEIAVDVDTPGPSNRETNTSFRAG >PVH61734 pep chromosome:PHallii_v3.1:3:6910431:6910633:1 gene:PAHAL_3G108200 transcript:PVH61734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRLLFCLSTQAHRVLSQRWMVSMEAGYFALCRLLHSFVNE >PAN17058 pep chromosome:PHallii_v3.1:3:6679970:6682403:-1 gene:PAHAL_3G104800 transcript:PAN17058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVQQVVERFAEIHGGQGGQIQKNSRASAQLLTHSFFSNKPRLSSIPFACCLLPSARSFTPSPPAFPPFPVQSTSSSSHVFPNPRPVLAGITTRRVLGAARMAKLASSSGLALDFLRRLLCARGAGNADDPVAAAPRHLPTPETEPRSPCIVARLMGLDAMPAESPHAQPTPPLPLRRSRSASSAEGSPRPSPWDAQQQQPRVVRASASLREKPAYLMQESDEFLLLSFSPEGHRGRDVREELEFLLAAAEPTGRGEGTPDRAPKQRRNGYCRKLLFGDDEAGSSSGRRRRMPAAECDAQNSSPVSVLEVRDAQEEESTTTTSSSLEEVEHAEPCSATSDEVQKTLEQQNSRKLHADFDQFDNLSPPRSSCHASSKSSDRERNRRVVNKAEVIAPDVTGIWQPICRLVEEDLKNMKWSVHDGANVVAEMESGILDHLIREMVDEFVQGRSGTVHAFPLRSKKQLGCKSFQTRQAIGCY >PAN18104 pep chromosome:PHallii_v3.1:3:11734157:11736371:1 gene:PAHAL_3G178500 transcript:PAN18104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDMGVVPSNPDGWGPPDVPAIPQSLGGGASIPFAPFSRSDKLGRIADWTRNPAGPAAFAAASRDSVFDFTSADDSLVAGAEDSSFRLVDAKPPPRQPRFGPKWRFNQRPQLPQRQDEEVEARRRLAEKERARRDRHFQNSRSHHHPGFRGNQSSSSAKPSVDIQPDWTMLEQIPFANFTKLSFSVADQPEDLLLCGAVEFYDRAYDRVNPKAPRRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDIVVQRVGNKLFFDKRDGSQLDLLTVNETAQEQLPENKEDINSAHSLAVEATYINQNFSQQVLHREGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISLVARCEVHAVNADPSGGRQFLTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTAQALLSGADMMKLGYVSRVHPRDHYNHSVLTVMGYKPRDFAAQINLNTANMWGIIKSIVDICMKFEEGKYVFVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPLADVDATAQEMDAAAEAEANGALAANGGDGDKSAEATAA >PVH62030 pep chromosome:PHallii_v3.1:3:12352124:12354819:1 gene:PAHAL_3G188200 transcript:PVH62030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIINTSPWRVSPPQPPPPNLASSEKAVTAMAAAGGVSSDDVPILQTENLTSNVKSIYYSRTFLSIIGGVVAGIWGFTGLMGFVFYFLIMMVSSIGLLAKSKFSVQTYFDSWSRILIEGVFGGLMSFVLFWSFAYDIVHIF >PAN18256 pep chromosome:PHallii_v3.1:3:12420822:12423375:1 gene:PAHAL_3G189700 transcript:PAN18256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLFKQLGLTGAGSPLSGRHLLLILLGAGFLAFTVFVVHPNEFRIQSFFAGSCGRPSAEAVAAASPDKAGSVPRATATEAAQAPAPAPDDDADVRVLIGIQTLPAKYERRHLLRTVYSLQVREHPSLAGRVDVRFVFCNVTSPDDAVFVALEIMRYGDIIVLDCAENMDNGKTYTFFSTVARAFNTTRYDYVMKADDDTYLRLPALAASLRGAAREDAYFGLQMPCDRENFYPFPPFMSGMGYALSWDLVAWVAASDLARREQDGPEDMWTGRWFNLAGKAKNRYDQAPRMYNYKGASPDSCFRHGFVPDTIAVHMLKDDARWAETLAYFNATAGLPLSGQLYHLPPPAGSRP >PVH61586 pep chromosome:PHallii_v3.1:3:4472240:4473427:-1 gene:PAHAL_3G070200 transcript:PVH61586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATPVYLWTVPMFHCNGWCVVWGVAAQGGTNVCLRKGSPAAIFDSLARHGVTHMGGAPTVLSMIVNATAEERRPLPGGRRVTFETGGAPPPPEVLFRMEELGFVVIHSYGLTETYGSATVCTWKPEWDALPAAERAEFKSRKGLHHQGLEVDAKDPVTMRSVPADGRTMGEVMFRGNTVMSGYYKDAAATAEAMAGGWLRSGNLAVRHGDGYVKILDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVGRADEYWGETPCAFVTLKAAPATAWARRRRWTSAGRGCRTTWRHGRWCSWPSCPRWRRGRCRSSRSRSGPRPWEASPAPARAPAPSREDHRPLSSHRKPSHLMLPRQHEEHAVPLQSSGCQSLPLHTSALGVPAVEPQKDQKG >PAN20274 pep chromosome:PHallii_v3.1:3:49424902:49426216:1 gene:PAHAL_3G397300 transcript:PAN20274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNHAMSLLLVVGLLLSSCAARVKQIKAKPTPWTIHVPKPGGATTRSLGFEHIWLPHGVTGPESLAFDRQGQGPYAGVSDGRVLKWDPASPEGWTTFAYSPNYKNTPMCTASADRPDGAESICGRPLGLQFNPKTGDLYIADAYLGLMKVGPGGGEAEVLATEAGGVPFNFVNGVDVDPATGDVYFTDSSTVYTRARNTEIMIHRDTTGRLLRYDARTRRATVLKAGLPYPNGVALSADRTHVVVAHTGPCQAFRYWLKGAKAGHYELLADLPGYPDNIRRDARGGYWFALNREKIDATDPDHLVGVRVDAKGGEHEVITAPKGVTLSDVAEKDGKLWLGSVELNYVGLAS >PVH63241 pep chromosome:PHallii_v3.1:3:63294828:63298650:1 gene:PAHAL_3G503200 transcript:PVH63241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGAAAQRGDEDQESISGGVKKRKRLEEVAAVQESVREDKPVVAKAGKSRSRNRRKARWSSLRRRRGAPRRAKKAPRVVKEETAVAEEESSRDVKPPVVEAERTTRRSRKRAGSSARGRRPGPAKRAKKTPLKEEKVEEEVAESKPAAPAPAPEPSSPRGKVDRWAAWRYAAGEAALVDILRARGASAGKPAPRAEVRAQARRHIGDTGLLDHLLRHIADKVPAGSGERVRRRYNPTGGLEYWLEPAELAATRREAGVDDPFWVPPSGWKPGDPVSPEGRALVVQKQVEELAGELDVVKRQMKQLDFNLVQVSKEAYISWKGYDCMVKANGKLEKEVMSLEEKYENATQVNGELKELLLLLKEKYETVLEKNDKLEEQMVALSTSFQSMKEDLLPQRIGEQPMLMLAQEPWYADKQEASAGNAAAGAGNQLVNADAVDGSFSSNGGTSGSTKRALRTCSMRMRRRDGMLQWPTPASDGTATSPRELPEPLTPGVDLVITDFDAVINSLAPPSMEEYLMTEGLPTSTSASSTNASPKLPHLPAPASPVQVQPPPLQSTTVTMADQQGVQPYSGDFSLQLRHKVSNATATGRVIFVIRAMRCQGAEARRQCCSRRRGHRAGPGDPYLLIQPTLTSSNE >PAN17416 pep chromosome:PHallii_v3.1:3:8443151:8446935:-1 gene:PAHAL_3G130800 transcript:PAN17416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDGRYDYDDRYDHYGRHGGNTKLFVGQISPHTQIHDLEDLFSKYGRLRKVDLKRDFGFVEFSDPRDADDARYDLDGRKFDGSRIVVEFARGVPRGIGGVRQYGRGPPPGRCYNCGMDGHWIRDCKAGDWRDRCYRCGEMGHVERKCQNSPKDLKYFLEFSSCLTCALLFYRSPQPRRDYSNAGGEELPSRSAHHSPNTRRNLPPREQAERNGSYHGGSPRRGEAREKRR >PAN15782 pep chromosome:PHallii_v3.1:3:1060373:1063474:1 gene:PAHAL_3G019500 transcript:PAN15782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNKPPPTAGQAAADTDHGRLRELGYKQELKRHLSVLSNFSISFTVISVLTGVTTLYNTGLAFGGPATMTLGWFVVGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGDRWAPFASWITGWFNIVGQWAGTASIDFSLAQLIQVIVLLSTGGNNGGGYLASKYVVYAFHAVILLSHAIINSLSITWLSFFGQFAALWNMLGVFVLMIAIPVVATERASAKFVFTHFNTDNSARIHSNLYIFVLGLLMSQYTLSGYDASAHMTEETKNADRNGPIGIISAIGISLIVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLWIVAIAIYFCGMGSMTSNSSLWAASSHSRRWHQSRQPQSTLPTHCQSSSV >PAN15912 pep chromosome:PHallii_v3.1:3:1060373:1063473:1 gene:PAHAL_3G019500 transcript:PAN15912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNKPPPTAGQAAADTDHGRLRELGYKQELKRHLSVLSNFSISFTVISVLTGVTTLYNTGLAFGGPATMTLGWFVVGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGDRWAPFASWITGWFNIVGQWAGTASIDFSLAQLIQVIVLLSTGGNNGGGYLASKYVVYAFHAVILLSHAIINSLSITWLSFFGQFAALWNMLGVFVLMIAIPVVATERASAKFVFTHFNTDNSARIHSNLYIFVLGLLMSQYTLSGYDASAHMTEETKNADRNGPIGIISAIGISLIVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLWIVAIAIYFCGMGSMTSNSRMTYAFSRDGAMPFSSLWHKVNKLEVPINAVWLSAFISLCMALPSLGSLVAFQAMASVATTAVYIAYALPILFRVMLAHKSFVPGPFNLGRYSVLVGWIAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFTLVLLSWIVSARHWFKGPITNLGG >PAN15709 pep chromosome:PHallii_v3.1:3:583372:589732:1 gene:PAHAL_3G010300 transcript:PAN15709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQQRHSDSYGLHATQPDVQCLVCTRPFSLDTEIADSFEALAICRECKVTVLSDNYRDETARTNRQTRRSRQRSRVTRDEPMRDAFAQQFSQLINLARQGHEADVDSPTVPYQHASYNSTPNRSQRWHSSDDESDGLNFADSVFGEIESNISFGDDCGESDASLEHQTTMGRDIFIQLDNESYINTDTDIDPMNAGLDQWDSDDPEDEDEQSEESDLDEAGDTMQEHQQRWHDIDPSGLNEQESEDTVWTWRTAGSQGVNRTNLRADTEGREIRRLFIGNPGDYVDARQFEMLLEQFAEDNNTTRGAPPAATSSVENLPSVVISTSNEINGGVTCPVCKDDMPIKTIAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTYDTEYERSKRATANEGSIHGVERTHLQETIEETSYEPEVEGSSNTVGGTMEETNTHEHAAYSAQQPNRAPGRHRWLYIAAAPVLEKLSLLIYYRKYLPTKSNLEIRKLDQEIRLLILDLSKEHRSRSHSNDVKHMSTHNNHLHAINKWMIPYKKHFLPLILCRMEELPALTIALVILSLVLSYLLHQVCLRSENIRKKLRRQGVKGPKPTVFFGNTKEMKRIQQELQIVQTQDANNYLSTLFPHLLLWRETYGPVFIYSTGALEILHVSDPELVKDIGHCTPSELGKPNYLKRSRKALFGGGLFTLNGDEWAYQRKLMAPEFIMDKIKGMIELIEDATVPLLESWESILDNAGGSGEIAVDDYLRKLSADVIARVCFGSSFTRGEDIFCKLRQLQKAVSQQDALVGLSAFWKYLPTRAIREIGKLEEEVRLLILDVIKEHNNSTDNDLLRVIIDGAQGCHLQGREAEDFIIGNCKGMYFAGHGTTAVTMIWCLMLLAAHPEWQEHARAEAAEICLGGATLDVEALRRLKIITMVIQETLRLYPPASLMMREALTDVKMGGLDVPRGTIIQVARSMLHLDEDAWGPNAGEFRPDRFANGVAVACRPAHMYMPFGHGPRTCIGQNLAMVELKVVLARLLTRFSFAPSPRYRHAPVFRLTIEPGFGMPLVVTKL >PAN21228 pep chromosome:PHallii_v3.1:3:58762326:58767915:1 gene:PAHAL_3G451300 transcript:PAN21228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCMPGHFKMASVLKLVMMENHTAPDDVIHEMTAAQVLQKQLFDAHEPNLLDENDMHIFGSKPMADPLDLVCCSTCKKPVKASQYAVHTELCSSGKVNTNDAMGVDHASPTKPPKKGRKIKVISNGNQKVHIKVKAKSQPESKNGANTFELDNGHVSKVQPIDSTGRKTSVHNASAINVPKSHLRDAPVPLATKMYHSQGNYRLRLELGELYRESCVEHLSSHTTPNLSQQNGLMVSQFPPCGNSALLGSQKNLVPQTKLHASTSEPCSGIPQQLSASRPNHSLVTKAERADTQASTVSNEGSRSRCTHSRNKGSKKAQQQSNGRVHVISPQ >PAN17213 pep chromosome:PHallii_v3.1:3:7237626:7238371:-1 gene:PAHAL_3G114000 transcript:PAN17213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWGKNPAPPATGAAGAGAAGEVAVEKVPKIEVHSLVSRPSVYGLSRAPRGGGSGGAEGDDINKKAEEFIKQRKLWFHRP >PAN16836 pep chromosome:PHallii_v3.1:3:5798682:5799086:-1 gene:PAHAL_3G090100 transcript:PAN16836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACEERGRKAVSNKPQLARSSRSSNRTLPGGRRRWLSSSADLDTEGSAAAAAMLSRSYSTTAAVAAAADAVDGGGGVRQQARGGGEGEQHRRRRGAGARLSRKIKEQRARFYIVRRCVSMLVCWHDDADDADY >PAN19302 pep chromosome:PHallii_v3.1:3:17407386:17408855:1 gene:PAHAL_3G262900 transcript:PAN19302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGTKPKTSEGDDAPAPAEPRTPAGPSEGDRKDEEVAAPGEASQAVVAPRSEEATTTAAETKEDGEPKEEEAVPMEDADQGKEKETPTTEAAGELPASAPASVA >PAN19649 pep chromosome:PHallii_v3.1:3:19541633:19546979:-1 gene:PAHAL_3G287800 transcript:PAN19649 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36230) UniProtKB/Swiss-Prot;Acc:O82782] MASRCPARVPSPRCHGWPGSWVSTRPSKCGASGGRSVICAAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTALVTNFESDKSAAEFAKLYKEDELIGGHVIMLGADPASQASSLEALHAYPGGLQVGGGINLENAISYLNEGASHVIVTSYVFSDGKMNIERLRKLVELVGKQRLVLDLSCRKKDGRYTIVTDRWQKFSDVFVDEPTLEYLASYADEFLVHGVDVEGKRLGIDEDLVELLGRHSPIPVTYAGGVSTMDDLERIKKAGRSRVDVTVGSALDIFGGDLPYKDVVLWHKKQSMVGQL >PAN19327 pep chromosome:PHallii_v3.1:3:17678963:17679578:1 gene:PAHAL_3G265800 transcript:PAN19327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPTQLFGAWQSLRHDTMSHPHSEPRFRGNARRRRTNGRTVAERECHNSQLCSAAKFRGEARVKIPKCRV >PAN21568 pep chromosome:PHallii_v3.1:3:61041377:61045459:-1 gene:PAHAL_3G477100 transcript:PAN21568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAGAGDGATTAAARDVEEEARALLPSPAPGGGYDDGDEEDLEERAYEAAEKVIVCISGGPDPESGGDDDAALCSSSGAAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAFLIATMAISFAWMFTDTKPNGKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTIALAVSFMINLFVTTIFAKGFYGSKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWVRALITRSFAIVPTIVVALFFDTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPNTQAVTWTVATLLITINGYLLMDFFSSEIRGPLSGSLLCVAVLVYASFVLYLILRGTEFFEKIVKAIHNTFS >PAN16608 pep chromosome:PHallii_v3.1:3:4515404:4521576:-1 gene:PAHAL_3G071200 transcript:PAN16608 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MEAFGGFFVDEKAARVENIFLEFLKRFKESDGAPEPFYETEMEAMRSRESTTMYVDFAHVMRFNDILQKAIAEEYLRFEPYLRNACKRFVLEHRAGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTSVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRGTDGDDSEIQKFTEEEEDEAVRMRNTPDFFNKIVDSICPTVFGHQEIKRAVLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERTVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPSDNDAGQPAEANAAPQQQDAENEQAADNGKKKLVITEEHFQRVTQALVMRLRQHEESITKDGDGLAGMKQGDLIIWYVEQQNAKGAYSSTAEVKEEVKCIKAIIERLIQREGHLIVIVEGTAAAAEDGAGARRTSESRILAVNPNYVID >PAN19504 pep chromosome:PHallii_v3.1:3:18588291:18590149:1 gene:PAHAL_3G277900 transcript:PAN19504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MALPATCSTFCLKGAEQRGPPRRSLHRLPARAASLIGFGSSPGRGRLVLAGCARAGESDSKAVQLVLGGRAGDDTDSESSDDEGGEDGKVRMTDEERRTLRRKIREMMDRVPETAELTDPEERRAKMRELLTKYELVVEEEDPDWPEDAEDGMGFSLGQFFDKITIKAEKKDDAEEDDDSGHQSDKEIVWEDDNYIKPIRDVKTQDWDASVFTDFGPMVVLVHNRYKRPQENEMARAELTKAIEMFWEHNLPSPRCVAVDACAEPDLVDALKVSGFPEILFTNAGRIIHREKVVRSAEAWSRMMAFFYYKAARPPFLCEADGKGQEKVPLMS >PVH61580 pep chromosome:PHallii_v3.1:3:4299263:4304536:1 gene:PAHAL_3G067400 transcript:PVH61580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTCSQVGAAAAGGASPFLFSRRGSSGGPGGASSPFVGRRLAAVRMRAPARGARGGAALRVTCEKVVGIDLGTTNSAVAAMEGGKPTVVTNAEGARTTPSVVAYTKTGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDDEAKQVSYGVVKDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQANISLPFITATADGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALRDAKLSVSDLDEVILVGGSTRIPAVQELVRKLTDKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDVKLQELKDAISGGSTQSMKDAMAALNQEVMQIGQAMYNQPGAGAAGPTPDAEAGPTPGAGPAKGPNDGDVIDADFTDSN >PVH62718 pep chromosome:PHallii_v3.1:3:42264027:42269492:1 gene:PAHAL_3G377600 transcript:PVH62718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASTSGGQSTLCGRGRRRRGETASGGRCAPRAPSSYAYILLHLLPSPLRRQSLRADRADGIRALLHADPPPPPSAARAYGRILHLRRRRLLLRRPASIHHALAPPSATPAAPCVHPRRPRLLLRLALVSSCGVLRFELGRQDRNLINVRGPLRPRAVTVLTPGSCDASARPTWCSLPINVAPPPPSSRTPVWLGRRHLRGRHTCAQRLIILQSRDHKGEREVYDAWEQYLGMEHTDTAPKWSIQ >PVH62981 pep chromosome:PHallii_v3.1:3:58357200:58358947:1 gene:PAHAL_3G446300 transcript:PVH62981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKVPSTSTGVLLVQPEVVERRPAVEAFHVVVRQELLHRHAPVQRPRSAVWPRRELGDGPVQPRGPGDVGVAEARHGHPRRVPAPVGQHPVLDAPEPPVADQLEEVTDVHDEGAGDVGHVHPPSAEARLQAAAGVRVLQQHGQETGVRVRAAPQRELRLRARRVVVAHDADPLRAPDPGEAAALQPQGLRQQRQHPRRQGPRRGAVLEQRAPDGGRQLLRGRRVRRRRAEEGVAPVGRRRLEQVEVGVEPQPAPGVARVVGPLRPRGRLVEPPHRRQRLGQELAGHAVVDELEEPHLRRRGLHLGHHLRSRPRVRRREVDDRDADGTAAARGGYLLLQVVVAEDGGDGRDAGVHEALDAGLGVVERLELAQAVVGTGGFLRGGRHRCRAAGRGGEASWMDRDCPAGDGRGWWAVGQWMGASARQHIRRQELQIRGGAWRTPYT >PVH62707 pep chromosome:PHallii_v3.1:3:40983949:40984911:1 gene:PAHAL_3G374600 transcript:PVH62707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAWGLVQRKIIGLVRACLGVGAGFHLLLPKRCTISKCGYWMTDKDSTSLFQMEAMEGTNHRFSSVSDAKFPDVCPMEQSNNTLKHSWHSRKIREFERYNETLRLEVMMVEQKLEVITEL >PVH62108 pep chromosome:PHallii_v3.1:3:13410496:13414427:1 gene:PAHAL_3G206800 transcript:PVH62108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVDATSWAVISTAKKVQNINNDLFEAPSKVMQTAITPRNASDNIELFWEPSPLPRDPSLGYIAIMHFSELQELRGAVRQFYINFNGRYVDVFTTELLYSEATYNVIPIRGYTRYNVSLNATANSTLPPIINAMEVFSLIPTTNVGTDSMDVSAITAIKARYHVRRNWMGDPCFPKTLAWDGLTCSYAVSSAPRITSLNLSSSGLNGDISASFANLKAVQYLDLSNNNLTGSIPDALSKLTSLVALDLTGNQLTGSIPSGLLKKLEDGSLNLKYGNNPRLCTDGNSCQSVKRGSKLTAVYVAVPAVLVAATVVALLLCIRRRKKHGPMDSSVKPQIVDGNSSLLQHKNRQFTYSQLQTVTDNFQRVLGKGGFGYVYEGFLEDRTQVAVKLRSSQASDGSDHGVKQFLAEVEILTRIHHRNLVSLIGYCKDGEHMALVYEYMPQGTLQQHIAGNGGRCLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKLDNILLNARLEAKIADFGLSKTFNHDNAQVATNTIIAGTYGYMDPEYRRTGKPTTKSDVYSFGVVLLQLVAGRPATQRDPEPTSIVQWARPLLAQGNIEAVADRRMRGDHDINGVWKVADVALSCTAQQPAQRPSMTEVVAQLQECLELEKGGRAGGDTSGSFYTAGSGDYPYSGHTMSAARNSGVVSQESSSAYEMEVEHNLVGRVPTMPTGPAPR >PAN18939 pep chromosome:PHallii_v3.1:3:15326872:15333033:1 gene:PAHAL_3G236700 transcript:PAN18939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPGTAAASAAPAGKRRWRCVAAAGAAVALAFFSVVVPLAVLLGLHARFPSMYMVDESAVSVYDGSEGGSWEPIPPEENGTLQVNSTVKEFVPPTPKEWTNTNGSQPDTVIAPPIQQATVLEDSSSLNFTGTDLKDSFEQGLPGDENEKSCQLQFGSYCLWSVEHEEVMKDFIVKQLKDQLFVARAYYPSIVKLDGMEKLSHEMKQNIQEHGHMLSEAISDADLPELLGVQTLPKSLHCLSMRLTVDYFNALADMEHSDAEKFGNPAFQHYVIFSTNLLAASMTINSSVINSEESANMVFHLMTDGQNFYAFKNWFIRNSYKGATIRVLNFEDFQVKNLGNGIVDQLSSSEEFRITSNSNAVTLNTLMRTEYISMFGHSLFLLPELFTNLKRVIVLEDDTIVQRDLSLLWNLDLKGKVIGAVQFCRVKFRQLRAYLPNFPYNSSSCIWMSGVSVIDLNEWREHDVTGIHHRILEKLRHDTEASWRSAALPAGLLAFENLIHPIEDQWVQFGLGHDYGLTHGAIKKAAILHYNGNMKPWLELGIHRYRKYWKRYLPRDDVFMMDCNVNP >PAN18950 pep chromosome:PHallii_v3.1:3:15326872:15333033:1 gene:PAHAL_3G236700 transcript:PAN18950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPGTAAASAAPAGKRRWRCVAAAGAAVALAFFSVVVPLAVLLGLHARFPSMYMVDESAVSVYDGSEGGSWEPIPPEENGTLQVNSTVKEFVPPTPKEWTNTNGSQPDTVIAPPIQQATVLEDSSSLNLLQFTGTDLKDSFEQGLPGDENEKSCQLQFGSYCLWSVEHEEVMKDFIVKQLKDQLFVARAYYPSIVKLDGMEKLSHEMKQNIQEHGHMLSEAISDADLPELHRVNIAKMDQTIAVAKSCAVECTNVEKKLTQLLDMTQDEALFHARQSAYLYRLGVQTLPKSLHCLSMRLTVDYFNALADMEHSDAEKFGNPAFQHYVIFSTNLLAASMTINSSVINSEESANMVFHLMTDGQNFYAFKNWFIRNSYKGATIRVLNFEDFQVKNLGNGIVDQLSSSEEFRITSNSNAVTLNTLMRTEYISMFGHSLFLLPELFTNLKRVIVLEDDTIVQRDLSLLWNLDLKGKVIGAVQFCRVKFRQLRAYLPNFPYNSSSCIWMSGVSVIDLNEWREHDVTGIHHRILEKLRHDTEASWRSAALPAGLLAFENLIHPIEDQWVQFGLGHDYGLTHGAIKKAAILHYNGNMKPWLELGIHRYRKYWKRYLPRDDVFMMDCNVNP >PAN18938 pep chromosome:PHallii_v3.1:3:15326872:15333033:1 gene:PAHAL_3G236700 transcript:PAN18938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPGTAAASAAPAGKRRWRCVAAAGAAVALAFFSVVVPLAVLLGLHARFPSMYMVDESAVSVYDGSEGGSWEPIPPEENGTLQVNSTVKEFVPPTPKEWTNTNGSQPDTVIAPPIQQATVLEDSSSLNFTGTDLKDSFEQGLPGDENEKSCQLQFGSYCLWSVEHEEVMKDFIVKQLKDQLFVARAYYPSIVKLDGMEKLSHEMKQNIQEHGHMLSEAISDADLPELHRVNIAKMDQTIAVAKSCAVECTNVEKKLTQLLDMTQDEALFHARQSAYLYRLGVQTLPKSLHCLSMRLTVDYFNALADMEHSDAEKFGNPAFQHYVIFSTNLLAASMTINSSVINSEESANMVFHLMTDGQNFYAFKNWFIRNSYKGATIRVLNFEDFQVKNLGNGIVDQLSSSEEFRITSNSNAVTLNTLMRTEYISMFGHSLFLLPELFTNLKRVIVLEDDTIVQRDLSLLWNLDLKGKVIGAVQFCRVKFRQLRAYLPNFPYNSSSCIWMSGVSVIDLNEWREHDVTGIHHRILEKLRHDTEASWRSAALPAGLLAFENLIHPIEDQWVQFGLGHDYGLTHGAIKKAAILHYNGNMKPWLELGIHRYRKYWKRYLPRDDVFMMDCNVNP >PVH62914 pep chromosome:PHallii_v3.1:3:56245225:56249690:1 gene:PAHAL_3G428900 transcript:PVH62914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] MNPDGDGLMDKLVTKLDQMFCSHQALSRVIRSWAWKKFMSGCVILLPIAITFYTTWWFIRFVDGFFSPIYIHLGIHLFGLGFVTSITFIFLIGVFMSSWLGASLLGLGEFCIKRMPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVALRGAGGVRGDQDLACVYVPTNNLYLGDIFLMSRADVIIPDLSVREAIEIILSGGMSVPKIISAVEGVVGLGDHGCAAKDS >PVH62913 pep chromosome:PHallii_v3.1:3:56245197:56249690:1 gene:PAHAL_3G428900 transcript:PVH62913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] MNPDGDGLMDKLVTKLDQMFCSHQVSADSDWFLYVCCRFNTTRVCTTTQHALSRVIRSWAWKKFMSGCVILLPIAITFYTTWWFIRFVDGFFSPIYIHLGIHLFGLGFVTSITFIFLIGVFMSSWLGASLLGLGEFCIKRMPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVALRGAGGVRGDQDLACVYVPTNNLYLGDIFLMSRADVIIPDLSVREAIEIILSGGMSVPKIISAVEGVVGLGDHGCAAKDS >PVH62915 pep chromosome:PHallii_v3.1:3:56245744:56249690:1 gene:PAHAL_3G428900 transcript:PVH62915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] MAGRERDRELLLPVVAGEHAAGDEDDSEPTTPAVMAGPPPPAAAARALHLHHHPTGIEALSRVIRSWAWKKFMSGCVILLPIAITFYTTWWFIRFVDGFFSPIYIHLGIHLFGLGFVTSITFIFLIGVFMSSWLGASLLGLGEFCIKRMPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVALRGAGGVRGDQDLACVYVPTNNLYLGDIFLMSRADVIIPDLSVREAIEIILSGGMSVPKIISAVEGVVGLGDHGCAAKDS >PVH62808 pep chromosome:PHallii_v3.1:3:52195852:52196511:-1 gene:PAHAL_3G406500 transcript:PVH62808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWVAPVSRHHPSVLSSPEPSPRRACAFGSSRRRRAAAADAAGGSSMLVCSWSRAGSSSSACLAASWLSTSLAQWLDLPQLRQTSSCVGLRLPTAPPWAPPRAPSSAPPCALCGLPRLRPSVVEEGSPFFPSPRQTSYCFPVKWSLPPMVTGSEESCGLSASTTLRRPIASSIDIVERSTRDSTEQTIYLYFGGAQRKSFSIALSSS >PAN18758 pep chromosome:PHallii_v3.1:3:14540724:14543839:1 gene:PAHAL_3G223700 transcript:PAN18758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSALLAPPPLCSCSHGFLFPRFRKLPPRFSNGSCSTAGPSARIEFLGKSGAFPWRTAGRQRLRLGAAGAGRGPFFGGGGRRMDKGTSRVVGNLAFAAVLTYLAVTGHLRWVLDAIVSLWLLTILLPILALGAFFFFAGKDILQGECPNCGKSFQILKSALKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRGATATNRRVFNEFFNRGMRGMAPSGTIVDVEAEVKDVEAEVKDVE >PAN20258 pep chromosome:PHallii_v3.1:3:49905890:49911023:-1 gene:PAHAL_3G400100 transcript:PAN20258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSASPEEADPARRREKRRMRGGAEDSPEPGGAAASLDHCSSKNCEEDFDGPTTTLGKWHPGESQRPEIDDAPIFTPTEEEFKDAIGYIASIRPQAEKYGICRIIPPSSWRPPCPLKEKNFWESMEFNTRVQQVDKLQNREPTKKRTQPRVQRKRKRRKRLRFGMTHRRPNSRADSEDKFGFQSGSDFTLEEFHKYADEFKQEYFGMKGSDEIFLSEIKNHKKIWEPSVEEIEGEYWRIVIGSTDEVEVDYGADLDTATFGSGFAKLSSDANMQDPYDVSCWNLNNLPRQPGSVISFENEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEPKVWYGVPGCEAVKLEESMRKNLPKLFEEQPDLLHELVTQLSPSVLKSEGVSVYRAVQKPGEFVLTLPRAYHSGFNSGFNCAEAVNVAPVDWLPHGQCAVELYREQHRKTSISHDRLLLKTAKAAVKQLWMNLFDCKSGEGKYRWLNTCGKDGVLTSAVKTRVEMEGAAREVNGNLKSKKMDKDYDCTDRECFSCFYDLHLSAVSCQCSPNRFACLNHTNILCSCEMDRKIAFFRHSMKELNTLVQALEGDRTAVCLWGQDHLGLVCPSGNVQKRKLDSGSITEFSGLTNDVNAVSEFGGSQDGCYDLQKPVGFHQENGIQNNCLDLNIEDPPSSSRIKEERDKDRMFINHGPLQNTDSPFRLTSECSSSSSLNCSSSLFSPTRNQTSNSDLAWNTTKKLFGADIENLAKHSDSQVSQMVKVSNGSEITKEPEQSRFCNNSCPDEVTLDRLPKKAKLLEG >PAN22021 pep chromosome:PHallii_v3.1:3:63668742:63669143:1 gene:PAHAL_3G507600 transcript:PAN22021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAGKPWPRQEAHTQAHQRATLRSSKQEDNDRRRDLHGAETTMSILASARSAPAKHIIRGDQEQAVIKTAASSNDGGVETRRTAPAEKGTPAAWGRRPKTVKRVTAQRWTGGAPCRRQKNGQPISLSSTASE >PVH61998 pep chromosome:PHallii_v3.1:3:11539967:11541549:1 gene:PAHAL_3G174800 transcript:PVH61998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNCNKCLILWLTIQDWANYILNFVKENELGSTMPVEDIRSGFDTRGTELAGIDSIILMRALRLLEQKGKAAIFKVNDCEGVKFYI >PAN19605 pep chromosome:PHallii_v3.1:3:19302541:19306267:-1 gene:PAHAL_3G285500 transcript:PAN19605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSDTRNVPLSVSQRTLHVTNADEEDESVKQLNECATIYLSLQDCLVESNRNWKACQAQVQALKACQAKRNKNDQT >PAN16628 pep chromosome:PHallii_v3.1:3:4819266:4822893:-1 gene:PAHAL_3G073300 transcript:PAN16628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLSHRPPPAAAHLRGAAPAWTQQLRARRRAGPPQASASAEFPGSVPDGAQMPPRRSRRRSVAGIDQDELLDPEALADPDSSFYEINGVRLHHKVCSHEDEDSPSDQSSDATVSAASQSRISLPILLLHGFGASVFSWSSVMRPLARIAGAKVLAFDRPAFGLTSRANWSGDDSKPLNPYSMAFSVMATLAFIDYLGAEKAVLVGHSAGCLVAVDAYFEAPERVAALVLVAPAIFAPRKGVKDRETGEPGGQKQSVPNDANSSLNLFARIREGFLALCKHIAGLVSKMIMAIRGVVQALYVKALVAFLRSSLGVMLVRWVMDKFGILGVRNAWYDASKVTDHVIQGYTKPLKSRGWETALLEHTISMITDSASATRVPVSKRLSEISCPVLVVTGDTDRIVPTWNAERVAHSIPGASFEVIKSCGHLPQEERPEEFLSVIGRFLRTVFGTPNEQVVQAAV >PVH61598 pep chromosome:PHallii_v3.1:3:4819565:4822893:-1 gene:PAHAL_3G073300 transcript:PVH61598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLSHRPPPAAAHLRGAAPAWTQQLRARRRAGPPQASASAEFPGSVPDGAQMPPRRSRRRSVAGIDQDELLDPEALADPDSSFYEINGVRLHHKVCSHEDEDSPSDQSSDATVSAASQSRISLPILLLHGFGASVFSWSSVMRPLARIAGAKVLAFDRPAFGLTSRANWSGDDSKPLNPYSMAFSVMATLAFIDYLGAEKAVLVGHSAGCLVAVDAYFEAPERVAALVLVAPAIFAPRKGVKDRETGEPGGQKQSVPNDANSSLNLFARIREGFLALCKHIAGLVSKMIMAIRGVVQALYVKALVAFLRSSLGVMLVRWVMDKFGILGVRNAWYDASKVTDHVIQGYTKPLKSRGWETALLEHTISMITDSASATRVPVSKRLSEISCPVLVVTGDTDRIVPTWNAERVAHSIPGASFEVIKSCGHLPQEERPEEFLSVIGRFLRTVFGTPNEQVVQAAV >PVH61782 pep chromosome:PHallii_v3.1:3:7766989:7769967:1 gene:PAHAL_3G121300 transcript:PVH61782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLSCGNGGPVKKNPRGRPTTSVPPLLCQPEEGILMTNDTTEANTGAAAACIALSKNESYIISASGGKISLFDAKTFKVWTNFMAPPPASTFLAFYPQDTKIIAIGMEDSSIRIYNVRTNKVQRVLMGHQKKVTGLTFSQSMNVLVSSGADAQLCVWSTDYWENKKSRYIGPPSNGSIVGYTMVQFHYDQTHLLVVHESKLAIFDGKLECLHSWSPRHALPCPISSAVYSSDGFMVYAGFRDGAIGIFDAESLRLECRIAPSAYIPSSVPSGGGIVYPMDVAANPWYPNQIAVGMSDGAVHVLEPLED >PVH61790 pep chromosome:PHallii_v3.1:3:7974540:7974989:1 gene:PAHAL_3G122800 transcript:PVH61790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGLYVLMIGNRRGICANRYNSCGNSPNKKVKYNNNNYYKYTKDKEPHFSSSYDALGAYRQKRIGLNSPLWLRWKLDQRIVGSREVPIEVQYESFGTYHEIYAHYLVVGNRKKEIRSIYIRTTLGHISFYREIEEAIQGFSRAYSYTI >PVH62652 pep chromosome:PHallii_v3.1:3:36502365:36502475:1 gene:PAHAL_3G359100 transcript:PVH62652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWSERMISHTGTETRPRLLREAAVGNFPQWAKA >PAN19544 pep chromosome:PHallii_v3.1:3:18887267:18888740:-1 gene:PAHAL_3G281400 transcript:PAN19544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPLAESQPPQGGAACGRKVVDEVSGWLRVLDDGSVDRTWTGPPEALPLMQPVAPYAEPRDGHTLHDLPGEPNLRVYLPEVAGGEGVGRLPVIVHLHGGGFCVSHPSWLMYHHFYSRLACAVPAAVVSVELPLAPERRLPAHVDTGVAALRRLRSIALAEDGGALDDPAAKLLREAADVSRVFLIGDSSGANLVHFVAARVGQDGAGSWAPLRVAGGIPIHPGFVRAARSRSELEVRSDSVFFTLDMLDKFNAMALPVGATKEHPFTCPMGPHAPALDSVPLPPMLVAVGENDLVRDRNLEYCDALRAAGKDVEVLLSRGMSHAFYLNKFAVDMDPATGERTQELINAITSFVARN >PVH62178 pep chromosome:PHallii_v3.1:3:14819348:14820277:1 gene:PAHAL_3G227600 transcript:PVH62178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQGAPGFPSLLINTLENLGVTERPRYYSREYEHHGTLRCGVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANRLREQTHRAEQAELAVRLQQIRATHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLRTLIIGWGTLFRNTQAPPENPESSAAAAEEDAAAQPSTNGNPEDGEQGSLTLPPQKKACPASEMTGATLVMCPQPLLLWYLIPDE >PAN17543 pep chromosome:PHallii_v3.1:3:8946502:8950188:1 gene:PAHAL_3G138900 transcript:PAN17543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGSRRAGAAEEPRIGSGNVFAALETLKKKKKKPAADKGGKPAAREEERKPEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPPRPIWGNNRRNDAKDQRDAPALEEEIESEDDGLDDEVDADADEEHEHEAEDAVPDEPTVKNAAAPPTAPKDTERQLSKKELKKKELAELDAVLAELGLGTPANSTQDESNGKKDADEATDGEKKEDAPAPPESKSSKKKKSKKDKSSKESKEAQDQGNGSEEPDEDTASVDVKERIKKVASMKKKKSSKEMDAAAKIAASEAAARSARLAAAKKKEKSHYNQQPLR >PAN17101 pep chromosome:PHallii_v3.1:3:6869884:6872398:-1 gene:PAHAL_3G107500 transcript:PAN17101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory protein P-II homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) UniProtKB/Swiss-Prot;Acc:Q9ZST4] MSPATSAAAVPVPGVLIRQPPSTLRSSPTALLLPSGAARPLQATSASRRLPASAVRAQSAADPGYLPESDFYKIEAILRPWRVPHVSSGLLEMGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDTFIAKVKMEIVVCKEQVEAVIDKIIEKARTGEIGDGKIFLIPVSDVVRIRTGERGKEAERMTGGLSDTLSSVVSIS >PAN19486 pep chromosome:PHallii_v3.1:3:18488239:18490457:1 gene:PAHAL_3G276700 transcript:PAN19486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MLSPAAAAVRAAAGSPPAVRGAHARLLKEGLAHLPMAPALLVSAYARSGLLADARSVFDDAPRRDVHLYSALLAAVSHSPTPGLALPLLRRMLSDDALRPDHFVLASLASAAARLRSLRLGRQLHAHFVASPYSGDDVVKSSLIDMYCKCGVPEDARKVFDSIGVKNSVVWTALVSGYASNGYTDEAVELFRSMPARGLFTWTALISGFVKAGNNASAVRMFVEMRRDGVRIDDAFVLATAIGGVADLAALVLGRQLHGFALRLGFLSSMIVGNALVDMYSKCTDIHSAREVFEGITVRDIISWTTILVGEAQHGRAEEVLSLFDRMVHAGVKPNEVTFVGLIYACSHAGLVQKGRQLFESMKLEYGIKPGLQHYTCYLDLLSRSGHLSEAEELITTMPYEPDEASWGALLSACKKHNDAQMCLRVADNLLELRPKDPSTYILLSNVYAVNCKWDSVAKVRKIMAEMEIRKEPGYSWIEAGKEFRLFHAGEVPIDVREEITGFLEELVSEMRKRGYVPDTSSVMHDLEEHEKEQHLFLHSERLAVAFGILKSPPGSVIRIVKNLRVCGDCHTVMKFISEIAQRKIIVRDASRFHHFEGGKCSCSEFW >PVH62622 pep chromosome:PHallii_v3.1:3:29541978:29542667:-1 gene:PAHAL_3G340800 transcript:PVH62622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSSQNHPSCVTCGILGPCRRALTRLFRVPASAVLSIWAFRLRNLRKSAVRMSPRRRRRRRTFRSVRAVFWPLVVPPSSTPAASTAEGEAVRGEVISETTVVHETPVHAPVSSPETPAYVKVVAQLRSGRSAGSSGDGEAEDEKKEEACRSFESCLMEMLVDEGKARDLQDVEELLRCWERLKSPLFVELVCRFYGELCNDMFPAPAVDKAAVDGGDGEGSVSTSGV >PAN19263 pep chromosome:PHallii_v3.1:3:17154696:17157780:-1 gene:PAHAL_3G259700 transcript:PAN19263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRACAMEGAAAAGGSFGVPYYEWLKPRSSSSPPPSPSSSSSTSSTLSTPSIDHSAVDGHGRDAMMCLPLLGRLEGRATTPDRGQNPVKEELMMSNITATTGARGGATAGVDLNIGLPAIGGYSSEEAPIDDEDNEEEEEEEEEEEEKPRKCKEEEAGEQANSEMAVESVEGAEPDYLRVDGEDGIRGFVDSRGRRYWIPTQAQILVGPVQFVCHVCRKTFNRYNNMQMHMWGHGREYRKGPESLKGTQAATLALLKLPCYCCAPGCRNSVAHPRARPLKDFRTLQTHYKRKHGDKRFGCRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHLPVAPDQQAAAAVPPLLKPKERIIRFDQAAAPWNGAHAAHA >PAN16032 pep chromosome:PHallii_v3.1:3:1523479:1527822:-1 gene:PAHAL_3G027600 transcript:PAN16032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLDFSASPRFPPDNLESPQPVEGGGGENGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDEPKPETAASRWNRVSLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDVGEGKDLDLPECPRAKLKKQCIKYLGPQEREHYEYIINEGKIFHKKTGEPLDTSQSSKGTKWIFVMSTAKRLYAGKKERGVFQHSSFLAGGTTIAAGRFTAEDGIIKSIWAYSGHYKPSAENLSNFMNFLEENGVDLKEVEVRSSTKEDYNEDPVPEGSQNLTAEFMGSIPPEVILPPNMTEDNEGENAPAEQSKPTYQRTLSGGLQSPRATGVPQKAILERMKSKGESKSYQLGHRLSLKWSTGAGPRIGCVKDYPMELRMQALEMVNLSPRASTPSASRMLASCLSPTKATSPTSLMQASLPQAT >PVH62374 pep chromosome:PHallii_v3.1:3:18660954:18662362:1 gene:PAHAL_3G278600 transcript:PVH62374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMPGSASSGRRRLSAARRGRRKVTNLRRRTTTKPTGRAPDGAGRWAAARAPATARRPAAWAEGAEQREGPTTQSMAGGLGELTGKSLRFRVPASSARHTPLAGPAGPPHALKTLASLSNSTPALPARSVQLPLFSSPSLPLRRRLLLACAPCRGPSPCAVSQFPPRQDAAPGDA >PAN17898 pep chromosome:PHallii_v3.1:3:10868186:10870040:-1 gene:PAHAL_3G164000 transcript:PAN17898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSTNDWDLQAVVRSCGTAACGSDGSSEAAPPPPRPEEARSGIISNVVGRAAAAPEFLVGRPVRPAAALRELDYLGLDHELPRAPFSITPSSERGAALDHEVLISFPAASTSGQQLMQPRKQPGRKPSVRTPRPKRSKKSQMKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKLVERSPAKPGVLVVTYIAEHCHAVPTMLNALAGTTRHRPASPDGGCQASHGASDEACGRREEDSADASSTAADGGGAETADDENEPPWQLVDMAMDEYPLDDFLAPFDDDFDRLLEDDDGVLERRVSL >PAN20968 pep chromosome:PHallii_v3.1:3:55862584:55865030:-1 gene:PAHAL_3G426200 transcript:PAN20968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKDGKHDASAAPPAGAPQPAAPPAAGQGQPQPPEAARWGTRQMGPPAAPGAHPENQEAARWTAARGDQELPPYVIMGEAVAAPPPQQQRQKGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSVSDAAMGKLSLGAKALSEGGFEKLYKQTFSSGPEEQLRKTFACYLSTATGPVAGTLYLTSLNIAFCSDRPLSFAAPSGQTAWSYYKVMIPLAKVAAVEPVTMKESPPEKYVHVVTVDSHDFWFMGFVSYDKAVHHLTEAVSHRSSSQQQDVAGTK >PAN19637 pep chromosome:PHallii_v3.1:3:19504133:19512137:1 gene:PAHAL_3G287300 transcript:PAN19637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAAGMPGSPVGSAAAAAAGGPAAPEVAARDAVIGWFRGEFAAANAMIDALCGHLAQIGGGGAEYEAAFAALHRRRVNWFPVLHMQKFYPVADVTAELRRVADARAAAAAAAGSCCYSEEEEAASTVIHEPMEDLPAEPEPDPEPEPEPEAEQDPVQQDPADGAVVNPAADAEYHHEADAEVDSSGDSSERKAASTEDDTAADGHHTDQGSQGEHSLPESYPICSDHEECIARPERIKIQKGFVAKESVKGHMVNVVKGLKIYEDVFTTSEIMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEEANCHIEPIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFARVRPSTPVDLSPLPSPTKAMTPWQPQPAAAAHVAAPACLAQKAPVSGAIIGYAPAPQAVLAPAAWGMAVRAPMMMVAAAPARPMVMASSGAAGGNVGKRMGRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >PAN20948 pep chromosome:PHallii_v3.1:3:55741415:55743785:-1 gene:PAHAL_3G425600 transcript:PAN20948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSLQHPWAFAFGLLGNIISFMTFLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNELLLITINAAGIVIESIYIVMYFVYADKKAKWFTAKIMLGLNVGFFGAIILVTLLLFKGDKRIVTLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGVVQMGLYMFYMNKTPLVPEGKVAGKLPAGAEEHVVNVHPATEMAVPRSCKAEAMSHHSPAVNMV >PVH62426 pep chromosome:PHallii_v3.1:3:19653550:19654687:1 gene:PAHAL_3G289600 transcript:PVH62426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAAKQQSGSSWNKKRNMIEGPPAMWTNLMVTFPKIKKFNNNKSTFPLFDALGEFYDGHLAEEIYNVTSLGTPQEKEPPEQLQDAEDEPQGFDDNVVYEVNDEGGDGTEKNEEGLSRNG >PAN19806 pep chromosome:PHallii_v3.1:3:20667305:20669749:1 gene:PAHAL_3G300600 transcript:PAN19806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVSFIARDSFPLLYLLSSTTHSLSLSCSGTSSPLPPAHSSPLPYLSWVLPAASRSSHCTKGDFGAWICSLPQIKVQVACKAMVPVYCCPNIALTSLAESSREKLMSADTQCKGKLQDMICTTRSKTSLQMTHLSYPRRSLPTTPVRGRNN >PAN16765 pep chromosome:PHallii_v3.1:3:5520108:5522879:-1 gene:PAHAL_3G085000 transcript:PAN16765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:Projected from Arabidopsis thaliana (AT2G39990) UniProtKB/Swiss-Prot;Acc:O04202] MASSSPALLFPSTSSSSSPSSARVEAVVLFNICDSYVRRPDQADRVIGTLLGSLLPDGTVHVRNSYVVPHNESADQVAIDIEYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQNPIHLTVDTGFTRGEASIKAYISSNLSLGDRHLAAQFQEIPLDLRMIEAEKAGFAILKSTMVEKLPNDLEGMESSMEKLYILIDEIYKYVDDVVEGRVAPDHKIGRFISESVASMPKLSPAAFDKLFNDKIQDNLALVYLSSITRTQISIAEKLNTAAQVL >PAN17544 pep chromosome:PHallii_v3.1:3:8950606:8951765:-1 gene:PAHAL_3G139000 transcript:PAN17544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARVFGNDGGETVVLAHGYGGTRFTWEDVVPALVERFRVVVFDWSFSGAAKDGGERYCCSYHGLADELVALMDELGVRRAAFVGHSMAGMIGCIASVARPDLFSHLVLVGASPRYINEDGYEGGFEPGDVDAMLAAAGADFAAWAPRFAEAVVGPGHPSAAARFAKQLGAMRPDAALRVLRAVLTSDLRAVLPDVAARCTIVHCARDAVAPLAVARYMQRAMAGSGGGVGADTVVIESSGHFPQLTAPKEFVRVVETILLDR >PVH62177 pep chromosome:PHallii_v3.1:3:14818475:14819209:1 gene:PAHAL_3G227500 transcript:PVH62177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGWVSGICHAEPGLPKLLLLSLERVGIMEPQEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPNTYRKAARKALRRLRVLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKLTQELEEQRTRAANAEYSLAALQIQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPVKKRSIRAEEESP >PAN17523 pep chromosome:PHallii_v3.1:3:8860344:8864355:1 gene:PAHAL_3G137900 transcript:PAN17523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPPSPSLSPRAAGTRAVEPTAHGSSPEPSRYSYSAATPSRASADDSCVVNDVDAFARTIAAIRSKPPASAAAGTGSLASVLSHYAARWLPDAASSPSGRFLLPPESPTASWLKKRLLLESLVAALPPDDAGGGGGDGITCDFLLRLLRAGSTVGADAALLGDLEARAARRLDQATLGALMIPAFGGLSRGATSPCAPSPTLLDVPLVLRLVRGFLREGAKAGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARAADDGLYRAVDTYLKAHPRASKEERRSLCRLIDARKLSAEAAAHAVQNDRLPVRCVVQVLFLSEHGGGGGAKLSHHRLAEWTGGSFRDLQHIRSPAPALDLPSAAVGATGARCPSKREVVAAQHHELRRLREDVARLQVQCHALQAQVDRLSSEGRRRRGLFGWGAALLFRGGSDDSESGADRTPLSRGKQQGRHAPTPATGTPTVARWRRSHS >PAN18883 pep chromosome:PHallii_v3.1:3:15049995:15053083:-1 gene:PAHAL_3G231900 transcript:PAN18883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSRQQPSRAAMQGLLPLILLLLPATANADVLRFYITATCSVSMNYTSGSAFQANLNATLSSLPAAAAASTGFAKNVTGAAPDGVYGLAQCRGDISAPDCRSCLNDSAREMASVCPGQKRGMLIYEGCLLRYSNTSFFGVPSVSDPIREVANPENVTQPEQFMRLLSALVGNLTRKAAHGSPRMFAAGAVQYTSFVTLYGLAQCTQDTSPDNCGLCLAILVDAIPKCCYGRKGGRVFSEVCQLRFEIYPFYNAQGAQAAMSPAPALAPGGVPVNGSELSGPRNTARTDVAGSRGIVRTALIIVSILAAVTTLLLLLIVAAYVCKKSRKPHMLVQLASDGHGDKEEMRGSEPLMYDLSTLRAATDNFSEENKLGEGGFGPVYRGTLQYGQYIAVKRLSATSQQGQVEMKNEVVLVAKLQHKNLVRLLGCCIEEDEKLLVYEFLANKSLDKILFDPTRQHELSWAQRFKIIEGIGRGLLYLHEDSRLTVIHRDLKAGNILLDADMNPKISDFGLAKLFDIDLSVANTSRIAGTYGYMAPEYAVRGSFSTKSDVYSYGVLVLEIVTGRRPSENLIKFVWGHWSRGSLPQLPEGFPADGPGPQEILRCVHVGLLCVQEDPHLRPSMASVVVMLNSRSITLPVPAEPGFMTPGKWPRAPAHSQEPSINEGSVSDLEPR >PAN21522 pep chromosome:PHallii_v3.1:3:60625073:60628851:-1 gene:PAHAL_3G472800 transcript:PAN21522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLWAAATWLAVCAAAHPGRGAGGEQPLSRIAVERTVHAVDNAARVKASPLVLGLTGENTEWVDVEFFHPNPSGDDWIGVFSPADFSSAICEPENQRQYPPVLCTAPIKYQFANFKNDDYNKTGKGSLKLQLINQREDFSFALFSGGLLAPKLIAVSNKVAFVNPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRQFLAPAGTLTFDKNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLYTYRLGHRLMNGTRIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNNFQPGSLNSTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTMFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCAYYESEGTFEEPMGREALQELWQKYKVDLAFYGHVHSYERTCPVYQSQCVVNASNHYSGPFQATTHVVVGGAGASLSEFTTSKIQWSHFTDFDHGFVKLTAFNHSFLLFEYKKSRDGDVYDHFTISRDYRDILACSVDNCPRTTLAS >PVH63045 pep chromosome:PHallii_v3.1:3:59783696:59784403:-1 gene:PAHAL_3G460500 transcript:PVH63045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGRKVKGIFTSPVLGGCQWPCGGRMGCFRSCIMQGGPGSAVPVAWLIDLDRPQGGRRRDMLCVVLIFDMFIVFKKVGHYSYVGAR >PAN22125 pep chromosome:PHallii_v3.1:3:64352358:64373545:-1 gene:PAHAL_3G516600 transcript:PAN22125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MGAEEAEQPNGLVVVPEPRPRKGLASWALDLLESVAVRLGHDKAKPLHWLSGNFAPVVDETPPAPDLPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTARLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKFRVLDFTYGFGTANTALIYHHGKLMALSEADRPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENFSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILPRYAKDDKLIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDKVNGHQSDKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRVNESYTGRKQRYVYCTILDSIAKVTGIIKFDLHAEPESGKKELEAGGNIQGIYDLGPGRFGSEAIFVPKQPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVTEEQLAQQAEGR >PVH62647 pep chromosome:PHallii_v3.1:3:35067947:35069369:-1 gene:PAHAL_3G356000 transcript:PVH62647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADPSDRPQKEGKGYVTEEQVRYVRNQRPTSSDLLRKYEYQYQQRLQRESEEEEHERHIGKRLRKHEDARDHWHCPFFRYCWDSEGVSVFRRLGPVPTRQEWVRSPRRGENLDEEEDTYHHPRWCPDGLNRSQKRRVQRLCSLEEVEAKYIESLRKVRPDLAEQEWQPKLARADKEISADTHMVFVLPAEFHARAHEESSVAQLHLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRCLGRSTGDLIKTNGVLSVDLTIGNKIVLTSFFVVNSKSTYNVLLGRDWIHTNYCIPSIMHQCLIQLDGDEVEVVQADDSIEISHAAMSIWDAEDQKPISGMSLEGCDHIEATKNRVRLVLSTSLTE >PVH62284 pep chromosome:PHallii_v3.1:3:16778229:16779262:1 gene:PAHAL_3G255700 transcript:PVH62284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLMPDACRPKLLCISFLSDGSFSFPGYVEVREKFRRASRNHKRYGPILCSLLHYSISTCFVPNLHDESLRHNDNACQRYKLIS >PVH62670 pep chromosome:PHallii_v3.1:3:37554536:37555038:-1 gene:PAHAL_3G363300 transcript:PVH62670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLQKIQTTSPKTSSRVSSCTQSCLWLRPPLEFRMAQDSDDPLFVASVVVWVLVVILTIVVLHCPLPRRVVR >PAN16974 pep chromosome:PHallii_v3.1:3:6296708:6300589:-1 gene:PAHAL_3G099300 transcript:PAN16974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLQSTLSLLIGTGCGIYIAQNYNVPNIKKLVMEMMDRGKKLEESYKKPGNDKNKDSK >PVH61748 pep chromosome:PHallii_v3.1:3:7196082:7197157:1 gene:PAHAL_3G113000 transcript:PVH61748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSCNMIARSLGDPAALRRLRLGAPPQPHHLRRHRPFGLVQFLSAVAGLARGLPTPTVSPAWSRELLVVRSPPLPRPEPDAVPPPPPPPPGIMVLRTFTFGPADVGAIRSGLPPGLRDTATTFEVLAAALFWGVPGVGLPAGYYGNACVPVPVPVRTTVEGLTAGSLGDTVELVREAKATVTAEYLRSVAVDLGVLRRRPYKAMANVFLVSDCRRAGFHCLDFGWGVPVYGGPSAAVFGISFLIAVRNGDGENAAAMPIMLPRPAMDRFAVEVERFLKD >PAN16955 pep chromosome:PHallii_v3.1:3:6222341:6223700:-1 gene:PAHAL_3G098200 transcript:PAN16955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGCKAAIGCVDARAPVRASYVSLYKWPESDAEFVKSVAMARRQGGGGGGQESPGASASYYYSYSGSASMRRGGGGCSGELAAGYASPRVVDSYSCRQMYLRSYTFSKKKETVPERTMACLGRVRERAAVFPFLPQRGGGGGGSAAASDAGSVGSASNVAGAVGRSESRDREDVGLRDRKASRSRRSRRKKQKKRCTMVRRLQEASCGAVRAIFRRLLACTTSVDVADGGARPAR >PVH63206 pep chromosome:PHallii_v3.1:3:62415346:62418832:1 gene:PAHAL_3G492500 transcript:PVH63206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLLLRMRLRHRRLSSPPLTRLLACLLLLLLPLSRAATVVTRLPGFHGPLPFYLETGYVDVEEETGAELFYYFVESERSPGTDPVLLWLTGGPRCSAFSGLAYEIGPVKFVLEPYDGTLPRLVYSPDSWTRVASIIFLDSPVGSGFSYARDPRGYDVGDVSSSRQWFDAHPWLLSNPFYVAGDSYGGKMAPVVAQYISEGNEAMRHPLINLKGYLVGNPVTGDDIDRNSQIPYAHSHGIISDQQYEAAMANCKGDYVSPANKLCADVLQTIKNLMSEVDTKDILQPTCPLDSPNPGRDASARRYLAEEHYYRISDPPVEPSSRCFEYRYYLSYFWANDNATRAALGVKEGTVTEWVRCKRSGFPYTYDVPSSIRYHFNLTTRGYRALVYSGDHDLGIPFSGTHAWIRSFNFSIVDDWRAWHLDGQAAGFTIKYGNNLTFATVKGGRHAAPGNRPKECFAMAERWLDNKPL >PAN21827 pep chromosome:PHallii_v3.1:3:62415346:62418832:1 gene:PAHAL_3G492500 transcript:PAN21827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLLLRMRLRHRRLSSPPLTRLLACLLLLLLPLSRAATVVTRLPGFHGPLPFYLETGYVDVEEETGAELFYYFVESERSPGTDPVLLWLTGGPRCSAFSGLAYEIGPVKFVLEPYDGTLPRLVYSPDSWTRVASIIFLDSPVGSGFSYARDPRGYDVGDVSSSRQVVTFLRKWFDAHPWLLSNPFYVAGDSYGGKMAPVVAQYISEGNEAMRHPLINLKGYLVGNPVTGDDIDRNSQIPYAHSHGIISDQQYEAAMANCKGDYVSPANKLCADVLQTIKNLMSEVDTKDILQPTCPLDSPNPGRDASARRYLAEEHYYRISDPPVEPSSRCFEYRYYLSYFWANDNATRAALGVKEGTVTEWVRCKRSGFPYTYDVPSSIRYHFNLTTRGYRALVYSGDHDLGIPFSGTHAWIRSFNFSIVDDWRAWHLDGQAAGFTIKYGNNLTFATVKGGRHAAPGNRPKECFAMAERWLDNKPL >PAN16642 pep chromosome:PHallii_v3.1:3:4881579:4885022:1 gene:PAHAL_3G074300 transcript:PAN16642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rac-like GTP-binding protein 1 [Source: Projected from Oryza sativa (Os01g0229400)] MSAAAAASSVAKFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLVSRASYENVLKKWMPELRRFSPSIPVVLVGTKLDLREDRSYLADHPAASIITTEQGEELRKQIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRRREVTRKKMKTSSNQSVRRYFCGSACFR >PVH63192 pep chromosome:PHallii_v3.1:3:62135382:62136341:-1 gene:PAHAL_3G489400 transcript:PVH63192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIPCLKLFIFLQRSQLIRRRRIGERIVAATKPKLFLFQSRLSLIFVAARHSGDKRFTAAAAAISCLRLLGWLRGFRDGGRKSRGGKRKLDMEWMRCPRSDACGLGHGWPEPFCANRHGRQGEVALRNSPPCATAPFFPIASGSGNGRFVAGKCTAVHLSPPTLGTGSLPPRRTPSYSVNSSSRTVTALNRRAGSCWEELLCLRRRARVGAGSVPMAAARVPSRGGKMQSSEPWTHHRRCQLMLYFCVCRFGLF >PVH61915 pep chromosome:PHallii_v3.1:3:9996178:9997329:1 gene:PAHAL_3G155400 transcript:PVH61915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCGPRLAANGFARPRDHSGLLAKARSNRLWLAGERETKPWAARNCNPNFQFGGPFLPDQRHAAADLVPDADASAAKCQPYPRCPRGARLSSSCSYPQFTKPQPKKKSSWEEAAVHLQSAAKAKTLPPQFTPNLFPCFVHLTLIPKGDKLSGGITDPSSTSYVKYPTEIVRFDENHGMCPSPLDTGLHGLYMQERDRPATIRTFQGKALCLLTSLCSSVVFLASSPTVRPRKVKTSTSNAAFSLSWNLGVYCYARTSVQFN >PVH62269 pep chromosome:PHallii_v3.1:3:16547921:16548142:1 gene:PAHAL_3G252900 transcript:PVH62269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRAEEEEDKRGLCKERGKRVAAVPAHGGGSKVGASSSSFARRCVGLVREQRARLYIARRCVTMLACWRDTS >PVH61426 pep chromosome:PHallii_v3.1:3:1973966:1974439:1 gene:PAHAL_3G034000 transcript:PVH61426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSGQNVSCLYYFIMSYFPWLTILVVLPIFAGSLIFFLPHKGNKIVRWYTISICLLEFLLMTYAFCYHFQLEDPLIQLKEDLKWIDVLDFHWRLGIDGLSLGSILLTGFITTLATLEAWPVTRNSRLFYFLMLAMYSGQIGLFSSRDLLLFLSCGS >PAN17207 pep chromosome:PHallii_v3.1:3:7214843:7218108:-1 gene:PAHAL_3G113400 transcript:PAN17207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLALARAYLKDERGCDICGVEITEDAQPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFAVWAGFPERGREGNKFIVADRPQGHSRGLYCTDSIEAVIEERKMRKENACDILEENGSSHPQESNGLDLMFTD >PVH61303 pep chromosome:PHallii_v3.1:3:487163:490035:1 gene:PAHAL_3G008100 transcript:PVH61303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRHLAAGPTCHHPHGVSAATASLQLRRLPSCPRPLRSRLFTRVYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGSQFVFMDLTTFEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVADTDPGASDSVQGGTKPATLETGAVVTVPSFVNVGDDILVDSRTGQYMNRA >PAN20903 pep chromosome:PHallii_v3.1:3:54845223:54849773:1 gene:PAHAL_3G420400 transcript:PAN20903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRKSSAAAAPAAGSPRKTRSMAAAGKRGAEAAAKAVPAKKKEEEAAVAELKGWKRAKKDEAVTAAAEPKRSKRGKKEAEEALPAAEEDGGDAVAEGKRVIVEACTQCRQFKIRAQKVKEDLENSVPGVSVIINPQKPRRGCLEIREEGGEVFISLLNMPRPFTPMKKLDMDEVIKDIAKKIS >PAN16727 pep chromosome:PHallii_v3.1:3:5308334:5312325:1 gene:PAHAL_3G080600 transcript:PAN16727 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGGSAPLPYSMRDVGAGGAYNNAKFRHRSRLKMFVQSLATNSSKYRCGKFTVGKFLSLLMVSGLLYLFLHKSPEGPVPGELHGKEVHSNNVRRAPNIRTFWRKPPRLPPRLPPNEIYKNNSLLQQSPSEWTSRQKKVKEAFEHAWSGYRNYAMGYDELMPLSHRGTDGLGGLGATIVDSLDTAIIMGADDVVSEASKWIEENLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGDDHAGSGDSGIPASYKKANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVILRDRTAHAAPDGLSSTSEASTLQLEFSYLSRVSGDPKYDREAMKVLEHMRTLPKVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWIQQEENRDSSLKYLFEMYTEAMRGVKHLLVRKTVPNELVFVGELPFGRSGDFSPKMDHLVCFLPGTLALGATKGITKKKALESKLLTDEDIENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNAEGGPDGGNKSNKYVNDIIIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFQAFEKYTKVDSGGYSSLDDVTSLPPHRRDKMETFFLGETLKYLYLLFDESNTLPLDKYVFNTEAHPLPVMRSVEQASHSV >PVH61626 pep chromosome:PHallii_v3.1:3:5308334:5312325:1 gene:PAHAL_3G080600 transcript:PVH61626 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGGSAPLPYSMRDVGAGGAYNNAKFRHRSRLKMFVQSLATNSSKYRCGKFTVGKFLSLLMVSGLLYLFLHKSPEGPVPGELHGKEVHSNNVRRAPNIRTFWRKPPRLPPRLPPNEIYKNNSLLQQSPSEWTSRQKKVKEAFEHAWSGYRNYAMGYDELMPLSHRGTDGLGGLGATIVDSLDTAIIMGADDVVSEASKWIEENLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGDDHAGSGDSGIPASYKKANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVILRDRTAHAAPDGLSSTSEASTLQLEFSYLSRVSGDPKYDREAMKVLEHMRTLPKVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWIQQEENRDSSLKYLFEMYTEAMRGVKHLLVRKTVPNELVFVGELPFGRSGDFSPKMDHLQWDVFILQVCFLPGTLALGATKGITKKKALESKLLTDEDIENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNAEGGPDGGNKSNKYVNDIIIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFQAFEKYTKVDSGGYSSLDDVTSLPPHRRDKMETFFLGETLKYLYLLFDESNTLPLDKYVFNTEAHPLPVMRSVEQASHSV >PAN18193 pep chromosome:PHallii_v3.1:3:12095359:12097418:1 gene:PAHAL_3G184900 transcript:PAN18193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQPPHPVSRETVAGAVASLTKWMKKRTAEAPPNLLADERDDLVVLQLSLRRIPASPTTRPRLLPLPHPVVGHDGASVCVISDDRPNSRSPPASDLLDASKSLHRLPVSEVIPLSTLRTDYRPYESRRRLAASHDLFIADRAILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQVRKVLGSAFLYLRSGTCSGIKVGRLDMEEEEIVENVMAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQVVPELGMKIEVPEEVESGVIIDAAELETKGKKTDKKKKAMMYPNANDDEGVASESGKRKRNKKEQIKDVEMQEEVQAETEKKKWRKSIVVSVGEGQKVGKKGKDKGKRDLENEVEEPSMDNKKKSKKRKIEEGKKKKKNMKGDGEVSADKSQEDKKSRGEKSDGKIKKTKSRVRV >PAN19672 pep chromosome:PHallii_v3.1:3:19647507:19652161:-1 gene:PAHAL_3G289500 transcript:PAN19672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDPVAVGAPSSPPAVSPPRQLPPQDDDERGAVECADPASPDRRAAGGDPNNAPPPSPALQPAPQQPTGEDAAASCDVEKEKEQEKEKEVVPGAGEALRIFMEEFGDQEENTLILSPLLKEITTPDGAAALRFLGEKYSSLLERYKQQVAKCADECGPRYDGLKKKYTDECAERKRLYNELIELRGNIRVFCRCRPLSSDEVTRGCSSVVEIDSSQETELQFVPSEKERKAFKFDHVFGPEDDQETVFSETVPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGVPENRGVNYRALEELFRMSEERSTSVAYTFSVSILEVYNEKIRDLLDESNDQSKRLDVKKSADGTQEVPGLVEAPVYNIDGVWEKLKFGARNRSVGSTNANELSSRSHCLVRVTVRSEHLVTGQRSRSHMWLVDLAGSERVGKTGVEGDRLKESQFINKSLSALGDVISALASKNSHIPYRNSKLTHLLQSSLGGDCKTLMFIQISPSSTDSGETLCSLNFASRVRAVEHGPARKQADPAESLKFKQMSEKLRHEEKENAQLNQSLQLMQLKYASRENVFRTLNEKVKDAEQACKNYQQRIRELENELGNEKKAARDSARSSRPPLVPMRQRQPQGRNNNYAPPSGPSRSRFSKAPTIQNKENIPVMMNKAQTGADPNKAVGRARRVSLTPVIRQIPIQPKRRSSMAILPSVSEQLSVLNEKRAASRLSHAHVPRRSVAAFGSIPATPLPGHGTVDATPDGAKLRRIDFGSSSKFTSPPPMPGLWNKIVTPQQKLGMAPGGPGNTSRLCFSIQKRVVVSPVRVKPSVPSGMSIFNPALREQMVVGRTGNAMRVLNTKRRQSVI >PAN18456 pep chromosome:PHallii_v3.1:3:13234033:13235156:-1 gene:PAHAL_3G202900 transcript:PAN18456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLKVNGAVLATVAAIFLAAVLPSHASTTVDEPAANYKPAAPAPPPPASSYTPPPAVQPVVVVHGVIYCKSCKLRGYNSGMDASPLPNATVSLVCYGDEESGYRVLNQTSTAADRNGYFIVMVYDVDMFDRHTCRLYLRSSPTPLCARPSVPSNPKLGLTLVRDRAATAPRGSRGVYHARTALMYAPGAGGKCPPY >PAN17819 pep chromosome:PHallii_v3.1:3:10102521:10104258:1 gene:PAHAL_3G156900 transcript:PAN17819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTVASRCSRCGGGCGHSSIACRVAAAAGQRGGEAATMRCSGGAAAAGPRLFGVQLGAAAGGGSASPALQLHKSYSVDCLNLQGSAPAYAALVAAPLLLSPSPSSAALLLSIDECSTERATDGGGYLSDDGARGGTALRERKKGVPWSEEEHRLFLEGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRQNSAGKKSNAKRRSSLFDMVQNYEGGLVSDPSDGKAAAGTSESLSPKASCDVYRKESTAIHERMTRHSSESASVSEKVTVATEQAHGYHCSPLNLELGMALSTPSIGT >PAN17405 pep chromosome:PHallii_v3.1:3:8364884:8369569:-1 gene:PAHAL_3G129500 transcript:PAN17405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTGAVHWWEESQMRVLVLGSLVVQFLLLIFSMVRRLPIPGWTRSVMWLAYLGGDALAIYALATLFNRHHKDQDRGGSNRTLLEVVWAPVLLLHLGGHDGITAFNIEDNELWSRHLLTVVSQVTVAVYVFFKSWPDGDKRLRQAAIVLFVPGVLKCFTKPWALKNASINSLVGSSAAERTAKQEEGQMCRPLEEYVKQAKAFARGQREDEDRMQQEARASVQEGDHSPSQRRRDALHGDVDLLEEYVMKGARAPVENGDHPPSQQAAEINTFQDRQNLTKREMILHSEAYKLFVDLSSPYPYRLSILKYFWVLSEEKAEQAHRSVRKGIASAFLLLYTKLKTATIPSLAEIEMGSEQPKWRDWIVFARFYLPWAAIGLFHKSHREAYNDTDVKITYALFCCTALLEAYSIASVTEIKSLKLPDYFKSIPRGLFNREQWPEMVAQYSLVGYFARNRKHSRMMRIVSFFGCKDFVDQRWCMRSYSSSRRITKLVLQYLKDGWKKKIQDAASYRSFNDNRGHWALEGNQDLGWSIKGPFDESVLLWHIATDFCCFLRSFPDHRCAYAKIPSIWLYLVSERIWKRIWKWNTHLDQGTRSTCGELTACKAVLCRQMSNYMMYLLFVNPEMLLPGTRRNLFTTAYDELKVILRDNEPAIVKEEGLMQRVISTMQDRSKKASEEELETSFEEKSKGGSKGSFIEDAWALAQGLLDLGDDKMWEVIQGVWVEMLCFSASRCRGYLHAKALSSGGEFLSYVWLLMSYMGMETFTERLQREELPSRREGNSSAVPSASEARNSTASSTSVIHAPSTSQVHTSASPSTDEIRIDMS >PVH61411 pep chromosome:PHallii_v3.1:3:1744707:1745157:1 gene:PAHAL_3G031100 transcript:PVH61411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEFIQRIRKIGVLCSLSGKEEEEEEVDENEPKRLHWDHKGKGFGRGPRSAPPAKRALRRTSIPSGSRSGLKKASAQGKAASAADWPEGRRRCLEGRLRRGCADGGAWCSRAEGVAAIRPWDRTAGAS >PVH62658 pep chromosome:PHallii_v3.1:3:36619456:36619936:1 gene:PAHAL_3G360000 transcript:PVH62658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQSTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQQIHAQPPPPPPPQPRDRRADFLRGHPPTFSHATDPLQADDWLRSVERHGFCTQQGSCEVPLLTGGSPTQLGIMTLSRGTSSVSVSGTITFLRAS >PAN18459 pep chromosome:PHallii_v3.1:3:13243313:13245109:1 gene:PAHAL_3G203200 transcript:PAN18459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSASCSSRALATALCLLLHLSLWAAAAMDPAEREALLRVMEAVSSDRDWREAAGADPCSSPWPGLECKPAPGGGAAARMHVARLDFGVPPNPTCKDTATFPLGAFALPELRALFLVDCFKNPDAITAFTLPPPANLSASRLQQLSVRSNPSLSGTLPPQLASIRSLQVLTVSQNALIRGEVPQGLGELKNLVHLDLSYNSLTGPIPSRLGELRGLVGLDLSYNSFSGPIPTRLGELTQLQKLDLSSNNLTGGVPVTVTRLKALTFLALSNNGLRGRLPAGLSDLRDLQYLIMENNPMGVPLPPELGSIARLQELRLANSGLSGSIPETFGLLSSLTTLSLENNNLTGQIPAGLSRLKRMYHLNLSKNGLDGVVPFDGAFLRQLGRNLDLSGNPGLCVADRAVVPDVGVGVCAGDAARESSAAAGSSAGGAIGGEVTRGRWPAALLKPAAVALCCCLLL >PAN18496 pep chromosome:PHallii_v3.1:3:13364060:13365966:-1 gene:PAHAL_3G205700 transcript:PAN18496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNPNPTPTVVLHACLGVGHLIPMVELAKLLLLRGLAAVIAVPTPPASTADFFSSSASAVAELAAANPSVSFHHLPPPDYPAPDPDPFLQMLDALRLTAPALAAFLRSLPSVAALVLDLFCVDALDAAASIGVPAYFYYTSCAGDLAAFLHLPHYFAETDGGASFKDMGKALLRFPGVPPIPASDMPHTVLDRADRTCAVRVGHYGRIPEARGVLINTYEWLEARTVRALKDGVCVPGRPTPPVYPIGPLIVKGQDAAAGVERHACLAWLDTQPERSVVFLCFGSLGAVSAAQLKEIARGLESSGHRFLWVVRSPLDDPSKFFLSRPEPDLDAQLPEGFLERTKDNGMVVKMWAPQVEVLRHAATGAFVTHCGWNSVLEAASAGVPMLCWPLYAEQRLNKVFVVGEMKAGAVVDGYDEEMVTAEEVEKKVRLVMESEEGEKLRERLALAKEKAAEALADSGLSRVAFEEFLNDLKLAK >PAN21664 pep chromosome:PHallii_v3.1:3:62355128:62364815:-1 gene:PAHAL_3G491700 transcript:PAN21664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWRLLSVLLFTAATLLAGGGVAVGGEYTPFPSPFCSTTDNFTAGSTAAENGGFFMASYGTRRDEVFSLIMCYADYSWDKCMSCVDAAVAWVGAGCPYSRSASVNYDRCLLRHSNEPFFGAADELNLTSWVVCSLEDAADAARMNATRWALVGQLTEQAAGSPLRFAYRNLSYTDSEREPQVMLRAGAVQAGPRDLLPDECSSCLHYLREELGKNIPNDTAGFYLGYSCSSGGSGDEWKRLKLIMVVAGVSVTGTVGFLLFLGLSRRYLLQCCEGRTTATTKMKCVGSRTYFRGEAVELIELERGTGVTGPRRFSYDELTAATNNFSDDRKLGQGGFGSVYRGFLEDLNLHVAIKRVSKTSPQGWREFMSEVKIISGLRHRNVVLLIGWRGRAATGAKRAGMVSGRRKKGKLTGGSRPSAAPGGGEGTNMECYNYGGGGDLLLVYELMHNGSVDSHLHSPSKQLGWRARYQIVLGIGSALVYLHKETEPRVVHRDIKPSNVMLDAAFTAKLGDFGLARAVEDGGRRSRTTTPARTTGYLDPECVATGRTSVESDVYSFGVVLLEIASGRRPVATLPNGSTVHLAQRMRDLHVAGRVRDAADARLGGDYDAEQMERVIVVGLWCAHPDRSLRPTVRHAVNALRFDAPLPILPARSPAVAARLAPPLRHTATISTGAGGSNGYHGHGVTEWQ >PAN21208 pep chromosome:PHallii_v3.1:3:58715676:58717140:-1 gene:PAHAL_3G450300 transcript:PAN21208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGGEGEPWTEELSSEPRASLFHNFLSKEECDYLISQAKPHMTKSMVADFETGETMESSARTSSGTFFDRGEDKVIRRIEKRIADYTSIPVENGEGLQVLHYEVGQKFDPHFDTSENGYNTKNGGPRQATFLMYLSDVEDGGETVFPSAKAKRSSPFPFKLFAKKGLSVKPKMGDALLFWNLKPDGSLDPKSLHGANPVVKGNKWSATKWMHVHEYKKDV >PAN21346 pep chromosome:PHallii_v3.1:3:59551963:59557119:1 gene:PAHAL_3G458200 transcript:PAN21346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYERLTAETRRRGTRFDALIGLDEVEGSDDEEEEERAGMADELPCPFCGEELDAVGLLCHMDDEHHAEANAGVCPICTHKVDVNLVSHMSSLHQSFLKDKRRNRQGSSGSHYATLAFLKEDPHERISGSSRAAPVSTVPDPLLSSFVGNFYEVDLPRDTKVESLDETEVGSDNLELKAAESVDEPLLPEVKEERTRRSQFMQGLVLSLMFDDIL >PAN16373 pep chromosome:PHallii_v3.1:3:3522065:3523842:1 gene:PAHAL_3G055900 transcript:PAN16373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLSLHCCSCLLLLALLCSLTKCYYYYSASARSGCHRGGLRLPPCPWQLPVLGSLHHLVGELPHRSLRRLSRRYGPLMLLRFGEVPVVVVSSGEAAREVMRTHDAVFATRPQTATIRILTNQAQAIALTPYGDHWRRLRKICAIELLSAGRVRSFRPVREEEVAWLVGAVSSASGNNELMNLSEMIAAYVADTAVHAIMGRRLDVDDRGAFLRYIDEAIRLASGFSLADLFPSSWIAGALSWWGAHKAEVYRGGLFKFLDAVITEHVERKSEEAKSQEDLIDVLLRIQGQGPSSQFLTMGTIKAVIFDLFSAGTETAATTLQWAMAELMRNPDVMSRAQAEVREVFMPRMKVVEEGLSELKYLRWIIKETLRLHTPGPLLIPRECRETCKVLGYDVPKGAMVLVNAWAISRDPQCWEESEKFKPDRFESDTRDFKGNDFEFTPFGAGRRICPGMSFGLANVELALANLLFYFDWSLPDSIRPSELDMTEAMGITARREKDLWLRAKVCSNLPH >PAN16056 pep chromosome:PHallii_v3.1:3:1649462:1650995:-1 gene:PAHAL_3G029600 transcript:PAN16056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVAAGAASLQILLAFVCLLTAASGLYDPPASFARTNHIWYPPAVQVIVIDLGNTNSCVAGYAPGKTETMFQFCIPSWVAFSGDGATLVGEAAKNHASAEPDATAFGFKRLLGLRRNLWYEEDIVQRAIKRVPYKIGASSDRPSIQVAMDDGAVKQHDLVNVASMVIAQLKVKAEEYLGRQVRYAIMTVPQHFSEASMLGAENAAKIAGLEIVDMVSEPVAVAVGYDLRRKLREGGNALVLHVGGGTAFASVVTVLMDGSVGILFYRNDPFLGGDDFDQKIVDYFAKLVKMKHGKDISEDLVALGKLRAACERAKKALSDQDHVQVTVKSLIDGVDFSQPFSRSEFEELNDDTFRRVVALVRRVMLEAERRRVNNNIDEILLVGGSTKIPKIQRLIKDYFNRDEPNIRLETDEAVALGAVVHTYSSVQQNHCIGGESHG >PAN16267 pep chromosome:PHallii_v3.1:3:3342712:3352651:-1 gene:PAHAL_3G053500 transcript:PAN16267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQGQRGVMAPPAMMKASAAEEAATKGMATLLSLLRASTSEEKISAVSAAGAGDEKVEWLRSQLIGKDVEFDTPFGRRVLTYADQTASGRGLRYIEDYIVNEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGAGPGDALLFCGAGTTAAIKRLQEFMGVAVPSVDLRERLAAQLRTEERWVVFVGPYEHHSNLLSWRRSLAEVVEIGVDADGLVDVAALRRALASPEYADRPMLGSFSACSNVTGVMTDTRQLARVLHENGAFACFDFAASGPYVKIDMKSGEMDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLNSQPPSTCGGGTVAYVNGFNEKDTLYYDDVEEREDAGTPPIIQKIRASLAFWVKEYIGYDTMSIRERVYAEMAMKRLASNPNVRVLGNTNAERLPIFSFLIYPPVPNSEFEMADEPVCNSPYRDVRRKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLGIENELSLRIRSAILEGYSGLKPGWTRLGFSYYLSNEEFRFILAAIEFVASHGHRFLPLYKFDWITGDWTFRKQAVKYHIMKEELALGTAHDQEAEKGQPKIADKLVKKHGSSHKNFESYLESAKKIALSLPDISQQVVSVPKGVDPDLVLFHI >PAN17572 pep chromosome:PHallii_v3.1:3:9087052:9090019:1 gene:PAHAL_3G141300 transcript:PAN17572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MVVVTTQDSGILARFPTNPASSQPRNIATPTPPPTHQGLPNKSSALRARRRPTTLAALPAAAAVMVSAEASIERVLWTEAEVAARVGEVAAELAADLRALPEPAVVVGVATGAFLFLADLVRRVDAPLAVDFVRVESYGGGTESSGKPRITADLKVDVAGKHVVVVEDIVDTGNTLSCLIAHLEKKGASSISVCTFLDKPARRKVNIQLVGDGKFYSGFECPDYFVVGYGLDYAELYRNLPYVGVLKPEKYKKDSSN >PAN20315 pep chromosome:PHallii_v3.1:3:28736492:28741416:1 gene:PAHAL_3G338700 transcript:PAN20315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPMGSNGSSRTVTRVLFCGPYWPASIVYTREYLHKYPFIQVDEVGLEQVPDVIQNYHICIVRNRRIDSDIITRATQMKIIMQNGVGLEGVDIGAATAHKIKVARIPGCTTGNAVACAEMAIYLTLGVLRKQKEMDCAVKRRELGTPAGETIYGKTVFVLGFGAIGYELAKRLRVFGVKILATKRNWSSNTLPCDTEVLVDKKGGLEDLYEFAGEADIVITCMALTNETTGIVDNKFLAAMKKESYLVNIARGGLLDYKAVLSHLESGHLSGLGIDVAWMEPFDPEDPILKFSNVIITPHVAGITEYSLRTAAKIVGDVALQLHSGEPFTGIEIVN >PVH63027 pep chromosome:PHallii_v3.1:3:59218224:59219305:-1 gene:PAHAL_3G456200 transcript:PVH63027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLLKATAGRRKNERYKGCTNKKGNKGKHKYHICTDYGHHWHKCKKCCERATKQEEKDHQIISDKHCAF >PVH61479 pep chromosome:PHallii_v3.1:3:2683930:2684600:-1 gene:PAHAL_3G042500 transcript:PVH61479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSTGFHVICLPQSDRSRVSLARFHFRFIPWSLVVTAGAALLGRRFAVLLQYQGYWTSRPALVFGLW >PAN21197 pep chromosome:PHallii_v3.1:3:58633697:58638154:-1 gene:PAHAL_3G449400 transcript:PAN21197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSCSSMMSPTSSSSVPPALLAVHHHLVAATLLLLLLLAGGCHGAPLKAHFYRRSCPVAEAVVRDIVLARVAADPAALPAKLLRLFFHDCFVRGCDASVLIDSTPGSSAAEKDAAPNASLGGFDVIDTAKAVLEAVCPGTVSCADIVALAARDAVSFQFGRDLWDVQLGRRDGVVSRASEALANIPSPSDNFTALEARFAAKGLDVKDLVILSGAHTIGVAHCNTFAARLSGGSGPSSAAADPALNAAYAAQLRARCGPDPAAAASNNATVVPMDPESPARFDAHYYVNLKLGRGLFASDAALLADRRAAGMIHRLTRQAHFLQEFRNAVRKMGRVGVLTGERGEIRRDCRTVNSR >PVH61557 pep chromosome:PHallii_v3.1:3:3742694:3743512:1 gene:PAHAL_3G059600 transcript:PVH61557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRVGVNMRLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGSMLQKDGDIDEDVRYRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQYWLRWFGHVQRRPPEAPVRSGVLKRGDNIKRGRGRPRLTWDETVKRDLKEWNIAKELNMDRSAWRLAINVPEP >PAN17214 pep chromosome:PHallii_v3.1:3:7243311:7244237:-1 gene:PAHAL_3G114100 transcript:PAN17214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRRANTTMAPPIEVAATGKYPTSGHDVSAGWKKTGNPSKGIVSVEDINKRSEKYITEMKKRFLG >PAN18829 pep chromosome:PHallii_v3.1:3:14892829:14903612:-1 gene:PAHAL_3G228500 transcript:PAN18829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMETSPPQSPARTPGKAARPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIMDLDDGNYRAVDGSDFIISRVAFRDNTSKYYINDRASNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNQYVEKIEETYKQVEVVNEKRTASVQMLKLAEKERDSLESAKNEAETYMLKELSLLKWREKATKLACDDAVSRVAQCQENVADLEKNLASEREKIQQNSQTVKEMESIYNKHVKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKVQKDMSKRDESTKEMEESSNLIPQLEGKIPKLQDQLNEEEKLLEQIKESSREETEKLRAELTQVRTELEPWENQIIEHKGRLDVASAEKELMKQKHDGAQAELTDAQNQMESIKEKVKTKDAYIVELQEKIEKHHIEASEARKIEQECQKQEDSLIPMEQAARQKVAEMKTTRDSEKNQSTALKAILQAKESNQIQGIYGRLGDLGAIDAKYDVAISTAAPAGLNYIVVETINSAQACIELLRRRNRQETVTCLILEKQTHLLHKVKEKVKTPEGVPRLFDLVKVKDEKLKLAFFHVLGNTVVANDIDQASRIAYGAPKEFRRVVTLVGEVFEKSGTMSGGGKKAQRGMMGTAIQESISEDAIKKAENELNNLVDKLNLLREKMNDAKKHYRSMEEAKSRLEMELAKAKKEVESMNAQYIYNEKRLDSLKAASQPIADEVRRMKELDGIISSEQVELNRLTKGSSKLKDQASELQQKIENAGGQVLKDQKTKVANIQSELDKTSSEINRHKVKITSGEKLVKKLAKTIEDSKIDTEKLLAEKEKMMSIFKEIEKKAFVVQEEYKKTQEMIDNHKDELDKTKEEYTKLKKALDELRASEVDAEYKLQDTKKLAKEWEMKVKAFRKRLDDIQTNLAKHMDQIQKDAIDPEKLKVTLGDEQLSDTCDMKRAMEMVALLEAQLKDLSPNLDSIAEYRTKARLYSERVDELNATTQERDDLKKLYDGLRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFADMEVV >PVH61287 pep chromosome:PHallii_v3.1:3:335907:340065:-1 gene:PAHAL_3G005200 transcript:PVH61287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDGFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQYIETVHHSQAVEDVLHSLLLADSNTKEIKSKTKEWNDRIKQDKKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVEPDEEKNPAEPRPCRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISLAQSEDSEVYDIYTVKEVDDTNMEDMSAASYPRLQVDDDEDECYDDDYPDDTDDSNAEDNPLFDYPEELSEDEDDGSNDEDPFGDTGGSGSEYEKEEVEVEGDEQW >PAN19772 pep chromosome:PHallii_v3.1:3:20419769:20422925:-1 gene:PAHAL_3G298500 transcript:PAN19772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCRADSSVATCRSITAISPLPISRRSSRPAALPGAPAAAAAIERFDYAELEAATSHFADAALLGRGSHGAVYKAVLPSGRAVAVKRPSPRRPEVDNEICILSSVRGPRLVNLLGFSDTGPGPGPAARLLVVEYMPNGTLYDLLHSNPRPPGWPRRLRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPRATGANAAAAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVQHSPPSVVEWAVPLLRKGRVAALFDPRVAPPRDPATRKDLAALAASCVRSCRERRPSMADIVERLRVLSKAVSAKVWNGLADGLAVVGNPCAVVDVQKTISKRAPASSRAESERESTSALTFDDDEKEEADAEALEEDQVPLVGAKKSPRRLKNGIVLSEAGARERRNLLELMARIDGVAGQRFGISRARTVRATGELIEKDAVLLLRRNKTVRVVGSEALPKSERISHFDVKIKHKVVKEQEKAGEVQAKVEEVQAKAGEVQEKEEKNQENACGTQESSKEIVGEADELLLEKMEANLDKEERFQEKKGQSLEKAEIVNDNEGNIQGTTEKIRESEGEIQDKLEKIHLNNGES >PAN21345 pep chromosome:PHallii_v3.1:3:59539717:59544276:-1 gene:PAHAL_3G458100 transcript:PAN21345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MSRRLSLPAGSQVTVTVSPTRGKAESPGAGDAVVKRGAGGIGITSPAPRHSLGGAAGPASATLQLSPVRRSGGSRYASRDGAAGADGSAEFVHYTVHIPPTPERAVAASADSAGAPAAAAAAEDGQDGAAAAEVRAQRSYISGTIFTGGLNQATRGHVLTTSGAAAAAAASANLSCKMRGCDMPAFLTSGAAGGPCDCGFMICRECYADCVAGAGNCPGCKEPYYAGSDTDDDGGGGEDDDEAVSSSEERDQLPLTSMAKRFSLVHSMKIPSGNGGCAGAGGGGKPAEFDHARWLFETKGTYGYGNALWPKDGHAGGGGTGFAGFEEPPNFGSRCRRPLTRKTSVSQAILSPYRLLIAIRLVALGFFLTWRIRHPNPEAVWLWAMSVTCEVWFAFSWLLDSLPKLCPVQRAADLDVLAERFELPTARNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRSPEAYFGQKRDFLKNKVRLDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAMAAGTLPGALPEAAPTVKATWMSDGSHWPGTWLTAAPDHSRGDHAGIIQAMLAPPTSEPVLGGEPAESGGLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCFMLDRGGDRVCYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRKKIKLFLRKPTMGKKTDRENDNDKEMMLPPIEDDGFQQLDDVESSALLPRRFGSSATFVASIPVAEYQGRLLQDTPGAHQGRPAGALAVPREPLDAATVAEAISVISCFYEDKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNVGMYPFTSIFLLVYCVLPAVSLFSGKFIVQSLNVTFLAFLLIITVTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGTSDDGEDDAFAELYEVRWSFLMVPPVTIMMVNAVAVAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMTVSLLWVYINPPAGAKERIGGGGFSFP >PAN18048 pep chromosome:PHallii_v3.1:3:11529213:11537839:1 gene:PAHAL_3G174600 transcript:PAN18048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTAAEVGGAGDGAAGDVQIKGSKENGQPAEQQQHQQPSGSEALEMPATPLPRDMDWSEHFSFFTSLGGFGGSSDGARGLTSVGLSNSELRPDSVTQRGLDHEAEERVEELTLKNCINTDVQPEVSAGGSSSSGDRPTVIKGLWGNFTRMAWRTSELASRENAAVSYGDIANPRAGVASSRENLGMNLANNMISRNNDVSGKEIPTSRGGNVNNEFVMPFRNQQLLLSARPNQNEHRAERDNVIRVSSFSNRILEQMRSKTLTPPSGVLGSPLNGKSKGKGVAHQGAREEIQVQANARPRGRLDKIPTIPTSMYDSMTRVDPLPFSTGANVSKFHCDGTSLRELIKPGRQTLSRFEKMHLFKQILDLVDKCHAQGYTLQHLRPSYFTIPSSNQVKYIGSYTTQDLPTSIRQEVTREDLGNRKRSFGHKIEHQESNGHGNSMLKYQKVGEQGSVAVRRPTQTFWTDQRVDNQNEDVNPGVLGQENFNCTVRERSKFVEPYGSYTSCAQHVSSSGNQQAAFELRNLEESWYISPEELSQFKGTFPSNIYSLGVLLFELFCCSETWEVHCAAMSNLRQRILPPNFLSESPKEAGFCLWLLHPDPCSRPKARDILGCDLINEGRDLSLLDQSPVSISEDDTESSLLLNFLSQLKEEKEMQAAKLSADLGSLQTDITEVERRHSARMGFSLEDTDVLATSSALSGASVSAPQGALLSGLLPSLCKSSIYEERVMRNLEQLENAYYSMRSTVDTCETNAIKRPDNEALRVRENFHQLHSDSGATDEQTDRLGCFFDGLCKYARHSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNNYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCIDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNCSGLSADSCSLTLSGHTNEKNFVGLSVHDGYITCGSETNEVFSYYKTFPMPITSHKFGSIDPITGQVTNEDNQQFVSSVCWRGKSNMVVAANSSGNIKVLELV >PAN21274 pep chromosome:PHallii_v3.1:3:59185033:59187449:-1 gene:PAHAL_3G456000 transcript:PAN21274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEASSAPAPAPAGGKMTMVAGVDESDHSFYALQWALQHFFQPAQPQQYRLVVVTAKPSAASAVGLAGPGAADVLPFVEADLKRTALRVIDKARDLCAAAQVADTEFEALEGDARNVLCDAVERHHAEMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKPKH >PAN17731 pep chromosome:PHallii_v3.1:3:9805556:9810571:1 gene:PAHAL_3G152400 transcript:PAN17731 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MESAEPLQDVMCEFRAGKMSLEGTRVVPDTRKGLVRVGRGEEGLVHFQWLDRGQNIVEDDQIVFPDEAVFEKVTESSGRVYILKFKHDGRKFFFWMQEPNADGDSQICIQVNAYINRPLDAEADLAVPIEAEMSEDTADDDISSRAGNLVDQSMTSDLAGEVTSAAGPVRLEDLQRILSAIQPSGISGAAADPDAGLGLGDILKPELVLPLIENLPIEQLASHLPEGSWTPADILELLQSPPLRQQLDAFTHVLRTGQIDLAQFGVDPSKYKFTVVSFLEALEDSVAKAGSSSAAGDKDVEPKQGGGSDPMDES >PAN16265 pep chromosome:PHallii_v3.1:3:3134525:3136866:-1 gene:PAHAL_3G049100 transcript:PAN16265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDGANNKHEVAVAPMEVSVEAGNFQEADRLDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGAKVVFCGVIQYSNLVGVAIGYTIASSISMKAIRRAGCFHTNGHGDPCNSSSIPYMILFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIAQTISNGGFKGSLTGISIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKKATSVSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRANAAWPDSAFISRELRVGPFALSVFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIRQRRVPRGSTKWICLQMLSVSCLIVSIAAAAGSIADVIDALKVYRPFSG >PAN22093 pep chromosome:PHallii_v3.1:3:64064733:64073238:1 gene:PAHAL_3G512600 transcript:PAN22093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVTENWISATLLLGPVVGTYQYAMWYKEQEKLSHRY >PAN19974 pep chromosome:PHallii_v3.1:3:22085684:22088952:-1 gene:PAHAL_3G311000 transcript:PAN19974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSNDVKARNVVVKSEPADVEHAQDPALPFGSFGDEVAEDEHGDSTECSSSFGDSGCGSDDDTESDAGIMEVESPLYSQINGHDTPAVSHIVRKKKVTSDWRKFIGPERWRCQWLELRMNDLLSQVAKYDKELALIDREKYLQLEMVKADRCKSELQELDLPNYEIMMRRKRKRYEGSTDTSAYIKKHQIFSYYNHESKKSRTENERIGADNEPLVIDDYNILDVEDNKSSFCSNDTMLESKETNVVLEQHSLRKILLAIECIQTRIIDLQSDLSEAYNKIGHPQKSQKKKNSHDLHKKKNVATPYGYGTTEQDKDDITLEMLFGVNSSLLDHDMEGICKEGVDDVLIDNEAAIEEDFWQFERIKKTTETYSKPIINVAEVPIVKLVKKRGPKPKKKRGSAKPIEDQIKRSKRKNKETGLNYPNTGNTMFVAVDTRKSQRVRKSKIF >PAN19671 pep chromosome:PHallii_v3.1:3:19644254:19647436:1 gene:PAHAL_3G289400 transcript:PAN19671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTTDAGDELESYSSLGLTVPYSQKDNAKFPKVLLLLSAYLDKIVKENEELLDSSEIKESTTIFHGQRVPELSIKLYAERIFKYAQCSPSCFVLGLIYMERYLQQPNIYMTSFSVHRLLITSVVVAAKFIDDSFFNNAYYGRVGGISTREMNRLELDLLFSLDFRLKVNLETFRSYCLQLEKESLTLVLERPIQVQATNGTKPLICNSSVDETCKHELVRERYSSQALQGCSW >PAN19935 pep chromosome:PHallii_v3.1:3:21735950:21736971:-1 gene:PAHAL_3G308400 transcript:PAN19935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGASKAGGRDGGRKAVLPVPAGNDDADNAGTARVARRAPLPVPEDAAAAANAPAAAAAAAAAGAGSRGGEEEDDAQVERFYALLDNIRAMRGTYGDGDGAGADGVETGGGGARKRLRASELPWRPAFRLEDFEEPSPTSSKRASRQEADAERPAVASAPPPPRRAGVRLDGGRKSI >PAN18826 pep chromosome:PHallii_v3.1:3:14882091:14883261:1 gene:PAHAL_3G228300 transcript:PAN18826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTSEKTMAPAAAPGLALGVAGGGPHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTNFPYPSSSMPPAATGGSRSGDSSTVESFGGDVQAPMQAMPLPPSLELDLFHRAAAAGAGAGAGVRFPFSSYPVTHPYYYFGQAAAAAAGCHMQFKLPATVTVAAVAQSDSDSSSVVDLSPPPAAAVSAKKASAFDLDLNCPPPAEAEA >PVH62219 pep chromosome:PHallii_v3.1:3:15535811:15537803:1 gene:PAHAL_3G239600 transcript:PVH62219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAASTGRQAASCVRADGPGAADRGRPGSTAPGCAHRPDRTAARRPATEGAHRADPPLLRPRARLAPRRGRCRRRRRRWLAEQEAKVAALRRSSHQRKPRSRRRVRASGAEMPTRVEKRCTAEDGFLWRKYGQKDIRNSKSTQGSTSGAPTSTTTAARPRGRCSNRRPTPPSTSSPTSASIPAARTPPLPP >PAN19535 pep chromosome:PHallii_v3.1:3:18813492:18817294:1 gene:PAHAL_3G280500 transcript:PAN19535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEERGGEEMDAVEKKAKKKDGFRTMPFILANDFCDRIANVGFSSNLISYLTLQLHLPLVEASNIITNFNGTANLTPLIGGLVADSWAGRFWTITSGSVMYQLGMVFLTLSAVVPSLSPPPCAKNAIGCQRASSSQIAVLYLSLLCTTTAPGLELDAHGRPRDAKPMWSFFNIYFFGIELAKLTAATAVVYVQENVGWGWGLGIPTLTMLAAVIAFVSGYSLYVRLPPGGSPLVRLAQVTAAAFNKRRAAVPDPSLLYQDKDLDAGISTIGCLLHTDQLKFLDKAAIVTAGDMLPSGEAKLWRLSTVHRVEELKSIMRMLPIWAATILLVTSGWHNGSFAIQQARTMDRDISPRFKIPPASMLIFTNVTMLLTLTVYDRALVRALRRHTGHPTGITHLQRTGVGMTLAMLANAVAAAVERRRRSVAAASGLLDAPKVTVPMSVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESLRSTAAALYWLTNSMGSYLGTLLVTIVHAKTRRSGQWLQDNLNRGKLDNYYWLVVALQVLNLVYYFLCVKYYHLQDIGDGRG >PAN22130 pep chromosome:PHallii_v3.1:3:64337875:64341802:1 gene:PAHAL_3G516100 transcript:PAN22130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLLHLSRLILLLSLAAAAPARLVPVQYLYPPFNLTYFHFIDTNGVFLLSPGANFSAAVYNAGATEASDSQSRFFFSVLHTTSRTPVWTATAAGSTILESVVLSLSATGLTLFDPSAAQPDPAWSTPRLRDPVAALRLLDTGELTLIDAHNATLWSSFDRPTDTLLHAQPLRLGLPLRSSASGNDLSPGAYRLVLTPNDALLQWATSSSPSSSAEAFLTYWALSSDPAAVQDSSHAVASMAVNASGIYLLADNGRDTVYRLLFPSPPASKGDSRVLKVDPSGRLRALTLTAGATVPTVWAAPANDCDLPLPCPSLSLCTPGGNGSTCMCPDAFSTYSTGGCAPADGTALPAIPADSCDAGAKKAARYSYVSLGAGIGYLPTKFALPDTSGDALPACRDLCSGNCSCLGFFYRNSSRSCFLLHNQIGSVFRASADAAVGFIKTLPSQHGKGSSSSLSLITIVFGIVLPTVAAVLISFLLYAMGAQWLRKRRPQHLKKTSGSWFKLPAMLSSSRAPSSAPSGSGDDLDEDVLIPGLPTRFTYGELDAATDGFKWQIGCGGFGSVFRGELPDRSTVAVKRMNGLGTQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGARQLLVYEYMNRGSLDQTLFRAGAAAPEWPARLRVCIGAARGLAYLHAGCDRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEIVRGRKNSKKQEEEHTSGGSSAGSASSSEYFPALALELHEQGRYGELVDPRLEGRADVAQVARVVRVALCCLHEDAALRPAMAVVSAMLDGSMDAGEPRAELLRYLRMYGRGLVDLRPAGWMAKGSDTTAGVSSSWSPPSCVSAQQLSGPR >PVH62156 pep chromosome:PHallii_v3.1:3:14278123:14278896:1 gene:PAHAL_3G219900 transcript:PVH62156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTKYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHKISAGWLKWWQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGRVQRKPPEASVCSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMERSAWRLAINVPEP >PAN15986 pep chromosome:PHallii_v3.1:3:1322344:1331937:-1 gene:PAHAL_3G024000 transcript:PAN15986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQQEQEQPPMDAARRRLRAVSAHLRPPATAAGRGLDANPTAGEYAHVQGYSVVLPEKLQTGKWNVYRSARSPLRLINRFPENPDIGTLHDNFVYAVETFRDCRYLGTRIREDGTVGDYKWMTYGETSTSRTAIGSGLIHYGIHEGARIGLYFINRPEWIIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEVIFCVPQTLSTLLSFITQMPCVRLIVVVGGEDVKIPPTPTNTGVQIITYRTLHNQGKMSPQPFQPPKPDDVATICYTSGTTGTPKGAVLSHENLIANVAGSSLGIRFYPSDVYISYLPLAHIYERANQVALLHNGVAIGFYQGDNLKLMDDLAALRPTVFASVPRLYNRIYAAITNAVKESGGLKERLFHTAYNAKRQAILNGRNPSPMWDKLVFNKIKARLGGRVRLMTSGASPLSSDVMEFLRICFGGEVLEGYGMTETSCVISTMDIGDKLIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICARGPIIFRGYYKDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSFNSSLVAIVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAQLREAESAKPKL >PVH61508 pep chromosome:PHallii_v3.1:3:3159548:3160078:-1 gene:PAHAL_3G049500 transcript:PVH61508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPQRQQLDQARPAGSACVWVVAVLLLLAVLAGGGCLVLYLTLPPAEIPHWLPAAGLALVALPWAFWIATCAYRCCCSSDTPAAAASNMERQASSRKAVAPAPSSTSLKGARSARHAADGRSPTASSAARRVRFGETTVLGEDRAAAGDKDDDGSSVHSNESEAAPLAYNMQPSS >PAN21196 pep chromosome:PHallii_v3.1:3:58667501:58669287:-1 gene:PAHAL_3G449600 transcript:PAN21196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAEAKAKATASGKDPRRPHGEPPPEAAEASSKRVEGGGDGASRSTAKREAEQAAAVEAGEGVTKPLPLRHERVPQPRDVYNEQLERL >PAN19877 pep chromosome:PHallii_v3.1:3:21200312:21201643:-1 gene:PAHAL_3G304700 transcript:PAN19877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLRFHHLLAVRVLLLAAAVVQECAAQGGASAGGDHAPLVSPLAKDPATSLYTISIKDGAGPLVVDLAGPLVWSACASGHPTFPCGSAECDAANCWHQQGRPWHQDYGAGAEVDGGDRFCACTARPCDPVTRDRCAPGDLTTFAMSANATDGRNALHPVAFQAVGACAPDQLLRSRSLPAGTEGVAGFGRAPLSLPAQLAARRGFGSRFALCLPGVAIFGDTPIYLGYYPPDLMTTIASTPLAASPKSGGYYLPVEAISVSWPNWNAPTARAALPPGALELDAATGRGGVTLSTVQRYTAMRSDVYRAFVRAFNETIGTPGYVKPMPAVAPFELCYDTFSLRRVRVLGWDVPSIRLELGAGASMNWTVASGNSMVQAADRTLCLAVVEMGPGAAHGAAVVIGGYQVEDNLLVFDEDKEVLHFSGLLWGSGATCSGFNFTAPQ >PAN20400 pep chromosome:PHallii_v3.1:3:49815852:49816899:1 gene:PAHAL_3G399900 transcript:PAN20400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVMASSATSVAPFQGLKSTAGVLPVSRRSSRSGFGKYVSNGGRIRCMQVWPTENNKKFETLSYLPPLSTEDLLKQIDYLIRNNWVPCLEFSKVGFVFRENATSPGYYDGRYWTMWKLPMFGCTDATQVYAELEEAKKAYADHYIRILGFDNVRQVQCVMFLAYKPPSSE >PVH63295 pep chromosome:PHallii_v3.1:3:64330759:64331865:1 gene:PAHAL_3G516000 transcript:PVH63295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSPQLLSKQGADGSISTPDSSALNKDNLDGEEQSLQVPAANPFEDSNDRINRLVAMHKSLSEANKASLLSQVKKRKRSTSAVDASNTVHISETDQLQQLTSSPTLISPVQNRSKRVGSKKSLVWKHFDTGLRGQDPIATCKYCRQVYACNRSTHGTSTLWHHLRFLC >PVH61896 pep chromosome:PHallii_v3.1:3:9716842:9717090:1 gene:PAHAL_3G150500 transcript:PVH61896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSTHTRPEFSSLECGTTPHSLFLELCHKTRNGVNTGHHTVVAGSSSW >PAN16177 pep chromosome:PHallii_v3.1:3:2689928:2691801:-1 gene:PAHAL_3G042700 transcript:PAN16177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPTTMASPFSTFSPTSAARALLPASTSRPLALTAAASSGRIPPSRKGLGFRRGRFTICNVAAPTAAEQEAKASSGKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVIGDPFNSDPDDPEVMGPEVRERVLQGDTSLPVTTAKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRDSYKEEQEKLQDQISSARSNLGAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALASLKGRDKVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >PVH61535 pep chromosome:PHallii_v3.1:3:3560454:3561467:-1 gene:PAHAL_3G056400 transcript:PVH61535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAAILFAGKLAGTSVANATISFWISKAFTCLTDYCKAEGLEDVKGRVLKSMKKVQVVFDVVDPECIKKQSSALDVWLWQFRDAVEEAEDVIDELNYYELREKAKDHKVSDWGSSSAKLKHKFVKSVKHVDVMDKTLKEFTHRGILKRLRKALEGLEKAATEIVAILTVTQQLKDIPSGSQKQLNLMNNDYDTGSTLTEPYFVGREEERQTIVRWLTRTPVEASEIVRSTHHVPILSVVGHGGMGKTTLAQYVCEEEVVVKNFKVIWVRVSTRFSATSVTSKILESVTGVKPCADGLEALQQQLKQELRSVNFSLFWMMFGKISKKRNGKVYLLP >PVH62188 pep chromosome:PHallii_v3.1:3:15042003:15043952:-1 gene:PAHAL_3G231700 transcript:PVH62188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALALAGGARRRLSAREEELLGLLAAFPDGAGECGSDRELSFSDLVEAGARPAMDADRAPAPRQDCGGAAPAAAVLSSPGRHEPAAAAASKQQRQQAARQRARRGSRGSCGGAGTACCSTSTCRGCSPGA >PVH61353 pep chromosome:PHallii_v3.1:3:909463:909879:-1 gene:PAHAL_3G016600 transcript:PVH61353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGAWVCSCHDELKIKCCRVVLLLVRIGVGYVVLPVTSMQLLPVAICLLHWISLHG >PVH63022 pep chromosome:PHallii_v3.1:3:59152135:59157854:1 gene:PAHAL_3G455500 transcript:PVH63022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIETLLQLAHRPPRRTPRHDDWEEVKEINSYAVFMGYLSLAIRGMGILVVTWTTVVLLGGFVSKLNSDDFWCITVITFMQAAGTTSDVFSQSDVTQTCDPLVYIIVLLPIFALYISGPYVPIWLAVWRMKHLDYYSNINGDDNKANLKVALDVLYALVIAQGVLIYYRTIYALAVGRIVKKVVTKYRFKKWASTSVWGYLKETSMGCSKDPSFTRRRNLITYAADLIKSESADRNLSGARILDTLIQLQKPSNSIELREREMLMGQHMLMKQLIIGSTDITMKLLHMLNSRCPYDKEMRKRAARIVAHLAGSIHLKQFPGGIDCIASLLGSFDEYRPLEPYQRGWLLDVYEQDWCPEAARLPPSVTSGLEEDGIAPIDGYKELVLQGLTMLWKLAAVEDNCMIMSSTGGLLSKVIGPLSSDLLHRIDHGDWPLSVVDGSLRVVRQFLMVPAGETSNRLRCQISRNEEAIRAMEVILRCDRCGPKLKKQTIRILTHLSMGTSRMSTTSKENFTQVLVHMFTNDGNSDGSIAELAGEALARLSRESWTGATNILKTEEGNALIGYLMNASPVTDMNNPLRRSAAEILEHLCVHCTMDDGYHEELKGWITHAVPQILQEILHRNWIPMAQEMELCVNAALLSLCLTACDRFELQLEAIGNSICDGTINFPLRLKTMVDGNNHGTPVCLMIVKLTARMVTSMIKDRRRYTESDRRRYTKSDDDMGRLIDSLSTASGIMLDLESSMVFAKGDHVTNTIRPDNSFVSLVKEARELLNQES >PAN20259 pep chromosome:PHallii_v3.1:3:49615542:49625444:-1 gene:PAHAL_3G398800 transcript:PAN20259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin [Source:Projected from Arabidopsis thaliana (AT1G13030) UniProtKB/Swiss-Prot;Acc:Q8RWK8] MARPPPEAPAPVRLRLVFENRRLLRRAERDEGLGRCWLLLRPELATVADLAAHVAARFRLRRSCPSGVTLSMDGFALPPFESTCIFRDEDIIRVKQKSCKKLLGHNDVHSIQDPEVLEKRALPVDDQILAFQYQKDDSKYQEEEEHGDCQPEENATVSHSTENNGTSSKRKWHDDVARVPEIKRKKLKVTNSGKHIDDGKGDNVHQCQDQSGSKKLMSSALDIETKKTLQPETAPLVEQQKTERNNQTEMKHETKVADCNAQGDTKKLESRSARRKKIKRQMRQKAKLQTEKNVHEDSPIAADCPSSSNQDGLPGPSSNQNGSPAPFSSYKTDEEESDTSEDEIVPVVVRPGHIRFEPAGGQPDKSPAKEMQGTFEWSGTMSKKKGQKWGMNSSNKKVANSMEANHHFMDSKIIENGFCAVSNQKDDESNNIDMSSVKIIEEKFNGEPLDFERLYPLTRLPKEGDLIAYRLVELSSSLCPELSSYRVGKVLIYDPMSLRIILLPVPEYPIITEENKPEDESDMFVDLSPYKEDGSLEIEYSSLLDVRLLKGIESVPGAVRTPSAETCEEGGSMAGKTVTLENNEGHIDCQKPGTVPNNTKDQEATLEKMKNTIWEENIEPSNDKTGVQENGWGTWKRNPSTSAWSYRALRSSALGPTMEMLRGKNNQRGKPPNRRNGK >PAN19888 pep chromosome:PHallii_v3.1:3:21317807:21320596:1 gene:PAHAL_3G305400 transcript:PAN19888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PVH61253 pep chromosome:PHallii_v3.1:3:8203:11073:1 gene:PAHAL_3G000300 transcript:PVH61253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEKRRDSRARMARLLPPTLQILRRRCASRSHFSTAPSPVSPPSKAVLYDEHGAPDQVLRVADVPPVHLGDRDVCVRMLAAPINPSDINRIEGVYPVRPPLPGAVGGYEGVGQVHALGPAVTAPLSPGDWVIPSPPSFGTWQTYIVKHESVWHKVRSDVPMEYAATVTVNPLTALRMLQDFVKLNPGDAIVQNGATSIVGQSVIQLAKVHGIHTINIIRDRPGSEEAKDKLKQLGADEVFMESQLDMKNVKNLLGALPEPALGFNCVGGNAASLLLKFLRQGGTMVTYGGMSKQPVTVPTSYFIFKDISLRGFWLQRWMNSDKAEDCRTMIDYLLGLVHEGKLKYEMELIPFGKLSLALEKALGKDGSQPKQVMRF >PAN17297 pep chromosome:PHallii_v3.1:3:7603888:7610702:-1 gene:PAHAL_3G119500 transcript:PAN17297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGAAAALPPWHALPDEVWEHAFSFLPTDSDRGAAAAACRSWLRAERRSRRRLAVANCYAASPQDAVDRFPSVRAVEVKGKPHFADFGLVPPGWGAAAAPWVAAAADGWPLLEEFSFKRMVVTDDCLEMIAASFRNFQVLRLVSCEGFSTAGLAAIAAGCRNLRELDLQENEIEDCSINWLSLFPESFTSLVTLNFSCLEGDVNIAVLERLVTRCRNLKTLKVNNSIPLDMLASLLRKAPQIVELGTGRFSADYHLNLFSKLEAAFAGCKSLRRLSGAWDAVPEYLPAFYSVCEGLTSLNLSYATVRGPELIKFISRCKNLQLLWVMDLIEDHGLAIVASSCNKLQELRVFPSSPFDAVEQVSLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIEPHTPDYITHQPLDAGFSAIVESCKGLRRLSVSGLLTDRVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCSLTLGACRQLARKMPRLSVEVMNDPRRACPLDSLTDESPVETLYVYRTIAGPRSDTPACVQIV >PVH62716 pep chromosome:PHallii_v3.1:3:41672417:41672836:-1 gene:PAHAL_3G376200 transcript:PVH62716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGHCSSPAHRFGDRSSPAASLPLTSSHPTAARPCLQAYGSLPTPDAIHPSPVGPVAACPAPQPLDLLLDEVDSWKEKLDLLLVRSTTGLEELEPQGSATKQTIRGAKAAGSRSGVKLELERRGAGSEQCQTHPTGDGD >PAN17814 pep chromosome:PHallii_v3.1:3:10075783:10080880:-1 gene:PAHAL_3G156500 transcript:PAN17814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVEILRAELSSRTPPFGLRLWIVIGISIWVLIFFILGFMCFWSIYRRKPKKSFDNIPVSQIPDVSKEIAVDEVREHAIVQNFHVPESHVLAVQEKHCEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYPQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGIVFRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRILVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEDFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGSRRAEEVVDPDMELKPATRALKRALLVALRCVDPDAEKRPTMGQAVRMLEAEDVPSREDRRSRRGHSSNADNESKASSSEFEISSDRRESGPSVRFQS >PAN19298 pep chromosome:PHallii_v3.1:3:17303042:17303770:-1 gene:PAHAL_3G261100 transcript:PAN19298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAGVWDRRGGTGTGSVRLELPRQQPPVAVDVGCNCRGARLLGSLLSALKSHAGGAGKAKSSPHASSSWSSSATTTTAFTPSVSATTATSASSAADHLHSWGPATYAVNASALYDDGDGVHDTDRARRQRRQRRRRRRRSSSRCRRAGQGRRAAAPGEEEEEAAVAVEVESAAPYEDFRDSMVAMVTEKEIYAWEDLNALLHQFLALNSPRHHPLILTAFADLWAPRGGLFCPRPRASSEG >PAN19907 pep chromosome:PHallii_v3.1:3:21502838:21506111:1 gene:PAHAL_3G306500 transcript:PAN19907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRILARSKALPLAAALTRAAADAAPALAGTRALSSLPRYPGAPCQHGLGKVLGFEPTSRLSEAHFFPRWFSSVASNGSPMQKSQIPETNKSGAELKQSSAQKSSEGATPKVVAFSPLDAAIAKPRSSPLTIESSKVRRSEIATQVTFYMIPALLLVSKNSISTSLLVSAVFHQVYMFHKEILLDYVHHDITRKWSLIYFKLLLLVMAKDTIMYFNLF >PAN19393 pep chromosome:PHallii_v3.1:3:18038974:18042058:1 gene:PAHAL_3G270900 transcript:PAN19393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGGSSEHFLRQLSSSDGGGALQQEWECGGGSRRGSRRWSRKKARARGHRRGGGFCRAEEAAAAGRKRVMVVVDQSSGAKHAMMWALTHVASKGDFLTLLHVLPPQSGSGGGGADASALANSLGALCKACKPEVEVEALVIQGPKLSTVLSQVKKLEASVLVLSQRKPSPFCCFMRSSSEAFVEECISRAECLTLAVRRQSKGVGGYLISTRWQKNFWLLA >PAN17056 pep chromosome:PHallii_v3.1:3:6667675:6670099:1 gene:PAHAL_3G104600 transcript:PAN17056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGPRKPSTPPLPAATSKDSAVMAALLLELAAADDVVAFRRAVEDDKVSALDAACQWYGPSAAAAAGPRLRLELRTPAMVAALYGSTAVLAYVLSAAPAEAARASPTDGATPLHLAAAGGAAGAVAAAHLLLAAGASADALAFSGLRAGDLLPRANAAAERDRALRVLLKSPAVSPSSSPKKSASPPPAPEPRKEYPPDLTLPDLKSGLFSTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGACRKGDNCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHKPEELRAVNPSAVSVGMQPAVSSPRSSPPNGLDMGMLNPAWPSSPASRLKTALAGRELDFDLELLALDQYQQKLFDKVSSPRASWGSAGGIGSQLPAAAPSRTVPDYTDLLGSVDPAMLSQLHALSLKQAGDMPAYNSMADTQLHMPTSPMVSANTAFGLDHSMAKAIMSSRASAFAKRSQSFIDRGGRAPATRSLMSQATTGAPSMLSDWGSPDGKLDWGVQGDELHKFRKSASFAFRGQSPAPVAAPTEPDVSWVNSLVKDGHAGDIFAQWPEQEQMVA >PVH61719 pep chromosome:PHallii_v3.1:3:6535424:6537051:-1 gene:PAHAL_3G102400 transcript:PVH61719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPAASPGRAEKPQLPAPAPPGLARLLLSKSRRGGRSRRAPATSPMFVSRGRSRAADGEPSSPKVTCIGQVRMRKGKKGAAAAAKAAAPEKGAKGYCRCLKKAFLCGGLFDFDSRKRRQKAPSPEVERARRSPWVFSSRDVAVAAVPKAADPRSGSQGEGHEDDEEEEMVVGVGVFGSIGREEGEKLGIGSGCGSEKEEEDGDDEREAQLVSSATTTPPKNALLLMRCRSAPQNRTSPLTSRFPAAPQAPVPVPVPVPSPSPTRDALAAVALEIAASPSPSPSPRKPERASPSPRKPSAEKAFADEDGVEKGRGGAAAAQEQDPHLIGAREEDDEEEEDDDEFEEEDEMRCSSARPLVLQRCKSEPATTAAAKMAAGAPGADATTAGCFWAHGGSSGRRRHAPSASGAPVALTGH >PAN19520 pep chromosome:PHallii_v3.1:3:18559292:18559624:-1 gene:PAHAL_3G277400 transcript:PAN19520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQSHHHDHGGNYGPVVGMLFFIAIVTAGSLALARYCVGSQALQRTGYDLDAYVQRKFAVCVGTGLRPVKKQACQPAAAEEVEAAAASEPSAEQEGEEGAGDPQDRNQ >PAN17433 pep chromosome:PHallii_v3.1:3:8464723:8468510:-1 gene:PAHAL_3G131100 transcript:PAN17433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVVGWLILKLGDALTNEAVEHAYSFFGVEGCALKGLFREMRDVKRELESIQAFLLAAERFRGTDEATAAFVRQIRSLAYEIDDVIAECSFRLGEEADGMFLFKAVRRIRQIKIWYRLAERLRDTKASLKDAAERRGRYELKGFERGTWVTGSGSSKWRCSGSMQFKKEEDLVGVKKEKDFLLEWVKEKSDQRNMIASVLGMGGIGKTTLAAHVYSVAKDDFDTCAWITVSQRYEVDDLLRQTVREFRKNDRRKDFPEDVDVTDYRSLPDIICSYLKNKRYILVLDDVWNVNVLFDSKDTFLGGNGRIIITSRLYEVARLAPESNIIHLQPLQERDAQYLFYKEAFWKCKDMICPHKVEHWAKLFVEKCNGLPIAIVCIGRLLSFRETSYMEWEKVYRDIEMRLCHNPIMDMNIILQVSLEDLPHNIRNCFLYCCLYPENYVMQRKSLVRLWVAEGFVENIGQGTLEEMAEDYLTELIHRCLLVVVKRNDSGCVCEVQMHDILRVLALSKAHEENFGSVYNPLKTDLIREARRVSTESGDIAQVAENAPHLRSLLIFQNSFTSASLRSLSSINKLLSVLNLQDSSIKQLPKEVFDLFNLRFLGLRRTNIASLPRSVGRLKNLLVLDAWKCKIMKLPAEVTKLRKLTHLIITAKPVLSSLQFEPSVGVPAPTNICSLMSLQTLLLMEASAEVVRCIGALVELRTFRISEVQGCHCKNLFEAISNMTHLTRLGIQAADEQEMVHLNALQPPPFLQKLFLLGALSKESLPDFFSSLGNLKNITFLRLVRSSLDKDTFSCLKGLQWLVKLQLYDAYSGNTMLFTAESFPNLRVLKIRGGPHLKEIKIERGAMMSLVDLKLLRCPQLKMLPDGIEHLITLEELTLDHTAEELVERVRWRNEMSISHVQRVYVGSIRNGELAFERII >PAN19943 pep chromosome:PHallii_v3.1:3:21903393:21904079:-1 gene:PAHAL_3G309400 transcript:PAN19943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHHTSPSASTTITMRWRDGACRPPAVQRTYGIIHTSILTPQQERHRPLPRIWDHQHDLPFVTSKDA >PAN17935 pep chromosome:PHallii_v3.1:3:10994735:10998181:-1 gene:PAHAL_3G166100 transcript:PAN17935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVRPQPHELVRQLQFPPGYHFVPTEAELVDVYLRGKIDGRELPLDIVNEVAVLDWQPGSLVEAYKGYGENKWYFFTVREPSSSNKEEEPNRKVRVPGVKATWKATGSLVPISVEGGERQQEEPGAVRRKEKVVVGTKRVLIYQSSDAEEDGKWSMHEYILKGHAKIGQYALCSIQRKQHSETVDANAGEGCSSSSRKRTRARNVDPEKTKKKRTINKAASTKRIARRKNKISARAEEEQQQEEVALVSSPVKPPFTPPQHQLQAPPQALQHEQKALAAYGAPVLTALPLQGYPAPSDGMLGDYAPMHEEDTRAGSFQRDDDMSRCLEIQNLLRSCSREEQLPCYTVNEVIADSHAQYQLYQQQDDCEFFALEDQYASQYLYQDSDLFPGDTTQNSSIWKHGQHQIYQQEVGGAFGTPDQQTNAQYYDQLPYELMCSGYLPAQAGGYCSSDQFIGSVQGDTAASGSDGDMGLAADDEFDGMSMLRDDDPEECVGAQDGSNPEALQSQDPRSWGQQQHTAHGHVCDGPPSRSISDFWA >PAN16723 pep chromosome:PHallii_v3.1:3:5285025:5288685:-1 gene:PAHAL_3G080200 transcript:PAN16723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGRAAALVLLVLCALRQEAAAQRYNAIWSFGDSISDTGNLCVGGCPSWLTTGQPPYGETFFGRPTGRCSDGRVIIDFLAEHFGLPLLPASKAGGDFKKGANMAIIGATTMSFDFFNSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGKDCKNYLSKSLFVVGEFGGNDYNAALFSGRTMAEVRGYVPRVVSKLIRGLETIIRAGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDGDGCLKSYNGLSSYHNALLKRSLASLQRTYPHARIMYADFYSQVTHMIRAPQNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACADPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPIQH >PVH63107 pep chromosome:PHallii_v3.1:3:60812381:60813241:-1 gene:PAHAL_3G475200 transcript:PVH63107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTEHPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLAIRKTLRYLCRIFEEHLTPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLTALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPVIGWGTLFGNTQAPPENPESSAAAVERDAAAQPLTNGNPEDGEQGSLTLSAPEEGLPRE >PAN19824 pep chromosome:PHallii_v3.1:3:20790268:20791153:1 gene:PAHAL_3G301600 transcript:PAN19824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNTNRSQSQPPPGYPRLDAEQQGGGGKKKKKGCCGPRRRAATAKRGEASFIEGCIAALCCCWLCEFCCD >PAN21343 pep chromosome:PHallii_v3.1:3:59532468:59533652:1 gene:PAHAL_3G457900 transcript:PAN21343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGKVTDECPVAVSVELLWKVVFTGDVSIFTKACVGLVDAVEVDGDGGPGSVTTMKLNPAVGDAKVFKTRLLSRDAAARVVKSELVVEGGELAGQMKSQVSEVKVVPAGEAACVVHMTVEYERVDGAPLPPADEAMIVQGYLSLIKKVEEYLVAHPGKFA >PAN20724 pep chromosome:PHallii_v3.1:3:52207182:52207664:-1 gene:PAHAL_3G406600 transcript:PAN20724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKIELLTSGIRAVLSCRLDISCSSPSEAAVAAQPTPAASAAVAAAAPAAATAAAAPAAATAAAAPAASSPPFPSPSPRSSPLEARHVRRTVSALGRLERTAPARRRARRGRGAQPSDGSSCCSSGEGGQAGCSGAGSCPGGGGSSGGGGCSACGGGRG >PAN17655 pep chromosome:PHallii_v3.1:3:9543994:9546160:1 gene:PAHAL_3G147200 transcript:PAN17655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRIPQEGSCDPIAPKIFHRAPSCDSLDVDYVSENCDVPVTKNRMDEQVSCEFHSERKRDPGKFKNQLTNQGTTRMVGCFPNPPFFKSPSDVSSWDSFFLDAIRVRSQLEPQQGGAPTDLQLLDRRQSLLSLVAPVIKNLHAPGLDEGKGLYFPFVSQGTKTARRGGGGGGGCRCNPPG >PVH62429 pep chromosome:PHallii_v3.1:3:19809583:19809714:1 gene:PAHAL_3G290900 transcript:PVH62429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGNREGSKLGRLRSAAKQQKGKLYIIKKCIAMLICGSPKYV >PAN15860 pep chromosome:PHallii_v3.1:3:893151:895169:-1 gene:PAHAL_3G016200 transcript:PAN15860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASVLNNPRLKALIEEERTKALTNELVAKLTHICWDKCVTGSIGSSFSRSEASCLSNCAKRFAEVKMMTMQRFTER >PAN21914 pep chromosome:PHallii_v3.1:3:62924522:62928894:1 gene:PAHAL_3G499500 transcript:PAN21914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGMERTACKRPRAALDGGTAAAAAWRTCRVARAAAGGKDRHSKVVTARGLRDRRVRLSVPTAIQFYDIQDRLGVDQPSKAIEWLIRAAAGAIDELPSLDCSFALPAGAASSPPAAGDDAEVSTSETSKSSVLSLANGPTGTAAAAAHQANHAYNGNAGAFAELLHCSANDSKPTQQQQQQPTLAYYAAQLPSSHAAPAMSFETMPQLAFLQEQPHPAVGFDRGTLQSNVTVAAPLWPPSQQACFLQRFAAAPIDAAGLPFFLGGGTAAPPVTVNAEPRLQLWDFKQERKT >PVH62508 pep chromosome:PHallii_v3.1:3:22235881:22239026:-1 gene:PAHAL_3G312000 transcript:PVH62508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEYQEEHVRSPRGVQLFTCRWLPASSSPKALVFLCHGYGADCSEFMRECGIKMATAGYGVFGIDYEGHGKSMGTRCYIQKFDNLVADCDQFFKSICEMEDYRNKSRFLYGESMGGAVALMLHRKDPTFWDGAVLVAPMCKISEKVKPHPFVVTLLTKVEEIIPKWKIVPTKDIIDSTFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSKDVEDSMSEVRMPFLVLHGEADTVTDPEVSRALYEGAASTDKTFKLYPGMWHGLTAGEPDENVELVFSDILAWLNQRSRHWEPEERVRTPPEPEKIAHVTSSNGTESWVPDSAHGQPQRGCSFLCRLGGQPNQQRCKM >PAN22122 pep chromosome:PHallii_v3.1:3:64390361:64391673:-1 gene:PAHAL_3G516800 transcript:PAN22122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNEQVDEIDRERLVRRWIAEGFICEEHGQSKQEVAENHFYELVNRSMLQPVGIGYDGKDRACQVHDMMLELIISKSVEDNFIAFMGHGQNDLANRHGLIRRLSVHYIDQEQASVLANEDLSHVRSLTVITSACLKKLPSLAEFQALRVLHFQGCRNVQEYDMNGIDKLFQLKYLSFRNT >PVH62112 pep chromosome:PHallii_v3.1:3:13471581:13476471:-1 gene:PAHAL_3G207300 transcript:PVH62112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIILPPEDADENHHQEEEEREELGHDDGERAPPEHPPKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLTDYVPDIPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQRIYFGPDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNSIFGIQNGYKGFYSSNYLPLTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYIERRLKENKHMVIVVAEGAGQDLIAKSIAKSEQQDASGNKLLLDIGLWLTHKIKEYFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHTFIPFYRVTSTRNKVKVTDRMWARLLSSTNQPSFLSQKDIDEASEADRHANRPPLPMGASHRVASSFEQSASTSSNGEI >PVH63149 pep chromosome:PHallii_v3.1:3:61381794:61384274:1 gene:PAHAL_3G480300 transcript:PVH63149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLQAAVLLVGVLLSLRTPPCSAATDTVSPGNGLAGGGRLVSSNSKFALGFFKMDSESLSSHYSSPNTYLGIWFNKVPKLTPLWSANGESPVVDPTPPELAISGDGNLAILDRATGSVVWSTRASTTTNDTVAVLLDDGNLVLRSASNSSDVFWQSFDHPTDTFFPGAKIGWDKVTGLNRRLVSRKNLLDQAPGLYTLGLDPSGVGHLAWNSTVEIQSTGEWNGHYFSLAPEMIGVAMPSFKFVNNDREVYITYTLRDEAAVVLTKLDVFGQGLVGMWMDDRQDWLIHYRQPLHPCDVYAVCGPFTVCDDGDAEAEPICGCMEGFSVSSPTDWEFRDRRDGCVRNTPLDCGTSSSDRISKQQTTDKFYAVHRVGLPHGAVKVQAATSGDGCSQVCLANCSCAAYSYGEGGCSVWHGKLYSVTQQQQQPDAAAAAAASSSSSGGNGDVLYIRLAAKDVPDVGRRKKKSGLSSIGVTIGASTAAFLGLLILGLMIWKTKGKTPDNDQGGIGIIAFRHADLQHATKNFSEKLGGGGFGSVFKGHLSGSFAVAVKRLDGARQGEKQFRAEVSSVGVIQHINLVKLVGFCCEGDKRLLVYEYMSSHSLDVHLFNANGRVLDWSLRYQIAIGVARGLAYLHTGCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSHAVTTMRGTIGYLAPEWISGAAVTSKVDVYSYGMVLFEIISGRRNSSQEYTKDGDYSSFFPLRAARKLLSGEVGSLVDANLRGDANLEEVERVCKVACWCIQDSEFDRPTMTEVVKFLEGLSELDMPPVPRLLSAITLGAPASAVHYYI >PAN18441 pep chromosome:PHallii_v3.1:3:13188070:13191345:1 gene:PAHAL_3G201800 transcript:PAN18441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGQPHAHEAGGGGGGAANHSNHHPAAHSPPPPALPAEVVPAYPPPESEDDETWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLATLAAHPSLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAHRVAHVLWAQQRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGSGAKIGAGSVVLIDVPARSTAVGNPARLIGGKKSEGEKDEDMPGESMDHTSFIRQWSDYTI >PVH61621 pep chromosome:PHallii_v3.1:3:5204259:5205465:-1 gene:PAHAL_3G078700 transcript:PVH61621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITRLAVYAADQTHSTFFKACRLAGFDPANVRSIPTREEDNFALDPARLLEAMQADVDAGLVPTYICCTVGTTSSNAVDPVGAVADVAHRFNAWVHIDAAYAGSACICPEFRHHIDGVERVDSISMSPHKWLMTCLDCTCLWVRDSHRLTNSLETTPEYLKNDVSDSGIVTDLKDMQVGTGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEGLVRADDRFEVVVPRNFALVCFRIRPHGAMAEEEVEEANRELMERLNKTGKAYLAHTVVGRKFVLRFAVGSSTQEERHVRSAWELIQKTTTEMMVEGEM >PVH61628 pep chromosome:PHallii_v3.1:3:5346220:5346525:1 gene:PAHAL_3G081200 transcript:PVH61628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRGYPRAAALLASLLALLALRWPPPSLAILAPPSLSSSRGSHPSLRLHCSPSHAAGDLLMLSLLLCAPRRPSLRVQDVALTGPRWDRGPLGAENRFPR >PVH61799 pep chromosome:PHallii_v3.1:3:8126534:8134386:-1 gene:PAHAL_3G125400 transcript:PVH61799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVMGAMGSLLPKLGELLKEEYGLQKGVRKKIQSLSQELEAVHAVLRRIGDVPPEQLDNLVRLWVRDVREASYDMEDIVDNFLVRVNEPTDTHMLRRLRKKVGGLFKKSKARRNISCLIQDITEKLEEVAARRRKYSLDSIIVAKPAATTTIDPRIMNLYKRATELVGIEGPRDELISLLSLGGDVDVPDKKMKIVSVVGFGGLGKTTLAKAVHDQLKSHFERSAFVPVGRNPDVKKVLRDILIDLDRGKYANSDLMVLDEKQLMDELKEFVKDKRCFIVIDDIWDKESWKLIRCALQDGHCGSRVVVTTRTYEVAAQADEAYKIQPLSRDNSENLLYARIADGEGKYFDSPSVEACDKILKKCGGVPLAIITIASLLASKPWEDWSEVYNSIGFGQGGNDDVDNTRKILSFSYYDLPSHLKPCLVYLSIFSEDQEIEKNSLIWKWIAEGFVVHEEQAAGIGLFELGERYFNELINRSMIQPVERDGEGYVDGCCVHDMVFDLVRSLSSQENFVTVLDGNDERQKLPGRLVARRLALQGIKEHRGDQLLANISVDKVRSFIASECSFSPSSRPYTPVLRVLDIDSGENVTGGTLDQLGSLLHLRYLRLALSYPHSNFELLRGVRYLKFLQTLDLTEFYIYELPEEVGLLTQLVCLRVGPGTRIPDGLIGKLTSLQELARWSPTYDDYDNAGRMQVVKELGMLRELRVLWAQIHVRDESTARAFLESLGNLHNIRMMHIEGLPPDCDMCMQSYLESLGNQHCIRMMFIERLPLYVVKSITSHEGFITCRHLQYLYLNCLVFPGLPKWINSSLAPNLSYLYVRVQAVKGQDMETLARLPELRHLTLILCDKTKLVYIKIPCTAQGVGYYFQKLRNLKIGGAPSWFDLRDCVSNGSVASAIMPSLESLKFKVSVRFLKDATLLSFGKLLGFESFGRTSLQSVTVIVNCEGARILDVEDVEDALERMAAVHPKRPNLRTTREQEEEMLSTYQEARMDVSRTPDFVLKAWKSADIVDSEHIRALRIPPDPEASSTKVLRLLYANRGKYLLTLSSNAILKLWKWGPNEKNPRGRPTTSVPPLLWQPKEGILMTNDTTEANTGAAAARIALSKDERYIISASCGKVSLFFVKTFKVLTTFMAPPPASTFLAFYPKDNNIIAIGMEDSSIQIYNVRTNEVQRVLMGHQKKVTGLTFSQSMNVLVSSGADAQLCVWSTDNWENKKSRYIRPPSNGSALVGDTMVQFHYDQTHLLVVHESQLAIYDGKLECLHSWSPRDALPSPISSAVYTSDGLLIYAGFRDGAIGIFEAESLRLRCRIAPSAYIPSSVSSGGEVVYPMAVSAYPWLNPNQIALGMSDGAVHVLEPLED >PVH62055 pep chromosome:PHallii_v3.1:3:12705589:12706470:1 gene:PAHAL_3G194100 transcript:PVH62055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAEEAALPRKRGRGAVAPPPGLPRTAEEGPASGLKRMRGTVTLSLGTPEEPAVPPSVGGAAAFSLEAEEEPAMRGAAQARGRAASEGGCRAIADMAVRLGVAPGVRDRALEVYRGLEEGKGKAHHYYTKGAGRSGDALYAACLYVACRSAGAPRTFKELAAATRGGAASRKDIGKLLTFIRKRFGDDAGGEAMAIGVVRAADYMERFGSLLGMGEEEVRVVQEAARRIQDQLDVRRNPDSTAAAIIYMAMQRRPGAGRSIRDVSTATGVADNTIKQAYRELYPHAQLLFG >PVH61914 pep chromosome:PHallii_v3.1:3:9971325:9971630:-1 gene:PAHAL_3G155000 transcript:PVH61914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRKGGLVSSFLPSSPPVLASSLPPLPRTVVWLPLPPSTSTADPAPDGRKYQQSTLSRFIYRPPSIPSPTSPPRRRALSCLFRPVLPPRLQARSRCGVGSGS >PVH62266 pep chromosome:PHallii_v3.1:3:16394722:16395859:-1 gene:PAHAL_3G252300 transcript:PVH62266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWSPDFHTFTDLLQSDGSQASPQDDPYSYPPSPYAPPPYAPPPGTRSGTEGPYPPLSYAPPPYAPPPYAPPPYGPYSPPPHAAPTVPSPVSVESQNEGAGATEPKHPKRLDWTIAEEKKLIYHSNDSITGNNQTGLSFWGQIAETFNSTAEPSRRRTAKQLKDHWNVYNREVTLFNGYYIQEERVRQSGADDAMVMEGAMARYENDPKVTTAFKLHHWWRAVRHEPKWAAKYGPGSGSDVSSKRTRLGVSDEYSSSGTEDTEENNETRPIGRDRAKAAKRKEKAKGKEKGKESSSSSAVASKAFAMKNM >PAN17792 pep chromosome:PHallii_v3.1:3:9989297:9990772:-1 gene:PAHAL_3G155300 transcript:PAN17792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRQATKPQQHEAEQDFDPKYEWQENAASFVLRLHLSGFRKEDFRVQVDSTGRLTVRGQRADGGKHSRFSKTFQLPAASNLDTIAGRFDAGVLTLTVPKKVVDDAKPKEDVNKAPPSPPQGQGKPKEDEAKKPQASHKEAAEMTTAKKPKDDTKPKEDATNKKKPPAPAAEQQVDAKRGKPEQEQPKAAAPPATVRKEEAKPEAEAAAPPPADKKQAAPRPPQADAERKAVDPESLAAVTAKRRAEEERAKAAAEEAERQRTRRGLRERVQEELEGLAGSEWAEGLVETVKKNKEVIATAVAAFSLGLVASRLFCRN >PAN16017 pep chromosome:PHallii_v3.1:3:1475262:1476314:1 gene:PAHAL_3G026500 transcript:PAN16017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTSSRDGGRCAHPSREGTGGSRSHGRRDTMHLRRANAVSPLSRRSPSELLSHATVLGILVHTSSEMVRSHGSHYFRLHPISTKLPPAPSVLYVRSCFWRCCLVGAAKAS >PVH61975 pep chromosome:PHallii_v3.1:3:11047130:11054779:-1 gene:PAHAL_3G167200 transcript:PVH61975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAARRERRHHRKAAAAAAAAAGVGGGAGGGGGAAAAAAARAAYGDVFGGPPRFAAPFGGAPLDYAEVFGGVAATCTIPYLDLPPAAAVGEDGGFFACRGKGDYGEIFGRFDFADFALPYEDLFGGPDPPEPEREPEITSPSSSSSRSSIIKESSHLEDEPPMLSQHYQNLDHHHHFNDHKFSPVSFSPETGTQQFVMSYNKTTERRPNDLIEMTTCTVEPSVDFVIDSRNLSHGPATNHVSRIDNGTMLNDDNDKNPSSASASAKSPESDFVVDQKQPSPSWTPISGSISVNENHKNSDSHSTRSTVTPDYAFLRVSDTDAQIQSIKVQPLLRQQPKLLNKKESAAKGGITPTSAAHTSSNSNMPHADKKSDANPTSASAAMKEAMDFAEARLKAAKELLERKGDNFKLRKKSCHHRSTRSTEIKAPVSVELDTSEQKLSVKKLSEEEKNPDDSLSDKHKSPSAVRFDHVDDNGSRVLPLKKPQQMMQCSTEYCQTSNKLEKLGMWKSGNDFFELTGDDQKCKTDEATREGDKCERINPITTAINDHSETELSAADSDLARYEKLWEVNDGRNLGVEHVNLREGKTAPVDKDRVSVILEPSMENMAHRKTHNSISEGLVTQEIAKESHDTDEFLDLPSTSDASTKLDIIKDMPGSLPEACSSGNASDFRGLGNSIPKVSPVAGTSQEDTNSKVEEVPCNDGMPCTSGSDEKLQEPSDVSNVATSQGSNIKSLILEELKESDACDTFLRPRSSKIEQEAETYGREKFSFIGGSLLHNKGAKINEVLPEEVKIEEEVSPCTHPEEIVVDLDAECPEDENDIILQNDSFADREEPNMLNVFEVASNLIKRELDQEMHGSFGHGEAEKVEEGMDGLVSHVNDKEADETPLANSDRMGSEEGSAPCNQEDQKSPESTNRGRNDLDAKCDTTCDEVGSESFPGDEVILKAASYSATRTTINLKEEPASSSEMYTRKQHSVQNDNSTMSQTSCSVPDFGETGEISNRRERELPTQRSTSEEKSRASKFEEKDTTARISKAEHGPSPLETLHDLTKSAEGPLPVSAETWKTDALGVQRAKERENITRADNTTEKDNGSSRKTQETKESERRLQKERELAEEKERRKLEEEERERERKKDRLAVERATREAHERAFAEAREKAEKMAQERITAARQRASAEAREKEERASAEAAVERATREARIKAERAAVERATTEARERAIEKAKAEKALAEARERRERYRSSFKEGFKSTNQDIRQESQFQRATSSNFSRNPDSGNRVVEVESALRHKARLERHQRTAERVTKALAEKNMRDVLAQREQAEKHRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAVAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >PVH63253 pep chromosome:PHallii_v3.1:3:63523464:63525073:1 gene:PAHAL_3G505600 transcript:PVH63253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNAAAGSSTPSLPPGPSKLPLIGSMHHLATGGELPHRALARLAREHGPMMHLQTGQVGLVVVSSREVAREVMKVQDANFAHRPELTGPKALLYGCADVAFASGPHWRRLRKMCVVELLSASRVRSFAPVRREETRALLGRIAGQPPGTAVDLRPMLEALSSAVVSRTVLGETFEHRGAILKEGLKLTSAFSLSDHFPSLSFLDVPMRLRLRRVHRQVDELLEDIIGERKKLRQQEKLKLKDTAENMLDVLLNAMEQPDMEVRITQDNVKAVIMDMFVGGTETSMTTIEWALAELMKNPKEMAKVQDEVRTKMKVGETNLGDNNVGQLSYLKLVVKETLRLHMPAPLLVPRVCKERCRLGGYTIPAGSRVVINAWAMGRDPRYWEDPEAFRPGRFLDRDVDYKGTSSFEFLPFGAGRRICPGVEFGLAGIELCLAQLLFYFNWKLPGAMAPEDLDMTETSVGVSVVRKEPLRLIPIIHGPLELKC >PAN20333 pep chromosome:PHallii_v3.1:3:38491017:38503515:1 gene:PAHAL_3G367400 transcript:PAN20333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASADGSRGRWALRFLCPKRSLLSPPSASPLRWLIGAPRVLPPFTVAATLRPLHGDHAAPDLPREADEIRGLLPRGFDIVGALLVGGEGSDADASRALELARSLRERLLGAVASHGMVGGCMDAASGEIRFVASESGGTDAVEGVEVVWEDEPGRLLWEKGCLLRSELPLKLPLYVPADEISGIEERFSSLLDSTVAKLRDPHVSYLIEGPVASNESRHSIILHGDDLSFDSHVPGNSRTKECTTSSVSCSGFFPEKRYNLSLTRENADAIEITVLSNRSVGSLKPGTTPVVEYFPAPAPASLRVINLKLDILCYSPIDFPVPVAVSELVIPGLADQLSVMKKIVASEITQQAQLCPYHFIPPGLHIPVTTIYDTRYGEIEEKQSELRKELHIRLGLPLDRPLLRISNALTFGGMEKKMKSTSRNGSSLLRDVHREIPSSGVSGGIMSLIDGSYEYYHYLHGGIDDNGWGCAYRSLQTIVSWYRLQQYSSIDVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKIINVRSGDELPEKCRELARHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGTDDLKKIVSGGWCGWKKSVDSKGRSFFLKDKFYNLLLPQRPNMV >PVH61857 pep chromosome:PHallii_v3.1:3:9112846:9114445:-1 gene:PAHAL_3G141800 transcript:PVH61857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVVTKSSPVLVGPSTAPAAAGQYINLSSFDRALAFFPVTSFHVFDRAIPRPAETVRAALSRALVHYFPVAGRVTVGGEGGGRLRIACTGEGVAFVAASADHPLADVGLLDPPSGAPLLDDLAVGLGAEGFRPSDPLLLVQVTEFACGGFVVAVTRNHAVADGTGFAQFMRAVGELARGLPRPSVLPVSCGDDSLPELPPLVAAMEKALVVLEPRDFAYLDITIPSRCVDRIKAGFTGHAAAAPADGPCTVFEAVMAVLWQCRTRAVMPEDPSTPAPLIFAANVRKHAGAKHGYYGNCITSAVAVPTSGEVANGDINDVVGLIKRAKQPIPHQFKRNSSVAAVTAGDEEEGPGGKHKQEEGGGLSAEQVDVMFGYNAFDVTSWRNLGADAVDFGGGRPARVMCRMDRMPVPHCVACLPCSRKDGANVLARCVREEHVDAFLGELAKFTG >PVH62073 pep chromosome:PHallii_v3.1:3:13006755:13007148:1 gene:PAHAL_3G198900 transcript:PVH62073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALYASVSASVTLPCARIGSVPPLPATIRWRAAHGSSRRKATVLEMDKWRSAIGWRGTRGPAPRRDASSDSAGGQATSALARMQRVGRCALEGEKGSGGGDLVGGTTPGYPAEGSR >PAN19682 pep chromosome:PHallii_v3.1:3:19679471:19684700:1 gene:PAHAL_3G290100 transcript:PAN19682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHLSPPHRPSLAAGDAKKQTHLGADPSRRTSSSCFGGGGGGGGDHSSPKKPGPSAAKLALASFLGVIVLLAADASLAGAGAHRRLRRQYLRYVGSAGGGGSAGSSSSAWLSVPDRTNFTDDLLARWLAPGGSPCRDARTANISVPVLDGAAARGEVAELGAGEIHEFTFWALDDAGRRRCLGGDYFEVDLSGAAWKSRPPVMDRGDGSYSVRLQVAPRFAAGEFRLTVVLLFRSFEGLKFSSARFKYRAELRRIPLLFRPDSNVSLPALEVCRAADFARDAWSGRWTRLAKNDDCEDVDAAGRYRCLEPDHPCEAPWCDGPLGALESNGWVYSAHCSFRLFAVDAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTDTSVVTRRFDAVFTNPSGGPGTLRITSIFNGHWNMSMNYLGLHSLRNRGFRQLIRSYFMSGDRVPDVVILNSGLHDGCYWTSVRAYAQGAEFAAQFWSDVMAKVRARGHAVPRVFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKMRRHGVLTGGVIDNFDMTFPWHYDNRCNDGVHYGRAPARLVWRDGKIGHQYFVDLMLGHVLLNAICNG >PVH62797 pep chromosome:PHallii_v3.1:3:51120589:51124867:-1 gene:PAHAL_3G403300 transcript:PVH62797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MILNAPLHLHRPCSPASRQPKPSVSSPTLIALPRGLLSRRACAHSSLRHVASPAEEEVPGDGKGGEEDENLGPASAAAVAAAIRRASNASPVRFRRMRLGETGEVPRGEDGGLAEPSADFRRLCAEQLEMFRVVVSRDAVLSVYVRPAGSYIMDQLELRRVALYPGINNVPERDTVVLVGNFSISAGLRAAEASLVKQQMEVITEFGAIVLPMVKHPFVVGFLVAELPELHGGRAINPHTADIQLPSSAFMDKSSEITAHTKFKAWDVQTSGDQSNNYSQLVNEWKNTAFMISRTLAMAYVMDQKAYMLQQTSWQNNIRMSGLVEQIRGPLSNIRALAKMLSVHLKRTEIPYDIIEDILIQGDHLKDALQQIQDAVYLTKANIVRSSEESSKKILGSPHPSRALSDYGSLHGNDSQEVDPVLALNSDEDDMVMPMPPLLLAPLQHQDARQTM >PAN20393 pep chromosome:PHallii_v3.1:3:51118074:51125010:-1 gene:PAHAL_3G403300 transcript:PAN20393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MILNAPLHLHRPCSPASRQPKPSVSSPTLIALPRGLLSRRACAHSSLRHVASPAEEEVPGDGKGGEEDENLGPASAAAVAAAIRRASNASPVRFRRMRLGETGEVPRGEDGGLAEPSADFRRLCAEQLEMFRVVVSRDAVLSVYVRPAGSYIMDQLELRRVALYPGINNVPERDTVVLVGNFSISAGLRAAEASLVKQQMEVITEFGAIVLPMVKHPFVVGFLVAELPELHGGRAINPHTADIQLPSSAFMDKSSEITAHTKFKAWDVQTSGDQSNNYSQLVNEWKNTAFMISRTLAMAYVMDQKAYMLQQTSWQNNIRMSGLVEQIRGPLSNIRALAKMLSVHLKRTEIPYDIIEDILIQGDHLKDALQQIQDAVYLTKANIVRSSEESSKKILGSPHPSRALSDYGSLHGNDSQEVDPVLALNSDEDDMVMPMPPLLLAPLQHQDARPCDLCDVLKDLVSGALPLAYKQQRTLDITGISNPLHVAVEESALRQAFSNLIEGALLRTQHGGRVQIYAGEAPAGGTLVVIDDDGPDMQYMTQMRSLAPFGSDLLADDMLEDNMTWNFIAGFTVAREILENYGCVLRVISPRRPDAVIGTGGSRIEIWLPSFQTEVADI >PAN17173 pep chromosome:PHallii_v3.1:3:7081016:7082167:-1 gene:PAHAL_3G110700 transcript:PAN17173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAWMSAQAGLALLLFRRRHAIHDRPLGQRVPGKHSTGDGMLEDPNPIPNRSKEFSELLDHPPTALVPPVQTSKRDRTWRHR >PAN20673 pep chromosome:PHallii_v3.1:3:39550271:39553308:-1 gene:PAHAL_3G369900 transcript:PAN20673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSNGRRRWACRAARRGGKGGAGCGHFHVHYHVPRQVWAFSPLRLPARFSLLPYLLILPVLFFAVLAFLVCFGWFTLVYFVSSLWSKGNDHRRTECGSDAGEPPGEDQREERAVKRVTEQAGDSGPSEVCVGTHEIKEVFEDGFSEESRHMSMIASPGICIDDKENADEEKIVTEVMMFETNKEELKAFAELDGCSEKHQQVMEPPFDCFLEDLNTREFSTSLYTAKLLDVPYGDEFVADRKELSVLSSLEILEFIDKHDTAEIVVNGAESDFEIPEVSSSDDSSHHGLGDEQRKEIKQEQSTTELSVNCVINRLPEGILDKWQETENLAIQHKKKLAEDDSMEEVRQQTVSISDQESQCEMAWLPLDSACENESENVAASNTPFHQAINGQDGEFKEELIKNKNVESSTSASAVCDFAHKHWRIIEQLDGFESEDKNEDRDGNCTSTGASRRLPLIRRSPTQWWNLCGVLDAFAGGED >PAN20672 pep chromosome:PHallii_v3.1:3:39549105:39553308:-1 gene:PAHAL_3G369900 transcript:PAN20672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSNGRRRWACRAARRGGKGGAGCGHFHVHYHVPRQVWAFSPLRLPARFSLLPYLLILPVLFFAVLAFLVCFGWFTLVYFVSSLWSKGNDHRRTECGSDAGEPPGEDQREERAVKRVTEQAGDSGPSEVCVGTHEIKEVFEDGFSEESRHMSMIASPGICIDDKENADEEKIVTEVMMFETNKEELKAFAELDGCSEKHQQVMEPPFDCFLEDLNTREFSTSLYTAKLLDVPYGDEFVADRKELSVLSSLEILEFIDKHDTAEIVVNGAESDFEIPEVSSSDDSSHHGLGDEQRKEIKQEQSTTELSVNCVINRLPEGILDKWQETENLAIQHKKKLAEDDSMEEVRQQTVSISDQESQCEMAWLPLDSACENESENVAASNTPFHQAINGQDGEFKEELIKNKNVESSTSASAVCDFAHKHWRIIEQLDGFESEDKNEDRDGNCTSTGASRRLPLIRRSPTQWWNLCGVLDAFAGGED >PAN15987 pep chromosome:PHallii_v3.1:3:1332434:1335630:1 gene:PAHAL_3G024100 transcript:PAN15987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADLLRREEEFYSSLFDSDKGDGVRSRSQLIERKIEALEDMATKVSNRRSRRWMNDRLLIELVPRLHVEEIKGLFAPPPWGEELPLSAFCRTSVGEWDAFRSIDMDAEARLMQHIKISSEKPRTHVDEDESIALNAWRRIDRQTRESIKRNFLPNLLEIYEERVRAFIEDTSDKDVLVLNVQDPFQRLLLHGVCEFYNVTSTTTSSVRDGKPWKTTTIKKRPGTGIPSRITLVSFLRMKKTGSQH >PAN15938 pep chromosome:PHallii_v3.1:3:1173255:1176378:-1 gene:PAHAL_3G021500 transcript:PAN15938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYPPPGSGYPYGPGAGGAGGYGAPPPYGSSPAPSAPPYGDKPPKEGKTSSSSSAPPYYGAPPSSQHYGAGGGGYGAPYGAPPPSSAPPYGAPPHSSAPYGAPPPAYGAPGGSGGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRAIFERFDRDRSGKIDSSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKSRAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFTYEAFMLTVLPFLIA >PAN19436 pep chromosome:PHallii_v3.1:3:18242612:18246682:-1 gene:PAHAL_3G273300 transcript:PAN19436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIRQADPSASCAESPYIHKLGPPGSVFPAQRFASGKELLHHLPQPYKAEGYRQSDFNRTAAHVFQNSFYNTDSHVESHFNEATCSPAISNVSQQNSQSLSDNQTSDLEVEFDEDEMRLKLQELEHALLDDGDEIFSDLSGIIDDEWNDNMKNNDWTNTMKNIMSPDSPKESSPESSLCCPDSNNGETRGPKQLLFDCAEAISEYSVDEAQSIIAELRQKVAIQGDPSQRIAAYLVEGLAATIQSSGKGIYRALRCKEAPTLYQLSAMQILFEICPCFRLGFMAANYAILEACKGEEVVHIIDFGINQGSQYITLIQFLRNNSNKPRLLRITGVDDPESVHMAVGGLKVVGQRLEKLAEDCEVPFEFRAVASNIEDVTPGMLDCRPGEALIVNFAFLLHHLPDESVSMVNERDQLLRMVKGLRPKLVTLVEQDANTNTTPFLARFREVYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGSDRVERYEVAGKWRARMAMAGFVPSPFNSNVINGIKSLLKSYCDRYRFEKVHDGLHFGWGDKTLVVSSAWQ >PVH62004 pep chromosome:PHallii_v3.1:3:11622039:11622611:-1 gene:PAHAL_3G176400 transcript:PVH62004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGQMGKEQQREAAQCRGGNSAVAAPPRRGRWFDEEPPTTTAAAAAGRAGWRCRSCAAVAIADCVALGCCPCAVVSLLGLALVKAPLVVGRRCVGRLRRRRRFLLHKKRVRDVAAASAAAAATTIIAAACDIRDKGAKAETLPPPGAAAVAATAPAPGQAEAAELAWLDEMYRVGHWGFGRVSFSGKTP >PAN21652 pep chromosome:PHallii_v3.1:3:62308813:62315432:1 gene:PAHAL_3G490900 transcript:PAN21652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLHLHLRRRGRGSPTTPVPPLPLLLAARLLSSSSSAPSPAAAAAAAAGAGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRGALHVRAADEAVRIGPPPARESYLNAKAIIDAAHRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQRPDEFVDSVLSAQREAAASFGVNTLLIEKYITQPRHVEVQVFGDQHGNVIYLYERDCSLQRRHQKIIEEAPAPNVTAEFRSHIGGAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSASTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRSAALVKLKNCLSNFQIAGLPTNVGFLQELASHSAFEKGIVDTHFIERYKDDLLSTSTKASGESDGVAELGAILAAACICKKDHMTSKESIRADKTLSVWYNNMPFRMHHFARQPLELELEEHDGFSEKLLKLFVTYKCDGSYFIETEEGTSGFDVKVDHKGDHDFRVDAAGLQNDVTLAYYSKDNSKHIHVWHGKHHHHYRQTLRAEHVADDSSQPSHASEGKSHPKGSVLAPMAGLVVKVLLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFTIKDNSAN >PVH61475 pep chromosome:PHallii_v3.1:3:2670187:2672502:1 gene:PAHAL_3G042200 transcript:PVH61475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSFKTVKQLGRLEVFLNAQCVMVSPDSPQKQVRFLTLSGHKKLWTPQPRLTTEFFSVLDAQMIPTGCIPEACTPVGAAKYGRPIGLDEKIKVDLIVIAYVAVDPASGARLG >PAN18780 pep chromosome:PHallii_v3.1:3:14649749:14651733:-1 gene:PAHAL_3G225100 transcript:PAN18780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELRTGGGGGGLRAHSSVCFSCALIDGPRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPNQRLTSWLLRALVARACRLCGPAGATQAAPAPRERAMSVTELAEYVDLTPWHRFGFTASNGAILRAVAGSAAVHVVDLSVTRCMQWPTLIDMMSKRPGGPPALRITVPSARPAVPPLLGVPDEELGVRLANFAKSKGVQLEFNVVSKGPSTSPTSSTKNKATLCQDLASVLSDPPSLALRDGEALVVNCQSWIRHVAPGSRDAFLDAVRALNPCLVTVTDEDADLDSPSLASRIEGCFNFHWILFDALDTSAPRDSPRRVEHEAAVGRKIESVVGADDADGAERSESGARLAERMQRNGFAGVAFDEEAAGEVRRLLSEHATGWGVKREEDMLVLTWKGHGAVYTGAWAPS >PVH62467 pep chromosome:PHallii_v3.1:3:20712314:20713118:-1 gene:PAHAL_3G301100 transcript:PVH62467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLGSSPMPTARATLLRKARFARFSSPTLREQGLLARSCARAASLSLNRRRRDELRHHQIDLRRHKIKLHRLKIELRRRRIDHRRHKFDGRRLQSASTSRCTSGGGCCPWAAAGYARQEHDEVEKGTGGEARPRARG >PVH62267 pep chromosome:PHallii_v3.1:3:16522321:16527680:-1 gene:PAHAL_3G252700 transcript:PVH62267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLASLARALGRSARSSRPRQLGGLGGARSPPAPPLPPPPVHGGEGGGLGFVRGYLTAASAAGLARPAAGKAVDWRYLLSSPQFRRLFSNESKKNYENYYPKGKKEVPKGDGSKKSESKQESNTDEGWNFQESAMKNLQNFLAPLLILGLMLSSMSSSTSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYIRTSPQPKSQGQNSDTHISTTDVPVKPAPSRCKYYFNIGSVDSFEEKLEEAQESMGIDPHDFVPVTYVAEVNWFQEVMRFAPTAFLVGLIYLMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEQTQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLEKEVLHQDDLTRVLGERPFKAAEPTNYDLFKQGFQDDDDKSQAPAKNAELPDVDASPSLGEVVPT >PVH62867 pep chromosome:PHallii_v3.1:3:54546707:54548026:1 gene:PAHAL_3G418800 transcript:PVH62867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASHYFSPGMTSLNIDTWTAAVQVVPEEMLGRMLEAGCKLEKKNSCKLLCLFCF >PVH62247 pep chromosome:PHallii_v3.1:3:16089195:16091378:1 gene:PAHAL_3G248200 transcript:PVH62247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCFGGGKGKEDGEAETTEAAAPPSNMTPPPAVQAPDAYSAAPAAALAAAASPKPGGANNADQSSADEASLRQAITAQAFAFRELAAATDHFTPYNLVGEGGFFRVYKGKLEKSEQTVAIKQLDKHGFQDNKAFLTGVAMLSQLHHENLVDIVGYCADGDQRLLVYESVPAGTLENHLFADLSDGKKPMDWCTRMKVAHGAAQGLEYLHDKASPPVVYGEFKASHILLDENLTPKLSDFGLAQLGQAGGNMPVASPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAVDTSKPVAEQNVVTWAMPMFKDQKRYHELVDPLIKTEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSMPPDPPAPAAPPAAAEPKKEKGLDHSDSSSESSDDEGDEEEEDEEEEAEEQ >PAN19083 pep chromosome:PHallii_v3.1:3:16089195:16091379:1 gene:PAHAL_3G248200 transcript:PAN19083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCFGGGKGKEDGEAETTEAAAPPSNMTPPPAVQAPDAYSAAPAAALAAAASPKPGGANNADQSSADEASLRQAITAQAFAFRELAAATDHFTPYNLVGEGGFFRVYKGKLEKSEQTVAIKQLDKHGFQDNKAFLTGVAMLSQLHHENLVDIVGYCADGDQRLLVYESVPAGTLENHLFDLSDGKKPMDWCTRMKVAHGAAQGLEYLHDKASPPVVYGEFKASHILLDENLTPKLSDFGLAQLGQAGGNMPVASPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAVDTSKPVAEQNVVTWAMPMFKDQKRYHELVDPLIKTEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSMPPDPPAPAAPPAAAEPKKEKGLDHSDSSSESSDDEGDEEEEDEEEEAEEQ >PVH62999 pep chromosome:PHallii_v3.1:3:58628517:58630008:1 gene:PAHAL_3G449200 transcript:PVH62999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSSYWSEYVDQYWKAQRLEKEKTRLSNEKRDLERRLAEKTRSAQASSTQVSALEHKVRELERQNTGLSGDLAKQREDTKKAGLLFMDAADRYEQVARKQIMAGAAELENARKASLLLMDAADMYQDAAKKQTRAKEEELEDARRAVALLMSAADAYQQEAKKQIKEKVEELKILGAQKAEMDARAAALESELDAALSKNQELEVDRDKVKVENGGLRSEVERLMMELGALVEVREAAAKAFDDEKTEIMKELGDLKTKVEEIQASKDLMKGENDKLQSEV >PVH63170 pep chromosome:PHallii_v3.1:3:61770281:61771060:1 gene:PAHAL_3G485700 transcript:PVH63170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWANVGIDIPPSASVSGLWELARPEHLPAKFFHTYLLLCSWQIWKHRNEVIFRGAEPSLLRLLLACKEEARLWRCRLPRSDQGISEAWCHSFCSNM >PAN21949 pep chromosome:PHallii_v3.1:3:63142021:63143053:-1 gene:PAHAL_3G501800 transcript:PAN21949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPAPTSLLPFGRLAPGVLSGSATRARQATGTHLQRIDGYSLVDGAVATGTAVRSSRFLVGGHQWELLYYPNGVNYLHRGFVSVDLALAGCGEPTATATASYRVTILDYAGNAVHSRIVGPRAFDRRASTWTGVEELVATEELAKTAPFLIKDDRLNVRCDVAVLVVETKTRNKWFMQLDRAINGFR >PAN17853 pep chromosome:PHallii_v3.1:3:10233548:10238079:-1 gene:PAHAL_3G159300 transcript:PAN17853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MEPADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPEMDWDLRLACLLLYAFDIEDNFWQLYGDFLPGPNECTSLLLAPKEDLMELEDEDLASEMLKHQQRAIDFWQKHWDKAVPLKLKRLARDHERFLWALSIVQSRSVNMKMRMGAFIQDANILAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSGVNSKFMERYGFSSPTNPWELINFSSPAKIHMDSFLSVFNIAGLHDELYHNSALPSVETNFVDGAVVAAARALPTWSDGDVPAVPSVERKSAQVLQEECRQMLDSFSTTIEQDQQILDSDVHISKTREIAIKYRLHRKMLLQKIIDSLDIYQDRILF >PAN17849 pep chromosome:PHallii_v3.1:3:10233548:10238201:-1 gene:PAHAL_3G159300 transcript:PAN17849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MATPAASALLLPSLLPPPSLRFPPRHVAAPGVRRLAQPLRAGRPRLQPPAPPPVEEVAEEQDATPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPEMDWDLRLACLLLYAFDIEDNFWQLYGDFLPGPNECTSLLLAPKEDLMELEDEDLASEMLKHQQRAIDFWQKHWDKAVPLKLKRLARDHERFLWALSIVQSRSVNMKMRMGAFIQDANILAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSGVNSKFMERYGFSSPTNPWELINFSSPAKIHMDSFLSVFNIAGLHDELYHNSALPSVETNFVDGAVVAAARALPTWSDGDVPAVPSVERKSAQVLQEECRQMLDSFSTTIEQDQQILDSDVHISKTREIAIKYRLHRKMLLQKIIDSLDIYQDRILF >PAN18161 pep chromosome:PHallii_v3.1:3:11976798:11978511:-1 gene:PAHAL_3G182700 transcript:PAN18161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVREMAMAAAAAAAVGGGGGKLPPPNPNLPYREDCWSDGETAALVSAWGSRYVELNRGNLRQKQWQEVADAVNSRRGASARRRPPRTDVQCKNRVDTLKKKYKAERARNAPSGWSFFHELDRLVGPTLSASASKRPSPSQAPQFALPFPPPALRNHQSSSPSPPPPMALPLPDYHRGSPLPAAALIQKAAAAAAAAVSDLEDSDDDGGINNHNSQRSPSHSVSSLSGNGNNKKHSRDEAGSGGDKGFKELARAIEAFAEMYERVENAKQKHELEMERQRIEFLKQLEVKRMENFVDAHVKLARAKRPKKTTGGAADGAGAMELVATVASMPFVSTSTFL >PVH61255 pep chromosome:PHallii_v3.1:3:31666:36734:-1 gene:PAHAL_3G000700 transcript:PVH61255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMASDSPLEAFLAGARGAIAHFHLPIIHIPGSNSSPNPNPKQPQQEQDPEPECLLHLHVVVTNFLHKPLRSLARCFRGDDTKRRPKPRRRGGKHSRPLRDRERSAAAGPQQQLELLLCIAFDAFSHNLLVLEDACKQKGAEFGIATQQFHQFQLLRKVIEGKRANFDGFLSNLGFAKVGAPPPPADIMGASPAPAPISDQEGARGGIEDREEEDNASATPQSVQKLPARLLSIPLSNVERLRSTLSAVSLTELIELVPQLVSRSSTSADGHPDKKKLFSVQDFFRYAEIEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYARDFLRRTRSNFFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKSAGLPANEDNAAAMLRYLNADSEGSISYGHFRNFMLLLPSERLEDDPRSIWFEAATVVAVPPPVEISTGSVLKSALAGGLASALSTSLLHPIDSMKTRVQSSTLSFPELILKLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLTNVAPALPEIQVQSMASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMRQDGPMGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVAKRDLEPWEIIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQMIVFSILGSEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIKDECESRGSTQEKKGMVGSRD >PAN16874 pep chromosome:PHallii_v3.1:3:5948826:5949903:-1 gene:PAHAL_3G092900 transcript:PAN16874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVHLLLLLAATAPQLCSAVDPVSTYCAKNFTGAQTQSSISQVLAALVPRASAAYYATATAGSGGSAVWGLAQCRGDVPASDCALCVAAAAGHLASACRGQADARVWYDYCFLRYDGANFIGLPDTGYTLVLINTMNASGDPYEFDLAEGKLMARVASAAGGAASGGLARETAKLDSATTIYGLGWCTRDITAADCGLCVAQAVAELPSYCRFRRGCRVLYSSCMARYETYPFFFPVSGAAAASSHDGEYEKVILNP >PVH61773 pep chromosome:PHallii_v3.1:3:7594300:7599556:-1 gene:PAHAL_3G119400 transcript:PVH61773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSELKQLPRVGEPSSSSCPLDQDDEEHEQAIVTRTLASLNQANGGKMPHQKEKQQSNNRPSSRRSYPKSNPSFYRSHLPNQAYPSVPPEQAMYHMWHRVQATQPAPSFPMVPTMGNTRFQPPAAMLSMYSSPRGQLATPACQDALGLLPCFPEGAPALPRYFSPYPVSYVPRSPVPATVHKIHERRQHLAETVELPDAAVFSQYGGPHKFQEPPKNGKEDRTGSSASPEKEIIAPLSISGSTTHPSSPKLDLNEEKVTLGSKPNKSQEQQPKSPPWVSPSIPAHGSIQGKHYTSSVQHDEPIHRNDPPQTSRPSLPELWSSCSAAAPRSGAGAAVPVHSPGPVYQQRPPWLAAPVTVRTAIPVCSARPNAVNTAGGAARVRPITQNRLALARGEPETPRNTNNGERALNSEH >PAN17183 pep chromosome:PHallii_v3.1:3:7111773:7113707:1 gene:PAHAL_3G111500 transcript:PAN17183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFLPPIFFLLAGLLAIVSNAGVAEAWWWSGWRWDCLFPSTDRGYGHGHGQSRSHVASIVTEDVYRSFFLHKDDAACPAHGFYNYTSFLRAAEAFPGFGGDGDAATRKREVAAFLAQISHETTGGWATAPDGPFAWGLCFKEEIRPPSNYCDASNTEWPCFPGKSYHGRGPIQLSWNFNYGPAGKALGFDGLRDPEVVAVDPDVAFKTALWFWMTPRAPKPSCHDVMVGRYRPSPGDLAANRTAGFGLTTNIINGGIECGPRGNAAPVGDRIGFFRRYCGVLGVDVGPNLDCARQEPYSS >PAN18030 pep chromosome:PHallii_v3.1:3:11462893:11467218:-1 gene:PAHAL_3G173200 transcript:PAN18030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNKIKVANPVVEMDGDEMTRVFWKSIKDKLIFPFLDLDIKYFDLGLPHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFGLKAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFEGKEEQVELEVFNFTGAGGVALSMYNTDESIHAFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDVVADELRSRLAANSNL >PAN21948 pep chromosome:PHallii_v3.1:3:63141306:63142020:1 gene:PAHAL_3G501700 transcript:PAN21948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELQVALAVVSVMAVVTVAFLLRMCSRSAAPAMATAAARAREWRAWADAADVEAGLDEAALKALPKVVYGDEEGEEAAEAGKAKKTAAAAAGAPAAPCCAVCLGEYARGDVLRVLPECAHAFHQLCVDRWLRLRPTCPVCRSPPVPSPVATPLAAPTQP >PAN17606 pep chromosome:PHallii_v3.1:3:9220364:9222875:1 gene:PAHAL_3G143200 transcript:PAN17606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNGRFGDEEGRGLELSLGLPGYFSRSPTQAAALEEKGSAGSAAAAARAMGSNGFKARPAAAAPVVGWPPVRAFRRNLASSSSKPPSHEPSSQRGSDPPGAGKAVEAGKKGLFVKINMDGVPIGRKVDLGAHAGYDTLSAAVDHLFRGLLAAQASGEEQEVITGVLNGSGEYTLVYEDEEGDQMLVGDVPWEMFISTARRLRVMSSSDLNPSSLRAVSRKRAAAEC >PVH62883 pep chromosome:PHallii_v3.1:3:55299435:55299951:1 gene:PAHAL_3G423300 transcript:PVH62883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEVLAPPCVVPVRTLFSAGVSDEVTAGTQAAMATMMTSIATMVLFGAIVVF >PVH62091 pep chromosome:PHallii_v3.1:3:13284405:13287215:1 gene:PAHAL_3G204100 transcript:PVH62091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLSPPLLPDSFATLPRSFRCSRPTRQLATVGFAGAVRSERQGSWSARRRGNLRIRATATEADYERPEEDVAEDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDDPDVTNFCMFINEVYSVLSDPAQRAVYDEIHGYTATAINPFFDDSAPKDQVFVDEFTCIGCKNCANICPNVFQIEEDFGRSRVYSQSGSTELIQDAIDSCPVDCIHWTSAAQLSLLEDEMRRVEMVNVGLMLAGMGASVDVFRMASARWEKRQAKVLEKVRTRMVNQENSDTGRSWSDVWGSPPRDQNNEEEATERAKRAAAAARRWREYSRRGADRPPTYKLPEAVGNKD >PVH61584 pep chromosome:PHallii_v3.1:3:4435305:4436731:1 gene:PAHAL_3G069700 transcript:PVH61584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFCKGLLADGAVMQASEYGAVRRGIQMNGGRYLFELAAMELYLLYDDVLYTKAAVVHACHGVCVRVVAPLSAAAAFVLFQLSSKDAYVAAMALELAWSLRAAGSSWACASFHARGWHWLCGAVMRLRRTLKAGARRRACLDLLGQYNLLDLCTDANRDGDLRGKVARMIGLGGRWQKLHYSSTVPISDGMSASGPWRIDDLRNARGRWILKERGMYEDLARVADDTELDRSITVWHIATDLYLSLCPELEEDEGGSGGMVARDDIRVLSNHMLFLMVVHPYLLPGRRRSQRQVQGEPQVRRAPVIRWVPLRSTKEGSTMKLSRSEVVKKIAERQLPADSMRECVSGAGEEGTDDVDDGPAYADGAWLAGMLLGDRWRLPAADLLRVVAGVWVEMLCYASHHCGEEAHAMKLSTGAEFMNAVWLVMGHATLSDRFAPSVEALTGEHLE >PVH61983 pep chromosome:PHallii_v3.1:3:11342662:11343962:1 gene:PAHAL_3G171300 transcript:PVH61983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVWYRSSTSCTTISSSRWTMQEAASEKEEAGKLQQVLNCSSSVQVGVDDAAGSCESISGGTDWTSGERLNLWSLRIDLRRVARTPAAANRADRPPAAPI >PAN19372 pep chromosome:PHallii_v3.1:3:17933496:17937718:1 gene:PAHAL_3G269300 transcript:PAN19372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MASFSSVSASSSSSSSTTPSFTLPTKTSPGTGSVSFPRARESRKCGARMATVRALAVDTTISPRVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPTVIAEAGMNAIRDGATRYTPNAGTLELRKAICKKLQEENGLSYTPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMARLAGATPVILPTSISDNYLLRPESLASVINENSRILILCSPSNPTGSVYPKELLEEIAAIVRKHPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTINGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQYTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVRSFGELSGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKGVRISYAAAMSTLETAMGKIKEAMALLRPPVAV >PAN17473 pep chromosome:PHallii_v3.1:3:8625142:8629039:-1 gene:PAHAL_3G133900 transcript:PAN17473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQMSLSVLLLGVGVATVTDLQLNAVGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTVETQQKNAEVSPQQVKESEAAPLISDSLSKVENGGGVADDEPLKVPMWSSKYSRE >PAN20468 pep chromosome:PHallii_v3.1:3:23619501:23620992:-1 gene:PAHAL_3G320400 transcript:PAN20468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLPGGAAGGADGGGAPEGSRGGGLGGAARVKGSWTPEEDDLLRRAVTRHGPRNWSVISAEIPGRSGKSCRLRWCNQLSPGVERRAFTPEEDALIVAAHAQYGNKWATIARMLHGRTDNSVKNHWNSTLRRHRRAAAAAANGGALPLRPLAAGPPPVPLRHLLADPKESSPAPAPASVVPFQPLDLKREDDGDEEEEDEDDEDGSSEDSVLMAPPKKRPCLGVGAGAGAGHTHPSLCAVKQPEHTKPQLPTPAAEPVTSLTLSLPGGGGGTVSENPELRGAAAAAVSSVDGAAKTRAKLEQDCPWLLPVMRQMICEEVQRQLQGASVACSLVASPAGRASAGAADGQD >PAN16444 pep chromosome:PHallii_v3.1:3:3858948:3862171:-1 gene:PAHAL_3G061200 transcript:PAN16444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKVTAGEAQRMKKIREIKQRKNRLKAEADSDPIEEYDVCAICDDGGSVACCDGGCQRSFHLVDRGDDSDEEHADCREKLGLTLEQAKMIVNTEEGFICKNCQYKQHQCFACGLLGSSDDTSSQPEVFQCSHDDCAHFYHPKCIAQLLYPNSEEATPFEVEVAAAREKFTCPVHECIVCKGVENKNDRSMQFAVCRRCPTVYHRKCLPSEIIFKSRKGPNGSLQRAWDDILPDRILIFCMKHKIVRKLRTPERNHIIFPEANEQDMPEEIEVRNHQPSSEQTKAPPPAASNQNQCSCSSPLSFAPSSLFTQPYPGSCGWLDD >PAN19361 pep chromosome:PHallii_v3.1:3:17707495:17712389:1 gene:PAHAL_3G266200 transcript:PAN19361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSKVIHIRNVGHEIAESDLLQLLQPFGLVSKIVMLRAKNQALLQMEDIHASVSALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMIYPITVEVLHQVFKAYGFVEKIVTFQKSAGFQALIQYNSRQEAVEAFGSLHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRTSQQGYPDPASLYAFQQPGASYAQMGRAAMIAAAFGGTLPHGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGLQAELAVHYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQEISEEAILNHVSEHGSVINTKLFEVNGKRQALVMFETEEAATEALVSKHASTLEGNTIRISFSQMQSI >PAN18004 pep chromosome:PHallii_v3.1:3:11390967:11395824:1 gene:PAHAL_3G171900 transcript:PAN18004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLLKSTSELLRRSRGYSSSANPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTVGVAADVSHINSPALVKGFMGDDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKALSTAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAGVPVTEVNVPVVGGHAGITILPLFSQATPASNSLSQEDIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELSDFEKKGLESLKGELKASIEKGIKFAHGN >PAN21259 pep chromosome:PHallii_v3.1:3:58914919:58919596:1 gene:PAHAL_3G453500 transcript:PAN21259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQAGSGGGGAGPPPASAFKSPSTDGKRRSTRFKDEDEYVEVTLDVRGDGDGAVAVRSVKSVAPDAQEAALLDRPAPAAAPGPGGLSSKLRALRRIASGSKRAAVPLAALLRGDRDRPARLDRSVTGAASALRGLQFLNQAAVTEGWPEVEKRFHRLSVDGFLLRSRFGQCIGMVGSEEFAVQIFDSLARRRGITAQVLTKDQLREFWEQLSDPGFDAKLRTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDNLGYIEIANLESLLLQPPSQAQSRLVTHSSNISQLISQKLAPAPDRNPLRCAARSLLYFLEDNWKRVWVMALWLAINVGLFAWKFAAYRRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTRLGAAVPFNDNINFHKVVAGGVAVGVALHAVTHLTCDFPRLLHASAAAYEPMKAYFGQRRIPNYWWFVKGVEGVTGVIMLVLMAVAYTLAHPWFRRGKLSEGNPLRRLSGFNMFWYSHHLFVIVYIAFIVHGVCLYINRTWYKQTTWMYLAIPLLLYAGERLLRALRSHGLTTVRIEKVAVYPGNVIAIHMSKPHGFSYKSGQYIYVNCGEVSPFEWHPFTITSAPGDDYLSMHIRCRGDWTTSFRALFSQVCRPPAAGQSGLLRADLTSPAAVATAGGKLPKLLIDGPYGAPAQDYRKYDVLLLIGLGIGATPLISIVKDVLNSISAGDERFLTRRVYFYWCTREEGSFEWFRGVMNEVAERDARGDVVELHNHCTSVYEEGDARSALLVMLQALHHAKSGVDVVSGTRVRTHFARPNWRDVFKRVACGHQGQRVGVFYCGDQKVTPELRRLSQDFSHKTTTKFVFHKENF >PAN20313 pep chromosome:PHallii_v3.1:3:28828390:28831771:1 gene:PAHAL_3G338800 transcript:PAN20313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVLAAHPGPPLALLGGSLDHRDSITREPVVVGRTMDDAVLQIEDAFGLARELMAELQATPQNDPGYLAGRCQRIAQAYLAASRMLGPHPHGADDLSPPAALQRHHPFGGGDGSGSSHGHLQQLELLRPFLGGGEPSSAPFQQHLGRLLEPSPFNTTTSPDMFGAGTSGGPVRRQASSSRSSPPVQPRQHRRRRESGERMTMMVPVQRTGNTDLPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDHDPFMYEVTYCGNHTCLTSTTPILTLPAHTTTAASTAASMLTNSPTGSAAILAGQDLVMAPAAEHPTPPALSTAIQLGISWMPSALVGPSAGEGSSSAQVNVPAASGRDTEFPVMDLADAMFNSGSSGGSSMDGIFPAHHEQRDS >PVH61736 pep chromosome:PHallii_v3.1:3:6936712:6938180:1 gene:PAHAL_3G108700 transcript:PVH61736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSAGQALLLMALATAALMSTASGTLQYDFYRSSCPKAEEAVRNATMNIIAGNPTMGAAFVRLFFHDCFVRGCDASILLDQSNSNPQPEKLAIPLRGYDAVNTIKAAVEAVCPGVVSCADVLAFAARDSAMVSGGFTFAMPGGRRDGLASDLNDIFGSIPGPSMQVQQLIGSFGAKGLSADDLVALSGAHSFGITHCSFVTPRLYPAADPTLNGTLAASLVKACPRRGPGSTVVNNNNAVTDPNVLSNQYYKNLATGEVLFTSDQTLTSSAPTAKMVQDNAANPVAWMARFAGALVKMGGIEVLTGTQGEIRKVCGATNSGS >PAN20750 pep chromosome:PHallii_v3.1:3:52870839:52871689:-1 gene:PAHAL_3G409600 transcript:PAN20750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVRDMLYIYSNARVAYERFIEIGSKPELARNAVALLLWLDQGRHHVMRHLPGLTKDAVGHLAHEANAVLDGLHQDSLLLPTTPLISALCQDGGGIDPGSFAFNQDLIVRGVAEILDGVGTLIFDDRLYRLYRRHQTGLLGRHPELEEPYVSLPVTVPEDCRSMFITFSRGQSVERDEIFDYFRHKWGDCIVRVLLEKTTGGTAPMYGRIIFKSEAFVSLVLNGEDRAAIFIRDREIWLRKYIPRPHNG >PAN22116 pep chromosome:PHallii_v3.1:3:64282557:64283069:-1 gene:PAHAL_3G515200 transcript:PAN22116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPGGAAAAMACSCLLLMLLALVAQARDMPASTSSAPATMAAASNNVGHSSNSAAAVNDQKTFLGSGLGGGYGAGVGGFAGAGGVGGIGGAVGGIGGALGGVAGGVGGVGGLGGVGGLGGLGPLGGGGGLGGLGGGGLGGLGGSDGGLGGGGGGLGGGSGGGGGCLHP >PVH61542 pep chromosome:PHallii_v3.1:3:3623344:3623578:1 gene:PAHAL_3G057300 transcript:PVH61542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSCLLFIRTGHLAFQLLVKLYVTESQKVFNWKSWMDGIMISHEKRREMLFLVIGEC >PVH62109 pep chromosome:PHallii_v3.1:3:13419622:13420182:1 gene:PAHAL_3G207000 transcript:PVH62109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSARTTRSAPSLPPTTRRDPRPPPSPRRARPVARTLRFASGGHAAHGRSHSRRPRHGARQSRIAPGGRRPAPGTASRGRAAPGRACRSLSGRAAACLSHARGLARLRRGRSLHARAAGAALTSHASAGPQLARAGCRSRARHASLGSRSLARTGCWRRTQLRRRWRGGPAAHLALRQVLRLPHEA >PAN21422 pep chromosome:PHallii_v3.1:3:60074432:60078749:1 gene:PAHAL_3G464000 transcript:PAN21422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDIAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSPSESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAA >PAN17888 pep chromosome:PHallii_v3.1:3:10384473:10387112:-1 gene:PAHAL_3G161600 transcript:PAN17888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEGIQMAVGLDGARGRPSPEPLRLPPRAVLAGSVDGAAGKEAVPAAARGDRARAPGTETAYSVSLSVPASPSGLHLAQLGACASVRSDGGDAVRVAPVPAEATPEPDARQHAEATEEAPPQLLKQARFNSQPTLTIRTEEPPLQRMRTVSRSDSTRDRRFDQFKTFSGRLERQLSNLRGVPQDPAEVEPADSKISEEETDDDEVPTADRYFAALEGPELETLRPTEVSALPEDETWPFLLRFPISAFGMCLGVSSQAMLWKALQSEPATAFLRVSPDVNHALWWVSVALMALVSSIYLLKVVFYFEAVRREFYHPIRVNFFFAPWIACLFLVKGLPRPVWTIHHVVWYVLMAPIFCLDLKIYGQWMSGGDRRLSKVANPTNHLAVVGNFVGALLGARMGLREAPIFFLAVGLAHYVVLFVTLYQRLPTNVQLPKDLHPVFFLFVAAPSVASMAWARLCGEFNYGAKIAYFISLFLYMSLVVRINFFRGVRFSLAWWAYTFPMTSAAIATTLYASAVTTVLTRALAVGLSGIASVTVTGVLVATMYHAFVRRDLFPNDVPIAITQRPKAKFSKILAHLRSSGTDVKELVFSVSRHGGSDTSSESPSPMARGRGGEEP >PAN18563 pep chromosome:PHallii_v3.1:3:13666518:13667609:-1 gene:PAHAL_3G210600 transcript:PAN18563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWRQPAACLLLVALLVGILPCRHVANAALPTPAAAAGLHRHPDGAAPALRSLKHLQGAGRGSRVAGVAELKRHMGRFGYMRPGAEHDDAFDDHTEAAVKRYQSRLGLPVTSRLDPATLGRITSPRCGVSDGRGAVVMSDSAASRFTFFDGEPRWTGPGPIVLTYSVSSAATVSYLPPEAVRAAFRSAFARWAKVIPVEFVEIDEDYSYHEADVRVGFYEGDHGDGSPFDGEKGVVAHAYGPEDGRLHLDAAEHWTLDVDSETEGSALDLESVATHEIGHTLGLGHSSSPEAVMYPYINFGERKVELSVDDIEGVQLLYGSNPRFRHEQHDPSPSVSPGRRNWLGSVSFVCVVLVMLVTHVK >PAN20323 pep chromosome:PHallii_v3.1:3:29903484:29904369:1 gene:PAHAL_3G341700 transcript:PAN20323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRAQRSRTTYVPVCLALLLLVSLRPSSARLLRPTGDDGAAAINVAREVKDAVVDKYASLLLAMLPRAPMPPSGPSGGTNDAPRN >PAN16445 pep chromosome:PHallii_v3.1:3:3863076:3868198:-1 gene:PAHAL_3G061300 transcript:PAN16445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYMVGAADQIAAGLFAADSAAERPGGLTRSGSSSRLNAQAPEFVPRGPPSPAAAAAAVVVPPPPPQVIRVFAAPPPPPRAAFFAAPPPRPFEYFAPVGGRGGFTTKEQQLPKPEPDVELIPPAAQAEPVVDGLEDEVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVIAGFKKVKASVRNNSMLAAALRASSKLVVSGDGKRVKRQEPFTESDLQELKSRIVVAENLPGDPSYQNLKKIFSAVGSVISIRTCYPQTPNGSGPATNRSAKLDMLFSNKLHAFVEYETPEDAEKAIVALSNEENWRNGLRVRLLNTCSAKGAGKGKKEAYETNGNGEEDVSISNQSNDKQSEESSQLLDVLPEHLFDETFNEKEVPKRGRGRGRGGRGRGRGNHQYNNHHQYNNQHYQNYQPHYNHHGNNHHGGNRGGAHPVGTPPHNLINKPEQHQQLPIGASKLPPGPRMPDGTRGFTMGRGKLQAVLPGLCAVGEP >PVH61860 pep chromosome:PHallii_v3.1:3:9150995:9152519:-1 gene:PAHAL_3G142400 transcript:PVH61860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYFGSRLVVTIQIFTKRPRNCAKRPLVQIRLSSPAGPPPPPSSLPESSFLVQPLRSSLRSSAYRSNDGAGEAMRRAFVALRAERSDLDFLSAARPGRLATQLLLARDLT >PAN18482 pep chromosome:PHallii_v3.1:3:13314517:13317982:1 gene:PAHAL_3G204500 transcript:PAN18482 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor A [Source:Projected from Arabidopsis thaliana (AT1G29330) UniProtKB/Swiss-Prot;Acc:P35402] MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVARYLDLFTDYLSLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDKEQDTFRHVVLVAAAFLLALIFNERFTFREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGHQSRWIPWLAGLVQTALYADFFYYYFLSWKNNVKLELPA >PAN18633 pep chromosome:PHallii_v3.1:3:14015609:14019449:1 gene:PAHAL_3G215400 transcript:PAN18633 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MAKMSSVLYLPLLVLLAASAAAATDAADPSAHEALFDAWCAEHGKAYATPEERAARLAVFADNAAFVAAHNARANANANAVAGWSASPSYTLALNAFADLTHDEFRAARLGRLAAGRAGSTLRSAGAPVYGGLGGGVAAVPDAVDWRKSGAVTKVKDQGSCGACWSFSATGAIEGINKIKTGSLVSLSEQELIDCDRSYNSGCGGGLMDYAFKFVVKNGGIDTEEDYPYREADGTCNKNKLKRRAVTIDGYSDVPSNKEDLLLQAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGERWGMKGYMYMHRNTGDSSGICGINMMPSFPTKTSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGFCLSWSCCGLDNAVCCKDNRYCCPHDYPICDTVRAQCFKANGNVSGIEGIKKQQSFSKIPSWNGLLELMDQ >PAN19661 pep chromosome:PHallii_v3.1:3:19593969:19595546:1 gene:PAHAL_3G288600 transcript:PAN19661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEERAESLVQSSRLISRRTVQRLCSIDPRIRVYKIRSWQFAPHPAARIKSMSTSGAPNPAATATAVLCVVLVAAAALPAARAQQCGSQAGGALCRDCLCCSRFGFCGSTDAYCGAGCQSQCTGCGGAPPGPGGTGVASVLPRDLFERLLLHRNDAACLARGFYTYDAFIAAAAAFPAFGTTGSNEQRKREVAAFLGQTSHETTGGWAAAPDGPFSWGYCFKQERTPKSNYCEPRPEWPCAPGKKYFGRGPIQLSFNYNYGPAGRAIGVDLLNNPDLVATDPVVSFKTALWFWMTARGNKPSCHAVITGQWAPTAADRAAGRGAPGYGVITNVINGGLECGHGPDPRVADRIGFYKRYCDVFRITYGSDLDCGGQRPFNAAVAAGLAAQ >PVH61764 pep chromosome:PHallii_v3.1:3:7461098:7461871:1 gene:PAHAL_3G116700 transcript:PVH61764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLYDKKVPQRLKGKFYRAAIRSAMLCGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRKEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRLPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKKLAMDRSAWRLAINVPEL >PAN19062 pep chromosome:PHallii_v3.1:3:16010222:16010855:-1 gene:PAHAL_3G246800 transcript:PAN19062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYYAYQHSNSSGNLSSKEKRPPLKRGQLKRQIVRTISNLVVPRSPGGAAAGLRDKAGRGGGFSREPSYN >PAN15659 pep chromosome:PHallii_v3.1:3:309008:313121:-1 gene:PAHAL_3G004700 transcript:PAN15659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTPMSYAGSAPDPTGGFQGVENCYVFKSRLQEYTQKAGLPTPEYHTLKEGPSHEPIFKSTVVVNNTKYDSLPGFFSRKAAEQSAAEVALMEIVKSVPATETKSIPAVQETGLCKNLLQEYAQKMNYAIPSYICSKQASGVAPFVCTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGCANGAMKYIVVPGQRQVKETDKKPTETPKSLKIKKSGGKKKWNKRKFMRKTDQIVDAEKDRAREAGDVHDSDVPMQAIITEEPCRDSTMLHPDEEARILEQELLRDMTMLQADKEARSVKQGLPMLQHHEEARRVEPDLSRDAAMVQFNKEVVMLQSDEEARIIELEPPRDPATAEPNEEARCVEQEPLDSAEVVKPNMEARVVEQESVSAYVTLQFNRDATDVKESPSNTAMKQREETETPKQEAHQSGELVM >PAN21165 pep chromosome:PHallii_v3.1:3:58390810:58397187:1 gene:PAHAL_3G446800 transcript:PAN21165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASEAAATVSARLLELAAEDDAAALGDLLAAHPSLADEPAPWYSPARGAEPMTPLMVAAAYGSVSCLEVLLSPPHLVDPNRASPASLSTALHLAAAGGASSAPAAVSRLLAAGADPTFLDHLQRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSSAGSRSAMEMAAAMGMGLPSPGASFTPPLSPSGGGTGVAGAWPQPNVPALCLPGSAGNLHLSRLRTSLSARSMAVDELLASAEYDGLVGSPASVRSARGKTLVPSNLDDLFSAEMAGAAASHSPRYADQGGAAFSPTRKAAMRNQFQQQQTLLSPRATAATIIPEPVSPMSSRLLAALAQREKMQQQTLRNMSSRDLGSDASVLVGSPVTSSWSKWGIPSGTPNWGADDEELGRLKRSSSFELRSGAKGDEPDLSWVNTLVKEPTPEKPSINGTTAIESIGTMSQATSHEGIGGDEDNTAGVIGSWLEQLQLDEMVV >PAN16905 pep chromosome:PHallii_v3.1:3:6074287:6076118:1 gene:PAHAL_3G095300 transcript:PAN16905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFNGVRFVRLRCCARRGKYLAADVDGLNVCLSGQRGVHNVVWAVHHAAGPDGGPCVLLRGAYGRYLLSTSVQAGTGPSHGVLTTQDDLGHNPPPPGMLWQAIPRRSTFVIRSGTGRYLRANGRYLRWRRAVTSAGDNGSTMMQWDIENVPIRMTRPCILDPTYQLTHARRRPLTESEVARQIRFVRGETNGTVNEGAWRTMRLNTNNLMQLRLTLACRLGASRDVTRTTLCIRAGRYGHLSPLLVDLPIGTNRIDIVILNHGTQADNDLRYPDLNAPSIE >PAN21233 pep chromosome:PHallii_v3.1:3:58779228:58779596:1 gene:PAHAL_3G451600 transcript:PAN21233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGDKYSEDIQQYRSWMSTWLPGGSPVYIFCLAAVCWAIWKRRNKACFENKKLKHPAEIIIHACALMSYWAGLYGAEMQSKIMEGVKVLLACAHKVLAQQPRPVLYLPAPQEDTSEEENDD >PAN18405 pep chromosome:PHallii_v3.1:3:13036597:13038481:-1 gene:PAHAL_3G199200 transcript:PAN18405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGARPVLYHHPAPAGDAASMSSYFSHGGSSTSSSASSFSAALGAAPAPAPAPLPSLADQFDISEFLFDDGAGAAAAPGVFADGAPPPVAPAAGSAISAAAHAARSAAEAVPEQPRTERIAFRTRSEVEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPPGSLN >PAN17462 pep chromosome:PHallii_v3.1:3:8570904:8571903:1 gene:PAHAL_3G133000 transcript:PAN17462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCSQGVVRRILIVSPDSELERGEIYFLIPSSSVPPEKKQNSKSAKSLSAGAHGDQQLVKKEKYHGKTGHPKSNGRRDLSDALSQKRSASHRRRVSAGRTAAWKPHLECIVEGT >PAN19127 pep chromosome:PHallii_v3.1:3:16321212:16323310:-1 gene:PAHAL_3G251400 transcript:PAN19127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQPKSGLFVGINKGHVVTKRELPPRPSHRKGKATKRVTMVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGTHTDKKK >PAN18429 pep chromosome:PHallii_v3.1:3:13146789:13152605:1 gene:PAHAL_3G201000 transcript:PAN18429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLRIGRVLDCFSSSLQCAGGCVCVRALEDEEDAAVEREALVASGRRRQQQLQDQVLRLRDLVDGTRTLGFHLQPKTVELRVSMHCNGCAKKVHKHISKMEGVTWFEVDLESKKVVVKGDVTPFEVLQSVSKVKFAQLWMPGPQRTRPIHP >PAN17201 pep chromosome:PHallii_v3.1:3:7189022:7190547:-1 gene:PAHAL_3G112800 transcript:PAN17201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLLFHQLSRSPHLRPPASRGAATRALASFAARPLGGRARARPSPARAMASQQLFPPQQQGSQPGKEHAMDPRPEAIIQNYKSAEKLKGKVALVTGGDSGIGRAVCLCFALEGATVAFTYIKGQEDRDAEETLQALRDIRSRTGAREPMAVPADLGYEENCRRVVEEVAGAYGGRIDVLVNNAAEQYERPSITDVTEADLDRVFRTNIFSYFLVTKHAVRHMAEGASIINTSSVNAYKGNKTLLDYTATKGAIVAFTRALALQLAEKGIRVNGVAPGPVWTPLIPASFGKEKVQQFGSEVPMKRAGQPAEVAPSYVFLASEQDSSYMSGQFLHDNGGVIVNG >PVH62739 pep chromosome:PHallii_v3.1:3:44718012:44719231:1 gene:PAHAL_3G384400 transcript:PVH62739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNICILSLPPHLSPASWRTQACTAPATWPWACPSPRGPWSRGPEPGECRPPEFCPAPAVDCRIKSPARSSSGGSERSSSTRTLSKSERPEHDSDQLHGGGSTGFAAEAETGASGAPIIARRRRRQRSEQWNDPSLAPGISGVRAGAQEGGSGTPTSGGRRRTWADAPRHDLPCAASSLEGIRGRKGERGRADKWVPHVRSMSSPSQCVTRTV >PVH62740 pep chromosome:PHallii_v3.1:3:44718012:44719231:1 gene:PAHAL_3G384400 transcript:PVH62740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNICILSLPPHLSPASWRTQACTAPATWPWACPSPRGPWSRGPEPGECRPPEFCPAPAVDCRIKSPEQARSSSGGSERSSSTRTLSKSERPEHDSDQLHGGGSTGFAAEAETGASGAPIIARRRRRQRSEQWNDPSLAPGISGVRAGAQEGGSGTPTSGGRRRTWADAPRHDLPCAASSLEGIRGRKGERGRADKWVPHVRSMSSPSQCVTRTV >PAN17584 pep chromosome:PHallii_v3.1:3:9115873:9121200:-1 gene:PAHAL_3G141900 transcript:PAN17584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASDGDMGAAAPPPLESFLAIGLDQRTAENALANRKVTANLTAVIAEAGVTGCDKSVGNLLYTVATKYPANALVHRPNLIKYILSEKIKNSAQLDAALSFLSTLGPDSLDLVKFEEACGVGVVVSFEEIQSAVSDVLNENMEAIVEQRYRINVGSLCGQVRKRHPWGDAKLVKEEIEKRLVEILGPKTEADNAKPMKKKKEKPTKVEEEKTVAAPPSEEELNPYSIFPQPEENFKVHTEIFFSDGNIWRAHNTKSILEKHLKVTGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVTWMGWEPYKVTYTSDYFQNLYELAICLIQKGLAYVDHQTPEEIKECREKKMNSPWRDRPIEESLRLFEDMRRGLIAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALGQYQPHVWEYSRLNISNNVMSKRKLNRLVTDKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIRIERLEYHIREELNKVAPRALVVLHPLKVVITNLDHGTIINLDAKMWPNASDDDASAHYKVPFSRTVYIEQSDFRLKDSKDFYGLAPGKSVMLRHAFPIKCTEVIYGDNPDSIVEIRAEYDPSKATKLKGVLHWVAEPSPGVEPLKVEVRLFEKLFLSENPAELEDWLGDLNPQSKEVIKGAYAVPSLANAMLGDKFQFERLGYFAVDSDSTPERMVFNRTVTLKDSYGKAGPK >PAN21385 pep chromosome:PHallii_v3.1:3:59846047:59850931:-1 gene:PAHAL_3G461400 transcript:PAN21385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLAASAFLASPQAPAGRRSVSGAWTLRLRPAASPGAGTLRLHNFIPKCYIANIEVDVSTVNKEEDFDDQPSLPPGCSIPVVNLRGDVLDSSPFSLHDRASCPSDFEELPVLSEGEQHTLASTPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTALNAVQVSTQLISAATVIYALKNLSHASTTAVVLRPWFIALVAAGAIERLAGLALGVTMERDWVVLLAGTNRPVALAQANAVLNRLDLICETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVMLGQLINRVSCHALDSSRTATDESICIDLLDVSKIVQNSLSAIKHGWNEYKQQTVLPASAATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSVALTVYWAGSISQMTPLLIFLSSIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSHFGFLAILSVSSVAGAAWMFCRWLTNPTDEQRELFMFDPLYQVQAI >PAN19583 pep chromosome:PHallii_v3.1:3:19191372:19199935:1 gene:PAHAL_3G284400 transcript:PAN19583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVNSEGSEGFRASHPMLLVVAGDETNGHGAVQGGRLSALIRDTNSEPQAGNCISTPTVVRFYSLRSHTYVHVLRFRSAVYLVRCSPRIVAVALAAQIYCFDAVTLENKLSVLTYPLQGAPGVNIGYGPMAVGPRWLAYATNTPLLSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLATGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSGKIPSSVHPLEADNAGMVVIKDFTSKAVISQFRAHTSPISALCFDPSGTLLVTTSVHGHNINVFRIMPTCIASGSGTTRYDCTASHVHLYKLYRGMTSAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLQPQNSHSDGPPLAPCQSRPWWSKPSFLMDQQLHQVPSTVTNSVVSRIKNSTSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSIYHGSLPVPSKANALEHLLVYSPSGHVIQHELLPSSGSESSGSSPRVGPGPNSQLQDDEMHVTAEPIQWWDVCRRTNWPERDENIANIVLYNQRNCMMAMDASDCEDSEHSDSTPSNDGISGKEIMRVRERSSWYLSNAEVQINSWRIPIWQKSKICFYVMDHPAAESGETVSTSGGEIEIEKLPLHEVEIRRRELLPVFKQFHYTDRNSIDRNIANGGFQNGWSHIGDAHYSSVKDNGEYKSKPVAPISGFYTDMRKTANMNGLVSEPLPGPSSTVNLQQVGKCNSIESPNAASMAAHHKAENDSNGYVSTLPESNASIRPLNSYSLLDGPLDGVLSPANSACKPETTNNSVLSNGASTDIPNGCLTTVDSGQQEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG >PAN18160 pep chromosome:PHallii_v3.1:3:11966167:11969975:-1 gene:PAHAL_3G182600 transcript:PAN18160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >PVH61538 pep chromosome:PHallii_v3.1:3:3592098:3595316:1 gene:PAHAL_3G056700 transcript:PVH61538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71460, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G71460) UniProtKB/Swiss-Prot;Acc:Q9C9I3] MPSMASSASSSSFSSVAAIRHPHFLPAKTVSPPKPLTHPFPARRPPRAQLVGAASTPRTSQAELRPDSKNASALSAELRRLARAGRLPSALSLLDHLSHRGVPATASAFAALLAACRSLTHARQVHAHLRVHGLDTNEFLLARLVELYLALGAADDARGVLDAMPQRGATAYSWNALLHGHVRRGRGEAAGPVADAFAEMRAAGADANEYTYGCVLKSISGSARPSMAMATATHATLIKNAFAGAPGMLMTGLMDVYFKCGKVKLAVRVFEEMTKRDVVAWGAAIAGFAHKGMKREALEHFRWMVEDGIKVNCVVLTSIVPVIGELRARNLGREIHGFVVKKFGDRKDVAKVYAGLVDMYCKCGDMISGRRVFYSSKNRNAVSWTALMSGYASNGRPDQALRCIAWMQQEGIRPDLIAVGTVLPVCTKLKVLREGKQLHAYALRRWFLPNVSLCTSLITMYGSCDRLEYCHRVFHDMDKKTVQAWTALVDAYLKNGDPLTAVDLFRSMLLTNRRPDAVAITRMLSACCDIGALKVGKEVHGQVLKLRMEPLPLVSAELVNMYGTCGDLKAAQRVFNRTESKGSLTCTSIIEAYAINQKHKEALDLFAWMLSNKFVPTKATFDVVLRICNAAGLHDEALEIFNSMVQEYKLEASQENFDCIIHLLVGAGRISEAQRFADLKSTLFNLPTPVLDSEQQ >PAN16427 pep chromosome:PHallii_v3.1:3:3732716:3737796:1 gene:PAHAL_3G059400 transcript:PAN16427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPVALFDSLKAAKPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGIPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSTEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWLREANCPVVADITHALQQPAGRKLDGGGVASGGFRELIPCIARTSVAVGVDGIFMEVHDDPLKAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPFKIDLTPFQE >PAN18714 pep chromosome:PHallii_v3.1:3:14299647:14299820:1 gene:PAHAL_3G220200 transcript:PAN18714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >PAN18700 pep chromosome:PHallii_v3.1:3:14244103:14247500:-1 gene:PAHAL_3G219500 transcript:PAN18700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVESAPNSPVQAPPSSASSLPKEQSQVELELRLLQALEFYPPSKLKGVHRHFVLYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFSQGEEFSLPESFLNKEE >PAN19030 pep chromosome:PHallii_v3.1:3:15851119:15855747:1 gene:PAHAL_3G244400 transcript:PAN19030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFRRRVPAACFLFSFLMGFQLCASLNHEGAPLLKFKEAVDADPYGALKSWDEGSVSPCSWFGVECSDDGRVMTLNLANLGLKGVLPPEMGKLMHMKSLILRNNSFYGIIPIEIGDLQDIKMLDLGYNNFRGPIPSELQNIVSLEFLFLEGNRFSGGLPVGLHKLARISENQDINWLNRVPTARFATIRIRRLLVSKQKDSETIHIPEHRGRLSPLLDYPLAPSPAEPISPSPASPPIEHTPSQENKNNHSPIIYASVGAAVGFLVVALSAVCFFYYCRRKTSTVVPLFAPTTSTQLQTTAMEGITLFRRSELEAACEGFSNIIGTLPGFTLYKGTLPCGAEIAVVSTTVAYAGGWSTIAETHYMDKVEALSKVNHKNLMNLVGYCEDEKPFTRMMVFEYVSNGSLFERLHVKEAEHLDWQSRLRIAMGVVYCLGYRHQQNAPVTLRNLNSSCIYLTEDDATKVSDISFGVDKKEDEDENDAPDEYCTVYKFALLLLETISGRRPYSDDDGLLALWARRYLNGASPVMGMVDPTLNSVPEEHVRAFSELIRLCISEDRRQRLTMAELTKRMQEITGITQDQAIPRKSALWWAELEIITA >PAN16474 pep chromosome:PHallii_v3.1:3:4000131:4002862:-1 gene:PAHAL_3G063300 transcript:PAN16474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPRPFPAPAATVSPPRPAWNTNRNLVVTHPLLSLLERCASFRRLLQLQALLIVSGLAAHRFPASRLLAFCALSDPPRPAHAAAVLAQCAEGPNAYMLATMMRGFLRAGLPARAFALFRRVLCDCLPADARTFVFAIKAACSSSAASSPGEAVHCVALKRGFLCQSVLVGNALVHLYASSMSFRDARKVFNEMPDRDVVSWTTLIDGHARAGLPDEAWRVFCRMVVSEGMWPNRVTLVAAASAVAQMGLLGLGRTVHRCVAESGVGTSVNLDNALVDMFGKCGCLASAKEVFDRMADKDVYTWTSMVSAYAKCGDLESAVQLFEEMPRRNTVSWSCMIAAYSQANQPDEAIRMFNDMIAAGMEPIDATLVSVLSACAQLGCLDLGSWLYQTYIATHKVRLTVNLGNAFIDMFAKCGDVGAASRLFDGMEERNLVSWNSVIIAHAFHGQSEEALHLFQQLKETGILPDEITYIGVLSACSHSGLVSEGQRHFKEMKAVYGIEPRAEHYACMIDLLGKVGLVEEAFEIATSMPIGADVAGWGALLNACRMHGKVEIGECAAAKLAKLDSSDSGIYVLMSQIYASKSKWDQVKMLRTVMRDRGVKKNPGCSSIEVDGKFHEFLAADVSHVHSEDIYAALKNIYIHLKTEGYIPPA >PAN17654 pep chromosome:PHallii_v3.1:3:9524420:9528018:-1 gene:PAHAL_3G146800 transcript:PAN17654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALLLPRRFLAPSPSASASSSSASSSAPSRWALSSPGSPRRARLAAARPHPRPRRLARHKVHAADPESGEQPKWWEKNAGPNMIDIHSTVEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTALENPDILFLKVNFDENKPMCKRLNVKVLPFFHLYRGADGLLEAFSCSLAKLQKLKDAIAIHNTARCSIGPPVGVGDVDLLDSASPQEKPAEASQR >PAN17196 pep chromosome:PHallii_v3.1:3:7172739:7176319:1 gene:PAHAL_3G112500 transcript:PAN17196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTNADVEVVDFDLDDDDLMDEDAGAEPAPAPAPASRLRSTIAGDDAPRRTKGRGFRDDPNSSSAPRDSRFGAGGRADFDSLGSGGGPATIRSIEGWIVLVTGVHEEAQEDDLHNAFREFGQVRNLHLNLDRRTGFVKGYALIEYENFEEAQAAIKELDGTELYGQIISVDWAFSSGPAKRRNSQKRPPSRARSRTPPKRRY >PVH61289 pep chromosome:PHallii_v3.1:3:348255:350243:-1 gene:PAHAL_3G005500 transcript:PVH61289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCICSPMATMYRLPRNSVCAPCHEGAKAIIGFLNKDEEQEDGGHGSVNSHAPSKLNSSNKGMRDAWELVKEMRDRAEETNQRAAFLEHGFALAWKEGIHTDIVVKPGTGAPIPAHKAILAARSEVFRHMLSADEHCKAPAADSFSLPELSHDELSLLLAFLYTGALDQDLPERHLHALLVAADKYDIPFLRRACEARLAARVEPRNVLRTLEVADLSSSAVLRERAMGTVLEHAEQVVFSPEYEGFAVRNAALCVEITRALLKKMSTTTTKSIGSPSCIDQDVNRALA >PAN17979 pep chromosome:PHallii_v3.1:3:10861006:10862326:1 gene:PAHAL_3G163900 transcript:PAN17979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEHAEPKQKQSLMDKAKGFVADKIAHIPKPEASLDSVSFKSMSRECITLHSSVNVSNPYDHRLPICEVTYTLKCAGKVVASGTIPDPGWIAASDTTKLEIPAKVPYDFLISLMKDVGRDWDIDYELQVGLTIDLPIIGNFTMPLSTSGEFKLPTLKDMF >PAN17968 pep chromosome:PHallii_v3.1:3:11193953:11199003:1 gene:PAHAL_3G168700 transcript:PAN17968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGLKGLTFLLLLFLLVLCSSIRLCDARGGKHWRRSRATSTSMLRKGKPKSSSSHKQNGKGNQSPYQPSPSTSPVSPSGSPVQRKGGQGPTMPTPGGGSGCTVPPPPLLRPPPPPSPPAAQDTVFNVVDFGAKGDGVTDDTQAFEAAWAAACKVEASTVLVPSELEFVVGPISFSGPYCKPNILFQLDGTILAQTSARVWGSGLLQWLEFTKLTGIAIQGSGVINGRGQEWWTYSDPNDDDDNDAFRVELDKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSREVNIHHTNMACGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNVTVRDVNMYKTMNGVRIKTWQGGSGLVQGIRFSNILVSEVQTPIIIDQFYCDKTTCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDNSPCSDITLTGIQLKPMTVPQYHLYNPFCWQAFGMLYTPTVPPISCLQIGKPAGNSVLSDGDLC >PVH63188 pep chromosome:PHallii_v3.1:3:62005781:62006292:1 gene:PAHAL_3G488000 transcript:PVH63188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKKPSCFGSLWHTQQVVNERYALVAHEDYRSTMLGHESAVLMTSTVFREVFY >PAN16146 pep chromosome:PHallii_v3.1:3:2549608:2557573:-1 gene:PAHAL_3G039800 transcript:PAN16146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTAGSTRPRKEKRFTYVLNDADSKKHCAGINCLAYLNGSAFSTSDYLFSGSRDGTLKRWELNNGNASFSATFESHVDWVNDAIIVGEKLVSCSSDTTLKVWNCFSEGACTRTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDIDAALAPVAKSVDAKEDEVPNGNSGPALTTLCNVNSSSNIASSNGQTHGYSPITAKGHKDSVYALAMNDTGTLLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRTLLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALATTPSFGHVYSGGRDQSVYLTDLSTRESVLLCTNEYPILQLSLQDYTIWVATTDSSVYGWPAEGHTPQKVFEKGGSFLAGNLSFSRARASLEGSAPVPVYKEPSIVIPGVPAIIQHEIMNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEEKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVSGAQEDLKINLAQETLRGLLVHWSKRKQKSSSHSLSNGDSSSGKDVLSKDSPRSRSEVDDGTENHATHVLPSFEFSMVSPPSIITEGSSGGPWRKRITDLDGTEGDLPWWCVDCVTHNRYPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLVLDKPLDGGSDSTFAMGLSSGQSQLSTLDSSSRIGLKPWQKLKPCVEILCNNQVLSQEMSLATVRTYIWKKPEDLILHYRVVQSR >PVH61579 pep chromosome:PHallii_v3.1:3:4277525:4279812:-1 gene:PAHAL_3G066900 transcript:PVH61579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGGCCLAPRYGAAAAGQQQAGAAWQMGRIMLKFRPIAPKPAAMAPVPTPAPVPAAGAGTGRGKRKAVGGGGRRGRKPKRAATVAPVVAAPAPAVAGQAAAGDCRKDKDCEKEKSLSSRSSSSSGMTSVDSSPPPPPQQARQPATLPLMPVSLVEEKPAVAAATGEPAPVASMAPAPAAGAQPVPPRPALGPAAAASSWVTVEEVTATWRHGEEAPSSAAAEAPAFVSDQWGRVTWTNAAFARAVSADGDEEARAVGLAGALPAWGSCAGFTCRVRVVRHASSDTPRRGGGGGSSVVAPCDVWRLDAGGCYLWRLDLQAALTLGGLP >PVH61608 pep chromosome:PHallii_v3.1:3:4955446:4956102:1 gene:PAHAL_3G075600 transcript:PVH61608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKSSSWSKGEDTVLREQVRLHGEQSWERVSAALPGRSARSCRLRWYQRLAHAVAAGRPFSAEEDALIVACHRAYPNKWATIARFLPGRTDSDVKSRYNTVLREQLDLAPPPRRHPDGTLPLFPLVPGDVRRASGRGGTVLRRQPPEEAAGDDQSGACLALFPLAPGDLTKGSNSAREAAAMDFDVSAGGLPEMRLSPAPTAMAAFRAMVQAVRAP >PAN18840 pep chromosome:PHallii_v3.1:3:14948851:14954778:-1 gene:PAHAL_3G229600 transcript:PAN18840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRSWSIDSYLNEHFDIPAKNPPGEARLRWRRAVGLVVRNRRRRFRMFSGLHALDDSQRRKILGKVQVVINVHKAALQFINGVRRYPLSNDLIKEGFCISPDELSAITGMREDPTIFKTHGGINGISRKIKASLEDGISETEIETRQKLYGSNKHAEKPPRSFWMFVWDALHDLTLIILMVCSVVSLVVGLATKGWPTGIYDGLGIIFSILLVVLVTASSDYKQSRKFMELDHEKQKIYVRITRDRKTKKVLVHDLVVGDILHLSIGDVVPADGLFVSGYSLMIDESSLSGESEPVHVSEEKPFLHAGSKVLDGTAKMLVTAVGMRTEWGKIMDALNDDGVDETPLQVKLNGVATIIGQIGLVFAILTFLVLLVRFLVDKVMLVGLLNWSANDALTIVNYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKLMSDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVWISDVSKSVNGDTNINKLKAETPKSVMEILIQGIFVNTGSEVVKEDDGERNILGTPTEAALLEFGLSLQGDLYDEYNKLPRVRVEPFNSVKKKMSVLIQLPNGGLRSFCKGASEIILEQCDTVLNSEGSITLLSEIQKQNVLNIIKSFASEALRTLCIAFKDLNEISDDQTIPEDGYTLIALFGIKDPVRPGVRDAVMTCMAAGIKVRMVTGDNINTAKAIAKECGILTEGGIAIEGRELHDKSTDELKELLPKIEVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALRESDIGLAMGIASTEVAKENADVIIMDDNFSTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGTAPLTAVQLLWVNMIMDTLGALALATDPPNDEMMKRPPVRREHSFITRVMWRNILGQGLYQLLVLGTLMFAGKRILKIQGPYADRTINTLIFNSFVFCQVFNEINSREMEKINVFRGIFKNLIFISILAATVLFQVIIVQFLCTFANTVPLNWELWLLSVILGSVSMIVAVILKCIPVESRKTDDRPHGYELIPEEPETV >PVH61488 pep chromosome:PHallii_v3.1:3:2940324:2941239:1 gene:PAHAL_3G045800 transcript:PVH61488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNLRLIPSLPQLFLSRYGIFKWEQLVFGTPVVTSLYHALRQFNPDLGLMNQNMRRQRKSLVQLIVLFCEAVRFKRMRARILQIMEGGQSVPLPEHMWTWLQKWSAASSFALYSKRREDEGIMHDDPDQLGAVEELGINNRNDLVGFLSLILHTAYIHDD >PAN21530 pep chromosome:PHallii_v3.1:3:60657235:60659894:1 gene:PAHAL_3G473300 transcript:PAN21530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAGMARGGRGHGAAAGRAGRVMRAAVSAFFGGYHCFTSLAALLALPFSAAVLASEAVAPSSGMLRGAAARLRSVFAAAGFPPSPFFALLEAKLAQTVFTFAATLPFALTFLLLAKACVAAMLRDDGAPPPRRQRRLAALPPCGAVARAYPAVVATHLLNAFLMLTANAAVFSLLLLAFGAADLLGLTSHPWTLALSAAGAIVYSLAIGVATVVCNLAVIVAATEPGCAGHAAVLRACVAIRGRVSTALALALPTNLGMAAAEALFGLRVVAQRRRAGRLAPGVAGEAFSIAYIHAICVVLEIIVSCMFYRSCKRSEADELRELEPEEKGDLQA >PAN17301 pep chromosome:PHallii_v3.1:3:7633590:7637595:-1 gene:PAHAL_3G119900 transcript:PAN17301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAVTLGGKGAALSPAAVYALSLGLASPAIDASVLKSLSTRAPSPQETPASLAAALAPAESRAAAAVLLNKLLLTASDSASALVTAATATRLAESLDVAAALPLGSRDEAAVAAASAPVAVALAALIDCCAAPLARVADAVAALSCEAARGDAAAFDVPASGDGLSAKDEADVAADIKMLVFGSKLVGSAGGAPAPAAATFAKVSTVNGIFREAVRALHARVRIELNAPVKLGKRDAGETGAGKEEALVVLATQLARAVQALCKLSISRSRLCAESIADGDLREKLTNGVSIDDLKGMLDRVLIDSDAVSVLKGVYNHLLKFRDFLAWEAAVAMAVIEGDSSIEKPQAGVENEVGSSADKPQAGGEKGKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGNAIASVNSALVAEWGTSLSLLFDPKCSGLESLVEKVKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGATALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYEPMEPDFEVIKVLTELLNQLDIGTYEIKLNHRKLLDGMLEICGVPPQKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADEIGNLVKTRGPPLEVLLGLRKEGSKFMQNEGSVVALNELEILFKALEKANALDRIVFDLSLARGLDYYTGVIYEAVFKGATQVGSIAAGGRYDNLVGMFSGKQIPAVGVSLGIERVFAIMEQQEKERNEMIRATETEVLVSILGKDLTLAAELVSELWSAGIKAEFKLTTRVTNHIKYALQSGIPWMVLVGESEMQKGSVKLKDVKANQEEEVARKDFVQELKKRLSKP >PAN17393 pep chromosome:PHallii_v3.1:3:8306195:8306551:1 gene:PAHAL_3G128300 transcript:PAN17393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARTGSRRRNSSAASTARWMRTRLPAPSAAAAAPRRRPSPPPTNNDVACGKARKAAARRRDTEVRRKMEALRRLVPGGGGGGEVDELLLRAAGYIARLQAQVTVMQLMVDVLEHTKD >PAN18424 pep chromosome:PHallii_v3.1:3:12920179:12922501:-1 gene:PAHAL_3G197400 transcript:PAN18424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALLRVQERAREQRARSTVDGNGSQVALNGRATSTKDAEEQWCDRQGSFDEVKSKLHMKHEGAAKRERAIAYAHFYQHRSSKHSGRPSSPATCVRSHESNRCNHNLRYLEGWMATKPWETRLMERNHTDSQFAKNCEDLNLAVSKHSDASSVKIRRNNVTTRVAAKPPSVLSASSSDFVYEESSPSTSSVTPVSATTTILASEARSDSGHVGGPNYMNLTKSAKARLNGCSSHRGSFQRQRSGDMPRVALSPIDAQSNAGSEISVTSKRLSSLSLKGRIKTRSLDKENDDNLAP >PAN20301 pep chromosome:PHallii_v3.1:3:29305479:29309417:1 gene:PAHAL_3G340400 transcript:PAN20301 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1K3 [Source:Projected from Arabidopsis thaliana (AT1G79600) UniProtKB/TrEMBL;Acc:A0A178WNJ7] MAATAAAAVSFSLPSAPRGLGPRRRRRRAPSLLRAASTAAPPSPDLSIQLSPRASPPAPANGASTAAGPPVAASFARDRAEDLQAEARAMARAAGATVYSPELLAARYGSRPFKVALRAAEVLSKLGAFGVKLLLDERSGDSSSAKRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPAEYLEELAELQDSLPTFPDEEAFACIERELGFPLDSIYSTISPSPIAAASLGQVYKARLKYSGKLVAVKVQRPGIEDAIGLDFYLLRGLGFLINKYVDIVTSDVVALMDEFARRVFQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIESQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHLVNRDYEAMARDYYALDFLEPDVDVSPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPDFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGRQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITIGTLIDSYNSAPEFLKPLISSGNPAGPFKFSEAEQEQMMELRDQVFRVWGLLRSSNNFDPSLLQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSS >PVH62152 pep chromosome:PHallii_v3.1:3:14114029:14114563:-1 gene:PAHAL_3G217500 transcript:PVH62152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFRNNPYAKLSKYGTELNCQEMNRTTVGSTKLICTEITTNMHAHSIQKRHGR >PVH61570 pep chromosome:PHallii_v3.1:3:4120271:4125774:1 gene:PAHAL_3G064700 transcript:PVH61570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSPAAAAAAVSGPHHARLLPPSSPRRLPRPRPRPRPRLRLAACHADTLLPSSSSEARAPPAPAVGPSAESATDCFVDWLRARGLPPGKVDIRERPVPCLREGKDRPLRYVAAGDALQAGDVAFEVPMSLVVTLERVLGDESVAELLTNNKLSELACLALYLMYEKKQGKDSYWYPYIKELDRHRGRGQLAVESPLLWTESELDYLTGSPLKDEVVARDEAIRREYNELDTVWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTADGDSVRLVVDRPYKAGEPIIVWCGPQTNSRLVLNYGFVDEDNPFDRIAIEASLNTEDPQFQEKRMVAQRNGKLAFQNFNVYVGKEKETVAEMLPYLRLGYISDPDEMQSILSSEGDTCPVSPCTERAVLDQLVGYLESRLAGYPTTLDEDETTLADGSLEPKKEVATRLVRLEKKMLHACLQAANEFINDLPDHTVSPCPAPYAPELK >PAN18100 pep chromosome:PHallii_v3.1:3:11722083:11723283:-1 gene:PAHAL_3G178200 transcript:PAN18100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSMALSSTAFAGKAVNVPSSLFGEARVTMRKTAAKPKPAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >PAN17148 pep chromosome:PHallii_v3.1:3:8189337:8192214:-1 gene:PAHAL_3G126300 transcript:PAN17148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGREPKRKRSAPLNGGGSEVASSGLAMAPSSSVEDADALDCGVCFLPLKPPIFQCEVGHVVCSPCRDKLKATGKCHHVCGVATGSYRLCHAMERLVESIRVPCPHAAHGCTARPAYNDRDGHRPACPHAPWHCPGEACSFLGSTSALLDHISEVHSWPCITNLEADKYGEFAVHLHDGFDFLLADCPTANMKQGATATVQCLLLLTVARQPVGRTISVLCIDPHAAAAASGGDGPAASKQMECRLTYYRPWDLNDNNHHGGHQVWDHHQQSNFRVACTDLSDGMPNPDGCFQFVLTNSVIGAYYKYTGRCV >PAN22067 pep chromosome:PHallii_v3.1:3:63872772:63873789:-1 gene:PAHAL_3G510400 transcript:PAN22067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLASSSSSSSPRCVPFAFRRGGGERRARGLPAPAAGSHALGPGPAAGCGRPLCRAAEVVGGGIRGAFFASLDRCSCVEVRTKHDDDSFRMADAAPLMRDGSSYGAASGRTASGGKGNKQRQGLGCCAANSSSVN >PAN18305 pep chromosome:PHallii_v3.1:3:12654004:12658810:1 gene:PAHAL_3G193400 transcript:PAN18305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRAPVMAVANGIGEVERKVQKSYWEEHSKDLTVESMMLDSRAADLDKEERPEILSLLPSYKGKSVLELGAGIGRFTGDLAKEAGHVLALDFIESVIKKNESINGHNENITFMCADVTSPDLKIQDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKPGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKTGNSFELSLVTCKCIGAYVKNKKNQNQICWLWEKVKSTEDRDFQRFLDNVQYKTSGILRYERIFGEGYVSTGGVETTKEFVGMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFSAYIKQRGYDLHDVKAYGQMLEDAGFHDVIAEDRTEQFLSVLRRELAEVEKNKEAFLADFSQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >PVH62603 pep chromosome:PHallii_v3.1:3:27828353:27829331:-1 gene:PAHAL_3G335000 transcript:PVH62603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQQLFIYTAAAYMLLSMMAMIIQSRKRKRRESVEPITYAPIEERDRMRIEYLNNKIWKNDVTCVNMLRLNRASFFRFCKLFRDRGLLQDTIHLCVEQQVAMFLNTEHNTLEEMP >PVH61743 pep chromosome:PHallii_v3.1:3:7138256:7141237:1 gene:PAHAL_3G112000 transcript:PVH61743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-loop-helix DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os05g0139100)] MTGPGDELAELLWDNGPALRRAPPPFQPFTCSAAGSSRAHELKRHAAGGMVPVPLGIHDGGGLPGLHAHGGGDDDAVPWLHCPVVVDDGDADTAPLPPEYCAGLLSEYQGLPAAPPASHGAAVPASRAAPPEAAAKQAPPPGSASGGGEGVMNFTFFSRPLQRPQASAAAAASIPVESTVVQAATNRLRATPLFSEQRMAWLQPPNGPRATVAGAAPAPAPAPAAQAPLPTDHHLHGEAATVTQRRLQPEARAPGAAAATAVVTTSSVCSGNGDRSQHPKRSSHQVADCSVSPDEDLDDEGGAIRRSASRSNKRSRTAERRRDRINQKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGLCVPPMLLPAMQVPVPHPMAHFPHLGMGLGFGMGAAAAFDMARVAGAHFPCPPMAMPPGPMFGVPGQAMPSPAAAAFAHMAASGTAPLEHIEAAAAAPAREEAEPPPAPVATLTQGDQKLQHPKPT >PAN17190 pep chromosome:PHallii_v3.1:3:7138256:7141237:1 gene:PAHAL_3G112000 transcript:PAN17190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-loop-helix DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os05g0139100)] MTGPGDELAELLWDNGPALRRAPPPFQPFTCSAAGSSRAHELKRHAAGGMVPVPLGIHDGGGLPGLHAHGGGDDDAVPWLHCPVVVDDGDADTAPLPPEYCAGLLSEYQGLPAAPPASHGAAVPASRAAPPEAAAKQAPPPGSASGGGEGVMNFTFFSRPLQRPQASAAAAASIPVESTVVQAATNRLRATPLFSEQRMAWLQPPNGPRATVAGAAPAPAPAPAAQAPLPTDHHLHGEAATVTQRRLQPEARAPGAAAATAVVTTSSVCSGNGDRSQHPKRSSHQVADCSVSPDEDLDDEGGAIRRSASRSNKRSRTAEVHNLSERRRRDRINQKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGLCVPPMLLPAMQVPVPHPMAHFPHLGMGLGFGMGAAAAFDMARVAGAHFPCPPMAMPPGPMFGVPGQAMPSPAAAAFAHMAASGTAPLEHIEAAAAAPAREEAEPPPAPVATLTQGDQKLQHPKPT >PAN21132 pep chromosome:PHallii_v3.1:3:58135527:58137227:1 gene:PAHAL_3G443800 transcript:PAN21132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAECASYWRSVDAICEESEMIAHLQSLLWSSSDVDLAPSSNVSYSLPGGSPFCINNNENSALVSSSNPHEDVDTRALTHGEKVGSKRKAETHKEKNHGVEGHAAPLAPRGCKKSKASSQSCYAKMRRERINARLRILQDLIPNGKKVDISTMLDEAVQYVKFLHMQIKLLSSDELWMYAPLAYDSVNMGMRLNSSVQE >PAN19163 pep chromosome:PHallii_v3.1:3:16614660:16615466:-1 gene:PAHAL_3G253700 transcript:PAN19163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLASSEPEPPFRPREKILEKQRYFQSVHKPTYLKGRYDAITSVAIPLALAVSSMYLVGRGIYNMSHGIGKKD >PVH63070 pep chromosome:PHallii_v3.1:3:60206335:60207295:-1 gene:PAHAL_3G466000 transcript:PVH63070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAALKMASICMLFLTMAQLMAATDSSAPLLQAAQRLVGADHERLASENCLCSPNTWWCCPQVIKEIFSASENCLCSIFTPWCCPQTIRETNLASENCLCSPNTWWCCPQTIRETFSVSENCLCSPNTWWCCPQVIRETFSSVSKNCLCSPNTWWCCPQAVRATFSASENCLCSPNTWWCCPQSRKVTVSASEASAALLIGDAGLKAAE >PAN15921 pep chromosome:PHallii_v3.1:3:1106709:1108153:1 gene:PAHAL_3G020600 transcript:PAN15921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGPEIFFRGFRLNPTPLEAATYYLPQLLAGAPLHEAIRPFIHHADVYACEPGELARQFRPLPRTGHRFFFTHCKLQQPHKAGKAGRATRAAGAGSWHSQGVTDVVDRKKVKVGEMRKLRYKKGGAYTDWLMDEYSCCLQDAVVGDRQYVFCNIYVSPRAAPGSAARQESAAFFATPAPAPAPVVIAQAPPPKRPAPQVAEPPCPKRTLGAVVAPAPPVVQPAASCTAYFAPPRPCVPNGSVAPSSTSTPSVIRSSPASAQPPARAPTRLAAPPSRTPAHGPPQPVAQPKQQMPPPIPPVVRACHMPVQAPAQHCRPQPSAQTKKKTRDPFEAAEPRERDEAEEERVAAFDLPSEESPAALQDDDFDEDDLAKAMEEAMKTADAEEEAAGNSTMTDDEMEQHIFSLLGDDTVIVPKEEKK >PVH62585 pep chromosome:PHallii_v3.1:3:26211891:26212784:-1 gene:PAHAL_3G329300 transcript:PVH62585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVSWDHAGHLHTNALHLEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVRMTIPQHPFHSQWHPIEVDVVGYRLVDTIETAALEAIHIFCNQHPMEVAGYPIGLFPAIDSSDPEWNFRIDHYGHLLGGLAEETIRSITMFMNVQHHYQILLRRSMGQLTGVAQGHYRNAARQVTQIVELQALVIHQEDQINESDAIITQRNTIIEFLQEQIHDLILEADDAEAHIEELQQQPVPPAAPVVPEGGEEDPEEIEGVSDLNSEHGDPEPNPQPDYSSSGSQSSVGNLDDF >PAN21278 pep chromosome:PHallii_v3.1:3:58381487:58385384:1 gene:PAHAL_3G446700 transcript:PAN21278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRARNGIPAVHPLKPHPSPTTSTSTTTSLAAAAAAAAAMASPTAASPLTCHHLGASASPSLRSRLPAVSFSLRHRSSKPISLSHSHSLPSKPLFAPPPAAAASPSRGVLPPVSASASAAPAPAPPPKPALQGAAITPLLASIATGVLIWLIPAPAGVPRNAWQLLAIFLSTIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVAQFGSSSLGLGYALVFAEALLAPAIPSVSARAGGIFLPLVKSLCEACGSRSGDGTERRLGAWLMLTCFQTSVVSSAMFLTAMAANPLSANLTAATIGEGIGWTLWAKAAIVPGLLSLVLVPLILYVIYPPEVKSSPDAPRLAKERLAKMGPMSKAETIMAGTLLLTVGLWIFGGMLNVDAVSAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFAAFLSVASALGTPSLFAAMVLSFLSNLMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGFWWKMIGLW >PVH61545 pep chromosome:PHallii_v3.1:3:3669304:3669746:1 gene:PAHAL_3G058100 transcript:PVH61545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKICTTIRIQKLSVAHSQVGNVSACGGAKRKRFPVVSSAGRGPRRQPMRARLATPTNAADVEAMETALRSDFLDQAQPLGSGLTSVNQATSLIMAGSDAAASTAKGKVTRSAEVRHAGA >PAN19954 pep chromosome:PHallii_v3.1:3:21978220:21982576:-1 gene:PAHAL_3G310300 transcript:PAN19954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPALTCRHVLALLLSLQLLLVAPWQGETAARALNFTRQDFPRDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGRMPDKSTGDLGADGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGPVNPKGLEYYNNLINELVKRGIEIHVTLYHLDFPQILEDEYHGWLSPRVVEDFAAYADACFLEFGDRVRHWTTMDEPNVISIAAYDNGAFPPCRCSAPFGVNCTGGNSTVEPYIVGHNSILAHAAAVKLYREKYQATQKGVVGMNLYSFWNYPFSSSPADVAATQRSLDFMIGWILNPLVYGDYPEIMKRIVGSRLPKFTKEQSEMIRGTADFIGINHYTSVYVSDRSHSADTGLRDYNGDLAATFRFSRNDPPSGQFIPVNMPTDPQGLQCMLEYLSNTYKKVPVYVQENGYAAFFNDSIEDHKRVEYLSGYIGSIVTALRNGANVKGYFVWSFLDVFELLAGYYSRYGLYHVDFMDPELPRQPKLSAQWYSKFLRSEIRINIESMTSTEASSHAEQ >PVH63279 pep chromosome:PHallii_v3.1:3:63891656:63893226:-1 gene:PAHAL_3G510900 transcript:PVH63279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPARPQLFELYPVGEDEVTRKRCVSSSLRCRVGRDGPWICFKEELHLQFQLQRGGGAQLSSSAMRRGQRESDTTPREVGRRLRCALARIPTSYGHCKIRRRHPRITDIGRLVGTLGLAGSPAGDGRSLYSC >PAN17889 pep chromosome:PHallii_v3.1:3:10395555:10396531:1 gene:PAHAL_3G161700 transcript:PAN17889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQCMPSVGQVLPTRTAPRPLNGRARLNCSTGRWPLRPREPGDFTGDDWIAHPSKAPVCNSTGRARGSRTFAVSKPHAPRFSTHCLVAAAVQVPRRPRAHSSRLGEPRQAAAASLPQPGHFQELGKKMARRAGGGAVAACHDRWAHGLLDCVLASAPRPIGLPGSRRWSRGCSQGRPTERA >PAN20920 pep chromosome:PHallii_v3.1:3:55212430:55214733:1 gene:PAHAL_3G422800 transcript:PAN20920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLIFLCFSSFLPHYSISSPLPLPIDSSSAAGREGVQDLVRSMNMSLHRRMLGDDCGTGNPVDDCWRCDPSWADNRQGLADCAIGFGRDAAGGKNGKTYVVTDPSDDDPAAPAPGTLRYGLIQEEPLWITFARDMIIRPTEELVVASHKTVDGRGADVVVGDGGACFVLHNVSNVIIHGIAVCHCKPARTPLASSSSAGGGLSDGDGITVFRSTDVWVDHCTLEACTDGLIDVTDASTRVTLSNNLLRNHDKAMLLGHSDDFPDDKDMKVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYINWQMYAIGGSASPTILSHGNRFLADKDKEVTKRDGAPESEWSTWTWISEGDMMLNGAFFRSSGSPKPDVNTPSFAKSVSSVSSMTASVGVLSCKVGSPC >PAN18107 pep chromosome:PHallii_v3.1:3:11747074:11752304:1 gene:PAHAL_3G178900 transcript:PAN18107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEANAGAVAQAEQRKKNSPEMDFFSEYGDANRYKIQEIIGKGSYGVVCSAIDQQTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDSPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDMWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSADTISQIRNEKARRYLNSMRKKQPIPFSQKFPNADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGIAKVEREPSCQPISKMEFEFERRKFTKEDVKELIFQEILEYHPQLQKDYKNGSEKTSFLYPSAVDNFRRQFASLEENEGRNATVDRKHVSLPRTTTVHSTPILAKEGPAATSQVPQRIPTARPGRVVGPVLPFENVSAVDQHITRRAARNPAAPSATNNSSVYCYHLKSDSSDRQEHQLELEKDRMQYRPGQHAMEAKVAPEMVRDMRPSPYYVSRGVPKADLTERAALQRSMMHNVASFNGITAGGYSKAGILHYGVTSLY >PAN17303 pep chromosome:PHallii_v3.1:3:7639257:7641925:-1 gene:PAHAL_3G120100 transcript:PAN17303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >PVH61408 pep chromosome:PHallii_v3.1:3:1684070:1686742:-1 gene:PAHAL_3G030200 transcript:PVH61408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQERTPPAMKRARPSPPPQAHAADAEDRLSALDYATLHAILARVPLRDAAATPRSPAAGPARVFATLPRRLLRVITFNRRDFPDEGDEDYCEDPRRWMCALRRINFKYMGLYDDWFFGVFRELCGSGGLLELSITNTKYTECYDLPSPVYACKTLTSLYLSNWRLRVPGRITGLRALRSLRLHGRHEHLEIFNIHKARNIVIRASCLKDLLIYSYRPLCVSVKKASRLDKVRLGFSYSYPEYSWRLQETMDSDEDYSFSEIEEMLDYNKMAKREHRQTDEIRNMVMFLGSLSSAKKLHLSPEFPQDSRYSRSHVPLAAEYWEEQINADCVLNHLSSMTFFIDELFEGHSCGGFCPFLVMNSRVLKKLHIMYYRRQVKPEDADKL >PAN17557 pep chromosome:PHallii_v3.1:3:8992875:8993721:1 gene:PAHAL_3G139700 transcript:PAN17557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEAAHGAAAAEEKSARAGPRRVPVDGRAVDQAVAYVLMAAALAVTYLVH >PVH61419 pep chromosome:PHallii_v3.1:3:1873390:1874208:1 gene:PAHAL_3G033100 transcript:PVH61419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRVGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLESMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHIRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRNGVLKRGDNVKRGRAMDRSAWRLAINVPEP >PAN18008 pep chromosome:PHallii_v3.1:3:11411751:11416507:1 gene:PAHAL_3G172300 transcript:PAN18008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGRVKAAMGFQRSPATPRPSSSSSSARKAPAPAPLQLPGSAASGAGQPETPRRRSSGSPAPSGSGSKAGPFSRYFPRSSAQVQPARPASEPAELVRLVEELQERESRLRTELLEHKILKETVAIVPFLETELAAKSSELGRCRDALSRLETENARLRAELDAAVAAARSNEQRVLEMEEELAEVRRRRREAAAEPDDCSSSASSDNSERSNAATNSVMPAKVVAGLSVLPPPAPPPPPPPPPMPAPYKSRSYFSGSSRASPANSSSSSSSSAPSTPTYSSDTAASRSRVPELSKLPPIPAPPPPPPPPPPPSMPTRGRRSATSSPSTSSSSSSGGAGPPAPPPPPPPATRRTSKASSPATSASIPAPAPCVRRVPEVVEFYHSLMRRDSRSRDTGATGDGGAGGSAAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVQSAAFADIEDVVTFVKWLDVELSRLVDERAVLKHFDWPEGKADALREAAFGYRDLKKIESEASSFSDDPRQPCSSALKKMQALFEKLEHGVYGLVRVRDGAMSRYRGYQIPWEWMQDTGIVSQIKLQSVKLAMKYLRRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQELKEKAFQSQREIQTQHLHQQRLAGRS >PAN18369 pep chromosome:PHallii_v3.1:3:12886454:12887085:1 gene:PAHAL_3G197000 transcript:PAN18369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKILYVAVVAAVAVSSFAGVAFAADAPAPSPTSGAAAVSSSLVAAVLCPAVALLLGNLRH >PVH61698 pep chromosome:PHallii_v3.1:3:6285718:6288793:-1 gene:PAHAL_3G099000 transcript:PVH61698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPVTATAVVAMAVALSFTQKNALWILLAYLFMVTVAGYTAGQRAKHVPRGRYIAFVSILVGTAVTMLVIVALKVFPFTPRYIIPVAGMLAGCAMTVTGVTMKKLQEDVKIQRNMVETALALGVTPREATLQQVRRSLGIALAPVIDNAKTVGQIALPGAMMGLIMGSASPLEAIQLQIVVKNMVMAANTVSSIVSSYLCWTSFTKAFQLKEEVFADE >PAN20784 pep chromosome:PHallii_v3.1:3:53012076:53013437:1 gene:PAHAL_3G410600 transcript:PAN20784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAYAPMASQVMKSGLVHSRPRGLSGAALTRRPRFTVKAIQPEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVTILSVCLTMYGVASFNEGDPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYYFK >PAN21998 pep chromosome:PHallii_v3.1:3:63590439:63596828:-1 gene:PAHAL_3G506400 transcript:PAN21998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MAKKLGKKARKFARKNLQSAAKRNRKIRNQFNNRRPRRGGSGAREDGDEDVQQRVDDATMATNDTADALIDGLEFPEDDIEIDADLSDSDGYLSEDPGCPYYSDSEDGDDVKGSIMQDGLDRQNDDMNLDIEKQKRKLKKLLDKDPEFANFLEKWQSELESYRSKEDSDEEDGMDSMDDDDDSNDANSPDTKILTTKTISEWCQLVSKDPKSSALRNLLNAFRDACRYGVHSDSPSMQRFQSTRLFYQIISFVLSESDNIFRALLEISDDANKGQIMNLRNSKKWQPVDPLLKSYLRNSLDLLSQLTDNRILSFVLTRLRASAVLFSAYPSTSSRLLKILFRLWASGDQSLSLSAFLMIRQVAALLPDCLDLCLTKAYNTYLASTKLVNDRNTKHIDFLMNCLVELYSLDVQKSCERAVTSVGQLNAILRQASKTKEKEDLRKIDNWQYINCVNLWVRFLCCNYKDYNLNPLFSQVLQVIRGVAHLFPGTRYLPLRLKLVQMLNELSTCSQMFFPIPSLLFDCLEFREVSQKEQTQKTKVNFSSLLKVPKNLLKSRDFQEECVLSAIQVLSAHFAQWSYHVSFPEVATIPLILLKRLHEQTTIESLHRPVKRLIDQVNENKDFIERKREVVSFSPNDKASVDSFLQEEKSSGNASFTRFYASIAENRQPRGRKTL >PAN20479 pep chromosome:PHallii_v3.1:3:25007042:25010305:-1 gene:PAHAL_3G323600 transcript:PAN20479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSTSMASEDDYETEQKKQAAADVLFHYSQFVMVCIGEDVRPTDLRLHLMKEVSGMPTSLKKEPQQPAASPDSSGEPSSSGTMKTEIS >PAN20789 pep chromosome:PHallii_v3.1:3:53090076:53090432:1 gene:PAHAL_3G411300 transcript:PAN20789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAVLKKAAVVAVCIVLSMSLLQLPVTATYCSDCASQCTSTCNAQVTSSCESIRTTKYQECLGSCSRGYRGTDSSYCSSTCRKATDNGYSSCQSSVYQQCYGPCINGCNSNCTNS >PVH62638 pep chromosome:PHallii_v3.1:3:33202507:33203241:-1 gene:PAHAL_3G349900 transcript:PVH62638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISGGTLRCDMMVFVERSTRYTDVDPWFISTSGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSFYLTGLNALYREQAVTQELEERRIRATSAEYSLAALQAQLQEYESRRGIGGWIEEEEEEPEETHWDKGTQTEDEMDWCLPIKKRPIRSEEESP >PVH62878 pep chromosome:PHallii_v3.1:3:54927658:54929511:1 gene:PAHAL_3G420900 transcript:PVH62878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGGGDNSWPMALRSAAHPQHDLAYQLLRGNRCDLCGGTIAAEFRYRCDTCDFDAHGGCLRPDPRTQARTTLPVLPTANAPHHPPPPLPPVVQPARPMPSSSSYAAPAPPPQYHQCGHASALPAPAPPQAAGQCVPVRPLELAPAPPPARRADLGCQSCAHAPSLSSGAAQPWLRERSRSLCAGELQPSSSATIPGHQQPWRLHG >PAN22045 pep chromosome:PHallii_v3.1:3:63812328:63816748:1 gene:PAHAL_3G509200 transcript:PAN22045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPPLLWFILFIFFAAGDGGAAALDAQAAYLARIKEQFPGPGMSRWDFSSPAPDYCRFQGVACDQGGNVTGIDVTSWRLVGRLPPGVCAALPALRELQMAYNDVRGGFPLGLLNCTSLEVLNVSYSGVSGAVPDLSPMRALRVLDMSNNLFTGAFPTSITNVTTLEVVNFNENPGFDIWRPPESFMALRRIRVLILSTTSMRGGIPAWFGNMSSLTDLELSGNFLIGRIPVSLARLPNLQFLELYYNQLEGVVPVELGNLTELTDIDLSENRLTGGIPESLCALPNLRVLQIYTNELTGPIPAVLGNSTQLRILSVYRNQLTGEIPADLGRYSDLNVIEVSENQLTGPLPPYACANGQLQYILVLSNLLTGPIPAAYAECTPLLRFRVSNNHLEGDVPPGIFGLPHASIVDLSYNHLTGPVPATVAGATNLTSLFASNNRMSGELPPEIAGASGLVKIDLSNNFIGGPIPEAVGRLARLNQLSLQGNRLNGSIPASLAGLRSLNVLNLSDNALSGAIPESLCTLLPNSLDFSNNNLSGPVPPPLIKEGLLESVAGNPGLCVAFRLNLTDPALPLCPRPSLRRGLAGNVWVVGVCALVCVVAALALARRWVLRARQDAEHDGAPTSPASSSSYDVTSFHKLSFDQHEILEALIEKNIVGHGGSGTVYKIELSSGELVAVKKLWVSARRPISSKQQQHHDVVMTTTTNSAGGGWLGDRELRTEVETLGSIRHKNIVKLYCCYSAADSNLLVYEYMPNGNLWEALHGCFLLLDWPTRHRVALGVAQGLAYLHHDLMFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGAADRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGDTRDIVHWVSGKVAGGAEADALDKRLAWSPYKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPPAGRTPKDKYDNNNNNSCKDEGSGQQPKLPASP >PAN18068 pep chromosome:PHallii_v3.1:3:11594897:11595367:-1 gene:PAHAL_3G175700 transcript:PAN18068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLWSLDATRRIAITSHRPIVSAKNWDQPSTQVTERNLAAKIIRTRGAFRRFTTGHNEVCGNWHWKA >PAN16101 pep chromosome:PHallii_v3.1:3:1879328:1880397:-1 gene:PAHAL_3G033300 transcript:PAN16101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGSGGGGGATRRNCCGGFCGFLFTVGFIVLIYWAIFQPHHIRAAVDSATLSNLTVSNNAASAADVSYHLAVKLSLYNPSARVNIYYDALDAELRFRDAVVIGPAASDTSPSEFYQRSKTNEVVKVEFDYGAQGVAVAGDVAGELEKEMRSGGPVSLDLHVGVQVRYVFRMFKLRQKPRIWCPLSIPVRKESRGRGVGGLLVSGDRCRVKY >PAN18790 pep chromosome:PHallii_v3.1:3:14704508:14706144:-1 gene:PAHAL_3G226100 transcript:PAN18790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVAVLALLLSALAAAASAQLDVKFYSQSCPSVEDVVRKEMVRALAAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSTAGNTAEKDAKPNLTLRGFGFIERVKAAVEKACPDTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSISNETDQLPPPSGNFTELTQLFAAKNLDAKDLVVLSAGHTIGTSHCFSFSDRLYNFTGMDNARDTDPTLDPLYMARLRAKCPSLDDNTTLVEMDPGSFKTFDLSYYGHVAKRRGLFHSDGALLTDPSTRAYVLRHAGGAYREEFFADFATSMVKMGSVEVLTGTQGEIRKKCSVVN >PAN21878 pep chromosome:PHallii_v3.1:3:62750305:62755087:-1 gene:PAHAL_3G496800 transcript:PAN21878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVVHSVSGDHRAEDQHQQQKQAEPADQQEAPVTSSDSQPTVGTPSADYVAPYAPNDMGHAMGQYAYPNIDPYYGILYAAYGGQPMMHPPLVGMHPTGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEENSDSSHKEKQNGVAPHKSGQPSTPPSPNGASSANLADSHQ >PAN19521 pep chromosome:PHallii_v3.1:3:18719582:18724041:1 gene:PAHAL_3G279200 transcript:PAN19521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGLDRWRDFFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTAHRRDAAAPAPASLGSAAASATPATPVEEDKGSVRRVAEKESKVDSSSNGAHGGGHGHGDEDDDSDSDDERLRRAAASNYGHSYDDDDDDEDQEEDEQQHAADDAEEEEEDHEAEELEALTNEIDEESQIVGEVLRIKDLLLHKEDQSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQQIRHLVRTLIEGWKVLVDEWVSTTNAALADNSPGSSNPSVVDEEDEEGLPSPPLDEGAFFATQPTSIQLSEFFDEMDEDGNLRHNSDASLGNKRGNNGGRPANYSAVATQEPPRHSPGAVEKVQFRRPELARQEPSMRQANPQKPQSSSLQVKPHGVLNSNKQSKPSSYESGPGRPLKAAPPQKPFGDMKPRQTHNSVERRPATSQMDKSRLAAQSSSGARLELAKPKVNDDGLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMVKSRNNLRNWANGRR >PVH62607 pep chromosome:PHallii_v3.1:3:27975415:27977940:1 gene:PAHAL_3G336000 transcript:PVH62607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDGDIASLFRKHAAKRQNVNPMPPPEATQEQERVIEENVNPMPPPPPPHPEATQEQEEERVIEEIVNPTPPPPSPPLPPSAPPTYDVSRLPNDPGERQPIASFHANDHDAIRRAYILRGPFQPYAHEFPKRWIGDRERHFNFVWFHNFPWVEYSVKKDAVFCFVCYLFKNKESKGKGTDAFTVKGWKNWNIGENALLKHARSKAHKAAQEKYFGFLNPDAAIDDKIEKWSAEDRYLYKKRLTYSLRCLKFLLHQGLAFRGHDESAESSNRGNFIELLKFVAAHSEEVNKYVLNNAPSNCTLTSPMIQKQIIQCCAIETRKKIIGELGEEPFAILADECSDISHKEQLALCLRYVDTSGRPCEHFLGVVHIDDTTSLSLKDAIEALLVSHGLTLTRIRGQGYDGASNMRGDIKGLKTLIMQESPSAYYIHCFAHQLQLVLVAVAKGNSDCVWFFDQVSLLLNIVGVSCKRHGMLRDARIENLMRALDCGELETGSGLNQEMGLARPGETRWSSHYKAVCNIIAMYPIIHEVLFTLGEDTTVRANWTKIHTMLGAFESFDFVFCLHLMFTILGYTNDLSECLQRREQDILNAITLVKAAKKRMEHLRNHGWDQFLDRVILFCNKHGVQVPAMEGNYVPFGRSVRFVHDQNNDDHFRREIYIGVIDQISIELASRFDEVNMELLSCMAAFDPSNSFASFDAQKVRRLAEFYPNDISSTDLLKLDFQLDNFIDVLREDDDFKDLHNLVDLSVKLVEKKRHKVYDVVYLLLKLVLLLPVATASVERAFSALTFVKSKLRNKMGDSLLDDCLVTYIEKDFFFEVDEEDIIQTFMDLRKRRPNKKT >PAN18707 pep chromosome:PHallii_v3.1:3:14275224:14282113:1 gene:PAHAL_3G219800 transcript:PAN18707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALLSHRRLLLPGARSGAAHPFASAVSASRAGAASYTARFASTSANAAAAAGAQADPAATEAAASSAGAGEQPSPPPLPAARGRWGLFKFGALAAVAGAIGGVGYATYAYSLPELEEKTREFRRKNNPAPPVPEDASAFEKYKALAYSTAMKVPVAAIELYLDVRGTIEDHVRGFTEPTSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFLEHMAKFYEVVVYSDQPPMYVEPVFERLNSGGTISHRLSRPATKYLDGKHYRDLSKLNRNPKQVIYLSAHALETCLQPENCVEIKPFKLENNKDTQLLDLIPFLEFVAMARPSDIRTVLASYQGHDVAAEFIERSKEHQRRVHEQSKHGRLWRR >PVH61593 pep chromosome:PHallii_v3.1:3:4552011:4552328:-1 gene:PAHAL_3G071700 transcript:PVH61593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCARGTDVDESRVDTSAVWNDAQPRKKRRNASRQREEATKGVCGIGGERCSGQVESGKRDVWRVMWGPHVHRDIDNLCLPPAPVSNLLGVCHLYGRFFRVGRLI >PAN17341 pep chromosome:PHallii_v3.1:3:8163700:8169360:1 gene:PAHAL_3G126000 transcript:PAN17341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLYLKDGLNTVILPDEKQGTTARWLCLFLLDVVRPLLGCTISMLLIHPHAADEHQGSCSMKMKCELTYSWNLSLSCGDQVEHSQESKFTATCTDRSTGLPNPDEDFQFVVPNSVLADDDKEKTIKSLASLSSVLLAGRSGGTAKCLDRRLPAGGRW >PAN18244 pep chromosome:PHallii_v3.1:3:12366978:12370165:-1 gene:PAHAL_3G188600 transcript:PAN18244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVAVLDNPTAFLNPFQFEISYECLVPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFILQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKVLIDRVQRNILADKPRVTKFPINFHPEPNTSAGQQQQEPQTASPENHTGNGDGSKPEADQ >PVH61385 pep chromosome:PHallii_v3.1:3:1103495:1104784:1 gene:PAHAL_3G020400 transcript:PVH61385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSCHPRGLSWLARSCIPADPARHIAVPVPISAASTSSNPASDSESPISALPDELLLECLARVPRASLPPLPVVCRRFAALLASHGFLHLRRAHGRIRPCLLAVSVSSAHGAAVTFARALLQLGASSRPELEVAALPLPPPLLHCAGSSAFAHARAVALGREVFLIGRGATLRVDALTGAARACAPTLFQRKKFAAAAVGSRIYVAGGTARTAAVEEYDPAADAWRVVAEAPRRRYGCAGAAAGGVFYVAGGVAVSGHPGGDGAPRALEAHACAGSVDALHVASGAWAWSARPRAVPGGGCIVGACGAGDGHLYVVASHAADLSFWRWSGGGANRGGGGSCGWVALEAPPVPRGSVGLGMAVRVALAGVGGDRVAAVVNVAAVRGHNAAGGALEGLVVVYDIGGGKWSRAPDLPPGFRRAACAAVEC >PVH62723 pep chromosome:PHallii_v3.1:3:43038885:43039745:-1 gene:PAHAL_3G379800 transcript:PVH62723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDPIRYEELPAEHKQKYDEIKALFKADLIGSFEKTRHHGVRWKGFSPEGALDDVDLSTPSEDRTRALRQEVNYMVAHSLHRHSESLVNAFERVALRVVQEIMKHQYSPTGPTLGSHKGELPFQAKPPLPYAFAAPGSYDSPAYVVYKVGGDPVDHQFFSEPPMEIPHGYMCAYIPDSNNPIHSVQRAVGGVSGVDADKQAWLATYATGSSHDSTHSAPGAQTVDQINAILRDQFGILPKRRAIGYTKPYPSEYDLIPLPPKYRLPEFTKFNGAEGSSSIEHVS >PVH62369 pep chromosome:PHallii_v3.1:3:18389517:18393299:-1 gene:PAHAL_3G275400 transcript:PVH62369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDPKSPIGSRAMPNYSKGVMVTEGTPKKAITTSVVKSSVLENISYAKERVEFQVDGKQKSRKMLTSNVRDDRGLDNFRKPNKEGLREGVRAQAYKSNNMIPSLTESVKTRAPAPPPPPPPLRWPSCTETKPPDANNSPAGGRKPKASAPHLH >PAN16260 pep chromosome:PHallii_v3.1:3:3120392:3123077:-1 gene:PAHAL_3G048900 transcript:PAN16260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHNGPKHVAPMEVSVEAGNSGAAEWLDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGAKVAFCGVIQYANLVGVAIGYTIASSISMQAIRRAGCFHKNGHGDPCKSSSNPYMILFGIVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIAQTISNGGFKGSLTGISIGADVSSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMQKATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDIANIAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISRELLVGPFALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSIKWICLQTLSISCLIVSIAAAAGSIADVIDALKVYRPFSS >PVH62916 pep chromosome:PHallii_v3.1:3:56319975:56321538:-1 gene:PAHAL_3G429400 transcript:PVH62916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHSLSPSGSSRRRRLSELLCEQQEPFYLDLYLLEKGCSPGFLDAAAHAGGACSTCWPSARSTGGRLLRRPAERSKKGPRGSGVLRLLLSKILSGATTARAPAAATAARKKRPQPAAIEWRRVDDEKQGVPGRSTDGTVGSPQRAVEGHHTEVDEEQEEDDEEDEDESSKKQLLSPVSVLEQRLFEHSPPPHAQKAFVLFSELLEAACTPTTLLHLLANARQYKPKDGRRRGTDGGSTPPPRRRARKKKKNSSHARREPDDDAPLERDLAAVTALVASEMPGARVRAEDLRPSAQDVGADIAAAVLDALAEEAAAELLLLLLTDGDGDGPRPCG >PAN21711 pep chromosome:PHallii_v3.1:3:61669662:61670668:-1 gene:PAHAL_3G484300 transcript:PAN21711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTATNLAGLWFGELAAAMQGTWQATPPGRGDQRPRKQQKLRSEKKAVLGAAAAGTKAATETKGDVGSCGGAMPDATAYLLLDRFAPS >PAN17088 pep chromosome:PHallii_v3.1:3:6693337:6693575:1 gene:PAHAL_3G105100 transcript:PAN17088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQPKISTVTQPKQMTREPLDCCLPSGINHGQMVFVDTTGERNQRTIFV >PAN17671 pep chromosome:PHallii_v3.1:3:9585706:9591496:1 gene:PAHAL_3G148500 transcript:PAN17671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIASGAVDRGHLSPFATLPESSSSSFFSEDLVPAERQVGFWKSESRVDHKGSKSAFASPLDKVHSMGANPVGGLEHPRGQAFKGQLDMLSLGNLMGQEENASSLPSISWGDILGSSRSSFGSSTRGTAFVEPTSADQHVHDYGNYLSSSSLSEVFSSKSRMMVGGVPGQSADAINSGCEGDEPLGSMKEMEAQTIGDLLPDDDDLISGITDGFECAGLSNQDDADEDIFYTGGGMELENDDSSNCDKFHERSFESQLSGKHSISKHPSRALIVKNINPSIEDSELRVQFQQYGDIRALHTSCKNHGFVTVSYYDIRAAQNAMRALHNTPLGLMNLDVQFSITKENVLNRDANNGILAVSIFDSSISKHDLLQIFSVYGDVKEIRKAPTGSNKIFVEFYDVRAAEVALNNLNKGDMACSKIKVEHSRSEGAGLCFTEQCSGEWKQDTVTHQLKNSPPGTIGKSDPKSWENGTIHNLYSPVRPQLHGFSVNGSQKLSSPIRIEPTRQHNNQATLGEFNGSLGHGSFGRGLQALHPHSLPECHNGICNGKSMTLSARNSGFRLTEGVDYNNHKICSSDHHGHSSDQSETLGVTEIGSCPLHGHHHTWNNSNGFPQSPSAPMLWSNFHQPMHMHGYLAMPPHMRNTGAHPMDQHHLGSAPSNVGGFANVHSFHPGSLENVGFPGSPQLYPSDISVFAPARGNYRETMFSPVNAGFPSLQQIFHATNGRGPMMRVSTSYDATNDRIRSRRHDGNAAQSENKKQFDLDLDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIVPFYKTFNGKKWEKFNSEKVASLAYARIQGRNALISHFQNSSLMNEEKWCRPMLFHKDGPNAGDQEPFPVGNNVRTRSGRNRPLTGSDTKEGSPSTSPN >PVH62306 pep chromosome:PHallii_v3.1:3:17454148:17455285:1 gene:PAHAL_3G263300 transcript:PVH62306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIQTTSPKTSSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVVSVVVWVLVVILAIVAVHCPLPRRVVR >PAN19190 pep chromosome:PHallii_v3.1:3:16695369:16701152:-1 gene:PAHAL_3G255300 transcript:PAN19190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLWKPVAILAAFMTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWVFVLFFSAVSCILWLTSCSLLTVLWALLIALFATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >PVH61798 pep chromosome:PHallii_v3.1:3:8120647:8123858:-1 gene:PAHAL_3G125200 transcript:PVH61798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVASPPAATALCHAMERLVESIRVPCPHAAHGCTARPAYHDREGHRSACPHAPCHYPGEACSFLGSTSALLDHISEVHSWPCITNLEADKYGEFAVHLRDGFDFLLADCPTANMKQGATATVQCLLLLTVARQPVGRTISVLCIDPHAAAAANGGDGPAASKQMECRLTY >PVH62015 pep chromosome:PHallii_v3.1:3:11980417:11981718:1 gene:PAHAL_3G182800 transcript:PVH62015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAPTDRGKLRQTQRWVGLSPVTGHRPGDPKLQKATWSTDGIPATAIAAALKLPARRSGLGSWHQNSDESVTKRLHRMNQRLRQLEQQMETLEADVAKASGDSEILEGRS >PVH61637 pep chromosome:PHallii_v3.1:3:5388019:5389068:1 gene:PAHAL_3G082600 transcript:PVH61637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPSSPAIARGLEPDDAAAAGRRTWRLSGSLCFLHGLASPRRELRPPLQLSPGAWNPTTRPRRRGELSGSLCFLHDRVLRLCGRLRPGSRAVPYRHDSEKRRVELKAKLAMTL >PAN18540 pep chromosome:PHallii_v3.1:3:13599272:13606940:1 gene:PAHAL_3G209300 transcript:PAN18540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDGEVDGIHAANRRAELVKWLNALFPEFNLPSDSSDEELRELLGDGMVLCRIANTLIPGVLEGSWGGYASMDQRSGNVKKFLSVVADMGLPGFSVKELDEGSMSSVVECLLVLRDSVDPRLGDDSPPDVAKTPSRKQWGVPEMDRPQVPGAALGKRSPGEDTRNGVPESKAHQKTSVFSGQKFREVFQLKRGSYSDLPASKISEMMHSSSLDNAPTQSLISVVNGILDESIERKKGEIPHRVVYLLRKVIQEIERRLCIQAEHIRSQNIIIKTREEKYSSKIKALEILVNGTNEENKMATNRLQILKDEKSKIEERRKVSEQDVHRLMKEKEHSENIIERLKKDMEAMNRMHKEQREQIERKAKQMEEQFTTKVKEVEYLLLQSNKKVEEVEAASKLKSQLWDKKEHIFQSYMDNQHLYFKDIRISSRSIKNDMYALQMKWRDEISNLGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTIDYMGENGELLISNPFKQGKDGHRMFKFNKVFNPFASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLSNDIAQRRLGIWSTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKSGSTSRGCLHLIDLAGSERVEKSEVTGDRLKEAQYINKSLSALGDVIFALAQKSAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIEQLQLIKDKVKPPNLSSDRNGAGLTKNTVNQPSQLFSGERMLKSSDRVLSDPLSYAEANGNTNHNSTDIAPGDLDEAEYEGNASDDGLSAGETENFNSDKAVEMTAERHRVPSRITRFTLTKNGQPSMSRSKPKDAVLKTPSNTKAPSSQLTGGSSARGSKRWQ >PVH62127 pep chromosome:PHallii_v3.1:3:13599272:13606940:1 gene:PAHAL_3G209300 transcript:PVH62127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDGEVDGIHAANRRAELVKWLNALFPEFNLPSDSSDEELRELLGDGMVLCRIANTLIPGVLEGSWGGYASMDQRSGNVKKFLSVVADMGLPGFSVKELDEGSMSSVVECLLVLRDSVDPRLGDDSPPDVAKTPSRKQWGVPEMDRPQVPGAALGKRSPGEDTRNGVPESKAHQKTSVFSGQKFREVFQLKRGSYSDLPASKISEMMHSSSLDNAPTQSLISVVNGILDESIERKKGEIPHRVVYLLRKVIQEIERRLCIQAEHIRSQNIIIKTREEKYSSKIKALEILVNGTNEENKMATNRLQILKDEKSKIEERRKVSEQDVHRLMKEKEHSENIIERLKKDMEAMNRMHKEQREQIERKAKQMEEQFTTKVKEVEYLLLQSNKKVEEVEAASKLKSQLWDKKEHIFQSYMDNQHLYFKDIRISSRSIKNDMYALQMKWRDEISNLGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTIDYMGENGELLISNPFKQGKDGHRMFKFNKVFNPFASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLSNDIAQRRLGIWSTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKSGSTSRGCLHLIDLAGSERVEKSEVTGDRLKEAQYINKSLSALGDVIFALAQKSAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQMKQNMRAMHPMMVYQQVKPRISTQIKLLR >PAN17084 pep chromosome:PHallii_v3.1:3:6777429:6780897:-1 gene:PAHAL_3G106300 transcript:PAN17084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMSKLLAPPHSQHAATSRRLLPPRTWFARSPAGRRVAAPPYRLVPSGAALLSQPHRAVPACRVVHCCSLVAARRVAASCASADRAGTTSLAAAEEEELDDLPFVRLSSDILQTELSLLTDGAPAADSSLFAALERRDSDGDRLLGEAAAYPAAMTALYAACLAGNVTEQLWNFTWPAAIATLHPSLLPVAVLGFFTKLVVFAAGPLVGDLMSSLPRIPAYRSLTVIQTAAHWVSAAMIAYAFTLPRASTAPALLLQPWFAVLVASTAVDRLSCVSLGVIAERDFVVQLAGEGRPIALARANATLSRVDLLCETAGASIFAVLLSRNDPLTCIRLSCAISFCALPLLLFLGGAMNRLADGIFDHATSLGSLKRSEHESTHTTIAFSIKKKAEEAWATIRHGWTEYLRQPVLPASLAYVLVCFNVALAPGALMTTFLIHHGVSASVLGVFGGSSAVMGILATFMVPKLVKELGILKAGAAGLIAQSALLGAAVLVFLTGPVSQQGALFVFLGLIVASRLGHMAYSVVSLQVVQTGNPMGKAKLIGATEIAVASLAELAMMAVAVVAKDAAHFGWLATLSVASVAAAACLFCAWLANPTNELKRLFPC >PVH61274 pep chromosome:PHallii_v3.1:3:178475:182445:1 gene:PAHAL_3G003300 transcript:PVH61274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRPLLLLLLLLLLAPPATAKAAHRPAGDGVVISQADYQGLQAIRHDLADPYGFLRSWNDSGLTACSGAWAGIKCVLGSVVAITLPWRGLGGTLSQRGLGQLTRLRRLSLHDNAIAGPIPASLGFLPDLRGVYLFNNRFSGAVPASIGGCLALQSFDASNNRLTGAIPPAVANSTKLIRLNLSRNALSDEIPAEVVASASLLVLDLSYNNLSGPIPDAFAPSTKSPSKLLNKESITGTYQLVFLSLAHNSLDGPIPESLAKLTKLQDLDLSGNNLNGTIPAELGNLTATLHSFNVSYNNLSGAVPPSLARKFGEPAFTGNILLCGYSASTPCPASPSPAPSSPASPAEESRGRRKFSTKELTLIIAGIVAGVLILLLLCCLLLCFLTRKRSSSGTAAGARSGKQQAAKDAAGAGAAAAGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKSHKDFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLTSFLHARAPNTPVDWATRMTIAKGTARGLAYLHDDMSIVHGNLTASNVLLDEQCNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKTPAESTNGMDLPQWVASIVKEEWTSEVFDLELMRDAAAGPVGDELMDTLKLALHCVDPAPAVRPEAREVLRQLEQIRPGSDGGAGPSEEGAGAHVPAAPAGDDE >PVH61269 pep chromosome:PHallii_v3.1:3:120281:121365:-1 gene:PAHAL_3G002000 transcript:PVH61269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFSSCPSMMLNRKHRSAGIQRRLLHQRGDHQVAESPKSNGNDGSERRTIPHWAIYTLAISGAVLFVVVAATATYLLFSRRKKDNTVTPWSIGLSGPLRKAFVAGVPSLGRTELQTACEDFINVIGSSSECILYKGTLSSGVEIAVVSTSADSAKDWSDSSEEQFKNKISVLSKVSHKNLMNLLGYCTCDEPFTRMMVFEYAPCGSLFEHLHIREAEDLDWPTRLRIIMGVAYDGSEHERCQ >PAN20742 pep chromosome:PHallii_v3.1:3:52615437:52620101:1 gene:PAHAL_3G408800 transcript:PAN20742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAPHHLSRPAAASGAVSGALNRHRAFSSTSCSPLRLPLLRAAATQPARLSAAAVSTAAATMEAAPMDAVAKWGLTPLSEADPEVYDLIEREKRRQRAGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALAAFHLDPERWGVNVQPYSGSPANFAAYTGLLQPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSDNGYVDYDRLEEKAMDFRPKLIICGGSAYPRDWDYARLRAIADKCGAMLLCDMAHISGLVAAQEALNPFEYSDVVTTTTHKSLRGPRSGMIFFRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIAALAVALKQAMSPGFKAYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVELLCDLCSITLNKNAVFGDSSALSPGGVRIGTPAMTSRGLVEDDFVQIAEYLHQAVTICLKVQEEHGKILKDFKKGLVNNQDIENLKAEVEKFATSFEMPGFRVSDMKYKD >PAN17337 pep chromosome:PHallii_v3.1:3:8010179:8014899:-1 gene:PAHAL_3G123500 transcript:PAN17337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGAEPKDDAAAAGSAAAAEGGRGGTEEKAAAVSCSICLDAVLAAAGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLFANGSRSAHDVNMDEWAHDEDLYDVTYSEMPFRFHWCPFGRLAQLPSLFEEGESSPPVTFHDFMGQHVFTEQVAVSAAPGTTHPCPYVAYLHPLPPLTSSSSSHVPERTMDRPAYHDHWNPLAGPSDVRPMQTVQPSDFHHNHWAHMPHSYAQPNSSNEQPGIPFGTRAARVDGDSQRRTSVVSPSYFSNGSGSRSRAPNVPPLMPQFMRAHGSISEQYQQNSSSSLFAGAHRSGGMRPAPAPLPENPTFSLFPPGSSGHNSMETDDVGGSRFYAWERDRFAPYPLMPVDCETSWWTSQQSHSTSEPASAPRRLFGQWLGVGRSSPENRSPEGSSYRQLHSPRM >PVH62726 pep chromosome:PHallii_v3.1:3:43386660:43387562:1 gene:PAHAL_3G380800 transcript:PVH62726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYEEEGVPRFRVKMTVSPHPTLSLWQPIEVNVIGHRLADTFEAAAIEAIHIFCDQHPEEVAGYPISLFPAMDSRDPEWTFRLIYRDHLLGTLAGETLRTSVKFMNAQYRYQTLQQHGIYRLTNIAQSYHNQVGRQNTQIEELQATVTAREEVITQRKGTIQHREEQIVESDTLITQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPVQPVVDDFESEEEEEDPEEVEGVSEIDSEHGDPVLSPHHSSSGS >PAN16889 pep chromosome:PHallii_v3.1:3:5910613:5912931:1 gene:PAHAL_3G092200 transcript:PAN16889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVARLAAPCFAPAHGARGHRADGWGAADVHTNGALDDGSSSIGHILSFDGREGPVFAGAIHGVLLPSNQSTLGCAAGGSVLNDQMSFSGSSSFDSSNSFSFRTLQPRQYSGPLEYSTSPSTSATTSGVSVARQAPRTDEQILADLYATRHRRQCLQQQASKTSPLLGGLRRAVASVLRAGPCVSPGRNQDSGEHAVAAGNNGAGICAAIGSHAESNSRNGEAATGDGAARVQWARGKAGEDRVHVVMSEEHGWMFVGIYDGFNGPDATDYLVANLYAAVCRELNGVLAEDVDPADRPDWKPPPRCNGHRTARSHEQEVLDAMARALESTEAAFFAEAEARAAECPELAMMGSCVLVVLMKGADVYVMNVGDSRAVLAQRVEPDLAGVNEEINRQLDACEMEELAALQLTKDHSTSVYKEARRIRSEHLDDPACIVNDRVKGSLKVTRAFGAGYLKEPRWNEALLEVFRVNYVGTSPYITCRPYLQHHRRGSRDKFMILSSDGLYDYFTNEEVVAQVEAFTARYPDEDPAKYLSHEILLRAANQAGMGFHELLEVQQGDRRRYHDDVSIIIISLEGKIGHRSVNFVTTDHDIVMVE >PAN16553 pep chromosome:PHallii_v3.1:3:4369818:4373981:1 gene:PAHAL_3G068400 transcript:PAN16553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVAVQLHSLLFKLLLRRRLSSLSAPAPAPAPAALFGVSSRPADQRPSPPSNPSFSPASPDGVATKDLHPDPLSSLHLRLFLPNPNHHAAAPVPRPLRRNSFPQRDPAPGQDQLSRRASASFHAVGVSPEPAPAPEPAPAPATRDYGGYLPSAGAARSAVRRKLPVIVQFHGGAFVTGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVAVLRWIAKQANLAACGRTMMGKGTGADSFGAAMVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGKLLDPVRVVAQVLMYPFFMGSAPTRSELKLANSYFYDKSSCLLAWKLFLPEGEFSLDHPAANPLVPGRGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPQAHACAEDIAIWIKKYISLRGHELSY >PAN20103 pep chromosome:PHallii_v3.1:3:23132943:23135450:-1 gene:PAHAL_3G317300 transcript:PAN20103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTDYDGTVVWQTDGKFVPDVQYAQLLDTGNLVLKNSSGDIVWQSFGSPTDTFLPTQCVKEASKLVSTTQLHVPGHYTFRFSDQSMLSLIYDDANVTSVYWPDPDFQYYENGRNFYNSTRIASLGDSGEIFSSDFANSHVLAASDRGTGIKRRLKLDYDGNLRLYSLNNSDKTWSVSWIAESQPCKTHGVCGPYGICHYSPKPTCSCTPGYRMKNPRNWTEGCLPIVDITCDGEQNVTFLELRNTDFWGSDQQRIEKVPWEACRKACLSDCSCKGFQYQEGNGTCYPKSLLFNGRSFPNPTVRTMYIKLPSSPDTSKFPIPQSNVLDPLPYHLKCGPVSTLNMEQNPSYWHKTSEDQSKWFYFYGFIGAFFIIEVFFFAFAWFFVLRKELRSSQVWAAEEGYKMMANHFRMFSYRELAKATEKFTHELGWGGRGVAYKGTLDDDRMVVVKKLGSIRHSREEFHDELHVIARINHMNLVRIYGYCSERSHRMLVLEYAEKGSLADVLFKSKISLDWKQRFNIALGVAKGLAYLHHECLEWIIHCNLKPENILLDQDLEPKITDFGLAKLLNRSGDNENVSRARGTIGYIAPEWISGLPITAKVDVYSYGVVLLELVTGTRIFDLVKGEDDKVHVMLKKFIKMLYYRLDREEPFWIAEFVDVRLGGEFDYSQAKALIKLAVSCLEEERKKRATMESVVESLLSVDLAEDH >PAN18219 pep chromosome:PHallii_v3.1:3:12258991:12261851:-1 gene:PAHAL_3G186700 transcript:PAN18219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVRAAAAALVFVALASAATAQMESCNGELPPVLAANYSGLACQPVWNNFVLRYHQDKNNVLRVVLSSMYSTGWVGMGFSRDGLMIGSSAMVGWIGKKGLPHIRQFALRGKSSSKVVVDRGFLVSNDHDHTVVVQQAKIYLAFQLKFSYRLTHQHIIMAFGNSIPVKNKLTRHQDKTSFTFDFTTGRASVDGSFPYGLRRAHGALNVFAWGVLMPIGAILARYFRRVDPLWFYLHVGLQFVGFIIGLAGVVAGVALYSKIQADIPAHRGLGIFVLFLGILQILAFFLRPNADSKYRKYWNWYHHWAGRLALFFAAVNIVLGIHVGGADNSWKIGYGFNLAVILVAVIALEFMLWTRWSKNSTSTPTY >PAN18277 pep chromosome:PHallii_v3.1:3:12530083:12531841:1 gene:PAHAL_3G191400 transcript:PAN18277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHVESSSSDDDGPATRLFHRRRSVHKLLGRWKVADILLWRNKNLSAGILAGATLVWFLFDVVEYNVVTLLCHIALLGMLLLFIWSNAAPLFDRRPPHIPEAIVSEKAFREIAQTTQYKLAHFVSILYDIACGKDLKKFLMVIGSLWVLAVVGDTCSFTTLLYVGFLCALTLPPLYERYETEVDHIVAKGGEDLKKFYEKVDSNVLNKIPRGPIKTKVR >PAN17466 pep chromosome:PHallii_v3.1:3:8590899:8591685:1 gene:PAHAL_3G133300 transcript:PAN17466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVPAASVGASPSSSAAASPSADMLKTRAESSHEDCLPEMQRNCLLAGDGTC >PAN18516 pep chromosome:PHallii_v3.1:3:13273844:13275573:-1 gene:PAHAL_3G203800 transcript:PAN18516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRSKREVAPLPQTSSGSADAPGCIYNTILVEWSYATNQLVWLCTCRSWQMLLLVVLRAVICCQEAYIV >PAN22107 pep chromosome:PHallii_v3.1:3:64207265:64208928:-1 gene:PAHAL_3G514100 transcript:PAN22107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTRRRQSTSSSSTKTAELDPVLHSIGFEIEEVSPSQLTGRLPVTARCCQPFKVLHGGVSALVAEGLASMGAHMASDYRRVAGVSLSINHFRSAAVGDVVLARAAPVYVGRSTQVWEVKLWKEDPSAPGKKGPQISESRVTLLCNLPVPERLKNAGDALKKYAATSRL >PVH62278 pep chromosome:PHallii_v3.1:3:16668698:16669604:1 gene:PAHAL_3G254600 transcript:PVH62278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSVLPKSTDEARIKENIDIFGWSIPEELMAEFSEIEQVKLLRAEFGVNPMNGYKTLEDLWDGEF >PVH62651 pep chromosome:PHallii_v3.1:3:36501543:36502242:-1 gene:PAHAL_3G359000 transcript:PVH62651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIVALLIASLFVDKADSELSFLPRITCIHALQIISLEFATSSIANPTLSRQSHKPLIHSHSIAVGE >PVH63057 pep chromosome:PHallii_v3.1:3:60059904:60062261:-1 gene:PAHAL_3G463800 transcript:PVH63057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQRAPSSPCDATWRISAGGGFVPARHARSQSPVRGRSATPSSWSSSSMTDDGSGAVVVGPARGSETPDTRARMPGLSRCASPEARARIGAASPGTARRVAVRLYESLGRHGLRREADRAFRDAVAAADDEDDAPADVGGGGVAGRELTGMACLLKDGFVGYLRELAKITPPVPKQIVKFCGITSSAKIETAAFSYETFGNKLLECFMQPVRSLSLSKRSAEFQILKGIDGYIMPGSMTLVLGPPGSGKSTLLKILAGRAAPGEDSGLSGMVIYNEKTVSEVQNSRLIAYVCGQLNK >PVH63152 pep chromosome:PHallii_v3.1:3:61525971:61527024:1 gene:PAHAL_3G481900 transcript:PVH63152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAASNLWLGGFAAAVQGAWQAMAAGHGDTRPRHQQLRKQQQLGGERKTTAVQGAAAAGGKAAKEGDVARCGGAMSDTTVYLLLDRFAPS >PAN16154 pep chromosome:PHallii_v3.1:3:2579233:2582530:-1 gene:PAHAL_3G040400 transcript:PAN16154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGRLRRALAAFGGGGDVWDLVDAALAAAARDSPDELRARRDSIVERLYAGGRCRNCDAPPAQAQAQPTKAAETAAAASAFPASPDEEVDADGLDDDEDDAGVESKILAIRDFLEDPDQSEDETVSLLQNLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSGGDGGGSIISDGDSPDKVQPKYHQNTQASDFKYSPSPQRQNGLSSERFNNVVESMEKRRASPAPAYHNTKQNSNSNYSTTSSSVPARTIREQKDTLLDSEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTVIRKSGGGGGLPARHR >PAN18460 pep chromosome:PHallii_v3.1:3:13248073:13252645:1 gene:PAHAL_3G203300 transcript:PAN18460 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MEVFHEEMPFDLDFHPSSPLVVTSLITGELCLFRYGSESQPERLFSVKAHKESCRAVRFVDSGKVILSGSADCSVLASDVETGKAIACLEDAHENGINRLICLTETMIATGDDEGCIKVWDTRERSCCNTFDVHEDYISDMTYISDSNQILATSGDGTLSVNNLRRNKVKSQSEFSEDELLSLVVMKSGKKVVCGTPSGALLLYSWGYFNDCSDRFLGHTQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDKKYLGSLSHDKMLKLWDLQELLNGPQVVNGGEPAASGSDDSDDDGNNDVMDVDMAPTSSKGSRSKKAGKGQSSSRPASDFFADL >PVH62925 pep chromosome:PHallii_v3.1:3:56574115:56577501:-1 gene:PAHAL_3G431000 transcript:PVH62925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLRDSQVCVCDQRWCELSYPPAAAQDQHSLVSHAQNLWNDWEIQCLVLVSFSLQVFLLFSAVFRKLYRSVVLSVLLWLAYLSADTVAVYLLGRLTLLVGDDPRHQIVLFWAPFLLLHLGGQETITAFSMEDCALWKRHLLNLATQVSLAIYVVCRQWRGGDKQLVAPTTLMFIAGMTSYAARIVALKRAQPTSLMSLSESSNVIYTFMPDHIEHRMSNYYDKLASIISHKQERNFEKVMELATNGFSLSLDFLMDVGKGYLTAPTFLTACSRESEEIILRCRSSDTDDMSFKLAEIHLSLIYDHLYTKFGGNLMAACCRLTTFALTSIALVLFVVSTRLDHKGNTYYKTADITISYILLVGAIALEISSVLLWLLSSYSPWKFLGTSSVASRVLYSIIKYLRPLESRVEWSGKMQQLNMVDWCIQERQTTAGWLERMKRRVGIEGRACNKPVEVSADLKNLVLHKMLQTLHAISSRRSELDLTKFHGQWAQLWVNHHSFSQSRLPKVVQHVSNLIEVLARTKKKKESSEAPQRAPEVSMFQDLGFVKSVFLWHLVTDLCLQDDQADTDTTPATEFLVDTTYATAILDDQADTDTGTTPATATLDDQADTDTTTTTASSSSSKDYKLKSSIRELSNYVMYLLVKCKAMVTVYDIDSLNGIRWKFLNSLVIIIDQQVGRRHILLNIQQCYNEYDVLSEANEITREDDVLSEAKKISREFLRMGKEEEGLWDLIAMVWVEMLCYIAFNCDAAFHTKQLCAGGEFVTHVKMLLVILNFSF >PVH63015 pep chromosome:PHallii_v3.1:3:59033107:59035277:-1 gene:PAHAL_3G454300 transcript:PVH63015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSQLSSLTPKDKLKTICVKVSRKWEFRGLNDDGPLQHVDLVLVDDQGNSIYAEIPASEAERHSSTLEEGKIYIMSRFRVCNAKNYCKSLPGPYMLEITCHTRINLARETATFPEYVYFLTPFDEIPGYIGDKKKFHDVLGFLVQINEPEWVHFANQATPALRRDMVIRDDKHVELKVSIWGRRVRDFLPTDMAIESSNNPIILLLTGCLVKLYQGQPYLSGSSACHWYFNANIPEAEVLLRSLHGSDIAIRRVGTPLEKGCTCTVTISRLIDDRTWWFPSCNLCNRSCKADGADYTCYECGTTNKYTYKYKLCFIATDGTNEAEMICFGEIGRRIVGKSVETIMRAPRGRDGLPMDIAAIVSSKFTLAVTMSEKSFRNPKKTYQITAIITAFGKQKNIPYHLPNQIQSSQTEIADSSSCANTPSKLLPINEVYLQTPPSKEVSRTLAR >PAN21367 pep chromosome:PHallii_v3.1:3:59660031:59661550:1 gene:PAHAL_3G459300 transcript:PAN21367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSGDHCASGSGGGGVGDESACSTPFVSAPSSPARDPSFSAAGCFYSAPASPARSGPGTNDEYGCELGFDFNFDFSRCPSPAAAAMSSADELFHNGQIRPMRLASFLLRPQALPPLNGDVPEGHPAPPLPEAEAKVAPADERGRFRSRSVHRRSRSLSPFRTHWLSPSSSPAPVASSGEPAAGEVAPSASRSSSSSSTASSASSSSSRSYRRWGFLKDLLHRSKSDSGKHPPLPSNPSPPPPAPKRNPSPAASRGRGRARTSAHARLYEARRAEAEEMRRRTFLPYRQGLLLGCLGLGSPGYGAMHGLAAAAAAGKSRP >PAN20808 pep chromosome:PHallii_v3.1:3:53389454:53393854:1 gene:PAHAL_3G412900 transcript:PAN20808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGTSRLKVNYDIPEDVLCENIFSRLPFKLVTCLKTMSKHCRLQITNNTKFATKQARLCPSCPALIQIGFLVNSDGRYDYYLNVISSTPTIVGVPSSTLGFLGSCINNKGSISLLASTNGLLCVQYIPDDKRHRVRTILIANPATQQAQPIPGAAQHLIQGRVVGLVFDPPNEPTSIEEHKIDQPKEEFSAKENKFKIVQAIPIKNTSYTTVEFRFVIFSSDTGRWVMSNTIVNANIKEDECDKVVYASGVLYWDYQDNLLWFDVSRSSGGIIKMPWILQGSKSEKWDHHSIDTSINDVLVCTTITKDGLAIYRLVEGGVRYWELMHKKEWKTIMEVSGDAFLSCHSMKLRNCWQSKFCERWLVRPFGLESGRWLYLGVIEKWDTLDKVLLCDLESGKVEDLGRDLSNQFNLMSIFGYRNSMAALPPIAVPPLLGEICDGNPGGCICSVK >PAN18782 pep chromosome:PHallii_v3.1:3:14657586:14659880:1 gene:PAHAL_3G225400 transcript:PAN18782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNLLNLPPSKAALKPSLPTRPSLSAPPRGTPLRRSLTFRSEPPRPTRRVHSSASSPASEAAPSPPSSREEAVAQARSCLAAALQKPLGNSVPPKKLKRQRQPRLRAEIPVVDDSPGSLARLALDVFSGGAGVSRKGAPARLLLVWPSAEALAVALREFENSGDLATAHAQLGSEESGALSACDATVFLAPVPAQVEQVKAAAAAVDPKPVVLFNPAWSFDEEEGEAFGAGARGFVGSFDVVYSFTGLEVRGLLSKKTGVLLRCVDGGRFGGESWVLMVENDGGAPEGQKFRVVSRLKKRPTIGEVETMLYNLMAANSPVTKSARFLREMVSNVTRGKGKR >PAN18102 pep chromosome:PHallii_v3.1:3:11730246:11733762:1 gene:PAHAL_3G178400 transcript:PAN18102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKPSDAATGDVRPEGIVSDEKVEGSDQNERDGMPSPQQEEAAIKKKYGGIMPRKTPLISKDHERAYFDSADWALGKQGGNPQKPKGPLEALRPKLQPTQQQTRSRRFLGGYADNEESVNSPTEDVNSPTEDAGQNQDNTEGKDKE >PAN18605 pep chromosome:PHallii_v3.1:3:13893579:13897334:-1 gene:PAHAL_3G213700 transcript:PAN18605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDPAAGGGGGAHPASGGKGSRSSTRHRQFRDRAKNRVDDLQEMFSGLQSARKESRSADAAVLEEQVHQMLREWRAELSVPSPASSLQNSQGNNREASDPPSETLRLLQLAVAEEEDDATSMLAVPRSPQQAPAFHQNQGQGHGQEVQMPNLNQQCEVVAGGAAPSQQSLGQGVQEDCGEVAAVANAMFNEQMYYIDHELSIDDFLQDDDYKINLPGSNEDHFNNLHGIGPLEHEQFDLPLDVPPDSYIDANNSEQNTGDVFVHMSDLLTTIWPSPSQYLGPKCALWDCGRPVGGSEDSGDYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFSALIAKVQGKNVGIPVCGGAATSKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFAGLKRSYYMDPQPSSSHEWHLFEYEINASDALALYRLEYKSSDSKKGAKSKLASSSLTEIQQQMVRLTADSPVENKRTARSKPKANHKDTNAKAFAHVNTPSQVNSSNAYQAAPQVNQMTFLNENVVYGPHLPHSENVVYGPHLPHSENIVYGPHLPHGYSAEGSSYFWNPRDGT >PVH63278 pep chromosome:PHallii_v3.1:3:63866305:63868596:-1 gene:PAHAL_3G510300 transcript:PVH63278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLLQLAMAPQLPPLSDPALEAFLADIGFGLGPDPTPLDLTTTTTMAATPPEEETSASASAAAAGEAERRLRRKISNRESARRSRARKQRHLEELRARAARLRAGNRELAARLRGVQGRAALVRLTNARLRAEAGALGRRLAAARRAIALRQMYAAASAAGTSGGFELQALASLIV >PAN16259 pep chromosome:PHallii_v3.1:3:3108680:3110754:1 gene:PAHAL_3G048800 transcript:PAN16259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHIGTNHVAPMEVSVEAGNAGAAEWLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGARVAFCGVIQYANLVGVAIGYTIASSISMKAIRRAGCFHNNGHADPCKSSSTPYMILFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFKGSLTGISIGAGVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISRELRVGPFALSVFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWISLQMLSAGCLIVSIAAAAGSIADVIDALKVYRPFSG >PAN21913 pep chromosome:PHallii_v3.1:3:62918375:62918977:-1 gene:PAHAL_3G499300 transcript:PAN21913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADASPLEVSPRAGSWRRSRPWSRSSSIGRSGSSSIEYTSLRDVMEEECGGGDHHHHLHSPWRGGGGSGWGEYSFHDIHDFDASNIGIRNQLLKHAASAYLQSAVVVAAGRDEGCCLARLWRRCGFGGGGRRGGGGRRGRVLMRACSWQGCVDDPAEVCAAFVARSARRVAAFVAGRVNAVWNSSPRAVPVVYHHADD >PAN15822 pep chromosome:PHallii_v3.1:3:13001330:13001623:-1 gene:PAHAL_3G198800 transcript:PAN15822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PVH63178 pep chromosome:PHallii_v3.1:3:61867652:61871501:1 gene:PAHAL_3G486700 transcript:PVH63178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCPGHAAVPWWRYPDDAGEAAAAAAAAGGGDTSVDMITDYSTDDLFELVCEQGGGGGAGGAPGLRTMRPAAESYHWSSPPPPEVRFEPPSEGQMAAWLCTIVRGEELAVNDCGGRDDVPAAKGSSDNASTTTESKGKLPAVTEGMQSNQASTLDHTIQYMKSLQQHVQAMSIGPARPAAAATVPVLPSQYAAPGAPPVAVPMMPAASVVLAPAPTTMVPFGAMLQMPHHYPAAVPVMMPGSASAVPLYPAAAPPRAAAIAPGGAGGSSASPRHGSGSRKGKGGRSQRQKH >PAN17305 pep chromosome:PHallii_v3.1:3:7646637:7655099:1 gene:PAHAL_3G120300 transcript:PAN17305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVGRDRLFAALGRTYTQEDFEALCFEFGIELDDVTTEKAIMRKEKHLEDDGEVEGDDEVIYKIEVAANRYDLLCLEGLARALRVFTGTEVNPVFRISSIPRGSMLQMHVKPQTSQIRPHVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLVAPFSYEALPPHEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLTTRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVIHHDGSKTVYPDLSCYRMEAPLSDILGPIGISLDEKQVVCLLNKMQLQAESHSSKGEHRISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILSSHEENFDMLNRTDDKSKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVMTLDPSCDVGASNNRRLAALYCNRVSGFEEIMGLVDSIVKIVRAPHVKFGEKYYVPTDEPEFFPKRQCKIVTSDGKQVGYLGIVHAEVLRKFGIPDPCTFVEMDIEALL >PVH62548 pep chromosome:PHallii_v3.1:3:23594166:23594662:-1 gene:PAHAL_3G320100 transcript:PVH62548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSRTTCLCCRACSVDFKNVFVCYHSFCRFKYTKKTSNTTVVNQMLCLLFCFVRRVRA >PVH63056 pep chromosome:PHallii_v3.1:3:60054520:60059253:-1 gene:PAHAL_3G463700 transcript:PVH63056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDQPLTGSDPAMTYDLVNTIRAVCRVQQSSAVMALNRLSNEAFDHFDRIILLGEGHLLYQGPRQDAVTYFAQLGYMKPPHVESWEFLQDIAAENGMQYLLPRSNIRGLEELVECYYSSDHYLDVIRVIGKSKEFSTYWVESEPGIGLSLKKSNIFNSNNAEHQEMEVVVTKLLNKSRYISGIESSGNIQVGDVVTGISINEEPMQYLAIGPIFDHKQHLNKVFSALRQARGHIRFQLERSDNKGNENEPRWEQFQRPYVQPWWKSTRTLIQRQLRILKQLHVLSTLRVIQACILGIFAGTLFYKLGGQYNLQHMNSVRALGFVTTMSILLINMPQLPLYMLQRPTFYKHRDQRFFRTSSYVVAHCVTNLPQAFIEALLYSVCVYFLAGLTMENNGVVFLDYLVLMFLVAYFGSSIFFFLSAVASIPEVANALAGLVVSIFLLFSGFVIYPSNIPQYWRWLMQINPIRWANISFCDQQFSYGYKESCIKYLNQLTFCKGNPEMASGKAYLIYAELVTSVSGKPYVPYMILIGWTLLALLMALMFLNKIEFSQISQSVPQINERKFSKNYLYDVEVYSSSLHGYIEDPIESGRYKSLEPPKLASSSKIVISEGENGSVESWREEFRVEVESEHLTIPVTPITLTFLDLSFARCGKVTKEEAIDFENVSGYVKPGTMLALVGGANGSASTLLKCLSGRTPPGGSFTGDIRVNSTKPSADFSRSVGYAERLDAHQPYLTIRESLQFSASLRLKNGISKTRRHIHVELVLDQLGLQYYANHLVGSLRDGTGKTYEVAKKLTIAVELAANPSILFLEEPISGLDSSGTSAILSILSQLPVYGQSVIATVSHPNTRALSYFHQVIILTHEGRQAYFGPVGLNCHEILGYFTAIPRVPPYIQTQNPISFVMGVTGQGIPGRRLAVTDFVEEFQNSHLHEVSMKVINNTTKNKKFAKEKDSKISISRNYPASFIRQIWLVLLRTQKFLWRNVNYTYSRFTGCVMIGLLMGSLYFKIKYEDTYGVTSRSLYIYMQTILIGVISANNVIPQIGTDRLAYFREMRSKMYLPIFYPVSWVISEIPYFLIATLAFVGIGNGMAGIATERATDLLAYWSVLFLFTLCMTYFGMMITFLAPSPILAAFLVSIITSLWISASGVVVLFSDIRFYKWMYWTNPFQYAMSTLTTISFYCDTSLCQRQCSCPRLPDGSYAWDRIASIRSLSQERIDADVVTLAGMCTTFAVLALLFFIILKHNSQQAH >PVH61916 pep chromosome:PHallii_v3.1:3:10054278:10055656:-1 gene:PAHAL_3G156100 transcript:PVH61916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFQNDDDKYRTSPITPSSTRINRDLFSDDAPSGLRFPSPQSGRVERFDLNSDGTKFPNIASYQELLQSQPNTNNSLDTSVANGGRGRGRSRSVDTGRGTAGRGFRVPRMASAVSRCGGGARGASSSREHGVPRPTCSLALDIADKMMKINNKPAGVRRTFTS >PAN21158 pep chromosome:PHallii_v3.1:3:58342570:58346807:-1 gene:PAHAL_3G446100 transcript:PAN21158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQARSASGKKEVGRGRSPEPLDFFIWTVEDVGLWLEEINLGGYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRAAKQNRKSRVVSLKLEP >PVH62648 pep chromosome:PHallii_v3.1:3:35341987:35342289:1 gene:PAHAL_3G357200 transcript:PVH62648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGTGSGQQGQNNQSTGQPTPIPMPPPLTPKQFFQLQIQMMATLNNTVQTLQLIHTQPPPPPPQQPCDRRADFLRGHPPTFSHAADPLQADDWLH >PAN18002 pep chromosome:PHallii_v3.1:3:11388015:11390512:1 gene:PAHAL_3G171800 transcript:PAN18002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVTIDMAMQQQHEAELAQAAAQPLPDDDDDLIE >PVH61537 pep chromosome:PHallii_v3.1:3:3585873:3591281:-1 gene:PAHAL_3G056600 transcript:PVH61537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSISGSRFGSGSGLGGLSTDMDSFASKPKGRPSQPATAPGKGFGMKLGKTQKTNQFLESLKAEGEVILEDVQPSTVPSRSSALPPSDPVTVTIEEKLNVVVKRDGGINNFDVQGTLALQVLNDADGFIQLQIENQDIPGLSFKTHPNINKELFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEASEIFDLHNVVISIPLPALREAPSVKQIDGEWKFDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSSFFPISVGFSASSTFSDLKVTGIHPLKEGNPPKFSQRARLLTANYQVV >PAN16384 pep chromosome:PHallii_v3.1:3:3585866:3590526:-1 gene:PAHAL_3G056600 transcript:PAN16384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSISGSRFGSGSGLGGLSTDMDSFASKPKGRPSQPATAPGKGFGMKLGKTQKTNQFLESLKAEGEVILEDVQPSTVPSRSSALPPSDPVTVTIEEKLNVVVKRDGGINNFDVQGTLALQVLNDADGFIQLQIENQDIPGLSFKTHPNINKELFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEASEIFDLHNVVISIPLPALREAPSVKQIDGEWKFDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSSFFPISVGFSASSTFSDLKVTGIHPLKEGNPPKFSQRARLLTANYQVV >PVH62578 pep chromosome:PHallii_v3.1:3:25676065:25678966:1 gene:PAHAL_3G327300 transcript:PVH62578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDLQQEVSNCNWTEMHAAPDRNIKDDEADGAAEKEFIDLEAEEGKKRKQIATKSEMWDHYTKIFDEGQLVKAQCKYCSSQIAAHPVLNGTSGMRKHYNACKSNPHVESKQGILSITQGTSVGTWKFDPELLRSAFAEMIIEDEEPFAKGEKPDFRKFMSVACPRFTLPSRRTCTRDCVQLYFEQKANLKLFFQEQCNRVCLTTDGWTSPAVRELYDCDSPLY >PVH62875 pep chromosome:PHallii_v3.1:3:54851940:54854818:1 gene:PAHAL_3G420500 transcript:PVH62875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTAARLLLLLVAASLLPAPAASAADAPATASGSSYAAARDAAARCAATIVSISPCLPHVAAVAPPLAASPPAPTDACCVAFLRAVYPSGGGGGDGCLCHLLRNPFLLGFPVDAARLGALLPACAAGNSFAAATVEAATLFADACRAAATPQSMSKPMETVPPARSLVRSGADSRRSHCIPIAALILTAAAAGAVVT >PVH61770 pep chromosome:PHallii_v3.1:3:7539113:7540198:-1 gene:PAHAL_3G118400 transcript:PVH61770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTDKGFLPTSLLLLPRHARQLPLSGCLVLLPRPTPGARPRPSPAPRGRACSLLGGDLSRRLRLLVAGDGGTSSMILQ >PAN17927 pep chromosome:PHallii_v3.1:3:10971709:10978730:-1 gene:PAHAL_3G165800 transcript:PAN17927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGRGGGGRQPYPAPDGVDAAAIPAASRKMVQSLKGILADRSEGEIYATLCDCGMDPDIAVERLISQDTFHEVRRKRDKKKETKASQESRPRSFQKPMYRGYKAGSDRSGRDSMGVFKGPIKKEPELHAPLNSSALDVKTSNHTEAISATGNVAQADAKNTQPPSQVQHGWGGIPGRPSMAEIVKMGRPQAKVGSRSVASSTTMPAVGDSVISNTPPKEYNRTVAPEVGHGTADKLPNGAIEVYSAPKDASSVDMLPPVDGADTTAPSKVEDSSTPDVNEDVIDKDANLEEGNTESLTMSEQFSASGKDKSEYTEVATYQDYVSIEKTDDFHSNGLSFEHNQNLNNGMSATTKQFDQLTLHEGPKSSDDNPAVIIPDHLQVSNVDCAHLTFGSFVSGTLDASLTTKPLECHGEAATVADEHSINQADIIMHEDESKATATPAANEYVASTTNSNMENLDVTSSEVTRANFLDVTNATEYTLSSTSDFATSSAALQDSAPHSYLQENRQFQNVSPLSNFMQIQNGLLPPAIPPLREFDPAFSLLLTNPPMMHGTTSSSMNNATVSTQPQESANPVGLPNPQLTQSQPSTSIASGPPLPQHLLHPYAQATLPLGYASMIGYPSLPPSYAYIQPTAFQQPYMNNMFHQAAAAVPNSSVKYPLPQYKTLASLPQPGSLLSSYVGGFGTANSMPGNFPVNQSTASATTTLGFDGSVPPQYKDGNQFISLQQQNENPAMWMHGAGSRGMPPLAASTMYGYQGQSHQAGLRQGQLPSQFGTALGQSQPGLGPEHRNPSDGNLSAAAQANPMWPNSY >PVH62298 pep chromosome:PHallii_v3.1:3:17388877:17390236:1 gene:PAHAL_3G262300 transcript:PVH62298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PVH62754 pep chromosome:PHallii_v3.1:3:45794234:45795169:1 gene:PAHAL_3G388000 transcript:PVH62754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDHAGHLHTNALHWEGFPRLLWESLSLFFYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSQWQPIKVDVVGYRLVDTIETAALEAIHLFCNKHPMEVAGYPISLFPAIDSGDPEWNFRIGHYGHLLGDSAEETLRGLTRFMNVQHHYQILLRHGVTQIVELQALVTEKEEIIAARDETILHREDQINESDAMITQHNTIIEFLQEQIHDLTLKVDDAHAHIDELQEQLVPPAIPVAPEGGEEDPEEIEGVSDLDSEHGDPEPNPQLDHSSSSSQSSVGNLDDF >PAN21245 pep chromosome:PHallii_v3.1:3:58815994:58816922:1 gene:PAHAL_3G452200 transcript:PAN21245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVMNLASERAVVVFTLSSCCMCHTVTKLMQDLSVNALVHELDSDPRGKEMERALLKMLGGRGPAVPAVFIGGKLVGGTNRVMSLHLGGELVPMLKSAGALWL >PVH62788 pep chromosome:PHallii_v3.1:3:51021972:51023213:-1 gene:PAHAL_3G402800 transcript:PVH62788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIHGFHSPSPTPAALEAGLARALAEYREWAGRLIAADGSSAAGRRALLLNDAGVRFVEATAGIALEAAMPLLLQPAAARRLHPSGEGAEELMLVQVTRFACGSLVVGHTMHHAVGDGFAMCQCLLAWGQCTRGATVDPVPVHDRESFFLPRHPPRVEFDHRGTEFKVPNDDDDDDEKKSPPRAADNDVVVTHKVRFSREFISDLKSRASAAATTLRPYTTMQCLVAHLWRCVTRARGLDGGEATTTLHMAVNGRARMSSPRVPQGYTGNVVLWAHPAATARELLAGPLGRAAELIRREVARVDDAYFRSFIDFIGSGAVEEEGLEPMSDAAESPDVEVYCLYRIPFYDLDFGGGRQFLYMPSNQPVDGAVYILPLCPQSDGSVEALVSLYSRAMDAFKDCCFSLMVPDILL >PAN19587 pep chromosome:PHallii_v3.1:3:19218369:19229507:-1 gene:PAHAL_3G284700 transcript:PAN19587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRDDILVGVGGDGGISNSGTVHPNVGGYLPLIDQGMARIGGCRYRQAIPLEGGGRLDVNTNFGIRRGFLPQGHRRYTPLHQPTAQQVMIDTISAGPTAVILIGAHTNFAIFLMTHPHLKRNVEHIYIMGGGVRSKNPTGCCPKNATTSCTPEQCGDHGNLFTSYSTNPNAEFNIFGDPFAAYQVFHSGIPITLVPLDATNTIPINEEFFYEFQRHQSTYEAQYCFKSLKMARDTWFNDRFYTSYFMWDSFTSGVAISSMRNDKNGEFGNDFAELEYMNITVITSNKPYGVRDGSNPLFDGRTTPKFGLQKGGVHSGHVQTGITDSFCRIKGSNKGRCEDGYTKEVSSPESAHIRVATKAKPNMDKNSPLDREFFKSFLEALNGPENSGSFDFKAQFPFYREILYKPDFKQKYLGRPVIVDMDMSPGDFMSLIYLLKAPIEVIDVKGILVSGNGWANVASIDIIYDILHMMGRDDIPVGRGNTTALGTPSLGCHYVSIIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVKYGAPRNTDHPELRQPLAFEVWQTIKEQLDPNEKITILTNGPLTNLANIVLSDRDAISVIEKVYLVGGHIRDENDSKGNVFTVPSNRYAEFNVLLDPLAAKTVLESSLDITLIPLVSQRKAASFQFILHALKHVDHTPESGFVRRLLLLLYDLQQKHRLYNHMDMFLGEVLGAVYLVEGLNIKPSLQPKSISIVSNSTISTDGQIVINKESANSVKVLGDFSSEEYYKRVANSLGNTKQTAVITSFEEQTIIWSRPPVKLEA >PAN17111 pep chromosome:PHallii_v3.1:3:6902577:6906277:1 gene:PAHAL_3G108000 transcript:PAN17111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSAAVAAAAALLVVVVVVAAAQAEASELKLGYYGKTCRGWENVVKYHVAKAIRANRASGAALVRLIFHDCFVRGCDASVLLDPTPANPHTEKTAPINIGLAAFEVIDEIKAALEERCPGTVSCSDIVVFAARDASSILSNGHVHFDPPAGRLDGKVSRAADAQRDLPDSTFTIGELIRNFRRKNFTVEELVILSGAHAIGVGHCSSFRARLTSPPSQIVPAYRNLLSGRCAAGADPVVPNNVRDEDPRAVAAAFPSFLKKLRRSGEFLDNSYYHNNLARIVTFNSDWQLLTEKEALGHVKEYAENGTLWDEDFSDALVKLSKLPMPPRSKGEIRSHCRVVNGHHHHH >PAN22019 pep chromosome:PHallii_v3.1:3:63652402:63654342:-1 gene:PAHAL_3G507400 transcript:PAN22019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKPSERVKEGGGGVEVGTEDDDDLVFPGYRFHPTDQELVGFYLARKVEKKGISIDIIKEVDIYKHDPWDLPNEARHVVQGAGDKEDWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIHGGAGGECLGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSSTASAELQDAEIWTICRIFKRNMSYTYSRRRQQQQKRDHGSKRSHQQQQQQLQYYYDYRYHHQHGASMTRSSFDYSSEASEAYPTDHHQPFLLHGFHGASSAKTTATASSGLMGAPLLPSMSVWSELTTFRDSGSTWDELGRIMEI >PVH61737 pep chromosome:PHallii_v3.1:3:6941624:6942282:-1 gene:PAHAL_3G108900 transcript:PVH61737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSGAHTIGRSHCSSFTQRLYNFSGQLGQADPTIDPAYAEHLKQRCPWPSSDDQMDPTVVPLDPVTPADFDNQYFKNVLAHKVVLTSDQTLLDSPNTGGIVEYHAAAEDEWKAKFAAAMVKMGNIEVLTGDEGEIREKCFVVNSY >PVH62082 pep chromosome:PHallii_v3.1:3:13122747:13126942:-1 gene:PAHAL_3G200500 transcript:PVH62082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKESEQSPAEAAPAPAERSIPEEARRLLRELAVEWEDVAGCGREPEVVPLKGAMTNEVYQARWPTGGEEREARTVLVRVYGEGVELFFDREDEVRTFECMSRHGHGPRLLGRFPNGRIEEFIHARTLSAADLRDPEISAIIASKLREFHKLDMPGPKSVLIWKRLRNWLKTAKNLCSSNEAKEFCLDSMEEEITALENEFSGERECIGFCHNDLQYGNIMIDEETKLLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHVLDYTKYPDTHERKQFVQTYLSSSGEESDAEVENLIKSIEKYTLASHLVWGLWGIISEHVNDIDFDYKEYARQRFEQYWLKKTTILTAQTAE >PVH62104 pep chromosome:PHallii_v3.1:3:13385722:13386915:1 gene:PAHAL_3G206300 transcript:PVH62104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHSHSYEQLMLDPATLGGSACSWAEAAALEIIPPQLLAALGEYLSAGHAGDGDAAEAEADAEADDEFMMYEFKVRRCVRPRSHDWTACPYAHPGEAARRRDPRRVAYAGEPCPDFRRRPGAACPRGSGCPFAHGTFELWLHPSRYRTRPCRAGAACRRRVCFFAHAAAELRAASKDDGGSLSLSPKSTLASLWESPPVSPVEGRMRWLDAVDEASDADAEVEELMLAMRELSFRKAAAASALVAAPVLPPVTEEDGPDLGWVSELVM >PAN15755 pep chromosome:PHallii_v3.1:3:848565:849380:1 gene:PAHAL_3G015300 transcript:PAN15755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAVCCSAAMSTVILLHLLLLASATSAAASPTTTLPPDGQGWAAARRLLLLQPTAAMDTATNTFHVKGTAHQPAKTTATAAARGAKPRVEFNASTKSAPGSKFNPRQN >PVH63230 pep chromosome:PHallii_v3.1:3:62911603:62912000:1 gene:PAHAL_3G499100 transcript:PVH63230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKLVNRQGRNLVLVLASFGESNPELYGIQRKINPMIGFLNRKRCCIIGFTDHFKLLIHGQSAQKKR >PAN16880 pep chromosome:PHallii_v3.1:3:5975608:5979893:1 gene:PAHAL_3G093400 transcript:PAN16880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASASEADMAAVKTSSNGVWQGDDPLRFAFPLLILQTLLILVLSRLLAFLLRPLRQPKVIAEMVAGILLGPSALGRNGAYLRALFPPWSAPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFALRRAIPGADQAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGGGDRGPITSLWVLLCSAAFVAAWMLAVKPAMAWVARRADAAGEGGGDAWVAATLAGVLASGFATDLIGIHAIFGAFVFGLTVPKEGAFAGRVTARVEDLVLELLLPLYFASSGLKTDVAAIRGGVAWAMLALVIGTACAGKIAGTFGVAMACGMSAREAVVLGVVMNTKGLVELIVLNIGRERKVLNEETFAILVLMALVTTFITTPTVMAIYKPARAAGRRRLHHRKLQGAAPSAPSSPSAPAGAGAGAKELRVLACIHGGQDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMVRAARRNGLPFLRPRRAGEPHDQVDVAFDTYAQLGHVSVRPMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRQTGHGDDVENLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVEVTVVRFVDGKAGSEEQSEVTLRPSNTKNADRSYTFSTAVIDTRKEKELDEAAVAEFRQRMGSLVRFEERVVVGNVIEEVVSIGKSREYGLVVVGKGRLPSSMVAQLAVRPAEHPELGPIGDALASSGHGVTSSVLVVQQHDMSNADEVPVSVVVDGHAHDGEFAKDTAMAEP >PAN17871 pep chromosome:PHallii_v3.1:3:10332771:10336665:1 gene:PAHAL_3G160800 transcript:PAN17871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEGSHHSHLDSFTPLDGAAPGDQTGGGAEMVDYILGQAPPPAQPPPPQSQVSFDKLSFSDVLQFADFGPKLALNQPAASAGAHEGVDDPGDDEDDDDGYFFRFQSLSSLPGGAGQHHADREGSKTTADDGGAHDGGGGGGVSESTTLVQHADGGGRAEKGGDQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRILRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGDAPRPVVDAAGAGAPTSTQQHHQTQVPPAAAFFPPSLPFPVASSGGGDGGAAKILDLEAGGADAAAGLREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIKTIAALEDMQMSILHTNITTIEQTVLYSFNVKILGEARYSAEDIAGAVHQILSFIDVNYAL >PAN17872 pep chromosome:PHallii_v3.1:3:10332771:10336665:1 gene:PAHAL_3G160800 transcript:PAN17872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEQGSHHSHLDSFTPLDGAAPGDQTGGGAEMVDYILGQAPPPAQPPPPQSQVSFDKLSFSDVLQFADFGPKLALNQPAASAGAHEGVDDPGDDEDDDDGYFFRFQSLSSLPGGAGQHHADREGSKTTADDGGAHDGGGGGGVSESTTLVQHADGGGRAEKGGDQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRILRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGDAPRPVVDAAGAGAPTSTQQHHQTQVPPAAAFFPPSLPFPVASSGGGDGGAAKILDLEAGGADAAAGLREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIKTIAALEDMQMSILHTNITTIEQTVLYSFNVKILGEARYSAEDIAGAVHQILSFIDVNYAL >PAN20214 pep chromosome:PHallii_v3.1:3:37021199:37032166:-1 gene:PAHAL_3G361000 transcript:PAN20214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAVETPEWLRNLPVAPEYRPTAAEFADPIAYILKIEAEASRYGICKVVPPLAAPPREATVERLRASFAANAAAGSGIDGATPAPTFPTRLQQVGFSTKNRRPASRRVWESGERYTLEAFRAKARDIELPRHAVPPKHATQLQLEALFWGACAARPFNVEYGNDMPGSGFAAPEELDLDLEGGGGGNAALAARDVGETEWNMRLAPRARGSLLRAMGRDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNYLHFGKPKTWYGVPRDAMLAFEDAVRVHGYADDLNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQNPGEFIITFPGAYHSGFSHGFNCGEATNIATPRWLQVAKEAAVRRASTNCGPLVSHYQLLYELALSLRPRELKNSHDVPRSSRLRDKKKNESEIMIKETFVGSVIENNSFLSILLDKSSCVIIPEIEFPLPSFPTMMVPEVTVKQALIAGPCSIRQKKAEDMLASATTSFAFNGRKLYETKFGTVNSSAFLLNPEIQSGVIEKGRSHQGGGLLDQGRLPCVQCGILSYACVAIIQPKEAAVQYVISQECMSSSAKHGEIMKSDDTPNWISIVPPQGHSSETDDNTIHNVNSAHVSDRCRQLYTSSTHGCTSALGLLASAYDSSDSDEEAEMPNEIANISANNDAENGVTNIQSSGRSIQHQNTNLHLSEEECDPRATPSPMKPVDDRSIAMTQASIGTDMTRLAELGESLTAYEQWSPYVDLDDDPTASGAKTSLNTSFSRAKGAMEPDALTLLKYSKDSCRMHVFCLEHALETWTQLQQIGGANIMLLCHPEYPAAELAAKVIAEELGMKHAWKDITFKQATDEDIGRIRLALQDEDAEPTSSDWAVKMGINIYYSAKQSKSPLYSKQVPYNSIIYKAFAQENPDRDEERQRSRTTKKKVAGSWCGKVWMSNQVHPLLACEREEEDLDMVCSKAMVPVSSYDRIQEEPSTRSTSLISRSLSKRISRRKEVDSVEKSRAKKKRYTTSDLATFDQPRNCDDHDKHEDGGESESEDAQNTQQHQQYESQKMNKKSSSKRRKDDKRKNYFYERRNYNDDIDYKLSIDWDNTPPQGLDVVEVKSGAQLQGSKKKSSKCKANDDLSNVEKKLQKMGKKVSTKKHKNDKTNQQFQGNHNEDNVDLLPEDNGDEASQESWDEVPTQKTDDVKVKSRGKMHSGKKKASKCQTSDGLDNVDLLHEDNGYEATQESWDEVPKQKTDDVRVNSRGKTHIGKKKASKCQISDGLDNGDNEAKFSCDTAVCNRDKATIDDWEEIPKEKADDVKVKSNMQSGKKKASKRPASDGLRNGDKGAKFSCDIEGCDMSFSTQQDLALHKRDICPVKGCKKKFFCHKYLLQHRKVHLDERPLMCSFTGCKKTFKWPWARTEHMRVHTGVRPYACTEPGCTQTFRFVSDFSRHKRKTGHSSDKKKKNST >PVH61336 pep chromosome:PHallii_v3.1:3:758282:761401:-1 gene:PAHAL_3G013500 transcript:PVH61336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGRTLLLPLAAATVLVASTIFLFAAAGARWRPADTSLPVPPRAVSAAAVPVTASASSNTTGARKELSFLDENGRPDDPGSGSGAGAARCDLRAAAFRVFMYDLPPEFHFGLLGWSPPSTGSVWPDLTNDFAAPPPRYPGGLNQQHSVAYWLTLDLLSSSSAPCGAAVRVADSRDADLVFVPFFASLSYNRHSRPVPPEKVSRDKALQEKLVRYLAARPEWKRYGGADHVIVAHHPNSLLHARAALSPAIFVLADFGRYQPRVASLEKDVIAPYKHMAKTFVNDSAGFDHRPTLLYFRGAIYRKEGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLISGVSKQQWTRMWNRLKEVDRYFEYRYPSQKDDAVQMIWQALARRVPAIQLKMHRSSRFSRSDRGK >PAN19108 pep chromosome:PHallii_v3.1:3:16237704:16240992:1 gene:PAHAL_3G250300 transcript:PAN19108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEEKAIKINKPDQGTEQAAGQGSACCGS >PAN21063 pep chromosome:PHallii_v3.1:3:58053143:58057081:1 gene:PAHAL_3G442300 transcript:PAN21063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVELILWLFSFASVMVLIGLTAYQLICLSDLEYDYINPYDSSSRINAVVLIEYSLQAALCASFLLTLHWFPFLVMAPVTYYHVKLFMARKHLVDVTEIFRQLNGEKKYRMIKLAFYFCLFIITIYRLVMTAVMLFIDEDVNLVETRTI >PAN21917 pep chromosome:PHallii_v3.1:3:62980244:62985767:1 gene:PAHAL_3G499700 transcript:PAN21917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNATAAAAAKCPGPMKATSQGAFQGENPLDYALPLAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSHKFLHAVFPPQSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPIISLWVLLTAAGFVIAICLFLRPVLAWMARRSPEGEPVKEVYICATLAIVLAAGFVTDTIGIHALFGAFMVGIVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTDVATIKGAKSWGLLVLVIANACLGKIGGTVITSLFVKIPVREAVTLGFLMNTKGLVELIVLNIGRDRKVLNDEAFAILVLMALFTTFITTPIVMAIYKPARKTVPYKRRTVECSPGDADGELRVLACFHTNRHIPTLLNLVEASRGTGRRRLTMYAMHLVELSERSSAISLVQRARRDGMPFFNSKEQRTEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSAADKRAAIVIMPYHKALHHDGSFQSLGSPYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVSFSVAALFFGGPDDREALAYATRMAEHPGVAVTLARFQPSRLQSGEEDAADEAAVEAFKAKVGAVKDGSVRFEEPEAYTREQVLETIESLSGFNVFVVGRMPPTAPLVERPDELGPVGSYLVSPGFRTSASVLVVKRYDPATNPKSKRFDPKARPPAATELDALDEEMGSAAVVPVTQSPMSEIA >PAN18717 pep chromosome:PHallii_v3.1:3:14304988:14308616:1 gene:PAHAL_3G220400 transcript:PAN18717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENVLKKWVPELRRFAPNVPVVLVGTKLDLRDHRAYLADHPGASTITTAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREAMPARRKSRRGSGCSIMNLMCGSTCAA >PAN18117 pep chromosome:PHallii_v3.1:3:11820025:11822582:-1 gene:PAHAL_3G179700 transcript:PAN18117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRAPCIQIIKTATVHFKLCKRDNTKQFHNSKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >PAN19295 pep chromosome:PHallii_v3.1:3:17385480:17388735:1 gene:PAHAL_3G262200 transcript:PAN19295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFECPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKAEYDETGPGIVHMKCF >PVH62064 pep chromosome:PHallii_v3.1:3:12872342:12876588:1 gene:PAHAL_3G196500 transcript:PVH62064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCEVGRYADGEKWEGVRLFYRRYGRGATKVLLIIGLAGTHDSWGPQIKGLTGSLEPADDEAPRPDEEAGAGAAGAAEAAPAEGDDAGGDGIEVCCFDNRGVGRSSVPPNKSYYSTAIMAKDALALMDHLGWKKAHVFGHSMGAMISCKLAAIAPHRLCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTKEYLEDTVGSCTRRMILYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTTKELDTIRSAGFLISVIHGRYDIIAQLCHAKRLAERLLPAARMVELHGAHLVSHERPDEVNNALMDLIKATKSAMKPEEWSAQPENVSETGALISGRPITVTMRTDEGVIVMGFEHMRNIVKVMKPVRVAAIES >PAN18661 pep chromosome:PHallii_v3.1:3:14085233:14085696:1 gene:PAHAL_3G216800 transcript:PAN18661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIESVRCACCGLKEDCTQEYIDNVKGNFGGKWLCGLCSEAVRDGLSKDRSSQDGIEEAIKAHMEFCRIPLSSPAVRVADGMKEMLRRRSGDKLRPATPSKAHPVSQGR >PVH61979 pep chromosome:PHallii_v3.1:3:11184139:11185363:1 gene:PAHAL_3G168600 transcript:PVH61979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHCSGSSHQSVAAASGTMASTTFSLFFPLPNKGQWPAAAADEAAAFDDDHSSITTSPSSPSSTSSAGSVDCTLSLGTPSSRRAAARPAELAKRAVQPAYPSVSASPVSWDVAADQSYYCCQGSKPGAAGAAKGAAARAEHDPLFVDRRCANCGTSSTPLWRNGPRGPKSLCNACGIRFKKEERRAAATAAMDHHGACGYAAQRAAQYGPAGKQAPGAVPCYGEGAFPCGGGDVADAAAEAPFLAWRLNVVAPAPAPAPAFAVWPERAGLFQYN >PAN19039 pep chromosome:PHallii_v3.1:3:15888442:15889804:1 gene:PAHAL_3G245200 transcript:PAN19039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESLRLSASTVAAMPQESLRLVSHPIAAHDGRLPRQYTREGQGAKKDMSPPLEWYGVPEGTRSLALVVQDIDADPAVPWTHWVVANIPPDTKGLPEGFSGKEGAAGRENGGLQEGVNDWKQPGWRGPVPPSRGHRIQFKLYALDDEMHLGNKVTKDKLMEAIEGHVLGEAELTAVF >PAN17690 pep chromosome:PHallii_v3.1:3:9673741:9679566:-1 gene:PAHAL_3G149900 transcript:PAN17690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEQGDDPNPDRRRRRRSRRRAALRLSRLYSFACGRRPSVADDDAGSRIGGPGFSRVVNAGDAALRLQQQQGQQPSAEQLLAASSSNSISTTKYNLLTFLPKSLFEQFRRVANVYFLLSAGIAYSPLAAYSSTSAIAPLVIVIVATMLKEAVEDWRRNQQDTEVNNRSTKVFQDGAFRDAKWKDIRVGDIVKVEKDDFFPADLVLLSSSYEDAICYVETMNLDGETNLKLKQSLEVTSSSLPEDESFRGFGAVIRCEDPNAHLYSFVGNIEIQDQQQQHPLSPQQLLLRDSKLRNTEFVYGAVIFTGHDTKVMQNAMKVPSKRSNIERKMDRIIYLLLISLVLISVVGSIFFGIATRDDLQDGRMKRWYLRPDDTAIYFDPNKPALAAVLHFLTAMQLYSYFIPISLYISIEIVKLLQALFINQDIHMYHEESDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSVAGTAYGRGVTEVERAMAKRKGSPLIADMDNGVQHFQLEGKAAVKGFNFRDERVMEGNWVNQPHSDVIEMFLRLLAVCHTCIPEVDEETGKISYEAESPDEAAFVVAARELGFTFYQRTQTSVFLHELDPVSGKQVDRSYRILNVLEFNSARKRMSVIVKNEEGKIFLFSKGADSVMFERLSGSQSAYREVTQQHINEYADAGLRTLVLAYRELEEDEYANFDRKFTAAKNSISADRDEKIEEAADLLERNLILLGATAVEDKLQKGVPECVDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIITLETADIIELEKGSDKAAITKASKDSVVRQINEGKKLANASAGETFALIIDGKSLTYALEDDTKGMFLELAIVCGSVICCRSSPKQKALVTRLVKTGTGKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMICYFFYKNITFGVTLFLYEAYTSFSGQPFYNDWAMASYNVFFTSLPVIAMGVFDQDVSARFCLKFPMLYQEGPQNLLFRWRRIIGWMAYGVASAVVIFFLSTASLQHQAFRRSGEVVDQAALGATAYTCVVWAVNLQMAITVSYFTLVQHACIWAGVALWYVFLAAYGAITPDFSTTYHMVFADALAGAPSYWVVTLLVSAAALVPYFTYAVAKSWFFPDYHNQIQWLRHRERAHPDPESSAGVEFGHALRQFSVRSTGVGVSARRDAAVLRRLNGTQVHHADSPQQVS >PAN19903 pep chromosome:PHallii_v3.1:3:21472325:21488367:1 gene:PAHAL_3G306200 transcript:PAN19903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTTSTAPFLLVFLASISHSVICSTFGNDADRRSLLEFKNAITLDPHQSLISWNESTHFCSWEGVSCSSKNPPRVTAIDLRNQGLVGHISPSLGNLTFLRNLSLATNRFSGQIPEALGRLRRLRSLYLTNNTLQGIIPSFANCSDLKVLWLDRNELAGGLPGDLPLGLEELNLSFNNLIGTIPSTLGNITALKRFACVFNGLDGGIPGELAALREMEILASGGNRFTGGFPEAILNMSALVRLDLDSNRFSGKIPPGIGSSLPNLLWLFIGGNFFRGTIPSSLANASNLVKVDISSNFFTGVVPASIGKLANLTWLNLEMNQLHARRKKDWDFMDSLANCTELQIFSVAGNQLEGQVPNSLGNFSVQLQSLTLGQNLLSGSFPSGIANLPNLIALGLDYNRFTGSVPQWLGGQKTLQVLSLTNNNFTGYIPSSLSNLSHLIELYLDSNQFIGNIPSSFGNFQFLTTITTSYNNLHGSLPKEIFRVPTIEQVEFAFNNLSGELPTEVGNAKQLMILKLSSNNLSRDIPDTLGNCENLQAVVLDQNNFGGGIPSSFGKLISLQLLNLSHNMLSGSIPVSLGDLQHLELLDLSFNNLTGQVPTKGIFRNSTAVQIDGNQKLCGGVQELHLPECPNKTSHKNKHRLSVLLKVVIPLASMVTLAIVIMLLLILKGKKGTKSISLPSFGREFPKVSYKDLAGATNRFSTANLIGKGRYSFVYRGQLLQDTNVVAIKVFNQEIRGAQKSFIAECNALRNVRHRNLVPILTVCSSIDSSGNDFKALVYKFMPRGDLHKLLYSTPHDDRSSDLCYISLAQRLNIVVDVSDALAYLHHSHQGTIIHCDLKPSNILLDDNMTAHVGDFGLARFRIDSTSFSHSDSTSSFAINGTIGYVAPECAAGGQVSTAADVYSFGVVLLEIFIRRKPTDDMFKDGLSIAKYTEMNIPDKMLQIVDPQLVQELGLSQEDPVTDDESAPHCLLSVLNIGLCCTKSAPSERISMQEVAAKLHTIRDSYLR >PAN21460 pep chromosome:PHallii_v3.1:3:60326792:60329178:1 gene:PAHAL_3G468100 transcript:PAN21460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSLPIFLDPPNWGQMQMQQQQPPLQCLLGGGGGSDHHHLMPPPSGLAPLPGGPADTAASAPAGGNSSTSMQTAAGVAAAGAQPRPVVSMAERARLARVPLPEPGTLRCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSRGGQGGGAGATAATSSSSTTSTTATSTTTTSAAMAAAEAIASMQAQLPHLGLPPAAAAAALEASLEGYHHYLPLQMQPQFLQQAGLHGYHFADDGSGVLADGFPRGVVASGLLAQLAAVKMEEHSSGGGGGAVAAHEQSYWPGSTGGGSGWPAEFLSGFSSSSSGNVL >PAN17257 pep chromosome:PHallii_v3.1:3:7469876:7476310:-1 gene:PAHAL_3G116900 transcript:PAN17257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGKAGNGCGGDTEVLDGGTPPLGSPTSDSDSDATQSGEDNGGALYDETQPVDEAETQLVDGVEEEEEEEEEEDKEDVACDWAETQLVESGEEDGSDDSDQVKTQLEVENGEEGDDDSGAEDIARNCTRTQLYEECEVDGVNNGVGSMVETQLVEESEEDEEDGVNGGDELGVDEWGKTQLVEDSDEEIGDDELSDSTQVLSDNESLSGDERDVKSGMDKRDVASGIERNIEGFNGGVEKLGDNTNLVESDASTDEEGDTSSGRTRMKLPSVRVAPVRTCGISGARDTMSVTGMQQGKQKVSSTAIHPQPKIVDESTSCGASFGGIDNDSHGYVQNHDKDGTKSRDKCSTAKKLFADMTAEDGESNRKRFAGLSYIGSQEPGDLSQANAFDVVDRLISINGGLSSQETTPNKLEIAKPRVSSKRGTLMLAEKVDLGRRSNGKAEIFEWVDSREDDGGGDFFSKNKDILLQKPAGRGKQKSHSTRAKNSSTKNSWRENKIGESKNKISSKLPGRFGNLPLSDSRLLKNDVKSKPGNRTKKNLFKDLDDLANAKSLEEEQEKDNVAEHDIGPDTQMAVEAMEALVQCSPAKGQPLLDRNTIAEKSRISRSHSKNGCPQKRTSSIQEGVTTRSKRRKETVLDKLQKEEMQKNSERIVKTKHKQTKSTPGKSKVSKKFIDENKYHGTPVAHRTRHCGRNDPSEFTELLCSNKHLRRGKKLTGDSSTVGEVQFNHITKNPEKPLISERTTECDSSHFEKESTKHTCANDDQDLQRSRDGRTQCISVNNVQNLVAPRVEQATDVPCRDPPSHPKQRRTPTEMVQSKSTTAADHEILPEVARPSKKRRIFVRSVSDLLKYAKREPSNGRSASMLSSIIEKSLAASPILNSSLRHDGKSSDLNSSAQRLKESSRVDDTSKTPKSNAQVQNSVMNTPSKVVKELSPTFSPVNPSKGSNGSLSKSSVARELLKLDPENALSNQQRRDSRRRKDMATVSILFSHHLDDDVMKRQKKILARLGVCEAFSMADATHFVADSFYRTRNMLEAITLGKPVVTSMWLENCGQAGCFIDERKYILRDAKKEKELGFSMPISLASACNHPLLLGKRVFVTLNVKPSQVVVTSLVKASSGQPLERVGRSIMKEKEVPDDLLVISCEEDYETCTPLLEKGAIIFSTELLLNGIVIQKLEYERHRLFTDRVKQTRSSRWLKDTVQDRFVPVPKRPCS >PAN17971 pep chromosome:PHallii_v3.1:3:11218564:11221139:-1 gene:PAHAL_3G169000 transcript:PAN17971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSTFADGEVAEEAKRQAPGQGSSEGSHGTLLQDIREQETRKGKAAVGSSELMREDLVQSAVSFLKHPKVLTSSDGQRRSFLENKGLTVNEIDEAFRRLQSPSSHSLSSNTCTSQGVSDHSCRISQQETKVGTKCMDGSDRVEPETEPVAPVVPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPDQPISEPRMAPKPKPWEKQGQESSAWDLKLPSSDSSELRSEVQQDSTSKATKSADSSHQGQGDSLLQQEGAAGSESPTDAAATS >PAN17972 pep chromosome:PHallii_v3.1:3:11218248:11221272:-1 gene:PAHAL_3G169000 transcript:PAN17972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSTFADGEVAEEAKRQAPGQGSSEGSHGTLLQDIREQETRKGKAAVGSSELMREDLVQSAVSFLKHPKVLTSSDGQRRSFLENKGLTVNEIDEAFRRLQSPSSHSLSSNTCTSQGVSDHSCRISQETKVGTKCMDGSDRVEPETEPVAPVVPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPDQPISEPRMAPKPKPWEKQGQESSAWDLKLPSSDSSELRSEVQQDSTSKATKSADSSHQGQGDSLLQQEGAAGSESPTDAAATS >PAN20972 pep chromosome:PHallii_v3.1:3:55910175:55910381:1 gene:PAHAL_3G426600 transcript:PAN20972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTRYWNTIRCCFKALLVCHIATSLGQLAYNFIVHLLLFPCSFLLMCCFSSFNTLGCVCPVPLFFLV >PVH63047 pep chromosome:PHallii_v3.1:3:59786797:59787198:1 gene:PAHAL_3G460800 transcript:PVH63047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKITLEYAKCYTNLSKVSRVFRNAGGFYCTTESNSLYSCIHLLNYPIPP >PVH62489 pep chromosome:PHallii_v3.1:3:21545242:21546137:-1 gene:PAHAL_3G307200 transcript:PVH62489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPIPAAQGAAMARDGGKGRGRGGDSIPGLTSGQGGARRRAHGGRRAAAEPRAAAALQALGGGGSGGGGRGEEGEGGGAEGNGMVAAGGQRGAPLMALRLLGSSSCGVGAEGPGAVRHRSEDGTARAVGRNAATTGGATSRLSRARASDGRHGGRRGTRCTRGRARGHGRPSTGARVRAGKGRRPAASAAGCGRARVQEPSRREEGKGRRKREREKGKRGKEKEWEGEKRKRRRRERGSHRGRSRRRPRLVGHARATLARCARGKEKGNRVGTDRGGWLRVGDRPPS >PVH62401 pep chromosome:PHallii_v3.1:3:19185458:19186154:-1 gene:PAHAL_3G284300 transcript:PVH62401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQMICVLLADNLCFPSFFDFGFKMRRPLQAILWFAVELARSWLELTHSTIYLVRRQNWVVVSSNHG >PAN22037 pep chromosome:PHallii_v3.1:3:63739692:63744885:1 gene:PAHAL_3G508700 transcript:PAN22037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHMEDSSTFLQWAMNQLHHQHPSAAAAAPAYQDGAGAGSSGGAGDREAIFPSLHALRSGACQTQSHSQPVAASVRVRDLTVQVDHLTNSSSSGDSPGAGGAAMDHDAAAGWSPHTARSRTTGLGGGSNSRPVSWNFSAASAQPTCESGGVGVVALPDAAMAPRVQLASAGRRGGSNAGPAAATAAASSSPGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVRELQEKVKTLEEDGAHSAGGSSIQSAVLVKKQCHLPDDEAMASSNGAAAGGVQLPEIEARLSEKSVLLRIHCYNARGLLVRVISEVEQMHLSITHTNVMPFPASTAIITITAKVEEGFTATVDEIVRSINSVLHQHYSSSSEETRG >PAN17860 pep chromosome:PHallii_v3.1:3:10257586:10258831:1 gene:PAHAL_3G159900 transcript:PAN17860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGGGIDALCHDALVDIFVRLPSEAVLRCCAVCKSWRRITTDRSCLTAHAARSPREMIVLTRYLTQRPGLYIICNPMTRQWTNLPVLAPEPCFTAFPCGFYFHSSSGEYRLLCHGDEAGWGAAGASGWKDYYYVLTAGATQPRRLSRAPADRPVIMGYQQPVAHGETLYWFTLHPEAIRTGKILAFHTASETFRLMSWPPGVELAALLELDGSLCAHTMPCKTRFDIWVLQDHEAERWTLRLRMEVPPPPPRCIVSTAITAGDGSILIGDPYSLVVRLYDLKEKRLRKEMHFLTMPTFLVFRESLVPHDFFQLPRCPELIRLKFPD >PAN19293 pep chromosome:PHallii_v3.1:3:17370394:17373073:1 gene:PAHAL_3G262000 transcript:PAN19293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g20540 [Source:Projected from Arabidopsis thaliana (AT2G20540) UniProtKB/Swiss-Prot;Acc:Q9SIL5] MAAPSPAVRQLEDAVIARLRACATFRDLLRAHGHAVRLCLSRSSYVATQIVHLCNAHGRAAHAARVFAQVPDPNLHLHNAMIKAYVQNHLHRDAVEMYVRLLRCTPLPLGGGFSAGDRFTYPFLLKACGGLAAVELGNQVHAHVVRSGCEAHDIVQNSLIEMYTSCGDLSLARKVFDEMRNKDVVSWNTLISAHARLRQMRRARALFDSMPDKTVVSWTALVSGYTAVGDFAGAVEVFRLMQMEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCNKHGMLRKTYICNALMEMYAKCGCIDQALQLFHGMPEKDVISWSTAIGGLAAHGRAREAVRLFEAMDGEGRVRPNGVTFVGLLSACSHAGLLDEGLRYFQRMKDAYGVEPGVEHYGCLVDLLGRSGRIQRALDTVRGMPVPADAKIWGSLLSACRSHGDVDTAVVAAERLVALEPGDVGNLVMLANVYATAERWGDVASTRKEIRRRSTRKTPGCSMIEVDNVVREFIAGEDLGPELGGLAAVLDILASQLAADDEEFANSDCWVNANVFTGD >PVH63243 pep chromosome:PHallii_v3.1:3:63373997:63376162:1 gene:PAHAL_3G504000 transcript:PVH63243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLHRLLKCRRNAAAAVASASFLVLVLVFSVLLAATRHDDSPAIAAPSLPGEEEPGASCEAALRPLLDDAARCRYLSSPRHPPCAPAGYVDYLRLFYCGFGRAPWLGGAALALWLLVLFYLLGDTASQYFCASLEGLSEALRLPPAIAGVTLLSLGNGAPDVLSSVVAFAAGGGGAGDVGLSSVLGGALFVSTVVAGVVAIVVAGRRGDAAVAIERRGFVRDVCFLLVALCYLVAVLLTGTVTVWAAASFLSLYAAYVLLVWTSHCCAAAAEDDELGDGKKPAAAANHSDLAAPLLVDGDAPPPLPVSCKATTPPPQKTLSQRALDALHSPLYLPRRLTIPDIAAHRWSKRYAVASALLAPVLLAAISYPSIPAVLLSAAVAGAVLAAAAAHATASAAPPETRCGRIPWLAGGFLMSVLWSYMLARELVALLVAIGLVAGVKASLLGATVLAWGNSLGDLVADVALAVHGGAGGAQTAVSGCYAGPAFNTVVGLGLSLTLAAGARYPRAYAVPADASAYQAAGFLVAALVWALVVLPARGMRLDRVLGVGLLVVYLGFLAVRLGSLGASGS >PVH63073 pep chromosome:PHallii_v3.1:3:60237113:60238420:-1 gene:PAHAL_3G466500 transcript:PVH63073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLLCLAFFCASLWWFATSAAGLRLELTHLNAKDSCTAEERLRRAIERTHRRLASTGGVAAPVRWSEMQYVAEYRIGDPPQRAEAIVDTGSNLIWTQCATCRPGCFGQNLSFYDPSRSRTAQPVACNGTACALGSETQCTRDRSACAVLTAYGAGAIAGVLGTEVFTFGSRNVSLAFGCVTASRLTPGSLDGASGIIGLGRGALSLVSQLGDTKFSYCLTPYLRDAVNPSHLFVGASAGLDGDAPVTSVLFAENPTDYPFSTFYYLPLVGMTVGSAKLAIAAAAFGLRQVATGVWAGGVLIDSGSPFTSLVDAAYQALRAELVRQLGASLVQPQIEGLDLCVARGDAGTVVPPLVLHFGGGGGDVVVPPENYWGPVDTATDCMVLFNSAARPGATLPMNETTIIGNYMQQDMHLLYDLGNGVLSFQPADCSAM >PAN16810 pep chromosome:PHallii_v3.1:3:5690567:5692894:1 gene:PAHAL_3G088200 transcript:PAN16810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTTFSGDETAPFFGFLGAAAALIFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >PAN16593 pep chromosome:PHallii_v3.1:3:4494203:4496998:-1 gene:PAHAL_3G070800 transcript:PAN16593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLATLLATASILSFFALFPKAASHDTLSLGSSLRVESYQTNILQSSDGTFSSGFYEIYTNAFTFSIWYSKAANKTIVWSANPDRPVHARRSAITLRKDGTMVLTDYDGTVMWQTDGNFTKVQHAHLLNTGNLVIKDSRGNTVWQSFDSPTDTFLPTQHITDTTKLVPTTQSHSPGNYIFRFSDLSALSLIYHVPEVSDIYWPDPDQFLYQEGRNQYNSTRLGILSDNGMLGSSDFADGQPLVASDAGPSIKRRLTLDPDGNLRLYSLNDSDGSWSVSMVAMSQPCNIHGLCGPSGICHYSPKPICSCPPGYVMRNPGNWTEGCMASVNITCDHYDKKSMKFVKLPNTEFWGSDQKHRLSVSFHTCRNICISDCTCKGFQYKEGTGSCYPKAYLFSGRTYPTRDVRTIYLKLPIRVSVSNVVIPHSTVFDSVLRHLDCDQMNKSIREPFPDVHKTGGGESKWFYFYGFIAAFFVVEVSFISFAWFFVLRRELRPSELWAAEEGYKVMTSNFRRYSYRELVKATRKFKVELGKGGSGTVYKGALEDDRQVAVKKLENVKQGKEEFQAELSVIGRINHMNLVRIWGFCSEGSHRLLVSEYVENGSLANILFNDKNNILLDWKGRFNIALGVAKGLAYLHHECLEWVIHCDVKPGNILLDENFEPKITDFGLAKLLNRGEPNQNVSHVRGTLGYIAPEWVSGLPITAKVDVYSYGVVLLELLSGTRVSELVEGSEEVHNMLRKLVRMLSEKLEGEEQSWIDEFVDSKLDRPFNYVQAGTLIKLAVSCLEEDRSKRPTMEYIVKTLLEADD >PVH62063 pep chromosome:PHallii_v3.1:3:12867762:12869241:1 gene:PAHAL_3G196400 transcript:PVH62063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLRSIFTVLILMLLLLVSVSSGQTATIEGNHEDTLEVAEFASLQSSPSRIQRHGRRVLTDIQDYDYGGSNPKHDPRRKPGNGHSR >PAN20669 pep chromosome:PHallii_v3.1:3:39450074:39454834:-1 gene:PAHAL_3G369600 transcript:PAN20669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYPETVTRSEAMSYLLKTRREREPPLQEHAASEEQAAKINKVRELLGELPTEMPGFLSDATIRRFLHARNWSTIQATKTLKEAVKWRRQYKPEKIRWEDIAERENEVKRAYITDYLDNNGRTVLVSRPSVKSVTSVKEQIKQLVYNLENLTMSSENAPENAVWIIDFRGWTLSSTPLAMTRQSLDIIQNYYPGLVAVAILCNPPKIFESFWKIMSYFIEPEMKEKVRFVYSNNSESLRIMTDMFDPDKLESEFGGRNTWGLDIVEYSERMRRRDQVRGASANANENTCST >PVH61820 pep chromosome:PHallii_v3.1:3:8376873:8382047:-1 gene:PAHAL_3G129700 transcript:PVH61820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSRCAAVQCGLYINTHVLAQSTLAPIINSRGKTHAVSSGWVAGAQAASSAMASAMNGGGGGALKRIGPLRLQYYIVMGAVAAAVVLATLRYMPGPAAAAASSSVARPGASAAAPGAEAAAEAEGEEAAAEDGEREKRKKKGDGVVLFNFGDSNSDTGGVAAVMGIRIAPPEGRAYFHHPTGRLSDGRVILDFICESLGTHHLSPFMKPLGSNFTNGVNFAIAGSTAMPGVTTFSLDVQVDQFIFFKERCLDAIERGESAPVDEMGFQTALYTMDIGHNDINGVLHMPYDEMIANLPPVITEIKKAIERLHKNGARKFWIHGTGALGCMPQKLAMPRDDDSGLDEHGCIASINNVCKKFNSLLSEALDELRLTLKKSAIVFVDMFAIKYDLVANHKKYGIEKPLMTCCGHGGPPYNYDPKKSCMTSDEDLCKLGEKFISWDGVHFTDAANGIVASKVLSGEYSIPRVKLASLVSTAKSDD >PVH63082 pep chromosome:PHallii_v3.1:3:60386699:60387550:1 gene:PAHAL_3G468900 transcript:PVH63082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGSCCCGSCGCGMMYLGFKEENTSNTPGTMVLGVSAEKGAGEVPEKATESGEAGHGCCCGSGCTCSPCSC >PVH61746 pep chromosome:PHallii_v3.1:3:7186030:7186317:1 gene:PAHAL_3G112700 transcript:PVH61746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRRWPTLSPSIRVDSTMAAADAHPCPSPLHLRRLERRPAAYPHHAQIDRLPRVDAAPRQTRGTAEAGPALRPVVPELPLRRRSACRPLPPAPP >PAN17136 pep chromosome:PHallii_v3.1:3:6992008:6996874:-1 gene:PAHAL_3G109800 transcript:PAN17136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGAGAGTGDESARGRGGGGGAALLGAYELGRTVGEGNFGKVKQARHRGTGALFAIKILDRARVLSQRIGDQIRREIATLKLLRHPNVVRLHEVAASKTKIYMVLEFVNGGELFDRIAIKGKLSEEEGRRLFQQLIDGVSYCHEKGVYHRDLKPENVLVDRKGNVKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYMMLVGYLPFDDRNIVVLYQKIFKGDTQIPECLSPGAQNLLQRILEPDPMKRITMAEIKAHEWFQKDYVPAVPFDNDDEDSQLDLVLPVKEEISPSPGDKTTHQINAFQLIGMASSLDLSGFFEEEDVTQRKIRFTTTHPPKDLFDKIEHSASEMGFQVQRGHGKLKVTQNCTGAKNPKNPASFLVCTEVFELGPSLYVVELKKSHGDPALYRQLCERICSDLDVLMEQMMEQIFGTQPVANDLASLDSRSGTHLVAL >PAN20322 pep chromosome:PHallii_v3.1:3:25875319:25879825:-1 gene:PAHAL_3G327500 transcript:PAN20322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVLNKVGSYWFSKRASREIDSIGDDISSISSSIGGSAKWMVNKIKGKLQKSLPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGLKTKVLVWTKVTTIKTEGSKVHFTAGVKKTRSRDAYEVVRDGITIDKF >PVH62919 pep chromosome:PHallii_v3.1:3:56347943:56348138:-1 gene:PAHAL_3G429600 transcript:PVH62919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVIGTKMDSIDVPLRSEFLFMNRKNQKERGCSESFYDVN >PAN18570 pep chromosome:PHallii_v3.1:3:13684517:13688688:-1 gene:PAHAL_3G211000 transcript:PAN18570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEDYSWVRRTRFSQSIVRSSSGREQYGAFIEQFSRGAELKLNGLGTGRRLPGQNLQPLAKGSVLSNSASARLTIPKAKSAGPQSERKLKHASSEGQFNRDRSSDRSLRQASPKQDRKGVGLSLDIPQRHAVRPSDDESPDALDFSFHSDEQSQRLQRVCSSPAPFYSQDAASPVDDSRARSASMKGTGEVPKPTPKPKRRAKSPIPKRAISDVFKEAKAATKRFSSPQRQRKPTSPRSPDDSPPFGFASLRTPSKLKINRRTSSWPRRNFDDGPAKVAASDILERWTVDRSQLLIGHRFASGAYSRLFHGIYKEQPVAVKFIRQPDDGEDDELSARLEKQFTAEVTILARLQHRNVIKLVGACNCPPVFCVITEFLSGGSLRAFLRKLERKTLPMEKVISIALDIARGLEYIHLQGIVHRDVKPENILFDGEFCAKVVDFGVACEEAHCNLLGDDPGTYRWMAPEMYKHKPYGRKVDVYSFGLVLWELVTGTLPYEDMTPLQAAFAVVSKNLRPAIPSSCPAAVKFLIEQCWSWQPEKRPEFRQIVSILENLKTVLERDGMLDKIPSFICQPQECNDQNKKKVSSWIQRLSYTQPDFSGPPPPKLL >PAN21067 pep chromosome:PHallii_v3.1:3:57295974:57297771:-1 gene:PAHAL_3G436600 transcript:PAN21067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRQPQSHRPTSKDFKSPPPIHILPSQLHHHPPHRATSTTSPGIAGFPPAMLATAAIDAPTPASTLRSSPSLEDPSNQGHSKLFQTKTMQCMPQPPSPRSIRTSDLKLW >PVH61624 pep chromosome:PHallii_v3.1:3:5255915:5257029:-1 gene:PAHAL_3G079700 transcript:PVH61624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEMPRRERVSLSDALAADRMQDKPGSHPRLSPLPPLPRSGVPNPSLSISFGPSGSAPRMPAVISAFRANGSAGSSAATSILTGALPAGNICPLARPPSSTPPPRAARRDVLGSATDTEASSSGVAASLPSASVSLQLPRWNEQHEKVCFEDALRLYDCAPAVCLDSAACRSIRAAAPYRVRSSRRSRRGVRGGPPGSGLRLDPSYSRAHQDLVSLRIRLGQFVGMRMP >PAN18083 pep chromosome:PHallii_v3.1:3:11641586:11643150:-1 gene:PAHAL_3G176800 transcript:PAN18083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAMDAGAVVQVQVPVPVPPERAAAMRKGPWTMEEDHVLVNYIAAHGEGAWNNLARAAGLHRTGKSCRLRWLNYLHPHVRRGNMTAEEQELIVQLQARWGNKWSRIAKHLPGRTDNEVKNFWRTKIQPKRHRSSDCSSSAMEAIAMAGICRGMGMAGHRSSTPAITEGQGSSSHSDRTGVTQDYGIVTQQPSISSSVADHLGYGVHGPGGGGAGAMDMDMDFLPEFLAASGENFWAIDDFWTTMQSFHGNI >PAN21223 pep chromosome:PHallii_v3.1:3:58748900:58751491:-1 gene:PAHAL_3G451000 transcript:PAN21223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLATATAAAASFSPAAARRQVTNVFSSRTSVSFQSHRMTSVSIRSRPSSLRFKICCSAKKETVDKVCSIVKEQLALPDGTAITGESKFAELGADSLDTVEIVMGLEEAFNITVDETSAQDIATVQDAADLIEKLVLEKAA >PAN18141 pep chromosome:PHallii_v3.1:3:11876925:11878155:-1 gene:PAHAL_3G181000 transcript:PAN18141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSLLAAAFLALAVPAVLCQAPGPAAPKGPPNVTAILEKGGQYATFIRLMKATQQDTQLNGQLNNSFGSGYTVFAPTDNAFNSLKPGTLNKLSQQEQVSLVQYHILPQFYSLDSFETASNPVRTQASGSDGPYTLNITADSNNQVNVSTGLVATRVGTALRDTQPLAVYSVDKVLLPNDLFGVKPPSSAPPAPTKKPAKGGSVAEAPAGSADSAPSGAAAGGARVAGWSLAALVLAAAGSLL >PAN16662 pep chromosome:PHallii_v3.1:3:4953409:4954390:1 gene:PAHAL_3G075500 transcript:PAN16662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPQLRSLVALLLAATAVAAVAVPGGKPGFVVTGRVYCDNCRAGFETNVSHNIQGATVHMECRHFETQKLHDKAEATTDAGGWYRMDIAGEDHQEEICEVMLLKSPEADCAEVERFRDRSRVPLTRNNGMDQTGVRYANPIAFFRKEPLQNCGELLRGYDLYNDTSENP >PAN18343 pep chromosome:PHallii_v3.1:3:12815739:12816822:-1 gene:PAHAL_3G195700 transcript:PAN18343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFIIASLLLLLLASLTVVEGRMGRAAVFDNSMPTNHVLIGGDELPRICDQVRFKTICRGFTKLPGVATPRQLLLASIRVASDKAKEAKLRVEEYKARTHASGPMESISDTCSKGYDDVVQSLEETRQLIETKGTNFDLNNKVSDAVTHAGDCTTGFEDFPDIKSPFAAIQQNVYRVVDNVLNIAVVVQQAEAHQAKLLGPHVH >PAN19006 pep chromosome:PHallii_v3.1:3:15725117:15730687:-1 gene:PAHAL_3G242400 transcript:PAN19006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPVATGPPPARLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQHKDPKVQFLTLTLLETMMKNCGENVHFEVVDQHILQEMVKIVQKRHDMQVRDKALLLLDSWQEAFGGPGGKYPQYYWSYIELKRSGVMFPRRPVDAPPIFTPPAIHQEYGSPRYPSGSLNERMTSDVETLSFEGLNNIRNATELLRDMVNALNPADRMAVKDEIITDLVNQCRSNQQKLMRFVSSTGDEELLKQGLEINDGLQSVLAKHDAIASGSPLPVETLSREELHREDQNQQPSTPAIPHDNKVQVEEDEDDEFAQIARRKNKSVISSDEASSSAGDQALIAVDPALSEVSSVTSNALVPVDSTSVSGTRTKEQDMIDLLSLTLYSPPEPSTDSSTQSQTGAQPSVTSNGLEVPPNYQPAAANYPASNQAYPTNQGYLPYNNYVAPWAQTGPVSQPGAYQTQPQQYVSSYPAPPWAMPASANSTNPFQPATYQMRNPPVASVAPAATYPAPSKPYAAPPMQHVPPPNPKLMHSYNSSVSQTYNGPSMASDARMNGNQRSKETPAIAARPYYMPDNLFGDLIDVKSFGAGSKINRSTSMPSPKGGGQPMIGRNK >PAN21965 pep chromosome:PHallii_v3.1:3:63319994:63325064:-1 gene:PAHAL_3G503400 transcript:PAN21965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVRALMRRKQVDSERARPAGSSNQLRKELSVAQLITIGVGSTIGAGVYVLVGTVAREHSGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGPDSLPWILARHEIPWLDVVVDPCAAFLVFLVTGLLCVGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATALSICCSLYMLVSIVIVGLVPYFAMDPDTPISSAFARHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVHKTTQVPVKSTIVTGICAASLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLQASFRLSHENDEEKLRDTLGDEDHEEGASEITDFVVESIKDPLIEKQLYASKLDETKRRKAAACSIASVCIGVLVLTTSASATFLPFLVRCFVCAFGGLLLLTGLGVLCWIDQDDGRHSFGHSGGFICPFVPLLPVMCILINTYLLINLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAEANEIYGSSSASEFVA >PAN19238 pep chromosome:PHallii_v3.1:3:17049128:17050191:1 gene:PAHAL_3G258400 transcript:PAN19238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLWRAVMDSVSGSSSSPSAPDAASGGVEFWHGAERAGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDPAVTRASVPRGVIPVASCLTVKGAEDVLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSIADAEVVDYDSRPQATAQPKDSEENQPAA >PAN21180 pep chromosome:PHallii_v3.1:3:58516143:58518142:-1 gene:PAHAL_3G448000 transcript:PAN21180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRWRGGIHSIPSWSTGDSDVLGHVFPEKRSRLNILEAKRVVAMAKPNREEGRVQELKKAHSHANVHMFQTFEFYFSRKGKQWEHQFNTAYGDFEGLHLFLRSLILSSSTKH >PAN18521 pep chromosome:PHallii_v3.1:3:13503261:13504531:1 gene:PAHAL_3G207800 transcript:PAN18521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLRVGALVVVVATSSAGRHHGARVHGRRTSLQPATAARRSRRAATTSGVRERSRGPGRRSPTPSPTPVAAPPPGVSHASSRRSSGRRPWQAAPGGCRGRAKGVAGEASGGGEAPGGDVGIS >PAN18581 pep chromosome:PHallii_v3.1:3:13800836:13802808:1 gene:PAHAL_3G212000 transcript:PAN18581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAIQDVLDPFTPTVPLRITYNNRLLLAGAELKPSAVLSKPRVDLGGNDMRAFYTLVLIDPDAPSPSHPSLREYLHWMVIDIPETTSVSFGKELVLYERPEPRSGIHRMVFVLFRQLGRGTVFAPEMRHNSNCRSFARQYHLNIATATYFNCQREAGSGGRRFRDE >PAN21315 pep chromosome:PHallii_v3.1:3:56971230:56974122:1 gene:PAHAL_3G434000 transcript:PAN21315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDMEELYHCYLACIPLAADMSNRMLLLLAVVANHYASTLSANTDQQIFNGFSTANLKLDGQASVTGHAIRLTQGISSEQGSAFYSKPLNFSSDNASAGDGGASFSTTFVFAITTDATMDLLETYGLTFVLSSTMELHHMYNSSGQYIVPPGIVGNNSKIDDQFFFAVEFSGNDENHIDIEVKSVVFVDSLIKNFYRSNSKFESSELSSGKPMQVWVEYDSQLQKLNITLEEFDEFHMTKPQSLPQFSFSVNLSSLISDSDFVYAGFSAIGQTNCSHYVIGWSFMLNGKAPLLNKIALNQVLASLPVENKQKQNHISNNKHMGMGIPLSVLLPTASLVTVALVVFIVLVSYNIKSWMKGKFGHGMYEIECGMPSFTYKELSSATSRFNKKMILGEGGFGKVYKGVLGLSKQSIAIKRVSPESKQGMKEFMAEIAILGHLRHRNLVQLIGYCLHKQELLLVYDYMPNGSLDSHLHKTDKPILVWAQRICIIKGVASGLLYLHEDWEQVVIHRDVKTSNILLDDEMNGRLGDFGLARLHNHESDAHTTHVAGTWGYIAPELGRHGKATKATDVYAFGIFLLEVVSGKRPIEVKADGETLLIADWVLNAWQSGSIIDVVDTRLPEEYEPEELELVLKLGLICTHSLPKKRPCMRLVMQYLLKDTPFPDFLPSFLATDANTEEDFNEQVLSCPSVATSITGLSGGR >PAN22055 pep chromosome:PHallii_v3.1:3:63827542:63828404:-1 gene:PAHAL_3G509500 transcript:PAN22055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRLFLLLSLALIISAAHDTTGHAQAAAAVTQVQGGTGGVGRSNSMMRRTTVGSRPPSCAGRCWWCGGRRCVAVQVPITPQDKKIHVHGGRPRKGGGGASSTSQQRQRQVSSVSSYDDHSNYKPLSWRCKCGGAGVGLNP >PAN15704 pep chromosome:PHallii_v3.1:3:550919:555588:-1 gene:PAHAL_3G009600 transcript:PAN15704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITQWLSSQDVPPDLTIRIADSIFPLHKAVMVPRCGYIRRAVASATKDPSAAVELDLSALPGGADAFEKAARYCYGANFEITARNAAALRCAAAFLDMQHPADLARRVEEFLAPAGLRALPSAVTVLRSCEALLPAADELGVVRRAADAVALRICNEALFPTRTPPGWWTAELAALSPASFQKVVTALRCRRAEPRVLANAASAYAELALAAVLADPRDREDQRALLESVVDVLPSAADAPIPAPFLCRLLHAAVTTEASAKTCRDLELRVAAVLDQATAGDLLGVALDGAGERVRNTDTVRRVIAAFVERQEASSESRRSRRASLSGGAEPDPGAMEKVARTVDELAAELATEESLAISKFVGVAGAVPKEARASHDCVYRAVDIYLKTHPSLDEIEREKVCSVMDPLKLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSGADGGWDTQTAAGKARAQARADASLARENEALRSELARMRAFVSGMQPSKGSGSSRSAPAGKKASFLGSVSRTLSRLNPFKGGWAKDTASIADGGGRHRRDTMPHVVKPKRRRFSIS >PVH62594 pep chromosome:PHallii_v3.1:3:27135223:27135570:-1 gene:PAHAL_3G332600 transcript:PVH62594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAAPARALPQRRPPPARAEPPEHTRCARCFPPPPRAPARRPAPSRHAPALARPSRTPALARARTAARLLPRVRCPWSRPDALYQWREEKGRGKEESDRAAIGGEKRNRRETPG >PAN21550 pep chromosome:PHallii_v3.1:3:60861529:60865783:-1 gene:PAHAL_3G475900 transcript:PAN21550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGAAATLRNINDAMEEVRRRSLSYRGWTIKEAMEDMRSGKWERRRIAYRTLQANAGLAEADPWHLPAQFVMPVQKKPGSSRLWTHSGYWEEKEDDFIAIRSRGGGEGSSSPSSSPCYEGVRRTLEFYEHNGTKTDWVMHEYSHLDANMFLQEDMVLRKVFNKKHTHRVHFILEHLEWIMEAHRETLAKHSEQEALINYVKKTLFDEVMQSLRESGCGEFPTASSAPDGESDDVWQHFTRINTKDPDVVYAACHRCDRVLRAHSKNGTSHLRRHRKTKTCTCNNNPSSTTEDQESLRELRANLDLYKHGKMEGRVVDSPDLNTSVDPWDLPTPRYFTSSLNRKTHQGRWEEIKSHDKLIAIRIGQLPVPQYAGLKRTLEFHHDDGTKTDWIMLEYHQVDDYNTRDLLLEGSMVFRKVIQIFKDAVKELERMWNGDDEEEERYIGEREEEVKACMSTLLRDCLLGEVGQSDQSRVGKRKRTGAPEGGSEVWLYFTKIYTMDPDRVYAVCHSCDRGYKGHSKNGTSHLKRHNKTCSSKHRKV >PVH62680 pep chromosome:PHallii_v3.1:3:38204881:38205012:1 gene:PAHAL_3G366200 transcript:PVH62680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYSGVLGVEEPHQSIPNLEVKLYCGDDTVGEVLRQNSSMPE >PVH62137 pep chromosome:PHallii_v3.1:3:13877656:13877969:1 gene:PAHAL_3G213500 transcript:PVH62137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPRGGSSRCAAPAPASSSSFTTPLMRDHPHGFGALGELLPRHGTAPSASSFLGTARRRERRRYRRILSPARASTGVWTRRRRAASRWHPRV >PAN19736 pep chromosome:PHallii_v3.1:3:20121656:20123255:-1 gene:PAHAL_3G294800 transcript:PAN19736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLDSPPQVPAAGAWGSLYAIQEPVKPRHQMMVAPAAAAKKPAYGGLRRKNLEMCTEALGCETGAVDTAPAGNAADKVHAEAAAECAERKRRAREEEEEEERARRPLPPPLTTLAHGASRVRMVHERRDGRLAVYAVRTPGVEAERSDGRLRMRLLPLPLLPCSADNAAAACPREEPREAETDEELVKEDGEEEYGVAKYVRGGRCVEPEVAAAAARRGGKQWEPEQAAAFWVATS >PAN21447 pep chromosome:PHallii_v3.1:3:60245986:60248841:1 gene:PAHAL_3G466700 transcript:PAN21447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLALVAFLLLGLAAATASAADAPFVVAHKKVSLSRPKPGVERVAVSLELYNQGSATAYDVTINDDSWPTEAFELVTGEKSKTLERLDPGATASHTFVLETKTQGRFQGSPAVITYRVPTKTALQEAYSTPIFPLDILAERPPEKKFEWAKRLVAKYGSLVSVVSFVGLFIYLVASPSKSSSKASKKRR >PAN19904 pep chromosome:PHallii_v3.1:3:21489592:21493156:1 gene:PAHAL_3G306300 transcript:PAN19904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSQIRFGAHLTPIIDPWRVGPGPSRTNFGGFVLRWGRFRRSCAEFGQDFCLPPPNFGGGFALVLAPRLQGMEPRRWLAAAVLMCLLVHCCGRELKTKHAPIYDPALARTLAEYTSAVYTADLTQLFTWTCERCGDLTEGFEVIELIVDVKNCLQGYVGFAKGMNAVIVVFRGTQENSIQNWIEDLFWKQLDLDYPVMPEAKVHSGFYSAYHNTTLRDGVVRGIQKTRGVYGNIPIMVTGHSMGGAMASFCALDLVVNFGLKDVTLMTFGQPRIGNAVFASNFKGHLPNAIRVTNAHDIVPHLPPYYRYFPQKTYHHFPREVWIHNVGLGTFEYSIEEICDDSGEDPTCSRSVSGNSVQDHIHYLGISMHAESLAHCRIVTGTLQYKMDPAGNIVFSKQPGLSVDELHSAQ >PVH62528 pep chromosome:PHallii_v3.1:3:22981681:22982391:1 gene:PAHAL_3G316300 transcript:PVH62528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTERCLPASPRLSCRDPIDLHLPCLASYKAPSSRHIPNRAQLLALPETAAIFVDLQVPVELKMGDKTKTSWPEVEGLPAAAAKHKILADRPDVHVVVLPVGSVVTTDYDIKRVRVFINRAGNVAEVPKVG >PVH61270 pep chromosome:PHallii_v3.1:3:144204:147750:1 gene:PAHAL_3G002500 transcript:PVH61270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLVPDPSGNHVDQHSVATTMTTKDLTSLPRLNQLLDHKRGEPSYAPHFSEKRMKTQATPKMMVLHAPVGVRSIVSFLVAFFIVASSIVFLFDRGQEAQVQMAVEHGRQEPWVGGTTEAGDTSKEECNWSRGQWVYDNVSRPLYSGLKCTFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDAIKLLEMLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLLESNSDNPIIHRVDYRMIRADRIEKHASVWRDADIIVFNSYLWWRKQNDDMRMKVMYGSFEDGDARLDEMEMMDGFEVALKKLTEWLGENIDKKKTRIFFAGSSPTHFWASKWGGEDSNKCLNETEPIYKVGYKSADYSLMAMAKSYFETLLEPKGIHVEILNITELSDYRKDGHPTVFRKQYVPLTKEQIAKPASYADCTHWCLPGVPDVWNEFLYANLIMYR >PVH61271 pep chromosome:PHallii_v3.1:3:145424:147750:1 gene:PAHAL_3G002500 transcript:PVH61271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQATPKMMVLHAPVGVRSIVSFLVAFFIVASSIVFLFDRGQEAQVQMAVEHGRQEPWVGGTTEAGDTSKEECNWSRGQWVYDNVSRPLYSGLKCTFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDAIKLLEMLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLLESNSDNPIIHRVDYRMIRADRIEKHASVWRDADIIVFNSYLWWRKQNDDMRMKVMYGSFEDGDARLDEMEMMDGFEVALKKLTEWLGENIDKKKTRIFFAGSSPTHFWASKWGGEDSNKCLNETEPIYKVGYKSADYSLMAMAKSYFETLLEPKGIHVEILNITELSDYRKDGHPTVFRKQYVPLTKEQIAKPASYADCTHWCLPGVPDVWNEFLYANLIMYR >PVH61549 pep chromosome:PHallii_v3.1:3:3681314:3682083:1 gene:PAHAL_3G058400 transcript:PVH61549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAWSAPCSTAAWGVGDAGFHHPGLLRDAPLRSGARPSPGTRSSVAAWAGIIEARRRAGPALPRGHGQTLAEFSSVSEYNTNSLPMDWLRWLVLRSLCFLAYRLLVSRGAVTPCVQGRACRNPQKRKWTSATGSEGFGVGERLELGDARASRGAKLRKRSWRPCPGRWCPWSLLLPEEGSLNLNTAPEEQQARSGRLLRGIGPRDSGREEGGGDGYGGGQGTAGGPEEGGADPNHGPKCKNPERDY >PAN19651 pep chromosome:PHallii_v3.1:3:19547003:19549769:1 gene:PAHAL_3G287900 transcript:PAN19651 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G59180) UniProtKB/Swiss-Prot;Acc:P38421] MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >PVH63064 pep chromosome:PHallii_v3.1:3:60141648:60145338:-1 gene:PAHAL_3G465100 transcript:PVH63064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATRRPQPQPGCSSPRRRAARGAPRPTRTSSPPPSSSSSPPTAPPRTSKAPSTRRILYTSFTLFSVVAPPVVTRLGPKRALVVGSSGYVLFILANLVPTWYTIVPASLYLGFCALIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFTGEFWGIIASTQVIGNLLSLALLRNEKDGGSVTGKNLLFVVFLGCMIVGIVLLCLLSKREEKGYNDPLHSSFGAMLESLKDRRMPFIIPLMVYIGLEHAFVWAVFTKSIVTPVLGISGVGGAMTIYGAACAVCALVTGYLTSGLYSATLIVSFGAIVQAVVLFWLLLFYSPMDRVLGAAAPLLIGALWGVGDGMLNTQLNAVVGLMFEDAKEAAFAQFKVWECGAIATIFFLSLHIMLQVMLVLMTASLVISFGAFLFLTIAVEKSSSAVRS >PAN17401 pep chromosome:PHallii_v3.1:3:8334071:8338848:1 gene:PAHAL_3G129100 transcript:PAN17401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OsGA2ox1 [Source: Projected from Oryza sativa (Os05g0158600)] MVVPSTTPVRQETAAAAASHGSGIPTVDMSAPGGRGALSRQVARACAEHGFFRAVSHGVPPGPAARLDAATSAFFALAPHDKQRAGPPSPLGYGCRSIGFNGDAGELEYLLLHASPAAVAHRARSIDTDDPSRFSTVVNDYVGAVRQLACDILDLLGEGLGLKDPRSFSKLITDTDSDSLLRINHYPPACTIHKLDHDDQCKMKSIVRTKNGNGLNSSAGARIGFGEHSDPQIISLLRANDVNGLQVLLPNSDGKEVWVQVPADPSAFFVNVGDLLQALTNGKLVSVRHRVIASACRPRLSTIYFAAPPLHTRISALPETITADSPCQYRPFTWAEYKKTMYSLRLSHSRLDLFQVGDDDNSNVGKGEQE >PVH62780 pep chromosome:PHallii_v3.1:3:49266325:49266843:-1 gene:PAHAL_3G396700 transcript:PVH62780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQKSGPILAGTRLPFADLFCHFESVTDITHGILGLVTDLIFGTPKTLAVPSYKYARVPDTEEYNRTHNAPQPACCAAAYANSSRLSACTGDRESRSPEPRPQRSCTGRGRIRFLRSALRDCSNASTSPSSTSSSPRLVFLLVGGARLGVVKRTEVLIVAVVFFTRLFWSA >PAN17418 pep chromosome:PHallii_v3.1:3:8408842:8410900:-1 gene:PAHAL_3G130300 transcript:PAN17418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRCCLRLGTLLSLLAALLSATACLDFGFYDTRCSSAETIVQQTVAAAFRNDSGVAPALIRMHFHDCFVKGCDGSVLIDSTPNNTAEKDAIANNPSLRFFDVVDRAKAALEAQCPGVVSCADILAFAARDSVALAGGLGYQVPAGRRDGRRSLANETDDLPPPFFNATQLAESFTNKNLTLEDMVVLSGAHSIGVSHCSSFAGNFPNNPANRLYNFSGSADGIDPLLSKAYALLLRSVCPPNSNQTFPTTTPFMDIITPTKLDNKYYVGLQNNLGLFTSDAALVTNATMKALVDSFVRSEATWRTKFARSMLKMGGIEVLTGTQGEIRRNCRVINPTTRTAGVHPAVAGSSGSSGSTGVAAS >PAN21131 pep chromosome:PHallii_v3.1:3:58124259:58126514:1 gene:PAHAL_3G443500 transcript:PAN21131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >PAN16439 pep chromosome:PHallii_v3.1:3:3844317:3847510:-1 gene:PAHAL_3G060900 transcript:PAN16439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVENSGHVLRTPRKARDIKRKSKKKRKAEPQDDILVAICDDGGFVTCCDGGCMRSFHLTEEHGEGSKCPSLGLTSEQAKMIIDKKDFICKNCKYKQHQCSACGLLWSSDLSSGAECISKLRHPDSKVRASLFEQHVAAGLKFFCHVHKCIVCHGEESKDDKNMQFAVCRLCPTTYHRKCLPRKHEIIKELGIPRRKLIIFPEAKTIFAPKDPESTPKEQDILVEQELLGHPSSEPSQTLPQPATIQNQWFRSNPMDSFAPSSLYTDPYPGSCGWLDD >PAN21249 pep chromosome:PHallii_v3.1:3:58844999:58845814:1 gene:PAHAL_3G452600 transcript:PAN21249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVMKLASERAVVVFTLSSCCMCHTVTKLMQDLSVNALVHELDSDPRGKEMESALLKMLGGRGPAVPAVFIGGKLVGGTNRVMSLHLGGELVPMLMNAGALWV >PAN15696 pep chromosome:PHallii_v3.1:3:509394:512154:-1 gene:PAHAL_3G008500 transcript:PAN15696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLAAAARQPKTPHPLLAALHRLLSTTTTTSSSYDYDPTAQFLHPDHRRVLSLPASLRHDALLALARLLKTSPQCHLALHAVSPPPSSGSPSSTSLAARFAAASRLAASASALRPFAAILIAARLPAASPDLLSWSSSYGSTGGSARVRYAALRLALHAFLAAGMAAEALHVLARVRSSGNTPSLSALAALLRLLFRSGEVRAAWNMFEEMTTRVPRPSLAIFNAMILGFCHRGMLRIGSGLLGVMEKKFGIIPDVVSYNILIKGHCVFGWSGDAFKLFEEMRSSGCEPTVVTYNILVDVLCHEGRMAEARRLFDEMAQVGIKENTITFNVLIDGYAKTGRMDEASAAYREMKVRGLVPDSCTFNILAAGAYKFGHAAQLVHDREMFASHLPADGLDVLVCRLCWDDRLDDAWELLRGAIEQGVPVSVAGFNALIAAYSKEGLHEAAFELYRIMNEVGLAPSTHTFNYLIMGLCNQGRLDEGQLILEHMVSKGYCLSTSFTIYLDASFREGDAVRALKCWDDMEKIGLQPDFIAFSAYVNGLCRLDYVNEAYQAFAEMTTRGLEPNNITYNSIISAFCRAGNMAEALKLQQKMRQSGLVPDVYTSNILIDGLCRQGNLKMVDSLLLDMCSNGLIPDTVTYNTIINAYCRAQDMNGAMSFMNKMFAAGCEPDIFTYNIWMHSLCSNHMLNQAGKVLDELVTRGCPPNSVTYNTLMDGICSDVLDRAMILTGRLIKMAFQPNTITLNVFLSHFCKQGFGKRALMWAEKLREDSFVFDDATRNIIDWAQREMENDPQVNNEDIDRCLFLEFLMFRTYETMHNNRYSKAGHVPADKGFDPASSNMIKILDTG >PVH62058 pep chromosome:PHallii_v3.1:3:12765420:12766171:-1 gene:PAHAL_3G195100 transcript:PVH62058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPHKLYLFRARASTPELRNRGSIAPPLRHAHTTGIRHLKNIERTPDSCHSTQPSEVVGKALPLFHSPPILLKRGRLQPAPGLSNRPRARSSSSLHRLRMPRWQYGKPRRRRTRPATREGGRGSSGAAAHEACVEPHVGGSELRAGGGRYGDDDAGEAPWCYCRIF >PAN18796 pep chromosome:PHallii_v3.1:3:14727958:14732086:1 gene:PAHAL_3G226500 transcript:PAN18796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATKWDRRCLNTSGGADYDFCERRATAADEEVSALKEELMQARNRIQELEAESLSSKKKLDHLVRNLAEEKASWRSREHDKVRSIVDAVKGDLNRERKNRQRAEFMNSKLMDELSELKLLAKRYLQDYEKERKARELMEEVCDELAKEIADDKAEVEALKHESMKVRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLTELQAILEAFLSFHQGSSADKETVRDGERLREAICSMKLHGKEFSYKPPPPSEDIFAVFEELRQREDINEKEIVQCNGDTPVSHATKIHMVSPETDIFLEKPANKYSTQPCARNEDEDDSGWETVSHAEQGSSNSPDGSEPSVNGFCGGNDASASGTDWEEDNCKNCRSNSGISGVCSTTGEKYRKKGSSFSRLWRSSNGDGCRKTGSELLNGRFSSSRMSNADLSPDPKTSEVCQLSPSVGDWSPDLLNPHVVRAMKGRVERPQGAQKHNLKSKLLDARTNGRKVQLRQALEQKI >PAN18795 pep chromosome:PHallii_v3.1:3:14727958:14732086:1 gene:PAHAL_3G226500 transcript:PAN18795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRRPPPVARSPARAQGPAAAATPRQASPARPRRGRRLRVQSPSLASARRVPAPAHAPPPATPPLRWPGDAVAPRENAGSGAAASVRRIAAALWRAHPPPREPGEARRRPEPSPRHPHTPDRCNYYKAVLEGRTGSKPLGNGIIREVGAYSSSPRIEMEVATKWDRRCLNTSGGADYDFCERRATAADEEVSALKEELMQARNRIQELEAESLSSKKKLDHLVRNLAEEKASWRSREHDKVRSIVDAVKGDLNRERKNRQRAEFMNSKLMDELSELKLLAKRYLQDYEKERKARELMEEVCDELAKEIADDKAEVEALKHESMKVRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLTELQAILEAFLSFHQGSSADKETVRDGERLREAICSMKLHGKEFSYKPPPPSEDIFAVFEELRQREDINEKEIVQCNGDTPVSHATKIHMVSPETDIFLEKPANKYSTQPCARNEDEDDSGWETVSHAEQGSSNSPDGSEPSVNGFCGGNDASASGTDWEEDNCKNCRSNSGISGVCSTTGEKYRKKGSSFSRLWRSSNGDGCRKTGSELLNGRFSSSRMSNADLSPDPKTSEVCQLSPSVGDWSPDLLNPHVVRAMKGRVERPQGAQKHNLKSKLLDARTNGRKVQLRQALEQKI >PAN19318 pep chromosome:PHallii_v3.1:3:17424752:17427562:1 gene:PAHAL_3G263100 transcript:PAN19318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIEAGRGCAPDKETVPSPRAKEVIVYRDLFSAGLRFPLDAVVVRIHHNFDMYLHHLTPNAVLQLSVYMWASKTMGVSPSVENFVRAHTIHHQPLHMERMQGSTVVKEEAQFASLNFKYHSNVEAPVVCYKNKWDKYWNAYYPLTCMDLRDLPKGIGTRPEDTDTSRIFLVAFCELARVYGTRDLVEEYCGSKVFPVRAGWQVAAWVDFTSSIKIPDFTKSFGVKGKDIDVGRIKGLANDILGPTMAKEASLKRLAKKAGQTDVVAGSSGARPKAVAKRRKEAEERVSHKCARPAPVSSSEAGSSNTGDPEENKSTEGGGESAHGEDAPGSGTAERSEEPIDVTSTSPLCGSSMEATLAKPFAVEYNDFEAESDEEPAAHKVTAKAKSPEAPATLTTLVAAALATSSPRREAADFVQAGHEDRLSSSSETDSDRPSGGVFVSMEQPSVVAKRLGLPTMTRLFGDRKRKMLQFSSDDRERELLKEAEDSFCFPIMEEELTNESVDEILTHAQDLSMKSFIACRAAQRRCRRDLRSHQLAEQTTASALEKEIAVVKQLQDQKEVLARREELFAKQLDEAKTKMENLWGLAASAENEKKRTEELAKELEELKDVHAKLVTENLEYCDEIEKHIYPICQKVHDLLLDFSATPAPYSVKDMFISQLFEWLSTSVSSLASAGRSFGELGDVVSVRSFAHALCAMISSSSGSPDPVITKSDLRRLRDPSFSWPSETAMEKIPVMAKNIAKSFMQGFYKKCGFSLAVAEGRRVLRQSQGASGSSSAALEQQALRIGQGSTPSAPSVAGTSETPAVPDSQNAVSGVAEV >PAN19373 pep chromosome:PHallii_v3.1:3:17937794:17939904:-1 gene:PAHAL_3G269400 transcript:PAN19373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCHGMNSPSHSLRSYSVSSSEEESRCGAAVACLARRVTPAGTSSVGTSKVTPFPPMVTAQSAEGTPRLQRSHAVSRDLVRDWNFDEVILAN >PAN16166 pep chromosome:PHallii_v3.1:3:2639686:2640635:-1 gene:PAHAL_3G041500 transcript:PAN16166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEAAPRPRPRLAAAVALLLFLAMTPPASAVVVPPLVARLRAFSVSLDEAGGGGFAECWDSLTRLGSCTSEILLFFVNGESYIGPECCVAVRGATRHCWPAMLASVGFTAEEADVLRGFCDAEEAAAKDKGPPPPPAGPVPAPEKP >PAN18047 pep chromosome:PHallii_v3.1:3:11524463:11527113:-1 gene:PAHAL_3G174500 transcript:PAN18047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLLLPFPSAPAALHPAAAAAAPKTLFLGASLPLHPRTPPPFPLRLRPRPAVVVAQAAVKRRKEVPFDNVIQRDKKLKLVLKLRNILVTQPDRVMSLRDLGRFRRDLGLTRKRRLIALLKKFPGVFEVVEEGVYSLKFRLTPAAERLYLDELQLKNESEGLAVTKLRKLLMMSLEKRILIEKIAHLKHDLGLPPEFRDTICLRYPQYFRIVRMDRGPGLELTHWDPELAVSAAELAEEENRARAAEERNLIIDRPLKFNRVKLPKGLKLTRGEARRIAQFNEMPYISPYADFSHLRSGSAEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQSLRGMIIRHPDMFYVSFKGDRDSVFLREAYKDSQLVEKNKLVLLKEKMRALVAVPRFPRRGGAWTSEEAVGANGAAQLSNERSDEEYDDDEGLSDMEDLISELSGGKSDADYQWGDGWFGENDDAPPDFADDDSSPQEVKVTMKNADGSANGKAAVPVFPDGRPRERW >PAN18498 pep chromosome:PHallii_v3.1:3:13370163:13372407:-1 gene:PAHAL_3G205900 transcript:PAN18498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLDPLASLGLGYAIAIALGFLVLLASVLLASYFCLRRGAGEGFGAGGLGVGGSARHAASSASSSGHISITVPRVVFVAEDYDSPGSSSRGAAAAASPVGLDPAVIATYPRVPFSRAALGADAEAACSICLCEYREGEMLRVMPECKHRFHLTCLDAWLRRSASCPVCRSSPIPTPVSTPLSTPLSELVPLSQYAADRRRSRFG >PAN17635 pep chromosome:PHallii_v3.1:3:9415905:9417476:1 gene:PAHAL_3G145600 transcript:PAN17635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPDQDSGSGATPEAAAMLRRQMSACACARKEPVARHDRRLSASTSTSFSSPAASPTLSTSSSSTNYLPASNKLSSESIPFVVPEFGELSSISSTSSYESFFHIEASDPGAEFLDFEPTTRAPAVQTMMAQHQRPEGGAAAYDPKRLPSSMFRTRSTASPGDWSVTSNESLFSIQLSHSSGDLGAMYADLYYDAAGFPSFPTGREAPLRLPSLSESSSVRSGGLCVRHDCARCTDAGGKTRKSVRFATTESVSTGKHSVVTLEVAMEEEKAPASETNAPAAGWCELGCCLPSAAPSWWPRCCLCCGCGCQCKWWL >PVH62583 pep chromosome:PHallii_v3.1:3:26000208:26000558:1 gene:PAHAL_3G328500 transcript:PVH62583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNKHPWENGLVASGEHCGCAGHPPEFDAQRRHRVERHAHRLRRPWGFGDLFKEAQYGFVGLACV >PAN16399 pep chromosome:PHallii_v3.1:3:3640540:3645150:1 gene:PAHAL_3G057600 transcript:PAN16399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACASRCLFLFPLLAPKFPLPHPPLRRRRGGGGGACIDAARCSTEGSGGWGGIVEDDLAELLQILPRDLRDSLQNEPRKDQLLEVVLDLGRRPEARFLGDSGGQYLRDREISQQELEAAQQAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPTLSDLIGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVEVRKRDNEFQVVIERWATYDGDGL >PAN21816 pep chromosome:PHallii_v3.1:3:62249681:62257842:-1 gene:PAHAL_3G490600 transcript:PAN21816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSPSNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKVMIKIIDEDADSFARRAEMYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQAHKKMAEAFPDEFQLDFDDDLPTETASTESETDNRDMTPFFLSFIKAGDSKKRAKDDQDHEKLQKEISSLSQENQDLKKKISSVLEKSNKAESEVLSLKVALADQEAEKEAAFSQCQQSSDRFQSLKSEILHTQEEFKRLKEEMENGLQNLSTAEERCLLLEKANQNLHLELDNLKISSKEKHDELNEKHIELEKLSISIQEEQLKSMQAEMARLSLEKQLAQAQEKLRLLSFEKHGEASKIENIEATKVLLQKELETIREENRKLDDQNHSSTSVIIRLQDEIISLKNAQRRLEEEVSRHVEEKRVLQHELSHLKDNKGDLDRKHFSIKEQIQVVNFNVESLQSLAQEVRDGNVELKETIKNHEGVKSLYVENLMLLERTLEKNAHLERSLSAATTEIEGLREKKAALEESCKHLNSKVDGHQSERAMFVARIEGISHTMEKLSEKNVFLENLLSDNNTELEILRRKLKDSEESTHTFRNQNSVLRSEKRTLMREVDSINSALLSLETQYAELEGRYLDLEQDRNRALNEVIKLHELLRLEKEKHKEATSSGITQFSAIQKQIGLLLKEVQHKDNQLQEEEHKIVEAQTEIFILQRCLGDMAEVNSDVLAQLRKQQEVCKVQEEKVDFLSQNNQQLTEGIGSVMEVLHLDEKYGSLDLMKIDVVVQLLLHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSILKQEWQAKSEELVKLQSERHDLLKISCELRKEMEARNRKVDELKSEAKFLVRQLTELQESRQSLQAEIIKLIEENTSLSSKVYGSREKERSFEDDFSSLVGEVVRTDILGVIFRSLHDERTSQLKCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLSICDGSGAEISTGSRRRAMRRDTKLLKSGRKSQENGQNMEQRKEVDSAGLEKSNEMLREELQKLKNELQVLRSKEQPVIDVKSCDAEITKLLANMQLATANASLFKEKVLELIVTCESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTLLGALQTEVDALEKQTLSLAKDCLPPNMLKEENPLSPQLSKIVVRPSEDQNSMKMVKDMELQKLHGTIKALQKVVSDTGVVLEQERLDFNSSLQDARKQIEMLKLKEILDSDASDVNYERMMKDIQLDLVQTPSRRATALHSRHRKKNSVTAQSDDKMLALWSVDRVSSGSRRHDVDLRPPQSEAAENDSKGKKLSSEPVVTVKDLSVDKQEVLPRPVVTTVATTTTEPHREWKKKVIDRLSLEAQRLRDLRSIVQELRAGVEASSDAELEDVKTQMADAEDAIAELIDANGKLLKKAEEFTSAAAGNDVDLRSRSQRKILERVRKMSEKAGRLELELQRFQHALLRHEEERAARRAAKAAATVQVQRRSRVQLVEYLYGRRRDSRRPKQKTRGPSCCMRAKAIDD >PAN19478 pep chromosome:PHallii_v3.1:3:18446313:18450925:1 gene:PAHAL_3G276300 transcript:PAN19478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVMGLKRPAAAAGAGAAVAQTVTLPAPAVRDAVRAAVREAEATAQATAPAARVPAAAAVPAEIARDGVLCLEEIDGRRWSYVVDAAGAAVKAKGRASVGGAFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPHSVTPSYVPYMSWRALKHFFGGAMGVFTTRTLLNSVGVSQSKAVPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKSNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGYVPTLKDGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFIAIKPMFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARRRMNSSRSYQHNPVNMDFLPHIEESCKIVLSSYGVFKKKAREQGWIMSESLLNPGRARLCGVVPQ >PVH62700 pep chromosome:PHallii_v3.1:3:40773295:40774261:-1 gene:PAHAL_3G373900 transcript:PVH62700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDDYNRIVCELFTEQVRRGNRPNTHLNTLGYIEVSGRFYQMTGIDLSKTQIKNKWDRLKNDWSIWQKLLWNQTGTGWDNTRGVINMDNEWWEKMKVDVPGSGKFKKKALQNKDLLREMFGDISNDETDHWNPMSDNPVIPKSQKDTENIDGAGEEEEEDNVLHDWSYREEEDEEVQEVSPANGNKKRRARVVLEVPKKQKSSTALIIQEQITKIADSAESFTSKNNEHDIDTQLFVKKEQREMFLTLPTREIRLNWLTRRYNDKYRN >PAN16180 pep chromosome:PHallii_v3.1:3:2704991:2709868:1 gene:PAHAL_3G043000 transcript:PAN16180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFVGFLVPVAVSLLLRKRRKAERKRGVQVEVGGEPGYAVRNYRFEQPVETHWEGVSTLAELFEQSCKEYVYMPLFGTRKLISREIESAPGGRSFEKLHLGEYEWKCYAEAFKSVRDFSSGLIRLGHQKNERVAIFAETKAEWQVALQACFRQNITVVTIYASLGEEALCHSLSETEVTTVICGQKELKKLIDISGQLDTVTRVVYINEEGISDEVSLARNSTSWIIESFDEVGRLGTEAPVEANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPALGSKDIYLAYLPLAHILELAAEALMAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDATGGVAKKLFDIGYNRRLAAINGSWLGAWGLEKLLWDTLVFGKVRAILGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYLITDSPMPRGEIVIGGPNVTKGYFRNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMVHADPFHNYCVALVAAARNELESWASQQGITYSDFSDLCQKEEAVKEVLGSLAKAAKQARLERFEIPAKIKLIPEPWTPESGLVTAALKLKREVIRKTYENDLAQLYA >PAN21611 pep chromosome:PHallii_v3.1:3:61329344:61332771:-1 gene:PAHAL_3G479800 transcript:PAN21611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPVEAAAEDWSACAFSLTCEEDGADLGDGSVDDGEFFSPYNARDEEEEEEYLEQLLFKEASFCCSSDSAADCDVDADGDVDGEYQLVFSEEWFRQARLAAVKWILETRGYFGFGHRTAYLAIAYFDSFLLRRRVDREAMPWAARLLSVACVSVAAKMEECQVPALSEFDAGDYDFCSASIRRMELLVLSTLGWRMGAVTPFDFLPCFSSRLHPHGGAGAGGRVALKAIGFIFATAEAGSVLDHRPSTVAAAAILAATYGPLLTKEALDSKMSYLPPSCLIEKEHVHACYSMMVGDMNRRGNKRSLPCSGSNEVATSTYDSVLVDDVTDTAAFATSVAARNKRIRLELPGIR >PAN18856 pep chromosome:PHallii_v3.1:3:15010198:15014800:-1 gene:PAHAL_3G231100 transcript:PAN18856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLLLSVGLAAVLIPLSLAFLNRLRLGRLPPGPRPWPVLGNLRQIKPIRCRCFQEWAERYGPIISVWFGSGLTVVVSTSELAKEVLKEHDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAATAPANEGKPLVVRNHLSMVAFNNITRLAFGKRFMNANGEIDEQGREFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDRLTMKIIEEHAKALKESGAKQHFVDALFTLKEQYDLSEDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMSETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASTNVKIGGYSIPKGANVMVNVWAVARDPKVWSNPLEYRPERFLEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLPSGTRPEDVNMMESAGLVTFMGTPLQAVAKPRLENEELYKRVPVEM >PAN18110 pep chromosome:PHallii_v3.1:3:11759493:11762448:-1 gene:PAHAL_3G179100 transcript:PAN18110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARHDHAGGHHQHHLSGDFQFHDELASLFAQRPDAPTPMMQQPWFTDYLHASAPTPLDYDAFAGDDFDVPAVDEDVKRELVVDTTGAAAGSGGGTTTAPLTPNSMSMSSTSSEACGAGAGAGDESAGKCKKEEGEESKDGSAAAKGDGEGEEKNKKGAAKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSFQDAAVVITTYEGKHTHPIPATLRGSSHLLAAHHHADLHHPHFRMPPPPTVALGVGAGGGHAFRPGGGSAFDALGLLQPQQLQQGHHHAAQQLVVSGAGAAAVSGLQQANAAMSSHALPDHQHGLAAIVGTAGTTIATATTAAASAPLRMQHFMAQDYAGLLQDMFPSFVHNNDDGDNHHH >PVH62222 pep chromosome:PHallii_v3.1:3:15571981:15575751:-1 gene:PAHAL_3G240100 transcript:PVH62222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPPPTSSPSSSYAAAMAVASRHHLLPVLVLLAAAAGAAAAATVSDDVLALVVLKSGLSDPAGRLGPWSEDADRACTWPGVSCDPRTGRVAALELPAASLAGRLPRSALLRLDALLSLELPGNRLSGPLPDALPPRLRALDLSGNAISGGIPASLASCESLVSLNLSRNQLTGPIPDGIWSLPSLRSVDLSGNLLSGSVPGGFPRSSSLRAVDLSHNLLEGEIPADVGEAGLLKSLDFGHNSLTGGLPESLRGLSGLSSLGAGGNALSGELPAWIGEMAALERLDLSGNRFVGDTPDAISGCKNLVEVDLSRNKLTGELPWWVFGLALQRASFAGNALSGWIKVPSDAAAALAALDLSSNGFTGAIPPEIATFARLQYLNVSSNSMSGHLPASIGRMLLLEVLDVSANKLDGAVPPEIGSAVALRKLLMGRNSLTGGIPVQIGTCNSLIALDLSHNKLTGPVPISMGNLTSLQTVDLSENMLNGTLPTELSDLASLRVFNVSHNLLSGSLPISRFFDSIPYSFISDNAGLCSSQKNSSCNGVMPKPIVFNPNSSSDPLSDAAPSYLSNQHQKKMILSISTLIAIVGGAVIVIGVVTITVLNLRARATAPRSALPTALSDDYHSQSAESPENEAKSGKLVMFGRGSSDFSADGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDDFKQHVKLLGKVRHHNIVTLRGFYWTSSLQLLIYEFMPAGSLHQHLHECSEESSLSWMERFDIIIGVARALVYLHRHGIIHFNLKSSNVLLDTNGEPRVGDYGLVNLLPMLDRYVLSSKIQSALGYMAPEFTCRTVKVTEKCDVYSFGVLILEILTGRRPIEYLEDDVIVLSDLVRGAVEEDRLEDCMDPRLSGDFSMDEATLIIKLGLVCTSQVPSQRPDIAEVVSMLEMVRSPQGTPEDDLV >PVH61994 pep chromosome:PHallii_v3.1:3:11475228:11478229:1 gene:PAHAL_3G173600 transcript:PVH61994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAKFMKLLDHSKILLRKQWLYGIVDDFVIKRLPHNMTWGLSLLYALEHKGDRALTSTQGELAHALAVLVSTVSQSFIAFSDILELHKKLLELSGGINRIFKLEDFLHAAQRNTVVSSNTISAASEEIISFNEVDIIAPSQKLLARKFSCNVVPGKSLLLTGPNGSGKSYIFRVLRDLWPMVPGRVTKPSEGMFHVPQHPYTSLGTLEDQVICPLSREEAEMKVLSLHKSGNKSSASVLLDDHLEKILENVRLVYLLERERWDSTPNWEDALSLGEQQRLGMVCWC >PAN20256 pep chromosome:PHallii_v3.1:3:45610791:45615628:1 gene:PAHAL_3G387500 transcript:PAN20256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKPQPQQPPSFEHHHPPSVGPASPDSLAAQAMRASAAHRDASSIASAYSSSASAAARRSHHEPSVSTTSLDSSGYEYTSMKSLNEAKYGFWGALARKAKSFLDEDGSPGQHESPTGQQSPRDEASVGVQRRRSQQFPGETWKSETPPSQKRSEAITSSLNYIGGTIKNALEEGRTIVENKTADIIQETRKLNIRRKGAVSNTQGEADHKLTQKYLPQNPLDHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKMLRESYDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPRTGSSVGRAATPATPESAASSTSIVVPESCSVVPASPKSLSPASSLSN >PAN19166 pep chromosome:PHallii_v3.1:3:16618426:16619079:1 gene:PAHAL_3G253900 transcript:PAN19166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCTCISASKLNVSKMICLYSASILPRRTAPACRWGTSAAPRDTGQCRARGTRGCRPAARQHAHGLPAAAGERGEAIDLAPWDRDDPASSPAEYARHGSACTSFPPCLAAPACPAPPPPPVPCSGSCACGAPSSAPSWHARRVTRRAPWTTRSARAATRTAMTRIALSLRKVKASAAGGDRASETSPVVPKAGSPPGPAAAGGAAWSSAAGLPWSGG >PAN16687 pep chromosome:PHallii_v3.1:3:5049426:5049776:1 gene:PAHAL_3G076800 transcript:PAN16687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATPFGLAVVAALVFAVAMPALAAAQAPAPAPTSDGTSIDQGIAYLLMIVALVLTYLIHPLDASSAYKLF >PAN17611 pep chromosome:PHallii_v3.1:3:9251133:9255746:-1 gene:PAHAL_3G143500 transcript:PAN17611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPAEYYNSLPPISKAYGTLCFFATVLFHLQILNPDFIGLYYPFVFKKFEIWRLFTNFFFLGPFSINFGIRLLMIARYGVQLEKGAFEKRTADFLWMMIFGAISLLVLSAIPLFQLSFLGIPMVSMLLYVWSREYPNSQINMYGLVQLRSFYLPWAMLGLDVIFGSPLLPGFLGIIVGHLYYFLAVLHPLATGKNYLKTPKWVHSIVARFRIGVQANTPIRPAANAGAGAFRGRSYRLNQ >PAN21853 pep chromosome:PHallii_v3.1:3:62535910:62538842:1 gene:PAHAL_3G494500 transcript:PAN21853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAEGMDRFDMDGDFEGGQFGRDGEFYYRSRRERAPQTRDDAIYGVFAEGDSDYDSEDDDGSRRRGRRKRRRDGGGEPDLTKPVQFVSTGKFMPTQEPEAEPDKRPGLGRAAAAAAKEEEAEEEEQDDEGDTEMLPTMFGRIREGARARREEKEREREKAARRRQAAGMGAGEPAAALGSLEANSKVAKMMAMMGYKKGMGLGKNEQGITAPVETTLRPKNAGLGSVEGFKEPKPMTSKENLPVPAPPPTSGKKEKRWSKKASTKKAPVLTKNELLAMRAEQEQEEEPAVVQKVIDMRGPQARVLTDLKGLNEEQEMEANDVPMPELQYNVRLLVDEAKADVLRLHGQLRREQEKVASLVREKENVAKQEALQKHQLQVMERIAETLERVRVDDTAGMLTLDGLLQTFHGLKVQFEEEFKMCSIAWIACRYAHPLLIRIFQGWQPLQDPKFGLDVMKKWKDLLQGDQPYDFSDGSASMTPYVQLVSEVILPAVRISGTNSWEAREPEPMLNFLELWDNKKLLPPVLLQSILEHVIMPKLSAAVDSWDPRRESVPIHVWVHPWLPMLRERIETLCHSIRYKLSTVLHVWQAHDASAYAVLSPWKDVFDSASWEDLIVRYIIPKLRLALQEFQINPANQKLDQFNWVMLWASAIPVHLMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNQAAEGLEVVQPGARENVGYLRATEKRQFDAAQQAYHAAPGAAMADLSFKESIQAYAMEQGLLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVTLTQLMEMNRMGRSR >PAN17998 pep chromosome:PHallii_v3.1:3:11331315:11335735:-1 gene:PAHAL_3G171000 transcript:PAN17998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPPTNPTLNPPFLPLASLPSARAIPPTRLYPEKRPRRLSSAAVSVVASTSFSADPSAELRALCSHGQLAQALWLLESSAEPPDEDAYVALFRLCEWRRAVEPGLRACAHADERHAWFGLRLGNAMLSMLVRFGETWQAWKVFAKMPERDVFSWNIMVGGYGKAGLLEEALDLYHRMMWAGVRPDVYTFPCVLRSCGGVPDWRMGREVHAHVLRFGFGGEVDVLNALMTMYAKCGDAVAARKVFDSMTMMDCISWNAMIAGHFENDECNTGLELFLTMLEDEVQPNLMTITSVTVASGLLSDISFAKEMHGLAVKRGFATDVAFCNSLIQMYASLGMMGQARTVFSRMDTRDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVSPDDITIASALAACACLGRLDVGVKLHELAESKGFMSYIIVANALLEMYAKSKHIDKAIEVFKCMPEKDVVSWSSMIAGFCFNHRNFEALYYFRHMLADVKPNSVTFIAALAACAATGALRSGKEIHAHVLRHGIGSEGYLPNALIDLYVKCGQTGYAWTQFCAHGAKDVVSWNTMLAGFVAHGHGDTALSFFNQMVKTGECPDEVTFVALLCACSRGGMVSEGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGQLAEAHNFINEMPIAPDAAVWGALLNGCRIHRHVELGELAAKYVLELEPNDAGYHVLLCDLYADAGRWDKLARVRKTMRDKGLDHDSGCSWVEVKGVVHAFLTDDESHPQIREINTVLEGIYERMKASGCAPVESHSPEDKEVSKDDIFCGHSERLAVAFGLINTAPGTLVSVTKNQYTCQSCHRILKMISNIVRRDIIVRDSNQLHHFKDGSCTCGDEGYV >PVH61652 pep chromosome:PHallii_v3.1:3:5641146:5643363:1 gene:PAHAL_3G087200 transcript:PVH61652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTEATMRLLAPTSERAVRLLRLAFFLCADLSCLRSIGHAVATAAAGSGRSEPSAVDLTLWTEPRRSRCIEEHAALYGRRFASFLAACPSAFGCLTGLTLRSLKLADPEVRDILSTCARLRELCLKYCGQPTTVAERLVLRIDAPSSRLVTLRIVGCFFSGIELVCVPRLARLVVETWLGDDDPPLRFGHVPWLHHIRFASALLSYQEPFALSNWLANTTSISVLDLDFKGEMVWIQLEDAKFLAPIFSNLKELHLQEIFPGCDLKWTLLYLEAAPFLNSLYAKISRHICGLNRLQCIAEKTNLLREARDFKHHSLNYVEIQGFQPEQTLISYTRLVMGRAVNLKRIRLRCKDECERCDRVRERAGAPMRSAWSEEEKNLVRAQIVHGFSPSSIELIMDDMDLVCIDNWFLVEI >PAN21074 pep chromosome:PHallii_v3.1:3:56682373:56683014:1 gene:PAHAL_3G432300 transcript:PAN21074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRDLTTETLSSMLQLLQFTRHRQQKAHGNRRKKDRCISSPTTSGSPPGVTSFPLSLHKSLHALLFYCPLAGTGQSLAREQKLTPFT >PVH62951 pep chromosome:PHallii_v3.1:3:57511686:57513431:-1 gene:PAHAL_3G438000 transcript:PVH62951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLAITDADHYGRLGVTRLASTDEASAAYEKRCEELNSKKLEEEELINKELDLLKESFTILSTEEERRLYDWSMSRSGQPERRSLRVGTRSSTGSRRRGPNKARWLLLPGVVHTLCCVLGDPQQMRDISPHAKDELQPQHRIPSLYECCT >PVH62186 pep chromosome:PHallii_v3.1:3:15023950:15024300:1 gene:PAHAL_3G231300 transcript:PVH62186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIRLELDRFSDLCVYLLQLVTGDLFGHRCKAPLRVAASVRRMWGVHLDGLRGEMLPRGARVQHHCTTSRFPQHQQQRRRVDKWLCFFR >PAN20004 pep chromosome:PHallii_v3.1:3:22343394:22344798:1 gene:PAHAL_3G312900 transcript:PAN20004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVASSCAAILAQRRGLSAAMTVAEESVKKVEEKAVKLGTVAKDIASAMATTTEEKTAFWEPDPETGYYRPVTGTKEVDPADLRAEMLKQRMLQD >PVH62600 pep chromosome:PHallii_v3.1:3:27391716:27393215:-1 gene:PAHAL_3G333600 transcript:PVH62600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLNDIVNDLKGLGFEVPDADFNHKFLRCLPERYDTIVTLLVRSNVKTATPTQILGEVLTHDMFKKSQDEAHGGEIDMKKRSVAFKAQDSKKEEESECQEEESDEEMALFVKRFNRMMSKKNFGKKGQSSRKNPFMDKTCFQCGEMGHISVNCPNKKDDKNKKDKKDDEKKKKKFIKKKKNGQAYFVEWDSDASYDDDDDDDKPSKGVAGIAIKEAPSLFSTPHCLMAKGGAKVQQDDELDELSYDDLVEMLNDADEFMTKEKAKLKELRLKFSSLQDSYEELKTSHENLKETHEKLEEAHNALLNHERKATLSIGVSCDLIDDKPCGSSPTSSFCTKIDDSSCNESLIMENDLLKKEVTCLTNDLRKCYDSRAMFNHCWASQKFTLNKRGFGYIPKKGKKAFVQTKTTFVKSSGKSYCEKCKKVGHVEKNCTNVKVISFDSSYILMRNSNGNVSAKFVGIPIDGAKKNAIWVPKVLVANVEGPKKVWVPKRVISLL >PAN17526 pep chromosome:PHallii_v3.1:3:8882066:8885376:-1 gene:PAHAL_3G138300 transcript:PAN17526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEPARSSTESSAASSGLDFEDTKLRLRPPGSDPDRKRADSTSDPADRARSRSPRAHDAPPPSNRPRVVGWPPVRRRPNKVKFVKVAVAGAPYQRKVDLEAYAGYDQLLAALQDKFTAHFTIRRGANEEMELVDVVSGAECVPTYEDKDGDWMLVGDVPWRMFVETCQRLRLMKSSEVVNLAPRAGE >PVH63256 pep chromosome:PHallii_v3.1:3:63573651:63574363:-1 gene:PAHAL_3G506100 transcript:PVH63256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLIRRLSRVGDCASSSLSSPAARRRGGGKKARAGTTPEGHVPVYVGGGGADGEAVERFVVRAELLGAPALAELLGRAAQEYGYRHQGPLRIPCPVAVFRRALASVAGDDDDEEEEVEDDGARS >PVH61847 pep chromosome:PHallii_v3.1:3:9063506:9068663:-1 gene:PAHAL_3G140900 transcript:PVH61847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATVVVGPLVSMVKEKASSYLLDQYQVMEGLEKQHEVLKRKLPAILDVIANAEEQAAAKREGAKAWLEGVRNMAYQANDVLDEFKYEALRRKAKKEGHYKELGMDVIKLFPSHNRVVFRYKMGNKLRMILQELDVLIAEMNAFRFEFRPDPPVPINYLRQDSPAIVDPINIARESRAEDKQKVVKALLDQVGNANLTIFPIVAMGGMGKTTLAQLVYNAPEIQEHFQLRLWVCVSDNFDVDSLAKSIVEEAAKNGCHPNGSSALDKLQSAVNGKRYLLVLDDVWNHDEAYKWEKLKSYLQHGGSGSSVLITTRNQAVAQLMMGTAKGAYELGRLGKKFIEEIIKSKAFSSKQEKDWPRELVNMVGDVANRCVGSPLAATALGSVLRTKTTVREWKDVLRRKKICDDRNGILPVLKLSYNCLPSHMRQCFAFCAMFPKDYEIDVEILIQLWMANGFIPEQQGEEHPEISGKNIFIELASRSFFQDVKGVPFEFNDTEVSRVTCKIHDLMHDVALDSMGKECAAITTKQSKSEDLPHLARHLLLSVYEPETLLNTSLEKGAPILQTLICEGNLDQDLQCLSKYRCARALRIQTLAASFTNARCLHHLRYLDLSRSNIESLPEDISILYHLQTLNLSYCRSLKRLPKGMKYMTALRHLYTHECWQLKSMPADLRCLTSLQTLTCFVAGASSGCSKVGELGRLNNLGGQLELRQLENVREADAYAANLRNKEKVTRLTLTWTGGDKEAQNSDKEVLEGLKPHDGLKVLRIYSYSGDTCPTWMNKLQDIVELELSDCKKLEKLPAIWQLPALKVLRLCGLPNFETWWDTREVPGQKPAFPLLEKLSVKECKSLVSLPMAPLIIESSGGCDTAWCSAFPALREMELDDLEMFQRWEANEATVEENVIFPRLEKLSISDCESLATLPKASVIKPPFGGVETECRSAFPALKELILVDLTTLEKWEAGEGTPGEDLKFIGLEKLTIWSCPELIILPEAPKLSLLQVIGASQQILSLQAASRYIASLSSLDLKCHDEETESVADQNSSELVHGKGKWDRKSPLTRMVLCQCSLLFSHSSALDLWTCFAQLVDLEIRECNGLVYWPEKVFKALVSLRTLCIWRCSKLTGRTQEASEQSAPERRELLPCLESLRIYSCDSLVEVPNLPASLKRLMIYICHNLESIVLGQQEDTPSLSPGSSSEAGASRAVLKLSSSVNHRFLPFLESLTIRFCNGLSEVANLPPSIKTLKIGPCHNLRSLSGQLDALQRLDILHCRELKSLESCLGRLPSLEALYLDDCSSLQSLPNGPQAYSSLRALRIESCPGIKLLPPILQQRLDHLEDKTLDARYEDLLGWQYAIRRRLACLK >PAN20451 pep chromosome:PHallii_v3.1:3:23421532:23425774:-1 gene:PAHAL_3G319300 transcript:PAN20451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEQAPSSRKRVAGTQINKDNPEPDDDGPEQEMGTFKKAPEEVMATRRIVKVRRQQPSSAPSSNPFSAIRFTPTDSSVHASAPVTEPQPSEVKTDEGSNGSGKDTSPVPDKNAGSGEVTEIQKDESAVKTDSGATTEALPQPVETSDKAVDTKDGSGGDIVVGEPKEGHSVPSEVEGKTNDGNAAEKEGADEAGNEDKISKDDTEKKDGGESETKDGLSDEQKDADNKGQSSSAAPLFSFKNLSSGQNAFTGLAGTGFSSTSFSFGSASKDGSSAGPLFGLKTDGSSFPFNLGATNNGSSSTALATSGEAPKKFAMTEGPVETGEENEKAAFTADSALYEYLDGGWKERGKGELKLNVPVSGDERARLVMRTKGNYRLVLNASLYDDMSLKDMDKKGVTFACMNSIGESQGGLATFALKFKDTATREEFKGAVEAHKARKAPDAPLKTPENSPKASEV >PVH62465 pep chromosome:PHallii_v3.1:3:20698740:20699934:1 gene:PAHAL_3G300900 transcript:PVH62465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVRSFFSRSGKRGAGSSSPASSAAGSAPSSPSPSSPAPAAEKDEMERVFRKFDANGDGRISRSELAALFEGVGHAATDDEVSRMMEEADADGDGAISLPEFAALVRSADADADAVEEDLRHAFMVFDADGNGLITPAELARVLRGLGEAATVAQCRRMIQGVDRNGDGLVSFDEFKLMMAAGGGFGRMASSS >PAN17276 pep chromosome:PHallii_v3.1:3:7676844:7679966:1 gene:PAHAL_3G120800 transcript:PAN17276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTGGARGGAGGGGLVPGERWWRVLFLALASVSFLISLILLFLSAPRLRLPGVAPSASASAASAVRRGPDAPPCLAYLLIGARGDGRRLLRLLLAVYHPRNRYVLHLSADAPDDERQSLASGVVAAAPAVGAFENVAVVGNPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFLTLNAADYPVVTQDDLIHVLSSVPRDLNFIDHTSNIGSKVPEKVEQIIVDAGIYLSGRTNFFRGTQKRPAPVAFKFFTGSPWVILNRQFIEYCILAWENLPRILLMYFNNIIQPQEGYFHSVICNSLEFRNFTVNNDLRFILQEDPAQKESPFLSREHYGQMVDSGAPFARPFRENDPLLDQIDGNVLKRWSNGPVPGAWCSGRKRWFSDPCSQWGDVNIVRPGPQAVKLHQYINQTLDEAKSSSNTCRR >PAN16468 pep chromosome:PHallii_v3.1:3:3955633:3962214:1 gene:PAHAL_3G062800 transcript:PAN16468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLLQSVALGTTFAGRISTQKWRSHGARRPASMLAMSLSRPVKMSAFVGLRSVHSFSITPTVSNSRSAVASYRSSRRTRRSRFVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIEILRGLRERYEIHHKLRYTDEALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQVPEEARELDKELKQVTKQKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAEEESGETGPMVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHRRVIGQDEAVVAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYGRIKSLVIEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLQEVIDRLKVKDINLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVVVLNGQGGIPELSTPAVTV >PAN18464 pep chromosome:PHallii_v3.1:3:13264111:13268524:1 gene:PAHAL_3G203600 transcript:PAN18464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLEASWVGVQRHGQDLADRLAQGFSGLLLHAHPPQLPPWSPPALLPPKLAIPIHFDIDLPVVPFVGGARRGGEVDLPAAAVSSLVEIGGRLGQAGYELGAAVQQLARQVPVPLPFRSEGARRGKWEAARPLAAVAADDGEVALAAGTVGHTTAISERVADMGSLEVAAAAAAAATGSATSASASGVGAGGADGDGSDEEEDGFECEIGTLDNLKKAKGTVNISATYNTRHRDFESSVVARGDLWRLEASRGSLTSGNDTSPLYLIQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAIWSKHKKWMLMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKCPGETRVSFSFKSKQGTRFTPMFQWPDNSLSFGAAQAVAWKRSGLVVRPSIQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFSCSRHPSAFTALSLGRSKWNGQVGSSGLVITLETPLDNMARPSLSVQLNGGFEF >PAN18637 pep chromosome:PHallii_v3.1:3:14031939:14034098:1 gene:PAHAL_3G215800 transcript:PAN18637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRAAIRGIRESLSTVQGSLLRLEVVVLLSALILAVLVLYGSANRRSSDKLLRGAMWMAYSMSYVVVSYAVGIIQDGPFRGETFVLWAAALLLIQASAYSAPVHSRRDVDQRKKLLLQHVLQTGLVLWLIVNATGRNASYRAAIWAFWALNVLKTAAKIAEMIQTSRPDMSVKVVAEYMAVEGDLAPDDQPPDPATMRGYRYIFHGEEIMEPVIHDGGHPARDNMLSQSAAKSVVTIDQVYQWINQQPYSDFEKDRAKDFCLAFALFKLLKRRFYGYVPAEAGSDKARNLVLTGLIHAGATGPDAAFRVVEAELSFLYDFFYTRNIVLVGAKTYICIAVAVAGLTMWTAFFGTLGPGYHRPHVGVRDLDRSVTMLVVVITAGLEVFQAVAGFASNWRYVKTVYRCVRDDRPWSKRQRSHSHLWWKESITPPETKYWEDKVGQYVLLKRFHHRPCNLLSWLTLYLVEPRRQGQKRGRRKQLPPEVKRAVLLWLKQSHCQLSNGVATLRRHHLLPRLAWACRLPKLTDQILAWHVVTTSCDWDYSGRPAGRATASDEHHRLIATKLSNYCAYLVAFVPEMLPDPSYNTEQIFDSAVQQARDHLDGCRTVSSLLYRLGEIEAAELPHLQEGGTYERAGGATAIERAAVLWGQLRAAVPADARRWEVLAEFWIELLLFLAPSDNVDIHAEMLGAGGEFMTQLWALLSHAGVLERPPVMHRQ >PAN21958 pep chromosome:PHallii_v3.1:3:63264776:63269847:1 gene:PAHAL_3G502900 transcript:PAN21958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine protein kinase IRE [Source:Projected from Arabidopsis thaliana (AT5G62310) UniProtKB/Swiss-Prot;Acc:Q9LE81] MEKGGARARPAVVDAGGAREMDSPRFRAILRATSSRRKRAPDVKSFSHELSAGGGVPGMRKMVRGGGLGATAPEEFIGAIRTKFIRLKEEVDSELGVFAGDLVGALERGDEPEDRRLALEDLLVAAQRCAEMSPEEFWTHCEGIVQGLDDRRQELPAGFPKQAHTRILFILTRCTRLLQFRKEAAAAGCRYVDEDGKQHVLGLHQLSDLGLFPFRVDAGDLGRKSTSSLTELKERLIRRRMLEHKHLTIDFSPARIFSSGDGAADHSPSGKMSSWKKLPSPAEKNSSKNAGGADPTKAAAAADEKKKPIITRQQGKASVDEIVERVDAASIHPDGLACLGGAAVNLEVPSQYPEAQQIIVDGKPRMICRICDFEIPMACAEGHFVVCTLADRCDAKGHTADQRLLRVAEVLDRVLASFESRSPLAGDRASSSSESDASFSNADHDALSHLLTVPSAELFSEGALTPASGSLPQSPLLTPRSSHAESQLTKHKAFAELENFQQIESLLAIARGIEGIKSSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFMQLCGQIDDMNTADQLHPIDEDGPMENSVSSRTSQALNGNTNKFKDRTSIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLRKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLIGRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPADAEQRAQQRQQRQKQTAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQIIFDNIMNREIPWPHVPEELSFEAYDLIDKLLMENPVQRLGATGAGEVKAHPFFKDINWDMLARQKVAFIPSTDDEYDTSYFACRHAWGTTDEHVNAPCNEYDDRSETSSMSCCSSPHSCDYEEDGDECGSMEEFGAPLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQSSKS >PVH62564 pep chromosome:PHallii_v3.1:3:25487717:25488574:-1 gene:PAHAL_3G325400 transcript:PVH62564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEWTQGDCQAAPGFPSLLINTLEDLGVTKRPRYYSREYEHHGTLRCRVILVIAKSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPSPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEGHVLLGTPVIGWGTLFGNPQAPSENPESSAAAAERDGAAQPSADGNPENGGGLLTLSTPEEGQPRE >PAN16403 pep chromosome:PHallii_v3.1:3:3656767:3660422:-1 gene:PAHAL_3G057900 transcript:PAN16403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >PAN21028 pep chromosome:PHallii_v3.1:3:56682778:56684285:1 gene:PAHAL_3G432400 transcript:PAN21028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQETLREMFQFFSLHKSLDHPKLCRAICLSFAKGRKVNDLCLFFSDPLQHFHTDLSQLQHIVSNLQASEEHCYYSFTLVLFWNYSYCLLYNLSLVILG >PVH62503 pep chromosome:PHallii_v3.1:3:21974604:21974927:1 gene:PAHAL_3G310200 transcript:PVH62503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPRRVRPLPSPNPAAACKRRQGRAARGAGRSRGGGAAARRRSVRRRVRRKGDGGAGVQERTGGVAAHGAARQEDQLAARSRTRMGARIPACGRRRPGAAGPEARA >PAN21258 pep chromosome:PHallii_v3.1:3:58896892:58898981:1 gene:PAHAL_3G453400 transcript:PAN21258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPATATATAAAAAAVRPHVLLRRGGGLLPSPPCPATLPFASRGAAARRLRLPPPRFSLSTVPKSLSAASHVPVRSLFTGIVEEVGRVRRLGPPLAPSGGGGGGGEAPGLDLEVETKSLLAGTQLGDSVAVDGTCLTVAAIDPAASTLTFGVAPETLRRTSLGERAPGDGVNLERALTPSSRMGGHFVQGHVDGTGEIAAFRPDGDSLWVTVRAPPEILRLLVPKGFVAVDGTSLTVVSVDDQGGWFDFMLVRYTQDNIVLPTKKVGDKVNLEADILGKYVEKLLAGRLEAMVKADS >PVH62834 pep chromosome:PHallii_v3.1:3:53444730:53445664:-1 gene:PAHAL_3G413200 transcript:PVH62834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMPVPKTRKPNAQWDSNAAKFFNEICVEQVLANNRPQGCLNNKGYANLISQFNERTGRNYTRVQMKNRWDALKADFTTWKTLLFIASGLGRDPKTGTIAASDDWWEEKIEAMPLCKKFRFAPLDNEEDVEIMFSGASCTNANAVAPGAREGSADNDSEDVQEVHPSAAYKSPKKGKKNFRDMQFKRFVDSFVEKASSSSATSAPTDHIRQEIAEMLQSVIEAGACEGSDEHFYTTQLLIKKEFRDVFVTLKTSKGKLGWLKRTWEERKKR >PAN18585 pep chromosome:PHallii_v3.1:3:13804792:13806434:-1 gene:PAHAL_3G212200 transcript:PAN18585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNFILTVVGVGAAVMLLRKDVKQSATVFRRNVRHIRNWLEEESAAAAKSTEQSSVKELESQAVKKDATPKEDKH >PVH62997 pep chromosome:PHallii_v3.1:3:58622570:58624131:1 gene:PAHAL_3G449000 transcript:PVH62997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGGRRRVPRAAGERGAAGAAETRHGPAAAAQVPAPVRGHPGRGGGAAARRAAGAVRARAAAVRGRRVGEWRHVRRWRQRAAGGAGGGGDQEAEARRRGAGGAGARARRGRRGGALPAAAGRRRPPRAPTLVAAVEAAAAKRLRAKGEEIERIGRLNWALEERVKSLYVEAQVWRDLAQSNEAAANALRGELQQALDAQQARCGVVLAGDAESCCCGENDVGGGTGAGNEGEEDEAGTTSGRRMCTMCGEGAAEVLLLPCRHLCACAPCAGAARACSACGCAKNGSVCVNFS >PAN20738 pep chromosome:PHallii_v3.1:3:52594698:52594986:-1 gene:PAHAL_3G408400 transcript:PAN20738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGQSSWEPQLPRHLPPRHPVVHVLLDSTGAEVAARTRRKEKPHNHSQMPDLSPQVDFGCIFLLPQVAQ >PAN19044 pep chromosome:PHallii_v3.1:3:15826809:15828292:-1 gene:PAHAL_3G244000 transcript:PAN19044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPGPAGPSSAAGGDAQQQHHVQPLAQAQPQPQQQAAPAPAPPQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHAAGCAYFGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHPPESNPFAARAVRIYLREVRDSQAKARGIPYEKKNRKRKQPAPPAPGEASASSAAAAAREAAAAAAASGGDGSSGSPAAAPAAPATGQAGGSGGGTTAAPASTSRV >PVH62455 pep chromosome:PHallii_v3.1:3:20388237:20389823:1 gene:PAHAL_3G298200 transcript:PVH62455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTLASDGGFAKGVIDLGGLEVRQVTTFAKVWSTSRDGAGAAFFRPSPVPAGFSVLGHYAQRDGRPLSGHVLVARDTTAPDAAEPILATPRDCALVWSSPDGAGCFWLPTAPGGYRPVGVVVTATPEKPPLDEVRCVRADFTEACEAEAPPVWSWSGDRGGGFVSVAALKPAVRRVDARGVHAGTFLARSSAAPVEASALACLRNNGASHTSAMPDLAQVHAVLAAYAPLVYLHQGETYMPSSVAWFFENGALLHQRRQQQKGSQQAPTTVAADGSNLPQGGDNDGSYWLDLPVGRDQRERVKKGDLASAKAYMQVKPMLGGTATDLAMWFFYPINGPARARVLGALTIPLGGIGSHVGDWEHLTLRVSNFSGELLRVYFSQHSAGTWVDASQLEYAASGGRPVAYASLHGHAFYPRAGLELQGSAVAGIRNDSARGSVLDTGGHGRCEVVSAEYLGVAEPAWLGFMRPWGPTEVYDVGHVINRVARFLPRAIRERLERLVNKVFVGDGATGPKKHGSWINDEKDPN >PVH62835 pep chromosome:PHallii_v3.1:3:53526196:53526777:1 gene:PAHAL_3G413300 transcript:PVH62835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAARRNGAWEIDLARPGPDTARSDRCAKLFLLWGAAIGIPALVFVFAGYVWGSVATAALLVAGSCFTCYYYRAAPEPPLLPEHLGPLRVTVPVGQPRQGQEVNGSAAGGLSQEDVEAIPAFEYRRRLVGPAEQCAVCINVVRDGEMVRRLPACGHTFHAPCVDGWLRAHATCPMCRADVKVVAGEPPAEEAA >PVH63092 pep chromosome:PHallii_v3.1:3:60506675:60510561:-1 gene:PAHAL_3G471300 transcript:PVH63092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIVSASMGALSTLLPKLSVLIEGEYKLQKGVKGKIRFLKDEPTSIQTLLVKLADNEERLDEQVKDWRNKVRELSYDMEDCIDLFIHKMSKGDHAATNLVKKTPRKIKKIWSRHKIANLIEELESRVQEESDDRSMRYKFDELATLFIEAKRLVGIDGPREKIIRWLKEDDDFGRQLKVVSIVGFGGLGKTTLANQVYEKIKGRFDCSCFVPVSRNLNVAKMLADMLKELGSCVDPSDDERQLINKLRAFLQDKRYFVIVDDIWSIQAWEVVKAALSENNLNRRIITTTRITNVATSCCSSLAGYVHKIQPLSDQQSQQLFFKRCHGLPLAIITVASLLVGKSNMDQWEQVYSSMSSAFSHQGMRDMLLLSYYDLPHHLKTRLLYLSMFPEDYEIEREELIWRWIAEGFITEVRGQTADQLAESYFNELVNRSLIQPLDIQYDGRAKACRVHDMVLDLIVSLSAEENFVSIVEGQSYNGGGHKIHRLSIQSEHVGDEAMQEIMDKWSQVRSISFFETEEQGISPHLQKLQSLRVLVSTYFSHLGNQHVQYIGSMFQLKFLSISSPHITELPEDIGDLRHLQTLDIRYSRVTKLPPSIGRLQRLMRLLVDRHVELPGEIGDLHALQELSPTRNFSIKLVEALRRLTKLKTAGIYLIARERLGRDTTRYKEALKLSLAALGKHGLQSLGIFNCELMEEELMDILCCTVPCLQKLAVGGFFNTPLPEKMASLVHITYLSLEVARIRQEDLCILGDIPTLLCYELVVLLAPDERLTMLFLRDAMPELRRLRLAFRVHKALSKMGFEFSFEHLASLEHVIIVSWCGGATRSRVEVAEATIRNAVNIHPGRPTLDLQFFGNTQRTPSHLSKEITMSSEWTGTSCLNCVMCCTRT >PAN17586 pep chromosome:PHallii_v3.1:3:9125981:9128323:-1 gene:PAHAL_3G142100 transcript:PAN17586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLGLNPEALFSSYSSSYSSPFMSGYAPQSFPAANNTVDDAAAFSSELDDLCQLEYSPTPLVAGAGGGSGDDRNDKSMWCGGGDEKRPRSSGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCGVKKRVERDRDDPRYVITTYDGVHNHASPGAAAVIQYGGGGLYSPQHSGSPSAASYSGSFLL >PAN21072 pep chromosome:PHallii_v3.1:3:56647555:56650829:1 gene:PAHAL_3G431800 transcript:PAN21072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPRLASAGLPSPPPQAPSSLGVRYTRSSGAPAPACSPHRAVVLQLYRPHPVKTIVEGLKRYWKEICDLIFEDTSSGTHRQEAALFEEIWQASEATCEQHARVSGISSTSVYNMVVTPTDFQLSIQ >PVH61314 pep chromosome:PHallii_v3.1:3:542256:544313:1 gene:PAHAL_3G009200 transcript:PVH61314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGDVSKASREHFVLVHGACHGAWCWFKLACLLQRSGHRVSCIDLAGAAGSLADPNTVRSFDEYDAPLVEFMAALPDDGHKVILVGHSAGGLSVTHAMHLFGDKIKQAIFIAATMLPFGFQTEQDIKDGAPDLSEFGDVYDLNFGLGEDHPPTSMVLRKEFQRTILYQQSSQEDSMLASILLRPFPAVLPTARFGCVDDGVKSPVNAVHRVYIKTANDRTLKAEQQEAMIHRWPPRKVMVMDTDHSPFFSAPDHLLELILKSL >PAN19346 pep chromosome:PHallii_v3.1:3:17769750:17772290:1 gene:PAHAL_3G267200 transcript:PAN19346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVVTPRGMAWSWLALAVCVATALRAPAASAEAAAYIVHMDKSAMPRAFASHQRWYESTLSAAAPGAGMYYVYDHAAHGFAARLRDEEVEALRRSRGFVSCYLDDAAAVTRDTTHTPEFLGVSAPGGLWEAAGYGDGVIVGVVDTGVWPESASFRDDGLPPVPARWKGACESGTAFDGSKACNRKLIGARKFNKGLIANENVTIAVNSPRDTDGHGTHTSSTAAGSPVPGASFFGYAPGTARGMAPRARVAMYKALWDEGTYPSDILAAIDQAIADGVDVISLSLGLDGSPLYRDPIAIGAFAAMQRGVFVSTSAGNEGPDLGFLHNGTPWTLTVASGTVDREFSGVVTLGDGTTVIGESLYPGGPTSLAATGLVFLDACNNSTVLAMNRDKVILCDPDSLGDAISTLQEAKVRAGLFLSNDSFSELYESFTFPGVILSPQDGPLLLQYIRSSHAPKAAVKFEVTILGTKPAPMVATYTSRGPSGSCPTVLKPDVMAPGSLILASWAENISVATVGSRKLYSRFNIISGTSMACPHASGVAALLKAAHPEWSPAMVRSAMMTTASPVDNTGASIKDMGSRNHPASPLAMGSGHIDPSRAADPGLVYDAAPEDYVKLMCAMNYTAAQIRTVVQSTSYAVDCAGASLDLNYPSFIAFFNANGSGVGERTFTRTVTNVGGAPASYSAEVVGLKGLTVTVTPERLVFGSKNEKLKYTLVIRGQMNSNTGDVLQGSLTWVDDAGKYTVRSPIVATTASSQQF >PAN20990 pep chromosome:PHallii_v3.1:3:56191721:56201738:-1 gene:PAHAL_3G428500 transcript:PAN20990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSFRFDPDGSDDEAAAVPAARRKPAQSPWEFSSYAESVAAEHARRRTTSIDEKISQLRKGRGKPVLSDDSEGGSGEDDSDEEEVEGESGDEEDELEESEDEEEVEGSGDDDDEEEVEGSGDEEAGSEGEGEEEEGEQGEEEEEGADEEEDTAEQNGTSGTVDPSKFFASSEGASFHANSFLELNLSRPLIRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQIHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHVRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEIDELVKLSLNKPVRLEADPSLKRPATLTEEFVRIRRARESNQEAVLLALCLKTFKQNVIIFSGTKQSAHRLKIIFGLSGLKAAELHGNLTQAQRLEALELFKKQETDFLIATDIAARGIDIVGVRTVINFSCPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVADCAKLIEELEDQISTIFREEREEMEVRKAEMELAKLENMMAHKEDIYSRPKRTWFATEREKKLLAKAAKDSLDQGKSTSGVISAKQAEDLRLKEKRRRENEKNLPRKKRRRLEAQREMLEDDEEDDKEAKENNKGGKKAKKGQSLVDVAYRKAKSMKGASRRGPGAGKGKNEKNARQHSEKGPTRQEEMHDLFQNDMSEWKQGRALKKNNNFAHKKSKNAFKSKARYKRRK >PAN21024 pep chromosome:PHallii_v3.1:3:56719310:56720830:-1 gene:PAHAL_3G432600 transcript:PAN21024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVRPAAAEEEAHAQAQEQAVREEAAAEVKREVAKAHEEEEAPEEKEVAVVGEEAEAEGEGEVEAEAEGEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSDRPKSAEEEIKV >PVH63041 pep chromosome:PHallii_v3.1:3:59701708:59704413:-1 gene:PAHAL_3G459700 transcript:PVH63041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENREGLISSLLPQVGMEFNTIDEAWMFWISYGGQKGFEVRKMYTNKRKSDGKVRSCRYVCANEGHRKKDKRDHLTKCPRAETRTDCQVRMGLIMDREKETYKVADLILEHNHMLQLPQTSHLMVSQRKISELQGFEIETADDAGIGPKAAHELACVQVGGSSNLSYTLRDHKNYLRAKRQREMAYGQAGSMLMYFQDKIAENPSFQYALQMDIEEQIANIFWVDAKMLTDYAYFGDVVSFDTTFGTNKESRSFGVFVGFNQFRETVVFGAVLLYDETFESFKWLFETFLKAHNGKQPKTIYTDQDFAMGKAVKEVFLEAWHGLCTFHIMQNAVKHLAEPEDEDEGSKKKGSKKKGSKKDPKHVDDEGSEEELSILSDFSACMYEYEDEATFEHAFQLMRTKASKQTWLNSIYKVREKWAECYMQDVFTLGMRSTQLSESLNSELKRHFKSDFDIIRFLKHFERVVADKRKKELDAEFESRRKQPRIKVKTPVLLQASKLYTPIIFEAFQGEYERSLVACTTILEGNNEYLVAIGSLDENFTCFEKEYKVTGDPLKQTSTCSCGQFNRFGILCGHALKVLDLMNIKSLPAQYVLKRWTREARCGIVQDNEGRNIIENTKLDDMLRYKDMTRRFLNLALRAASNPGCTLLVNNTLGVLSKQVEEEINGCTDNVQPVTVPINVAPPSDLVSTARLKKKEVQTKTWKRQKTWLDKKRKFTKKGSKKKGQGSMEQENIKVSSVDGVPVQNISTSTSLPKEGMSEAYMTINTFSQLLTGPFTNDLDAEFESFRE >PVH63042 pep chromosome:PHallii_v3.1:3:59701734:59704406:-1 gene:PAHAL_3G459700 transcript:PVH63042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENREGLISSLLPQVGMEFNTIDEAWMFWISYGGQKGFEVRKMYTNKRKSDGKVRSCRYVCANEGHRKKDKRDHLTKCPRAETRTDCQVRMGLIMDREKETYKVADLILEHNHMLQLPQTSHLMVSQRKISELQGFEIETADDAGIGPKAAHELACVQVGGSSNLSYTLRDHKNYLRAKRQREMAYGQAGSMLMYFQDKIAENPSFQYALQMDIEEQIANIFWVDAKMLTDYAYFGDVVSFDTTFGTNKESRSFGVFVGFNQFRETVVFGAVLLYDETFESFKWLFETFLKAHNGKQPKTIYTDQDFAMGKAVKEVFLEAWHGLCTFHIMQNAVKHLAEPEDEDEGSKKKGSKKKGSKKDPKHVDDEGSEEELSILSDFSACMYEYEDEATFEHAFQLMRTKASKQTWLNSIYKVREKWAECYMQDVFTLGMRSTQLSESLNSELKRHFKSDFDIIRFLKHFERVVADKRKKELDAEFESRRKQPRIKVKTPVLLQASKLYTPIIFEAFQGEYERSLVACTTILEGNNEYLVAIGSLDENFTCFEKEYKVTGDPLKQTSTCSCGQFNRFGILCGHALKVLDLMNIKSLPAQYVLKRWTREARCGIVQDNEGRNIIENTKLDDMLRYKDMTRRFLNLALRAASNPGCTLLVNNTLGVLSKQVEEEINGCTDNVQPVTVPINVAPPSDLVSTARLKKKEVQTKTWKRQKTWLDKKRKFTKKGSKKKGQGSMQEQENIKVSSVDGVPVQNISTSTSLPKEGMSEAYMTINTFSQLLTGPFTNDLDAEFESFRE >PAN20729 pep chromosome:PHallii_v3.1:3:52340533:52341527:1 gene:PAHAL_3G407400 transcript:PAN20729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKARRRRVPAFGEWNYYYYSGELATPPAVPAAAAEWCAAAPELEASSDVWFKYSPPPRRPPPSSRRKVRRPATGEMDGRSYGGGGGGKRGPQHHRSATPARTSSSDTVAPPSLACTPAGKGKAGAGAARARVVRPVDADLYQVPPPEFLPGDDEPRRRRRKEKERKKKASRRSLWTGCFGFNCVPAE >PAN16322 pep chromosome:PHallii_v3.1:3:3355023:3356585:-1 gene:PAHAL_3G053600 transcript:PAN16322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGTASGAAAMALLLSLGIIGVARGQLQVGFYSDSCPDAEDTVTAAVQDAAASDPTILPALLRLQFHDCFVRGCDASVLIRSASNDAEVDNGKNQGLRGQDVVDAAKAQLEDQCPGVVSCADIIALAARDAVAMTGGPSFDVPTGRRDGLASNLRDADVLPDAADSISVLRSRFAAAGLNDRDLVLLTAAHTVGTTACFFVKDRLYGFPLPGGRTGSDPSIPAPFLAELKARCPRGDFNTRLPLDRGSGGAFDDSILRNIRSGLAVIASDAALAGSNATRALVDAYLGPAAGSFQGDFAAAMVRMGSIGAITGDGDGDGGEVRDVCSAFNTN >PAN19885 pep chromosome:PHallii_v3.1:3:21263577:21266626:1 gene:PAHAL_3G305200 transcript:PAN19885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >PAN20549 pep chromosome:PHallii_v3.1:3:25979583:25983999:-1 gene:PAHAL_3G328400 transcript:PAN20549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSAPPPEPPAGAGDDDMESLPLAAASDYASVASTFDPLLSSASNYASVASPRSPPAHAAYTLSPTSSSSFVDPPSYADATGAGAGSSSPRSASSPSSASPRSARAAASVYALLAVSDPETEAEPAATSLVPGSAPTYVSYLVTSVRRGDPAQRRHAVRRRFRDFVTLADRLAEAFRGYFVPPRPDKNTVESQVMQKDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPGSTDVASRMLDGAARLPRQLIAGEEAVAAPQDVVQPAKGGRDLLRIFKELKQSVVTDWGGVKPPLVEEDREFLEKKEKLHEWEQQLTGASQQAEALVKAQQDMGETMGALGLAFVRLTKLETEEAMYDSQRIRAADSRRVATAAVKASRACRDLNAQTVKYLDTLHEHLSIMLSVHTAFSDRASALLTVQTLMSDLASLQSRIEKLEAASSKIFGGDRARIRKVEELRETIRATEDAKFCALREYERIKENNRSELQRLDRERKEDILVMLKGFVTSQAAYAEKIVGGWETVAAETSGYSRGTNNLPSYMD >PVH62926 pep chromosome:PHallii_v3.1:3:56599833:56602982:-1 gene:PAHAL_3G431200 transcript:PVH62926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLRDSQVCVCDQRWRELSYPPAAAQDQHSLVSHAQNLWNDWEIQCLVLVSFSLQVFLLFSAVFRKLYRSVVLSVLLWLAYLSADTVAVYLLGRLTLLVGDDPRHQIVLFWAPFLLLHLGGQETITAFSMEDCALWKRHLLNLATQVSLAIYVVCRQWRGGDKQLVAPTTLMFIAGMTSYAARIAALKRAQPTSSMSSSENSNFIKYEMINYNHELACIISHKQERDFERVMELATNGFSLSLDFLMDVAKKDYLTAPTSLTESFWGSVEIFLRCRSSDTDDMLFKLAEIHLSLIYDHLYTKFGGNLMAACCRLTTFALTSIALVLFVVSTRLDHKGNTYYKTADITISYILLVGAIALEISSVLLWLLSSYSPWKFLGTSSVADSVLYSIIKCLSRVESRVEWSGKMQQLNMVDWCIQERQTTAGWLEWMKRRVGIEGRACTKPVEVSADLKNLVLHKMLQTLDAISSRRSELDLTKFHGQWAQLWVYPHLFSQSRLPKVVQLVNNLIEVLGRTKKKKESSEAPQRAPEVSMFQDLGFVESVFLWHIADTDTTTTTASSSSSSSKDYKLKSSIRELSNYVMYLLVKCKAMVTVYDIDSLNGIRRTLLNNLDIIIYQKVDGRPIQNIHDVVFSEANKISREFLRIGEEVGRWDMIAMVWVEMLCYIAFNCDAAFHTKQLCAGGEFVTHVKMLLVILNFSI >PAN22087 pep chromosome:PHallii_v3.1:3:63885039:63885305:1 gene:PAHAL_3G510700 transcript:PAN22087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDWSAGLVQVPEPGMELEDGWKNSLSNLPKAERRIVAALLMYTAWNVWKERNQRVFEGVSVSAPQVFAFIEDELGLRQAALRVPSVS >PVH63288 pep chromosome:PHallii_v3.1:3:64209915:64211061:-1 gene:PAHAL_3G514300 transcript:PVH63288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTCRRSCVWGLACLGTTARRRPTRRDGKMCFPGYRMDVRACRADPVQLRISVEGVLLLVRLVAAGVVLLCSSKRSRGETGGALIVGSEWKKEAMVLASCVNSRREKRQRRWLVRLSDLRKEGIAGACLAGKEAGRGEERRGGLLLEKKRTLSWIGSAKGVKRVKNFGIC >PAN16238 pep chromosome:PHallii_v3.1:3:3031660:3035528:1 gene:PAHAL_3G047300 transcript:PAN16238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRWAPPAGLVLLMLLLTPAAVRGDKLLRGGPSGAGKEPEASSAVFPLYGDVYPHGLYYVAMNIGNPPRPYFLDVDTGSDLTWLQCDAPCISCNKVPHPLYRPTKSKLVPCVDQLCASLHGELTGRHKCDSPHQQCDYEIRYADQGSSIGVLVNDSFALRLANSSVVRPSLAFGCGYDQQVGSSSEVAPTDGVLGLGTGSVSLLSQLKQHGITKNVVGHCLSLRGGGFLFFGDDLVPYSRATWTPMARSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYQALVTALKGDLSRTLKEVSDPSLPLCWKGKKPFKSVLDVKKEFKSIVLTFANGKKALMEIQPENYLIVTKYGNACLGILNGSEVGLKDLNILGDVTMQDQMVIYDNERGQIGWIRAPCDRIPNDNTIHGFEEGYCWPQFPGIIGLPNEDCPAYYGSNKA >PAN16239 pep chromosome:PHallii_v3.1:3:3031974:3034899:1 gene:PAHAL_3G047300 transcript:PAN16239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRWAPPAGLVLLMLLLTPAAVRGDKLLRGGPSGAGKEPEASSAVFPLYGDVYPHGLYYVAMNIGNPPRPYFLDVDTGSDLTWLQCDAPCISCNKVPHPLYRPTKSKLVPCVDQLCASLHGELTGRHKCDSPHQQCDYEIRYADQGSSIGVLVNDSFALRLANSSVVRPSLAFGCGYDQQVGSSSEVAPTDGVLGLGTGSVSLLSQLKQHGITKNVVGHCLSLRGGGFLFFGDDLVPYSRATWTPMARSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYQALVTALKGDLSRTLKEVSDPSLPLCWKGKKPFKSVLDVKKEFKSIVLTFANGKKALMEIQPENYLIVTKYGNACLGILNGSEVGLKDLNILGDVTMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSALM >PVH62452 pep chromosome:PHallii_v3.1:3:20317949:20318761:-1 gene:PAHAL_3G297000 transcript:PVH62452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRCLAMVVYDSAAAAQQRDAKRPTAGDAGSVVPYGAAKPAGVEPIDAVPLKAIAPRRRLQRPLPAPVNREAPPCLRAHILPALGLRADVEVRFIHEKRVTSTDLDPHQNRFRIPTDGALRRLSPLLTVEELDAANLLYDPATVAAQQQQQLELEPEPESETEAEQGKKRKKQGMVHGGLPVRLVDLAAGASGELLLSRWTSSHGTIVKGSGYMDYIRRCSFKENDAVEIWAFKQREFRLLGKTIFHGSPLHVLLVKRDAKQHGCRHCH >PVH61985 pep chromosome:PHallii_v3.1:3:11376129:11376341:1 gene:PAHAL_3G171700 transcript:PVH61985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHRFGNTSSSSLWYELAYTEAKGRIKKGHRTWQIAFGFRIKCNSAVWKALRSVNPTKEMVFNPWVLAR >PAN16633 pep chromosome:PHallii_v3.1:3:4823139:4824424:-1 gene:PAHAL_3G073400 transcript:PAN16633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFESISPLPEDHAVDISEVETSQVVSCVSTVIEKVEKSQSEKPFLLILLENKENKESLGTTCDLDNIAEAITPRRDALELSISSEAPDDSLSLGCETPRESIFDPFAPGPDVVAWAPKKQVIRGAEVTSRRKLNFDSDVPLDRQEESEKTLIDSSLFESCKTPDSKPQLNGIASTCPDAPLRPSHKVLKLSPSICRKIDFDAVSDSVSPRSSVIKEY >PAN16067 pep chromosome:PHallii_v3.1:3:1717224:1717922:-1 gene:PAHAL_3G030500 transcript:PAN16067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVARVHLAMAHAALPALLPTPPKSKMLPLLPTPPCVLVVLPVPPPKPSRAGAAERWDARKNAVEPPSNKPGRADTAGRWDARKARPASPASSVSSQRSTGSTRSSPGRASSSERWDADKTSSPPPPSSGDDRPSSRSSSSAARREGNTRAISRARASSSAESWDAHKKPRPPRAEELDDGASSTGSNDVELDMPRPRRTQMYAGPGFLIASPEPSMLPMPSSFMVRVAA >PAN20011 pep chromosome:PHallii_v3.1:3:22432058:22433538:-1 gene:PAHAL_3G313300 transcript:PAN20011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-3 [Source:Projected from Arabidopsis thaliana (AT3G16770) UniProtKB/Swiss-Prot;Acc:P42736] MCGGAIIADFVPAGARRPASSITGDTASILSGEEELVKAEARVPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATPEDAARAYDVAAREIRGAKAKLNFPPAVGAGAPAAKRRRRVPAAEESSGSSSYPAAAVMGPPGVGGAETLRDCMSGLEAFLGLEDADAAPGDGADVEAWDAVDLMFA >PAN21921 pep chromosome:PHallii_v3.1:3:63013553:63014158:-1 gene:PAHAL_3G500100 transcript:PAN21921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPSSLATAATGTYDDDDDDYVLNLSLTLGPTSPPPSSPDYAAAIPVSDGGGGAAGRGGVKLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNSHLYRPAGTPTAAAVPNMAAPPINQAAPMAAVPIQVSHSCRSSQRAHLDDTAMLGGSLYYATDNGGDGGSGLSRWWYAEGGQSCALGGDERQKQRQRHVDLNLKL >PVH63257 pep chromosome:PHallii_v3.1:3:63589911:63590225:1 gene:PAHAL_3G506300 transcript:PVH63257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGSRKKCAKALATPWYACATGGDTGKVPKGYLPMMLVDGDDDEQGQRILVPVKMLREPCMEALLGLAEQQYGHGQHGVLRIPCSVIHFEHVINGLMPKAGR >PAN16702 pep chromosome:PHallii_v3.1:3:5177834:5178675:1 gene:PAHAL_3G078500 transcript:PAN16702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAICSATILLLAVAVFASVSSASHPLAAVEATTNHAITKEKSNLLPVPNDHDKEEMEEATAGGQRGSANEKITSSASAEEEGKKTGSYWGKAKKLDDDDDDNGDSDHDSDSDHDQDHDSDSDHDRDHDSDGDSDDNDDDDDDDHKSKNERKKNHAAQGRKGAPGSKRDDQLPKIVKKV >PVH61827 pep chromosome:PHallii_v3.1:3:8536958:8539627:-1 gene:PAHAL_3G132600 transcript:PVH61827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVTSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAAHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSEGRIMPDGVNAKLLGNHGRLEKRAPGKAFLEAA >PAN21967 pep chromosome:PHallii_v3.1:3:63329169:63332260:-1 gene:PAHAL_3G503500 transcript:PAN21967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVAGGVGVAEPAAAAAGEHAAKRRRVTAETAPAGARRAGGGVARRGRRRRVQADADAAAVQRLFQACRDVFRGPGTVPRPEEVQLLRAMLDRMKPEDVGLSPDLKFFRSRDAAQGTPTITHTTIYNCPNFSMVILFLPRNAVIPLHNHPGMTVFSKLLLGSMHIKSYDWVDPDSDPSGTSCSSQVDDQLRLAKLVVDDVFTAPCDTSVLYPTTGGNMHRFTAIAPCAILDILGPPYSIEEDRDCTYYTDIPYTQHSTADGTGDLNNLEQDQGCLAWLKEIDMPRELKMCSVHYGGPPISDK >PVH63012 pep chromosome:PHallii_v3.1:3:58946604:58948423:1 gene:PAHAL_3G453900 transcript:PVH63012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALALLLLLAVAATASAAASIDLDLGFLSSGGAGAGARRECRGTVAECLAEDEEAELGSASAESHRRALAGRGYISYGALRRDNVPCSRRGASYYNCRPGAQANPYHRGCSRITRCRG >PAN17356 pep chromosome:PHallii_v3.1:3:8099440:8106805:-1 gene:PAHAL_3G124800 transcript:PAN17356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVMGAMGSLLPKLGELLKEEYGLQKGVRKKIQSLSQELEAVHAVLRRIGDVPPEQLDELVRLWVRDVREASYDMEDIVDNFLVRVDEPADPHMLRRLRKKVGGLFKKSKARRNISCLIQDITEKLEEVAARRRRYSLDSIIVAKPAAATTIDPRIMNLYKRATELVGIEGPRDELISLLSLGGDVDVPDKKMKIVSVFGFGGLGKTTLAKAVHDQLKSHFERSAFVPVGRNPDVKKVLRDILIDLDSGEYANSNLMVLDEKQLMDKLKEFVKDKRFFMVIDDIWDKESWKLIRCALQHGHCGSRVVVTTRICEVAAHADEAYKIQPLSRDNSEKLLYARIADGEGKYFDSPSAEACDKILKKCGGVPLAIITIASLLASKPWEDWSEVYNSIGFRQGGNDDVDNTRKILSFSYYDLPSHLKPCLLYLSIFSEDQVIRKNSLIWMWVAEGFVHEEQAAGIGLFELGERYFNELINRSMIQPVERYDEGYVVGCRVHDMVFDLVRSLSSQENFVTVLDGDDERQKLPGSIARRIALQRIKQHNGGQLLANIDVDKIRSFITSECNFGPSSWPHTPVLRVLDMQDCYDGEKIHEGMLDHLGSLLHLRYLRLASSVGKTNIKLAREVRYLKFLQTLDLWKFRINELPEEVGLLTQLVCLRVGPGTRILDGLIGKLTSLQELVRCRPPANDYYDDARTMQVVKELGMLRELRVLLTAIAVTSESTARALLESLGNLHNIRMMRIEGTAPYASKSMTSHEGFITCRHLQLLYLSCLVFSRLPKWINSSLAPNLSYLYVQVQDVKGQDMETLARLPELRSLTLLLWDETKLVNIKIPCTAQGVGYYFRKLRILKIIGAPFWFDLRDCVSNGSVASAIMPSLESLEFEVHVRLLKDAAMLSFDRLLIGFETLGRTSLQSVTVIVNCEGARILDVEDMEDALEHTAAVHPKRPNLRTGREREEKMLSTYQEARMDVSRTPDFVLKAWKSADIVDSGHIRALRIPPDPEASSTKVLRLLYANKGKYLLTLSSNATLKWWKWARSEKNPRGRATASVAPLLWQPEEGILMTNDTIEANTEAAAACIALSKNECYIISASGGKISLFNMMFKVLDTFMAPPPASTFLAFYPQDNNIIAIGMEDSSIQIYNVRTGEVRRVLMGHQKKVTGLTFSQSMNVLVSSGADAQLCVWSTDNWENKKSRYIRPPSNGSALVGDTMVQFHYDQTHLLVVHESQLAIYDGKLECLHSWSPRDALPSPISSAVYTSDGLMVYAGFRDGAIGIFEAKSLRLRCRIAPSAYIPSSISSGGGVVYPMAVSANPRNRNLITVGMSDGAVHVLEPLED >PVH61886 pep chromosome:PHallii_v3.1:3:9568779:9569732:1 gene:PAHAL_3G147900 transcript:PVH61886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRPPRASASRAQRTLVLAAPRALGIAGVRDVTGTSPGVISAAFGSACSLPRAPHLAALRSAAAAAGGRYPPAFVPAAGGTRYGTDDQSTAPAACSPSATT >PAN17177 pep chromosome:PHallii_v3.1:3:7084148:7085989:-1 gene:PAHAL_3G110900 transcript:PAN17177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGATGPPSPPAEGEAAKTFVVDRGLQALGFEFTRVTAGEVAGRLPVTETCCQPFDRLNGGVSALMAEVTASIGCYVASGYRRLAGVQLSINHVGPARLGDVVQATATPIQLGRKIQVWEVQIWRIDPSTSERKDLVSTARVTLLANLSTPQVMKNYEEGLKKFSSKL >PAN15728 pep chromosome:PHallii_v3.1:3:168680:169596:-1 gene:PAHAL_3G002900 transcript:PAN15728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAGWYLKIAAVGASIGAAMELFMIHTGFYEKVTVLESEKRAWGSSPEAQAMREALNPWCKHDEQHKK >PAN21564 pep chromosome:PHallii_v3.1:3:60992535:60993671:1 gene:PAHAL_3G476700 transcript:PAN21564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHDDALGLVHERVGSHVSLIRAAAVCRRWRCAIAGGAFLHRFRSLHAPAVAGYYHNTSPWARILMGVDARASKNPVFLPSSLPMVDARHFSLDFLPDGAGSGTILDSRGSLLLVMGRRGRGLASPGMVVCQPLTRRCEIIPPPADFNGGGFLFSCLVDGYANDNEASSHISMSNFRVLCMFKRDGVMHAAMFTVGSSWSNKNIGHIAPSLQWPDFLGRAGGSWYFYVEGRILVELDGATGDSTSSVIPAIEESDQRCKYLVTEGRDGKPRFFTVFNNTMKVFAKLHSGEGTGFVALSPQFGEPWPYSIDLETMEAKPTTGDMGPMEYRYELPWPPALRACIDR >PVH62971 pep chromosome:PHallii_v3.1:3:58016648:58019031:-1 gene:PAHAL_3G442000 transcript:PVH62971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTVVDRGGAATLMLASLFLLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAVLIAVAFGQPGENRPGMPSFFTQLSQMQDNWPSVLMAMAGGIALSLGNLVSQYAWAFAGLSVTNIICSSMTVVIGTTINYFLDGRINRAEVLFPGVACFLIAVFLGAAVHSSNAKDDEQKLSMKNADIELSSEVSDEAKLLPDPREPTDGDEEDYSASNEPKPGSAEFIIQVEGRRSIKVFGSHRLLGLNLVFLAGACFSLFSPAINLATNDQWHTLRKGVPHLVVYTAFFYFSVSCFALGACLNVWFLYHPMAGVPASSVGAYARDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQAMPLVSTFWGVILFGEYRRSSRKTYLLLSAMLLMFVMAAAVLVASAGHRKAFDTNAKFIVTSLHSRYLYFRNFNIPSIYY >PAN16400 pep chromosome:PHallii_v3.1:3:3645572:3645982:1 gene:PAHAL_3G057700 transcript:PAN16400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEAFLKFRAVESKTERKRSDRHCSCSKLSPELNRVGCTLFLKSDILFLHPAYHYAGKEENREKAIGVESIALCREKKGLGGRVMDSRWVSSAVDTSS >PAN17340 pep chromosome:PHallii_v3.1:3:8030804:8034671:1 gene:PAHAL_3G123800 transcript:PAN17340 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1A [Source:Projected from Arabidopsis thaliana (AT2G47580) UniProtKB/TrEMBL;Acc:A0A178VZ67] MKSWQCNSDLRPNSSSTATLPSKKKPTGGPHYLLLTQKKNQSPTRRRGEVGERKFSSSTSAARGERREMSGEAAAVGGGGGGGGGEANGIPPNVTIYINNLNEKIKLEELKKSLNAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEAIKRMQDFPFYDKPMRIQYAKTKSDIVAKADGTFVPRERRKRVDEKPEKKQKREQHHDASQIGMGVNAYPGVYGAPQLTQLPIAGGQRVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEAQATAAMTPLQGFKITKENQMVITYAKK >PAN21039 pep chromosome:PHallii_v3.1:3:56618812:56619736:-1 gene:PAHAL_3G431500 transcript:PAN21039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRPHTHTINSGPPSTHAALVSPFYLGCFLPCAGLICFLVLGTAQSSSPTALTAPSYVAAAAPSKEPPAIHTPIVAAVGVICSFESAPSLSTLAPNPLCAAPPRPSARTSCSPAPSVSPPLLPVGGW >PVH61409 pep chromosome:PHallii_v3.1:3:1687331:1689557:-1 gene:PAHAL_3G030300 transcript:PVH61409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPMASDGGLRRLFEKPLPENPTLLEALSAWNRDIHPNKPIDPASFTEIFGELHFQEKQQPDRAILVSQPPPRPRPPPRTASWLDIAAEAEMSNLSKDDSSLDGLLRPKPASTVATVKRSASFCMKKSSASLLLCTEGLGSESTVDADDMLKDGDAEAETGALSGHSKETGTERSSDVKDAAAGAVEEGKAEKRPPSFPPPIRSIGRGGGKPHVCFRSFREDGRFVLLEVVIPGKDLLQATREGGRLKLQFTNGAAAAIAAGGVGVGEEVHGEDGTKHPSQDRLVI >PAN17438 pep chromosome:PHallii_v3.1:3:8485202:8491219:-1 gene:PAHAL_3G131500 transcript:PAN17438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHHNNNTHMSRMDHMNRFQNEPPPFGPKLFMHPRSDAVNGPLSPGYGGAAIRSNDLPSSSHIGQPHTQPIEAPGTMLAPYAGYPHAGSSSSIYAPHNTQHLPALSYPHRSEDSFAPSSHIDDRRVAQKRRNPIIHPMDGSSVGSCYASSSSNPQFPRYMPPNPVPVPEPCPPRIPSNMGSSYWSDHRFGNHGGSQRNVRGRHDHNSIHLGHSPGVACSSSSTHGPPHHGNAIGPSLSTAVPQDRAPFSVPPRLVPPGADGNSSITFRERPYYPAPQRTNINVPPVPTLSGSSDSMAFVHGGYVPRVIPHNTIRSYPAPAFGTSSNSAAVSHEPGIPSYPPAVPSYPPATSAATSSAPPFHAETAASSRHLEHVALGPGGSARSRRLRDAYHAFHPLIIEENNLRGSAAERFMMLDQLVIHESREDSDPHWDMRLDIDDMSYEELLALEERIGSVSTGLADEKISSCVMEVTCCSSARAQDGIGKENTRCVICLEEYKFKDSMGKLKCGHDYHADCIKKWLQVKNVCPICKASVADDSGGIE >PVH62967 pep chromosome:PHallii_v3.1:3:57872465:57874731:1 gene:PAHAL_3G440700 transcript:PVH62967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPYGTAAEAEAALGRSLTWAEAWWFWYSARMPDFWLMWHIALVYFVMYAIVPLPLLLLQQLAPAITLKYKLQPRVKLPSPIAILQYMRDNTLLALFIIGPFPPSTLLHSKMLGIRMWLPLPSAWETVTQLVVYSLVEDYMSFWLHRFLHNKWGYDKIHHVNHEIRTPTGFAASYADGMELTMYAITIFAGPAIVPCHAMSPRIGFGSPYAKRRPWTHTAATTFRSALEVDPVLWRRKISQLPSLCGRTKPEQFQFCFHILGLFIWDKQRLHVPLGKTINAQDEGGRTQQREDPQRQQRERGPRD >PAN18368 pep chromosome:PHallii_v3.1:3:12881037:12883513:1 gene:PAHAL_3G196800 transcript:PAN18368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGDLIWQIVRKNNSFLVKEFGNGNAKVQFTKEPNNLYNLHSYKHSGLANKKTVTIQPAAGKDSAVVLSTTKTKKQNMPAKVNHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPKH >PVH62000 pep chromosome:PHallii_v3.1:3:11550685:11552130:-1 gene:PAHAL_3G175000 transcript:PVH62000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLDRAKVSAHKDHVSPSGARPWKGRPCHALYARAIRVQTDVRIGFATLAPERTRTGLHRERRRICRTERHAKGWIDRGRDTPMVATPGATAAATTQVLNDDVLTEILLRLPPGAVRRFRAVCKAWHRTTTGPAFLSAYARRCPLDLVVVQRHGVSSTLLDTIPLLALDETRRRCLDISYPEYTGPPEHCWRGYSLIGSCDRLLLFERGFGIDYFIYSPLPRPPGTAILLCGFYLHGPSGEHRILYFTNDQQGSHYVSSLQVAGGGEARRLGPALAYTPHPFRNLNCRRKLHWLQHPWVLFPDYVPGEVVHADRILTFDTVSETFRRIPCPPRRRADRPDEEFSLLEMDGKLAAAAFLDGSMDLWVLEDYDNDESWARRLRVRVRLPPALRQATLAMKPGAEGQDVILLGDCWDYTVGLYHLTKKRRLKQIQLFTTDDQPGDFVPDTPLRILVFRDNLKRHTFLDSLGS >PVH62399 pep chromosome:PHallii_v3.1:3:19090781:19091137:-1 gene:PAHAL_3G283600 transcript:PVH62399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPMTTPPSCSTRDSRPAPGATRSPTGHEPATHARTEPTEVIEARAHGHGHLPFPVPGRARSGQVRRSCGAPYKPKRNPRNTPPRLRTDRSLGRAPAGGRVPTSPPGRGEDHRSARL >PAN19176 pep chromosome:PHallii_v3.1:3:16666382:16668697:1 gene:PAHAL_3G254500 transcript:PAN19176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFVLNTGAKIPSVGLGTWQADSAVVGDAVYAAVKAGYRHIDCAQAYNNEKEVGLGLKKALDEGIVKREDLFITSKLWNTNHAPEDVPVALDGTLKALQTDYVDLYLVHWPVSMKKGAGFGPQSVIPSDIPATWAAMEKLYDAGKARAIGVSNFSSKKLADLLAVARVPPAVNQVECHPVWQQGKLRAFCESKGIHLSAYSPLGSPGTTMVKAGAVLEHPVVVSAAEKLGKTPAQVALRWGVQMGHSVLPKSTNKERIRANIDVYDWSIPDDLFAKLSEIEQERLIRASFFVHPEGVFKSVEEFWDGEI >PVH63113 pep chromosome:PHallii_v3.1:3:61015020:61016903:-1 gene:PAHAL_3G476900 transcript:PVH63113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLLLLLLLAATASSQSPAAAPSASNKGAAHSPTSSSSSSAAAPGPSSSSSPPASNNTHISTSPAASPSHTGTKLTSPAASPSATPPSPPTPPPTLPPPPTPAPITSSQPPALPPSPRPPRRRRRP >PAN16531 pep chromosome:PHallii_v3.1:3:4282036:4283063:-1 gene:PAHAL_3G067000 transcript:PAN16531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEQGRGGWRRKKIVYGPDLVWAGMSGDARWAYSPPNSRSTRHAHSQRAWAGPTGRTPPRHPQPNRSHSAICGGRRHASGSATGPPVGPGRRSLKRRRRCRYHACAALRRAAPPTRTAPPEPAPACQCARCHRRHSHGPAAARTTTHGQPRVPSGVPLPPPNPRQ >PAN17216 pep chromosome:PHallii_v3.1:3:7252629:7254078:-1 gene:PAHAL_3G114300 transcript:PAN17216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSSNPRASVSSSNELPVESVVRVKKVERIEAYNVATKPSQTATAKVTRSLTVSVVRVGDVDEKPDGFFVSVPIVHN >PAN17237 pep chromosome:PHallii_v3.1:3:7505009:7505371:-1 gene:PAHAL_3G117500 transcript:PAN17237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGTFRIYYSGCQFSFSGHLQISIPTLPSSTPGGLSLDSCSLLFIHRPIII >PAN18762 pep chromosome:PHallii_v3.1:3:14562476:14565405:1 gene:PAHAL_3G224000 transcript:PAN18762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVKRVSPLSRPRERALPTSPLVLLGCAFFAVAAFAGDNIVPGDGISGNETLVSKNGEFELGFFSPGDNIHFFLGVRFKKMPSTSPKFWVRNKVPITDLSASALEVFSGSLCIKEAGATLWCSSGAAPGPSPPAAAAVLLDNGNLVVRDQANSSRILWQSFDYPGDSLLPGARLGLDRDTGSNISMTYEHYPHNGSISVDQSRRNGFVLTTDGLDILGTFPDWMVTSQDNGSSLVLNRPNPNVIEFLQLHLGQVSLMRWSEDSASANKSGWVARWTFPSDCKSSGFFCGSFGACTSNGKCGCLDGFEPSYPVEWGLGYFVTGCTRSLPLSCEANGQTEHNDSFTLIDKLQGLPYDPQDDLAESDEDCKQACFSNCYCVAYVYDSGCKLWYDKLYNMSFASRPPYSKVYVRWGSKLRAKNGLHTRAILLSVAGFIALASVILMLALLWRYRRDLFITCRKFEVEGSLVFYSYGQIKKATRNFSDKLGEGGFGSVFRGTMPGPGSTVIAVKSLKGRGHADKQFRAEVQTVGVIKHTNLVRLLGFCVKGDARLLVYEYMPNGSLESHLFSERSSLLNWDVRYRIALGIAKGLAYLHEGCEDCIIHCDIKPENILLDEEFCVKISDFGMAKLLGREFNSALTTIRGTIGYLAPEWISGQPITKQADVYSLGIVLLEIVSGRRTTKRLKFGDHRYFPLYAAAQVNEGNVMCLLDSRLEGNADVKELDITCRVACWCIQDDKEDRPSIGQVVRMLEGVVDTEIPPIPPSFHNLMEGEGSGIYSDEG >PAN19465 pep chromosome:PHallii_v3.1:3:18414392:18415590:1 gene:PAHAL_3G275700 transcript:PAN19465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAAGGGGGRGAERRCPYRGVRRRAWGKWVSEIRVPGTRERLWLGSYAAPEAAAVAHDAAACLLRGLPGAAAAGHLNFPERAACYGGRRGRAPPLSPRSVQRVASDAGMAADAWAALASSSPLLRNAAGTTAGAAREGESATGAAAQGAPYAPALPPYSNCSSSGSSTYWCTPSAGGGSGSSSAGSDQQLVYGDISVDDIEILM >PAN17681 pep chromosome:PHallii_v3.1:3:9630345:9633363:1 gene:PAHAL_3G149300 transcript:PAN17681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) UniProtKB/TrEMBL;Acc:F4HP86] MDGGMRHHQLEAAACQSQSLLPPPAGASSCGISLVAAVCLFLLAASSAIYCFCVVIISSNNESLLLKLKGRISKEKKNRRHQDDNARPPEPPGRGSGWWWSVVETLAFVAANGSGKGFYSFVHARHRRHGPPCFRTALFGATHVFVSSPDAARSLLAAEPAGFSKRYVRTVADLLGEHSLLCASHAAHRSLRRAVAPLFNARATASFAAAFDALTLRLMRDWASTSGRSAAVVVLDAALGVTFEAICDMLVATLPRDAKRQLQGDVLAVTRAMLAFPLRLPGTRFHAGLQARKRIMEVLRREIASRRRDGSLRQRGDHGGDDMDFLQSLLLRSQQQQAGTDDEALLTDEQILDNILTLIIAGQVTTATAVTWMVKYLADSRDFQETLRSVQLELAPKQHQDSPLTLQHLSSMELAYKTVKESLRMASIVSWFPRVALEDCQVAGFQISKGWIVNVDARSLHYDPTIYDNPTMFDPSRFNGEDTKPPYSFLVFGAGGRTCLGMSLAKIMMLIFLHRLVTTFRWEMADEDTSLEKWAMFPRLKNGCPIHLTPI >PAN20993 pep chromosome:PHallii_v3.1:3:56218339:56221142:1 gene:PAHAL_3G428700 transcript:PAN20993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDDCIVPIPTPLCEGAPLLKAAARCGSLPSLFELDPYATAAAELGAASAWCGDAGKGGCTVGELPGTRSVPNRYHLAISSRDDPGGHRLRPLIPSRDDSGLNNLRPPALPKENHHNQGSAEALSALSLQELKDAVTRKPEQRYPSEVAASRTAPRGRYARSNAGWASKGRSTPEGYNREEHTWRFEYPYLPGTSPSSMNERASLHDVQTALHKYNRANNTMFELDEITVKYLFFEFGRACYHYNFTAKPDNHPSAGGSINRFFADINCPLEIKDDVLLCCIIGEKDAGQCHACEGYRPTMVHPSSRAYGGGYTTAIDYPDEDSSSSDSDH >PVH62133 pep chromosome:PHallii_v3.1:3:13730200:13731245:1 gene:PAHAL_3G211200 transcript:PVH62133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSCAEAGPTDSIRPRYKKYNKNGPGA >PVH61797 pep chromosome:PHallii_v3.1:3:8118728:8120121:1 gene:PAHAL_3G125100 transcript:PVH61797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLYLKDGLNTVKLPDEKQGTTARRLCLFLLDVVRQLLGCTISVLLIHPHGADEHQGSCSMKMKCELTYSWNLSLSCGDQVEHSQESKFTATCTDRSTGLPNPDEGFQFVVPNSVLADDDKENAIKVGFRIANNS >PVH61744 pep chromosome:PHallii_v3.1:3:7145081:7150607:1 gene:PAHAL_3G112200 transcript:PVH61744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFQDREHLPFVYLRQLAGWLAISNLKLLLLQSNKNHFAGTECVPDQLRIGLPIIAKIIGTSIMICPIDCR >PAN18235 pep chromosome:PHallii_v3.1:3:12325220:12328425:-1 gene:PAHAL_3G187800 transcript:PAN18235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQFLSKLPRKSSASGDSGQCSNGTGIQRTTSCGSIPPGRPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLSLCCVVFDFSDPNKSSVEKDIKRQALLDLIEFVESSSARFSEAAIAACARMCAINLFRAFPPNYRSGSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKVGKRHFDHSFIVKLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKSVGLYLQQLTYCVTQFLEKDPKLASSVIIGLLRYWPITNCQKEVMFLSEIEEILESTSQAEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHIISLIAQNRQSIMPLIVPALEQNIQNHWNQAVLNLTMNVKKMFSEMDEDLFSSCLDKYKEDEEKRASLEVKRKLTWEKLESAAAFQPVTGHTAILVGHQLSANMIATLI >PVH61401 pep chromosome:PHallii_v3.1:3:1499101:1500630:-1 gene:PAHAL_3G027000 transcript:PVH61401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSIIAPALLSAKPAIGGRRRGAFGLLGFWGWCAMGEVKHLCLVKFKEGVVVEDVLKGMTDLVAQMDMVKSFEWGQDVLNQEMLTQGFTHVFSLTFASADDLTSYMGHEKHAAFAATFMAALEKVVVIDFPVVIAKPPPSA >PAN16452 pep chromosome:PHallii_v3.1:3:3890975:3893012:1 gene:PAHAL_3G061800 transcript:PAN16452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAVVAEAEGEEVTNRQVILKRYVTGCPTVDDMEVVAGAVRLAVPPGSAAVLVKNLYLSCDPFMRTRMTRHSEPGFVPDYVPGKVLDTCNVSKVVLSGHPDFKPGDLLWGVTGWEEYSLIPNPMFCHRINHHEFPLSYYTGVLGVPGLTAYAGLFEVGKARKGEYVFVSAAAGAVGQIVGQLAKLTGCYVVGSAGSDHKVNLLKTKFGFDDAFNYKKELDLNAALKRCFPHGIDVYFENVGGAMLDAVLRNMRPRGRIAVCGQVSQYNLERPDGVDLFQLVGKHICMEGFLVSEYAGEYYRRFEEEMARYLKEGKVVYVEEVAEGIEAAPAALVGLFAGRNVGKQVVAVARE >PVH61694 pep chromosome:PHallii_v3.1:3:6219599:6220871:-1 gene:PAHAL_3G098100 transcript:PVH61694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAWNCRGLGNSRTVQELCNFVKLHHPKLIFLSETRMSASRSKNLRWKFGLKNSLAVDSDGLIGGLVLFWDESICVSLLSQGERYIDVLVCESPDDVPWRATFVYGEPRVENRRDMWELLRSLCGAWSDPWMVIGDFNEAMWQYEHFSETPRPERQMMDFREILSHCDLHDLGFSGLPWTYNNNQGGRRNVRVRLDRGVANTDWSARFPVASVQHLTTPRSDHKALLLAIMWEREGELATIIEKAWQKRNPGSDLGALSLALKTVTKDLRAWSREKFGQVTRQLEQLRNNLETLERDDPLSNREAILQTKKDLDELLYREAMMWLQRSRITWLKEGDRNTKYFHRKARWRARNNHIKKLKREDGSWCSDQKEMQGMATNYFEHLFTRDTGIDPQDSWFDRAHYFSPD >PVH62547 pep chromosome:PHallii_v3.1:3:23566539:23568151:1 gene:PAHAL_3G320000 transcript:PVH62547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQLYGLHPSIWEVIVVGVTPPTNGVPTTEQGQDYFRNAQAVRVITSSLCVQEFNKVRNVEAHEGTDEVRAGKMDLLQGELEHVVMHDEETIRQTYNRLVVLMSDIRSLGSMEWLDHKVIKKLLRDFTPRNPNLATLIIRDAKFKTKTSNQLLGEILYQDLVERDVAKSLSHKMNKGVALNASSSDKVESSPNALKSKKEDLSDEGSTDEEMALVLRNFKKFMKKKYYNKGADDKKKLSQRRCYEGKESNHKHFDKEGMTTLVIPKSTRRLFNNISNDEDDTPFCLVARNTKVQESSTSSSHPSSTSYMIKELGKKGFKEIKKLTEKLEKKKECLNRQEDLLILEKERNLALEKTLAEEKMKVEKLAIDLSLANNSNERMSKERSLISESLASLKVTHSELQESLSCLTVKYNDLELNYSALLESTKTNSNAPVDSNVSTSEGCSKCYKVDVQTCVTNMAKLDKLI >PAN21574 pep chromosome:PHallii_v3.1:3:61118674:61121561:1 gene:PAHAL_3G477400 transcript:PAN21574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKENAPAAAAGPRLTRSAAKRAAEAAAGGGGAPAKRKRVALGELPALAANAAAVLPAPPPRPVKPARGGGKAARPGKPVPRPVPAAAAVAEAEAEAERCGSSSPPRAAGAADPDPDSSASTSPPRAAAAADPDRDSSASSSPPPRAAGAGAGAPGDPQLCGSYASDIYTYLRALEVEPRRRPRSDYIEAVQSDVTANMRTILVDWLVEVAEEYKLVADTLYLAISYIDRFLSANALSRDKLQLLGVAAMLIAAKYEEISPPHAEDFCYITDNTYTKQELVKMESDILKLLQFELGNPTIKTFLRRFTRSAHEDKKRSILLMEFLGSYLAELSLLDYGCLRFLPSVVAASVMFVARLTIDPDVNPWNKKLQKVTGYKVSELKDCIVAIHDLQLNRKCSSLMAIRDKYKQHKFKFVSTLLPPVVIPTLYFEDIAE >PAN19010 pep chromosome:PHallii_v3.1:3:15748337:15751521:1 gene:PAHAL_3G242600 transcript:PAN19010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQVGLGIAILHRLPCLAPLSSPAASSSEPPPRLPPPMTSTPGSFGTLANTGPVALSFATSSFASFLGAPASGGADSGGLSKFKAMPPPSLPLSHPPASPSSFLNAFSGFLDSPILLTPSLFPSPTTGAIPSEPFNWMGTSETPQTGVKDEQRQYTDFTFQTAAPVPETTVAGAAQTASFPQSSSMLMAPLGGLGYSYNGELQQQQPWSYQEPTAQFEAPSATATQPDMLGNGAAPAAVPGFREQSNRPSSDDGYNWRKYGQKNMKGSENPRSYYKCSFPGCPTKKKVERSPDGQVTEIVYKGTHNHPKPQSTRRNSSSAPAPAVSSYVLQSASDAAAEHSFGALSGTPVATPENSSGSFGDDEINGVSSRLAGNFGAEELDDDEPDSKKWRKDGGDGEAVSVAGNRTVREPRVVVQTMSDIDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERACHDTRAVVTTYEGKHNHDVPPARGSSASLYRAALAAQQAAGYHQQGARVAAPTTDGRFGFGAGSGHGAFCGGLLDGGAPTQAADGGFALSGFGNGSAYSYTSQQQQQSEAMYYAGPSAKDEPRDDMSFFEQPLLF >PAN16783 pep chromosome:PHallii_v3.1:3:5584067:5588340:1 gene:PAHAL_3G086200 transcript:PAN16783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKASAQGAAEAAIAAIGRGYDVVSDVRLKYCKGRLADPDARLIDLSRDEVQDVVLPGGIKVAAVPKSIKCDKGERTRFRSDVLSFQQMSEQFNRELSLTGKIPSGMFNSMFDFSGCWQKDAAGTKSLAFDGWYISLYTVALSKSRILLHDHVTQAVPSTWDPAALARFIDKFGTHIVVGIKMGGKDVIYLKQQHSSSLQPAAVQKRLKDMSDRRFLDANEHDMNTKAAYGKDKNDAREQRLRFVESSPSSSYCSKEDLVVVVKRRGGREWDKEMPHSEWINTVQLEPDVISMSFLPITSLLNGVPGCGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPLSFMGPRLYVCTSMVDVGERPVTGLRLYLEGKKSNMLAIHLQHLCSLPQILQLQDDPYNHRTPEPYDSKYFEPFGSWKRFSHVYTKPVESDDDSSIVTGAQLHVSSHGLRKILFLRIRFSKVINAALVKNPEWEGSPNLGQKSGLISTLISTHFSTAAQKPAPRAADVNINSAVYPGGPPVPVQAPKLLKFVDTAEMLRGPQDMPGYWVVSGAKLQLERGKLSLRVKYSLLTAMVPDDEYPLDEHN >PAN18191 pep chromosome:PHallii_v3.1:3:12089180:12092125:-1 gene:PAHAL_3G184700 transcript:PAN18191 gene_biotype:protein_coding transcript_biotype:protein_coding description:NINJA [Source:Projected from Arabidopsis thaliana (AT4G28910) UniProtKB/TrEMBL;Acc:A0A178UVV4] MDEENGLELSLGLSLGGSSGKAKARDALLEPKAESQVEESSSKVGSQTPDAPFGKYYQINPENQEQNSKQRQGPVAPQFGNFWGQPGSSSAPVVDGSVEPVGLQPQLPRYQDGRMSNNSGNNSEEQKPVLSNRNLLSEEMSFQKKHQTAGDQPDASSKSSDGGAKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNKGSDRKRSADDAAVGFQGKRQPSFSGSEASSGKLPPGNPLSMQASNVMAVPYQIHAQVSGPPTVTNAPNFHSVCPVQLRPPTNGGLAVQTMSSASQVAFGYPAVQLPTLETSSSWAFGAPPQAVSSFTVKDKAEQTGSKQADDGKRPQETGASSSVHMEDEKKAERALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNSRTISGVTYKFGRNEVKIVCACHGTHMSPEEFMRHASADAPAQENSETLPAFPVGNQAASAEN >PVH62105 pep chromosome:PHallii_v3.1:3:13389630:13393601:-1 gene:PAHAL_3G206500 transcript:PVH62105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLITKAHDTDTPAGIRTLEERRRAWAAGRLIDMSCPLYGALRPEILWRPAAPRNRCSNFGRRLLLLIPAAPTPMVFLPIKWSKPPMPHRQHLRRFLSSATARAAAPIPAWLVPRSSGTSAQSARIRELGRLGRLSEAREVFDAMPFRDIIAWNSMIFAYCNNGMPDAARSLADTISGGNLRTGTILLSGYARAGRVRDARRVFDEMPLRNTVAWNAMVTCYVQNGDITLARRMFDAMPSRDVSSWNTMLTGYCHSRQMVDAKNLFEQMPERNMVSWTVMISGYVLIEQHGKAWDIFRMMHYEGMSPEQPNLVSVLSAISNLGNLNILESIHAFVHKAGFERDVVISTAILNAYTRGVSMLDTAVKFFEGMTERNEYTWSTMIASLSQGGRIDDAVAVYQRDPIKSVPSRTAMLTGLAQCGRINDARILFDQIPEPNIVSWNAMITGYMQNEMVDEAEGLFNRMPFRNTISWAGMIAGYAQNGRSEQALVLLQVLHRNGMLPSLSSLTSTFFACSNIEALETGKQVHSLAVKAGCQFNSYVCNALITMYAKCRNIGFVRQIFDRMTVKDTVSYNSLIAALVQNNMLEEARDTFDNMPSRDVVSWTTIISAYAQADQGNEAAEMFRNMLQVHELPNSPILTILLGIGGNLGASKLGQQIHTVAVKLGMDSGLIVANALISMYFKCGSADSLKVFDSMEEQDIFTWNTIITGYAQHGLGREAIRMYQQMESAGVLPNEVTFVGLLHACSHSGLVDEGRQFFKSMSSDYGLTPLLEHYACMVDLLGRAGDVQGALEFIYDMPIEPDAVIWSALLGACKIHKNVEIGRRAAEKLFSIEPSNAGNYVMLSNIYSSQGMWDEVAKVRKLMKEQGVNKEPGCSWMQIKNRMHSFVTGDEEHEQIQDIHATLRELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYGLLVTPKGMPIQIMKNLRICGDCHAFVKFVSHVTKREIDIRDGNRFHHFRNGNCSCGDFW >PVH63208 pep chromosome:PHallii_v3.1:3:62448970:62449865:1 gene:PAHAL_3G493100 transcript:PVH63208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGYAITIPGRSPVYPSAPGRIQFAPSVAAPRTVPAPTCPRFKGRRARSSPACQQPPSPSSLLPPLTLPPHLLLPPIISSHKHHREGKRREREEGEGGSADLFQSAAKFSSEAGRTNSQVLVSSVLSLSISSSPFLFFSLVFLVSCTSFYLHESKRICYSIRTGELSPPLVHTFLLLLMASPCSSVSSMLIISCCLALQIQSELQRSSRACCVFRGMLAAAVV >PAN16165 pep chromosome:PHallii_v3.1:3:2635097:2636052:-1 gene:PAHAL_3G041400 transcript:PAN16165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKHHHHLFHHKKDEEEQPAGGYGGEAAAEYTETTVTEVVSTGEDEYEKYKKEEKEHKHKQHLGEAGAIAAGAFALYEKHEAKKDPEHAHRHKIEEEIAAAAAVGSGGFAFHEHHEKKQDHKDVEEAGGEKKHHHLFG >PVH61494 pep chromosome:PHallii_v3.1:3:3010962:3011636:-1 gene:PAHAL_3G046900 transcript:PVH61494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEPQVPTAQPQQQERGPKQGEPPHQVAGVKGEWCGQSKMEAAKKPGAGAAGKRRHGSAALFVAVDYAFLLAFAGFLAYLVGSRILPSVASSA >PAN21760 pep chromosome:PHallii_v3.1:3:61889617:61890147:-1 gene:PAHAL_3G487000 transcript:PAN21760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGLMVSLLFAAAAAACGAAGASTALDEVCGVLGGYYVTPAACASALCRDPSPPPCRAARDAGAVAALAARLAAANATAARDSVAAAAAAAAEPAGLRACLRLYDGAAAALEWAAGSVAAGLYPGAREVMQAAQYVPAGCDGVAAGAAVPAENGGFDAMATVAHAVLASLSKSH >PAN21204 pep chromosome:PHallii_v3.1:3:58550994:58554370:-1 gene:PAHAL_3G448400 transcript:PAN21204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILSLGCSDCHSQQEAYIEAAKEQHGPEFDAMHAPLDQVAVYRAGRGKKHGQYLIADGCINTPLTLSDVQASGCRSQNEIRSQRCQQTYPHYGSSQQESEARKILEEKVDSQTEIINCLKEGYAGLALIIQTHFNILIPPLVLPSQTGSTHLVSAVESFYCHFKLQQSRLLKMKGTSGGSNLGPANSGAAREVGEVEVAADEAHIDESTPNQANST >PVH62717 pep chromosome:PHallii_v3.1:3:41917960:41918886:1 gene:PAHAL_3G376500 transcript:PVH62717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNIFWDPVGHLHTNALHWEGFPRLLWESLSSFLYNETPQYDVVEYQEEGVHQCRVRMTIPQHPFRSQWQPIKVDVVGYRIVDTIEGTALEAIYLFCNQHPREVAEQPIGLFPTIDPNDPEWNLKVVPESHKLAGSTEEALQGTMRFMNVQHHYQLLLHRGMGQLINIAQGHFRNTDRQVTQIAQLQALVIEKDEIIAAREETIHHQEDQINESDAMITQRNTIIEFLQEQIHDLILEVDDAQAQINELQQQPAPPAVPAPEAEEEDPEEIEGVSDLDSEHGDPVLSPHHSSSGSQSSVGNYDDF >PVH61885 pep chromosome:PHallii_v3.1:3:9567279:9567650:-1 gene:PAHAL_3G147800 transcript:PVH61885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQFVSKARKRASEPAIDAACRRLSSEGERDRVGEREISRLGKAELEEEEEKMQDWAPVFISFVLFILLSPGLIFQMPAKSRFVALGNFQTSVASIIVHTILFFALDAIFLVAIGVQIQLGN >PVH62221 pep chromosome:PHallii_v3.1:3:15568486:15570918:-1 gene:PAHAL_3G240000 transcript:PVH62221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAFILLMHLFQALHVPAYSATMDSISAGETLVGDAKLVSSNGRYALGFFHPLGSMSSSPEMETPKRRYLGIWFNKVSQLIPIWVANRESPIVGPHRMSKLAISQDGNLAIFNEATKSMVWSSRAGITTKNTTAVLLDNGNLVLRDTSNSSIVLWQSFDYPTDILAPGVKFGLDKITGLNRHLVSKRSLIDPSPGRYCLELDPSGVAQFVYKLCNTSIVIVYWSRLQWNKQDYNLFINDDKEEYFQYNLLDENMIVVSLLDISGEFKLLVWVEDAQEWTLIFVEPQDQYDVYATCGPFTICYSNTLPPCDCMRGFSVRSPKEWEQSDRAVGCIRNTPLDCSTMNTSITATTNRFYSLPGTKCAQACLNNCLCTAYSYSSGNRCSLWYKDLLNTRRYADGTTSNGEILYLCIAAKDAESWINNKRKGMIIGVVTAASLVGLAVMTAFLAWFLVMWRDKMKQSFSISDDAQGGNGIVAFRYMDLQKATKNFSEKIGGGGFGSVFKGFLTDSTAIAVKRLDGVRQGEKQFRAEVSSIGIIQHINLVKLIGFCSERDRRLLVYEHMPNQSLDAHLFHIHFMVLNWSTRYQIALGSCRDCIIHCDIKQENILLDASFIPKIADFGMAKFLGRDFSKVLTTVRGTIGYLAPEWISRVAITTKVDIYSYGMMLIEMISGKRNSSCNGNTSDEDGYFPLEVASKLVIGDVASLLDGQLHGDANLDEAERVCKVACWCIQDNESNRPTMGVVVQILEGLLEIDMPPMPRPLQAIVGISP >PAN20538 pep chromosome:PHallii_v3.1:3:26434760:26437010:-1 gene:PAHAL_3G330200 transcript:PAN20538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTGSLEHGGFTFTPPPFITSFTELLSGSGDMLGADQERSPRGLFHRGARGGVPKFKSAQPPSLPISPPPISPSSYFAIPAGLSPAELLDSPVLLNSSSNILASPTTGAIPAQRFDWKQAAELVASQQEESRAAWGGFNDFSFHTASSNAMPTQTASFPSFKEEQQVEAVSKQSVAAATSNNKASSGNNNNSNTKLEDGYNWRKYGQKQVKGSENPRSYYKCTYHSCSMKKKVERSLGDGRITQIVYKGAHNHPKPLSTRRNSSGGVAAAEDKQAASSLSQAAGCGPEHSGATPENSSVTFGDDEAENGSQRSDGDEPDAKRWKEDGENEGSSGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTPGCPVRKHVERASHDHRAVITTYEGKHNHDVPVGRGAASRAAAAPHASAAQPLLAAPMMAGGGQPYTLEMLGGAYGGGYAAAAAKDEPRDDLFVDSLLC >PVH61596 pep chromosome:PHallii_v3.1:3:4561292:4564970:1 gene:PAHAL_3G071900 transcript:PVH61596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMATYCLSSATLLLAFLLHAPGLATAQPLPWQLCNDTAGNYTESSAYQANIRRLAAALPGRASSSPALFATGSAGAAPDAAYALALCRGDTNASSCARCLAAAFQDAQQLCAPSRGATMFDDPCILRYADWDFLSNATDNRGVMVAWSFDNVTAQAAPAFDAASGRLVNATADHAAADPARRFGTGEVAFDETYPKIYSLAQCSPDMAAADCRACLGSIIRRFTPTYFTGKHGGRVFGVRCSFRFETYPFFFGRAQLQLPGPPGPPQVNMTTPPANGRRTRQKTERVLAIAVPLAAAVLALTVACFCFWSRRTPVQKVSGKTYSTYSEDTESIDSLLDLSMLRAATDNFSESNKLGEGGFGAVYKGTLPDGQEIAVKRLSLSSGQGVEELKTELELVAKLQHKNLARLIGVCLQEDEKLLVYEYMPNRSLDTIIFDSQKSKDLDWGKRVKIVNGVARGLQYLHEESQLKIVHRDLKPSNVLLDLDYNPKISDFGLAKLFDRDQSQGVTSRIAGTYGYMAAEYAMRGQYSVKSDVFSLGVLILEMVTGRKNSSFADSEQPVDLLSLVWEHWTTGAIEELLDPFLGRRAPRDQMLKLVNIALLCVQDSPADRPMMSSVNVMLSSDTVSLQVPSRPTFCIQEMEDGSSFYSQSASKESRATMSPNEA >PAN19816 pep chromosome:PHallii_v3.1:3:20713946:20718968:1 gene:PAHAL_3G301200 transcript:PAN19816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPTTPRLLLSPTSKDLLTAASFPSPPSPTSEDLASPLDAFASDPVLSAFLSPSFSPSEFSSAALSSGLAASRAEQLQDAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSHLRLLSSHLSLLSSHLSAPRSHLALSSASLSSLLATADLLLHSHRLVRLSSRLLASSPAPDLARQAELHREIRLLYEEKNLSGIKAVDEEMRKVDAAASKLRSEASTVIDRGVAESNQNDVWCGLQVYYNLGELKPAVEGLVGKYKAAGAKSVSVALDMKAISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRAVSAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQMKSTFTASSFVKEIFTLGYPRLFSMVENLLERISRDTDVKGTLPALTPEGKDHMLSAIEIFQTAFLALCHSRLSDYINSIFPMSSRGTIPSKDQISRLVSRIQEEIEVVRTHGHLLVLVLREIGKILLLLAQRAEYQISTGPEARQVTSTVTPAQLKNFALCLHLQEVHTSISSVLSTLPNVASEVLSPSLGVIYGVACDSVTSLFQAMLDRLESCILKMHEQDFAGHGMDAAMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVIIHHLYSRGPDELQSPLQRNKLTPVQYSLWLDSQGEDQIWKGVKATLDDYEMRVRSRGDKEFSPVYPLMLQIGSALSQATT >PVH62328 pep chromosome:PHallii_v3.1:3:17591298:17591648:1 gene:PAHAL_3G264600 transcript:PVH62328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVSLPDEEFEVLSEEDLALLSRRFGRMYENRKGSRWVTSTCYRCGKMWHFIAECPENADKIDHKHRPRDENKHRSREDKYKKIEYRSKHKNKGSGRRRSRSHEQWCPTPRTRL >PVH63172 pep chromosome:PHallii_v3.1:3:61782904:61788991:1 gene:PAHAL_3G486000 transcript:PVH63172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPVEDPVENNLAGTTPTRGNEEQANPYANVQEKFDEEPEKPYDGESKDPTPDELGNSEHADVNNEVAGDLNKEDQATPMQQEPANVYVEEAGTKQQGNVVPDEQKWPGWPGEIVFRILVPVTKVGAVIGRKGDFIKKMCEESKARIKVLEGPQGVPERAVMISAKDEPDVVLPPAVDGLLRVHKRITDGLDVETDQPQRGTATAGPTRLLVPASQAGSLIGKQGGTIKSIQDASKCALRILENVPPVALNDDRVVEIQGEPLDVHKAVELIASHLRKFLVDRSVLPLFESQMKAYNVHREQPMPPPQPWGPPPPSPWGHPPNLPPGGPGYVGNPQYMPPRPQDNYYPPPDMPPVEKQPHYGISSYGRDAPPSAPSGNQHQAHGSSQQVTHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGAPGEMTVEITGSASQVQTAQQLIKNFMAEASPQGPPAPAQSGDTGYSSSYPPYGGASYGSPPGSTAPGPHNGGSYGAAPYPPSYGY >PAN21744 pep chromosome:PHallii_v3.1:3:61782904:61788990:1 gene:PAHAL_3G486000 transcript:PAN21744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPVEDPVENNLAGTTPTRGNEEQANPYANVQEKFDEEPEKPYDGESKDPTPDELGNSEHADVNNEVAGDLNKEDQATPMQQEPANVYVEEAGTKQQGNVVPDEQKWPGWPGEIVFRILVPVTKVGAVIGRKGDFIKKMCEESKARIKVLEGPQGVPERAVMISAKDEPDVVLPPAVDGLLRVHKRITDGLDVETDQPQRGTATAGPTRLLVPASQAGSLIGKQGGTIKSIQDASKCALRILENVPPVALNDDRVVEIQGEPLDVHKAVELIASHLRKFLVDRSVLPLFESQMKAYNVHREQPMPPPQPWGPPPPSPWGHPPNLPPGGPGYVGNPQYMPPRPQDNYYPPPDMPPVEKQPHYGISSYGRDAPPSAPSGNQHQAHGSSQVTHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGAPGEMTVEITGSASQVQTAQQLIKNFMAEASPQGPPAPAQSGDTGYSSSYPPYGGASYGSPPGSTAPGPHNGGSYGAAPYPPSYGY >PAN19837 pep chromosome:PHallii_v3.1:3:20877029:20878230:1 gene:PAHAL_3G302500 transcript:PAN19837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPVFTAELDVSEGSSYGGFISGVRNQLVLHAGATRHLELVLLRRQEEDPRNAPWFGVRLRCGSGNSALLRVRADNLYISGYQSPEGRWWEFRGGSVIDTATQLAFTDNYESMGKTAKLPLEKVTLSKTELEAAVRQLAAVGANAAGSQKDTAKSVMVIAVMVCEAIRFRSIGGALAHIMCNAERRLGQLPAHMVEQVKN >PAN21662 pep chromosome:PHallii_v3.1:3:62348677:62351386:-1 gene:PAHAL_3G491500 transcript:PAN21662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVQSSYLPATTESISKAQEAKDASESISILYRVLEDPSSSADALRVKELAITNLTNYLTKENRAEDLRNLLTQLRPFFAQIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPSQQGIIDLQSGILHAEEKDYKTAYSYFFEAFEAFSSLEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPISHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDTKTEEIFPTTLETITNVGKVVDSLYMRSAKIMA >PAN21904 pep chromosome:PHallii_v3.1:3:62887774:62889141:-1 gene:PAHAL_3G498500 transcript:PAN21904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASTYAPGGGAGALAPGRARARAPTGGLVLGPSKAGLPRPLALARRSPLAAAGAARLRCAASSSSAAPTRPVTAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRYLKVVDVGGGTGFTTLGIVKHVNPENVTLLDQSPHQLEKAKQKEALKGVTIMEGDAEDLPFPTDTFDRYISAGSIEYWPDPQRGIKEAYRVLRFGGTACVIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRERGDSPLELGPKAEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >PVH61308 pep chromosome:PHallii_v3.1:3:524888:532089:1 gene:PAHAL_3G008900 transcript:PVH61308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCLRRGLSLVSQHTPHFLPSPPLRPACRFLHHLSAADGMGEGSATGKDAKGKAKAKAPAAASAPVVARDDAYLEAVTQKRVRMFEDIQARQALERLNIGGEVIKVTLPDGAIKEGKKWITTPMDIAKEISSGLAASCLIAQVDETLWDMGRPLEGDCKLQLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNEEHFSIIENQAQKAVAEKQPFERIEVSRAEALEMFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHFLEEAKKRDHRLLGQAQELFFFHPLSPGSCFFLPHGARIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFDNRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRENQIKDEVKGVLEFINYVYKIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQVIVCSVSSGSVEYGKQVLARLHEAGFHADIDVSDRTIQKKVREAQIAQFNYILVVGAQEAETGNVCVRVRDSANLSTMSVDGIITRLREEIAAFK >PVH61491 pep chromosome:PHallii_v3.1:3:2954988:2966219:1 gene:PAHAL_3G046200 transcript:PVH61491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTRAPYIVTPQLGLGYIGRHPPAGSSNDTPMAAAAAAAVPLLLLLAAAAASPASAAAGGGKQTHIKLYWHDVLSGPNPTAVQVARAAVTNTSKTSFGLVVVIDDPLTEGPDLNSSTPLGRAQGTYVAAGRDEVALLVNMNFVFQGGRYNGSTVAIMGRNAVFDAVREMAVVGGTGVFRMARGYAQARTHTLDLKTGDAIVETRPRGADRRPGLPGGSGRLRGDARTRPRGADRRPGLPGGSGRLRGDASLVRCRI >PAN20249 pep chromosome:PHallii_v3.1:3:45356242:45368507:1 gene:PAHAL_3G386200 transcript:PAN20249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVLRRLGDPTVAPGEEASPFAAVSGDHPVPELSSPTAVRVRVAATSLNFATFLQVQGKYQERPPLPFVPGSDYAGVVDAVGPGVRGLRPGDRVCSFAGLGSFADFIIAEENQLFLVPDGCDLVAAGALPVAFGTSHLSLVHRAQLKAGQVLLVLGAAGGVGVSAVQIGKVCGAVVIAVARGIEKLQYLKSIGADHVIDSSKDNVVGSAKSVLKARGLKGVDVLYDPVGGKLTQDSFKLLNWGAHILVIGFASGDVPVIRANIALVKNWTIHGLYWGSYLTHQPRVLIDSLNELLSWLSKGLITVQISHRYRLAEAHLAFAALRDRKAVGKVMITMGSSAKSRL >PAN16801 pep chromosome:PHallii_v3.1:3:5657220:5660571:-1 gene:PAHAL_3G087500 transcript:PAN16801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAAVRRGAAAWTASAFHSSAAVLSKSTPHIRFAVHEKRRDAKSALKNILLNGSPYQESSNKQMRKQKGSGKPNVQRSYPGKNPYGKNKRGQNWKSFDDDECTDTPYGTFGGKKSFTWYWPGENDELGSSPSGFQWRDESQSAKSRKRFLNESDVDEEEESVHDDLRSYRISLGLPLLGPLKLEHIKAAFRASALKWHPDKHQGPSQAEAEEKFRRCVEAYNVLTCAFKSSG >PAN18596 pep chromosome:PHallii_v3.1:3:13844378:13845382:-1 gene:PAHAL_3G212900 transcript:PAN18596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPATRAPGPGGAAASRLVSKSGFSVPPAVRPPRRAAGGTRTELLGVAARSGRRDGWPGADSSSSSSSGGGGGLVDADMATLRRRIREVRAESAEEDGIDADDDDDAGGGVPLPAEWTELERRHHGSYVAGVRSAVGLLEALLVSARPGLGAGMLAMLLLGVPASLLLVCMQLIRH >PVH62956 pep chromosome:PHallii_v3.1:3:57733978:57740862:1 gene:PAHAL_3G439100 transcript:PVH62956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYIIGAFKPPCDISITFSDARTRKQVSVKKDNGKTTMVPVFQSLETISGEVSIAPVPGKRIEHMGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVEYRDFCVRNYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLESAPSS >PAN20602 pep chromosome:PHallii_v3.1:3:28474399:28482451:1 gene:PAHAL_3G337800 transcript:PAN20602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASMLRPPQCRLDAGASSASVLLRTSTLPGMPSSTPPDLLCAGASMAAILHAGTPPVMHTSLHPRSSIWFHQSSTSIQLLCIARTETARTVYFYID >PAN19795 pep chromosome:PHallii_v3.1:3:20569846:20572153:-1 gene:PAHAL_3G299900 transcript:PAN19795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCWCSCLECIHNIPPLNLLFPHFSDRALPAGEEGAAVSMASISVPNPVPPATEDAENIRKAVQGWGTDEKALIDILGRRTAAQRAEIALAYEGLYNETLLDRLHSELSGDFRSAMMLWTMDPAARDAKLAHKALKKKGDRHVWVLIEVACASSPDHLVAVRKAYCAAYSASLEEDVAACPLYKDPLKQFLVRLVSSYRYSGELVDDELARAEAAELHDAVVARKQTLHGDVVRIVSSRSKPQLKATFERFRQEHGKAIDDVLEELRSDQLAAMLKTAVWCLASPEKHFAEVIRSSIVGLGTDEESLTRAIVSRAEVDMKKVKEEYKVRYRKTVTGDVNGDTSGYYNGILLTLVGPE >PVH62854 pep chromosome:PHallii_v3.1:3:54044005:54044352:1 gene:PAHAL_3G416800 transcript:PVH62854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQLAAQPTQGEQPKSAVQVVANVLERNNKKSAFLQNVGMQTKRPRMSAQLEAEKRENAELRLIVSNQREQMEGLSKQVQETELTRIRDKEEMSKKQAELEAKLELVLGQHGPR >PAN17050 pep chromosome:PHallii_v3.1:3:6628731:6633123:-1 gene:PAHAL_3G104100 transcript:PAN17050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGAGWPEPVVRVQSLSESGAATIPDRYVKPEAERPAAAGAGGATAEGIPVVDLSSPSDPATARAVSEACRDWGFFQAVNHGVPPELLRRARGVWRGFFRQPTEVKQRYANSPATYEGYGSRLGVEKGAVLDWGDYYFLHVRPPHLFDPDKWPHLPPDLRETTEEYSREVAALCGRLMTAMSLGLGAGPSRLQEAFGGAEGAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADDRVRGLQVRRRGAWVTVDPVPDAFIVNVGDQIQVLTNATYRSVEHRVTVNAAEERLSVALFYNPRSDLPLAPMPELVSPERPSLYSPMTFDEYRLYIRRKGPRGKSQVESLKATAVGAAR >PAN21450 pep chromosome:PHallii_v3.1:3:60259526:60259834:-1 gene:PAHAL_3G467000 transcript:PAN21450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSCLNQSLKSNKLLLRMIDGAILVRSHVDPTFYSLVGSRRWGGGGTAAPLFSRIHTSLISVWGAISRAQVEVRPQWENGAPNNASSQIKNYEITPFIVG >PAN21451 pep chromosome:PHallii_v3.1:3:60283622:60283939:1 gene:PAHAL_3G467100 transcript:PAN21451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLTHRYHGQHREAAPPGGRRDRVHGEQHPRRARARAAGPVGLRRLGVSMASRVRTSAPSPPPHVADVRVLEHGAEDVARREHGLRDLPAELLPGAAPVVSASI >PAN21480 pep chromosome:PHallii_v3.1:3:60426089:60426721:1 gene:PAHAL_3G470000 transcript:PAN21480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDQDLTVDLLPYTIHLLPSTFFFLPRPWLLHLPLPTPPLPPWPSSAPPRPDRTGLLHRPPALWRHRPHNLHQACLPPPAFVLSSVTESKPQIPTLNPNLAEDLVKGGEEACRRWKEVEEALNLWEEEEAKSGCKMEKNTQLSYTFPVLKGLLVQ >PVH62450 pep chromosome:PHallii_v3.1:3:20233845:20234786:-1 gene:PAHAL_3G296100 transcript:PVH62450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPNIRWDREGHAHTNALHWEGFPRLLWESLLIFGYDTPPRYDGYEFIEAGVPRCRVKMTIPQHPSCFLWQPITICITGHHLVDTFESAALEAIHIFCDKHPEEVAAYPIGLFPATDPRDPEWTFRISCCSHLLGDLSLETLQVLIRFMNVQHHHQLLQHRGMNQLSTLAQAQHGTITQQLDELNELHAIQNAQADLLAQRDVIINNLENQIQERETVITQRNTIVEFLQDQVQDLTIELDDAVNHINEFHEQPIPPVVPEENESEEEEEDPEEIEGESSLDSEHGDPAPNPQSNHSSSGSLSSVGNLDDY >PAN18855 pep chromosome:PHallii_v3.1:3:15001766:15002917:1 gene:PAHAL_3G230900 transcript:PAN18855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRPARKVGDVRDAPAGRENDLEAVELARFAIAEHNSKTNAMLEFERLVKVRQQVVAGTMHHFTVEVKEAGGAKKLYEAKVWEKVWENFKQLQSFEPVGDAAAA >PAN16884 pep chromosome:PHallii_v3.1:3:5995469:5996580:1 gene:PAHAL_3G093800 transcript:PAN16884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGATKVKKAAAGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNIHSVLLPKKAAEKAEKAAKSPKKAAAKSPKK >PAN18736 pep chromosome:PHallii_v3.1:3:14368908:14369855:-1 gene:PAHAL_3G221700 transcript:PAN18736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRAAHKAFLLCNYTLLGAASACIFLTLSLRLAPSPCGLLLVFLHALTAVFAAAGCSGSFTDGGAGAGRAHAAHTAGAVLTAIFQGAAALLAFTRTADFLAELRSYVREEDGEIILKLVGGLGTAIFVLEWAALALAFALRLDDDGAQEADGEYSKSWASGYHV >PVH61612 pep chromosome:PHallii_v3.1:3:5072754:5073488:1 gene:PAHAL_3G077100 transcript:PVH61612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPAERRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKITQELEEQRARAATAEYFLAALQTQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRAEEESP >PVH62500 pep chromosome:PHallii_v3.1:3:21859541:21860000:1 gene:PAHAL_3G309300 transcript:PVH62500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSKATSVELLLVTVVLLHGRLFRLKFLLGGENHLYLSKKMKAWTSLTP >PAN16742 pep chromosome:PHallii_v3.1:3:5381942:5382229:-1 gene:PAHAL_3G082400 transcript:PAN16742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSHKIVIGLFVLLLLTSSPSMLQAARMVPGDHARADQAHVKESVPASPIATITTAPPMPPSPPSGKPEMGVVAKRWGTTQVTDGSVPSPGVGH >PVH61646 pep chromosome:PHallii_v3.1:3:5573249:5578273:1 gene:PAHAL_3G086000 transcript:PVH61646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MNAADGPTPTTVVLLGCSSAATPTRQPVIPVMAAAATAGEFRLGQRVHAVGDPRRIGTVRYLGPVEGHSGDWVGVDWDDGAGGRHDGSVAGRRYFVAAGERSASFARPTALSKGMSLPDALRLRYRVEDFTKEEQDEMYVFSTSQKRVSVEFVGQNKVQEKLKNFNDLTSASVSYMGVSSIGPPEELKSLVPNLRLLDLSGNLFSQWQDISALCQALASVEVLNLTNNIMENDVTESPMLENIRILVLNNCGVTWELVEKFKVSFTCLNELHLMSNKLKMIMTPDGKFVQGFNALRLLNLEDNNIDSWDEIVKLSYLKSLEQLHLNKNRIKLVKYPSNHPSHGPLDDASAVPFENLQVLLLGSNEIDDFSSVDSLNLFPSLRDVRLSDNPIANPAKGGAPRFVLVARLGKVKILNGSEVSPRERRESEIRYVRLVMGKTQSNDQEEIKRLHPRFAELKAFHGIEDEKPTSSTSGPQKMSSGLINITLKCVGPSMGEKQPLTKKLPPTTTVGKLKSLCESFFKLKDIRVKLFVEEEGCPLPQLLEEDTASLMELGIDSGANIVVDEES >PAN18487 pep chromosome:PHallii_v3.1:3:13332245:13333353:1 gene:PAHAL_3G205000 transcript:PAN18487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQRGGSQQPNPQLPGFLASPVAAAPQLVPQACTSGTGGALSCPPAALDWASLLLPRAPGSLHDVRATTSQEQAMAGASGCSSSTAGDGDGETEAGKKGGGTRGNKKKKKPSRPRFAFQTRSENDILDDGYRWRKYGQKAVKNSAYPRSYYRCTHHTCNVKKQVQRLARDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQLLSQLQCSTNQLI >PVH62779 pep chromosome:PHallii_v3.1:3:48717787:48718647:-1 gene:PAHAL_3G395400 transcript:PVH62779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYLDIQSWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERHRHEEGPRYQVATYLAALDQLFDEQANHLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSAAAVERDAEAQPLAGGNPEDGEQGSLALSAPEEGLPRE >PVH62581 pep chromosome:PHallii_v3.1:3:25949904:25951465:1 gene:PAHAL_3G327900 transcript:PVH62581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHDEQSVVPVVTVSRRTGERRRNPGRSWIPAFRDMNRDAGCQRTSHRRLRPSPRLPLLPVILLPMAWFRPPAPCLSSSSHAAVARRRTSEISCGFRLQHDRCP >PVH61523 pep chromosome:PHallii_v3.1:3:3376915:3377504:-1 gene:PAHAL_3G054200 transcript:PVH61523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLQCCERCLQNSTGVTYSPLHQPATRAFPIGPLAYKFPTTRTSAKTNQSHLHCILPQHKSTASIRFKKEKLQGMATSGPSAAGGAAAKDSWPELVGQSSEEAKKKIKEDKPDADVQVVPADAFVTMDFNTGRVRVFVDSNDKVAKAPRIG >PAN19707 pep chromosome:PHallii_v3.1:3:19936476:19940825:-1 gene:PAHAL_3G292400 transcript:PAN19707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQRPHLLAAAAALLFLLLPVHLALGAAEGFRGFSYLLNCGAASLTTDGRGLRWEPDGPYVSGGAPGAPQLPGSGGLLDPTLATLRTFPHRRGAKFCYELPVDKNRRYLLRPTFFHGALSAAASSSVPPPVFDLIVDGTFWTAVNTTDDALAGAASSYEGVFPASGRNMSFCLGVNPDYTDAGPFISALQVIQLDDSVYNATDFRTSAMGLIARTKFGSTGDIERYPHDSFDRYWLPFPDSKHAVSSTQNVTSTDFWNLPPPNVFNTAFIAEQDAPLVLQWPPMPLQNDSYYVALYFADTLSENSRTFDVYINDYLFLKGLNVTSAGLSVFATQWILSGLTRVILKPASPFALPPLINAGEVFGLFPIGRLTHPRDALALESIKKNLQNIPEDWNGDPCMPLGYSWTGVTCNVGSRIRVISLNFSGMGLSGTLSPEIAKLTALIDISFASNSLLGRIPDLSNLSKLERLHLQENRLSGLVPGTLGTIKALRELFLYNNSLSGPVPDNLLNKQGLTYRFLPGNLFAPQPPH >PAN22114 pep chromosome:PHallii_v3.1:3:64275146:64278262:1 gene:PAHAL_3G515000 transcript:PAN22114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLIPQSLHAAVDRRWLLPLAVGSALSLLLLVALTTFPLPLPSSSSSAASALFVEHKLAPTPPSPSGAELPRIAFLISGSARDASALRRVLLALYHPRNRYILHLDAEAPDSDRRDLAAGLAAHPVLASAANVRVVDRANLITYRGPTMVASTLHAAAAFLWGHAGAGGSDWDWFINLSASDYPLVTQDDLIHVFSKLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRPFVEYCIWGWDNLPRTVLMYYSNFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTVEDLDRMVASDAPFARKFHADDPVLDKIDEEILSRGADMPTPGGWCAGTQENGSDPCSVIGDTSLLQPGRGAVRLQRLITSLLSEEKFHPRQCK >PAN21198 pep chromosome:PHallii_v3.1:3:58630790:58631768:-1 gene:PAHAL_3G449300 transcript:PAN21198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGRGKPKGTKAVSRSSKAGLQFPVGRVARYLKTGKYAERVGGGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVLPNIHQTLLPKKAGGKGKADIGSASQEF >PVH62977 pep chromosome:PHallii_v3.1:3:58187257:58187511:1 gene:PAHAL_3G444500 transcript:PVH62977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLKTLLVSRSNNLSVEFEAGAMPKLKTLRVSRCRKLEWLPLGVSAGHDRGMSSSPVEAGAMPNLETLQIEDCHLDAGKCLSF >PAN16012 pep chromosome:PHallii_v3.1:3:1453155:1453840:1 gene:PAHAL_3G026100 transcript:PAN16012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCSGLSSPVAAVALLLLLICFFHRAAAARPLPAAAVPLQLVRQEDEAKVAAADEPVLQKGAAGSGDELSVSAAEVMEAAEEPACEEGNDDCMQRRLLRDAHLDYIYTQHKGKP >PAN15665 pep chromosome:PHallii_v3.1:3:639520:641050:-1 gene:PAHAL_3G011500 transcript:PAN15665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHLRRVISLTGFTILSLSLLFITSLQAQGASNLGEEKRQSSEEYVVPVRSVVYRSRSVALPAAATTEAVGYEPFEVCEGCRCCSTSNASSCVDTSCCYSIDCNLPGKPFGTCAFTAQTCGCGGSSNCTQPS >PAN21405 pep chromosome:PHallii_v3.1:3:60000987:60008433:-1 gene:PAHAL_3G462900 transcript:PAN21405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKARMTTSDVAAEVKCLRRLIGMRLANVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGVRFHTTQYVRDKSTTPSGFTLKLRKHIRNKRLEDVRMLGYDRIILFQFGLGSNAHFIILELYAQGNILLTDSEYTVMTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFAKLKGTLTMSDNVDNNESLEITSGSTDAQEPSQSTNDGVSVTETSEKPLSRKEKRAAAKAKHLGSDAKANNGAQSNKATLKTILGEALAYGPALAEHIILDSGLVPSTKVGKDPGSTIDDNTIQALMESVTRFEDWLVDVISGQRIPEGFILMQNKMTAKKNLTPSEGDSTNQKIYDDYCPILLNQFKSREYDEFATFDAALDEFYSKIESQKVNQQQKAKEESAAQRLNKIKLDQENRVHTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVSLANEMSWEALTRMIKEERKAGNPVAGLIDKLNFERNCITLLLSNNLDDMDDDEKTAPVEKVEVDISLSAHANARRWYEMKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKRDTPIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLAAHLNERRVRGEDEALQEIEAESRKKQSNPQSDDEIASENGSDKETHEDESSRENTNIDQTNNLGLSDLSTDIGTVNSPETLAETQVEENLDNGNSSSKEETVDASVSSQLDDLLDKTLGLGPTKVSGKSSSVRSIPSSLAEDDDLEVKKLAVRDKPYISKAERRKLKKGQSTGETATDSQNGEAVETPGASQQEKGKSNTKAGSYVSEPGTSQQGKGKANTKATGSKVCQPGSSQQEKGKGSTQAANPKVSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKPLRKDKPAQDEETTAKESKPSAGEDDSSKICYKCKKAGHLSRDCPESTSEADQNDVNITRSRDGMGASTAPAGGNSAMDDDDVQEIGDEDKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFLHTPDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKQN >PVH62132 pep chromosome:PHallii_v3.1:3:13728154:13729084:1 gene:PAHAL_3G211100 transcript:PVH62132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHAVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPPLINIEAGPGDENIDEEIEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWMAVHGGCMPTRVNGKIIGNAPLSLSTLVICLGCRRAIATSRRNTSQMRCTGRY >PAN17382 pep chromosome:PHallii_v3.1:3:8289449:8293334:-1 gene:PAHAL_3G127900 transcript:PAN17382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGTVAVEVFIPVAALIGIAFAVLQWYVVARVAVNSDAGGGAGGKGRGGGSDVLEEDEEEEYGVDRLAVEARCAEIQQAISIGATSFLLTEYKYLAVFMAAFAAVIFLFLGSARRFSARPEPCAYDPARECRPALANAAFSAVAFLLGALTSVLSGYLGMRVATFANARTALEARRGVDCAFAVAFRSGAAMGFLLASSALLVLYVAVNLFGLYYGDDWGGLYESITGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGTEHNFAAMMYPLLVSAVGLLVCAVTTLVATDISEVECTDEIGPALKRQILISTVLMTGGIAAVTFLALPARFTLFDFGNDKHVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYGPVQAVARSCRTGAATNVIFGLAVGYKSVIVPILAIAAAIYAGFRLAAMYGIALAALGMLSTIATGLAIDAYGPISDNAGGIAEMSGMPHRVRDRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIKAVDVLSPRVFVGLLVGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDTIPGLAEGLATPDYATCVRISTDASLRKMMAPGALVMLSPLVAGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGMSEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGIIFDRL >PVH62609 pep chromosome:PHallii_v3.1:3:28188155:28188925:1 gene:PAHAL_3G336700 transcript:PVH62609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMIGETRLLILRPFTVVVVENLMAVIDSTQVPSRQRTGSLRSARHSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIATQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVDPTSNWADQFIGSGGSIQPGDGGGQT >PAN19744 pep chromosome:PHallii_v3.1:3:20180055:20183291:1 gene:PAHAL_3G295300 transcript:PAN19744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGGAEGQLKTWVSDRLMALLGYSQGIVVRLVLRLARECASAGGLTARLVDLGGFPSSPDTAAFAADVLGRLPPRHGRGAGGGEHRRQVRDAAALARRQGEFKLLEDGDEEEEEEEGGLAASSCASGSGKRFRNKGVDHPRGDDEEAAALSDPGRNVRRRRPESDEDTGEPDEEEEMRRDQGGRLQLERNIRARDEASTRKLMGRKPSRREQEERARRSEAMGRGGDTPELRRASRGAYLELRSKKKVEELRDEIIDEERMFGDVRRLDAEERELKRKKEIFGLVHGRASQDDGAGDYYRMPDAYDDAANVDQGKRFSVARRRHDDDGKARGSKGKAFSEQESWEDQQIRKSQLEFGAKDRGRAGDEYEFVFDDAVEFVKAAAMAGSELEDETDELGDEIDAKAMLQRELQDQRKTLPVYKFKDELLKAIAEHQIIIVVGETGSGKTTQIPQYLHEAGYTANGRKIACTQPRRVAAMSVAARVAQEMGVKLGHEVGYSIRFEDCTSEKTAVKYMTDGMLLREFLGEPDLASYGVVIVDEAHERSISTDILLGLVKDVARFRPDLKLLISSATLNAEKFSDFFDMAPVFKIPGRRYNVDIHYTVAPEADYVDAAVATVLQLHVTQPPGDILLFLTGQEEIETVEEILRRRTRGLGTKIAELVICPIYANLPTELQTKIFEPAPPGARKVVLATNIAETSLTIDGISYVVDPGFCKVKSYSPRTGTEVLLVQPISKASADQRAGRSGRTGPGKCFRLFTEHSYKEDMTDETVPEIQRSNLASVVLSLKALGINDLVSFDFVDPPASEGLLRALEDLFALGALNSRGELTKTGRRMAELPLDPMLAKAIVASERYGCSEEVLTIASMLSAGNAVFYRPKDRALIADAARQHFNAGSAGDHVALLNVYTEWERSGHSAQWCADHFVQPRTMRRARDVREQLEALLERVEIERRSCSGGDLDAVRKAITAGFFRNTAQRRRDGLYRTLKTWRTVFVHPSSGMARLDPPPRWVVYHELVETSNEYMRQVTELKPEWLLEVAPHYYQENNLDAPEPKKAKAHEQGAAVALEKPSPSLNDFFDV >PAN18592 pep chromosome:PHallii_v3.1:3:13838782:13839693:1 gene:PAHAL_3G212700 transcript:PAN18592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGIRKQHAASAAQGVQGDEGERGSKQGKGRAFSFSPLSWLAKVTGKEKPFASASSACKNGAAAAGAPPFPSCLPKRTSQSPAMAAPGRPYSPPPRRSPPDVVPQRLSVGNDNADDAAARQQCQHLRRHCSLGGDRELPPLGRLIPFSLAGSPARAASAAAPSDATDAAGAAGEPARARRRRRRRSSSRRLSVSGGRRSSSSSISGRMLPPRVRVRSPRRAPGLAESLAVVRRTRDPQRAFRESMVEMIASTRGGAGELERLLACYLSLNADEHHDCIVKVFRQVWFEYLSLLPRPDGRRRC >PAN18614 pep chromosome:PHallii_v3.1:3:13923840:13925387:1 gene:PAHAL_3G214200 transcript:PAN18614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTMSTNQALLDAQLELWHNTFGYIKSMALKSALDLRIADAIHSHGGGATLPQIGAAVKLHPSKIPCLRRLMRVLAASGILSAQSPSGGAEPVYALTPVSRLLVGSQNLAPFTAMILDPAFVSPFLELGTWFQNELPEPCVFKHTHGRTLWEHASRDATFDALVNDSMVSDSHFIMDIAIKECPGAFQGISFLVDVGGGLGAAAQAISKAFPGVKCSVLDLDHVVAKAPSGTGVQYIAGDMFESVPPADAIFFKWVLHDWGKEDCVKILKNCKKAIPPREDGGKVIIIDIVVEDGSSNSKHTETQALFDLYMTLVNGMERDEQEWKSIFFQAGFSDYKISPVLGARSIIEVYP >PVH62218 pep chromosome:PHallii_v3.1:3:15507937:15509585:1 gene:PAHAL_3G239300 transcript:PVH62218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWHIPPELHAPKSAQDKKKKERSVRSDQIRSEQGRRMAAMAAPATAASELVAKGRKSAAFLRSLLGQQPAVGAGAAPHGLQDLAEQILRCCDRALAALRAATEDAAAASSARKRRNPEQGAVSTPATSSNSKRMRLSGGERATRAEKRRTMEDGFVWRKYGQKDIHGSKHPRLYFRCTYKDDHGCMARRQVQRSEADPSVYLINYFGEHTCCRGDDVAESPAPFVINFGASTRDGQQLIRGSPWSSSCDEGGGLVVSETSDLCHSPEEKELRAGMGDAAELISEQSTPPPVPELTSMSSPEWHPLDGYLVCDDIGLGESLFDDIGEFAPLDYVGLFQ >PAN16521 pep chromosome:PHallii_v3.1:3:4239400:4241467:-1 gene:PAHAL_3G066200 transcript:PAN16521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDDDKPPTSNAGSTKGLVSIKAVKYFKDDAALTADTVTAEVEINATSSTTVREGLDLVAVLDISGSMRGDKIESLKKAMMFVIMKLTPVDRLSIVTFSDGATRLTPLRSMTPAAQNELKALVDGLTADGWTNIQAGLETGLAVIADRINTKARTANIFLMSDGEQKPGDARQVDPGKVAIYTFGFGKNTNHQLMSDIATRSPGGTFSSVPDGSTVSLPFSQLLAGLLTVVAQDVELTLTPKNDEGDVDTIVVAPGTDYKTTTDAATGVITVMFGTLFAGEGRKVVLTLTLKDVSATYNEEYDAPLAEAQHSYTAQARPRDPQVPQDIQIKRTPTPSQAPGASSKARQVQAEIARRQHAEAIRQARLLADKGGLEEARYKLVDAQNALEDIVLDSLADGQKLMDSLRAELVQLIKLMETTELYKAKGRPYALASETSHGRQRYSARGGDEDGDVRLFATPRMDTYREQAKNFEKDPSAPVPDADEDVKKEVAANPLAAISNDLAYYLRNAIEALRAIERIVAPST >PAN21032 pep chromosome:PHallii_v3.1:3:56650830:56654327:1 gene:PAHAL_3G431900 transcript:PAN21032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRVFLDKRISQEVLGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPQVLQRKRARIAEKKKRIAKKQSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAAAKASTATSA >PAN16453 pep chromosome:PHallii_v3.1:3:3897315:3899990:1 gene:PAHAL_3G061900 transcript:PAN16453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEEVANKRVVLKRYVTGFPSEDDMEVVTATASLAVPPGSTAMVVKNLYVSCDPYMRGRMTKHERPSYVPDFVLGEVLVNFGVSRVIASGHPDFKVGDLVWGMTGWEEYTLVPKPESFFKIHHPEFPLSYYAGVLGMPGLTAWAGFFDVGKPKKGDYVFVSAASGAVGQLVGQLAKLTGCYVVGSAGSDEKVNLLKTRFGFDEAFNYKKEQDLDATLRRYFPEGIDIYFENVGGPTLEAVLSNMRNHGRIPVCGMISQYNLEQPEGVHNLFQIVAKRLRMEGFVVLDYFSEYYKFEEEMAGYLKEGKITYVEDIAEGLERAPAALIGLYSGRNVGKQLVAVARE >PAN16541 pep chromosome:PHallii_v3.1:3:4312336:4315042:-1 gene:PAHAL_3G067800 transcript:PAN16541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSKKHELKSKQKLEKKLSFYTKVKDTVTSLNAKKTISKKKNQSRRQKKLKAYDLSALSEFLPEPAAPEHKTETKLNCKSRQTLVLRESAHLKAVLNSPQFQLDPFAAIHQHLLATQPPEAAKKDDAAKHGKDSKDKKRRRKKKSTSSSTQAMDI >PAN18944 pep chromosome:PHallii_v3.1:3:15389187:15393608:-1 gene:PAHAL_3G237200 transcript:PAN18944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRISASPRPCSGRRVVARKRPRQESVVSSVRKLQRREISSRRDRAFAMSATQERFRNIQLQEEFDTHDPKENSLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNERICFLNGSPDEVIRSLFFNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGQPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSVADKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSTTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSTDSSSEENAGSINISSILTGKCLAKINPGNGNSCKQKKAWKFQNTVSEALEDITALYYDEERDEIYTGNRHGLVHVWSN >PAN20129 pep chromosome:PHallii_v3.1:3:23142186:23148500:1 gene:PAHAL_3G317400 transcript:PAN20129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAARRRTPPLPAALAAAFFASKTHPPPPPPLPTPRIVDATVSRCPSDALALSFFLWCARRPGYFHPPSSFDRLLPAAARLASRLGTASALLRELQGLGCLIKPQTFLLLLRLYWRGGLYPLVLELFEQMPLWRFQPNTLARNVILDVLLRTGHLAEAECCFRDNLSPNYLTFAIVLTHLCRAGDWSRARCYFAEMLRQGFLPGSASLAAIFACCSKVGTMSELWQLLSFVHVSGCQLTSAIWTCLIARLCREGRLDDAYMMLAKMVGSGSTPTVITYTPLLKGFLHAGMHDLASELLGSMVSAGCSPDIVLYNVLMDCMAKARRYDDALGIYMQIHGSQIKPDAYTLSTLVRVLQFSSYERLLPRIPSLILRSGTSYDLVAFNSVLSALCKSGFPTEAIQFYFDMIEQNISPDSYTYVGLLHSLCQLEMVNHAINFYRSTAMRDPESNSYVHATILCILVRQGRNLMALRILREAVRENCALDAVCYTIVLHGLFQARLVEEAHRLFDQMKQLGMASNTCTYNVMLRGLCRTRDIHAVKQLLTEMERADVEMDSISFNTIVVFLVKSRLIDSAAAMIREMLNLGMKPSTKASSLLSQSIGYKFVLEDNATATVESDGSDSSSDLLVCSAS >PAN18898 pep chromosome:PHallii_v3.1:3:15199942:15201304:-1 gene:PAHAL_3G234400 transcript:PAN18898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIAATRTLSIAAAVLVALVAASSLKPAAANNDVDALTALRKGLSDPDGALTSWDPRLATYPCEWFHVTCDGVNRVTRLDLAKHRLSGTLAPELGQLDQLQYMEIYGNNIQGQIPSELGGLTNLVSLDLQDNSISGPIPATLGNIKSLKFLRVSHNRLTGPIPRELAGLPNLRVADFSSNNLCGAIPATGALKNIPPNSFANNPRLHQGGAYVPSC >PVH61677 pep chromosome:PHallii_v3.1:3:5952745:5953938:-1 gene:PAHAL_3G093000 transcript:PVH61677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLLLLLLVAAAAAAAPRLSSAVDPVGTYCAKNFTGAQTQASIAQVLASLVPRASAAYYATATAGSGSSAVWGLAQCRGDIPAPDCARCVAAAARQAASACRGQADARVWYDYCFLRYDSADFLGLPDTGYALILINTMNATDPAAFDRAQRKLMARVAAEAGDAAGGGLTRETVRFGSATTIYGLGWCTKDITAADCGLCVAQAVAELPSYCRFRRGCRVLYSSCMARYETYPFFFPVSGAAAASSHDGEYQKVVLKHT >PVH61822 pep chromosome:PHallii_v3.1:3:8461068:8463363:-1 gene:PAHAL_3G131000 transcript:PVH61822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAMAAARNNSSAGKKPRKPYKITRPREMWAADEHGRFLHALLLFGRDWKPVAQFVGTKTATQVRSHAQKHFLKAQKLGLGAALPPPKPRRGAVLAAHSPSSVRHDDNAMWLPTAATPRTMSCTDCAAPSGAAQQGASAGSRHWPSRSGGEPGGAGSAAGAMAQEDETMIQLPLSPDDPRFAQVYRFVGDVFGSGAVRPAEAELQRLEGVDPVVVDTILLVLRNLEDNLFAKNLRLGDVLTR >PAN17878 pep chromosome:PHallii_v3.1:3:10358252:10361051:-1 gene:PAHAL_3G161100 transcript:PAN17878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRQVGEALGGVHALMAFADDLRINPRQCRLLADACALAFAAVAAEVRAHLRFHERLAKWKPLEAPLRELHRAVRDAEGYVRHCLEPRDSWWARAAALTHGADCVEQHLHSLLWSVAVVMEAVEAVSEVTGSDPDELARRRLLFAKDYDRDMLEPRLFRQRLGGRYLATRELAARMDAAWKEDRWHLSQLLEERKSPASPEPLTRNEHRLADLLTSPRGKVHPASLLLHGDFHVRRRLAGNLKEVQWMGEAFAVKHFVGADADAVSAEVALLTLVAHPNVAYCRYCFHDEDKREFFLLMDELMTKDLASHVKEVNSAKRRIPLPPVVVVDAMLQIARGMEYLHSKKIYHGDLNPSNLLVKTRHADAHLHVKVAGFGPSTVTAASPRPSPRALANANANNATAANPCIWYAPEVLEQEAAKCSEKADVYSFAMICFELLTGKIPFEDNHLQGEHMSKNIRAGERPLFPLQAPKYLTNLTKRCWHGDPAQRPAFASICRVLRYVKRFLVLNPADPQAQPDAQPPVPSVDYLEIEANLLRRFPAWQTGNAAPRVSDVPFQMFAYRVVEKERTRAAILHIGRDKASDSSSDGNSLCGDESGSSLGAVLSDTEALSMSSRSTARSLSDRSSSRASPRKVDRNVTSRLAGPPQKSKSMGVVRQPQVIRRTQRIKSDGNLNSAVVPSSRRRVPGSGRGHASDSELA >PVH62380 pep chromosome:PHallii_v3.1:3:18760956:18762101:1 gene:PAHAL_3G279800 transcript:PVH62380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHSAILPGAESSAARRLPAGRLECWTRLLLLAGSGGQGGSSPAQECTFCVFWDSGHQSMGRYTERSYCLLGLENGRCSCALASF >PAN19523 pep chromosome:PHallii_v3.1:3:18734778:18738108:-1 gene:PAHAL_3G279400 transcript:PAN19523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERANVYYNEASCGRYVPRAVLMDLEPGTMDAVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEADYEDEEAAAE >PVH61891 pep chromosome:PHallii_v3.1:3:9619813:9626135:1 gene:PAHAL_3G149100 transcript:PVH61891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKGGTKVIGGGGGAGGIFNLFDWKRKSRKKLFSNSPEGAKLVRRSEETLPSGRLQLVDDDEGIGVTSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDALPTSGVPEPYCTPFRDTRSFRDNQSLKRSPEYSMNDQFSYVPRRVDGYMRKPLDFRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQSSSREKICSFSPARIPLRVSEPRESISASQRTVSQRAQSSRNTPELPDVRFSRGQQMSRSWNSEEDIVIFRPSIDSYEINNPSCSKNNKGKSISLAVQAKNNVQKREGASSGRNSGVQKEHDDHRTNQPFRSQSNHQRNKQQKKASSSGTSSPVLRQNSQKQNSLVTRGKVAPNKSVSTQQGRKVMAGDSFSGKIKNGSKVSKGGGRKDIVESITGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKTVGKLQKQVQPNVVMDEHIKWDKESKDTTDVVSFTFTSPLVKPSAGPSRLAGKWDSRSNFNLDAGCDKDDSDSKTEGPSSVGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFTAATFNLEEAPSSSCSNWGSESGVFDCSPSEVKPSQYDYCPSAQSSTKGQIFRGSKLKVEEPEECCSITNARKEQEHEDLSPLSVLEPTFLSESCWSSECSVSSDGSKVAYSSYSEVKTIPVNFLMNPPSVNIEAKTTDSASSASVDTSDISDVTQCSKKSRHTELEYVEDVLSSVNLTTDELASLFVNQDGSALDPLLFEKVENMHVYTQGKEPLGRRGYRRLLFDCVNECLETRRGTYFRAGYAAWSKGVASLSGGVETEVCNEITSWKSMGEWVEDELVDKDMSSGLGTWVDFRVEAFEAGEEVESEILSSLLDEVIGDMAVRRRQECKFVI >PVH61892 pep chromosome:PHallii_v3.1:3:9619813:9626135:1 gene:PAHAL_3G149100 transcript:PVH61892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKGGTKVIGGGGGAGGIFNLFDWKRKSRKKLFSNSPEGAKLVRRSEETLPSGRLQLVDDDEGIGVTSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDALPTSGVPEPYCTPFRDTRSFRDNQSLKRSPEYSMNDQFSYVPRRVDGYMRKPLDFRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQSSSREKICSFSPARIPLRVSEPRESISASQRTVSQRAQSSRNTPELPDVRFSRGQQMSRSWNSEEDIVIFRPSIDSYEINNPSCSKNNKGKSISLAVQAKNNVQKREGASSGRNSGVQKEHDDHRTNQPFRSQSNHQRNKQQKKASSSGTSSPVLRQNSQKQNSLVTRGKVAPNKSVSTQQGRKVMAGDSFSGKIKNGSKVSKGGGRKDIVESITGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKTVGKLQKQVQPNVVMDEHIKWDKESKDTTDVVSFTFTSPLVKPSAGPSRLAGKWDSRSNFNLDAGCDKDDSDSKTEGPSSVGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFTAATFNLEEAPSSSCSNWGSESGVFDCSPSEVKPSQYDYCPSAQSSTKGQIFRGSKLKVEEPEECCSITNARKEQEHEDLSPLSVLEPTFLSESCWSSECSVSSDGSKVAYSSYSEVKTIPVNFLMNPPSVNIEAKTTDSASSASVDTSDISDVTQCSKKSRHTELEYVEDVLSSVNLTTDELASLFVNQDGSALDPLLFEKVENMHVYTQGKEPLGRRGYRRLLFDCVNECLETRRGTYFRAGYAAWSKGVASLSGGVETEVCNEITSWKSMGEWVEDELVDKDMSSGLGTWVDFRVEAFEAGEEVESEILSSLLDEVIGDMAVRRRQECKFVI >PAN17745 pep chromosome:PHallii_v3.1:3:9859187:9861650:1 gene:PAHAL_3G153500 transcript:PAN17745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTRLRCLLLLLLVQLLLLLSAASGARWQDFLRLPSEGDGAAGTRWAILIAGSNGYYNYRHQADVCHAYQIMRKGGLKDENIVVFMYDDIAGSPDNPRPGVIINHPAGGDVYAGVPKDYTGKDVTVGNFLAALLGNRSAVAGGSGKVVASGPDDHVFVFYSDHGGPGVLGMPSDDYLYAKDLVRALKQKHAAGGFKSLVFYLEACESGSIFEGLLSKDIGVYATTAANAEESSWGTYCPGDNPGPPPEFDTCLGDLYSVAWMEDSDVHNLRTESLKQQYEVVKDRTSAHGTYSLGSHVMQYGDQRLGAQSLFQFIGTNPANDNATFGRDNSLRRYSGAVNQRDADLVYFWQKYKKSAEGTPQKAEARKRLLEVMSRRSRVDSSMELIGALLFGSDEGSRVLGAVRPAGQPLADDWDCLKAMVRAYEAQCGPLAQYGMKHMRSFANICNAGVGEDAMAKVASQACAVAR >PAN17962 pep chromosome:PHallii_v3.1:3:11153650:11156343:-1 gene:PAHAL_3G168200 transcript:PAN17962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRGQEEPAEGMGASSAPPVAPSRAAPAPATAPPPGNHAAPPEVARYHECLRNHAAALGGHVVDGCGEFMPGAGAGDDALKCAACGCHRSFHRKDDGQRRQLLLPAPAPPVTPTAPHVPLLLPPPHPYASASHPHYAPPPPPLPYLGTPSGSGGTTTESSSEERGAPSAHAAAAQGHLRRKRFRTKFTPEQKEQMLAFAERLGWRMQKQDEALVQQFCEQVGVRRQVFKVWMHNNKHTGSASRRQPQLPPQEQQSQQQPQPQQQQQ >PAN16586 pep chromosome:PHallii_v3.1:3:4586297:4589601:-1 gene:PAHAL_3G072100 transcript:PAN16586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPDSVQQAAGGEDSVQQPTDDRASSGRPARSQSGASTSGAGRKKRSQTKWPSDVKSCGRLNSEAAPEESSVLVRLARRIFENNIQPYVEYPIELHDKATKHAMKIISKAWRSYKNKLLKCWKKKENPFDKYADLTKEAWDELVEKWNTPEFQQSSEYFRGLRARNELDHHLGSAGYAGKQRKWEQEDEMLAARGIENPYESFEGRLAPFMRARSKLTEDGNINFYSTSAEEVAQRALMESSQGSNEGVREFDALTRALGTREQRGRVRGVSSQLTWKEGFPEHKGRYRKRTRDSSSKVDIDEIKKQVKMEMFGELKTIFESQGLSFPDMPGSTMSEERRDSFACTAAGASQSRGTERAIVPTSVEPDTIDGLARPTRCSLLVQLVGDSSFMEVGNGLVYPGMSQLEGVQVRADCAVLKIDYVHEFAKNIKLEVPPDDMTTTLRDAVARRVQWRRAGIHIDPADADSVPTSQPQPQSAAVPPTFSEPCPQLPDTRESLSEPHPPVPTQPQPATAPKKPSKANPVRKKQSRPMATKREISEGKKKVERIKQPVTRAYTSENPKYRVGKALLSVSELRAAGPYCMDLHKYYMQNVNQAEEIMVSFEERHFLQLEGNGNIFIVAWSDLFDLFNLDALDLSLIRCFALHMQQETRRRTGKKCGYIDPQLMTVTFMLTDRDSLVRYMVKCMRVHADKEHIVVPYNPGNHWVTLIINVRSKQVFYLDSSIPSDESGAPQIRDYSLVISILDESLDRHLRAKEGYKEQRQAAFTHHTAWTCTRQPSGNSCGFYVCHNMLLVAEKPDFTDEDDYFNQTTLGNVKDIRERLAGFLMMEVVNTKGEFHPR >PAN18197 pep chromosome:PHallii_v3.1:3:12102543:12105529:1 gene:PAHAL_3G185200 transcript:PAN18197 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFD1 [Source:Projected from Arabidopsis thaliana (AT4G30930) UniProtKB/TrEMBL;Acc:A0A178UZG0] MASRRCLLRLLSSRLVPQRSQPLAPVSIATRTLTSLSEPLAHPAPRALASPRLYYPSRCHFATRSSGDEEDGDEEEHYDDEGSEGEWGEEEEEAVAAKKPSGKTEEEKVAEAAEIGYKVVGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQTQTVIGRPILPEASVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKPETVAVAA >PAN21420 pep chromosome:PHallii_v3.1:3:60157721:60159725:-1 gene:PAHAL_3G465400 transcript:PAN21420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPSTGAPSVSALAHRELLFSSGRRTAQVNRGFWWVGVQRGTRWAHGTLDGHWVEEDRARSSTAAVAAPMMSRADRGRRREAGGGGGGTPARPGRPQAAGGRPPAKQQAEAAREIKGFFVVGRLGAEAGRAFQRQDAKPG >PAN17009 pep chromosome:PHallii_v3.1:3:6443050:6444126:-1 gene:PAHAL_3G101400 transcript:PAN17009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSDTAATAALPPGGASTPPTSPQPQQPAVVLSPCAACKILRRRCVDRCVLAPYFPPTEPHKFATAHRVFGASNIIKLLQELPEEHRADAVSSMVYEASARIRDPVYGCAGAICQLQKQVNDLKAQLARAHAELAGARAQHAHLLALLCVEVAAASSPAYCAVDYPSAQLAAAAPAAQADALYVIDGGSGSGLQLQSSPVSWADEPLWT >PAN17657 pep chromosome:PHallii_v3.1:3:9537303:9542066:1 gene:PAHAL_3G147100 transcript:PAN17657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPGDGPWPASAAGPVTAAALLAAAVIIVRLFFVPRLQPSSGTKRRGPESGASGSARLPAGSLGWPLLGETPAFILAAYSARPESFVERRRLLYGKVFASHLWGSPAVVSSDPEVSRAVLQADASAFVPWYPRSLMELMGRSSILVLGGGLQRRVHGLAGAFFKSPHLKARVTADMHRRVARAMAAWPGAAPVVRVQDEAKSIVFEILVRALIGLDEGHEMQYLRQQFKEFIAGLISLPVKLPGTQLYRSLKAKKRMTKLIQKIIQEKRKRRIAECEDPHGTHQPPRDMIDVLLGNGSDELTDELISDNMIDFMIPAEDSVPVLITLAVKYLSECPQALQQLEEENMELKRRKSGVGETLEWTDYMSLTFTQHVIMETLRMGNIINGIMRKAVRDVEVRGHLIPKGWRVLVYFRAVHLDAAVHDDPHAFNPWRWNKERADVMTGGGFTPFGGGQRLCPGLDLARLEASIFLHHLVTNFRWVAEEDAVVNFPTVRLRRGMPIAVTPRT >PAN15763 pep chromosome:PHallii_v3.1:3:394350:395874:1 gene:PAHAL_3G006300 transcript:PAN15763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVATVLAVAAVLMAVQPSEAAPEDAALRFPGRAGSRPRNPVFPGYPRARPSPRTSGSPAPPTPSAPVSPPPCPRPVVPETPLVAGFPGLPGSVGGSTPSSSPTDCVTPLAGLMTCGTFLTGSESETPTPQSECCSGLGAFLNTSSAAGDGERTLRCLCPVILGDVNKMLPKPVDPVRMMYLPIACGVVLPPQVLYICLTGQQTPPLVGRIPDVWEKPSSAALSP >PAN21992 pep chromosome:PHallii_v3.1:3:63562743:63571537:1 gene:PAHAL_3G506000 transcript:PAN21992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MSLFAGVGGGAGAADPASAGGTGRALLELTPHKIAVCHLVQVFAPPAQAGGDSVPPFPFESVAHHNRLGLFLFMLTRSCDDFREPSLEELLRQLKAVDDLTNGWLCEQLTSTLSVLNSPDDLFNFFDKLRAVLTAPEGASAEDIFLDPNSQLGVFLRCCILAFNSMTFEGVCHLLADLVMYCNSTDASYDLAEDEDFDTEMNNLMDADIGSQAGIFEKYRQGYASESHMGESTSALTCAPMSLHDFDEANNFKADDNPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMTQLQTLAPELHRVQFLQYLNALCHDDYVASLDNLHRYFDYSAGMQGLFGRSVSPMQDIVVGKYESALLCLGNLHCYFGHPKKALEAFAEAVRVSQMNNDDSCLAYVLGAISNLLSKIGMSNTVGIISSPYSLGTNIGLGTPLSIQQQLLVLLKRSLKRADILKLPSLLSFDHLSLAKFELKHVQRPLVSFGPNASTKLRTCPSDVCKNLRLSTRVLTDFGTDALSTSNDNGSFSTSWLRNLSAASDSWRSSSMKSTKLHNDFDNFHYHAQPSPVPAPVLQLAGSGYLLRATAWEHYGSAPMVRMNALVYATCFADAASSSELSLAYVKLIQQLAVFKGYSAAFCALKLAEKKFPSSTSLHIQLLRMQILHERALHQGHLKVAQQICDEFGVLSSSVCGVDIELKIEASVRRARTLLAAKQYSQAAAVANSLFSTCYKYNMQVENASVLLLLAEIHRKSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLAELWLALGSSHAERALSLVHQSLPMILGHGGLELCARAHIVLAKCHLSDPKFSVPEDPEAVLDPLNQATQDLQALEYHEMAAEAYYLKAMAYNHLGKLNEREEAAACFKEHITALENPHHEEDSLAY >PAN18838 pep chromosome:PHallii_v3.1:3:14937271:14940849:-1 gene:PAHAL_3G229400 transcript:PAN18838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAGAMKTAAPWVLACGFLLCLAGFPGAEGAIGVNYGMIANNLPAPEQVISMYKAKNISYVRLFHPDTSVLTALRGSGIGVVLGTLNEDLQRLASDPSYAASWVATNVQPFAGAVQFRYINAGNEVIPGEAAAHVLPAMQNLESALRSAGVSGVAVTTAVATAVLGASYPPSQGAFSEAAAPVMAPIVSYLSSKNAPLLVNVYPYFAYSSSGGEVALGYALLSADSSAASSVADGGVVYTNMFDAIVDAAHAAVEKAGVQGLELVVSETGWPSGGGVGASVENAAAYNNNVVRHVGGGTPRRPGKAVETYLFAMFNENGKAEGVEQHFGLFQPDMSEVYHVDFTAGSSY >PAN19414 pep chromosome:PHallii_v3.1:3:18101245:18102853:-1 gene:PAHAL_3G271600 transcript:PAN19414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEDTVGAPPTTTTTESEGTTTDDEHLSPTCACGGRGRRGGRIKILCSFGGRIVPRPHDGALKYVGGETRVLAVPRSIPFREMKKKVEEMFKTEVAAIKYQLLSLAEDLDVLVSVTCDEDLVHMLEEYDRFEAKRSPTASPRFRVYIFAPQPAAPLLSAAAPARQAGLSRLHPQHHHQHHHQHHHFQPEPYVATVPVSPDGSPPFPAQPHGAVSAGNSPRANAVYGAEPPAVFGLGMQRVRSSPNLGALDAAAQHLHQHAADGGGGGGGGRVPGYVGGSPSHAGGAGHHLLHSNSFHQYQHQYAPAPAPAPAPHHAGRYDARGYVRVGNYLAPMAPPSRPVSRGGLAPHSEMVTPKKSAIVWD >PAN21244 pep chromosome:PHallii_v3.1:3:58148398:58149380:-1 gene:PAHAL_3G444000 transcript:PAN21244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESKSAAGQTVWTASQSTFVHTFLANFIEEGLKTSTGFKKVHLNKCAEALNEKFKLNRTGDHIGNHLKTLRRRYVKINQLRSLSGALWDEEQYIISLDHEHYRNHFENPKNKGDDEYINKPLPYYGNLATIFGNSVATGQFAKSSNEPLGVDADCTAENDDNGAATVMTNGQAQSDVNDANGASCSAATRPYKKAKVVEAANESLAAVLERSTQTLANAIKEAAVANRALPEGLFSIVDNLPGFEIQDKSRYFAHLVANPDTARAFAELPLLYKISWMTMFINANF >PAN17761 pep chromosome:PHallii_v3.1:3:9917695:9918949:1 gene:PAHAL_3G154200 transcript:PAN17761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHGKPKAAGPPPPPPPPPPEARKGFMRRMFPFLLAANLFVGVYVLVRTYRKDSAKDSATDPATASTSSAGKPVEPVTVPRKELPPIPEDEQRQLYKWMLEEKRKIKPCNAAEKKKLDEEKALLKEFIRAGSLPSL >PVH62047 pep chromosome:PHallii_v3.1:3:12621101:12621730:-1 gene:PAHAL_3G192600 transcript:PVH62047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDADPAIAAAAARALVLVVTNPPCLCSSIREKKSGMNKPRGGQGKRRGGGGGLGRVLRKQVARLCIIRECVIMLLFYHD >PAN21081 pep chromosome:PHallii_v3.1:3:57599357:57600568:1 gene:PAHAL_3G438500 transcript:PAN21081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSKTWNIKNYILAALGGTLAATAIVIMVSAIFRPARISFFVTHARRSTPSGGGGVWLTLTVSANTSGQSRTQVKYESIFIDLVNSTKPTAPDRVIAKVNATAWPTGYLPSPSLVSVDASALLIGNETIEGFAGNLPNIIRGLTVVVTAHVHFRVGVPTRLYGIKVFCGGVHFTDDQDSSDATVSCHS >PVH62120 pep chromosome:PHallii_v3.1:3:13541768:13542574:-1 gene:PAHAL_3G208500 transcript:PVH62120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPWRSLFPVTAANLPPPLRPVVVRLAAGGPRQGAPARGNRCSARLWPHPPTPFRSRSGRRVCWRVRVEDLASRVLWESRISCCRPDAANASMAAEIECLCRLKLTSAGTKSNSATASPSTSTTAPAADEMNVDGGGQPRRGGREAARPRPRARVRRRPRASRARRPSRASALKRSSRPPVPGHQRRLRPPAQPAGRTSRRSRRRGRGRRGKDREDADSRMTQIYGVANVYHRGVTCYGT >PAN16978 pep chromosome:PHallii_v3.1:3:6323415:6324293:-1 gene:PAHAL_3G099600 transcript:PAN16978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAVAPAVAVATPTAGGDWTVVRRRGRRRGEAPPTACQPDAPPPLPVTPIPWVPSDPSLDPARVSRLVARAHAAISRVADSRLYRRLLLPDSPLRRRLALLAPARLSLLGVGSFESSPAARLQLALAALLRRDFLPDAASADLFDPVLSAVECAAAAELGFSVPSLDDGCRRRVEEPTLFYMPHCEASLYDALLAANWESPAQLRRVCVLGNSFRRYALQTEDNRSAPAAKAAHVLAASRFAWGERVGETGDLDDEDWFARAFNETSWHFFEVDDDVDLAACIAGGRR >PAN21449 pep chromosome:PHallii_v3.1:3:60255607:60256920:1 gene:PAHAL_3G466900 transcript:PAN21449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQNGARAAAAEAEAQGKAAAAGPRRPGAAVVVLLLLRVAALCTSAAAAALAATGGAALLGRAPFRLLLAADAIVAAYSAFEAVAAALEAAGGATLLPEPVQLWFDFGHDQGFGYMALAAAAVAARDAASCGGGGREWGSVGAGAATCARADVAVGLGFAAFAFLALAALLTGFRVACFLATGSRFTPPPSSY >PAN20519 pep chromosome:PHallii_v3.1:3:25716749:25720478:-1 gene:PAHAL_3G327400 transcript:PAN20519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMRGDLLTKTRKLVKGLAKPAPTWLKAMEEAPPVTFPRVDGKIKKIEMPEDVYVKKFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQAVSEDYAMAVADFEYRKEKKAKKKAYKELKEIARSEGKEPPPNPYPSAIKEIQAEEKKYVMDRFYNPKVIEIANKMKEERDMLLQDRVASGQW >PAN18876 pep chromosome:PHallii_v3.1:3:15109267:15110558:1 gene:PAHAL_3G232500 transcript:PAN18876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIPRKRYGSKSNAHQGGAACSSSPGKRKGKAAGGCGGKKPPIKVVYIGNPMRVTTSEAGFRALVQELTGRHADPYKYSGSGSAAVDAADDSSGGSPVGPHQAGALPISPVSTPSSDAASAAGAAHASMPAAYEDDDEDSFAPQLIDNSYSVFSPPTFLYGPHGDGEL >PVH61582 pep chromosome:PHallii_v3.1:3:4393893:4395050:1 gene:PAHAL_3G068700 transcript:PVH61582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTYFTIAPCQYVLCQNEVTMKLYLHQVVQGQPNQNQVAMVPSLQPAGFGTIAVNDWTVIDGPNPSANIVGRAKGVHIQADQANAGWYTSLLIIFEGGRFKGSSLQALGITASTGELAIIGGTGEFKMARGTIKYKIVLNTPNVESIRELNIQAFYTPEIPPAAQGAVTIVNAAGQAPN >PAN19998 pep chromosome:PHallii_v3.1:3:22295726:22297714:1 gene:PAHAL_3G312500 transcript:PAN19998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTHAPPHPTHACTAFWLAHTASRAPHTHSFSSAPTAAAPHVAAARHHLLSRRHTPNFVFFAGDSLSDTRWASPPAAPRSCDKRRLLWLRRHTPSSASRSAGSTHDPPPAPPSGDHARADTRPSELAAPSSLDAITPPATTSAPPSKPALMPIVGPLAHSRSTSRAHGRRLSLAHSRTRGVGRRKMNSTQGFGDERPERRTLCMLALY >PVH62433 pep chromosome:PHallii_v3.1:3:19918363:19918572:1 gene:PAHAL_3G292100 transcript:PVH62433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNVARHTCCLSHCAQEEELASWSLWSSFSKRHVFCTARSLMPSPPWSRRWRTFSQAIKQLEPFASP >PVH61320 pep chromosome:PHallii_v3.1:3:571087:571526:-1 gene:PAHAL_3G010000 transcript:PVH61320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLGVPRSNKQKQAHARMVLDMEKDKCPEAASQAQVCDCEASAGAFWHGSGIFAVNVHGVTHGSCALAWPPPHLAFALLGSPWWKVCLFSNGNAACCVLFGSVKQIVVGLLCSLCLS >PVH61882 pep chromosome:PHallii_v3.1:3:9554579:9558878:1 gene:PAHAL_3G147400 transcript:PVH61882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAVLSRERLFRSTSSLSRRRRGSATSAVRVCLLSPVSLPAAAAAAAAPRVALAMADAADALSARSKVQAFLEAARAGDLDSLKSLVAALDEEGTGAAAVAAAVRDANKRTALHFAAREGRTDVCQFLIDQLGLPVDPRDDDGETPLIHAARQGYLHTVKYLLDHGADPSVASSLGATALHHAAGIGNTELMKLLLSKGVDIESESDAGTPLVWAAGHGQEDAVKLLLQQNAKPNIENADGITALLSAVAAGSLPCLEVLIEAGADPNIRAGGATPLHIAADSGNIELIKCLLKAGGDPNTCDDDGLKPIQVAAWRNNHEVVELLLPLTSPIPGVSNWSVDGLIEYMLAKETEEKSELKEATSLKSGRPQPVEVSSEAKKRSLEAKLRGDDAFRRKDYLVAVDAYTQATELDPNDAAVLSNRSLCWLRAGQAERALEDAKACRALRPDWAKACYREGAAHRLLQRFEEAANAFYEGVQLEPENKELVSAFREAIEAGRKFHGVDKPNPAQ >PVH62361 pep chromosome:PHallii_v3.1:3:18327407:18329887:1 gene:PAHAL_3G274400 transcript:PVH62361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPKMLGPLPLVVALFLLASSPAGAAGAGGGGGNATSEPCPLDLGYVRTFPWDPTPCAGGAPNMTACCQTLLSLLGIGLAERLRATGRFRLPSAAASAACLDGFSELISGAPAGIPGSSLVPECFPEPNQFAITPSYCAGVSTAAEFEAAVGNDSVRALNSSCGPDLASPATCAQCYAAGVAATAHLTTAAANNSKSGSCFYLSVLYAAGVSNAAGPTYPPTAACTFGLGLSTPPSTPSKSNDAAIYAATIPIAFVLLASLLAFFLWRKRRHANSKKKKNPKICEEGSGERRSHLRPNTGSILFDIAELAKATNGFAERNLVGRGGFGAVYRGVLPDGSVVAVKKMLDPDMEGGDEEFTNEVEIISHLRHRNLVPLRGCCIADDDVEEGKQRFLVYDFMPNGALEDFIFRDKEPAAKRPPLTWAQRRSIILDVARGLEYLHYGVKPAIYHRDIKATNILLDSEMRARVADFGLARRSRDGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLLLEIMSARRVLDMTSPAGPVLITDWAWTLVKAGQAREVLDEALSTSESPRSGVMEKFVLVGILCAHVMVALRPTIGEAVRMLEGDMDVPELPDRPLPYGHSVMFSEAGSNFSASPAFSGPLAPFMDNGDMLRLR >PAN20481 pep chromosome:PHallii_v3.1:3:25036968:25041114:1 gene:PAHAL_3G323700 transcript:PAN20481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSHATAAAVATFPQSTRSSSSSRRLPGSSVSPRPPPPPRSLRLDHAAPPLSAAAPDGLLAAAIEHLEREPASAAADEAPLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGEREHAVEFVRDVLRRGGLGAGAGGKHGSADGDGERGGPVGYLAWKMMMDGDYRDAVKLVIEFKESGLKPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKDGSISKLDAESMHSIEKYQSELLSDGVLLSNWAVEEGSSEVLGLVHERLLSLYTCAGCGLEAEHELWEMKLLGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESSSAGRRKKSMSWLLRGYVKGGFILDASETLMQMLDMGLFPDYLDRAAVLTALRRNIQESGSLELYMKLCKRLSETDLIGPCVVYLYVHKFKLWMMHML >PAN19165 pep chromosome:PHallii_v3.1:3:16616515:16617456:-1 gene:PAHAL_3G253800 transcript:PAN19165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKKGAPPALLVLMALWALFAGHCSARSMTDFTSSLARGGLLATGGALMSSSNACDGCEPCICVPQTHSCICTDDTGIYPMAHGNEPGPAPPIPQADGVLEMPTSDDGHY >PAN17415 pep chromosome:PHallii_v3.1:3:8392823:8396803:1 gene:PAHAL_3G129900 transcript:PAN17415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLARRRAADALLRRPQAAAWASALRAYAASGEESDVVVIGGGPGGYVAAIKAAQMGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEIDLPAMMAQKDKAVAGLTKGIEGLFKKNKVDYVKGFGKFVSPSEVSVDLIDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALQEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSVLDADIVLVSAGRTPYTAGLGLDTIGVEMDKGGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGIAYQVGKFPLMANSRAKAIDDAEGLVKVVAEKETDRVLGVHIMAPNAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTYTKAIHI >PAN18400 pep chromosome:PHallii_v3.1:3:12983897:12988480:-1 gene:PAHAL_3G198500 transcript:PAN18400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEAAARAGVEESIGRRRERDGRGAAAGLAAGRVWDFERDLVAGAVMGGAVHTVVAPIERVKLLLQTQDGNAALLGRGRRFRGFADCVARTVRDEGVLSLWRGNGTGVIRYYPSVALNFSLKDLYRNILKDAGTSADNKLASIALTNFFAGAAAGCTTLVLIYPLDIAHTRLAADVGRTDTRQFRGIRHFIQTIYKKNGIRGIYRGLPASLHGMVVHRGLYFGGFDTAKDVIVPMESPLWQRWVAAQAVTSMAGLISYPLDTVRRRMMMQSGMEVQMYSSTLDCWKKIYRLEGVRSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >PVH62194 pep chromosome:PHallii_v3.1:3:15164791:15165405:1 gene:PAHAL_3G233600 transcript:PVH62194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPPPTHRHTLPSETRTRPDAGKYPTHPSPPVDARATATPPGGGVGWGALRWRAGPAAAASLPMPSALPGVRCARSLHLEPVCLVAFPRRVPALLLPSFSSFHLDHPPRHRHCRPAALEARTSGARRPKPSPSCCGGCPWLAPPTAIRQGEAIPWCYLPPSSGPASGFRRCPPVTPPLTAFRGGRCTLRLLRSRAWIRSPAA >PVH62936 pep chromosome:PHallii_v3.1:3:56854122:56855270:-1 gene:PAHAL_3G433700 transcript:PVH62936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGHYSRRRVFRFGPQNPGGVSKGSAAARGEILKVESSLQIDSSQLTRERARSARDLRRGRRPLSVLRRCLRQARRTTAPAAGARAAGNAHAALVLPAGQAGWCKGRAAQLAAQLAGNCWSRPADMFVQPRWGGACRPARAAAAAHGGGKQARQQAAWHSSSRSRQGLQQLATNSVCIFSLLKLFIFRSHV >PAN15663 pep chromosome:PHallii_v3.1:3:649219:654103:-1 gene:PAHAL_3G011700 transcript:PAN15663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATGTGCSARTLAACVIGGIVLGASVLALHLAGPVSVPSLPPLDAVRRRLRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDEEIKANKGPPVTPLHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFNEYSIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSDAHNHSSLQRQFSSGHGQKVDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDYAKEDSNPYAVPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >PAN21882 pep chromosome:PHallii_v3.1:3:62775346:62778582:1 gene:PAHAL_3G497200 transcript:PAN21882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVPRQVALAAAASSSQPRAAAAAAMAKEEGKKEKKSKSKAAAKETAAAAPDGRAAVVASVAAFLEAGGFPRTLAALQSEADLEAGAWRASPVNLEELVAKFLDLSNPTPLAVSVGSDEQGKTNNDVAEDGGKKKKKKTDAEAGESENKASEPSAQEKPSEDAGGEAKEKKQKKKKKDDSSAANAGCDEATEAVKNDDQKKPDGKKKKSKKHDKDDDVEARLEKVELAIKNKFEAAEKLNGDGDKSKEEELKSQNDDAGKNNGAVEKKRKKKKDKSATETSEKTDAGAVPADSDAAKGKTDAVETVKDDSEKKAKKKRKKSDPEENLQVEGKEVAGKDSAPKPEDENKSGMEIEEGDNEKLSNENAVTGKKRKLEEVNGSSPPATAKEDSTANQSLTNGFAEDKTNQDSNIKPSKRQKHSSEPKTVNAFQRVKLEDVKFADDRLQDNSYWAKGGAETGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFDNSDDE >PAN19304 pep chromosome:PHallii_v3.1:3:17412080:17414277:1 gene:PAHAL_3G263000 transcript:PAN19304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSFGSLSRRSFEVTIFGLSGLTGHHRGKSQSTVHELRDTDLIIQESRWANLPPELLRDVIRRLEASESTWPNRKNVVSCAAVCRAWREMCREIVLSPEFCGKLTFPVSLKQPGHRDGMIQCFIKRDKSKSTYHLYLCLSTAVLTENGKFLLSAKRNRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFMIYDTQPPYDGAVVPHAGRTSRRFNSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASAVEPGGIVPGQPEQILPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFSSVRDFSSARFSDIAGGTMVGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAASSQPPAAGAPTPSQPAPPDQDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >PAN17846 pep chromosome:PHallii_v3.1:3:10213368:10217440:1 gene:PAHAL_3G159000 transcript:PAN17846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPETSHFTEPFCGPAFVVAGVCFHQICAATWTDEHQENACRRAGFHSQPGGSSLELGRPRCQTSFTHSLASTPAAMRALRPRRQLRCTHSFAGRVLQATGSKWREVVSGLLLLCPFFCFKRGKNMREGKKEHAKR >PAN17856 pep chromosome:PHallii_v3.1:3:10248847:10251614:-1 gene:PAHAL_3G159600 transcript:PAN17856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] MAAQAISLSLPTPPTHRHHAPPHHVKPPPPSLQHARAPPPHLLKVSEELRGVDSFRDGRLLVSLLRQCAELLRGAQDAECVAVSRRLAPQLHSLAVRAGRARDPHVACALVDLLARLGRGASSRRLLEEAAGAEDGKDAVLWNKHVAMLAEAEEWGEAIAVFGEMQARGVPADGYACARVLHACGRAGALREGKAVHAHAVKAGHVDAHPLVPGFLAGMYAENADVAAATRVLETTEAAAVAWNAVLACCARLGLVDDALELAERMAWSGQEPSLATWNTVLSGCSRHGRDREAFGVVRSMLEQGLLPNSSTMSSLLKSVANLGQLAHGMEAHCFFLRHQLEPDVYTGTAFVDMYAKCGRLDYAQKVFDALELRNMTTWNSLVSGYANAGQFDNALKLVEEMKMSRLDPDITTWNGLITGYSMNGLSSQAVLLLRQIKAIGLTPNVVSWTSLISGSCHNGDYEDSFYFFNEMQKDDVQPSLVTMSVLLRACAGLALLKKGKEMHCFALRRAYDHDMVVRTALIDMYSKAGSLTSAKRIFESIQKNNLVSCNAMLTGLAVHGQGHEAIALFHDMCNSGLKPDSITFTALLTACRSMELITEGWEYFDSMESRYGVTPTVENYACMVDLLSRCGYLDEALDFIKKSPFKSAASLWGALLTGCTVHGNLALAEVAARKLFKLEPYNSANYLQMVSLYEHEQMFDEAESLKYAMKARELDSRPGWSWIQIEQSIHVFEVEGKPHPDTAEIYEELIRLFFQIRKAGYVPDTSCIVYNVPEEEKEKLLLSHTEKLAITYGLIHSDASRVPIRVIKNTRMCNDCHEVAKHISALCDRQIILRDADRFHHFTGGKCSCNDCW >PVH61277 pep chromosome:PHallii_v3.1:3:278504:282452:1 gene:PAHAL_3G004000 transcript:PVH61277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAHRNNKRRRLSLAPGPTSATAPPLDSLADELLFLVLDRVAAADPRALKSFALASRACHATESRHRRLLRPLLADLIPAALARYPSASRLDLSLCARVPDAALAAAPSGSSLRAVDLSRSWGFGAAGLAALAGACPDLADLDLSNGVHLGDAAAAEVARMRTLQRLSLSRCKPLTDMGLGCVAVGCPDLRELSLKWCLGLTDLGLHLLALKCKKLTSLDLSYTMITKESFLAIMKLPNLQVLTLVGCIGIDDDALGSLEKECSKSLQVLDMSHCQNITDVGVSSIVKSIPNLLELDLSYCCPQVTPSMGRSLQKITKLRMLKLEGCKFMADGLKAIGSSCVSIRELSLSKCSGVTDTELSFAVSKLKNLLKLDITCCRNITDVSVAAITSSCTSLISLRMESCSHVSSGALQLIGKNCSHLEELDLTDSDLDDEGLKALAGCSNLLSLKIGICLRISDEGLMHIGKSCPKLGDIDLYRCGGISDDGVIQIAQGSPMLESINLSYCTEITDRSLMSLSKCTKLNTLEIRGCPRVSSAGLSEIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLTAALMVCGGLTKVKLHEAFKSMMPPHMLKNVEARGCIFQWINKPFKVEVEPCDVWKQQSQDVLVR >PAN21455 pep chromosome:PHallii_v3.1:3:60310098:60311568:1 gene:PAHAL_3G467800 transcript:PAN21455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATGKLLFLGFLLLAAASSTPAAATTLTLHNLCPYPVWPLVTPNTGFPSISDNTGRLDGGGRGLVSLRFPPGFWAGRVVARTGCGSGSGGSAGAACETGASPPATVVQLAVHAEGRDLAAYSVSLVDGFNVPAVVSPQAVGGGQCPALGCAADLNAGCPRAQRVVGGRGDVVACRGPAGYFKERCPLTRTTPADVEPVPQRCFGPGELKVVFCQPAMVNAAAAGETTGRIRTVVADN >PAN16741 pep chromosome:PHallii_v3.1:3:5377829:5378487:-1 gene:PAHAL_3G082300 transcript:PAN16741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHAAIAIVTSLLLLGSSQSSLVHARMMPRDHSYVQHAMESSSSSPSVSQDLLPVFTAPPTPPLVLTDKPEIATAKRRQIIQVVADGSVPSPGVGHHN >PAN16237 pep chromosome:PHallii_v3.1:3:3019476:3023693:1 gene:PAHAL_3G047100 transcript:PAN16237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Replication factor C subunit RFC4 [Source: Projected from Oryza sativa (Os12g0176500)] MAPLVPMSQPWVEKYRPRQVKDVAHQEEVIRVLTNTLQTADLPHMLFYGPPGTGKTTTALAIAHQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTTRKAGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSSRIMHICNEEGLNLDSQALSTLSAISQGDLRRAITYLQSAARLFGSSISPTDLISVSGVIPEDVVKSLLAACRSGEFDVANKEVSNIIAEGYPVSQLMAQFLDVIVSTDDIPDEQKARICKKLGETDKCLVDGADEYLQLLDVASETIRALFNMPQTLVF >PVH62050 pep chromosome:PHallii_v3.1:3:12642441:12642855:1 gene:PAHAL_3G193000 transcript:PVH62050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLQTWECHVGPSLLLHLPEVVLLLHGPRSSSWPFCSKVPTTVAGMAVNCIYPRF >PAN21284 pep chromosome:PHallii_v3.1:3:59122704:59126599:1 gene:PAHAL_3G455200 transcript:PAN21284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTMAVLARTAAPLAGTGRRPSGSVRPSASLSFAAATKSRGRLGVGLSARSGRAAGARRAVPRGIVASAEVEQTYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCSKDLAQEHYKDLKDKPFFPKLTDYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCQWESVQTPWLTE >PAN20686 pep chromosome:PHallii_v3.1:3:31217445:31221792:1 gene:PAHAL_3G345200 transcript:PAN20686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANAISTASLLRPLSQGRARRARNGRLQRFVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVQGLIQELENRARPVKGGGDIKAVAAISAGNDEFIGSMIAEAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELAETDSIYDTEKLAERIAKLAGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTIVPSIKEKIEDPDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKVKESEWEVGYNAMTDKYENLIESGVIDPAKVTRCALQNAASVSGMVLTTQAIVVEKPKPKPQVADPAEGTLTV >PVH62752 pep chromosome:PHallii_v3.1:3:45528714:45529019:1 gene:PAHAL_3G387000 transcript:PVH62752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHLCKLGFMPNCGRWYEHGESQEQEAYILVDSFEENKDRMDAMMDDFVQQVENAAEVSEYFGLLASSKEPLHGATTLSQLAAVTRLMAIKSKYNFSVSC >PVH61396 pep chromosome:PHallii_v3.1:3:1354372:1358156:-1 gene:PAHAL_3G024400 transcript:PVH61396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVSRFLGSSEPAVSMKLADLGHLAYSHTNQALLRPRSFAAKDEIFCLFEGVLDNLGRLSQQHGLSKGANEVVLVIEAYKTLRDRAPYPASFILSQLTGSYAFVLFDKSTNSLLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVESSTVLTAPH >PAN17926 pep chromosome:PHallii_v3.1:3:10971071:10971708:1 gene:PAHAL_3G165700 transcript:PAN17926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRKREWLRKKLAMAAPTGGRGQQQSPSPRWTLRVRALSAALRRRRLGTGGLPRVDFFQILYENVVFHLLWVIESIIVLAKLCFFFLRFGFRL >PVH63077 pep chromosome:PHallii_v3.1:3:60336689:60337779:-1 gene:PAHAL_3G468200 transcript:PVH63077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLHGLPLWKHWYACKIGCRPWYLVPPLPPSLATSFRSMK >PAN21257 pep chromosome:PHallii_v3.1:3:58892704:58896898:-1 gene:PAHAL_3G453300 transcript:PAN21257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEQGVKKEEEEGQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDAALVDRVARLTGRPPHVFLRRGLFFAHRDFSDILDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKFYWKNLTVEESKRLAHENAKDIIACGFDVDRTFIFTDFGYVGGAFYENMAKVARCVTYNKAVGIFGFSPEDHIGKCSFPPVQAVPSFPSSFPHLFPGKDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANSAIYVTDSTKEIKTKVNKYAFSGGQDSIELHRKLGANLDVDVPIKYLNFFLEDDDELEHIKKEYKEGRMLTGEVKQRLIAVLSELVARHQRARAQVTDEMVDAFMAVRPLPKMFS >PVH62031 pep chromosome:PHallii_v3.1:3:12354873:12356496:1 gene:PAHAL_3G188300 transcript:PVH62031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIYYTGLARIQTSKRIFFQNPTLWSAASRSQNPSLAAMAASILRSGHRLFLRRQRLSAAFSTASAEELVDVRKLPTDYDPSTFDPSSPSRPPPSDRVWRLVENVSSLTLAESAALSSLLLRRLDIPSAPPIAILNSAAGLGGGGATAGSGGEKAAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEAEAIVERMKAVGAKVVMD >PAN16614 pep chromosome:PHallii_v3.1:3:4548561:4551360:-1 gene:PAHAL_3G071600 transcript:PAN16614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVRKFVDSYDDDAGDAQDAGCVRAVLAELVLTFLFVFTGVSASMAAGSGGKPGEAMPMATLAAVAIAHALAAGVLVTAGFHVSGGHLNPAVTVAMMVRGHLSKLRTVLYVAAQLLASSLACILLRYLTGGMVTPVHALGVGIRPMQGLVMEVILTFSLLFVTYAMILDPRSQVRSIGPLLTGLIVGANSLAGGNFTGASMNPARSFGPALATGDWTHHWVYWVGPLLGGSLAAVVYESLFVVNKTHEPLLNGDC >PAN21293 pep chromosome:PHallii_v3.1:3:23329805:23330479:1 gene:PAHAL_3G318700 transcript:PAN21293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSNGRKTFTKGTVMRWEMKIGSFSLNLLMSSLMKEVNWGSNQSATVWFFDKRMGEDVRLNNEIQIIDMFEMYKFEMTCAIMVGIFDKVVVESQIEQELDDLTPLYVLPPLDDAPPVVPTSSAPNPNTTSRHPGPNTACTEYECAGVSASHAPTAKEADASMPDPFDNEEGYVGVDDEHIYMPTPPAPPPTQPTQLADNESPPFPNPEVEVNDADLEELHVLH >PAN21636 pep chromosome:PHallii_v3.1:3:61467411:61469510:1 gene:PAHAL_3G481400 transcript:PAN21636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRECRMSKMIHQWCESCRKWQEHCYLKHMGASKVRFFKLMTGDFAQSISIPRKFVSNLNGQITKGLNLKAPSGETWLIEVAKNADELLFMSGWDNFAKANELQENDLLIFTRSGKYSFDVQIFDASGCEKVPCFFTSKKGPCMHKHLDGIVDQHAEHCILSDSDDLRMPLRLIGSPHKASTSKKMSGKTKPRKEPESPSSGSYHIKPEPISNEEQSGDRLFDSNYYYSRSASNLTGDERDQIFGLASIQPGNPAFVAVLHKTHIGHKNNLLTIHHGFAADHLEGRSHDILLLRPKRKDKWYVRYYHASHTRGFNCRRWVKFIRDNRLRKDHICIFELMKGARMTTMVVHVLRKVDGRLVLVA >PAN18472 pep chromosome:PHallii_v3.1:3:13280265:13283225:1 gene:PAHAL_3G204000 transcript:PAN18472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGSETDSVASRRSKKPKYSKFTQQELPACKPLLTPGIVIGAFSLIGIVFVPIGLASLSASQDIVELIDRYDAECVSANDKVGFIQDTKTDKACTRKITVPKPMKGPIHIYYQLENFYQNHRRYVESRNDEQLRMKESASLVTNCEPEATSQDGAPIVPCGLIAWSLFNDTYSFSLNKKAVEVNKKNIAWDSDKSTKFGSDVFPSNFQKGGLIGGAKLNEKLPLSQQEDLIVWMRTAALPTFRKLYGRIETDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGRNNFIGVAYVAIGGICLLLAMGFVVLYVLKPRTLGDPSYLSWNKENPDNPN >PAN19945 pep chromosome:PHallii_v3.1:3:21909165:21910185:1 gene:PAHAL_3G309500 transcript:PAN19945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGVMASSATSVAPFQGLKSAAGLPVSRRSSRAGFGKYVSNGGRIRCMQVWPTENNKKFETLSYLPPLSTEELLKQIDYLIRNNWVPCLEFSKVGFVFRENATSPGYYDGRYWTMWKLPMFGCTDATQVYAELEEAKKAYPDNYIRILGFDNVRQVQCVMFLAYKPPSSE >PAN21292 pep chromosome:PHallii_v3.1:3:23322738:23327598:1 gene:PAHAL_3G318600 transcript:PAN21292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPRRKGGAGPLAVGVPSRRAQVAAVFALAALLGVSVLYDSAHIAASLRRHGAGPRAYAKLASDDGAAAAAVSSASAAREEAPAVEEETARAPPAKGVESAPVEGTDRPDPPPHQQQAEKADTEAEAVAKPGATAGSSLQDAPLIEEVVQGGGAVHDGGGAGVARQEGACDLYRGRWVYDEARAPLYKESGCGFLTEQVTCMRNGRRDDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQAEAPWDKKSLVKNGSLNVFRLQEYNATVEFYWAPFLVESNSDDPDIHSISDRMIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPQMKTVHGGSFSRKHVKYNEIERVDAYRKVLKTWSRWVEAHLDPKRTTVLFMSVSPVHMQSEGWGSPNAIKCFSETQPAINYTKKLEVGTDWDLFAIAQRVTRSMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLVAEQKANPRKFADCIHWCLPGVPDTWNEFVYGHIVSTLPPQQMPGEQPHR >PVH62233 pep chromosome:PHallii_v3.1:3:15764275:15771085:-1 gene:PAHAL_3G243000 transcript:PVH62233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSPPQSPAAAFKPRLFSPRSRLGASCKPLTPTTASSSPRRSVSACAASRRGFLLIGPSLAAASAVLRTLPSAAAESDDADTPSTPAPPDDELPSPSTQPTAEAEAQPEPDESPMSRVYDATVLGEPEALAGDARGRVWEKLAAARVVYLGEAELEPDPDDRALELEIVRGLAGRCADAGRGLALALEVFPCDLQQQLDQFMDGRIDGRILKLYTSHWPQELWQQYEPLLNYCRDTGIKLIACGTPLEVKRTVQADGIRALTKAEREAYAPPAGSGFISGFMFSSGRSLIDKISSMDDSLFGTTSYLLEQTRVVDDYTISQIITKELNDGDRSRLLIVVTGASHVMYGPRGSGVPGRISKKVPKKDQVVVLLDPERQVIRREGEVPIADFLWYSAAKPCTRNCFDRAEIARVMSAAGRRPEALPQDLQKGLDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISILQFADDGSGQSLEFVRGLLGSLPDNAFQKNILGQDWSIKQRIAAVLVGGLKLASVGFISSVGAGVSSDLVYAARGIVKPSETVEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGESLVTYYNQPLIAGLLSFVARTLNSYWGTQQWVDLARYTGLQKIEEKPPSGEATTPPESAHLDGCTTEGYNLDDSSNNTNGSSGPS >PVH62098 pep chromosome:PHallii_v3.1:3:13358901:13360495:1 gene:PAHAL_3G205500 transcript:PVH62098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTVVLYPGLAVSHLVPVVQLADALLEEGYAVVVALIDISNEQNIDFAAAVDRAAASKPSVTFHTLPRIKDPPSIAYDARFILGYFELVRRYNKHLGELLCSLPPGSVHAVIVDALSNEAIDVTREMGIPAYTFFGWSASALAASLQLSLIPTEGQLSFKELGDSPLDLFGVPGPCRPPVSSMNSSRTRRARYTNRW >PVH61795 pep chromosome:PHallii_v3.1:3:8073667:8077136:-1 gene:PAHAL_3G124600 transcript:PVH61795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLYTDYIQDSDASLELDRYLEDDAAPGTEVFDVMDWWREHGIRRYPTVAEMARNVLATPMCGRLPSEKMAHVSSIVRGYTQEKHIRSEEEEAVDNDAEQQETPEVVDGDLDEEILYMRALIVKQRGMLDDTRRRMKKAYRSMEKRIISLEREVRSGRQRQAAFRSNTGTSSGVPADNDEPVLKNSQEYAKTAGKDTSPEKGTRKRKKLTDQSDIQIRPPSEKMFKPIKDKNDAYNYYQTPISIQDFRCTEQVKATMEYIRSSGDEQILVRTTRIDNLFLEAKCLKEITQQNAWLENHIIDAYTAIVLQPRFEDSRFLCPVHYSWIASNYSSVSQRVIQDLANTIFAYDKVFMPFQVKQQHWIVVVIMNKEEEFQILDSSWKLQDYEPEIKKLIHGIAYIAKTVPTAPQNVGSWNIIQKQNVPKQTDGSSCGLYIIKYMELWNGSRLLKEFTQADIDNFRKEMAAQLIFAEANEALGVKEAVEALMSREDADS >PVH61507 pep chromosome:PHallii_v3.1:3:3158496:3158789:1 gene:PAHAL_3G049400 transcript:PVH61507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IWAKRFLPLGGKFLYVGLAAICWALWRTRNNICFDNKVVRSPTEIICLASSFIPYWAELQPEGDRQKLEDGAALKEAALHFHPHEAQAGDTGVVLLH >PAN22006 pep chromosome:PHallii_v3.1:3:63618274:63619161:-1 gene:PAHAL_3G506800 transcript:PAN22006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAASSVLLLLLAAFTAGAGAATFTIKNNCGYTVWPAATPVGGGRQLNPGQTWTLEVPAGTQSGRIWGRTGCSFNGAGRGRCATGDCGGALSCTLSGQPPLTLAEFTIGGGGANDYYDISVIDGYNLPMDFSCSNGKNLHCGTPRCPDAYLYPSDNSKNHGCRGNSNYKVTFCP >PAN21798 pep chromosome:PHallii_v3.1:3:62088900:62090322:-1 gene:PAHAL_3G489000 transcript:PAN21798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTLLLIVVVAGAAAAHGHPATDTPVAQFWEQALPGTTMPEAIADLVKKGIDHSPLVEHYFALPRISVCTLFSSACNPRTVAETGIFFHEALLLPGSAMTLSFPAEAEAAILPQDVAGKVPFGSLADVLATFNIPAGSDEAAQVRDTLRRCQEPPVAGEVKACATSLEGTVRSAMGMLGTVNGQGVWAATSTLPRSGLPRQPYVVRAVAPLDGDRYVSCHRVPFPYAVYQCHAAGNGYRAYVVSLRGLRGGPAASMLAFCHVDTSSWNPAHPAFEVLNTRPGGTPVCHFMPYGDVAFVKKAGRAY >PAN20210 pep chromosome:PHallii_v3.1:3:37004933:37009368:-1 gene:PAHAL_3G360800 transcript:PAN20210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWNSVGLEVMYQVLGWVAFFAWSFSFYPQVLLNYRRKSVVGLNFDFLVLNLTKHSSYLIYNASLFFSPFIQRQYHDKYGDKEMIPVAANDVAFSLHAVALTAFTVFQVFIYERGMQKVSKVCISITAIVWTAAIVCLIIAWPKGHWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQRTLVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPVKKDEYGKAIISERVAPLIRPSDKPEEDNV >PAN19428 pep chromosome:PHallii_v3.1:3:18019039:18022228:1 gene:PAHAL_3G270700 transcript:PAN19428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETKQEVAVTEAEKKPEEKPAPAAEETAAEAAVEKAVEPEEKAAEADSEEEKKAEEALEAAAGDEAAVIDGAGSFKEESNLVSELPDPEQTALAQLKELVAAALANGEFDLPPPPPAKEEPKKEEPAKEEAKEEAAPAKDEEPKAETAAAEEPAKEEAKPAAEAPKADAPAEAAAEEVKAEAPAPEEPKAEAAPAEEPKAEAAPAEEPKAEEPAKEEPKAEAASEEAKPSEPEEKTVVVAEEEGTKTVEAIEETVVPAASEPAAAAAEAEAAPAPAAEPKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIDELLGDDLGLPELENVVFYRGADREGHPVCYNVYGEFQDKELYEKAFGDEEKRERFLKWRIQLLERGIREQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFVAKKVFINVPWWYLAANKVMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKETIEIPATENSMVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPAHEEPIMKGSFKVNEPGKVALTVNNPASKKKKLLYRFKVKSTAESA >PAN17825 pep chromosome:PHallii_v3.1:3:10116479:10121314:-1 gene:PAHAL_3G157400 transcript:PAN17825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHGRDQAGLASPGWAVEQVTPLPCLADSLVVILPLDEPVQVINDGLLQLEVHGKRVEGRWVVEVHRRPDVGALVHVYLESSLLLPHGLGRSHDEREVRVEGFLLVLLVEGHFKGVGLELLAVAAAAGVACGDAAVDVAPPELGAVVHVGHLLAVRHLNCFLLSTTSCTLQI >PAN18162 pep chromosome:PHallii_v3.1:3:11984119:11989921:-1 gene:PAHAL_3G182900 transcript:PAN18162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVSGEVVRRDGVAEVGTGTEGEEDRWARLLPELVAEVVRRVEASGGERWPALKDVVSCASVCRRWREAAATVVRPLPESGKITFPASLKQPGPKDFPIQCFIKRNKKNSTFYLYLGLRNNLTVAMDKGKFLMAAKRFRWGAHTEYIISLDADGLSQGNRAYLGKLRSDFWGTNFKIYDSQPPYDGAKASSTRSIRRFGSRRISPQVSSGNFDVGQVSYKCNLLKSSGPRRMFCAMECPSVQETWENSLKVKSLRRTGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADPSHPDSVGDEETVLLQFGKVDSDFFTMDYRQPLSAFQAFAICLSSFGTKLACE >PVH62991 pep chromosome:PHallii_v3.1:3:58528120:58533944:1 gene:PAHAL_3G448200 transcript:PVH62991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIHGAGRLQTTDTPSRNVQNSERALHPTTSAMSAAPVPAPAPPPVDPVAAGEMAREAAAWCALHGLVVGDRADPRSATVPGVGLVHAPFSLLPAHLPESIWRQACELAPIFNELVDRVSRDRKFLQDSLSKTRQVDDFTSRLLEIHKKMMEINKDENIRLGLYRSDYMLDLETNSLLQIELNTISTSFPGLGSLVSDLHRTLINQYGHLLSLEPKRVPGNAASSKFAEALARAWAEFNVDSAVVMMIVQPEERNMYDQYWLTKYLRESYGITTIRKTLSQVEAEGQVLPDGTLLVDGKKVAVVYYRAGYTPNDYPSETEWSARLLIEQSCAVKCPSISYHLVGTKKIQQELAKPDVLERFLENKEEIAKLRKCFAGLWSLDNEEIVKTAIEKPELFVLKPQREGGGNNIYGLDLRETLTRLQKEGGDALAAYILMQRIFPKASLAYLVRGGICHEGLVISELGVYGAYLRNKDKVVINEQSGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDK >PVH63083 pep chromosome:PHallii_v3.1:3:60398663:60399326:1 gene:PAHAL_3G469400 transcript:PVH63083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNIIRHAHGRVALISAGSCATGPAPCAAASPLPLPCVVLCVVVRALASSCELASRIPRP >PAN22068 pep chromosome:PHallii_v3.1:3:63879600:63880505:1 gene:PAHAL_3G510500 transcript:PAN22068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHRRCARTLLLPVLLLLVAAAVAFAARDLDAANPLPTPAAGTKPDPAYHGGYGGAIPGIPPGSFYPRGPGSNGGYPAGGFGGGGGWGQGAGYGGGGYAHGGVEVPAVVCQEKGPCYGKKVACPKRCFWSYSRSGNGYGAGGGGGSCTVDCKAKCTATC >PVH63129 pep chromosome:PHallii_v3.1:3:61255511:61257432:-1 gene:PAHAL_3G478900 transcript:PVH63129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFQLNAEVNGDVVQCIGSVWRQLLVGGGGGGGGLRNRVSLSLLAPRPFLGFSPQLKVILSTESRTFERIHCFTLSPQAPSQASRP >PVH62016 pep chromosome:PHallii_v3.1:3:11986482:11987844:1 gene:PAHAL_3G183100 transcript:PVH62016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACLGVDPLQREAAGAQRRPGRGATGLLQDPAPLPSSSAASCIPRRLESTTRHATAPPPSFSSANAWRRRSRNGSQRRSLIHSSVPAKSASLPDESRSADGTPAACRVPASCSIGVRWPWNGSGNISTRRRRPPPVPTGDTASAVARAAQELALRACSLGAPRRRRRRILRFGEARSSLKRCSMYMYK >PVH62196 pep chromosome:PHallii_v3.1:3:15194836:15198195:1 gene:PAHAL_3G234300 transcript:PVH62196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLLLNLAVARPIPCYGNNTRLTPEQEETVFVLSCATSGIPVYVHMMKYWNVTKRGTMALPREYCQHFLAPRLGSNMGEIKIFFGNNLTSSEVKFSTREKHFRLLKGWPDFVKNNCIEEGNKYAFTFEEEKEGGPLLW >PAN17916 pep chromosome:PHallii_v3.1:3:10927341:10932923:-1 gene:PAHAL_3G165100 transcript:PAN17916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEQRKKSSAEAEFFTDYGDANRYKIQEVIGKGSYGVVCSAIDLHTRQRVAIKKIHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVSFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLEKLLAFDPKDRPTAEEALRDPYFKGLARVEREPSCQPIKKAEFDFEHKRMSKEEIRELIFREILEYHPQLLNSYINGTERTTFLYPSAVDQFKKQFSHLEESGGNGPSVPMDRKHASLPRTTVVHSNPIPAKEQPLAASSRVRPVSDDSCKNPWEKERGPGNVPRASLPPQGLQAQAGPGRVNGSVMNSGYPSHQQIPQAYGYHQMPARLDSTNQSQAMGGYTLHSQAHACANSKGTSDVAVNMRAPPFHVPAGPKNNPLDRIASGTDIYTRSLNGIVAAAAASVGTGTGTHRNVGVVPSGMSRMY >PVH63114 pep chromosome:PHallii_v3.1:3:61122571:61123443:1 gene:PAHAL_3G477500 transcript:PVH63114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPLIRSPNQFIRKMNSDALSEAPRPRRARRLLAGDRPPGHAVLEEPRRCPDRRRLLARRLLDRRRGRVDPEGVHERGVRLQRQPDDHPLRVVGALGGAALPLVRVLVAAQRLRAEELAQAEVAGEHLVRVRRRGRRRRGGGGGGLGAGGARRRRCRFRRLARAQLEVQPRRAVVVLLAGCSSWMVHQREFAVCFIKEDEVGGAWNFFGG >PAN15764 pep chromosome:PHallii_v3.1:3:398924:400326:1 gene:PAHAL_3G006400 transcript:PAN15764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIQPNPPAADGALQYSRRHHRRHQPFGRPPSPLSPRVPAPPTNYINPLCNRTLSPERESPSDGSVECPRTPPPPRTFQPPCSCRRARTPPAGNGGGPECVMPLAGLTACGPFLTGAQDQTPTPQSECCSGLGAFLNASSTLRCLCPVILGDVNKMLPKPVDPIRMIYLPIACGVVLPPQVLYICFTGRPAPAAVERFSQLWEAEETVSPALPP >PVH62069 pep chromosome:PHallii_v3.1:3:12976858:12979177:1 gene:PAHAL_3G198300 transcript:PVH62069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEATPDDEVFSSNDEMQELWPLGEVDPKKARFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTVMDFAGSNLVSVDDLAYGSAARCLQLDRKKCCFPANLAEHVCARSHEHSEAGTAISWDDALRSGARRFEHKCYNLFTCNSHSFVADCLNRLAYGGSVGWNVLNLAALVWLRGRWLDRTAAVRSFLPFAAVSCVGVLMAGWSFLLGMAAFSLLLLGWFVVGVYFLKGLVG >PAN20163 pep chromosome:PHallii_v3.1:3:34505243:34508641:1 gene:PAHAL_3G353900 transcript:PAN20163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDAADSPRSAPRRPGAAAAAPKDGAGLLSPRFRSAAAMAGWDEESVLLAALVVEDTPVRESRRKRRASTSSSAGGSAGSSTRKRRSRRHSPSRIPAVVLALDDDDDDKPGAAADGKSEVKDAKEEEKKAVVVGEKEASGSGEKAAATDNLPCMDRLREELSCAICLEICFEPSTTPCGHSFCMKCLKHAAAKCGKRCPKCRQLISNSRSCTINTVLWNTIQLLFPSEIETRRTSIASPSSCNEDVNHSPPGSNNFSQGGHGMRTRSSSGSFITEGRTRSSYRTFITPASTTNSNTSGNFISTHGSTTSSHSRTAFVPASRLVNTRTFVRSDQSEDAALAYRLQQEEFMNAFEEPEQERQPQNTVSTARDNLRAMASRAIRLRARGWPV >PVH61407 pep chromosome:PHallii_v3.1:3:1678436:1681812:1 gene:PAHAL_3G030100 transcript:PVH61407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRYGIHAVTLWLYLIRSGYSMVVSDFFSFSCSTMSSGPDSVQQPTDDRASSGRPARSQSGASTSGAGRKKRSQTTWPSDVKSCGRVNSEAAPEDPSILVRLGRVCGLTARQRIFENNIQPYVEYPIELHDKATKHAMKIISKAWRSYKNKLLKCWKKKENPFDKYADLTKEAWDELVQKWNTPEFQQSSEYFRGLRARNELDHHLGSAGYAGKQRKWEQEDEMLAERGIENPYESFEGRLAPFMRARSKLTEDGNINFYSTSAEEVAQRALMESSQGSNEGVREFDALTRALGTREQRGRVRGVSSQLTWKEGFPKHKGRYRKRTRDSSSKVDIDEIKKQVKMEMFGELKTIFESQGLPFPDLPGSMMSEERKDSFGSTAAGASQSRGIERAIVPTSVEPDTIDGLARPTRCSLLVQLVGDSSRLEVGKGLVYPGMSQLEGVQVRADCAVVKIDLLHEFAKNIKLDVPPDDMTTTLRDAVARRVQWRRAGIDINPADADSVPTTEPQPQSPAVPPTFSEPWPQLPDTWEALPDPHPPVPTQPQITPPPPVPTEPATAPKKPSKANPVRKKQSRPMATKREISEGKKKVERIKHPVTRAYTSENPKYRVGKSLLSVPELQTAGQYCVDLHNYYMRNVNKAQEIMVSYEERHFLQLEGSGNIFIVAFCDLFDLFNLDALDLSLIRCFALHMQQETRRRTGKKCGYIDPQMMTVTVMNSYRDSLVRYMVKCMRVHADKEHIVVPYNPGNHWVTLIINVRSKQVFYLDSSIPSDESGAPQIRDYSLVISILDESLDRHLRAEEGYKEQRQVAFTHHTAWTCTRQPSGNSCGFYVCHNMLLVAEKPDFTDEDDYFNQTTLGNVKDIRERLAEFLTMEVINPKGEFHLS >PAN19495 pep chromosome:PHallii_v3.1:3:18536464:18541044:-1 gene:PAHAL_3G277200 transcript:PAN19495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEEKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFVYVQNYNEADPVTHQGLDLTRITTRELITKHGLSDDTVDFIGHALALHRDDRYLNEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKACGVTSEGETAKCTKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSAEAETDNPQSELRPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLSMYTAITGKTIDLSVDLSAASAAEEY >PVH62236 pep chromosome:PHallii_v3.1:3:15810642:15810842:1 gene:PAHAL_3G243700 transcript:PVH62236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALGAGSLWALAASGAWRMGGVYVERAGGVRRPTSPWMAAHGGRRRVAEVSQRGQAGLLGLVMAT >PAN18737 pep chromosome:PHallii_v3.1:3:14370137:14373408:1 gene:PAHAL_3G221800 transcript:PAN18737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAIRVPKTKRARRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLVVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESSEELKHLKEVLLDLFRGEVIDNLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTPIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEHAKKMKNVTKDPVQGKLGKVYMPDQQVGKLTLSNDIKGLKRERREAKKNKEHSKKQKVNPE >PAN19537 pep chromosome:PHallii_v3.1:3:18823027:18825448:-1 gene:PAHAL_3G280600 transcript:PAN19537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMAAAAPADEDGSPRETKQGGFKTMPFILANEICDRFATAGFNANLITYLTQQLHLPLVEASNLLTNFNGTAAFTPVLGAIVADSCAGRFWTIAGGGALYQLGMLGLVAAALAPALRPAPCAAAAAPPCQRASGGQLAMLYLSLLLTALGGGGIRPCVVAFGADQFGRRGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQENVGWGLGFGIPAIAMFLSVLSFVVGYPLYVKVKPEGSPFKRLLQVVVAAFRKRKEDVPEDAGLLYHNKELDAPIAADGKLLHTDQLRFLDRAAVLTTGDVADSGEPHLWRVSTVHRVEELKSIVRMLPLWAASITLIAAASHNFTFAIQQARTMDRHLTPSFQIPPATMIIFTTLTMLVSLVLYDRAFVPLARRCTGRRSGITYFQRMGAGFAVSVLGVMAGALVETRRRGVAAEHGLLDSPAAVVPISVFWLVPQYALHGMSDALSTVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASRGVWLQDNINRGRLDYYYWLVTFLLVLNLVYYIACFHFYTLKTFEVDAGDEARRPHDGGGEQGGERMAEPCLGQVGASGDGVGTVCDGEKHIR >PAN17255 pep chromosome:PHallii_v3.1:3:7458818:7465392:-1 gene:PAHAL_3G116600 transcript:PAN17255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLKNSLLLQGRLRHAAAASFAARRGAATSTEEYQRRNYAENASEYNTVIGSLIAQRRPYLLRDAYDDMMLDCVQPVRDTFHSLIVGTMKGSRLQDALYFRDQMKEMGLQPDVNIYNFLISTCGKCKNPDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVSDMSAAGLGLNKYCYAGLITAFKNKTPTTEETMTKILDFVEQSKGWKYVERVSKDNAENVMMNVSEEELYNLPTAEYVNRRGGFVLKQFTVYHVAIHACAELRSKETLEALLEMFNKDNRDGSTYDAFMVMQAMRCYLRCGDIDSAIKMFEEYTSSRFSAAELYVTLAEGAMIGHTPRGMQVAQETLEKMVSRNFFLNPRMGSDLLIAAAGEKTGGYTTANYVWDYLQSCNITPSLPAVEAYHKGLKEREIPADDPRLLNVTRVLDNLNVRFGPRRNIQ >PVH62568 pep chromosome:PHallii_v3.1:3:25608640:25608915:-1 gene:PAHAL_3G326200 transcript:PVH62568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGTGSSQQGQNNQGTGHPMPMPPPLTPEQFFQLQMQMMATLNNTVQALQQIHTQLPPPPLQQPRDRRADFLRGHPPMFSNAADPL >PVH61468 pep chromosome:PHallii_v3.1:3:2506765:2510221:-1 gene:PAHAL_3G039000 transcript:PVH61468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEPVDPKNYLEERCKPQCVKSLYDYERCMKRVENDDSGQKHCTGQYFDYWSCVDKCVAPKLFDKLK >PAN17762 pep chromosome:PHallii_v3.1:3:9919324:9922801:1 gene:PAHAL_3G154300 transcript:PAN17762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLLCWKQELIVLVFFSFFPSHYAATQPPAITPLFLQVGMGKREYSGNIEQDGLSIPVTSLRDSMVMMLYNADRELISKSELKTKAILESGTMDVVFTLDSGGKIILQVQLVLNDDDRKRIQEMRNSAMKRKQQALLGDGYELNFPDSPLSKRLIEKINIQSKGDGRPKLRKSVSLDDLQERAVFSGINVDPRMKASRNLLLQRGVRNTSRFEDPSSSKKGNSKPESKSSSSVKKMISAFEGTSPQGLSSDIDASLTDSGIGSTQAGKAIVPFGDNKGSNYRSGKTVLFQHKKSSAPGQIGMSSPTERRSGRSSSGDRANKQKLRENELNRTKRRSQAKHRRSIIGPSYSLERMHSRDYVEHSLNYLVATSSTRIHPHICVTTASKQLKDLLELEHWKSHAHMKHTDKNQEITSVDESIASAQTRSGGFPVLNGWLINQGVRGAIVVIACGAMFLNSR >PVH62029 pep chromosome:PHallii_v3.1:3:12335207:12336271:-1 gene:PAHAL_3G187900 transcript:PVH62029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRCHGPLLNQSLSSPSSPRSHQPCEEPLYISTTLFFSLLASFPSPALSFYLSCKPPSLQPSLPVSSLSGRHSQQQLAVLASPRRPLVGMEFRASSRRSTWPMCGDRGEEPDGRPHR >PAN19610 pep chromosome:PHallii_v3.1:3:19318566:19320469:-1 gene:PAHAL_3G286000 transcript:PAN19610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MMKSLLFSAHPTPPLLPAPRLRRLLRLRASSTSASPSAPPRAGRRPPRPVPSRRPSPSSLYARPSLLDMERERAARRADVDAFLASLGVDPGELAGLELPVTVDVMRERAEFLASLGLTREDLADYPLALGCSVRKNMVPVLDYLGKLGVRRDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRSVDVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVGRRQIGSVITRFPEVLGMRVGKIIKPFVEYLEGIGLQKLSVARIIEKKPYVLGFGLEDKVKSNIEALMEFGVRKEALASIVMQYPDILGLELRDKLVAQQSLFESIILVSRDDFGRVIERMPQAINLGRTAVLKHVNFLTACGFMLSQVSKMVVACPQLLALNMDIMRMNFEYFKNEMERDLEELAEFPAFFTYGLESTVRPRHEMVSRKGFTCSLAWLLNCSDARFVERMKYDTIGVEEMEAEESSYMNAFAEEVESEEEEYSDYDDSDDEFVR >PAN16849 pep chromosome:PHallii_v3.1:3:5839078:5840607:1 gene:PAHAL_3G091000 transcript:PAN16849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVQHQQQRLLPLPPFHQQGVAVAAGSGGGQQPQPQPQQHQQKAGGGGRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFATALDAARAYDAAARALYGDCARLNLMPALPQAAAAAAAANNNMVVIKASPAACPSSPGHQHQYYNYKQEPVMMAAAITHSAPCCSADDASPNSNCSNYSNSSSSAATTPTAAMQQMMADELAAAADLHQQEAEAEDFEDYVTRLPKAEDFGLGGFQEVPPEVFDEAAGGGIWDHTVAWPSSAMMLDSTLQVVPL >PVH61818 pep chromosome:PHallii_v3.1:3:8326809:8327111:1 gene:PAHAL_3G129000 transcript:PVH61818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASRLPSLLQAGTSVGRVRRLPAANDGRRPDPPRRASAVGASSCLPSSQGPCVGCGICRLPGGERRMGPDPPPRMPSRRRASAVGASRSRPPPRGRA >PVH62669 pep chromosome:PHallii_v3.1:3:37438663:37443610:-1 gene:PAHAL_3G362600 transcript:PVH62669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENFSDLTDNLLSPVGGTVTQDSQVSENLSPENTGTLDERNSKCPDGKILVHNQEGVYFMDKDRWPTLEIAAGPQDQILTQEEDLMDNTAIQLEEQTDKEDSQEWEVQKPKKKGGRQKKKKQPAVATRASARVPRDGVPIAAKDIARAQKRDDSLQEMATFARST >PAN21582 pep chromosome:PHallii_v3.1:3:61175248:61176438:1 gene:PAHAL_3G478100 transcript:PAN21582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKASDSVDIEVGNDVLNSPPLLPSTDACAWVSVAVAAAAQQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGSNTRTNFWPRPSPAAVAAAAAHPVQPPPPLPAVASSPAPALPPKVTNLLLLRLRARNQQLFNATAAQQEAALLQGPLCEGKYGGGDGEECAFQVDDFLSYDCGSSDGNSSQEMEDEEEEEEEEEEEEELDFQFMDQHAASPTAGCEGGLCSPFDVVAAELGGAVEADDGEPESAVQELMRRRMDYERKISASLYALSGVSECLRMRHGDDAAAGVRDQLTGLREACRKKQRDEVQQQHQEQAPSPESAEDGNGKAAQEECSAGSGAPEAASSSSEASDGDGDSDVLLWSSLDLAPIC >PAN15777 pep chromosome:PHallii_v3.1:3:11074:20333:1 gene:PAHAL_3G000400 transcript:PAN15777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENEDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNRSGAEGGRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESEPSLNAENRNMRRPPLSSVGSLPPMGRSISSSHTSDRRGGSSASNTRKDEYNWRYDADDISEEVLRASTALENIQLDRKSRNLPTSWRHSGDGAE >PVH62728 pep chromosome:PHallii_v3.1:3:43689921:43704539:1 gene:PAHAL_3G381400 transcript:PVH62728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MPNVEFAAASDGPPPQDAWHAEFQRLVPLWESLRDTSKVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEVRTGLEGPGLSVSQKIFYCISFVGGQYIWSRLQSFSAFRRWGASEQRPLARRTWSLVQNAEGLYRAASFFNLLLFLYGGRYKTIVDRILKARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDNSAGFSGDEADCPICRSSPSIPFVAVPCQHRYCYYCLRTRCSATSSYRCPRCNEVVVAIQRQGSV >PVH62958 pep chromosome:PHallii_v3.1:3:57756830:57757577:-1 gene:PAHAL_3G439400 transcript:PVH62958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQPEMLLEGRIIPNPSARHIFVMGPKIDAANKTFRCPSLPYCHDTSVISGMWPCQRWRNVEPEQVGAN >PAN18387 pep chromosome:PHallii_v3.1:3:12942925:12949382:-1 gene:PAHAL_3G197600 transcript:PAN18387 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPFSSSQEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTVEASHLKRRPAAEKEKVAWQWLPFTSSARTDNLQLYHWVRVVNGVPPTGDYQFAKYNKKVDVHKYTDEEYEKYLIDPAWSREETDQLFELCERFDLRFIVVADRFPTSRSVEDLKSRYYSVSRSLLIHRARSFDDVSGNPLVKDSYDAAHETERKRALSALLSQTKQQEKKDAETLAEAKRIMESRAASKTVDEAGMPSSSDNAMVPVDGVSPLSSTHPPLTHPNTAANSSIPNSLRTLRVYLRTHALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCIEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFTEAPSTPKRSNRDIDRPFVPDTVGFTGERAGKRDHKRKNTGRFIDAPPSPPQSKRPRKLKGSD >PAN17124 pep chromosome:PHallii_v3.1:3:6974247:6978621:1 gene:PAHAL_3G109400 transcript:PAN17124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCGDEDAQGVPDSRNPYPGHHPARSDAYRPADQPPKGPQPVKMQPIAVPAIPVDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHEHVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGS >PAN19996 pep chromosome:PHallii_v3.1:3:22252703:22254842:1 gene:PAHAL_3G312200 transcript:PAN19996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPRSSPAPANLPDDNNIFWEILLRLPPLPSSLPRASLVCKRWRRLLSDTRFLRRFRAHHKTPPQLGFFTQSFTEPLFVPTLSAPDRIPSARFSLPQPTSIGWILIGCRHGFAAFLDMTRWEAVVWEPVTGSHFRIAFRPEVKSDDDHYIFNENLACARLYESEPGKWGDISSVTMQPSMSLRFSPGVLVRNTVCWHLRFGDILEFCLDTKTLALIQKPEGTYFTEQSSVRVVRTEDRELGLAVVSKLSIQLWGRKANSNGVVGWVLQITVQLEKLLRLKPLRKVWLTRIIGFDEDSIEILLFAAHRMFMVQLESMQFKELAVISCTTEYYPYRSFYAAVGGGDDGGEILNNT >PAN21875 pep chromosome:PHallii_v3.1:3:62728947:62737459:-1 gene:PAHAL_3G496700 transcript:PAN21875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASRERLSPGAAPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRDCPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVYDNGYMKNQLHSPSVATTDTSCESVVTSSQHYQQQNPAVPPPPQRDANNPAGLLAIAEETLAEFMSKATGTAINWVQMVGMKPGPDSVGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRHVDIVHVIPTGNGGTIELIYMQTYALTTLAGPRDFWTLRYTSGLDDGSLVICERSLTQSTGGPCGPNVPTFIRAEVLPSGYLIRPCDGGGSMIYIVDHVDLNAKSVPEVLRPLYESPKILSQKMTAAALRHIRQIAHESSGEIPYGAGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSPLLSSDGPEDITITVNSSPNKLVGSHVSPSPFFSAIGGGIMCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRANPYAVPGLRAGGFMGNQVILPLARTLEHEESLEVIRLEGHGFSHDEVLMSRDMFLLQLCSGVDESAPGACAQLVFAPIDESFADDAPLLPSGFRVIPLDDKMDMPSATRTLDLASALEVGSGAGSRAPSDASGACTTRSVLTIAFQFSFENHLRESVAAMARQYVRGVMASVQRVAMAIAPSRLGSHIQLKHPHPPGSPEALALATWIGRSYRVHTGTEIRWSDTEGADNPLMPFWKHSDAILCCSLKSPFTLKFANSAGFDILETTMVNIQDMPLEAVLDDEGRKSLFTELPKIMQQGWAYLPGSVCRSSMGRQASYEQAVAWKVVGDDGAPQGLALMLANWTFI >PVH62506 pep chromosome:PHallii_v3.1:3:22000620:22000949:1 gene:PAHAL_3G310600 transcript:PVH62506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCHEYLSGKQSVGTSHPKKHLERCKLRSRVPEFVDKLCAGATPSDIERLENWIYDSDLAHRALVRMVVLHELPFFIVEYDGFNEFVYSLNPLFKIVSRTTIKLDCMGF >PAN16082 pep chromosome:PHallii_v3.1:3:1788011:1792303:-1 gene:PAHAL_3G031900 transcript:PAN16082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQASTASASSSRFVMASRAFSKQELDSLRALFTSLAAQSQTSGRAISRAVFLEYYGVRGPLGERLFQLVAKESDGSDGVTFEDLIISKATYGRGTRDEVDEFIYQLCDVTGDGVLTRSDLEAVLASIHETVFAENKGVGEGSNDRTFEAFLNSAVFSKDAEGVSEKAMSLSDFRKWCTLLPSLRKFLGNLLMPPDSGRPGFEVPLLHYPENISTDLLLLNKEYAWHIGGGFSQHEVQEWKLLYHSSLHGQSFNTFLGKVTNGDAQTVLIVKDTEGSVYGGYAPQPWERHSDFYGDMKTFLFKLYPQASIFRPTGANKNLQWCAINFSSENIPNGIGFGGQPHHFGLFLSANFDQGHSFTCSTFTSPPLSKTNRFRPEVIECWGIQMRGAQDEKPELVKGTVLERFKEDRNMLKLVGLANSSD >PAN21133 pep chromosome:PHallii_v3.1:3:58140147:58141459:-1 gene:PAHAL_3G443900 transcript:PAN21133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCSSSRQPKAEKIRQHPAEGVDRQKRQQQQQRKAPAAAHMNQPYHASSHDELVLMVSLDSITKIM >PAN16167 pep chromosome:PHallii_v3.1:3:2640636:2650572:-1 gene:PAHAL_3G041600 transcript:PAN16167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAGMKRRELQALCKRHGLPAGGTNADLVARLDAALSGAAGAEEEEDVVGVVARKGCLKRSVGDAGEAKKVTFAVEESRGRRLRSRVVWSPVVAKTRGKRAEAGSTDSAADDGIPSRAGENVPVRRSRRNSLAAAEVEEVEEAVTFGRKRKPKSQEIAEDVAVSAQPVASCRVTRRSSLSGTTVLLPPAVEKKRGRGKAAAGKNKLVTEEQAAEAQGLSAAAPLTVVESKRSRRKGPDVQNSSKVEVSAKTTRSRSVEAVMKSPPVLENKRKRKSGDAQPDVEQPPVAEVPRNDAPVTRSLRNRVVQVNNSVVEETHTTQQPENKMQPNRPATRMHQQVASSVEKEDQVQVAAPSKAPPSRRSKRNNYEANNVNSESNKLISAPVEAKDSKIAHPLTHHNAKAEDVEKQPIVREPVRRSTRKSVASAMLDNEKDLIEEKNPEAHVRRSLQRSIVPVEDIKGAGEEIQNAKGEDAPKQLAVKEPVRRSTRKSVVSAMLEKEKVLIAEKNPGAHVKRSMRKSVVPVQDINGVGEDIQNAKSDDAEKQLVMNQPVRRSSCKSVLPDTLENESGSLVTETNAEAHVRARKSLLPNMLNKEDPDHSKMIRNENFQIGKCEDEKQQKVKEPVRRSRRSVATVMLEEQNKGLHEEKMSTIPVRRSTRKSVALNIVEKGSNRTEKVGREQSGVRTRRLKARDKLTDHAVAVEPVVTSGNELQVDVQNNQGLTVKSPNHNLSDGNETHPGSDKFVSCERVGEEGLKLRKHRTSSMEISSSANDFWNMEDFSGQKFRKQQSTQTPCEKDNTGAIYDKPQRVQQASTSTTSKGRSSKRRRTRTTAPEEVMSAEEANDGMIIREETMDTHKTSHEYSKESSSGTQEICQVSATREGFSSGPLLGTVTLPDEIYTTQSVHKVIPASETGGLAKESSEKSKQPQEHSDIQDDDTHLSEIRNGKLDQSSSITELLPHNAFVSEDKTLMGEDVLPVDFTVGDDEGQSPAAGQGRVGWEANTSESEEKNLADAMSTGLHTKSLQHDIDILAEESGEDVVPMSFTTEEHGIKFKVSPIAVERRVIGQASACESAGKTLTGILSNDLRTKYLQHDRDVLTKEIGEASGSSIQISDSNPEIHCDAIAEESIRAADLGSCPSNGGKGNPLLKNLHDSILPVMNSAQRCSSDGRRSSFGLDFLFTEECKENCSRNVENITVEVDGGNKSSTCVSPDFYVGSDCGLEDEDVQPTGFDADKKLDVDQDAAEEEVVVEEKNYDQHVAPKTDLKAKLNGELTGLDMESDCTIAEKNVRFVEDNPDDEEVTVQVQQANVQEGDSEKPSQFSATPECKHEFCLPDETVLHSKKNKGCLSSEEQSPFGLQSLFLQQSIEKSVECGALASATVIAENGFDELKYVHVKCSLKKTRVSEPFSQLDTDEDSCPVSKNEDCMFISQQDKGIEGLSKASLDEESVPSGFSLDAKHIKEVTNSEEVACKGEGSKKLVHSDDLKASSEKTDVNGPDTIENSSFSLATPGYKHDDALSEEAVRTMKKYAGTCSSNPRELLMDLQSLFSKENIEESDLHDGLAFSSAESPGDESIDVEQLVEVHLGSNPSQLESNDLLDELIGCSKTEVLHQGHKGLCSEDREEQKLESPIVMESSLNCNKDVTNSSINGSVVDIVDQRTPSGSALPEDCRMDHNLQREFLDGCSVDSGVAGTIGNPSFNLATPDHEHEGALSEEAVCKMKKYTGTCSGDPRHLLMELQSLFSEGSIIKSDSHDVAFPCSESEGNEPTVCHVEKLVDTLVSSEPDTCQGLRQDLSRAEEKESCVSISMQLNPELEDDEVEKHSLNCEKDTSQILGITRSVLSKTALLPKDSHTIYWQEQELPNDLSPLKSGKEFAICLDESFFRSGTCQSNSQKHIVESNSRPSSCDTEVLQQDHKDESNICNEDKSIPKVLENDMPEAAPVERMDSAIMLPSVAGKSEMSDELLNTELSDEAEEHSLSSDKYTIKNFCTGSAKNDLFALPKDCHMDTCQKQELPDVHYLPKSPGESANCQDESVSGSGPCQTSWQQCINESRSVQVTSNIEAFNQNQEESNQNNEGQITPIPSVVSEAADIERSEREIGLTPPAGPSALPDEQLITKVECHEVDTSSLFDTELLYSKASNLHTDTRKDHPPSDLSAPRSPEESTSFPNSSVPGSVGICQSSRRRGIDELRAKLQSFKVSSTAKGSYIAMSAPLPKQGDNLSQSAIALLRNSENAPAVKLDHPANKRNPDCSVAKDSSRQALQHISGRPRDRL >PAN17302 pep chromosome:PHallii_v3.1:3:7637986:7639173:1 gene:PAHAL_3G120000 transcript:PAN17302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARDAALLLASALLPLAAATLLPAAGPEDPRCRATAPRRGALAVYPTDMEQLQFLLNAKFVEAEWFLHAALGRGVDFLDRNLSAGGPRPAGARRAALDFRTTEVAAELGYQEVGHIRAIRQAVGGFPRPAIDLGADRFAMVMDDAMGARLNPPFDPYNSTVNFLLASYVFPHITAAATMGISSSLMGFVSKRLQSSILAVEAGQDAVIRLLLYQRADEAVPPYQGHTVADFTRRISQWRNRMSGCGAKDEGVKVLDRQQGAERRTISNILGAGEDSLGFQRTPAEVLRILYGSRNEQIPGGFLPRGANGTIARGFFQLA >PAN17235 pep chromosome:PHallii_v3.1:3:7352500:7355560:-1 gene:PAHAL_3G115300 transcript:PAN17235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAHDEVTDSGAFDPSPSTFRSFVSRDSSARFPAAPGRYHLYVSYSCPWACRCLAYLKLKGLDHAIGFTSVKPIFERTKETDDHMGWVFPATGDEEPGAEPDPFNGAKSIRELYEIASGNYAGKPSVPVLWDKQLKTIVNNESSEIIRMLNTEFNEFAENPALDLYPAHLQACIDEINELVYEAINIGVYKCGFAKQQGPYDEAVTKLFEALDKCEGILSKQRFLCGDQLTEADVRLFTTLIRFDEVYSVYFKCNKKLIREYPNLFNYTKDVYQIPGISSTVNMGHIRKSYYGGYSPINPYGIIPAGPNIDYNAPHDR >PVH63207 pep chromosome:PHallii_v3.1:3:62434801:62440794:1 gene:PAHAL_3G492800 transcript:PVH63207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAAKATAAAAAPAVFRSNQELTRLARSGQLAAARRLFDSMPRRNTVTYNAMLSALARHGRIDEARALFDGMPSRNAVSWNAMIAACSDHGRVADARSLFDAMPGRDDFSWTLMVSCYARAGELDLARDVLDRMPGGKCTACYNAMISGYAKNSRFDDAVKLLKEMPAPDLVSWNSVLAGLTQSGEMVRAVQFFDEMVEKDKVSWNLMLEGFVRAGDLDGASTFFARIESPNVISWVTLLNGYCRAGSISDARELFDRMPERNIVAWNVMLEGYVRLARIKEACKLFEEMPDKNSISWTTIISGLTRAGKLQEAKDLLDKMPFNCVAAKTALMHGYLQSKMIGDARQIFDGIEVRDAVCWNTMISGYVQCGMLEEAMLLFQQMPNKDTVSWNTMIAGYAQGGQMRKAVGIFRRMNRRNTVSWNSVISGFVQNGLFVDALHHFMLMRRNTTRADWSTYASCLSACANLAALQVGRQFHSLLVRSGHINDSFAGNALISTYAKCGRILEAKQIFDEMVGKDIVSWNALIDGYASNGHGTEAISVFREMEAKKIRPDEVTFVGILSACSHAGLIDEGLKFFNSMEKEYSLKPVAEHYACMADLLGRAGRLNEAFELVQGMQIKPNAGMWGALLGACRLYKNDELAQLAAEKLFELEPHKTSNYVLLSNISAEAGKWDEAEKARASIKEKGVHKPPGLAGST >PAN18854 pep chromosome:PHallii_v3.1:3:14917645:14919776:1 gene:PAHAL_3G228900 transcript:PAN18854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSPYQPNLHSSRRRHLPSNAPTEARGRAEPAASRADATTMGQKRAVLVGINYPGTDGELKGCLNDVARMRRCLVDRFGFDGAGIRVLADADPSTPPPTGANIRLELERLVRDARPGDTLFFHYSGHGLQLPAETGEDDDTGYDECIVPCDLNLIKDQDFTELVAKVPDGCLFTMVSDSCHSGGLIDKTKEQIGNSTKQSKNNQHRGGDTKPPSASGTCTGTSLLRIIRGGFESLGAHLPCHGHHKQSTQNGGKEPDAKTEAEPSTIASVAIANRSLPLPTFIGMLRDKTGRHDVGVGSIRTTLFHHFGHDASPKVKRFVKVMVGRLRHDGKLGDEEVEQPHAFRAALEQDAHSVEEVYAGPAAAAAAARAGLPRNGVLVSGCQTDETSADATTPDGVSYGALSNAIQAVLAGRKRGAAVTNRELVVRARELLSKQGYTQQPGLYCSDEHAKLPFIC >PVH61427 pep chromosome:PHallii_v3.1:3:1975615:1976660:-1 gene:PAHAL_3G034200 transcript:PVH61427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAALLIIRFRKNFDFFLKKKKNILLKTFFFSEIEYLYAKRSALKKTSFPAFPNYYKYQLTERLDSWSYRVISLGFTLLTIGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTNSALVASIGFLIIWICYFGINLLGIGLHSYGSFTLPSK >PAN19222 pep chromosome:PHallii_v3.1:3:16962218:16964403:1 gene:PAHAL_3G257500 transcript:PAN19222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESWMDVLPPAPAPPYFAGQAAGRFLPDRRAPGPGAWTLEENKLFERALARVDWDAPDRWERVAALLPGRMVSDVVAHYDDLENDVCFIEAGLVPFPHYGGGGGAAQSAAGFTFDWDGGDDAAAALGFKRSCYMAGGKRGRGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDGGCGGNPSPSPPSVLTAASTPSSTGGPVISEQFGVLVDSKPAPTHHFMPHPYGSVKLEPGNPRHGGFLDDSVLMQMQCGQLQPLG >PAN20937 pep chromosome:PHallii_v3.1:3:55478418:55479372:1 gene:PAHAL_3G424800 transcript:PAN20937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQGRPAKRQRIPITCTQDYEEICCLGAGAFGVVSKARHRCTGETVAIKRHQSDDGCNGELLREVCFLDACNSLPFLVGYDGLTCDRATTELCLLMEYVGGPTLSDYLRGCQCRRGGQRPPPPLPEATVRAAMWQLLTGAQRMHERRMVHRDIKPTNILVGEDHRTIKICDLSLAMHASELPPYAQASTLLYMAAEVTLGRADYDVRVDTWSFGCVMAGLLKGRSLFEGRDETLSEDEFEVLSGLLAFNSENRLMVDAALQLLWFENVDTLALPQEEEVVTAPSALRKKKPLLIIPPPLPKKPKVF >PAN22059 pep chromosome:PHallii_v3.1:3:63833025:63838657:-1 gene:PAHAL_3G509800 transcript:PAN22059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISALLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRIVEENERLRGAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSIRIFSLAALLCVFGILPLNYFGKDIKHVRIPSESLDIFTIGNVEVGSRWLWVHCVALYIVSGVACILLYIEYKHIARLRLLHLTSATPNPRHFTVLVRGIPKTAKESCSDAVDDFFTKYHSSSYLFHQVVYKVGKVQKIMTGAKKAYTKFKHFTDETVDQGCRAITYRCCFCGTSSNSFKLLNTECEQNRGKTGNESSLKLDDEECTAAFVFFKTRYAALVASELLQTSNPMKWVANLAPEPEDVYWSNLWLPYKQLWIRRIATLIGSIVFMFLFLIPVTFIQGLSQLEQLQQRLPFLRGILKKKYYMTQLVTGYLPSVVLQIFLYTVAPIMMLFSTLEGPTSHSERKRSACCKVLYFTVWNIFFVNVLSGTVLSQLNVLSSPKDIPIQLARAVPGQATFFITYVLTSGWASLSSELMQLFGLIWNFIRKYVLRMREDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQVICLGVFGLKESPIAAGFTIPLIILTLLFNQYCRNRLLPLFRTFPAQDLIDMDREDERSGRIDEIHHRLHSAYCQFPDTEDVPLEKIKIIGGDEEQGSSSGESTGKETREDPKRDLSHPTLKGLPVSRLRHAVKSITFLIRLQKRGLSE >PAN18246 pep chromosome:PHallii_v3.1:3:12380520:12382013:-1 gene:PAHAL_3G188800 transcript:PAN18246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKRGPWSPEEDEQLRSYVQRHGIGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDQVILSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAVAGATGGAFAAPATPPPAAALSPASSSVTSSSGDARFAAYPPPPQAQQHQGLIRFDAPRTTELAPVPPVAQLGAAVWAPCAAASGAVAALDDDVFLPDLVTGGGEQLFPYGDFYAGLQDRALELSACYFPNMAEMWGAAASDAKPQGLCNTLT >PAN18397 pep chromosome:PHallii_v3.1:3:12988802:12992510:-1 gene:PAHAL_3G198600 transcript:PAN18397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEKPPQSRVHCEQRVLISNEHGEKLVGLLHQTSSKKLVILCHGFRATKDDSILVELAAAITLEGISAFRFDFSGNGESEGEFQYGSYRKEAADLRSVVLYFSKQKYDIIALIGHSKGGNAVLLYASKYNDVPIIVNISGRFALERGIDGRLGRNFMQRINKDGYIDVKNKKGEVEYRVSKASLEDRLSTDTLLSSRAISRDCRVLTIHGAKDEIVPAEDARLFAANVPNHELRIIAEANHRYAGHEQELASLVLGFVRPRLQSTSPLRPKL >PVH61391 pep chromosome:PHallii_v3.1:3:1263807:1265081:1 gene:PAHAL_3G022900 transcript:PVH61391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTRCVVCNRLNEDGGHTSVNMSELFWQGVQMEDQRALLAGKSSPIEVIHHILQLKGEEQMKLIVLLWQWWNERNKIREGEKRRETKDLTYLIQRNAEDFLKLGVTEDECTHKPIERWQKPTDDFVKINSDGAFSASTGEGGWGYVIRDGDGEVICAGAGNLSHQK >PVH63226 pep chromosome:PHallii_v3.1:3:62808420:62809176:-1 gene:PAHAL_3G497700 transcript:PVH63226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVGTRQDLFMIACGEIEAMIKDEPLPSLDEGSIARRILGVERGDDDLMKKAPMISNARKLAEALIGLDDEGKRWEVIQGVWVEMLCYSASRCRGYEHAKSLAHGGEFLTNVWLLWSCMGMETLPDKIHNPGIPGPLESDPDEEHAPAAGAASG >PAN19862 pep chromosome:PHallii_v3.1:3:21077643:21079933:-1 gene:PAHAL_3G303700 transcript:PAN19862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAETATPEEQLRAQLEEQKIHEGDDPIIEDDDDEEDDDDEDDKDDDDAEGVDASGRSKQSRSEKKSRKAMLKLGMKAITGVSRVTVKKSKNMLFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDMSSVLSKPEASTAAQDDDEAVDETGVEEKDIELVMTQATVSRARAVKALKSADGDIVTAIMELTN >PAN17563 pep chromosome:PHallii_v3.1:3:9043563:9044126:1 gene:PAHAL_3G140300 transcript:PAN17563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKATIAAAPPAAAGVADPRAQPPDQEAAGQAAARLRCACGACLRAATLLLVGYSFAVTAWRSRHEPRDLAFVTGSCALLAALLACLRRAESLTPASPAGERRRLQASVWALSTALSCAFAYRVAAVMPPVLAALVWCMTASVVLTGFFMLVLCKDQQQYQTVDNVVCDAAREGEPLDKISTADELV >PAN17271 pep chromosome:PHallii_v3.1:3:7509111:7511183:-1 gene:PAHAL_3G117700 transcript:PAN17271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAPVVAALGDDLLREVFVRLPTPADLLRAAAACKPFLRAARSAPFLRRFRRRHPSSCPRLLGCILLFPNRRRGKFHLLPISPPPSSSSSAASAANGCGGDFALSFLPGGGCLGRGPATWEHLDCRNGRLLLKNMGSQDLAVADPVSRRCVSLPAPPTGRAVGYGLFADHGDSLEFRVVCLSRDAASGELRALFLSSGELSWADVAGAQCQTNLAAGSRAMQANRSLYWRLDGGELMMAFSTASMEFSVLDLPPDLRELSFDAVDRGEEEDVNVLHLITMRGFRIEVWAGTVDGDGGIAWRRVEKSVRFHKVLTEMINPSVDSYQHELDVIGVAAGVVFLRQWNHLFSIDLETMRLKMLPNKDCAEALIYPYTIVWPPSFLNQQDKAPDETGNQSVE >PVH62520 pep chromosome:PHallii_v3.1:3:22885668:22886039:1 gene:PAHAL_3G315600 transcript:PVH62520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSETDAYEMYNSYAGTVGFSIRKSDLKRRADKTIYSRVLVCSSQGYAEASSSHASTRTGCKALIKFGVSREGFWTVEKVELDHNHVLATAHVEVPTKYYRCRQTTHCSNTRSWDEANTSV >PAN17218 pep chromosome:PHallii_v3.1:3:7258675:7259673:-1 gene:PAHAL_3G114400 transcript:PAN17218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGANNNVAARVVVDGEVHVERVEKIEVVVDGVTPPPTTTAAIVLPPRGPPATAASGKAAVPDVNELAEEFIRRNRAAFQGGTVDDHGQKIM >PAN17470 pep chromosome:PHallii_v3.1:3:8614558:8615449:1 gene:PAHAL_3G133600 transcript:PAN17470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGPPAGGDGGVHYVNENQFKNIKLSVGALGNTIFERGQEPQRHGEH >PAN17566 pep chromosome:PHallii_v3.1:3:9078211:9079332:-1 gene:PAHAL_3G141200 transcript:PAN17566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFVRHSIITYFIPPLAAVIPRIETPPSPKLLDGEGTRDEPHATQDAPKPSRGPPRRRRPPAPGRRQRPRRGGPPSPTQGDARARACGLATPPGGDAPGQRQPPRLLLGGGLRQGRGRGEPQVLGGAGGDRGGHGEQGDGLLRRGGIGRARRRQRGARRLRRAPGAPPARRRRRAPPLHGAQDGAAQGRAQAARGLGCREVWIWGGNLGGNTCSFEIEIPRCFDW >PVH62592 pep chromosome:PHallii_v3.1:3:26892513:26900846:1 gene:PAHAL_3G331800 transcript:PVH62592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLFKSRSEQTPLTPASSEEQLAKINEVRELLCNLPMEMPKFLSDATIRRFLRARNWSTVQAAKSLKEAASWRRQYKPEKICWTKTSERDHIKYLVYNLENLVLNSEGAQEESVVWMSDFRSTPFSLTQESLHIIQKYYPGLIAVAILTNPPRIFESFWKIVKHFLEPKMNKKVKFVYNNNSESLRIMGDMFDLDKLESTFGGRNTAGLDINKYAEKMRRRDQMRGAWTQANGNTCSS >PAN21066 pep chromosome:PHallii_v3.1:3:56626373:56626614:1 gene:PAHAL_3G431700 transcript:PAN21066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTATTHTPGPSTAARPSRVPIDSSWPESPTGTPRAVWREVHAASGSELSFPA >PAN17793 pep chromosome:PHallii_v3.1:3:9999787:10001852:1 gene:PAHAL_3G155500 transcript:PAN17793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCMPWFIGKRVAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKASDAFYKLSNTRSQIQAYVFDVIRASVPKLILDDAFEQKDEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGSSVSHH >PVH62886 pep chromosome:PHallii_v3.1:3:55309720:55310580:1 gene:PAHAL_3G423600 transcript:PVH62886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPSLLINALESLGVTERPRYYSREYEHHGTLHCRVILVIARSNRYPDIQPWQVTATGFRHQDAYPLTVRKALRYLCRIFEGHLAATPVRFFPPAVRTPVWEARMRSLERRHQEEGPLYQVTTYLAALDQLFDEQANLLREQTHRAEQAELAVRLEQIRAAQAEAMAAAAVSSEAVAQESLRQARDRRMQEWTQSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQTPPENPESPAAAVERDAAAQPLIDGNPEDGEQGLLTLSASEEGTPRE >PAN19004 pep chromosome:PHallii_v3.1:3:15713204:15715654:-1 gene:PAHAL_3G242200 transcript:PAN19004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSAHWLSLVGSVWLQTINGPNADFPVYSSQLKEIKGISQVRLNFLAFASDAGKLFGWFAGVAALHIPLWVVALTGAAFGLVGYGVQFLFLEKPGLAYWHLFLLTSLAGNGICWINTVCYLLCIKNFPSDSRVAVSLATSYLGLSAKLYTTVAETLPRAARARYSTTKVYLLLNAVVPMVVTLVAVPSLRVVELKDRKRTEAPFLAMFAITLATGACAIVGSVGAKSIGLSTREHMVSFFVLLALPLLIPVVLKVRESMAKIRETKWENRVHDHDSDGPETAVSVSVVELEAEDKQEEQGQELEQQRSSQEEVGGLRLLRKLDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGLADASTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMASLMAPMSGAFFLLLHPRDMFLYASTAVVGTCTGAITSVAASATNELFGTKNFGVNHNVVVANIPLGSLCFGYLAAYLYQRGARGGNRCMGAACYRDTFVLWGATCALGTALCAVLYARSRRSAGRRLPR >PAN19950 pep chromosome:PHallii_v3.1:3:21966400:21967242:1 gene:PAHAL_3G310000 transcript:PAN19950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSGASNYLCIAPIFNSCLSSGKQPSNDAGRNRLSFSFPDSLAGGKTQRQHQQQQQQPEEQNSESIIDPAASIITRKDGRHCTVIVGTIFGCRTGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMGSGLLRIALECHRPSGIPHHDGGRGGGGSSAATGGSTSRNVWKASCNGCDVGYAVRRRPTDWDRRVLENMRTMTTGVGVLPPTVALEERPNDGNLQDGGGGSGSGEVLYMRATYERIVGSRDAVSYHLISSGTGGGSPPQELSVFLLRTRGD >PAN16071 pep chromosome:PHallii_v3.1:3:1728300:1731557:1 gene:PAHAL_3G030800 transcript:PAN16071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLLSRPPLPVAAHAAAAADGDLLELDVLWPASAYASSVVGLGLLAALPEDEGKKKKRAAGGGGGPARSAARPVPEAAGMAASGMARSAPVRIPSEPARRGRWAQAGAGEDAGEAMVPPHEIVARRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >PAN19352 pep chromosome:PHallii_v3.1:3:17798382:17799513:-1 gene:PAHAL_3G267700 transcript:PAN19352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVIVLSVVVLLFAVASAVLGFMAEASKLTPADIKYSGGVCVYPAKPAHVMGICAAALLAAAQVIASAAGVCCGCSKVPRGGGAAGPKLKKAVSSAILSWVVAVVAAASYAQGVAWNAATTRDAVTDGWFIKCHYLKGAVFRRAALLGLVAAVLGICSYAMLRGPASAAAEPKPDGQQQPAAGQAQNPHHPPPPAQAV >PVH62667 pep chromosome:PHallii_v3.1:3:37444891:37446024:1 gene:PAHAL_3G362900 transcript:PVH62667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLHRLVLRHPRRHRLHALRRKHIRGHPAGSPPIGGRYWLGAAHGWLATADADSAEVRLVNPVTGQQIDALPPVPTIAHLRGRDAGPIEPGYDYEICSYDWRLEQPQPNNPPRPIPVKAGELAKRLDMRAFLSSDPSHRPGGCFIVVLLNRPDCELSFARVGVDERWTWIGRRTSYADAVNNDGDGLFYALTIAGGIHAYDLAGRASAVRQTTFLHDQVHDIIDTDTKYLVQAPGGGQEWLQVWRMMEPVPTPEGGGSSTHLFTTVWIKVFRVDVDTQTLVKTVTFRENTNHALFIGCNQAFWVPAGEHAGVMPNHIYYTDNEECYALYFPESPRDIGVYNVGDGSFKQFRTPRPWLNWPLPCWFIPSLGSYRQWS >PAN15716 pep chromosome:PHallii_v3.1:3:134422:137223:1 gene:PAHAL_3G002300 transcript:PAN15716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVAVEYDALSLFASRLLSHRCTSFGDPELRLLQAALSAGPDVPALLHTRSAARRLLQDRAKEAFAAAQAPPLDHARILAVADFFARAFALVADVRSCLAMRYEALLLRDAKYSDNHHLQVSREEWLTFAKDALQNGFYTIASKAFAYATAHIHPSHPRQLDSTNSIEKDKINDITGLRNLAKSLSAKHSVQTESAEYMKRRNSCAREKYNLQSGKPKLPGSSMYMLGIKTRNIKKLLHSRERNLGEI >PVH63227 pep chromosome:PHallii_v3.1:3:62831220:62833282:1 gene:PAHAL_3G497900 transcript:PVH63227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVDRRVVAKKKKLERAAAEKRILRALDHPFLPTLFADFDAAPHFSCVVMEFCPGGDLHSLRHRMPSRRFPLPSARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLIDFDLSLESTSSPSLESADADDDGASTSVSCFPDHLFKRHRRQRRTAAPRTFVAEPVDARSCSFVGTHEYVAPEVARGGPHGAAVDWWALGVFLYELLHGRTPFAGADNESTLRNIARRPLAFPPPSSGPADAAARDLIAGLLAKDPARRLGSRRGAADVKAHPFFRGLNFALLRSSRPPVVPGASPLHRSQSCHAAPTTATPDKKPPAPAPDARFGLF >PVH63247 pep chromosome:PHallii_v3.1:3:63421440:63422177:1 gene:PAHAL_3G504700 transcript:PVH63247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPEVDPWFISTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPAERSGRTWIARMRRLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH63251 pep chromosome:PHallii_v3.1:3:63479107:63483337:-1 gene:PAHAL_3G505200 transcript:PVH63251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVSASGGAMGSLLRKLGELLTAEYKLLKEDKGQIMFLKAELESMYVFLKKISDSEEPDEQDKCWAKEVRELSYDIEDSISEFMLRVERDSSKPHGFKGFITRSTKLLTTMNTRHEIAKEFEGLKIRVKEASERRTRYKIDDTVPKQNNTTIDPRLLALHAETTSLVGVKGPRDQLIQLMDGEGVPAHQLKVLSIVGFGGLGKTTLANEIYHKLEEKFQSRAFVSVSQKPNIRKILRSILSQAGFIAPKDTNIEMWEESELIIALKNFLLDKRYLIVIDDIWDASAWDIIRCALPENTNGSRVITTTRIEAVARACCSNHIECVYKMKALSDQDSRSLFLKRIFGSEDMCPSYLNEVSSEILKKCGGLPLAIITTSSLLANQPNKLKKERWEYVRKSLGSNFEVSPSLEGMRQILNLSYINLPHYLKTCMLYLGIYPEDYTIDKNDLTRQWVAEGFICKERGIDPKDIAESYFNELINRSMILPVDTDYNGEVISCRVHDMMLDLILHKSREENFITIIDDMQDMTGHQNKIRRISLNLDDATNDTADTAARSVQLSQIRTLARFGTSSQLLSFKLFKHLRVLGIEISGWSKSDPSLDFTGIRHLFQLRFLKIVAEGCHVVLPSKIGDLQQLETFDIGNGKNPYKRTWLPKLPSDIFNLSRLLHLVVPSHVILPDRIGNMKSLRTLGRFDLGNSMDSIKGLRELTNLTNLEISCYYHYSKSRDETAAKCWEVVHALENLCNLRHLHIYSFNDLVRSCFDVWRSVPAYFFHLQSFHAKWVSWFSRVPKWIGQLHSIYDLDLTVQEVLEDDVGILSQLPSLIHLVLHIRRAPEAKIIIPGGSGLFPVLKHFRIICGRISYLTFEAETMPKLERLELCFNAKGWTGTELCLLASSTYQASKKSL >PVH61281 pep chromosome:PHallii_v3.1:3:306584:307095:1 gene:PAHAL_3G004600 transcript:PVH61281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPWRAVTYLSGGSSSFIVELDPLLLVLSLSQREREREGRVGPDWNRPLRGIILLSRIE >PAN18578 pep chromosome:PHallii_v3.1:3:13755368:13764199:-1 gene:PAHAL_3G211700 transcript:PAN18578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDAAGGQRSSSSSNRARGDAALMPTSSPFTGDGGGAGSPTRVERMLREREHSRRHLFAPSDAMDTDGAEPASASAGAFVADGVQSPGCAAPANMEDAGGVAPGHAARPPLAGSRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRLELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRALELPAVKRHINELTHRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRHALTMPSDEREKRHRHNYAHVTTHTAQDWAETFVCELNDTVAEAQLRTRQVPPGLPSQTAIQQYLRSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLKALCEDENTTVIVLSGSDRSVLDENFGEFKMWLAAEHGMFLRPTYGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSENMVTPIDYVLCVGHFLGKDEDIYVFFDPEYPSESKVKPEGGSASLDRRPNGRPSNGRSNSRNSQSRTQKAQHVASEKSSSSSHSSTSSDHNWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEDVVSFLKELATATAGFQAGNADYMFLDRQ >PAN16103 pep chromosome:PHallii_v3.1:3:2344058:2346723:-1 gene:PAHAL_3G036300 transcript:PAN16103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDCGNHAEDDLRRSCRRLLALLLGLALIVAIIALIVYLVLRPTHPRFFLQDASLRQLDLSNGSSNLLSTTLQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPNVPFAPYLADALNQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTNGGNGAPGASGFKFQTTTSCRVEV >PVH61921 pep chromosome:PHallii_v3.1:3:10143054:10143449:1 gene:PAHAL_3G158000 transcript:PVH61921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGKVASASSLHCIIQASSCQVHFLIDFPAENGWHDKHYIFPIVCKILIA >PVH61381 pep chromosome:PHallii_v3.1:3:1054115:1055024:1 gene:PAHAL_3G019400 transcript:PVH61381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAHAKSQNFRLTPKSRQHHSAAPFAEAVTFWSTICSKGNPEENATTSSSELDNFRFLFLEKVTR >PAN22039 pep chromosome:PHallii_v3.1:3:63747506:63752829:-1 gene:PAHAL_3G508800 transcript:PAN22039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQQGAAARRMATLASHLRPQHASSHRQMEEVPLLMGSNCRAKGAAPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPREVNVPVIGGHAGVTILPLLSQVNPACSFTSEEVNHLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSYVASQVTELPFFASKVRLGRSGVEEILPLGLLNEFERAGLENAKKELAQSIQKGVSFINK >PVH62612 pep chromosome:PHallii_v3.1:3:28306915:28310448:-1 gene:PAHAL_3G337200 transcript:PVH62612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLPACPGSSGAAGGRGRNGRPPHYTTTTSGELRAPIPFTCGNESSSAIPTCPRAAAASPSPSPTAALLPSSEQRPAAAPSATCPEYFRYIHSDLSPWREAGITREAVERARGMATFRLVVVAGRAYVEKYHPAYQTRDVFTLWGILQLLARYPGRVPDLDLMFFCGDIPVVRAAAYPDRSEAPPLFMYCTEDPALDIVFPDWTFWGWPEVNIRPWAPFLEEVARESWRTPWLDRKPYAFWKGNANVCGLRRDLMRCNKGSDSGKDWNARLFRQDWGYANRNGFKDSNLAKQCTYRYKIYVQGRGWSVSEKYILACGSPMLRVDTPFRDFFSRGFVAGRHYWPIDAARMCPSIKLAVDWGNAHPAQAQRMGEEGSSFLRKELSMDYVYDYMLHLLTHYARLLRYRPTVPENATELCLESMACTASGCAREFMMESMEKYVADYEPCALPGPFTADELAELAQRDVEMRSKMKKLEEQEEET >PAN17844 pep chromosome:PHallii_v3.1:3:10202151:10205392:1 gene:PAHAL_3G158700 transcript:PAN17844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTVHYASRAYVLMSFECGASSSSRKTLSRHKCCALVEPTLSQNELQKSSQLIQYREFILPKSSLKELACEELASGMDDPWSINNTSYPASVSRAHYSVNKRNVEQHVQDHQLHHPQGGTYFTGLSKKEIERRRKIGAANKGQVPWTKGKKWSEEHKKLISQRTTQALRDPKVRKKMGHRQQHRQASKDKISAALRKIWERRILSVRSRQKVMQIWSDSIAEAAKRGDYSQDKLDWDSYERIKSEMISMFLWSKEREQTIKKLKKAVVKIAAKKLQAAGRRKVQAAGTKKLNPEKMLLQKPDAQLTRVVVSARPKLKERLTKWHGRKKELETVISSRARKRGSRNLSRRQMTAERRAEVDLVELDAPSGPGAGASVI >PAN21314 pep chromosome:PHallii_v3.1:3:56981518:56985917:-1 gene:PAHAL_3G434100 transcript:PAN21314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAGDDDDDGPPPAYAAQRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPAVAAAEEATFAGLISSLKTLRGLLFQPRHGAWRCSDPSTYLTPFLDVVQSEEAPPAATGVALSSVLKVLRIDVFDECSPGARDAVHAILTALTNCRMERFSDTGAEEAVLLRVLQVLAALLRARAAPLLSDSAVCTAVNTCFQIVQHAASSRGSELLQRTARHCMHEILQAVFARLPDIRDDADADADLALGGGSSGAGFGARCMVDVFNFLCSLLLNASDMVITPDGQGAFTSEEDVMLFSLVLVNSAVELGGEAIGKHAKLLRLIQDDLFYHLIHYATEYSPLVLSMICSTALNLYHFLRRFLKLQLEAFFMFVLLRVCGGANGPQLQEVAVEGLISFIRQPTFVIEMYVNYDCDPLLRNVFEEIGKLLCKAAFPATGPMTAVQLQAFEGLVNMITTIADNVEVDKAPDHDAYAVDVSEFRLFWTERWDSSSSSGGGAGAERETWVDFVRKRKLRKKKVAIAANHYNRDQKKGVEFLKLCHLVPTPPEPRSMAYFLRYSPGLDKNKIGEFLGDPDEFNLKVLKEFTETFDFTGAILDTALRTYLETFRLPGESQKIQRILEAFSERFFEQQTTGVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINDKKDLPREYLSELFHSISTNAITVFSTTAAAVEMTPSRWADLVKRSRAMEDFTPCDFKHKLSREVFIAVSGPTVATLAAIFDCADDEETLNQCVEGLVSVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTIANRFGESVRGAWKNVVECLLKLKRLKLLPPSVIDPEDSGGGGWRGSERPGHRHRASTSDAGVIFPTTHRGAGTSRHVSGMIGRFSQFLSLDSGGESLLSVGSEFENNLKIIQQCQVGTIFKESGKLPDEALQNLGRALIFAAGGKGQKFSTPIEEEETVGFCWDLLALLASANLHRFANFWPPLLDCFNAVSQLPLFSPCPFAEKAIVALFRVAVRLLSAAAPPQRAVDTRVSEELVFKSINMMWKLDKEILDTCCEGISESIVKLLTEHAGGVQTPLGWKTLLHLLTVTGRHPETFDQSVVAMIKIMSDGGAHITRFNYAAVIEAAFGFAALKISPLDISTKILELMAESVNWLIQWHKSGYSDPGNSVGFSGSAASSASSLEDASRMGNLAANMFIKLAEALRKTSLVRREEIRCQAVFELGRAFNLAAAGDLDFGPAGCLACFNLVIFAMVDDLTEKTLEYSRREGAERETRSMEGTLAAAAELLADVFVLLLPTLAQAPGFRTFWLGVLRRMDTCMKCDLAAGGGAGVMQELVPRMLKRMIMEMKAKEVLVPREGDELWEITHIQIQWIAPAVKDELFPE >PVH62955 pep chromosome:PHallii_v3.1:3:57731115:57732324:-1 gene:PAHAL_3G439000 transcript:PVH62955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIHCTASPTTRWRGVVAGARFLRRFASRDSGRHLVAGSYHNGRRRFPSCSPERARTAFVAAARTTSPPPAARFSLDFLWRAPGGEGVVDPWFWRIQDSRGGLLLWAFEEREDCSPTWRMHMVICDPLERRYRVIPHMVRSGRYHLHSGPFLLDGGGVGGVGLSSFRVTCVVYDRRGRCRGSTFTSSRERGGGAWRGHSMGWERMRDFMGRTRASLFWHAGGGAVEALDRTTGEVSCSELPRAEGLDERAAALKVTAGGDGEARWRVALEKTIELAAVARGPPGYRPSCFSGEPAQWMINVTDTALVAVWRRGERWAYRLDIDTAEAEVVPDEDGWDVAFPCELPWPPILHHLAAGV >PAN17717 pep chromosome:PHallii_v3.1:3:9751787:9757420:1 gene:PAHAL_3G151300 transcript:PAN17717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like serine/threonine-protein kinase BAM3 [Source:Projected from Arabidopsis thaliana (AT4G20270) UniProtKB/Swiss-Prot;Acc:O65440] MARALLPRLLLLLLLRTAIAIAIATTATAPQAEAGDDALARQAAILVSIRDAFAPPLPPPLRAWALANSASLCTSWPGVACGPGGRRAVVSLDVSGYNISGALSPAVGDLAGLRFLSAAGNSLSGLLPPTLAALRELRHLNLSNNQFNGTLAGIDFSAMRELQVLDLYDNDLAGPLPDPESAGLAALPGLRHLDLGGNFFSGTIPPAFGRLPAIEFLSLAGNSLAGPIPPDLANLTTMRHLYLGYFNHFDGSIPAELGRLANLVHLDLASCGLQGPIPASLGGLARLDTLYLQTNQLNGTIPPSLGNLTGLRFLDVSNNALTGEIPPELAALRGLRLLNMFMNRFRGGIPDFVADLDSLQVLKLWQNNFTGAIPAALGRAAPLREVDLSTNRLTGEVPRWLCAQGRLEILILLDNFLFGPVPEGLGACPTLTRVRLGQNYLTGPLPRGFLYLPALTTVELQGNYLTGRLEEDDASVPAKLSLLNLSSNRFNGSLPASIGNFSALQTLLLSGNQLAGEIPRQVGRLKRLLKLDLSGNNLTGAVPGEVGECASLTYLDLSGNQLSGAIPERLAQIRILNYLNVSWNMLSGSIPRELGGMKSLTAADFSHNDLSGRVPENGQFAYFNATSFAGNPRLVMGAPRLWAGPGGGGGTQQQQQQQQGSSSPSSSLLVGRLKLLAALGLLGCSVAFAAAAVATTRSAMLRRRGRGRSPSPGRWRMTAFQKVPFGCEDVVQCVKENHVVGRGGAGVVYRGEMPGGELVAVKRIVAAGGGGFQAEVETLGRIRHRHIVRLLAFCSSSSRDEAMRLLVYEYMVNGSLGEMLHGDGGEAEGRHPLTWAARLRVATEAARGLCYLHHDCSPPILHRDVKSNNILLDARMEAHVADFGLAKFLRGNGASECMSAVAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGLRPVGEHLGEDGVDLVQWARARTGPGGGGVLGLLDPRLGGDVPAAEAAQVLFVAMLCVQEHSVERPTMREVVQMLQQAKHHPPPVPPPPAAAPPDAC >PVH62696 pep chromosome:PHallii_v3.1:3:40715355:40715765:-1 gene:PAHAL_3G373300 transcript:PVH62696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHRFLQDRGGRRRAAHTLNGDGAASAREHACPMTAGPRAHSRKTATRSGGRHERPCAATLSSTPATASRCWPAHDISASKRCATQAAAGTAEPSSPAWRALGPANLRGRNAPSPPTRVGRFPRCLVPVSTPFPA >PVH62666 pep chromosome:PHallii_v3.1:3:37441806:37442606:-1 gene:PAHAL_3G362700 transcript:PVH62666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGGDFNLIRKESEKSSELYNHTLIAQFNELIGKHLLREVTRVGSKYTWTNKQLAPVLVNLDRFLMTSDWEDRFPLCLAWGLTRVGSDHSPIILDSGVHGASRPRCFFFEKQWLLSLISRPWWLRNGRQANKEDLKGVTLLPTGKGALVL >PAN20736 pep chromosome:PHallii_v3.1:3:52493578:52500588:1 gene:PAHAL_3G408200 transcript:PAN20736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPLPRWAPTPSPSRPLWRWGGGTPDASARAAAGRGGGWSLGSVFAWATGGGLHRGGGTASNGSGAGALAPPGGGGGGVCVPGSGCEMMPPASPSAAGDADPTVFLTWEDVRVTVAGGAHGAPPVEILDGVSGHARPGEVLAIMGPSGCGKTTLLDTLAGRLGPGMNKTGLILINGRQKKLAYGTSAYVTQDNVLMSTLSVREAVYYSAQLQLPDTMPAPEKRAHADRVIREMGLGDAMDTRIGGRITKGISGGQRKRVSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRIAVREGMTVVAAVHQPSGDVFELFHGLCLLAAGKTVFFGTISDATEFFTLNGFPCPHLRSPSDHFLRTINKDFDEEIVESSKTNRKTAAEAIDILTTAYKSSSYAEKTTYQIVEMKHMDGASFRRRDQASFSTKLLVLTRRSFLNMHRDIGYYWMRLAVYMGIGLCLGTIFYQVGYSYSSIQSRCEVIMYTTALLTFMAIGGFPSFVEDVKVFRKERLSGHYGVSEFVISNTLSATPYLSVIAVLPGAMLYYLTGLTKGVDHFTYFVIVLCICCLLVESMMMIIAAIVPDFLMGIIIGAGVQGVMMLNGGFFRLPSELPKPIWKYPCYYMSFHKYAVQGFYKNEFMGLTFPSDQLVETNATISGIQILKSKLEVEMGYSKWVNLAILCGMMVIYRIIFFAIVKITETIRPKLGGKLGCVR >PAN17845 pep chromosome:PHallii_v3.1:3:10209698:10213207:1 gene:PAHAL_3G158900 transcript:PAN17845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHSSLRWHRARLIDSPPAQGSGSRPGSSPILLPVFLKPFPFSPTLLPCSSFPGGDDWASGGAICHDFAQPFAGDEHPPGMPAPSLSSVVRDGAPQTLTKLIVFGSESSYNILPINFDFVCKNYRAYMCTPMSYAGSAPAAQPPLRLRPLFICRPPWLLTSNSAYSNLRMKSKANGSIQKAGKANDVQGGPNWVLVAGGVLLSTLSVRLGSKLKQIFVTKQQNTSTKAKRRPGACDLHSNLYRFSDQTSCHCYMSGHADGVEFKQAPPSPISRSTEPSNLLVKIPAPESSKENSGVMWSSSPDRLEDPRKTFQYSNCSGSPSVSESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQITDLKNSLTIQVTQNTNLQSQLDGTNRDLFESEREVQHLRKIIADHCVAESLLHDKPFQAGQWQSNGTNGHVNGYSDSSLDDPELHCNSVEKRKGEGERVELLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKLSAQVPNIL >PAN26080 pep chromosome:PHallii_v3.1:4:51951333:51954448:-1 gene:PAHAL_4G345800 transcript:PAN26080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGQPGALRRITVHYANSPTRRIGDADLDDLDDDLLQFVLADLLPGQEGLHQSILEEASSNQNHMRGAPSENSQSQHYHGESSTAAAASATASGTSGTEEQIASDFEYAKRLQEMEDLSIEDDDISCVPSPSDSDDDHDHNDEEAERQDGNDDDPDNMTYEQRQALVEAVGTEDRGLPDELISYLQTWKYKASGFFSRKTNHEDCPICLSTFRHRENMITLPCKHYYHAACVTKWLKVNKTCPVCKYEPFGPC >PVH48508 pep chromosome:PHallii_v3.1:4:51951785:51953426:-1 gene:PAHAL_4G345800 transcript:PVH48508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGQPGALRRITVHYANSPTRRIGDADLDDLDDDLLQFVLADLLPGQEGLHQSILEEASSNQNHMRGAPSENSQSQHYHGESSTAAAASATASGTSGTEEQIASDFEYAKRLQEMEDLSIEDDDISCVPSPSDSDDDHDHNDEEAERQDGNDDDPDNMTYEQRQALVEAVGTEDRGLPDELISYLQTWKYKASGFFSRKTNHEEYVCLFSFSGSCPICLSTFRHRENMITLPCKHYYHAACVTKWLKVNKTCPVCKYEPFGPC >PAN26255 pep chromosome:PHallii_v3.1:4:52673491:52686685:-1 gene:PAHAL_4G357900 transcript:PAN26255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPMAACRPQALLTPSAFRPPSPAPFSPRHRRRPRPPLSSAPAAADGGASSSSVSAANQRGPPASSVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQQHTQFQVILKPDPGNSQDLFLHSLSAIGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLQPVSVEITYGLERILMSLQGVDHFKNIQYTEGITYGELFLENEKEMSAYYLEHADVNHIQKHFNDFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVETREKLGHPLGTYEEANLIYPHVSEKPSREEVQGQPRAFVLEIGTEELPPRDVLEATEQLEKSLVNTLEKHRLSHGKVHSYGTPRRLAIVVENLSMKQTEVEVEVRGPPVAKAFDQEGNPTKAAEGFCRKNNVSVDCLYRRIDGKTEYIYARVRESARFADEVLTEDIPTIVSGISFPKSMRWNSNIVFSRPIRWILALHGDFVVPFSFAGISSGNSSCGLRNSSVANFKVETAESYLSAVGKAGLLIDMQERKEKVLRDSSILAKGVGGDFIAPDSLLQEIVNLVEAPVPILGQYDDSFLELPKDVLITVMQKHQKYFAVTSKSIGNLLPYFIAVANGAIKEEVVRRGNEAVLRARYEDAKFFYKMDTQKKFSEFRGQLSGILFHEKLGTMLDKMTRVENIVAELTLILGINETMIPIIKDAAALAMSDLATSIVTEFTSLAGIMARHYALREGIPEEIAEALFEITLPRFSGDVFPRTDAGIVLAVADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVQILVENKKSFDLRRALTLMSEVQPIKIQSDVIDEAVQFVTRRLEQLLVDEGINCEIVRSVLMERANCPYLAAQTATEMEAFSRTETFPKIVEAYSRPTRIIRGKEIESALEVDPSVFEKDEEKVLWCAYLEIADKIHPGVDIKTFADASLLLIQPLEDFFNNVFVMAEDERIRNNRLALLRKIESLPKGIAELSVLPGF >PAN26253 pep chromosome:PHallii_v3.1:4:52673491:52686685:-1 gene:PAHAL_4G357900 transcript:PAN26253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPMAACRPQALLTPSAFRPPSPAPFSPRHRRRPRPPLSSAPAAADGGASSSSVSAANQRGPPASSVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQQHTQFQVILKPDPGNSQDLFLHSLSAIGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLQPVSVEITYGLERILMSLQGVDHFKNIQYTEGITYGELFLENEKEMSAYYLEHADVNHIQKHFNDFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVETREKLGHPLGTYEEANLIYPHVSEKPSREEVQGQPRAFVLEIGTEELPPRDVLEATEQLEKSLVNTLEKHRLSHGKVHSYGTPRRLAIVVENLSMKQTEVEVEVRGPPVAKAFDQEGNPTKAAEGFCRKNNVSVDCLYRRIDGKTEYIYARVRESARFADEVLTEDIPTIVSGISFPKSMRWNSNIVFSRPIRWILALHGDFVVPFSFAGISSGNSSCGLRNSSVANFKVETAESYLSAVGKAGLLIDMQERKEKVLRDSSILAKGVGGDFIAPDSLLQEIVNLVEAPVPILGQYDDSFLELPKDVLITVMQKHQKYFAVTSKSIGNLLPYFIAVANGAIKEEVVRRGNEAVLRARYEDAKFFYKMDTQKKFSEFRGQLSGILFHEKLGTMLDKMTRVENIVAELTLILGINETMIPIIKDAAALAMSDLATSIVTEFTSLAGIMARHYALREGIPEEIAEALFEITLPRFSGDVFPRTDAGIVLAVADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVQILVENKKSFDLRRALTLMSEVQPIKIQSDVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLMERANCPYLAAQTATEMEAFSRTETFPKIVEAYSRPTRIIRGKEIESALEVDPSVFEKDEEKVLWCAYLEIADKIHPGVDIKTFADASLLLIQPLEDFFNNVFVMAEDERIRNNRLALLRKIESLPKGIAELSVLPGF >PAN26251 pep chromosome:PHallii_v3.1:4:52673490:52686685:-1 gene:PAHAL_4G357900 transcript:PAN26251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPMAACRPQALLTPSAFRPPSPAPFSPRHRRRPRPPLSSAPAAADGGASSSSVSAANQRGPPASSVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQQHTQFQVILKPDPGNSQDLFLHSLSAIGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLQPVSVEITYGLERILMSLQGVDHFKNIQYTEGITYGELFLENEKEMSAYYLEHADVNHIQKHFNDFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVETREKLGHPLGTYEEANLIYPHVSEKPSREEVQGQPRAFVLEIGTEELPPRDVLEATEQIVVENLSMKQTEVEVEVRGPPVAKAFDQEGNPTKAAEGFCRKNNVSVDCLYRRIDGKTEYIYARVRESARFADEVLTEDIPTIVSGISFPKSMRWNSNIVFSRPIRWILALHGDFVVPFSFAGISSGNSSCGLRNSSVANFKVETAESYLSAVGKAGLLIDMQERKEKVLRDSSILAKGVGGDFIAPDSLLQEIVNLVEAPVPILGQYDDSFLELPKDVLITVMQKHQKYFAVTSKSIGNLLPYFIAVANGAIKEEVVRRGNEAVLRARYEDAKFFYKMDTQKKFSEFRGQLSGILFHEKLGTMLDKMTRVENIVAELTLILGINETMIPIIKDAAALAMSDLATSIVTEFTSLAGIMARHYALREGIPEEIAEALFEITLPRFSGDVFPRTDAGIVLAVADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVQILVENKKSFDLRRALTLMSEVQPIKIQSDVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLMERANCPYLAAQTATEMEAFSRTETFPKIVEAYSRPTRIIRGKEIESALEVDPSVFEKDEEKVLWCAYLEIADKIHPGVDIKTFADASLLLIQPLEDFFNNVFVMAEDERIRNNRLALLRKIESLPKGIAELSVLPGF >PVH47514 pep chromosome:PHallii_v3.1:4:5299091:5300565:1 gene:PAHAL_4G077600 transcript:PVH47514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSERAEEAHIDNLGKKLPQQRTGTTRYSSICACQSLGVSTFLPEAACTTRSRRQFRFLDHGGSDLRTSHRAVKWILAELWYWFGDPVLLCFLIFFDHMHVLFYQ >PVH48051 pep chromosome:PHallii_v3.1:4:41543856:41544672:-1 gene:PAHAL_4G228400 transcript:PVH48051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFANDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTVYMLCDFSLTRHKDGDVSLEGQVKDEDIDEDVRHRISAGWLKRRQASGVLCDKKVPQRLKGKFYRTVIRPAMLYGAECWPTKRRHVQQLSVAEMQRVRNEEIRDRVGVAPYEEKLIQHRLRWFGHVQRRPPETPVRSGVLKRGDNVKRGRGRPRLNWDKTVKRDLKEWNIAKELAMDRSAWRLAINVSEP >PAN24383 pep chromosome:PHallii_v3.1:4:37875934:37891226:-1 gene:PAHAL_4G212700 transcript:PAN24383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEQLKELGEKLQAAAPAPADELAKLLEKAAECLHGIEQSPGSSVMEAIQPSLKAFTREEFLKHEDEDVKVLLATCFCEITRITAPDAPYDDDVLRDIFYLIVGTFRGLSDVNSQTFGRRVAILETVARYRACVVMLDLECDDLITDMFRTFLEVVSDSHEENIVKSMQTIMTLIVDESEDIQESLLHVLLSALGQKKTGAAMSGRKLARSVIEHSARKLEPYIKKFLTSSWAGDGSSSNDQIDHHGIIVDVYQCAPKVLKVVVPYITGELLADEVDIRSKSVELLGEIFSLPGAPIVEYFKTLFSEFLKRLTDRVVEIRISMVEHLKRCLISNPSRPEAPEIIKALCDRLLDYEENVRKGVVAALCDVACHSPDAIPIDTIKVVAERVRDKSVAVKCYAMERLADIYKLYCQRSSDSYSDDFEWIPGKILRCIYDKDFRSESIESILCASLFPPEFPMKGRVKHWVTAVTHFDKAETKALESILLQKQRLQQEMLKYMSLRQLSQEDAPDLQKRIVGCFRSMSRSFSDPAKCEENLNMLHQLKDGNIWKLFTSLLDCSTSFEKAWSLRADLLKILGEKHALYDFGGALAMRCSYLLVNKEYAKEILSEASEQKTSGNTKLISACMNLLTAISSFFPSLLSGLEEDIVELLKEDNEVLKEGIAHVLSKAGGNIREQLASSSSLDLLLERLCLEGTRRQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSMLQSLGCIAQISMPIFETREEEIISFITTKILECNDDMVENSSHKSEWGDSTQNCLLKIYGIKTLVKSYLPCKDAHAHPGIEKLFVILKNILTYGDISRNMVSSAADKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDFPQVRKLFLCKVHQYIKERALDAKYACAFLFGVNDYHAPQYEEFKHNLIEVVQICQQVKMRQLSVQADMNLLTAYPEYIISFLVHGLAHDPSTPDIEEYENVKAFGPIYWRLHLILSILLGEEGLQHSVPGMKKESFTTIISIFKSIKCSQDVVDGNKTKTLHAICDLGFLIAKRLCNDQTNLSEAQTVPLPVQLYMPLPDNQNKNSVESDEKMWLGCEKVLAHFDAVMTANIDKVKSPKHKMLIDETDEFGNEVPLGKIVKLLKSQGEKKMGRKQKTPSSSVNAGNVDDVLGLVREINLDNQGDLGESQKRKPKKRQTETKEINEKPLDFSSPKRKRSISNNRPHSAKSSKNSDEHLLHTPSKDRTLESKLKEKKGRHDSTDTELLVSPSSKTPVSKGNKGAKKSHSDILNSGPKKFAVADSNKRTVEPRSLNGSLKRQKPKPISGLVKCSTHDSSSTGLVGHRIKVWWPLDKRFYGGVVQSYDSSKKKHMVLYDDGDVEVLNLAKEKWMPIESNDSSVKKQKKDHLLTNQGRAQERTTSSSKSSPNQQKSKKRSLPQKRKGQPKNKRRKTAGGNKSVEGSSGAGGNDSDSSSSLALSDVDKDVNSDAQMEEEVVISSAEKEKAGKDPKDVKIKEKAQKGSKDVEMKERAGKDLKDAEMEEKVGKESKDVGMKEKAGKDSKNVKMKESQDVEGKEKAGKESKDVKMKEKAATEPKDVEAKEKAGKDPKDVKMKEKVAEEPKDVEVNETAGKDSNDVEMKEKAGKESKDVKMKQKAGKESKDAEMEETEKQDDHSLSSKEESDNETLSVWKKRTAKAT >PAN24385 pep chromosome:PHallii_v3.1:4:37876037:37891226:-1 gene:PAHAL_4G212700 transcript:PAN24385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEQLKELGEKLQAAAPAPADELAKLLEKAAECLHGIEQSPGSSVMEAIQPSLKAFTREEFLKHEDEDVKVLLATCFCEITRITAPDAPYDDDVLRDIFYLIVGTFRGLSDVNSQTFGRRVAILETVARYRACVVMLDLECDDLITDMFRTFLEVVSDSHEENIVKSMQTIMTLIVDESEDIQESLLHVLLSALGQKKTGAAMSGRKLARSVIEHSARKLEPYIKKFLTSSWAGDGSSSNDQIDHHGIIVDVYQCAPKVLKVVVPYITGELLADEVDIRSKSVELLGEIFSLPGAPIVEYFKTLFSEFLKRLTDRVVEIRISMVEHLKRCLISNPSRPEAPEIIKALCDRLLDYEENVRKGVVAALCDVACHSPDAIPIDTIKVVAERVRDKSVAVKCYAMERLADIYKLYCQRSSDSYSDDFEWIPGKILRCIYDKDFRSESIESILCASLFPPEFPMKGRVKHWVTAVTHFDKAETKALESILLQKQRLQQEMLKYMSLRQLSQEDAPDLQKRIVGCFRSMSRSFSDPAKCEENLNMLHQLKDGNIWKLFTSLLDCSTSFEKAWSLRADLLKILGEKHALYDFGGALAMRCSYLLVNKEYAKEILSEASEQKTSGNTKLISACMNLLTAISSFFPSLLSGLEEDIVELLKEDNEVLKEGIAHVLSKAGGNIREQLASSSSLDLLLERLCLEGTRRQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSMLQSLGCIAQISMPIFETREEEIISFITTKILECNDQDMVENSSHKSEWGDSTQNCLLKIYGIKTLVKSYLPCKDAHAHPGIEKLFVILKNILTYGDISRNMVSSAADKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDFPQVRKLFLCKVHQYIKERALDAKYACAFLFGVNDYHAPQYEEFKHNLIEVVQICQQVKMRQLSVQADMNLLTAYPEYIISFLVHGLAHDPSTPDIEEYENVKAFGPIYWRLHLILSILLGEEGLQHSVPGMKKESFTTIISIFKSIKCSQDVVDGNKTKTLHAICDLGFLIAKRLCNDQTNLSEAQTVPLPVQLYMPLPDNQNKNSVESDEKMWLGCEKVLAHFDAVMTANIDKVKSPKHKMLIDETDEFGNEVPLGKIVKLLKSQGEKKMGRKQKTPSSSVNAGNVDDVLGLVREINLDNQGDLGESQKRKPKKRQTETKEINEKPLDFSSPKRKRSISNNRPHSAKSSKNSDEHLLHTPSKDRTLESKLKEKKGRHDSTDTELLVSPSSKTPVSKGNKGAKKSHSDILNSGPKKFAVADSNKRTVEPRSLNGSLKRQKPKPISGLVKCSTHDSSSTGLVGHRIKVWWPLDKRFYGGVVQSYDSSKKKHMVLYDDGDVEVLNLAKEKWMPIESNDSSVKKQKKDHLLTNQGRAQERTTSSSKSSPNQQKSKKRSLPQKRKGQPKNKRRKTAGGNKSVEGSSGAGGNDSDSSSSLALSDVDKDVNSDAQMEEEVVISSAEKEKAGKDPKDVKIKEKAQKGSKDVEMKERAGKDLKDAEMEEKVGKESKDVGMKEKAGKDSKNVKMKESQDVEGKEKAGKESKDVKMKEKAATEPKDVEAKEKAGKDPKDVKMKEKVAEEPKDVEVNETAGKDSNDVEMKEKAGKESKDVKMKQKAGKESKDAEMEETEKQDDHSLSSKEESDNETLSVWKKRTAKAT >PVH47704 pep chromosome:PHallii_v3.1:4:9923249:9924897:-1 gene:PAHAL_4G123600 transcript:PVH47704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASPAARRRWTSRGWTCPSPSSRCSSPRASPTTTTATSPRPSPAASPSSQADVVAYALCLANIIVMVVWLSPDSGPTAAAAKSLLRLSDDEDDGSTGSAGAGADKCCVCLAGMREDQALRELPRCGHSFHDKCIGKWLKAHPTCPVCRATAVPPPQDGGGGDPLDDDISPV >PAN23846 pep chromosome:PHallii_v3.1:4:9923249:9924841:-1 gene:PAHAL_4G123600 transcript:PAN23846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPWLDLPFTFLTLLLATRLAYDYYGDVAAAFAGGFSIQVFLFYCFARWYRHAIEGRAAAGGRDDPSPSNRQVGADAEAPPVLTPLLGAPGGVRASALASRCFAVVFMVFVPLLIVVFERSQADVVAYALCLANIIVMVVWLSPDSGPTAAAAKSLLRLSDDEDDGSTGSAGAGADKCCVCLAGMREDQALRELPRCGHSFHDKCIGKWLKAHPTCPVCRATAVPPPQDGGGGDPLDDDISPV >PAN22308 pep chromosome:PHallii_v3.1:4:724769:728003:1 gene:PAHAL_4G010600 transcript:PAN22308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPERKRMAGLWEREVGGLPPRNFANAFMASKDFVQSLSIHKRLRKHRGCVNTISFNGDGRLLLSGADDRTVVLWNWVEAVPTVSFHTGHSNNVLHAQFMPFSDDRSIVTCAGDGEVRHSQIQEGGHATTDKLVELEFAVHRFAVEPGSPYTFYCCCEDSSVWLFDLRGKNAVELFKLAGSDEYVRIYDTRKIRLDGNSIFGHPTEHFCPPHLISENKDGITGLAYSQTSELLASYSHDNIYLFSREHGLHFNNIEVDERLLMDGTNPLSCCRDELPIPKTFNGHQNQHTIKGVNFLGPNCDYVTSGSDCGRIFIWRKKDGELIRVMTGDKHIVNCVEQHPSGIVVASSGIDKDIKIWEPGEGENPSIAPVDKVEEDMWLSSSSDSDGFFYNDDLEYAMDLDDIYVYGNGDEHSSEEDEDTSSEEDDDGDNSAKEDNDGDNSAAGEGGADCENSAEDVSDG >PAN22307 pep chromosome:PHallii_v3.1:4:724769:728003:1 gene:PAHAL_4G010600 transcript:PAN22307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPERKRMAGLWEREVGGLPPRNFANAFMASKDFVQSLSIHKRLRKHRGCVNTISFNGDGRLLLSGADDRTVVLWNWVEAVPTVSFHTGHSNNVLHAQFMPFSDDRSIVTCAGDGEVRHSQIQEGGHATTDKLVELEFAVHRFAVEPGSPYTFYCCCEDSSVWLFDLRGKNAVELFKCRAADHFTADATELFAIAIDPMKPYCFAVAGSDEYVRIYDTRKIRLDGNSIFGHPTEHFCPPHLISENKDGITGLAYSQTSELLASYSHDNIYLFSREHGLHFNNIEVDERLLMDGTNPLSCCRDELPIPKTFNGHQNQHTIKGVNFLGPNCDYVTSGSDCGRIFIWRKKDGELIRVMTGDKHIVNCVEQHPSGIVVASSGIDKDIKIWEPGEGENPSIAPVDKVEEDMWLSSSSDSDGFFYNDDLEYAMDLDDIYVYGNGDEHSSEEDEDTSSEEDDDGDNSAKEDNDGDNSAAGEGGADCENSAEDVSDG >PVH47830 pep chromosome:PHallii_v3.1:4:20466468:20468480:1 gene:PAHAL_4G162200 transcript:PVH47830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKMKKGILKPFRYISNMMDGKEPEMQIGFPTDVKHVAHIGWDGPGAANNNNNAGGAPSWMKDYHSAPLDSSSFRSESGGTAAANPWASQEIVMDGGNLGETSFRDTKSEAGDAGGGDSPPSPGSRKSRRHRSRGSATSSMDVTGAEGAEDKKKDKAKKGIRKNRKKDKDKPSAGEDGAAATCQDLPAVPKKSNRRKSKGSSEGTGGAAAKDGAAAAAPEEEAAAPLPPVADD >PVH47829 pep chromosome:PHallii_v3.1:4:20466468:20468480:1 gene:PAHAL_4G162200 transcript:PVH47829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKMKKGILKPFRYISNMMDGKEPEMQIGFPTDVKHVAHIGWDGPGAANNNNNAGGAPSWMKDYHSAPLDSSSFRSESGGTAAANPWASQEIVMDGGNLGETSFRDTKSEAGDAGGGDSPPSPGSRKSRRHRSRGSATSSMDVTGAEGAEDKKKDKAKKGIRKNRKKDKDKPSAGEDGAAATCQDLPAVPKKSNRRKSKGSSEGTGGAAAKDGAAAAAPEEEAAAPLPPVADD >PVH47593 pep chromosome:PHallii_v3.1:4:6809567:6813717:-1 gene:PAHAL_4G096600 transcript:PVH47593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSLSNKSQRTSPALLPFPSHFTTSARATPQSNRVGRSGDRARAMGSEMNGGGTDRPAAEGEEEKKGGAPPLEAPEVRFTKLFINGCFVDAVSGRTFETRDPRTGDVIASVAEGDKEDVDLAVKAARDAFDHGEWPRMSGSERGRIMTRFADLVERHADELAALESLDAGKHPAVTKAVDIGNAAGSLRYFAGAADKVHGETLRMRGQFQGYTLREPLGVAGLIIPWNFPTTMFGIKVAPALAVGCTMVVKPAEQTPLSALYLADLAKQAGIPDGVINVVPGFGPTAGAAIASHMDVDVVSFTGSTEVGRLIMEASARSNLKPVSLELGGKSPLIIFEDADLDMAVELAISANFFNKGEACIAASRVYVQESIYERFEKKLAERMKSWVVGDPLNDPRVDQGPQVDKAQYERVLNYIELGRREGATLLTGGKPCGQKGYYIEPAVFTNVKEDMVIAKEEIFGPVMCLMKFRTVEEAIARANATRYGLGAGVVTRDLDVANRVVRSVRAGLVWVNCYFAVCSDCPFGGRGMSGFGKDEGMHALDKYLAVKSVVTPIRGSPWL >PAN22679 pep chromosome:PHallii_v3.1:4:2293022:2298064:-1 gene:PAHAL_4G036500 transcript:PAN22679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYFNHSSYPPAPPPPPGTSPYGAYRHAYQPAPPTAYGAYYDRAEQALPPRDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRSGKSSQAYAFAVFADQPSALAALSATNGMVFDLEKNCSLHVDLAKSNSRSKRLRSDDASPYSPEKRTKRPMGFPDSGAGSNIYISGMGNSSHSLSGYSSAQSYTSLESSASFRKDSSTIAPQNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKDANSSTEALNRLQGVILYSSPGEGIRLEYAKSRMGLRKRDKRP >PVH47326 pep chromosome:PHallii_v3.1:4:2295106:2298064:-1 gene:PAHAL_4G036500 transcript:PVH47326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYFNHSSYPPAPPPPPGTSPYGAYRHAYQPAPPTAYGAYYDRAEQALPPRDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRSGKSSQAYAFAVFADQPSALAALSATNGMVFDLEKNCSLHVDLAKSNSRSKRLRSDDASPYSPEKRTKRPMGFPDSGAGSNIYISGMGNSSHSLSGYSSAQSYTSLESSASFRKDSSTIAPQNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKV >PAN25794 pep chromosome:PHallii_v3.1:4:50573099:50580248:1 gene:PAHAL_4G326500 transcript:PAN25794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLEDLTRVLAELAARLSRPPAGGGNASYGDALSASISSLAATLNPSGGRGGASSGTRVLDAALSLMCFDPLEVNRARVDCLVRTLVSALSASVSCGVVRPDGGAGEEMLCVGSSVSPGDCRELLRSCAALVQKLGDSDAGRHSYDLLYAVVKMAVLSPHYQSLFPLPYYKEEGECEYDVGNVAAELINHPSNHAPPTGNSIPLRLFLWHLDPSIIKDDLSAMLQEVTRRPLLCIRKELHNRMEWRIIIICLVCSPTMFMEMGSLLHFWFLATGLGSVLELHSALVSSALDILLKPMSWGISIELGQKFPFSHAYFPSQHSDLLAILTGPLSCKAFLDLVSCINALVHLDNTRSRCSSPKNSQLQPVKGSVKYNSAWYMIINFPFWFNFASALLFHREGSQDYLSETLSKETLADSLSDVSLAQKAAFYLSWVLCPSNDDQCQMLANNILEISHSWARNNKKHPNITVNHRRKLRIPTAMDSEKLHVPTNAVSTLIKEFDDRCVKFCSTTAFPQVQAEKLSDFHPSCHNLLHLLIPLGVLLVSSSCVNEQNCNMLLHYASTGQVLKSTEVETKTKDHASNDGFSSSCSGTAQRWALSGAHLIFGWLGAVEDMSSLIFDCEDRYQHFVNQLKTKTGPYLLKCVTLLVEMLDDADQDRDFAIDLHNRLLNWDKNGQGCEIFGDVILKMNKRFKVTL >PAN23045 pep chromosome:PHallii_v3.1:4:4193769:4194743:-1 gene:PAHAL_4G064200 transcript:PAN23045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAGGGRRQQETAAGASKAQREAAAAGVSVHEWLQHVKASFLGLVRKVTARSEQEAAEADMMAAKAQVEATDEAEAKKKRLG >PAN22254 pep chromosome:PHallii_v3.1:4:370091:374250:1 gene:PAHAL_4G006600 transcript:PAN22254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MRNAAINSSRNFDNKVRGSYNIWRPEFRLTNTDSTLRLMKDQIIMAKLYATIAISQKEPDMYALLMKCIKESQTAIGDALIDSELDSSALERAKAMGHVLSSARDVLYSSSEVSRKLRVMLQSTELDIDAVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGVTKEHFHDAALKEEENKAKLEDRSLYHYAIFSDNVLAASVVVRSTVTNANEPEKHVFHIVTDRLNFAAMKMWFIAHPPQLATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLEKILFLDDDIVVQKDLTPLWDIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNIFDLKEWRKRNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDIAEIDNAAVVHYNGNYKPWLDLAISKYNPYWSKHVHLDSSHVQHCYASKQ >PAN22251 pep chromosome:PHallii_v3.1:4:370091:374250:1 gene:PAHAL_4G006600 transcript:PAN22251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MASSSTAASSSAAAAAYPRAPFSLRRRPGLLALLFVFLCFISFQVVIHVPSARSAVSQWLFSGHQGEHQRPKNCPGCGNSQDVGSADKTIAYTDQYGRIKLFKVTARELASSSIWENPWLPRDSQPIARTQEAAEDLLLANGLETTNLSSAETLATKSVDPIKLKRQVFRRRRKERRVQELLQVDKKVELEMRNAAINSSRNFDNKVRGSYNIWRPEFRLTNTDSTLRLMKDQIIMAKLYATIAISQKEPDMYALLMKCIKESQTAIGDALIDSELDSSALERAKAMGHVLSSARDVLYSSSEVSRKLRVMLQSTELDIDAVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGVTKEHFHDAALKEEENKAKLEDRSLYHYAIFSDNVLAASVVVRSTVTNANEPEKHVFHIVTDRLNFAAMKMWFIAHPPQLATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLEKILFLDDDIVVQKDLTPLWDIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNIFDLKEWRKRNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDIAEIDNAAVVHYNGNYKPWLDLAISKYNPYWSKHVHLDSSHVQHCYASKQ >PVH48173 pep chromosome:PHallii_v3.1:4:46241491:46245585:-1 gene:PAHAL_4G266600 transcript:PVH48173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLGTLLLNLMNSGGVWFPRVYFKPRHIGLLIKNIKYKLMIKQIP >PAN24983 pep chromosome:PHallii_v3.1:4:46239745:46245585:-1 gene:PAHAL_4G266600 transcript:PAN24983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PAN24980 pep chromosome:PHallii_v3.1:4:46239745:46245585:-1 gene:PAHAL_4G266600 transcript:PAN24980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PAN24982 pep chromosome:PHallii_v3.1:4:46239745:46245585:-1 gene:PAHAL_4G266600 transcript:PAN24982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PAN24987 pep chromosome:PHallii_v3.1:4:46240568:46247063:-1 gene:PAHAL_4G266600 transcript:PAN24987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLVHISADHLKRIYKL >PAN24976 pep chromosome:PHallii_v3.1:4:46238778:46247266:-1 gene:PAHAL_4G266600 transcript:PAN24976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PAN24979 pep chromosome:PHallii_v3.1:4:46236238:46247266:-1 gene:PAHAL_4G266600 transcript:PAN24979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PAN24981 pep chromosome:PHallii_v3.1:4:46238812:46247266:-1 gene:PAHAL_4G266600 transcript:PAN24981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PAN24984 pep chromosome:PHallii_v3.1:4:46238811:46247266:-1 gene:PAHAL_4G266600 transcript:PAN24984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PAN24986 pep chromosome:PHallii_v3.1:4:46240616:46245585:-1 gene:PAHAL_4G266600 transcript:PAN24986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLVHISADHLKRIYKL >PAN24985 pep chromosome:PHallii_v3.1:4:46240616:46245585:-1 gene:PAHAL_4G266600 transcript:PAN24985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLVHISADHLKRIYKL >PAN24978 pep chromosome:PHallii_v3.1:4:46236240:46247266:-1 gene:PAHAL_4G266600 transcript:PAN24978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVMFVQG >PVH48172 pep chromosome:PHallii_v3.1:4:46239275:46247063:-1 gene:PAHAL_4G266600 transcript:PVH48172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PVH48170 pep chromosome:PHallii_v3.1:4:46239275:46247266:-1 gene:PAHAL_4G266600 transcript:PVH48170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PVH48169 pep chromosome:PHallii_v3.1:4:46239745:46245585:-1 gene:PAHAL_4G266600 transcript:PVH48169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PVH48171 pep chromosome:PHallii_v3.1:4:46238811:46247266:-1 gene:PAHAL_4G266600 transcript:PVH48171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVFSVHVNLETVLQDPNEEPCIIPLQYLRDITNNFSGDQELGRGGFGVVYKGVLPNGKMVAVKNLLSISLCHEREFKNEVDNLMKVRHKNIVRFVGYCCETQHKYTTYNGINVFVDMPKRLLCFEYMTNGSLDKYISEESCGLDWNERYKVIKGICCGLHYLHNECEINGSVIHLDLKPENILLDDNMMPKIADFGLARHFSDKKTQTCATSLVGSKGYMAPEYIWESIISPMADIYSLGVIIIEIITGHKYGPSGTETFCRDFVEPVLQNWKKREAAPKYASLETDYQQIEICLEIGITCTDFDRRKRLTINKIIEILNTWESTHCYVGEESRPHASQVAHKPKELLEITPLELYFSLERNKRVPCIVKLTNKTDNYAAFYFGVTKAKNNYLIEPTSGLLLPLSTSFVRVTMEEDHEAASWDLLCGDEFLVQSIAVWGYTAIPKPITADMFDGMRIDMVQKVRLTVGYTPIQTPSRSLLSDYPSSGAPSEGNSQDQEMDYIEAPQGAEGISQTLTGTESARFGICLDDMAESEIIWEEVAIGERLGLDSFGEVFRGEWHGLEVTIKRFLQQDISSDAFEAFRSEVYEFPHFKYLKAIQNGIMKWFIF >PAN24584 pep chromosome:PHallii_v3.1:4:43090062:43094681:-1 gene:PAHAL_4G237000 transcript:PAN24584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNRVLCKFFMHGACLKGEYCEFSHDWKDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVLLPSPSSSTARLTSTSLQLSSSGHPPRTGHPTDSSNQRNQISVDVLAHSASKPAWRNDFQHVSEDGIDWSSNQTLQNQTSLKPADLPICSFAAAGNCPYGEGCPQMHGDLCSTCGKMCLHPYRPDEREEHIKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCVACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEIIESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKNIRLSDFLSRMHL >PAN24585 pep chromosome:PHallii_v3.1:4:43088715:43094927:-1 gene:PAHAL_4G237000 transcript:PAN24585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNRVLCKFFMHGACLKGEYCEFSHDWKDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVLLPSPSSSTARLTSTSLQLSSSGHPPRTGHPTDSSNQRNQISVDVLAHSASKPAWRNDFQHVSEDGIDWSSNQTLQNQTSLKPADLPICSFAAAGNCPYGEGCPQMHGDLCSTCGKMCLHPYRPDEREEHIKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCVACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEIIESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKNIRLSDFLSRMHL >PAN23401 pep chromosome:PHallii_v3.1:4:6192700:6196353:1 gene:PAHAL_4G088700 transcript:PAN23401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCHTPDESRGKKRSNELPQEKVVGMDDLVGDFMVFQHLDYGKDPVVPTNPLKRHASTGDLSSVQITVNTEKTFYSNDLNYVLTKSQSMPLGCAIASGYPIFDGNLSTSGTIHGSMKTELPSFQCSKDDLSNGWLLQCPWASIEQQIDTFIQSPESMSSHNTGLLGTIINKGDVLDDPTKFERIFEMAVPLGYNIVSQSDDYPTRHPSSSVNGDCEPDTCLFTEIQDSNSPSGDANFSVAGMPGTSLEASFLNDDSLLSKDHSYLYPSGSLFDEESCEEPKPLIYAGQWFDSTAWKSVPGACNMPDFPGP >PAN23403 pep chromosome:PHallii_v3.1:4:6191433:6196353:1 gene:PAHAL_4G088700 transcript:PAN23403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKVEQDPALELAPEAGAEELSPPLSSCDEEDDDEDEETDSEGNGGGGEPPLKKGPWTPEEDKRLKDYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPDLKKGPFDAEEVEKIIKFHIMWGNKWAKMASHLPGRTDNEIKNYWNTRLKRHQRAGLPIYPEYLLSRVPDQDMNCHTPDESRGKKRSNELPQEKVVGMDDLVGDFMVFQHLDYGKDPVVPTNPLKRHASTGDLSSVQITVNTEKTFYSNDLNYVLTKSQSMPLGCAIASGYPIFDGNLSTSGTIHGSMKTELPSFQCSKDDLSNGWLLQCPWASIEQQIDTFIQSPESMSSHNTGLLGTIINKGDVLDDPTKFERIFEMAVPLGYNIVSQSDDYPTRHPSSSVNGDCEPDTCLFTEIQDSNSPSGGSDAIFTLGKCYPDANFSVAGMPGTSLEASFLNDDSLLSKDHSYLYPSGSLFDEESCEEPKPLIYAGQWFDSTAWKSVPGACNMPDFPGP >PAN23404 pep chromosome:PHallii_v3.1:4:6191433:6196353:1 gene:PAHAL_4G088700 transcript:PAN23404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCHTPDESRGKKRSNELPQEKVVGMDDLVGDFMVFQHLDYGKDPVVPTNPLKRHASTGDLSSVQITVNTEKTFYSNDLNYVLTKSQSMPLGCAIASGYPIFDGNLSTSGTIHGSMKTELPSFQCSKDDLSNGWLLQCPWASIEQQIDTFIQSPESMSSHNTGLLGTIINKGDVLDDPTKFERIFEMAVPLGYNIVSQSDDYPTRHPSSSVNGDCEPDTCLFTEIQDSNSPSGGSDAIFTLGKCYPDANFSVAGMPGTSLEASFLNDDSLLSKDHSYLYPSGSLFDEESCEEPKPLIYAGQWFDSTAWKSVPGACNMPDFPGP >PVH47564 pep chromosome:PHallii_v3.1:4:6192699:6196353:1 gene:PAHAL_4G088700 transcript:PVH47564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSCAAAEMLTSVAVFDEMLPGRTDNEIKNYWNTRLKRHQRAGLPIYPEYLLSRVPDQDMNCHTPDESRGKKRSNELPQEKVVGMDDLVGDFMVFQHLDYGKDPVVPTNPLKRHASTGDLSSVQITVNTEKTFYSNDLNYVLTKSQSMPLGCAIASGYPIFDGNLSTSGTIHGSMKTELPSFQCSKDDLSNGWLLQCPWASIEQQIDTFIQSPESMSSHNTGLLGTIINKGDVLDDPTKFERIFEMAVPLGYNIVSQSDDYPTRHPSSSVNGDCEPDTCLFTEIQDSNSPSGGSDAIFTLGKCYPDANFSVAGMPGTSLEASFLNDDSLLSKDHSYLYPSGSLFDEESCEEPKPLIYAGQWFDSTAWKSVPGACNMPDFPGP >PAN23402 pep chromosome:PHallii_v3.1:4:6191268:6196353:1 gene:PAHAL_4G088700 transcript:PAN23402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKVEQDPALELAPEAGAEELSPPLSSCDEEDDDEDEETDSEGNGGGGEPPLKKGPWTPEEDKRLKDYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPDLKKGPFDAEEVEKIIKFHIMWGNKWAKMASHLPGRTDNEIKNYWNTRLKRHQRAGLPIYPEYLLSRVPDQDMNCHTPDESRGKKRSNELPQEKVVGMDDLVGDFMVFQHLDYGKDPVVPTNPLKRHASTGDLSSVQITVNTEKTFYSNDLNYVLTKSQSMPLGCAIASGYPIFDGNLSTSGTIHGSMKTELPSFQCSKDDLSNGWLLQCPWASIEQQIDTFIQSPESMSSHNTGLLGTIINKGDVLDDPTKFERIFEMAVPLGYNIVSQSDDYPTRHPSSSVNGDCEPDTCLFTEIQDSNSPSGDANFSVAGMPGTSLEASFLNDDSLLSKDHSYLYPSGSLFDEESCEEPKPLIYAGQWFDSTAWKSVPGACNMPDFPGP >PAN23405 pep chromosome:PHallii_v3.1:4:6191433:6196353:1 gene:PAHAL_4G088700 transcript:PAN23405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSCAAAEMLTSVAVFDEMLPGRTDNEIKNYWNTRLKRHQRAGLPIYPEYLLSRVPDQDMNCHTPDESRGKKRSNELPQEKVVGMDDLVGDFMVFQHLDYGKDPVVPTNPLKRHASTGDLSSVQITVNTEKTFYSNDLNYVLTKSQSMPLGCAIASGYPIFDGNLSTSGTIHGSMKTELPSFQCSKDDLSNGWLLQCPWASIEQQIDTFIQSPESMSSHNTGLLGTIINKGDVLDDPTKFERIFEMAVPLGYNIVSQSDDYPTRHPSSSVNGDCEPDTCLFTEIQDSNSPSGDANFSVAGMPGTSLEASFLNDDSLLSKDHSYLYPSGSLFDEESCEEPKPLIYAGQWFDSTAWKSVPGACNMPDFPGP >PAN23941 pep chromosome:PHallii_v3.1:4:28516184:28518177:1 gene:PAHAL_4G185700 transcript:PAN23941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAPHVLLICYPGQGHINPMIRLAKRIASKGLLVTCSSSSIVRDKLAAASGVSAGGDGVLVGRGRIRFDFLDDHFDGTELDLHNFLRHLETTGRLALAGLLGHQAEAGRPVACIVGNPFLPWATDVAAEAGIPSAVLWVQSCAVFSIYYHFVHGLAEFPREDDPDARFALPGLPALSVADVPSFLLASHPYKVLGDTIQDQFRNMGKASWVFVNSFAELERDVIAALPGIRPRPPQLIPVGPLVELGGHDDDGGVAVRGDLIRAADDCVGWLDAQAPRSVVYASVGSIVMLPAEAVAEMAHGLASSGRPFLWVVRPDTRALLPEGFLDAAAGRGMVVPWSPQDRVLAHAAVACFLTHCGWNSTLETIAAGVPVVAFPQWGDQCTDAKFLVDVLKMGVHLRAPLRREGVREAVEAVTTGPEAGAMLANARSWSAAARAAVAPGGSSDRHVQAFVDEVSRRARGGRAEASTFS >PVH47973 pep chromosome:PHallii_v3.1:4:35599831:35600691:-1 gene:PAHAL_4G204900 transcript:PVH47973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLAIRKMLRYLCRILKNTSPPHQQKNLERRRHEESPLYQVATYLAALDQLFDEQANFLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQAWTRSGTPVPAIGEDHVLLGTPVIGWGTLFGNTQAPPENPESSAAAAEENAAAQPSTNGNPEDGEQGSLTLSAPEEGLPRE >PAN23518 pep chromosome:PHallii_v3.1:4:6949225:6952270:-1 gene:PAHAL_4G098400 transcript:PAN23518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGDQSAGGGSAARASRLRYPLRSASRGKVAAGAPPTISAPRRAKPSSDVSKSMCLDLSVKDKSAKPPRRHSLQTKPGASPKPTPSGIITPVSGIRSRRSNSQGRFDTPTSEVSMSTARRKFSTLSSISYWMTQIRLAEAASKHSVALGFFKLALESECEPLDRMREELKSYVARHGLAAELEEPVKDILLVYDIVEDFEKLKISAEPSQQPRKSDNAARAATNVSPNGNLKPRSLNSEATESKVAGKKENIQKAKPDARVRASCNKNPAKNTTAKEVVPKNAGKKTKKQSKGQQQVSNGGSEASAACPHQDPADVVMESTHEDKENMGDTEMPMDAGIPQEA >PAN25400 pep chromosome:PHallii_v3.1:4:48708647:48711078:1 gene:PAHAL_4G298800 transcript:PAN25400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRNSIDRYSSEAAKLVSCLLKFLAVDMGVEPESFLGIFRGQPQSMRMTYYPPCRQADKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PAN25403 pep chromosome:PHallii_v3.1:4:48707644:48711079:1 gene:PAHAL_4G298800 transcript:PAN25403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRNSIDRYSSEAAKLVSCLLKFLAVDMGVEPESFLGIFRGQPQSMRMTYYPPCRQADKVGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PVH48286 pep chromosome:PHallii_v3.1:4:48707644:48711079:1 gene:PAHAL_4G298800 transcript:PVH48286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRQADKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PAN25401 pep chromosome:PHallii_v3.1:4:48707644:48711078:1 gene:PAHAL_4G298800 transcript:PAN25401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRNSIDRYSSEAAKLVSCLLKFLAVDMGVEPESFLGIFRGQPQSMRMTYYPPCRQADKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PVH48285 pep chromosome:PHallii_v3.1:4:48707644:48711079:1 gene:PAHAL_4G298800 transcript:PVH48285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRQADKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PAN25402 pep chromosome:PHallii_v3.1:4:48707644:48711079:1 gene:PAHAL_4G298800 transcript:PAN25402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRNSIDRYSSEAAKLVSCLLKFLAVDMGVEPESFLGIFRGQPQSMRMTYYPPCRQADKVGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PAN25399 pep chromosome:PHallii_v3.1:4:48707644:48711078:1 gene:PAHAL_4G298800 transcript:PAN25399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRNSIDRYSSEAAKLVSCLLKFLAVDMGVEPESFLGIFRGQPQSMRMTYYPPCRQADKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PVH48284 pep chromosome:PHallii_v3.1:4:48707644:48711079:1 gene:PAHAL_4G298800 transcript:PVH48284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRQADKVGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PVH48283 pep chromosome:PHallii_v3.1:4:48707644:48711079:1 gene:PAHAL_4G298800 transcript:PVH48283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEAGGSLPVPNVQALAQTYNRSDEQIPERYIRVEEAAEEVIIDHGISSAIPIIDVSKLLDPDSSKEECAKLGSACRQWGFFQVINHGVPNEVISNFRNDITEFFKQPLESKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRQADKVGLQIRKDGKWIAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSAAVFHAVCRDATVGPLPELVKKDGEARYSSMSYMDFVKRFFTAKLGGRGHVESLKSSYSRQHGPDGWMESHSAPKPHA >PAN23689 pep chromosome:PHallii_v3.1:4:8170982:8172173:-1 gene:PAHAL_4G110500 transcript:PAN23689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVERALRATLTEAEARALEGTVREHHTFPGRSRAGSGAGPATCTSLVAQRVSAPVRAVWPIVRSFDNPQRYKYFVHTCALAAGDGASVGSVREVTVVSGLPASTSTERLEVLDDDRHILSFRVVGGDHRLRNYRSVTSVTEFQRQPAGGPPYCVVVESYVVDVPEGNTEEDTRMFTDTVVRLNLQKLAAVAEESAAAASRS >PAN25364 pep chromosome:PHallii_v3.1:4:48506228:48511732:1 gene:PAHAL_4G295800 transcript:PAN25364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRLHEESSHSTPTKRPLDDNSLYSSPSGKAIQSSSSDFHGSFEHDGRFAKIQRLEPRDDKRPPLAHRMPGSSTNFVDHPISSDSRLESKQNKDARDTKADDRETKADARDVYSDPRIEFQANKVESDVKVDNRADESEIRADRRGHADYKGDTKFEKDSHSTVPSNLGWKDNKEHRGKRYFEQPSDNVDWRLSRPGLQGTDETPKGPTLAEERNSKDAHESAGDNKAEPKSEDKFRDKDRKKKDEKHRDFGAKESDKNDRRTGIQLGSSGVERREMQREDRDAEKWDRERKDSSRDKEVNDREKDSSKKDSSVAIEKDNTILEKASSDGAVKSAEHENTITESKMTKDDAWKAHDRDPKDKKREKDVDAGDRHEQRSKYNDKESDDNGTEGDIEKDKDVFGSVQRRRMVRPRGGSQSSQREPRFRSRMRDGEGAQGKSEVPAIVYKAGECMQELLKSWKEFEATQDAKNAESLQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNSFHRYELCFSGEKPRSIGSNSNASDAEPEKHQNSSHHSQNGDRGSVDHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSAN >PAN25366 pep chromosome:PHallii_v3.1:4:48506228:48511732:1 gene:PAHAL_4G295800 transcript:PAN25366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRLHEESSHSTPTKRPLDDNSLYSSPSGKAIQSSSSDFHGSFEHDGRFAKIQRLEPRDDKRPPLAHRMPGSSTNFVDHPISSDSRLESKQNKDARDTKADDRETKADARDVYSDPRIEFQANKVESDVKVDNRADESEIRADRRGHADYKGDTKFEKDSHSTVPSNLGWKDNKEHRGKRYFEQPSDNVDWRLSRPGLQGTDETPKGPTLAEERNSKDAHESAGDNKAEPKSEDKFRDKDRKKKDEKHRDFGAKESDKNDRRTGIQLGSSGVERREMQREDRDAEKWDRERKDSSRDKEVNDREKDSSKKDSSVAIEKDNTILEKASSDGAVKSAEHENTITESKMTKDDAWKAHDRDPKDKKREKDVDAGDRHEQRSKYNDKESDDNGTEGDIEKDKDVFGSVQRRRMVRPRGGSQSSQREPRFRSRMRDGEGAQGKSEVPAIVYKAGECMQELLKSWKEFEATQDAKNAESLQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRSIGSNSNASDAEPEKHQNSSHHSQNGDRGSVDHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSAN >PAN23153 pep chromosome:PHallii_v3.1:4:4785783:4787814:-1 gene:PAHAL_4G071700 transcript:PAN23153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPLHLLLLLPLLAAVSFWWLNRAASRRRGGGARLPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPLMLLRLGGLPVVVASSADAAREVMRARDLDFATRPVTRMVRLAIPAGAEGIIFAPYGEGWRQIRKICTVELLSARRVQSFRPVREEEAGRLLRAAASASATPPRAVNLSELLSVYAADSSVRAIIGSRFKDRDTFLGMLERGLKLFAKMSLPDLFPSSRLAMLVSRMPGRMKRHRQEAVAFMDALIREHEESRASDDGKEDLLDVLLRIQREGDLQVPLTTDNIKSVVGDMFAGGSETAATTLQWIMAELMRSPRVMQKVQDEVRQALAGRATVTEDDLSNLQYMRLVIKEALRLHPPVPLLLPRECRNTCQVLGFDVPVGTIVFVNAWAIARDPNYWETPEEFVPERFESSKVDFKGTDFEYLPFGAGRRMCPGMVFGLVHLELALAGLLYHFDWELPFGMKAADLDMTEEIGVTARRLQDLRLVPVIRVPVPVD >PVH48180 pep chromosome:PHallii_v3.1:4:46425444:46427570:-1 gene:PAHAL_4G269100 transcript:PVH48180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENTQELADHGLCSHPRKLLSCSLFALFTLSLIYFIFYSSPSSFAPYADLFDQFKTQRATKNTSLPPPLAWLQCDYSNGKWVWDDSVTGPRYDSENCDMKSTEKCVVNGKPDKGYLHWRWQPAGCNLTALDPAGFLRLVQGKRLAFVGDSTARNQAEALVCYLSTVARPETAHRYEERLGRKFWRWVFPAPHGVSVSTYWSPLLVRAEGHSEDYAMTQEAVVLDALTEPWTADADAMDVVVVSAGHWFPRPAMYYEDGEVVGVYSRPDLNKTDIGYLGPYRKVLRRTLEYISANSTGDKLVAVATIAPGHFDPGRSWNHRDACSLTKPYEAVEAEVAAADAEMRKVVLEEVAAAAAAAGRRRRGVRFEALDVTRLATLRPDGHPGPYLFAHAYDRRPVPETVANDCLHWCAPGLVDTFNDILAKMIVAGG >PAN25758 pep chromosome:PHallii_v3.1:4:50437644:50440689:1 gene:PAHAL_4G323500 transcript:PAN25758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTVFPATSSGEQDEEGAPLVPPATEEIAEEVGAPPCKDGDAPVARLPTGWSLSSLGAVLRTRGVGSVMVGLVLLALLLGARRWIDLDASSLLGNTVSIGAGQRRRHHSNSTAPPVPIAFTCGNQTSPQPPKCPGTPGPPLPAGGPGPSCPDYFRYIHDDLRPWRDAGITREAVERARRHAYFRLVVVGGRAYVETYQRAYQTRDVFTQWGILQLIRRYPGRVPDLDIMFACDDPGQVRAADFPTPSEAPPVFRYCKDASTLDVVFPDWSFWGWPEVGIRPWTQMLEEVRQESERVRWPERQPYAFWKGNPEGYRIRHELLSCNPSNGQEWNARIFTQNWNHAIQNGFKDSRIPKQCIYRYKVYVEGNAWSVSEKYILACDSPVLFITTPFQDILSRGLIAGKHYWPINREHVCKSIKFAVNWGNEHPTQVQLIGEQGSRFVRDEMSMDYIYDYMLHLLTEYAKLLRYKPTVPEKAVEICTESMACPAQGLHRDCMMDSMERHVASFEPCTLPPPFTPEEAKEIAEREKEVLRNVENMEC >PAN25757 pep chromosome:PHallii_v3.1:4:50437644:50439754:1 gene:PAHAL_4G323500 transcript:PAN25757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTVFPATSSGEQDEEGAPLVPPATEEIAEEVGAPPCKDGDAPVARLPTGWSLSSLGAVLRTRGVGSVMVGLVLLALLLGARRWIDLDASSLLGNTVSIGAGQRRRHHSNSTAPPVPIAFTCGNQTSPQPPKCPGTPGPPLPAGGPGPSCPDYFRYIHDDLRPWRDAGITREAVERARRHAYFRLVVVGGRAYVETYQRAYQTRDVFTQWGILQLIRRYPGRVPDLDIMFACDDPGQVRAADFPTPSEAPPVFRYCKDASTLDVVFPDWSFWGWPEVGIRPWTQMLEEVRQESERVRWPERQPYAFWKGNPEGYRIRHELLSCNPSNGQEWNARIFTQNWNHAIQNGFKDSRIPKQCIYRWAHECAGLAEFLITNHIVSCKILVKFGPKKIFW >PVH47776 pep chromosome:PHallii_v3.1:4:16831647:16834488:-1 gene:PAHAL_4G149200 transcript:PVH47776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSRTAAAVFGLKQEDGPEPMNDDGSPFCFLAVAPVVLFGKNAPPPSKSVMQIQPSTVKLTPKRKRLSEAVAPRARTKMRKILVRKPAVVEVSSGEEPPCQKGSSSEVPEDVDAPIRALITLRDPSATVLEDPSTSAVVPAASPGPQAPVVTSSPVGPCLEEPSTASATADSPAREVRPAELTAISSPVTLAEPTVAPSAVASSSGGAVHTASAAIVHPSERMHPREPIVPSLAIATSSSGKEEKDLIAGSKQEAEALTAELKTDLAELSSLSKQVVPGADEEDEAVLAEVDRIRLDAIAAIDAFLQ >PVH47972 pep chromosome:PHallii_v3.1:4:35436172:35437324:-1 gene:PAHAL_4G204700 transcript:PVH47972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFTRLPHAAVATLHMLLAGVLAAISTTARAKVVVLPNCNFQEVDLVPCMVAGGAAGGGGGGNISDACCSSLNSALDAGHLCVCSLLLSNGVFASLVTSLTLPLVLPLPGCVLYAPSLATCQATLQQQTSAPPAAAASTGGGAGAAALPSPTQAAATAATPRVNKHAGREQADDDGRPRGSAGDGSSEAPSAAERVSRSDARRSHSSDEGKSSMLTVAVAMAVFCFNSMTGS >PAN23957 pep chromosome:PHallii_v3.1:4:29434493:29434909:-1 gene:PAHAL_4G187700 transcript:PAN23957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGVCAAGVPGADAARGGVGVGVVGRRRRRLVMRCVSRARALRPQSVRPHPRHWWGSSYSRAAPRSGTRGHPSWPLRRLKRKLLLLTLLLPGPTPRTCRWRGRWWPRTVRTGRAWTTALRRTTTAWSRAPPRTSAAS >PVH48220 pep chromosome:PHallii_v3.1:4:47556784:47558263:1 gene:PAHAL_4G281600 transcript:PVH48220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDRRPWQLHQHAHRAPNRSQTRGRQSEARPHGGGHRRRKTSSTQASRRGRRRGRSTPPRGCPPPWPWPQARSTAPPGRQPPASPLAQMRSTPPWAQHATPRPSLP >PAN23421 pep chromosome:PHallii_v3.1:4:6266980:6271445:1 gene:PAHAL_4G089500 transcript:PAN23421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSGQIVETLPEWRDKFLSYKDLKKRLKLIGAGNGAERQPKRARREDAGEADASPAAAMTPEEADFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGQESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLEQLLPTSEPSISREDGKGDSNDEEKPANPTSSLLNGGGIPELDEIEYMESMYMKSTVAALRSLKEIRSKSSTVSMFSLPPLQGNNAPEEPERWNKIPAIEQAAK >PAN26017 pep chromosome:PHallii_v3.1:4:51514917:51519359:1 gene:PAHAL_4G340300 transcript:PAN26017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALVSRSVGSSFAAGAAAPRGCLPRPSRVATLSRARARPLRAGPKDSILEVLAQDDMLNAAELVQWENGKSVNSIAASQGIRIRRHCRPTASLKEIEEELGAPHNVLEKIIWDKEIEVAEGLAKKPLKEVMEAAAKAPPSRDFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAKSYEKNGAACLSILTDEKYFQGSFENLEKVRASGVKCPLLCKEFVIDKWQIYNARSKGADAVLLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERVLNINGVKLIGINNRSLETFVVDTANTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELVH >PVH48487 pep chromosome:PHallii_v3.1:4:51514917:51519359:1 gene:PAHAL_4G340300 transcript:PVH48487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALVSRSVGSSFAAGAAAPRGCLPRPSRVATLSRARARPLRAGPKDDMLNAAELVQWENGKSVNSIAASQGIRIRRHCRPTASLKEIEEELGAPHNVLEKIIWDKEIEVAEGLAKKPLKEVMEAAAKAPPSRDFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAKSYEKNGAACLSILTDEKYFQGSFENLEKVRASGVKCPLLCKEFVIDKWQIYNARSKGADAVLLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERVLNINGVKLIGINNRSLETFVVDTANTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELVH >PVH47951 pep chromosome:PHallii_v3.1:4:32820518:32821267:1 gene:PAHAL_4G197400 transcript:PVH47951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFHSQWSPIEVNVMGYRIVDTIEAAALEAIYRFCIQHPKEVAGKPIGLFSMTNPDEPEWNLRIIPESHRLDGPPEEALQGMMRFMNVQYHYHLLLRHELGRAVYIARGHYREADRQNTQVDQLQSLVTQKDEIIAARDETIHHREDQINESDHIITQRDTVIEFPQAQVQDLILAVDDAQAQIEELQQPPIPPVAPAAPEAEEEDPEEIEGVSELDSEHGDPVISPHHSSSGSQSSVGNLDDF >PAN25516 pep chromosome:PHallii_v3.1:4:49382174:49386553:-1 gene:PAHAL_4G308000 transcript:PAN25516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLASAMRRENRRFKAPSSFASSASAGAGVASGRVPLVMAFLSCLAWLYVAGRLWQDAQTRAILSGLLEKNSGNLPKVLSVEDKLRNLGCKEIGRKIVEAEMDLTKAKSEGYLWGNRTAAVDSEKKQQLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKAKFFFSAAVEAWDAEFYVKVEDNINLDLAGLIEMLEGRRGNQGLYMGCMKSGVVVSEEGQQWFEPEWWKFGDSKTYFRHASGSLFILSNNLARYININSASLQSYAHDDISVGSWMMGVNATYVDDDRLCCGSSRQEKVCSNA >PAN25517 pep chromosome:PHallii_v3.1:4:49382174:49386504:-1 gene:PAHAL_4G308000 transcript:PAN25517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLASAMRRENRRFKAPSSFASSASAGAGVASGRVPLVMAFLSCLAWLYVAGRLWQDAQTRAILSGLLEKNSGNLPKVLSVEDKLRNLGCKEIGRKIVEAEMDLTKAKSEGYLWGNRTAAVDSEKKQQLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKAKFFFSAAVEAWDAEFYVKVEDNINLDLAGLIEMLEGRRGNQGLYMGCMKSGVVVSEEGQQWFEPEWWKFGDSKTYFRHASGSLFILSNNLARYININSASLQSYAHDDISVGSWMMGVNATYVDDDRLCCGSSRQEKVCSNA >PVH48128 pep chromosome:PHallii_v3.1:4:45430864:45431638:1 gene:PAHAL_4G256300 transcript:PVH48128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRIFHRLIKIGPSKSGHRSSHSPASQSFRPEHCHLRPRAAAALAIAHHPPTRKHAARLAGVPAPPSPLPRPPPPGHPPLPRKTVPPFIRSSRSCRWA >PAN23591 pep chromosome:PHallii_v3.1:4:7406802:7410369:-1 gene:PAHAL_4G103100 transcript:PAN23591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASAAERAYGAAARSALAALERNLIPDAVTRRLTRLLLAQRLRQGYLPSAPLQLQQLLYFVHSLQEMPIAIETDKAKAQHYELPTTFFKLVLGRNLKYSSCYFPNESSTLEDAEVAMMELYCERAKVQDGQSILDVGCGWGSLSLHIAKKYKNCSVTGICNSTTQKAFIDEQCRENELSNVEIIVADISKFEMGGSFDRIISIEMFEHMKNYKSLLKKISKWMKEDGLLFVHYFCHKTFAYHFEDKNDDDWITRYFFTGGTMPSSNLLLYFQEDVSVVNHWLVSGTHYARTSEEWLKRMDRSITSIRPIFEKTYGKESTTKWIAYWRTFFIAVAELFAYNNGDEWMVAHYLFRKK >PAN25909 pep chromosome:PHallii_v3.1:4:51100208:51102063:1 gene:PAHAL_4G333700 transcript:PAN25909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLNVASSRRGGRRGLACLCSPALLNLFVLLSLLSTNLLALLAFLSPRARGPVPVPVPATADRSASSSSAISAQVAAIAREIDATRLVPHRAALPPELLLFLSPHALPLGRDARTGLTHMPASVAHTCFRSPPTLALLSAFASYEPRAACPRNATLPPRLLYKGCEPLPRRRCLSRGPRAPLPSSNMGVDSRRWVKPRHDHEFLIDDVLRLAAAASTKIRIGFDVAGGAANFAARMRERGVTVVTTVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTAALDEGGAPALGQAGTGEALEFLMFDVDRVLRAGGLLWIDSYMCHSEERRQVVVRLIRRFGYKKLKWVAWEKAGTGSSKTAMYISAVLQKPGRG >PVH48103 pep chromosome:PHallii_v3.1:4:44995275:44996218:-1 gene:PAHAL_4G250900 transcript:PVH48103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQELKSGDMVYLGKKWMRGLNRIYARAKSGRFVDLWHQPDLIRWHFAADGQYPSRSAPYVRCS >PAN23017 pep chromosome:PHallii_v3.1:4:4041128:4041986:1 gene:PAHAL_4G061100 transcript:PAN23017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVKPMAAAPPALLCLAMVALALAASAKAQAPAPTPAPTPSPTPAPTPAPTPAPTPAPTPSPTPAPAPSQSLCPAGFSSIFAFTAAVPSFLLRGVLLVFAPKNFPTPITFPKPLPIIKCLCYSNNPLDPIGPVTCKPIAA >PAN25142 pep chromosome:PHallii_v3.1:4:47326376:47327932:-1 gene:PAHAL_4G278800 transcript:PAN25142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAPTLVPACDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSADARHWDEALSPDERHFVSHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRSKLDEARVREIVADAVDIEREFVCDALPVALVGMNGALMSQYIEFVADRLLMALGCRKMYNAANPFDWMELISLQGKTNFFEKRVGDYQKASVMNSLNGGGAANHVFSLDEDF >PAN24697 pep chromosome:PHallii_v3.1:4:44440293:44456252:-1 gene:PAHAL_4G245800 transcript:PAN24697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSGVEEALLAAGQPDELSAREEVTKQLRLAGPMVVGALLQNVIQMISVMFVGHLGELPLAGASMANSFATVTGLSLLLGMASALDTLCGQAFGARQYHLLGIYKQRAMFLLTIVSIPLAVVWFYTGEILLLFGQDPDIAAEAGAFARWMIPALFAYGLLQCHVRFLQTQNIVVPVMAAAGAAAACHLVVCWVLVFGLGMGSKGAALSNAVSYWINVAVLAVYVRVSSACRETWTGFSMEAFHDALGFFRLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPQAARLAVRVVVFLAVSEGLAVGLILVCVRYVWGHAYSDVEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACINLGAYYIAGIPCAYLIAFVLRVGGMGLWLGIICGLLVQLLLLMVVTLCTNWDNEVTKAKDRVYSSSPADFET >PVH48162 pep chromosome:PHallii_v3.1:4:46137585:46142824:1 gene:PAHAL_4G265000 transcript:PVH48162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQMAATVEEQMMVKAIREESPWEALPKRIQAVLVTKEEWHRRIVDYCIRKRLPWNFCFVRKVLKEGEYYEDMMRYLRKNLALYPYHLADFTCRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADALRITGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTDPADLAIEPWWGVRFVNFTLEEFKLSEDETSAIDKICKEEVNSYVLFDPDVIRGLYKRGMVYFDVPVYPDDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENSTVAELATTLQADLYQLQAAASFACRLGWAVKLLDTDSVLRDSSTSALTSNILIDDYEGSRVSIASEKSGHELLSTDSDGNKKNSETAYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCITELCDDLASLEGKHFEGVLQEFANHAFSLRCFLECLLSGGTSPNETSDKNGEVNNQKCSRQNDLDIHSTKKNQENDVDNVAEKNESAIKISQTSSGGQHDELSQQDEQKGDFENADRKVSSPSTIVSEGKESILKYNFDNSHATNLDGSSSYCPLLKSKRSYRVNILRCESLASLAPSTLERLLSRDYDMIVSMIPLPYSAFLPCPNGLVHFGPPSYSSMTPWMKLALYTSGSCGPISTVFMKGQRLRLLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGNLLLHYLNSMTKYSAVIVQPLSIDDLDETGNIITIDIPLPLRNADGSISSTIVGDDLPKKQVTNLILLLDDLSNKVDLSTVGYLRLVRLHRINESSETPEHESYEWIPLSIEFGIPLFSPKLCEKICERVVESKMLQKEDITEYYETMQNVRKTLRELCTEYQATGPTARLFNQRGGSKNNSPRKLVKIVSSKWSPFHDPPSPTHPGSPFEHDRAKPTKRQKCFTEVLSFDGSILRSYALTPVYEAAIRSVSEEQPSTPAAKSDHDDANTKDVALPGVNLIFDGAELHPFDIVACLQARQPLSLISEASSTSLAMK >PAN23021 pep chromosome:PHallii_v3.1:4:4063208:4064933:1 gene:PAHAL_4G061700 transcript:PAN23021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLGSQPHAVRAPARPHDATCRPAAEARPHSRRTARIYITAMETLRAATISLPAFRASRLAHCLHLLLLRQQSQGRNMRGPSAASAADKGTVATFKRDLLRNLLVGLRARAEACSFDAMSLQERKRAVKCSADEAMAAAREAAPGGARARWTKAVLAAAAASSSSSPGATCKARRSRCKRVVRRCVGAKRMGRGCYAAAKNAAAASTDVARRLVRRRTMALRKVIPGGDAARDEAALIREAMDYVVHLRAQVDVLRRVSAAVQRSTILRDPAQSTGES >PAN22499 pep chromosome:PHallii_v3.1:4:1452568:1459545:-1 gene:PAHAL_4G023500 transcript:PAN22499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKPPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDGHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQPRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIQARDLHDSTWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGSKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQNSSFANTAMQSEYLRSLSNPSMQNLGAADLSRQLCLQNQILQQNSIQFSSPKLTQQMQPVHELSKATLPLNQLGVSTKPQEQTQDPSNLQRQQQSMNQLLPLSQSQTNLVQAQVIVQNQVQQQQPQSMTQNQQASTSQPQLLHHQQQQQQQQQQQHQQQKLLHQQQQLLLQQQQLQQQQQNQQQLNKMVAQVPNLAAQQLQLSDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLILDMQQQLSNSQSLSQQQTMPQQSTKVPSQTPPPPPPPPHMQQEPQQKLPQKHVGFPDTSHAAIPPATSVNAISVSGSPLIANGATHSVLTEEIPSCSTSPSSANGNHLVQPILGRNQQCGMINNEKVPQSTAPMSIPSSLDAVTAAPRSTKELPKLNSNVKQSVMASKLPNPGPVPQNLVNSAPPTDYLETASSATSVWLSQTDGLLHQGFPMSNFNQQHMFKDAPPETDIQGADPCNNALFGINSDGQLGFPMGADGFLSNGIDTSKYQNHISTDIDGNYRIPKDGQQEISSSMVSQSFGASDMAFNSFDSAMNDGGFLNKTSWLPAPPLKRRTFTKVYKRGAVGRSIDISQYSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGNNILPNQACSSSDGGNAWRARCDQNSGNPSNGSYDQFE >PAN22497 pep chromosome:PHallii_v3.1:4:1451068:1460693:-1 gene:PAHAL_4G023500 transcript:PAN22497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQQQQPASSIGPQAAAVAAAAASGCEGEKKPPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDGHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQPRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIQARDLHDSTWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGSKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQNSSFANTAMQSEYLRSLSNPSMQNLGAADLSRQLCLQNQILQQNSIQFSSPKLTQQMQPVHELSKATLPLNQLGVSTKPQEQTQDPSNLQRQQQSMNQLLPLSQSQTNLVQAQVIVQNQVQQQQPQSMTQNQQASTSQPQLLHHQQQQQQQQQQQHQQQKLLHQQQQLLLQQQQLQQQQQNQQQLNKMVAQVPNLAAQQLQLSDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLILDMQQQLSNSQSLSQQQTMPQQSTKVPSQTPPPPPPPPHMQQEPQQKLPQKHVGFPDTSHAAIPPATSVNAISVSGSPLIANGATHSVLTEEIPSCSTSPSSANGNHLVQPILGRNQQCGMINNEKVPQSTAPMSIPSSLDAVTAAPRSTKELPKLNSNVKQSVMASKLPNPGPVPQNLVNSAPPTDYLETASSATSVWLSQTDGLLHQGFPMSNFNQQHMFKDAPPETDIQGADPCNNALFGINSDGQLGFPMGADGFLSNGIDTSKYQNHISTDIDGNYRIPKDGQQEISSSMVSQSFGASDMAFNSFDSAMNDGGFLNKTSWLPAPPLKRRTFTKVYKRGAVGRSIDISQYSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGNNILPNQACSSSDGGNAWRARCDQNSGNPSNGSYDQFE >PVH48042 pep chromosome:PHallii_v3.1:4:41216056:41220503:1 gene:PAHAL_4G226000 transcript:PVH48042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGEKGLEMIWASMKYPELRGCWAEIATSLPHRPQMAVYKRARILLYRSAERKWTQEEYEIVRRFVEKNGTTWKELATDLGKSEIHVKDTWRRMKPKNLKKGSWTQDEYQNLFDLVNLDLRVKAHQKIAPSHRQLRDNISWEAISEKLTTRSNKDCCLKWYQQLASPLVKEGIWADTDDYLLMEALQKVDAVCVEDVDWERLLDHRSGELCRQRWNQMVRMIGGHREKPFIEQVEVLARRYCPEMLDYRKAESADLSPDELTGGTD >PVH48510 pep chromosome:PHallii_v3.1:4:51956888:51958693:-1 gene:PAHAL_4G346000 transcript:PVH48510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEEAKRLHARLLRRGERRLQPLLLRVLAAGDLRYAALLLESFPSSSSSSSPPSAPLHNRLLHALASARHPLLLPFFSRAQRLRLLTPLSFTLLFSSSSSSSSASSSSMRFAACAHALLIKSGHFASGGDPFLASALVSFYAKNRLLAEARRVFDELPRRDAAVYNALLSAYTRGGLIDTAEKLFEEMPEKNVVSWTAMVSGYAQNGKHEQAVETFLEMWEKEGVRPNELTVSSVLPACAAVGAMELGRKVEKYARGKGLLKNIYVANALVEMYAKCGSIRQAWKVFQGIGQRRDLCSWNSMIMAFAVHGLWREALVLFHKLRGLNQMALHCSESFWPVLMEAWWMKASCFSTQLQKSLVLSQELSTMVAW >PAN26081 pep chromosome:PHallii_v3.1:4:51956888:51958705:-1 gene:PAHAL_4G346000 transcript:PAN26081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEEAKRLHARLLRRGERRLQPLLLRVLAAGDLRYAALLLESFPSSSSSSSPPSAPLHNRLLHALASARHPLLLPFFSRAQRLRLLTPLSFTLLFSSSSSSSSASSSSMRFAACAHALLIKSGHFASGGDPFLASALVSFYAKNRLLAEARRVFDELPRRDAAVYNALLSAYTRGGLIDTAEKLFEEMPEKNVVSWTAMVSGYAQNGKHEQAVETFLEMWEKEGVRPNELTVSSVLPACAAVGAMELGRKVEKYARGKGLLKNIYVANALVEMYAKCGSIRQAWKVFQGIGQRRDLCSWNSMIMAFAVHGLWREALVLFHKLRMAGVKPDGITLLGVILACTHGGLVDEGKLLFNSITEEFGLKPRIEHYGCMVDLLGRAGLLKEAENLISSMPVEPDAIIWGALLGACSFHGNIELAEIAVDKLMRLEPQNTANLVILSNIYASCGKWDGVAQVWKLLREKDHKKSAGYSFIELDGRMDKFLVEDKSHPRYEEVYETLDSITLTMKLVDLENSEEEEG >PAN22604 pep chromosome:PHallii_v3.1:4:1931776:1933162:1 gene:PAHAL_4G030500 transcript:PAN22604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLRFLLAAFFLLALDVLPPPPMAGAQLAPDYYADVCPDLEGIVRDAVRMAVAHSPVAAPATLRLFFHDCAVRGCDASIMLINPDGDDEWRSLDGITLKPEGFNTVMSAKAAVDSDPQCRYMVSCADILALAARDAVFLSGGPDYQVELGRYDGRVSTEASVLIPHGSFDLDQLNGFFSGLGLSQADMIALSGAHTIGAASCGFFGYRVGADAAMDPAFADQLRGSCPGAAAGGFAFLDAATPLRFDNEYYRNLRAGRGLLASDQALYADARSRGAVDRYAADQGAFFGDFAASMTRLGRVGVRTADDGEIRRDCRFPN >PVH47546 pep chromosome:PHallii_v3.1:4:5875055:5877006:-1 gene:PAHAL_4G084400 transcript:PVH47546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLPPVAAARPGAPRLYLKTHGTRVARLHLLDWVVLAVLVALDGALNAIEPFHRFVGEDMVADLRYPLKDNTVPVWAVPVLAVFAPMAIVAGIYVRRRNVYDLHHAILGLLFSVLITAILTDAIKDGVGRPRPNFFWRCFPDGLPRYDNVTREVICHGDPGVIKEGYKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVAMPLLLAAMVAVSRVDDYWHHWQDVFTAGVLGLVVASFCYLQFFPPPSGEQGFWPHSYFEHMLTLEGEIEVQSAASSSRHLSLTLDSSPGRAGTEMRTSSQALDTMESGRRS >PVH48005 pep chromosome:PHallii_v3.1:4:39014673:39015485:-1 gene:PAHAL_4G215800 transcript:PVH48005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVITRDIQGDIPWCMLFTDDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYIMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKNGDIDEDARHRISTGWLRWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQPRPPEAPVRSGVLKRGDNVKRGRSKLTLDETVKRDLKEWNIAKELAMDRSAWRLTINVSEP >PAN25832 pep chromosome:PHallii_v3.1:4:50695126:50698831:1 gene:PAHAL_4G328400 transcript:PAN25832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPLLSPQRGRVAHLPRRLPAPPLVFPLHAPARLRPLLRLRGAAPPCGAKFGKFDASDAPAEAEEAEAAADGGVAQAQPAEEDDSCLPSDLEGAIRQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAELWALSKIFLDTLVQETGQKVTAIFPDAGAAALLKYQWTDAQFKCASLSDRKPVGPEDEVAVMIIPDHQMLESVERIASQLSDDPIRPLIMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAVFRCYPGKWKVFNDDPSRPNRYLLARELTSRPDATDIERIFGGTDEQSEESPSLMNNVMGVFSSVSRFMRVISK >PAN22158 pep chromosome:PHallii_v3.1:4:66445:69790:1 gene:PAHAL_4G001300 transcript:PAN22158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPATPDKRKEEDRLMRSPASVAADFAMGGAAAVVAKTGAAPVERVKLLLQNQGEMLRRGTLTHPYKGIAHAFARVLREEGAAALWRGNQANVIRYFPTQAFSFAFKGYFKSFFGYNREKDGKWRWLAGNVASGSAAGATTSLLLYHLDYARTRLATDAIETRGNKRQCRGLLDVYKRTLMTDGISGLYRGFSVSIVGITLYRGLYFGIYDTMKPLVLVGPLEGNFFASFALGWAITTFSGACAYPFDTVRRRMMLTSGQPFRYRNGFHAVKQIVSSEGFLTLFRGVGANILCGMAGAGVLSGYDQLQRLANRHGHNFERKIKGALK >PAN25240 pep chromosome:PHallii_v3.1:4:47823793:47826546:-1 gene:PAHAL_4G286000 transcript:PAN25240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAWSSWRSWWQGEQGPPPWSPLSRFRPCSLLSLSLSITPESSSNQAAASASSPIALCFVNDGGAGISVRAGWRRRDEAGRIRRQRALLSARRLAAGSWPATLGYAARLRAASPWCRLSLGGGLDGELLRPASAPPFLLFRRRETAEGGREALVHAGPAPRLPIAGSSTSARNSGA >PVH47683 pep chromosome:PHallii_v3.1:4:8948241:8949804:1 gene:PAHAL_4G115900 transcript:PVH47683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRALVLTVCLLCVYAPAPSSSSASSGYFLRCLSASIPSELVFTQSSASFTSEPRFSTPGTVRPLGIVTPTNASHVQAAVLCGRRHGIRVRVRSGGHDYEGLSYRSERPEAFAVVDLANLRSVRVNRARATAWVDSGATVGELYHAVAKASGDRLAFPAGLCPTIGVGGHFSGGGFGLLQRKYGIAVDHVIDAVLVDAQGRLLDKKAMGREVFWAIRGGGGESFGIVLSWRVRLVSVPPKVAAFIVPVSVNDGAVDVLTKVMVMNGVAIFQSLYLGTCDALLPVMRGRFPELGMNRSHCREMSWAESVLYVYVGSGQPIPVTDLLNRTFPMDSSNKATSDYVRQPIGRDVWAEIFAWLARPDPGIMILDPYGAQISAVPESATPYPHRGGVLYNIQYMNFWSAGNDGEPNVRWIRDLYAFMAPHVSKNPREAYFNYRDLDLGRNVVVGNVSSYEAGKVWGEKYFKGNYKRLALAKGKIDPDDYFRNEQSIPPLVRRS >PAN25921 pep chromosome:PHallii_v3.1:4:51160654:51166693:1 gene:PAHAL_4G334800 transcript:PAN25921 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g01560/F11O4_6 [Source:Projected from Arabidopsis thaliana (AT4G01560) UniProtKB/TrEMBL;Acc:Q9M125] MAKEKKRKQPASDAAPPQGDEGEGRRERKGKKAKKDKAEAILPSQIKNKDKRSELHAKLKREKKAEKRKLARERSQAIRRAEELGEQPPERQVPRTIENTREPDETVCRPDDQELFAGNDADEFNDVLKQHIIPKVLITTCRFNSGRGPAFIEELMQVIPNSHYVKRGTYELKKIVEYAKNRDFTSLIVVHTNRREPDALLIIGLPDGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFETKESKVASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKSGEYEWVHKPDMDTSRRRFFL >PAN24531 pep chromosome:PHallii_v3.1:4:41983915:41985717:1 gene:PAHAL_4G231300 transcript:PAN24531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLLPLLVVLLGGCCCSIVHGADAQRYLVVAPSSLKPSEICSGPKVTPSKNGATLPLAHRHGPCSPAISKEKPSLEEMLRLDQQRAAYIHAKVSGNGTKELQQSAVTIPTSPGFSLGTAEYVVTVGVGTPAVSQVMIIDTGSDVSWVQCAPCPARSCYSQKDKLFDPAKSATYAAFSCGSAQCAQLGGEGNGCLNSQCQYFVTYGDGSATKGTYGSDTLSLTSSDVVKSFQFGCSHRAAGFVDQVDGLMGLGGDAESLVSHTAATYGKAFSYCLPRPSSSAGFLTLGAAGGAAGYARTPMARSRNAPTFYGVFLQAITVAGTRLDVPASIFSAGSVVDSGTVITRLPPTAYRALRAAFRKEMKAYPSAAPAANLLDTCFNFNGFSTITVPKIALTFSRGAVMDLDISGILYGGCLAFAPTGKDGDTGILGNVQQRTFEVLYDISGGSVGFRPGAC >PVH47506 pep chromosome:PHallii_v3.1:4:5223643:5224654:-1 gene:PAHAL_4G076800 transcript:PVH47506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDIGTLARHPLGAAEFSSLYLLQHGSGSGGAAGGGANGPWWSYSCHGGSSGASPGELELQAAGAAAAARDDERRARRQASNRESARRARARRRGQLDVLSSRVAELRAANARLAVELNRVAAARARAAREGARLREEARALRERLDTAEAQHAAAAREKETGDEEAGTPTETN >PVH47665 pep chromosome:PHallii_v3.1:4:8251596:8256977:1 gene:PAHAL_4G111400 transcript:PVH47665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWQQGELQATAMDGAAAAGAGSGGGDHRLIGLRIEEYGKYISDSTCCPQCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLQAKVRPGSAAAPSHPLIDEFIPTIEGEDGICYTHPEKLPGLTKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAADASSSSAPAAQQQQQRSETRWHKTGKTRPVVVAGRQRGCKKILVLYTNFGKHRRPEKTNWVMHQYHLGENEEEREGELVVSKIFYQTQPRQCGAAAEPAAPASSDTVDGAADPAAEAVVAPPDVGDGGVFHGATGIDEFNFAQFRSSFEEVGVGTSVQVPARADEEVHTGHLHLHQEHDLQQHRYTNHQEQQRMAAAAAAFQISTPAEPITTMISSSPMVHHGSVILQQQEPYDHSASYRHHQQVEDEQPHQSRNFDGRSTSGLEEVIMGCTSRRSRRGEASGSGGNKESSDWQYPSFWPSDSQDHHG >PAN23708 pep chromosome:PHallii_v3.1:4:8251596:8256977:1 gene:PAHAL_4G111400 transcript:PAN23708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWQQGELQATAMDGAAAAGAGSGGGDHRLIGLRIEEYGKYISDSTCCPQCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLQAKVRPGSAAAPSHPLIDEFIPTIEGEDGICYTHPEKLPGLTKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAADASSSSAPAAQQQQQRSETRWHKTGKTRPVVVAGRQRGCKKILVLYTNFGKHRRPEKTNWVMHQYHLGENEEEREGELVVSKIFYQTQPRQCGAAAEPAAPASSDTVDGAADPAAEAVVAPPDVGDGGVFHGATGIDEFNFAQFRSSFEEVGVGTSVQVPARADEEVHTGHLHLHQEHDLQQHRYTNHQEQQRMAAAAAAFQISTPAEPITTMISSSPMVHHGSVILQQQEPYDHSASYRHHQQEDEQPHQSRNFDGRSTSGLEEVIMGCTSRRSRRGEASGSGGNKESSDWQYPSFWPSDSQDHHG >PAN23122 pep chromosome:PHallii_v3.1:4:4626478:4628874:1 gene:PAHAL_4G069200 transcript:PAN23122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MNTNYSALPLTSPSIELQSNGAKSSAATNGVVLNGHAKISKQDSFLGELENGGGGVGGGGGEHDELPLIGDGPAGPPEGSSVPAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGVLSEITIELLVRFSAYCRALSYGEVVHRALGRPASIVAQMCVIINNAGVLVVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRKLLILVVLVIFLAPLCALEKIDSLSVSSAASVALAVVFVVVSCIIALIKFAEGKISMPRMGPDFSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPQNMYKVGRISTVLCVVVYALTALSGYLLFGNDTESDVLTNFDKDLGIRFSSVLNYIVRIGYVIHLILVFPVVHFSLRQTVDSLIFGELATPSRKKTLTLTVVLLALIYLGSTMIPNIWMAFKFTGATTGLALGFMFPALVALRLDKEGSRLGHGERLLSLGLLGLSIAVSVIGVVGNVYTLKSNS >PVH47656 pep chromosome:PHallii_v3.1:4:7880386:7888312:-1 gene:PAHAL_4G108000 transcript:PVH47656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLEEMMESSYVPYLRTHLQQIAASLSTASCAPPGAEEEECRDEAAALGLKMVAVAAILVSGATGVAIPLLGRRWRGRGVGGAPPSSSSSTGGLFVLAKAFAAGVILATGFVHVLDDANDALTDPCLPAVPWRRFPFPGFVAMLAALGTLVVDFVGTHMYEQKHRSEEEQAAAANGSSREEIVAALLEDGALAARGDVEGRDGGGHKEDAMHIVGMRAHAAAHRHRHAHGRGSCHGGTSYDSHGHGHGHDEEPSQARHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCISEAQFKSSSALLMAIFFAITTPAGITMGAGVSSFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSKRMSCNPRLQVW >PVH47655 pep chromosome:PHallii_v3.1:4:7880386:7888312:-1 gene:PAHAL_4G108000 transcript:PVH47655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLEEMMESSYVPYLRTHLQQIAASLSTASCAPPGAEEEECRDEAAALGLKMVAVAAILVSGATGVAIPLLGRRWRGRGVGGAPPSSSSSTGGLFVLAKAFAAGVILATGFVHVLDDANDALTDPCLPAVPWRRFPFPGFVAMLAALGTLVVDFVGTHMYEQKHRSEEEQAAAANGSSREEIVAALLEDGALAARGDVEGRDGGGHKEDAMHIVGMRAHAAAHRHRHAHGRGSCHGGTSYDSHGHGHGHDEEPSQARHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCISEAQFKSSSALLMAIFFAITTPAGITMGAGVSSFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSKRMSCNPRLQVGSYIALFLGAMSMSSLAIWA >PVH47657 pep chromosome:PHallii_v3.1:4:7884768:7888312:-1 gene:PAHAL_4G108000 transcript:PVH47657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLEEMMESSYVPYLRTHLQQIAASLSTASCAPPGAEEEECRDEAAALGLKMVAVAAILVSGATGVAIPLLGRRWRGRGVGGAPPSSSSSTGGLFVLAKAFAAGVILATGFVHVLDDANDALTDPCLPAVPWRRFPFPGFVAMLAALGTLVVDFVGTHMYEQKHRSEEEQAAAANGSSREEIVAALLEDGALAARGDVEGRDGGGHKEDAMHIVGMRAHAAAHRHRHAHGRGSCHGGTSYDSHGHGHGHDEEPSQARHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCISEAQFKSSSALLMAIFFAITTPAGITMGAGVSSFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSKRMSCNPRLQVGSYIALFLGAMSMSSLAIWA >PVH48056 pep chromosome:PHallii_v3.1:4:41679174:41681610:1 gene:PAHAL_4G229500 transcript:PVH48056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTSMKLILSVVPILVVGFIPELSTGNDHGQFVYAGFTNSNLTLDGAARITSTGVIELTNDTARIKGHAVYPSPLRFRLSPDGMVQSFSTSFVFGILSSFGDIRGHGFAFFIGPSNDFTEAFPLQFLGLINSTNNGSSINQIFAIEFDTILNTEFGDIDNNHVGIDINSLNSLRSHPAGFYNNGKNSTFTNLSLIGSGPIQTWVEYDGNTTQISVTLAPLGMEKPVRPLLSLTFNLSTVLKEQSYIGFSSSTGLSTGHHCVLGWSFGMNSPAPTIDSTKLPKLPYLGPRPPSKLLEIILPIASAVLVLAIGTIAVILVRRHLRYKEVHEDWEVEYGPHRFAYKDLYFATKGFSSKNLIGVGGFGRVYKGVLSTSKSEVAVKRVSYNSKQGIKQFVAEVVSMGHLQHKNVVKLFGYCRRKGELLLVYEYMENGSLDKYLYGEERRSTLDWGQRFKIIKAIASGLLYLHEEWDKVVIHRDVKPNNVLLDKEMNGRLGDFGLAKLYDHGTDPQTTHVVGTIGYLAPELVHRGKATTLTDVFAFGIFILEVTCGRKALTEDTHNHQVMLIDWVIQNWNKDSLLDSVDTKLQGNYDIDEAFLALKLGLLCSHPFPDARPKMRQVLQYLEGDVPLPELLPAHFSFHMLALMKNEGRFGSSIVSLYPSPTMMDVGSISFSLDGR >PVH47605 pep chromosome:PHallii_v3.1:4:7079173:7083242:1 gene:PAHAL_4G100300 transcript:PVH47605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRSTAAGLVSPAARDTHLVWSPWLSIQLASMGPVPTGGIFQNTGEVFIQGNKLLCANIPLLQLPQCNKEASKKWRASVILKIVGSTVLSLVLLSLFAVVILKKRKKVTQSSHPSCKELLQCSYADLVKATNGFSLANLVGSGKSGSVYKGRFEFEENTVAIKVFKLDQLGIPKSFLTECEVLRNTRHRNLVRVITACSTLDTSGHEFKALILEYMPNGSLEGWLYPKLNNYGLKRPLSLSSRITIATDIASALDYLHNRCVQPVVHCDLKPSNILLDDAMVARLADFGLAKFLQSFSHSCHHSSTSLLGPRGSIGYIAPEYGLGSKLSTEGDVYSYGIIILEMLTGKRPTDEMFTNGLNLHTFVEKAFPQKITEVLDPCIVPSSEDGDVHDNLNHGNNATDGVKSCIVQLVKLGLLCSMETPKDRPTMQDVYAEVITIKEAFAALHG >PAN25050 pep chromosome:PHallii_v3.1:4:46565818:46572003:-1 gene:PAHAL_4G270800 transcript:PAN25050 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MIHNLTFLPHQKPSPPKNPPPPSSSPSPPTPQPPRPMESSLLLSSPRPLRPFLPLPFPTARRRHASFRGKPPAPLPLPPLTRGGSRLGVAVPRASREAFDRPPGVGPGGARRRDYREAQGEPAVPPAAAAARAVAPYVVPAGAVLVLSFVIWKVVRNMLPGKKKDPNSGESAPSGIMWSFAAGSNLATSTLSAEKESRKNLNKFYKELRTLKTVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITALGIEAFDGILQINTALKTLNLSGNDIGDEGAKCLSDILIENVGIQKLLLNSINIGDEGAKSIANMLKKNKSIRILQLSNNAIEYSGFASIAEALLENNSIRSLYLNGNYGGPLGASSLARGVLGNKSLREIHLHGNGIGNEGIRELMSALSAHKGKITVVDIGNNNIGSEGLRPVAEFIKRTKSLLWFSLYMNDISDEGAGKVAEALKDNKTISTIDLGGNNIHSKGVSAIAETLKDNAVLTTLDLSYNPIGSDGVKALCDVLKFHGKIQTLKLGWCQIGVQGAEFIADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLSNNFFTKFGQVALSEARDHVYEMSEKEIDIYF >PVH47574 pep chromosome:PHallii_v3.1:4:6387219:6387570:1 gene:PAHAL_4G091600 transcript:PVH47574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSSGARVAEGSGGGVPSVSEAALPTYDPLSAAGRREAARARALARAVHCIPVVLLVCAFLLWLSASSRTHLAEPEVMVNWRLPRGGSVI >PAN25685 pep chromosome:PHallii_v3.1:4:50122074:50132269:-1 gene:PAHAL_4G318400 transcript:PAN25685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin filament bundling protein P-115-ABP [Source: Projected from Oryza sativa (Os08g0240800)] MSVSMKDLDPAFRGSGQKDGLEIWRIEDFKPVPIPVSSYGKFFMGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGNETEKFLSYFRPCIMPQPGGVASGFKHVEVNNQDHDTRLYVCHGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEIASVEDGRMMADAEAGEFWGFFGGFAPLPRRAPAEGNEKHEETASKLLCFDQGKLEPVNYESLAHELLETNKCYFLDCGAELYVWMGRTTSLQERKGASEAAEKLLSDSNRTKTHIIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQSYIDCTGNLQVWRVNDKDKALLSSSDQSKFYTGDCYIFQYTYPGDDKEECLIGTWFGKKSVEEDRITAVSLASKMVESAKFQAVQARLYEGKEPIQFFVIFQSLLVFKGGLSSGYKKFIAENGIDDDSYSEEGLALFQIQGSGPENMQAIQVDPVASSLNSSYCYILHDGNTVFTWAGNLTTALDQELMERQLDVIKPNTQSRSQKEGSETDQFWSLLGGKSEYSSQKMVRELESDPHLFSCILSKGNLKVKEIHHFTQDDLMTEDVFVLDCHTSIFVWVGQQVDVKVRLQALDIGEKFVVLDFLMENLACETPIFTVTEGSEPPFFTRFFTWDSAKSQMHGNSYQRKLAIVKGGGAPALDKPRRRTPVYSGRSTTQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESSSNRNLSTPPPVVKKLYPKSLTPDSSNTSSKSSAIAALAGSSDRPTQTPTPESIKDGSEPEKPKQEEDATDGASTMNNRVESLTINEDVKENEPEDDEGLPIYPYERLKTTAADPVTEIDVTRRETYLSLAEFKEKFGMTKEAFSKLPKWKQNRLKIALQLF >PVH47566 pep chromosome:PHallii_v3.1:4:6218883:6225913:-1 gene:PAHAL_4G089100 transcript:PVH47566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAAAHAVEPRGGASAPHPPAAATAAAAAKGAGGAGGREPARKAAPVTMEHVLLALHETEAEREARIRDMFAFFDAAGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEINDEELSRFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYQHWERVCLVDIGEQAAIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHAIKDIWAKGGMLGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGASERLVAGGLAGAVAQTAIYPIDLVKTRLQTYSCEGGKVPRIGALSRDIWMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQANSEAAYRGMSDVFWRTLQHEGISGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >PVH47565 pep chromosome:PHallii_v3.1:4:6217806:6226287:-1 gene:PAHAL_4G089100 transcript:PVH47565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAAAHAVEPRGGASAPHPPAAATAAAAAKGAGGAGGREPARKAAPVTMEHVLLALHETEAEREARIRDMFAFFDAAGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEINDEELSRFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYQHWERVCLVDIGEQAAIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHAIKDIWAKGGMLGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGASERLVAGGLAGAVAQTAIYPIDLVKTRLQTYSCEGGKVPRIGALSRDIWMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQANSEAAYRGMSDVFWRTLQHEGISGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >PAN22933 pep chromosome:PHallii_v3.1:4:3589983:3592591:1 gene:PAHAL_4G054500 transcript:PAN22933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCPSELQLEAFLRESGGGSAAESKSGSGPGEPGGSGVFSPGGVGFGDSNTMDGSSWWFGSIRPVNPPVSQTASISASPRATTSTSHALESESDSDSESLYEVEGGSCERGTKSMETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENATLFKQLSEANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARSTMSCGLGDLGLAPYLNSRKMCQALNMLTVTGLDLLGSEAFRGPTAARQVQNSPVPSTASLESLDNRKSSEVTSCAADMWP >PAN25765 pep chromosome:PHallii_v3.1:4:50471586:50471813:-1 gene:PAHAL_4G324200 transcript:PAN25765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQQLFIYTAAAHMLLSMMAMVIHSRKRKRREPVETITYAPIEERDRMRIEYLNNKIWKNDVTCVNMLRLNRA >PAN22691 pep chromosome:PHallii_v3.1:4:2327758:2328337:1 gene:PAHAL_4G037100 transcript:PAN22691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALLRYDEPPLKNYCTGPTMSRRRRTHVQPLLHGLAVGARPERRRGKEESRKTYSAMTSASAPCRRRRLETKPRHSRTQTTTHAISRQEANDLHHLAMPQGPLGAEETGGAPAGTLTLPFTSLNCSKRGDGEKKVSTLSLEEI >PAN23694 pep chromosome:PHallii_v3.1:4:8178426:8182419:1 gene:PAHAL_4G110700 transcript:PAN23694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCPETRGRRVQIRFASRFPHAGHHGRECDCSHAMHPISGVLPGTSKLIAIIGDLLHLECQVARVACAGGFVGHVGDSLRREETSTRRVQGYLQDPHNAGNNGTFQDAT >PAN24065 pep chromosome:PHallii_v3.1:4:33226644:33238312:1 gene:PAHAL_4G198900 transcript:PAN24065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRVSLSCSARLLPSPLLQSFLRPFSSSTSPLRSSRRRSSPIAAAFSQASQSPHAVREGQVTEAPMPSSTRPWKPTCLYYTQGKCTMMDDAMHLEKFSHNLMMDLPVNALAADKVKPQKLDYLLILDLEGKVEILEFPVVMIDAQSMGFIDSFHRFVRPTVMNEQRITEYIEGKYGKFGVDRVWNDTAIPFKEVLQEFEDWIGNHNLWKKEQGGSLKSAAFVTCGNWDLKTKVPEQCKVSNIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRQLQMPTVGSHHLGIDDSKNIARVVQRMLADGAMMQITAKRQSATGDVKFLFKDRIM >PAN24063 pep chromosome:PHallii_v3.1:4:33226709:33238311:1 gene:PAHAL_4G198900 transcript:PAN24063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKCIGRRMARTCLDMNTEMDDAMHLEKFSHNLMMDLPVNALAADKVKPQKLDYLLILDLEGKVEILEFPVVMIDAQSMGFIDSFHRFVRPTVMNEQRITEYIEGKYGKFGVDRVWNDTAIPFKEVLQEFEDWIGNHNLWKKEQGGSLKSAAFVTCGNWDLKTKVPEQCKVSNIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRQLQMPTVGSHHLGIDDSKNIARVVQRMLADGAMMQITAKRQSATGDVKFLFKDRIM >PAN24066 pep chromosome:PHallii_v3.1:4:33226817:33238284:1 gene:PAHAL_4G198900 transcript:PAN24066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRVSLSCSARLLPSPLLQSFLRPFSSSTSPLRSSRRRSSPIAAAFSQASQSPHAVREGQVTEAPMPSSTRPWKPTCLYYTQGKCTMKDGEDMSRHEHRVLQMDDAMHLEKFSHNLMMDLPVNALAADKVKPQKLDYLLILDLEGKVEILEFPVVMIDAQSMGFIDSFHRFVRPTVMNEQRITEYIEGKYGKFGVDRVWNDTAIPFKEVLQEFEDWIGNHNLWKKEQGGSLKSAAFVTCGNWDLKTKVPEQCKVSNIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRQLQMPTVGSHHLGIDDSKNIARVVQRMLADGAMMQITAKRQSATGDVKFLFKDRIM >PVH47953 pep chromosome:PHallii_v3.1:4:33226817:33238284:1 gene:PAHAL_4G198900 transcript:PVH47953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHEHRVLQMDDAMHLEKFSHNLMMDLPVNALAADKVKPQKLDYLLILDLEGKVEILEFPVVMIDAQSMGFIDSFHRFVRPTVMNEQRITEYIEGKYGKFGVDRVWNDTAIPFKEVLQEFEDWIGNHNLWKKEQGGSLKSAAFVTCGNWDLKTKVPEQCKVSNIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRQLQMPTVGSHHLGIDDSKNIARVVQRMLADGAMMQITAKRQSATGDVKFLFKDRIM >PAN24064 pep chromosome:PHallii_v3.1:4:33226645:33238312:1 gene:PAHAL_4G198900 transcript:PAN24064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAMHLEKFSHNLMMDLPVNALAADKVKPQKLDYLLILDLEGKVEILEFPVVMIDAQSMGFIDSFHRFVRPTVMNEQRITEYIEGKYGKFGVDRVWNDTAIPFKEVLQEFEDWIGNHNLWKKEQGGSLKSAAFVTCGNWDLKTKVPEQCKVSNIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRQLQMPTVGSHHLGIDDSKNIARVVQRMLADGAMMQITAKRQSATGDVKFLFKDRIM >PVH47954 pep chromosome:PHallii_v3.1:4:33228916:33238312:1 gene:PAHAL_4G198900 transcript:PVH47954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHEHRVLQMDDAMHLEKFSHNLMMDLPVNALAADKVKPQKLDYLLILDLEGKVEILEFPVVMIDAQSMGFIDSFHRFVRPTVMNEQRITEYIEGKYGKFGVDRVWNDTAIPFKEVLQEFEDWIGNHNLWKKEQGGSLKSAAFVTCGNWDLKTKVPEQCKVSNIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRQLQMPTVGSHHLGIDDSKNIARVVQRMLADGAMMQITAKRQSATGDVKFLFKDRIM >PAN24068 pep chromosome:PHallii_v3.1:4:33226645:33238311:1 gene:PAHAL_4G198900 transcript:PAN24068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAMHLEKFSHNLMMDLPVNALAADKVKPQKLDYLLILDLEGKVEILEFPVVMIDAQSMGFIDSFHRFVRPTVMNEQRITEYIEGKYGKFGVDRVWNDTAIPFKEVLQEFEDWIGNHNLWKKEQGGSLKSAAFVTCGNWDLKTKVPEQCKVSNIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRQLQMPTVGSHHLGIDDSKNIARVVQRMLADGAMMQITAKRQSATGDVKFLFKDRIM >PAN23211 pep chromosome:PHallii_v3.1:4:5036170:5039607:1 gene:PAHAL_4G075300 transcript:PAN23211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLATGRGRLLRELSGRRAPRPQRQGVHAAFFRRYSGHHFSLDGVEDAAEQAVEPPPPVSLAKSLASLAEESAEAVQRQRKPLTRMERKRLAELRIKKRVKAQYLNGKFYDLMGKVVANAETLEDAYNIVRLNSNADLACAKDDVCFVTLAEQLRSGEFDVRANAFSVVKKRRGEGCLVLPRLNLKVVQEAIRAVLEVVYRPQFSKISHGCRSGRGYHSALRFISDEIGVPDWCFTVPLHKEVDSNVNTKLIYLIQEKIEDTQLVAFMQKMFDAKVINLVFGGYPKGHGLPQEGVLAPILMNIYLDSFDHEVFRICLKHEGLGSEAKHVSEDHGSNLRRWFRSQLKGKDENSEDQTDCQTKIKLYACRYMDEIFVAVSGSRDAAEDMKSEIVAYLRKSLYLEVDDRLRLMPVKRNMQGLQFCGVFVRVETKENAKLKAVHKLKEKISLFASQKQEIWDAMNLRVGKKWLAYGLRRIKESEIKPLGLSTPLLDHIAKFRKEGMKTDHWLKTLLKVWMQDVNAKNELNEDVLLSKYIAEPALAQDLRDAFYNFQKQAEDYISSETAATEALLSNLKRVESISTCTDGGIIKIHAPLSYIQKCLHLYGLINVEGFPRHVSALALQDDELIVSWFAGIIHRWIRWFSEVDNFKELQLMFVECVRKSCIRTLSAKYRMYEKLTEKRFELDDHGIPMVEDFEATIKPLESSYSFASTDEALMYGISSSGLFVLTLSRVRVPTRQFNCFVMGCQSASPSMYVLHVKEKQRFPGWRTGFSSSIHGSLDGRRIGLCTQHVKDLYLGHISLQSVDFGSLVR >PAN23209 pep chromosome:PHallii_v3.1:4:5036198:5039607:1 gene:PAHAL_4G075300 transcript:PAN23209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLATGRGRLLRELSGRRAPRPQRQGVHAAFFRRYSGHHFSLDGVEDAAEQAVEPPPPVSLAKSLASLAEESAEAVQRQRKPLTRMERKRLAELRIKKRVKAQYLNGKFYDLMGKVVANAETLEDAYNIVRLNSNADLACAKDDVCFVTLAEQLRSGEFDVRANAFSVVKKRRGEGCLVLPRLNLKVVQEAIRAVLEVVYRPQFSKISHGCRSGRGYHSALRFISDEIGVPDWCFTVPLHKEVDSNVNTKLIYLIQEKIEDTQLVAFMQKMFDAKVINLVFGGYPKGHGLPQEGVLAPILMNIYLDSFDHEVFRICLKHEGLGSEAKHVSEDHGSNLRRWFRSQLKGKDENSEDQTDCQTKIKLYACRYMDEIFVAVSGSRDAAEDMKSEIVAYLRKSLYLEVDDRLRLMPVKRNMQGLQFCGVFVRVETKENAKLKAVHKLKEKISLFASQKQEIWDAMNLRVGKKWLAYGLRRIKESEIKPLGLSTPLLDHIAKFRKEGMKTDHWLKTLLKVWMQDVNAKNELNEDVLLSKYIAEPALAQDLRDAFYNFQKQAEDYISSETAATEALLSNLKRVESISTCTDGGIIKIHAPLSYIQKCLHLYGLINVEGFPRHVSALALQDDELIVSWFAGIIHRWIRWFSEVDNFKELQLMFVECVRKSCIRTLSAKYRMYEKLTEKRFELDDHGIPMVEDFEATIKPLESSYSFASTDEALMYGISSSGLFVLTLSRVRVPTRQFNCFVMGCQSASPSMYVLHVKEKQRFPGWRTGFSSSIHGSLDGRRIGLCTQHVKDLYLGHISLQSVDFGSLVR >PAN23210 pep chromosome:PHallii_v3.1:4:5035968:5039607:1 gene:PAHAL_4G075300 transcript:PAN23210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLATGRGRLLRELSGRRAPRPQRQGVHAAFFRRYSGHHFSLDGVEDAAEQAVEPPPPVSLAKSLASLAEESAEAVQRQRKPLTRMERKRLAELRIKKRVKAQYLNGKFYDLMGKVVANAETLEDAYNIVRLNSNADLACAKDDVCFVTLAEQLRSGEFDVRANAFSVVKKRRGEGCLVLPRLNLKVVQEAIRAVLEVVYRPQFSKISHGCRSGRGYHSALRFISDEIGVPDWCFTVPLHKEVDSNVNTKLIYLIQEKIEDTQLVAFMQKMFDAKVINLVFGGYPKGHGLPQEGVLAPILMNIYLDSFDHEVFRICLKHEGLGSEAKHVSEDHGSNLRRWFRSQLKGKDENSEDQTDCQTKIKLYACRYMDEIFVAVSGSRDAAEDMKSEIVAYLRKSLYLEVDDRLRLMPVKRNMQGLQFCGVFVRVETKENAKLKAVHKLKEKISLFASQKQEIWDAMNLRVGKKWLAYGLRRIKESEIKPLGLSTPLLDHIAKFRKEGMKTDHWLKTLLKVWMQDVNAKNELNEDVLLSKYIAEPALAQDLRDAFYNFQKQAEDYISSETAATEALLSNLKRVESISTCTDGGIIKIHAPLSYIQKCLHLYGLINVEGFPRHVSALALQDDELIVSWFAGIIHRWIRWFSEVDNFKELQLMFVECVRKSCIRTLSAKYRMYEKLTEKRFELDDHGIPMVEDFEATIKPLESSYSFASTDEALMYGISSSGLFVLTLSRVRVPTRQFNCFVMGCQSASPSMYVLHVKEKQRFPGWRTGFSSSIHGSLDGRRIGLCTQHVKDLYLGHISLQSVDFGSLVR >PAN25221 pep chromosome:PHallii_v3.1:4:47746904:47747522:1 gene:PAHAL_4G285100 transcript:PAN25221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALFEQLSALADMALDGGGFDPARLDGILALFEGEARASWAAAAAEHEAVARATERAAEAAGGHLDAVGTYRGSSGEAGALAASTAAMEMAFNATSRP >PVH48044 pep chromosome:PHallii_v3.1:4:41297925:41298342:1 gene:PAHAL_4G226400 transcript:PVH48044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLTSGYGTMLVLGHLMLSTFSVWPALKIQNCDSPNKINSLKKSKSNKNSIY >PAN22885 pep chromosome:PHallii_v3.1:4:3344977:3350533:1 gene:PAHAL_4G050900 transcript:PAN22885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVGSLSEADLKGKKVFLRADLNVPLDESQKITDDTRVRASVPTIKFLMEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVNVVMANDCIGEEVQKLAASLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKSKGVSLLLPTDVVVADKFDANAESKIVPASSIPDGWMGLDIGPDSIKTFSVTLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLAEITAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >PVH48327 pep chromosome:PHallii_v3.1:4:49449771:49453041:-1 gene:PAHAL_4G309000 transcript:PVH48327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAPEKTAMESHSAAAKERRREERDAAGRIHKVEVEKEARDKGKVWRGGAQVEEEKAADGVRWEEGGAIGWKGRTQGHQRQPVAAGHRPFWRPRGGGMSGRGGRGGFQFHRRPWNLQHHKLDISNKPEVYGGAIIICNHVTKRQFFEQKHFALPGYAATFIKKIRAGMLLFLFEHDERKLYGVFEATSDGALNILPDSCASLCKFRPAQVLFRRVWFCKPLSEAEFSDAIKGNCLHPQRSFFGISYQQVLDLVDLFTSRMIRLQPYQKPKSRVLQDYKISLARTGREFSLYTHASFNRSSSMFCNNRISLPNSPFMYAKHIGKHPAHKHESPLQPWHKHAVFKAQDILEKSKPDDADYIPLELDGCNSDSDANQSTLMGTISFHSTMESNISCGNQDDRCFPPVLNQRFISESETGQDSVFAHIMKDSKSESQAKGCKRKAIVQLDELSDVLSPMRACSVAKKVSFSSGGNGISVNSDKASHRPTLSELQENREAVLKGRKEQIGFSPRDIQSKERDASAKRSKLMGPSFVERLRNQHAQSRARNSNLQLIEFRTEFGVQSHNSVRRS >PAN22689 pep chromosome:PHallii_v3.1:4:2335842:2339161:-1 gene:PAHAL_4G037400 transcript:PAN22689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPASLLHLHLLFGRRRRRRENGSTSVMGCAQAKPSRGSPARSDGRGIDRLKRDNAYRPGSGVSRFSDPPPAAAERAAGATDPAHAARRGGGAASGRSTDKTPDDADASAAPAPPLPPQPPPRREDELVDGWPTWLLENVPREALQGIVPRSADAYDKIEKVGQGTYSNVYKARERGTGRVVALKKVRFDTSESESVRFMAREIRILQRLDHPNVIRLEGIATSRMHRSIYLVFDFMYSDLTKLIARPGQRLTEPQIKSYMQQLLAGLQHCHDRGILHRDIKGSNLLIDRHGVLKIGDFGLANYFGPGRRRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFFGKPLLRATTEVEQLFKIFSLCGSPPDDYWRKMKLSPTFKPPKAYKPTTAERFRDLPPSAVRLLATLLALDPAARGTAGQALESSFFSTPPLPCDLSSLPVVYKEEVADPAASHDGRKPKQRQRSHRRKDSKQKAEEQPSEINTGSPNKEDQI >PAN22690 pep chromosome:PHallii_v3.1:4:2335199:2339198:-1 gene:PAHAL_4G037400 transcript:PAN22690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPASLLHLHLLFGRRRRRRENGSTSVMGCAQAKPSRGSPARSDGRGIDRLKRDNAYRPGSGVSRFSDPPPAAAERAAGATDPAHAARRGGGAASGRSTDKTPDDADASAAPAPPLPPQPPPRREDELVDGWPTWLLENVPREALQGIVPRSADAYDKIEKVGQGTYSNVYKARERGTGRVVALKKVRFDTSESESVRFMAREIRILQRLDHPNVIRLEGIATSRMHRSIYLVFDFMYSDLTKLIARPGQRLTEPQIKSYMQQLLAGLQHCHDRGILHRDIKGSNLLIDRHGVLKIGDFGLANYFGPGRRRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFFGKPLLRATTEVEQLFKIFSLCGSPPDDYWRKMKLSPTFKPPKAYKPTTAERFRDLPPSAVRLLATLLALDPAARGTAGQALESSFFSTPPLPCDLSSLPVVYKEEVADPAASHDGRKPKQRQRSHRRKDSKQKAEEQPSEINTGSPNKEEDKVIDTANSAQESDGTVIKESLDITINIASYSYSTIPGRFSVSPDQVLPQQEASPAAPQDQQQLPAAKTLHRSGSDDDHENRQRIPAPDDDDEADGEPPLGSGSGGATVNHSPESRPAAFMTDFEAAAAALRGSEELPPKQYVLVDHV >PAN23697 pep chromosome:PHallii_v3.1:4:8191667:8198557:-1 gene:PAHAL_4G110900 transcript:PAN23697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIMLFMFLCGILATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYGNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKNDGLRIDSGKRINLVVQGSHDS >PAN23701 pep chromosome:PHallii_v3.1:4:8192366:8201288:-1 gene:PAHAL_4G110900 transcript:PAN23701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRRSRPGSSLRLLVLGALLLAAAAAFSPAAAAAAEAAGGREARAGKQEAEAQAEAEARGDRVAVAEAGGEVAAQGNATDNKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVETVAQFGVIFLLFALGLEFSTAKLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYGNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKNDGLRIDSGKRINLVVQGSHDS >PAN23698 pep chromosome:PHallii_v3.1:4:8192366:8201288:-1 gene:PAHAL_4G110900 transcript:PAN23698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRRSRPGSSLRLLVLGALLLAAAAAFSPAAAAAAEAAGGREARAGKQEAEAQAEAEARGDRVAVAEAGGEVAAQGNATDNKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIMLFMFLCGILATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYGNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKNDGLRIDSGKRINLVVQGSHDS >PAN23700 pep chromosome:PHallii_v3.1:4:8191667:8201628:-1 gene:PAHAL_4G110900 transcript:PAN23700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRRSRPGSSLRLLVLGALLLAAAAAFSPAAAAAAEAAGGREARAGKQEAEAQAEAEARGDRVAVAEAGGEVAAQGNATDNKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVETVAQFGVIFLLFALGLEFSTAKLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYGNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQLGLKNDGLRIDSGKRINLVVQGSHDS >PAN23699 pep chromosome:PHallii_v3.1:4:8191667:8201628:-1 gene:PAHAL_4G110900 transcript:PAN23699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRRSRPGSSLRLLVLGALLLAAAAAFSPAAAAAAEAAGGREARAGKQEAEAQAEAEARGDRVAVAEAGGEVAAQGNATDNKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIMLFMFLCGILATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYGNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQLGLKNDGLRIDSGKRINLVVQGSHDS >PVH47323 pep chromosome:PHallii_v3.1:4:2264685:2269975:-1 gene:PAHAL_4G036000 transcript:PVH47323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKFYEKYTSLKKRKLLDEGLERKREEELKELYDAMKDWVRGLEKDKEELSEKLADKEDELEKARQDFLADIWARDSEILRLKQLLDEKTEKNNSTATRSVDQTPELVHENPTRMSPRRKTPQSNMKAKRVQLSESTVIPHSGLEEESQELQCSRRYTCISGNETNECPSADMFHLLLQSLVRMKVTVDDGTERFSVSVSHEATGYNFTLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMFRLFFERISNIITKG >PAN22672 pep chromosome:PHallii_v3.1:4:2264762:2269971:-1 gene:PAHAL_4G036000 transcript:PAN22672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKFYEKYTSLKKRKLLDEGLERKREEELKELYDAMKDWVRGLEKDKEELSEKLADKEDELEKARQDFLADIWARDSEILRLKQLLDEKTEKNNSTATRSVDQTPELVHENPTRMSPRRKTPQSNMKAKRVQLSESTVIPHSGLEEESQELQCSRRYTCISGNETNECPSADMFHLLLQSLVRMKVTVDDGTERFSVSVSHEATGYNFTLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMFRLFFERISNIITKG >PAN22673 pep chromosome:PHallii_v3.1:4:2265058:2268367:-1 gene:PAHAL_4G036000 transcript:PAN22673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKFYEKYTSLKKRKLLDEGLERKREEELKELYDAMKDWVRGLEKDKEELSEKLADKEDELEKARQDFLADIWARDSEILRLKQLLDEKTEKNNSTATRSVDQTPELVHENPTRMSPRRKTPQSNMKAKRVQLSESTVIPHSGLEEESQELQCSRRYTCISGNETNECPSADMFHLLLQSLVRMKVTVDDGTERFSVSVSHEATGYNFTLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMFRLFFERISNIITKG >PAN22674 pep chromosome:PHallii_v3.1:4:2264575:2269972:-1 gene:PAHAL_4G036000 transcript:PAN22674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKFYEKYTSLKKRKLLDEGLERKREEELKELYDAMKDWVRGLEKDKEELSEKLADKEDELEKARQDFLADIWARDSEILRLKQLLDEKTEKNNSTATRSVDQTPELVHENPTRMSPRRKTPQSNMKAKRVQLSESTVIPHSGLEEESQELQCSRRYTCISGNETNECPSADMFHLLLQSLVRMKVTVDDGTERFSVSVSHEATGYNFTLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMFRLFFERISNIITKG >PAN22677 pep chromosome:PHallii_v3.1:4:2264762:2269969:-1 gene:PAHAL_4G036000 transcript:PAN22677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQILGPPSLICFSSGRCSWRAKKLRRRSLSIRAFSPYLPHAKNLRRPTAPTVPKLDLPPRAVPRSSGRVLLRPSPSCSSLPDPRQEHPARMERKFYEKYTSLKKRKLLDEGLERKREEELKELYDAMKDWVRGLEKDKEELSEKLADKEDELEKARQDFLADIWARDSEILRLKQLLDEKTEKNNSTATRSVDQTPELVHENPTRMSPRRKTPQSNMKAKRVQLSESTVIPHSGLEEESQELQCSRRYTCISGNETNECPSADMFHLLLQSLVRMKVTVDDGTERFSVSVSHEATGYNFTLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMFRLFFERISNIITKG >PVH47324 pep chromosome:PHallii_v3.1:4:2264566:2269990:-1 gene:PAHAL_4G036000 transcript:PVH47324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKFYEKYTSLKKRKLLDEGLERKREEELKELYDAMKDWVRGLEKDKEELSEKLADKEDELEKARQDFLADIWARDSEILRLKQLLDEKTEKNNSTATRSVDQTPELVHENPTRMSPRRKTPQSNMKAKRVQLSESTVIPHSGLEEESQELQCSRRYTCISGNETNECPSADMFHLLLQSLVRMKVTVDDGTERFSVSVSHEATGYNFTLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMFRLFFERISNIITKG >PAN25298 pep chromosome:PHallii_v3.1:4:48196174:48198224:1 gene:PAHAL_4G291000 transcript:PAN25298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTVSSIESTEGGYQWFEHGASTKAGTGKGAASSTETRYSLSYWAVGTDLELVVLTERHRSGKSGAYQPSLLLLPTATPASIPSPCPRHGHDCSSTSSLLALRHRNTALALSLTSFQWLSTCWGSCNCWASLLPFLAPKPHHILG >PVH48259 pep chromosome:PHallii_v3.1:4:48196533:48196976:1 gene:PAHAL_4G291000 transcript:PVH48259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTVSSIESTEGGYQWFEHGASTKAGTGKGAASSTETRYSLSYWAVGTDLELVVLTERHRSGKSGAYQPSLLLLPTATPASIPSPCPRHGHDCSSTSSLLALRHRNTALALSLTSFQWLSTCWGSCNCWASLLPFLAPKPHHILG >PAN25299 pep chromosome:PHallii_v3.1:4:48196152:48198582:1 gene:PAHAL_4G291000 transcript:PAN25299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTVSSIESTEGGYQWFEHGASTKAGTGKGAASSTETRYSLSYWAVGTDLELVVLTERHRSGKSGAYQPSLLLLPTATPASIPSPCPRHGHDCSSTSSLLALRHRNTALALSLTSFQWLSTCWGSCNCWASLLPFLAPKPHHILG >PVH48258 pep chromosome:PHallii_v3.1:4:48195902:48198270:1 gene:PAHAL_4G291000 transcript:PVH48258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTVSSIESTEGGYQWFEHGASTKAGTGKGAASSTETRYSLSYWAVGTDLELVVLTERHRSGKSGAYQPSLLLLPTATPASIPSPCPRHGHDCSRRRNQTLVLNEEEVPKEKAPRFKSKSMRGLRYTQRVLIFFSCLKALPSDCRFYAFAFFFHFCFLNSRSV >PVH48464 pep chromosome:PHallii_v3.1:4:51151427:51157295:1 gene:PAHAL_4G334600 transcript:PVH48464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MALQAQQLPSFSFTSPSLWTLRLSCTPKSPQFSSRCFVHSHSQFHTPRPRRYPVAYPPHLSLEGQGNEGGDDDDGEGSVESWGGPASPFRDDIDGEGGDASEDEEGLQESDEDEKEGGEGVGEWDPPVSPFRTQREEPHYQEEEGEEDDAGGCQWLDPTSFLPSQEGVGGVCTTTTAAMEEILTFARTAAGDSVFTEFLAGYSHEDLSEGACVELMRRMSEEGLALGCVHLFQWLRGKQPVPVSPQVWLAGIVALGRCQMADEVLEIVARLPPESEFREAVVYNAAISAVACCERYDGAWKIFELMEKNNVQPDHRTSSIMLNIMKKTKASAKDAWEFLQRMNRKGVNWSLDIAAALVNIFCCEGLRKEALIIQSEMEKRGIASNTSIYNMLLKAFCKSNQIEEGEGLFVEMKEKGLQPTIATYNILMDAYSRRLQPEVVELLLLEMQDLSITPNAGSYNCLIRAYGRQKKMCEKAEDAFLRMKADGIKPLSSSYTALLCAYAVNGLHEKAHTIYMDMKREGLKPTLETYTALIDTLRRAGDTEKLMETWKTMIDEKVGGTRVIFHMVLDCLAKHGLYHQARDAIYEFGKIGLKPTVMTYNILMNAYGRGGQHYKLPQLLKEMAALELKPDSITYSTMIYAYARVRDFSRAFYYHKQMVRSGQIPDPRSYSKLLNTLDVKAARKNIKDKSAIQGIIKGKAGLKPRKEKKDEFWKNRRKRSMLNPTYGHQRKRYL >PAN25924 pep chromosome:PHallii_v3.1:4:51151429:51157123:1 gene:PAHAL_4G334600 transcript:PAN25924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MALQAQQLPSFSFTSPSLWTLRLSCTPKSPQFSSRCFVHSHSQFHTPRPRRYPVAYPPHLSLEGQGNEGGDDDDGEGSVESWGGPASPFRDDIDGEGGDASEDEEGLQESDEDEKEGGEGVGEWDPPVSPFRTQREEPHYQEEEGEEDDAGGCQWLDPTSFLPSQEGVGGVCTTTTAAMEEILTFARTAAGDSVFTEFLAGYSHEDLSEGACVELMRRMSEEGLALGCVHLFQWLRGKQPVPVSPQVWLAGIVALGRCQMADEVLEIVARLPPESEFREAVVYNAAISAVACCERYDGAWKIFELMEKNNVQPDHRTSSIMLNIMKKTKASAKDAWEFLQRMNRKGVNWSLDIAAALVNIFCCEGLRKEALIIQSEMEKRGIASNTSIYNMLLKAFCKSNQIEEGEGLFVEMKEKGLQPTIATYNILMDAYSRRLQPEVVELLLLEMQDLSITPNAGSYNCLIRAYGRQKKMCEKAEDAFLRMKADGIKPLSSSYTALLCAYAVNGLHEKAHTIYMDMKREGLKPTLETYTALIDTLRRAGDTEKLMETWKTMIDEKVGGTRVIFHMVLDCLAKHGLYHQARDAIYEFGKIGLKPTVMTYNILMNAYGRGGQHYKLPQLLKEMAALELKPDSITYSTMIYAYARVRDFSRAFYYHKQMVRSGQIPDPRSYSKLLNTLDVKAARKNIKDKSAIQGIIKGKAGLKPRKEKKDEFWKNRRKRSMLNPTYGHQRKRYL >PVH48463 pep chromosome:PHallii_v3.1:4:51151408:51157294:1 gene:PAHAL_4G334600 transcript:PVH48463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MALQAQQLPSFSFTSPSLWTLRLSCTPKSPQFSSRCFVHSHSQFHTPRPRRYPVAYPPHLSLEGQGNEGGDDDDGEGSVESWGGPASPFRDDIDGEGGDASEDEEGLQESDEDEKEGGEGVGEWDPPVSPFRTQREEPHYQEEEGEEDDAGGCQWLDPTSFLPSQEGVGGVCTTTTAAMEEILTFARTAAGDSVFTEFLAGYSHEDLSEGACVELMRRMSEEGLALGCVHLFQWLRGKQPVPVSPQVWLAGIVALGRCQMADEVLEIVARLPPESEFREAVVYNAAISAVACCERYDGAWKIFELMEKNNVQPDHRTSSIMLNIMKKTKASAKDAWEFLQRMNRKGVNWSLDIAAALVNIFCCEGLRKEALIIQSEMEKRGIASNTSIYNMLLKAFCKSNQIEEGEGLFVEMKEKGLQPTIATYNILMDAYSRRLQPEVVELLLLEMQDLSITPNAGSYNCLIRAYGRQKKMCEKAEDAFLRMKADGIKPLSSSYTALLCAYAVNGLHEKAHTIYMDMKREGLKPTLETYTALIDTLRRAGDTEKLMETWKTMIDEKVGGTRVIFHMVLDCLAKHGLYHQARDAIYEFGKIGLKPTVMTYNILMNAYGRGGQHYKLPQLLKEMAALELKPDSITYSTMIYAYARVRDFSRAFYYHKQMVRSGQIPDPRSYSKLLNTLDVKAARKNIKDKSAIQGIIKGKAGLKPRKEKKDEFWKNRRKRSMLNPTYGHQRKRYL >PAN25816 pep chromosome:PHallii_v3.1:4:50636282:50640157:1 gene:PAHAL_4G327700 transcript:PAN25816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRKPIKLTLPSHESTIGKFLTHSGTFRDGDLLVNKYGLRIVSQGEGGEAPPIEPLDSQLSLDDLDVIKVIGKGSSGHVQLVRHKFTGQFFALKVIQLNNIEESIRRQIAKELKISLSTQCQYIVVFYQCFYFNGAISIVLEYMDGGSLSDFLKTVKTIPEDYLAAICKQILKGLMYLHHERHIIHRDLKPSNILINHRGEVKISDFGVSAIISSSSGQRDTFIGTKNYMAPERINGKKHGYMSDIWSLGLVILECATGIFPFPPCDSFYELLAAIVDQQPPSAPADQFSPEFCSFISACIQKDAKDRSSAQALLNHPYLSMYDDLHIDLASYFTTAGSPLATFNSRQL >PAN22476 pep chromosome:PHallii_v3.1:4:1399549:1404033:1 gene:PAHAL_4G022300 transcript:PAN22476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSFSPTNVQMLQGRSGHGHSAFGSCFAVPRAGPRLRSTAVRVSSEQEAAAAVRAPSGRSIEECEADAVAGKFPAPPPLVRPKAPEGTPEIRPLDMTKRPRRNRKSPALRAAFQETSISPANFVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKTPTGDEAYNDNGLVPRTIRLLKDKFPDIVIYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGALRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALGMIDEQKVMMESLMCLRRAGADIILTYFARQAAGVLCGMGSK >PAN22477 pep chromosome:PHallii_v3.1:4:1399549:1404033:1 gene:PAHAL_4G022300 transcript:PAN22477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSFSPTNVQMLQGRSGHGHSAFGSCFAVPRAGPRLRSTAVRVSSEQEAAAAVRAPSGRSIEECEADAVAGKFPAPPPLVRPKAPEGTPEIRPLDMTKRPRRNRKSPALRAAFQETSISPANFVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKTPTGDEAYNDNGLVPRTIRLLKDKFPDIVIYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGALRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALGMIDEQKVMMESLMCLRRAGADIILTYFARQAAGVLCGMGSK >PAN22738 pep chromosome:PHallii_v3.1:4:2579866:2583031:1 gene:PAHAL_4G042100 transcript:PAN22738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERPVPRRESPWGLPEGDTRQPKAHRCNDRAEDVVQAVFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPRRVEVNLEQPASES >PAN22286 pep chromosome:PHallii_v3.1:4:613289:616599:-1 gene:PAHAL_4G009000 transcript:PAN22286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAHGGTTYKGYTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGLRHPWDGHDDHSHGHGHEHEASSSSSSSSH >PAN25831 pep chromosome:PHallii_v3.1:4:50692740:50695057:1 gene:PAHAL_4G328300 transcript:PAN25831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASGTSSTLLGRPAGTARPHLAVSSSASSIRFPRGGGGRAVSLRVTSPPAVAAAAAATSGSIAPAISLTEKALKHLNKMRAERDEDLCLRIGVKQGGCSGMSYTMEFESRANASPDDSIVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFSFQNPNATKTCGCGKSFATSKETESAATACNN >PVH48429 pep chromosome:PHallii_v3.1:4:50692740:50695057:1 gene:PAHAL_4G328300 transcript:PVH48429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASGTSSTLLGRPAGTARPHLAVSSSASSIRFPRGGGGRAVSLRVTSPPVAAAAAATSGSIAPAISLTEKALKHLNKMRAERDEDLCLRIGVKQGGCSGMSYTMEFESRANASPDDSIVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFSFQNPNATKTCGCGKSFATSKETESAATACNN >PVH47990 pep chromosome:PHallii_v3.1:4:37503908:37505462:1 gene:PAHAL_4G210200 transcript:PVH47990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTSSAIFHPRPLFPANFFVIHGISSSSSLSLRRSCSPREFTFRVFPFITKATQDTTTSATVSTRSP >PVH48046 pep chromosome:PHallii_v3.1:4:41331668:41332200:1 gene:PAHAL_4G226700 transcript:PVH48046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLWKIPTTSPKTTSRVPSCTQSCLWVRSPLELRMAQDSDDSLFVVSVVVWILVVILAIVALHCPLPRRVVRRCTI >PAN25859 pep chromosome:PHallii_v3.1:4:50812911:50816859:1 gene:PAHAL_4G329900 transcript:PAN25859 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSKRSLPSWMGSSKDGEDDSGKKKHAGTSQKAQKGPDFSKLLDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVQADNGTIISKDWISESHKQRKLVDIEPYLMHAGRPWRKNKEPVESDQDQKEMHKEHRKQVQRSHVKSSTSATTEAGYSDSGNKHFSPSKIKQWAVDDLTQTVSWLESQEEKPEQSELKAIAAEGVITCLQDAIESLEQGNDIKGVAEQWSFVPHVVNELLKLDGSRIDASVPKEKLSQLAIKCKKIYQAEFARMFSDDKKGTKGQSSSPVTKHRRKTKSDDDHYNSDDTIEMTEEEIDLACRQLPRVCG >PAN25857 pep chromosome:PHallii_v3.1:4:50812944:50816842:1 gene:PAHAL_4G329900 transcript:PAN25857 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSKRSLPSWMGSSKDGEDDSGKKKHAGTSQKAQKGPDFSKLLDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVQADNGTIISKDWISESHKQRKLVDIEPYLMHAGRPWRKNKEPVESDQDQKEMHKEHRKQVQRSHVKSSTSATTEAGYSDSGNKHFSPSKIKQWAVDDLTQTVSWLESQEEKPEQSELKAIAAEGVITCLQDAIESLEQGNDIKGVAEQWSFVPHVVNELLKLDGSRIDASVPKEKLSQLAIKCKKIYQAEFARMFSDDKKGTKGQSSSPVTKHRRKTKSDDDHYNSDDTIEMTEEEIDLACRQLPRVCG >PVH48442 pep chromosome:PHallii_v3.1:4:50812944:50816842:1 gene:PAHAL_4G329900 transcript:PVH48442 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MEPLSQRIGSLNLTSKENLWTLNLTLCMLEGHGEKIRSQLNLIKKEMHKEHRKQVQRSHVKSSTSATTEAGYSDSGNKHFSPSKIKQWAVDDLTQTVSWLESQEEKPEQSELKAIAAEGVITCLQDAIESLEQGNDIKGVAEQWSFVPHVVNELLKLDGSRIDASVPKEKLSQLAIKCKKIYQAEFARMFSDDKKGTKGQSSSPVTKHRRKTKSDDDHYNSDDTIEMTEEEIDLACRQLPRVCG >PAN22530 pep chromosome:PHallii_v3.1:4:1611344:1616488:1 gene:PAHAL_4G025400 transcript:PAN22530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNRASSRRPLWIIVLIAFVCAVAIGAYLYTPRHYTACYLVPSEACDSRPPPEPARVYTDDEIAARAIMRDIIRARPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYSIYVHASRDRSIHSSPIFAGRDIRSEKVIWGTISMVDAEKRLLAHAVQDPENQHFVLLSESCVPLHNFDYIYSYLMETNVSFVDCFDDPGPHGAGRYSDHMLPEIVKRDWRKGAQWFTVKRQHAVLILADTLYYGKFKRYCKPGNEWHNCYSDEHYLPTLFNMADPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISSIDESVHVSSNAKHVAQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >PAN23054 pep chromosome:PHallii_v3.1:4:4197351:4207710:-1 gene:PAHAL_4G064400 transcript:PAN23054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLEKPAHDGSGTTFSLALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23062 pep chromosome:PHallii_v3.1:4:4197351:4210802:-1 gene:PAHAL_4G064400 transcript:PAN23062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLASRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVTEMNQPNPAMPMTHHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFGHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDSRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23055 pep chromosome:PHallii_v3.1:4:4196882:4207712:-1 gene:PAHAL_4G064400 transcript:PAN23055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLEKPAHDGSGTTFSLALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23049 pep chromosome:PHallii_v3.1:4:4197351:4210802:-1 gene:PAHAL_4G064400 transcript:PAN23049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLASRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVTEMNQPNPAMPMTHHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFGHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDSRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23050 pep chromosome:PHallii_v3.1:4:4197351:4210802:-1 gene:PAHAL_4G064400 transcript:PAN23050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLASRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVTEMNQPNPAMPMTHHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFGHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDSRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23046 pep chromosome:PHallii_v3.1:4:4196882:4211172:-1 gene:PAHAL_4G064400 transcript:PAN23046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLASRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVTEMNQPNPAMPMTHHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFGHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDSRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23063 pep chromosome:PHallii_v3.1:4:4196882:4211172:-1 gene:PAHAL_4G064400 transcript:PAN23063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLASRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVTEMNQPNPAMPMTHHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFGHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDSRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23047 pep chromosome:PHallii_v3.1:4:4197351:4210802:-1 gene:PAHAL_4G064400 transcript:PAN23047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLASRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVTEMNQPNPAMPMTHHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFGHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDSRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23048 pep chromosome:PHallii_v3.1:4:4196882:4211172:-1 gene:PAHAL_4G064400 transcript:PAN23048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLASRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVTEMNQPNPAMPMTHHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFGHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDSRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQNSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLKLISMTDTGAHAQRYKELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYTRLSELLGLNDHLVLLNVIIGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIASHSENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWADEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDVLAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGEFPSLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQAVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTTFRHDFRMK >PAN23741 pep chromosome:PHallii_v3.1:4:8566295:8574100:-1 gene:PAHAL_4G113400 transcript:PAN23741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAESEESRERRRRSEAVAWLRSLLAGEGLPLPAPRASDDDLRAALADGAILAAALRRLLGPAAASAPDEGGASAAAAAGGSDVARFLAAVERMGLPTFAASDLDRGPMSAVIVCLLALRDRFGSHVGEGSHCSLEENGRMPSMEFPTRENGHGTQNSVFGQESKQVKGNLQKVSKSPAPSEPSSPMSRPELSSISRHAGHNFHEIFHLRHGVYSNMPTSKILEMMKSTNLDNAPTQSLLSFVNGILDEIIENKNGEIPYHIACLLRKVILEIERRISTQAEHIRNQNNLMRAREEKYKSRIRVLEALASSISGQTQINSSATNGKANVGADHVKKSKAEDRRLVDKSMSSLMKNKEDVTRLTKDKEDMARLLKDKEEIIRLMKEKEEMVTLIKEKEDIGTLKKGKVDDRDQSADVHTAKSITYNDEIFRMVKEKEESNHTIMKLKLELEAVKSSYEESQSLLKSTKEDMFKLLNDKENSDIIISKLRQELAVAGKSHMRHIQELESRALQANEEFEQRIKEVELLLEDSRMRGRDLEESLKSRIETWEQKEIMVNQFVGLQIQNVQDLRLSSVSIRHEIQNCQKRWSEELSGLGQSLNVLINDAKSYHAVLEENRKLFNEIQELKGNIRVYCRIRPFLPGEDQKSTTIEYVGDDGDLIIANPTRKENEGSKSFKFNKVLGPTASQAEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPENATEKEWGVNYRALNDLFHISHNRGDTIMYEISVQMIEIYNEKIRDLLGSSGQEKKLGILNASQPNGLAVPDATLHPVNSTSDVIQLMRVGHANRAVGSTALNERSSRSHSVVTIHIRGVDLKTGATLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQSSLGGHAKTLMFVQINPDVSSYSETLSTLKFAERVSGVELGAAKANKEGKDIREFMEQLLVLKHKIAKKDEEINRLQLLKTQTPRSRTVKRADSPLKHSSSSPGISSLGSRMQHRRTASGGKAMSIASRAGSDADNFSDISDRHSESGSMQSLDDIRPQRGVMGLPKISLDEMDHNSADPELACFGYADSEERLSDISDSGLSMGTETDVSVSSIVELTLFPEQEKTSSTLKEQEKAPKTPNDRLSKVATRVQKTTAPKPAQTSLWPKLRDPPAPKSPMSAGRTSSVQAIPAPRTLSTSKRWT >PAN23742 pep chromosome:PHallii_v3.1:4:8565900:8574532:-1 gene:PAHAL_4G113400 transcript:PAN23742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAESEESRERRRRSEAVAWLRSLLAGEGLPLPAPRASDDDLRAALADGAILAAALRRLLGPAAASAPDEGGASAAAAAGGSDVARFLAAVERMGLPTFAASDLDRGPMSAVIVCLLALRDRFGSHVGEGSHCSLEENGRMPSMEFPTRENGHGTQNSVFGQESKQVKGNLQKVSKSPAPSEPSSPMSRPELSSISRHAGHNFHEIFHLRHGVYSNMPTSKILEMMKSTNLDNAPTQSLLSFVNGILDEIIENKNGEIPYHIACLLRKVILEIERRISTQAEHIRNQNNLMRAREEKYKSRIRVLEALASSISGQTQINSSATNGKANVGADHVKKSKAEDRRLVDKSMSSLMKNKEDVTRLTKDKEDMARLLKDKEEIIRLMKEKEEMVTLIKEKEDIGTLKKGKVDDRDQSADVHTAKSITYNDEIFRMVKEKEESNHTIMKLKLELEAVKSSYEESQSLLKSTKEDMFKLLNDKENSDIIISKLRQELAVAGKSHMRHIQELESRALQANEEFEQRIKEVELLLEDSRMRGRDLEESLKSRIETWEQKEIMVNQFVGLQIQNVQDLRLSSVSIRHEIQNCQKRWSEELSGLGQSLNVLINDAKSYHAVLEENRKLFNEIQELKGNIRVYCRIRPFLPGEDQKSTTIEYVGDDGDLIIANPTRKENEGSKSFKFNKVLGPTASQAEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPENATEKEWGVNYRALNDLFHISHNRGDTIMYEISVQMIEIYNEKIRDLLGSSGQEKKLGILNASQPNGLAVPDATLHPVNSTSDVIQLMRVGHANRAVGSTALNERSSRSHSVVTIHIRGVDLKTGATLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQSSLGGHAKTLMFVQINPDVSSYSETLSTLKFAERVSGVELGAAKANKEGKDIREFMEQAYLL >PAN22798 pep chromosome:PHallii_v3.1:4:2917892:2922089:-1 gene:PAHAL_4G045500 transcript:PAN22798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAPDQDGGAQATPGLNSRRPNLSLQIPARTLDTSIPTSTRINISSSPSSTRAGLPPRPNSTRTKSSIKNIMPQHSFRARSSAQEGDRVILLNPGIPSEGQQDNPSTARSFSFRKVINSLSAKRTHSLPVTPVGTNDKVSSPANQLESLPTTSNQEVEAKIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPVDATTSNDVIEETVNVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTSNRRIANAAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNQRLAQQAVSAAQHPESGSNGANDDNGDRQQGHDPNSGNNAV >PVH47373 pep chromosome:PHallii_v3.1:4:2917892:2922089:-1 gene:PAHAL_4G045500 transcript:PVH47373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAEGPSPEPADRAAPDQDGGAQATPGLNSRRPNLSLQIPARTLDTSIPTSTRINISSSPSSTRAGLPPRPNSTRTKSSIKNIMPQHSFRARSSAQEGDRVILLNPGIPSEGQQDNPSTARSFSFRKVINSLSAKRTHSLPVTPVGTNDKVSSPANQLESLPTTSQNQEVEAKIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPVDATTSNDVIEETVNVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTSNRRIANAAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNQRLAQQAVSAAQHPESGSNGANDDNGDRQQGHDPNSGNNAV >PAN22799 pep chromosome:PHallii_v3.1:4:2917891:2922089:-1 gene:PAHAL_4G045500 transcript:PAN22799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAEGPSPEPADRAAPDQDGGAQATPGLNSRRPNLSLQIPARTLDTSIPTSTRINISSSPSSTRAGLPPRPNSTRTKSSIKNIMPQHSFRARSSAQEGDRVILLNPGIPSEGQQDNPSTARSFSFRKVINSLSAKRTHSLPVTPVGTNDKVSSPANQLESLPTTSNQEVEAKIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPVDATTSNDVIEETVNVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTSNRRIANAAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNQRLAQQAVSAAQHPESGSNGANDDNGDRQQGHDPNSGNNAV >PVH47372 pep chromosome:PHallii_v3.1:4:2918539:2921853:-1 gene:PAHAL_4G045500 transcript:PVH47372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAPDQDGGAQATPGLNSRRPNLSLQIPARTLDTSIPTSTRINISSSPSSTRAGLPPRPNSTRTKSSIKNIMPQHSFRARSSAQEGDRVILLNPGIPSEGQQDNPSTARSFSFRKVINSLSAKRTHSLPVTPVGTNDKVSSPANQLESLPTTSQNQEVEAKIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPVDATTSNDVIEETVNVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTSNRRIANAAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNQRLAQQAVSAAQHPESGSNGANDDNGDRQQGHDPNSGNNAV >PAN23076 pep chromosome:PHallii_v3.1:4:4236452:4239582:-1 gene:PAHAL_4G065100 transcript:PAN23076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTTRPALALLLVAAAVLAGAGAARGFYLPGVAPADFRKKDPLAVKVNQLSSIKTQLPYSYYSLPFCRPGTIVDSAENLGEVLRGDRIENSLYVFEMMEPKLCQIVCKIAPNQDEARDLKEKIDDEYRINMILDNLPLVVPIKRLDQEAPTVYQQGVHVGVKGQYAGSKEEKHFIHNHFTFLVKYHKDANTDIARIVAFEVKPYSIKHEYDGDWKGNGTPLKTCDPHSRHLVVDSDSPQEVEASKEIIFTYDVNFEESPIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLEDQEDAQEETGWKLVHGDVFRPPVNADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYSSTRLYKMFKGSEWKNVTIKTALMFPGIVFAIFFVLNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYVGFKKPAMEDPVRTNKIPRAIPEQPWYMNPVVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITIVLCYFQLCGEDYQWWWRSYLTAGSSALYLFLYATFYFFTKLEITKTVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >PAN22181 pep chromosome:PHallii_v3.1:4:125090:131420:1 gene:PAHAL_4G002300 transcript:PAN22181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVVGSSLPRRLPPLLPRAISPTLSRRRALLLLLSSSFGFGAPSCCRGSSLAHSYASMAAPPVAKKVPLELVDHGDVRVDNYYWLRDDSRSDPDVLAHLRAENDYTAAVMSDVKQLEDEIYAEIRGRIKEDDIDAPLRKGHYYYYERTLAGKEYAQHCRRLVPTDAPITVHDVMPTGPDAPVEHIILDENVKAEGHDYYSIGAFKVSPNNKLVAYAEDTKGDEIYTVYVIDAESGQYVGQPLKGITSDIEWAGDDHLVYITMDSILRPDKVWLHKLGSDQSSDACLYHEKDDTFSLGLQASESKKYLFVESESKNTSFIFYLDTSKQNKELAVLTPRVYGIDTTASHRGNHFFIMRRSDEFYNSELVACPLDNVAETTVLLPHRESVKIQDFQLFDNHIAVYERENGLRKVTVYRLPAIGESIGQLQGGRTIDFIDPTYAVDPEESQFHSTVLRFHYSSMRTPPSVYDYDMDSGVSVLKKIDPVLGGFDASNYVTERKWAAAADGTQIPMSILYRKDLVKLDGSDPLLLYGYGSYEICIDPSFRGSRFSLVDRGLIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIDCAEHLIRNKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVAAQGYPNILVTAGLNDPRVMYSEPAKYVAKLRELKTDGNLLLFRCELGAGHFSKSGRFEKLQEDAFTYAFILKALGV >PAN22182 pep chromosome:PHallii_v3.1:4:125090:131420:1 gene:PAHAL_4G002300 transcript:PAN22182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVVGSSLPRRLPPLLPRAISPTLSRRRALLLLLSSSFGFGAPSCCRGSSLAHSYASMAAPPVAKKVPLELVDHGDVRVDNYYWLRDDSRSDPDVLAHLRAENDYTAAVMSDVKQLEDEIYAEIRGRIKEDDIDAPLRKGHYYYYERTLAGKEYAQHCRRLVPTDAPITVHDVMPTGPDAPVEHIILDENVKAEGHDYYSIGAFKVSPNNKLVAYAEDTKGDEIYTVYVIDAESGQYVGQPLKGITSDIEWAGDDHLVYITMDSILRPDKVWLHKLGSDQSSDACLYHEKDDTFSLGLQASESKKYLFVESESKNTSFIFYLDTSKQNKELAVLTPRVYGIDTTASHRGNHFFIMRRSDEFYNSELVACPLDNVAETTVLLPHRESVKIQDFQLFDNHIAVYERENGLRKVTVYRLPAIGESIGQLQGGRTIDFIDPTYAVDPEESQFHSTVLRFHYSSMRTPPSVYDYDMDSGVSVLKKIDPVLGGFDASNYVTERKWAAAADGTQIPMSILYRKDLVKLDGSDPLLLYGYGSYEICIDPSFRGSRFSLVDRGLIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIDCAEHLIRNKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVAAQGYPNILVTAGLNDPRVMYSEPAKYVAKLRELKTDGNLLLFRFEKLQEDAFTYAFILKALGV >PAN25136 pep chromosome:PHallii_v3.1:4:47293082:47293956:1 gene:PAHAL_4G278200 transcript:PAN25136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGRILLRRSDFAARRPFSGARISSMRSHSRRRSPNRCMRLLARALFGVRASSRRSSRRRPFSTPALLPHDSRSSGRASSRSPAACPSLPPPLRPPPR >PAN22826 pep chromosome:PHallii_v3.1:4:3066936:3067256:1 gene:PAHAL_4G047300 transcript:PAN22826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAIFCRALLALNAAALPHRRLALPLRRRLLRDSAILIVLGASALFHAVAVPNTDASHALAAAAGLLMWVAGVALLPLALTAGRFPAAARLAAQLVEAAVQALF >PVH48075 pep chromosome:PHallii_v3.1:4:43058617:43059105:-1 gene:PAHAL_4G236700 transcript:PVH48075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPIAAYEVRAPLHWDAEEWDFRSQSEDDESLTDGEDLALLLGAELEEDENDASWGEDLSSSKERDDSYSSEEDPMAGTFLLGRSSDETLDDTEEAEDDDDFASDSGDDDDDSNNGDSSDASVAPPAKRRKTASVYWW >PAN23345 pep chromosome:PHallii_v3.1:4:5954577:5956003:-1 gene:PAHAL_4G085900 transcript:PAN23345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQALLSGRQLLGRPVQSAVSRSSSARKAPFVVRASSSPPAKQGADRQLWFASKQSLSYLDGTLPGDYGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPEIFGKLGIIPAETALPWFKTGVIPPAGTYNYWADSYTLFVFNMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKFLAGSGDPSYPGGPLFNPLGFGKTEKEMKELKLKEIKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH >PVH47920 pep chromosome:PHallii_v3.1:4:28468391:28474726:1 gene:PAHAL_4G185600 transcript:PVH47920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIAAWTRKLLNEVIKLDTNRDGSFGKLKLKLSAHSLVQDSPFQMDDIYSLVSSKAPRDLAPEKKRKICEAIRKVLGGVPEVLGIFIQELAFAEDGDPAESSVRRSKRGRTVKSYKEEDEEIEEDLDYEAEEEEDFYSSDERSLGLDSEDEADHATSPTTPSQ >PVH47919 pep chromosome:PHallii_v3.1:4:28468391:28474726:1 gene:PAHAL_4G185600 transcript:PVH47919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIAAWTRKLLNEVIKLDTNRDGSFGKLKKKRKICEAIRKVLGGVPEVLGIFIQELAFAEDGDPAESSVRRSKRGRTVKSYKEEDEEIEEDLDYEAEEEEDFYSSDERSLGLDSEDEADHATSPTTPSQ >PVH47921 pep chromosome:PHallii_v3.1:4:28471572:28474355:1 gene:PAHAL_4G185600 transcript:PVH47921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSKVPATQGLDRFRPRCASNTRFRQLKLSAHSLVQDSPFQMDDIYSLVSSKAPRDLAPEKKRKICEAIRKVLGGVPEVLGIFIQELAFAEDGDPAESSVRRSKRGRTVKSYKEEDEEIEEDLDYEAEEEEDFYSSDERSLGLDSEDEADHATSPTTPSQ >PVH47916 pep chromosome:PHallii_v3.1:4:28468391:28474726:1 gene:PAHAL_4G185600 transcript:PVH47916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIAAWTRKLLNEVIKLDTNRDGSFGKLKLKLSAHSLVQDSPFQMDDIYSLVSSKAPRDLAPEKKRKICEAIRKVLGGVPEVLGIFIQELAFAEDGDPAESSVRRSKRGRTVKSYKEEDEEIEEDLDYEAEEEEDFYSSDERSLGLDSEDEADHATSPTTPSQ >PVH47917 pep chromosome:PHallii_v3.1:4:28468391:28474726:1 gene:PAHAL_4G185600 transcript:PVH47917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIAAWTRKLLNEVIKLDTNRDGSFGKLKLKLSAHSLVQDSPFQMDDIYSLVSSKAPRDLAPEKKRKICEAIRKVLGGVPEVLGIFIQELAFAEDGDPAESSVRRSKRGRTVKSYKEEDEEIEEDLDYEAEEEEDFYSSDERSLGLDSEDEADHATSPTTPSQ >PVH47918 pep chromosome:PHallii_v3.1:4:28469336:28474726:1 gene:PAHAL_4G185600 transcript:PVH47918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSKVPATQGLDRFRPRCASNTRFRQLKLSAHSLVQDSPFQMDDIYSLVSSKAPRDLAPEKKRKICEAIRKVLGGVPEVLGIFIQELAFAEDGDPAESSVRRSKRGRTVKSYKEEDEEIEEDLDYEAEEEEDFYSSDERSLGLDSEDEADHATSPTTPSQ >PAN25238 pep chromosome:PHallii_v3.1:4:47805935:47808067:1 gene:PAHAL_4G285900 transcript:PAN25238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRRRGIEIRGRWLEACPAVCGRKLVGARRRAPGAGRSGRWRSSGSGVGGLRGRRRDLARSTGPAQDAEVKERRRWRLQLGNTAGTRSRRGAGMVYLPPPTGQPALASHTEHLVHQDPSSLRAAPAGLVHPRPRESQQVWFITRPRQFLQFRGSWTGVFIWFVRILTAKCCSSGKAWTTDSRQIVKI >PVH48233 pep chromosome:PHallii_v3.1:4:47805935:47808067:1 gene:PAHAL_4G285900 transcript:PVH48233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRRRGIEIRGRWLEACPAVCGRKLVGARRRAPGAGRSGRWRSSGSGVGGLRGRRRDLARSTGPAQDAEVKERRRWRLQLGNTAGTRSRRGAGMVYLPPPTGQPALASHTEHLVHQDPSSLRAAPAGLVHPRPRESQQVWFITRPRQFLQFRVRTSSSSSRGIMDRGVHMVREDFDRQVLQQRESMDHRLKTNREDIDRKVQTQRASANLRIQEERAEMDKLLFQERQNMDERLKHEPDIMDRMLNAERYEIDCMIERERVHMDLGLV >PAN25237 pep chromosome:PHallii_v3.1:4:47805871:47808067:1 gene:PAHAL_4G285900 transcript:PAN25237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRRRGIEIRGRWLEACPAVCGRKLVGARRRAPGAGRSGRWRSSGSGVGGLRGRRRDLARSTGPAQDAEVKERRRWRLQLGNTAGTRSRRGAGMVYLPPPTGLVHPRPRESQQVWFITRPRQFLQFRGSWTGVFIWFVRILTAKCCSSGKAWTTDSRQIVKI >PAN25236 pep chromosome:PHallii_v3.1:4:47805871:47808067:1 gene:PAHAL_4G285900 transcript:PAN25236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRRRGIEIRGRWLEACPAVCGRKLVGARRRAPGAGRSGRWRSSGSGVGGLRGRRRDLARSTGPAQDAEVKERRRWRLQLGNTAGTRSRRGAGLVHPRPRESQQVWFITRPRQFLQFRGSWTGVFIWFVRILTAKCCSSGKAWTTDSRQIVKI >PVH48231 pep chromosome:PHallii_v3.1:4:47805871:47808067:1 gene:PAHAL_4G285900 transcript:PVH48231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRRRGIEIRGRWLEACPAVCGRKLVGARRRAPGAGRSGRWRSSGSGVGGLRGRRRDLARSTGPAQDAEVKERRRWRLQLGNTAGTRSRRGAGLVHPRPRESQQVWFITRPRQFLQFRVRTSSSSSRGIMDRGVHMVREDFDRQVLQQRESMDHRLKTNREDIDRKVQTQRASANLRIQEERAEMDKLLFQERQNMDERLKHEPDIMDRMLNAERYEIDCMIERERVHMDLGLV >PVH48232 pep chromosome:PHallii_v3.1:4:47805928:47808067:1 gene:PAHAL_4G285900 transcript:PVH48232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRRRGIEIRGRWLEACPAVCGRKLVGARRRAPGAGRSGRWRSSGSGVGGLRGRRRDLARSTGPAQDAEVKERRRWRLQLGNTAGTRSRRGAGMVYLPPPTGLVHPRPRESQQVWFITRPRQFLQFRVRTSSSSSRGIMDRGVHMVREDFDRQVLQQRESMDHRLKTNREDIDRKVQTQRASANLRIQEERAEMDKLLFQERQNMDERLKHEPDIMDRMLNAERYEIDCMIERERVHMDLGLV >PVH48055 pep chromosome:PHallii_v3.1:4:41615470:41617089:-1 gene:PAHAL_4G228900 transcript:PVH48055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGWYHSHPGFGCWLSGVDIKTQQSFEALNPRAVAAVIDPIQSMKGKVVIDAFRLINPQTMMLGQEPQQTTSNVGHLNKPSFQALIHGLNRHYYSIAINYRKNELEEMLNLAIKYNKAVQEEDELPRETCQSECRSAGCKEAFGRACVKFDVVKHSSDLGTMLDTIVF >PAN23904 pep chromosome:PHallii_v3.1:4:11159670:11162702:-1 gene:PAHAL_4G130600 transcript:PAN23904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDTAFHMAKAESESSYSNNSRLQRKALLETKQVLEKAVKEICSSVLPPKLVVADLGCSSGENTLIFVSQAINAMSDSQQMEVQFFLNDLPENDFNYIFRSLGNFKESIAAEHKGGTPPPFYIAGLPGSYYTRLFPSQSVHLFHSSYCLHWRSRLPDGLDVDAKPYLNKGNIYIAKTTTLSVVKLYQELFETDLLLFLKLRHEELVSGGQMVLTFLGRKNEDVYKGDLNHLCGLLAQSVQSLVHKGLVQEEKLDAFNLPVYGPSVDEVMAVVRKSELFNVSSRLFGSNWDPYDDSGDRVVQDSFQSGLNVAKSIRAVMEPLFASHFGGAMLDELFREYARNVAKHLQREKTMYSVIVLFLQRR >PVH47727 pep chromosome:PHallii_v3.1:4:11160620:11161880:-1 gene:PAHAL_4G130600 transcript:PVH47727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSQQMEVQFFLNDLPENDFNYIFRSLGNFKESIAAEHKGGTPPPFYIAGLPGSYYTRLFPSQSVHLFHSSYCLHWRSRLPDGLDVDAKPYLNKGNIYIAKTTTLSVVKLYQELFETDLLLFLKLRHEELVSGGQMVLTFLGRKNEDVYKGDLNHLCGLLAQSVQSLVHKGLVQEEKLDAFNLPVYGPSVDEVMAVVRKSELFNVSSRLFGSNWDPYDDSGDRVVQDSFQSGLNVAKSIRAVMEPLFASHFGGAMLDELFREYARNVAKHLQREKTMYSVIVLFLQRR >PVH47394 pep chromosome:PHallii_v3.1:4:3333190:3334218:1 gene:PAHAL_4G050800 transcript:PVH47394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTAETLTDVVHSSKQIKVQGFSVTAAMAGHEVLTSGRWKVGGYDWEVQVLPNYCGSVAINLPFCSEVRTPSDVNANFSCRLIDPSGKIKPSPGISSTVKLRSAECRYLGALMSRRDLQTSGYLNDDAFTVECTLKVLRELRNKATAHRPADHLLRSSGLNHHLGELLRKGTGADVTLVASGESFKVHKAVLASRSPVFAAEFFGHMKEARSPVVEIEDMDAAVLGAMLRFIYTDTAPELDRPEDGAAVAQHLLVAADRYGIDRLKLVCEDRLYDGVNVETAAATLALAEQHVCSHLKAKCVELIAANLEAAMATEGYSHLMASCPSVMNDLLRAVHGRKN >PAN25253 pep chromosome:PHallii_v3.1:4:47913414:47916954:-1 gene:PAHAL_4G286900 transcript:PAN25253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLWKQSGGLVCADAGPLSPLGGRGSRRRARLILYGFAAAFAALTAYVALAAPSSAGGAGAGEAAGGASWFGGVYASTAPYRSQISSFFSSILPADAPAHSPGPLRAPAGGSGGGGGKVSGGPVGSAAGSNSSAAPGSGKQLGSGAGAPIGNAGRGSVPPASDLAGTTISGKGGGGAPTNNSASSGGAPNGAVDRNNGNGGGVSSSRAGGGSGSPASSAAGDGAVAETDKQSGSGSGAPSNAAAGQGSTVRAEAKVGDSVPSNNSAGSSSSQKIDLSTGSSNNQAGNGSGVPTSGSASGNSSSLKADAQVAAGAGKSGFSGSGTEKKADLSKSSDAQPGSGNEDSSHKSTGNSSLAKSTDRNAGSNNSSDAQQGSGNGDASSKSTGSSSPAMSTDMKASSNNSSDAQPGSGSGDANHKSAGSSTSAKSNGGGERNGTSVSAVPTSNQTGSLALAGEKEVGSPRKNNTVVASPAVRNQEQTSSGVASGGSSGTVNKQKGDATQDDGSSGNKKVDWFKQVASCDMFHGHWVRDDSYPLYPEGSCPHIDEPFDCYLNGRRDLAYQKLRWQPSGCSIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRREFKTEGSYSFLFTDYNCSVEFFRSPFLVREWEMQVSDGKKKETLRLDLVEQSSPKYKDADFLIFNTGHWWTHEKTALGKDYYQEGNHVYSELNVVDAFHKALLTWSKWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKESEPITNEQYLSTYPPKMSILEDVIHEMKTPVVYLNITRMTDYRKDAHPSIYRKQNLTDEERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIRQHQMRQQ >PAN22282 pep chromosome:PHallii_v3.1:4:587756:588929:-1 gene:PAHAL_4G008800 transcript:PAN22282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFGRSISFPLSPARSSRRHVRSVSLPSSRAHPLLAHLQNTTRAVRAWAADPSAPATGLAHLDALHAALAELLLLPEARAALQSGSATADCLLDGFLVLADAHGAFQEAVLELRRHAAEAQAALRRRDQPRLASAVRAQRQAEKDLARLASSVRGAAKFPQLAGTAASVAEVEVSGVLTEAVAAVASASAAVFSAVESVSSAATAAVASSSRKPATLISLVTRTKAAAASSDEDKEMAALDKLEDLDECIAKMEAGSDKVFRSILQTRVALLNIHTQTC >PAN25283 pep chromosome:PHallii_v3.1:4:48098810:48104387:-1 gene:PAHAL_4G290100 transcript:PAN25283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPNQLILSNLDIDKGYVYKFPSELPLSHDLGLSLFSHAGSVVGTSLRHHRKICSSGNVMVHGAFNRLNKFSRALFFWLSRPSDPKIFHWLSAIAVTSSRSCQLHMKQVSSHMQNLTRLQLGFLVREEQAIQLLLARLANAMIGRLCNDFEKQGACNLLTLAGTAAVAPPLENISPIMLAEAITLRNTDGYISRLVDQPYVEGKCRSCASPSVPSTIFKEDAIEPKTGIKFPAFLEDDSSPSAPVLVGIGFKGMRVMRVKNLNLYAFGLYMQPNSIREKLGPKYASVPTDKLMENPDFYRDLLRENLDMRVRLVVNYNGLSVGAVRDVFEKSLGLRLKKMNPNTDYHCLKTFGSHFTEDIAIPVGTKIDFCQTSDGKLITEIDGKQIGAVESKDLCKAFFDMYIGDSPISSEAKKKVAQNVAGLIARS >PAN24970 pep chromosome:PHallii_v3.1:4:46197762:46199213:1 gene:PAHAL_4G265800 transcript:PAN24970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESSEHGETSKAPLSRGVSKGLSVLDLILRFIAIIGTLASAIAMGTTNETLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLILSLPLSIVHIIRSRAKFSRLLLIFLDAAMLALVTAGASAAAAIVYLAHKGNVRANWLAICQQFDSFCERISGSLIGSFGAVVVLILLILLSAIALARR >PVH47807 pep chromosome:PHallii_v3.1:4:19092753:19093295:-1 gene:PAHAL_4G157800 transcript:PVH47807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAQHLEAELGKEPPVLAGLEPLFEARLGGLARGDLLVPGGERVGPARGDVLEVDVEGVAGGHDVGEVDELDEALDAGLLGGLLGGVLADHLLGVLGQAGDEAVAVGAVAGALLEHAHDHRLAAGEPALEEDHGLAGLQELHHLRVLLLLLLRRRRRGGWVGRLGRLRKWQRVGGERIK >PAN23789 pep chromosome:PHallii_v3.1:4:9155645:9157488:-1 gene:PAHAL_4G117900 transcript:PAN23789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAPTLMQCLLAFSLLSLTAHAQLSTTFYASSCPNLQSIVRAAMTQAVSNERRIGASLLRLFFHDCFVQGCDGSILLDAGGEKTAGPNANSVRGFEVIDTIKRNVEAACPGVVSCADILALAARDGTNLLGGPSWNVPLGRRDSTTASASLANQNLPPSTASLGTLVSLFGRQGLSARDMTALSGAHTIGQARCTTFRARIYGGDANIDASFAALRQQTCPRSGGDGNLAPIDAQTPVAFDTAYFTNLLSRRGLFHSDQELFNGGSQDALVRQYSASPSLFNSDFAAAMIKMGNIGAGNAGQIRRNCRVVNS >PVH48439 pep chromosome:PHallii_v3.1:4:50738168:50740868:-1 gene:PAHAL_4G329000 transcript:PVH48439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVRALSVTDVRPAGTSNPPPQGADRATIKLSLFDTMFIALTPIQRLFFYEGDDLPPFRDLVRALRSSLADTLAVFTPLAGQVAVSTSGDGVAIDCSPGAVSRGGVRFVEAEYAGGADDVRRLARAAEHDAEAYAQLAPAIEVGALPVPALAVQVTRPAHGAGGGIGAVVVGVTMNHVVADGQALWEFIRAWAAAAWGGSKAGTGLVAPTFDRAAINRHPKAEEVARKFLRIFAPGLPTVNTFPEPDNALQGRRTYLLSASQIRSLKHRISRHSKSADGDTAPAAAAVTPPSTYAAVASLVWTSGVRAKNALDDADADAYLMFAADCRARLRPPLPAAFFGNCAKSCYARAMVGALRDGGAGEALARAAAAVREAVREQLADPLGDADRWLERHRALPTDRVVQVGASNRFAAYETDFGWGRPARVELASVFVREFVAVVGAPDGAVQVSVALDRDRMDGFEANFLSLSQASG >PVH47610 pep chromosome:PHallii_v3.1:4:7254247:7256009:1 gene:PAHAL_4G101300 transcript:PVH47610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMLVFVDVIVAPNAKAAAAMDRGGTQQQQYGQLSTLLRVHDALSRALAKIRLSFHSSSTAAQVQRIQGKTVGLLSAREAKVGEATWSTLEHIRTRVLESIEDGVVDSSDSQISIHMATRSVTRHISFLRSHYSPVNAILSEAASLGKYVPQIGVVGDLFPLNSMIVELASCLEEKLVNISKSFPDQAVGFLFLLNNLNFIRERLLFLFLLNNSDFIRGSPQTYSSLDVHVAALFDKVEGYIESYLLVSWAPVLSCLFNPTPLCFGKRHYSPLPKFESEFQKTYTTQKLWKVPDPQLRKMLREAIIEKITPVYRKYIEDNNVTVPKFTPQELQEMLQELFEG >PVH47444 pep chromosome:PHallii_v3.1:4:4285743:4286057:1 gene:PAHAL_4G065800 transcript:PVH47444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATRLHGKDKTREPRGQRDSCNMLLILPLHQTSPNPGFLTRWQANQQGIQI >PAN23262 pep chromosome:PHallii_v3.1:4:5467644:5476939:-1 gene:PAHAL_4G080000 transcript:PAN23262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEPDAEGAPGGASQAARVLARALDKVIKHSSWRRHAALVAASKSALDLLSSAPAPGPDEPSDSASPVPGLPAPAADAALGALLLALDPGSPKVAEPALECVAALLSLRLLHGDVDAADPSASSPPSPVSRLFAAVLSCVSLGGGGDDALEIAVLRVLVAFARCPAVSVSGECLGQVVKACYNVYLGSASGGNQLCAKLAIAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDLPEEAPPVDAAPIEGEGSGEDGGMSKIREDGLALFKNICKLSMKFGTPDSPDDPMLLRGKVLSLELVRMVVDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSIFMSLISRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNFDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLATIMKSMSAWMDQQLRIGEFSPGSSENLNSMDNQNIHNGEEGSGMDYELQLDTSNSDVTDSSSLEQRRAYKMELQKGIALFNKKPSKGIDFLMRSKKIGQSPEHVASFLRNTAGLNATMVGDYLGERDDFPLKVMHAYVDALNFEGMDFGQAIRFFLQGFRLPGEAQKIDRIMEKFAQCYCKCNPNAFTSADTAYVLAYSVILLNTDAHNPMVKNKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVNNEIKMSADSSVAQTKQSNSVSRLLGLDNIINFVNWRPAEDKAVGANDLLIKHIQERFKAKHGKLESTFYVVSDATILRFMMESCWAPMMAAFSVLLDQCDDKAATSQCLKGLRFSVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAMKAMISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLTVPLLESEDKTQKSTSVLSSKKTNALQNPAVMAAVRGGTYDSTVAKASVSALVTPEQINNFLSNINLLDQIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPMDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLLENLSVSIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVVVMQRSNAPEVRELIVRCVSQMVLSRVHNIKSGWKGVFMVFTSAAADDTISTVLLAFETVEKIIRDYFHHITETETTTFTDCVTCLIAFTSSQFNSDANLNAIAFLRFCAVKLAEEGFVCQDRGAEQPRNSDMSVGNATVHKDGFVSLWVPLLAGLAKLTTDPRMTIKKSAVGVLFDILKDHGHLFSQTIWIDIFERIVYPLFDSEMSAPNDQISTSNLPDLETQTLAMKCLVGLFVNFFDVVRPELARTASIVTNFVRSPYKHCATTGVSAIIHLTEGVGNKLSEEEWKEILVCFKVSVKHTFVIFSKILRMMQDIEIPDRLDSYSETEQYLDHEMYSNDEEEANMETASYAIVKLKNHMALLLVVIQSITKLYEEYRKYLCAEHMSILLEMVSDIARYSSEVSSESSLQMKFHKACSLLEVSEPAVVHFENESYQSYLKLLQALQHDCPSLSEEMDIESRVLNTCEKILQTYLKCARHEPCDESSHRNPSLNCAVPLSATKKEELAARTLLVLQVMKLLGDLERDSFGRILPSFFPLLVDLIRCEHSSGEVQLALYNIFQSVIGPMIRV >PAN23261 pep chromosome:PHallii_v3.1:4:5466496:5477152:-1 gene:PAHAL_4G080000 transcript:PAN23261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEPDAEGAPGGASQAARVLARALDKVIKHSSWRRHAALVAASKSALDLLSSAPAPGPDEPSDSASPVPGLPAPAADAALGALLLALDPGSPKVAEPALECVAALLSLRLLHGDVDAADPSASSPPSPVSRLFAAVLSCVSLGGGGDDALEIAVLRVLVAFARCPAVSVSGECLGQVVKACYNVYLGSASGGNQLCAKLAIAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDLPEEAPPVDAAPIEGEGSGEDGGMSKIREDGLALFKNICKLSMKFGTPDSPDDPMLLRGKVLSLELVRMVVDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSIFMSLISRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNFDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLATIMKSMSAWMDQQLRIGEFSPGSSENLNSMDNQNIHNGEEGSGMDYELQLDTSNSDVTDSSSLEQRRAYKMELQKGIALFNKKPSKGIDFLMRSKKIGQSPEHVASFLRNTAGLNATMVGDYLGERDDFPLKVMHAYVDALNFEGMDFGQAIRFFLQGFRLPGEAQKIDRIMEKFAQCYCKCNPNAFTSADTAYVLAYSVILLNTDAHNPMVKNKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVNNEIKMSADSSVAQTKQSNSVSRLLGLDNIINFVNWRPAEDKAVGANDLLIKHIQERFKAKHGKLESTFYVVSDATILRFMMESCWAPMMAAFSVLLDQCDDKAATSQCLKGLRFSVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAMKAMISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLTVPLLESEDKTQKSTSVLSSKKTNALQNPAVMAAVRGGTYDSTVAKASVSALVTPEQINNFLSNINLLDQIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPMDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLLENLSVSIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVVVMQRSNAPEVRELIVRCVSQMVLSRVHNIKSGWKGVFMVFTSAAADDTISTVLLAFETVEKIIRDYFHHITETETTTFTDCVTCLIAFTSSQFNSDANLNAIAFLRFCAVKLAEEGFVCQDRGAEQPRNSDMSVGNATVHKDGFVSLWVPLLAGLAKLTTDPRMTIKKSAVGVLFDILKDHGHLFSQTIWIDIFERIVYPLFDSEMSAPNDQISTSNLPDLETQTLAMKCLVGLFVNFFDVVRPELARTASIVTNFVRSPYKHCATTGVSAIIHLTEGVGNKLSEEEWKEILVCFKVSVKHTFVIFSKILRMMQDIEIPDRLDSYSETEQYLDHEMYSNDEEEANMETASYAIVKLKNHMALLLVVIQMKFHKACSLLEVSEPAVVHFENESYQSYLKLLQALQHDCPSLSEEMDIESRVLNTCEKILQTYLKCARHEPCDESSHRNPSLNCAVPLSATKKEELAARTLLVLQVMKLLGDLERDSFGRILPSFFPLLVDLIRCEHSSGEVQLALYNIFQSVIGPMIRV >PAN22413 pep chromosome:PHallii_v3.1:4:1108289:1110789:-1 gene:PAHAL_4G017000 transcript:PAN22413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSLRGSGGGGGRGGDRAGDHPIGADSLFLYARGAAAAAADTAASGGGGGGIGFQLWHPHQQAAAAAAPHTSQFFSSGVATGVVLGFSSHDGSGGIGGPGGGGAGGGRAGTSCQDCGNNAKKDCAHMRCRTCCRSRGFSCPTHVKSTWVPAAKRRERQQQLAALFRGAANNNSASAAAAAAAAASKRPRELVRSLGRLPSANSAMVTTTTSSGDGSGGRFPPELSVEAVFRCVRIGPVDEPDAELAYQTAVSIGGHTFKGILRDHGPADDAAVGQLPPSSAEYHQLTGHAREGSSPAGSSEAAATVATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >PAN22961 pep chromosome:PHallii_v3.1:4:3694417:3694740:1 gene:PAHAL_4G056100 transcript:PAN22961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRHHRPARAVVVCSFAGALSVQPPAVLAWGRNGQERWRPVAASQRGSSSYQRQAGPAPIERRDGAKSPPCAPCSVPLILSLFRISSSYAPKQKMATSPISDNQPP >PAN23108 pep chromosome:PHallii_v3.1:4:4478324:4482356:1 gene:PAHAL_4G067900 transcript:PAN23108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHYPDHALAMDPAAAAGVGAAVNPSFVSPGGGGVGGGAGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAAAAGGAQSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRSTAGDGNAEQSW >PAN23109 pep chromosome:PHallii_v3.1:4:4478324:4482356:1 gene:PAHAL_4G067900 transcript:PAN23109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHYPDHALAMDPAAAAGVGAAVNPSFVSPGGGGVGGGAGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAAAAGGAQSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKR >PAN23407 pep chromosome:PHallii_v3.1:4:6196379:6198768:-1 gene:PAHAL_4G088800 transcript:PAN23407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSCRSLATWVRRLVACMGGCFGCCVKPTPITAVDEPSKRLRIQGRSVRKASLSEDFWSTSAHEMENSGIQSQRSMSSISTVAQSSDQHAAGSSSNPNEFVSQGLMLWNQTRQQWVGNKKCQSRSQQLREPKLSWNTTYESLLGSNKPFSQPIPLGEMVDLLVDAWEQEGLYD >PVH48526 pep chromosome:PHallii_v3.1:4:52106572:52107640:-1 gene:PAHAL_4G349200 transcript:PVH48526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGCGKSTVAALLAEALGCSFIEADDYHSQENKAKMSKGIPLSDADRTPWLESLRDAIQHRLDHGEDVAVSCSALQLKYREVLRAADRSYKPGDYATCRVKFVCPRASAEVITERMQRRSSEGKHFMPASLLQSQLDLLQIHAAEGITEVDATVRACDIVCDTVAQFREELASKFPPPF >PVH48524 pep chromosome:PHallii_v3.1:4:52106572:52107640:-1 gene:PAHAL_4G349200 transcript:PVH48524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGCGKSTVAALLAEALGCSFIEADDYHSQENKAKMSKGIPLSDADRTPWLESLRDAIQHRLDHGEDVAVSCSALQLKYREVLRAADRSYKPGDYATCRVKFVCPRASAEVITERMQRRSSEGKHFMPASLLQSQLDLLQIHAAEGITEVDATVRACDIVCDTVAQFREELASKFPPPF >PVH48525 pep chromosome:PHallii_v3.1:4:52106416:52108381:-1 gene:PAHAL_4G349200 transcript:PVH48525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSDPLLARPGLAIVIMGVSGCGKSTVAALLAEALGCSFIEADDYHSQENKAKMSKGIPLSDADRTPWLESLRDAIQHRLDHGEDVAVSCSALQLKYREVLRAADRSYKPGDYATCRVKFVCPRASAEVITERMQRRSSEGKHFMPASLLQSQLDLLQIHAAEGITEVDATVRACDIVCDTVAQFREELASKFPPPF >PVH47374 pep chromosome:PHallii_v3.1:4:2940079:2940842:1 gene:PAHAL_4G045700 transcript:PVH47374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENEATEELGDLDGGRQVVGEGEGAIGEGIYDCDLIYSKAEEVGAAVPRSDGR >PAN24895 pep chromosome:PHallii_v3.1:4:45686852:45687700:1 gene:PAHAL_4G260000 transcript:PAN24895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVEAAGLPPRPPPPPQAVVAHCVFQINTKVSALRRLADELACGGRWGDARDVRERIRRARAEATRLARNTARRLADPGAAAAVGPRLAMDFQAALREFQWVQGRIIEADRQETAAAAARRARAPPMFRPPSPPSYGSSQLNNAAAGPGTRGADQQRDIQMQQQQLVESRRTQELSLLDNEITFNEALIEEREREICKIQQEISEINEIFRDLAKLVHDQQGAIDVVESNIETAAMETSKGEEELSRAAQTQESNSSMKCLLVTVLGFLMLIFALVFIA >PAN25835 pep chromosome:PHallii_v3.1:4:50721298:50723257:1 gene:PAHAL_4G328800 transcript:PAN25835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYSLVKDELHGRGGGGQGLYCGATPRAAPVAGSGAVKSVKRRKREPSSVVTASNGKEEAGGDKSAGGSNAAKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEEAAARAYDLAALKYWGPSTYTNFPVADYEKELKVMQNVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPAAGAAGDDVGTPTSGARPTLPPPSLCLQAGGLLQHPAGMLQVDFDSLYRGHHLAAAAQRGASFAGLDDVGSVYAGGPSPTAAGACGRPSPSSSTTALSLLLRSSVFQELVARNAGAAAQQQQPVPADDDGAAASDVVDAKVEIEEPLGRPEAEGELGHEMYGGAAGAAEDEAFACSMYDLDDSFARIEQSLWNCLT >PAN26049 pep chromosome:PHallii_v3.1:4:51723049:51724636:-1 gene:PAHAL_4G343300 transcript:PAN26049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSFPIPIPPALLHAAAGIALAAAAHFLHLPSLFLYALHTYIHPDAVPSNTPRAVLRPPGDNAGPAKGSSKRAAAKDAFDATSAQLYRLRLSHGTLASRPHFGAYHAAFLLPLALLPPALLLPAASAVSPLAPLVPAAFLFVALLRHVVVPSPRPAQLAAALGALLVATLLSSSPFAGALASLAALPAARFARAFWLGTDQPRTGLAVLASSAPARLLLHLAVLVSSVASILQCCGFVDGAEQEVRLLAAAAGLQLLAARPAVQMYLNEAVFCWYQRLHASRAPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKDFFEGVEGLDWLVGWSVAMKEAALLAAWWVMAVWSAVTVITLVCYKRGWLFVL >PAN22603 pep chromosome:PHallii_v3.1:4:1935640:1936997:1 gene:PAHAL_4G030600 transcript:PAN22603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPTPLQCFLTGRPVCTATLPKPARRASKISCKAAGDEKDKVPSGGDGLGVKLGKLAMVALAAGVLAVGPVDGAMAAKSGGRVGGQAFRSAPRSSGPRINNSRTNVYINPPVAPPLGGYGYGGYGSPFFGGYGWSPFTFFAPGPSVAVGVGGGFDTLVLFLVLGFVVGAVRRFLNRNDDDYDDY >PAN22803 pep chromosome:PHallii_v3.1:4:2964318:2966350:1 gene:PAHAL_4G046000 transcript:PAN22803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLELIDQMLLPSPAALGGSDRLSQEIFSILESNFLFGASPLEGPCSVGRVRVLSIDGGADGGALAAAALARLERRLQELSGNPDARVADFFDLAAGSGAGGFLAAALFACRMPAEAARDVVAKSRKVLSGRGGRGGLFRRPEAVFRKVFGDLTVRDAAKPLLIPCYDMASAAPFVFSRADAVEAEAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAGVGGGGASAAVANPTAVAVTHVLHNKREFPFAAGAGDLVVLSLGGSAAASLLRPSSSSLLRIVGACQADMVDQAVSMAFGESRASNYVRIQGNGIAPGETAEAALTERGMESVLFRGRKLMAQTNGERLDGVAEQLVQEHHRRLESKAPVVLVKPSATPRTSSSSASTLITVSTNSSSESP >PAN23218 pep chromosome:PHallii_v3.1:4:5045396:5050618:-1 gene:PAHAL_4G075500 transcript:PAN23218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVASTVAARFAFFPPTPPSYGVEPPPSPEAAAADSEVVELSGVPVSRGRGVEARRLPTKRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFLELSTHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYRCLIETYGTSEENIILYGQSVGSGPTLDLASRLSHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGRTLWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKRFVTAIEKSPRVKDESPECSGPSDPSETGSEGAESSRRSTDIRDKPRSSIDHRPSTDRREKPRGSIDRRDKSRKSMDQPDKPRASVDQPDRPRKSIDRFGGMMRSVKLCNIDCFTAASGS >PAN23219 pep chromosome:PHallii_v3.1:4:5045924:5050182:-1 gene:PAHAL_4G075500 transcript:PAN23219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVASTVAARFAFFPPTPPSYGVEPPPSPEAAAADSEVVELSGVPVSRGRGVEARRLPTKRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFLELSTHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYRCLIETYGTSEENIILYGQSVGSGPTLDLASRLSHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGRTLWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKRFVTAIEKSPRVKDESPECSGPSDPSETGSEGAESSRRSTDIRDKPRSSIDHRPSTDRREKPRGSIDRRDKSRKSMDQPDKPRASVDQPDRPRKSIDRFGGMMRSVKLCNIDCFTAASGS >PAN22768 pep chromosome:PHallii_v3.1:4:2798928:2805649:-1 gene:PAHAL_4G043900 transcript:PAN22768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSHNARLIGSHAASASASHAPFSSLKRLLLGATGRDPASSLLRDLPRLPFPVSSAADGGAVVHADHIGRRIALSPTHLLSMLLAYLKQLAEADLGGAPVADCVISVPCYFTQAQRRAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGSAGGPTHVAFVDVGQCDTQVAVVAFDASGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDRYKIDVVGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVKGVIRRDEFEKLCAGLLERVVEPCKRAVADSGIGLERLHSVELVGSGSRVPSIAKVLAGFFRREPSRTLNASECVARGCALQCAMLSPAFRVREYEVQDAIPASIGFSTREGPISTLSSNALFRRGLPFPSVKTITLQKHNSFNLDAYYVDENELPPGTSANIGSFQIGPFQAHTEASKVKVKVRLNLHGLISVESAALIDDYQRNVNSADHMEVDSSGDDMGDKSRSERSIQRQDLPIAEYIYGAMSKQELLEAQEQEQHLAYQDKLMERTKDRKNALESYVYDTRNKLSERYRSFATDSEREEISVNLQQTEEWLYEEGDDETEAVYCSKLEELKKLVDPIENRCKDDEVRAEATRELLKCIVDHRMAAKSLSTSEREAVDNECNKAEQWLREGLQLQESLPKNVDPVLWSYEIKRREEELDMFCQNIARHKGSPARTDGSRGSDHMPTPDRD >PVH47365 pep chromosome:PHallii_v3.1:4:2799517:2805649:-1 gene:PAHAL_4G043900 transcript:PVH47365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSHNARLIGSHAASASASHAPFSSLKRLLLGATGRDPASSLLRDLPRLPFPVSSAADGGAVVHADHIGRRIALSPTHLLSMLLAYLKQLAEADLGGAPVADCVISVPCYFTQAQRRAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGSAGGPTHVAFVDVGQCDTQVAVVAFDASGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDRYKIDVVGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVKGVIRRDEFEKLCAGLLERVVEPCKRAVADSGIGLERLHSVELVGSGSRVPSIAKVLAGFFRREPSRTLNASECVARGCALQCAMLSPAFRVREYEVQDAIPASIGFSTREGPISTLSSNALFRRGLPFPSVKTITLQKHNSFNLDAYYVDENELPPGTSANIGSFQIGPFQAHTEASKVKVKVRLNLHGLISVESAALIDDYQRNVNSADHMEVDSSGDDMGDKSRSERSIQRQDLPIAEYIYGAMSKQELLEAQEQEQHLAYQDKLMERTKDRKNALESYVYDTRNKLSERYRSFATDSEREEISVNLQQTEEWLYEEGDDETEAVYCSKLEELKKLVDPIENRCKDDEVRAEATRELLKCIVDHRMAAKSLSTSEREAVDNECNKAEQWLREGLQLQESLPKNVDPVLWSYEIKRREEELDMFCQNIARHKGSPARTDGSRGSDHMPTPDRD >PAN24856 pep chromosome:PHallii_v3.1:4:45475296:45476840:-1 gene:PAHAL_4G257200 transcript:PAN24856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSLIKLFFDNSCHKEVKVVMLGLDAAGKTTILYRLHVGEVLSTVPTIGFNVEKVEHKNVAFTVWDVGGQDKLRPLWRQYLSNSDALIYVVDSMDRDRIGVAREEFQAVVKDPLMLNSVILVLANKQDMKGAMKPPEVGQRLGVYELKNRTSRVVGACALTGEGLLEGLGWLAATLKDAHAWGSAVRF >PAN24857 pep chromosome:PHallii_v3.1:4:45475279:45476879:-1 gene:PAHAL_4G257200 transcript:PAN24857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSLIKLFFDNSCHKEVKVVMLGLDAAGKTTILYRLHVGEVLSTVPTIGFNVEKVEHKNVAFTVWDVGGQDKLRPLWRQYLSNSDALIYVVDSMDRDRIGVAREEFQAVVKDPLMLNSVILVLANKQDMKGAMKPPEVGQRLGVYELKNRTSRVVGACALTGEGLLEGLGWLAATLKDAHAWGSAVRF >PAN24858 pep chromosome:PHallii_v3.1:4:45475295:45476840:-1 gene:PAHAL_4G257200 transcript:PAN24858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSLIKLFFDNSCHKEVKVVMLGLDAAGKTTILYRLHVGEVLSTVPTIGFNVEKVEHKNVAFTVWDVGGQDKLRPLWRQYLSNSDALIYVVDSMDRDRIGVAREEFQAVVKDPLMLNSVILVLANKQDMKGAMKPPEVGQRLGVYELKNRTSRVVGACALTGEGLLEGLGWLAATLKDAHAWGSAVRF >PAN24967 pep chromosome:PHallii_v3.1:4:46176182:46180176:1 gene:PAHAL_4G265500 transcript:PAN24967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAAAVASLAAAAAGWLDLDGPTATATLRLRRLWPSASPSGGGRVVAAGPSSPLLGWWWSAASWSGVEALRAAWDAARAAAVAPALAAASWACLALSAMLLADAVFLAAASLLAPLRRSRAAGPIAGPGPAPGEDDGDEEAGGRVADYPMVLVQIPMYNEREVYKLSIGAACGLAWPSDRVIVQILDDSTDPTVKDLVELECKFWASKGRNVKYEVRNNRKGYKAGALKQGMLYDYVQQCDFVAVFDADFQPEPDFLMRTVPYLVHDPRVALVQARWEFVNPNEFLMTRIQKMTLDYHFEVEQEAGSSTFAFFGFNGTAGVWRISSIEEAGGWEDRTTVEDMDLAVRVGLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKTGGEIILTKEVSFWRKLYLIYSFFFIRKVVAHVVPFMLYCVVIPLSVLIPEVTVPVWGVVYIPTAITLLYAIRNPSSLHFIPFWILFENVMSFHRTKATFIGLLELGSVNEWVVTEKLGNSSCTKPVPQILEKPPCRCWDRCTVSEILVAIFLFFCATYNLVHGGDFYFVYIYLQAITFLIVGTGFCGTSSSNS >PVH48163 pep chromosome:PHallii_v3.1:4:46176182:46180176:1 gene:PAHAL_4G265500 transcript:PVH48163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAAAVASLAAAAAGWLDLDGPTATATLRLRRLWPSASPSGGGRVVAAGPSSPLLGWWWSAASWSGVEALRAAWDAARAAAVAPALAAASWACLALSAMLLADAVFLAAASLLAPLRRSRAAGPIAGPGPAPGEDDGDEEAGGRVADYPMVLVQIPMYNEREVYKLSIGAACGLAWPSDRVIVQILDDSTDPTVKDLVELECKFWASKGRNVKYEVRNNRKGYKAGALKQGMLYDYVQQCDFVAVFDADFQPEPDFLMRTVPYLVHDPRVALVQARWEFVNPNEFLMTRIQKMTLDYHFEVEQEAGSSTFAFFGFNGTAGVWRISSIEEAGGWEDRTTVEDMDLAVRVGLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKTGGEIILTKEGCCPCGTIHALLCSNPVVRPDSRGHSPCMGGGLYPNSNNTSICHQKS >PVH47882 pep chromosome:PHallii_v3.1:4:25250276:25251747:-1 gene:PAHAL_4G176100 transcript:PVH47882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGITKQKFGNEHEMSINEFCHYLLFPGLFVAFTYNKKQPPAFGAAPAFWCILLSFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCWIPSFYGFLFCYRGRPQSHNVSKRRGYRETFLFSFVSNFVKNSNLSLQQKSGAAPQLYTPFVRRTLVDSELRSQSKRPFNGPALFNAPLDPVLKMSFALLGAGRSRGSREGKRTNLLLHLARDEKERASSIDEQQIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNRIVALHSPPMRKDAAEKNGTLLRSAGCVGSHIRSSLFTRSFKHFVGGAPALLLRSNRSLLMLLRRQGSSPLNKSEPFIIRSNFFSNQG >PAN23205 pep chromosome:PHallii_v3.1:4:5023534:5030717:1 gene:PAHAL_4G075100 transcript:PAN23205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGAASAGVLFVLALLAAARADTDAGDAAALGNLYSSWNSPAQLAGWSAAGGDPCGAAWTGVSCSGTAVTSLKLSGMELNGTLGYELSSLQALKTMDLSNNFLHDAIPYQLPPNLTYLNLAKNNLSGNLPYSISTLVSLEYLNLSHNSLFQEVGELFGSLNSLSELDISFNNLTGNLPVSMGSLSKVSSLCMQNNQLSGTVDVLSNLSLATLNIANNNFSGMIPQEFSSIPNLIVGGNSFANMPASPPPTLTPPKNPRDQPNHPQGPVSAPTVPDTPIDQDDKKLQTGPLVGIAVGSIAVASCVLFTLVFCLYKARKRNNDESGEPKDIVGSLAVNIERASNREIPIPNNSHENAAVATSDLQPNGKMTPERVYGANGSTAKKAKVPVTATSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEENFLEVISNISRLRHPNVVPLTGYCIEHGQRLLVYEYIGNGTLHDILHFSDGMSRKLTWNTRVRIALGAARALEYLHEVCMPPVVHRSFKSSNILLDEEYSPHLCDCGLAALSPNPEREVSAEVVGSFGYSAPEFAMSGTYTTKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDLLARMVDPAMDGLYPAKSLSRFADIIAICIQSEPEFRPPMSEVVQQLVRLMQRASIIRRQSDDLGYSYRVPDREGGAGDAF >PAN23204 pep chromosome:PHallii_v3.1:4:5023534:5029785:1 gene:PAHAL_4G075100 transcript:PAN23204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGAASAGVLFVLALLAAARADTDAGDAAALGNLYSSWNSPAQLAGWSAAGGDPCGAAWTGVSCSGTAVTSLKLSGMELNGTLGYELSSLQALKTMDLSNNFLHDAIPYQLPPNLTYLNLAKNNLSGNLPYSISTLVSLEYLNLSHNSLFQEVGELFGSLNSLSELDISFNNLTGNLPVSMGSLSKVSSLCMQNNQLSGTVDVLSNLSLATLNIANNNFSGMIPQEFSSIPNLIVGGNSFANMPASPPPTLTPPKNPRDQPNHPQGPVSAPTVPDTPIDQDDKKLQTGPLVGIAVGSIAVASCVLFTLVFCLYKARKRNNDESGEPKDIVGSLAVNIERASNREIPIPNNSHENAAVATSDLQPNGKMTPERVYGANGSTAKKAKVPVTATSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEENFLEVISNISRLRHPNVVPLTGYCIEHGQRLLVYEYIGNGTLHDILHFSDGMSRKLTWNTRVRIALGAARALEYLHEVCMPPVVHRSFKSSNILLDEEYSPHLCDCGLAALSPNPEREVSAEVVGSFGYSAPEFAMSGTYTTKSDVYSFGVVMLELLTGRKPLDR >PVH48537 pep chromosome:PHallii_v3.1:4:52311644:52312030:-1 gene:PAHAL_4G352100 transcript:PVH48537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGEPGVGGAPQAAARRRAAAGRGVDDDGEEREIGRASGDPSSPGGVAYVEAEARSLASRRGRPPTAVETRGRGRRKRNGRGGLWTALQEWSCGGSGSCGGRCHTARSLVGWPAGPLGWSLVG >PAN22753 pep chromosome:PHallii_v3.1:4:2715377:2716832:-1 gene:PAHAL_4G043200 transcript:PAN22753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PAN25317 pep chromosome:PHallii_v3.1:4:48257403:48258124:-1 gene:PAHAL_4G292300 transcript:PAN25317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGILIQMTDGYFFPFFRPQLTSHSSPTAISMRDESVLSLLVSWVARRRPNSRPDPGCCRPPPLMLISTGACASWRYLLLATGSCFRPAFARPCHSHFVPAPAKCQCTFLLLPA >PAN25841 pep chromosome:PHallii_v3.1:4:50751562:50757564:1 gene:PAHAL_4G329300 transcript:PAN25841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAALRRSARRIARHVAAAPSLSRNPLQQPERLLSSQSSPEHGPRGAVSGSELALYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRHTTASLDSDAPRYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKDQLKRLFDIDPSEALLTSAKTGKGLEQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALRKGDKIASASTGRAYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSTVEPLPGFKPAKHMVFSGLYPADGSDFEALSHAIEKLTCNDASVSVTKETSNALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRVVACWEPTVIATIIIPSEYVGPVIMLCSERRGEQLEYTFIDAQRALLKYRLPLKEIIVDFYNELKGITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVEKLKKFIESLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >PAN25842 pep chromosome:PHallii_v3.1:4:50751562:50757564:1 gene:PAHAL_4G329300 transcript:PAN25842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAALRRSARRIARHVAAAPSLSRNPLQQPERLLSSQSSPEHGPRGAVSGSELALYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRHTTASLDSDAPRYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKDQLKRLFDIDPSEALLTSAKTGKGLEQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALRKGDKIASASTGRAYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSTVEPLPGFKPAKHMVFSGLYPADGSDFEALSHAIEKLTCNDASVSVTKETSNALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRVVACWEPTVIATIIIPSEYVGPVIMLCSERRGEQLEYTFIDAQRALLKYRLPLKEIIVDFYNELKGITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVEKLKKFIERQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >PVH47690 pep chromosome:PHallii_v3.1:4:9042076:9042927:-1 gene:PAHAL_4G117100 transcript:PVH47690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSHRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAATAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPESSAAADEGDAAMQPPTDEDGEREPLTLSAPEEGTPCK >PVH48434 pep chromosome:PHallii_v3.1:4:50711079:50712233:-1 gene:PAHAL_4G328600 transcript:PVH48434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGPWAAHRIPAASATKNLLELSCNFLSTARPPRRSLIGSAQSSPRPPPAEFAVDRACPRRSAPAQIIWMVRPGQHFLVTCEDSEACKKFISVWQRSKELKEDP >PVH48435 pep chromosome:PHallii_v3.1:4:50711149:50712233:-1 gene:PAHAL_4G328600 transcript:PVH48435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGPWAAHRIPAASATKNLLELSCNFLSTARPPRRSLIGSAQSSPRPPPAEFAVDRACPRRSAPAQIIWMVRPALSCDL >PVH48433 pep chromosome:PHallii_v3.1:4:50707301:50712233:-1 gene:PAHAL_4G328600 transcript:PVH48433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGPWAAHRIPAASATKNLLELSCNFLSTARPPRRSLIGSAQSSPRPPPAEFAVDRACPRRSAPAQIIWMVRPGQLDLISPAYLPIYDSRRPSSLQFDRLVKMKLDLAARIKQPLDLHIIDYLVNSVAQAMICKKN >PVH48431 pep chromosome:PHallii_v3.1:4:50711149:50712233:-1 gene:PAHAL_4G328600 transcript:PVH48431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGPWAAHRIPAASATKNLLELSCNFLSTARPPRRSLIGSAQSSPRPPPAEFAVDRACPRRSAPAQIIWMVRPALSCDL >PVH48430 pep chromosome:PHallii_v3.1:4:50707301:50712233:-1 gene:PAHAL_4G328600 transcript:PVH48430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGPWAAHRIPAASATKNLLELSCNFLSTARPPRRSLIGSAQSSPRPPPAEFAVDRACPRRSAPAQIIWMVRPA >PVH48432 pep chromosome:PHallii_v3.1:4:50711079:50712233:-1 gene:PAHAL_4G328600 transcript:PVH48432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGPWAAHRIPAASATKNLLELSCNFLSTARPPRRSLIGSAQSSPRPPPAEFAVDRACPRRSAPAQIIWMVRPGQHFLVTCEDSEACKKFISVWQRSKELKEDP >PAN23104 pep chromosome:PHallii_v3.1:4:4446359:4449174:1 gene:PAHAL_4G067500 transcript:PAN23104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMARFGLGERKFSWTGPLCVEASACHGQRQVICLNMCPTRPTGTQCRHSSVLKLRCNAATHPQHHFTIDQFEEIGIEVTKKLRAFYWFCRPHTIIGTILGITSVSLLPMKSLDDLSVTVLWGFLEALASALCMNIYVVGLNQLFDIEIDKVNKPTLPLASGEFSVTTGVSLVVAFLVMSISIGIRSKSSPLMCALLVSFLLGSAYSIDVPLLRWKRHPFLAASCILVVRAILVQLAFFTHMQQHILKRPFAPTRSVVFATLFMCCFSAVIALFKDIPDVDGDRDFGIQSLSVRLGQQKVYRLCINMLMTAYAAAILLGASSPNLYQKIATVFGHGLLAFVLWQRARQFDIANKTCITPFYMFIWKLFYAEYFLIPFM >PAN25764 pep chromosome:PHallii_v3.1:4:50472552:50476678:1 gene:PAHAL_4G324300 transcript:PAN25764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVPHSLRRALVSSASTHHGRAQIPNPSHSPPQALLPQWRRYASIASSSSAPPQPPSPPRGPSRPGGGAPSVSSLNPAEVAKFAAIAETWWDSNGPFKPLHLMNPTRLSFIRSTLCRYFRRDPYSSKPLKGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNIKIARIHAASDPTTASIEYCCTTAEELVKEKRMFDAVISLEVIEHVANPLEFCESLSALAVPNGATVVSTINRSMRAYATAIVAAEYILGWDPWA >PAN25762 pep chromosome:PHallii_v3.1:4:50472264:50477443:1 gene:PAHAL_4G324300 transcript:PAN25762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVPHSLRRALVSSASTHHGRAQIPNPSHSPPQALLPQWRRYASIASSSSAPPQPPSPPRGPSRPGGGAPSVSSLNPAEVAKFAAIAETWWDSNGPFKPLHLMNPTRLSFIRSTLCRYFRRDPYSSKPLKGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNIKIARIHAASDPTTASIEYCCTTAEELVKEKRMFDAVISLEVIEHVANPLEFCESLSALAVPNGATVVSTINRSMRAYATAIVAAEYILGWDPWA >PAN25763 pep chromosome:PHallii_v3.1:4:50472264:50477443:1 gene:PAHAL_4G324300 transcript:PAN25763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVPHSLRRALVSSASTHHGRAQIPNPSHSPPQALLPQWRRYASIASSSSAPPQPPSPPRGPSRPGGGAPSVSSLNPAEVAKFAAIAETWWDSNGPFKPLHLMNPTRLSFIRSTLCRYFRRDPYSSKPLKGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNIKIARIHAASDPTTASIEYCCTTAEELVKEKRMFDAVISLEVIEHVANPLEFCESLSALAVPNGATVVSTINRSMRAYATAIVAAEYILGWLPKGTHEWSKLVTPEELALMLQKASVSVEEMAGFVYNPLSGEWSLSDDISVNYIAFGVKKSGTPSTDGAEARLP >PAN26156 pep chromosome:PHallii_v3.1:4:52286346:52295272:1 gene:PAHAL_4G351600 transcript:PAN26156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVEAAKLCCISHAFDGAARRDPGRLAVIHAPASGGDGEELRFTCGDLLAAVASLSRRIATALSGLPTDPRESPGSRGGAAVPRVVGVYASPSVEYVVAVLAVLRCGEAFLPLDTAWPEERVLSAVSESNAALVVSSVGSQGAPPVFESSPCPVLHLCTDVWQGSRDENGGEDLAWPCELDRARKFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRRNPLCSDDVLLFKTSISFVDHLQEFLSAVLTCTTLVIPPPSEWRANPASLANLIKVYRISRMTLVPSLMEIVLPNLVKILSGGCNPLKILIFSGELLPVLLWKRVYEVLPETTIINLYGTTEVSGDCTFFDCKDLPTILEREELSSVPIGFPISNCEVSLVTEDGLADEGEISVSGACLFSGHLADRMTSNCPDNNESLAHYKTGDFARRLNTGQLIFLGRKDRTVKTYGQRFSLEEVESTLREHPDVNDAAVTFEGNGSLDFKAYLVLKSNGEFSKYTQRYSRLNSSQDMMAPLRSWLIRKLPLAMVPRLFIPVESLPLTLSGKIDYAKLSSLECASEPCEIESESSPVDAHIQVIKKAFSDALLVDEVSDYDDFFALGGNSISAAHVAHKLGIDMRLLYIYTTPSKLLHALFVKSSHAVSPTHDFHSRKRLKVSASISGSFDPVSATLDNKFHGKGNINEEGTHDQFGRNHVNETVGQLNKNMTYDRYQAKDKYPCSDTCSNDGIFRSTPSSPWILNFYLQKKWSFGRCNRFMHGSEGALHVEDICTSVSYNNRGYLMKLWDVPLDSCVDASPLLVVNNGMMNIFIGSHSHLFLCIDGCSGSVRWSVKLEGRIECSATVTGDFSEVVVGCYKGKIYFIDMSTGQLSWTYQTDGEVKMQPVVDSMRHLIWCGSYDHYLYALNYKDHCCTYKISCGGSIYGSPAIDMAQNIIYVASTSGLVTAVSFEEPSFKMVWQYEAGVPIFGSLALDYQSGKVICCLVNGVVIALNSQGTVIWKATVGGPTFAGACLSSTLPYQVLIPSRDGSLYSFDITSGALLWVYNVGDPITASAFVDEMLTSESFGPSERFACVCTSSGKVHVIRIRADAKQEQAGEGVKYEELVQGLASIDLPGDIFSSPLMVGGRIFAGCRDDRLHCLTVSM >PVH47433 pep chromosome:PHallii_v3.1:4:4065619:4066278:-1 gene:PAHAL_4G061900 transcript:PVH47433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTMLTVAFFFQSFFRLASFSISGMNYRKGAMSMRRKRSDSWPQPVHSRSAGVPWAWHSTLRQHELFTLYLRRQTTAGAEADGQCCRPGLAIWRRRGGVCEGRSRGDPTGKGAGSGGG >PAN23780 pep chromosome:PHallii_v3.1:4:8990964:8994470:1 gene:PAHAL_4G116600 transcript:PAN23780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSSSYSLSSKKRPRSPNDNDEHSLLRDQICSSLEDNLTFNDTMIALQLMRTQFPKLEKVAVQPFILQSQLYSSVKDRTQVDRDLESFKKDKVLRVFKLSSGQDDHAIMFMDDYLKQVAFAIKRSGGKDQDGSEVFEWFERYVVPSKLDVSINQLELCSLLSRGGDVTDKHITLLMNAGLLTRQLIDPNIYWFAIPRIGPILKGLSQGRKEILSLLNRKKYKEMLLSSLEKTKLRLSPLDTRFLLRDLIGSGHIKTVQTPTGLLARITRD >PAN23240 pep chromosome:PHallii_v3.1:4:5366532:5367469:-1 gene:PAHAL_4G078300 transcript:PAN23240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGLSSSHRRSSASLHQPPPAAAPALVVAADGSLREFAPAASPVSASDVLGAGSNAAADGSFVCSSDALYFDADVPALGADELLRPGQIYFVLPAGMLGRPLSSADMAALAVRASDALAARARTARAAGTGSSHVRARRGGGGGFIASNKARVVPARHADADEEVNEKLNQRTLGGFETASRSPARRAKGPAAATRPPMRRALSTIMEDSRTPFD >PAN25127 pep chromosome:PHallii_v3.1:4:47207492:47229669:1 gene:PAHAL_4G277400 transcript:PAN25127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHVEMEAAKLLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVNQHGIDMDALRSSRIPFAGGPQAGDSSGAMPKDKEVIGNQSPMVGSDASQNSGQAGLWQFPSVSTDMTRHGASVSARVPTGPNRGDFSAADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKTSSKRKRMDSKGAGDLHSEDNSKSDAISTGQNTRKGKQVGKTGRQGQPSMGMEHEQPRSLQGGTAQVPPLHGGAPFIRAHQEGALTSSGRTIDKTKPSNPFTVAQIPNFPEGLASSGVPIELQKSIQGGANLFNAGFGWNQNPQVSIMKNAQGSIPNLMSSGVNVEGKVNVVAQGAFNSTSAPQMGIPTVPPYNSSSFGGSSHFLDKGKELASGSTGTELHSIAKVTSLPGIPHGSPMQERQGIIRAQQRAEASLQEGRPSALPNRNPGSSPMSHTSSNIPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGRGPPAESDSAGQRGSESRLADGLGKENGSSRENSGVFCRQSDISRLPSTSAGSIAEVDSFLKDPENATKKIKVAEQKSFMEVENMQQASVMQGTSSEMRSQEMASPISSGPQQSYFQGDTRRITPDIQRTDAENLNRNLSWGGLGPTALGGNRQHLNQETKESLAPSKPHHMPVDGYNSNMPGIDQIPETVGVGDDVENGSHAAEIVPEQAADGEEDLSEHDDLPSSPPKHTMTEKWILDYQKRMYNEKQKRTLELHKLHSRMSVSYEKLKESVNSSEDFSAKTKSVIEVKKLQLLPLQRRVRSEFLLDFFKPNTADLERIKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDSFKVKRERLKGFNRYIKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQNAKSTDGRASYVSDKSDPANDIEDESYQPQHYLESNEKYYQLAHSVKETVNDQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRRMFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMTRVEENLGGIGAVKVRSVHNSVMELRNICNHPYLSQLHVEEIEGYLPKHYLPSIVRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDKFNDPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSEAEIDVFESIDKQRREEEMAAWQKVVQDGSTSGLDPEVLPSRLVTDDDLKPFCHAMKLYEPSNVKSVKVNVRKKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQADSPESPQPGGMSKDLDIPKGIKPEILADSSKEPEQMRKEESPTVGDSPPAKRRRGRPKRSDIFLSPTAPTDAVKHETGTTQDGSSATPASTIHSDAPATPIHSAASDVNVHSISAADVNKPEFGTETKSSGSVTVLEGPVEKEIGLPLQSVHDVAGPPAPHQPARGRKVQAGETPRRRGRKPKSLTSSGVDDVSLNPTVSAGSGVADTSCVSSYTQVNTPPSQGSAVAVAGVQRDLVAVKLDTTLPDSGKHISPVHEGDKGATISTPVAKDICAGTVTSDNTITLAPNTHNENVGLLQVAPAPTMPVVSEGLVEISHVVVADKPVEKQSASHRRRKKTSGTEDSGVSTRQRSAMKKSYYTVNIDEVGSGMTQSEKSGIMKERDGSSLQNTSNDVPNINLPLHEKSGYDSQPSTPVAVPINEATLPSGFNDTHATHSEITLATSANPPFVDKPVDLHLDAPVPVDSQNQGQLKIGEDNVAMCSEAPASKDATLVPSEVDSAPPNKAPGRRRKGSAREPRSRSNSATATSERRARLTGLKQAEDIKKLEISARPTTTVEQLGADSLRAEVTTASVCETEKNPGSHVSSDISILVGSHVSGALVTEEATATMMTQTPAVAKSEERKLPDDLQGSDFDSSVPQTKLVSAVEPAPSNDEHMQGTEVNSSEQTKVVSAAESAPDEHVQGIEVDSSEQPTKTVAVAESAPSNNEEHAAHGVHLKTADVNMLTCSAATDILQDKLDSSAACHSDAPCTDEIARQSDASLLDVKAPHDSSAKYTPGSTKDDDYVLHSEGTDVDVTVSKKDDVKIYDTQADDISRGSPATSQATQLDQPSDLVESLENRKEQVKMEETSGKSSGEGQTHNQGNETSHDTTLLTNSPSEYLNESCSAQVDGDTFKSKENIVEIHAAMNLDVPEEAQDASSAQSQKEASTTDGDTFETKRTPVETHSAMNTDGPEEAQDASSTQSDKESTDKESSMAEVGVSTYSSPTVCKAHNDLEGQVSCEEILVRAGGDNQTHSNANDVSNYKIEDTIVSPVDTTRESIEESAINVSEDSDMNKQSCTLHDPPASTLATVYESKKVIGDAEIVCAGRLESSGTETETVGIHEISLADLERTKKTGDLVEKTGSPLCGDVLGTSRSMIGVVCEKAPTEDLTAGSHSEAHSSLVALEPAQETTVANTVVFMDACNTEPDGDSTIAEGAKHTVEMVHSAEEQSAASEHAETQEQPTVICGPTLNESQTAGLEDDCSLLEHGGPIASTELLVVASNPISETSDIQVESEATKSDGYCTAENGIATSETIMELEPNKETAVPMQEDVTVANDTIATYKACDDSENRAFGEASMEMQPEIKAASSIQSGAENVITQAPSLSDGTEQTNMASASELAPENDKEHMQGTEVNSSDQQPKMVSPASDEHVQAVEAHSSEQKTVSSPGDEINSSELQTKVDSIAEIESADVKETAIADHEETGDQSGISTHAPLLTESGEKGSPGTDLRGCNMHELHQTTGDGAILSSGGEQDPLRDNMGSGGGVELPACQRKTDFEGDKDHSTGVNVEGIQGPYDASDKDHSTDPPATTLVMSESCKDTCDAEIVCAGKIESSGSGDIGTVGVQEAADFADQGIEAHSSEQMKKVSVAQAASTMALVGYSSSEDSMLDDSTRAADGGDSLDSKGAGVDGQETTSTQITPTLPENTDMDWQSCPLQSGNDSPATTAATVESDKDTGDAGAACVVKTESSTGIGIEMMGIQEISISGQQGAVGTGDLNKENGSLQRGDGCGTSCSTLVGHSEVPTSVELVAAQSTQEATISDREETVVYEKATSGEELTVGSCSGVPTSVVFVGSESTQETMSNQEQIIDAVGSKHESKTEDVKASEEQPILFELVDSHAKPIDICGHMQEESIENLKNDGSEPKDGSPTASSERVAEPEPIDETSVMQVELTTSTGDECAAEDHNVVSSETVMESVPVQEIVVPMQDGKEANDADSACKECKDLEGHASGDVSMPVESEIVATQGHGTEAYDTTIISEVCKGTKSHVSGEVQIPLESLSLKVELRNETDDFQGNDLPTIVGAEVLNTESTPGAAIANSGGADTEQKLPPSSGEAMLDTSSEPPNEEAQEASSSDPSGNDEIAKKEDEAAAAAQGMLNSETAQGGENIKLGEADTEVQLPPSSVEEAMVDICSEPPSHEVKEAPTSDPSGSSENVEMENAAAAAAQGLLNTEPAPGGENAKLGDADTEVQLPPSSVEEAMVDICREPPSHVVKEAPSSDPSGSNENVETEKAAAAAAAAAAQVQLNTKPAPGGENAKHGEADTEVQLPPSSVEETMVDICSEPPSHEAKEAPSSDPSGSNENVETENAAAAAQVLLNLEPAPGGENAKHGEADTEQQLPPSSGEPMVDVSHELPSQEVKEAPSISPLGNDEDAKMKEAPAAQGPLNMETARGGENAKLGEAGMELQLPPSSGEAMVEISSEPLSHDAGCESSKLAGADTELQLPPSGEAVVDTSSEPPSSQEVNEAPSSDALGNDENSKMEKTDAAVQGLLNTEPALGGENTELCEADTEKQAIPVSAEVMVESSSELPSQEGREALTTDLSGDDEKAKSARAAVVAELFGDATEGGSDQPLLSPRGQGEDADADGGV >PAN25126 pep chromosome:PHallii_v3.1:4:47207492:47229669:1 gene:PAHAL_4G277400 transcript:PAN25126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHVEMEAAKLLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVNQHGIDMDALRSSRIPFAGGPQAGDSSGAMPKDKEVIGNQSPMVGSDASQNSGQAGLWQFPSVSTDMTRHGASVSARVPTGPNRGDFSAADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKTSSKRKRMDSKGAGDLHSEDNSKSDAISTGQNTRKGKQVGKTGRQGQPSMGMEHEQPRSLQGGTAQVPPLHGGAPFIRAHQEGALTSSGRTIDKTKPSNPFTVAQIPNFPEGLASSGVPIELQKSIQGGANLFNAGFGWNQNPQVSIMKNAQGSIPNLMSSGVNVEGKVNVVAQGAFNSTSAPQMGIPTVPPYNSSSFGGSSHFLDKGKELASGSTGTELHSIAKVTSLPGIPHGSPMQERQGIIRAQQRAEASLQEGRPSALPNRNPGSSPMSHTSSNIPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGRGPPAESDSAGQRGSESRLADGLGKENGSSRENSGVFCRQSDISRLPSTSAGSIAEVDSFLKDPENATKKIKVAEQKSFMEVENMQQASVMQGTSSEMRSQEMASPISSGPQQSYFQGDTRRITPDIQRTDAENLNRNLSWGGLGPTALGGNRQHLNQETKESLAPSKPHHMPVDGYNSNMPGIDQIPETVGVGDDVENGSHAAEIVPEQAADGEEDLSEHDDLPSSPPKHTMTEKWILDYQKRMYNEKQKRTLELHKLHSRMSVSYEKLKESVNSSEDFSAKTKSVIEVKKLQLLPLQRRVRSEFLLDFFKPNTADLERIKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDSFKVKRERLKGFNRYIKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQNAKSTDGRASYVSDKSDPANDIEDESYQPQHYLESNEKYYQLAHSVKETVNDQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRRMFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMTRVEENLGGIGAVKVRSVHNSVMELRNICNHPYLSQLHVEEIEGYLPKHYLPSIVRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDKFNDPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSEAEIDVFESIDKQRREEEMAAWQKVVQDGSTSGLDPEVLPSRLVTDDDLKPFCHAMKLYEPSNVKSVKVNVRKKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQADSPESPQPGGMSKDLDIPKGIKPEILADSSKEPEQMRKEESPTVGDSPPAKRRRGRPKRSDIFLSPTAPTDAVKHETGTTQDGSSATPASTIHSDAPATPIHSAASDVNVHSISAADVNKPEFGTETKSSGSVTVLEGPVEKEIGLPLQSVHDVAGPPAPHQPARGRKVQAGETPRRRGRKPKSLTSSGVDDVSLNPTVSAGSGVADTSCVSSYTQVNTPPSQGSAVAVAGVQRDLVAVKLDTTLPDSGKHISPVHEGDKGATISTPVAKDICAGTVTSDNTITLAPNTHNENVGLLQVAPAPTMPVVSEGLVEISHVVVADKPVEKQSASHRRRKKTSGTEDSGVSTRQRSAMKKSYYTVNIDEVGSGMTQSEKSGIMKERDGSSLQNTSNDVPNINLPLHEKSGYDSQPSTPVAVPINEATLPSGFNDTHATHSEITLATSANPPFVDKPVDLHLDAPVPVDSQNQGQLKIGEDNVAMCSEAPASKDATLVPSEVDSAPPNKAPGRRRKGSAREPRSRSNSATATSERRARLTGLKQAEDIKKLEISARPTTTVEQLGADSLRAEVTTASVCETEKNPGSHVSSDISILVGSHVSGALVTEEATATMMTQTPAVAKSEERKLPDDLQGSDFDSSVPQTKLVSAVEPAPSNDEHMQGTEVNSSEQTKVVSAAESAPDEHVQGIEVDSSEQPTKTVAVAESAPSNNEEHAAHGVHLKTADVNMLTCSAATDILQDKLDSSAACHSDAPCTDEIARQSDASLLDVKAPHDSSAKYTPGSTKDDDYVLHSEGTDVDVTVSKKDDVKIYDTQADDISRGSPATSQATQLDQPSDLVESLENRKEQVKMEETSGKSSGEGQTHNQGNETSHDTTLLTNSPSEYLNESCSAQVDGDTFKSKENIVEIHAAMNLDVPEEAQDASSAQSQKEASTTDGDTFETKRTPVETHSAMNTDGPEEAQDASSTQSDKESTDKESSMAEVGVSTYSSPTVCKAHNDLEGQVSCEEILVRAGGDNQTHSNANDVSNYKIEDTIVSPVDTTRESIEESAINVSEDSDMNKQSCTLHDPPASTLATVYESKKVIGDAEIVCAGRLESSGTETETVGIHEISLADLERTKKTGDLVEKTGSPLCGDVLGTSRSMIGVVCEKAPTEDLTAGSHSEAHSSLVALEPAQETTVANTVVFMDACNTEPDGDSTIAEGAKHTVEMVHSAEEQSAASEHAETQEQPTVICGPTLNESQTAGLEDDCSLLEHGGPIASTELLVVASNPISETSDIQVESEATKSDGYCTAENGIATSETIMELEPNKETAVPMQEDVTVANDTIATYKACDDSENRAFGEASMEMQPEIKAASSIQSGAENVITQAPSLSDGTEQTNMASASELAPENDKEHMQGTEVNSSDQQPKMVSPASDEHVQGDEINSSELQTKVDSIAEIESADVKETAIADHEETGDQSGISTHAPLLTESGEKGSPGTDLRGCNMHELHQTTGDGAILSSGGEQDPLRDNMGSGGGVELPACQRKTDFEGDKDHSTGVNVEGIQGPYDASDKDHSTDPPATTLVMSESCKDTCDAEIVCAGKIESSGSGDIGTVGVQEAADFADQGIEAHSSEQMKKVSVAQAASTMALVGYSSSEDSMLDDSTRAADGGDSLDSKGAGVDGQETTSTQITPTLPENTDMDWQSCPLQSGNDSPATTAATVESDKDTGDAGAACVVKTESSTGIGIEMMGIQEISISGQQGAVGTGDLNKENGSLQRGDGCGTSCSTLVGHSEVPTSVELVAAQSTQEATISDREETVVYEKATSGEELTVGSCSGVPTSVVFVGSESTQETMSNQEQIIDAVGSKHESKTEDVKASEEQPILFELVDSHAKPIDICGHMQEESIENLKNDGSEPKDGSPTASSERVAEPEPIDETSVMQVELTTSTGDECAAEDHNVVSSETVMESVPVQEIVVPMQDGKEANDADSACKECKDLEGHASGDVSMPVESEIVATQGHGTEAYDTTIISEVCKGTKSHVSGEVQIPLESLSLKVELRNETDDFQGNDLPTIVGAEVLNTESTPGAAIANSGGADTEQKLPPSSGEAMLDTSSEPPNEEAQEASSSDPSGNDEIAKKEDEAAAAAQGMLNSETAQGGENIKLGEADTEVQLPPSSVEEAMVDICSEPPSHEVKEAPTSDPSGSSENVEMENAAAAAAQGLLNTEPAPGGENAKLGDADTEVQLPPSSVEEAMVDICREPPSHVVKEAPSSDPSGSNENVETEKAAAAAAAAAAQVQLNTKPAPGGENAKHGEADTEVQLPPSSVEETMVDICSEPPSHEAKEAPSSDPSGSNENVETENAAAAAQVLLNLEPAPGGENAKHGEADTEQQLPPSSGEPMVDVSHELPSQEVKEAPSISPLGNDEDAKMKEAPAAQGPLNMETARGGENAKLGEAGMELQLPPSSGEAMVEISSEPLSHDAGCESSKLAGADTELQLPPSGEAVVDTSSEPPSSQEVNEAPSSDALGNDENSKMEKTDAAVQGLLNTEPALGGENTELCEADTEKQAIPVSAEVMVESSSELPSQEGREALTTDLSGDDEKAKSARAAVVAELFGDATEGGSDQPLLSPRGQGEDADADGGV >PAN25529 pep chromosome:PHallii_v3.1:4:49440365:49443219:1 gene:PAHAL_4G308800 transcript:PAN25529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGTVVLAEHSAAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADRINRMRGEINQVRSVMIDNIDKVLERGDRLELLVDKTANMQGNTVRFKRQARRFRNTVWWRNVKLTAALILLLLVIIYVVLVFVCHGFTLPTCIR >PVH48082 pep chromosome:PHallii_v3.1:4:43280872:43292135:1 gene:PAHAL_4G238300 transcript:PVH48082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIAYSSCFALDMSPQKYGHAKNKYVSLEAVIVLLGIVPNTGAETIEQSNKSGHHTANNSNRSSLQSSSQAEKSVDEKRHAIYPVTGSVGIGSQAPKAELSVQKQAIFSNHKSIAKNVERILQQPANHSSWCVPSTEYMNTRLDCQICKVPITNMGSLLVCDACERATHVKCLQYYGKQSLPKPEWYCPTCVLHSKGKPLPPKYGKVTRSIAVPKTCMTSGAQPSQIAAENPTEKDGSSKKNVAVYGTVINQNTNKVGSTVCKSGTLALDATGSKSPSGAEPQKDVKHDETSSVEKEGNGLPCGGIHTETATMYGSGSLSAGSHMHIESNAATPVNYSTLQSTAICGVKHAYHSSIVSSVENSESRAPTDELCQEEVTNNNWVTLNGHLCSEPEIIGDRNGYVGSSTVSIVDWVGDGLKSIDNKTYYNSCNIDGVIYNLHDHILIASEGGKFGPCKLQSLWEEHDSGSKLAMVNPYFFGSDIPGSISKLCIDEEDEVYGSNNGRILLVSAIRGPCEVLHVDKFREETKRRCQLDSSVCRLHPIFFCRWNYDDSTSSFYKDYNVDN >PVH48081 pep chromosome:PHallii_v3.1:4:43280872:43292135:1 gene:PAHAL_4G238300 transcript:PVH48081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIAYSSCFALDMSPQKYGHAKNKYVSLEAVIVLLGIVPNTGAETIEQSNKSGHHTANNSNRSSLQSSSQAEKSVDEKRHAIYPVTGSVGIGSQAPKAELSVQKQAIFSNHKSIAKNVERILQQPANHSSWCVPSTEYMNTRLDCQICKVPITNMGSLLVCDACERATHVKCLQYYGKQSLPKPEWYCPTCVLHSKGKPLPPKYGKVTRSIAVPKTCMTSGAQPSQIAAENPTEKDGSSKKNVAVYGTVINQNTNKVGSTVCKSGTLALDATGSKSPSGAEPQKDVKHDETSSVEKEGNGLPCGGIHTETATMYGSGSLSAGSHMHIESNAATPVNYSTLQSTAICGVKHAYHSSIVSSVENSESRAPTDELCQEEVTNNNWVTLNGHLCSEPEIIGDRNGYVGSSTVSIVDWVGDGLKSIDNKTYYNSCNIDGVIYNLHDHILIASEGGKFGPCKLQVYGSNNGRILLVSAIRGPCEVLHVDKFREETKRRCQLDSSVCRLHPIFFCRWNYDDSTSSFYKDYNVDN >PAN24611 pep chromosome:PHallii_v3.1:4:43281457:43292973:1 gene:PAHAL_4G238300 transcript:PAN24611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLGAETIEQSNKSGHHTANNSNRSSLQSSSQAEKSVDEKRHAIYPVTGSVGIGSQAPKAELSVQKQAIFSNHKSIAKNVERILQQPANHSSWCVPSTEYMNTRLDCQICKVPITNMGSLLVCDACERATHVKCLQYYGKQSLPKPEWYCPTCVLHSKGKPLPPKYGKVTRSIAVPKTCMTSGAQPSQIAAENPTEKDGSSKKNVAVYGTVINQNTNKVGSTVCKSGTLALDATGSKSPSGAEPQKDVKHDETSSVEKEGNGLPCGGIHTETATMYGSGSLSAGSHMHIESNAATPVNYSTLQSTAICGVKHAYHSSIVSSVENSESRAPTDELCQEEVTNNNWVTLNGHLCSEPEIIGDRNGYVGSSTVSIVDWVGDGLKSIDNKTYYNSCNIDGVIYNLHDHILIASEGGKFGPCKLQSLWEEHDSGSKLAMVNPYFFGSDIPGSISKLCIDEEDEVYGSNNGRILLVSAIRGPCEVLHVDKFREETKRRCQLDSSVCRLHPIFFCRWNYDDSTSSFYKDYNVDN >PAN24614 pep chromosome:PHallii_v3.1:4:43278501:43292973:1 gene:PAHAL_4G238300 transcript:PAN24614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRKRRRGGGELSRVAEIVMVLAGAGNARGGRASTAAERALAAEARGALAAVVAGEVELRPRELFTTEAVRALVEDLGLTRARDPAAVGFRPRKASIAHRVLLTKRKMEEGKEALVPSTTVPKMTASSAKNGFQHGASKVATGSTRNLSTPVTSPVISKQPLLNGTVAGASSIKLPNIHSAVSLPPVGSADVKMEIVNGSNFTQNGGAETIEQSNKSGHHTANNSNRSSLQSSSQAEKSVDEKRHAIYPVTGSVGIGSQAPKAELSVQKQAIFSNHKSIAKNVERILQQPANHSSWCVPSTEYMNTRLDCQICKVPITNMGSLLVCDACERATHVKCLQYYGKQSLPKPEWYCPTCVLHSKGKPLPPKYGKVTRSIAVPKTCMTSGAQPSQIAAENPTEKDGSSKKNVAVYGTVINQNTNKVGSTVCKSGTLALDATGSKSPSGAEPQKDVKHDETSSVEKEGNGLPCGGIHTETATMYGSGSLSAGSHMHIESNAATPVNYSTLQSTAICGVKHAYHSSIVSSVENSESRAPTDELCQEEVTNNNWVTLNGHLCSEPEIIGDRNGYVGSSTVSIVDWVGDGLKSIDNKTYYNSCNIDGVIYNLHDHILIASEGGKFGPCKLQSLWEEHDSGSKLAMVNPYFFGSDIPGSISKLCIDEEDEVYGSNNGRILLVSAIRGPCEVLHVDKFREETKRRCQLDSSVCRLHPIFFCRWNYDDSTSSFYKDYNVDN >PAN24612 pep chromosome:PHallii_v3.1:4:43278501:43292973:1 gene:PAHAL_4G238300 transcript:PAN24612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRKRRRGGGELSRVAEIVMVLAGAGNARGGRASTAAERALAAEARGALAAVVAGEVELRPRELFTTEAVRALVEDLGLTRARDPAAVGFRPRKASIAHRVLLTKRKMEEGKEALVPSTTVPKMTASSAKNGFQHGASKVATGSTRNLSTPVTSPVISKQPLLNGTVAGASSIKLPNIHSAVSLPPVGSADVKMEIVNGSNFTQNGGAETIEQSNKSGHHTANNSNRSSLQSSSQAEKSVDEKRHAIYPVTGSVGIGSQAPKAELSVQKQAIFSNHKSIAKNVERILQQPANHSSWCVPSTEYMNTRLDCQICKVPITNMGSLLVCDACERATHVKCLQYYGKQSLPKPEWYCPTCVLHSKGKPLPPKYGKVTRSIAVPKTCMTSGAQPSQIAAENPTEKDGSSKKNVAVYGTVINQNTNKVGSTVCKSGTLALDATGSKSPSGAEPQKDVKHDETSSVEKEGNGLPCGGIHTETATMYGSGSLSAGSHMHIESNAATPVNYSTLQSTAICGVKHAYHSSIVSSVENSESRAPTDELCQEEVTNNNWVTLNGHLCSEPEIIGDRNGYVGSSTVSIVDWVGDGLKSIDNKTYYNSCNIDGVIYNLHDHILIASEGGKFGPCKLQVYGSNNGRILLVSAIRGPCEVLHVDKFREETKRRCQLDSSVCRLHPIFFCRWNYDDSTSSFYKDYNVDN >PVH47556 pep chromosome:PHallii_v3.1:4:6007596:6009693:1 gene:PAHAL_4G086700 transcript:PVH47556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLRELLHKSDNRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHVSKVLSITLDQWSDDEINSMIEVGGNSYANSIYEAFIPEGYHKPHPDSSQEERADFIRSKYELQEFLKPSLRIVSSKSSLEATYSRKHIGQQCFSFSSFGLFILQAGMVEFIGILKVKVIRGTKLAVRDLISSDPYVVLILGQQKAKTSVVKRNLNPVWNEELKLSVPQQYGPLKLQVFDHAMLSKDDKMGEAEIDLQPMISAATAFGDADLLADMQIGKWLKSPDNALARDSPVNVVNGKVKQEVSLKLQNVESGEVDLELEWVPLNQ >PAN25644 pep chromosome:PHallii_v3.1:4:49872595:49877314:-1 gene:PAHAL_4G315100 transcript:PAN25644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRVWAVLLVVVLALAVSAARLDLDDDDDSDVLDELLAIDEEAERGGLDAGGGDGGGAAEAVRRAQSMVLALDNDNARRAVEDHAELLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAAAAVGVKGFPTVLLFVNGTEHAYHGLHTKDAIVTWVRKKTGAPVIRLQSKDSAEEFLKKDQTFVIGLFKNFEGPEYEEFVKAATTDDEVQFVETSDRSVAKILFPGITSEEQFVGLVKSEPEKFEKFDGEFEEKSILLFVELNKFPLITVFTELNSGKVYSSPIKLQVFTFSEAYDFEDLESMVEEVARAFKTKIMFIYVDTAEENLAKPFLTLYGLESEKKPTVTAFDTSNGAKYLMEADINAKNLREFCLSLLDGTLPPYHKSEPVPQEKGLVEKVVGRTFDSSVLESPQNVFLEVYTPWCVDCEAISKNVEKLAKHFSGLYNLKFSRIDASVNEHPKLKVNNYPTLFIYPAGDKSNPIKVSKKSSVKDMAKLIKEKLQISDMETVAATENVPPAENVKDEL >PAN26024 pep chromosome:PHallii_v3.1:4:51474495:51476746:-1 gene:PAHAL_4G339800 transcript:PAN26024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGVSTAPLSRLHSLPPPRPALLHHRPQPHVLLRPQRKAPSTAAAALPTAADLPPLSLPAAAAAAAALAAAVSLSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATEGVNRVQLDIREGHAQTVAKALAMLRDSPLQLAGATVCDAGCGTGSLSIPLAAEGADVLASDISAAMVSEAQRQAQAALAAQPAGSPFRMPRFEVRDLESLEGRYDVVVCLDVLIHYPREEARAMIRHLASLAGKRLLISFAPRTLYFDLLKRVGELFPGPSKATRAYLHAERDIEDALREAGWRVANRGFISTQFYFAKLFEAVPAGSSS >PAN22779 pep chromosome:PHallii_v3.1:4:2827975:2831456:1 gene:PAHAL_4G044400 transcript:PAN22779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFKDQIGSYYYFPSLLFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGSNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHTMQTTFTSIDIHLGQSYSFLVTADQPPADYSIIVSTRFTTPVLTTTAILHYSNANGAATVPPPPTPTTEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSISFIPADTPLKVADFYNISGVFTLGSMPDNPTVGGAYLQTSVMAANMREYVEIIFENTENFVQSWHIDGYAFWVVGMDGGPWTPASRQGYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >PAN22778 pep chromosome:PHallii_v3.1:4:2827189:2831456:1 gene:PAHAL_4G044400 transcript:PAN22778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSSSARPSCRLLAAAVLLLAASLLPLARADDPYRFYTWNVTFGDIYPLGVKQEGILINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGSYYYFPSLLFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGSNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHTMQTTFTSIDIHLGQSYSFLVTADQPPADYSIIVSTRFTTPVLTTTAILHYSNANGAATVPPPPTPTTEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSISFIPADTPLKVADFYNISGVFTLGSMPDNPTVGGAYLQTSVMAANMREYVEIIFENTENFVQSWHIDGYAFWVVGMDGGPWTPASRQGYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >PAN24198 pep chromosome:PHallii_v3.1:4:21301279:21305749:1 gene:PAHAL_4G164400 transcript:PAN24198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARAAGPGLPALLAGALLLNSAFFPSASAASSFPARIAGRIVSTTASAVARQLWSLKSAATKTAAGTAVAGRSMVRYEGGYAVDTVFDGSKLGIEPHVVEVTPAGDLLVLDSINSNIYRVQLPLSPYSRPKLLAGSPEGLSGHVDGRLREARMNHPKGFAVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSIRGGHIDGPSDDAKFSTDFEIRYISSSCSLMIIDRGNQAIREIPLHDDDCAYQYEAGFPLGVALLFAAGFFGYMLALLQRRVLGMASTADEPQIPPRPSIASIPPYQKPLNPYVRPPLLPREDEATKQETEEGFFTSVGKLIGGAKSSMAEIFGSRKKRLNNQYHHQQRRANPWPVQDSYAIPHDETPPLLDTRAPTPQKNYAFMTKEPEKIHHARHGRPFFNGWDDHHHHPQQRQPEQQLYHQQQHLQQHRQYSTGPQTFYEQSCEAKNEIVFGAVQEVDSKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNSNTY >PAN24197 pep chromosome:PHallii_v3.1:4:21301441:21305749:1 gene:PAHAL_4G164400 transcript:PAN24197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARAAGPGLPALLAGALLLNSAFFPSASAASSFPARIAGRIVSTTASAVARQLWSLKSAATKTAGTAVAGRSMVRYEGGYAVDTVFDGSKLGIEPHVVEVTPAGDLLVLDSINSNIYRVQLPLSPYSRPKLLAGSPEGLSGHVDGRLREARMNHPKGFAVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSIRGGHIDGPSDDAKFSTDFEIRYISSSCSLMIIDRGNQAIREIPLHDDDCAYQYEAGFPLGVALLFAAGFFGYMLALLQRRVLGMASTADEPQIPPRPSIASIPPYQKPLNPYVRPPLLPREDEATKQETEEGFFTSVGKLIGGAKSSMAEIFGSRKKRLNNQYHHQQRRANPWPVQDSYAIPHDETPPLLDTRAPTPQKNYAFMTKEPEKIHHARHGRPFFNGWDDHHHHPQQRQPEQQLYHQQQHLQQHRQYSTGPQTFYEQSCEAKNEIVFGAVQEVDSKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNSNTY >PAN24822 pep chromosome:PHallii_v3.1:4:40970743:40971603:1 gene:PAHAL_4G224800 transcript:PAN24822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPSLLINALESLGVTECPRYYNREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPVWEARMRSLERRRQEESPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVTQESLRQARDRRMQEWTRSGAPVPAIGEDHVLVGTPFIGWGPLFGNSPAPSENPEGSATAVERDGAAQPLANGNPENGEQGLLTLPAPEGGTPRE >PAN24590 pep chromosome:PHallii_v3.1:4:43103677:43107151:-1 gene:PAHAL_4G237200 transcript:PAN24590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAWWEALVAAAAVVGGTAGSSTTVVSICVFTAVLCLCLVAGHLLEENKWVNESITALIIGCLIGAIIFLLTKGKQSHILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFMTIMSFGVFGVFISVAIVSAGCYWLFPKVGFGKLDASDYLALGAIFSSTDTVCTLQVINQDETPRLYSLVFGEGVVNDATAVVLFNAIKNLNISHLKGGVVLKVISDFLYLFATSTILGISMGLSTAFVLKALYLGRHSTDREVALMALMAYLSYMLAELLDLSGILTVFFCGIVMSHYAWHNVTASSRITTKHIFATLSFIAETFIFLYVGMDALDIDKWKTSKASFKTSISIFGIIILLVLLGRAAFVYPISILSNNMSGSSERTPITLKHQVVIWWAGLMRGAVSIALAYNQFTFSGVTSDPVHATIITSTIIIVFFTTLVFGFLTRPLISTMLPRHSRALSRGHSTGSNSPKDDFTLPFFSTDEDASGSGIVLEQAKRSISLLLERPVHTVHIYWRKFDDKFMRPIFGGPQSH >PAN24580 pep chromosome:PHallii_v3.1:4:43072401:43073239:-1 gene:PAHAL_4G236800 transcript:PAN24580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPRPRSLSRGCFLFAFTPFRKSR >PVH47630 pep chromosome:PHallii_v3.1:4:7579231:7583196:1 gene:PAHAL_4G104900 transcript:PVH47630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHSGTGNKNAFKALIAAEYSGVKVELTKNFEMGVTNKTPEFLKMNPLGKVPVLETPEGAVFESNAIARYVARLNDNNPLFGSSRIEQAHVEQWMDFAATEVDPGVALYLYPRLGYIPYSQTTEETAIASLKRALGSLNTHLASKTFLVGHSVTLADIVLTCNLYHGFARVLTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQAESVPPVQKKAAAPKEPKAKDVKKEAPKEAPKPKAVEAPAEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDETQRERVSAMIEDQEPFEGEALLDAKCFK >PAN23244 pep chromosome:PHallii_v3.1:4:5376159:5381156:-1 gene:PAHAL_4G078500 transcript:PAN23244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIIFAFLAARYILYFTRYRREFLDFQKPRVVESPPPHLPVQPSSSPPLSIRPLNSSSSSSSSVLLPCGAGAEFVRCTGLELEDTQRRQLAVALEDLIPMVMVSVANPSPMVRSEEDLGPPWLRPLLGTSFFVPCRMHPELSKNECNLFCLDCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRTAAGLDLGRFDRPGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >PAN23243 pep chromosome:PHallii_v3.1:4:5376831:5380402:-1 gene:PAHAL_4G078500 transcript:PAN23243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSVANPSPMVRSEEDLGPPWLRPLLGTSFFVPCRMHPELSKNECNLFCLDCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRTAAGLDLGRFDRPGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >PAN23245 pep chromosome:PHallii_v3.1:4:5376831:5380872:-1 gene:PAHAL_4G078500 transcript:PAN23245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYNFRFPCCEIYTLLHTIPPRILGFPEAPSRRIPSSSSPRPAVVLSSLIDPAAEFFFLLLLLRPSTLRSRAGAEFVRCTGLELEDTQRRQLAVALEDLIPMVMVSVANPSPMVRSEEDLGPPWLRPLLGTSFFVPCRMHPELSKNECNLFCLDCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRTAAGLDLGRFDRPGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >PAN23869 pep chromosome:PHallii_v3.1:4:10570788:10572892:-1 gene:PAHAL_4G126800 transcript:PAN23869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPKPFLLAISLCISALSTCTAAGGGKPLVSAVTKDASTSLYTAPLKDGRPLVLDLSGPVISLTTCSSKNGTVTSLSANATNGANPLFQVSFPAAASCGAPTKLPAGAVGVAGLGPSGQSFLAQVARTQKVANKLALCLPSDGKTTSGNSVGVAIFGGGPLIFPDRGDFTTMLAGTAPLRGFNGSPGYYVTATGIAVEKTRIGVPGPLVVGLSSTAPYTTLRPDVYAALVRAFDQAATGPNFPWMSRVAAVSPFERCYNSTKLPPTRLGYAVPEIDLALEGGSTYFVSGGNSMVQVSANTACLGFVRAAGQAPAAVLGGFQMENRLLVLDVEKKQLGFTTFLNGVGLSCSNFNFTLAA >PVH47270 pep chromosome:PHallii_v3.1:4:1438968:1439678:1 gene:PAHAL_4G023100 transcript:PVH47270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDLNPPHRLFTTSFTCAVHHRSSEQIHTPRPPSLHLPPVRVRCATGPDWRRSTRPRHHVRPWMPPRCDRARGQGGRREDQTVTRARGTASAWPVVTRDVRGAPADWCACSLLGVASTATYAAGPASFGLGRKPTSARGGFLCRRSPENKHAHNSLEHSATA >PVH47806 pep chromosome:PHallii_v3.1:4:18914095:18914562:1 gene:PAHAL_4G157200 transcript:PVH47806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKNKYGQDATNVGDEGGFRACLVPLSRGSNQLQLWLCMDSRPNRLVHLPGQLQLHVLLMLDGAEAAEAEEEEGEPQASVRRRGCCSMSREEAAWGRRGAARGAAPVRGGLGAREGSREESRALVKTCTTCCASVRKRCGAREGPPRREIRRPR >PAN24325 pep chromosome:PHallii_v3.1:4:27542131:27546370:1 gene:PAHAL_4G182800 transcript:PAN24325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGANERFPGGSDPLLPTKREGDDDDAGASAFHEFNGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSRAVGARSYGAAMGDAFGWWGRRLLQVCVVINNVGVMIVYMIIIGDVLSGTDSGGEHHYGVLEGWFGMHWWNGRFFVLLVTTLCIFTPLACLKRIDSLSYTSTISVALAVVFVIITAGIAIVKLICGQIPMPKLFPAVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDSSQIKPIVHTSLTLCSTIYITTSFFGYLLFGESTLSDVLSNFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFACARPLSSDNRRFGVMTAVLLLVIFGSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPQGIAKKWDRILAVFMIVLAVVSNVVAVYSDAYKMFHKKSAPSQG >PVH47904 pep chromosome:PHallii_v3.1:4:27542130:27547130:1 gene:PAHAL_4G182800 transcript:PVH47904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEARRNNKENSAQLRAMESTSRVREKDFARGGIAPSSNDRRTTTAKPAHLANISSISARIRCSTVVRRLTMGGGGANERFPGGSDPLLPTKREGDDDDAGASAFHEFNGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSRAVGARSYGAAMGDAFGWWGRRLLQVCVVINNVGVMIVYMIIIGDVLSGTDSGGEHHYGVLEGWFGMHWWNGRFFVLLVTTLCIFTPLACLKRIDSLSYTSTISVALAVVFVIITAGIAIVKLICGQIPMPKLFPAVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDSSQIKPIVHTSLTLCSTIYITTSFFGYLLFGESTLSDVLSNFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFACARPLSSDNRRFGVMTAVLLLVIFGSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPQGIAKKWDRILAVFMIVLAVVSNVVAVYSDAYKMFHKKSAPSQG >PAN24242 pep chromosome:PHallii_v3.1:4:18641767:18644758:1 gene:PAHAL_4G156200 transcript:PAN24242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGVIALGPVPEDPAFLPICFNASRSPHCLSGSQLQDSILIFLAVPGMPPMPMSVLGSESIASVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLAEGNVLHLVIRLSDLRVINIETAAGKKFQFQVDQSRNVKYLKTKLADEDDEDIGNPEDHKLEYDGEELEDHQLIADISKRDDTVIHLFIRKPAKVRTQQVDRDTLVTVVNPQEKGNLQNEAHAVKSAKSAGFRPAPVEPVIVNRKVKLSPEVMRMISSTIAGLEKGHLPVMSAEGSGGVYFMRDATGQKIVAVFKPIDEEPMAENNPRGLPLSTDGEGMKRGTIVGEGAFREVAAYILDHPVGDRISGNNVGFSGVPPTTLVRSIHRGKSFKIGSLQMFMENNGSTEDMGPRAFSVKEVHKIAVLDIRLANADRHAGNILVHKEEEGDNYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFNDEAVEYIKSLDAEKDIKLLKFHGWELSPKCARVLCISTMLLKKGAARGLTPFDIGRVLCRGTVNRDSEIEDIIQEAEDAVLPGSSENMFLETISEIIDRHLDKEFA >PVH47653 pep chromosome:PHallii_v3.1:4:7859083:7859859:-1 gene:PAHAL_4G107800 transcript:PVH47653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEAIPALWSAVHGFFTPAVLFVVLNIVIGTIAVTSKVAAPAAGAAEEEGGAAGAGAGVEQYRKLSRVPSMAFDRLRSFNLSRFAAPAPEPAVAGEVVLGYVQTPEVAVEKEEPVVEPEPEPEPERELEDAHAAVHMERSRSEAAAAAEAELPRLPARLHKSASDRSAFAHFEAEEVEEAVRAVEARRPATTREGARRAPVAEPEPEEAAEEAGGEVDALADAFINKFHHQLKLQRIESFIRHRETVRRGQPAAGAV >PAN23254 pep chromosome:PHallii_v3.1:4:5421549:5428055:1 gene:PAHAL_4G079200 transcript:PAN23254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGKRVCVTGAGGFIASWLVKLLLSRGHYAVRGTVRDPGASKNAHLKALEGARERLQLVKADLLDYNSVVSAVASCEGVFHVASPVPSGRSSNPKVDVIDPAVTGTSNVLKACYEAKVRRVVVVSSAVAVFSNPNWPKGKPFDEECWSDEEYCRKNENWYYLSKTLAEREAFAYAAKTGLDVVTICPSLVFGPLMQPTINTSSKVLLNYIKGDRDAIENRIRNIVDVRDVADALLLAYEKSEASGRYICCSPPIKVSDIINILKNLYPTYPYPKNFVEVEENSVFSFEKLQKLGWTFRPIEETLRDSIKSYKAFGFLN >PAN23913 pep chromosome:PHallii_v3.1:4:11585046:11588058:1 gene:PAHAL_4G132100 transcript:PAN23913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKQEGTKHGSSTGTAAMWLLLPPVLVLIVLKTGFLPQVAHFRETGFTKVSDEMVHKVSTLGLGGATRQQQSHDTVKREAAKDVSAPISKLTCNFNNAHSDICTMEGDLRVHGKSATVYVVSASTFRPENSSIKVQPYTRKWEKETMSRIREVTMRSMPPAPYSFTIPPRCTVRHDVPAVVFSTGGCGTNFFHAMSDLIVPLYITAHEYSGRVQLVITDYEPKWVAKFRPILAALSMHPVIDLDADTAVRCFPSARVGLESHRMLGIDPSLSRNGYTMMGFRDFLRSTFSLQRPWTTPVISRSTGRKPRLVMVLRRHSRAITNEADAISSMVDLGFEVVAAGPEDASDMGQFAGVVNSCDVMVGVHGAGLTNMVFLPHNATVVQIIPWGEMKVACRYDFGDPVPDMGLRYAEYEVTAEETTLNEKYPRDHPVFTDPASLHRQGKLWEIFLQGQNVTLDIGRFRGVMQHVYQSITTD >PVH47692 pep chromosome:PHallii_v3.1:4:9151877:9153447:1 gene:PAHAL_4G117800 transcript:PVH47692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRCLLTVAVVLSPLLAGGGVAAGGPLSTSFYSKRCPSVQGIVRAGMASAVAAERRMGASILRMFFHDCFVNGCDASILLDDTPTFTGEKNAGPNANSVRGYEVIDAIKAQVEAACNATVSCADILALAARDAVNLLGGPTWTVYLGRRDALTASQSDANANLPGPGSSLATLVAMFGSKGLSPRDMTALSGAHTVGQARCATFRDRIRNDANINATFASLRQQTCPQASGDAALAPIDAQTPEAFDNAYYQNLMSRQGLFHSDQELFNGGSQDALVKKYSGNAGMFAADFAKAMARMGAISPLTGTQGEVRLNCRKVN >PAN23174 pep chromosome:PHallii_v3.1:4:4881949:4882598:1 gene:PAHAL_4G072900 transcript:PAN23174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKQRGKARRAGCDQHYPREFWWRASTDAYRAAHLRQLRHAALFPGQTVPGRTGTPWPPSPRPWSSAIDGQGYRSWKGWLLAAAVPSLCKLAGGHYGGYSSYHPTAALQG >PAN25039 pep chromosome:PHallii_v3.1:4:46508984:46511676:1 gene:PAHAL_4G270000 transcript:PAN25039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVVQHPGGRVERLYWATSAAEVMRANPGHYVALVTHRPEGEGEERRGAAPRVTRVKLLKPRDTLALGQAYRLITVAEVTRALQAKKEEKTRRAQQQLVLIQPKHAGGRPGAGDDTQPPPTQLVDNDHDQDRDGHRSNPSSAAHSGARHRHWRPSLHSIAEFSS >PAN25625 pep chromosome:PHallii_v3.1:4:49806052:49810309:1 gene:PAHAL_4G314300 transcript:PAN25625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSSPPPARPDAAEPRIPRRARVHSCRVASRCTHQRHVTVAATSGATRSPARLSQRRAREMEQQQRDGDGGAAEADIERLPADLLAHVLSLLPTFRDLSMAGGVSRRWRRAVERSLASRRRLSFAGQRTGDDTAARLVRAAVNLRDLDISRSCWGCQITDEGLIKISSADCVGNLTSISLWGLAGITDKGVVQLVSRAYSLQHLNIGGTFITDESLNAVANSCTNLKSIILWSCRHVTEAGLVALVNKCRRLECINVGGMRVPPESFVGLLSISPALRIRSIPQILNAGLQVS >PAN25626 pep chromosome:PHallii_v3.1:4:49806052:49810309:1 gene:PAHAL_4G314300 transcript:PAN25626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSSPPPARPDAAEPRIPRRARVHSCRVASRCTHQRHVTVAATSGATRSPARLSQRRAREMEQQQRDGDGGAAEADIERLPADLLAHVLSLLPTFRDLSMAGGVSRRWRRAVERSLASRRRLSFAGQRTGDDTAARLVRAAVNLRDLDICWGCQITDEGLIKISSADCVGNLTSISLWGLAGITDKGVVQLVSRAYSLQHLNIGGTFITDESLNAVANSCTNLKSIILWSCRHVTEAGLVALVNKCRRLECINVGGMRVPPESFVGLLSISPALRIRSIPQILNAGLQVS >PAN24675 pep chromosome:PHallii_v3.1:4:44119476:44122720:1 gene:PAHAL_4G243900 transcript:PAN24675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRRYTAALVLLAISTRLLLLPAVAAAALPDPASLEPSLLFPSTGAAAASQTQPQTAAGDSTIPAFPEQSEAAASTSVCQLTPSPPLLPAVLASCNANAGGSGGVLPPRLRCCPSLAAWLYAAYAPTALSGRGAGGPSAVAASAEAAAVVDMPVLPDDAEECAGAADRALRAAGAVLPRPQQRGRSTGAGNGTAACDVAFCYCGVRLRRSLCPAPEGRMARRLERDCALPGISGCSRCLRALNKLSGKSNATAPAKARQERREDCQVMGLTWLLQRNATRHREAATAVIQALMAADEAGAGRPAATCSLPAGDELPVAVRSSEISGAATGGAFPVAIGRLLLAVLGASLASVSRCLF >PVH48158 pep chromosome:PHallii_v3.1:4:45998529:45999750:-1 gene:PAHAL_4G263800 transcript:PVH48158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMMPIVAPSYQTKTLLFLHYMTDTCIFLWQNEDGELWDPTSVLTAGLMSFYGNTKPLDKSWHVMGLGYNPRISPEAIRSAAVIHFDGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >PVH48373 pep chromosome:PHallii_v3.1:4:50012726:50014346:1 gene:PAHAL_4G317000 transcript:PVH48373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPDGTHVRLRSRVHGTYLHADGDGAGVSTSPQRAPLSAAWGVHRVARDGAAYVLLRSAAYGRYLALRARSAPQGRGRRPVLRTYDAPDQDDVLWVVVRAGDEGDDVLLRHGRDGTSFVGVTGDNDSHDTRRTHWVVEAIPPRRRPPVLPAPVPLSRPMVLWRTIAYVRADDDGNFDPHPLARRRFIFYGRSVFQLTGVLSILLRERFFGIKLCLRAGSQGRLTPLVVDLPANEEAMDIVVLTAGSPAAQGQELVYPDVDA >PAN22357 pep chromosome:PHallii_v3.1:4:935914:938783:1 gene:PAHAL_4G013900 transcript:PAN22357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCCGCSVRCCCWLLLLTLVALAVTATVVFIRYRNGGQVFPLPGVPDPKYAEALAVALQFFQVQKSGKLVNNEIPWRGDSALDDGKEAKLDLSKGMYDAGDHMKFGFTLAFTGTMLSWSVLEYGGAMGAAKQRDAAMDALGWMMDFLINAHPSDDVLYIQVGDPKADHKCWERPETMSEKRPLTKITTKAPGSDVAAETAAAMAAASLVYKPINGTYSSSLLDHAERLFAFADKHRGSYTRTFPELSAYYNSTTYQDELLWAASWLYHATGNRSYLSYATGKNGKEYGDLGNPRYFSWDDKRAGTEVLLSRVSFFASDGSDVAQDEGLGSYKDTADAVMCILLPESDTAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMSASGKTELTCSGKSFRAADLRKFAKSQADYVLGDNPMKLSYLVGYGDSYPQRVHHRGASIPADVDTGCNGQEWLKTPKPNPNVATGALVGGPFKNDSFIDDRENVRQNEATTYNSALIVGLLSGLASTSSVAQSLS >PAN24509 pep chromosome:PHallii_v3.1:4:41390924:41396388:1 gene:PAHAL_4G227400 transcript:PAN24509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYLAFAGKAVATPVISYLLNKAFGYLHRYWKAEDLKALEAELLRTLPQVQAVFDAVDREQIKGHSTALDAWLWQLRDAIEEAEDSLDELEYYKLKEGVKAREEQDEPWGISKLKGKFINKLIKHGPQNGRLKRLKEAIEGLHKVISGVTSFLDFVKVGTVGPHMDCDHWKITGKQYETSSKLTANEVFGLDKEKDLILKWLIGNEPMGANLQFFSIVGHGGFGKTTLAQLIYSEKNVQNYFDLHIWVSVSSHFDALAITKSIIEAITKESTSANTLESLHAILEEKLISQRLLLIFDNVWNDKDMNEWERLFAPLKICGSGSKILITTRMKSVGDMVGNVLGVKGEHLKLGGLQEKDLLMLFNKYAFCRLDLKYPTNLHSLGEQIVKRLGGCPLAAKVIGAHLSSNMSFVHWKKILQDDFQNLQIAKDGIIKVLRLSYHYLPANLQLCFRYCSIFPQGYKFGKKELMEMWLGSGLILRTANESQPLEDTAAEYLDLLARKSFFDFTSIERAGVVLEEYYVMHDIMHDMARAVSSGECLRTAGIGFISIAKTVRHLSIKIEDSVHLKELCHLNKLRSLVIEFVGDDPSMTYYLTFSEVLKELKALRILCLTTKCQFHLPDAISNLVHLRYISVFSSKRSFLVSVHKLFSLYHLQALKIMEYSDGKMLKLDGMGNLVCLRNLHVPYDILSSIPRIGNLTCLQELYGFSLQRKKGYSITELRNLTQLRHLRLRDIQNIDKYEEILDAKLKEKKQLRTLSLHWASYDGDTKKIDDLVLENLQPHSDLEGLDIIGYNGTRLPSWMRNPYLITLVSLKIIKCGKMEQLPSLTSLCSLENLYLQDLSVLVKIGCFSNGSIGSSSEGMGYTGSNEVFPPHLNTLTIRGCPRLRELPTMPSGLNQLKILNSGLIHLPYMQWNYTEGTAPLSSNSRLTVLIEDCPDLTSLAEGFFKQPVYLESIRDIHIFQCEKLEYLPPKGFSELVNLQNLEISYCSMLSGNALEVKLLPSSLENLTITSCGKLENILIGSLTGLNSLNLLKFSECNHMTSLPSTETFKTLTALRTVRLCDCPELSSLGGLQCLESLRYLSIDRCHKLAMIPSRQPSLFHGVKEDGNENLLKLGTLRIDDHSLLYVEPLRSICFARELSICDDPIMTSLPEQWLLQNQTALRHLWVFNVKSLRCLPSSLANLCHLQSFSLFAAPLVESLPQLPASLGKLDISFCHSMLNERCRKGGSDWSKIAHIPVVKINGLQEESS >PAN24909 pep chromosome:PHallii_v3.1:4:41390924:41396388:1 gene:PAHAL_4G227400 transcript:PAN24909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYLAFAGKAVATPVISYLLNKAFGYLHRYWKAEDLKALEAELLRTLPQVQAVFDAVDREQIKGHSTALDAWLWQLRDAIEEAEDSLDELEYYKLKEGVKAREEQDEPWGISKLKGKFINKLIKHGPQNGRLKRLKEAIEGLHKVISGVTSFLDFVKVGTVGPHMDCDHWKITGKQYETSSKLTANEVFGLDKEKDLILKWLIGNEPMGANLQFFSIVGHGGFGKTTLAQLIYSEKNVQNYFDLHIWVSVSSHFDALAITKSIIEAITKESTSANTLESLHAILEEKLISQRLLLIFDNVWNDKDMNEWERLFAPLKICGSGSKILITTRMKSVGDMVGNVLGVKGEHLKLGGLQEKDLLMLFNKYAFCRLDLKYPTNLHSLGEQIVKRLGGCPLAAKVIGAHLSSNMSFVHWKKILQDDFQNLQIAKDGIIKVLRLSYHYLPANLQLCFRYCSIFPQGYKFGKKELMEMWLGSGLILRTANESQPLEDTAAEYLDLLARKSFFDFTSIERAGVVLEEYYVMHDIMHDMARAVSSGECLRTAGIGFISIAKTVRHLSIKIEDSVHLKELCHLNKLRSLVIEFVGDDPSMTYYLTFSEVLKELKALRILCLTTKCQFHLPDAISNLVHLRYISVFSSKRSFLVSVHKLFSLYHLQALKIMEYSDGKMLKLDGMGNLVCLRNLHVPYDILSSIPRIGNLTCLQELYGFSLQRKKGYSITELRNLTQLRHLRLRDIQNIDKYEEILDAKLKEKKQLRTLSLHWASYDGDTKKIDDLVLENLQPHSDLEGLDIIGYNGTRLPSWMRNPYLITLVSLKIIKCGKMEQLPSLTSLCSLENLYLQDLSVLVKIGCFSNGSIGSSSEGMGYTGSNEVFPPHLNTLTIRGCPRLRELPTMPSGLNQLKILNSGLIHLPYMQWNYTEGTAPLSSNSRLTVLIEDCPDLTSLAEGFFKQPVYLESIRDIHIFQCEKLEYLPPKGFSELVNLQNLEISYCSMLSGNALEVKLLPSSLENLTITSCGKLENILIGSLTGLNSLNLLKFSECNHMTSLPSTETFKTLTALRTVRLCDCPELSSLGGLQCLESLRYLSIDRCHKLAMIPSRQPSLFHGVKEDGNENLLKLGTLRIDDHSLLYVEPLRSICFARELSICDDPIMTSLPEQWLLQNQTALRHLWVFNVKSLRCLPSSLANLCHLQSFSLFAAPLVESLPQLPASLGKLDISFCHSMLNERCRKGGSDWSKIAHIPVVKINGLQEESS >PAN25214 pep chromosome:PHallii_v3.1:4:47712289:47716228:-1 gene:PAHAL_4G284700 transcript:PAN25214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVASPSSAAPSAGRPHPTYKEMVVQALTELRDPGGSSRRAIAKYIADHFSGLHSSHEALLSVHLRRLRSQGQLRLVSGNYFLSTEAPPPQPRRSPGRPPKQKRGRGRPRKNADLATSAPIPNLQGPKRGPGRPRKNALVPVASSAFTLLGAIAAPSSSGVKRGRGRPRKNALVPVAFSASPLLGAIAAPPPSGAKRGRGRPRKNALVPVASFASPLPGPTAPLPPSGVKRGRGRPRKNAIVPVSSSALPLPGAIALPPPSGIKSGRGRPRKNAFVLVASSTSPLPGATAAPTPSGVKRGRGRPRKNALAMVVSSSLLLPRAIVQPPPYGVKRGRGRPRKNSYPVASPLLGVVSVSSDSVVGAKRGRGRPPKVVVAGKRKRGRPPKEKMQPEAVQSADAPLTKRRPGRPRKEKTLESGQLKAAQMAEGRHEALPLLAQAASQAETVQNEVEAMSLQPFGTSLTEKRGRGRPRKRPLETETAETGDATLVVKRGRGRPRKENPTAGRSTETGLTASMGIKRGPGRPRKENPSAGRSTETGLTASTGIKRGPGRPRKVRPFETVSVETAVEVSRDLTEGRPEKGEDLASRKKTETQGVLLVEEMDARPANAGCVLVSGEEAEIAPMDAGGAVPMVVSGEEAAIAPMDAGGEMPGVVSGEEAAVAPMDAGGAVPGVDPMDSDVGTKSH >PAN25217 pep chromosome:PHallii_v3.1:4:47713147:47716228:-1 gene:PAHAL_4G284700 transcript:PAN25217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVASPSSAAPSAGRPHPTYKEMVVQALTELRDPGGSSRRAIAKYIADHFSGLHSSHEALLSVHLRRLRSQGQLRLVSGNYFLSTEAPPPQPRRSPGRPPKQKRGRGRPRKNADLATSAPIPNLQGPKRGPGRPRKNALVPVASSAFTLLGAIAAPSSSGVKRGRGRPRKNALVPVAFSASPLLGAIAAPPPSGAKRGRGRPRKNALVPVASFASPLPGPTAPLPPSGVKRGRGRPRKNAIVPVSSSALPLPGAIALPPPSGIKSGRGRPRKNAFVLVASSTSPLPGATAAPTPSGVKRGRGRPRKNALAMVVSSSLLLPRAIVQPPPYGVKRGRGRPRKNSYPVASPLLGVVSVSSDSVVGAKRGRGRPPKVVVAGKRKRGRPPKEKMQPEAVQSADAPLTKRRPGRPRKEKTLESGQLKAAQMAEGRHEALPLLAQAASQAETVQNEVEAMSLQPFGTSLTEKRGRGRPRKRPLETETAETGDATLVVKRGRGRPRKENPTAGRSTETGLTASMGIKRGPGRPRKENPSAGRSTETGLTASTGIKRGPGRPRKVRPFETVSVETAVEVSRDLTEGRPEKGEDLASRKKTETQGVLLVEEMDARPANAGCVLVSGEEAEIAPMDAGGAVPMVVSGEEAAIAPMDAGGEMPGVVSGEEAAVAPMDAGGAVPGVDPMDSDVGTKSH >PAN25215 pep chromosome:PHallii_v3.1:4:47712391:47716228:-1 gene:PAHAL_4G284700 transcript:PAN25215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVASPSSAAPSAGRPHPTYKEMVVQALTELRDPGGSSRRAIAKYIADHFSGLHSSHEALLSVHLRRLRSQGQLRLVSGNYFLSTEAPPPQPRRSPGRPPKQKRGRGRPRKNADLATSAPIPNLQGPKRGPGRPRKNALVPVASSAFTLLGAIAAPSSSGVKRGRGRPRKNALVPVAFSASPLLGAIAAPPPSGAKRGRGRPRKNALVPVASFASPLPGPTAPLPPSGVKRGRGRPRKNAIVPVSSSALPLPGAIALPPPSGIKSGRGRPRKNAFVLVASSTSPLPGATAAPTPSGVKRGRGRPRKNALAMVVSSSLLLPRAIVQPPPYGVKRGRGRPRKNSYPVASPLLGVVSVSSDSVVGAKRGRGRPPKVVVAGKRKRGRPPKEKMQPEAVQSADAPLTKRRPGRPRKEKTLESGQLKAAQMAEGRHEALPLLAQAASQAETVQNEVEAMSLQPFGTSLTEKRGRGRPRKRPLETETAETGDATLVVKRGRGRPRKENPTAGRSTETGLTASMGIKRGPGRPRKENPSAGRSTETGLTASTGIKRGPGRPRKVRPFETVSVETAVEVSRDLTEGRPEKGEDLASRKKTETQGVLLVEEMDARPANAGCVLVSGEEAEIAPMDAGGAVPMVVSGEEAAIAPMDAGGEMPGVVSGEEAAVAPMDAGGAVPGVDPMDSDVGTKSH >PAN23426 pep chromosome:PHallii_v3.1:4:6295472:6296999:1 gene:PAHAL_4G089900 transcript:PAN23426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTFLSVPRAQSPLDRAPPTRAVNAVFRVQPRTGFLVVAARRRLVAAAAAAAAPAASAAERDKPFIEEMRAAAMRLHTRDQDRGGEKEAPMEPPVDKWEPTVEGYLRFLVDSKLVFETLEAVVDRAAVPWYAEFRNTGLERSEPLKKDLEWFRQQGHTIPEPCAPGITYASFLEELSVKDPQAFVCHFYNVHFAHTAGGRIIGKKVAEKIHIQKELEFYEWEGDLSQLQQDVRAKLNQVASGWSRAEKDRCLDEMEKAFACSIDLRRHMFP >PVH47568 pep chromosome:PHallii_v3.1:4:6295472:6296342:1 gene:PAHAL_4G089900 transcript:PVH47568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTFLSVPRAQSPLDRAPPTRAVNAVFRVQPRTGFLVVAARRRLVAAAAAAAAPAASAAERDKPFIEEMRAAAMRLHTRDQDRGGEKEAPMEPPVDKWEPTVEGYLRFLVDSKLVFETLEAVVDRAAVPWYAEFRNTGLERSEPLKKDLEWFRQQGHTIPEPCAPGITYASFLEELSVKDPQAFVCHFYNVHFAHTAGGRIIGKKVV >PVH47579 pep chromosome:PHallii_v3.1:4:6431850:6436920:1 gene:PAHAL_4G092600 transcript:PVH47579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFKGSKVEVLQEAEVPFGSWRPGEIVSGNGHTYLVRYDESPVDSGVAVERVPRRLMRPSPPADDPVCWAVGSIFEAFDSYSWKVAEVVRVLGKNQYLVRLLGSSLELMAQASDLRLRKLWLDDKWIVTQKYSAKCLDGSFRGGSKDGNLGCNFGMDCHIQLENQNAFEGATSRGIKRKSSAITTHPQCSEITKRLRTPQRNGRHSKLVDRGSLRLAEKKWWSTCWF >PAN25059 pep chromosome:PHallii_v3.1:4:46605795:46608754:1 gene:PAHAL_4G271600 transcript:PAN25059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCCSTAAGRGFLLPGILLRRRCLPRHPLLNLRSTRTVASAAAAATSISSLSPPQQRQVAVYVEALLDWNQRMNLTAVTDEAEVMTRHVADSLAVLPPLERAYRARSTTGGGDTDGVRLIDVGSGAGLPGLILAVARPSWRFTLLESMKKRCTFLEHAVEAMGLSNVDVVCDRAENVGQSLDYREAYDIAAARAVAELKVLAEYCLPLVRVGGLFIAAKGHDPHEEIKNAKSAVQKLGASMLELCSVESMGPHGQRTAVIYFKERATPRKYPRLPGTPSKMPL >PVH48328 pep chromosome:PHallii_v3.1:4:49472904:49473280:-1 gene:PAHAL_4G309300 transcript:PVH48328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHDEVLDVRRHGGPATPGLILVLLNLVWSLGCAGVPKCVASTFKKINFFVSNFCLPDTEVATNKLRHSFGDESHAELDNLRS >PVH47740 pep chromosome:PHallii_v3.1:4:12515283:12515801:1 gene:PAHAL_4G136900 transcript:PVH47740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSVSSNSPSSSSIISITSPDSNTSREVMPEFDPIASYEAHAPLHWDAGEWDYSTWSEDDEPLTDDEDLQILLYGVLDEGDDEDSWDDNFFSFSEEDAKDISIGDDSAAGGFLHGGSSTSEDDGDASDNTSDDGGDSNNNTNGDDGSSDDDASASPLYKHRKTLGTYWW >PAN22964 pep chromosome:PHallii_v3.1:4:3703046:3710639:-1 gene:PAHAL_4G056400 transcript:PAN22964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAPQLPLLLAAALLALAAAPGLARAATDAADVAAINGLYVALGSPTLPGWTGNGGDPCGELWQGIVCTGSTITGITMNAANLGGQLGSLGNFTSIITINLSNNKIGGNIPEDLPITLQQLFLSANQLTGSIPSSLSKLKNLTAMSVNGNNLNGDLPDAFDSLNGLVNLDLSSNNLTGVLPPSMKSLASLTTLHMQDNQLSGTLNVLQDLPLKDLNIENNQFSGPVPASLLNIPNFKKDGNPFNTSIAPSASPPSPSIGPAPTPTPAGPKPAPTPALAPTSSNSTPASAPPSPPSRAPPPSKTTSNSSEGSTTRDSTSSPRKHSTSTLKIVGFVLLGVVLFIIMVLLVLFCLSKYQERQSRYDHNRSQLARVHHRVEPQIKPPPVQQRDDVKKGQSEVLDRRGRELSSSTAALPKKSPENQKEHVINFDRTDSDIFSVGLPPPPPPPPPPLAPVERVVANPIVPPEKRYNPPPRTSTLTSATPFSVASLQQYTDSFREENVIRESRLGKVYLAELPDGKLLEVMKIDNANGRISVDDFLEQIECISEIKHPNILELVGYCAEYEQRLLVYNHFSRTTLDDALHDGEDTESALSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDKKFSVCVAECGLAELMPSGSVTQLSGRMHALMNYEAPEFQDSGDISERGDVYSFGVVMLELLTGRKPYDSLRPRHEQHLVRWASSQLHDIESLSKMVDPSIRGQCSEKALSRFADIISRCIQRQPEFRPPMSEIVQDLARLVNATGEESE >PVH47417 pep chromosome:PHallii_v3.1:4:3703046:3709488:-1 gene:PAHAL_4G056400 transcript:PVH47417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAAINGLYVALGSPTLPGWTGNGGDPCGELWQGIVCTGSTITGITMNAANLGGQLGSLGNFTSIITINLSNNKIGGNIPEDLPITLQQLFLSANQLTGSIPSSLSKLKNLTAMSVNGNNLNGDLPDAFDSLNGLVNLDLSSNNLTGVLPPSMKSLASLTTLHMQDNQLSGTLNVLQDLPLKDLNIENNQFSGPVPASLLNIPNFKKDGNPFNTSIAPSASPPSPSIGPAPTPTPAGPKPAPTPALAPTSSNSTPASAPPSPPSRAPPPSKTTSNSSEGSTTRDSTSSPRKHSTSTLKIVGFVLLGVVLFIIMVLLVLFCLSKYQERQSRYDHNRSQLARVHHRVEPQIKPPPVQQRDDVKKGQSEVLDRRGRELSSSTAALPKKSPENQKEHVINFDRTDSDIFSVGLPPPPPPPPPPLAPVERVVANPIVPPEKRYNPPPRTSTLTSATPFSVASLQQYTDSFREENVIRESRLGKVYLAELPDGKLLEVMKIDNANGRISVDDFLEQIECISEIKHPNILELVGYCAEYEQRLLVYNHFSRTTLDDALHDGEDTESALSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDKKFSVCVAECGLAELMPSGSVTQLSGRMHALMNYEAPEFQDSGDISERGDVYSFGVVMLELLTGRKPYDSLRPRHEQHLVRWASSQLHDIESLSKMVDPSIRGQCSEKALSRFADIISRCIQRQPEFRPPMSEIVQDLARLVNATGEESE >PVH47952 pep chromosome:PHallii_v3.1:4:33158543:33158910:-1 gene:PAHAL_4G198200 transcript:PVH47952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAILVAMRHIQGRVLGSFILIPRYSLSDVGGHLYQKFCCIIARRSPPYADQIPIFNSFALTSCVVFLSLAYLLILHYWGGYRRGAKGNVGARISTRSDCPNSELHQLASR >PAN22381 pep chromosome:PHallii_v3.1:4:1025709:1032131:-1 gene:PAHAL_4G015800 transcript:PAN22381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKAGASSRADQDDIGGVVAPPSPLPANGAPQTPPQQAQVAAPGTPRRRKSGSTTPVHQTPGVAWPSPYPAGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGPGAAGGGADGAEAERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDESAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLVKQYLRATPLKRLALKALSKALREDELLYLRLQFKLLEPRDGFVSLDNFRTALTRYLTDAMRESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQHFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNPRRH >PAN25852 pep chromosome:PHallii_v3.1:4:50785646:50791586:1 gene:PAHAL_4G329700 transcript:PAN25852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.4 [Source:Projected from Arabidopsis thaliana (AT3G15990) UniProtKB/Swiss-Prot;Acc:Q9LW86] MVVNNKVDSLSYDVEAPPQGAPATAPPPAPAPARHHAPAPPAVREGAAVLELHKVSLPERRSTARALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFQWGSAYSPRLLRSDLVAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREAVSPDEEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSHMGFVDVMRSVFKRHDEWEWQTITMGTAFLAILLLTRQISARNPKLFWISAGAPLTSVIISTILSFIWKSHSISVIGILPRGVNPPSANMLTFSGSYVALTIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMAAAVLVTLLFLMPLFHYTPNVILSAIIITAVVGLIDVRGAAKLWKVDKLDFLACMAAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGLIPGTQSYRSVVQYREAVRVPSFLIVGVESAIYFANSLYLVERVMRFLRDEDERALKSNQSSIRCVVLDMSAVAAIDTSGLDALSELKKVLEKRNIELVLANPVGSVAERMFNSAVGELFGSDRLFFSVAEAVAAGAGKAQP >PVH47583 pep chromosome:PHallii_v3.1:4:6478820:6479311:-1 gene:PAHAL_4G093300 transcript:PVH47583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVGSGSLACTMTVMFLVLLGCLPRPTQCRQLPLKAEGTRSSPGSLTNTSSSNATAVDSPLDRSKLKLIFCEKQPGNDCFIHCFCCLNHEKCWPTETDCRLNCPVCDPKCPPQTAVEGRPLHQ >PAN22255 pep chromosome:PHallii_v3.1:4:376226:380194:-1 gene:PAHAL_4G006800 transcript:PAN22255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase isozyme B (EC 1.11.1.6) (CAT-B) [Source: Projected from Oryza sativa (Os06g0727200)] MDPYKHRPSSGNNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESMHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNYPEWKLYIQTIDPDHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRFDSVRHAEKVPIPPRVLTGCREKCIIHKENNFKQAGERYRSFDPARQDRFIQRVVDALSDPRVTHEHRSIWISYWSQCDASLGQKLASRLNLKPNM >PAN24444 pep chromosome:PHallii_v3.1:4:40189364:40200741:1 gene:PAHAL_4G221200 transcript:PAN24444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISGCDCSLLDKKENPLALLGQYSDDEEEDEEAADQPTGEAKRSPGDASAQVTIERADTAGDAQTEPLVSVGDQQEAPETGDVKNYTQSVTEENTLAPEPTLQEESAIAAESVPDSSGMQIVGDIGGNWKAIMHEQSNRCYYWNTVTGETSWEMPNGLASGVASDGVASASVPTHMDYPLEAQAHVLPQNILEAYPSDMSVGNATATYANFGMTCGSAQVTQDAYAYAPAASHESMDIDPLYLAKYGEELLQRLNLLSRLHGSNEGLELLRREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLDSSVSKLEMSYRVDTEPRDSKTEVAEHKAPNEADMLAPSNGEALKSELSAGITIDENVKIEKPASTSSAQSSQDKDAPAVTSKVESDNDEDMDVEMEVDEDSVEEQVHCSSVPNKEHPPSEQVSSADLPPLEGPTPPEDNDVPPPPPEEEWIPPPPPDNEPAPPAPPEEPAASYIHADTISQPYIAQANVGYTLSGMEYYATVGTEGTHASYYMQVTEPHLLQAQQHSYYAPVSASGISVPVDGTSIAPESYYTYPSVSTAASGIAAEHSGYYASSTSAIPSSAADIKTGSAPLVSANINSDPKGPDKVISKDASIAPLTQAAVATSAAGTSSVIGSSTQSSTSTTNQTKVIRSKKRAVAVTSSLRSNKKVSSLVDKWKAAKEELRDEEEEPESALEALERKRQKEIEEWRKQQIASGEAQENANFVPVRGDWRDRVKRRRAEAKKEPKDESIAASIGSAEQHKGSPDLAELSKGLPSGWEAYVDESTKQVYYGNSLTSETSWERPTK >PAN24445 pep chromosome:PHallii_v3.1:4:40185985:40201286:1 gene:PAHAL_4G221200 transcript:PAN24445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKERRLAAKAAAGRRVKLDLFLDPSPGEASQKEGIGGEIRDQQTVVPTSPSSSDKKENPLALLGQYSDDEEEDEEAADQPTGEAKRSPGDASAQVTIERADTAGDAQTEPLVSVGDQQEAPETGDVKNYTQSVTEENTLAPEPTLQEESAIAAESVPDSSGMQIVGDIGGNWKAIMHEQSNRCYYWNTVTGETSWEMPNGLASGVASDGVASASVPTHMDYPLEAQAHVLPQNILEAYPSDMSVGNATATYANFGMTCGSAQVTQDAYAYAPAASHESMDIDPLYLAKYGEELLQRLNLLSRLHGSNEGLELLRREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLDSSVSKLEMSYRVDTEPRDSKTEVAEHKAPNEADMLAPSNGEALKSELSAGITIDENVKIEKPASTSSAQSSQDKDAPAVTSKVESDNDEDMDVEMEVDEDSVEEQVHCSSVPNKEHPPSEQVSSADLPPLEGPTPPEDNDVPPPPPEEEWIPPPPPDNEPAPPAPPEEPAASYIHADTISQPYIAQANVGYTLSGMEYYATVGTEGTHASYYMQVTEPHLLQAQQHSYYAPVSASGISVPVDGTSIAPESYYTYPSVSTAASGIAAEHSGYYASSTSAIPSSAADIKTGSAPLVSANINSDPKGPDKVISKDASIAPLTQAAVATSAAGTSSVIGSSTQSSTSTTNQTKVIRSKKRAVAVTSSLRSNKKVSSLVDKWKAAKEELRDEEEEPESALEALERKRQKEIEEWRKQQIASGEAQENANFVPVRGDWRDRVKRRRAEAKKEPKDESIAASIGSAEQHKGSPDLAELSKGLPSGWEAYVDESTKQVYYGNSLTSETSWERPTK >PAN24011 pep chromosome:PHallii_v3.1:4:28299696:28302353:1 gene:PAHAL_4G184500 transcript:PAN24011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGEVASVVLPYLPTAAAAAFGFGPHYHPPAGDHFLFPGISTDLLTLPYAATAAHCQQHPAGHQPFLDHAPGPHDEAAGGVERRRQLAEERRRRRTASNRESARRSRVRKQRQLSQLWAQAAHLRGDNRDLLDRLNRAIRDCDRVRRDNARLGRERAGLQRRLHELAAGGDDDGSSRIAATAT >PAN22399 pep chromosome:PHallii_v3.1:4:1124594:1130385:1 gene:PAHAL_4G017200 transcript:PAN22399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDPSPHLPAPVAPPGAAEVDPQSQPAAPPAEAPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQKLLSLVAERLIDSNSAVQDKDEEYVRNREQNIADAIDLLPRLTTGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETATAIGSKSYNALASGLAEFKSGKPTEEGKHMEEEPVDFAAATTAALKIPSPSVSQGISFDEHTLPDSAEPQIRRGDREEEEELMRVLNLSKAETIAAVDGSVSFDTLHSHSSSNVEETPQSESFQSEAPEVVGLTKEEEHGSHAVSDDGSVLPVTNGAVNSSQVVPKESQEALTPKEPEDNAMKNMLPGDLDKSVQSSESTPAYPSHESFAPSDDQPATPILVEADNETCRGHLGADESTDIEGSCDSAAACEAAPGHATTELDEKCDSLNNLEPPPSSIQECEPIYQGEEHILGTTNVAYENQEPVYEGEVVLAEQADKTGETSHCLEDKATEYQWELIDNFLRTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYIAQFDNTSGNSDLELAIALQQQEFERQPQRFQPPPPQQQQQQQQQPQIQHQPTQSGRPGLVVGPRFGFKYGRDLLS >PAN22401 pep chromosome:PHallii_v3.1:4:1124594:1131425:1 gene:PAHAL_4G017200 transcript:PAN22401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDPSPHLPAPVAPPGAAEVDPQSQPAAPPAEAPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQKLLSLVAERLIDSNSAVQDKDEEYVRNREQNIADAIDLLPRLTTGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETATAIGSKSYNALASGLAEFKSGKPTEEGKHMEEEPVDFAAATTAALKIPSPSVSQGISFDEHTLPDSAEPQIRRGDREEEEELMRVLNLSKAETIAAVDGSVSFDTLHSHSSSNVEETPQSESFQSEAPEVVGLTKEEEHGSHAVSDDGSVLPVTNGAVNSSQVVPKESQEALTPKEPEDNAMKNMLPGDLDKSVQSSESTPAYPSHESFAPSDDQPATPILVEADNETCRGHLGADESTDIEGSCDSAAACEAAPGHATTELDEKCDSLNNLEPPPSSIQECEPIYQGEEHILGTTNVAYENQEPVYEGEVVLAEQADKTGETSHCLEDKATEYQWELIDNFLRTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYIAQFDNTSGNSDLELAIALQQQEFERQPQRFQPPPPQQQQQQQQQPQIQHQPTQSGRPGLVVGPRRSNAPPPARSESKKEKCIVM >PAN22402 pep chromosome:PHallii_v3.1:4:1124594:1131063:1 gene:PAHAL_4G017200 transcript:PAN22402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDPSPHLPAPVAPPGAAEVDPQSQPAAPPAEAPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQKLLSLVAERLIDSNSAVQDKDEEYVRNREQNIADAIDLLPRLTTGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETATAIGSKSYNALASGLAEFKSGKPTEEGKHMEEEPVDFAAATTAALKIPSPSVSQGISFDEHTLPDSAEPQIRRGDREEEEELMRVLNLSKAETIAAVDGSVSFDTLHSHSSSNVEETPQSESFQSEAPEVVGLTKEEEHGSHAVSDDGSVLPVTNGAVNSSQVVPKESQEALTPKEPEDNAMKNMLPGDLDKSVQSSESTPAYPSHESFAPSDDQPATPILVEADNETCRGHLGADESTDIEGSCDSAAACEAAPGHATTELDEKCDSLNNLEPPPSSIQECEPIYQGEEHILGTTNVAYENQEPVYEGEVVLAEQADKTGETSHCLEDKATEYQWELIDNFLRTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYIAQFDNTSGNSDLELAIALQQQEFERQPQRFQPPPPQQQQQQQQQPQIQHQPTQSGRPGLVVGPRQRSNAPPPARSESKKEKCIVM >PAN23918 pep chromosome:PHallii_v3.1:4:11621809:11626800:-1 gene:PAHAL_4G132600 transcript:PAN23918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEWPPAPTPALQLQRKAAVVGGSALKLLLFVILAGLALRLLAAPAAYLLPPTAAPDGAARLLAAPGRGRTGGGGGTPPSETDDLIFAVTGSGPLTAPDKKEGSPGESCNLFHGEWVPHSSGPAYTNASCRFIESPQNCMTNGRPDTAYLYWRWKPYGCDIPSFDGKKFLDGMRGKHWALIGDSILRNHVQSLLCLVSEVEDATEVYHDSTFKSRRWHFPSYNFTVSLIWAPFLVKAKIFEDDDGVSTADLQLHLDILETNWTSQWESFDYVVISTGQWFFKTAVYWENGAEIGCHSCQNKNLKELAPEYSFRKALSAAFLFIISSPHKPVVFYRTWAPSHFENGEWFSGGTCNRTSPFKPGEAGDRGSDNKMWRIEREEFDKAVANKGPNGSADRLKLLDTFELSLLRPDGHSGPYRTYHPYEKGMTAKVQNDCLHWCLPGPIDAWNDIIMQMLAKD >PAN25690 pep chromosome:PHallii_v3.1:4:50163499:50173394:1 gene:PAHAL_4G318800 transcript:PAN25690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTSTWMVDLCGSPLCSKQAALSCAWKELFDASTCMNHILVFGVAALIAIVLAVQLLVRIPKSTASARQLVAHSSPLQLVGVVFNCCLGLVYLGLGLWMLGRNFSQDAAVYLPHWWLVALSQGFSLILISIAFSIKAQFLGATSVRIWSVLLTIYAAFICCSSVVNMVAEKVVTMKGCLDVLLLPGALVLLVYGIRHISREDGNGRIGSALYKPLNAEAVDGTDDSGTHVTPFAKAGFFSVMTFWWLNPLMKMGYEKPLEEEDMPLLGASDRAYNQYVMFLEKLNKKKQLQPHGTPSVFWTIISCHRSGIIVSGLFALLKVLTISSGPLLLKAFINVSLGKGSFKYEGYVLAATMFVCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWSTSVQLCIALAILYNAVGLAMIASLAVIIITVLCNAPLAKLQHKFQSRLMEAQDVRLKAMTESLIHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLACYLLNIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRIIKFLDAPELNGQVRKKYCVGTEFPIVMNSCSFSWDENPSKPTLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSIDKQRYQETLERCSLVKDLEMLPYGDLTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIRSAPYQDLLAYCQEFQNLVNAHKDTIGGSDLNKVPTNRAKEISIKETNDTHGSRYRETVKPSPADQLIKTEEREIGDTGLKPYILYLCQSKGYLYASLCVISHMIFIAGQISQNSWMAANVQSTDVSTLKLISVYIAIGVCTMFFLLSRSLAMVLLGVRTSRSLFAQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFAFMFSISASLNAYSNLGVLAVVTWQVLFISVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESVAGAITIRAFEEEDRFFQKNLELVDKNAGPYFYNFAATEWLIQRLEIMSAAVLSFSAFVMALLPPGTFGPGFVGMALSYGLSLNMSFVFSIQNQCQLANQIISVERVNQYMDIPSEAAETIEENRPSPDWPQAGRVELRDLKIRYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFHGTIRYNLDPLGQFSDQQIWEVLDKCQLLEAVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRVLVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCSMVLAMSDGKVVEYDNPMKLMETEGSLFRELVKEYWSYTSNGNI >PVH47355 pep chromosome:PHallii_v3.1:4:2738448:2744014:-1 gene:PAHAL_4G043600 transcript:PVH47355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSLDYFRLPMANHFKLSKYCPSGVCPSTSFSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHDYMSSSIPMLHSADLVGASKERVRGYVHSLLLTMQSYRWTNTVVLRWSFFCSSGLVPHCNH >PVH47361 pep chromosome:PHallii_v3.1:4:2738976:2743680:-1 gene:PAHAL_4G043600 transcript:PVH47361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PVH47360 pep chromosome:PHallii_v3.1:4:2739746:2743090:-1 gene:PAHAL_4G043600 transcript:PVH47360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSLDCKLFPSPTGFRCSSTNYQLHNPIKFLVLDFRLPMANHFKLSKYCPSGVCPSTSFSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PVH47357 pep chromosome:PHallii_v3.1:4:2738975:2745153:-1 gene:PAHAL_4G043600 transcript:PVH47357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSLDCKLFPSPTGFRCSSTNYQLHNPIKFLVLDFRLPMANHFKLSKYCPSGVCPSTSFSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PVH47354 pep chromosome:PHallii_v3.1:4:2738447:2745153:-1 gene:PAHAL_4G043600 transcript:PVH47354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSLDCKLFPSPTGFRCSSTNYQLHNPIKFLVLDFRLPMANHFKLSKYCPSGVCPSTSFSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHDYMSSSIPMLHSADLVGASKERVRGYVHSLLLTMQSYRWTNTVVLRWSFFCSSGLVPHCNH >PVH47362 pep chromosome:PHallii_v3.1:4:2739387:2744018:-1 gene:PAHAL_4G043600 transcript:PVH47362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDIWRSSELIRLVDTSNGICMRELRIARSVFYKLCSGLRDRGLLLDTFHVSVEEQVAMFLKIVGQCHTHSSVAIAQWRSGWTVSSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PVH47358 pep chromosome:PHallii_v3.1:4:2738975:2743681:-1 gene:PAHAL_4G043600 transcript:PVH47358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PVH47353 pep chromosome:PHallii_v3.1:4:2738447:2744014:-1 gene:PAHAL_4G043600 transcript:PVH47353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSLDCKLFPSPTGFRCSSTNYQLHNPIKFLVLDFRLPMANHFKLSKYCPSGVCPSTSFSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHDYMSSSIPMLHSADLVGASKERVRGYVHSLLLTMQSYRWTNTVVLRWSFFCSSGLVPHCNH >PAN22767 pep chromosome:PHallii_v3.1:4:2739746:2743090:-1 gene:PAHAL_4G043600 transcript:PAN22767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSLDCKLFPSPTGFRCSSTNYQLHNPIKFLVLDFRLPMANHFKLSKYCPSGVCPSTSFSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PVH47356 pep chromosome:PHallii_v3.1:4:2739746:2743090:-1 gene:PAHAL_4G043600 transcript:PVH47356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSLDYFRLPMANHFKLSKYCPSGVCPSTSFSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PVH47359 pep chromosome:PHallii_v3.1:4:2738975:2743681:-1 gene:PAHAL_4G043600 transcript:PVH47359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSLDCKLFPSPTGFRCSSTNYQLHNPIKFLVLDFRLPMANHFKLSKYCPSGVCPSTSFSMVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENNSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGA >PVH48497 pep chromosome:PHallii_v3.1:4:51623259:51628029:1 gene:PAHAL_4G341900 transcript:PVH48497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEDLGLQAITTIADTDVVHCSTASHESSSGAEQKIHRVQGWKPFHGSSENERRPGCRTTMKGTSLKGSTLMKPTASQLARQNRQVEPKNVMQIKKPVGVRSERSTISSNDCTYQSAKRQRLENGHLNKAAAATYQHEFIHKNHEKNVMNHNVDRPTGPTKLKITIPREPELATKLRAERSRVLRSMPTNLKQLKQQAAPSASTAQQLASNRKGVQPLRATGHQHTSRQHDDVVSNVPACTSNHARHLNNVDKKREDCRDDLFKFKARPLDRKILAGKGDIGVFRCAKRNTTVPKEFNLSTSRKGNPAPLSELFNKLSLTAGAHQHRGIERHISDLPNYITTKDCKENMIGNMQC >PAN25998 pep chromosome:PHallii_v3.1:4:51621804:51627955:1 gene:PAHAL_4G341900 transcript:PAN25998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDEEMCDASSSAPASPGGGAGEGEFEEEEAAGDVEDGQGAGEAVMVMEVVWFQVDLDYEFDAPRWFDLAQEEPPPEAAAAQAWFASAPSCPPSPLIAKMLAEDLGLQAITTIADTDVVHCSTASHESSSGAEQKIHRVQGWKPFHGSSENERRPGCRTTMKGTSLKGSTLMKPTASQLARQNRQVEPKNVMQIKKPVGVRSERSTISSNDCTYQSAKRQRLENGHLNKAAAATYQHEFIHKNHEKNVMNHNVDRPTGPTKLKITIPREPELATKLRAERSRVLRSMPTNLKQLKQQAAPSASTAQQLASNRKGVQPLRATGHQHTSRQHDDVVSNVPACTSNHARHLNNVDKKREDCRDDLFKFKARPLDRKILAGKGDIGVFRCAKRNTTVPKEFNLSTSRKGNPAPLSELFNKLSLTAGAHQHRGIERHISDLPNYITTKDCKENMIGNMQC >PVH48496 pep chromosome:PHallii_v3.1:4:51623259:51627955:1 gene:PAHAL_4G341900 transcript:PVH48496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEDLGLQAITTIADTDVVHCSTASHESSSGAEQKIHRVQGWKPFHGSSENERRPGCRTTMKGTSLKGSTLMKPTASQLARQNRQVEPKNVMQIKKPVGVRSERSTISSNDCTYQSAKRQRLENGHLNKAAAATYQHEFIHKNHEKNVMNHNVDRPTGPTKLKITIPREPELATKLRAERSRVLRSMPTNLKQLKQQAAPSASTAQQLASNRKQGVQPLRATGHQHTSRQHDDVVSNVPACTSNHARHLNNVDKKREDCRDDLFKFKARPLDRKILAGKGDIGVFRCAKRNTTVPKEFNLSTSRKGNPAPLSELFNKLSLTAGAHQHRGIERHISDLPNYITTKDCKENMIGNMQC >PVH47991 pep chromosome:PHallii_v3.1:4:37551403:37552969:1 gene:PAHAL_4G210300 transcript:PVH47991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVPTAEQAQDFFRNAQAVRVITSSLYAQECNKVRNVEVAKKIFDTLREGHDGTDEVREGKMDLLQGELEHFVMHDEETVRQMYDRLLVLVLDIRSLGSTEWEDHMVTKKLLSAFTPRNPSLAIMITRDPKFKIKAPNQLLGEILHQELVERDVAKSLIHKVNKSVALNASSSDKEDSSDEGSTDEEMALVLRNFKKFMKKKYYKKGGDDKNKPSQRRYYGCKEEFSLEDFDHEVMATLVIPKSSRELFNNIFDDEDDAPFCLTARGTKVQESATSSSHPSITSNSTPNDFDDEEEQHKVFMIKEFGKKDFKEIKKLIEKLENKKESLDRQEDLLILEKERNLALEKALAEEKVKVQKLAIDLSRANDSEKRISLKATHSELQESFSCLTTKYKGLEVSYNTLWESTKTNSKATLDSNVSTSEGCSKCYKVDIQACVTNMAKIEKLTKQRMLNLRD >PVH47449 pep chromosome:PHallii_v3.1:4:4328828:4332241:-1 gene:PAHAL_4G066400 transcript:PVH47449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTARSPSRIRRSAAQDNVKSKPPPTPPVPSLRASPSSSPAPPRLVARRIRALNPRACPAGLPAAAMEDEQMDKKVQEYLQRKGLRVTELAPPGDRGRLPASVPPNVALASMPGGFLSFPPNGHAYFAPPWPPQPLPVSMAQHAALASKSKPAINIDDGDDVRTEKRLSWKPDEDLRLVRAWLNCSNDPVSGNGKKNEQYWGDVLAFYNSTTSTKRKRKVKHLKDRFQKIKRWVGFFCWSLKKAASVEENSQSDDQLIEKALQFYLDDYKEGPFIVLHCWKALRDEPKWHAVLEDLEKSNKRKLGDEGEVGNSTPTSEDTREKEHPTEEKEAKKQCNGKGKVKANDKGLDEDIKKCMDIHAATKKRHEEFIKVQLRVSDAKVEAARLKREAAMLKTYNSFMGMDTREMTNELKAEHAIGLKLLREKLFGNNN >PVH47450 pep chromosome:PHallii_v3.1:4:4330031:4332241:-1 gene:PAHAL_4G066400 transcript:PVH47450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTARSPSRIRRSAAQDNVKSKPPPTPPVPSLRASPSSSPAPPRLVARRIRALNPRACPAGLPAAAMEDEQMDKKVQEYLQRKGLRVTELAPPGDRGRLPASVPPNVALASMPGGFLSFPPNGHAYFAPPWPPQPLPVSMAQHAALASKSKPAINIDDGDDVRTEKRLSWKPDEDLRLVRAWLNCSNDPVSGNGKKNEQYWGDVLAFYNSTTSTKRKRKVKHLKDRFQKIKRWVGFFCWSLKKAASVEENSQSDDQLIEKALQFYLDDYKEGPFIVLHCWKALRDEPKWHAVLEDLEKSNKRKLGDEGEVGNSTPTSEDTREKEHPTEEKEAKKQCNGKGKVKANDKGLDEDIKKCMDIHAATKKRHEEFIKVQLRVSDAKVEAARLKREAAMLKTYNSFMGMDTREMTNELKAEHAIGLKLLREKLFGNNN >PVH47452 pep chromosome:PHallii_v3.1:4:4327933:4332311:-1 gene:PAHAL_4G066400 transcript:PVH47452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTARSPSRIRRSAAQDNVKSKPPPTPPVPSLRASPSSSPAPPRLVARRIRALNPRACPAGLPAAAMEDEQMDKKVQEYLQRKGLRVTELAPPGDRGRLPASVPPNVALASMPGGFLSFPPNGHAYFAPPWPPQPLPVSMAQHAALASKSKPAINIDDGDDVRTEKRLSWKPDEDLRLVRAWLNCSNDPVSGNGKKNEQYWGDVLAFYNSTTSTKRKRKVKHLKDRFQKIKRWVGFFCWSLKKAASVEENSQSDDQLIEKALQFYLDDYKEGPFIVLHCWKALRDEPKWHAVLEDLEKSNKRKLGDEGEVGNSTPTSEDTREKEHPTEEKEAKKQCNGKGKVKANDKGLDEDIKKCMDIHAATKKRHEEFIKVQLRVSDAKVEAARLKREAAMLKTYNSFMGMDTREMTNELKAEHAIGLKLLREKLFGNNN >PVH47451 pep chromosome:PHallii_v3.1:4:4330031:4332241:-1 gene:PAHAL_4G066400 transcript:PVH47451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTARSPSRIRRSAAQDNVKSKPPPTPPVPSLRASPSSSPAPPRLVARRIRALNPRACPAGLPAAAMEDEQMDKKVQEYLQRKGLRVTELAPPGDRGRLPASVPPNVALASMPGGFLSFPPNGHAYFAPPWPPQPLPVSMAQHAALASKSKPAINIDDGDDVRTEKRLSWKPDEDLRLVRAWLNCSNDPVSGNGKKNEQYWGDVLAFYNSTTSTKRKRKVKHLKDRFQKIKRWVGFFCWSLKKAASVEENSQSDDQLIEKALQFYLDDYKEGPFIVLHCWKALRDEPKWHAVLEDLEKSNKRKLGDEGEVGNSTPTSEDTREKEHPTEEKEAKKQCNGKGKVKANDKGLDEDIKKCMDIHAATKKRHEEFIKVQLRVSDAKVEAARLKREAAMLKTYNSFMGMDTREMTNELKAEHAIGLKLLREKLFGNNN >PAN25889 pep chromosome:PHallii_v3.1:4:51004196:51006506:1 gene:PAHAL_4G332000 transcript:PAN25889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQRKPGDWNCKNCQHLNFSRRDYCQRCRDPRPDLQFSDGYSTGGVLTSLDIRPGDWYCSCGYHNFASRSSCFKCGTIVRDFPAGQGAAGAEGDFARGRDSAAVRAGWKAGDWICTRPGCNVHNFASRTECYRCNAPREAGTGN >PAN25888 pep chromosome:PHallii_v3.1:4:51004196:51006506:1 gene:PAHAL_4G332000 transcript:PAN25888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQRKPGDWNCKNCQHLNFSRRDYCQRCRDPRPDLQFSDGYSTGGVLTSLDIRPGDWYCSCGYHNFASRSSCFKCGTIVRDFPAGQGAAGAEGDFARGRDSAAVRAGWKAGDWICTRPGCNVHNFASRTECYRCNAPREAVGTGN >PAN22328 pep chromosome:PHallii_v3.1:4:798835:801805:1 gene:PAHAL_4G011900 transcript:PAN22328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAPPPPAIAATFSALLRRHAVRTCRIRPFYARCVASNARAEAAEPECRSGGRGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKDEHSHAQLAEQFKLHTIRRVYISLTCGVPHPNSGRIEASIARDPNNRIRMVAIAGSGHRYARNAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSKYHSALSDLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLGELRRVTSGD >PVH47239 pep chromosome:PHallii_v3.1:4:799001:801318:1 gene:PAHAL_4G011900 transcript:PVH47239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVQRESRGGGAGVPEWRPRRDPPGGGRARRGGALADRRLDIGAVGRRGCEPRARAGQHPRGTRRRQWPPGLQGNANGTLVNAILHHCRISTFTCLARNSSGDECPDSSDDDIDVFDVDQFATEDVSSEVRDALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPHPNSGRIEASIARDPNNRIRMVAIAGSGHRYARNAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSKYHSALSDLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLGELRRVTSGD >PAN22325 pep chromosome:PHallii_v3.1:4:798835:801805:1 gene:PAHAL_4G011900 transcript:PAN22325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAPPPPAIAATFSALLRRHAVRTCRIRPFYARCVASNARAEAAEPECRSGGRGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHMVKGGDLVSCTVSELQPLRAEAEDIPLDIAYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCRISTFTCLARNSSGDECPDSSDDDIDVFDVDQFATEDVSSEVRDALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPHPNSGRIEASIARDPNNRIRMVAIAGSGHRYARNAASTK >PAN22326 pep chromosome:PHallii_v3.1:4:798835:801805:1 gene:PAHAL_4G011900 transcript:PAN22326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAPPPPAIAATFSALLRRHAVRTCRIRPFYARCVASNARAEAAEPECRSGGRGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHMVKGGDLVSCTVSELQPLRAEAEDIPLDIAYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCRISTFTCLARNSSGDECPDSSDDDIDVFDVDQFATEDVSSEVRDALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPHPNSGRIEASIARDPNNRIRMVAIAGSGHRYARNAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSKYHSALSDLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLGELRRVTSGD >PAN22796 pep chromosome:PHallii_v3.1:4:2908336:2909781:1 gene:PAHAL_4G045200 transcript:PAN22796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSVPSQAIPVSEDDYCNSSSAPADAAGSSSPAVAKLRKLLFRQMLIGVNDGRYFLGLFHCIDKQGNIILQDAVEYRSARHSSPPTEQRCLGLILIPAACRSSCQVDCSIEEKMSLLCLE >PAN22797 pep chromosome:PHallii_v3.1:4:2908336:2909782:1 gene:PAHAL_4G045200 transcript:PAN22797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSVPSQAIPVSEDDYCNSSSAPADAAGSSSPAVAKLRKLLFRQMLIGVNDGRYFLGLFHCIDKQGNIILQDAVEYRSARHSSPPTEQRCLGLILIPAACRSSCQVDCSIEEKMSLLCLE >PVH48401 pep chromosome:PHallii_v3.1:4:50447602:50449787:-1 gene:PAHAL_4G323800 transcript:PVH48401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDDVQAAKKFYKEYAHDLGFSVRTGQQKLDDNGVVMWKRFLCAREGYKTEKEAGSSGSSSKGRRSRESRCGCQAYIYIKRTPEGKYIIAALFEGHNHAFVTPSKHHLLRSNRYVSEKAKTTLFNCHKSSIGTSQTYRLLQVGAGGFEYVGCTKKDLQNYYSDFRNKIKDAEAFMFIENLRTLKDLDPNFFFEYEVKDGRLFQVFWADTISSKNYIHFGDILSFDTTYSTNQYDMKFAPFNGVNHHMRSIFFGAAFLADEKIESYVWLFRTFLRDMRGKAPAFIVTDEDASIRAGIANVLPNTVHRLCMWHIMKKLSEKIDANLLNEDEFRKMINSCVWGSETIEEFESRWQACIAKYHLENNDRLDGRYQIRESWIPAYFKEIWLGGILRTTSRSKSANSFFSRFIGRKLALVEFWLRFDTALKCQRQDELIDDNTSMHTNPKLFTLWELERHGGSVFTHEVFRKFQEELLAAREHCDVQNRTEMEDRTIVKVVDNSNRIREVICFTTEQVHKCSYMLFESIGIPCRHIIRVLRCARIRELPMCYITNRWTKNCKREDAYDSEGNLLIEKSTGYMEDTTRRKMVSAHNKFEDVFQMAKTSDEGLDILIQNLESLSLLFQPSSRTRQEEQETFIGMSIPENVQVHPSSDIRSKGKCKRILGHADKNRRTQSSGPRKCTICKGVGHDRRNCPNKDADIV >PAN24244 pep chromosome:PHallii_v3.1:4:18657982:18662228:-1 gene:PAHAL_4G156400 transcript:PAN24244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRRDAQRFPQQNRGIRHSGHQKIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTEGMIAHFKAVLPMGPTIIYNAPSRTGQDIPPPVIEALSSHPNIAGVKECVGHERVKCYTDKGISVWSGNDDECHDSRWKYGAIGVISVTSNLVPGLMHSLMHEGENATLNEKLLPLIKWLFCEPNPIPLDTALAQLGVARPVLRLPYKALPLEKRVEFVRIVDAIGREHFIGNREARVLDDEDFVSICR >PAN24248 pep chromosome:PHallii_v3.1:4:18658075:18662184:-1 gene:PAHAL_4G156400 transcript:PAN24248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQMLLGTLRTNVLTDPTRATGGRAASPRSSARIGRGKIALAAISDDYLPMRSTESKNRTSKGDITSLRLITAVKTPYLPDGRFDLEAYDYLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTEGMIAHFKAVLPMGPTIIYNAPSRTGQDIPPPVIEALSSHPNIAGVKECVGHERVKCYTDKGISVWSGNDDECHDSRWKYGAIGVISVTSNLVPGLMHSLMHEGENATLNEKLLPLIKWLFCEPNPIPLDTALAQLGVARPVLRLPYKALPLEKRVEFVRIVDAIGREHFIGNREARVLDDEDFVSICR >PAN24245 pep chromosome:PHallii_v3.1:4:18657980:18662229:-1 gene:PAHAL_4G156400 transcript:PAN24245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQMLLGTLRTNVLTDPTRATGGRAASPRSSARIGRGKIALAAISDDYLPMRSTESKNRTSKGDITSLRLITAVKTPYLPDGRFDLEAYDYLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTEGMIAHFKAVLPMGPTIIYNAPSRTGQDIPPPVIEALSSHPNIAGVKECVGHERVKCYTDKGISVWSGNDDECHDSRWKYGAIGVISVTSNLVPGLMHSLMHEGENATLNEKLLPLIKWLFCEPNPIPLDTALAQLGVARPVLRLPYKALPLEKRVEFVRIVDAIGREHFIGNREARVLDDEDFVSICR >PAN24249 pep chromosome:PHallii_v3.1:4:18658075:18662184:-1 gene:PAHAL_4G156400 transcript:PAN24249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRRDAQRFPQQNRGIRHSGHQKIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTEGMIAHFKAVLPMGPTIIYNAPSRTGQDIPPPVIEALSSHPNIAGVKECVGHERVKCYTDKGISVWSGNDDECHDSRWKYGAIGVISVTSNLVPGLMHSLMHEGENATLNEKLLPLIKWLFCEPNPIPLDTALAQLGVARPVLRLPYKALPLEKRVEFVRIVDAIGREHFIGNREARVLDDEDFVSICR >PVH47803 pep chromosome:PHallii_v3.1:4:18658624:18659574:-1 gene:PAHAL_4G156400 transcript:PVH47803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLCPMHRTSKGDITSLRLITAVKTPYLPDGRFDLEAYDYLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTEGMIAHFKAVLPMGPTIIYNAPSRTGQDIPPPVIEALSSHPNIAGVKECVGHERVKCYTDKGISVWSGNDDECHDSRWKYGAIGVISVTSNLVPGLMHSLMHEGENATLNEKLLPLIKWLFCEPNPIPLDTALAQLGVARPVLRLPYKALPLEKRVEFVRIVDAIGREHFIGNREARVLDDEDFVSICR >PAN24246 pep chromosome:PHallii_v3.1:4:18658624:18659442:-1 gene:PAHAL_4G156400 transcript:PAN24246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTEGMIAHFKAVLPMGPTIIYNAPSRTGQDIPPPVIEALSSHPNIAGVKECVGHERVKCYTDKGISVWSGNDDECHDSRWKYGAIGVISVTSNLVPGLMHSLMHEGENATLNEKLLPLIKWLFCEPNPIPLDTALAQLGVARPVLRLPYKALPLEKRVEFVRIVDAIGREHFIGNREARVLDDEDFVSICR >PAN26093 pep chromosome:PHallii_v3.1:4:51987143:51988892:-1 gene:PAHAL_4G347000 transcript:PAN26093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLPLLLLLLIGSSPTTRAAANGDLQTSLKPHAACSSGQVMPRDDRTRVPLYRHPGPCSPPSSFTGAAKATPSLADLLRRDQLRVDHIHWRLSESEGVGVSEGSVKEPVRFGVAYLHDQPVIQVRIGSESKGSEQQQQQQRSPPGVVQTVVLDTASDVPWVQCAPCPVPPCHPQADSTYDPARSSTYDAVSCGSPACEQLGRLYGGGCANGQCRYRVPFPNSNLSSSSGTYGTDLLAVGPNSGITFKFGCSHAEAGQGGSSDSDNTTAGVMALGGGPESLVSQAAANYRNAFSYCIPAATERRPAGFFVLGAPPSADSSAYVATPMLRYPRVPTFYLVRLQGITVNGQLLNVTPSVFATGTVLDSRTAVTRLAPTAYRALREAFRGWMAVYTAAPPRGSLDTCYDFSGAFFVAPPRIELVLERGNVLELDRSGVLFHDCLAFAPNSDDRMLGILGNVQQQTMEVLYDVGGGAVGFRRGAC >PAN26092 pep chromosome:PHallii_v3.1:4:51986754:51988929:-1 gene:PAHAL_4G347000 transcript:PAN26092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLPLLLLLLIGSSPTTRAAANGDLQTSLKPHAACSSGQVMPRDDRTRVPLYRHPGPCSPPSSFTGAAKATPSLADLLRRDQLRVDHIHWRLSESEGVGVSEGSVKEPVRFGVAYLHDQPVIQVRIGSESKGSEQQQQQRSPPGVVQTVVLDTASDVPWVQCAPCPVPPCHPQADSTYDPARSSTYDAVSCGSPACEQLGRLYGGGCANGQCRYRVPFPNSNLSSSSGTYGTDLLAVGPNSGITFKFGCSHAEAGQGGSSDSDNTTAGVMALGGGPESLVSQAAANYRNAFSYCIPAATERRPAGFFVLGAPPSADSSAYVATPMLRYPRVPTFYLVRLQGITVNGQLLNVTPSVFATGTVLDSRTAVTRLAPTAYRALREAFRGWMAVYTAAPPRGSLDTCYDFSGAFFVAPPRIELVLERGNVLELDRSGVLFHDCLAFAPNSDDRMLGILGNVQQQTMEVLYDVGGGAVGFRRGAC >PAN22597 pep chromosome:PHallii_v3.1:4:1910993:1913630:-1 gene:PAHAL_4G030100 transcript:PAN22597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRKCGGTASTDTGARYLIRRPKLGGLPGRTDRPAPRGVGIRAPQLLSSRATDGQKFLPAHTGGPNYPVELGRLDGMVGTRAVVKHSLPGAGFDLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYPFKGGRGAGGGPPMNLYFLRQMRQTCPLNYSPSAFAMLDAVSPRKFDNGYYQTLQQMKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAMAKLGRVGVKTGSDGEIRRVCTKVN >PAN22596 pep chromosome:PHallii_v3.1:4:1910993:1915194:-1 gene:PAHAL_4G030100 transcript:PAN22596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTRLSPPSLRRSVLAAAVVALTAAWCAAAQLSQSYYASTCPNVETLVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLLSGPDDEHSAGADTTLSPDALDLITRAKAAVDGDPQCANKVSCADILALAARDVVSQTGGPNYPVELGRLDGMVGTRAVVKHSLPGAGFDLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYPFKGGRGAGGGPPMNLYFLRQMRQTCPLNYSPSAFAMLDAVSPRKFDNGYYQTLQQMKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAMAKLGRVGVKTGSDGEIRRVCTKVN >PAN24108 pep chromosome:PHallii_v3.1:4:22964856:22965657:1 gene:PAHAL_4G169500 transcript:PAN24108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESQRHSYHLVDPSPWPILVSLGALATTVGGVMYMHSFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEIGDIWPPKGIGVLDPWKIPLLNTPILSSSGEAVTWAHHAILAGKEKRAVYALVTTVSLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKKHHIGFEAAAWYWHFVDVVRLFPFVSIMVLCWWS >PAN22853 pep chromosome:PHallii_v3.1:4:3184282:3186577:-1 gene:PAHAL_4G049200 transcript:PAN22853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-4 [Source:Projected from Arabidopsis thaliana (AT1G30690) UniProtKB/Swiss-Prot;Acc:Q94C59] MAVEVVSGNGGEAVAPAPAKEVSAKVEAKEAAAVTKNASFREESNFLDDLKESERKALAELRDKVEAAILEGKLFDDGKPEVKEKEEAKKKAEKATEEKKEEEEGKKEPEDVEKKEEGDGKKEAEAEGKQEEEESKKEAEKETEEEEGKKEEAGEAEKVAAAAEEKPAETPGVVVDKDIALWGVPLLPSKGDEATDVVLLKFLRARDFKAGAAFEMLRRTLRWRRDWTGFDADADAEADLPEELAGACYLDGADREGHPVCYNALGVFADDAVYKKALGTEEGKARFLRWRVRAMERHVAELDFKPGGAASLLQVTDLKNSPGPAKKDFRVAVKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFLTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDGDTEFSADDGEVTELTVKGSSTETIEIEATEADATLTWDLTVLGWEVNYKEEFVPADEGSYTIIVRKGKKMGAGEEAVRNSFRAGEPGKVVLTVENTSHKKKKVLFRHKTRSPCAKKC >PAN24693 pep chromosome:PHallii_v3.1:4:44218780:44227159:-1 gene:PAHAL_4G244900 transcript:PAN24693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTQTLQGKVMASYAPSSFSIRQQMSPSDFEVLQLDNMDQSTSPIRGIIIQKIASCLKMREQFAKCSPDYLLKISRNIDELLFKSAPKVHYMDLSTLEVRVNYLLSSVSYRNHKDPWISSAASPTTNLHQLPEKQMTDSSVYHNRFDPAFTNLPACARDVHTHTMFASQRYLAYNHNVAAANFATERPESFKSTIVAPCVSALPKCSSGLGGIASAGLPNGHVKNHFPGDAHPVESPISSMSGSSSPLSAVCDPTTSSSAMIRSSVDSISKASGQKLSAGSDSTSEGQSFQQYREYEKKLDGAWSQPVEHSIHSDSTTERHEMYLDGQFQLDRCVEMKEKYWRMSDCEDLCREKYSSLSNPSAQYQCYFVTDCDPSDPERERIERSEWTSTSTVSKPTSPVSDESYGKRPAKRLKADVPNLVNVNQVESPKDQKPVVHENHACGETVQPEITELPTKLPCSSLGDINADTDNMLEQGSEDVHNMEIVTEELHCVKAEIEMTDSKTAALDQTASGVNLSSRKKRGASILYALTAEELRDHMSSLINQHTCLGKLTSQEIQSNEVLPDPNACNLCGMEKLLFEPPPRFCALCLKIINSTGCYYAEVENGKDKTSICSKCHHLSSSRAKYVKRSDYAETDAEAEWWVQCDKCKAWQHQICALFNRKCEGAKAEYTCAKCFLKEKDSGDIHAQEASSVLGARELQRTKLSDHIQQRLSERLEQDRQQRASASGKATEEVPRVEGLTVRVVSSTDRVLQVQPHFHEFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSECPPPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLSDFFLQPTNECKANISAACLPYCENDFWPGEVERLLEKKDDKTSQKKETQVGRLLRVAKRDDRKGNLEDILLVHKLGERMRTMKEDFMMLCLQQFCKHCHQPIVSGRSWVCTSCKNFHLCDKCHAEEKNTAQKDRHPATTKQKHAFQRREVEPLPETEDGDPTIESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSTCSACHHAVDHCLVWRCLECLGCTFCDPCYKQNGERLHIHELRKIDTSKKNTMQGYVECLGHASRCFDPHNCSLQVCLTLKKLFFHGVRCDIRTRNQGGCNKCVFMWKLLLHHSKGCNDTDCTVPRCRDIKEYMVEKMKVAESCVVAGQQIRSRHLV >PAN24694 pep chromosome:PHallii_v3.1:4:44218736:44227228:-1 gene:PAHAL_4G244900 transcript:PAN24694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTQTLQGKVMASYAPSSFSIRQQMSPSDFEVLQLDNMDQSTSPIRGIIIQKIASCLKMREQFAKCSPDYLLKISRNIDELLFKSAPKVHYMDLSTLEVRVNYLLSSVSYRNHKDPWISSAASPTTNLHQLPEKQMTDSSVYHNRFDPAFTNLPACARDVHTHTMFASQRYLAYNHNVAAANFATERPESFKSTIVAPCVSALPKCSSGLGGIASAGLPNGHVKNHFPGDAHPVESPISSMSGSSSPLSAVCDPTTSSSAMIRSSVDSISKASGQKLSAGSDSTSEGQSFQQYREYEKKLDGAWSQPVEHSIHSDSTTERHEMYLDGQFQLDRCVEMKEKYWRMSDCEDLCREKYSSLSNPSAQYQCYFVTDCDPSDPERERIERSEWTSTSTVSKPTSPVSDESYGKRPAKRLKADVPNLVNVNQVESPKDQKPVVHENHACGETVQPEITELPTKLPCSSLGDINADTDNMLEQGSEDVHNMEIVTEELHCVKAEIEMTDSKTAALDQTASGVNLSSRKKRGASILYALTAEELRDHMSSLINQHTCLGKLTSQEIQSNEVLPDPNACNLCGMEKLLFEPPPRFCALCLKIINSTGCYYAEVENGKDKTSICSKCHHLSSSRAKYVKRSDYAETDAEAEWWVQCDKCKAWQHQICALFNRKCEGAKAEYTCAKCFLKEKDSGDIHAQEASSVLGARELQRTKLSDHIQQRLSERLEQDRQQRASASGKATEEVPRVEGLTVRVVSSTDRVLQVQPHFHEFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSECPPPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLSDFFLQPTNECKANISAACLPYCENDFWPGEVERLLEKKDDKTSQKKETQVGRLLRVAKRDDRKGNLEDILLVHKLGERMRTMKEDFMMLCLQQFCKHCHQPIVSGRSWVCTSCKNFHLCDKCHAEEKNTAQKDRHPATTKQKHAFQRREVEPLPETEDGDPTIESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSTCSACHHAVDHCLVWRCLECLGCTFCDPCYKQNGERLHIHELRKIDTSKKNTMQGYVECLGHASRCFDPHNCSLQVCLTLKKLFFHGVRCDIRTRNQGGCNKCVFMWKLLLHHSKGCNDTDCTVPRCRDIKEYMVEKMKVAESCVVAGQQIRSRHLV >PVH48035 pep chromosome:PHallii_v3.1:4:40872987:40873539:-1 gene:PAHAL_4G224300 transcript:PVH48035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRNGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN25905 pep chromosome:PHallii_v3.1:4:51087876:51089590:-1 gene:PAHAL_4G333500 transcript:PAN25905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGGLVRLLVTLILLLLAMLLAAASAVAEAATATAEVEVELAPATPAQNASEEAEQPQHLLPRPLIIEPPSSAAGAEEMLGEVPADAVAASLEVLVPAAPAQDASEEVAADAQQHLPRPLVIDLPSSSAAGPRDGDDVPVDVRCASWRLAAEANNLAPWKAVQAECAAHVQDYVTGVAYRSDLELVARESAAYARAAPLRGDGRDAWVFDVDETLLSNLPYYADHGLELFDHQKFDRWVERGEARAIPSSLKLYNEVRELGFKTFLLTGRSEGHQGVTMENLKKQGFHDWDKLILSSWLNQTHPE >PAN25903 pep chromosome:PHallii_v3.1:4:51087212:51089905:-1 gene:PAHAL_4G333500 transcript:PAN25903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGGLVRLLVTLILLLLAMLLAAASAVAEAATATAEVEVELAPATPAQNASEEAEQPQHLLPRPLIIEPPSSAAGAEEMLGEVPADAVAASLEVLVPAAPAQDASEEVAADAQQHLPRPLVIDLPSSSAAGPRDGDDVPVDVRCASWRLAAEANNLAPWKAVQAECAAHVQDYVTGVAYRSDLELVARESAAYARAAPLRGDGRDAWVFDVDETLLSNLPYYADHGYGLELFDHQKFDRWVERGEARAIPSSLKLYNEVRELGFKTFLLTGRSEGHQGVTMENLKKQGFHDWDKLILRAACDREKTATVYKSEKRKEMEEEGYRILGNSGDQWSDLLGSPMSVRSFKLPNPMYYIL >PAN25904 pep chromosome:PHallii_v3.1:4:51087212:51089905:-1 gene:PAHAL_4G333500 transcript:PAN25904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGGLVRLLVTLILLLLAMLLAAASAVAEAATATAEVEVELAPATPAQNASEEAEQPQHLLPRPLIIEPPSSAAGAEEMLGEVPADAVAASLEVLVPAAPAQDASEEVAADAQQHLPRPLVIDLPSSSAAGPRDGDDVPVDVRCASWRLAAEANNLAPWKAVQAECAAHVQDYVTGVAYRSDLELVARESAAYARAAPLRGDGRDAWVFDVDETLLSNLPYYADHGLELFDHQKFDRWVERGEARAIPSSLKLYNEVRELGFKTFLLTGRSEGHQGVTMENLKKQGFHDWDKLILRAACDREKTATVYKSEKRKEMEEEGYRILGNSGDQWSDLLGSPMSVRSFKLPNPMYYIL >PAN25906 pep chromosome:PHallii_v3.1:4:51087876:51089590:-1 gene:PAHAL_4G333500 transcript:PAN25906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGGLVRLLVTLILLLLAMLLAAASAVAEAATATAEVEVELAPATPAQNASEEAEQPQHLLPRPLIIEPPSSAAGAEEMLGEVPADAVAASLEVLVPAAPAQDASEEVAADAQQHLPRPLVIDLPSSSAAGPRDGDDVPVDVRCASWRLAAEANNLAPWKAVQAECAAHVQDYVTGVAYRSDLELVARESAAYARAAPLRGDGRDAWVFDVDETLLSNLPYYADHGYGLELFDHQKFDRWVERGEARAIPSSLKLYNEVRELGFKTFLLTGRSEGHQGVTMENLKKQGFHDWDKLILSSWLNQTHPE >PAN25421 pep chromosome:PHallii_v3.1:4:48786852:48791669:-1 gene:PAHAL_4G300000 transcript:PAN25421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATAAAAFTSLLPAGASRLPSAAGAGAAASFVRLPRHPTGWAGASVAAPRTARRRAPGIAYATAATEKSIYDYTVKDIDGKDVPLKRFKNKVLLIVNVASQCGLTTANYTELSHIYEKYKTQGFEILAFPCNQFGAQEPGSNSQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLIVA >PVH48289 pep chromosome:PHallii_v3.1:4:48786852:48791533:-1 gene:PAHAL_4G300000 transcript:PVH48289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATAAAAFTSLLPAGASRLPSAAGAGAAASFVRLPRHPTGWAGASVAAPRTARRRAPGIAYATAATEKSIYDYTVKDIDGKDVPLKRFKNKVLLIVNVASQCGLTTANYTELSHIYEKYKTQGFEILAFPCNQFGAQEPGSNSQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLIVA >PAN24719 pep chromosome:PHallii_v3.1:4:44579893:44582817:-1 gene:PAHAL_4G247600 transcript:PAN24719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLLQAPAAGAAAGAVGSVPNTLATPGSGLPPSSSSPLTPTGSTPPPTDTSSTPSTPPPSPSSNPPPPSPSSPTPPPPSPSEPPPSPPEAAPPPPAPVASPPPPEASPPPPPAELPPPPPAPVPTTSPPPPPAVAPPPPDNAAPPPSSTQAPPPPSAPPPQRSHATPPSSSPSPPPPAAQPTASPVAATPPPPAHPTVDYAPPPPTRTSSTTATHSPAAVDSGATPPPSSSSGLSSGATAAVAVVVVIVVLGFAGMFVCLSKRRKRKQAARYYAGFAVPSYTPQHLSGEAPFLRPPSAPGSMNFSMSAGGGPGMSAPMSQGYGQQQWAPSANYSATMGSQGPARSVATTASGDLSVGNTKAFSFDELYDITGGFARENVLGEGGFGCVFKGTLGDGKVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDYVSNNTLHHHLHGRGRPVMDWPTRVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDQFEAQVADFGLARLAENDVTHISTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWSRPLLNRAIENQEFDELVDPRLDGNFDDVEMFRAIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYSQQSRSSIGSRRDF >PAN22818 pep chromosome:PHallii_v3.1:4:3031528:3036042:-1 gene:PAHAL_4G046600 transcript:PAN22818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAFSASKPSPSGRDKGRRKGAAEQLLTDQALSLRARLHGALALGLTKSDGHGAKKWQSTDAGIQSHVLKAVAAFVGSLSNEALRLAPVKESISDILLALEGILKTHNVSVLIQAADVSLRLVSSIGNSVRQYPILEMVSSLSCQLSADQLRIAVPCASALTCILNSLVTARTSTQAEIWEALEKTNAVASVISALQNYTHDVHPLNYLTEMISLLRSILWIWPSSRYHVWSNCNLMAKLAQYCLSAETTVAAKILKLYAALALCGNGVMVLLKDEELIPKICDLMGKSHPSVTRIEALKLCQVLLRSPRGCNQLITSHYHPIVQGIINAMSEIDEKSLVTEGCRTALLALRYHGNHHRCFWSNSIDEVLYKILAGSCSSEHQTHQMLCHGELFNKDSKDIMNIDPYVWDILGYLSVHCNNEYLSVRKRKNNFLQALISCACSLATDITQRNSSTKFSKEELEPALRAILMMLLSPSQYIFSEASSKFLEVVLPLGDECMNILLSSLESNVIRNLTASFDCVKIMNNLMNLACLVIVQSNHSLNKRSVVGVLSTIIKECLHNRLYITRSNNASHLQFCFDGGSCCYLAEEWEGENVILFYGLVVLYNVLRRVSLVCIHCEKNLDGGIVCHDCREYYNEGLIRVLEHALGQNLSPGPKSYIAHILSLFGLCGFPSKLGAKMRSALCDNELVDLELLLADSEPLSAHAAILSVRCSKLLPSGKSLVHDGKITYEGSRRSLYHVRMSDRVDSHALKKILEYAYAGFVTVDADTVKPVKTLAKFCHLKSLQEMLQREQPRWSSDCPRYDLTAAVEPAEHSFSDIILEAQSNEKMECHHGSCELSTPHVHSHKIVLSMTCDYLRALFQSGMHESFAEAIRVPVRWGALDKLVQWFYKGELPRIAPDCRWKNMNAEEQLSHLKPYVELSSLAEFWFLEGVKEESLEVVAACLNSSTNASLEFIGFAANLGQWDLVEAAIGSVAHLYPRLRDSGQLEQLDEDVLNMLRAEYVRYSQHRGGSY >PVH48567 pep chromosome:PHallii_v3.1:4:52863348:52863653:-1 gene:PAHAL_4G360000 transcript:PVH48567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTTLRGKKIIPTPTSKVPKFHLSILYIQVPMRNQAKAFSSLRQTGYSTAPVFFVASYVPYQASLSCPEIETTCQSVRHPSMYHPEIANDHLKISTIHKN >PAN25392 pep chromosome:PHallii_v3.1:4:48682999:48685678:1 gene:PAHAL_4G298200 transcript:PAN25392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKWRTASLIYEDSTYGAGITPELVYTFQGYNTRITDSLGLPIDATESYLDTLLYYLKENSTRVFIVHMLPDLAARVFHRASVANMMSDGYVWIATAGIGSAVDSLGPDKFDDMQGVVTFRSYVPATDRVMNFTVRFKERFLLENSGIRDVPNPSAPLLWAYDTAWSLAAAINKYSVSSSTPGRTLLGAVLNTTFDGLAGRFRLVNGQLQLSTYEVINIIGKSARTVGFWTPESGIFKNLKATNEKGIKQILWPGDLAIAPRGWSMSSTGRPLRIVVPSRHGFNQLVEVSYSLRTNTSFVTGYCIDVFDVLMKSLPYPVAYQYVPSTNNGSYENLLSLVFEKKADVMVGDTTISMSRMNKVAFTMPFTDTGLSMIVVLKKDSSRSMWIFLQPLTSTLWITSLAFFFLTGFVVWAIEHRINPEFHGTPWQQFGIIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQRLQPTVTSVQDLLRNGDRVGYQSDSTVKYWLEEMGFHKKDLLGYVTVEEYAEALQRGSGNGGVSAIVDEVPYLKIFLSKYCEGYTMVGPTYKLGGFGFAFPIGSPMVHDVSQAIVTPAVQQEMARIERKWFGDPGACESKSDGINSSRLSFSNFGGLFLVTGITSGLSFS >PVH48280 pep chromosome:PHallii_v3.1:4:48682999:48685678:1 gene:PAHAL_4G298200 transcript:PVH48280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKWRTASLIYEDSTYGAGITPELVYTFQGYNTRITDSLGLPIDATESYLDTLLYYLKENSTRVFIVHMLPDLAARVFHRASVANMMSDGYVWIATAGIGSAVDSLGPDKFDDMQGVVTFRSYVPATDRVMNFTVRFKERFLLENSGIRDVPNPSAPLLWAYDTAWSLAAAINKYSVSSSTPGRTLLGAVLNTTFDGLAGRFRLVNGQLQLSTYEVINIIGKSARTVGFWTPESGIFKNLKATNEKGIKQILWPGDLAIAPRGWSMSSTGRPLRIVVPSRHGFNQLVEVSYSLRTNTSFVTGYCIDVFDVLMKSLPYPVAYQYVPSTNNGSYENLLSLVFEKKADVMVGDTTISMSRMNKVAFTMPFTDTGLSMIVVLKKDSSRSMWIFLQPLTSTLWITSLAFFFLTGFVVWAIEHRINPEFHGTPWQQFGIIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQRLQPTVTSVQDLLRNGDRVGYQSDSTVKYWLEEMGFHKKDLLGYVTVEEYAEALQRGSGNGGVSAIVDEVPYLKIFLSKYCEGYTMVGPTYKLGGFGFAFPIGSPMVHDVSQAIVTPAVQQEMARIERKWFGDPGACESKSDGINSSRLSFSNFGGLFLVTGITSGLSFS >PVH48279 pep chromosome:PHallii_v3.1:4:48682999:48685678:1 gene:PAHAL_4G298200 transcript:PVH48279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKWRTASLIYEDSTYGAGITPELVYTFQGYNTRITDSLGLPIDATESYLDTLLYYLKENSTRVFIVHMLPDLAARVFHRASVANMMSDGYVWIATAGIGSAVDSLGPDKFDDMQGVVTFRSYVPATDRVMNFTVRFKERFLLENSGIRDVPNPSAPLLWAYDTAWSLAAAINKYSVSSSTPGRTLLGAVLNTTFDGLAGRFRLVNGQLQLSTYEVINIIGKSARTVGFWTPESGIFKNLKATNEKGIKQILWPGDLAIAPRGWSMSSTGRPLRIVVPSRHGFNQLVEVSYSLRTNTSFVTGYCIDVFDVLMKSLPYPVAYQYVPSTNNGSYENLLSLVFEKKADVMVGDTTISMSRMNKVAFTMPFTDTGLSMIVVLKKDSSRSMWIFLQPLTSTLWITSLAFFFLTGFVVWAIEHRINPEFHGTPWQQFGIIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQRLQPTVTSVQDLLRNGDRVGYQSDSTVKYWLEEMGFHKKDLLGYVTVEEYAEALQRGSGNGGVSAIVDEVPYLKIFLSKYCEGYTMVGPTYKLGGFGFAFPIGSPMVHDVSQAIVTPAVQQEMARIERKWFGDPGACESKSDGINSSRLSFSNFGGLFLVTGITSGLSFS >PAN22744 pep chromosome:PHallii_v3.1:4:2610619:2616564:-1 gene:PAHAL_4G042400 transcript:PAN22744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPMGALRLMLPLLVLLAAAARAVAAAGTRPSEVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVLAGTQLKLITQDTNCSGFLGTIEALQLMEQNVVAVMGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVEYYQWKEVTAIFVDDDYGRGGVSALGDALAEKRARISYKAAIPPNSNTDMINDVLFRANMMESRVMVVHVNPDTGMSIFSIANKLQMLARGYVWIVTDWLAAVLDSSASRDLKYMSHIQGLIVLRQHTPESAAKNKFISKWNNVARNRSITSGLNSYGFYAYDSVWAVARGINQFLNSGQQINFSTDPRLHDSNGSTLQLSTLKIFDGGEQMLQQLLLTNFTGLTGPVQFNSDRNLVRPAYDILNIGGSGSQLIGYWSNYSGLSVTAPEILYQKPPNTSSIVQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPIKASFKELVAGGRDPNNVTGYCIDIFNAAINLLPYPVPCQFVTIGDGTKNPNYDDIINMVAANSLDAAVGDFAIVRNRTKIAEFTQPYIESGLVIVAPVKQATSSAWAFLKPFTLEMWCVTGALFLFVGIVVWILEHRTNEEFRGSPRRQVITIFWFSFSTMFFSHKHCKRTWAVRVDHMVVCCADHQFKLYC >PAN22742 pep chromosome:PHallii_v3.1:4:2611005:2615794:-1 gene:PAHAL_4G042400 transcript:PAN22742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPMGALRLMLPLLVLLAAAARAVAAAGTRPSEVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVLAGTQLKLITQDTNCSGFLGTIEALQLMEQNVVAVMGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVEYYQWKEVTAIFVDDDYGRGGVSALGDALAEKRARISYKAAIPPNSNTDMINDVLFRANMMESRVMVVHVNPDTGMSIFSIANKLQMLARGYVWIVTDWLAAVLDSSASRDLKYMSHIQGLIVLRQHTPESAAKNKFISKWNNVARNRSITSGLNSYGFYAYDSVWAVARGINQFLNSGQQINFSTDPRLHDSNGSTLQLSTLKIFDGGEQMLQQLLLTNFTGLTGPVQFNSDRNLVRPAYDILNIGGSGSQLIGYWSNYSGLSVTAPEILYQKPPNTSSIVQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPIKASFKELVAGGRDPNNVTGYCIDIFNAAINLLPYPVPCQFVTIGDGTKNPNYDDIINMVAANSLDAAVGDFAIVRNRTKIAEFTQPYIESGLVIVAPVKQATSSAWAFLKPFTLEMWCVTGALFLFVGIVVWILEHRTNEEFRGSPRRQVITIFWFSFSTMFFSHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGIDDLISSALPIGYQAGKFTRNYLIEELNIPESRLVPLNTIQEYADALKRGPKDGGVAAVVDEMPYVDIFLSYHCNFRVVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWFTRPSCSSDDSEVGATRLGLGSFWGLFLVCALICLFALLLFFIRVCWQYSKYSDSEAAGEPSAADADAAPTAADAAERQRRPSRLGSFKELIQFVDKKEEEVRRTMKRRSSEKDNQAAGSSDAQSAVSA >PAN22743 pep chromosome:PHallii_v3.1:4:2610619:2616564:-1 gene:PAHAL_4G042400 transcript:PAN22743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPMGALRLMLPLLVLLAAAARAVAAAGTRPSEVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVLAGTQLKLITQDTNCSGFLGTIEALQLMEQNVVAVMGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVEYYQWKEVTAIFVDDDYGRGGVSALGDALAEKRARISYKAAIPPNSNTDMINDVLFRANMMESRVMVVHVNPDTGMSIFSIANKLQMLARGYVWIVTDWLAAVLDSSASRDLKYMSHIQGLIVLRQHTPESAAKNKFISKWNNVARNRSITSGLNSYGFYAYDSVWAVARGINQFLNSGQQINFSTDPRLHDSNGSTLQLSTLKIFDGGEQMLQQLLLTNFTGLTGPVQFNSDRNLVRPAYDILNIGGSGSQLIGYWSNYSGLSVTAPEILYQKPPNTSSIVQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPIKASFKELVAGGRDPNNVTGYCIDIFNAAINLLPYPVPCQFVTIGDGTKNPNYDDIINMVAANSLDAAVGDFAIVRNRTKIAEFTQPYIESGLVIVAPVKQATSSAWAFLKPFTLEMWCVTGALFLFVGIVVWILEHRTNEEFRGSPRRQVITIFWTEHCKRTWAVRVDHMVVCCADHQFKLYC >PAN26007 pep chromosome:PHallii_v3.1:4:51550537:51551696:1 gene:PAHAL_4G341000 transcript:PAN26007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRELLTVAQRDRAQQAHAAFFFVYTFPARPAQHKTPHADHTRRLPRRLPSPPHAGAMSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGTSIWGTKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAG >PVH47457 pep chromosome:PHallii_v3.1:4:4394679:4397729:-1 gene:PAHAL_4G067100 transcript:PVH47457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEGATVKWTQNFHLRALRPKFRGHAPEILTPAAQIFGSLYMTSSVTPPSSPSPTTSACAAIVAILWGLQPSSYHPRRGAAILTILWRRRPSQFSLISETINPYELQRLRLCMRNKARLDALNIPSTGNEFNNIVAALKSTTASKNKVADDSETEYDPGNDSTGEGEQSDDEAQSALTRTAKKTSLNDRNPADISPCPPTKRLKQTRHYPAAAHQTTRVTRSQKASEPGAYVHPTSILPASVFTPPESLAKGVDSTQNTAQDDAIPQQDAQGAHDQCVQHDNERDRCIRGTNMGKGLQKITRSRRAKLPLVIKEGKTRPSVPLIAAKFATESNILVRNHLPMFPHWKEYKKQTQVLDQFMGGLKLKFDMDKNDGSVKHACGRMMQSAIRQQRYRLKKKYFTPFPLHLVPKTSPVKSLTDAQWNALVEHWKNPKNVETADKNKINCSQVKFHQATGSRSFEVHLENLGDKYKDEEPNAFDLFKDFHYSKKKGYAPAVQSAINELENKLLESTDSQNEQPAVNTILAEFLAEKTKNNQFLHNVGLAKVQRTAKAQQLEEQLAAEKSANAELQLLVSKQKEQLDVLAMKFQESEQARINDKAETDKKLEVLLSRLQSS >PAN23808 pep chromosome:PHallii_v3.1:4:9468835:9471171:-1 gene:PAHAL_4G119800 transcript:PAN23808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPARRRRLPLLLLALLLAALSSPCARAASERRRALLVAPPPAVAPGRAWQEFRVAPPTARPIGEWQRRVRRGRGGGTGAWTFSAMLPRGFVPPSGSSACHNDMPATAADANFFACGGAGAP >PAN24186 pep chromosome:PHallii_v3.1:4:24547986:24551110:-1 gene:PAHAL_4G173100 transcript:PAN24186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREEGAKEKVKLVAAVLALEMLIAGFHVVSRAALNMGVSKMAFLVYRNGSALAVVAPFAYFLEKKDRPPLTLLLMAEFFMLAAVGTTFTQGFYILGLYYLSPTYVSAIQNSVPAITFVMAAALRIEQVNIKSGHGVAKIAGTVATIAGATIITLYKGMTLTRDSEGTHKLKDINVITSPGFTWIAGCLIMFLNCLCLSGWMVLQVPVLKKYPAKLSFFTITLALGLIQLIAVAPFFESNIERWKVHSRGELFTILYAGIVVLGLAWYVMIWCISKGGPLFVSAFQPLQTVMVAILAAIFLGDRLYIGGVIGAVIIVGGLYCVLWAKGKETKSNSDLLAERSLARNLLHEESTYGSP >PAN24185 pep chromosome:PHallii_v3.1:4:24546201:24551232:-1 gene:PAHAL_4G173100 transcript:PAN24185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREEGAKEKVKLVAAVLALEMLIAGFHVVSRAALNMGVSKMAFLVYRNGSALAVVAPFAYFLEKKDRPPLTLLLMAEFFMLAAVGTTFTQGFYILGLYYLSPTYVSAIQNSVPAITFVMAAALRIEQVNIKSGHGVAKIAGTVATIAGATIITLYKGMTLTRDSEGTHKLKDINVITSPGFTWIAGCLIMFLNCLCLSGWMVLQVPVLKKYPAKLSFFTITLALGLIQLIAVAPFFESNIERWKVHSRGELFTILVLWYLVLPGML >PAN24346 pep chromosome:PHallii_v3.1:4:26908451:26912157:1 gene:PAHAL_4G180400 transcript:PAN24346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRGEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYQNQILPLIRKYKILHLNRTDARLANNGLPIEIQKLRCRVNYASLRFTPQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRYAYPWWKEKVIDSDLKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTVLLDRKLIVELVDRYTNGSLQWDEFSSLIKAAHAKRMGSASKRTVIPDRPKEEDYFYANPQECLQDRDLLQTS >PAN24347 pep chromosome:PHallii_v3.1:4:26908048:26912144:1 gene:PAHAL_4G180400 transcript:PAN24347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKVAPGDKAAAAAAGEKLRFPPSAAAARSRMKLWVVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCLTAPEEEAAAALPGAAAARPQPVVEKAALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRGEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYQNQILPLIRKYKILHLNRTDARLANNGLPIEIQKLRCRVNYASLRFTPQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRYAYPWWKEKVIDSDLKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTVLLDRKLIVELVDRYTNGSLQWDEFSSLIKAAHAKRMGSASKRTVIPDRPKEEDYFYANPQECLQDRDLLQTS >PAN25481 pep chromosome:PHallii_v3.1:4:49252502:49252899:1 gene:PAHAL_4G305200 transcript:PAN25481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKVFAWVLIVVFLVPGFMCFPRAPPNLLHGHSRWDWGEESTGRRRRRRRRRRMQVEEEEERTEQAVPRDEDEARGGGSRSAAAPTGGAEDSTSAAA >PAN26279 pep chromosome:PHallii_v3.1:4:52891481:52893043:1 gene:PAHAL_4G360400 transcript:PAN26279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAVFAPATAPLLHRRRNYSCGLARPSSSSWCGSARRRPVLASSCDGRAPLVPASDHWGNWTFLLSTAALGIWSEKRTPVGKALSGALVSVLLGLAASSAGLVAADAPAYRVVLDYLLPLAIPLLLFRADLRRVLRSTGPLLMAFLLGSAATTMGTVVAFLLFPMRSLGPDNWKIAAALMSRHIGGAVNYVAVSEALGVSPSVLAAGLAADNIICALYFTSLFALAAKIPADRPTVAGDDKASNKQLTAVAMAAAFGICKAGKLAAAALGVQGGSLPCITAIVVALATLFPSRIGELAPSGEAVAMILMQVFFAVVGANGSIGNVLSTTPSIFAFAFVQIAVHLLLTLAAGRLLGFDRKLLLIASNANVGGPTTACGMATAKGWSSLVVPGILAGILGIASATFMGIAFGVLLLKHM >PAN24455 pep chromosome:PHallii_v3.1:4:40262677:40264177:-1 gene:PAHAL_4G221700 transcript:PAN24455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKRYVAQFSRSLYVEYRSKGIDVQCQASNSHLLAN >PVH48020 pep chromosome:PHallii_v3.1:4:40259196:40264212:-1 gene:PAHAL_4G221700 transcript:PVH48020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKRYVAQFSRSLYVEYRSKGIDVQCQATSQACSLTNGHPQSSRPHLHSTARLAKQQGKDLAHTTVVPNCLCTSHKSKRFIACTYSSPTSRLVPCLEFDQSEATGRLKFVQSHPMFSQDSYSSLETGVVPPSLSLG >PVH48018 pep chromosome:PHallii_v3.1:4:40262151:40264211:-1 gene:PAHAL_4G221700 transcript:PVH48018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKRYVAQFSRSLYVEYRSKGIDVQCQGKDLAHTTVVPNCLCTSHKSKRFIACTYSSPTSRLVPCLEFDQSEATGRLKFVQSHPMFSQDSYSSLETGVVPPSLSLG >PVH48017 pep chromosome:PHallii_v3.1:4:40263418:40264177:-1 gene:PAHAL_4G221700 transcript:PVH48017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKR >PAN24454 pep chromosome:PHallii_v3.1:4:40259195:40264244:-1 gene:PAHAL_4G221700 transcript:PAN24454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKRYVAQFSRSLYVEYRSKGIDVQCQAPLFVDTKMASRVARARRFSPFVPTSDAYARAAVRWIGHGALCVPNAGHRVQRCLAAAVPDRVHDWLRLREHLRQRALFQRIRSARAPPRNDHKEEPPRHKF >PVH48019 pep chromosome:PHallii_v3.1:4:40263418:40264177:-1 gene:PAHAL_4G221700 transcript:PVH48019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKR >PAN24456 pep chromosome:PHallii_v3.1:4:40259195:40264244:-1 gene:PAHAL_4G221700 transcript:PAN24456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKRSPGAFTLNTEAKGLMCNARPRCSWTPRWRLGSPGPDASRRSCRRPTRTRGRRCAGSGTARSACPTPGTGSSGASPPRCRTASTTGSACASTSGSGRSSSGSGPQGLHRATTTRKNPRDTSFNGTIGLACLTVVSYSRFHCSRLASRSRRKGHSAAMGKI >PAN24461 pep chromosome:PHallii_v3.1:4:40262359:40264177:-1 gene:PAHAL_4G221700 transcript:PAN24461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKRYVAQFSRSLYVEYRSKGIDVQCQATSQACSLTNGHPQSSRPHLHSTARLAKQQVFVSTSLAILTPAANNSLLDRVRTWRILQWCPTVCVQAIKANASLLVHTVHLLADLYHVLNSIKVKPQAG >PAN24457 pep chromosome:PHallii_v3.1:4:40263025:40264177:-1 gene:PAHAL_4G221700 transcript:PAN24457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAADDLRQRCFLSLAVLGALYLAAVTLRLLDCLGLPSLLRRPTNLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLIGRDPDRLCDISDAITRNHAVQTKTVVFDLSLVSTTQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAVYLHEFDVEAWMRMVRVNLWALTEVAAAVLPGMAARGRGAVVNIGSGSTEAIPSFPLYTVYAATKRYVAQFSRSLYVEYRSKGIDVQCQVT >PAN23966 pep chromosome:PHallii_v3.1:4:29578332:29580293:1 gene:PAHAL_4G188700 transcript:PAN23966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKAEQQRRSRLRQRLLGLAADLPVQKLQLAVTVTPAAASLLPALAVAALILLLAAARRAPAPSSLDAYRSGVAVVVPPSAPGGGTPRGAGAAAAAPQVPPGCDIFRPGEWVPDGAAPYYTNLTCPLIQEHQNCMKYGRPDTGFLRWRWRPAGCDLPRFDAEAFLDAVRDTSMAFVGDSLARNHMQSLMCLLTKVAYPKDISKTADPEFRTMHYESHNFTVAIFWSPFLVRGYQPDPARHMWAIHLDEPDAAWVSGIAGFDRVILSAANWFARPAMFYEAGRVVGCHYCLAPGVPDLTHRHSLRMAFRAALRALTGPGSAFNGTVIMRTLSPTSHFEGGPWDRGGDCRRTRPLAASEARMAGLDLDFHTAQVEEFARAKAAAEAGGRAPRLVLMDTTAAMVLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDAWNEMLLQMLLQDPS >PVH47898 pep chromosome:PHallii_v3.1:4:27131718:27135884:-1 gene:PAHAL_4G180900 transcript:PVH47898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MVDRTRAVLIGVTAGVAAAVLAAACMLVAIWLYRRRASDAARTRSLESSSATLRADGACCASLDSSVSVSVVSESVADWGHPPPAKRAAFWAWRGGTGHNVREPPPLTVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEYMSNGSLARLLYGDNKRSLSWQERLQIAHDISHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTNKLTKKSDVYSFGIILFELITAINPQQGLMDYINLQLEGREGLIGMRYSTRTS >PVH47899 pep chromosome:PHallii_v3.1:4:27131718:27135884:-1 gene:PAHAL_4G180900 transcript:PVH47899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MVDRTRAVLIGVTAGVAAAVLAAACMLVAIWLYRRRASDAARTRSLESSSATLRADGACCASLDSSVSVSVVSESVADWGHPPPAKRAAFWAWRGGTGHNVREPPPLTVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEYMSNGSLARLLYGDNKRSLSWQERLQIAHDISHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTNKLTKKSDVYSFGIILFELITAINPQQGLMDYINLQLEGREGLIGMRYSTRTS >PAN24340 pep chromosome:PHallii_v3.1:4:27132058:27135125:-1 gene:PAHAL_4G180900 transcript:PAN24340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MVDRTRAVLIGVTAGVAAAVLAAACMLVAIWLYRRRASDAARTRSLESSSATLRADGACCASLDSSVSVSVVSESVADWGHPPPAKRAAFWAWRGGTGHNVREPPPLTVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEYMSNGSLARLLYGDNKRSLSWQERLQIAHDISHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTNKLTKKSDVYSFGIILFELITAINPQQGLMDYINLAAIGGEGRVDWDEILDKDLLVGNIPEEVRILADVAYRCVNKSPRKRPWISEVTQAISRLRQRQLTKHDALTLPRSETRTVLRRIEYQHVELSDLTSMKELTPIRA >PAN24339 pep chromosome:PHallii_v3.1:4:27132058:27135125:-1 gene:PAHAL_4G180900 transcript:PAN24339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MVDRTRAVLIGVTAGVAAAVLAAACMLVAIWLYRRRASDAARTRSLESSSATLRADGACCASLDSSVSVSVVSESVADWGHPPPAKRAAFWAWRGGTGHNVREPPPLTVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEYMSNGSLARLLYGDNKRSLSWQERLQIAHDISHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTNKLTKKSDVYSFGIILFELITAINPQQGLMDYINLAAIGGEGRVDWDEILDKDLLVGNIPEEVRILADVAYRCVNKSPRKRPWISEVTQAISRLRQRQLTKHDALTLPRSETRTVLRRIEYQHVELSDLTSMKELTPIRA >PVH47836 pep chromosome:PHallii_v3.1:4:20529941:20532001:-1 gene:PAHAL_4G162800 transcript:PVH47836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAGTKGRGGGGEMSWIGRKLFLYNVTIGLYAMDWWERYLFNSIVLILLWFFCYNTTKSMWQAFDIHLKSSVELGTSNYSMVALS >PAN24071 pep chromosome:PHallii_v3.1:4:33338556:33341570:1 gene:PAHAL_4G199500 transcript:PAN24071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKHLDRGGGGGGTELFICFTSRPSAASVAAAGAPSSLRPSNSSKLLSPGRGSAGAGAEAVPAPPLHPSLSRRLRNSGSLKGGQSPMFPSGSTGGGRRGRGGFEPAEPSSPKVTCIGQVRVKGGKRKPKHASAAALRSRSRRGGVGGGGSAEASFRRTGDDRDGPQGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRPGGAGERGALSADAHGGKKRRQRAPAGGSWLCGAAVARCLLTIQEEEDDEVAKGAAVVPAEEMRASDVGLVMEGWDVEEEEKAVMVGDVEVEKKDEILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAATVEQVGNDVAGGVDNDEEGGGEEEEEEAESEECKDEARHSVVSVKDVNCGECGGGDIGGGEAGEIDQAQAETEESSKCGDLVEEEKDVSCRVEVEEAQIVRKDSALEISLGEDTAVENQGLSMVELVVGKGEEDAPAQEKVEDEVKGRRSISSYSPSAGLKEDRNRLRRLSSRRRVSTNSRASSASDRVGRRHSFSAEMEERRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKGKKTSSEQELEKDCAVAVAPNSAEEGQESYDDGKEEETTKNGEEGETQGVETNQEVQKVKTRAEDAEAGPVVQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGKNNRNGHLQKASASGNDAATATGEPEDKENAEGSTVANVSQESKDQSVNLAAAPMPPPVAQKTPLKPGTTEQKMKLELPLVANAAAYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNAAGVGF >PAN22907 pep chromosome:PHallii_v3.1:4:3468554:3472478:-1 gene:PAHAL_4G052500 transcript:PAN22907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLPEMLQARFHIKEEPYVQGEASLCFTALGATFFFTGIFIFTELQAMVMACALNSISKKSTLLMLTAPVKTIGRIPASWGRHAWSIGREDPRRAVHALKAGTALTLVSLLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTVLAGSLALLIELVAAGTGKVFRAFIVGASVFIIGFAATYVRFFPTIKKSYDYGVLIFLLTFNLITVSSYRQNDVLPLTRDRLSTIAIGCAICLFMSLLVLPNWSGEDLHNSTVNKFEGLATSIEVCVNEYFRDQDKDDKVLDKQEARASIQIGYRAVLDSKSNDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRLLFRDPCTRVAREVVKVLQELAVSIRRHQRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKRACAANKHMLMELNSGSHTAPRATLHSFKTDATALSERKNTGAEQPPERNERGMLRPTLSKIAITSLEFSQALPFAAFASLLVEMVVRLELVIEEVKNLERAANFREFTGHDHLIIDLSSKEKTRNSNAAALNPVSAAAE >PVH47401 pep chromosome:PHallii_v3.1:4:3468554:3472704:-1 gene:PAHAL_4G052500 transcript:PVH47401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEPLQSCVARPRLRLHASGAGATGAGRSAEAGRSAELRHTKVIVHTGCLLYYLGEHLWKLGSLDHYKARFHIKEEPYVQGEASLCFTALGATFFFTGIFIFTELQAMVMACALNSISKKSTLLMLTAPVKTIGRIPASWGRHAWSIGREDPRRAVHALKAGTALTLVSLLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTVLAGSLALLIELVAAGTGKVFRAFIVGASVFIIGFAATYVRFFPTIKKSYDYGVLIFLLTFNLITVSSYRQNDVLPLTRDRLSTIAIGCAICLFMSLLVLPNWSGEDLHNSTVNKFEGLATSIEVCVNEYFRDQDKDDKVLDKQEARASIQIGYRAVLDSKSNDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRLLFRDPCTRVAREVVKVLQELAVSIRRHQRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKRACAANKHMLMELNSGSHTAPRATLHSFKTDATALSERKNTGAEQPPERNERGMLRPTLSKIAITSLEFSQALPFAAFASLLVEMVVRLELVIEEVKNLERAANFREFTGHDHLIIDLSSKEKTRNSNAAALNPVSAAAE >PAN25623 pep chromosome:PHallii_v3.1:4:49796400:49804914:1 gene:PAHAL_4G314200 transcript:PAN25623 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MSEDQSVLGRRRIYYDTSCGEALICSDSEDEAIEDEEEKKEFKHSEDCIIRMTVQECGMSDSVWQTLAQYLERATDDIKARYEILHGEKTQDSYKKVTEQNAKVEDLYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQPAWNGIADGVPCGRHCHKQASEPDSVTAIDHMLIDGEEPTHSSGNATNQPGTNRKKNGSSGRKTKSQQSETSSTARAVSESSDSEVHPISNKSPQPSPSPSKVKIGPKGGIRKITNRRIAERILMSVKKGQREMASSDSNSVSESPLAARDTRVLLRSDARNGNKESIVSSQYTPSTRSTRKKSTPQVGNSSASAEAQNDSMEEDGYDSSRKEEFVDENICKQEDNFRSWKPIEQGLLVKGLEIFGRNSCLIARNLLGGMKTCRDVFQYMNYVENSSASGTLSGVDSLVKGYIKGNELRSRSRFFRRRGKVRRLKYTWKSAGYHSIRKRITERKDQPCRQYNPCGCQSACGKQCPCLTNATCCEKYCGCSKICKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEFVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEDSRGKDDGQPSNGRAKKLAQNTRG >PAN25620 pep chromosome:PHallii_v3.1:4:49794561:49804914:1 gene:PAHAL_4G314200 transcript:PAN25620 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MEAAAAVSSASASASAGRSRPSTSAAQVTSITGVRAEEENAASPYVLSVIDSLKKRITADRLTYIKNRIGENKANLGTFTQRTYNLSKNRQNNTSKGTDLAPNLLTKRQDDALCTLHSVDICPADKDGSNFQDEGPFSSSNVILGGNISAKNAIRPIKLPEVSKLPPYTTWIFLDRNQRMSEDQSVLGRRRIYYDTSCGEALICSDSEDEAIEDEEEKKEFKHSEDCIIRMTVQECGMSDSVWQTLAQYLERATDDIKARYEILHGEKTQDSYKKVTEQNAKVEDLYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQPAWNGIADGVPCGRHCHKQASEPDSVTAIDHMLIDGEEPTHSSGNATNQPGTNRKKNGSSGRKTKSQQSETSSTARAVSESSDSEVHPISNKSPQPSPSPSKVKIGPKGGIRKITNRRIAERILMSVKKGQREMASSDSNSVSESPLAARDTRVLLRSDARNGNKESIVSSQYTPSTRSTRKKSTPQVGNSSASAEAQNDSMEEDGYDSSRKEEFVDENICKQEDNFRSWKPIEQGLLVKGLEIFGRNSCLIARNLLGGMKTCRDVFQYMNYVENSSASGTLSGVDSLVKGYIKGNELRSRSRFFRRRGKVRRLKYTWKSAGYHSIRKRITERKDQPCRQYNPCGCQSACGKQCPCLTNATCCEKYCGCSKICKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEFVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEDSRGKDDGQPSNGRAKKLAQNTRG >PAN25624 pep chromosome:PHallii_v3.1:4:49799150:49804914:1 gene:PAHAL_4G314200 transcript:PAN25624 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MTVQECGMSDSVWQTLAQYLERATDDIKARYEILHGEKTQDSYKKVTEQNAKVEDLYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQPAWNGIADGVPCGRHCHKQASEPDSVTAIDHMLIDGEEPTHSSGNATNQPGTNRKKNGSSGRKTKSQQSETSSTARAVSESSDSEVHPISNKSPQPSPSPSKVKIGPKGGIRKITNRRIAERILMSVKKGQREMASSDSNSVSESPLAARDTRVLLRSDARNGNKESIVSSQYTPSTRSTRKKSTPQVGNSSASAEAQNDSMEEDGYDSSRKEEFVDENICKQEDNFRSWKPIEQGLLVKGLEIFGRNSCLIARNLLGGMKTCRDVFQYMNYVENSSASGTLSGVDSLVKGYIKGNELRSRSRFFRRRGKVRRLKYTWKSAGYHSIRKRITERKDQPCRQYNPCGCQSACGKQCPCLTNATCCEKYCGCSKICKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEFVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEDSRGKDDGQPSNGRAKKLAQNTRG >PAN25584 pep chromosome:PHallii_v3.1:4:49648924:49654075:1 gene:PAHAL_4G311800 transcript:PAN25584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRKRHGGCGCWAAVARGLRGACFRPAAAAAGADGDGSGAAKASHVHDAAETRYLNASNRELGDHFQTNHDGANGVDASIEKKTPPKLLQFTFQELKSATLNFRPDSILGEGGFGYVFKGWIEPNSTAPAKPGTGVTVAVKSLKPDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPNRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLNDRRRLYQLVDPRLGLNYSVKGVQKVAQICHYCLSRDSKSRPSMDEVVKQLTPLQDLNDMASASLRPRSTQRGKVHR >PVH47934 pep chromosome:PHallii_v3.1:4:30579359:30580174:-1 gene:PAHAL_4G191900 transcript:PVH47934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRVGVNKVRAVETHVRVEGFKLSRIKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSILQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTKRDRVRNEEIRDRVRVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDKTVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH48473 pep chromosome:PHallii_v3.1:4:51277974:51278966:-1 gene:PAHAL_4G336500 transcript:PVH48473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVIPAPTLPCLVFDYDGEQQRTTLFSISDGAHRACEIEELRAELAHVARLGMLASDPATAATFLWNPPRAPGTAADRIALPPPLRSVCARSGDPCTAAGGRCTVLLAEPPQSTILWYCQAGGTAWTRHAYDLGSASIRVPEGNAWCKRTVNRLASCQGRFYYPHSSTQCGVIGFSPAGLPELSTVPMKMGGLMAAAATYIVEIGGDLHTVYVFRHGIDFTAVADVGVYRMDFARQEHVRVESIGDRAILAGSGSCFGGWCPGTEFGLLPNTVYCSVDDRLHVFDIEVGAEEVHEPCKGVAVPSRKPFWIIPAHP >PVH48166 pep chromosome:PHallii_v3.1:4:46204990:46207021:-1 gene:PAHAL_4G266100 transcript:PVH48166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPTPTPIPLTTAAELRQHHSQLVRLGLASHPAHARRLLSFLARDPDSHFPYAARLLAHHPDPHPALFNPLFPALPPRHAARLLALMLSLPLAPDHFTFPRLLPGAHPLHLVAQLHALLLKLGFHAHTQSLNALLAAYLANARPNLASRVFRGGGALDVVSWTTMVGGLCKLGLVDAAREVFDGMPERNLVSWNAMMSGYVKAGRFLDALEVFDEMRARGVEGNGFVAATAAVACTGAGALARGREVHRWVERTGVVMDERLATAVVDMYCKCGCVEEARRVFEALPAKGLTSWNCMIGGFAVHGRGEDAVELLGRMEREGVAPDDVTLVNVLTACAHAGMVGEGRRCFDHIVRRYGIEPKMEHYGCMVDLYGRAGQLGEAKKVIDDMPMEPDVGVLGALFGACRIHGDVDLGEAIGWRVIELDPQNSGRYVLLANLLATAGRWEDVARVRRLMDERNVSKEAGRSVIEVDGEVCEFQCGSLCHPQAEEVYAMARDMMMRIGAEGYVPDTRDVLHDIAEEEKETPLLYHSEKLAIAFGLLRTRPRDTMRITKNLRVCRDCHEATKFVSRVFEREIVVRDRNRFHHFKDGKCSCKDYW >PAN26003 pep chromosome:PHallii_v3.1:4:51603252:51610229:1 gene:PAHAL_4G341500 transcript:PAN26003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLERPRRRPPPDPAAVLRGHRAAVNDACFHPSLPLLFSGAADGELRAWDTASHRTASSVWAHGGTAGVYSVAAGAGLGNKIISQGRDGTCKCWVIEEAGLSRRPILTVKTSTYHFCKMSLVKSPSFTHSVRSGSSCETSDAEPPREATEENTESHVVNPTEGPQEHGEGITSTGQNMMAIAGQESSQVELWDITSARKIISLPQSSSANTKDHPTKQKGLCMAVQAFIPYESAGYVNILSSYEDGSTLWWDVRKPGLPISSVKYHSESALSIAIDASCNGGISGGADDKVVIFTLDHPKGAFTLRKEIELERPGIAGTTIRPDNKIAATAGWDHRIRVYNYNKGNALAVLKYHSDSCNAVTFSSDCKLMASCSTDTTVALWELYPPKPQSKLDAVKSDEVSC >PAN25755 pep chromosome:PHallii_v3.1:4:50440999:50443853:-1 gene:PAHAL_4G323600 transcript:PAN25755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MAAAHTVAATRQAAAAASALAAEACCIQYSVHHAHLAALLNPSPRSPPLPLPLRRRHLPLSLPAASRLAASFPPLPLLACLLRAVRLLPSPPPRPFDALIRSYASLPSSASLAAAALAFARSAGYAPSVPTYNAVLLALSDASLPAARRFLDSMLRDGVAPNVYTYNILVRALCGRGHREEALNIMRDMRGSGCAPNAVTYNTLVAAFCRAGEVDGAKRLVRMMREGGVTPNLVTFNSVVNGLCKAGRMEDARKVFDEMVSEGFAPDGVSYNTLVAGYCREGCLQEALSTFAEMMQKGVSPDVVTFTSLIHVMCRAGNLERAVALLRQMRERCIRMNEITFTALIDGFCKKGFLDDALLALKEMRECGIQPSLVCYNALINGYCIVGRMDEARELVGEMEAKGVKPDVVTYSTILSAYCKSGNTDSAFQVNKKMLEKGVFPDAITYSSLIRGLCEEKRLNDANALFENMIKLGLQPDEFTYTSLIDGHCKEGNVEKALSLHDEMIKIGVLPDVVTYSVLINGLSKSARIKEAQRLLFKLYHEDPVPANIKYDALMHCCRKAEFKSVLALLKGFCMKGLMDEADKVYQSMLDRNWKLDGSVYGVLVHGHCRGGNVIKALRFHKQMLQCGFSPNLTSTIFLIRGLFEKGMILEADKVTQQLLNCCSLADAEASKALIDLNMKEGNVDAVVDILHDMARDGLLPSPR >PAN25754 pep chromosome:PHallii_v3.1:4:50441443:50444019:-1 gene:PAHAL_4G323600 transcript:PAN25754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MAAAHTVAATRQAAAAASALAAEACCIQYSVHHAHLAALLNPSPRSPPLPLPLRRRHLPLSLPAASRLAASFPPLPLLACLLRAVRLLPSPPPRPFDALIRSYASLPSSASLAAAALAFARSAGYAPSVPTYNAVLLALSDASLPAARRFLDSMLRDGVAPNVYTYNILVRALCGRGHREEALNIMRDMRGSGCAPNAVTYNTLVAAFCRAGEVDGAKRLVRMMREGGVTPNLVTFNSVVNGLCKAGRMEDARKVFDEMVSEGFAPDGVSYNTLVAGYCREGCLQEALSTFAEMMQKGVSPDVVTFTSLIHVMCRAGNLERAVALLRQMRERCIRMNEITFTALIDGFCKKGFLDDALLALKEMRECGIQPSLVCYNALINGYCIVGRMDEARELVGEMEAKGVKPDVVTYSTILSAYCKSGNTDSAFQVNKKMLEKGVFPDAITYSSLIRGLCEEKRLNDANALFENMIKLGLQPDEFTYTSLIDGHCKEGNVEKALSLHDEMIKIGVLPDVVTYSVLINGLSKSARIKEAQRLLFKLYHEDPVPANIKYDALMHCCRKAEFKSVLALLKGFCMKGLMDEADKVYQSMLDRNWKLDGSVYGVLVHGHCRGGNVIKALRFHKQMLQCGFSPNLTSTIFLIRGLFEKGMILEADKVTQQLLNCCSLADAEASKALIDLNMKEGNVDAVVDILHDMARDGLLPSPR >PVH48399 pep chromosome:PHallii_v3.1:4:50441448:50443501:-1 gene:PAHAL_4G323600 transcript:PVH48399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MKWSVRGSRLMGAGNLERAVALLRQMRERCIRMNEITFTALIDGFCKKGFLDDALLALKEMRECGIQPSLVCYNALINGYCIVGRMDEARELVGEMEAKGVKPDVVTYSTILSAYCKSGNTDSAFQVNKKMLEKGVFPDAITYSSLIRGLCEEKRLNDANALFENMIKLGLQPDEFTYTSLIDGHCKEGNVEKALSLHDEMIKIGVLPDVVTYSVLINGLSKSARIKEAQRLLFKLYHEDPVPANIKYDALMHCCRKAEFKSVLALLKGFCMKGLMDEADKVYQSMLDRNWKLDGSVYGVLVHGHCRGGNVIKALRFHKQMLQCGFSPNLTSTIFLIRGLFEKGMILEADKVTQQLLNCCSLADAEASKALIDLNMKEGNVDAVVDILHDMARDGLLPSPR >PVH47840 pep chromosome:PHallii_v3.1:4:20559506:20562583:-1 gene:PAHAL_4G163100 transcript:PVH47840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >PVH47935 pep chromosome:PHallii_v3.1:4:30582828:30585009:-1 gene:PAHAL_4G192100 transcript:PVH47935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGPSIRPPYALSNPHPHVSTRGPLASQRRGGMADNGRATTPSAAMHATSTLLSPVVGLDFTGEQVYLDDLLSSRILAQEFISADRLMKRFCQLSAQLLDMMGVTN >PAN22523 pep chromosome:PHallii_v3.1:4:1578684:1587474:1 gene:PAHAL_4G025100 transcript:PAN22523 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MASGADAATAEVAALGISGADGEWASPCPPLRRNLHMLAPDEVELAKMLLNEGQMHLFEHWPEPGVDDDKKKSFFDQVRRLNSSYPGGLVSYIQNARKLLADSKAGKNPYDGFTPSVPSGEVLTFGDDNFVSLEAAGVKEARNAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILSLQEASCKMVDDGCHTKIPFVIMTSDDTNALTIQLLESNSYFGMEPSQVKILKQEKVACLADNDARLALDPNDKYRIQTKPHGHGDVHSLLYSSGLLEQWKSEGRKWVLFFQDTNGLLFNAIPSALGVSAIKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETAYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKMTFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPVVNTFNGQEVEVWPRITWSPRWGLTFRNVKEKVRGDSSISQRSALAINGRNIFLEGLSLDGTLIVNSVDEAEVKITGHVQNKGWTIQHVDYKDTSEKEEIRIRGFKFEKVEQLEVSYTEPGNHSLSA >PVH48541 pep chromosome:PHallii_v3.1:4:52385455:52388210:1 gene:PAHAL_4G352800 transcript:PVH48541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYIDHGAIASLCLSSSSAPFSFHTQHTCTHDRAHTEKHQLPKKPKRRKGATWRSPPKQEIATREVTLPNTAASHQGRGEGNKQQMATTVPAIQSNEELKDVLRKAKEPNSKLVVLEFTAPWSEPCKFMRPALEKVASEFKDKADFYTLDVEQFKTFARNTRVEALPTFLLVRKTILERVISVSKDELQRSIAKHISRARIIAIDQEN >PVH48543 pep chromosome:PHallii_v3.1:4:52385455:52388210:1 gene:PAHAL_4G352800 transcript:PVH48543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRINLTNKDWLVCIMRSRRLTHSKKPCACSRGRSNRFVHTFCVAATAKLKQNEAGDRRSNIHPFFFPVATTVRVDLIKHEPIEVDTHSTASHELASSSSSGMIDASIYRSWSHRLALPLIIISSILLPHAAYMYARSSSHREASATEEAEAAQGCNMGLSLCSQQRSPPKQEIATREVTLPNTAASHQGRGEGNKQQMATTVPAIQSNEELKDVLRKAKEPNSKLVVLEFTAPWSEPCKFMRPALEKVASEFKDKADFYTLDVEQFKTFARNTRVEALPTFLLVRKTILERVISVSKDELQRSIAKHISRARIIAIDQEN >PVH48542 pep chromosome:PHallii_v3.1:4:52385455:52388210:1 gene:PAHAL_4G352800 transcript:PVH48542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRINLTNKDWLVCIMRSRRLTHSKKPCACSRGRSNRFVHTFCVAATAKLKQNEAGDRRSNIHPFFFPVATTVRVDLIKHEPIEVDTHSTASHELASSSSSAYMYARSSSHREASATEEAEAAQGCNMGLSLCSQQRSPPKQEIATREVTLPNTAASHQGRGEGNKQQMATTVPAIQSNEELKDVLRKAKEPNSKLVVLEFTAPWSEPCKFMRPALEKVASEFKDKADFYTLDVEQFKTFARNTRVEALPTFLLVRKTILERVISVSKDELQRSIAKHISRARIIAIDQEN >PVH47292 pep chromosome:PHallii_v3.1:4:1903029:1907345:1 gene:PAHAL_4G030000 transcript:PVH47292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLSFLSMSCTCVFMLSCHYSSLLTIAKLVLQNSSKSLLENNTPLCIVLHFGMDESSGRSATPVGFLGRGTGISLRNQSNENRPSQYNNKPGKNTNLNPVKARFTENKEKPKYLHGPFHSSGSKASSVSSSKAPVRKYQDERQKRPFLAEANVAESSNRRTEVRRLQSGKKAVVYEDGHPYTQKSTSEGSSSSTFTDGGLSEEHDLGVLEFSVSSGSSAHTVDSGNTALSAMQRRQNDREELNSGRPRGDSNFVHRRVVPQSLTTAVKLSSAPGATSTSLQRRGLKSLGCTSISDVLPSGCSSSDSVHNRRVEVMKNGTSDAARSSRSRGISGQSILGQPRSGYPGYTGPRARAAEQSARTNSTSIRDSTDSVRTRRPSTLRARERMPGEREDNVFALRETVTRVRHPERGHFPTDDISPQRLARPFYAELPHAIYSSNRPGLSSRTARRRSPSHPEESPQEMFHGLFEERDGYRHINMDGISEVLLALDRIEHDDDLTYEQLLVLETNLLLSGLGLHDQHQDMRLDIDNMSYEELLALEEHIGSVSTALTEEQFAKWVNQSVYEAKKSDRDVNKITVDDVKCSICQEEYVEGEVIGRMQCEHQYHVCCINEWLRQKNWCPICKASAIP >PAN22594 pep chromosome:PHallii_v3.1:4:1902735:1907345:1 gene:PAHAL_4G030000 transcript:PAN22594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSGRSATPVGFLGRGTGISLRNQSNENRPSQYNNKPGKNTNLNPVKARFTENKEKPKYLHGPFHSSGSKASSVSSSKAPVRKYQDERQKRPFLAEANVAESSNRRTEVRRLQSGKKAVVYEDGHPYTQKSTSEGSSSSTFTDGGLSEEHDLGVLEFSVSSGSSAHTVDSGNTALSAMQRRQNDREELNSGRPRGDSNFVHRRVVPQSLTTAVKLSSAPGATSTSLQRRGLKSLGCTSISDVLPSGCSSSDSVHNRRVEVMKNGTSDAARSSRSRGISGQSILGQPRSGYPGYTGPRARAAEQSARTNSTSIRDSTDSVRTRRPSTLRARERMPGEREDNVFALRETVTRVRHPERGHFPTDDISPQRLARPFYAELPHAIYSSNRPGLSSRTARRRSPSHPEESPQEMFHGLFEERDGYRHINMDGISEVLLALDRIEHDDDLTYEQLLVLETNLLLSGLGLHDQHQDMRLDIDNMSYEELLALEEHIGSVSTALTEEQFAKWVNQSVYEAKKSDRDVNKITVDDVKCSICQEEYVEGEVIGRMQCEHQYHVCCINEWLRQKNWCPICKASAIP >PVH47291 pep chromosome:PHallii_v3.1:4:1903029:1907345:1 gene:PAHAL_4G030000 transcript:PVH47291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSGRSATPVGFLGRGTGISLRNQSNENRPSQYNNKPGKNTNLNPVKARFTENKEKPKYLHGPFHSSGSKASSVSSSKAPVRKYQDERQKRPFLAEANVAESSNRRTEVRRLQSGKKAVVYEDGHPYTQKSTSEGSSSSTFTDGGLSEEHDLGVLEFSVSSGSSAHTVDSGNTALSAMQRRQNDREELNSGRPRGDSNFVHRRVVPQSLTTAVKLSSAPGATSTSLQRRGLKSLGCTSISDVLPSGCSSSDSVHNRRVEVMKNGTSDAARSSRSRGISGQSILGQPRSGYPGYTGPRARAAEQSARTNSTSIRDSTDSVRTRRPSTLRARERMPGEREDNVFALRETVTRVRHPERGHFPTDDISPQRLARPFYAELPHAIYSSNRPGLSSRTARRRSPSHPEESPQEMFHGLFEERDGYRHINMDGISEVLLALDRIEHDDDLTYEQLLVLETNLLLSGLGLHDQHQDMRLDIDNMSYEELLALEEHIGSVSTALTEEQFAKWVNQSVYEAKKSDRDVNKITVDDVKCSICQEEYVEGEVIGRMQCEHQYHVCCINEWLRQKNWCPICKASAIP >PAN22819 pep chromosome:PHallii_v3.1:4:3037296:3037613:1 gene:PAHAL_4G046700 transcript:PAN22819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQPAAIHLQRRQQAIAGRRVRLLVNAGALLVSAGGSVVIIHAATPSDDAAGGPACSLVAFSVFLLGVSLVTLALAADRFPRAARVGAAVATATNRYLFGLGW >PVH47923 pep chromosome:PHallii_v3.1:4:28890321:28890760:1 gene:PAHAL_4G186700 transcript:PVH47923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTWLPFFFSLCKSTVPWHTFSSAVTSCTCKITCLPLCLSFRIPLLQSTVI >PAN25535 pep chromosome:PHallii_v3.1:4:45360757:45364032:1 gene:PAHAL_4G255100 transcript:PAN25535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPPAEMHPAVDAPASEPAAPQPDAAQAAAAAAAATASASDTVPASDPAPPPPPPVPAPKTVTWSEKLTSDSPTHVHAAAAAESSQYVSRGPAASSSKGAVEAMKETLSRWGKSWGETTKMVESLSRDTWQHFKTGPSLTEAAMGRLAQGTKVLAEGGYEKIFKQTFEFLPDEQLKVCYACYLSTSAGPVMGVLYISTAKIAFCSDNPLSYKAGNKTEWSYYKVVIPLHQLRAANPSVSKINPAEKYIQVVSVEGHEFWFMGFLMYDKAAASLQEAMASARELQP >PAN23190 pep chromosome:PHallii_v3.1:4:4948351:4951250:-1 gene:PAHAL_4G074000 transcript:PAN23190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MLSCFRLPRAGGGGRDAAEQTAGASPRRPSLPFAAGLFAASPSTSGGGKSPWPSEADDMEKKRWDSMESWSMLLDTVMGPGGEGSRDSGRREEWMADLSQLFIGNKFASGANSRIYRGIYRQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMPQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLESKCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAACEKNLRPPLSTSCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVMVHQELRLWRSFAKIFRMGCIANNLSIPVHA >PAN23191 pep chromosome:PHallii_v3.1:4:4948351:4951081:-1 gene:PAHAL_4G074000 transcript:PAN23191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MLSCFRLPRAGGGGRDAAEQTAGASPRRPSLPFAAGLFAASPSTSGGGKSPWPSEADDMEKKRWDSMESWSMLLDTVMGPGGEGSRDSGRREEWMADLSQLFIGNKFASGANSRIYRGIYRQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMPQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLESKCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAACEKNLRPPLSTSCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVMVHQELRLWRSFAKIFRMGCIANNLSIPVHA >PVH47487 pep chromosome:PHallii_v3.1:4:4948350:4951081:-1 gene:PAHAL_4G074000 transcript:PVH47487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MLSCFRLPRAGGGGRDAAEQTAGASPRRPSLPFAAGLFAASPSTSGGGKSPWPSEADDMEKKRWDSMESWSMLLDTVMGPGGEGSRDSGRREEWMADLSQLFIGNKFASGANSRIYRGIYRQRAVAVKMVRIPERDEARRAVLEDQFNSEFIAACKKPPVYCIITEYMPQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLESKCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAACEKNLRPPLSTSCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVMVHQELRLWRSFAKIFRMGCIANNLSIPVHA >PAN22825 pep chromosome:PHallii_v3.1:4:3061654:3061965:1 gene:PAHAL_4G047200 transcript:PAN22825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAIFCGVLLALNAAALPHRRLALPLRRRLLHDSAILIVLGASALFHAVAVPNTDASHALAAAAGFLMWVAGMALLPLALTAGRFPAAARLAAQLVEAAFF >PVH47761 pep chromosome:PHallii_v3.1:4:15472008:15512912:-1 gene:PAHAL_4G144800 transcript:PVH47761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGEPIPLSRFGALVAQLESVVASARQKSPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDVKRAEPTACAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEDFVRQDALLLLENALEGSGGGGGAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEFDNSMFYCVKGLEDSVSSVRDSFAEALGAILALSVNPFAQVKKGGKKQTASAKKLEDGVQKHLIVPFVKANGANVKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAIQVMEILQGNDYPDPHALACVLYVLRVGVADQMTEPTQRELLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPAEFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYAVTTLHALRETVSFDKGKNLNVELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPAAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPIITTADGGILLNPVLAYLGGALSLISSLKSKPVPDVKSALDLFTTRTLMAYQSLSNPVVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVRKMLVNQMLLCYGSIFACQENTVKIGLLNNLDQCLKSGKKYPWFTCLVTNTCVAILSGLKEFLTLRGAQSLPIDILSMIQSIFKAILGETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELITATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSSLSKSLNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIVEISSSNEMATLLESVRFAQQLVLFAPQAVPVHAHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPAAMIDENIEENLFSMLDEETDSEIAMLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSSSGHNPPDSTPENDVYYGEDEDNMISSSKQEQFNRAASISSQFPQRNKHLRYRTRVFAAECISHVPIAVGAEPAHFDLLLARSAIAKGTHLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFAMAVDPEFPGHILLEQFQAQLVSAVRTAINTASDPLLLEAGLELATRVMTSSIIGGDRVALNRLFSLISCPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPSLVNSSTLLGKYWIGVLKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQKYLDEVWTLILQATALDAAPMEFDMNKSDDLLELTFISGHCMVKLDRTEFEFLWGLSILALFRARQSLKNSSLKINLHFRQDKNFGGFIVQGLDDQKPCDQVLPVFLSLTAEVFFSNNFLSVDICQELLQALTYADCSSAPIIRLFTQVIRLCPDSFFEVEAFVSSAFELFSQYLGMILQCRDGSSQKYSSNTLISELSIASEMMASRMKGEDLWKLMMILVSTSQQSFEQVSTNLCLSNIISFLQNILPFMRKCFRERAESDGEHMHPKVVLGASVSLVAYFCTECAKRISLLENKISDSYKLLAKILLFFLGEATVFAKLVQEIGNLSENGSSNDVLLWGSFRQCVQIIQGSLHSTNIQVRMLGLHVLRSYAQKELTEGSETKTDSFMMLLTELLGDVFLVMQTTLKECSNKESVGVIDECLKLLFLFHTLAQSKKYQQDATTLLLEALLMVFYLSSDTGSQELAEVNTISKKLFSHFIQIPSVAIQLKDIMLSAPPERRQQLQDMVRASVSQGQITVPVNMSARSEQNVQNSNIKQNVQDINKTPGLSAESTPEGSECCATQGKDEKEVDDDDWDDDWDAFQSLPATAANDAVDSGDNSSASSYHKQIPQENISDADIAAGAMEGGTCGKELEEPSDLQCTSTEQQANHEFPGSSQEDNVKLERHPTVDCEKPLAHIEVADELLQVHEDTDQASEDLKGVSTEIHRIEVDVHDGNITSKDDSTRNSSNMSDIREDESNKGSDIALRVDGKFVKDESKKELSG >PVH47756 pep chromosome:PHallii_v3.1:4:15471178:15513054:-1 gene:PAHAL_4G144800 transcript:PVH47756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGEPIPLSRFGALVAQLESVVASARQKSPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDVKRAEPTACAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEDFVRQDALLLLENALEGSGGGGGAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEFDNSMFYCVKGLEDSVSSVRDSFAEALGAILALSVNPFAQVKKGGKKQTASAKKLEDGVQKHLIVPFVKANGANVKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAIQVMEILQGNDYPDPHALACVLYVLRVGVADQMTEPTQRELLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPAEFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYAVTTLHALRETVSFDKGKNLNVELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPAAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPIITTADGGILLNPVLAYLGGALSLISSLKSKPVPDVKSALDLFTTRTLMAYQSLSNPVVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVRKMLVNQMLLCYGSIFACQENTVKIGLLNNLDQCLKSGKKYPWFTCLVTNTCVAILSGLKEFLTLRGAQSLPIDILSMIQSIFKAILGETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELITATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSSLSKSLNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIVEISSSNEMATLLESVRFAQQLVLFAPQAVPVHAHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPAAMIDENIEENLFSMLDEETDSEIAMLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSSSGHNPPDSTPENDVYYGEDEDNMISSSKQEQFNRAASISSQFPQRNKHLRYRTRVFAAECISHVPIAVGAEPAHFDLLLARSAIAKGTHLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFAMAVDPEFPGHILLEQFQAQLVSAVRTAINTASDPLLLEAGLELATRVMTSSIIGGDRVALNRLFSLISCPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPSLVNSSTLLGKYWIGVLKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQKYLDEVWTLILQATALDAAPMEFDMNKSDDLLELTFISGHCMVKLDRTEFEFLWGLSILALFRARQSLKNSSLKINLHFRQDKNFGGFIVQGLDDQKPCDQVLPVFLSLTAEVFFSNNFLSVDICQELLQALTYADCSSAPIIRLFTQVIRLCPDSFFEVEAFVSSAFELFSQYLGMILQWDGSSQKYSSNTLISELSIASEMMASRMKGEDLWKLMMILVSTSQQSFEQVSTNLCLSNIISFLQNILPFMRKCFRERAESDGEHMHPKVVLGASVSLVAYFCTECAKRISLLENKISDSYKLLAKILLFFLGEATVFAKLVQEIGNLSENGSSNDVLLWGSFRQCVQIIQGSLHSTNIQVRMLGLHVLRSYAQKELTEGSETKTDSFMMLLTELLGDVFLVMQTTLKECSNKESVGVIDECLKLLFLFHTLAQSKKYQQDATTLLLEALLMVFYLSSDTGSQLKDIMLSAPPERRQQLQDMVRASVSQGQITVPVNMSARSEQNVQNSNIKQNVQDINKTPGLSAESTPEGSECCATQGKDEKEVDDDDWDDDWDAFQSLPATAANDAVDSGDNSSASSYHKQIPQENISDADIAAGAMEGGTCGKELEEPSDLQCTSTEQQANHEFPGSSQEDNVKLERHPTVDCEKPLAHIEVADELLQVHEDTDQASEDLKGVSTEIHRIEVDVHDGNITSKDDSTRNSSNMSDIREDESNKGSDIALRVDGKFVKDESKKELSG >PVH47757 pep chromosome:PHallii_v3.1:4:15471178:15513054:-1 gene:PAHAL_4G144800 transcript:PVH47757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGEPIPLSRFGALVAQLESVVASARQKSPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDVKRAEPTACAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEDFVRQDALLLLENALEGSGGGGGAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEFDNSMFYCVKGLEDSVSSVRDSFAEALGAILALSVNPFAQVKKGGKKQTASAKKLEDGVQKHLIVPFVKANGANVKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAIQVMEILQGNDYPDPHALACVLYVLRVGVADQMTEPTQRELLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPAEFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYAVTTLHALRETVSFDKGKNLNVELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPAAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPIITTADGGILLNPVLAYLGGALSLISSLKSKPVPDVKSALDLFTTRTLMAYQSLSNPVVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVRKMLVNQMLLCYGSIFACQENTVKIGLLNNLDQCLKSGKKYPWFTCLVTNTCVAILSGLKEFLTLRGAQSLPIDILSMIQSIFKAILGETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELITATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSSLSKSLNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIVEISSSNEMATLLESVRFAQQLVLFAPQAVPVHAHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPAAMIDENIEENLFSMLDEETDSEIAMLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSSSGHNPPDSTPENDVYYGEDEDNMISSSKQEQFNRAASISSQFPQRNKHLRYRTRVFAAECISHVPIAVGAEPAHFDLLLARSAIAKGTHLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFAMAVDPEFPGHILLEQFQAQLVSAVRTAINTASDPLLLEAGLELATRVMTSSIIGGDRVALNRLFSLISCPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPSLVNSSTLLGKYWIGVLKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQKYLDEVWTLILQATALDAAPMEFDMNKSDDLLELTFISGHCMVKLDRTEFEFLWGLSILALFRARQSLKNSSLKINLHFRQDKNFGGFIVQGLDDQKPCDQVLPVFLSLTAEVFFSNNFLSVDICQELLQALTYADCSSAPIIRLFTQVIRLCPDSFFEVEAFVSSAFELFSQYLGMILQCRDGSSQKYSSNTLISELSIASEMMASRMKGEDLWKLMMILVSTSQQSFEQVSTNLCLSNIISFLQNILPFMRKCFRERAESDGEHMHPKVVLGASVSLVAYFCTECAKRISLLENKISDSYKLLAKILLFFLGEATVFAKLVQEIGNLSENGSSNDVLLWGSFRQCVQIIQGSLHSTNIQVRMLGLHVLRSYAQKELTEGSETKTDSFMMLLTELLGDVFLVMQTTLKECSNKESVGVIDECLKLLFLFHTLAQSKKYQQDATTLLLEALLMVFYLSSDTGSQLKDIMLSAPPERRQQLQDMVRASVSQGQITVPVNMSARSEQNVQNSNIKQNVQDINKTPGLSAESTPEGSECCATQGKDEKEVDDDDWDDDWDAFQSLPATAANDAVDSGDNSSASSYHKQIPQENISDADIAAGAMEGGTCGKELEEPSDLQCTSTEQQANHEFPGSSQEDNVKLERHPTVDCEKPLAHIEVADELLQVHEDTDQASEDLKGVSTEIHRIEVDVHDGNITSKDDSTRNSSNMSDIREDESNKGSDIALRVDGKFVKDESKKELSG >PVH47759 pep chromosome:PHallii_v3.1:4:15472008:15512912:-1 gene:PAHAL_4G144800 transcript:PVH47759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGEPIPLSRFGALVAQLESVVASARQKSPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDVKRAEPTACAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEDFVRQDALLLLENALEGSGGGGGAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEFDNSMFYCVKGLEDSVSSVRDSFAEALGAILALSVNPFAQVKKGGKKQTASAKKLEDGVQKHLIVPFVKANGANVKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAIQVMEILQGNDYPDPHALACVLYVLRVGVADQMTEPTQRELLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPAEFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYAVTTLHALRETVSFDKGKNLNVELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPAAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPIITTADGGILLNPVLAYLGGALSLISSLKSKPVPDVKSALDLFTTRTLMAYQSLSNPVVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVRKMLVNQMLLCYGSIFACQENTVKIGLLNNLDQCLKSGKKYPWFTCLVTNTCVAILSGLKEFLTLRGAQSLPIDILSMIQSIFKAILGETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELITATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSSLSKSLNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIVEISSSNEMATLLESVRFAQQLVLFAPQAVPVHAHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPAAMIDENIEENLFSMLDEETDSEIAMLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSSSGHNPPDSTPENDVYYGEDEDNMISSSKQEQFNRAASISSQFPQRNKHLRYRTRVFAAECISHVPIAVGAEPAHFDLLLARSAIAKGTHLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFAMAVDPEFPGHILLEQFQAQLVSAVRTAINTASDPLLLEAGLELATRVMTSSIIGGDRVALNRLFSLISCPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPSLVNSSTLLGKYWIGVLKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQKYLDEVWTLILQATALDAAPMEFDMNKSDDLLELTFISGHCMVKLDRTEFEFLWGLSILALFRARQSLKNSSLKINLHFRQDKNFGGFIVQGLDDQKPCDQVLPVFLSLTAEVFFSNNFLSVDICQELLQALTYADCSSAPIIRLFTQVIRLCPDSFFEVEAFVSSAFELFSQYLGMILQCRDGSSQKYSSNTLISELSIASEMMASRMKGEQDLWKLMMILVSTSQQSFEQVSTNLCLSNIISFLQNILPFMRKCFRERAESDGEHMHPKVVLGASVSLVAYFCTECAKRISLLENKISDSYKLLAKILLFFLGEATVFAKLVQEIGNLSENGSSNDVLLWGSFRQCVQIIQGSLHSTNIQVRMLGLHVLRSYAQKELTEGSETKTDSFMMLLTELLGDVFLVMQTTLKECSNKESVGVIDECLKLLFLFHTLAQSKKYQQDATTLLLEALLMVFYLSSDTGSQLKDIMLSAPPERRQQLQDMVRASVSQGQITVPVNMSARSEQNVQNSNIKQNVQDINKTPGLSAESTPEGSECCATQGKDEKEVDDDDWDDDWDAFQSLPATAANDAVDSGDNSSASSYHKQIPQENISDADIAAGAMEGGTCGKELEEPSDLQCTSTEQQANHEFPGSSQEDNVKLERHPTVDCEKPLAHIEVADELLQVHEDTDQASEDLKGVSTEIHRIEVDVHDGNITSKDDSTRNSSNMSDIREDESNKGSDIALRVDGKFVKDESKKELSG >PVH47758 pep chromosome:PHallii_v3.1:4:15472008:15512912:-1 gene:PAHAL_4G144800 transcript:PVH47758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGEPIPLSRFGALVAQLESVVASARQKSPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDVKRAEPTACAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEDFVRQDALLLLENALEGSGGGGGAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEFDNSMFYCVKGLEDSVSSVRDSFAEALGAILALSVNPFAQVKKGGKKQTASAKKLEDGVQKHLIVPFVKANGANVKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAIQVMEILQGNDYPDPHALACVLYVLRVGVADQMTEPTQRELLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPAEFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYAVTTLHALRETVSFDKGKNLNVELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPAAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPIITTADGGILLNPVLAYLGGALSLISSLKSKPVPDVKSALDLFTTRTLMAYQSLSNPVVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVRKMLVNQMLLCYGSIFACQENTVKIGLLNNLDQCLKSGKKYPWFTCLVTNTCVAILSGLKEFLTLRGAQSLPIDILSMIQSIFKAILGETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELITATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSSLSKSLNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIVEISSSNEMATLLESVRFAQQLVLFAPQAVPVHAHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPAAMIDENIEENLFSMLDEETDSEIAMLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSSSGHNPPDSTPENDVYYGEDEDNMISSSKQEQFNRAASISSQFPQRNKHLRYRTRVFAAECISHVPIAVGAEPAHFDLLLARSAIAKGTHLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFAMAVDPEFPGHILLEQFQAQLVSAVRTAINTASDPLLLEAGLELATRVMTSSIIGGDRVALNRLFSLISCPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPSLVNSSTLLGKYWIGVLKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQKYLDEVWTLILQATALDAAPMEFDMNKSDDLLELTFISGHCMVKLDRTEFEFLWGLSILALFRARQSLKNSSLKINLHFRQDKNFGGFIVQGLDDQKPCDQVLPVFLSLTAEVFFSNNFLSVDICQELLQALTYADCSSAPIIRLFTQVIRLCPDSFFEVEAFVSSAFELFSQYLGMILQCRDGSSQKYSSNTLISELSIASEMMASRMKGEQDLWKLMMILVSTSQQSFEQVSTNLCLSNIISFLQNILPFMRKCFRERAESDGEHMHPKVVLGASVSLVAYFCTECAKRISLLENKISDSYKLLAKILLFFLGEATVFAKLVQEIGNLSENGSSNDVLLWGSFRQCVQIIQGSLHSTNIQVRMLGLHVLRSYAQKELTEGSETKTDSFMMLLTELLGDVFLVMQTTLKECSNKESVGVIDECLKLLFLFHTLAQSKKYQQDATTLLLEALLMVFYLSSDTGSQELAEVNTISKKLFSHFIQIPSVAIQLKDIMLSAPPERRQQLQDMVRASVSQGQITVPVNMSARSEQNVQNSNIKQNVQDINKTPGLSAESTPEGSECCATQGKDEKEVDDDDWDDDWDAFQSLPATAANDAVDSGDNSSASSYHKQIPQENISDADIAAGAMEGGTCGKELEEPSDLQCTSTEQQANHEFPGSSQEDNVKLERHPTVDCEKPLAHIEVADELLQVHEDTDQASEDLKGVSTEIHRIEVDVHDGNITSKDDSTRNSSNMSDIREDESNKGSDIALRVDGKFVKDESKKELSG >PVH47755 pep chromosome:PHallii_v3.1:4:15471177:15513054:-1 gene:PAHAL_4G144800 transcript:PVH47755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGEPIPLSRFGALVAQLESVVASARQKSPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDVKRAEPTACAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEDFVRQDALLLLENALEGSGGGGGAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEFDNSMFYCVKGLEDSVSSVRDSFAEALGAILALSVNPFAQVKKGGKKQTASAKKLEDGVQKHLIVPFVKANGANVKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAIQVMEILQGNDYPDPHALACVLYVLRVGVADQMTEPTQRELLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPAEFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYAVTTLHALRETVSFDKGKNLNVELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPAAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPIITTADGGILLNPVLAYLGGALSLISSLKSKPVPDVKSALDLFTTRTLMAYQSLSNPVVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVRKMLVNQMLLCYGSIFACQENTVKIGLLNNLDQCLKSGKKYPWFTCLVTNTCVAILSGLKEFLTLRGAQSLPIDILSMIQSIFKAILGETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELITATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSSLSKSLNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIVEISSSNEMATLLESVRFAQQLVLFAPQAVPVHAHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPAAMIDENIEENLFSMLDEETDSEIAMLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSSSGHNPPDSTPENDVYYGEDEDNMISSSKQEQFNRAASISSQFPQRNKHLRYRTRVFAAECISHVPIAVGAEPAHFDLLLARSAIAKGTHLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKVMTSSIIGGDRVALNRLFSLISCPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPSLVNSSTLLGKYWIGVLKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQKYLDEVWTLILQATALDAAPMEFDMNKSDDLLELTFISGHCMVKLDRTEFEFLWGLSILALFRARQSLKNSSLKINLHFRQDKNFGGFIVQGLDDQKPCDQVLPVFLSLTAEVFFSNNFLSVDICQELLQALTYADCSSAPIIRLFTQVIRLCPDSFFEVEAFVSSAFELFSQYLGMILQWDGSSQKYSSNTLISELSIASEMMASRMKGEDLWKLMMILVSTSQQSFEQVSTNLCLSNIISFLQNILPFMRKCFRERAESDGEHMHPKVVLGASVSLVAYFCTECAKRISLLENKISDSYKLLAKILLFFLGEATVFAKLVQEIGNLSENGSSNDVLLWGSFRQCVQIIQGSLHSTNIQVRMLGLHVLRSYAQKELTEGSETKTDSFMMLLTELLGDVFLVMQTTLKECSNKESVGVIDECLKLLFLFHTLAQSKKYQQDATTLLLEALLMVFYLSSDTGSQELAEVNTISKKLFSHFIQIPSVAIQLKDIMLSAPPERRQQLQDMVRASVSQGQITVPVNMSARSEQNVQNSNIKQNVQDINKTPGLSAESTPEGSECCATQGKDEKEVDDDDWDDDWDAFQSLPATAANDAVDSGDNSSASSYHKQIPQENISDADIAAGAMEGGTCGKELEEPSDLQCTSTEQQANHEFPGSSQEDNVKLERHPTVDCEKPLAHIEVADELLQVHEDTDQASEDLKGVSTEIHRIEVDVHDGNITSKDDSTRNSSNMSDIREDESNKGSDIALRVDGKFVKDESKKELSG >PVH47760 pep chromosome:PHallii_v3.1:4:15472008:15512912:-1 gene:PAHAL_4G144800 transcript:PVH47760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGEPIPLSRFGALVAQLESVVASARQKSPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDVKRAEPTACAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEDFVRQDALLLLENALEGSGGGGGAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEFDNSMFYCVKGLEDSVSSVRDSFAEALGAILALSVNPFAQVKKGGKKQTASAKKLEDGVQKHLIVPFVKANGANVKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAIQVMEILQGNDYPDPHALACVLYVLRVGVADQMTEPTQRELLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPAEFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYAVTTLHALRETVSFDKGKNLNVELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPAAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPIITTADGGILLNPVLAYLGGALSLISSLKSKPVPDVKSALDLFTTRTLMAYQSLSNPVVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVRKMLVNQMLLCYGSIFACQENTVKIGLLNNLDQCLKSGKKYPWFTCLVTNTCVAILSGLKEFLTLRGAQSLPIDILSMIQSIFKAILGETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELITATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSSLSKSLNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIVEISSSNEMATLLESVRFAQQLVLFAPQAVPVHAHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPAAMIDENIEENLFSMLDEETDSEIAMLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSSSGHNPPDSTPENDVYYGEDEDNMISSSKQEQFNRAASISSQFPQRNKHLRYRTRVFAAECISHVPIAVGAEPAHFDLLLARSAIAKGTHLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFAMAVDPEFPGHILLEQFQAQLVSAVRTAINTASDPLLLEAGLELATRVMTSSIIGGDRVALNRLFSLISCPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPSLVNSSTLLGKYWIGVLKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQKYLDEVWTLILQATALDAAPMEFDMNKSDDLLELTFISGHCMVKLDRTEFEFLWGLSILALFRARQSLKNSSLKINLHFRQDKNFGGFIVQGLDDQKPCDQVLPVFLSLTAEVFFSNNFLSVDICQELLQALTYADCSSAPIIRLFTQVIRLCPDSFFEVEAFVSSAFELFSQYLGMILQWDGSSQKYSSNTLISELSIASEMMASRMKGEDLWKLMMILVSTSQQSFEQVSTNLCLSNIISFLQNILPFMRKCFRERAESDGEHMHPKVVLGASVSLVAYFCTECAKRISLLENKISDSYKLLAKILLFFLGEATVFAKLVQEIGNLSENGSSNDVLLWGSFRQCVQIIQGSLHSTNIQVRMLGLHVLRSYAQKELTEGSETKTDSFMMLLTELLGDVFLVMQTTLKECSNKESVGVIDECLKLLFLFHTLAQSKKYQQDATTLLLEALLMVFYLSSDTGSQELAEVNTISKKLFSHFIQIPSVAIQLKDIMLSAPPERRQQLQDMVRASVSQGQITVPVNMSARSEQNVQNSNIKQNVQDINKTPGLSAESTPEGSECCATQGKDEKEVDDDDWDDDWDAFQSLPATAANDAVDSGDNSSASSYHKQIPQENISDADIAAGAMEGGTCGKELEEPSDLQCTSTEQQANHEFPGSSQEDNVKLERHPTVDCEKPLAHIEVADELLQVHEDTDQASEDLKGVSTEIHRIEVDVHDGNITSKDDSTRNSSNMSDIREDESNKGSDIALRVDGKFVKDESKKELSG >PAN26193 pep chromosome:PHallii_v3.1:4:52471086:52474477:-1 gene:PAHAL_4G354800 transcript:PAN26193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHHPHLLDFSPPPSTVAMEAPPPSFDHGQLLALHVDGSDDMLSLGGGLPTTAHRVLADDTAAWPPPQGAVSLSLCNYGTAGGSSSLFGHDQQQFAVPPAAVSLQAPNNHLPWPSVQPFQLRSSKYLDPVQDLLTDFCSLEVEGDLHAMNGVNKRAPKAAGKWEDVEPSWSSGLWGHPSLSSMDLLELERRKSRLLSMVEEVDRRYRRYREQLRAVEVSFEAVAGAGASQVYTRLALRAMSRHFRCLRDALVAQVRALRKAMGERQQDGYGGGGPAAAGATKGETPRLKVLDQRLRQQRAFQQHPGGIENYPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYAGEVKQQRSESEATTQNPTSAGDGVAVKAEHNATAGAMGGESHHHFRSATL >PVH47331 pep chromosome:PHallii_v3.1:4:2407534:2410959:-1 gene:PAHAL_4G038600 transcript:PVH47331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MANLAICNNIKRATRPIFDQYVGQYGIESIEFGELTLGALPPTFQGIKVYEMLGKVLVIEPVIRWASIANVILNAKVHSFEVSVQLEDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPCIDFGFKMLGGDIMAIPGLYQYVQDQISKQISVLYHWPKVIQIPILDGASGATKKPVGILHVKVIRALNLLKMDLLGKSDPYVKMRLSGEKLPSKKTSVKMSNLNPEWNEHFRFIVKDPDTQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLVRSMNPNDPHNKKNRGQLIVELTFDPFREDNSRSSVALDGEANASIREGNGESSGGVLLVSVENAEDVEGKQHTNPYAEVLFRGERKKTKVIRKTRDPRWSEEFQFMVDEPPVEDKVHIEVKSKRRGLPIRNKESLGHVNINLVDVVNNGRINEKYHLINSRNGMIQVEIKWSTV >PAN22700 pep chromosome:PHallii_v3.1:4:2406228:2413667:-1 gene:PAHAL_4G038600 transcript:PAN22700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGLLGGVLGFSVGLPVGLAAAYFLYLRYFAARRLQDPVIRPLRDLDSETLQTTIPDIPLWVKSPDYERVDWMNKFIFDMWPFLDKAICNNIKRATRPIFDQYVGQYGIESIEFGELTLGALPPTFQGIKVYEMLGKVLVIEPVIRWASIANVILNAKVHSFEVSVQLEDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPCIDFGFKMLGGDIMAIPGLYQYVQDQISKQISVLYHWPKVIQIPILDGASGATKKPVGILHVKVIRALNLLKMDLLGKSDPYVKMRLSGEKLPSKKTSVKMSNLNPEWNEHFRFIVKDPDTQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLVRSMNPNDPHNKKNRGQLIVELTFDPFREDNSRSSVALDGEANASIREGNGESSGGVLLVSVENAEDVEGKQHTNPYAEVLFRGERKKTKVIRKTRDPRWSEEFQFMVDEPPVEDKVHIEVKSKRRGLPIRNKESLGHVNINLVDVVNNGRINEKYHLINSRNGMIQVEIKWSTV >PAN22896 pep chromosome:PHallii_v3.1:4:3371161:3374687:-1 gene:PAHAL_4G051600 transcript:PAN22896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAGFMALLLQLGALAAAAGTDRDALLAFKAAVSDPSGKLRSWNDAVHFCRWPGVSCTAGRVTSLDVSAHGLTGTLSPAVGDLEHLRVLNLTDNGVSGRIPASLGRLRRLTYLSLCDNAFTGEIPAALRNCSVLAVAFLNNNNLTGGVPEWLDALQNLTVLGLGHNSLSGRIPPSLGNLTKIQKLQLDQNLLEGGIPDGLSRLPDLRFFNVYQNRLTGEIPPGFFNMSSLQRFSVANNMFHGELSADAGARWPDLQFLFLGGNNLSGPIPASLAMASNLKALSLANNSFTGRVPPEIGSLCMESLELSNNKLTATDAGGWEFLEGLTNCSALVEIYLDGNKFGGAMPASISRLSAQLQTLNLGGNSISGVIPSSIGNLVGLQTLDAHSNLLDGEIPEGIGKLKNLQELRLQQNRLTGPVPSSIGSLSQLLSLDLSRNLLNGTIPSSIGGLQQLTLIDLSGNKLTGHIPKELVSLQSLSFAMDLSDNRLDGRLPHEVGQLVQLAIMTLSGNRFSGEVPAELGSCQSLEFLDLDSNLFTGSIPSSLSRLKGLRRLNLTGNRLTGSIPPQLSQMLGLQELYLSRNDLSGGIPAGLENVSSLVELDVSYNHLEGQVPTLGVFANTTGFKMAGNGALCGGAARHLLPPCRPTKTTPAGHLLLKIVLPIFGLALCLALLFALLLLRCRRRRSKIADTTARSLLNGNNYPRVSYAELAKATEDFSNGNLIGAGKYGSVYRGILSLKAKGSFELQDVAVAVKVFHLQQVGASKTFLSECEALRRVKHRNLASIITCCSSIDAKGNDFRALVFDFMPNSSLDRWLHPSLVEITEGRVLSVVQRFSIAADIADALNYLHSSCEPPIVHCDLKPSNVLLGDDMAACIGDFGLAKLLPDPGSHGSEDPESTIGIRGTIGYVAPEYGTSGEVSTCGDVYSFGITLLEIFVGKAPTDEAFKDGLTLPEFVSEAFPDKLEQILDPALLQDEELLSGAVPSSEESELRVTAYDCLVSAVRVGLSCCRQAPCQRMAMRDAAAELCSIRDACVRAYGQ >PVH48047 pep chromosome:PHallii_v3.1:4:41338094:41340738:-1 gene:PAHAL_4G226800 transcript:PVH48047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANQYCREVMSGRGRAQIAVQGDLSNGRDLMNVDTEHDTTEPTPEIGTTPKRAKWSHEMKLFLIGLLKDHDVPGFRTHNAWSKEAWTNIVCRLNAKFGCSFTLNQVKQKEQDLKKDYRVVKELQEESGFGWDSERKMVTAPPNVWANFAARKNNSDALTWQDKSFPYFDDLFALYDGRYAEGRTRHGMDHYANKAKNASNPSTQQASTAVTYQSPSPTWPAEFDSGLQFPFDEEAGVTPVQHMKTPPSSTPTPLEGTESRRGKKQKTKSCSPEEGFHERYLKLKREEIDRFAAIEEKKLEDPYSINKCITVLESLNDLQMGDILLASDIFQNKNNREVFLSFQGDAIRLAWVKREIGHLQAEKN >PAN24341 pep chromosome:PHallii_v3.1:4:27128521:27131364:1 gene:PAHAL_4G180800 transcript:PAN24341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNLESPVQTQMAVSALDRALGSEYPTKSISETKVGGWKRVFVQTDTGCVLAVRLDRGDNVHTVKRKLQVALNFPTEESSLTLGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCMSPTGKDLQQQRDRGCPIELLVCPSRCSRTRQLVKDVARAIRKGVDPVPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFIGKSLGQPGLKRSVRVGETGFREVAAYLLDHDNSANVPPTVLVKISHPVFNMNEGMNCANKNVADGCTQAVSKIASFQQYIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKQTGAGTFGNQTELIPIDHGLSLPECLEDPYFEWIHWPQASVAFSEDELEYIANLDPMKDADMLRMELPMIREACLRVLILSTIFLKEATAFGLCLAEIGEMMSREFTGMEDQPSELEFVCMEARRLATEQEDSSIEHDSGDEDLTQFELDGDDHEMPKQPSAHQFEFKQGNYINQLSKLDEADEEEEEEEEEEEEEKFALRLMRLDDIEEVESNAEKFACPKPVNKWLANISKLSTSLKGVSLTDKTQRQLSTGPKIVDSVKTSKSSSNDHGSQLCNWGSANDMLPTSVSFVKLADMGLETWGLFLEKFQELLPEAFRSHKSSVTAQRAKPRLGTSCQF >PAN26054 pep chromosome:PHallii_v3.1:4:51740830:51745052:-1 gene:PAHAL_4G343700 transcript:PAN26054 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT33 [Source:Projected from Arabidopsis thaliana (AT5G07270) UniProtKB/TrEMBL;Acc:A0A178U6J8] MGNALGCAGLGERLAAAARDGDAAEVRRLLEANPGLARCAAFGSLNSPLHLAAAKGHHEIATLLLQNGADVNARNIYGQTALMQACRFGHWEVVQTLLVFRCNVSKVDSLSSRTALHLAAAGGHVKCARLLLAAAAGDGDRFVNRAASGGVTALHLAALHGHVDCVHLLIDEHADLAAQTLPCVASPMGSIGAGSTPLHYAAAGGEVKCCQILVSRGADRTAVNCNGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSSPLASVLSLARDCGLVLNTSSEFSDSVVDDGDGCAVCLERPCNVAAEVCGHELCVKCALDLCSVIKSYDVPGIAGSIPCPLCRSAIASFRKRAASEAEDGPEPDLSPACSGGGHCKSCCGAGDHQASSSPEKKRSTDSDRPILPLYSPPAVLS >PVH48502 pep chromosome:PHallii_v3.1:4:51741227:51744675:-1 gene:PAHAL_4G343700 transcript:PVH48502 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT33 [Source:Projected from Arabidopsis thaliana (AT5G07270) UniProtKB/TrEMBL;Acc:A0A178U6J8] MGNALGCAGLGERLAAAARDGDAAEVRRLLEANPGLARCAAFGSLNSPLHLAAAKGHHEIATLLLQNGADVNARNIYGQGHGHISEVDPLRLNPLMILFLAFFACGELKTALMQACRFGHWEVVQTLLVFRCNVSKVDSLSSRTALHLAAAGGHVKCARLLLAAAAGDGDRFVNRAASGGVTALHLAALHGHVDCVHLLIDEHADLAAQTLPCVASPMGSIGAGSTPLHYAAAGGEVKCCQILVSRGADRTAVNCNGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSSPLASVLSLARDCGLVLNTSSEFSDSVVDDGDGCAVCLERPCNVAAEVCGHELCVKCALDLCSVIKSYDVPGIAGSIPCPLCRSAIASFRKRAASEAEDGPEPDLSPACSGGGHCKSCCGAGDHQASSSPEKKRSTDSDRPILPLYSPPAVLS >PAN25155 pep chromosome:PHallii_v3.1:4:47398857:47405951:-1 gene:PAHAL_4G280000 transcript:PAN25155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPVAQKSDSWTAELAMADCGGLGVRPINGGRGGAVEQRESGEKGPPVSPPERVFTPPAPRMAAWRRMSSPGPLRCSTPRSVGYEDGEDSDRYFSPQSEFSQDTSDTDSVSTSISRLYTFRFGTSSPLDSPIKQLGVGYTSPPSRRGAHSPSYPWNSGRVSDDVDSSFINSPPRDDEQNKDVVQPVDFESRHIWYPPPPQDEGDDLENGFLKYDDDDDNDVSDGKVFGDVNHDYGDGDDDDLLGTKGKHNIAHKEFLRSALHGHFKALVSQLLQGHGIDPVDGWSDIVASLAWQAATFVRPDTSKGGSMDPTDYVKIKCVASGNPNDSAFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKVTNKLASINSILEQEKEYLKNAVAKIEAQHPHVLLVEKSIPLDAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENVTSTMVGHCQTFWIERVTECSSPRMSNKKTVKTLMFFDGCPRRLGCTIVLRGKSYEELKKVKLAVQFALFAAYHLSLETSYLADEGATLPKVPSDLQLDKQIFSSSHSQQNLNEFQTIDERTSGNGCIMPCFDGSSASQSHLRTDLIHKEYVEPHSRADLSQEDYNGGTIDVYPYSTKASMHDGCIPPVGQMLKVESDLDNGWNHISDEDRVAIRDHNENHFATSDNPQSILVSLSIACPLRGIVCKQSQLFRIKFYGTFDKPLGRYFREDLFVQTSCCESCKEPAESHVRCYTHQQGSLTISVRTLSSVKLPGEHDGKVWMWHRCLRCKPKDGVPPATHRVIMSDAARGLSFGKFLELSFSNHTTANRIASCGHSLQRDCLRFYGLGSMVAVFRYSPVDILSVNLPPSVLDFAYPTTQDWVIKEAADVASRKEHLYREIVAKLDCIEQIIKAQNVTMKPGLYNHVADLKVLVKVEWKKYDVLSGFSNMDNLQKFGPTVDVLELNRLRRELVLDIHIWDRRLYMMHSLTKENCHTVPTDAQCSDKLTENLLEEPKDVISCKHGTIKNSLEQTQPGTLELVTDSAKPFPTREQNNTNGSHFGLKTNIAADVSLHSGSVSISSVLGPSEIHSEGVLGDELKAEKMLQKSQSSASNLSDRIDLAWTGSGQFVDDPSQCSIETVSVRPAALKDDPAYQKVIAPIRIKSFDSAVSSRNRLLPVDDSNANIRRSYSQRPSKAIERTGRAQSPTFMNNLSLSGMVVGEGRLLLSQNDSDVVVPIYDDEPSSMIAHAMTVPEYRRFLLPLMDENNELGVLNYMAPKSSRSSLDGSMRSYGSDQAQGVTGNDSKDNHLTVSFEDEDSYSVDKAKFSVTFYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAVEYFKYLTESVSSGSPTCLTKILGLYQIIAKNLRDGKELKMDIMVMENLFFKRKVSRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEERKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSKDVLPTIISPDQYKKRFRKAMSKYFLTLPDQWSP >PAN22394 pep chromosome:PHallii_v3.1:4:1084064:1087611:-1 gene:PAHAL_4G016800 transcript:PAN22394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRSMAGGSRGSRAGGKRGGAAASASSSAAASACVYYATTALLVALCVAGAYFLTSTSSAASAAAADGDAAGGTVTAYRHTTRSSFAYEVTRERAALAPPRRAGKAGGESARSDEDLAEVESQPESTVAAAAVLDDPHAKPDEERGSDEAGMEEEHRISGAAMEDVKSEDGDNVAAAGDAGAEDAAKEQEAVLEENRDPQLEMPHEQARAAAVEEKNLDGGIEEESNAGQRQREEEQSALDEQAAGGGLRREVQEEPQIDGDERADPQPGEESEQRQPEEERSNEQPQNEEQPQNGEGGDGDKQAVSEHREDTDGNTSQDGRSVEDSLVGEARTEEHKAWATQADQSHREKDRRDENAGSGDGDGSGADSAGGEEHEWRVCNVKAGADYIPCLDNEKAVKKLRPENFRRYEHRERHCPDEGPTCLVPLPSGYRRPIEWPKSRDRIWYSNVPHTKLVEVKGHQNWVKVSGQHLTFPGGGTQFIHGALHYIDFLQQSVRGIAWGKHTRVVLDVGCGVASFGGYLFDRDVATVSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKAFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLPEDVEIWKAMTSLTKSMCWELASIKKDRLNGVGVAFYRKPTSNDCYEARRRQQPPMCSDDDDANAAWYIRLNSCMHRVLTGPSERGARWPVDWPRRVRTPPYWLSAARAGVYGKPEPEDFTVDYDHWRRVVDRSYLNGLGIDWPRVRNVMDMRAAYGGFAAALREKKVWVMNVVNVDAPDTLPVIFERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKIKERCAVLPVVVEVDRVIRPGGGIIVRDEAGAVGEVEKLLRSLHWDVRLTFSKNDEGVLYAEKSDWRPELIEEPA >PAN24635 pep chromosome:PHallii_v3.1:4:43556562:43559453:1 gene:PAHAL_4G241000 transcript:PAN24635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGGPNRAAEAPQHGEEGGDARVFRCSDYSLPRTTVALALWLGGIHFNVLLVLASLFLLSLRAAAIVVAVQLFFMFVPVNDRDKWGRSIARFICRHAMGYFPISLHVEDYKAFDPSRAYVFGYEPHSVLPIGLSALADLVGFMPLTKVKVLASSAVFYTPFLRQIWTWLGLVPATRKNFYFYLRAGYSCIVVPGGVREMLHMDNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPGGKLFVKIARAVKFTPIIFWGRFGTPFPFPRPMHVVVGKPIEVDKNTQPTIDEINEVHEQFIIALRELFEKYKEKAGYPCLHLRVL >PAN25272 pep chromosome:PHallii_v3.1:4:43556562:43559453:1 gene:PAHAL_4G241000 transcript:PAN25272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGGPNRAAEAPQHGEEGGDARVFRCSDYSLPRTTVALALWLGGIHFNVLLVLASLFLLSLRAAAIVVAVQLFFMFVPVNDRDKWGRSIARFICRHAMGYFPISLHVEDYKAFDPSRAYVFGYEPHSVLPIGLSALADLVGFMPLTKVKVLASSAVFYTPFLRQIWTWLGLVPATRKNFYFYLRAGYSCIVVPGGVREMLHMDNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQLCIQVVEARWEVVCQDC >PVH48563 pep chromosome:PHallii_v3.1:4:52763818:52766782:-1 gene:PAHAL_4G358500 transcript:PVH48563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFQLLVLVALLIGELHCARTAAPPADAPATSPQSPEPPPADQQAPPQAPGPSPPPPRRRGSPHRRPTAPPTQDPAPPKQEPPEPAPPRLVVPPEDSPAPTPPGMINHTTGCTTLLVLGDSTVDPGNNNHLPTTARANFLPYGLNFYGRRPTGRFTNGRLATDMLAEKLGIARTIPGFFDPSLRLAQLRRGVSFASGGSGYDDSTANRINVVSFSAQLHNLFRYKLLIRTLLGPRRAERLINRATFVISSGTNDMLSVYIASNRSNAISMEMYENHLIAHVANYTQAMIMLGGRRFVFVGLPPMGCLPIARTLVGTGSDRCDETLNQLATSFNSKLVQLLSLINYQRQIRTSYIDTYTTIHDATVDPKTFGLTEVSRGCCGSGVIEVGQTCRGRRTCGDPSRYLYWDAVHPTERTNQHFANVMMDSIRELYS >PAN24173 pep chromosome:PHallii_v3.1:4:25092544:25096156:-1 gene:PAHAL_4G174900 transcript:PAN24173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGERPKLVRGLRQESRRFRLLVIVVGFFLVSLTFVVISKPDAILFSLNGKLPVEQAPTSILIKQKVNSPPATSRKTSTDALPGGDPRVVDDEADVRPKGTKGEEEESRVLSEPDPTSGMTELTPNKDGGGRKSDEETLGGEGKGKEGEERGHAAEKHKVTLPTVSNYTIHDTEDTENGKQDDGTGPDLQGSKPLCDFSNFRANVCEMRGDVRVHPNATSIMFMEPAGSQRDELWKIKPYPRKGDEFCLSHITELTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYHTVLQKLSKYPLIDFSKDDQVHCFKHVIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRGAYSLGRDTVTVLGEYPKVKPRLLIIKRHRTRMFLNLDEIIAMAKEIGFEVVIDEANVSSDISKFARLVNTVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRTDFGNPAELMGLHYKQYSISVDESSLTEQYPRDHEIFKNPIAFHKHGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >PVH47677 pep chromosome:PHallii_v3.1:4:8655638:8657039:1 gene:PAHAL_4G113800 transcript:PVH47677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSREACAVAMAMAAPFLAMARNVGSTTPTATCNGEKRRRTSSDALQRTVSDVSYELHHHQHGAKDQKTAKEAEEPQQQQLHPVPEVEDAKCECCGMSEECTPEYIRGVRRRFAGRWVCGLCAEAVREEAEKRGGTLEEALRAHMGVCKRFNGFGRTYPVLHQAEAMREILRRRAKLGPRSRSSINPREVREVAAAKAAAACPSIARSSSCMPFITDEFNDRVSINKN >PVH48366 pep chromosome:PHallii_v3.1:4:49940220:49942908:-1 gene:PAHAL_4G316100 transcript:PVH48366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAPPRVQALAETGVSRLPAQYIQPPEHRPAHPSSSPVPAALSIPVVDLSSPNAADAVRAACADWGAFHVVGHGVPGELLDAVRGAGLAFFRAPMEDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPGYRDTIVKYSNSMKDLAQRLLRIISGNLNLPPSYIEEVVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVAPLPDGILVILADQTEIITNGRYKSSVHRAVVNAERARLSVATFYDPSKSRKICTAPQLVSKDEPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIQP >PVH48364 pep chromosome:PHallii_v3.1:4:49939977:49942946:-1 gene:PAHAL_4G316100 transcript:PVH48364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAPPRVQALAETGVSRLPAQYIQPPEHRPAHPSSSPVPAALSIPVVDLSSPNAADAVRAACADWGAFHVVGHGVPGELLDAVRGAGLAFFRAPMEDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPGYRDTIVKYSNSMKDLAQRLLRIISGNLNLPPSYIEEVVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVAPLPDGILVILADQTEIITNGRYKSSVHRAVVNAERARLSVATFYDPSKSRKICTAPQLVSKDEPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIQP >PVH48365 pep chromosome:PHallii_v3.1:4:49937788:49943005:-1 gene:PAHAL_4G316100 transcript:PVH48365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAPPRVQALAETGVSRLPAQYIQPPEHRPAHPSSSPVPAALSIPVVDLSSPNAADAVRAACADWGAFHVVGHGVPGELLDAVRGAGLAFFRAPMEDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPGYRDTIVKYSNSMKDLAQRLLRIISGNLNLPPSYIEEVVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVAPLPDGILVILADQTEIITNGRYKSSVHRAVVNAERARLSVATFYDPSKSRKICTAPQLVSKDEPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIQP >PVH47766 pep chromosome:PHallii_v3.1:4:15586012:15586263:-1 gene:PAHAL_4G145500 transcript:PVH47766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHQTALLSFLQDLARTVRQIPTRYAPVRHFVLNYSDSRKRRPLGQSRSVRPIVLKQGETTLARSLFSFCPAPRKQRSTCMC >PVH47327 pep chromosome:PHallii_v3.1:4:2339783:2342237:-1 gene:PAHAL_4G037500 transcript:PVH47327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPVDQELHADCSSRGVLHRSNLLIHRHGGLKIGDSTLANAYYYGLGCCRPLTSCWGSADYGFGIDVWSARCLLAEMFLSKPLPRATTEFFSTPPLPCVVDEDEEVADPAASHDGRKPKQRQRSQRRKDSKKKAEEQQSEINTGSPHKEDTLDALQQLSSKKYARKNYCPAMQVVPYCH >PAN24884 pep chromosome:PHallii_v3.1:4:45614680:45619627:-1 gene:PAHAL_4G259100 transcript:PAN24884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLPALGGKAAHLVSDLATVILNPVSERERQRHHPAHLPEAAEGKDTPFGDDDSDKNSETPDGPDTSSFRAFLMSFVSSTTSSKDSMETIPQHNLDVEYPTLTPVGKASSGRKGLLSRGKHSIGRIISKAGLSNFRQKPAYSIDGEFTGQTESVAPRFEMKGSKELALHDKLPAMSEPSVLLSEMMRSVLYSSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSRLCAGYSLLIVGDRRGAVFGGLVEAPLQPIIKRKYQGTNECFVFTNIEGCPVICRPTV >PAN24882 pep chromosome:PHallii_v3.1:4:45614998:45619316:-1 gene:PAHAL_4G259100 transcript:PAN24882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLPALGGKAAHLVSDLATVILNPVSERERQRHHPAHLPEAAEGKDTPFGDDDSDKNSETPDGPDTSSFRAFLMSFVSSTTSSKDSMETIPQHNLDVEYPTLTPVGKASSGRKGLLSRGKHSIGRIISKAGLSNFRQKPAYSIDGEFTGQTESVAPRFEMKGSKELALHDKLPAMSEPSVLLSEMMRSVLYSSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSRLCAGYSLLIVGDRRGAVFGGLVEAPLQPIIKRKYQGTNECFVFTNIEGCPVICRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSYTQEFKIKDVELWGFVNASKYEEMLTICRTEKQGIWNL >PVH47687 pep chromosome:PHallii_v3.1:4:9000985:9001398:1 gene:PAHAL_4G116800 transcript:PVH47687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASSLLLGRPWEFDNDASHHDRTNTYSFMYKDKKITFLLLSPADIRKYNKEFAENAKNNPPSDDSTDVQYNGIKLKGGAFIATISAAAELCDHPDAPCYTMLCEICVLFPIIRYLALCILLSLTFCKSLMMGLSRG >PAN23751 pep chromosome:PHallii_v3.1:4:8722412:8723173:-1 gene:PAHAL_4G114000 transcript:PAN23751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDVTSSPASPPGGAVEKRGGKGGGGRRPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDAAALVVKGPAAVLNFPEIAASLPRPASAAPRDAAAARAAAMDVPAAVAGAAAAAVPPAAPQEPPPSPHQQVPDPGQALVAADPDEELEEIVELPSIDEEALAADDLFWTTASFHVHHDSAAAADPWYEPAAAWMHAAGIAAQVDDMPLVVPGLAADQLWAPQPDGIVPSGFGALLWNL >PAN22609 pep chromosome:PHallii_v3.1:4:1953066:1955036:-1 gene:PAHAL_4G031200 transcript:PAN22609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g44230 [Source:Projected from Arabidopsis thaliana (AT5G44230) UniProtKB/Swiss-Prot;Acc:Q9FFG8] MVHLAPRHPPARLPAPPQPPPHPPADLPLPLLPPPSLTSLLLAAVAASPSLPHLRHIHALVVRLPVPQTSVPFLLSRLLRRLAALPLTLQPARAPLSYAAAVFSSLSPPDPFLATALLRFAHLTQPPLETFRLFSWLRRARGGELPLLPFAFSPLAKSAAAARSLPAAAAAHAVSILLGGFDKHRFVENSLIGAYVACGDVGAARKVFDDMMVKDEISWTSIVVAYSKSGDMGSAEEVFVECPVKDMVAWTAMVTGYAQNAMPVKALEVFEQMAAAGMAIDEVLLTGAISACAQLGAVRRAAWVQEIAEQNGFGRNVVVGSGLVDMYAKCGLIDEACRVFEGMKEKNVYTYSSMIVGLASHGRANDAIALFNDMVSRADVKPNHVTFIGVLAACSHAGMVREGRFYFAQMKDRYGILPSADHYACMVDLLGRAGLVIEALDLVKSMTVEPHGAVWGALLGACRIHGNTEVAKVAAEHLFKLEPEGIGNYVLLSNTLASAGEWDEVSKVRKVMRNRRLKKDPAVSCFEGRDGLVHQFFAGDNTHPRTSEIKKALLELVAKLKLAGYVPHLSSIVYDVSEQEKERLLMGHSEKLALSFGLLTLGSGSTIRIVKNLRICEDCHLFMRLVSKVEPINIIVRDNMRFHHFKDGECSCGGFW >PAN22687 pep chromosome:PHallii_v3.1:4:2329793:2331329:1 gene:PAHAL_4G037200 transcript:PAN22687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGGKAKPLKAPKVDKKDYDETDLAYLQKKKEEEKALKDLKAKAQKGAIGGSGLKKSGKK >PVH47231 pep chromosome:PHallii_v3.1:4:648499:651193:1 gene:PAHAL_4G009600 transcript:PVH47231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEVTMKALVLSLVVGAVAGIRPSKLMRQVPAIYVFGDSTLDVGNNNYLPGEGVPRADKPYYGVDLPGSGKPTGRFSNGYNTADFVAKRLGFDKSPLAYFVLKAHNKLIPSSITRGVSYASAGAGILDSTNAGKNIPLSQQVRLFESTKAEMEAKVGPRAVSELLRRSFFLVGAGSNDFFAFATAQAEHNKTATQSDVAAFYGSLLSNYSAAITELYKLGARKFGIINVGPVGCVPRVRVLNVTGACADGMNQLAAGFDAALKSLLAGLARKLPGFAYSLADSLGLTQDTFANPLALGFVSSDSACCGSGRLGAQGECTPTTTLCPDRDRYIFWDSVHPSQRSAMLGAKAYYDGPPRYTTPITFKQLAAATP >PAN24138 pep chromosome:PHallii_v3.1:4:36195017:36200586:-1 gene:PAHAL_4G206200 transcript:PAN24138 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MAIGGGSGSVGGGSWSIHGRADVTSRYEVLGRAGSGAYADVYRGRRRSDGATVALKEVHDAVSARREAEALLAVGPSPHVVALLDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRAAGGWGVIPTAQLKRWMLQVLEGVAACHRAGVVHRDLKPANLLISEDGMLKVADFGQARILQQTASIYQDMHPHDHNSGMEPWVSQQPAVLQGTEEESPCYESVVPAAQEPETLTAADYLRELDQLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDPFRASYSYDVEGIGEESGVFTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCILAELLNLEPIFPGISDIDQISRMISVLGDITEETFPGCSNLPDYNKIFFNKVKKPTGLEACLPNKSPSEVSIIKQLICYDPAKRTSAADLLNDMYFTEEPLPVPIGGLQVPASKDEDDDSSMEEWGIYKDGGSDSDFDEFGSMDVTKTDKGFSIRFS >PAN24137 pep chromosome:PHallii_v3.1:4:36195016:36200586:-1 gene:PAHAL_4G206200 transcript:PAN24137 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MAIGGGSGSVGGGSWSIHGRADVTSRYEVLGRAGSGAYADVYRGRRRSDGATVALKEVHDAVSARREAEALLAVGPSPHVVALLDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRAAGGWGVIPTAQLKRWMLQVLEGVAACHRAGVVHRDLKPANLLISEDGMLKVADFGQARILQQTASIYQDMHPHDHNSGMEPWVSQQPAVLQGTEEESPCYESVVPAAQEPETLTAADYLRELDQLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDPFRASYSYDVEGIGEESGVFTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCILAELLNLEPIFPGISDIDQISRMISVLGDITEETFPGCSNLPDYNKIFFNKVKKPTGLEACLPNKSPSEVSIIKQLICYDPAKRTSAADLLNDMYFTEEPLPVPIGGLQVPASKDEDDDSSMEEWGIYKDGGSDSDFDEFGSMDVTKTDKGFSIRFS >PAN23848 pep chromosome:PHallii_v3.1:4:10026150:10027338:1 gene:PAHAL_4G124100 transcript:PAN23848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAEYPWRAQSFGDAGAAQRSALVLASYPVLLLLVILAAFVRYLWVALAMYCALLFVLSCAARAFGARPAAVGDGDEEEARAGHGGLSAAAIAAVAPAFPYEPPAAPAPSDCAVCLEAMKAGEPARRLPACAHAFHVGCIDMWLDSHATCPVCRCDVVPRKGGKEPPEGPALPSSAEPPLPPV >PAN23073 pep chromosome:PHallii_v3.1:4:4224868:4226221:1 gene:PAHAL_4G064800 transcript:PAN23073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGGVRVASSVEVWGRGLSSGGGGSRAGRRGAAAATVRCSCVGEAGPASGGLAEEHYRTLRLRPGATRGEVKKAFRRLALMYHPDVRKESDGDGGVQFQRINVAYQMLMSNMREAEERLEYWRLKYGLNDQDLDRYRYYLNEDDDDWLDM >PVH47519 pep chromosome:PHallii_v3.1:4:5399362:5402652:-1 gene:PAHAL_4G078800 transcript:PVH47519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAEMPGVVSVFTNTKRRLHTTHSWDFMGLSTNAECEVPGLSTKNQENVIVGFIDTGIWPESPSFSDHGMPPVPKRWRGQCQRGEANSPSYFTCNRKIIGGRYYLNGYQAEEGGSSKNAIKFISPRDSSGHGSHTASIAAGRFVRNMNYGGLGAGGGRGGAPMARIAAYKTCWDSGCYDVDILAAFDDAIRDGVDIISVSLGPDYPQGDYFSDAISIGSFHATSNGILVVSSAGNAGRQSSATNLAPWMLTVAAGTTDRSFVSYIRLANGTYITGESLSTYHMKNSFRTIPASEANAGYFTPYQSSFCLDSSLNRTKARGKILICRRTRGSSESRVSTSMVVKEAGAAGMILIDEMGDHVANHFAVPGTVVGKKMGDKIISYIKSTRHASTMILPAKTILGLQDGPRVAAFSSRGPSSLTPEILKPDVAAPGLNILAAWSPAKNNMHFNILSGTSMACPHVTGIAALVKSVYPSWSPSAIKSAIMTTATVLDKKRRTIATDPDGKAATPFDFGSGFIDPIKALNPGIIFDAQPEDYRSFLCATSHDDRSLHLITGDNCTCTRRSSSSATVLNYPSITIPYLKKSYSVTRTMTNVGNPRSSYRAVVYAPRGINVTVTPQVLNFKNYGVKKAFTVNFHVDVPPRGYVFGSLSWHGNGRDAHLTMPLVVKA >PAN23338 pep chromosome:PHallii_v3.1:4:5900189:5903486:-1 gene:PAHAL_4G084900 transcript:PAN23338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGHNDLLLGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASSSRACLLQQLANSKSELTRLLSALGELSVSGIPDKTTGTIKEQLAAISPSLELLCKKRDSRVKEFANVQLQIQTLRGEITGNLQFGEHLETPHVNEDDLSVKKLNEFLFELQALQKEKSNRLNKILESVSSVHDLCSVLGTDFVDTVTEVHPSLDDSVGVQAKSISDETLSKLSKMVIGLQEEKSKRFTKIQALASQLSDLWNLMDAPVEERQPFHHITGNMSLTLDEVTVPGALALDIIEQAELEVERLDQLKASRMKDIAFKKQTELEDIYARAHIAIDSSAARDRIMSIIESSSFEPSELLADMENQILKANEEALSRKDILERVDRWMLACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARVLVNKIPVIVDTLVARTRAWEQEHGMPFTYDGVPLLAMLDEYKVLRQEKEEEKRRMRDQKKINDQLAAEQEKLFGSKLSPARPQSSRKVAGARANGGGGAVNGTPVRRLSALQSGGRTASRDGRRDPSRPVAPVNYVAIAKEDAASHASSNHTGPSTP >PAN23336 pep chromosome:PHallii_v3.1:4:5899551:5904791:-1 gene:PAHAL_4G084900 transcript:PAN23336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGHNDLLLGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASSSRACLLQQLANSKSELTRLLSALGELSVSGIPDKTTGTIKEQLAAISPSLELLCKKRDSRVKEFANVQLQIQTLRGEITGNLQFGEHLETPHVNEDDLSVKKLNEFLFELQALQKEKSNRLNKILESVSSVHDLCSVLGTDFVDTVTEVHPSLDDSVGVQAKSISDETLSKLSKMVIGLQEEKSKRFTKIQALASQLSDLWNLMDAPVEERQPFHHITGNMSLTLDEVTVPGALALDIIEQAELEVERLDQLKASRMKDIAFKKQTELEDIYARAHIAIDSSAARDRIMSIIESSSFEPSELLADMENQILKANEEALSRKDILERVDRWMLACEEESWLEDYSRVQCN >PAN22384 pep chromosome:PHallii_v3.1:4:1042601:1047303:1 gene:PAHAL_4G016100 transcript:PAN22384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQRSPAMVGGGGAATAAASVGMPSQATVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIFDSSTDSKVGVGSLMDKAATPALPAGSLYMEEVHAKLGEELWFTIGGQRIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEVEGTYQPGNVSKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >PAN22902 pep chromosome:PHallii_v3.1:4:3430313:3431810:-1 gene:PAHAL_4G052000 transcript:PAN22902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSDTGSSFAQWAELYHHDPTPGLPAAADPVAAGWPDMFAAAAASPPTSGGSGGSPTKAAQQQLGVDGPRVGKPARRRSRASRRAPVTLLNTDTANFRAMVQQFTGIPAPPAGAFGAPVISFAGDYGFPPPSGVMSFDHLHRSHPAPPAPLQDQLIRRQQQYTGGAFGYSSSSSLLHGGDLFASPHGLASAAEDRMLLQSIQQAAAHHTASAGNASSNGFFA >PVH48297 pep chromosome:PHallii_v3.1:4:48926101:48926895:1 gene:PAHAL_4G302100 transcript:PVH48297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVGIFFFKCKYVKQCWRSMNMEDVRVELIKCQLGKETMNKIWELVKSKQLKVIVFLWRWWSARNKVNDGGRLQCAAEIQGSINFLLNTRTGGWGFVVRDSKGEVLLAGAGKFMRAASVIQTVVVAALKAIQQAAQLGMTHIILEADASVLDLMQTEFSSCIVTLCNRSCNMVADCLATYGASTLAIASDMCMSQAPEFVRELASGDLPRSNV >PAN24871 pep chromosome:PHallii_v3.1:4:41168183:41169371:-1 gene:PAHAL_4G225200 transcript:PAN24871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHDWRLRLRGAAADSCRGCTDPCYSGPDLVLLLPEVLLSADFGEPGVVTSGAGSRAGEAGSGLAQHAGEMVGWGQQWQATVEASPEDPFLPMRRHAGRAPSSPGGHSAPTERRYAGHAGSQGGADAQAAAGGVQPHRSGQSR >PAN24449 pep chromosome:PHallii_v3.1:4:40240415:40243142:-1 gene:PAHAL_4G221500 transcript:PAN24449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPALAAWFFHLLVFVGGVSAAAFSFRVLAYLALCLRRPRDLRRRYGAWAVVTGPTSGIGRSVALELARRGLNLALLDLDAANLQETSDAITSRHTVETRTVVFDLSLVGTPQGDESMRRLRAAIEGLDVGVLVNNAGVAKPAVAYLHEAEVEAWVRMIRVNLWALTEVTAAVLPGMVERGRGAVVNMGSASSEAIPSFPLNTIYAATKRYISKFSRRLYVEYRSKGIDVQCQAPFFVATRLVPSAVLDNWLSPLVPTPDTYARAAARWIGHGPLCTPTAGHQLLWCLAGVLPDAAHDWLRMREHLRLRALSQRVRAARASAADRGEKTTRAKKMSF >PVH47999 pep chromosome:PHallii_v3.1:4:38416585:38417469:1 gene:PAHAL_4G213900 transcript:PVH47999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSKIFWDHEGHAHTNAFHWEGFPRLLWESLQLFSYTEPPKYKGVEYSEEGVPRCRVKMTIPQHPSRSLWQPIEIDVVGHRLADTFEAAALEAINIFCDQHPNEVARYPIGLFPAADSRDPEWTFRVSHFGHLLGDLAEETLRATVRFMNAQYRHQILQHHGMSQMTSIAQGYHRNINWQITQIEELQATITTKDEVIAQRDETITHQEDQIIESDRLIIQHNTVIEFLQEQVHDLTLELDDAIAHIDMLDEQLVSPVAPEDSESEDEEEDPEEIEGVSDLDSEHGDPEPNP >PAN24417 pep chromosome:PHallii_v3.1:4:39095312:39101166:-1 gene:PAHAL_4G217000 transcript:PAN24417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHVPAPLIRPCPLGVRRDAWTRPVRGHGGCTRDRDTLGCHERSKADFDHDSGDSGAVHCQITSGVNSDSTSAPPVTHLRAIAIEILCKWGVHEDQRSIPQIEDVEEGRKFMLMLVTFSATITYQAGLSPPGGFWAENEENQHPATSMLRSKNLARYNLFVSCNSTSFVASLVTIILLLSPELSRHGIRSRAVKVCVVADLLGLMGAYAAGSCRTLVQSFCVIFIAILVWIFIAVLAGSFVIKPVADCLRNVKVSCMDRVGRVFSLEYGRNRSTNKVEENSVSSNQQTTVRVTDSAEQVSALEPEHQSAYYQQVPKVKKGEPAREHQSTGEQEQTSTTEEVVSDTGHTLANDQQSENEEDVMYNQEGQSADHQSVAKVASSKTENLQFADMKKQFSFVDDPKTPNFAMSNPDHKSLDTQKIANMMGQSSSTDVQEPTIMPVEEFSKHITMSSDHNGATNNLTVEKESSDVPLKTNEIEIVRMNDDIRPNENGYTDNYEGAPRQADSKENASGNLADKHLKKSRTYLLLLAILAVSLTYQSGLNPPGGFWSKQENNNLTGGFIAKDTHHRPYHLPGDPILEDNNHRRYIVFFYLNAIAFVASIVMIIMLLNRRMSDKVIKRYALQTAMIVDLLSLTGSYLSGSCRKTKNSMYILLLLCLVFAYVLIHVLIAIHAIPEGWKQLVSEKLEHLSCKHLWPRIEPGHNQGGEGNEKNWERRRKLLLMLAVLSATVTYQAGMSPPGGVWSDDKGVSGKPGYPILQDNNLKRYDVFYYSNSVSFVSSVAITILLVNRESCEHGIKFYALRVCSVVGLVGLLIAYAAGSCRKAKQSIYLIIIAVAVLISVVIQVFLLSSTHSTLGGPFGRCMKCLLEWLFGATEAVQESTSNKQESSGHRAKKARKRHKYLVLLAIVAASITYQAGLSPPGGFWSNYDGVHTTSNPVLNPPADYWWYNKSHMAGNPVLLDIHPRRYKTFFWLNSLSFMASIVVIMYLLNTSIWKMDVPLEVLHLIMILDLLALVTAFAAGSCRKFRTSIYVYGLVLAVVIYLVIVVLLSKSIADFLKPGETSGRSSQRHTNGASGTEPLISEQEV >PVH47305 pep chromosome:PHallii_v3.1:4:2043115:2046404:-1 gene:PAHAL_4G032900 transcript:PVH47305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLDSSSGLWTVLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACRRLAQHVEIVGGLLRELELAELMLREATRRPLEQLSGALRRCYALVTACQDCRGGYLGRLFRGTRMAEELRTAEQEIDMFIRLVPLIALVDTTHDRRIKATERVQSVAASCSSLQTRTQTRVVEFTEISVQGAIAKVGKQLLEEKVHFEEQKTKDVEEFVKLCTCTQESYPGFKKYDLFQIVDGTENFSEKRIVGSGGFGTVYKES >PVH47349 pep chromosome:PHallii_v3.1:4:2629145:2629782:1 gene:PAHAL_4G042600 transcript:PVH47349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNKIPSLRSSGIYKWTSLSECHHTKCATERTPQVPVSEGTITGRGDGWKGRELQLLLCGACSSCCPCQASSRWPDSQGVLWVLRQLLPWLQGGQPSVALHNQVRGVLHRAHRRVRCRRLLQDLPRLDLRRGRDRDN >PAN24608 pep chromosome:PHallii_v3.1:4:43260123:43264205:1 gene:PAHAL_4G238200 transcript:PAN24608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLHPLPLLLLPFLFAAAGVSAGGANGAHVSAVVAEKGLAFAKDVLIGEAVRSLTPLRLPGVEKAVRVPFLGGVRVAASNITLFHLDVGDNSTVHPGGSGLVVVASGITANISMHWSYCYDSWLLPIEISDSGTASILVQGMEVGITMEIKNSNGSLALSVLHCGCYVKDLVISLDGGASWFYQGFINAFEDHIKAAVEKAIPENIIGGAGKLDSFLQGLPRTVSLDDVAALNMTFVNDPHYGNSSIEFDINGLITSAVAKTTNNLQKHPHLSLSCGGASKMLLLSLDEDVFNSALDVYFKAGSMHWVVDKVPDQSLLNTASWKFIIPRLYWNYPNDDMLLNISMASSPVIKITSEKIGATINADMIIDVVDGKETVPVACISVVVSASGVVEISGNKVYGRVGLDDFSLALKWSKIGNIYMSLIQGVIRVFLNTVCMPYLNSRLGNGFVLPVVHGFTLQDVNILTSAKQLTLCSDVIFTNASSLASLAFL >PAN25752 pep chromosome:PHallii_v3.1:4:50431745:50433825:1 gene:PAHAL_4G323300 transcript:PAN25752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATADDLARRVAAFLPVTPRPPPPQKQQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDDTFFYSGPPNQSRSSAGKNNGGRPAAGAPTAGKERTTGGGDPLGATGRFARSQGSMNLSASYDSRTNDVESSVVARGDLWRAEASHSSGGGGGSSGSSPRGAGDGANLFLVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHKRWFFMSMLCLNPVACSFMDIQFPNGQLRYVAGDGFTTRAFLPLGGGVMQAHGKFPGEKRISFSFKNRSGGSVVPMVQWPDKSLSLGIVQPLSWRRSGLMLQPATQISICPTIGGRHPGVCTELIHSVNENAGIVCGYSHTASPSAHASISIGRSKLNGGAARSGIVFRVDAPLHGFGRPWFSVQMNSGIEF >PVH47652 pep chromosome:PHallii_v3.1:4:7857385:7858223:-1 gene:PAHAL_4G107700 transcript:PVH47652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRTPHSTGTGFTGGGERRQLRLAEQSWAAPVPPHKICGLAVRWCSAHLPGRFLQKLQVQFQVQQLLDTHAHCVHDLPAKAWRPESNSSMESEVDRFIGGVRKWELPGSSVADSAAFIRVRRIARRLVALRVVAVLAFVRCGR >PAN23069 pep chromosome:PHallii_v3.1:4:4216705:4217733:1 gene:PAHAL_4G064500 transcript:PAN23069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSVATVPLAVTAGMLYARAAAASTRPGPGRLFAFTPVLALLLVLPFSVPLYGARGLAAFFLVWLGEFKLLLLAFGRGPLDPALRPLPFVFTASLPVKLRQSRSQAADAAVTTAGTVALPLLSSGIKVAVMASVFSLLFRSKDTLHPYAAFALYGVVMYCVLDSLLPCLAVAGRALGMEMEPQFDRPYLSASLGDFWGRRWNLVASAALRAAVHDPVRARLGAPAGVLAAFLVSGLMHEVVLYYLTFRAPTGRVTAFFALHGACVCAERWCARRCVGRARPPRVVATPLVMGFVVGTAFWLFFPAIFGDGMDDLYLAENAALASLFLDAGAWLLRLVGLGQ >PAN25437 pep chromosome:PHallii_v3.1:4:48859931:48863597:-1 gene:PAHAL_4G301200 transcript:PAN25437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYQRPPEGLVEISGNILVFDHCFSTDLFEEDELMPYIGGILKQLLGRYSIDSFMVFNFEGGCPLLTMEMIHHFLRSSESWLTLSQDNFLLIHSEHGGWPVLAFALAALLVYLKRCTNERKALEMVRKHAPAGLAELFSPIDPVPSQLRYLKYVSKRHNSPELWPPADRMLNLNCIIIRKVPNFDGQGGCRPIFRIYGLDPLAPNNRATEVLFSTPKTSDFVQLYTQEDSEIIKVNVHCPVQGDIVIECISLDEDLEHEVMVFRAMFSTAFIDDNLLVLDRDQIDILWDTKHRFPVDFRVEAIFSDMDMSTTIGKSELSSEEKGSLSKVDDAFSHLDWSSENDHITNHESDQKGLHDEHDGFDAIPPEETETSNSAAEQSFLDSRSVEIHHTEPAHNHSSAPKSDGSEDYAANARSSPEAEALEPNSQGDQLFTDTSARDEPEVDGTKNEPNSEISRDAEAGGAAAAEWSDNNSDVFLSDSPSSSPPSSPPKFDEDTVEAGMVDTRSQPTELKI >PAN25435 pep chromosome:PHallii_v3.1:4:48859931:48862281:-1 gene:PAHAL_4G301200 transcript:PAN25435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTLFITILFNNKNNICSLLMMLTLDCFLPVFDHCFSTDLFEEDELMPYIGGILKQLLGRYSIDSFMVFNFEGGKKHNQIASIFSYYDMSVMGYPRNYEGCPLLTMEMIHHFLRSSESWLTLSQDNFLLIHSEHGGWPVLAFALAALLVYLKRCTNERKALEMVRKHAPAGLAELFSPIDPVPSQLRYLKYVSKRHNSPELWPPADRMLNLNCIIIRKVPNFDGQGGCRPIFRIYGLDPLAPNNRATEVLFSTPKTSDFVQLYTQEDSEIIKVNVHCPVQGDIVIECISLDEDLEHEVMVFRAMFSTAFIDDNLLVLDRDQIDILWDTKHRFPVDFRVEAIFSDMDMSTTIGKSELSSEEKGSLSKVDDAFSHLDWSSENDHITNHESDQKGLHDEHDGFDAIPPEETETSNSAAEQSFLDSRSVEIHHTEPAHNHSSAPKSDGSEDYAANARSSPEAEALEPNSQGDQLFTDTSARDEPEVDGTKNEPNSEISRDAEAGGAAAAEWSDNNSDVFLSDSPSSSPPSSPPKFDEDTVEAGMVDTRSQPTELKI >PAN25436 pep chromosome:PHallii_v3.1:4:48860168:48863350:-1 gene:PAHAL_4G301200 transcript:PAN25436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYQRPPEGLVEISGNILVFDHCFSTDLFEEDELMPYIGGILKQLLGRYSIDSFMVFNFEGGKKHNQIASIFSYYDMSVMGYPRNYEGCPLLTMEMIHHFLRSSESWLTLSQDNFLLIHSEHGGWPVLAFALAALLVYLKRCTNERKALEMVRKHAPAGLAELFSPIDPVPSQLRYLKYVSKRHNSPELWPPADRMLNLNCIIIRKVPNFDGQGGCRPIFRIYGLDPLAPNNRATEVLFSTPKTSDFVQLYTQEDSEIIKVNVHCPVQGDIVIECISLDEDLEHEVMVFRAMFSTAFIDDNLLVLDRDQIDILWDTKHRFPVDFRVEAIFSDMDMSTTIGKSELSSEEKGSLSKVDDAFSHLDWSSENDHITNHESDQKGLHDEHDGFDAIPPEETETSNSAAEQSFLDSRSVEIHHTEPAHNHSSAPKSDGSEDYAANARSSPEAEALEPNSQGDQLFTDTSARDEPEVDGTKNEPNSEISRDAEAGGAAAAEWSDNNSDVFLSDSPSSSPPSSPPKFDEDTVEAGMVDTRSQPTELKI >PAN22299 pep chromosome:PHallii_v3.1:4:679222:693591:1 gene:PAHAL_4G010200 transcript:PAN22299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MASHFTYIHELRELIASSSTASAGSAPGSSHLEVKLREVLPNLLRDYVIPSPKASERERREVIALLKLVAYTALKFPGVFYNGRAADVISVIGRILPFLAEPDFRSSHELIFNAVWNLLSILRTGDREAYRQFFLDAMVAVEDLLYVASMHDESPSGVPPGRCLVKCLCGSFSNILDSPGPYSELPASCQPKNGPGVLVDLTGNARWRPFATSLIKLVNKCIADGTLYVDGLVNMSFVSAACSILCYGDGSLHKVCFDFARIVATVMTAEILPLENIIRSITCILGQDVAELSDIRDADYDFSMGACLHALHSSCPGYVVESTAADIVNVLQRAVKASKSAELQVAMYTTYKRIIELCPAQVWKPEILLKLLCLPKPCSKLIECIRVVISKFGQDFFTLDDSNSQSSPQARSENFDLPKVGQKRISQNEESSFSKRQKMTESGFSAGVGFKLREDYGYAFRQSLFSLIKSLSPDNYETYPLDPETAIEVISLLCLSLCVYSKTSLFTRVSKQVLSWISWIHKQITQRNMFSFDAPLYFEALHTVMLLQFNLPGHAKLFEDESQFSGDGTHFLHPIYADLISMLKLLLDDAHVVTQTSSDYKTKCLLMQTIAKVGNKLNAGCDLEVLDLAIRNGTVEIQNESIMSLPIIVLYSGPKMLGAMFRKLESVGALGLVTVGKSMAFSLGFLSCLNVTTDCTDNVGNHCNLFLDKHSEQPMSTLDLLSRGFRCPQCDIRNRIVQNEEKISIMDTALVHVENVNFNINIYKAHTLFFKFLYAETSEESLVYWVEVLPRILRHSSRHVLLEMRTQWVQCFEFLLLHEMKAVREAFSGVVCCFLEKNVLDVLCSDGLGKDGGTKELQFMDKIKCAFTEAEDSQILLTLLESIGTIMKVSDIHGEVFFCSFVLLIDQLDNHNSIIRMTALRLIHRCCTYCFKGGLDHFLSKYSNARDNLYNYLSSRLVTHPIMIKEFAEDVVGIKTKELIERMVPSVIPKLIVSLPNNNHAINTLRELASHLNTELVQLIINWLPTVLCFALFYDDGQHLPSVLQFYKNETETYSKELFAAALPTLLDEIVCFPGESDQIETDIRTAKISPTIQNIARILTGNETLPQFLRNDFVRLLNSIDKKMLHSDDMKLQKQALQRIRKLVEMMGPYLSTHTPKIMVLLIFAIDKEGLQMDGLDVLHFFIKQLAGVSPNSIKYVMSQVVAAFIPSLEKCKVCPSAHLSKIVEILEELVVKNNSLLKQHIRELPLLPSLPSLSEVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELSKLFNARRDDLTALIIGEDIAHLDVISSLIMALLKGCAEQSRTNVGQRLKIVCADCLGALGAVDPAKVKVISCERFKIKCSDDDLIFELIHKHLARAFRAAADTTVHDSAALAIQELLKLGGCQSSPSDDSLRESNCCEMSYRGQKLWGRFSNYVKEIIAPCLTSRFHLRNPTDSAPLGPIYRPEMSFRRWIYYWIRRLTSHATGSRSGIFSACRGIIRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILCVLNAAASESSGAAVHGIAGGQSEVCIQAIFTLLDNLGQWVDDLKQEIALSQSSYAMAGKHGGKLKGRTCSDYEQDQMLVQCSNVAELLAAIPRVTLARASLRCQAHARALMYFESHVQENSGSSNPAAECSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSSLQDQLIINEKAGNWAEVLTLCEQALQMEPTSVHRQSDVLNCLLNMCHLQAMIAHVDGLVRSIPQYKKTWCMQGVRAAWRLGRWDLMDEYLTGADKGLVFSGSENNASFDMDLAKIFKAMMNKDQFLVAEQIFQSKQALLVPLAAAGMDSYMRAYPYVVKLHMLRELEDFNSLLGDKSFIDKSFSADDPKFLKLTKDWENRLRCTQPSLWTREPLLALRRMVFSQSHMHAQVGNSWLHYAKLCRLAGHYETAHLAILEADASGAPNAHMEKAKYLWNIRKFDSAIAELQQTLLNMPAEILGSAVLSSLCSLSLALPNPPISATQASKENPDVSKSLLLYTRWIHYTGQKQSADIKSLYSRVTELQPKWEKGFFCMAKFVDDLLIDARKRQEDDKFACKVGPVSSSSSNSVSRANEEKEKPWWELLPGVLLYYAKALHKGHKNLFQALPRMLTLWFEFGSIYAQEESSSDHHMKEIHGRVLSLIRGCLKDLPTYQWLTVLSQLISRICHQNTHVVRIVKYIIIFILKEYPQQALWMMAAVSKSTVPARRDAAAEILQSAKKGCQRGNSALFIQFPSLIDHLIKLCFHPGQPKAKTINISTEFSSLKRMMPLGIILPVQQALTVTLPSYDSNMLDQSGFHPFSVSEHPTIAGIADEAEILSSLQKPKKVVFIGSDGVARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRHILQDIYIAHGKFDRMKTNPQIKKIYDTYHGKMPDDEMLKTKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLLLDKPEVVPFRLTQNMIDGLGITGYEGIFLKVCEITLSVLRTHKETLMTVLETFIHDPLVEWTKTNKSSAGEVQNPHAQRAITNIKARLQGVVVGVKASPSLPLSVEGQARRLIAEAVSLSNLGKMYIWWMPWF >PVH48299 pep chromosome:PHallii_v3.1:4:48972853:48977414:-1 gene:PAHAL_4G302500 transcript:PVH48299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGASAAGMEEEKKGVMMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCRYHVTTTNQAIMALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSKRERSNLDIYKDFNKPPSADSCHGHNQIVGGAPDQSGRVSKKRKEMHSDEEDEGEENDLQEGDEPSATKKPRVVWSVELHRKFVAAVNQLGIDTVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGIQGYQPFVPSAALSSFNPHGLLTGTSAATFGVQELAPTMTVQTATNNAIIGHCTSDGNKFQYVGLQENQPANLPRGSTTPLGLPQLQQKWIHQENNDLSTVFSGSALANSLSGALQRVTSSPLPPQELLECAQAKLTIRPSMPMPSMNSELVERTVGVSSNLQDSSVSQQGALPINDAFSTDKLQLHDPFDGTSGTKFSVTMPVCPTGSLTATNNKSGASSCGTVLLPPDTGRHSNYLQFAGAGNSRHEIDGMKQDHLHNQEMSIGSFNHDFGACMTEQTNASMSSLMPQMKINSLTSEDKLKQKNIYDLGIPKLHGGFSSSSCNFDGLLSSMIKAEKDDLSFTDNDLGCDLFPLGACI >PAN25455 pep chromosome:PHallii_v3.1:4:48973099:48976593:-1 gene:PAHAL_4G302500 transcript:PAN25455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGASAAGMEEEKKGVMMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCRYHVTTTNQAIMALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSKRERSNLDIYKDFNKPPSADSCHGHNQIVGGAPDQSGRVSKKRKEMHSDEEDEGEENDLQEGDEPSATKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGIQGYQPFVPSAALSSFNPHGLLTGTSAATFGVQELAPTMTVQTATNNAIIGHCTSDGNKFQYVGLQENQPANLPRGSTTPLGLPQLQQKWIHQENNDLSTVFSGSALANSLSGALQRVTSSPLPPQELLECAQAKLTIRPSMPMPSMNSELVERTVGVSSNLQDSSVSQQGALPINDAFSTDKLQLHDPFDGTSGTKFSVTMPVCPTGSLTATNNKSGASSCGTVLLPPDTGRHSNYLQFAGAGNSRHEIDGMKQDHLHNQEMSIGSFNHDFGACMTEQTNASMSSLMPQMKINSLTSEDKLKQKNIYDLGIPKLHGGFSSSSCNFDGLLSSMIKAEKDDLSFTDNDLGCDLFPLGACI >PAN25454 pep chromosome:PHallii_v3.1:4:48972799:48977438:-1 gene:PAHAL_4G302500 transcript:PAN25454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGASAAGMEEEKKGVMMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCRYHVTTTNQAIMALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSKRERSNLDIYKDFNKPPSADSCHGHNQIVGGAPDQSGRVSKKRKEMHSDEEDEGEENDLQEGDEPSATKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGIQGYQPFVPSAALSSFNPHGLLTGTSAATFGVQELAPTMTVQTATNNAIIGHCTSDGNKFQYVGLQENQPANLPRGSTTPLGLPQLQQKWIHQENNDLSTVFSGSALANSLSGALQRVTSSPLPPQELLECAQAKLTIRPSMPMPSMNSELVERTVGVSSNLQDSSVSQQGALPINDAFSTDKLQLHDPFDGTSGTKFSVTMPVCPTGSLTATNNKSGASSCGTVLLPPDTGRHSNYLQFAGAGNSRHEIDGMKQDHLHNQEMSIGSFNHDFGACMTEQTNASMSSLMPQMKINSLTSEDKLKQKNIYDLGIPKLHGGFSSSSCNFDGLLSSMIKAEKDDLSFTDNDLGCDLFPLGACI >PAN25994 pep chromosome:PHallii_v3.1:4:51647819:51653780:-1 gene:PAHAL_4G342100 transcript:PAN25994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRALADTFSSLLVSSGGGAPMDAAGAAPSPASVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDATAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGEGAAASVVPKKVVASNPINNTAKPAPRSIQRSTLQNSPTFNRGGQASTHQRISSGGSKPVRKAGASCDDKLVEMINTTIVDRSPAVHWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAIDRQPSVIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVSSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKNQLKGQAFKLSNYDFERLAVETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLRYEDFKNAMTVIRPSLQKSKWDELEKWNEEFGSS >PAN26098 pep chromosome:PHallii_v3.1:4:52000327:52002233:1 gene:PAHAL_4G347400 transcript:PAN26098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSSHPQQNCCCRLEFPKRNGVVVSTQHHRREGATMLRPALACCKLYISEARNATALRAIERAAAGLRPAAVLVNAFPDDAYNRVGYTLVSPLAGGGGGGDSAPPPLHRAAFGMVAAALEAVDFGAHAGAHPRLGVVDHIAFHPLAGARLDDVAALTRAVAADIGDKLQVPTYLYGAAHGEGRTLASIRRQLGYFTPNSPGEQWRGAPDSSSLPVAPDAGPATASRPKGVVAIGATAWVDNYNVPVHTADVAAAKRIARAVSERGGGLKFVQAMGLTHGEGVTEVACNLLDPASVGAEQVQERVRQLAAEQGLTVGEGYFTDFSQERIVELYMQSAEAEASQQ >PVH47212 pep chromosome:PHallii_v3.1:4:224093:225282:-1 gene:PAHAL_4G004500 transcript:PVH47212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQYIRSEEAIVLTPVPGDTRMYKKRSKRVRLGSSSVVSWHTDLHVLGWCLEGELSLLQFLKPGTPVGRSKDMSLLADTLEILHSTPSKIVLPADLRRLIGLFKNFDPKYYDLVIVNICHESEAMKCSIFVKMFNRLMVLERTDKRLYRKIMKSIPYAKVWIREASMNAHLPTAMRYDGSKPGNIKALRDYSIDFRGCKEAALCAAVAAFALQPGLPARRRHQPLKPLSKAMASHIGSALVGGGACGTAEGRTAAAAALHAALEDSDVALWLWLQLLLLPLMPPVNCMISQVHLLQLSLYARP >PVH47255 pep chromosome:PHallii_v3.1:4:1171088:1172070:-1 gene:PAHAL_4G018100 transcript:PVH47255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTILCSFGAYWYLFEGQTKTLFRCILSSSPGSNLMIKHKYRVTSIYFEGKLKRQHSRHGPGHGAQAGTAGMVCRAWAASAARRLSRPGLLQWRCPPIHQKAMATASKQAAAHRGRRQRAGEGVAGGRSGGRRRRQPTGVGMRKRRLAAASIKRVGGGQHGHGGVPIFFRLARQESRPVGSCLVRELGTAQPVSMIPCF >PAN23292 pep chromosome:PHallii_v3.1:4:5652777:5655488:1 gene:PAHAL_4G082300 transcript:PAN23292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRPPFRPEEYSLKETRPHLGGHAAGDKLTSTYDLVEQMQYLYVRVVKAKELPNMDITGGCDPYVEVKLGNYKGTTRFFEKNNNPEWNQVFAFSKERIQSSVVEIVVKDKDLVKDDFIGRVVFDLNEVPKRVPPDSPLAPQWYRLEDRHGHKVKGELMLAVWMGTQADEAFPDAWHSDAASVPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDKTRFPEVYVKAMLGNQVLRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLMLSVEDRVAPGKDEVIGRTVISLQHVPRRLDHRLLTSQWYNLEKHVMIDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKPLWKPSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHSYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLGRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHVLFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVVFCFIAAIVLYITPFRVVVFLAGLYVLRHPRFRHKMPSVPLNFFRRLPARTDSML >PVH47853 pep chromosome:PHallii_v3.1:4:22409643:22410803:-1 gene:PAHAL_4G167700 transcript:PVH47853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIQTTSPKTSSRVSSCSQSCLWLGPPLEFRMAQDSDDLLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH47441 pep chromosome:PHallii_v3.1:4:4221634:4223793:1 gene:PAHAL_4G064700 transcript:PVH47441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKSSSGVRVCVTGGAGFIGSWLVKKLLERGYTVHATLRDTGARGVLLRLMAPRSDPPRRVGESAKKLKERRLVADRLLCVVARFRYLIPIRLVAAGDEEKAGLLRRLVPAAAERLRLFEADLFDAATFAPAIAGCRFVFLVATPYGLEAASSKYKTTAEAAVAAARVILRQCEESQTVKRVIHTASIASASPLKEGGAGAGYKDFISESCWTPLNVDYPLRSAHFDEYILSKLQSERELLSYNAGERPAFEVVTLPLGLVAGDTVLGRAPETLEHAVSPVSRNGPSFAFLRLLQRLLGSLPLVHVDDACDALIFCADQPSVAGRFLCAAAYPTIHNVAAHFASRFPNLDVLRE >PAN23071 pep chromosome:PHallii_v3.1:4:4221293:4223793:1 gene:PAHAL_4G064700 transcript:PAN23071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKSSSGVRVCVTGGAGFIGSWLVKKLLERGYTVHATLRDTGDEEKAGLLRRLVPAAAERLRLFEADLFDAATFAPAIAGCRFVFLVATPYGLEAASSKYKTTAEAAVAAARVILRQCEESQTVKRVIHTASIASASPLKEGGAGAGYKDFISESCWTPLNVDYPLRSAHFDEYILSKLQSERELLSYNAGERPAFEVVTLPLGLVAGDTVLGRAPETLEHAVSPVSRNGPSFAFLRLLQRLLGSLPLVHVDDACDALIFCADQPSVAGRFLCAAAYPTIHNVAAHFASRFPNLDVLRETEAVARVQPAEDKLGALGFRYRYGMEEILDGSVACAARLGSLDVAKLSVQKE >PVH47440 pep chromosome:PHallii_v3.1:4:4221523:4223793:1 gene:PAHAL_4G064700 transcript:PVH47440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKSSSGVRVCVTGGAGFIGSWLVKKLLERGYTVHATLRDTGDEEKAGLLRRLVPAAAERLRLFEADLFDAATFAPAIAGCRFVFLVATPYGLEAASSKYKTTAEAAVAAARVILRQCEESQTVKRVIHTASIASASPLKEGGAGAGYKDFISESCWTPLNVDYPLRSAHFDVSSEGVHTVEAAVGAGAPELQCRRAPGVRGGDPAPGPRRGRHGPRPRPGDAGARRVAGVPERALLRVPAPAAEAARLAAAGARGRRLRRAHLLRGPTLRRRPVPLRRRLPDHPQRRRPLRQQVPQSRRPQRDGGGGEGAACRGQAGRAGLQVQVRHGGDPRRQRCLRGEVGFSRRGQAQRAERVKKQEGSCVLGFEFFKCFARSKLPLNLANLNS >PVH47442 pep chromosome:PHallii_v3.1:4:4221634:4223793:1 gene:PAHAL_4G064700 transcript:PVH47442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKSSSGVRVCVTGGAGFIGSWLVKKLLERGYTVHATLRDTGARGVLLRLMAPRSDPPRRVGESAKKLKERRLVADRLLCVVARFRYLIPIRLVAAGDEEKAGLLRRLVPAAAERLRLFEADLFDAATFAPAIAGCRFVFLVATPYGLEAASSKYKTTAEAAVAAARVILRQCEESQTVKRVIHTASIASASPLKEGGAGAGYKDFISESCWTPLNVDYPLRSAHFDVSSEGVHTVEAAVGAGAPELQCRRAPGVRGGDPAPGPRRGRHGPRPRPGDAGARRVAGVPERALLRVPAPAAEAARLAAAGARGRRLRRAHLLRGPTLRRRPVPLRRRLPDHPQRRRPLRQQVPQSRRPQRDGGGGEGAACRGQAGRAGLQVQVRHGGDPRRQRCLRGEVGFSRRGQAQRAERVKKQEGSCVLGFEFFKCFARSKLPLNLANLNS >PAN23072 pep chromosome:PHallii_v3.1:4:4221293:4223793:1 gene:PAHAL_4G064700 transcript:PAN23072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKSSSGVRVCVTGGAGFIGSWLVKKLLERGYTVHATLRDTGARGVLLRLMAPRSDPPRRVGESAKKLKERRLVADRLLCVVARFRYLIPIRLVAAGDEEKAGLLRRLVPAAAERLRLFEADLFDAATFAPAIAGCRFVFLVATPYGLEAASSKYKTTAEAAVAAARVILRQCEESQTVKRVIHTASIASASPLKEGGAGAGYKDFISESCWTPLNVDYPLRSAHFDEYILSKLQSERELLSYNAGERPAFEVVTLPLGLVAGDTVLGRAPETLEHAVSPVSRNGPSFAFLRLLQRLLGSLPLVHVDDACDALIFCADQPSVAGRFLCAAAYPTIHNVAAHFASRFPNLDVLRETEAVARVQPAEDKLGALGFRYRYGMEEILDGSVACAARLGSLDVAKLSVQKE >PAN26084 pep chromosome:PHallii_v3.1:4:51967458:51969139:1 gene:PAHAL_4G346300 transcript:PAN26084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPVLPLLALFSVSSPIAHARTSSLKSMAVCSGHRVSIPLPSHAWLPLNHRRGPCSPLPSSATASMPSTADVLRRDRLRADSIRQGLNGTAGAKRGDATVPTTLGSSLDTLEYVVTVGLGTPAVTQTVLMDTGSDVSWVQCRPCPAATCHPQKDKLFDPARSATYSAFRCGSAACKGLGRHLYGNGCSRRGRCQYAVRYGDGSNTTGTYGADKLTLNPARAVDRFRFGCSHAAQLFTDMADGLVGLGGGSPSLVSQAGTKAFSYCLPPTPSYSGFLTLGAPRAASSRFAVTPMYRRSDAGTFYLVLLRGIAVAGRRLRVPPSAFRAGAVMDSGTVVTRLPPEAYRALRAAFRKEMRMYPRRAVPPSSLLDTCFNLTGDVKVPSVSLVFERGATVKLDASGIILRGCLAFASAGDDGAPGIIGNLQQRTLEVLYDVGGGAVGFRRGAC >PVH48304 pep chromosome:PHallii_v3.1:4:49156778:49157458:1 gene:PAHAL_4G304200 transcript:PVH48304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPAGGAGAINGAPAARGRRDAAWRGPGAGRRSPRRGHVETVARKDAAAADGAASRRGRATGGAASGAARGARAGRARPSAEACARGHGRPSPGRGCAQGRRPWLGSWAALGSRGEKGGNRKEGRRKGKGGKEEKGKRKKREKRKKKKRIREKERKEKEGRGEVSAPVAAVTAAGRPRAGKRAARGEQRGKKGKRVGANRGRSRGRSATRAVFARGEKEKGSSRL >PAN22150 pep chromosome:PHallii_v3.1:4:36299:40049:-1 gene:PAHAL_4G000700 transcript:PAN22150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVLALLMVSALAGAAAGGDIVHHDDEAPKIPGCNNDFILVKVQSWVNGKEGDEFVGVGARFGPKIVSKEKHANRTKLTLADPIECCSPPKDKVSGDILLVQRGKCKFTKKAKFAEAAGASAIVIINHVHELYKMVCEKNETDLDIHIPAVLLPKDAGSALHTLLTSGNAVSVQLYSPDRPVVDTAEVFLWLMAVGTVLVASYWSAWSAREAVIEQEKLLKDGHEGLLNVEAGGSSGMVDINVVSAVMFVVVASCFLIMLYKLMSYWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFIGAVSHLTLAVCPFCIAFAVLWAVFRQLPYAWIGQDILGITLIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLVVAFALRYDFVAKKSLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLITLGWKRGELQNLWMRGEPERVCTHMHMPLLPATPN >PAN22780 pep chromosome:PHallii_v3.1:4:2994345:3000985:1 gene:PAHAL_4G046300 transcript:PAN22780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPYQIPSTPARGVPHTRAHAAVLPVLSSDPPVLAVASMAPTGARRPRQPATSRHEARGQTTRSISAAARGTRPASHRRGRRVGEAIRASSVVRGDADQCLQPPRSPAMATPPRPPLLCIVLALCLCCCAPCLRADEGRRQLPGGGYRVRAVAVDEGGAQLRAELEADVEGSGGASAAYGEDVRKLDVYARLETSSRLHVRITDADHPRWEVPKDVIPREAPGNVALGASTGASPRSRVLSAATSDLTFTLHASPFRFTVSRRSTGDVLFDTAPSLDFKDRYLELTTALPAGRASLYGLGEHTKRTFRLQRNDTFTLWNADIAASNVDLNLYGSHPFYLDVRSAAPGAAGAGAGAAHGVLLLNSNGMDIEYGGSYLTYKVIGGVLDFYFFAGPAPLDVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNLADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVILDPGINVNKTYGTFVRGMQQDVFLKRNGTNYLGKVWPGDVYFPDFLNPRAAKFWAQEVALFRRTLPVDGLWIDMNEISNFVDPPPLNALDDPPYLINNSGVRRPINNKTVPASAVHYGGVREYDAHNLYGFLEARATHGALLADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGLFGIPMVGADICGFGGDTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYIYTLMHEAHTTGAPITRPLFFSYPKDVNTYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLFDYSLAAASATGTRVTLPAPADTVNVHVAGGNILPLQRPALTTSRARQTVFHLLVALGEDGSAAGELFLDDGESPEMAGPRGQWTLVRFSCKAEPGGATVRSHVVHDSYGPSRKLVVGKVVFLGLRSPAPPREFAVYVNGVKAGNSTGRAQGFRGSGAVGAAQVEGLSLAVGKEFELKVVMS >PAN22814 pep chromosome:PHallii_v3.1:4:2996704:3000706:1 gene:PAHAL_4G046300 transcript:PAN22814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSTPAAARARVAFLLLGVVFLLAVPWPGTAAQAGYRVVSVARAGGQLSAGLELAGDGEKAELGPDVQRLSLTARLETSSRLHVRITDADHPRWEVPKDVIPREAPGNVALGASTGASPRSRVLSAATSDLTFTLHASPFRFTVSRRSTGDVLFDTAPSLDFKDRYLELTTALPAGRASLYGLGEHTKRTFRLQRNDTFTLWNADIAASNVDLNLYGSHPFYLDVRSAAPGAAGAGAGAAHGVLLLNSNGMDIEYGGSYLTYKVIGGVLDFYFFAGPAPLDVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNLADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVILDPGINVNKTYGTFVRGMQQDVFLKRNGTNYLGKVWPGDVYFPDFLNPRAAKFWAQEVALFRRTLPVDGLWIDMNEISNFVDPPPLNALDDPPYLINNSGVRRPINNKTVPASAVHYGGVREYDAHNLYGFLEARATHGALLADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGLFGIPMVGADICGFGGDTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYIYTLMHEAHTTGAPITRPLFFSYPKDVNTYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLFDYSLAAASATGTRVTLPAPADTVNVHVAGGNILPLQRPALTTSRARQTVFHLLVALGEDGSAAGELFLDDGESPEMAGPRGQWTLVRFSCKAEPGGATVRSHVVHDSYGPSRKLVVGKVVFLGLRSPAPPREFAVYVNGVKAGNSTGRAQGFRGSGAVGAAQVEGLSLAVGKEFELKVVMS >PVH48147 pep chromosome:PHallii_v3.1:4:45788270:45792008:-1 gene:PAHAL_4G261600 transcript:PVH48147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRHLLPLLRAASPLPSPIHRRACPLLSTSASAAPFSFEDYLVAACGLSPAQAGKTAQKAVDETSRHSKKAFEDLSRSRLKSASNPDAILAFLSGVGLSRTDIAVVVAADPLLLRCSVKTIGPRLLALRDCLGLSAPQALVAAKRNRGLLHASLEKTIEPKPGARARVFAAGGRTWGASQFTDVQVCGGCGRL >PVH48148 pep chromosome:PHallii_v3.1:4:45788402:45791708:-1 gene:PAHAL_4G261600 transcript:PVH48148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRHLLPLLRAASPLPSPIHRRACPLLSTSASAAPFSFEDYLVAACGLSPAQAGKTAQKAVDETSRHSKKAFEDLSRSRLKSASNPDAILAFLSGVGLSRTDIAVVVAADPLLLRCSVKTIGPRLLALRDCLGLSAPQALVAAKRNRGLLHASLEKTIEPKVALFRQFGVRDIAKLCSNNPKLLTFSLERVQEFLLRAEELGVPRNSQMFKYAVAVVACNSREKVAAKIEFFKRTLGCSESEVSIVVFRQPSILGVSDEKHIRKFEFLINEVGMGPRYILERPYLFALSLEKRLLPRHRVLKVLQAKGLLNSKMSFTKFVTIGEKAFRLRFIDPHKDSVPGLAGYYTTACAGGVPPEVQLSS >PAN24914 pep chromosome:PHallii_v3.1:4:45788347:45791936:-1 gene:PAHAL_4G261600 transcript:PAN24914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRHLLPLLRAASPLPSPIHRRACPLLSTSASAAPFSFEDYLVAACGLSPAQAGKTAQKAVDETSRHSKKAFEDLSRSRLKSASNPDAILAFLSGVGLSRTDIAVVVAADPLLLRCSVKTIGPRLLALRDCLGLSAPQVVRFLLVGSRSLHSRSILPTLQFLISFYGSFEQALVAAKRNRGLLHASLEKTIEPKVALFRQFGVRDIAKLCSNNPKLLTFSLERVQEFLLRAEELGVPRNSQMFKYAVAVVACNSREKVAAKIEFFKRTLGCSESEVSIVVFRQPSILGVSDEKHIRKFEFLINEVGMGPRYILERPYLFALSLEKRLLPRHRVLKVLQAKGLLNSKMSFTKFVTIGEKAFRLRFIDPHKDSVPGLAGYYTTACAGGVPPEVQLSS >PVH48149 pep chromosome:PHallii_v3.1:4:45790523:45791390:-1 gene:PAHAL_4G261600 transcript:PVH48149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRHLLPLLRAASPLPSPIHRRACPLLSTSASAAPFSFEDYLVAACGLSPAQAGKTAQKAVDETSRHSKKAFEDLSRSRLKSASNPDAILAFLSGVGLSRTDIAVVVAADPLLLRCSVKTIGPRLLALRDCLGLSAPQVVRFLLVGSRSLHSRSILPTLQFLISFYGSFEQALVAAKRNRGLLHASLEKTIEPKPGARARVFAAGGRTWGASQFTDVQVCGGCGRL >PVH48111 pep chromosome:PHallii_v3.1:4:45100182:45101142:1 gene:PAHAL_4G252700 transcript:PVH48111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGGGVVQWPSAVSSFMLTYLSQLVASGTKTYTGFKQVHLNACAKALNETMSTHYTGTHVGNHLRKWKRIYAKIEKLKNLSGALWVQETCTISLEKEHYIGHDHCDDAKYLNTPIEHYHKMATIFGNSLATVVTETENAPKDTEDGAATTEQVEADDATTGESYGTKPLAPKKAKVANLEDPTMAMVAMLGDNLENLATAITNITNIVASDDDDISEELYENLMSIPGFEGTHLDDYYAHLCEHPREARQFYKLPTLSSKMIWVARYIKKYLSDGGL >PVH47955 pep chromosome:PHallii_v3.1:4:33282627:33283185:-1 gene:PAHAL_4G199100 transcript:PVH47955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFRRTTPTRTRKSHRRTNQRLRRQPLLRRKRRRELAMCALVRITLLQSVRTAKATTPPTWLLASLEEHRDRRDFLLADGERIACACSWCWYGKSEVYFGEDRAAEERAACPHHQEKFSQRLSTV >PVH48001 pep chromosome:PHallii_v3.1:4:38573874:38576147:-1 gene:PAHAL_4G214600 transcript:PVH48001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGAPGKPLLVLISLVTLLLVFVPAAEGYDGRHAVAHSAVARRSRLGMTRHVHQRRTQTPHRYILAEKTNTTGAGSRNRSAPATPSATSPTPAPSGEPSKHHRSHKHRVRNWIIGFVVGSLAGVISGLVLSVLFRLALNCIRGRYRTRSGTVIFTPKLIRRAEHLAFLEKDDGLASLAVIGRGGCGEVYKAQLPVVREGEEPRFVAIKKIKKHGGDTPNNLSDEESRQLDKWSRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHHALKGDTTAAAAGDDGTTSSSVGAAGLSWPARLRVAVGVAEGLEYLHVSHRPQIIHRDLKPANILLDDDLEPRIADFGLAKAMPEAHTHVTASNLAGTWGYIAPEYHQTLKFTAKCDVYSFGVILAVLATGKEPSDDFFKQTDEVGIVKWLRRVMQSGDHAEAIDPAIAGAGHDEQIVLVLRIAVFCTSDLPNDRPTAKDVRCMLSQIKN >PAN23162 pep chromosome:PHallii_v3.1:4:4821945:4825706:-1 gene:PAHAL_4G072400 transcript:PAN23162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGGGLEEEEQVMSEVHLGCPPHFSSLHVSRFSFSSRPLGASGDDDGDGSGGSVLIAATSDSCGSPDAVAVDKDGDLVLDRRRRNKHARSDYHLLTIQHGVTSSLKSVGLQVWKAALLLADFVLHKSFTSSNFDGVTAIEVGAGTGLVGLVLARVAGRIFITDRGADILDNCLANAHINSSMLKFDEAKVCVRELDWKVSWPPPVGTYDPSDPSLRYLWSANDIEEAEKATVLFAADVIYSDDLTDLFFDTVKKLMSSGAKKVLYLALEKRYNFSLDDLDVVANGYAHFRSFFATQEEHRDAPDGDKPSLVGKQIDLAEVPQYIREYERGKDLEIWEIEYSPEQELQ >PAN23163 pep chromosome:PHallii_v3.1:4:4822444:4825144:-1 gene:PAHAL_4G072400 transcript:PAN23163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPWIRMGISFLTGGEEINMLEVTTICSPFSMVLPARLRALAFSLQVWKAALLLADFVLHKSFTSSNFDGVTAIEVGAGTGLVGLVLARVAGRIFITDRGADILDNCLANAHINSSMLKFDEAKVCVRELDWKVSWPPPVGTYDPSDPSLRYLWSANDIEEAEKATVLFAADVIYSDDLTDLFFDTVKKLMSSGAKKVLYLALEKRYNFSLDDLDVVANGYAHFRSFFATQEEHRDAPDGDKPSLVGKQIDLAEVPQYIREYERGKDLEIWEIEYSPEQELQ >PAN25074 pep chromosome:PHallii_v3.1:4:46668177:46674987:1 gene:PAHAL_4G272700 transcript:PAN25074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVLPPSPSLRAAVKKEIDAVEDTAHTPAPPPRKRRRRGGLPVTPTQLPLSPILLTPHTIPSGPSGDASLAGLTLTPACSAVKREPGSDTDVGGRGRAGGKPKEPRDHRHGPRPAAAEPQILWLNRRRLARILHELAGAHRWRESAGVVSTQLCGTRRPGSFQETRSLFVAAMEIHKQLTEDGGVKQGSRSSYYLRTQKLFDVWMKKLIWFPSCPKKHLVTLELALFYLSQGNIDNAYNTTRILITKDRLQTEPILNLIHGLISFDKWYSGLPKDMQVEEFDVYNESCTISMKSDGCEGTSLVDDSDDNSVDDDASLHPCSSESSINNENNNRNMDKKPGLIHPKEEIDPLGSVNEDFRSIFLNTSDGPTCGLEKSLLPLRLKIPTGASNDCFDKYWQYKSTPNASYEDAEKCLRLALHSNPPVMAALLPLIQILLLGDKLKDALEELERTCLSSTTALPFRLRGRLLEEYFDQNQVSTISSCYEEALRRDPSCSYSMERLIKMHRKERTLYTEL >PAN25075 pep chromosome:PHallii_v3.1:4:46668423:46673551:1 gene:PAHAL_4G272700 transcript:PAN25075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVLPPSPSLRAAVKKEIDAVEDTAHTPAPPPRKRRRRGGLPVTPTQLPLSPILLTPHTIPSGPSGDASLAGLTLTPACSAVKREPGSDTDVGGRGRAGGKPKEPRDHRHGPRPAAAEPQILWLNRRRLARILHELAGAHRWRESAGVVSTQLCGTRRPGSFQETRSLFVAAMEIHKQLTEDGGVKQGSRSSYYLRTQKLFDVWMKKLIWFPSCPKKHLVTLELALFYLSQGNIDNAYNTTRILITKDRLQTEPILNLIHGLISFDKWYSGLPKDMQVEEFDVYNESCTISMKSDGCEGTSLVDDSDDNSVDDDASLHPCSSESSINNENNNRNMDKKPGLIHPKEEIDPLGSVNEDFRSIFLNTSDGPTCGLEKSLLPLRLKIPTGASNDCFDKYWQYKSTPNASYEDAEKCLRLALHSNPPVMAALLPLIQILLLGDKLKDALEELERTCLSSTTALPFRLRGRLLEEYFDQNQVSTISSCYEEALRRDPSCSYSMERLIKMHRKGTLEE >PAN25073 pep chromosome:PHallii_v3.1:4:46668176:46674987:1 gene:PAHAL_4G272700 transcript:PAN25073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVLPPSPSLRAAVKKEIDAVEDTAHTPAPPPRKRRRRGGLPVTPTQLPLSPILLTPHTIPSGPSGDASLAGLTLTPACSAVKREPGSDTDVGGRGRAGGKPKEPRDHRHGPRPAAAEPQILWLNRRRLARILHELAGAHRWRESAGVVSTQLCGTRRPGSFQETRSLFVAAMEIHKQLTEDGGVKQGSRSSYYLRTQKLFDVWMKKLIWFPSCPKKHLVTLELALFYLSQGNIDNAYNTTRILITKDRLQTEPILNLIHGLISFDKWYSGLPKDMQVEEFDVYNESCTISMKSDGCEGTSLVDDSDDNSVDDDASLHPCSSESSINNENNNRNMDKKPGLIHPKEEIDPLGSVNEDFRSIFLNTSDGPTCGLEKSLLPLRLKIPTGASNDCFDKYWQYKSTPNASYEDAEKCLRLALHSNPPVMAALLPLIQILLLGDKLKDALEELERTCLSSTTALPFRLRGRLLEEYFDQNQVSTISSCYEEALRRDPSCSYSMERLIKMHRKGYYNTIQLLEAIALHLDSVNGKPCIWQELVSCFLRLFSDKTADYEDCISCTNAQGDEALEAFSKFSSFFFEQLTRESWKVRCRWWMHHRFSQTAYAAETLTGDLKLLAAKAACAAHLFGPEFPYVKAVGSYFAKQEALDEISILVRNKQNSIRLLQTLEKLTS >PAN25975 pep chromosome:PHallii_v3.1:4:51370401:51371219:-1 gene:PAHAL_4G338400 transcript:PAN25975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRILALSLVGAGPGNVFGPGMSGGALESFFARGKAERGNGRGAAGGTGPAAGGGGGRSAAERTSEAEGGGGKSAAEPERTNEAEGKGVGGQERGGADANASFHRALDGMFFFEAVAPH >PVH48518 pep chromosome:PHallii_v3.1:4:52026666:52028447:1 gene:PAHAL_4G347900 transcript:PVH48518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYKRCNQPTTKPGMKEVHKKVAWKSRKQKGRDMENGRGLEKKREVKTRGCPWKGSRGAGQAPAPGAVQEDRPPDRRGEAGGIPSQSQAKQIQAASSLLSADPPTDSPSLSTSINGRHQEPSNPHLTSLPTRLRRRPFPTPSVGTFLVGLKEEGKGGGVERTGGARPSMLSSASSAGAGMGVGVGGGYPPPQRGAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLLPVRPSPHSAAAAFSFASPGAAASPFYHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTASPAHPSQPQLSTVTTTTRETAPLDSLTAGGKTHGLSLGGAGSSQLHVDASNAHYRYGSKYGYTQPPISPLIIAVQKCSLNRFDKIASFGCKLYWNTSRFPCMIGWVRSY >PVH48519 pep chromosome:PHallii_v3.1:4:52025609:52029870:1 gene:PAHAL_4G347900 transcript:PVH48519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYKRCNQPTTKPGMKEVHKKVAWKSRKQKGRDMENGRGLEKKREVKTRGCPWKGSRGAGQAPAPGAVQEDRPPDRRGEAGGIPSQSQAKQIQAASSLLSADPPTDSPSLSTSINGRHQEPSNPHLTSLPTRLRRRPFPTPSVGTFLVGLKEEGKGGGVERTGGARPSMLSSASSAGAGMGVGVGGGYPPPQRGAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLLPVRPSPHSAAAAFSFASPGAAASPFYHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTASPAHPSQPQLSTVTTTTRETAPLDSLTAGGKTHGLSLGGAGSSQLHVDASNAHYRYGSKYPLGAKADAGELSFFSGASGNSRGFTIDSPTENSWNSLPSNVPPFTLSKSRDSGLLPGTYSYSQLEPPQELGQVTIASLSQEQERHSFSSGAGGLLGNVKQENQPLRPFFDEWPGTRDSWSEMDDVRSNRTSFSTTQLSISIPMPRCD >PVH48210 pep chromosome:PHallii_v3.1:4:47132415:47136633:-1 gene:PAHAL_4G276000 transcript:PVH48210 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MQPSTDRRRGGGPVATASRPVWRPRSSAPAPAPAAGPDAAAPILPLPTAAAEARPPHRRSRRPNHGNSNNRRPGPPQEQHGSAGQQRRPAPHQEQNGDAGHHRRPAPHQEQNGNAGNHRRGPPPERPAPDAPAPTPARARAPAPAPAPPAAAAIGRDGSVPQLVQEIQDKLARGAVECMICYDMVRRSAPIWSCDSCFSIFHLPCIRKWVRSPASAADASPAADPASPSWRCPGCQSVYITPARDLAYNCFCGRRRDPPNDHFLTPHSCGEPCSKPLERAEPPGAKGEDADATRCPHVCVLQCHPGPCPPCKAFAPDRPCPCGKQIIVRRCADRSTPVTCGRPCERMLPCKRHCCEKVCHTGPCGDCSVVISARCFCGKKNEALLCGDMVVKGKLSEEDGMFSCSEPCGCTLACGNHVCKDMCHPGPCGECELMPGKVTTCHCGKTRLQESRASCLDPIPTCDKICDKKLPCGVHSCKVNCHEGECPPCLVRVEQKCRCGSSGRMVECYQVMKEEFRCNKPCGRKKNCGRHRCSECCCPLSRKFAQLEGGDWDPHLCQISCGKKLRCGQHSCQLLCHSGHCPPCLETIFTDLTCACGRTSIPPPLPCGTPTPSCPHQCSVPQPCGHPASHSCHFGDCPPCVVPVMRECIGGHVMLRNIPCGSKDIRCNQPCGKNRQCGIHACNRTCHPAPCDQPPANGDASSSSGGKASCGQVCGAARRECKHTCTSPCHPSSQCPDLRCEFPVSITCSCGRITATVPCGAGGASSSDNMFEVSIIQKLPMPFQPVESNGRRVPLGQRKLSCDDECAKMEKKRVLAEAFDITPPNLDALHFGENSSATDLVSDLFRRDPKWVVAIEERCKFLVLGKVRGSSSSNLKLHVFCPMLKDKRDAIRLIADRWKLSVHSAGWEPKRFITIHVTPKSKPPARILGSKTGAPVTAAHPYFDPLVDMDPRLVVAMLDLPRDADVNALVLRFGGECELVWLNDKNAIAVFNDPARAATALRRLDYGSAYQGAAMFMPSSAQASSSGNVWVGGQKDGGLAARSNPWKKGEPDLPSRDWTGVAGHAPASGWRGTNTAAQVMGTQNRWNVLESDAATSSGPVEDRKTAPREDAGYSAVHNSGNAGPSVSKLQPDIEVDDWEEACE >PVH48346 pep chromosome:PHallii_v3.1:4:49684781:49685774:-1 gene:PAHAL_4G312400 transcript:PVH48346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLFYIINSLCDCRFLIIYIKSQSRCVQAQHHSFAGHCMVAFDQSSYSARMSTSNVHTFGLNSYSGSLAASSMHAFCSDVDGLALADDIKERNGRPRDEMVEAVEAGEPCAPLWRLLRWCAGRRRAACSAAPCDSSLSSASHTSV >PVH47824 pep chromosome:PHallii_v3.1:4:20412236:20413764:-1 gene:PAHAL_4G161800 transcript:PVH47824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGAALALLLCLSSAAVGAWARPVATTKDAAADEKFLWLKKHFGKGLGGGIGKGGGGGYGGGGGYGGGGGGGGGYGGGAGGGYGGGAGMGGGVGHGIGGGFGKGGGLGGGAGLGHGIGGGFGKGGGLGGGYGKGGGLGHGIGGGYGKGGGLGEGIGHGIGGGYGKGGGLGEGIGHGVGGGYGKGGSVGSGIGGGYGKGGGLGGGYGKGGGLGEGIGHGIGGGYGKGGGLGGGYGKGGGLGEGIGHGIGGGYGKGGGLGSGIGHGVGGGFGKGGGIGHGVGGGFGKGGGLGGGGGYGGGAGSGGGGGFGKGFGFGFGNGGGFGGGGGGGIGGHH >PVH48465 pep chromosome:PHallii_v3.1:4:51164518:51165203:1 gene:PAHAL_4G334900 transcript:PVH48465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVPKNQTCIWLCSVPYGMSAIGWSMSLSDKTCPCFNFLCFTALYEIIARMKWLITNAF >PVH48535 pep chromosome:PHallii_v3.1:4:52298925:52299919:1 gene:PAHAL_4G351800 transcript:PVH48535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRRRRNAAVVLPCADLALLTKPQSPATPPPLHETMLHFSAESLTALKERARHELLAAVDKAGAAAVTKFQALSSLLWRCVSRARRLAPDQEILCRFAIDNRERLRPPLPEGYLGNSVYAISTEAVVASELLARWHGWAAAAVGRAVAAHTDAAIRSRVAAWTAKPALVSARVHGNGVVIAGSPRFDVYGCDFGWGRPLAARSGRAAKYDGRVSLFPGWEGGGSVDVEVAHGGAGARR >PAN25972 pep chromosome:PHallii_v3.1:4:51361057:51362079:1 gene:PAHAL_4G338100 transcript:PAN25972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLAFSILSASPADISARAGGRWTRLSWRGGADEQGQGQAQQQQQRDREEKPAGSPPRPHGSSGGGKASLPRFAPEFDGIDCFETIVSH >PAN24041 pep chromosome:PHallii_v3.1:4:13142107:13146227:1 gene:PAHAL_4G138600 transcript:PAN24041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASFLSPPAPRPPCARVRLSPPFAAAARPSLGFGPRSRRAAPAKEWRLWHVSCFRNDPDVPTTSDDDGGFKYVARSESSSAAEAKEEEVGSSNGEQEQNFKEGGWFLQVQKIKENLQGRILRFQTECWTVPWTGQTIAQVMILWIATFWFVGSWVVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHQCLGRFRPLPPGWFEFNLKGRWLLDVALGCLLFPLVNLLSHINISLVPMSPGPVVGVSNVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILASAAAFALAHFNAQRVMPLIFLGVVMGGVFARSRNLLASMLLHSLWNGFVFLDLMK >PAN25676 pep chromosome:PHallii_v3.1:4:50002787:50005059:1 gene:PAHAL_4G316800 transcript:PAN25676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAAAAEDARVAKRARLAPPAGDADLISGLDDDVLLRVLGLVGDARDAARTGALSRRWLGLWTRAPALRFSSQPGGFWRAAPASAASLERYAASVDAALARRARSGCAIERLSIAYAAGSEHYPVEQPSFADAAEWWIPCLRDTVTERILEQLMPASVRAARGWIGYAFRHGVKSFDLDLQLPLVRSNFLWERDGVEEVELDDELPSAVRLETMRLALGGAQLRLPAAMTFASLTNLSLERIGIAAGGAALLGHLVSSATCPRLQKLRVRWIYLPAFHEEMAIEADVLSELWMEDVRILMSLKLRTPRLRVLHIYKCFHVALRISAPRLEELAIIFQPACPPRWLEIDGDLPCVRSLKICLWSHLSRFSGYREADNDKNMLLLRQCSSLTCLQVFLRGAKASKKDVDMIKSRVPHLPHITSLAVNVACSFKRHGYGASVASLLTRFSNLRRLSLHLPFFDELGNNLPAGLDLLCHHRYHWKSNEISMAHLQEVELTGLTGTDCEVWFMKTMLASAKGLFKVAISFNPYCWQHQGKMDAFERMLLDEGMWTSHRDTHMLTCLRESIPAYITCEM >PAN23378 pep chromosome:PHallii_v3.1:4:6066200:6071562:1 gene:PAHAL_4G087400 transcript:PAN23378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLYDEFGNYIGPELADSDADDDSDAGGTSPSPSASRSPSPAARSPSGSPSRPAALMDVDDDEDGDPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIIAPPRVVKFEVGTRAAATSTYASTDFLLGLAGNPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERQVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGAAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLVVGEQKGNVESKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKIDHIYTGPQDSSIVDAMKKCDPNGPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVAISKAPAGSWVLIEGVDASIMKTATICPMNIDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVNLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDTLSVEVDKNLLNAIKDSIVQGFQWGAREGPLCDEPIRNVKFKILHANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSISKFFDEAMMHELAQQAADLHIQM >PAN23379 pep chromosome:PHallii_v3.1:4:6066043:6072058:1 gene:PAHAL_4G087400 transcript:PAN23379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLYDEFGNYIGPELADSDADDDSDAGGTSPSPSASRSPSPAARSPSGSPSRPAALMDVDDDEDGDPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIIAPPRVVKFEVGTRAAATSTYASTDFLLGLAGNPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERQVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGAAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLVVGEQKGNVESKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKIDHIYTGPQDSSIVDAMKKCDPNGPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVAISKAPAGSWVLIEGVDASIMKTATICPMNIDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVNLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDTLSVEVDKNLLNAIKDSIVQGFQWGAREGPLCDEPIRNVKFKILHANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSISKFFDEAMMHELAQQAADLHIQI >PAN22359 pep chromosome:PHallii_v3.1:4:940675:945066:1 gene:PAHAL_4G014100 transcript:PAN22359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Novel plant SNARE 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) UniProtKB/Swiss-Prot;Acc:Q944A9] MDLASVNEELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVSKDEAGRTDPETAKMLHDRKQSMIKELNSYVALKKQYASENKRIDLFDGPSVEDGYGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINVGTETAAALKAQTEQMSRVVNELDSIHFSIKKASQLVKEIGRQVATDRCIMAMLFLIVAGVIAVIIVKIVNPHNKDIPNIPGLAPPVSRRLLR >PAN25872 pep chromosome:PHallii_v3.1:4:50881275:50886279:-1 gene:PAHAL_4G330700 transcript:PAN25872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLAASAFLPGPGASPAVSTKTSKNMAGELPDNLSVRGIVAKPGAPSGNMQVKAQAQALPKVNGTKLNHKNASADTEEAIPYSAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRSAITDEQSEKLAKPGSTVDGDAAKQFIRKGLTPRWGDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVSGECVDGHPDSTIQCDHLLQLESGADIVKAHTEWRPKRAHGGEGNMGFFPAESA >PAN26160 pep chromosome:PHallii_v3.1:4:52334085:52340080:1 gene:PAHAL_4G352200 transcript:PAN26160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MPPLLLSLRPSPSPCLRLRRLLLFRCSASFSATPSSSLAPYHASFDRRMALAGIHPYHRIAVGVSGGPDSMALCVLAAAWKKAAGRKAAGEEGSDASAFVDGLLGVVVDHGLRPESSDEARLVRDRMRGMGVECEIARCEWPDGRPKQGHVQEAAREVRYQKLLDICIKQQIGVLLIAHHSDDQAELFVLRLSRNSGILGLAGTAFVSQLFAPNLKYDGENFRRYGVLLVRPMLDFSKDDMYKICRGSNQSWVEDPTNNSMMYARNRIRASLRNLSTEGTFLSGVHKLISACRLARTCVDGTWSMISKKSVSILEYGYAVIDLEKLDPLNVDDLCLLHYLAYILQFVSQRHRPLRGRSARLLLDYIRTIPCKAALTVAGCYLCAAPRSKGSKVLVCCSVDWMESSSAEISYKCSYEERAPPVPKIDQIVLEGLLRSNQFIQNHSNIPFVYSKSSIDVLNKAKDLNIIDDFTLEKLCYLRTDEQNKFVAKEHKDEEHDMEETKFPDCNVLSLCPGETCHFMSRFLITWKAPEDVNEICLHENEEYLSKICNVNLDGSLEVRHMVDADWLFLAEVCNIHSVEENLSDPKVSSGKMQMDNAPHHYRYLQQSAQKALQILKCIPAAVRRTLPVLTNTQGDIVCIPSIGFRCCPSLSIQAVFYPRVPLGGGYSSYL >PVH48538 pep chromosome:PHallii_v3.1:4:52334085:52340080:1 gene:PAHAL_4G352200 transcript:PVH48538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MPPLLLSLRPSPSPCLRLRRLLLFRCSASFSATPSSSLAPYHASFDRRMALAGIHPYHRIAVGVSGGPDSMALCVLAAAWKKAAGRKAAGEEGSDASAFVDGLLGVVVDHGLRPESSDEARLVRDRMRGMGVECEIARCEWPDGRPKQGHVQEAAREVRYQKLLDICIKQQIGVLLIAHHSDDQAELFVLRLSRNSGILGLAGTAFVSQLFAPNLKYDGENFRRYGVLLVRPMLDFSKDDMYKICRGSNQSWVEDPTNNSMMYARNRIRASLRNLSTEGTFLSGVHKLISACRLARTCVDGTWSMISKKSVSILEFVSQRHRPLRGRSARLLLDYIRTIPCKAALTVAGCYLCAAPRSKGSKVLVCCSVDWMESSSAEISYKCSYEERAPPVPKIDQIVLEGLLRSNQFIQNHSNIPFVYSKSSIDVLNKAKDLNIIDDFTLEKLCYLRTDEQNKFVAKEHKDEEHDMEETKFPDCNVLSLCPGETCHFMSRFLITWKAPEDVNEICLHENEEYLSKICNVNLDGSLEVRHMVDADWLFLAEVCNIHSVEENLSDPKVSSGKMQMDNAPHHYRYLQQSAQKALQILKCIPAAVRRTLPVLTNTQGDIVCIPSIGFRCCPSLSIQAVFYPRVPLGGGYSSYL >PAN26172 pep chromosome:PHallii_v3.1:4:52395287:52398355:-1 gene:PAHAL_4G353100 transcript:PAN26172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALISASGAATPLQVLLFALLLVASASALPAQQPDMDRARWQVDRVNRRGPSLGLVMSYVDEATALQASGYFTPWRVLPFVDLYGRRFHIGSIRGVNVIHALTGQRRLNAAVTVQTLMDVFSVSGIVHYGTAGSSNDSMSFGDVSVPKLVAYTGAWTWKKFRSPKESSAELSFGEYNIPNGGENLLGSLKFRNEELYSVGKPMEEVFWLPVDSAWFKVAEQLKVKLERCNDTFCLPTTPQVVYGLKGASADMFLDNAEYRKFLFREFGVSTVDEESAAVVMTTTSPGVPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIGMVGKQKSIESVQRSNN >PVH47468 pep chromosome:PHallii_v3.1:4:4682910:4691466:1 gene:PAHAL_4G070200 transcript:PVH47468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDLFEGVKGEDAGDPSVAYGDSTTGNTPKISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRGYGEPDEMLALTSFKNLKFERGENSGAHIIRIPFGPKDKHLAKENIWPFIQEFVDGALGHVVRMSKTIGEEIGSGCPVWPAVIHGHYASAGVAATLLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCFGRYMPRMVIIPPGVEFGHIIHDFDLYGDEDSPSPASEDPSIWFEIMRFFTNPRKPMILAIARPYAEKNITTLVKAFGECHPLRELANLTLIMGNREAISKMNKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEIPDIYRLAARTKGTFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHSIADALYKMLSEKQFWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFGNKEDQKVPVNCRRHILVIAVDSVSKEDLIQIIRNSIEATRTGTLSGSTGFVLSTSLTIAEIRSLIKYTGMRPTDFDAFICNGGSDIYYPSQSNDVPSNSLVTFALDNNYRSHIEYRWGGEGLRKYLVKWASSVVERRGRTEKQVIFEDSEHSSTYCLAFRVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSQALRYLSIRWGMELPDAVVIVGESGDSDYEELFGGLHKTIILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSSGDIRSAMQQLGIPTQ >PVH47470 pep chromosome:PHallii_v3.1:4:4682910:4691466:1 gene:PAHAL_4G070200 transcript:PVH47470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNDNWINSYLDAILDAGKGAAGAGAGAGGAAARGRGGGGVGVGGGYGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEASRLSKRRLETEKQRNDATADMSEDLFEGVKGEDAGDPSVAYGDSTTGNTPKISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRGYGEPDEMLALTSFKNLKFERGENSGAHIIRIPFGPKDKHLAKENIWPFIQEFVDGALGHVVRMSKTIGEEIGSGCPVWPAVIHGHYASAGVAATLLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCFGRYMPRMVIIPPGVEFGHIIHDFDLYGDEDSPSPASEDPSIWFEIMRFFTNPRKPMILAIARPYAEKNITTLVKAFGECHPLRELANLTLIMGNREAISKMNKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEIPDIYRLAARTKGTFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHSIADALYKMLSEKQFWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFGNKEDQKVPVNCRRHILVIAVDSVSKEDLIQIIRNSIEATRTGTLSGSTGFVLSTSLTIAEIRSLIKYTGMRPTDFDAFICNGGSDIYYPSQSNDVPSNSLVTFALDNNYRSHIEYRWGGEGLRKYLVKWASSVVERRGRTEKQVIFEDSEHSSTYCLAFRVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSQALRYLSIRWGMELPDAVVIVGESGDSDYEELFGGLHKTIILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSSGDIRSAMQQLGIPTQ >PVH47469 pep chromosome:PHallii_v3.1:4:4682910:4691466:1 gene:PAHAL_4G070200 transcript:PVH47469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDLFEGVKGEDAGDPSVAYGDSTTGNTPKISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRGYGEPDEMLALTSFKNLKFERGENSGAHIIRIPFGPKDKHLAKENIWPFIQEFVDGALGHVVRMSKTIGEEIGSGCPVWPAVIHGHYASAGVAATLLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCFGRYMPRMVIIPPGVEFGHIIHDFDLYGDEDSPSPASEDPSIWFEIMRFFTNPRKPMILAIARPYAEKNITTLVKAFGECHPLRELANLTLIMGNREAISKMNKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEIPDIYRLAARTKGTFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHSIADALYKMLSEKQFWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFGNKEDQKVPVNCRRHILVIAVDSVSKEDLIQIIRNSIEATRTGTLSGSTGFVLSTSLTIAEIRSLIKYTGMRPTDFDAFICNGGSDIYYPSQSNDVPSNSLVTFALDNNYRSHIEYRWGGEGLRKYLVKWASSVVERRGRTEKQVIFEDSEHSSTYCLAFRVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSQALRYLSIRWGMELPDAVVIVGESGDSDYEELFGGLHKTIILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSSGDIRSAMQQLGIPTQ >PVH48245 pep chromosome:PHallii_v3.1:4:48060936:48061241:-1 gene:PAHAL_4G288900 transcript:PVH48245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSSRAAALAGPLGHGHGCQHRRSPDAVSRIVCNGGVHCRMADRAATDRNCNQDRVDRDTGLIWDGKTAPVSTAHEGTLCLLRPDFRHRHGFGGRQGRR >PAN24759 pep chromosome:PHallii_v3.1:4:44897452:44902273:-1 gene:PAHAL_4G249300 transcript:PAN24759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKIEELTDAKRGSWAVSGVLAVVVLAVLILSGRNVGAPVVWVQTAAASFRQGSNDLSFLHPRAAHGDRLYGGLLVDGFNEESCHSRYQSAMYRRNLGRRPSPYLISKLRRHEALQRRCGPGTAAYSDALEQLRSGKSAASPECAYVVSISYRGIGNRILAAASAFLYAVLTDRVLLIDPSNEMDDLFCEPFPNTTWLLPPGFPLADYTNFSVDTAASYGNMVRNKVIIRSDDGVAAADVPTPAFAYVHLNYDATIEDNSFFCGGDQRLLRCIQWLVMRTDSYIVPGLFLVPEFQEELDMLFPERDAVFHHLGRYLFHPNNHVWGLVTRYYDTYLASARQRVGIQVRVFGGLPDSPELLEQITTCTHKAGLLPQVLAAGEPTLPASRAKSKAVLVTSLKSWYYEKLKSMYWEHATATGEVVSVHQPSHEEYQRSGARSHEHKAWAEIYLLSLTDVLVTSGRSTFGYVAQGLAGVRPWVLYKPANSSAVPDPPCGRDVSMEPCFHKPPGHDCRLKQWADPSKDVPYIQHCDDAIWGLKLVGQNT >PVH47789 pep chromosome:PHallii_v3.1:4:17788401:17813196:-1 gene:PAHAL_4G153300 transcript:PVH47789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKISEGAGAWLQTVSNFHGRQVWEFDPDAGTDQERAKVEQLRREFSENRFRRRESQDLLMRMQFTGLDHLRADMPAAVKLEDGDEVTEEILQESLRRALGWMSDLQAEDGHWPGDFSGIMYLLPFWIFALHIIGSIDDVLSKEHIREICRHIYNHQNEDGGWGFNVLDESAMFGTCLNYVTLRLLGQVQKDENDGLAKGRAWILSHGTATAAPQWAKILLSVLGVYDWSGNRPVIPELWLVPRFLPIHPGRFWCFTRITYMSIAFLYAKRVVGPVTPIILALRNELYSSPYGEIDWDKARNSCAKEDMRYRPSAVYKAISNCLHKFVEPVLNSWPMNKLRERALNHILQHIHYEDETTQYIGLCPVTKALNMICCWVENPNSDVLKRHLPRIHDYLWIAEDGMKTKIYDGTQNWEIALIVQAFLSTDIIDECGPTIDKALRYIKKAQVIQNPPGNPKYWFRHRSKGSWTLSTVDNSWASTDSSAEVIKAVLLVSKLSPSLVGNLTDEWIFDAIDCLLTFRNKDGSFSSFECQRTYSWLEILNPLESFRNLIVDHPTVECTSSIIQALLMFGESYPGYRSEQIREYVNKAAIYIENNQKNDGSWYGTWGICFIYGTLFALKGLVAAGGTYENSVCIRKACNFLLSAQLKTGGWGESYLSCEREVYVEGNHTHAVSTAWAMLGLISAGQMERDQTPLHRAAKVLINMQLETGDYPQQEHMGNTNSSVYFNYPNYRNLFPIWALGEYHRGQHAKKN >PAN22965 pep chromosome:PHallii_v3.1:4:3716004:3716413:-1 gene:PAHAL_4G056600 transcript:PAN22965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQNCPIQLLEHKRGWISSSSSPVSSLHEMLDPAVTGAHRARALPTETIPPRCISGAPPAADITRRRAATSSPTVEGLLMPHGISDGCCALSLDTCNKHELVTQQQRAAGMHRNYFILL >PAN25990 pep chromosome:PHallii_v3.1:4:51656394:51657840:1 gene:PAHAL_4G342200 transcript:PAN25990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIHQKREQLKNERDYISSKKKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PAN25987 pep chromosome:PHallii_v3.1:4:51654582:51658679:1 gene:PAHAL_4G342200 transcript:PAN25987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIHQKREQLKNERDYISSKKKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PAN25992 pep chromosome:PHallii_v3.1:4:51654569:51658618:1 gene:PAHAL_4G342200 transcript:PAN25992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLSSLAATAGLCLLSALALAVLAVTLYILGVVASFAVFCIREYARRAHDRPPLVGTVFRMLRNFDRIFDEHVKYALAHRTSRLLFLGHSELWTSDPQVIEHILKTSFSKYSKGDFNIQIMKDLFGDGILATDGKKWRHQRKLASYEFSTIVLRDFSSVVFRIHAAKLAEKISSAAADRTAIDMQKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PAN25988 pep chromosome:PHallii_v3.1:4:51656394:51657840:1 gene:PAHAL_4G342200 transcript:PAN25988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIHQKREQLKNERDYISSKKKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PVH48500 pep chromosome:PHallii_v3.1:4:51656394:51657840:1 gene:PAHAL_4G342200 transcript:PVH48500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIHQKREQLKNERDYISSKKKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PAN25989 pep chromosome:PHallii_v3.1:4:51654582:51658618:1 gene:PAHAL_4G342200 transcript:PAN25989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIHQKREQLKNERDYISSKKKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PVH48498 pep chromosome:PHallii_v3.1:4:51654582:51658679:1 gene:PAHAL_4G342200 transcript:PVH48498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIHQKREQLKNERDYISSKKKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PVH48499 pep chromosome:PHallii_v3.1:4:51654582:51658679:1 gene:PAHAL_4G342200 transcript:PVH48499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLSTVLTVFEPNLQGDFNIQIMKDLFGDGILATDGKKWRHQRKLASYEFSTIVLRDFSSVVFRIHAAKLAEKISSAAADRTAIDMQKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PAN25991 pep chromosome:PHallii_v3.1:4:51654545:51658679:1 gene:PAHAL_4G342200 transcript:PAN25991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLFGDGILATDGKKWRHQRKLASYEFSTIVLRDFSSVVFRIHAAKLAEKISSAAADRTAIDMQKAREDILARFILASEEDPETINDRYLRHIVLSFLIPGKDTMASTLSWFFYMLCKNPVVQDKVAFEINESLEWAEEDNNIENFTARLNQGAIENMHYLHAAITETLRLYPAVPVDGKIANEDDMLPNGHRVIKGDGVNYMIYAMGRMKYLWGADAEEFSPERWLVNGIFQQENPYKFVSFNAGIRVCLGREFAYRQMKIMAATLVHFFRFKLEDESKEPMYKVMFTLHMDKGLHLYAYPRSA >PAN25219 pep chromosome:PHallii_v3.1:4:47726098:47728015:1 gene:PAHAL_4G284900 transcript:PAN25219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRSILSYPAYRARTGGTPRMSATEAGAATGGDGNDRRREELQAFDDTKAGVKGLVDAGVTAVPAIFRHPPDALHELPSPPPADAPAAIPVVDLSGAPREEVVARVRRAAGTVGFFQVVNHGVPDELTAGVLAGVRRFHEGSPEAKRRAYTRDAARKVRFSSNFDLFQSAAAGWRDTLFCDLAPDPPRPEELPEAVRGVMPEYGAAATALALRVLELLSESLGLPSGHLREMGCAQGFNVVGHYYPPCPEPHLTLGTSRHTDPAFLTVLLQDGMGGLQVLLDRGGGGRSWVDVPPLPGALIVNIGDLLQLVSNDRFRSVEHRVLANRSRDAARVSVACFFNTDMKRSTRLYGPITEGGDDPPLYRSVTAREFIAHFYSKGLECRPLDYFRLQH >PAN25348 pep chromosome:PHallii_v3.1:4:48404517:48410605:1 gene:PAHAL_4G294500 transcript:PAN25348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os06g0194900)] MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHNNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGVLRKWISRFDVWPYLETYTEDVASEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGRNARLRELANLVIVAGDHGKESKDREEQAEFKKMYSLIDQYNLKGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKEDPSYWDKISHGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLASAVPLSFD >PAN26047 pep chromosome:PHallii_v3.1:4:48402184:48410605:1 gene:PAHAL_4G294500 transcript:PAN26047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os06g0194900)] MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHNNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGVLRKWISRFDVWPYLETYTEDVASEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGRNARLRELANLVIVAGDHGKESKDREEQAEFKKMYSLIDQYNLKGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKEDPSYWDKISHGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLASAVPLSFD >PAN25347 pep chromosome:PHallii_v3.1:4:48403377:48410605:1 gene:PAHAL_4G294500 transcript:PAN25347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os06g0194900)] MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHNNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGVLRKWISRFDVWPYLETYTEDVASEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGRNARLRELANLVIVAGDHGKESKDREEQAEFKKMYSLIDQYNLKGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKEDPSYWDKISHGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLASAVPLSFD >PVH47585 pep chromosome:PHallii_v3.1:4:6520393:6525178:1 gene:PAHAL_4G093700 transcript:PVH47585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSVIPKGVKPTCHERGNWWTWGRFLFPWTHVHVLHTNPMLRNGETGDWIGTFQGHKGAVWSCCLDRNALRAASASADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKTLRVYDMNRPDAAPRELDKSPGSVRTAAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLETKAPVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYAMPCNVESASLEPKSGSKFVAGGEDLWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDSEAANGKATAGVNEVTTKIEGFHIPKEGQTEG >PAN23463 pep chromosome:PHallii_v3.1:4:6516947:6525178:1 gene:PAHAL_4G093700 transcript:PAN23463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVAIPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDTNPMLRNGETGDWIGTFQGHKGAVWSCCLDRNALRAASASADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKTLRVYDMNRPDAAPRELDKSPGSVRTAAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLETKAPVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYAMPCNVESASLEPKSGSKFVAGGEDLWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDSEAANGKATAGVNEVTTKIEGFHIPKEGQTEG >PVH47312 pep chromosome:PHallii_v3.1:4:2125345:2126281:1 gene:PAHAL_4G033800 transcript:PVH47312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAATKFSKVFFFFLNERRSASLTAVLNDCKAQCFLFCENGTNRVFWVRKSAI >PVH47555 pep chromosome:PHallii_v3.1:4:6002615:6006380:1 gene:PAHAL_4G086600 transcript:PVH47555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGSTRPAVLLVLLFSLLMSLAAATEVSGKAAAAYVVYLGDHSRRDGVLSPEEASRRAADAHRDLLGAVLGEEKAREAIFYSYTKHINGFAATLEPGDAAEIAKYPGVVSVFPNRGRKLQTTRSWQFMRLERNGDVPPWSAWETARYGEDTIIGNLDSGVWPESKSFGEGEMGPIPDDWKGICQNEHDATFHCNSKLIGARYFNKGYEAAAGKPVDDALKTPRDENGHGTHTLSTAGGAAVRGAAAFGYGGGTARGGAPRARVAAYRVCFRPINGSECFDADVLAGFEAAIADGVHVISASVGGDATDYLDDAVAIGSLHAVKAGVTVVCSASNSGPDPGTVTNVAPWILTVAASSTDREFPAFAVFNRTRIQGRSLSERWLHGRGFYVIINGAEATAPGSTQKDAQACLLGSLDPEKARGKIVVCVRGAITRVEKGEAVRRAGGAAMILVNDEVSGNDLHADPHVLPAVHISYDDGLILSDYIKNSNVPSGFVIKGKTILGIRPAPVMADFSSQGPNTVNPEILKPDITAPGMSVIAAWTGAAAPTDRPYDLRRVAFNVLSGTSMSCPHVSGIAGLIKTLHRDWSPAAIKSAIMTSASDLDAERNPIMNSSHAAATPFSYGAGHVFPNRALDPGLVYDMSIVDYLDFLCALGYNATAMRTFNSGSFVCPTAAMRLQDLNYPSITAHGLHAGTTAIVRRRVRNVGLPGTYTATVVKEPEGVHVVVTPAMLVFREAGEEKEFDVTFTISNPAPVTGYTFGAMVWSDGSHQVRSPLVVKIMGNE >PAN23366 pep chromosome:PHallii_v3.1:4:6002615:6006380:1 gene:PAHAL_4G086600 transcript:PAN23366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGSTRPAVLLVLLFSLLMSLAAATEVSGKAAAAYVVYLGDHSRRDGVLSPEEASRRAADAHRDLLGAVLGDREKAREAIFYSYTKHINGFAATLEPGDAAEIAKYPGVVSVFPNRGRKLQTTRSWQFMRLERNGDVPPWSAWETARYGEDTIIGNLDSGVWPESKSFGEGEMGPIPDDWKGICQNEHDATFHCNSKLIGARYFNKGYEAAAGKPVDDALKTPRDENGHGTHTLSTAGGAAVRGAAAFGYGGGTARGGAPRARVAAYRVCFRPINGSECFDADVLAGFEAAIADGVHVISASVGGDATDYLDDAVAIGSLHAVKAGVTVVCSASNSGPDPGTVTNVAPWILTVAASSTDREFPAFAVFNRTRIQGRSLSERWLHGRGFYVIINGAEATAPGSTQKDAQACLLGSLDPEKARGKIVVCVRGAITRVEKGEAVRRAGGAAMILVNDEVSGNDLHADPHVLPAVHISYDDGLILSDYIKNSNVPSGFVIKGKTILGIRPAPVMADFSSQGPNTVNPEILKPDITAPGMSVIAAWTGAAAPTDRPYDLRRVAFNVLSGTSMSCPHVSGIAGLIKTLHRDWSPAAIKSAIMTSASDLDAERNPIMNSSHAAATPFSYGAGHVFPNRALDPGLVYDMSIVDYLDFLCALGYNATAMRTFNSGSFVCPTAAMRLQDLNYPSITAHGLHAGTTAIVRRRVRNVGLPGTYTATVVKEPEGVHVVVTPAMLVFREAGEEKEFDVTFTISNPAPVTGYTFGAMVWSDGSHQVRSPLVVKIMGNE >PVH48527 pep chromosome:PHallii_v3.1:4:52155360:52156412:1 gene:PAHAL_4G349900 transcript:PVH48527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWRDLLWVIPAFVAPIPSVTSSSVVSLQPILFLLQLQRRPTAYRDRRARDVDGGDHAGAGRPCVAGSLPARGAADGRGEPGRPQRPESTESCEVALVYGAAPAVPLLPVPFVLSLSASLAPLWLLPPACLPAPRPSGRPARVPCGAALAHCSPCVACGRWCRQPKCDD >PVH47420 pep chromosome:PHallii_v3.1:4:3772701:3773269:1 gene:PAHAL_4G057300 transcript:PVH47420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRATSVRRRRHPAPFPLLAASTQGEDKKAVGARPLCRSRTASPAASWTSSYSTPPCPRRRLRDANNLVDQQNELFQQYHRLAILRNLSCFNYRFCSHVLTLLTLVQSLWLLVLLLI >PAN22180 pep chromosome:PHallii_v3.1:4:120095:123717:-1 gene:PAHAL_4G002200 transcript:PAN22180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEDAAARRERLRALRAAKELLSTPVPDGEQQNGTHGATEEHVEQPALPPPQDAPDEASKENISSIEEVEGIEDDGELPAMKFRNYLPHDEQLRGGKMAPVSLPKFEDPISAETTEPKQVENPFGNIAPKNANWDLKRDVQKRIDKLEKRTQKALAEIALEQQREKEALEEAQD >PAN23297 pep chromosome:PHallii_v3.1:4:5663289:5669551:-1 gene:PAHAL_4G082500 transcript:PAN23297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGKFPAGMRVLAVDDDRVSLMILEKQLKHCNYNVTTVTDAKTALDMLRERKDVDQFELVISDVVMPDIDGFKLLELIGLEMDIPVIMLSANDETETVMKGINHGACDYLVKPVRLEQLRGIWTHVVRNSKSDPRNSISDGNDDADQKLQSGDGDKGEKDGGNHTRKYSKKKKKDIDGADEDKENASSTQKRQRVQWPGELHRKFVEAVNQIGIDRAVPKKILEVMNVDSLTRENVASHLQKYRIFLRKLSEGKVKKSSPFADGPKAWRSDWVPAYSTTNIAESSKHHRELGKYQSAPSFVASPSSSNPFARMNSPPAFGARTFLPTQSVQLVSSQRNACIPPQDKEPVGQGVNLSGASQCFPSGPGSSFANIPNGVVFNTSKPLYLGISGSSFANITSDSPPLASSKCCPSPRSCNSYASILCGKILGASRGIPFEDIADDEILAPSIHLPMQSPELVNQPPDQIQSSFAGLFNQVAREAHQFAGPCNSWKAAVPSRFPDLSHNVGMSKGPSQGNIVKINQLSRLAASSGQIPILGNLYQNQLAGIIGKTAPALSFSEQVEPFNFGSNTHYTTMSIGNPDLGSSSSTRPSLPNLQIDNSVIPTQILNGEDASGNLPEGGTNNQQAVGDQVINSNEFLVGTNEVQNGANGGLNDFFADMVDEDFVNNGDAFLGGDWDFAP >PAN23302 pep chromosome:PHallii_v3.1:4:5663779:5669139:-1 gene:PAHAL_4G082500 transcript:PAN23302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEFHTRMNTFHLCSLRYSDPRSSPLPTPLAINPPSPSLRCASPRIRLALSSAFLPAAPRGSCREAIAAEMDAGKFPAGMRVLAVDDDRVSLMILEKQLKHCNYNVTTVTDAKTALDMLRERKDVDQFELVISDVVMPDIDGFKLLELIGLEMDIPVIMLSANDETETVMKGINHGACDYLVKPVRLEQLRGIWTHVVRNSKSDPRNSISDGNDDADQKLQSGDGDKGEKDGGNHTRKYSKKKKKDIDGADEDKENASSTQKRQRVQWPGELHRKFVEAVNQIGIDRAVPKKILEVMNVDSLTRENVASHLQKYRIFLRKLSEGKVKKSSPFADGPKAWRSDWVPAYSTTNIAESSKHHRELGKYQSAPSFVASPSSSNPFARMNSPPAFGARTFLPTQSVQLVSSQRNACIPPQDKEPVGQGVNLSGASQCFPSGPGSSFANIPNGVVFNTSKPLYLGISGSSFANITSDSPPLASSKCCPSPRSCNSYASILCGKILGASRGIPFEDIADDEILAPSIHLPMQSPELVNQPPDQIQSSFAGLFNQVAREAHQFAGPCNSWKAAVPSRFPDLSHNVGMSKGPSQGNIVKINQLSRLAASSGQIPILGNLYQNQLAGIIGKTAPALSFSEQVEPFNFGSNTHYTTMSIGNPDLGSSSSTRPSLPNLQIDNSVIPTQILNGEDASGNLPEGGTNNQQAVGDQVINSNEFLVGTNEVQNGANGGLNDFFADMVDEQDFVNNGDAFLGGDWDFAP >PAN23298 pep chromosome:PHallii_v3.1:4:5663289:5669551:-1 gene:PAHAL_4G082500 transcript:PAN23298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGKFPAGMRVLAVDDDRVSLMILEKQLKHCNYNVTTVTDAKTALDMLRERKDVDQFELVISDVVMPDIDGFKLLELIGLEMDIPVIMLSANDETETVMKGINHGACDYLVKPVRLEQLRGIWTHVVRNSKSDPRNSISDGNDDADQKLQSGDGDKGEKDGGNHTRKYSKKKKKDIDGADEDKENASSTQKRQRVQWPGELHRKFVEAVNQIGIDRAVPKKILEVMNVDSLTRENVASHLQKYRIFLRKLSEGKVKKSSPFADGPKAWRSDWVPAYSTTNIAESSKHHRELGKYQSAPSFVASPSSSNPFARMNSPPAFGARTFLPTQSVQLVSSQRNACIPPQDKEPVGQGVNLSGASQCFPSGPGSSFANIPNGVVFNTSKPLYLGISGSSFANITSDSPPLASSKCCPSPRSCNSYASILCGKILGASRGIPFEDIADDEILAPSIHLPMQSPELVNQPPDQIQSSFAGLFNQVAREAHQFAGPCNSWKAAVPSRFPDLSHNVGMSKGPSQGNIVKINQLSRLAASSGQIPILGNLYQNQLAGIIGKTAPALSFSEQVEPFNFGSNTHYTTMSIGNPDLGSSSSTRPSLPNLQIDNSVIPTQILNGEDASGNLPEGGTNNQQAVGDQVINSNEFLVGTNEVQNGANGGLNDFFADMVDEDFVNNGDAFLGGDWDFAP >PAN23299 pep chromosome:PHallii_v3.1:4:5663779:5668795:-1 gene:PAHAL_4G082500 transcript:PAN23299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGKFPAGMRVLAVDDDRVSLMILEKQLKHCNYNVTTVTDAKTALDMLRERKDVDQFELVISDVVMPDIDGFKLLELIGLEMDIPVIMLSANDETETVMKGINHGACDYLVKPVRLEQLRGIWTHVVRNSKSDPRNSISDGNDDADQKLQSGDGDKGEKDGGNHTRKYSKKKKKDIDGADEDKENASSTQKRQRVQWPGELHRKFVEAVNQIGIDRAVPKKILEVMNVDSLTRENVASHLQKYRIFLRKLSEGKVKKSSPFADGPKAWRSDWVPAYSTTNIAESSKHHRELGKYQSAPSFVASPSSSNPFARMNSPPAFGARTFLPTQSVQLVSSQRNACIPPQDKEPVGQGVNLSGASQCFPSGPGSSFANIPNGVVFNTSKPLYLGISGSSFANITSDSPPLASSKCCPSPRSCNSYASILCGKILGASRGIPFEDIADDEILAPSIHLPMQSPELVNQPPDQIQSSFAGLFNQVAREAHQFAGPCNSWKAAVPSRFPDLSHNVGMSKGPSQGNIVKINQLSRLAASSGQIPILGNLYQNQLAGIIGKTAPALSFSEQVEPFNFGSNTHYTTMSIGNPDLGSSSSTRPSLPNLQIDNSVIPTQILNGEDASGNLPEGGTNNQQAVGDQVINSNEFLVGTNEVQNGANGGLNDFFADMVDEQDFVNNGDAFLGGDWDFAP >PAN23300 pep chromosome:PHallii_v3.1:4:5663779:5668795:-1 gene:PAHAL_4G082500 transcript:PAN23300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGKFPAGMRVLAVDDDRVSLMILEKQLKHCNYNVTTVTDAKTALDMLRERKDVDQFELVISDVVMPDIDGFKLLELIGLEMDIPVIMLSANDETETVMKGINHGACDYLVKPVRLEQLRGIWTHVVRNSKSDPRNSISDGNDDADQKLQSGDGDKGEKDGGNHTRKYSKKKKKDIDGADEDKENASSTQKRQRVQWPGELHRKFVEAVNQIGIDRAVPKKILEVMNVDSLTRENVASHLQKYRIFLRKLSEGKVKKSSPFADGPKAWRSDWVPAYSTTNIAESSKHHRELGKYQSAPSFVASPSSSNPFARMNSPPAFGARTFLPTQSVQLVSSQRNACIPPQDKEPVGQGVNLSGASQCFPSGPGSSFANIPNGVVFNTSKPLYLGISGSSFANITSDSPPLASSKCCPSPRSCNSYASILCGKILGASRGIPFEDIADDEILAPSIHLPMQSPELVNQPPDQIQSSFAGLFNQVAREAHQFAGPCNSWKAAVPSRFPDLSHNVGMSKGPSQGNIVKINQLSRLAASSGQIPILGNLYQNQLAGIIGKTAPALSFSEQVEPFNFGSNTHYTTMSIGNPDLGSSSSTRPSLPNLQIDNSVIPTQILNGEDASGNLPEGGTNNQQAVGDQVINSNEFLVGTNEVQNGANGGLNDFFADMVDEQDFVNNGDAFLGGDWDFAP >PAN24007 pep chromosome:PHallii_v3.1:4:12319087:12319699:-1 gene:PAHAL_4G136100 transcript:PAN24007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLAELLCSFPGIPGSRSILFDDLVKTHCGFKIHKYKISTILDTYQNTHTRYHNKLTRLCLSNQFEATIC >PAN25289 pep chromosome:PHallii_v3.1:4:48129184:48133083:-1 gene:PAHAL_4G290500 transcript:PAN25289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTISSAAAPALCAAFTALELVNLLDPHRTGAGTRAADRAPALRVAVRAFLPLAAAGGFFTCVALIYRHLHHALAAAAAGAGNPRLPELVTFTLCASAGFLQFLLFVLQAPGGVDDGAARELGLAALRGLPPAATATFFLGILLIIVGHIRAGGEGGGGAVAGVLVKMAVGAAAGLVCLMALAVCFV >PVH48335 pep chromosome:PHallii_v3.1:4:49569406:49569579:-1 gene:PAHAL_4G310600 transcript:PVH48335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTAKRQGMTHESLDSLIMWCYCVCSSIIQCSSGLASVHVSYQIKASSTEQPHKSSP >PAN24988 pep chromosome:PHallii_v3.1:4:46250036:46260230:-1 gene:PAHAL_4G266700 transcript:PAN24988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSTIPSLMELQALPFSHGAKTEAVLVNRTLDSELVALEQKAFIMSVEFRSKNSEFVGRTLVQTLANLVSNYMGGPVVDPESMLLKYRNMTSALRADIRSAVIPLGRLKVGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALNIVKFNDGRECIVDLMVDPGTLIPSDGADLGREVEDSLFVDDQHDSDTQLVYSFSEASSSIHGSFENESLEKGFTPRNAGHSGPYGATNAQTDNDVSGSGVSSSFEELSISTYASENVPIIHESSADHIMTSKSKDKSITSNNSSSSSPPSSEMGSTPAVRRKKVKDVSEYMISAAKENPQLAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSVFQTKDEMIRTMNELESTAHDCSGGHGPPMPHHPGHELKIVPYRTPLDLKPVQGLGVYHPFDSRDNAAPSVPLSEPSAPPQENPLQLIKQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLDPGCQLLCLPSSSTKSIQKGKHDLLENDQLDNAVEHEKDSVQAPQEAERVSDRSTGTESARSEIALDDVAEFEIQWEDLTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQHRRLDIPGSVDPAVAEIIKRCWQTDPRLRPSFSEIMAALRPLLKNTPANQPTRKRTQQTDD >PAN24989 pep chromosome:PHallii_v3.1:4:46249378:46261837:-1 gene:PAHAL_4G266700 transcript:PAN24989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLRKLHIGDSAGGDGASSLSAPPPPPTKKGGGGGGEHKHASGLSGWLSSVTGRPHAPPPLPAPAAAATAAEVEAEENALATALASSVEERRAAREEESRKEAEDERKREVEMEKKEKREAELEDYHMQLALEMSVREDPEAMQIEVAKQISLGSCPLQSSPAEVVAFRYWSFNALSYDDKILDGFYDLCATGDEPTLSAMPTLSTIPSLMELQALPFSHGAKTEAVLVNRTLDSELVALEQKAFIMSVEFRSKNSEFVGRTLVQTLANLVSNYMGGPVVDPESMLLKYRNMTSALRADIRSAVIPLGRLKVGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALNIVKFNDGRECIVDLMVDPGTLIPSDGADLGREVEDSLFVDDQHDSDTQLVYSFSEASSSIHGSFENESLEKGFTPRNAGHSGPYGATNAQTDNDVSGSGVSSSFEELSISTYASENVPIIHESSADHIMTSKSKDKSITSNNSSSSSPPSSEMGSTPAVRRKKVKDVSEYMISAAKENPQLAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSVFQTKDEMIRTMNELESTAHDCSGGHGPPMPHHPGHELKIVPYRTPLDLKPVQGLGVYHPFDSRDNAAPSVPLSEPSAPPQENPLQLIKQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLDPGCQLLCLPSSSTKSIQKGKHDLLENDQLDNAVEHEKDSVQAPQEAERVSDRSTGTESARSEIALDDVAEFEIQWEDLTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQHRRLDIPGSVDPAVAEIIKRCWQTDPRLRPSFSEIMAALRPLLKNTPANQPTRKRTQQTDD >PAN22868 pep chromosome:PHallii_v3.1:4:3254223:3261643:-1 gene:PAHAL_4G049900 transcript:PAN22868 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MAQSKEEFLEQFGGDYGYPDAPRGIDELRAADFKRLEGMVFLDHAGATLYSEAQMADVAKDLMSNVYGNPHSQSDSSMATSDLITSMRHQVLKFFNASPRDYKCIFTSGATAALKLVGECFPWSRDSCYMYTMENHNSVLGIREYALSKGATVSAVDVEEVVDPSKNHGSDSLFKISKHSTQRRGDDVLLHNYQNGSLTAISGNNVNLFAFPSECNFSGHKFNLSLVKLIKEGKIISTTSQQQGSWMVLIDAAKGCTTEPLNLTVYSADFVVCSFYKIFGYPTGLGALIVKNEAAGLLNKTYFGGGTVAASIADIDFVQKRKSIEQVLEDGTISFLSISSLRYGFKIIDTLTISAIARHTASLATYVRKKMMDLKHSNEKNVCIIYGQEASKVKDLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGRPTGAVRISFGYMSTYEDAEEFLKFLQSSFVSKAVGLNNGYMVNMDTLNLGDDWSEQAISDIRLKSITIYPVKSCQGFSVQGWPLTTGGLKYDREWLLQGSGGEILTQKKVPELSSIRTWIDLELGKLFLESPRRKDKLQISVIENLTHLSAEADVYGQRYEVQTYGDTINSWFSDAIGRPCTFMRCSSSKYRSCTINGRRDRLCRDTRSKLNFVNEGQLLLVSEESISELNSRLSSGNGNGKQRVLVDAMRFRPNIVVSGSTPYDEDNWKRLKIGGAYFTSMGGCNRCQMINLYQSSGQVIKSKEPLATLASYRRQKGKILFGILLNYEDSMDEEDDTVVERWIKVGQEVYPSTE >PVH47388 pep chromosome:PHallii_v3.1:4:3254318:3261643:-1 gene:PAHAL_4G049900 transcript:PVH47388 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MAQSKEEFLEQFGGDYGYPDAPRGIDELRAADFKRLEGMVFLDHAGATLYSEAQMADVAKDLMSNVYGNPHSQSDSSMATSDLITSMRHQVLKFFNASPRDYKCIFTSGATAALKLVGECFPWSRDSCYMYTMENHNSVLGIREYALSKGATVSAVDVEEVVDPSKNHGSDSLFKISKHSTQRRGDDVLLHNYQNGSLTAISGNNVNLFAFPSECNFSGHKFNLSLVKLIKEGKIISTTSQQQGSWMVLIDAAKGCTTEPLNLTVYSADFVVCSFYKIFGYPTGLGALIVKNEAAGLLNKTYFGGGTVAASIADIDFVQKRKSIEQVLEDGTISFLSISSLRYGFKIIDTLTISAIARHTASLATYVRKKMMDLKHSNEKNVCIIYGQEASKVKDLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGRPTGAVRISFGYMSTYEDAEEFLKFLQSSFVSKAVGLNNGYMVNMDTLNLGDDWSEQAISDIRLKSITIYPVKSCQGFSVQGWPLTTGGLKYDREWLLQGSGGEILTQKKVPELSSIRTWIDLELGKLFLESPRRKDKLQISVIENLTHLSAEADVYGQRYEVQTYGDTINSWFSDAIGRPCTFMRCSSSKYRSCTINGRRDRLCRDTRSKLNFVNEGQLLLVSEESISELNSRLSSGNGNGKQRVLVDAMRFRPNIVVSGSTPYDEDNWKRLKIGVYGRMQPLSNDQPISELRAGDQIKRTTGNFSII >PAN22869 pep chromosome:PHallii_v3.1:4:3254318:3261643:-1 gene:PAHAL_4G049900 transcript:PAN22869 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MAQSKEEFLEQFGGDYGYPDAPRGIDELRAADFKRLEGMVFLDHAGATLYSEAQMADVAKDLMSNVYGNPHSQSDSSMATSDLITSMRHQVLKFFNASPRDYKCIFTSGATAALKLVGECFPWSRDSCYMYTMENHNSVLGIREYALSKGATVSAVDVEEVVDPSKNHGSDSLFKISKHSTQRRGDDVLLHNYQNGSLTAISGNNVNLFAFPSECNFSGHKFNLSLVKLIKEGKIISTTSQQQGSWMVLIDAAKGCTTEPLNLTVYSADFVVCSFYKIFGYPTGLGALIVKNEAAGLLNKTYFGGGTVAASIADIDFVQKRKSIEQVLEDGTISFLSISSLRYGFKIIDTLTISAIARHTASLATYVRKKMMDLKHSNEKNVCIIYGQEASKVKDLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGRPTGAVRISFGYMSTYEDAEEFLKFLQSSFVSKAVGLNNGYMVNMDTLNLGDDWSEQAISDIRLKSITIYPVKSCQGFSVQGWPLTTGGLKYDREWLLQGSGGEILTQKKVPELSSIRTWIDLELGKLFLESPRRKDKLQISVIENLTHLSAEADVYGQRYEVQTYGDTINSWFSDAIGRPCTFMRCSSSKYRSCTINGRRDRLCRDTRSKLNFVNEGQLLLVSEESISELNSRLSSGNGNGKQRVLVDAMRFRPNIVVSGSTPYDEDNWKRLKIGVYGRMQPLSNDQPISELRAGDQIKRTTGNFSII >PAN22867 pep chromosome:PHallii_v3.1:4:3254654:3261239:-1 gene:PAHAL_4G049900 transcript:PAN22867 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MAQSKEEFLEQFGGDYGYPDAPRGIDELRAADFKRLEGMVFLDHAGATLYSEAQMADVAKDLMSNVYGNPHSQSDSSMATSDLITSMRHQVLKFFNASPRDYKCIFTSGATAALKLVGECFPWSRDSCYMYTMENHNSVLGIREYALSKGATVSAVDVEEVVDPSKNHGSDSLFKISKHSTQRRGDDVLLHNYQNGSLTAISGNNVNLFAFPSECNFSGHKFNLSLVKLIKEGKIISTTSQQQGSWMVLIDAAKGCTTEPLNLTVYSADFVVCSFYKIFGYPTGLGALIVKNEAAGLLNKTYFGGGTVAASIADIDFVQKRKSIEQVLEDGTISFLSISSLRYGFKIIDTLTISAIARHTASLATYVRKKMMDLKHSNEKNVCIIYGQEASKVKDLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGRPTGAVRISFGYMSTYEDAEEFLKFLQSSFVSKAVGLNNGYMVNMDTLNLGDDWSEQAISDIRLKSITIYPVKSCQGFSVQGWPLTTGGLKYDREWLLQGSGGEILTQKKVPELSSIRTWIDLELGKLFLESPRRKDKLQISVIENLTHLSAEADVYGQRYEVQTYGDTINSWFSDAIGRPCTFMRCSSSKYRSCTINGRRDRLCRDTRSKLNFVNEGQLLLVSEESISELNSRLSSGNGNGKQRVLVDAMRFRPNIVVSGSTPYDEDNWKRLKIGGAYFTSMGGCNRCQMINLYQSSGQVIKSKEPLATLASYRRQKGKILFGILLNYEDSMDEEDDTVVERWIKVGQEVYPSTE >PAN23257 pep chromosome:PHallii_v3.1:4:5438052:5440743:1 gene:PAHAL_4G079600 transcript:PAN23257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMTVCVIGAGGFVGSWLVERLLAAGRYTVHGTVRDPGDAKNAHLAALDGAGERLRLFRANLLDYGSLAKAIAGCDGVFHVPCPVPDYPLADPEVELLAPAVSGTMNVLKACSQAVVKRVVVVSSLSAVMVNPEWGDKAMDEGCWSDVDLCRTTENWYCLSKTLAELEAFAHAKRTGLDVVSLCPSLVIGPLLQSTVNASSSVLVDFLKGEHLVKMKLRNFVDVRDVADALLLVYETPEASGRFVCNSHPRRVSDVIELLKTWYPAYKYATKFVLVSDEPSFSSKKLQALGWRSKTLEETLKDSVESFKKAGVLD >PVH47523 pep chromosome:PHallii_v3.1:4:5438052:5440743:1 gene:PAHAL_4G079600 transcript:PVH47523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMTVCVIGAGGFVGSWLVERLLAAGRYTVHGTVRDPGDAKNAHLAALDGAGERLRLFRANLLDYGSLAKAIAGCDGVFHVPCPVPDYPLADPEVELLAPAVSGTMNVLKACSQAVVKRVVVVSSLSAVMVNPEWGDKAMDEGCWSDVDLCRTTENWYCLSKTLAELEAFAHAKRTGLDVVSLCPSLVIGPLLQSTVNASSSVLVDFLKGEHLVKMKLRNFVDVRDVADALLLVYETPEASGRFVCNSHPRRVSDVIELLKTWFVLVSDEPSFSSKKLQALGWRSKTLEETLKDSVESFKKAGVLD >PAN22411 pep chromosome:PHallii_v3.1:4:1152270:1157155:1 gene:PAHAL_4G017900 transcript:PAN22411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQKENSVLIACQAPNGRITRAQAAANRRSFGSFPSIPLPAKAERKQAAQGKTKRGSSYENTSSSAAISGPQPKRRTVLRDVTNVSRANSNKKITAATKLQTRPSQRVGRTTSKSKQCAKKVPKIPPPAVNRSSVANDSNIAEETQEGTLLPQREEPALLLENMGPLSLQNVERNRDSACHEAFFEERNARDRPEASVSKTGDSPASDIVDIDKDIGNPQMCASYVVKIYSNLMASELMRRPNPNYMEGLQRDITKGMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDRFLSQNYIERQRLQLLGITSMLVASKYEEICAPRVEEFCFITDNTYTKAEVLKMEGQVLNDLGFHLSVPTTKTFLRRFLRAAQASRKTPSISLGFLANYLAELTLVDYGFLKFLPSVVAASAVFLARWTLDQSDLPWNQTLEHYTSYKSSDIQLCVSALWELQQNTSNCPLNAVREKYRHQKFECVANLTSPELHQSLFS >PAN24272 pep chromosome:PHallii_v3.1:4:18260514:18265546:-1 gene:PAHAL_4G154500 transcript:PAN24272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGVLREIVPAEISPSPLRCGWEEMEVLCIGTADTKLEELLFLAARLRSALAATGSDPKVQVSIVDVSTTEKTTAHDLKDVTFIPRNTVLSCLMDVDQHNLPDDRGEAISLVSKALQSFLKKRYDSGTLVGAVGLGGSGGTALIASALRSLPLGVPKLIVSTVASGHTTPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAFAGMVHGILLASNESDETATKPTIGITMFGVTTPCVNAVKDRLNKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDATTTEVADYIVGGVMACDESRFDAIIDNKIPLVLSVGALDMVNFGSRDTIPPAFADRKIHVHNEQVSLMRTTVEENKKFAKFIADKINKSSSKVTVCLPQKGISAIDAPGMPFYDPEATSTLLGELNTLIQRNDIREVKLLPYHINDPEFANALVDAFLGMDVKASSKAQPQQDGKKKKSSSGQKSSDKSIIWRPPVDFPDANPETLQKTRSILNKLKQYITEGIPVIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLENIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEAAAMAKVGAHIIVAHMGLTTAGSIGAKTAVALDDSAVRVQAIADAALRINPDIIVLCHGGPISGPQEAEFILKNTNRVHGFYGASSMERLPVEQAVTNTMRQYKRISLK >PVH47797 pep chromosome:PHallii_v3.1:4:18260513:18265546:-1 gene:PAHAL_4G154500 transcript:PVH47797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGVLREIVPAEISPSPLRCGWEEMEVLCIGTADTKLEELLFLAARLRSALAATGSDPKVQVSIVDVSTTEKTTAHDLKDVTFIPRNTVLSCLMDVDQHNLPDDRGEAISLVSKALQSFLKKRYDSGTLVGAVGLGGSGGTALIASALRSLPLGVPKLIVSTVASGHTTPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAFAGMVHGILLASNESDETATKPTIGITMFGVTTPCVNAVKDRLNKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDATTTEVADYIVGGVMACDESRFDAIIDNKIPLVLSVGALDMVNFGSRDTIPPAFADRKIHVHNEQVSLMRTTVEENKKFAKFIADKINKSSSKVTVCLPQKGISAIDAPGMPFYDPEATSTLLGELNTLIQRNDIRELLPYHINDPEFANALVDAFLGMDVKASSKAQPQQDGKKKKSSSGQKSSDKSIIWRPPVDFPDANPETLQKTRSILNKLKQYITEGIPVIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLENIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEAAAMAKVGAHIIVAHMGLTTAGSIGAKTAVALDDSAVRVQAIADAALRINPDIIVLCHGGPISGPQEAEFILKNTNRVHGFYGASSMERLPVEQAVTNTMRQYKRISLK >PVH47799 pep chromosome:PHallii_v3.1:4:18260514:18265360:-1 gene:PAHAL_4G154500 transcript:PVH47799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGILLASNESDETATKPTIGITMFGVTTPCVNAVKDRLNKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDATTTEVADYIVGGVMACDESRFDAIIDNKIPLVLSVGALDMVNFGSRDTIPPAFADRKIHVHNEQVSLMRTTVEENKKFAKFIADKINKSSSKVTVCLPQKGISAIDAPGMPFYDPEATSTLLGELNTLIQRNDIREVKLLPYHINDPEFANALVDAFLGMDVKASSKAQPQQDGKKKKSSSGQKSSDKSIIWRPPVDFPDANPETLQKTRSILNKLKQYITEGIPVIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLENIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEAAAMAKVGAHIIVAHMGLTTAGSIGAKTAVALDDSAVRVQAIADAALRINPDIIVLCHGGPISGPQEAEFILKNTNRVHGFYGASSMERLPVEQAVTNTMRQYKRISLK >PVH47798 pep chromosome:PHallii_v3.1:4:18260857:18265270:-1 gene:PAHAL_4G154500 transcript:PVH47798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLCIGTADTKLEELLFLAARLRSALAATGSDPKVQVSIVDVSTTEKTTAHDLKDVTFIPRNTVLSCLMDVDQHNLPDDRGEAISLVSKALQSFLKKRYDSGTLVGAVGLGGSGGTALIASALRSLPLGVPKLIVSTVASGHTTPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAFAGMVHGILLASNESDETATKPTIGITMFGVTTPCVNAVKDRLNKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDATTTEVADYIVGGVMACDESRFDAIIDNKIPLVLSVGALDMVNFGSRDTIPPAFADRKIHVHNEQVSLMRTTVEENKKFAKFIADKINKSSSKVTVCLPQKGISAIDAPGMPFYDPEATSTLLGELNTLIQRNDIREVKLLPYHINDPEFANALVDAFLGMDVKASSKAQPQQDGKKKKSSSGQKSSDKSIIWRPPVDFPDANPETLQKTRSILNKLKQYITEGIPVIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLENIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEAAAMAKVGAHIIVAHMGLTTAGSIGAKTAVALDDSAVRVQAIADAALRINPDIIVLCHGGPISGPQEAEFILKNTNRVHGFYGASSMERLPVEQAVTNTMRQYKRISLK >PVH47800 pep chromosome:PHallii_v3.1:4:18260514:18265546:-1 gene:PAHAL_4G154500 transcript:PVH47800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLCIGTADTKLEELLFLAARLRSALAATGSDPKVQVSIVDVSTTEKTTAHDLKDVTFIPRNTVLSCLMDVDQHNLPDDRGEAISLVSKALQSFLKKRYDSGTLVGAVGLGGSGGTALIASALRSLPLGVPKLIVSTVASGHTTPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAFAGMVHGILLASNESDETATKPTIGITMFGVTTPCVNAVKDRLNKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDATTTEVADYIVGGVMACDESRFDAIIDNKIPLVLSVGALDMVNFGSRDTIPPAFADRKIHVHNEQVSLMRTTVEENKKFAKFIADKINKSSSKVTVCLPQKGISAIDAPGMPFYDPEATSTLLGELNTLIQRNDIREVKLLPYHINDPEFANALVDAFLGMDVKASSKAQPQQDGKKKKSSSGQKSSDKSIIWRPPVDFPDANPETLQKTRSILNKLKQYITEGIPVIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLENIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEAAAMAKAIADAALRINPDIIVLCHGGPISGPQEAEFILKNTNRVHGFYGASSMERLPVEQAVTNTMRQYKRISLK >PAN23024 pep chromosome:PHallii_v3.1:4:4079641:4084967:-1 gene:PAHAL_4G062300 transcript:PAN23024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAAAAAAGTLRPTAATLLFSPPHQHLRPLSLHRRVTQHLPFARRRRDSYSTATVAAQQEDSGGAGGEAEGKASRRRRARESPEGNLRHQLDMCSRNADLTTALRLYDAALSPDSPVPLSLHHYNCLLYLCSNAAASDPDSSADADQRGFNIFARMEADGVQPNEAALTSVARLAAATRDPAMDFANSLTELAIKREARDDFLGFQSWLSRHGPFDAVIDAANVGLYNSKAFSFSQVNSVVNAIQRITKSNKLPLIILHWNRVNGGNAKAPYNQKILESWRKAGALYATPPGSNDDWYWLYAAVSCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTFSGRGPTLHLPPPYSIVIQDSEDGSWHVPTTTGDDIEKPRQWICSKRKSWGAHAHQVLGGTHALGFCSVARCSADDQADAEPPRFTVVPGDTAVDGPGTGAGVGVSEAAERVCRVVSTQPELGIASALDALRVAVSPELVAEVLKNLSNAGMLALAFFRWAERQEGFRYTAESFHNLIEALGKIKQFRLVWSLVETMRCRCLLSKDTFKLIVRRYARARKVKEAVETFEKMSSFGLKTELSDYNWLIDTLSKSKQVKKGHAVYNEMKRKGRFVPDLKTYTVLMEGWGHEKDLLMVKTVYQEMLDAGIRPDVVAYGMLISAFCKSGKCDEAVKVFHEMEVSGCMPSPHVYCMLINGLGSEERLDEALKYFELYKESGFPMEVPTCNAVVGAYCRASKFQHAFKMVDEMRKCKIGPNSRTYDIILHHLIKSQKFEEAYDVFHRMGSDSCEPQLNTYTMMVGMFCSNGRVDMALKVWKQMKEKGVLPCMHMFSALINGLCFENRLEEACIYFQEMLDKGIRPPGQLFSNLKEALVEGGRISLAQEMALKLDTLRKTPLHG >PAN23074 pep chromosome:PHallii_v3.1:4:4230854:4235053:1 gene:PAHAL_4G064900 transcript:PAN23074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDSAKQPLLPRAHPPHVASASSPALPSVPPGSAGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEIDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKLRMESSVFGDQSLLGYNSAGAAGTSASAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTTTEHIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGVFGMNFESTVFKIHNAFSWVLIITGVVGAFIFFSFLWFFKYKRLMPL >PAN25983 pep chromosome:PHallii_v3.1:4:51665033:51667066:1 gene:PAHAL_4G342500 transcript:PAN25983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MATAAASSRARCLLGFRRRAPLPPLPFPSPVTRAATRRRGVRMASSASAPPSTTIEVPGAAGPVVVVGAPGLPEADFRNAVDSALFKQWLSNLQSEKGVLTYGRLNLTRILIQGVDMFGKRVGFLKFKADIIDEETKTKVPGIVFARGPAVAVLILLESNGQTYAVLTEQVRVPVGKFLLELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMVDLTALLDPATGGRMIPSPISVHMRSIEISLTDKTL >PAN25984 pep chromosome:PHallii_v3.1:4:51664976:51667718:1 gene:PAHAL_4G342500 transcript:PAN25984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MATAAASSRARCLLGFRRRAPLPPLPFPSPVTRAATRRRGVRMASSASAPPSTTIEVPGAAGPVVVVGAPGLPEADFRNAVDSALFKQWLSNLQSEKGVLTYGRLNLTRILIQGVDMFGKRVGFLKFKADIIDEETKTKVPGIVFARGPAVAVLILLESNGQTYAVLTEQVRVPVGKFLLELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMVDLTALLDPATGGRMIPSPGGCDEEIGLFLYRGRVDEETIRSLQGKETGLRDHGELIKLRVVPYSQLWRSTADAKALCAIALYEMAKREDLLPSSSPPSANL >PVH47632 pep chromosome:PHallii_v3.1:4:7590974:7595654:-1 gene:PAHAL_4G105100 transcript:PVH47632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRPRIRAVPLRPLLLFLLVPLIYSVSRLHPWSPEKGVCLPPPTAPKRPDHLVLGPAAGQGRHDRLQCHGLKALNNIGISSDRNYSGEHISFVTVFTTYGSVPDGDGKVPSDTVTVGNHSYSKIERSMAILNTFTNFIKVSMPRSNVIILTDPDSKFSVSQGSATLMPIEGNYSRGNLMLQRIKSYIAFLEQKLVEFDRVEGFNHFVLTDSDIAVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDGITNGILQTSP >PVH47631 pep chromosome:PHallii_v3.1:4:7590974:7595546:-1 gene:PAHAL_4G105100 transcript:PVH47631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRPRIRAVPLRPLLLFLLVPLIYSVSRLHPWSPEKGVCLPPPTAPKRPDHLVLGPAAGQGRHDRLQCHGLKALNNIGISSDRNYSGEHISFVTVFTTYGSVPDGDGKVPSDTVTVGNHSYSKIERSMAILNTFTNFIKVSMPRSNVIILTDPDSKFSVSQGSATLMPIEGNYSRGNLMLQRIKSYIAFLEQKLVEFDRVEGFNHFVLTDSDIAVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDGITKAVAFFKQVLEAYNLKYMKASRMLGDQLALAWVVKSHLPSALRKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGCPFQRFKETFDA >PAN23620 pep chromosome:PHallii_v3.1:4:7592216:7595454:-1 gene:PAHAL_4G105100 transcript:PAN23620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRPRIRAVPLRPLLLFLLVPLIYSVSRLHPWSPEKGVCLPPPTAPKRPDHLVLGPAAGQGRHDRLQCHGLKALNNIGISSDRNYSGEHISFVTVFTTYGSVPDGDGKVPSDTVTVGNHSYSKIERSMAILNTFTNFIKVSMPRSNVIILTDPDSKFSVSQGSATLMPIEGNYSRGNLMLQRIKSYIAFLEQKLVEFDRVEGFNHFVLTDSDIAVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDGITKAVAFFKQVLEAYNLKYMKASRMLGDQLALAWVVKSHLPSALRKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFYNSTSKLSDMMCLILRSGRTKYDF >PAN23619 pep chromosome:PHallii_v3.1:4:7590974:7595825:-1 gene:PAHAL_4G105100 transcript:PAN23619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRPRIRAVPLRPLLLFLLVPLIYSVSRLHPWSPEKGVCLPPPTAPKRPDHLVLGPAAGQGRHDRLQCHGLKALNNIGISSDRNYSGEHISFVTVFTTYGSVPDGDGKVPSDTVTVGNHSYSKIERSMAILNTFTNFIKVSMPRSNVIILTDPDSKFSVSQGSATLMPIEGNYSRGNLMLQRIKSYIAFLEQKLVEFDRVEGFNHFVLTDSDIAVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDGITKAVAFFKQVLEAYNLKYMKASRMLGDQLALAWVVKSHLPSALRKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFYNSTSKLSDMMCLILRSGRTKYDF >PVH47633 pep chromosome:PHallii_v3.1:4:7590974:7595654:-1 gene:PAHAL_4G105100 transcript:PVH47633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRPRIRAVPLRPLLLFLLVPLIYSVSRLHPWSPEKGVCLPPPTAPKRPDHLVLGPAAGQGRHDRLQCHGLKALNNIGISSDRNYSGEHISFVTVFTTYGSVPDGDGKVPSDTVTVGNHSYSKIERSMAILNTFTNFIKVSMPRSNVIILTDPDSKFSVSQGSATLMPIEGNYSRGNLMLQRIKSYIAFLEQKLVEFDRVEGFNHFVLTDSDIAVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDGITKAVAFFKQVLEAYNLKYMKASRMLGDQLALAWVVKSHLPSALRKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGCPFQRFKETFDA >PVH47634 pep chromosome:PHallii_v3.1:4:7590974:7595789:-1 gene:PAHAL_4G105100 transcript:PVH47634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRPRIRAVPLRPLLLFLLVPLIYSVSRLHPWSPEKGVCLPPPTAPKRPDHLVLGPAAGQGRHDRLQCHGLKALNNIGISSDRNYSGEHISFVTVFTTYGSVPDGDGKVPSDTVTVGNHSYSKIERSMAILNTFTNFIKVSMPRSNVIILTDPDSKFSVSQGSATLMPIEGNYSRGNLMLQRIKSYIAFLEQKLVEFDRVEGFNHFVLTDSDIAVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDGITKAVAFFKQVLEAYNLKYMKASRMLGDQLALAWVVKSHLPSALRKFSKHEAFTGCPFQRFKETFDA >PAN24720 pep chromosome:PHallii_v3.1:4:44591144:44606307:1 gene:PAHAL_4G247700 transcript:PAN24720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMTAASQVELPREAGAADDEMWEREGVDAEVRLLSDQGLREKVQRTQDMLDRGFGDRLPDRGKKLRLHLDATHRELNRRRGPGGGAREPSGDGCERIVLSKCAESSDTRKASHRQCMDQVGRKPGSGLHSDPNRAKLSKADFQFSFGMDEKADVDISSLEITTRSRNEPNTSVENERKLCKEEDSCKPSSEPTDLFHEELPVDTSSNTEKISSDDASNNNDHNRICEAAPTPSRKRKGADPANFSMRLRSRKEEVVLLDGDTPHPDSAEGTSNNWDAKKLYYPSREHPNAVEISSDDIRCLQPESLLSSPIMNFYIMYLQEPMSPISRPRGEYHIFNTYFFSKLEAMTSKEDKTTYFLKLRRWWKGVDIFEKAYMLLPVHAETHWSLVIICMPTKEDQAGPIILHLDSLKFHSSRLIFSVVSRFLKEEWNCLKENVSSTECPLRETVWKNFPRKIEKKTIEVPQQENDYDCGLFVLYYMQRFIQEAPKRLQKKDLSMFGKRWFRPEEPSQLRDEIRHLLQKCWEADPKSCATELFGEAEPKNDVTEPTTSEHLQETDAATAKDQALIEIEETCRSD >PVH48415 pep chromosome:PHallii_v3.1:4:50544151:50546429:1 gene:PAHAL_4G325700 transcript:PVH48415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPTSPAAAAAPRYSLPPVRLPAEDILFCVDVDLEASAEMKSAASAPSSGSASTASPPPPPQPGPRPVVRRMDAVRQALLLFVHSKLTMCPDHRFAFASIGETVSMVLIYCRSSTKPHHQWPVKPKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARVLFRLMCILLSHPLQRCIQDDLDIPKQVAKKTLAVEAVQNEDGTPVSSQQ >PVH48414 pep chromosome:PHallii_v3.1:4:50544151:50546428:1 gene:PAHAL_4G325700 transcript:PVH48414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPTSPAAAAAPRYSLPPVRLPAEDILFCVDVDLEASAEMKSAASAPSSGSASTASPPPPPQPGPRPVVRRMDAVRQALLLFVHSKLTMCPDHRFAFASIGETVSMIKKDFSSDVGSAMEAIHSLSASESRYATADLTQLFKIAYHEGKIAESQGRLLRVVLIYCRSSTKPHHQWPVKPKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARVLFRLMCILLSHPLQRCIQDDLDIPKQVAKKTLAVEAVQNEDGTPVSSQQ >PAN23352 pep chromosome:PHallii_v3.1:4:5981329:5982316:-1 gene:PAHAL_4G086300 transcript:PAN23352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPRTSISTPAAAAASAPGGRRDHHSTELGQEGALVQERTMAAMQILPSGHGASCGGASSLSPPPAAPAPLTLSLRSNISTAGTLLVDDDEEPDSPTSPGSCSIPPDGYTPLVRTFSFGEYGPLPPPVNRELAAACYNAKSSCTASPSMDASFQPIQDDEPPISGDLGYDMYPPDYSVEPRFAVRQATEFAEIALRCHNDDPSNDVCEV >PAN23353 pep chromosome:PHallii_v3.1:4:5981329:5982316:-1 gene:PAHAL_4G086300 transcript:PAN23353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPRTSISTPAAAAASAPGGRRDHHSTELGQEGALVQERTMAAMQILPSGHGASCGGASSLSPPPAAPAPLTLSLRSNISTAGTLLVDDDEEPDSPTSPGSCSIPPDGYTPLVRTFSFGEYGPLPPPVNRELAAACYNAKSSCTASPSMDASFQPIQDDEPPISGDLGYDMYPPDYSVEPRFAVRQATEFAEIALRCHNDDPSNDVCEV >PAN23354 pep chromosome:PHallii_v3.1:4:5980708:5982359:-1 gene:PAHAL_4G086300 transcript:PAN23354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPRTSISTPAAAAASAPGGRRDHHSTELGQEGALVQERTMAAMQILPSGHGASCGGASSLSPPPAAPAPLTLSLRSNISTAGTLLVDDDEEPDSPTSPGSCSIPPDGYTPLVRTFSFGEYGPLPPPVNRELAAACYNAKSSCTASPSMDASFQPIQDDEPPISGDLGYDMYPPDYSVEPRFAVRQATEFAEIALRCHNDDPSNDVKYELVKASVSSYMFEGSGNYGHVNFTARAKQQDGYEGSCSSQS >PAN23351 pep chromosome:PHallii_v3.1:4:5980708:5982359:-1 gene:PAHAL_4G086300 transcript:PAN23351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPRTSISTPAAAAASAPGGRRDHHSTELGQEGALVQERTMAAMQILPSGHGASCGGASSLSPPPAAPAPLTLSLRSNISTAGTLLVDDDEEPDSPTSPGSCSIPPDGYTPLVRTFSFGEYGPLPPPVNRELAAACYNAKSSCTASPSMDASFQPIQDDEPPISGDLGYDMYPPDYSVEPRFAVRQATEFAEIALRCHNDDPSNDVKYELVKASVSSYMFEGSGNYGHVNFTARAKQQDGYEGSCSSQS >PAN25179 pep chromosome:PHallii_v3.1:4:47563312:47565763:1 gene:PAHAL_4G282000 transcript:PAN25179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex-like protein OHP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G02120) UniProtKB/Swiss-Prot;Acc:O81208] MAARCALPSPSCLVHQPLCGNKLAKKLTPCLSSPRAVRVRVNAAKLPPGVEVPRLQPKLSEPFLGFTQTAEIWNSRACMMGLIGTFIVELVLNKGILQIIGVEVGKGLDLPL >PAN24686 pep chromosome:PHallii_v3.1:4:44199988:44203820:-1 gene:PAHAL_4G244600 transcript:PAN24686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSHLRAALSRILRSPSRLPASSHDPPLVFLQSSAAAASSSGSFAAEDYLVSRCGLTQAQALKAAAKISHLPSRARPDAVLAYLESTLGIPAAGVARVVVMDPTFLCADVEQTLARRVADLHDLGLSRDEIARLVPLAPNSFRNRFLRSNLEFWLAELGSFDKLLKVLRSCSGLLSMDLDKVARPNVDFLRQCGQDISEIAGTNLYISRIFTMKPEVLKETVQRAEELGVERGARMFRRALAVVAFTDSEVVARRIQLLHNAGFSKDDVLAIARKQPLVLGLSEQKVQVNVDFLLKDVGLEVSYIVRRPVLLMYSVERRLLPRHCLLKVLREKGLLKGEPDYYGTASMGEKIFVEKYVHPFKNHVPGLTDDYVSKCWGKAMDGIRSQKTD >PAN24684 pep chromosome:PHallii_v3.1:4:44199968:44203820:-1 gene:PAHAL_4G244600 transcript:PAN24684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSHLRAALSRILRSPSRLPASSHDPPLVFLQSSAAAASSSGSFAAEDYLVSRCGLTQAQALKAAAKISHLPSRARPDAVLAYLESTLGIPAAGVARVVVMDPTFLCADVEQTLARRVADLHDLGLSRDEIARLVPLAPNSFRNRFLRSNLEFWLAELGSFDKLLKVLRSCSGLLSMDLDKVARPNVDFLRQCGQDISEIAGTNLYISRIFTMKPEVLKETVQRAEELGVERGARMFRRALAVVAFTDSEVVARRIQLLHNAGFSKDDVLAIARKQPLVLGLSEQKVQVNVDFLLKDVGLEVSYIVRRPVLLMYSVERRLLPRHCLLKVLREKGLLKGEPDYYGTASMGEKIFVEKS >PAN24688 pep chromosome:PHallii_v3.1:4:44202567:44203742:-1 gene:PAHAL_4G244600 transcript:PAN24688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSHLRAALSRILRSPSRLPASSHDPPLVFLQSSAAAASSSGSFAAEDYLVSRCGLTQAQALKAAAKISHLPSRARPDAVLAYLESTLGIPAAGVARVVVMDPTFLCADVEQTLARRVADLHDLGLSRDEIARLVPLAPNSFRNRFLRSNLEFWLAELGSFDKLLKVLRSCSGLLSMDLDKVARPNVDFLRQCGQDISEIAGTNLYISRIFTMKPEVLKETVQRAEELGVERGARMFRRALAVVAFTDSEVVARRIQLLHNAGFSKDDVLAIARKQPLVLGLSEQKVQVNVDFLLKDVGLEVSYIVRRPVLLMYSVERRLLPRHCLLKVLREKGLLKGEPDYYGTASMGEKIFVEKYVHPFKNHVPGLTDDYVSKCWGKAMDGIRSQKTD >PAN24685 pep chromosome:PHallii_v3.1:4:44199988:44203821:-1 gene:PAHAL_4G244600 transcript:PAN24685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSHLRAALSRILRSPSRLPASSHDPPLVFLQSSAAAASSSGSFAAEDYLVSRCGLTQAQALKAAAKISHLPSRARPDAVLAYLESTLGIPAAGVARVVVMDPTFLCADVEQTLARRVADLHDLGLSRDEIARLVPLAPNSFRNRFLRSNLEFWLAELGSFDKLLKVLRSCSGLLSMDLDKVARPNVDFLRQCGQDISEIAGTNLYISRIFTMKPEVLKETVQRAEELGVERGARMFRRALAVVAFTDSEVVARRIQLLHNAGFSKDDVLAIARKQPLVLGLSEQKVQVNVDFLLKDVGLEVSYIVRRPVLLMYSVERRLLPRHCLLKVLREKGLLKGEPDYYGTASMGEKIFVEKS >PAN24687 pep chromosome:PHallii_v3.1:4:44199988:44203820:-1 gene:PAHAL_4G244600 transcript:PAN24687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSHLRAALSRILRSPSRLPASSHDPPLVFLQSSAAAASSSGSFAAEDYLVSRCGLTQAQALKAAAKISHLPSRARPDAVLAYLESTLGIPAAGVARVVVMDPTFLCADVEQTLARRVADLHDLGLSRDEIARLVPLAPNSFRNRFLRSNLEFWLAELGSFDKLLKVLRSCSGLLSMDLDKVARPNVDFLRQCGQDISEIAGTNLYISRIFTMKPEVLKETVQRAEELGVERGARMFRRALAVVAFTDSEVVARRIQLLHNAGFSKDDVLAIARKQPLVLGLSEQKVQVNVDFLLKDVGLEVSYIVRRPVLLMYSVERRLLPRHCLLKVLREKGLLKGEPDYYGTASMGEKIFVEKYVHPFKNHVPGLTDDYVSKCWGKAMDGIRSQKTD >PAN24120 pep chromosome:PHallii_v3.1:4:30567373:30572062:-1 gene:PAHAL_4G191700 transcript:PAN24120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHKEPSTPAAGGTPWKRRLRSHHATPQSLFSRRLPSCAKNRGEAEEPQTAKKPAAPKNTRRCGGCRAEDAEAARVPRAPPRRSPRLAGRDLEHPIVIDEGIKECEVRDNQSTITPLRRSPRFHPAGNGLGKQLLPQSPPEVTHNRKTPNASGKDKNITNLRSSQRNAAAKALPRTKNDKEPQPLCSNSPDIPIRQQIADLSCKKKEKKQLNSNHCEVLTRKRKRGTEGRSSPKRQNYQDLQSLPPGSQEIATSNETRKVRHQKGKNKKDLALVVQPKIGDDRLMNTEENNEDPTWTERERKQNFHGSDDWTEEQDVALRKAYFSARPSPHFWKRVSKLVPGRSAEECFNRIHADLSTPTRIAPRTRTCKAKFSPLANFSLSDPELPNLLEPAVGMQRTSKQKSLAAQKTVRHLLQKHCLIDHAHEADHFSIFESSPSALQLNISFEDFPGTPHSCMNSGSLLRFSASSSGQKKPFSRLKTKPDEPSPGVLKPVKNVILHEKYIDQLSRREGTRRPRRKTPGSKAADSVKAHSEQKAGDLKAAKNALISEATDFISHFKKLQANSLAHVIENSEDDDGTEGD >PAN24119 pep chromosome:PHallii_v3.1:4:30567373:30573034:-1 gene:PAHAL_4G191700 transcript:PAN24119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHKEPSTPAAGGTPWKRRLRSHHATPQSLFSRRLPSCAKNRGEAEEPQTAKKPAAPKNTRRCGGCRAEDAEAARVPRAPPRRSPRLAGRDLEHPIVIDEGIKECEVRDNQSTITPLRRSPRFHPAGNGLGKQLLPQSPPEVTHNRKTPNASGKDKNITNLRSSQRNAAAKALPRTKNDKEPQPLCSNSPDIPIRQQIADLSCKKKEKKQLNSNHCEVLTRKRKRGTEGRSSPKRQNYQDLQSLPPGSQEIATSNETRKVRHQKGKNKKDLALVVQPKIGDDRLMNTEENNEDPTWTERERKQNFHGSDDWTEEQDVALRKAYFSARPSPHFWKRVSKLVPGRSAEECFNRIHADLSTPTRIAPRTRTCKAKFSPLANFSLSDPELPNLLEPAVGMQRTSKQKSLAAQKTVRHLLQKHCLIDHAHEADHFSIFESSPSALQLNISFEDFPGTPHSCMNSGSLLRFSASSSGQKKPFSRLKTKPDEPSPGVLKPVKNVILHEKYIDQLSRREGTRRPRRKTPGSKAADSVKAHSEQKAGDLKAAKNALISEATDFISHFKKLQANSLAHVIENSEDDDGTEGD >PAN22212 pep chromosome:PHallii_v3.1:4:182922:187448:1 gene:PAHAL_4G004000 transcript:PAN22212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLDSFVKRCTASLQDFAGQDACAALGVGDDVRALLATLSRIDAIVSHEERRRLLTAKVDAWVAQVKDAMYDIDDVIDVCVVEGGKILDDDRPPTPKVRCFSCFKPAGPRKFRHEIGFTIRDIDLRLREVEEEMPRLPAGSRHSDAKRDWFNHNNVCNNCYHAVKPQAVGSQVQKAVGGLVPRMLREGKKKVDVFAIVGAVGIGKTTLAREIYNDDRMTENFPICVWVKMSKDLSEVAFLKKIITSAGANVGDTENREELLELLSSALSKRFLIVLDDLDNAGIWDNLLKDLLGDGVARGRILITTQTEEVATSMKATVHRVDKMDTENAWELLCKQVDPEHNSEELAALKDVGIKIAEICDGHPLAIKVIAGILRSRGNNKAEWEMVLNNDSWSMPPILPEVPQALYMSYIDLPSELKECFLRCSLYPEECPIQRFDLVRHWIAEGIVNARDNKLPEESAEEYYIELIRRNLLQPDPDNVEQCWMTHDLLRSLTRFFIADESIVIYGQQKLSTSLSKPRHLTLCNMESSLEDPISLKQQMSIRSVMLFKSPNVRAIDILVESAPCLRVLDLSKTAIEALPKSIGNLVHLRYLNLDGTEVRDIPSSIGFLMNLQTLSLQGCQRLQRLPWSIKALLELRCLRLEGTSLSYVPKGVGELKHLNYLSGLIIGHDNNGPEGCDLDDLKALSELRHLHIESLDRATSGATALANKPFLKDLYLSEQALVTEEQQQKEEKEGQENKDETGKEENEGQEVSNGQCSGEESAEASEKIWNELTPPKSIEKLVIKNYKGVRFPSWIKGPKLGTSFPSLVLLDLENCMSCTKLPSLGLLNQLQSLQISNADSIVTIGSEFLGTTVVSPGTSFPKLEVLKLRNMKKLEDWSLTVEETQVLLPRLKSLHIQACPKLKALPEGLKHVALSELHVEGAYSLTEIKDLLKLSDELHLKDNKVLQRISNLPMLRSLIIDDCSKLKHVAALDALQHLRLVFPPSTETFYFEELIIFWSIAFARWLELLIQKCKGLRRFELQCSLSLLRSCLEGGKNWHIVQQIPEVRIISCDGKRYIRYNKSRRIYETNAQSEE >PVH47226 pep chromosome:PHallii_v3.1:4:497466:499370:-1 gene:PAHAL_4G008000 transcript:PVH47226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYTIPRWFRTFIWLAYIGSDALAIYALATLFNRHASSSTSSCANGGWSRALEVLWAPVLLIHLGGQKEVSAYNIEDNELWTRHTVTLVSQVAFAVYAFCKSWPSSGDRRLLASAILLFVVGILSFSEKPWALRRASINRLAAESSSRMHGRERKPRRRWGLFTKLEDQVPSDSDLLHMILSGMSLFAVHTDLLMKRELMRQDSIRAAEMRWQREEGMTLQTEVEGEDEVLGPLSHSAGVKRWPAPRRAFGLIYTRVNVATTPAYLAFHFLLVPAIHVAAITLFAASRKRGYDPTDVKITYVILFVTAALDVLAETIRQLLYKLMSAAGVPALCETLPQYNVLTSARRRTQPATGWLLKCAARLGWEEHLLLVCRRDESHLFGRVAVSVITCLFQVQGLDLGSYRSFTAGNWALSVELQERCGPMVRRTLRKSFDESVLIWHIATDLCFRRNPPPAAADAAWECERTRAISNYMAHVLNFRPDMLMYCSRRHLLTEALEDLESILLRPDLDDTALLEAIQKAGESEQHKYPLIHDACKLSDELMEVWPEETRWELMYRAWLGMLCYSASMCRGYLHAKSLGEGGEFLSFVWLFLSLKGAKTLADKLQMPEPDAQDDIWRSTATAARDAENVDR >PAN23381 pep chromosome:PHallii_v3.1:4:6072507:6081161:1 gene:PAHAL_4G087500 transcript:PAN23381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGSGGRGCVISSPGTEDDKLTRRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSASPSPSPARSSAEREDGDDIEEFHRPPVIFLPDIESSSPGSAVGSIASTDDDNFFGPVSTSFIQTGRPSDAGMSEDDNHDITMDSTMFSMHFRNIDPPDDCTTNSAASLMTPNMESKGPLKELTVSDPGRTLSNGRTDMSLLTGNPRSYDYGKLSPTLNSMMQKVKGGQQTESPKSGIADVTPDCVLTLPSYEEENREENLCIGNGISSDQLGSVNTIAEHISMSDEVSTRTYPIQVDNEIITDDHENSQNCNRDHMVVDPGVNNTVEPPAKLSPAHKSSVNNVDLQSHLLHQSLLKDQPSGSNCTTSASSMCNVDLEPHLLDQSPGTNNTTDASQLSNAAPAILLMDAEQLHQQNEVMDTETILHTPRTVGQQLQVLQGSISSLCSKRQKLFIGTPLSNSKVASEEACSLGSEFVEHGKRISALKNVLKTRLQESPAVCRLPLVEKNEPGHQANDMVRNTEDRDSTLSVSSNSVPQHQLKKTGESFILGTPPREGLNEATRVQDTSRRVLTLDSQPSHECNPLLDLDGVGRKRTATENGHAVQEHPEEITKAARSPRKSRKVLSCVSQSSLMIEEKQNCARDNGQLVNVDWNKVLCTISNATEQVLSASIIKLNLQQLDMLSDKLDEIHVARKYKRLSTAVRIKDCCDDKQKRIEEARSLHEKLFYAKAKLQINNMKLAKLQNKAQLYQDGIQECCFLKSKILGAEQMKDACLPAATSINASDRQEQLAILTEKRLELNNIQQKVEDLRSSLECFRNIEGDISCDSVMRHAEEQLKMRNQCHFIQRQAGLCELSDLVKRDNKRDLILNYHNLLFQRIILNISDKSSIFVNNSLNGTKIGQTFPNLDASVAFNFVFKAEENQRVCDLRSLHKTTMETSLLLGNLVDVLEEVKLAKMELLNLTSAAFVLESQTCELGLHLCFMSFKSSKKFAFIIDMTELNRSVYPSDPSELPIKICETQTTLAQPSVDETMASIRNLQPGRTVILRLCRMLSRLINSLPV >PVH47559 pep chromosome:PHallii_v3.1:4:6072432:6081161:1 gene:PAHAL_4G087500 transcript:PVH47559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGSGGRGCVISSPGTEDDKLTRRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSASPSPSPARSSAEREDGDDIEEFHRPPVIFLPDIESSSPGSAVGSIASTDDDNFFGPVSTSFIQTGRPSDAGMSEDDNHDITMDSTMFSMHFRNIDPPDDCTTNSAASLMTPNMESKGPLKELTVSDPGRTLSNGRTDMSLLTGNPRSYDYGKLSPTLNSMMQKVKGGQQTESPKSGIADVTPDCVLTLPSYEEENREENLCIGNGISSDQLGSVNTIAEHISMSDEVSTRTYPIQVDNEIITDDHENSQNCNRDHMVVDPGVNNTVEPPAKLSPAHKSSVNNVDLQSHLLHQSLLKDQPSGSNCTTSASSMCNVDLEPHLLDQSPGTNNTTDASQLSNAAPAILLMDAEQLHQQNEVMDTETILHTPRTVGQQLQVLQGSISSLCSKRQKLFIGTPLSNSKVASEEACSLGSEFVEHGKRISALKNVLKTRLQESPAVCRLPLVEKNEPGHQANDMVRNTEDRDSTLSVSSNSVPQHQLKKTDGVGRKRTATENGHAVQEHPEEITKAARSPRKSRKVLSCVSQSSLMIEEKQNCARDNGQLVNVDWNKVLCTISNATEQVLSASIIKLNLQQLDMLSDKLDEIHVARKYKRLSTAVRIKDCCDDKQKRIEEARSLHEKLFYAKAKLQINNMKLAKLQNKAQLYQDGIQECCFLKSKILGAEQMKDACLPAATSINASDRQLAILTEKRLELNNIQQKVEDLRSSLECFRNIEGDISCDSVMRHAEEQLKMRNQCHFIQRQAGLCELSDLVKRDNKRDLILNYHNLLFQRIILNISDKSSIFVNNSLNGTKIGQTFPNLDASVAFNFVFKAEENQRVCDLRSLHKTTMETSLLLGNLVDVLEEVKLAKMELLNLTSAAFVLESQTCELGLHLCFMSFKSSKKFAFIIDMTELNRSVYPSDPSELPIKICETQTTLAQPSVDETMASIRNLQPGRTVILRLCRMLSRLINSLPV >PVH47561 pep chromosome:PHallii_v3.1:4:6072507:6078916:1 gene:PAHAL_4G087500 transcript:PVH47561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGSGGRGCVISSPGTEDDKLTRRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSASPSPSPARSSAEREDGDDIEEFHRPPVIFLPDIESSSPGSAVGSIASTDDDNFFGPVSTSFIQTGRPSDAGMSEDDNHDITMDSTMFSMHFRNIDPPDDCTTNSAASLMTPNMESKGPLKELTVSDPGRTLSNGRTDMSLLTGNPRSYDYGKLSPTLNSMMQKVKGGQQTESPKSGIADVTPDCVLTLPSYEEENREENLCIGNGISSDQLGSVNTIAEHISMSDEVSTRTYPIQVDNEIITDDHENSQNCNRDHMVVDPGVNNTVEPPAKLSPAHKSSVNNVDLQSHLLHQSLLKDQPSGSNCTTSASSMCNVDLEPHLLDQSPGTNNTTDASQLSNAAPAILLMDAEQLHQQNEVMDTETILHTPRTVGQQLQVLQGSISSLCSKRQKLFIGTPLSNSKVASEEACSLGSEFVEHGKRISALKNVLKTRLQESPAVCRLPLVEKNEPGHQANDMVRNTEDRDSTLSVSSNSVPQHQLKKTGESFILGTPPREGLNEATRVQDTSRRVLTLDSQPSHECNPLLDLDGVGRKRTATENGHAVQEHPEEITKAARSPRKSRKVLSCVSQSSLMIEEKQNCARDNGQLVNVDWNKVLCTISNATEQVLSASIIKLNLQQLDMLSDKLDEIHVARKYKRLSTAVRIKDCCDDKQKRIEEARSLHEKLFYAKAKLQINNMKLAKLQNKAQLYQDGIQECCFLKSKILGAEQMKDACLPAATSINASDRQEQLAILTEKRLELNNIQQKVEDLRSSLECFRNIEGDISCDSVMRHAEEQLKMRNQCHFIQRQAGLCELSDLVKRDNKRDLILNYHNLLFQSRSSGQSGFFLVVKWTFSNKNLGDFL >PVH47560 pep chromosome:PHallii_v3.1:4:6072507:6078174:1 gene:PAHAL_4G087500 transcript:PVH47560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGSGGRGCVISSPGTEDDKLTRRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSASPSPSPARSSAEREDGDDIEEFHRPPVIFLPDIESSSPGSAVGSIASTDDDNFFGPVSTSFIQTGRPSDAGMSEDDNHDITMDSTMFSMHFRNIDPPDDCTTNSAASLMTPNMESKGPLKELTVSDPGRTLSNGRTDMSLLTGNPRSYDYGKLSPTLNSMMQKVKGGQQTESPKSGIADVTPDCVLTLPSYEEENREENLCIGNGISSDQLGSVNTIAEHISMSDEVSTRTYPIQVDNEIITDDHENSQNCNRDHMVVDPGVNNTVEPPAKLSPAHKSSVNNVDLQSHLLHQSLLKDQPSGSNCTTSASSMCNVDLEPHLLDQSPGTNNTTDASQLSNAAPAILLMDAEQLHQQNEVMDTETILHTPRTVGQQLQVLQGSISSLCSKRQKLFIGTPLSNSKVASEEACSLGSEFVEHGKRISALKNVLKTRLQESPAVCRLPLVEKNEPGHQANDMVRNTEDRDSTLSVSSNSVPQHQLKKTGESFILGTPPREGLNEATRVQDTSRRVLTLDSQPSHECNPLLDLDGVGRKRTATENGHAVQEHPEEITKAARSPRKSRKVLSCVSQSSLMIEEKQNCARDNGQLVNVDWNKVLCTISNATEQVLSASIIKLNLQQLDMLSDKLDEIHVARKYKRLSTAVRIKDCCDDKQKRIEEARSLHEKLFYAKAKLQINNMKLAKLQNKAQLYQDGIQECCFLKSKILGAEQMKDACLPAATSINASDRQEQLAILTEKRLELNNIQQKVEDLRSSLECFRNIEGDISCDSVMRHAEEQLKMRNQCHFIQRQAGVLVYDLVLNLLSLRVE >PAN23380 pep chromosome:PHallii_v3.1:4:6072432:6081161:1 gene:PAHAL_4G087500 transcript:PAN23380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGSGGRGCVISSPGTEDDKLTRRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSASPSPSPARSSAEREDGDDIEEFHRPPVIFLPDIESSSPGSAVGSIASTDDDNFFGPVSTSFIQTGRPSDAGMSEDDNHDITMDSTMFSMHFRNIDPPDDCTTNSAASLMTPNMESKGPLKELTVSDPGRTLSNGRTDMSLLTGNPRSYDYGKLSPTLNSMMQKVKGGQQTESPKSGIADVTPDCVLTLPSYEEENREENLCIGNGISSDQLGSVNTIAEHISMSDEVSTRTYPIQVDNEIITDDHENSQNCNRDHMVVDPGVNNTVEPPAKLSPAHKSSVNNVDLQSHLLHQSLLKDQPSGSNCTTSASSMCNVDLEPHLLDQSPGTNNTTDASQLSNAAPAILLMDAEQLHQQNEVMDTETILHTPRTVGQQLQVLQGSISSLCSKRQKLFIGTPLSNSKVASEEACSLGSEFVEHGKRISALKNVLKTRLQESPAVCRLPLVEKNEPGHQANDMVRNTEDRDSTLSVSSNSVPQHQLKKTDGVGRKRTATENGHAVQEHPEEITKAARSPRKSRKVLSCVSQSSLMIEEKQNCARDNGQLVNVDWNKVLCTISNATEQVLSASIIKLNLQQLDMLSDKLDEIHVARKYKRLSTAVRIKDCCDDKQKRIEEARSLHEKLFYAKAKLQINNMKLAKLQNKAQLYQDGIQECCFLKSKILGAEQMKDACLPAATSINASDRQEQLAILTEKRLELNNIQQKVEDLRSSLECFRNIEGDISCDSVMRHAEEQLKMRNQCHFIQRQAGLCELSDLVKRDNKRDLILNYHNLLFQRIILNISDKSSIFVNNSLNGTKIGQTFPNLDASVAFNFVFKAEENQRVCDLRSLHKTTMETSLLLGNLVDVLEEVKLAKMELLNLTSAAFVLESQTCELGLHLCFMSFKSSKKFAFIIDMTELNRSVYPSDPSELPIKICETQTTLAQPSVDETMASIRNLQPGRTVILRLCRMLSRLINSLPV >PVH48475 pep chromosome:PHallii_v3.1:4:51321638:51322811:-1 gene:PAHAL_4G337600 transcript:PVH48475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLCVNILVATWFASRYSGRPLCLYQLTSIFWLDVIKRCKVKFEIFCKYISSLNIHSEYFIIFLPRQYTLYFYENVLDSTGKIK >PVH47750 pep chromosome:PHallii_v3.1:4:14013567:14014215:1 gene:PAHAL_4G141700 transcript:PVH47750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRPLSAPGRSPNDATTRTGTADLQSCGLTGLRAAAGRGSPPTDVTVPRLGDLVFNFPSSRRATLSSSVHV >PAN22871 pep chromosome:PHallii_v3.1:4:3268081:3273792:1 gene:PAHAL_4G050100 transcript:PAN22871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSTTPKPLDSAANAAAAVAGGGGDDGGGGGKQQQRRMRGAVVMAPPPMAVPTPAPAPAAGEEVRKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSQAVLSQQLPQREQGSVMSVDTSTAVRNSNGNSAMPSWDNAPVQPFSASHVHGAVATNNCSSSIESPSGTWPTSEAVEQENVVPPLRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDVETVLLLMRNLSTNLTSPDFEEHRKLLSSYSYGSDGHIKSEGMENLGSPQSCHLPFMVTSE >PAN24469 pep chromosome:PHallii_v3.1:4:40462425:40465305:-1 gene:PAHAL_4G222200 transcript:PAN24469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPVGFLGKLWSFVSFLPFFILLLLLGSVKAVLIGPVAAAIVFFGNSAVIIGLWPAHFIWTYYCVLKTERIGLVLKIFIGILLPLPLLLLPVLAIIGSLLGGIGYGVFVPLMATFEAVGEGVTDKLAHCFMDGTASTIAGACTVVRDVTDFCFHSYFSFMDDLIEKMGEDETPLDIKLSYLPRSALVAFVAVPVDVLMISAVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIILWPLAVIGGVIASFFSSFLFGFRAGLIAYQEASFQMGLAYMISAVAIFDEYTNDLLYLREGSCLPRPKYRKEDIQQCETGQNKERYNITTEPAARQHGHHKHRRALQRSKTFMQTIQRLRPIQIWDWFFRSCELNGRILLSEGLITAEDMEEYITKGKGKKLSIKLPAWCILQCLLRSAKSDSHGLLISDNVEVTNFNWPKDKVFDWMLGPLLVIKEQMKNLDINEDEEMCLRKLIMTNKNEKPSDWDDSGFPMDDNIKRGQLQAIIRRLQGIVANMTRVPSFRRRFINLVKALYLEAIKAGAIDGSRDVKRRVKADVASGKIGEEGTSDVAGSSNHTLGNIDMV >PVH48023 pep chromosome:PHallii_v3.1:4:40462425:40464666:-1 gene:PAHAL_4G222200 transcript:PVH48023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFEAVGEGVTDKLAHCFMDGTASTIAGACTVVRDVTDFCFHSYFSFMDDLIEKMGEDETPLDIKLSYLPRSALVAFVAVPVDVLMISAVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIILWPLAVIGGVIASFFSSFLFGFRAGLIAYQEASFQMGLAYMISAVAIFDEYTNDLLYLREGSCLPRPKYRKEDIQQCETGQNKERYNITTEPAARQHGHHKHRRALQRSKTFMQTIQRLRPIQIWDWFFRSCELNGRILLSEGLITAEDMEEYITKGKGKKLSIKLPAWCILQCLLRSAKSDSHGLLISDNVEVTNFNWPKDKVFDWMLGPLLVIKEQMKNLDINEDEEMCLRKLIMTNKNEKPSDWDDSGFPMDDNIKRGQLQAIIRRLQGIVANMTRVPSFRRRFINLVKALYLEAIKAGAIDGSRDVKRRVKADVASGKIGEEGTSDVAGSSNHTLGNIDMV >PVH48022 pep chromosome:PHallii_v3.1:4:40462070:40465699:-1 gene:PAHAL_4G222200 transcript:PVH48022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFEAVGEGVTDKLAHCFMDGTASTIAGACTVVRDVTDFCFHSYFSFMDDLIEKMGEDETPLDIKLSYLPRSALVAFVAVPVDVLMISAVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIILWPLAVIGGVIASFFSSFLFGFRAGLIAYQEASFQMGLAYMISAVAIFDEYTNDLLYLREGSCLPRPKYRKEDIQQCETGQNKERYNITTEPAARQHGHHKHRRALQRSKTFMQTIQRLRPIQIWDWFFRSCELNGRILLSEGLITAEDMEEYITKGKGKKLSIKLPAWCILQCLLRSAKSDSHGLLI >PVH48024 pep chromosome:PHallii_v3.1:4:40462070:40465699:-1 gene:PAHAL_4G222200 transcript:PVH48024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPVGFLGKLWSFVSFLPFFILLLLLGSVKAVLIGPVAAAIVFFGNSAVIIGLWPAHFIWTYYCVLKTERIGLVLKIFIGILLPLPLLLLPVLAIIGSLLGGIGYGVFVPLMATFEAVGEGVTDKLAHCFMDGTASTIAGACTVVRDVTDFCFHSYFSFMDDLIEKMGEDETPLDIKLSYLPRSALVAFVAVPVDVLMISAVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIILWPLAVIGGVIASFFSSFLFGFRAGLIAYQEASFQMGLAYMISAVAIFDEYTNDLLYLREGSCLPRPKYRKEDIQQCETGQNKERYNITTEPAARQHGHHKHRRALQRSKTFMQTIQRLRPIQIWDWFFRSCELNGRILLSEGLITAEDMEEYITKGKGKKLSIKLPAWCILQCLLRSAKSDSHGLLI >PAN22795 pep chromosome:PHallii_v3.1:4:2910923:2913957:-1 gene:PAHAL_4G045300 transcript:PAN22795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRRPAKPEEEAAASAKAAKLRDLQAQVLQNHHSRTYTKVAIGLSFKLLEINPEAYTAWNYRKLAFQHNIKELSDPEAIRSAVDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDSKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPDEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIQQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSTPDNPQLIASWPCNGAKLSSSLVKEKVEQSILSSIWCHSLKERTVPIVLYFNEPVKGLNQSSVKLKSDLEFGKDICWRALSVTDSGYSNCWATYLQIANECSSSQQYSVDVSIPCSDDIVSRSGSTYNCPVHLTFTIELISDEAQDIDLFDKPVSWNRSESFQPHENLESMPFDLLKITSALVEEDSNWHFERLSEEIDLFRELPDDNSKFVKLTLARLLLACAAIKSRGRSLIERKRYCEEAMGYLSDLIRLDPSHKRYYEDERSLVLMDKLTCDMETFMKHCSVKVEPNLVPLNHVQLCSLSLTRIGFAERLIWVQVLDLSHNSLRSVEG >PVH47370 pep chromosome:PHallii_v3.1:4:2909881:2914246:-1 gene:PAHAL_4G045300 transcript:PVH47370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRRPAKPEEEAAASAKAAKLRDLQAQVLQNHHSRTYTKVAIGLSFKLLEINPEAYTAWNYRKLAFQHNIKELSDPEAIRSAVDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDSKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPDEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIQQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSTPDNPQLIASWPCNGAKLSSSLVKEKVEQSILSSIWCHSLKERTVPIVLYFNEPVKGLNQSSVKLKSDLEFGKDICWRALSVTDSGYSNCWATYLQIANECSSSQQYSVDVSIPCSDDIVSRSGSTYNCPVHLTFTIELISDEAQDIDLFDKPVSWNRSESFQPHENLESMPFDLLKITSALVEEDSNWHFERLSEEIDLFRELPDDNSKFVKLTLARLLLACAAIKSRGRSLIERKRYCEEAMGYLSDLIRLDPSHKRYYEDERSLVLMDKLTCDMETFMKHCSVKVEPNLVPLNHVQLCSLSLTRIGFAERLIWVQVLDLSHNSLRSVEGLEALQQLVSLNISNNQISSFTALEPLTKIISLKVLDLSFNEIGAHSIDTTRYICSSPFSHKVEACEAFEECRKKSINVEEYWDAILFFASLKLAQLDIKGNAVASKVDFRTLVTMLIPSLKWLNGECAN >PVH47474 pep chromosome:PHallii_v3.1:4:4738404:4739862:-1 gene:PAHAL_4G071300 transcript:PVH47474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTCSRMEHVSWHFFYAYPRAFGGLTDLSLHSLRLGESDMPNVPSTCKKLEYLSLENCDAGIESVLQTEHSQLVELIIIFDGFETVELKWLPRLTHLTCQSWLPSQDQYPLPLGHVPQLWVLNLNNAGTTRNKTIKPSEFLGNATVGELDMNFLCERIWIQPEGPKRLAPLLQNLRVVTLRFIHEEYDLMWTLFILEAAPLLDEINMQMSYHTCYSDEEDDYNQNDESPREIFQKAPDLLKWETRHDFSHCNMRKLSIEGFQIEEKFTRYIDRVMEAAVNLELFLPSTVYPRTHKERDLIKKQISGWRSSPIEIEIL >PAN23198 pep chromosome:PHallii_v3.1:4:4997900:5000764:1 gene:PAHAL_4G074700 transcript:PAN23198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTCFLHQSTARFGAAASARPQAPRAQLVCKAQKQDAAAEGDAAVTRRAALTLLAGVAAVGAKVNPAAAAYGEAANVFGKPKTNTDFIAYSGDGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVMVQPTTKKTITDYGSPEEFLAQVDYLLGKQAYAGKTDSEGGFETDAVATANILESSAPVIDGKQYYSVSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGARKGVEKAASSFSVA >PAN25965 pep chromosome:PHallii_v3.1:4:51316856:51321511:-1 gene:PAHAL_4G337500 transcript:PAN25965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAAATVSLDLAAPAPGSLSRRHRPGSARPPARHAAAASGLRMRGRGAVAAAAAAAAAPAKAGAEEIVLQPIREISGTVKLPGSKSLSNRILLLSALSEGTTVVDNLLDSEDVHYMLGALKALGLSVEADKAAKRAVVAGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRIKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPKNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTETSVTVTGPPREPFGRKHLKAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNITAIDTYDDHRMAMAFSLAACAEVPVTIRDPGCTRKTFPNYFDVLSTFVKN >PAN24769 pep chromosome:PHallii_v3.1:4:44961721:44963427:-1 gene:PAHAL_4G250200 transcript:PAN24769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMRKRAGRAPAAELAQVVAGVRTRSRSSAASLAGAASAAAPAPKRPRKQAAARAEVAEVGAGCGNGGCASSEEAGCYLQLRSRRLFMAAAAEARCPVPAEEKAAAAVAEISSRCSSTASSVDVVVVAAAWERTGGAAEAREDRDVESAVRDSAGCDRERREATPSSRPPVGLSDEESSQAQAADEHRHRHRGPSSAAATTAVACRARTPPAAEEIEEFFAAAEKAVAERFAAKYNFDVARGLPLDAGGRFEWTTVASG >PAN22715 pep chromosome:PHallii_v3.1:4:2465053:2466492:-1 gene:PAHAL_4G039700 transcript:PAN22715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPLIPLVHVWNNAAFDHASASSSAWHAHTAAAAAVASVSEGDKENHRPEPEAADVDAEIDHIEAEILRLSSRLHHLRTSKQPEPKRGEAAPARAAKAAARPRTRGLSMGPLDVIAAANPNPPLLGKEPPRAAQGLKPIKPAPAPRGRGFSLGPLDIIAANPRVPAAAAPQRKVHGEGGIARPILKPIKEPPVQRRRGVSLGPLEIHHGVGSKPGAAAAARVKPFSKLSAVREEGQHSKQHAVPSRPWPSSNARQPLDAKQGAAPSRAKARGGSMSPRSRRQSTSKAAETRGVNAKATETRGGNAKATDTRGGNAAVAVNKVADELKPKAVVNHAGNAAVVKRPAGSSKVRVVPSRYSLTPGSSLAAGTQEKRRKQSLPGSAGGASQREEIRAKLTEQLNDELSPETIAKVAVLLPRIRTMPPSDESPRDSGCAKRVADLVGKRSFFTAAVDDGNAVTPFQARVLEVESPEAAEEEA >PAN22712 pep chromosome:PHallii_v3.1:4:2464583:2466794:-1 gene:PAHAL_4G039700 transcript:PAN22712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPLIPLVHVWNNAAFDHASASSSAWHAHTAAAAAVASVSEGDKENHRPEPEAADVDAEIDHIEAEILRLSSRLHHLRTSKQPEPKRGEAAPARAAKAAARPRTRGLSMGPLDVIAAANPNPPLLGKEPPRAAQGLKPIKPAPAPRGRGFSLGPLDIIAANPRVPAAAAPQRKVHGEGGIARPILKPIKEPPVQRRRGVSLGPLEIHHGVGSKPGAAAAARVKPFSKLSAVREEGQHSKQHAVPSRPWPSSNARQPLDAKQGAAPSRAKARGGSMSPRSRRQSTSKAAETRGVNAKATETRGGNAKATDTRGGNAAVAVNKVADELKPKAVVNHAGNAAVVKRPAGSSKVRVVPSRYSLTPGSSLAAGTQEKRRKQSLPGSAGGASQREEIRAKLTEQLNDELSPETIAKVAVLLPRIRTMPPSDESPRDSGCAKRVADLVGKRSFFTAAVDDGNAVTPFQARVLEVESPEAAEEEA >PAN25413 pep chromosome:PHallii_v3.1:4:48740872:48744618:1 gene:PAHAL_4G299300 transcript:PAN25413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQPPSGLAEDKERETNVLIEAAPESPAKAAEDDGPGRQLSPAAWLRMLARELHWSFVLGVVATYGVSQGLGGGINRVASDYYWKDVQRVQPSVAQVYQGITSIPWMVKPLWGLLTDVLPVAGYRRRPYFILAGFIGVIAMLIISLHSKLHALFALLALMAGSASVAIADVTIDACVAENSILYPHLAADMISLNGFCSSVGGLIGFSISGFLVHAIGAQGALGLLTIPSALVILSGMVLKEVHTPNFPYGQAHKKFVEASGKMLTTLKCPEVWRPCVYMYVSLALSVDIQEGMFYWYTDRKAGLSFHEGFIGFMFAVGSVGSLVGVILYQNILKDHSFRSLLFSSQLMLSLSGMLDLILVLRLNLKMGIPDYYFAVIDEGVSKMINRVKWMPLLVLSSKLCPTGIEGTFYALLMSIDNIGGLTGQWVGGLLLHLLRITRTEFNNLWAAIMIRNVMRLLPLALLFLVPSSDPNSTLLPSDLLNEDDDGEGDRMENIELTSLAVDMGSFPDKSPQECGKNREGLDVEQDDDGVSLLANRG >PAN25412 pep chromosome:PHallii_v3.1:4:48742532:48744273:1 gene:PAHAL_4G299300 transcript:PAN25412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSASVAIADVTIDACVAENSILYPHLAADMISLNGFCSSVGGLIGFSISGFLVHAIGAQGALGLLTIPSALVILSGMVLKEVHTPNFPYGQAHKKFVEASGKMLTTLKCPEVWRPCVYMYVSLALSVDIQEGMFYWYTDRKAGLSFHEGFIGFMFAVGSVGSLVGVILYQNILKDHSFRSLLFSSQLMLSLSGMLDLILVLRLNLKMGIPDYYFAVIDEGVSKMINRVKWMPLLVLSSKLCPTGIEGTFYALLMSIDNIGGLTGQWVGGLLLHLLRITRTEFNNLWAAIMIRNVMRLLPLALLFLVPSSDPNSTLLPSDLLNEDDDGEGDRMENIELTSLAVDMGSFPDKSPQECGKNREGLDVEQDDDGVSLLANRG >PAN25414 pep chromosome:PHallii_v3.1:4:48742320:48744618:1 gene:PAHAL_4G299300 transcript:PAN25414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILFVLSSPCSEEYAGFIGVIAMLIISLHSKLHALFALLALMAGSASVAIADVTIDACVAENSILYPHLAADMISLNGFCSSVGGLIGFSISGFLVHAIGAQGALGLLTIPSALVILSGMVLKEVHTPNFPYGQAHKKFVEASGKMLTTLKCPEVWRPCVYMYVSLALSVDIQEGMFYWYTDRKAGLSFHEGFIGFMFAVGSVGSLVGVILYQNILKDHSFRSLLFSSQLMLSLSGMLDLILVLRLNLKMGIPDYYFAVIDEGVSKMINRVKWMPLLVLSSKLCPTGIEGTFYALLMSIDNIGGLTGQWVGGLLLHLLRITRTEFNNLWAAIMIRNVMRLLPLALLFLVPSSDPNSTLLPSDLLNEDDDGEGDRMENIELTSLAVDMGSFPDKSPQECGKNREGLDVEQDDDGVSLLANRG >PAN25411 pep chromosome:PHallii_v3.1:4:48740872:48744618:1 gene:PAHAL_4G299300 transcript:PAN25411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRRPRSRRRRRRRTTAPAGSCRRPRGSGCWPGSCTGASCSAWWPPTASARGSGAASTASRPTTTGRTCSGCSRRWRRCTRASRPSPGWSSRSGACSPTCSPSPDTGAGRTLFLQGALGLLTIPSALVILSGMVLKEVHTPNFPYGQAHKKFVEASGKMLTTLKCPEVWRPCVYMYVSLALSVDIQEGMFYWYTDRKAGLSFHEGFIGFMFAVGSVGSLVGVILYQNILKDHSFRSLLFSSQLMLSLSGMLDLILVLRLNLKMGIPDYYFAVIDEGVSKMINRVKWMPLLVLSSKLCPTGIEGTFYALLMSIDNIGGLTGQWVGGLLLHLLRITRTEFNNLWAAIMIRNVMRLLPLALLFLVPSSDPNSTLLPSDLLNEDDDGEGDRMENIELTSLAVDMGSFPDKSPQECGKNREGLDVEQDDDGVSLLANRG >PAN24564 pep chromosome:PHallii_v3.1:4:42870200:42873974:-1 gene:PAHAL_4G235800 transcript:PAN24564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDPSEIRNLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARIAAAFPSLPRDLHPSLLGLEPEEIGYLEAKKIYSILLDSNTESRNIFGRLTGSAGEWESIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAAMSATRYVEACQELGLQGVNVREELIESAKTLPSTFSKILEVLNSDPVSKAIEHYTAFVRDCHSEDKGNCDSVLHNLKKLQESPPSLNVSVYNEVESSTGEALKSHGSVEQIDSNVPAEDIDWDISVDANEIDWDIGAVEQPVEESGDGFGSYEIIDANIELAGSENYNVSVSDNPSLNKEGLSSSGSGICWDITADDSEESVHHNANIQNAPILSEDRSRLLEKEYRNDILDDLLEVKSFLTQRLGEMRNGDTSSLQHQVQAVSPFVLQQYAPDSLENMLVEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCEATLSSVFDGRPVHIIGEINTLLSSSVSQLAG >PAN24335 pep chromosome:PHallii_v3.1:4:27223737:27241347:1 gene:PAHAL_4G181500 transcript:PAN24335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLRRLLPHGYAQRHRAVTHALLPPPLPTMLQFGTFQRYSSTPWAFLPFHPCEMCGVAFRCNSARQISSRKSSGVALRPKSSAFFTTGLLGKHSPICFKATDNCGIALKANNIGNSRPFSTACSKKLRFLSKNNCSFGNLNMRREDGSAAHGLFHRSEKRQSTLAACSTVADEASASTSNSSKSVTSAKTGTAKRKSSRGSKKEVDGDMNEKKVPTKKKRIFSRTRKAATKTTGSISENQEDKKADNSKSKKGADSSKENKVNNRSKSKAKVSAASTVPDEAEICMKTSNGGSHSETKPLVPLYPPTAKSVVVVESATKAKVIQNYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQGALACDVTVARVAFHEITEYAIKKALTSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFKPQEYWTVQTDFKTQFADPSNHTFISSRIKLLNSKKLDQLSICSQEEAQAIEKRIHSSQFEVIGVKRSKIQKNPPMPYITSSLQQDAANKLHFTAGHTMKVAQKLYEGMNLSSEEATGLITYMRTDGFHISDGAAEDIRSLVKERYGEEYAAEDIRKYLKKVKNAQEAHEAIRPTSIRRLPSSLVGVLDDDSLKLYTLIWKRTMACQMEASRIDLIQVDIGTPKGDMSFHSSASRLDFKGYQAVYEDSEASPSSDSSVGDSVHEGNFDALSKLKVKDLVSPVNVHLGQHFTKPPSRYSEGALIKKLEELGIGRPSTYASILKVLQDRKYVTIKGRVLHPEFRGRMVSAFLLHHFSEVADYSFTANMETELDNVSAGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMLEEKFGPILFPDVDKDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDETEPTDEGPKSFEPRLLGVMPDSNEKVFLKQGPYGHYVQVGEDKKGLFPKRASLSEVKDIDTVTLDDAIELLQYPKILGKHPDDDHPVLITHSKVGYNIKHRRSLAALPKNMDPKKITLERALKLLSGKSVRQIGRPKGKSKTKEPIEWH >PAN22194 pep chromosome:PHallii_v3.1:4:160634:166044:1 gene:PAHAL_4G003400 transcript:PAN22194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCALEVFDTRVQSTSHIQCNRSFDQNIGRHFSKGRLICKPVSVHPGNRDTALTVLPLGHDSDWKPAPFFSGIQEVNKVISLTSGDLGTEGLELLSAQPILPCCLGQLNERNMHDQADSSKPVSNGSPHRGSTLSQSRQRKTISSSSVAVPPCHVVAKKFPRPVATILRPDSDILHDDDKPSKRSYNKKGNKKGKQYGRTTRKKLNLASESTFEENTYGVSPTEVLPTNLLVDKLSEITSSASLLVKKAHLGGENNNDSVKCGTMLNLSTLGTDEMDGSECAGSSNDAAGGRLSCTCVPYLNDESNTTDSSDFDGSTFMEHGLGEESKSCQKFPCAYVYNPDYATTDSFFGKWSNDNSGNYCVNVEARLTLNDENSLDHSQPVASTGLNDVSKCQLIRPHLSATHTEGTNYPLESRSCSSKDVTDSCSHTERVQCSSEACSSKDSLQICSGSRNRRSRKTTSYSDFTASNRVIGANRNKNNGKNSLSVWQKVERNDKIISKAGHSSNPPIQQKSAHEDSNKGVQEDTTRNRAKHNQNRKACKHESPNGTLELESTKEEQDALGSCQTFSKLIYKKQAPFLRSSSSKQGSQSSKNYYAPRNSIPKVPKDSLQQEALPTLLLVQANDIGGRSTSNSCSADKVVQTGDSSNYPTEGNKSSQSSIDVAASVSSNLFPDLTLRAASDGAHISDLYSLCPQDKGTYTSWSSKNSCTDRCTAETEEARSVKLTTENNSQESCQWYSAAGHLSQKWVPVGKKEASNVIHLDVSEASVVKGIVPANDISDSVGPVSTNGGDSKLASEMTSKLSSSEHADLKRQAYDGIETGCNKIKEAISYVFTAQRRAEDIQHRIGRLLADFEHFVSSASPVVHRNPCPAGCKFYLQESVEDGLCFHQTPDITLRTVWRWYEEPGCYGLEVKAQDFRRSKGLGNSHCQFTTYFVPYLSAVQLFKQTKRTSGGSIVKETMDGDMTCETSPHLSFKKEETSPHQNLPPIFAKLLPQQSNPINRSSTFHTEDDRQLVNGELIFEFFESEQPYSRRQLFDKVNELIAGAKPVNCQIFGDPKSLELSLHDLHPASWYCVAWYPIYRIPDGKLQAAFLTYHSLGHWIGRISSADEAVILPVIGLQSYNDKAERWFEMSKPGSEAGGPPEDGQCGEAPQVLKQRVRALNDAAAVMSRANVAKSGQMTRNRHPDYEFFLSRYR >PAN22202 pep chromosome:PHallii_v3.1:4:160646:164780:1 gene:PAHAL_4G003400 transcript:PAN22202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCALEVFDTRVQSTSHIQCNRSFDQNIGRHFSKGRLICKPVSVHPGNRDTALTVLPLGHDSDWKPAPFFSGIQEVNKVISLTSGDLGTEGLELLSAQPILPCCLGQLNERNMHDQADSSKPVSNGSPHRGSTLSQSRQRKTISSSSVAVPPCHVVAKKFPRPVATILRPDSDILHDDDKPSKRSYNKKGNKKGKQYGRTTRKKLNLASESTFEENTYGVSPTEVLPTNLLVDKLSEITSSASLLVKKAHLGGENNNDSVKCGTMLNLSTLGTDEMDGSECAGSSNDAAGGRLSCTCVPYLNDESNTTDSSDFDGSTFMEHGLGEESKSCQKFPCAYVYNPDYATTDSFFGKWSNDNSGNYCVNVEARLTLNDENSLDHSQPVASTGLNDVSKCQLIRPHLSATHTEGTNYPLESRSCSSKDVTDSCSHTERVQCSSEACSSKDSLQICSGSRNRRSRKTTSYSDFTASNRVIGANRNKNNGKNSLSVWQKVERNDKIISKAGHSSNPPIQQKSAHEDSNKGVQEDTTRNRAKHNQNRKACKHESPNGTLELESTKEEQDALGSCQTFSKLIYKKQAPFLRSSSSKQGSQSSKNYYAPRNSIPKVPKDSLQQEALPTLLLVQANDIGGRSTSNSCSADKVVQTGDSSNYPTEGNKSSQSSIDVAASVSSNLFPDLTLRAASDGAHISDLYSLCPQDKGTYTSWSSKNSCTDRCTAETEEARSVKLTTENNSQESCQWYSAAGHLSQKWVPVGKKEASNVIHLDVSEASVVKGIVPANDISDSVGPVSTNGGDSKLASEMTSKLSSSEHADLKRQAYDGIETGCNKIKEAISYVFTAQRRAEDIQHRIGRLLADFEHFVSSASPVVHRNPCPAGCKFYLQESVEDGLCFHQTPDITLRTVWRWYEEPGCYGLEVKAQDFRRSKGLGNSHCQFTTYFVPYLSAVQLFKQTKRTSGGSIVKETMDGDMTCETSPHLSFKKEETSPHQNLPPIFAKLLPQQSNPINRSSTFHTEDDRQLVNGELIFEFFESEQPYSRRQLFDKRLIALLLG >PAN22193 pep chromosome:PHallii_v3.1:4:160634:166044:1 gene:PAHAL_4G003400 transcript:PAN22193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCALEVFDTRVQSTSHIQCNRSFDQNIGRHFSKGRLICKPVSVHPGNRDTALTVLPLGHDSDWKPAPFFSGIQEVNKADSSKPVSNGSPHRGSTLSQSRQRKTISSSSVAVPPCHVVAKKFPRPVATILRPDSDILHDDDKPSKRSYNKKGNKKGKQYGRTTRKKLNLASESTFEENTYGVSPTEVLPTNLLVDKLSEITSSASLLVKKAHLGGENNNDSVKCGTMLNLSTLGTDEMDGSECAGSSNDAAGGRLSCTCVPYLNDESNTTDSSDFDGSTFMEHGLGEESKSCQKFPCAYVYNPDYATTDSFFGKWSNDNSGNYCVNVEARLTLNDENSLDHSQPVASTGLNDVSKCQLIRPHLSATHTEGTNYPLESRSCSSKDVTDSCSHTERVQCSSEACSSKDSLQICSGSRNRRSRKTTSYSDFTASNRVIGANRNKNNGKNSLSVWQKVERNDKIISKAGHSSNPPIQQKSAHEDSNKGVQEDTTRNRAKHNQNRKACKHESPNGTLELESTKEEQDALGSCQTFSKLIYKKQAPFLRSSSSKQGSQSSKNYYAPRNSIPKVPKDSLQQEALPTLLLVQANDIGGRSTSNSCSADKVVQTGDSSNYPTEGNKSSQSSIDVAASVSSNLFPDLTLRAASDGAHISDLYSLCPQDKGTYTSWSSKNSCTDRCTAETEEARSVKLTTENNSQESCQWYSAAGHLSQKWVPVGKKEASNVIHLDVSEASVVKGIVPANDISDSVGPVSTNGGDSKLASEMTSKLSSSEHADLKRQAYDGIETGCNKIKEAISYVFTAQRRAEDIQHRIGRLLADFEHFVSSASPVVHRNPCPAGCKFYLQESVEDGLCFHQTPDITLRTVWRWYEEPGCYGLEVKAQDFRRSKGLGNSHCQFTTYFVPYLSAVQLFKQTKRTSGGSIVKETMDGDMTCETSPHLSFKKEETSPHQNLPPIFAKLLPQQSNPINRSSTFHTEDDRQLVNGELIFEFFESEQPYSRRQLFDKVNELIAGAKPVNCQIFGDPKSLELSLHDLHPASWYCVAWYPIYRIPDGKLQAAFLTYHSLGHWIGRISSADEAVILPVIGLQSYNDKAERWFEMSKPGSEAGGPPEDGQCGEAPQVLKQRVRALNDAAAVMSRANVAKSGQMTRNRHPDYEFFLSRYR >PAN25003 pep chromosome:PHallii_v3.1:4:46288667:46291930:1 gene:PAHAL_4G267000 transcript:PAN25003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGTDFYHVMTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTAWSYLSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAPGKANKYGQYPAPNPAMAAPAKGPKKAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGNAEYNDAAAVKEVRMAVASPRKVAADGRKERGEDFAERDDFSFGNRGGAERDAEAGDEKAAVVGQGNAGAGAPAAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYGVHPDILSTAVIFGMLIALPITLVYYILLGL >PAN25004 pep chromosome:PHallii_v3.1:4:46288667:46291930:1 gene:PAHAL_4G267000 transcript:PAN25004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGTDFYHVMTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTAWSYLSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAPGKANKYGQYPAPNPAMAAPAKGPKKAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGNAEYNDAAAVKEVRMAVASPRKVAADGRKERGEDFAERDDFSFGNRGGAERDAEAGDEKAAVVGQGNAGAGAPAAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQVKNQTRTTPKNLTTNRPTAQTNHRHATDKNRLLIQKKIRKKEANSLQVAGRACRIDMPPVPVKPGTARFPFRLLHAQPAPRRLKRLALPPLLAASLSLSLTDARFVLLRRRAGRAAAGHCPLRLRQGVRRAP >PAN25195 pep chromosome:PHallii_v3.1:4:47610788:47612966:1 gene:PAHAL_4G283100 transcript:PAN25195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTKMEKPVGIKRWRRAVSAVLAAVVVTAPPLVILLGGRGFRAPGVWIQTAMAGLRKGSSNDPSLHHRTARHGDRLLGGLLVDGFDEESCHSRYQSAAYRRNPGRRPSPYLVSKLRRHEALQRRCGPGTAAYGNALEQLKSGRGVASPECRYIVSLPYQGLGNRILAAASAFLYALLTGRVLLIDPSDGMDGLFCEPFLGATWLLPPGFPVAGYASFTNGTAETYGNMVRNKVIGADAAETPAFAYVYLHSDASAHDKSFFWDEDHQRLLRRIQWLVMRTDNYIVPGLFLAEAFRGELDAMFPEPDAVFHHLGRYLFHPNNHVWGLVTRYHDAYLAGAAQRVGIQVRVFGAQPDSPELLEQITSCTQKHKLLPEVLATGEPMPMPPPPLAKSTKAVLVTSLKPWYHEQLKSMYWEHAAATGEVVSVHQPSHEGYQRFGIESHDRKAWAEIYLLSLADALVTTSQSTFGYVAQGLAGVRPWVMYKPAGDGATTVPPDPPCGRAASMEPCFFAAPNYNLWKEQWLDASAVVPHVQRCADFAWGGLMLVGRNE >PVH47848 pep chromosome:PHallii_v3.1:4:21406834:21407756:1 gene:PAHAL_4G164800 transcript:PVH47848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPIILQVDKKRPREGSVISFSEVMFLFPFFWASSHSSLAPTVEISGIWPPKGIGVLDPWEIPLLNTPILPSSGAVVTWAHHAILAGKEKQAV >PVH48132 pep chromosome:PHallii_v3.1:4:45435567:45442462:-1 gene:PAHAL_4G256600 transcript:PVH48132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYAIMGSTGLFGLLLIIIMRHNWVHGILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWRNADWTHRLQFLSHKVAKMSTKLDHAHQEYCSAIYVVQATSNQMSKRDPLRPCMDIIDNMLARMLQDDALFKPSGGKLGENDMDYDTDNKTMATLRRQLRRAHEEYYRRKSDYMNCVMEALELEDTIRNYEQRGVSGWKYLSCFRENRSGSLGSFLDSTEFIWRCLLKKQLMRVLSVILGCISASILLAEATLLPSDVDLSLFSNLINAVGKQEVLVQVAAFVPLLYMCICTYYSLFRIGMMLFYSLTPGQTSSVSLLMMCSMVARYAPPISYNFLNLIHLGGNAKTTFEKRMGNIDDVVPFFGRTFNKIYPLIMVVYTLLVAGNFFEHLTDFFGSLKRFKCWTDQEDMDGLDPSGMFILQKERNSMEQGRKVSEHATPLARNFSSIGKDVESGNVPLGEPTAEMAPERTTQTKHSGNVAFKYSSVRDQSSSLKPVEQVQKGISSVSINLEAGSPEEPPSASTAPESPPAETASRWASMKMGLQNFRASMGSKKFFRLSPSPSLGTNASATESLDEIFRKLKRNSSNADADHLDDDGLP >PVH48131 pep chromosome:PHallii_v3.1:4:45435567:45442044:-1 gene:PAHAL_4G256600 transcript:PVH48131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYAIMGSTGLFGLLLIIIMRHNWVHGILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWRNADWTHRLQFLSHKVAKMSTKLDHAHQEYCSAIYVVQATSNQMSKRDPLRPCMDIIDNMLARMLQDDALFKPSGGKLGENDMDYDTDNKTMATLRRQLRRAHEEYYRRKSDYMNCVMEALELEDTIRNYEQRGVSGWKYLSCFRENRSGSLGSFLDSTEFIWRCLLKKQLMRVLSVILGCISASILLAEATLLPSDVDLSLFSNLINAVGKQEVLVQVAAFVPLLYMCICTYYSLFRIGMMLFYSLTPGQTSSVSLLMMCSMVARYAPPISYNFLNLIHLGGNAKTTFEKRMGNIDDVVPFFGRTFNKIYPLIMVVYTLLVAGNFFEHLTDFFGSLKRFKCWTDQEDMDGLDPSGMFILQKERNSMEQGRKVSEHATPLARNFSSIGKDVESGNVPLGEPTAEMAPERTTQTKHSGNVAFKYSSVRDQSSSLKPVEQVQKGISSVSINLEAGSPEEPPSASTAPESPPAETASRWASMKMGLQNFRASMGSKKFFRLSPSPSLGTNASATESLDEIFRKLKRNSSNADADHLDDDGLP >PAN24850 pep chromosome:PHallii_v3.1:4:45436077:45442273:-1 gene:PAHAL_4G256600 transcript:PAN24850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYVVSLPLTVGMVAATLRYFAGPAVPARVLAVVGYAWLCSLSFVVLVPTDIWTAISGNQNSDVGFFWSWSYWSTFILAWSIVPTLQGYEDSGDFTLKERLKSSIQKNMTYYAIMGSTGLFGLLLIIIMRHNWVHGILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWRNADWTHRLQFLSHKVAKMSTKLDHAHQEYCSAIYVVQATSNQMSKRDPLRPCMDIIDNMLARMLQDDALFKPSGGKLGENDMDYDTDNKTMATLRRQLRRAHEEYYRRKSDYMNCVMEALELEDTIRNYEQRGVSGWKYLSCFRENRSGSLGSFLDSTEFIWRCLLKKQLMRVLSVILGCISASILLAEATLLPSDVDLSLFSNLINAVGKQEVLVQVAAFVPLLYMCICTYYSLFRIGMMLFYSLTPGQTSSVSLLMMCSMVARYAPPISYNFLNLIHLGGNAKTTFEKRMGNIDDVVPFFGRTFNKIYPLIMVVYTLLVAGNFFEHLTDFFGSLKRFKCWTDQEDMDGLDPSGMFILQKERNSMEQGRKVSEHATPLARNFSSIGKDVESGNVPLGEPTAEMAPERTTQTKHSGNVAFKYSSVRDQSSSLKPVEQVQKGISSVSINLEAGSPEEPPSASTAPESPPAETASRWASMKMGLQNFRASMGSKKFFRLSPSPSLGTNASATESLDEIFRKLKRNSSNADADHLDDDGLP >PAN24849 pep chromosome:PHallii_v3.1:4:45436077:45440901:-1 gene:PAHAL_4G256600 transcript:PAN24849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYAIMGSTGLFGLLLIIIMRHNWVHGILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWRNADWTHRLQFLSHKVAKMSTKLDHAHQEYCSAIYVVQATSNQMSKRDPLRPCMDIIDNMLARMLQDDALFKPSGGKLGENDMDYDTDNKTMATLRRQLRRAHEEYYRRKSDYMNCVMEALELEDTIRNYEQRGVSGWKYLSCFRENRSGSLGSFLDSTEFIWRCLLKKQLMRVLSVILGCISASILLAEATLLPSDVDLSLFSNLINAVGKQEVLVQVAAFVPLLYMCICTYYSLFRIGMMLFYSLTPGQTSSVSLLMMCSMVARYAPPISYNFLNLIHLGGNAKTTFEKRMGNIDDVVPFFGRTFNKIYPLIMVVYTLLVAGNFFEHLTDFFGSLKRFKCWTDQEDMDGLDPSGMFILQKERNSMEQGRKVSEHATPLARNFSSIGKDVESGNVPLGEPTAEMAPERTTQTKHSGNVAFKYSSVRDQSSSLKPVEQVQKGISSVSINLEAGSPEEPPSASTAPESPPAETASRWASMKMGLQNFRASMGSKKFFRLSPSPSLGTNASATESLDEIFRKLKRNSSNADADHLDDDGLP >PVH47831 pep chromosome:PHallii_v3.1:4:20471785:20473064:-1 gene:PAHAL_4G162300 transcript:PVH47831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSKPHAVIELWKSQIEEGKLNEEDPSITIFDKETESRYEILSADEGDKHMLQRFYDAFHGTAEVVNPVPAQPAEPPIPRSLPATSSLAHSYAELARAKAEGVPNVQPSASSAYMDDWGRLRSNSLIGRYGRPDAPAPLMISGHAQVDTKRSNASSGEPVESEAKMTNNGNPWRRCVICLDAAVEGACVPCEHMAFCMPCLKDIKSKNGACPICRAAINQVIRLYQV >PAN25387 pep chromosome:PHallii_v3.1:4:48646624:48653153:1 gene:PAHAL_4G297800 transcript:PAN25387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPELKMKTRILYSRDFLLSFGELEHCKKLPPGFDTALLSELQELSAGVLERNKGYYNTPLGRSDGSGGYAYSSRGGNSGGRWDTRSTGSSDRDGEVPDRESLTQAGRGGNQYRRNWQNTEHDGLLGSGGFPRPSGYTGQLGSKDHGNANQLNRTSERYQPPRPYKAAPFSRKDIDSMNDETFGSSECSNEDRAEEERKRRASFELMRKEQHKAMQGKKNGPDILKENHSDDIISQLQTSTEKADVKTKNEKLDGSAVSSYQEDTTKPSSVLLAPAARPLVPPGFANAFVDKKLQSQSSNISLEPKGRNTTTEANMLTVARLGGRLEGNQLVTEFTAFESKEKGISDNVAIMGPKHTLPSGGVTSSPELASSILKGNEDWEADVMDKYSIGKEGKSKSIDPVRKDDSISILEQFFGNALSKSGSNLPTYVESQSLKTDDDMVASTLPETSKFARWFLDEDLKPAEDLSSKSLLSMIVKNEKPGPENIIHAPPLSDAAVQNLSPRAPIDKFDAASKLLSFTSSTPADGIMECNRSDITETVPVMMTCEDLEQTMLAQVESNSSSTQRNATKEHQTVVDEPVAMQKVAVDNHASQHLLSLLQKGTDNKGSSSLGFQIGSVDEPQSVDANLMANGAISGSDTNNKVDNAPTSGKNLTLEALFGAAFMNELHSKDAPVSIRGSATGGPNEFAETGKTLLSSSHERYYPVEQTLSFSNAKDAAVPKEPGIAYSAVPGGQNQGNTSFDKKGLEIHLPEEDNLFTVNDSLPGQNSDILSSVRSSRVEGLLPEKAVDDLSYRLQSLVPGDAEHIQVLGPDALGSHPRDQRLQVESQNLYHLLQGRPPMMAPRPMMDHIVNRNQQAPFDMPQPMRHDPHRSFPSNMNSMQHNLHGPGVPHLDPAAHHLMLQQMSMPGNFPPEGLPRGVLPSQPVHHMAGNRPEMGNVNNFHMHPRQPNYGELGLMMPGPSGPEVRGNHPEAFERLIQMELSARSKQQQVHHQAMAAGPVPGGMYGHELDMKLRYR >PAN25913 pep chromosome:PHallii_v3.1:4:51111973:51116528:-1 gene:PAHAL_4G334000 transcript:PAN25913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSGGGGGGADPMALVQGYTAEELAIAGEFLTTWLPFLSAGLCPSCVESLRGRVDSLLPREEESPPTPTPTLQIDQIEPTGWDSDPAPQKHPPFEPSGWDSDPPPHPPPPPQQQPAPAPAEKPRMSWADMAQEDELAAAAEEDAAAAAADDGEEGGEVGRPKVQLTRDQREQRRFKNVVRKKDFICLERVNGRLVNILEGLELHAGVFSSAEQRRIVECVYDLQERGRRGELGDRTYTEPQKWMRGKGRVTIQFGCCYNYATDKNGNPPGIIQTIVSDPMPDLFKTMIKRLVRWQVLPPTCVPDSCIVNIYEPADCIPPHIDSHDFVRPFCTVSFLSECNILFGSNLRASAPGEFTGSIAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRKMDPAKCPFNFKDDPELLNLTPLGTVVQEAGRSSNEGKSKLPDVQTTNLSKVSRGKRSKGRTSAGKVGSGILGDQPPGHDEAPAVEVLSRQGLHDQRPVSASSAERERNSGGWSREPRYQSNALGMQAKVDDIREWPRRLAQERRYGNGTNSSEDGAESGERRPRVEHRQISLINRTINDDMDPLSITSRESVDQARASVRTLYNKPRRTRVGLDD >PAN24380 pep chromosome:PHallii_v3.1:4:37867777:37870099:-1 gene:PAHAL_4G212400 transcript:PAN24380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSVQIPVDRPVSPPPPGAACDVIEDGALLAPANARPVPVLISPIVAVPADGAAPAGLAAAALPPAYTGVLYTHHHHIKWPVVVDDPGKKREKWLKEMRGWLMVLAVLAASVTYQAGLNPPGGFWQQDDAQGNVAGTPVLQSKFPKRYTVFFYFNSTAFVTSVVTIVLLMNESFYHSEAKVEALEIIVVLDMAGLMGAYIAGCTREVSSSIYIIVLTVVVFLYVVYTAQFLPKLWGLVVHVPFVHKAAQGGALPVPHDILDTARPRADIGRTKSAPPRSVGLVVGAEE >PAN25707 pep chromosome:PHallii_v3.1:4:50242360:50242785:1 gene:PAHAL_4G320200 transcript:PAN25707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGGGARVIISCSIDMDDGRPRGGGGWSRPPAPPATEATTRAAGTGAAHQQQQVKVKHIVTREVSTDQASFKDVVQMLTGKDSAAARAAVVADGASWRGGGAAVASGGSTTSGVSSGGATMMMLPPEEEDVKRWWPWNGP >PAN23670 pep chromosome:PHallii_v3.1:4:8005831:8006673:-1 gene:PAHAL_4G109200 transcript:PAN23670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >PVH47958 pep chromosome:PHallii_v3.1:4:33457858:33458443:1 gene:PAHAL_4G199900 transcript:PVH47958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLASKSRHRTYDPLLRAVAAPVPGGLPRVYPFKDQFVAYMEGYAAAAASRRGSAHASRTPAADGDGDVHLGPSAALTGSRTGATAPRRPAWWPASRPAAIVRSAPAPLPSPTNAAPQRGALPRAPAEGGGRRAAFLGDDDAACGGFFADLQPPLLPCRPFAEAPAPRALQAWPGR >PAN22919 pep chromosome:PHallii_v3.1:4:3521560:3524619:-1 gene:PAHAL_4G053200 transcript:PAN22919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSSKKQPSTGAASSNDRPMCVQGDSGGLVLTTDPKPRLRWTAELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKEFGDHTAMEMQRNVASSSSVMGRTMNDRSGVNVNSEALRIKMEVQRRLHGELEVQKHLQMRVEAQGKYMQSIVEKAYQALGSSDCATWPTGYRSLGNQAVLDIGGGSTSFSSLQDLHFYGGSSHMDQLLQQMERPMDSFLTLGESFIGSSADKKGPSHCSSMASGKSSMMWAGEEPQQAKSGADQLQMGSSTTMEGGIDVMDPIAGLYEGAMSGDSMSKGFEGSSSKLEMKSPPHQHAPVGSQRVRI >PAN24893 pep chromosome:PHallii_v3.1:4:45682387:45685267:-1 gene:PAHAL_4G259900 transcript:PAN24893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRDLNGSDPQSLSAARARLKSASLNYVDLPNRQNDDTCQYQMFVATWNVGGKTPNNRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVVEDNEPASRWLAIIHQALNEPQEQPDDDDDPPPPEPPEGRRHHHHHRRRDSSLFFQTPSLKVLSNSYRVDSALVKTCNCSAEPSSMRRKAAEVRASVYRAEAEADVPSTSAAAGETSTSGCGDAEADNADGTTPTSQYEPGGCGGGGMSYCLIASKQMVGLFLSVWVKRELVEHIGHLRVDCVGRGIMGWLGNKGCIAISMTLHRSSLCFVCSHLASGEKEGDELRRNADVAEILRSAHFPRACKSPASHRIPERILEHDRIIWLGDLNYRVSLSYEETRTLLEENDWDTLLEKDQLMIEREAGRVFRGWKEGKINFAPTYKYTQNSDAYAGETAKSKKKRRTPAWCDRILWHGDGIEQLQYLRGESRFSDHRPVCGVFAVEVDADDGSKIMRSYYSANARIGYDRPA >PAN24894 pep chromosome:PHallii_v3.1:4:45682800:45685011:-1 gene:PAHAL_4G259900 transcript:PAN24894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRDLNGSDPQSLSAARARLKSASLNYVDLPNRQNDDTCQYQMFVATWNVGGKTPNNRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVVEDNEPASRWLAIIHQALNEPQEQPDDDDDPPPPEPPEGRRHHHHHRRRDSSLFFQTPSLKVLSNSYRVDSALVKTCNCSAEPSSMRRKAAEVRASVYRAEAEADVPSTSAAAGETSTSGCGDAEADNADGTTPTSQYEPGGCGGGGMSYCLIASKQMVGLFLSVWVKRELVEHIGHLRVDCVGRGIMGWLGNKGCIAISMTLHRSSLCFVCSHLASGEKEGDELRRNADVAEILRSAHFPRACKSPASHRIPERILEHDRIIWLGDLNYRVSLSYEETRTLLEENDWDTLLEKDQLMIEREAGRVFRGWKEGKINFAPTYKYTQNSDAYAGETAKSKKKRRTPACVGATGYCGTATASSSCSTCAASRGSPTTGRSAACSPSRWTPTTGARL >PAN25054 pep chromosome:PHallii_v3.1:4:46590553:46592752:1 gene:PAHAL_4G271200 transcript:PAN25054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTGRPWWAPPFPSPPPSFTADPPEEFLCPISGSLMADPVVVPPGQTFERACIQACAALAFSPPAVAADLAAPVSSSTPLVLVPNVALRTAILNWCDRLGLPHPAPLSPGTAHDIVRRLMPPQREDQSSKSQRPQVASSVRVRRQSVDGFVPEPSPNQRGDALEEEIMAVLGAEGATPAEQASAMASLRQATRENKEVRRQLCTPRLLAALRPMLLSADAGVQVNAAAAVVNLSLEPENKVRIVRSGAVSPLVDVLRGGHPEARDHAAGAMYSLAVEDENRAAIGVLGAIPPLLELFAGAAGAAGYRARREAGMALYHVSLSGMNRSKIARAPGAVRTLLAAAEARDRSNEADAAALRRLAVMILANLAGCPDGRAALMDGGAVAAVVRLMLNGSAAPGSAEEEYCISTLYGMSRGSMRFRGLARAAGVEAALQPVAEGDGGVGRDMARRTLRTMRGEDDDAPVTATGLLGRQWDDGSVVSEGLVSIRRPPHRSNYAGPSGSNTTQF >PAN24569 pep chromosome:PHallii_v3.1:4:42856766:42858132:-1 gene:PAHAL_4G235500 transcript:PAN24569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSSGLRSCSAVGVPSLLAPSSRSGRLPFCANATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGSLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRTIYP >PVH47995 pep chromosome:PHallii_v3.1:4:37806098:37806667:1 gene:PAHAL_4G212200 transcript:PVH47995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIPTMSPKTSNRVPSCTQSCLWVRSPLELRMTQDSDDPLFIVGVVVWVLVVILAIVALHCPLPRRVVR >PAN22306 pep chromosome:PHallii_v3.1:4:718914:724474:-1 gene:PAHAL_4G010500 transcript:PAN22306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRRRYSRSPSPYSRGHPKARSRSRSPVRSQSRSPVPDPRSQARSRSRSHEREEEAVNRGNTLYVTGLSSRVTEREIKDYFSKEGRVVGCHVVLEPHTRVSRGFAFVTMDTVEEADRCIKYLNNSEMEGRNITVEKSRRGRPRTPTPGSYLGHRYERRDRGRYRRGYGGGRDEYYGNGGGGGGYGYRRSPPPVYSYRESRDYPSYRDTRDYPPYRDYSPHRDPRDYYESRGGRGYSPPPYGGGRSRRDRSISPYRMPERGYGGGGRRGGGGYDR >PVH47236 pep chromosome:PHallii_v3.1:4:718130:724691:-1 gene:PAHAL_4G010500 transcript:PVH47236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRRREEEAVNRGNTLYVTGLSSRVTEREIKDYFSKEGRVVGCHVVLEPHTRVSRGFAFVTMDTVEEADRCIKYLNNSEMEGRNITVEKSRRGRPRTPTPGSYLGHRYERRDRGRYRRGYGGGRDEYYGNGGGGGGYGYRRSPPPVYSYRESRDYPSYRDTRDYPPYRDYSPHRDPRDYYESRGGRGYSPPPYGGGRSRRDRSISPYRMPERGYGGGGRRGGGGYDR >PAN26271 pep chromosome:PHallii_v3.1:4:52850056:52857131:-1 gene:PAHAL_4G359800 transcript:PAN26271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSSGGNTCASSPPPPRAMKRELAFALRSLSEISASPGRTRSGRPISSLPDPSASASLKRRKRSDPPPAAAAAAAVDLVSPPTPPIDAEPPTQPLRDIIEPVDGSNPPTAADHQSNSNAAQEVIAQKMLEAPQPSHAEAEDSLIAKPNVPMEECAAALYAAPTLPESTSAAGNDQCNSSNSDGGSLQLQAADNALAPAALLVEDTTTPLPAAELKPARRFTRSLLKNKPEKEDSAASGSQATPDGSKEASFDLALLLEKSQRRFTRSLLKTKVESSLVGSDDAHDSASDSPPSVKKMEMKMSKKVACLTKHPGNIRELLNTGLLEGMPVMYIIPHSKLQKAVLKGVITGCNIRCFCPSCNGSKAVSAYYFEQHAGSTKKHPADYIYLGNGNSLRDVLRASERSPLEALEKTIRSSIGPVVKGSHVNCLNCNEHVLPSSQTEHVLCQRCLESKQTQDYTTPSYPCKSNSSLTASSKVAFLKKISSSKKGGSAGKVTNKDNGLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELAMKISKDMERSERETDDLCRECGHGGDIFPCKICPRSFHPACVGLPEAPSEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPMSDDLGGCALCKKKDFNNAVFDERTVILCDQCEKEYHVGCLQSQWQVELKELPEGEWFCCGSCSGTRLSLEKIISDGAQPLAEPDIEIIRMKHEIRGLCMDTSTDLKWQLLSGKRATEDGRILLSAAVPIFHQSFDPIVEAHTCRDLIPEMVHGRGPKEGMAGQDYSGMYCALLTVGSTVVSAALLRVMGGDVAELPLVATSRDVQGLGYFQALFSCIERVLISLKVKHFVLPAAHEAEGIWMNKFGFSRIPPEELEAHLNGAHLTIFQGTSYLYKAVPLPSSQEKERSEPE >PAN26270 pep chromosome:PHallii_v3.1:4:52849995:52857143:-1 gene:PAHAL_4G359800 transcript:PAN26270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSSGGNTCASSPPPPRAMKRELAFALRSLSEISASPGRTRSGRPISSLPDPSASASLKRRKRSDPPPAAAAAAAVDLVSPPTPPIDAEPPTQPLRDIIEPVDGSNPPTAADHQSNSNAAQEVIAQKMLEAPQPSHAEAEDSLIAKPNVPMEECAAALYAAPTLPESTSAAGNDQCNSSNSDGGSLQLQAADNALAPAALLVEDTTTPLPAAELKPARRFTRSLLKNKPEKEDSAASGSQATPDGSKEASFDLALLLEKSQRRFTRSLLKTKVESSLVGSDDAHDSASDSPPSVKKMEMKMSKKVACLTKHPGNIRELLNTGLLEGMPVMYIIPHSKKAVLKGVITGCNIRCFCPSCNGSKAVSAYYFEQHAGSTKKHPADYIYLGNGNSLRDVLRASERSPLEALEKTIRSSIGPVVKGSHVNCLNCNEHVLPSSQTEHVLCQRCLESKQTQDYTTPSYPCKSNSSLTASSKVAFLKKISSSKKGGSAGKVTNKDNGLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELAMKISKDMERSERETDDLCRECGHGGDIFPCKICPRSFHPACVGLPEAPSEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPMSDDLGGCALCKKKDFNNAVFDERTVILCDQCEKEYHVGCLQSQWQVELKELPEGEWFCCGSCSGTRLSLEKIISDGAQPLAEPDIEIIRMKHEIRGLCMDTSTDLKWQLLSGKRATEDGRILLSAAVPIFHQSFDPIVEAHTCRDLIPEMVHGRGPKEGMAGQDYSGMYCALLTVGSTVVSAALLRVMGGDVAELPLVATSRDVQGLGYFQALFSCIERVLISLKVKHFVLPAAHEAEGIWMNKFGFSRIPPEELEAHLNGAHLTIFQGTSYLYKAVPLPSSQEKERSEPE >PAN22340 pep chromosome:PHallii_v3.1:4:833843:838285:-1 gene:PAHAL_4G012600 transcript:PAN22340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLVPLLSLLCCLTFLLQEAAPATFTITNSCDYTVWPGILSNAGAPPPSTTGFALPPGQSLAVTVASVWSGRIWGRTLCSTDSSGTFSCATADCGSGAAECSGRGAAPPATLAEFTLAGGTGGDDFYDVSLVDGFNVPMLVAPHAPAPAPANGSCQATGCPADVNRACPAELRVAAPPPAAAAAAVACRSACEAFAEAEYCCSGAYGSPAACAPTPYSRFFKAACPAAYSYAYDDATSTFTCAAAGGGYDVVFCPGTSRLKSGGNPEAAGLPPSNPTMEFSGDAGSSLVTSRNTVVALLMAIVSLTSMRWC >PVH47241 pep chromosome:PHallii_v3.1:4:833844:838285:-1 gene:PAHAL_4G012600 transcript:PVH47241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLVPLLSLLCCLTFLLQEAAPATFTITNSCDYTVWPGILSNAGAPPPSTTGFALPPGQSLAVTVASVWSGRIWGRTLCSTDSSGTFSCATADCGSGAAECSGRGAAPPATLAEFTLAGGTGGDDFYDVSLVDGFNVPMLVAPHAPAPAPANGSCQATGCPADVNRACPAELRVAAPPPAAAAAAVACRSACEAFAEAEYCCSGAYGSPAACAPTPYSRFFKAACPAAYSYAYDDATSTFTCAAAGGGYDVVFCPGTSRLKSGGNPEAAGLPPSNPTMEFSGDAGSSLVTSRNTVVALLMAIVSLTSMRWC >PVH47242 pep chromosome:PHallii_v3.1:4:835634:837570:-1 gene:PAHAL_4G012600 transcript:PVH47242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLVPLLSLLCCLTFLLQEAAPATFTITNSCDYTVWPGILSNAGAPPPSTTGFALPPGQSLAVTVASVWSGRIWGRTLCSTDSSGTFSCATADCGSGAAECSGRGAAPPATLAEFTLAGGTGGDDFYDVSLVDGFNVPMLVAPHAPAPAPANGSCQATGCPADVNRACPAELRVAAPPPAAAAAAVACRSACEAFAEAEYCCSGAYGSPAACAPTPYSRFFKAACPAAYSYAYDDATSTFTCAAAGGGYDVVFCPGTSRLKSGGNPEAAGLPPSNPTMEFSGDAGSSLVTSRNTVVALLMAIVSLTSMRWC >PVH47910 pep chromosome:PHallii_v3.1:4:28392378:28393238:-1 gene:PAHAL_4G184900 transcript:PVH47910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDPITYEELSAEHKQRYDEIKTQFEADLIGSFERTRNHGVRWKGFSPEGALDGVDLSTPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERHQHSPTGPTLGSHKGELPFQTRPPLPYALAAAESHGAPPYVVYKVGGDPMDHQFFNEPPKEIPHGYMCAYIPDSNNLVYSAQKAAGAVSGADADKQAWLATYATGPSHDSMHSAPGLQTAEQISAILRDHSIEHVS >PAN24222 pep chromosome:PHallii_v3.1:4:35858865:35864536:1 gene:PAHAL_4G205300 transcript:PAN24222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRGRGDDVDDDDDEDEYEDITPPRSTPEPEPEADPAPAPAPSPAPAPPQPARAPLSSLVVKPLSPQENGGGSSPPSPAARAARSPSPGGGGSYRARGASPPRHRREFSPPRLRGWERRRSPPPPERRRPGSPPPQRRRFSPPPRFQPPRHPRFHNEQPGYGMHRGPSPPRPRRPEASKFDDAVGPRYTHGYQGGGRGSARFREGSPPYGRGGRSYGRGFGAPPGKDFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKFRYSAREAYEPRRSPPRGYPSPPRGPPRMVGPPGDRAPPREMSRYRSPPQGWGVGDPRGYAARSPPERPVRFTDPSPKERMGFRGERDLRDRAKFEWSDTDDYGRRERPHDDYLDRSRRRSGSPRANWGNDLRDRSRTPPRNRLMKSSFTGRGRPEDYAGDPYASRGRPNSMEAGRGRGHGYRPGGGPYPSEGRGDRRPAPRGRNEDNY >PVH47254 pep chromosome:PHallii_v3.1:4:1150726:1151245:1 gene:PAHAL_4G017800 transcript:PVH47254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSQGDWQRSHSRPSTDPRPRRGRPQDSGVRN >PVH47258 pep chromosome:PHallii_v3.1:4:1194304:1197554:-1 gene:PAHAL_4G018700 transcript:PVH47258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPHCPVRRHLPPPLQLPPFSSPTLGYRRRVATAVACCCSAAAGGQHQHQERPWESYDRDIQSHAGSDLSRSLGLLADMQAAGARPSAAAYARLIRALGRAGRTLEAEALLLEMRRLGPRPDAGHYNALLEGLLARAHLRLADRLLLQMADDGVARNRRTYMLLLDAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQELGVELDVKIYNGLIDTFGKYGQLADARRVFDKMRAEGIKPDISTWNALILWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISRLGEQGKWDDIKRLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDAHECIAALKAENTQLSPRVFCVLANAYAQQGLCEQSVNVLQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYKEMERAGCTPDRKAREMLHDASVILEQRGCIY >PAN22420 pep chromosome:PHallii_v3.1:4:1193534:1197554:-1 gene:PAHAL_4G018700 transcript:PAN22420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPHCPVRRHLPPPLQLPPFSSPTLGYRRRVATAVACCCSAAAGGQHQHQERPWESYDRDIQSHAGSDLSRSLGLLADMQAAGARPSAAAYARLIRALGRAGRTLEAEALLLEMRRLGPRPDAGHYNALLEGLLARAHLRLADRLLLQMADDGVARNRRTYMLLLDAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQELGVELDVKIYNGLIDTFGKYGQLADARRVFDKMRAEGIKPDISTWNALILWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISRLGEQGKWDDIKRLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDAHECIAALKAENTQLSPRVFCVLANAYAQQVSQTSEHGLCEQSVNVLQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYKEMERAGCTPDRKAREMLHDASVILEQRGCIY >PAN22419 pep chromosome:PHallii_v3.1:4:1193534:1197554:-1 gene:PAHAL_4G018700 transcript:PAN22419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPHCPVRRHLPPPLQLPPFSSPTLGYRRRVATAVACCCSAAAGGQHQHQERPWESYDRDIQSHAGSDLSRSLGLLADMQAAGARPSAAAYARLIRALGRAGRTLEAEALLLEMRRLGPRPDAGHYNALLEGLLARAHLRLADRLLLQMADDGVARNRRTYMLLLDAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQELGVELDVKIYNGLIDTFGKYGQLADARRVFDKMRAEGIKPDISTWNALILWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISRLGEQGKWDDIKRLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDAHECIAALKAENTQLSPRVFCVLANAYAQQGLCEQSVNVLQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYKEMERAGCTPDRKAREMLHDASVILEQRGCIY >PVH47259 pep chromosome:PHallii_v3.1:4:1193528:1197599:-1 gene:PAHAL_4G018700 transcript:PVH47259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPHCPVRRHLPPPLQLPPFSSPTLGYRRRVATAVACCCSAAAGGQHQHQERPWESYDRDIQSHAGSDLSRSLGLLADMQAAGARPSAAAYARLIRALGRAGRTLEAEALLLEMRRLGPRPDAGHYNALLEGLLARAHLRLADRLLLQMADDGVARNRRTYMLLLDAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQELGVELDVKIYNGLIDTFGKYGQLADARRVFDKMRAEGIKPDISTWNALILWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISRLGEQGKWDDIKRLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDAHECIAALKAENTQLSPRVFCVLANAYAQQGLCEQSVNVLQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYKEMERAGCTPDRKAREMLHDASVILEQRGCIY >PAN22418 pep chromosome:PHallii_v3.1:4:1193403:1197598:-1 gene:PAHAL_4G018700 transcript:PAN22418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPHCPVRRHLPPPLQLPPFSSPTLGYRRRVATAVACCCSAAAGGQHQHQERPWESYDRDIQSHAGSDLSRSLGLLADMQAAGARPSAAAYARLIRALGRAGRTLEAEALLLEMRRLGPRPDAGHYNALLEGLLARAHLRLADRLLLQMADDGVARNRRTYMLLLDAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQELGVELDVKIYNGLIDTFGKYGQLADARRVFDKMRAEGIKPDISTWNALILWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISRLGEQGKWDDIKRLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDAHECIAALKAENTQLSPRVFCVLANAYAQQGLCEQSVNVLQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYKEMERAGCTPDRKAREMLHDASVILEQRGCIY >PVH48006 pep chromosome:PHallii_v3.1:4:39066302:39067733:1 gene:PAHAL_4G216400 transcript:PVH48006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGTLPAALPPNRKIGTPPQIKNPRGWRAAQRRPAAAVLLPASAPQSQSCRARGRPAAGGWLRPGGLED >PAN25124 pep chromosome:PHallii_v3.1:4:47192731:47196252:-1 gene:PAHAL_4G277200 transcript:PAN25124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEPADAGSSSVKGSSLADVYKGELTPLQRHVAFFDRDKDGVIYASETYEGFRAIGAGVPLSAFSALFINGLLGPKTIPENEKAPAFKLPIYVKNIQKGKHGSDSGVYDANGWFVPEKFEAIFKKHAHTRPDALTGKELQELLKANREPKDFKGWLGGFTEWKVLYSLCKDEKGFLHKDTVRAVYDGSLFERLEKDRKSKESTNKK >PAN22833 pep chromosome:PHallii_v3.1:4:3096712:3097026:1 gene:PAHAL_4G047900 transcript:PAN22833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGEPLQPRPPAVVPVMHGRRLHQHPLADAARALMLVGAGTSVLSTVGRSPGGGANAGQAFTGLLLWLLLALAPVARRFPRAALVAAATADAVLNLCFMPWN >PVH48155 pep chromosome:PHallii_v3.1:4:45972795:45973184:-1 gene:PAHAL_4G263300 transcript:PVH48155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWAGEVNPSLDALGLSPIRVAEPPPSLGVVLPVLDSTAERLRHLESAILDRLETEGRAVARGMAEYILTCFGSHDPAFLLTPVLVGPVRATAAAAQEGVQEAANMVASRVRRHPRPARRGDSSGPPEQ >PAN24035 pep chromosome:PHallii_v3.1:4:17478044:17478905:1 gene:PAHAL_4G152000 transcript:PAN24035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIALGVAYALEYMHLTCSPPVAHGNIKARNILLDAQLMPYLCDSGLTKLRHFVSTMGTKDSEAITSAKGYAAPELADASWCISA >PAN22830 pep chromosome:PHallii_v3.1:4:3083194:3084614:-1 gene:PAHAL_4G047700 transcript:PAN22830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNQSASTNRCAHRLDKLQRQEDQERFHQIQEAYKVLLDASKRAMYDVEVSPSHPHPVDSFRSRANICCQMARRVTKMQQYVIMMKQDTKPTMEEMDSIIMEIKACSPLSWSSRSDARTGGAGPHKAVRNVAIAHSAANKH >PVH47837 pep chromosome:PHallii_v3.1:4:20537872:20539098:1 gene:PAHAL_4G162900 transcript:PVH47837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAFSSNAKGLSLRRIGSAAATVCVALLLVVAGGVATLHHRDNAPVLGGFLVEDRGTTMRRVPRKMLLAEAVEPAKGLRKIRGASKRRPSSGSEPLPRGVVHDTTNLEMEPSLAEDPEHRKNQQQEAAATTTPAKPKSLLAVPVGIKNKAVVDKLVSKFPAGDFTVMLFHYDGAVEQWGDLEWSGRAVHVAARGQTKWWFAKRFLHPDVVAEYDYVFVWDEDIEVDAFDPTRYLDVVRREGLEVSQPALDRRSEIHHAITARALAPAPGGVHRRVRDARCGDGGGSAGPPCAGWVEVMVPVFSRAAWRCAWGMVQNDLVHGWGLDYRLGYCAQGDRTVNVGVVDSEYVLHRGVPMLGDGGKSAGRAAVRRRSFKEMQIFNRRWEEAAAEDESWTDPYAGQPATASSR >PAN25282 pep chromosome:PHallii_v3.1:4:48095342:48098295:-1 gene:PAHAL_4G290000 transcript:PAN25282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVATTVGCGGLPFRPASTAPTGRPRGRWVVRAQAAGAEAASDDKSVEIMRKFSEQYARRSNTFFCADKTVTAVVIKGLADHKDTLGAPLCPCRHYDDKAAEVAQGYWNCPCVPMRERKECHCMLFLTPDNDFAGKDQAISLEEIKEATSKF >PVH47845 pep chromosome:PHallii_v3.1:4:21046098:21046470:-1 gene:PAHAL_4G163800 transcript:PVH47845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLAHGSGILFFGFAFGIACAKGDGLAAISRSATDNRMDAAPGVRTTVESKFGIEYARGGPTQAPPKIAPPLQYCRGTVSSVQGKAYKSTKSDQNFATASPVCAS >PVH47467 pep chromosome:PHallii_v3.1:4:4668738:4669117:-1 gene:PAHAL_4G069900 transcript:PVH47467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCTGRIFLIPFLDPTTLFQVCIHCETSRATFLHSCTTDFFFRTMNCFEGAIRSHSVQIRETATYSSMPTAMRMHSCMHVTDPHHKKFYFKTTNT >PAN22686 pep chromosome:PHallii_v3.1:4:2327266:2327757:-1 gene:PAHAL_4G037000 transcript:PAN22686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAEARRLDRSQVSGGGGRCHRRGWIQGQGSLGRVSGRGAFSDGYSSSIGKYLLRLVSKPSCNGVPLGLGCGGGRIWWGSLKLPVDNGSKDLFAIFIFLEALCAKRMG >PVH47820 pep chromosome:PHallii_v3.1:4:20401287:20401580:1 gene:PAHAL_4G161700 transcript:PVH47820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN26150 pep chromosome:PHallii_v3.1:4:52267051:52267402:-1 gene:PAHAL_4G351100 transcript:PAN26150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIALVGRRGERLSDGTEEAGAVGHGSVFQIEPLCFGSGQGEVSGEARLWPFHARLDQWHVLGVFVHNGGHDIIGVRH >PVH47250 pep chromosome:PHallii_v3.1:4:1004232:1004958:1 gene:PAHAL_4G015300 transcript:PVH47250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPLELAEWCFPCLQIPKDSLLLCRTHCLCLARISSSPFAVLLSVRLLLQKRDIYLRCTRHGHLFRYLFLHSLIYFVSPKDAFSYSFHFKMVWFVAASNAIYI >PAN25947 pep chromosome:PHallii_v3.1:4:51252972:51257619:1 gene:PAHAL_4G336200 transcript:PAN25947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARHPPPSSASAPAGGLSMKEYLKRYQSSPGADGDQKKAKKKTKKKPKPAAGGGGVLIVDEDPVWQKPVQVEDDEPASSGEDKPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVAVAAPEEQAGGSTRLRRNDTPSPERGGAGSKDISPPRRRQRRDTPSPKPGDAAGKDMSPPRQRRRRQDTPSPKGNGAADQDDMSPPRKSMRQQDPSPLRRRARNDSEEPQDLELPRRHVKHDSEEPRDISPPRRRRHDSEEPQDMPPPRRRMRHDSEEPRDMPPPRRRRHDSEEPQDLSVPRRRAQHDLEEPKDMSPPRRRRRHDSEEPKDMAPQRRKHQDSTKVDDLSPPRRRNMGQSPEDGDISPPRKGKKEGAQKQARKAGLMTAEEVKEDIRKIKEDEMLKFAAQDPSLVGKGAKAVFRDKEGKRISEEEMRKSKESEKPKEIHIEWGKGLAQKREAEARFKELEAEKSKPFARTRDDPELDSMLKNRIRWGDPMAHLVKRKDPEFLLEDFGDDEKMKESGFIVPQNIPSYSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQAMEQEAYLWSVSDM >PVH47805 pep chromosome:PHallii_v3.1:4:18860245:18860758:-1 gene:PAHAL_4G156900 transcript:PVH47805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALSEFDIRYQPAKAVKGQALADLVAERTSTDIAALSIRAWAIYFDGSVCGDGSDIGILLISPRGATYSFSIRLQAPSTNNLAEYEVVHKGMELLIEAGAEAVEVFGDSKLVISQLTETYRCESELLFPLWRQCQEPTILPKWPPVTRT >PAN23012 pep chromosome:PHallii_v3.1:4:3944956:3946660:-1 gene:PAHAL_4G059900 transcript:PAN23012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAASSCCGSEKVEQGCVSASMSSTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTADGLQIAVKKLKATNNSKAEMEFAVEVEVLARVRHRNLLGLRGYCAGADQRMIVYDYMPNLSLLSHLHGQFAAEARLDWGRRIAVALGSAEGLVHLHHEAAPHIIHRDIKASNVLLDSDFAPLVADFGFAKLVPEGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLELISGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGAFDAAELSRVVECAALCVQGEPDRRPDMRTVVRILRGDSDAVPAGPGGKGNRPPVRIESVKYADRLMEMDKSSSYYGEPEDGDEEEEEEDIDDDEVEEYSLIDDKSSMNFGAFGAMPVQTMHDPYAKRFSSGNASAVKI >PAN24276 pep chromosome:PHallii_v3.1:4:15829740:15830561:1 gene:PAHAL_4G146200 transcript:PAN24276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASGAKAASGLCQWTPTQSTFVLTFLSNIVADGTKTSTGFKKVHLNACAKALNDHFKLTRTGDQVSNHLKIWKKMYARINYLKNLSAPLWDEDEFIVSLDHDHYKGHMADPKNKADDEYLNKPLPYYSFLATIFGNSVATGQYAKSSNDPIGTDRSEGVSHGGDATAENDGLNHGIGKRVVNDDISSSARPAKRAKTIDDTGRKIDCLVEAFQCGSQTLAKAIAQASNALPDGLFEARQSPRF >PAN22713 pep chromosome:PHallii_v3.1:4:2470437:2470703:-1 gene:PAHAL_4G039800 transcript:PAN22713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNGQACCGRERAFRASLHDGARPAGRRDAQVEAARGEGAETVVATVRAKARALEAEAERERRPRGREAEAGIERAEKLMHLLLWGPN >PAN24311 pep chromosome:PHallii_v3.1:4:23937472:23940125:-1 gene:PAHAL_4G171600 transcript:PAN24311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQERPSPASSDTTISFDSAPESDASTSSSVLSVVSSGSEPVVSKKPRPPVRSWPASDEIALLEAVAVHREKHGRLPSPNDLAAALQGRLRAEDRLGAEQVAKRLRALRSRYDAAAIRLSRGTIPVKDDDVTIYRLSKLIWAGTRKGKRKTKTRAADARKDPREFGELSELYPCLSAEVEAIDKGCGAAAAARVLKRAYGRIGDDTAARLEARVKMQRVAEARASAELDQLRRNVAGALLRLIK >PAN24312 pep chromosome:PHallii_v3.1:4:23939295:23940029:-1 gene:PAHAL_4G171600 transcript:PAN24312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQERPSPASSDTTISFDSAPESDASTSSSVLSVVSSGSEPVVSKKPRPPVRSWPASDEIALLEAVAVHREKHGRLPSPNDLAAALQGRLRAEDRLGAEQVAKRLRALRSRYDAAAIRLSRGTIPVKDDDVTIYRLSKLIWAGTRKGKRKTKTRAADARKDPREFGELSELYPCLSAEVEAIDKGCGAAAAARVLKRAYGRIGDDTAARLEARVKMQRVAEARASAELDQLRRNVAGALLRLIK >PVH47377 pep chromosome:PHallii_v3.1:4:3058232:3058929:-1 gene:PAHAL_4G047100 transcript:PVH47377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTRRIPTGSLQIDRLALLSKLDLTKKYGVSVQMLFPIVQHETNSLLLFDETGTVCSCLMSLLRKKDHQSACSGLMIFLSPVSFGLFCTTRIDNSFVQQMLMICGN >PAN22661 pep chromosome:PHallii_v3.1:4:2211923:2220582:-1 gene:PAHAL_4G035100 transcript:PAN22661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEECDDHMEVSISDSQGFREVQRVLQSVRMDPFLINLKDKDEYDYLLIAVDPTKKRSLDENAVLVTTLKALSESVSKIDIMYHHALLHNIFTMCIWYLHEETRVALLDLITRLAVVADQYLRECLQMLVNNFTPPRPLVPLMEQPGWLAKKKVIYSQLHESLKMISDTVPLAARILKDVINRSMPKLFDTKAKMVSFVECMLGLDTERLGDLIGTTLLEKVVDLLTELDVNITWEDILQEEHSKGIFEMELEDLDDDEDYLGQEGTKALFGGNVFADKLDGLMVVVCEHLKSCDRDRLFKEFGILKTIFRKAVLKVHKSKFAQFIMFYACSLDPEICGVDFALFLTDIFTKKEDDAIARMSAVSYVGSYLSRARFISADTVVAVLKRLVEWCDSYCKLKRDPVKPIDHQIFYASCQAVMYVLCFRLRSIMDYPNLKSQLFQMPIESILMDRLEPLKVCLPSIVNEFLRQARAARLFNAPVDLPLEDIVESDLSKAFGGANRLDMFFPFDPYLLRESDRYIRPNFEFWSLVKTTYSNNSDDDDELGDIDAPGMNVDSLDDHVEIDFKDDDDIEYSMNKMSITPHRSFYHPMAMSSDSGISMPARIRPSVSPPS >PVH47443 pep chromosome:PHallii_v3.1:4:4241773:4244472:-1 gene:PAHAL_4G065200 transcript:PVH47443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTTHLALLFLLVLACAAARGFYLPAHDLLAVKVKQLSSIKTQVPYSYYSLPFCRPVTIVNSAGSLGERLRGDGSRTRPTWILDNLPMTIPVIGLDKEAPLFYLQGMPVGVKEWLVGDYSYFIHNHLSFLVKYNRDAHTGLARIVGFEVKPFSVKHELFGDLEGNTTRLETCNPHSARLIVFTYDVNFEESGIKRASRWDTHLNTPDNHWFTIGNSLMTVLFLSVMVAMIMLGTLYRDISMYNQLENPEEAQEESGWKLLHGDAFRPPVNADLLTCFGGGFVTAMLLLWVFMGLFAGHSTARLYKMLGGSEWKTALVVPGAVFLIFFTLNIVLWVEKSSRAVPFTTMFPLVFLWLGVSLPLTFIGSFLGFKKPAIGDPVRTNKIPRPIPEQPWYMNPAVSALIGGILPFGAVFMELFYILTAIWTQHQFYYTFGAAFLVFVILVVTCAEIAIFLCYLQLCNEDHRWWWRSYLASGSSALYLFLYTAFYFFTKLQLTKAVPAMLYFGYMFMVSYAFFVLTGTIGFYACLWGLYEIYG >PAN25042 pep chromosome:PHallii_v3.1:4:46527682:46529628:1 gene:PAHAL_4G270200 transcript:PAN25042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTNGASGGGGQQQQASAAGKLPRKRFYRARAHSNPLSDSHFPVPVSPDEVDLSQHYPRYFPADKGGGEEPPPPRIRFADVGCGFGGLLVGLSPLFPDTLMIGMELRDKVTEYVKERILALRASNPGQYDNISVVRTNSMKYIPNYFRKAQLTKMFFLFPDPHFKEKNHRRRVISTQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAVPDEEIKADPVVKLLSTATEESQKVARNGGQTFHAIFRRISLQEE >PVH47336 pep chromosome:PHallii_v3.1:4:2474605:2475253:-1 gene:PAHAL_4G040000 transcript:PVH47336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAGGTKPRDAPASASSAARRARRLPSSLLIQRARRRDVVCVGSRARWVRARRRERGELKRKVGVVTARAGGLRVSTAGQRREQPHGFRGPYPRRRRRGSSRGAGESSKLKGVALPSLV >PAN22598 pep chromosome:PHallii_v3.1:4:1921103:1922720:-1 gene:PAHAL_4G030200 transcript:PAN22598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLGLFVAAAVVSAAALMPPCAVAQLRTDYYASACPNLENIVRGSVRQSMAQSQISAPAALRLFFHDCAVTGCDASIMIVNSNGDDEWRHSDNQSLKREGFLTVLSAKAAVDSDPQCRNKVSCADILALAARESVVQSGGPYYLVELGRYDGRVSTRGSVVLPHVNFNLDQLNAFFSGLGFNQTEMIALLGAHTLGAADCPFFQYRIGSDPSMDQGLASQLRGTCGANPSGGFAFLDPSPGAFDNAFYRNLQGGRGLLGSDQVLYSDQRSRGAVDGYAANQGAFFADFVAAVTKLGRVGVKTAATGEIRRDCRFPN >PAN24001 pep chromosome:PHallii_v3.1:4:12320978:12326514:-1 gene:PAHAL_4G136200 transcript:PAN24001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEHSGHTAKSLSSNSLGNWTTHRPIVMEQRACPTQLDVLSQDSTDFVSRGIKRKRVDLSLGLGNSSSSSDSSKQSMGTCCTLSSTAKDKDDGSSIDLDLNFQFSLCSEGTSKLGTNACNAKTSEKQPPTDLKLSLTVGPAESIVTDVDLNVASQDHTVMLQSCNMASVSTVDEGSTSARWKSGGMLHPYLLPVGSNQSHCPLPMPPAIQLAKIPVACSSGVISPQQRCSSTKVCSQPGCSKGARGSSRRCIAHGGGRRCQREGCKRGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSKEGCKKAARGKSGLCIKHGGGKRCQKENCTKSAEGQSGFCIAHGGGRRCKHEGCTKGAQGSTNFCKSHGGGKRCTHPNCSKGAEGSTLFCKGHGGGKRCAAEGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFAGCSKSAQGSTDFCKAHGGGKRCLWGQPGSDLATSSAPCERFSRGKNGLCVAHNALVEDSRVRGGETLGAMGLPGPVANNMVNHGSIYRTADGESFNPFKLSERSNNVLHPAGTLHHGPISAPEGRVRGGNIVAMLSTGMNLGKQLSYNVEASTSGGNWL >PAN26076 pep chromosome:PHallii_v3.1:4:51907713:51910877:1 gene:PAHAL_4G345500 transcript:PAN26076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGALPLALLAALAAVAAVAGQGRPVTDSGAQTPPTPSSFTPKDNFLIDCGGTAPVTAGGKSYKTDAQANHLLAAKDAIRVADDKADVPSPVYSTARVFKEEAVYSFPLAVPGWHFIRIYLFPLKGGDVDLASATFSVVTDDYVLLHSFTPENKPVLKEYLVNATENHLAVKFQPLTGSAAFVNALEVVNAPDELITDSALAIAPLGETSGLVHDAYQVLYRLNVGGPAIGPANDTLGRRWDPDTPYVQSKEAVKDVSVPTSTIKFPDGTSRLVAPTAVYASAAKMADADVGNANFNLTWKVDVDASFSYFVRLFFADIVSKAANDLYFDVYINGRKAISGLDLSTVTGGELAAPYYKDFVVNQTVATDKLSIQVGPMGQDTGRIDALLNGIEVLKMSNSVGALDGEFGVDGRKADDGSGSRKAVAAVGFAMMFGAFAGLGAMVVKWYKRPQDWERSSSFSSWLLPIHTGQSFTTSKGSGYGSHRSGNTFSSTMGLGRFFTFAEIQAATQNFDEKAIIGVGGFGNVYVGEIDDGTKVAVKRGSAESEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMHNGVFRDHIYGKEGVAPLPWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFAETAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGAQQDGDDADGSVGGASSVGGGGVTAVPDQSTTAAGELFQQLADMKGR >PVH47382 pep chromosome:PHallii_v3.1:4:3108971:3111094:1 gene:PAHAL_4G048100 transcript:PVH47382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLEEGIEVEVDTPAVQAPAVAEDLRVHQQVEGGAAAGKMNPVQKWLAYWTLVAAASVIFLDNHIDDAAPKDQLFPPDVPMFVVFLCAICLILRAMMMQR >PVH47381 pep chromosome:PHallii_v3.1:4:3108971:3111093:1 gene:PAHAL_4G048100 transcript:PVH47381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLEEGIEVEVDTPAVQAPAVAEDLRVHQEQQVEGGAAAGKMNPVQKWLAYWTLVAAASVIFLDNHIDDAAPKDQLFPPDVPMFVVFLCAICLILRAMMMQR >PAN22837 pep chromosome:PHallii_v3.1:4:3108940:3111365:1 gene:PAHAL_4G048100 transcript:PAN22837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLEEGIEVEVDTPAVQAPAVAEDLRVHQEQQVEGGAAAGKMNPVQKWLAYWTLVAAASVIFLDNHIDDAAPKDQLFPPDVPMFVVFLCAICLILRAMMMQR >PVH47384 pep chromosome:PHallii_v3.1:4:3140904:3142016:-1 gene:PAHAL_4G048500 transcript:PVH47384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVVKHLSPLKGNEEDEGGEKGEGEKIGEIEGEEEGEEEDEESHILFDFSACMYGYEDKAAFQEAFDNMRSKVYKQTWLDSIYKVKEKWAECYMRYSFNNSLKNHLKSDFDIIRFLKHFERTVQEKRGKEVDSEFESRKKIPRRQMCTPMLVQASQVYTPVIFEAFQNNKYAVAIGKLHGDLSFEEERIVFGDSLNQKAACSCGMLNRTGILCAHGLKVLDLMNIKILPAHYILKRWTREARNGSIQDCQGRHVIENPKRETQLRYKFLSHKFHNLADKASNYPECCVLLENALDCLSAQLEEKLNVSTSSMNEPWKDQENIDPNVQQKHALFSAAQLKKK >PAN25504 pep chromosome:PHallii_v3.1:4:49344702:49347154:-1 gene:PAHAL_4G307100 transcript:PAN25504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTDTQAPPTPGSKMEPAYVLAPLGVFLLICQLILCRAARSCRWSNHWLFPYVAEAGDYLPNVLGSVAMASIPDEPSAVVLLLYLVVLNNSMAAYDREKVSLRYLWTAVNVVCFAYRALSAVGRADLGGAGMYAGAYAIVAAMLGAYTYCNVLVALVRAGKHYPEAIAEHMIAEHMSATEHVPQTKDQYNASTLEGYRYVVLLEPRKRTVDQIFAGGEERRRKDLCLSFALFQLLFCLYQGVPWYQNASDQKSQKLVVEGLLGRRPPPPVPPRRQQQQQEEAHHDQATRRGFGVVEAELAFLHDHIHGGCILWSPGSGAFRALYYVLKAAMAAIMHVAGLALCLAWDRPRVSVVISPLLLYLLFDLLQVVLYCRSDRWMVSYVCHPDAWQQRCFGRFISLIRPREPNINRSYFYWLDSVHQYSLLEETSTALWDSIGRRSSSTPFRQASLYSHGARPSGPVALPPSLKLRIAEVLGGTSCPVAVPPLVERAQWFRGKEPKQKIDVILKWHIATSYCEMLLLQRGTLLHGSRSRECAVVLSKYCAYLVAFRPELLPCPDTVTRCTFCNVLGEVVSLVGGQRSLRSRLATLRQRREARNVAARGLSSQDAGILTEGLKLGHLLFTKMESSQQDLWAILERIWVKLILGVAPQESAHDATYAKSHGRCLAQGGEFLTYLWALLSHAGVQKHSSWPPCIRNETVTRWDGFIPNFMYN >PVH48445 pep chromosome:PHallii_v3.1:4:50836786:50841081:-1 gene:PAHAL_4G330200 transcript:PVH48445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSVPMFIREAKFLVAPELPEMGPRYPEWILLSTRAYISDRVNAATVACSNTSDGHPIQVSLFAATPPAVSHLCVHCPGRETHQFSDNPGVIFSRDDLILLNVSFACGDMTDFFVYKAGPKTPSLVRIAIPDLSISSFLNTGIVCCGADHFAVAALIADYMTDMFELSVFNSKTRVWETRLLPLEPSESLCHPAELSFFPSKVIPLEGSLLGWVDLWNGILLCDILSDNPKLHYVPMPKPMPGNVALKGEGEPKCYRDVIGCGDLIKVVEVDYEYNGTEVADINSYVPEEWTLVTLTRRLDSREWKRGHEVNIGDITVSQDFYGHTDVLPRFCENGTPSLKKMPLGFPTLCEWNNMVYFMCKVSLMDYNGWVVAVDMNSNKLQAVSSFCGATLPGFSTAYYPSSFTKYLNNSGARPEIELNAQTSNGLASTDTEDSIVDD >PAN23775 pep chromosome:PHallii_v3.1:4:8972679:8974652:1 gene:PAHAL_4G116200 transcript:PAN23775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSRASALALLLCALSASSFHVAISGPPAPSPGKDDFLSCLTKTVPPRLLFAKSSPAYGSVWSSTIRNLKFLSDKTVKPLYIITPTEPCHIQATVSCGRKHGMRLRVRSGGHDYEGLSYRSDKAEPFAVVDLSKMRQVRIDGKQATSWVDSGAQLGEIYYAVAKETPKLGFPAGVCATIGVGGHFSGGGFGMMLRKYGTAADLVIDAKVVDAEGRLLDRKAMGEDLFWAIRGGGGASFGIVVSWQVKLVPVPPTVTVFQIHKGVKDGAVDLVAKWQQVAPSLPEDLMIRILAMGQDALFEALFLGTCKDLVPLMNARFPELGMNQTHCNEMSWIQSVPYIPLGKTGTVKDLLNRTSNIRAFGKYKSDYVRDPIPRSVWEKIFTWLVKPGAGVMIMDPYGGKISSIADDATPFPHRQGMLFNIQYVNYWFGEGSGAQPNQWSRDMYAFMEPYVTKNPRQAYVNYRDMDLGVNQVVGDVSTYESGKVWGEKYFKGNFERLARTKAKVDPQDYFRNEQSIPPLLK >PVH48277 pep chromosome:PHallii_v3.1:4:48626931:48629588:1 gene:PAHAL_4G297600 transcript:PVH48277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMQTRVFIVHMLPGPASHFFARASAAGMMTEGYVWIVTDNVGIVLDVLPQHTIENMQGVVGFRPYVANSARIIDFMSRFDARFRAKYHQAHDVRMARPTVFQFWAYDVAWTVATAVEKVKRVGFSNIGFQTPQDVGKNLVNGLLASPVGPGILSSILEADFDGLAGRFRFVDRHLHVPIYEVVNVIGEKARGLGFWSPGSGLLRLLNSSTTQVQDKSIVTAGKILKPVIWPGDSTTVPKGWDFPVNAKILRIGVPVRHEFKFFVNVEANHNTNGSRVSGYSIDIFEAAVNKLPYALRYEYIPYDCANSYDQLISQVYYKKFDAAVGDVTIIANRSRYVDFTMPYTESGVSMLVLAKNDDETTMWIFLEPLTKDLWIATVFFIFFTGLVVWMIENPKNKKFQGSKWKQFSTAFYFAFSTLTFSHDQIIKKLHSKVVVVIWCFVVLVLVQSYTASLSSLLTAQRLQPSVTDPRQLLRNGDYVGYQNGSFVQAMLRRLRFDERKIKVISTLEEYAKALKAGSKNGGVSAIFDENPYLNSFIAQYGKEFQIVGPIDRTDGFGFVFPRGSPLVPDLSKAILNITEGCEGFQIQKKWFGDATPSPDYGSPDANSVRLSLRSFKGLFIVNGFALCVMLVINLPEFVRANCTELRNLSLQRAQSSGEIASDSEPQQLQNNNAAPVEPLQIERETN >PVH48539 pep chromosome:PHallii_v3.1:4:52342992:52343369:-1 gene:PAHAL_4G352300 transcript:PVH48539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFCHAGAAVFCNIEAARSRLSTNTSDSSHFMTISSTLKHHVSTGYKIAFREEKKDTGTEGIYGAGCTIFA >PAN24941 pep chromosome:PHallii_v3.1:4:46011530:46014841:-1 gene:PAHAL_4G264000 transcript:PAN24941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRAFRPSAPRRAAFAALLTLLLLAALSFLLSSAPASSARSSAPPSARLAAVRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSSLSSDLSALSARLASHLSASLPEDALRPLEKEARERIKFARALAADAKEGFDTQSKIQKLSDTVFAVGEQLARARRGGRMSSRIAAGSTPKSLHCLAMRLLEARLANPSAFADDPEPSSEFDDPALYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFSRRPPPLGVHVQLLAYSDFPFLNTTNSPVIRQIEGGNRDVALLDYLRFYLPDMFPALRRVVLLEDDVVVQKDLAALWQVDLDGKVNGAVEMCFGGFRRYRKYLNFTQPIVRDRFNPGACAWAYGLNVFDLAAWRRDGCTELFHQYMEMNEDGELWDPTSVLTAGLMSFYGNTKPLDKSWHVMGLGYNPRISPEAIRSAAVIHFDGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >PAN22236 pep chromosome:PHallii_v3.1:4:278893:282034:1 gene:PAHAL_4G005200 transcript:PAN22236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGARYKVVREPGASNVIKIPPPRPKRKPLHPYPRKCADSSTMANPAMGQPKLAPISSSSGSDQENGSPVSVLSAMPSDAFGSSVSNPSTGCTSPASSDDGNNVPALVNEENLLTQQIEDDQSGQEIKLDNSDGDLSEEDSSSGVQETSLKLFGKTVFIPDPKKVCSSDGGRRDGEKRSESSKQEEVSQASSIGGVAAYPAHNGWLLPYHSFQFHMGESGDARISPLHVWWPYYGFPVGHPRGFGMGLHTEGTCESDTGKSPSVESSSDCMSDVQTTAPTNCKVVKEPLGAIQVPEPTLSFELKPSANSAFVRVKPGSNRGQSVRGFVPYKRCKVE >PAN22238 pep chromosome:PHallii_v3.1:4:278893:282124:1 gene:PAHAL_4G005200 transcript:PAN22238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVQVEERDGLDSSGLPIDKKRLLDAAAKSPLIDDAMQPKEGMDGYPVKVRKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNVIKIPPPRPKRKPLHPYPRKCADSSTMANPAMGQPKLAPISSSSGSDQENGSPVSVLSAMPSDAFGSSVSNPSTGCTSPASSDDGNNVPALVNEENLLTQQIEDDQSGQEIKLDNSDGDLSEEDSSSGVQETSLKLFGKTVFIPDPKKVCSSDGGRRDGEKRSESSKQEEVSQASSIGGVAAYPAHNGWLLPYHSFQFHMGESGDARISPLHVWWPYYGFPVGHPRGFGMGLHTEGTCESDTGKSPSVESSSDCMSDVQTTAPTNCKVVKEPLGAIQVPEPTLSFELKPSANSAFVRVKPGSNRGQSVRGFVPYKRCKVE >PAN22240 pep chromosome:PHallii_v3.1:4:278893:282034:1 gene:PAHAL_4G005200 transcript:PAN22240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVQVEVKERDGLDSSGLPIDKKRLLDAAAKSPLIDDAMQPKEGMDGYPVKVRKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNVIKIPPPRPKRKPLHPYPRKCADSSTMANPAMGQPKLAPISSSSGSDQENGSPVSVLSAMPSDAFGSSVSNPSTGCTSPASSDDGNNVPALVNEENLLTQQIEDDQSGQEIKLDNSDGDLSEEDSSSGVQETSLKLFGKTVFIPDPKKVCSSDGGRRDGEKRSESSKQEEVSQASSIGGVAAYPAHNGWLLPYHSFQFHMGESGDARISPLHVWWPYYGFPVGHPRGFGMGLHTEGTCESDTGKSPSVESSSDCMSDVQTTAPTNCKVVKEPLGAIQVPEPTLSFELKPSANSAFVRVKPGSNRGQSVRGFVPYKRCKVE >PAN22235 pep chromosome:PHallii_v3.1:4:278893:282034:1 gene:PAHAL_4G005200 transcript:PAN22235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGARYKVVREPGASNVIKIPPPRPKRKPLHPYPRKCADSSTMANPAMGQPKLAPISSSSGSDQENGSPVSVLSAMPSDAFGSSVSNPSTGCTSPASSDDGNNVPALVNEENLLTQQIEDDQSGQEIKLDNSDGDLSEEDSSSGVQETSLKLFGKTVFIPDPKKVCSSDGGRRDGEKRSESSKQEEVSQASSIGGVAAYPAHNGWLLPYHSFQFHMGESGDARISPLHVWWPYYGFPVGHPRGFGMGLHTEGTCESDTGKSPSVESSSDCMSDVQTTAPTNCKVVKEPLGAIQVPEPTLSFELKPSANSAFVRVKPGSNRGQSVRGFVPYKRCKVE >PAN25725 pep chromosome:PHallii_v3.1:4:50335921:50341389:-1 gene:PAHAL_4G321600 transcript:PAN25725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVGGRRRRPAVEERYTRPQGLYPHPDIDLRKLRRLIIEAKLAPCHPGADDPRADLDECPICFLFYPSLNRSKCCAKGICTECFLQMKSPTSCRPTQCPYCKTLNYAVEYRGVKTKEEKGIEQLEEQRVIEAQIRMRQQELQEDAERMKNKQTAASADAVPTAQVECCVTDGTMTPIASSAQGNDNGALLSQVQHSELILRNSEAFKQMRGNNFDMDLEEVMLMEAIWLSIQDQEALGNPGCAGATPPSIPSRPYDGSMTATAEAASSGGFACAVAALAEKQHMHGESSSTPTCPTTRFDILSRSDRSSTEDLSIVGSSSSGSRVEEPSSSRTHQTIEGTEYSNDRWSEIAEAGTSHAGSDVTVEAVAANSGASVGSIIAPGSVPDSFEEQMMLAMALSLVDARARANSLGLAWR >PAN25818 pep chromosome:PHallii_v3.1:4:50641354:50643931:-1 gene:PAHAL_4G327800 transcript:PAN25818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMGGGTTGLLVGAALAVVLVPWLWAALVHLVWRPRAVARAFARQGVRGPPYRFLAGNNAEVKAMRAAAGGETLDRGSHDVVPRVLPHYRAWASRYGRVFLSWAGPTPTLCVGSYDMARRVLSDKAGLYVKPDPGPTILALLGVGLVFAEGEDWARHRRVVHPAFAMDKLKMMTGAMAACAGEVIRAWEARARAAPGVEVTVEVGQQFTELTADVISHTAFGSSYRRGKEVFQAQRELQHIALAAIGSVRVPGMEYAPTKANVRRWQLERTVRDTLMAIIHERLDAAREARGYGTDLLGLMLEANASGGKRVMSMDEIIDECKTFFFAGHDTTAHLLTWAMFLLGTHPEWQQRLREEVLRECGGAGTPLHGDALNKLKLVTMVLYETLRLYGAVSMIGRVATADADLCGVKVPRGTVLAIPIAMLHREEEVWGADAGGFNPLRFRDGVGRAAALPGALLSFSSGPRSCIGQDFAMLEAKATLALVLRRFAFGVAPEYMHAPTDFLTLQPLQGLPVVLKLLDP >PAN24865 pep chromosome:PHallii_v3.1:4:45514374:45516247:-1 gene:PAHAL_4G257700 transcript:PAN24865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHGALLLLLMALAVTMAPPSAAFLVQPQPNLLTYHNGAVLSGDIPVSILWYGRFTPAQKAVVSDFLLSLSAPPRGAPSSSPSPSVAQWWSSINRLYLSKAAAVSKNGANGAGARNARVVLAGQVSDEACSLGKNLKMSQLPALAAAARPAKAGGIALVLTAQDVAVEGFCASRCGHHGSYGGGAGSSSRAAYAWVGNPAAQCPGQCAWPFHQPAYGPQAPPLVPPSGDAGMDGAVISVASMVAGAVTNPFGDGFYQGDRAAPLEAATACAGVYASGAYPGYAGRLLVDAATGASYNAHGARGRKYLLPALFDPDTSACSTLV >PAN25805 pep chromosome:PHallii_v3.1:4:50590612:50593190:-1 gene:PAHAL_4G326900 transcript:PAN25805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAAEFLSWSDLPSELLGLVLERLPSLADRVRLRAVCQPWRSNARLQSPSPSLPWLSLLDGTFMSIPDGKIIRMAVPDNAHCYGSIDNWLFLMQIDGGCSLMNPFSKATLDLPKLATVWCRDWLNSDNRFTTLFYKLVVPSPLDSPESLVAVLIVDDANCSTVCICQPPVATDLSRGRGMQLSWSLFDVAFFNGKLYGIAFGKLVTFEIGYDLGSKPKISAAECIINYRDDLWDLPQSLSIEKSYMSREYLVECCGRLLKVTRFIQNDHPGRTRFLLEHDRTIAFNVFEADLSTNPGQWRRVNDLGSQALFVGRHCSKSFPPGEYNGIQEDCIYFMCDYIWPDYAVDPLRDSGVYNLRNGMITPLLSQTTTVPQHHGGQWRPTWFFPADPADSI >PVH47614 pep chromosome:PHallii_v3.1:4:7315228:7323968:-1 gene:PAHAL_4G101900 transcript:PVH47614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDERHSMAEEGRAAAVGTNEAGLPAPLRTEKKAGAAPPPAPLPPRPTEKAAQAEKGVNAPREPCRTARAQENMEFGAGIAAFGASMMVAWYFLSPDGRGSHNLRYIIPMLLSFACFTSGLCLMLLSMNILELPESVVADVQDMASKCLSWLCSILPVVTLLSPLVLSGYKIYRYVGLTLLVIVTAPIALLRWYIGRKAEGGGIQAALSEHREQLEDAFKFISAISNSASAGLVALVVNYNVTGGSGCNKGAILAVIFFMFTTAVWGLLSMEIRAKVLEIKSTRLQGFIIQALWLAIIFMLLSLACAVFTEVFAIVEFWIFAAFTPWVFASAIYLFLENCIHQSVPRDKTANVSLELEVQLNLKAERGIKVTMWSFMAIIGIFGGFLHGHDKIESLKACIVLFTSAFMSGFALTLVTIRPNLTSTSLAAATKALDWTAVATFVAAIFAIIVAMILEVL >PAN23564 pep chromosome:PHallii_v3.1:4:7315195:7319957:-1 gene:PAHAL_4G101900 transcript:PAN23564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGAGIAAFGASMMVAWYFLSPDGRGSHNLRYIIPMLLSFACFTSGLCLMLLSMNILELPESVVADVQDMASKCLSWLCSILPVVTLLSPLVLSGYKIYRYVGLTLLVIVTAPIALLRWYIGRKAEGGGIQAALSEHREQLEDAFKFISAISNSASAGLVALVVNYNVTGGSGCNKGAILAVIFFMFTTAVWGLLSMEIRAKVLEIKSTRLQGFIIQALWLAIIFMLLSLACAVFTEVFAIVEFWIFAAFTPWVFASAIYLFLENCIHQSVPRDKTANVSLELEVQLNLKAERGIKVTMWSFMAIIGIFGGFLHGHDKIESLKACIVLFTSAFMSGFALTLVTIRPNLTSTSLAAATKALDWTAVATFVAAIFAIIVAMILEVL >PVH47615 pep chromosome:PHallii_v3.1:4:7315228:7324706:-1 gene:PAHAL_4G101900 transcript:PVH47615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDERHSMAEEGRAAAVGTNEAVTRHWAGLPAPLRTEKKAGAAPPPAPLPPRPTEKAAQAEKGVNAPREPCRTARAQENMEFGAGIAAFGASMMVAWYFLSPDGRGSHNLRYIIPMLLSFACFTSGLCLMLLSMNILELPESVVADVQDMASKCLSWLCSILPVVTLLSPLVLSGYKIYRYVGLTLLVIVTAPIALLRWYIGRKAEGGGIQAALSEHREQLEDAFKFISAISNSASAGLVALVVNYNVTGGSGCNKGAILAVIFFMFTTAVWGLLSMEIRAKVLEIKSTRLQGFIIQALWLAIIFMLLSLACAVFTEVFAIVEFWIFAAFTPWVFASAIYLFLENCIHQSVPRDKTANVSLELEVQLNLKAERGIKVTMWSFMAIIGIFGGFLHGHDKIESLKACIVLFTSAFMSGFALTLVTIRPNLTSTSLAAATKALDWTAVATFVAAIFAIIVAMILEVL >PAN23565 pep chromosome:PHallii_v3.1:4:7315196:7324719:-1 gene:PAHAL_4G101900 transcript:PAN23565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDERHSMAEEGRAAAVGTNEAGLPAPLRTEKKAGAAPPPAPLPPRPTEKAAQAEKGVNAPREPCRTARAQENMEFGAGIAAFGASMMVAWYFLSPDGRGSHNLRYIIPMLLSFACFTSGLCLMLLSMNILELPESVVADVQDMASKCLSWLCSILPVVTLLSPLVLSGYKIYRYVGLTLLVIVTAPIALLRWYIGRKAEGGGIQAALSEHREQLEDAFKFISAISNSASAGLVALVVNYNVTGGSGCNKGAILAVIFFMFTTAVWGLLSMEIRAKVLEIKSTRLQGFIIQALWLAIIFMLLSLACAVFTEVFAIVEFWIFAAFTPWVFASAIYLFLENCIHQSVPRDKTANVSLELEVQLNLKAERGIKVTMWSFMAIIGIFGGFLHGHDKIESLKACIVLFTSAFMSGFALTLVTIRPNLTSTSLAAATKALDWTAVATFVAAIFAIIVAMILEVL >PAN25340 pep chromosome:PHallii_v3.1:4:48374182:48375369:-1 gene:PAHAL_4G294100 transcript:PAN25340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSGGGSLPEDAPGADLYAVLGLSRECTDAELRGAYRRLAMIWHPDRCSASGSSARVEEAKERFQEIQGAYSVLSDSNKRFLYDVGVYNSEDDEADLSGMGDFLGEMADMMSQATPTETFEELQQLFVDMFQDDLDPGLFGGLPPSRRGHSQSPPSTSSPPPLRPPGRSNARAPPARNGGVDRRGGSPAKRPRPGRGGLEPDLGLSGFCFMVSLSQSTLTVLPTACNSNTMGIEQFLNL >PAN25341 pep chromosome:PHallii_v3.1:4:48372869:48375688:-1 gene:PAHAL_4G294100 transcript:PAN25341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSGGGSLPEDAPGADLYAVLGLSRECTDAELRGAYRRLAMIWHPDRCSASGSSARVEEAKERFQEIQGAYSVLSDSNKRFLYDVGVYNSEDDEADLSGMGDFLGEMADMMSQATPTETFEELQQLFVDMFQDDLDPGLFGGLPPSRRGHSQSPPSTSSPPPLRPPGRSNARAPPARNGGVDRRGGSPAKRPRPGRGGLEPDLGLSGFCFMVSETRPMQAPWTTCEVGGGGGDRRSGRKQRLSTSRDVAGDGMPRSFRQSQGGGTALWQ >PAN23570 pep chromosome:PHallii_v3.1:4:7338081:7344870:-1 gene:PAHAL_4G102200 transcript:PAN23570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVKIQIKEEHPVSVVETTVPTDKDPRQASSSSSVEPERAAEETERPASGAPATQDQQDETSSAGTGDEQRGTAKGERDIQLGAVVAVLSFAVMLPGLSFSSAVAKGADNWRLDILMFFAFASCVCGLSFMLLSVQLLGAASEFRVSYHRAISRCLFYACIALPAVTLLSLLLVMPFKLYLYVGLAVPPLVAATVAAVHWYVNSGRSEGAAQPDDATESTEQEKELEANSKITGALMASSFGGLVGTLGALDKQSGDTAGDTLRGTHVAVMFMFSTAVTSVLLMVLSMVALKIESRLRRRSVVGAIRHANVILLCLLAVAAFSAAFVVLRFYMLSAFTSLALAAVVHFIQHCAPANGADDGDEPERGQKKGRQDVQPADLDPIREKQLGWMADIGKQVTAWSLGGVMAIFGRFIGDSDRNHAKTAANKTCMFLLTSAFASGLGLMFLMSFRSGGPARGGFSAATNILACAALGMIAAAALAIYGVVVMKS >PAN23546 pep chromosome:PHallii_v3.1:4:7338364:7339557:-1 gene:PAHAL_4G102200 transcript:PAN23546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGLSFSSAVAKGADNWRLDILMFFAFASCVCGLSFMLLSVQLLGAASEFRVSYHRAISRCLFYACIALPAVTLLSLLLVMPFKLYLYVGLAVPPLVAATVAAVHWYVNSGRSEGAAQPDDATESTEQEKELEANSKITGALMASSFGGLVGTLGALDKQSGDTAGDTLRGTHVAVMFMFSTAVTSVLLMVLSMVALKIESRLRRRSVVGAIRHANVILLCLLAVAAFSAAFVVLRFYMLSAFTSLALAAVVHFIQHCAPANGADDGDEPERGQKKGRQDVQPADLDPIREKQLGWMADIGKQVTAWSLGGVMAIFGRFIGDSDRNHAKTAANKTCMFLLTSAFASGLGLMFLMSFRSGGPARGGFSAATNILACAALGMIAAAALAIYGVVVMKS >PAN23571 pep chromosome:PHallii_v3.1:4:7338082:7347102:-1 gene:PAHAL_4G102200 transcript:PAN23571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSPKKTPRRSAPAMLSQGELQAPTTTTMADEVKIQIKEEHPVSVVETTVPTDKDPRQASSSSSVEPERAAEETERPASGAPATQDQQDETSSAGTGDEQRGTAKGERDIQLGAVVAVLSFAVMLPGLSFSSAVAKGADNWRLDILMFFAFASCVCGLSFMLLSVQLLGAASEFRVSYHRAISRCLFYACIALPAVTLLSLLLVMPFKLYLYVGLAVPPLVAATVAAVHWYVNSGRSEGAAQPDDATESTEQEKELEANSKITGALMASSFGGLVGTLGALDKQSGDTAGDTLRGTHVAVMFMFSTAVTSVLLMVLSMVALKIESRLRRRSVVGAIRHANVILLCLLAVAAFSAAFVVLRFYMLSAFTSLALAAVVHFIQHCAPANGADDGDEPERGQKKGRQDVQPADLDPIREKQLGWMADIGKQVTAWSLGGVMAIFGRFIGDSDRNHAKTAANKTCMFLLTSAFASGLGLMFLMSFRSGGPARGGFSAATNILACAALGMIAAAALAIYGVVVMKS >PVH47391 pep chromosome:PHallii_v3.1:4:3261885:3265675:-1 gene:PAHAL_4G050000 transcript:PVH47391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEQDHVSKSSSSSISTSTQESEEEVSVTIGSLLAQAKSSSGHSLGKRLSHLGSIPYTPRVNGKIPNFDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKQYESYVPMEYKVYLKRMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIAPRDLTPTKVLWLSFWCEVHYNSLYGIDDLLTRKTKKKHWLF >PVH47389 pep chromosome:PHallii_v3.1:4:3261885:3265838:-1 gene:PAHAL_4G050000 transcript:PVH47389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEQDHVSKSSSSSISTSTQESEEEVSVTIGSLLAQAKSSSGHSLGKRLSHLGSIPYTPRVNGKIPNFDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKQYESYVPMEYKVYLKRMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIAPRDLTPTKVLWLSFWCEVHYNSLYGIDDLLTRKTKKKHWLF >PAN22870 pep chromosome:PHallii_v3.1:4:3262195:3264673:-1 gene:PAHAL_4G050000 transcript:PAN22870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEQDHVSKSSSSSISTSTQESEEEVSVTIGSLLAQAKSSSGHSLGKRLSHLGSIPYTPRVNGKIPNFDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKQYESYVPMEYKVYLKRMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIAPRDLTPTKVLWLSFWCEVHYNSLYGIDDLLTRKTKKKHWLF >PVH47392 pep chromosome:PHallii_v3.1:4:3261885:3265839:-1 gene:PAHAL_4G050000 transcript:PVH47392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEQDHVSKSSSSSISTSTQESEEEVSVTIGSLLAQAKSSSGHSLGKRLSHLGSIPYTPRVNGKIPNFDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKQYESYVPMEYKVYLKRMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIAPRDLTPTKDLLTRKTKKKHWLF >PVH47390 pep chromosome:PHallii_v3.1:4:3261885:3265838:-1 gene:PAHAL_4G050000 transcript:PVH47390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEQDHVSKSSSSSISTSTQESEEEVSVTIGSLLAQAKSSSGHSLGKRLSHLGSIPYTPRVNGKIPNFDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKQYESYVPMEYKVYLKRMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIAPRDLTPTKDLLTRKTKKKHWLF >PVH48198 pep chromosome:PHallii_v3.1:4:47033491:47034159:-1 gene:PAHAL_4G274900 transcript:PVH48198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRSKFVVAVVLTATIMAFLVTSSSARPLGGDGFAGGAGDAVVSGEHILQLLRRLYLQQLGAAPSCQTNSSNGGCPPPSSG >PAN25855 pep chromosome:PHallii_v3.1:4:50802052:50811069:-1 gene:PAHAL_4G329800 transcript:PAN25855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQAQAMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFNKSFQEKKSIRPTFSAERIFGGVLLAMCSSDFICFYDWVDCRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLDVAKAIAIEAQRESKWKQLGELAMSTGKLEMAEECLHQAKDLSGLLLLYSSLGDAEGIEKLASLSKGHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFDDWQVALTVEKSVASQRGHYPPADEYLNHAEKSDSTLVEAFKRMQVIEDEEPLDPAEENGEPDQEALEENEMENMDEAVPVGADEHEEEEAVLENGNEGEEQLSTNNGGVASA >PAN25854 pep chromosome:PHallii_v3.1:4:50800924:50811249:-1 gene:PAHAL_4G329800 transcript:PAN25854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQAQAMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFNKSFQEKKSIRPTFSAERIFGGVLLAMCSSDFICFYDWVDCRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLDVAKAIAIEAQRESKWKQLGELAMSTGKLEMAEECLHQAKDLSGLLLLYSSLGDAEGIEKLASLSKGHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFDDWQVALTVEKSVASQRGHYPPADEYLNHAEKSDSTLVEAFKRMQVIEDEEPLDPAEENGEPDQEDEEPLDPAEENGEPDQEALEENEMENMDEAVPVGADEHEEEEAVLENGNEVLAQHE >PAN25853 pep chromosome:PHallii_v3.1:4:50800924:50811249:-1 gene:PAHAL_4G329800 transcript:PAN25853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQAQAMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFNKSFQEKKSIRPTFSAERIFGGVLLAMCSSDFICFYDWVDCRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLDVAKAIAIEAQRESKWKQLGELAMSTGKLEMAEECLHQAKDLSGLLLLYSSLGDAEGIEKLASLSKGHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFDDWQVALTVEKSVASQRGHYPPADEYLNHAEKSDSTLVEAFKRMQVIEDEEPLDPAEENGEPDQEALEENEMENMDEAVPVGADEHEEEEAVLENGNEVLAQHE >PAN25856 pep chromosome:PHallii_v3.1:4:50802052:50811069:-1 gene:PAHAL_4G329800 transcript:PAN25856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQAQAMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFNKSFQEKKSIRPTFSAERIFGGVLLAMCSSDFICFYDWVDCRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLDVAKAIAIEAQRESKWKQLGELAMSTGKLEMAEECLHQAKDLSGLLLLYSSLGDAEGIEKLASLSKGHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFDDWQVALTVEKSVASQRGHYPPADEYLNHAEKSDSTLVEAFKRMQVIEDEEPLDPAEENGEPDQEDEEPLDPAEENGEPDQEALEENEMENMDEAVPVGADEHEEEEAVLENGNEGEEQLSTNNGGVASA >PVH48516 pep chromosome:PHallii_v3.1:4:52004989:52013227:-1 gene:PAHAL_4G347600 transcript:PVH48516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSASRRDAARNVELPRPPAAAGMSRSGELPKGASAGPGPAPAAVRHEGWLVRHGRRKIGRSFFHMRYFVLDNKLLAYYKKKPRDSMIPLKSILIDGNCRVEDRGLKTHHGQMIYFLCIYNKKQKENQITMGAHDIEDALAWKRKLELLIDQDSMTAKNRRAFASLDFDIDLGGPLSFSDHDSGPEDEEEPRPTLLRRTTIGNGPPDSVLDWTKEPDIGLLNQNDTNQAYSRKNWRLLRCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEASCEAIFGLVMGMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWCSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTENQNCGPQPGYVRAFIESGGFKISPLKSLNGRPRTQVQHLMQIDLRGWGVSYFPSFQYHSLLQMLNCVAGLREYFSQTDDVHTVPRIPVMHAMVNSVSMKDQKLQESDTKTKQTDNKILDMVDEESEDDEDYQVPLSDLEEEPTKSDSDAKSSDPIDLSWFSGIIRQDANEKSRNCWTVPDSKIFKVRSKNFPHDKSKVPAGKYLMELVAIDWFKDTKRMDHVARRKGCAAQVAAEKGMFTFLVNIQIPGPSHYSLVLYFVSSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYLRGPEYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSNIEPSAAVNPELDSIS >PAN26101 pep chromosome:PHallii_v3.1:4:52005359:52013102:-1 gene:PAHAL_4G347600 transcript:PAN26101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSASRRDAARNVELPRPPAAAGMSRSGELPKGASAGPGPAPAAVRHEGWLVRHGRRKIGRSFFHMRYFVLDNKLLAYYKKKPRDSMIPLKSILIDGNCRVEDRGLKTHHGQMIYFLCIYNKKQKENQITMGAHDIEDALAWKRKLELLIDQQQDSMTAKNRRAFASLDFDIDLGGPLSFSDHDSGPEDEEEPRPTLLRRTTIGNGPPDSVLDWTKEPDIGLLNQNDTNQAYSRKNWRLLRCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEASCEAIFGLVMGMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWCSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTENQNCGPQPGYVRAFIESGGFKISPLKSLNGRPRTQVQHLMQIDLRGWGVSYFPSFQYHSLLQMLNCVAGLREYFSQTDDVHTVPRIPVMHAMVNSVSMKDQKLQESDTKTKQTDNKILDMVDEESEDDEDYQVPLSDLEEEPTKSDSDAKSSDPIDLSWFSGIIRQDANEKSRNCWTVPDSKIFKVRSKNFPHDKSKVPAGKYLMELVAIDWFKDTKRMDHVARRKGCAAQVAAEKGMFTFLVNIQIPGPSHYSLVLYFVSSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYLRGPEYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSNIEPSAAVNPELDSIS >PVH48515 pep chromosome:PHallii_v3.1:4:52004989:52012410:-1 gene:PAHAL_4G347600 transcript:PVH48515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYFLCIYNKKQKENQITMGAHDIEDALAWKRKLELLIDQDSMTAKNRRAFASLDFDIDLGGPLSFSDHDSGPEDEEEPRPTLLRRTTIGNGPPDSVLDWTKEPDIGLLNQNDTNQAYSRKNWRLLRCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEASCEAIFGLVMGMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWCSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTENQNCGPQPGYVRAFIESGGFKISPLKSLNGRPRTQVQHLMQIDLRGWGVSYFPSFQYHSLLQMLNCVAGLREYFSQTDDVHTVPRIPVMHAMVNSVSMKDQKLQESDTKTKQTDNKILDMVDEESEDDEDYQVPLSDLEEEPTKSDSDAKSSDPIDLSWFSGIIRQDANEKSRNCWTVPDSKIFKVRSKNFPHDKSKVPAGKYLMELVAIDWFKDTKRMDHVARRKGCAAQVAAEKGMFTFLVNIQIPGPSHYSLVLYFVSSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYLRGPEYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSNIEPSAAVNPELDSIS >PAN26100 pep chromosome:PHallii_v3.1:4:52005359:52011722:-1 gene:PAHAL_4G347600 transcript:PAN26100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYFLCIYNKKQKENQITMGAHDIEDALAWKRKLELLIDQQQDSMTAKNRRAFASLDFDIDLGGPLSFSDHDSGPEDEEEPRPTLLRRTTIGNGPPDSVLDWTKEPDIGLLNQNDTNQAYSRKNWRLLRCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEASCEAIFGLVMGMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWCSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTENQNCGPQPGYVRAFIESGGFKISPLKSLNGRPRTQVQHLMQIDLRGWGVSYFPSFQYHSLLQMLNCVAGLREYFSQTDDVHTVPRIPVMHAMVNSVSMKDQKLQESDTKTKQTDNKILDMVDEESEDDEDYQVPLSDLEEEPTKSDSDAKSSDPIDLSWFSGIIRQDANEKSRNCWTVPDSKIFKVRSKNFPHDKSKVPAGKYLMELVAIDWFKDTKRMDHVARRKGCAAQVAAEKGMFTFLVNIQIPGPSHYSLVLYFVSSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYLRGPEYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSNIEPSAAVNPELDSIS >PAN23928 pep chromosome:PHallii_v3.1:4:11912324:11916310:1 gene:PAHAL_4G134200 transcript:PAN23928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYMYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWRSVRLYLVPSIIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDGPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFELGPWWQRLFNGYSVTTWMVVFNLGSTGLLVSWLMKYSDNIVKNQGFYRGCVGGRSRILVAGA >PAN23929 pep chromosome:PHallii_v3.1:4:11912324:11922482:1 gene:PAHAL_4G134200 transcript:PAN23929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYMYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWRSIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDGPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFELGPWWQRLFNGYSVTTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVTSK >PAN23931 pep chromosome:PHallii_v3.1:4:11912324:11922482:1 gene:PAHAL_4G134200 transcript:PAN23931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYMYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWRSVRLYLVPSIIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDGPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFELGPWWQRLFNGYSVTTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVTSK >PAN23926 pep chromosome:PHallii_v3.1:4:11912324:11916310:1 gene:PAHAL_4G134200 transcript:PAN23926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYMYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWRSIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDGPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFELGPWWQRLFNGYSVTTWMVVFNLGSTGLLVSWLMKYSDNIVKNQGFYRGCVGGRSRILVAGA >PAN23930 pep chromosome:PHallii_v3.1:4:11912324:11922482:1 gene:PAHAL_4G134200 transcript:PAN23930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYMYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWRSIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDGPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFELGPWWQRLFNGYSVTTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVTSK >PAN23925 pep chromosome:PHallii_v3.1:4:11912324:11922482:1 gene:PAHAL_4G134200 transcript:PAN23925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYMYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWRSVRLYLVPSIIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDGPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFELGPWWQRLFNGYSVTTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVTSK >PAN23927 pep chromosome:PHallii_v3.1:4:11912464:11915057:1 gene:PAHAL_4G134200 transcript:PAN23927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYMYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWRSVRLYLVPSIIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDGPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYT >PVH47734 pep chromosome:PHallii_v3.1:4:11912324:11922482:1 gene:PAHAL_4G134200 transcript:PVH47734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYMYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWRSIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDGPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFELGPWWQRLFNGYSVTTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVTSK >PVH47256 pep chromosome:PHallii_v3.1:4:1172399:1174209:-1 gene:PAHAL_4G018200 transcript:PVH47256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFMFFLFEPERLITDGLCNIPSHGSLLSHSVTGVSHGHYGMASPVPVKIPSGEKGSVCGS >PVH47880 pep chromosome:PHallii_v3.1:4:25144524:25154560:1 gene:PAHAL_4G175600 transcript:PVH47880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDTKLILDTLNKHFAEQEAKWDRWFTAQDAKWDQRLKSRSGTLAAQVISELPPLAALAPTASPTTTTAAPTISSAAATTMTFSDTTTNKPTRRSTDCPGHDAGVLMSMPTTSPAAIVATTQHISAEGDTTADVTKHTAPTTTLSASLAPTAPTAASTTRPVIDVLKPSSAAPTSTLAPVAPSVEDEAANNSLTRCSTQVRNRDTMLTTSPISADSSLDSIKGSSFECSQVRYITNSAPSYLFGVVLLCMETKVRDRQNVFERLLVQSPVLLEPLPGTMVDKGLWPPPKQHCNNFPPETIQLYFSLWPPFSRDWATVQQSPPWPPPRQLDMQCAGVHLRPTPWPSFGCHTVGQLEKALSHIWHIVQFAKLHRDDRRLLQFGPESFPIPVDIAITTFSTAKTVAARQQRGHQEHNFGSRGLDWRNPAAARRWPSRARNHQLPASKASTSPVMSDSRKVCRRNGQTKIQSRNNQLAAKGILTIGSNQRKRTLLNSLNSEEERTISTMSRTRSPSATRRSRT >PVH47879 pep chromosome:PHallii_v3.1:4:25144524:25147378:1 gene:PAHAL_4G175600 transcript:PVH47879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDTKLILDTLNKHFAEQEAKWDRWFTAQDAKWDQRLKSRSGTLAAQVISELPPLAALAPTASPTTTTAAPTISSAAATTMTFSDTTTNKPTRRSTDCPGHDAGVLMSMPTTSPAAIVATTQHISAEGDTTADVTKHTAPTTTLSASLAPTAPTAASTTRPVIDVLKPSSAAPTSTLAPVAPSVEDEAANNSLTRCSTQVRNRDTMLTTSPISADSSLDSIKGSSFECSQVRYITNSAPSYLFGVVLLCMETKVRDRQNVFERLLVQSPVLLEPLPGTMVDKGLWPPPKQHCNNFPPETIQLYFSLWPPFSRDWATVQQSPPWPPPRQLDMQCAGVHLRPTPWPSFGCHTVGQLEKALSHIWHIVQFAKLHRDDRRLLQFGPESFPIPVDIAITTFSTAKTVAARQQRGHQEHNFGSRGLDWRNPAAARRWPSRARNHQLPASKASTSPVMSDSRKVCRRNGQTKIQSRNNQLAAKGILTIGSNQRKRTLLNSLNSEEERTISTMSRTRSPSATRRSVSTTSASWPATRGHAEAPPRRSCSSLRARRCGNGR >PAN24165 pep chromosome:PHallii_v3.1:4:25145060:25146792:1 gene:PAHAL_4G175600 transcript:PAN24165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDTKLILDTLNKHFAEQEAKWDRWFTAQDAKWDQRLKSRSGTLAAQVISELPPLAALAPTASPTTTTAAPTISSAAATTMTFSDTTTNKPTRRSTDCPGHDAGVLMSMPTTSPAAIVATTQHISAEGDTTADVTKHTAPTTTLSASLAPTAPTAASTTRPVIDVLKPSSAAPTSTLAPVAPSVEDEAANNSLTRCSTQVRNRDTMLTTSPISADSSLDSIKGSSFECSQVRYITNSAPSYLFGVVLLCMETKVRDRQNVFERLLVQSPVLLEPLPGTMVDKGLWPPPKQHCNNFPPETIQLYFSLWPPFSRDWATVQQSPPWPPPRQLDMQCAGVHLRPTPWPSFGCHTVGQLEKALSHIWHIVQFAKLHRDDRRLLQFGPESFPIPVDIAITTFSTAKTVAARQQRGHQEHNFGSRGLDWRNPAAARRWPSRARNHQLPASKASTSPVMSDSRKVCRRNGQTKIQSRNNQLAAKGILTIGSNQRKRTLLNSLNSVKEFFAPKS >PVH48282 pep chromosome:PHallii_v3.1:4:48704144:48705404:1 gene:PAHAL_4G298600 transcript:PVH48282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFFGRPSAPRLGAGRCLGGRNRGQAGAAPGHRSWGRTAARNGRGLGGREPAAAMDVWGWAGAPPDRDGRQGENCRPLDGRRGRRWWGAGGQRRRGSRRAQLVGSRRAGTRGEQASAAGAEWPGTPGEPRAPTRRSTAGAGTAARAGRSGPRLGWPALGRPRAPPWPRVGRSSGAEPPRAGTGGGPRLAVA >PVH48557 pep chromosome:PHallii_v3.1:4:52598320:52612507:-1 gene:PAHAL_4G357000 transcript:PVH48557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASEFRIPYQQVSSSQPVENANQFKTCRCGEGDTSETGDSPPTSCPSCQILKSGHLLLSSKGIGWTSWKKRWFVLTRASLVFFRSDPNVPPPRGAEPIVTLGGIDLNSSGSVVVKEERKLLTVLFPDGRDGRTFTLKAETLEDLNEWRSALENALAQAPSVANTMGQNPIFSTDVAAEPAEAPAEQSEDSSVIGRPAEFALVDTDGSPAFLEKALKFIEDHGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCTALVKAYRTDKTRRLDEINRVIYEVFPEPNRQLLQRILKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDNDFSMGGDGSFQLLQAAAAANHAQAIVIIMMEEFDQIFDDLEEGSCSSDAYTESDDDDVDKEYSTDNDIHDDDGSYDSGEDGIEEDLDDNSEHYSDGSEHDSKINANVKDDKVKNKISEDAVAQAGDTSQMKINSRSQPKQETCGSNESKDHMVKSNSRSSSSKEKSMEKSSSSGHKGKRTLWGRTSARKDLSTEEIEYCGDDGTLIDKLENTKTDLQSKIAKEAKENAILQASLERRKEELRERRLALEKEVENLRDLLQKERNLRTSLESGVMNVRRGQVSLPSTIDSKQKVSDLRGQASGQQLCCESCNKRLLSTDRIGGTESSSVEASSIVGNDYASDMGDVEQSRKQTAQHSPSSTDKPGQEVVGGISQRAPQRMHSIAREGQDGSSSASKWTFAQKQYSNSPLIWGLHGSSNAHSSGRLEESGGSAAPATSFALAKLTNRLNFLKERRALLASEMQNLDLGRPPAAAAAPSAKSPSPKGPEKRKT >PVH48556 pep chromosome:PHallii_v3.1:4:52598059:52613323:-1 gene:PAHAL_4G357000 transcript:PVH48556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASEFRIPYQQVSSSQPVENANQFKTCRCGEGDTSETGDSPPTSCPSCQILKSGHLLLSSKGIGWTSWKKRWFVLTRASLVFFRSDPNVPPPRGAEPIVTLGGIDLNSSGSVVVKEERKLLTVLFPDGRDGRTFTLKAETLEDLNEWRSALENALAQAPSVANTMGQNPIFSTDVAAEPAEAPAEQSEDSSVIGRPAEFALVDTDGSPAFLEKALKFIEDHGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCTALVKAYRTDKTRRLDEINRVIYEVFPEPNRQLLQRILKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDNDFSMGGDGSFQLLQAAAAANHAQAIVIIMMEEFDQIFDDLEEGSCSSDAYTESDDDDVDKEYSTDNDIHDDDGSYDSGEDGIEEDLDDNSEHYSDGSEHDSKINANVKDDKVKNKISEDAVAQAGDTSQMKINSRSQPKQETCGSNESKDHMVKSNSRSSSSKEKSMEKSSSSGHKGKRTLWGRTSARKDLSTEEIEYCGDDGTLIDKLENTKTDLQSKIAKEAKENAILQASLERRKEELRERRLALEKEVENLRDLLQKERNLRTSLESGVMNVRRGQVSLPSTIDSKVSDLRGQASGQQLCCESCNKRLLSTDRIGGTESSSVEASSIVGNDYASDMGDVEQSRKQTAQHSPSSTDKPGQEVVGGISQRAPQRMHSIAREGQDGSSSASKWTFAQKQYSNSPLIWGLHGSSNAHSSGRLEESGGSAAPATSFALAKLTNRLNFLKERRALLASEMQNLDLGRPPAAAAAPSAKSPSPKGPEKRKT >PAN25728 pep chromosome:PHallii_v3.1:4:50342680:50358140:1 gene:PAHAL_4G321700 transcript:PAN25728 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNIFKIGELQTVSWFQFLPIEPDASTTKERSSKAEQKDALNNIVLSAYLHLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLPGRHSSVSEMAQTAVNKLRVASNGLWVAPGNSEEVAAALSQALRNSLERSLRGLSYARFGDVFTKYNPPTRNQNSFRRAQPTVEFVFAATEEAIFVHVVISARYMRNLCSDDIEKVLTHSPRSVGEGLPVIVAPSGMLGRLVGCCPSDLVRQVYPSKSSAASLPGFTQPTVCQLRGQSYYVEVALGFPAASGDKVSESEHIQIKKEMDSAKDSQLGADGQRKVESPDSLPVLERTFIYPPEAVLVPMVHQAFVRFSSKRMCLQGLLGGSLWEAWPFWNFSPSSYFQNSSFLGSSRGLGVNSNFLRLRRKKNKCNGTASSISSVSSTSDGSERAVATEGDLLADADSMACRQSDMPSNNDNAGSKMVSKRPRSEITEVSSHAGKDVSENVRGANGQVGRPWGWDDEGVVMDINILLSEFGDFSDFFQEEELDFGEPPGTAESHALVIPASDFGDVTFTDSPSTAMDIPEQRLSPVGFTSMEAFDHQIMAPAQDAVSKVQEPQKDIATPAQSQSLVLSSGRFDYLTKAEAMLTFAPEYAAVEVSVAEMPTSLFTNPYLPRSKKPGSSSFSSRVYSYDVTQSSQIEPAGDKPEKPSKLTSGNPLRDVDSSNLYTLVQGRKKESEKSLNSTDMQPSKGEKSPPISGVTSFSSSLVSQKKSDSMFNAGYLLLSMKTALATEIECITFQAALCRIRHTLLSLRSKASAEFNSTMSSFMQTDVSNKSDLTPKYDIRKKEMMPIRLSSDVEHETHDRSLMESVGIWRPIVTPKGSNSLEPLSAKTLTGASPSLSMQRQPVVDLLFAMALLVQQSTSFVDISLDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLCAEVSESSMFSLLQSDIRTALKAAFVNMDGPLSVTDWCRGRSNPAESASSGDAYSFQYSTGDIREPSSTMSIGGDSMSPPQPTSSNRGTSELEHQKGYHRVRPTIAVLPSPSVLVGYQDDWLKASVNGLKTWEKAPFEPYASPKPVTYYALCPDIDMLTSAATDFFLQLGTVYEVCKLGTHSPQNSGGQMELSPGKYLPSGLVLVECPDQLKKVGNNYLSPISSISDYLQAFSKHWSVKSFVTSVSRILRDIKLTSSISTNQKESSSGPCTVIYVVCPFPDPCAILQTLVESSVALGYVILSPERERKSLYFQVAKAQNSSASADEASASNVVMLSGFSIPKLVLQIVTIETVLRIDKPSNELAVLKDIAFTVYNKARRIPRAVSTNDMFQSPTYLGRSQSTMMHVTSPAPTLWKECLVPRMSGPTLSRETDYDAPMRSATWDNSWQPARAGGLLDPSKIPDLFAQDDRKYAFEPLFILADPGSVDPNASMESSKSGSDAGGSGVYGSISGSTSDSSVSPLLDGSESDRAASLHCCYGWTEDWRWLVCIWTDSRGELLDSLIFPFGGISSRQDTKVLQSLFIQILQQGCQIMSSSPEASNMRPRDVIITRTGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPTLQQQDMALIQDRNMPSSPNPLYSPHSKASYMKGGLGQSGNKKQILVEQTGMDSSRGSLHLVRSISLVAVSQDHSLHLTCQADLLTRPASGEGNQGGSGPSSYLEGFTPVKSIGSMPASHSYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVISKAVPPIRRDSAQVTREDRTSVLSVSIIDHYGGSIGTVQEKMSRGPGGSNMSKQARNFTQETSTRDYEMEMHNVLETVAAELHSLSWLTASPVYTERRTALPFHCDMVLRLRRLLHYADRHLTQPAEKGETA >PVH48388 pep chromosome:PHallii_v3.1:4:50342679:50358140:1 gene:PAHAL_4G321700 transcript:PVH48388 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNIFKIGELQTVSWFQFLPIEPDASTTKERSSKAEQKDALNNIVLSAYLHLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLPGRHSSVSEMAQTAVNKLRVASNGLWVAPGNSEEVAAALSQALRNSLERSLRGLSYARFGDVFTKYNPPTRNQNSFRRAQPTVEFVFAATEEAIFVHVVISARYMRNLCSDDIEKVLTHSPRSVGEGLPVIVAPSGMLGRLVGCCPSDLVRQVYPSKSSAASLPGDKVSESEHIQIKKEMDSAKDSQLGADGQRKVESPDSLPVLERTFIYPPEAVLVPMVHQAFVRFSSKRMCLQGLLGGSLWEAWPFWNFSPSSYFQNSSFLGSSRGLGVNSNFLRLRRKKNKCNGTASSISSVSSTSDGSERAVATEGDLLADADSMACRQSDMPSNNDNAGSKMVSKRPRSEITEVSSHAGKDVSENVRGANGQVGRPWGWDDEGVVMDINILLSEFGDFSDFFQEEELDFGEPPGTAESHALVIPASDFGDVTFTDSPSTAMDIPEQRLSPVGFTSMEAFDHQIMAPAQDAVSKVQEPQKDIATPAQSQSLVLSSGRFDYLTKAEAMLTFAPEYAAVEVSVAEMPTSLFTNPYLPRSKKPGSSSFSSRVYSYDVTQSSQIEPAGDKPEKPSKLTSGNPLRDVDSSNLYTLVQGRKKESEKSLNSTDMQPSKGEKSPPISGVTSFSSSLVSQKKSDSMFNAGYLLLSMKTALATEIECITFQAALCRIRHTLLSLRSKASAEFNSTMSSFMQTDVSNKSDLTPKYDIRKKEMMPIRLSSDVEHETHDRSLMESVGIWRPIVTPKGSNSLEPLSAKTLTGASPSLSMQRQPVVDLLFAMALLVQQSTSFVDISLDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLCAEVSESSMFSLLQSDIRTALKAAFVNMDGPLSVTDWCRGRSNPAESASSGDAYSFQYSTGDIREPSSTMSIGGDSMSPPQPTSSNRGTSELEHQKGYHRVRPTIAVLPSPSVLVGYQDDWLKASVNGLKTWEKAPFEPYASPKPVTYYALCPDIDMLTSAATDFFLQLGTVYEVCKLGTHSPQNSGGQMELSPGKYLPSGLVLVECPDQLKKVGNNYLSPISSISDYLQAFSKHWSVKSFVTSVSRILRDIKLTSSISTNQKESSSGPCTVIYVVCPFPDPCAILQTLVESSVALGYVILSPERERKSLYFQVAKAQNSSASADEASASNVVMLSGFSIPKLVLQIVTIETVLRIDKPSNELAVLKDIAFTVYNKARRIPRAVSTNDMFQSPTYLGRSQSTMMHVTSPAPTLWKECLVPRMSGPTLSRETDYDAPMRSATWDNSWQPARAGGLLDPSKIPDLFAQDDRKYAFEPLFILADPGSVDPNASMESSKSGSDAGGSGVYGSISGSTSDSSVSPLLDGSESDRAASLHCCYGWTEDWRWLVCIWTDSRGELLDSLIFPFGGISSRQDTKVLQSLFIQILQQGCQIMSSSPEASNMRPRDVIITRTGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPTLQQQDMALIQDRNMPSSPNPLYSPHSKASYMKGGLGQSGNKKQILVEQTGMDSSRGSLHLVRSISLVAVSQDHSLHLTCQADLLTRPASGEGNQGGSGPSSYLEGFTPVKSIGSMPASHSYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVISKAVPPIRRDSAQVTREDRTSVLSVSIIDHYGGSIGTVQEKMSRGPGGSNMSKQARNFTQETSTRDYEMEMHNVLETVAAELHSLSWLTASPVYTERRTALPFHCDMVLRLRRLLHYADRHLTQPAEKGETA >PAN26188 pep chromosome:PHallii_v3.1:4:52450028:52451383:1 gene:PAHAL_4G354100 transcript:PAN26188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIGRVASLEAVLFDIDGTMAISDPFHHRATSEMLIKVGYNDGVPITHEFGMTHMAGRSNEQIGQFLFPGWDQGRLDAFFAEKEALFARYAGEGLKEIAGLTALCRWASERGLKRAAVTNAPRANAELMISILGLSDFFQLVVTGEDCERSKPFPDPYLRALDLLGASPGHTLVFEDSPIGVQAGVAAGMPVIAIADESREGKLLAVGASLVIRDYRDPKLWAELGKLDTTRPRESC >PVH48036 pep chromosome:PHallii_v3.1:4:40908286:40909020:-1 gene:PAHAL_4G224500 transcript:PVH48036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREHIAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIEKLTQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRYLPIKKRSIKTEEESP >PAN22908 pep chromosome:PHallii_v3.1:4:3843801:3846897:-1 gene:PAHAL_4G058500 transcript:PAN22908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATGRGLFPNKPTLPAGPRKRGPLLPSAPPPPSPSSLPLDSLLLHLTAAPAPAPAPPRRPHPTPTPTHSFLSPAAQALVLAISSHPLPTLQGFLASRRDELLRADIPSLLKALELSGHWEWALALLRWAGAEGAADAAALEMVVRALGREGQHDAVCDLLDEMPLPPGSRLDVRAYTTGVAPTLVTYNVVLDVYGRMGRSWPQIVALLEEMRAAGVEPDGFTASTVIAACCRDGLVDEALAFFEDLKARGHTPCVVTYNALLQVFGKAGNYTEALRVLKEMEQNGCQPDAVTYNELAGTYARAGFYEEAAKCLGTMTGKGLLPNTFTYNTVMTAYGNVGKVDEALALFDRMKKSGYVPNVNTYNLILGMLGKKSRFTVMLEMLGEMSRSGCTPNRITWNTMLAVCGKRGMEDYVTRVLEGMKSCGVELSRDTYNTLIAAYGRCGSRTNAFKMYNEMTSAGFAPCLTTYNALLNVLSRQGDWSTAQSIVSKLRTKGFKPNEQSYSLLLQCYAKGGNIAGIDAIEKEVYGGTVFPSWVILRTLVIANFKCRRLEGIERAFREVKARGYKPDLVIFNSMLSMYAKNVNHGRPRRY >PVH48076 pep chromosome:PHallii_v3.1:4:43073572:43079095:1 gene:PAHAL_4G236900 transcript:PVH48076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLALFGFGSVILRGAACTVNDLLDRDIDKKVERTKSRPLASGALTPAQGFYFLVFQVLLWLGFLLQLNNRSLIMGASWLVLFFSYPLMKRLIHWPQAFLGFTVSCGVFLGSAAIKESLDYAVLLPMYFAGICWTLVYDTIYAHQDKKDDFKAGVKSTAITFGDNTKYWLSGFGVACISSLALTGYNAHLAWPYYPFLAAAAGHLAWQVSTVDLSNKSDCHRKFVANKWFGAFSFGGILCGILAS >PAN23293 pep chromosome:PHallii_v3.1:4:5658048:5661180:1 gene:PAHAL_4G082400 transcript:PAN23293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPSTSGMVQPSSSLHGSIRRDPEGYDMPSDLDQALLLYFDGQQQAKPSIQEQQPQTLNIFPSQPMHFEPSPKGSMASSVAGAQVAGPSKTSPAPPPKAGGGPLGAGKSSKASIKREGSAGGKHGGGAGPSSSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLESGRIRLAHLEQEMQMARTHHQGAALWGTGTLSPDAALFNLEYERWLGDHSKVVAQLRAAAEEHRPDGELRAYADEAASHYGALMGLKARLAAADPLHLLSGLWKGAAERCFLWIGGFRPSELIKVYSLSRSLSPQPKDQELVSSMPMDRTRR >PVH47536 pep chromosome:PHallii_v3.1:4:5657635:5662942:1 gene:PAHAL_4G082400 transcript:PVH47536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFYVKWSRSKFRAEFFVQVIRSHLNGVSIHLRHGPTFFIPPWQHCRRRDPEGYDMPSDLDQALLLYFDGQQQAKPSIQEQQPQTLNIFPSQPMHFEPSPKGSMASSVAGAQVAGPSKTSPAPPPKAGGGPLGAGKSSKASIKREGSAGGKHGGGAGPSSSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLESGRIRLAHLEQEMQMARTHHQGAALWGTGTLSPDAALFNLEYERWLGDHSKVVAQLRAAAEEHRPDGELRAYADEAASHYGALMGLKARLAAADPLHLLSGLWKGAAERCFLWIGGFRPSELIKVGLRHVEPLAEQQAAGSRDVEQAARRAEEALDAELEALLRSLSEVVASDAQPPSPGVFGGQLYPHHPGADAAAAGYMGMGMGMGHMHMALAMDKLATVGTFLRQADELRMQALQALRQILTARQAARCLVAVDDYFCRLRALSTLWTTSRTAPQLARGPAG >PAN23294 pep chromosome:PHallii_v3.1:4:5657635:5662942:1 gene:PAHAL_4G082400 transcript:PAN23294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPSTSGMVQPSSSLHGSIRRDPEGYDMPSDLDQALLLYFDGQQQAKPSIQEQQPQTLNIFPSQPMHFEPSPKGSMASSVAGAQVAGPSKTSPAPPPKAGGGPLGAGKSSKASIKREGSAGGKHGGGAGPSSSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLESGRIRLAHLEQEMQMARTHHQGAALWGTGTLSPDAALFNLEYERWLGDHSKVVAQLRAAAEEHRPDGELRAYADEAASHYGALMGLKARLAAADPLHLLSGLWKGAAERCFLWIGGFRPSELIKVGLRHVEPLAEQQAAGSRDVEQAARRAEEALDAELEALLRSLSEVVASDAQPPSPGVFGGQLYPHHPGADAAAAGYMGMGMGMGHMHMALAMDKLATVGTFLRQADELRMQALQALRQILTARQAARCLVAVDDYFCRLRALSTLWTTSRTAPQLARGPAG >PVH47535 pep chromosome:PHallii_v3.1:4:5657969:5661180:1 gene:PAHAL_4G082400 transcript:PVH47535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFYVKWSRSKFRAEFFVQVIRSHLNGVSIHLRHGPTFFIPPWQHCRRRDPEGYDMPSDLDQALLLYFDGQQQAKPSIQEQQPQTLNIFPSQPMHFEPSPKGSMASSVAGAQVAGPSKTSPAPPPKAGGGPLGAGKSSKASIKREGSAGGKHGGGAGPSSSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLESGRIRLAHLEQEMQMARTHHQGAALWGTGTLSPDAALFNLEYERWLGDHSKVVAQLRAAAEEHRPDGELRAYADEAASHYGALMGLKARLAAADPLHLLSGLWKGAAERCFLWIGGFRPSELIKVYSLSRSLSPQPKDQELVSSMPMDRTRR >PAN25928 pep chromosome:PHallii_v3.1:4:51181073:51183883:-1 gene:PAHAL_4G335100 transcript:PAN25928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSANNEDLSVIVKRVVFQLHPSFQNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEEAGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAANVPRLPPGITLPPPGSMEIEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLTMIEGMPQQSKALSGPGQQFGHI >PAN25930 pep chromosome:PHallii_v3.1:4:51181620:51183639:-1 gene:PAHAL_4G335100 transcript:PAN25930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRIKSSRMWRSVSRLSMEPFLSGLVKRYNSHKWTVYVRSANNEDLSVIVKRVVFQLHPSFQNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEEAGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAANVPRLPPGITLPPPGSMEIEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLTMIEGMPQQSKALSGPGQQFGHI >PAN25929 pep chromosome:PHallii_v3.1:4:51181073:51183883:-1 gene:PAHAL_4G335100 transcript:PAN25929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRIKSSRMWRSVSRLSMEPFLSGLVKRYNSHKWTVYVRSANNEDLSVIVKRVVFQLHPSFQNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEEAGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAANVPRLPPGITLPPPGSMEIEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLTMIEGMPQQSKALSGPGQQFGHI >PAN25931 pep chromosome:PHallii_v3.1:4:51181620:51183643:-1 gene:PAHAL_4G335100 transcript:PAN25931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSANNEDLSVIVKRVVFQLHPSFQNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEEAGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAANVPRLPPGITLPPPGSMEIEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLTMIEGMPQQSKALSGPGQQFGHI >PAN23835 pep chromosome:PHallii_v3.1:4:9726635:9727123:-1 gene:PAHAL_4G122100 transcript:PAN23835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGLPTSGSSPPPPPRTLFLSLAACMFAVSFAVLLVTAFAFCCRWRRGRGSRLPGGHCGGEPSFPAVETMLPSFPYAPEDDDDGPRECAVCLGPVTEGETVRRLPACRHLYHLACIDRWLAAHRTCPVCRSQLDSFKAEVASSSSGPGAERSTHPPDQSPV >PAN22541 pep chromosome:PHallii_v3.1:4:1645236:1651182:-1 gene:PAHAL_4G026100 transcript:PAN22541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MAAALRLPAPPAARWAPLPPQVPASASSSAARRVRPPRRLAARRAKGEEAPEPVEAQMPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWRDVFNRVYKDRAFDNIVISPGPGSPACPADIGVCLRILLECGDIPILGVCLGHQALGFIHGAKIVHAPEAIHGRLSEIEHDGCYLFNCIPSGRNSGFKVVRYHSLVIEPGSLPDDLISIAWTSSPNLLSYLESDRANVSTFLGSLDNNFMAVSLEHSISGGELSNISNGNASESDGSRVIMAIKHSSRPQYGVQFHPESVATHYGRQIFQNFKKITRDFGLRSSWLQERKVKSAGQCGSVSKDLLHTERLELLEPNGARMLAKRALGKKCLRLRWKKIDNFLCPAVGSEDIFAVLFGHQSSEDTFWLDSSSVDQNRARFSFMGGKGGSLWKQMTFHLSGQRANCGGTLTTRDAYGSTANNFIKEGFLEFLNKEIQSIQYNEKDYEGLPFDFHGGFVGYLGEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKAVHTMVSTIRGTKKLNLSPVDCVKASFPGGSMTGAPKVRSMEILDSLESSPRGIYSGSIGFFSYNHTFDLNIVIRTVILHNGEATVGAGGAIVALSNPEAEYDEMMLKARAPTKVVEDCSQTIYSSDRLDSMQATTS >PAN22540 pep chromosome:PHallii_v3.1:4:1645728:1650902:-1 gene:PAHAL_4G026100 transcript:PAN22540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MAAALRLPAPPAARWAPLPPQVPASASSSAARRVRPPRRLAARRAKGEEAPEPVEAQMPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWRDVFNRVYKDRAFDNIVISPGPGSPACPADIGVCLRILLECGDIPILGVCLGHQALGFIHGAKIVHAPEAIHGRLSEIEHDGCYLFNCIPSGRNSGFKVVRYHSLVIEPGSLPDDLISIAWTSSPNLLSYLESDRANVSTFLGSLDNNFMAVSLEHSISGGELSNISNGNASESDGSRVIMAIKHSSRPQYGVQFHPESVATHYGRQIFQNFKKITRDFGLRSSWLQERKVKSAGQCGSVSKDLLHTERLELLEPNGARMLAKRALGKKCLRLRWKKIDNFLCPAVGSEDIFAVLFGHQSSEDTFWLDSSSVDQNRARFSFMGGKGGSLWKQMTFHLSGQRANCGGTLTTRDAYGSTANNFIKEGFLEFLNKEIQSIQYNEKDYEGLPFDFHGGFVGYLGYGLKVECDASSNMAKSSAPDACFFFADNTVVVDHSNGDVYILSLHDEFSSSNGDGICGNSTHNSWLLETEKKLLRLGAMPPGLLINGKAYAISSNVTKQSFVVEKSKDQYIRDVQSCLDYIRDGESYELCLTTQMKRRVDYINALQLYFKLRKQNPAPYAAWLNFSSENLSICCSSPERFLRLDRDKILEAKPIKGTIARGRTPEEDECLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKAVHTMVSTIRGTKKLNLSPVDCVKASFPGGSMTGAPKVRSMEILDSLESSPRGIYSGSIGFFSYNHTFDLNIVIRTVILHNGEATVGAGGAIVALSNPEAEYDEMMLKARAPTKVVEDCSQTIYSSDRLDSMQATTS >PVH47325 pep chromosome:PHallii_v3.1:4:2287497:2288444:-1 gene:PAHAL_4G036300 transcript:PVH47325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTASQHRIQPQCHHRSFIDSGPGRLGLAACLYTADQGQPPPVRGRIARGLVGRDGRTEDGRWWWSLAPVPATVGSSSAACSPMEMEASAPPDATQRFLPARARHGGGRRQLLPSAASSSRIAV >PVH48011 pep chromosome:PHallii_v3.1:4:39410431:39410998:1 gene:PAHAL_4G218300 transcript:PVH48011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLDWFFDAVEKPFPLNLVHWTDIDMEKLFNVNLSLLCLDLASSLNACFPSCLCWAYDRLYSNSK >PAN26269 pep chromosome:PHallii_v3.1:4:52846657:52849350:-1 gene:PAHAL_4G359700 transcript:PAN26269 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) UniProtKB/Swiss-Prot;Acc:Q8VZ40] MPARVAAEIAALPEPRGPMRRLCGDLARRIRLLAPLLQQLQGALPLADALGAARDLLRAVHDGSKIYQAMRGDGLLDRFASVNRQIQLALDALPYHTFDMPEEVQEQVALVHSQFKRAATRTDPPDAQLSKDISSALADKTFDPLVFTRISEKLQLQTMADIKKESVALHEMVISSGGEPDGCVEEMSSLLKKLKDCVITEKAPAPETLTARSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANSRDKKSAKSSDYDHAGLVSLMTRLRGGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVGSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGGAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKIRAVKAGIVIHLMNFLVDPTGGMTDEALTLLAILAGNPEARAVIAQSDPIPPLVEVIKTGSPRNRENAAAILWSLCCADVEQTRAAKAAGAEDALKELSESGTDRAKRKSSSILELMRQAEEA >PAN22177 pep chromosome:PHallii_v3.1:4:116267:120084:1 gene:PAHAL_4G002100 transcript:PAN22177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLLLGFLLGVLSLAIAEGAAFLWAMRSLRRRPSPPSRDHVHPPPPPPPPPPKRQGFLWMLGHEKMPKVSTTNRPSNGASQGIKEKKTIVEVFPVKMLAKLEGHSLTLSAPDDGSQQTIHLLNSTVVAVSASNLPSRKWAKRYPIKLESKESEICRGSKVCYLYADTSWEKESWCKALRLASTTDKDKLEFHAMLTEEFRGYISSLNAGYPCFLKPSMLFGQEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22170 pep chromosome:PHallii_v3.1:4:116255:120084:1 gene:PAHAL_4G002100 transcript:PAN22170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLLLGFLLGVLSLAIAEGAAFLWAMRSLRRRPSPPSRDHVHPPPPPPPPPPKRQGFLWMLGHEKMPKVSTTNRPSNGASQGIKEKKTIVEVFPVKMLAKLEGHSLTLSAPDDGSQQTIHLLNSTVVAVSASNLPSRKWAKRYPIKLESKESEICRGSKEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22176 pep chromosome:PHallii_v3.1:4:116266:120084:1 gene:PAHAL_4G002100 transcript:PAN22176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLLLGFLLGVLSLAIAEGAAFLWAMRSLRRRPSPPSRDHVHPPPPPPPPPPKRQGFLWMLGHEKMPKVSTTNRPSNGASQGIKEKKTIVEVFPVKMLAKLEGHSLTLSAPDDGSQQTIHLLNSTVVAVSASNLPSRKWAKRYPIKLESKESEICRGSKEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQVPLSLAIKISCVRGTMRIHIKPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PVH47202 pep chromosome:PHallii_v3.1:4:116255:120084:1 gene:PAHAL_4G002100 transcript:PVH47202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHIQMWKKSLQMKRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQVPLSLAIKISCVRGTMRIHIKPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22174 pep chromosome:PHallii_v3.1:4:116882:119696:1 gene:PAHAL_4G002100 transcript:PAN22174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLSKLFTCSILQLLLSLHQIYPHVNVSSFRAKRYPIKLESKESEICRGSKEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22178 pep chromosome:PHallii_v3.1:4:116266:120085:1 gene:PAHAL_4G002100 transcript:PAN22178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLLLGFLLGVLSLAIAEGAAFLWAMRSLRRRPSPPSRDHVHPPPPPPPPPPKRQGFLWMLGHEKMPKVSTTNRPSNGASQGIKEKKTIVEVFPVKMLAKLEGHSLTLSAPDDGSQQTIHLLNSTVVAVSASNLPSRKWAKRYPIKLESKESEICRGSKVCYLYADTSWEKESWCKALRLASTTDKDKLEFHAMLTEEFRGYISSLNAGYPCFLKPSMLFGQEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQVPLSLAIKISCVRGTMRIHIKPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PVH47203 pep chromosome:PHallii_v3.1:4:116266:120084:1 gene:PAHAL_4G002100 transcript:PVH47203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHIQMWKKSLQMKRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22179 pep chromosome:PHallii_v3.1:4:116267:120084:1 gene:PAHAL_4G002100 transcript:PAN22179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLLLGFLLGVLSLAIAEGAAFLWAMRSLRRRPSPPSRDHVHPPPPPPPPPPKRQGFLWMLGHEKMPKVSTTNRPSNGASQGIKEKKTIVEVFPVKMLAKLEGHSLTLSAPDDGSQQTIHLLNSTVVAVSASNLPSRKWAKRYPIKLESKESEICRGSKVCYLYADTSWEKESWCKALRLASTTDKDKLEFHAMLTEEFRGYISSLNAGYPCFLKPSMLFGQEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22175 pep chromosome:PHallii_v3.1:4:116882:119696:1 gene:PAHAL_4G002100 transcript:PAN22175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLSKLFTCSILQLLLSLHQIYPHVNVSSFRAKRYPIKLESKESEICRGSKEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQVPLSLAIKISCVRGTMRIHIKPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22172 pep chromosome:PHallii_v3.1:4:116266:120084:1 gene:PAHAL_4G002100 transcript:PAN22172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLLLGFLLGVLSLAIAEGAAFLWAMRSLRRRPSPPSRDHVHPPPPPPPPPPKRQGFLWMLGHEKMPKVSTTNRPSNGASQGIKEKKTIVEVFPVKMLAKLEGHSLTLSAPDDGSQQTIHLLNSTVVAVSASNLPSRKWAKRYPIKLESKESEICRGSKEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22171 pep chromosome:PHallii_v3.1:4:116882:119696:1 gene:PAHAL_4G002100 transcript:PAN22171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLSKLFTCSILQLLLSLHQIYPHVNVSSFRAKRYPIKLESKESEICRGSKVCYLYADTSWEKESWCKALRLASTTDKDKLEFHAMLTEEFRGYISSLNAGYPCFLKPSMLFGQEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQVPLSLAIKISCVRGTMRIHIKPPPSDRIWYGFTSMPEIEWELESSVGDRKITNNHIASLISNRIKASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22168 pep chromosome:PHallii_v3.1:4:116882:119696:1 gene:PAHAL_4G002100 transcript:PAN22168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLSKLFTCSILQLLLSLHQIYPHVNVSSFRAKRYPIKLESKESEICRGSKVCYLYADTSWEKESWCKALRLASTTDKDKLEFHAMLTEEFRGYISSLNAGYPCFLKPSMLFGQEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN22167 pep chromosome:PHallii_v3.1:4:116256:120084:1 gene:PAHAL_4G002100 transcript:PAN22167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLSKLFTCSILQLLLSLHQIYPHVNVSSFRAKRYPIKLESKESEICRGSKEHVLVDNIAKTDGSSKVRSFLKRLTKKLTIKASPESKTNLVPSKQDIKQPGTPSSSASSNSQLYDSPHTNVEEKLANEGTLCWNLLFSRLFFDAKMNDEVSKAIKARIQRTLSNTRTPAYIGEITLADLSLGKLPPYLHRMRVLPLDLNEMWAFEVDFEYSSGILLHIETRLEIQEPELEKDIMRTSLKDDSNGDMGSDVLDSIEQYGNQLRSSEALDSVVNDNDETDALMKSKSTGWTSTYMSRWKRILHSIADQVSQASLHQSLVLPNCESIPISWMLSDTDDWVPRKIAPFIWLNREPPTETTARPTAGTTRELPGEASVSKAIAKNKSSPPASSTRSKTESSKKTKICVDGSEQAEASSSWLSRLVAASEAPLQYSEDATRDLLRMSLMSSSRDDRAVVVAAGASASEEAEDVKRKRSSPRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNSLLLPELEQATTPTPAPTTSSPVRMF >PAN23022 pep chromosome:PHallii_v3.1:4:4067454:4071225:-1 gene:PAHAL_4G062000 transcript:PAN23022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MRGAAAAAAGALRPTAATLLFSPPHQHRRFISLHRRGTQHLPFARRRRDSYSSTATVAAPIPKQEDAGGAGGEAEGKAARRRRARESPEGNFRHQLDMCSRNADLTTALRLYDAALSPDSPIPLSLHHYNCLLYLCSNAAASDPDSSADAAQRGFDIFARMEADGVQPNEATLTSVARLAAATRDPAMAFSVVRRMATAGIPPRLRSYGPALFAYCEAKDADGAQQVEAHMDASGVVPEEPELAALLRVNADKGRADEVYRLLHRTRALVRQVCDTTAQVVEAWFRSDAASEAGVDKWDPRKVKEGVIKGGGGWHGQGWLGKGPWSVDRSEMDKDGTCQRCGERLVCIDIDPSETDNFANSLTELAIKRETRDDFLGFQSWLRRHGPFDAVIDAANVGLYNSKAFSFSQVNSVVNAIQRVTKSKKLPLIILHRNRVNGGNAKAPHNQKILESWRKAGALYATPPGSNDDWYWLYAAVSCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTFSGHGPTLHLPPPYSIVIQESEDGSWHVPTTTGDDIEKPRQWICSTRKSSK >PVH48565 pep chromosome:PHallii_v3.1:4:52825431:52839141:-1 gene:PAHAL_4G359400 transcript:PVH48565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTRSGGRGGGAAASGAPRDGHTAPPPPPPSASATATRKLPNARETRSTTAAATNAQTPNLRRSTRETRGKNKYKHLPATTSSHRSATRLTRDATAIATPISASSPNKPKDSTKKSARARNTSGSPSPPSNQDSNGTSTSAPIAKRKTEDDAQTATTPSKKQKRLMNTKRYIALFGPEESPKSPVLATPLREDEENASKVQAEDAAAVLVDEESNAQEQVNREPSSVADNKVLEGHSSDLHEMPEVILEGDELKIGSHQSDLVSESCMPIEMCLLNKAAECISILDIGKQAACDSNQNSLPELQNRDCSTAHHEEASKAIKDGDSTGIQGACISRNIEAIQCDETDYNDDMCVGCRNNEASDILKSCDGKGCKRHYHLSCMDPPLDVSLGIWLCIVCTKKRIQFGIYSVSEGIESLWDVKEGADNSKQYFAKYKNLAHVHNRWVSESDIVDSALQGRDLISKFSKRIHKEKTIRWKQEWAEPHRLLRRRSLMPPKEAEVFFNSLGDKIAYCNVEWLVKWKGLGYEHATWELETSSFLCTPEAEELKRSYGNRLEAARRASDPAKVDKVKGGMFQKLQRLPDGCPPGLDDDHLSSLNQLLELWHNSRGAVFIDDQERVIKTILFVMSILPHICRPVLIVSASASLSLWETKFSRLAASINVVVYNGEKDVRKSIRDLEFYEGGSVMLQVLLSHPDAILEDIEAIGRICWEAVMVDDCQNSRVSKCLEQLKELPTNFRMVLLSSSLKENIPEYINLLSFLNPEENGTFSVSNGVSFDTAGTLAVLKAKLARYVAFERKADSSKLLEYWVPAYLSPVQLEMYCYTLLSNSPALRSHSKTDSVGALRNILVSLRKCCDHPYLVDQMLQSSLTKGHTVTDILDIGVRSCGKLLLLDKMLQKIRTEGLRVLILSQSGGGSGNPIGDILDDFVRQRFGFESYERVERGLLLQKKQTAMNMFNDKTSEFSTKLPNKVELSNEMDNAAISQAYLRGSFYFRGTVVVGEREGIASVEGDLPKFWAYWSSLLNGRSPRWQHISEPAQRSRRKIQNVEEQLPGGTEETDEARIKRRRIGEVMDSSPKVPPGKDNDTILSGNNTPSSSHQISVEDTWQELERNNLHGAQKGLHVQLKPELSKLYKLLQLPENVKSLCEEFLEYILKNHQISQEPKGILHAFNIALCWRAASLLKHKINRTESLTLAVKNLNYECTEELAEFVYGKLRILKKKFARRAGEMSKQNHTASVSNISTCEQENSVKLRNDELIPSQLTSIDGNFETDSHREAAGDFWTEHIASGEKELLSDPGPHREECISRDELLSRIMEKRIKLVDKVFSLRRKSIEDKHSNEASFLDRHRQKEVVKLREACSLVVEHLRRSQNHIAQEDRDVNIKLVIGWFTMLLCAFLNHMKCQRNRLDMQQSATWTKESQLKEEILQAAKSGQLDHTFDQYIPLPDSEFVMEEFSHFREVVGNCHVHAAAPTTPSLDENSTMEITLVRSVNASEVVEEETQNRPEVLIQGPASFVSLSVNGICNVSDGIASQGDASLAVHSLEPSGGDHRSTEHAEESAVCVPLQGGTSERLGDAEVEVDTENRSTDFADSPHLDTPALTAPSRQATLPVSEEVEIQNNLVTQCAQQSLVSSQLSQGESEQADLSGVASSQPLQSERQQSIPFSNNLLERAQPDDSQPSHQTEVASGSVQSAELFPVASMMFNHPPIDAEPLKNELHRLRLYMDTVNKTHELKKTQLRMECSQEIEKVKQKYDLLIEEHDSTHLQQKKTLDNFYEKVLRNQSLAEDFRAKFISPSAAQARAHAPTVRQTPQASQQVPMRPSGMGSSASSFALPSACRPPVPRLRVQAPLVEQPSSSLSQLSRSSSPSSQVVQPPPLIPGNIYRTSSAPLSHMPTPRGSYGAQSELAPRAPAPHLQFKSPLANSMPPGNQQQLLPTRVEATSPRTQPVLGANSSPSDSHHGPVATSGMSSLHSALPATSLPSSSHPSHLAQRVPPAPNPALQIAAPPGSNTAAPSITAGEQPSLSLDAWLTASLGLSGDEPRATAPATNGSGIDVVCLSDDESE >PAN26265 pep chromosome:PHallii_v3.1:4:52825452:52839141:-1 gene:PAHAL_4G359400 transcript:PAN26265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTRSGGRGGGAAASGAPRDGHTAPPPPPPSASATATRKLPNARETRSTTAAATNAQTPNLRRSTRETRGKNKYKHLPATTSSHRSATRLTRDATAIATPISASSPNKPKDSTKKSARARNTSGSPSPPSNQDSNGTSTSAPIAKRKTEDDAQTATTPSKKQKRLMNTKRYIALFGPEESPKSPVLATPLREDEENASKVQAEDAAAVLVDEESNAQEQVNREPSSVADNKVLEGHSSDLHEMPEVILEGDELKIGSHQSDLVSESCMPIEMCLLNKAAECISILDIGKQAACDSNQNSLPELQNRDCSTAHHEEASKAIKDGDSTGIQGACISRNIEAIQCDETDYNDDMCVGCRNNEASDILKSCDGKGCKRHYHLSCMDPPLDVSLGIWLCIVCTKKRIQFGIYSVSEGIESLWDVKEGADNSKQYFAKYKNLAHVHNRWVSESDIVDSALQGRDLISKFSKRIHKEKTIRWKQEWAEPHRLLRRRSLMPPKEAEVFFNSLGDKIAYCNVEWLVKWKGLGYEHATWELETSSFLCTPEAEELKRSYGNRLEAARRASDPAKVDKVKGGMFQKLQRLPDGCPPGLDDDHLSSLNQLLELWHNSRGAVFIDDQERVIKTILFVMSILPHICRPVLIVSASASLSLWETKFSRLAASINVVVYNGEKDVRKSIRDLEFYEGGSVMLQVLLSHPDAILEDIEAIGRICWEAVMVDDCQNSRVSKCLEQLKELPTNFRMVLLSSSLKENIPEYINLLSFLNPEENGTFSVSNGVSFDTAGTLAVLKAKLARYVAFERKADSSKLLEYWVPAYLSPVQLEMYCYTLLSNSPALRSHSKTDSVGALRNILVSLRKCCDHPYLVDQMLQSSLTKGHTVTDILDIGVRSCGKLLLLDKMLQKIRTEGLRVLILSQSGGGSGNPIGDILDDFVRQRFGFESYERVERGLLLQKKQTAMNMFNDKSKGRFIFLIDSRACGPSIKLSSVDAIIIYGSDWNPVNDLRALQRVSMESQSEHVPIFRLYSSCTIEEKVLILAKHGHILDSNILNITPSLSHCLLSWGASFLFNRLEEFQNPSHSFKGSDADELFVDNVASEFSTKLPNKVELSNEMDNAAISQAYLRGSFYFRGTVVVGEREGIASVEGDLPKFWAYWSSLLNGRSPRWQHISEPAQRSRRKIQNVEEQLPGGTEETDEARIKRRRIGEVMDSSPKVPPGKDNDTILSGNNTPSSSHQISVEDTWQELERNNLHGAQKGLHVQLKPELSKLYKLLQLPENVKSLCEEFLEYILKNHQISQEPKGILHAFNIALCWRAASLLKHKINRTESLTLAVKNLNYECTEELAEFVYGKLRILKKKFARRAGEMSKQNHTASVSNISTCEQENSVKLRNDELIPSQLTSIDGNFETDSHREAAGDFWTEHIASGEKELLSDPGPHREECISRDELLSRIMEKRIKLVDKVFSLRRKSIEDKHSNEASFLDRHRQKEVVKLREACSLVVEHLRRSQNHIAQEDRDVNIKLVIGWFTMLLCAFLNHMKCQRNRLDMQQSATWTKESQLKEEILQAAKSGQLDHTFDQYIPLPDSEFVMEEFSHFREVVGNCHVHAAAPTTPSLDENSTMEITLVRSVNASEVVEEETQNRPEVLIQGPASFVSLSVNGICNVSDGIASQGDASLAVHSLEPSGGDHRSTEHAEESAVCVPLQGGTSERLGDAEVEVDTENRSTDFADSPHLDTPALTAPSRQATLPVSEEVEIQNNLVTQCAQQSLVSSQLSQGESEQADLSGVASSQPLQSERQQSIPFSNNLLERAQPDDSQPSHQTEVASGSVQSAELFPVASMMFNHPPIDAEPLKNELHRLRLYMDTVNKTHELKKTQLRMECSQEIEKVKQKYDLLIEEHDSTHLQQKKTLDNFYEKVLRNQSLAEDFRAKFISPSAAQARAHAPTVRQTPQASQQVPMRPSGMGSSASSFALPSACRPPVPRLRVQAPLVEQPSSSLSQLSRSSSPSSQVVQPPPLIPGNIYRTSSAPLSHMPTPRGSYGAQSELAPRAPAPHLQFKSPLANSMPPGNQQQLLPTRVEATSPRTQPVLGANSSPSDSHHGPVATSGMSSLHSALPATSLPSSSHPSHLAQRVPPAPNPALQIAAPPGSNTAAPSITAGEQPSLSLDAWLTASLGLSGDEPRATAPATNGSGIDVVCLSDDESE >PAN22518 pep chromosome:PHallii_v3.1:4:1556320:1559204:1 gene:PAHAL_4G024800 transcript:PAN22518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRVHVLVSSATQVVSSSVSVCRLVAFHLSPLLLHLSYFLAIDLLGFLALVLLKPSSPGYRPRYVDLFFMSTSAATVTGLATVKMEDLSSSHIVVLTLLMLLGSEMFVSLLGLLLESRKQRRHDPDHGSSRVRSSAVTVCDESNLEEANPPAPSSASSDDRKESCLRSLAVVFSVYMATILALGSLLVFVYVATVSSARDVLARKGINSALFSASVTVSSFTNGGLLPTNESMAAFAANRGLLLLLAGQILAGNTLLPVFLRLVVWATRGLARVFTGRRGSEGLESMAEDAVEAGFGHLLLPGLQTVFVAVTVVAVAAAAVTLLCCLNWDSAVFDGLTAGEKITNALFMAVNARQAGENSVDCSLVAPAVLVLFLSMMCIPATATFFSVHNGGGAAAGDGNEGEPEPKHGAAKKRRSLSLNSSMFLTPQACVASGTMLVCVTERRSLSGDPLNFSTFNMIFEVLSAYGNLGLSTGYSCSRLLRPEEASACHDKPYSFSGWWSDQGKLVLVLLMLYGRLKGFDKKHRRS >PVH48546 pep chromosome:PHallii_v3.1:4:52405215:52408219:1 gene:PAHAL_4G353400 transcript:PVH48546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDAQGAETAFLLSGATIVDLSVIIAPAPEYQPPPTASAPPMSGTRVPVGGDNNVVHKAEDVVSTMLAKGFVLGKDAVGKAKAFDEKHGFTSTAGAKVASIDKKIGLSEKITTGTSMVSEKVKEMDQKFQVSDKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTNAFNKVAKAATDVGTMTKEKMAAEEQHKTSGPSSGGHSYTPIQ >PAN26174 pep chromosome:PHallii_v3.1:4:52404711:52408219:1 gene:PAHAL_4G353400 transcript:PAN26174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAEVPVSAPVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDAQGAETAFLLSGATIVDLSVIIAPAPEYQPPPTASAPPMSGTRVPVGGDNNVVHKAEDVVSTMLAKGFVLGKDAVGKAKAFDEKHGFTSTAGAKVASIDKKIGLSEKITTGTSMVSEKVKEMDQKFQVSDKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTNAFNKVAKAATDVGTMTKEKMAAEEQHKTSGPSSGGHSYTPIQ >PAN23206 pep chromosome:PHallii_v3.1:4:5031437:5035370:1 gene:PAHAL_4G075200 transcript:PAN23206 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MLSRMAAPTLSLSLPITRSRAPLALRRLLLPRRLAAPTQAHRGLSSSASASASSRARSLGARPPRPRERLALLGTGGTGRRGEFGRRAMSGSRNSTVAAELAVAERNVEQLPFVNDKHGGVIIEMVTPMDPGVFSASLKAALTKWREQGIRGVWIKLPITLSNLITPAVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGIGAFIMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINVGAIREVKEETGIDAEFVEVLAFRQSHKAFFDKSDLFFVCLLRPLSYDITKQDSEIEACQWMPVEEFAAQPFLQKHEFAKYILKVGLAKVDKEYAGFSPISIKSAFTDKLSFFYMNRRDLDKASGSSN >PAN23207 pep chromosome:PHallii_v3.1:4:5031437:5035370:1 gene:PAHAL_4G075200 transcript:PAN23207 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MLSRMAAPTLSLSLPITRSRAPLALRRLLLPRRLAAPTQAHRGLSSSASASASSRARSLGARPPRPRERLALLGTGGAGTGRRGEFGRRAMSGSRNSTVAAELAVAERNVEQLPFVNDKHGGVIIEMVTPMDPGVFSASLKAALTKWREQGIRGVWIKLPITLSNLITPAVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGIGAFIMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINVGAIREVKEETGIDAEFVEVLAFRQSHKAFFDKSDLFFVCLLRPLSYDITKQDSEIEACQWMPVEEFAAQPFLQKHEFAKYILKVGLAKVDKEYAGFSPISIKSAFTDKLSFFYMNRRDLDKASGSSN >PAN23208 pep chromosome:PHallii_v3.1:4:5032136:5035370:1 gene:PAHAL_4G075200 transcript:PAN23208 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MSGSRNSTVAAELAVAERNVEQLPFVNDKHGGVIIEMVTPMDPGVFSASLKAALTKWREQGIRGVWIKLPITLSNLITPAVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGIGAFIMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINVGAIREVKEETGIDAEFVEVLAFRQSHKAFFDKSDLFFVCLLRPLSYDITKQDSEIEACQWMPVEEFAAQPFLQKHEFAKYILKVGLAKVDKEYAGFSPISIKSAFTDKLSFFYMNRRDLDKASGSSN >PAN25231 pep chromosome:PHallii_v3.1:4:47784901:47787824:1 gene:PAHAL_4G285700 transcript:PAN25231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVCWRRRQLGLTKNGSEDDWYNMVVYGSPKDHRGVNGSPQHITCGKERPHVLYFSLILSAICCTKTIKHSHPKAFVLFSLNLCSPYNFIQCELIQQYIVLALW >PAN25229 pep chromosome:PHallii_v3.1:4:47784901:47787824:1 gene:PAHAL_4G285700 transcript:PAN25229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYGSPKDHRGVNGSPQHITCGKERPHVLYFSLILSAICCTKTIKHSHPKAFVLFSLNLCSPYNFIQCELIQQYIVLALW >PAN25230 pep chromosome:PHallii_v3.1:4:47784901:47787824:1 gene:PAHAL_4G285700 transcript:PAN25230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVCWRRRQLGLTKNGSEDDWYNMVVYGSPKDHRGVNGSPQHITCGKERPHVLYFSLILSAICCTKTIKHSHPKAFVLFSLNLCSPYNFIQCELIQQYIVLALW >PAN25228 pep chromosome:PHallii_v3.1:4:47784901:47787824:1 gene:PAHAL_4G285700 transcript:PAN25228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVCWRRRQLGLTKNGSEDDWYNMVVYGSPKDHRGVNGSPQHITCGKERPHVLYFSLILSAICCTKTIKHSHPKAFVLFSLNLCSPYNFIQCELIQQYIVLALW >PAN26159 pep chromosome:PHallii_v3.1:4:52300524:52306764:-1 gene:PAHAL_4G352000 transcript:PAN26159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGGGGGGGLRHSNSSRLSRMSYSGEDGRGAQAPGADRPMVTFARRTHSGRYVSYSREDLDSELGGDFSPDHQEFHNYHVHIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAINGCDAKVMSDERGEDILPCECDFKICAECFGDAVKNGGAVCPGCKEPYKNTELEDVVGGAAGARATLSLPPPPGAGGAAASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVESGGGGGGGLGGADGQPAEFTTKPWRPLTRKLSIPAGVLSPYRLLILIRMAVLGLFLAWRIKHKNEDAMWLWGMSVVCELWFGFSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPTGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPESYFNLKKDPYKNKVRPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREAALDDAVEPVKIPKATWMADGTHWPGTWIQPSAEHTRGDHAGIIQVMLKPPSDDPLYGSPGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRVALYGFDPPRTKEHGGCCSCCFPQRRKVKTSAAAPEETRALRMADFDEDEMNMSSFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGHRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVKQLNVTFLTYLLVITLTLCMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWAGLLSITISLLWVAINPPSQNSQIGGSFTFP >PAN23727 pep chromosome:PHallii_v3.1:4:8419046:8425381:-1 gene:PAHAL_4G112700 transcript:PAN23727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRPRFGAMELEHPLATLPRVRGDRDDDGKERRSGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVTGPVTLVLFAVITLYTCGLLADCYRVGDPVTGKRNYTYTEAVKSNLGGWYVWFCGFCQYANMFGTGIGYTITASISAAAINKSNCFHWHGHDADCSQNTSAFIIGFGVVQVVFSQLPNFHKLWWLSIIAAVMSFCYSIIAVGLSLAQTISGPLGKTTLTGTQVGVDVASAQKVWMAFQALGNVAFAYSYAIILIEIQDTLRSPPAENETMRRATSMGISVTTSFYMLCGCLGYSAFGNAASGNILTGFGFYEPFWLVDFANACIVVHLVGGFQVFCQPLFAAVEGAAAACCPGSTREYGAARLNVFRIVWRTAFVAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQVRRFSAKWAALQSLSFVCFLVTVAACAASVQGVLDSLKTYVPFKTRS >PAN25730 pep chromosome:PHallii_v3.1:4:50360017:50365915:1 gene:PAHAL_4G321800 transcript:PAN25730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAQPPDTEMTDAGAGGGGGQPPQQPAGGGGGMMDNIQATLSHGGRFIQYNIFGNVFEVTVKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTTAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNESDLDFVNENARRYIRQLPRHARQSFPEKFPHVQPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPDYQ >PVH48187 pep chromosome:PHallii_v3.1:4:46612814:46613739:1 gene:PAHAL_4G271800 transcript:PVH48187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSNSFGTSWADQWDNGGDPSPRAPRDHGRAGKAGAGAGVGEKTKAAAATGLRKVKEGTAHGFQWIKDKCQKKSTGAGGGKKQQGSEVAGY >PVH47748 pep chromosome:PHallii_v3.1:4:14006668:14007044:-1 gene:PAHAL_4G141400 transcript:PVH47748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSVLGLNECNKMPFSYPFYVIILCLQLDTRKLFLLNACNEAKGILINSDTFDLPFSVSTHF >PAN25378 pep chromosome:PHallii_v3.1:4:48576054:48579289:1 gene:PAHAL_4G297000 transcript:PAN25378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSYLDMKDAAVDLGYPLVAVCIDKDKDKNSQNALRWAIDSLVQKGQTLVLVHVNTKGHSSGVEDAAGFKQPTDPHMMDLFRPFRCFCARKDIQCKDVVLDEHDVAKSIIEFVAHAAVEKLVLGATGRGGFVRFKADIPTTISKGAPDFCTVYIVNKGKVSSQRNAIRTAPRVSPLRSLIQSQAQSLAAPKPEPPSQRWSSSSRGTDPSETPRVDNFRSPFARGDTGNTRKSYADLSHMSMPDSADISFVSSTGRRSIDQHSAIPPRMSNCSADSYDHSFEMRTPSKWGGDSFGGMDHTTFSQTSSSSFCSLGTDDVEAEMKRLRQELKQTMYMYSSACKEALTARQKAMELQRWKMEEEQKTHDSRITEDSAMAMIEREKARAKAAMEAAEASQRIAEMEVQKRITAEKKLLKEAEERKSRGGGMSHEVRYRRYTIEEIEQGTDRFNDARKVGEGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRAGGPVIPWQHRFRICAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLTHHVGRAMERGALQDMLDPAVLDWPLEEAQCLAEMALRCCELRRKDRPDLGTVVLPELNRLRALGEDNMQYCGAIRGGGGGGMYSSPFYSNVSRSHAAEMMSDSSQYPRSVFSSRAGDSPMPPRRSNV >PAN25377 pep chromosome:PHallii_v3.1:4:48576054:48579289:1 gene:PAHAL_4G297000 transcript:PAN25377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSYLDMKDAAVDLGYPLVAVCIDKDKDKNSQNALRWAIDSLVQKGQTLVLVHVNTKGHSSGVEDAAGFKQPTDPHMMDLFRPFRCFCARKDIQCKDVVLDEHDVAKSIIEFVAHAAVEKLVLGATGRGGFVRFKADIPTTISKGAPDFCTVYIVNKGKVSSQRNAIRTAPRVSPLRSLIQSQAQSLAAPKPEPPSQRWSSSSRGTDPSETPRVDNFRSPFARGDTGNTRKSYADLSHMSMPDSADISFVSSTGRRSIDQHSAIPPRMSNCSADSYDHSFEMRTPSKWGGDSFGGMDHTTFSQTSSSSFCSLGTDDVEAEMKRLRQELKQTMYMYSSACKEALTARQKAMELQRWKMEEEQKTHDSRITEDSAMAMIEREKARAKAAMEAAEASQRIAEMEVQKRITAEKKLLKEAEERKSRGGGMSHEVRYRRYTIEEIEQGTDRFNDARKVGEGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRAGGPVIPWQHRFRICAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLTHHVGRAMERGALQDMLDPAVLDWPLEEAQCLAEMALRCCELRRKDRPDLGTVVLPELNRLRALGEDNMQYCGAIRGGGGGGMYSSPFYSNVSRSHAAEMMSDSSQYPRSVFSSRAGDSPMPPRRSNV >PAN25922 pep chromosome:PHallii_v3.1:4:51155972:51160922:-1 gene:PAHAL_4G334700 transcript:PAN25922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCRPLAPPPPRALTFARVPPSSLRPPPSSLRAVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVFVSVFGDERGKKVAIEGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGKDGEEDAEGSYISEEEDGDWDADEPDEEDIIYVK >PVH48460 pep chromosome:PHallii_v3.1:4:51126316:51127293:-1 gene:PAHAL_4G334300 transcript:PVH48460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHEHPAATVRWLLERPQPSTSESILVRPRWTWSCPVSAATPAAVGRHSSPRLAGKETATYGAGASA >PVH47594 pep chromosome:PHallii_v3.1:4:6816652:6819682:1 gene:PAHAL_4G096700 transcript:PVH47594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMQHKASEWSGVAAADAFAIDDGNIFEALGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIQNQYEFLIQRMGGPPLFSQRRGHPALIGRHRPFLVTHRAAERWLHHMQQALDTTESIDADSKTKMMNFFRHTAYFLVAGNEMTRQQSQGVACKHATSKPAE >PAN23504 pep chromosome:PHallii_v3.1:4:6816652:6819462:1 gene:PAHAL_4G096700 transcript:PAN23504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMQHKASEWSGVAAADAFAIDDGNIFEALGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIQNQYEFLIQRMGGPPLFSQRRGHPALIGRHRPFLVTHRAAERWLHHMQQALDTTESIDADSKTKMMNFFRHTAYFLVAGNEMTRQQSQGVACKHATSKPAE >PAN25292 pep chromosome:PHallii_v3.1:4:48138737:48140734:-1 gene:PAHAL_4G290700 transcript:PAN25292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAYAASFTDLFLAVTALELFSFLDTQTGAGAATPALLDGTAEVWLPAAAALTLIVATVAFMYHHLSRAAVPVAGAASRRLSGLVIPVLCASAGTLDYVLFLQAAGGADGGAQARALGLAALRALPAAATAAFFLGMMLIVVIAHVRAGGEGGGGAGAGVRPVQGPVRVLTNMAFGAAAALVFLMAMAAIHGAKYI >PVH48550 pep chromosome:PHallii_v3.1:4:52493936:52496354:-1 gene:PAHAL_4G355100 transcript:PVH48550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMGSSCLGGGLPVAVMLCLNVVAAVMVSLVKVAMDGGMNPLVIVTLQQLTASVFLAPIAFFKERKSRPKLTLEIFAYIFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAVATRSEALNLKSKTGMAKLLGTLVSLGGAMVLTLYKGVALTHAASQQHLHSHRPHADAVSRGKWTLGTVAILGNCVCLSCWFLLHGRLARKYPHVYSCNALMSMLSFLQVAVVGLCTQRSISPWLVKSKFQILTVLYAGIVGCGVSFVLVTWCIEKRGAVFVAAFIPVVQIIVSVIDFSILHEQLYLGSVLGSVLVIGGLYLLLWGKRQEALHCPPKVAEDADKEQQPVHT >PAN24472 pep chromosome:PHallii_v3.1:4:40472385:40476653:1 gene:PAHAL_4G222400 transcript:PAN24472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMLARSKIWETVTNVDVSPEEMRSIIEVIAETGKFWHDWDFLKSLLSLQLKQVLDEYSEAQMASQDDVQQQRSFSGETYSELVSRLSDALWRFEEGPPFTLQRLCEILLNPKGTYTKLSKLALALEKNLLVTSTIAKSTDPYPAAHGPPSSDCTQITENSGPVDEEPESTPEHTTAVPNGTEHLAGDGDEEMADAEAEEVSRSHDIEMQEDKPDQVENISSDANPAAAADTEAVNVSEPLSEPQS >PAN24471 pep chromosome:PHallii_v3.1:4:40471740:40476652:1 gene:PAHAL_4G222400 transcript:PAN24471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVTENAAAPVGAAAPEAAVHADPLGEGGAVVEDSAAPTVAIEATSDADQIIEVAPPEDGRHGETVTNVDVSPEEMRSIIEVIAETGKFWHDWDFLKSLLSLQLKQVLDEYSEAQMASQDDVQQQRSFSGETYSELVSRLSDALWRFEEGPPFTLQRLCEILLNPKGTYTKLSKLALALEKNLLVTSTIAKSTDPYPAAHGPPSSDCTQITENSGPVDEEPESTPEHTTAVPNGTEHLAGDGDEEMADAEAEEVSRSHDIEMQEDKPDQVENISSDANPAAAADTEAVNVSEPLSEPQS >PAN25241 pep chromosome:PHallii_v3.1:4:47826842:47831484:-1 gene:PAHAL_4G286100 transcript:PAN25241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVMPKQRVLEAEQREEAMELSGLELWKHEKPPRICPMPSLIPPEAAGDEAALVPPLNFAMVDDGIFRSGFPETSNFRFLKSLNLRSIVYLCPEPYPETNTEFLEENGIRLHQFGIEGRKEPFVEIPDDKIREALKVVLDPRNQPLLIHCKRGKHRTGCLVGCLRKLQKWCLSSVFDEYHRFAAAKARITDQRFMELFDVSTLKHLTPSNC >PVH48514 pep chromosome:PHallii_v3.1:4:51989405:51990049:-1 gene:PAHAL_4G347100 transcript:PVH48514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGGGEEKSTFRWLDAARYAVAAAVTVLIMAVIVNAIKVVLRTESLQLSVRGGAVSAAPFRPPPEEVLAVELNLRAHNPSGRVRMYYLNISAYLFDKSTPASASLNPGFDCVIIFKPQDIAVLQQEAVDSMLALKAKKNSVGAPYFDVLYNGSRVSDMTLRLDGNLVTEVTSDLNKTRPASYYCEQLLVGGNPDDEAIKYRQDVICKQGRGLN >PVH48319 pep chromosome:PHallii_v3.1:4:49353666:49356380:1 gene:PAHAL_4G307300 transcript:PVH48319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKNTNTMADEPWRMPSTVQELAANVQEPPSRYLLPEEERLSDQLAGAEMPEAVPTIDLRRLLASDDGAGEEAAKLRSALQSWGFFLATDHGIEASLMDALIAASREFFRKPLEEKQAYSNLIEGKQWQLEGYGNDPVKSQDQILDWSDRLHLRVEPEDERNLDRWPGHPESFRGLLHEYTLSCKRVKDGILRAMARLLELDDDDRILDQFGDKGSTYARFNYYPACPRPDLVLGIRPHSDICVLTLLLMDEHVAGLQVLRDGTWYGVPAARNRALLINVGVSLEIMSNGIFRGPVHRVVTNSEKERMSLAMFYSADLEKEIEPIAELLGEKQQPARYRRIKCRDFVAAHYEYFSKRERVIESLKIQGEGDAFLGQICYDSTRAK >PVH47541 pep chromosome:PHallii_v3.1:4:5797014:5815292:-1 gene:PAHAL_4G083800 transcript:PVH47541 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase I subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G57660) UniProtKB/Swiss-Prot;Acc:Q9SVY0] MADLRGIEAASEEVDSIHFSFYSDDEIKRISVKKITKSERLDAKNHPVPGGLLDPAMGPINDTDTCKCCGQHSARCPGHFGHIELAKPLFNPLLFMSLTNLLHATCFHCHKFRLNKEQVDRYVNELELLVKGDIARAKNLEDSGKEASLSEEDEDTTEATSGDKSSPEKDKKTWTSIQLKEILSIFSKIMKKRAKKCANCDMKNPSISSPIFGWLVKDRSASAVRANAIADFKLKGDGSAPNSGETGVSGLDEKPTSPQSKGSINEGRHLSDDSIKEMVASSGKKHLLPTEVEIILKDLWKNEAKFCMLLCDFQQNTLSVSEKRRGYEMFFLNSLLVAPNRFRPSTSSSLGIMEHPQNVLLSKVQEANLLLQNNSAGSNHMDVLRRWMDLQRSVNVLYDSSKGLAKSEKNAHGIRQLLEKKEGVLRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNAKKLQEAVRNGADVHPGATHYRDNDNMYKLQAAPAKRRAISKLLPASRGSISQLGKDPNCEFESKVVYRHLQDGDIVLVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRSEAMNIVDANKQYIGPRSGDAVRGLIQDHIVGAVLLTKQDTLLSREEYSQLVYGSCMPSNCGPHQPGTKVSAIKDGGALELVPPAILRPKPLWTGKQVITTILNHLTKGRHPFTVEQKGKITKEFLIPGELHDAKDKDPSAEEEEIKKDISELVLYVRDTAGILLSTFSRLFTLFLQLHGFTCGIDDLLLRQQSNKTRMEILAESEKCSEIVHKKFTQRTEEGLEDPILRMEVEKVIRSNGEFATVKLDRMMSNELNEITSVANKKLLPYGLQKPFPGNCLSLMTATGAKGGMVNMTQISSLLGQQALEGKRVPRMVSGKTLPCFPPWDTSSRAGGFICDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKCLESLKVSYDHTVRDVDGSIVQFCYGEDGVDVLKTSFLNKFKELADNKKVVLDKIGGHNQISFDQKGEKNEQLPNFNCYITELPKKLSDEAATFLQVSKEKKSCDIDEEELLKLLKIKYIYSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKEILMTASANISTPFMKCPLLKEKTRSRGDAERVAAKFRRVCVAHIVEKIEVCTVPFYNNNGHVSTLYKLQMKLYPQERYPLSDLTVDECQTTLRKVFVDAMEHAIDKHLDLLRKINKIRDVKVEDTEGSLSDGGEESESGHADGEDTGMSDGDDENDDEDDLGADAEKRKRQEKDEMEYDDDTENEGEIDSESEEVTNIKRQSEEDPAESGDDLQEAEEEHKTSKSEMTSVGEVSYSSKKGANSKDKHKAAKLQEKMQTDGKSDESKPDQITYKRGKKIQRTVHVESKGLDFEIHYAFHDEPHILLAQIAQKTARTIFVKACDNIDQCSVLPRDDSPPMYLETSGVNFELFWNLTDYISINEIRSNDIHAMLKTYGVEAARATIIQEVSGVFGVYGIEVDMRHLSMIADFMTFDGGFRPMNRLGMGQLSTSPFGKMTFETATKFIVDAATHGEADSLECPSASVCLGKPAKVGTGTFGLLQNLGVEQPMM >PVH47542 pep chromosome:PHallii_v3.1:4:5797253:5814923:-1 gene:PAHAL_4G083800 transcript:PVH47542 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase I subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G57660) UniProtKB/Swiss-Prot;Acc:Q9SVY0] MADLRGIEAASEEVDSIHFSFYSDDEIKRISVKKITKSERLDAKNHPVPGGLLDPAMGPINDTDTCKCCGQHSARCPGHFGHIELAKPLFNPLLFMSLTNLLHATCFHCHKFRLNKEQVDRYVNELELLVKGDIARAKNLEDSGKEASLSEEDEDTTEATSGDKSSPEKDKKTWTSIQLKEILSIFSKIMKKRAKKCANCDMKNPSISSPIFGWLVKDRSASAVRANAIADFKLKGDGSAPNSGETGVSGLDEKPTSPQSKGSINEGRHLSDDSIKEMVASSGKKHLLPTEVEIILKDLWKNEAKFCMLLCDFQQNTLSVSEKRRGYEMFFLNSLLVAPNRFRPSTSSSLGIMEHPQNVLLSKVQEANLLLQNNSAGSNHMDVLRRWMDLQRSVNVLYDSSKGLAKSEKNAHGIRQLLEKKEGVLRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNAKKLQEAVRNGADVHPGATHYRDNDNMYKLQAAPAKRRAISKLLPASRGSISQLGKDPNCEFESKVVYRHLQDGDIVLVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRSEAMNIVDANKQYIGPRSGDAVRGLIQDHIVGAVLLTKQDTLLSREEYSQLVYGSCMPSNCGPHQPGTKVSAIKDGGALELVPPAILRPKPLWTGKQVITTILNHLTKGRHPFTVEQKGKITKEFLIPGELHDAKDKDPSAEEEEIKKDISELVLYVRGNELIKGMIDKAQFGKYGIVHTVHEFYGADTAGILLSTFSRLFTLFLQLHGFTCGIDDLLLRQQSNKTRMEILAESEKCSEIVHKKFTQRTEEGLEDPILRMEVEKVIRSNGEFATVKLDRMMSNELNEITSVANKKLLPYGLQKPFPGNCLSLMTATGAKGGMVNMTQISSLLGQQALEGKRVPRMVSGKTLPCFPPWDTSSRAGGFICDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKCLESLKVSYDHTVRDVDGSIVQFCYGEDGVDVLKTSFLNKFKELADNKKVVLDKIGGHNQISFDQKGEKNEQLPNFNCYITELPKKLSDEAATFLQVSKEKKSCDIDEEELLKLLKIKYIYSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKEILMTASANISTPFMKCPLLKEKTRSRGDAERVAAKFRRVCVAHIVEKIEVCTVPFYNNNGHVSTLYKLQMKLYPQERYPLSDLTVDECQTTLRKVFVDAMEHAIDKHLDLLRKINKIRDVKVEDTEGSLSDGGEESESGHADGEDTGMSDGDDENDDEDDLGADAEKRKRQEKDEMEYDDDTENEGEIDSESEEVTNIKRQSEEDPAESGDDLQEAEEEHKTSKSEMTSVGEVSYSSKKGANSKDKHKAAKLQEKMQTDGKSDESKPDQITYKRGKKIQRTVHVESKGLDFEIHYAFHDEPHILLAQIAQKTARTIFVKACDNIDQCSVLPRDDSPPMYLETSGVNFELFWNLTDYISINEIRSNDIHAMLKTYGVEAARATIIQEVSGVFGVYGIEVDMRHLSMIADFMTFDGGFRPMNRLGMGQLSTSPFGKMTFETATKFIVDAATHGEADSLECPSASVCLGKPAKVGTGTFGLLQNLGVEQPMM >PVH47540 pep chromosome:PHallii_v3.1:4:5797013:5815292:-1 gene:PAHAL_4G083800 transcript:PVH47540 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase I subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G57660) UniProtKB/Swiss-Prot;Acc:Q9SVY0] MADLRGIEAASEEVDSIHFSFYSDDEIKRISVKKITKSERLDAKNHPVPGGLLDPAMGPINDTDTCKCCGQHSARCPGHFGHIELAKPLFNPLLFMSLTNLLHATCFHCHKFRLNKEQVDRYVNELELLVKGDIARAKNLEDSGKEASLSEEDEDTTEATSGDKSSPEKDKKTWTSIQLKEILSIFSKIMKKRAKKCANCDMKNPSISSPIFGWLVKDRSASAVRANAIADFKLKGDGSAPNSGETGVSGLDEKPTSPQSKGSINEGRHLSDDSIKEMVASSGKKHLLPTEVEIILKDLWKNEAKFCMLLCDFQQNTLSVSEKRRGYEMFFLNSLLVAPNRFRPSTSSSLGIMEHPQNVLLSKVQEANLLLQNNSAGSNHMDVLRRWMDLQRSVNVLYDSSKGLAKSEKNAHGIRQLLEKKEGVLRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNAKKLQEAVRNGADVHPGATHYRDNDNMYKLQAAPAKRRAISKLLPASRGSISQLGKDPNCEFESKVVYRHLQDGDIVLVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRSEAMNIVDANKQYIGPRSGDAVRGLIQDHIVGAVLLTKQDTLLSREEYSQLVYGSCMPSNCGPHQPGTKVSAIKDGGALELVPPAILRPKPLWTGKQVITTILNHLTKGRHPFTVEQKGKITKEFLIPGELHDAKDKDPSAEEEEIKKDISELVLYVRGNELIKGMIDKAQFGKYGIVHTVHEFYGADTAGILLSTFSRLFTLFLQLHGFTCGIDDLLLRQQSNKTRMEILAESEKCSEIVHKKFTQRTEEGLEDPILRMEVEKVIRSNGEFATVKLDRMMSNELNEITSVANKKLLPYGLQKPFPGNCLSLMTATGAKGGMVNMTQISSLLGQQALEGKRVPRMVSGKTLPCFPPWDTSSRAGGFICDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKCLESLKVSYDHTVRDVDGSIVQFCYGEDGVDVLKTSFLNKFKELADNKKVVLDKIGGHNQISFDQKGEKNEQLPNFNCYITELPKKLSDEAATFLQVSKEKKSCDIDEEELLKLLKIKYIYSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKEILMTASANISTPFMKCPLLKEKTRGDAERVAAKFRRVCVAHIVEKIEVCTVPFYNNNGHVSTLYKLQMKLYPQERYPLSDLTVDECQTTLRKVFVDAMEHAIDKHLDLLRKINKIRDVKVEDTEGSLSDGGEESESGHADGEDTGMSDGDDENDDEDDLGADAEKRKRQEKDEMEYDDDTENEGEIDSESEEVTNIKRQSEEDPAESGDDLQEAEEEHKTSKSEMTSVGEVSYSSKKGANSKDKHKAAKLQEKMQTDGKSDESKPDQITYKRGKKIQRTVHVESKGLDFEIHYAFHDEPHILLAQIAQKTARTIFVKACDNIDQCSVLPRDDSPPMYLETSGVNFELFWNLTDYISINEIRSNDIHAMLKTYGVEAARATIIQEVSGVFGVYGIEVDMRHLSMIADFMTFDGGFRPMNRLGMGQLSTSPFGKMTFETATKFIVDAATHGEADSLECPSASVCLGKPAKVGTGTFGLLQNLGVEQPMM >PAN24626 pep chromosome:PHallii_v3.1:4:43357002:43359044:1 gene:PAHAL_4G239000 transcript:PAN24626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPFCCGHDDHGSPSQGAGTRMKTTPDHPGHRNGRTEQAIPSPTRNGKGSQEGNGASQNKNGGDFNGGNTDSPPPTPPPTLAPQFPEDLAEHRGTQKTIPSPPPAVQASKHGDQKMVVESPEHKDQIFTKSTPATKGPKQSEHGPRHQGPVAAPQPKDVPTGTLRTPPSPQVARRAREDDYSPTVPAPAASNSLGDRGHDDHHAYGGKDDRRPPGKSWRR >PAN24625 pep chromosome:PHallii_v3.1:4:43357001:43359045:1 gene:PAHAL_4G239000 transcript:PAN24625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPFCCGHDDHGSPSQGAGTRMKTTPDHPGHRNGRTEQAIPSPTRNGKGSQEGNGASQNKNGGDFNGGNTDSPPPTPPPTLAPQFPEDLAEHRGTQKTIPSPPPAVQASKHGDQKMVVESPEHKDQIFTKSTPATKGPKQSEHGPRHQGPVAAPQPKDVPTGTLRTPPSPQVARRAREDDYSPTVPAPAASNSLGDRGHDDHHAYGGKDDRRPPGKSWRR >PAN24905 pep chromosome:PHallii_v3.1:4:45761256:45763182:1 gene:PAHAL_4G261000 transcript:PAN24905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTKFACGGFVAGKLLFSLPCSKVALSNSGSQEPDNRKIIIVKAQRSFTRIMKVFSADAGQKIMKEPEFIAPQNERNDQGSSFKEMIKASKVKYKQLPPYMKSLASWEVWTSEYNGFIISSLCNRRPLVLLFFLLSYVYF >PVH47693 pep chromosome:PHallii_v3.1:4:9265103:9268342:-1 gene:PAHAL_4G118600 transcript:PVH47693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGEQQMVLNHLILPHLHSRRTIPFPSPPPPYSDQLPLLPLFNATPARIPSMTRSSTRRAPPMPLHVRPTLLLLLLVLLLATSLPASSSDPSSCAGRDDAAAIAAAFRHVRNFRPPRVRACQPVRELRLPSRNLTGAVSWAALANLSALAALDLSGNALQGAIPGGFWRAPSLRAVDVSRNQLGGALRVERAPRLLSLNVSRNRFTGVEGVEALSGLVALDVSTNRIRAVPRGLRRLARVEQLDLSGNAMQGRFPGDLPPLGGIRSLNLSYNKFSGVVDSGTVKKFGHSAFVHAGNASLVFSENSTATPRRPSPSPPHGKRKQGGSGGTTTERKATWRSKRGRHLSVVAVAAMCGVASLAMLLCLVGCVACGVLRSRKKGGEGDEERKKKPQWGDEDEEEDVVMAAAKGAAAAPVVLFERPLMQLTLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGAVAGLGEDDNPAAAATAFRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMDDMGGGDAWEAAEDRRSVSDWPTRHRIALGVARGLAFLHQGWAGSGPVVHGHLVPTNVLLGEDLEPRISDFGHPSAGGEHSSTPEGDVYAFGALVLELVTGQAGWDEASVSWARGIIRDGKALDIVDPRVRDEAAAGAEAEREMVECLRVGYLCTAHSPDKRPTMQQVVGVLKDIRAAPATPGAQTQPA >PVH47491 pep chromosome:PHallii_v3.1:4:4993740:4994510:-1 gene:PAHAL_4G074600 transcript:PVH47491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGGVVELARQAAAAARDERRARAAALLPARRYRGVQRRGNRYVAIVWNSARKQPMWLGSYATPLEAAYAYDAAARRVHGRWARPNFPDPAALATAVAALEDLVRAGRAQEPAARQQQPAPRAQVPFSHWAAPAPAAAAQPQRQQRAPPAAFAYQRAATPYSPYSYRPGYSPAAAIYAAAYGAAYFEMTLLPGAYVAPELNRPASSALSSAGPVGASLSLSAAAPERLIEPDQAVVGDNFTDDGAPSSAARAFFW >PVH47671 pep chromosome:PHallii_v3.1:4:8315575:8322457:1 gene:PAHAL_4G112100 transcript:PVH47671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGADAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESAEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFCTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDPEDGTATRSPDSQLKRKHDSAGTDDAEPGRSAKRSSVSPVHPKTSSPQSGNVGDETACNNQIKRASSDASGGSQASPQVSERSPDPVASGPRCATMGALCSDDATSKHGIPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >PVH47669 pep chromosome:PHallii_v3.1:4:8315465:8322488:1 gene:PAHAL_4G112100 transcript:PVH47669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFCTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDPEDGTATRSPDSQLKRKHDSAGTDDAEPGRSAKRSSVSPVHPKTSSPQSGNVGDETACNNQIKRASSDASGGSQASPQVSERSPDPVASGPRCATMGALCSDDATSKHGIPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >PAN23716 pep chromosome:PHallii_v3.1:4:8315575:8322457:1 gene:PAHAL_4G112100 transcript:PAN23716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGADAPKQYGITKPLSLLGPVEADLQRTAELEKQFLVEAGLYESAEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFCTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDPEDGTATRSPDSQLKRKHDSAGTDDAEPGRSAKRSSVSPVHPKTSSPQSGNVGDETACNNQIKRASSDASGGSQASPQVSERSPDPVASGPRCATMGALCSDDATSKHGIPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >PAN23714 pep chromosome:PHallii_v3.1:4:8315465:8322457:1 gene:PAHAL_4G112100 transcript:PAN23714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFCTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDPEDGTATRSPDSQLKRKHDSAGTDDAEPGRSAKRSSVSPVHPKTSSPQSGNVGDETACNNQIKRASSDASGGSQASPQVSERSPDPVASGPRCATMGALCSDDATSKHGIPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >PVH47670 pep chromosome:PHallii_v3.1:4:8315575:8322488:1 gene:PAHAL_4G112100 transcript:PVH47670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGADAPKQYGITKPLSLLGPVEADLQRTAELEKQFLVEAGLYESAEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFCTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDPEDGTATRSPDSQLKRKHDSAGTDDAEPGRSAKRSSVSPVHPKTSSPQSGNVGDETACNNQIKRASSDASGGSQASPQVSERSPDPVASGPRCATMGALCSDDATSKHGIPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >PAN23715 pep chromosome:PHallii_v3.1:4:8315575:8322488:1 gene:PAHAL_4G112100 transcript:PAN23715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGADAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESAEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFCTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDPEDGTATRSPDSQLKRKHDSAGTDDAEPGRSAKRSSVSPVHPKTSSPQSGNVGDETACNNQIKRASSDASGGSQASPQVSERSPDPVASGPRCATMGALCSDDATSKHGIPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >PVH47988 pep chromosome:PHallii_v3.1:4:37473043:37473903:-1 gene:PAHAL_4G210000 transcript:PVH47988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLAIRKMLRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEESPLYQVATYLAALDQLFDEQANFLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQAWTRSGTPVPAIGEDHVLLGTPVIGWGTLFGNTQAPPENPESSAAAAEENAAAQPSTNGNPEDGEQGSLTLSAPEEGLPRE >PAN23035 pep chromosome:PHallii_v3.1:4:4138803:4140488:1 gene:PAHAL_4G063400 transcript:PAN23035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHEAPHAATGNDGSSSFLADKSAKVFLAGHKGMLGSAVHRRLTALGFTNVVGRSRAELDLTCEAAVRKFFDAERIRYVILAAGKVGGLHASSAAPVDFMTENLRITTNVLAAARLCGTVRKLLFLATSAVYPVDAPQPIPESTLLAGPPAPGNEWYAIPKIVGIKMCQAYRAELGMDAIVAAPNNLYGPREPFPSESSHVIPALIRRFHRAKAAGAPEVAVWGSGLQLREFTHADDAADTIVLLMDRYSGAEHINVGSGREVTVRELAEMVREVVGYQGRIVWDTSRPDGVMRRLLDSSKMRAMGWEPKVELRDGLKKLYEGYLRGSVVTNVESMNR >PVH48311 pep chromosome:PHallii_v3.1:4:49283776:49285621:-1 gene:PAHAL_4G305700 transcript:PVH48311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFKAGSKKIVGVTGKEAGVVEAESVVIDAGTWEVFPPPSSTEYGLVEVSGKIYAADMLTEDPRCEVLCSASDASAGWSPLPRPPFRDRILTLAAYPPRRGLLVSTDKGETYLLDRRRRGRSAWVALPGSAPLPLEGRAVYAKDHGLWFEVSPTDGRLRAHDLDVVTGAGAPKLKHTSVRVSDPIPVITPSSSGATPRSARLVYLGSGNFCVVQAAAERDGGCPVTVTMFRVIDSETPGTTLAERRRRHREREMNARWVAAELERVCADKASASPKCKNSTSASDWGRRKLCRLNLWSRRYIARGVAGHGPSLAGVVWM >PAN23388 pep chromosome:PHallii_v3.1:4:6104015:6106448:-1 gene:PAHAL_4G088000 transcript:PAN23388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSLTAARDPSAATARRRSKEEQGAAAKVHPASEKGAMGAAVGGGEGTWRRPEWCSLAGAAGVLRRHPLPALFACGLLLFMAVEYTIPMVSQAAPPLDLGFAATQGMHDAVAARPWLNSLLAALNTVFVAMQAGYILWAILAEQRPRAAVAALMMFTCRGVLGCATQLPLPEEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAADMRREGRLALARLYDALNALQVVRLLACRGHYTIDLAVGVGAGVLFDTLAGWYFDAKSGDGKNAPEKHCRSCQCHKALLSH >PAN24913 pep chromosome:PHallii_v3.1:4:45784939:45788206:-1 gene:PAHAL_4G261500 transcript:PAN24913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRSRLLPLLRAATLLPSPTHHRACLLSASAAPFCLEDYLVAACGLAPAQARKTAKKAFDEASKDCKKKAFEELRTSRLNSASNPNAVLALLSGVGLPRTDIASIVAADPLLLRSSVKNVGPRLHALRDCLGLSAPQIARFLLLGSRTLRGCDIVPKLEFFISFYGSFEQLLVVMKKNNTILFSDLERVIKTNIALLCQCSLSVRDIAQLCSRNARLLTFSPERIKEFVLRAEELGVHPSSRMFKHVIRSVSETNKEKVAARLEFLKSTLGCSGSEVAIAVSKKPTILGYSEEGLLRKIQFLIKEVGMEPQYIVERPVLLSYSLEKRLVPRHCVMKVLQEKGLLSSKMGFYKFAQIGEETFKLKYIDYHKDSVPGLADAYATASAIVVPSGMKS >PVH48203 pep chromosome:PHallii_v3.1:4:47089000:47094018:1 gene:PAHAL_4G275500 transcript:PVH48203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLATLGSAGSWVLLVAPIFSQMKWSLNSLQVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQVVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELIYGTAEQVLVDAEEVMYIVKELWRGRSARDQNQKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADAHESTTLDQIKEKEPEFYSMVSRVLKRAEVEFAL >PVH48205 pep chromosome:PHallii_v3.1:4:47089000:47094018:1 gene:PAHAL_4G275500 transcript:PVH48205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLVRATLGSAGSWVLLVAPIFSQMKWSLNSLQVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQVVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELIYGTAEQVLVDAEEVMYIVKELWRGRSARDQNQKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADAHESTTLDQIKEKEPEFYSMVSRVLKRAEVEFAL >PVH48204 pep chromosome:PHallii_v3.1:4:47089000:47094018:1 gene:PAHAL_4G275500 transcript:PVH48204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERAKEWLLAAGAGAAVGALSAAAIMNLLSRSKRREGYVRELLESNGITAGTGNARFSRQLGAIGSSDLLSDEVVSEQLTRNIQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQVVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELIYGTAEQVLVDAEEVMYIVKELWRGRSARDQNQKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADAHESTTLDQIKEKEPEFYSMVSRVLKRAEVEFAL >PVH48202 pep chromosome:PHallii_v3.1:4:47089000:47094018:1 gene:PAHAL_4G275500 transcript:PVH48202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERAKEWLLAAGAGAAVGALSAAAIMNLLSRSKRREGYVRELLESNGITAGTGNARFSRQLGAIGSSDLLSDEVVSEQLTRNIQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQVVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELIYGTAEQVLMLKR >PAN25103 pep chromosome:PHallii_v3.1:4:47089000:47094018:1 gene:PAHAL_4G275500 transcript:PAN25103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERAKEWLLAAGAGAAVGALSAAAIMNLLSRSKRREGYVRELLESNGITAGNARFSRQLGAIGSSDLLSDEVVSEQLTRNIQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQVVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELIYGTAEQVLVDAEEVMYIVKELWRGRSARDQNQKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADAHESTTLDQIKEKEPEFYSMVSRVLKRAEVEFAL >PVH48201 pep chromosome:PHallii_v3.1:4:47089000:47092847:1 gene:PAHAL_4G275500 transcript:PVH48201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERAKEWLLAAGAGAAVGALSAAAIMNLLSRSKRREGYVRELLESNGITAGTGNARFSRQLGAIGSSDLLSDEVVSEQLTRNIQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQVVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELIYGTAEQVLVDAEEVMYIVKELWRGRSARDQNQKDTGRKMWRSVNELMLVRYVSFSIRSCGLGC >PVH47940 pep chromosome:PHallii_v3.1:4:31707872:31708503:1 gene:PAHAL_4G193800 transcript:PVH47940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKVILALALTALLAGHVFAAAVVRGDEPQSTPILESGTKGNPPDHAAEARAAGVVDEKAFNAP >PVH48548 pep chromosome:PHallii_v3.1:4:52478989:52480226:1 gene:PAHAL_4G354900 transcript:PVH48548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSWSSAMVVAAALVMAAAAAQAQVASAYYYQQQQPAFRASDWQDGSATFYGDDSGLGADFGGACGFGASDILSLYSTYTAALSTPLFSQGNGCGQCYELRCVNSRWCNPGSPSVTVTGTNLCPPNWYLPSDNGGWCNPPRQHFDMAPPSFYRLAQRVAGIIPVQFRRVPCRRPGGVRLCLQGNYYWLLVYVMNVAGGGDVSDLAVKRAGDPDCNYAHASHNWGVTYQVFGALRNARGLVVKMTSYSSPQQTIVVDDAIPAGWSTGLCYQGSNNFY >PAN23733 pep chromosome:PHallii_v3.1:4:8447907:8452677:1 gene:PAHAL_4G113000 transcript:PAN23733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPEPAAEPSATLSIYKAARSIKRRASTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGNRGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERHRLRAIHKGGEVNCEVSSTMLNGETKSNSFSSNWDSSVHLPVWVLGTEKNAIEGRIEEWTDQFESCGADIHSLAISLQKPLRPLWISQRTRIWLNEVPEIESWDFIPIILISASASDAVATQRMSSEFSWQYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRVQRGEHSPQITAKPLKGYDDLKCNDDRTSTMWPMNSDPCTSATDAQCSNSGHLLFWIGTSNLAVSSTLQDALVGVDCILNCDSTSKLPSNSSENSYLELSIVGSKDDRFSLMKNLSKAIDFARRNLLAGRKILVCCQNGEDISICVALAIVTLLFDDNGCFDSGNSFMKRDITKLEMRKRLVFICKFAVNARPSRGNLKQVYGFLSNEKERLSRLT >PVH47675 pep chromosome:PHallii_v3.1:4:8447907:8452684:1 gene:PAHAL_4G113000 transcript:PVH47675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPEPAAEPSATLSIYKAARSIKRRASTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGNRGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERHRLRAIHKGGEVNCEVSSTMLNGETKSNSFSSNWDSSVHLPVWVLGTEKNAIEGRIEEWTDQFESCGADIHSLAISLQKPLRPLWISQRTRIWLNEVPEIESWDFIPIILISASASDAVATQRMSSEFSWQYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRVQRGEHSPQITAKPLKGYDDLKCNDDRTSTMWPMNSDPCTSATDAQCSNSGHLLFWIGTSNLAVSSTLQGLKG >PAN23732 pep chromosome:PHallii_v3.1:4:8447907:8452677:1 gene:PAHAL_4G113000 transcript:PAN23732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPEPAAEPSATLSIYKAARSIKRRASTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGNRGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERHRLRAIHKGGEVNCEVSSTMLNGETKSNSFSSNWDSSVHLPVWVLGTEKNAIEGRIEEWTDQFESCGADIHSLAISLQKPLRPLWISQRTRIWLNEVPEIESWDFIPIILISASASDAVATQRMSSEFSWQYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRVQRGEHSPQITAKPLKGYDDLKCNDDRTSTMWPMNSDPCTSATDAQCSNSGHLLFWIGTSNLAVSSTLQVADALVGVDCILNCDSTSKLPSNSSENSYLELSIVGSKDDRFSLMKNLSKAIDFARRNLLAGRKILVCCQNGEDISICVALAIVTLLFDDNGCFDSGNSFMKRDITKLEMRKRLVFICKFAVNARPSRGNLKQVYGFLSNEKERLSRLT >PAN23735 pep chromosome:PHallii_v3.1:4:8447907:8452677:1 gene:PAHAL_4G113000 transcript:PAN23735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPEPAAEPSATLSIYKAARSIKRRASTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGNRGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERHRLRAIHKGGEVNCEVSSTMLNGETKSNSFSSNWDSSVHLPVWVLGTEKNAIEGRIEEWTDQFESCGADIHSLAISLQKPLRPLWISQRTRIWLNEVPEIESWDFIPIILISASASDAVATQRMSSEFSWQYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRVQRGEHSPQITAKPLKGYDDLKCNDDRTSTMWPMNSDPCTSATDAQCSNSGHLLFWIGTSNLAVSSTLQVADALVGVDCILNCDSTSKLPSNSSENSYLELSIVDDRFSLMKNLSKAIDFARRNLLAGRKILVCCQNGEDISICVALAIVTLLFDDNGCFDSGNSFMKRDITKLEMRKRLVFICKFAVNARPSRGNLKQVYGFLSNEKERLSRLT >PAN23734 pep chromosome:PHallii_v3.1:4:8448042:8451246:1 gene:PAHAL_4G113000 transcript:PAN23734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPEPAAEPSATLSIYKAARSIKRRASTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGNRGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERHRLRAIHKGGEVNCEVSSTMLNGETKSNSFSSNWDSSVHLPVWVLGTEKNAIEGRIEEWTDQFESCGADIHSLAISLQKPLRPLWISQRTRIWLNEVPEIESWDFIPIILISASASDAVATQRMSSEFSWQYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRVQRGEHSPQITAKPLKGYDDLKCNDDRTSTMWPMNSDPCTSATDAQCSNSGHLLFWIGTSNLAVSSTLQVADALVGVDCILNCDSTSKLPSNSSENSYLELSIVGSKDDRFSLMKNLSKAIDFARRNLLAGRKILVCCQNGEDISICVALAIVTLLFDDNGKCNHGYEDYSRYDFASLAKMDALTLAILS >PVH47674 pep chromosome:PHallii_v3.1:4:8447907:8452677:1 gene:PAHAL_4G113000 transcript:PVH47674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPEPAAEPSATLSIYKAARSIKRRASTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGNRGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERHRLRAIHKGGEVNCEVSSTMLNGETKSNSFSSNWDSSVHLPVWVLGTEKNAIEGRIEEWTDQFESCGADIHSLAISLQKPLRPLWISQRTRIWLNEVPEIESWDFIPIILISASASDAVATQRMSSEFSWQYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRVQRGEHSPQITAKPLKGYDDLKCNDDRTSTMWPMNSDPCTSATDAQCSNSGHLLFWIGTSNLAVSSTLQDALVGVDCILNCDSTSKLPSNSSENSYLELSIVGSKDDRFSLMKNLSKAIDFARRNLLAGRKILVCCQNGEDISICVALAIVTLLFDDNGKCNHGYEDYSRYDFASLAKMDALTLAILS >PAN22343 pep chromosome:PHallii_v3.1:4:842603:847998:-1 gene:PAHAL_4G012800 transcript:PAN22343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSRRFPEEPAPRSVADAYVARRARYGPGDFDSGELAIPPPKPPPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSSMTSLNPGSGFLSGGTNRGNKVCILAFEVANTIAKASSLWRSCSDESIKELKEEILHSDGVRILVSSNTSELLQIAAVDKREELAVFSREVIRFGNLCKDPIWHNLGRYFDKLTSDNTPQDHSKENMEATVQNLINLAQNTSELYHELHALDRFEQDFKRKFHEEESVPAARRESIMILHSELKRQRKIVKNLKKKSLWSKTLEEVVEKLVDIVIFLHKQIRDSFNEAVHAGTNFFDSEHTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSSRDNLYHGLPKTVKSALRSRLQSYNTEEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMIKKSGSQHSITRIQTLHHADKAKTEQYILELVVLLHHLVVQVKNRGYGNKSTKHERSRSNKGLDLQPETRHTTSPVNNGIALSPFSDCERAALDHLSFKRASYGRSQSCEPPPDRGNKAHRSWDSCRSQGSSPAREFGRNPGLDRDTARDLDVIDGLDRLTSYSHPSSPTFC >PVH47243 pep chromosome:PHallii_v3.1:4:842407:848343:-1 gene:PAHAL_4G012800 transcript:PVH47243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSRRFPEEPAPRSVADAYVARRARYGPGDFDSGELAIPPPKPPPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSSMTSLNPGSGFLSGGTNRGNKVCILAFEVANTIAKASSLWRSCSDESIKELKEEILHSDGVRILVSSNTSELLQIAAVDKREELAVFSREVIRFGNLCKDPIWHNLGRYFDKLTSDNTPQDHSKENMEATVQNLINLAQNTSELYHELHALDRFEQDFKRKFHEEESVPAARRESIMILHSELKRQRKIVKNLKKKSLWSKTLEEVVEKLVDIVIFLHKQIRDSFNEAGTNFFDSEHTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSSRDNLYHGLPKTVKSALRSRLQSYNTEEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMIKKSGSQHSITRIQTLHHADKAKTEQYILELVVLLHHLVVQVKNRGYGNKSTKHERSRSNKGLDLQPETRHTTSPVNNGIALSPFSDCERAALDHLSFKRASYGRSQSCEPPPDRGNKAHRSWDSCRSQGSSPAREFGRNPGLDRDTARDLDVIDGLDRLTSYSHPSSPTFC >PAN22342 pep chromosome:PHallii_v3.1:4:842603:847998:-1 gene:PAHAL_4G012800 transcript:PAN22342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSRRFPEEPAPRSVADAYVARRARYGPGDFDSGELAIPPPKPPPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSSMTSLNPGSGFLSGGTNRGNKVCILAFEVANTIAKASSLWRSCSDESIKELKEEILHSDGVRILVSSNTSELLQIAAVDKREELAVFSREVIRFGNLCKDPIWHNLGRYFDKYGPEKLTSDNTPQDHSKENMEATVQNLINLAQNTSELYHELHALDRFEQDFKRKFHEEESVPAARRESIMILHSELKRQRKIVKNLKKKSLWSKTLEEVVEKLVDIVIFLHKQIRDSFNEAVHAGTNFFDSEHTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSSRDNLYHGLPKTVKSALRSRLQSYNTEEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMIKKSGSQHSITRIQTLHHADKAKTEQYILELVVLLHHLVVQVKNRGYGNKSTKHERSRSNKGLDLQPETRHTTSPVNNGIALSPFSDCERAALDHLSFKRASYGRSQSCEPPPDRGNKAHRSWDSCRSQGSSPAREFGRNPGLDRDTARDLDVIDGLDRLTSYSHPSSPTFC >PVH47244 pep chromosome:PHallii_v3.1:4:842407:848343:-1 gene:PAHAL_4G012800 transcript:PVH47244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSRRFPEEPAPRSVADAYVARRARYGPGDFDSGELAIPPPKPPPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSSMTSLNPGSGFLSGGTNRGNKVCILAFEVANTIAKASSLWRSCSDESIKELKEEILHSDGVRILVSSNTSELLQIAAVDKREELAVFSREVIRFGNLCKDPIWHNLGRYFDKYGPEKLTSDNTPQDHSKENMEATVQNLINLAQNTSELYHELHALDRFEQDFKRKFHEEESVPAARRESIMILHSELKRQRKIVKNLKKKSLWSKTLEEVVEKLVDIVIFLHKQIRDSFNEAGTNFFDSEHTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSSRDNLYHGLPKTVKSALRSRLQSYNTEEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMIKKSGSQHSITRIQTLHHADKAKTEQYILELVVLLHHLVVQVKNRGYGNKSTKHERSRSNKGLDLQPETRHTTSPVNNGIALSPFSDCERAALDHLSFKRASYGRSQSCEPPPDRGNKAHRSWDSCRSQGSSPAREFGRNPGLDRDTARDLDVIDGLDRLTSYSHPSSPTFC >PAN26056 pep chromosome:PHallii_v3.1:4:51750571:51753290:-1 gene:PAHAL_4G343900 transcript:PAN26056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSRALGRRLFSSAAASTSAVRKAQNPLEEFFEVERSTEEDKPRPHYGRGWKASELRLKSWDDLQKLWYLLMKEKNMLMTQRQMLNAENLRFPNPERISKVKRSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >PAN25569 pep chromosome:PHallii_v3.1:4:49591799:49594045:-1 gene:PAHAL_4G311000 transcript:PAN25569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSGGGGNAWAKEMTIRRRVASIFNKTQEHFPTLKDYNDYLEEVEDMTFNLIEGIDVEAIEAKIARYQKENAEQIFLSRAKRAEDLAAALKASRMNPVKTDADDTAAGSSQGISGGAGVQGQYAPAAVPGGLAQPRPTGMAPQPIGGRSDPLQGDDEETRRLRAERAARAGGWTIELSKRRAMEEAFSAIFL >PVH47502 pep chromosome:PHallii_v3.1:4:5135716:5140865:-1 gene:PAHAL_4G076000 transcript:PVH47502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLNIRINQRLLHCRHCHRRRQPPLPPADTPLPPADTHCFLLDRIIHQMRFKCNFCDSGYIPYSKFLVHLCGTTPAHLKSVFARGECILATSALVCCECSLPLRPPIFRRLPRKIPICSACCHNGDVTSYRHSRELEHLIQGITVKCKACKQYLPFSTFASHKLVNLCGEDKQKAPYEVGKMDKSIVHGDEVGNDDDSSGDNHGTSEDVFSFEDDSVEEGEELEKPKAVKRVAVNAFKTLPCDREAKITMPYGQKPAAPTAAQASASPFRRLPIMAPSKPPLPPFIPHRPGTRLFQAVGNKNKAQAPSKPPLQLLPRHPVTRSRKRALLTDGSTSDK >PVH47503 pep chromosome:PHallii_v3.1:4:5135271:5142019:-1 gene:PAHAL_4G076000 transcript:PVH47503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLNIRINQRLLHCRHCHRRRQPPLPPADTPLPPADTHCFLLDRIIHQMRFKCNFCDSGYIPYSKFLVHLCGTTPAHLKSVFARGECILATSALVCCECSLPLRPPIFRRLPRKIPICSACCHNGDVTSYRHSRELEHLIQGITVKCKACKQYLPFSTFASHKLVNLCGEDKQKAPYEVGKMDKSIVHGDEVGNDDDSSGDNHGTSEDVFSFEDDSVEEGEELEKPKAVKRVAVNAFKTLPCDREAKITMPYGQKPAPTAAQASASPFRRLPIMAPSKPPLPPFIPHRPGTRLFQAVGNKNKAQAPSKPPLQLLPRHPVTRSRKRALLTDGSTSDK >PVH47516 pep chromosome:PHallii_v3.1:4:5392795:5397573:-1 gene:PAHAL_4G078700 transcript:PVH47516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQDPVQTPQSPRVHGDEEEEGSDDIESEFASSIAGRSSTVHPYRVSVAESSINSWDIDSVSITNSGTSVHFYEEHVGTPTNHHALVAHPNTGEIMRHNPLQTRPINPKRDLTLYGYGSVAWKNRVEWKRKQQHKMQKVSSDGEGSDQNDFDGHHPRSAESKQLSRKLPIPSSKINPYRIVIILRLAILALFFHYRIRNPVHDAYWLWLTSVICEIWFAFSWILDQFPKWYPIKRETYLDRLSLRYEKEGKPSELAPIDIFVSTVDPVKEPPLITANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEALTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAAVANSHKVPEGGWVLPEGAPWHGNNVRDHAGMVQVITGHDCVMDDAGNKLPWLVYVSREKRPGYDHHKKAGALNALLRTSAILSNAPFVLNVDCDHYINNSKALREAMCFLMDPVLGEKICYVQFPQRFDGIDQHDRYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTATKPPSKTCNCWPMSCCLCCGSERKCLKAKKKQENQKKVKCGDASKKVHALEVTEIGKEYATLVPQEKFEKRFGLSQAFLASTLQESGEACRFDMLKSLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPRRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYQSGLKPLERLSYINSVIYPWTSIPLIIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMQWGGVGINDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVDTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYESWGPLLGKLFFSFWVILHLHPFLKGVMGKQDRVPTIIVVFSVLLAAIFSLLWVRVNPFTIKFDGPVLEVCGLECE >PVH47517 pep chromosome:PHallii_v3.1:4:5392795:5398294:-1 gene:PAHAL_4G078700 transcript:PVH47517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGLGFLVAGSRREFVVLNVDDFSKQGSSQGCPDYICQICGDDIDILQEENEYFVACNECAFPVCRTCYEYERQEGTQVCPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSSTVHPYRVSVAESSINSWDIDSVSITNSGTSVHFYEEHVGTPTNHHALVAHPNTGEIMRHNPLQTRPINPKRDLTLYGYGSVAWKNRVEWKRKQQHKMQKVSSDGEGSDQNDFDGHHPRSAESKQLSRKLPIPSSKINPYRIVIILRLAILALFFHYRIRNPVHDAYWLWLTSVICEIWFAFSWILDQFPKWYPIKRETYLDRLSLRYEKEGKPSELAPIDIFVSTVDPVKEPPLITANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEALTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAAVANSHKVPEGGWVLPEGAPWHGNNVRDHAGMVQVITGHDCVMDDAGNKLPWLVYVSREKRPGYDHHKKAGALNALLRTSAILSNAPFVLNVDCDHYINNSKALREAMCFLMDPVLGEKICYVQFPQRFDGIDQHDRYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTATKPPSKTCNCWPMSCCLCCGSERKCLKAKKKQENQKKVKCGDASKKVHALEVTEIGKEYATLVPQEKFEKRFGLSQAFLASTLQESGEACRFDMLKSLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPRRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYQSGLKPLERLSYINSVIYPWTSIPLIIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMQWGGVGINDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVDTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYESWGPLLGKLFFSFWVILHLHPFLKGVMGKQDRVPTIIVVFSVLLAAIFSLLWVRVNPFTIKFDGPVLEVCGLECE >PAN23248 pep chromosome:PHallii_v3.1:4:5392475:5399020:-1 gene:PAHAL_4G078700 transcript:PAN23248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGLGFLVAGSRREFVVLNVDDFSKQGSSQGCPDYICQICGDDIDILQEENEYFVACNECAFPVCRTCYEYERQEGTQVCPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSSTVHPYRVSVAESSINSWDIDSVSITNSGTSVHFYEEHVGTPTNHHALVAHPNTGEIMRHNPLQTRPINPKRDLTLYGYGSVAWKNRVEWKRKQQHKMQKVSSDGEGSDQNDFDGHHPRSAESKQLSRKLPIPSSKINPYRIVIILRLAILALFFHYRIRNPVHDAYWLWLTSVICEIWFAFSWILDQFPKWYPIKRETYLDRLSLRYEKEGKPSELAPIDIFVSTVDPVKEPPLITANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEALTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAAVANSHKVPEGGWVLPEGAPWHGNNVRDHAGMVQVITGHDCVMDDAGNKLPWLVYVSREKRPGYDHHKKAGALNALLRTSAILSNAPFVLNVDCDHYINNSKALREAMCFLMDPVLGEKICYVQFPQRFDGIDQHDRYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTATKPPSKTCNCWPMSCCLCCGSERKCLKAKKKQENQKKVKCGDASKKVHALEVTGKEYATLVPQEKFEKRFGLSQAFLASTLQESGEACRFDMLKSLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPRRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYQSGLKPLERLSYINSVIYPWTSIPLIIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMQWGGVGINDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVDTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYESWGPLLGKLFFSFWVILHLHPFLKGVMGKQDRVPTIIVVFSVLLAAIFSLLWVRVNPFTIKFDGPVLEVCGLECE >PVH47518 pep chromosome:PHallii_v3.1:4:5392475:5399020:-1 gene:PAHAL_4G078700 transcript:PVH47518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQDPVQTPQSPRVHGDEEEEGSDDIESEFASSIAGRSSTVHPYRVSVAESSINSWDIDSVSITNSGTSVHFYEEHVGTPTNHHALVAHPNTGEIMRHNPLQTRPINPKRDLTLYGYGSVAWKNRVEWKRKQQHKMQKVSSDGEGSDQNDFDGHHPRSAESKQLSRKLPIPSSKINPYRIVIILRLAILALFFHYRIRNPVHDAYWLWLTSVICEIWFAFSWILDQFPKWYPIKRETYLDRLSLRYEKEGKPSELAPIDIFVSTVDPVKEPPLITANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEALTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAAVANSHKVPEGGWVLPEGAPWHGNNVRDHAGMVQVITGHDCVMDDAGNKLPWLVYVSREKRPGYDHHKKAGALNALLRTSAILSNAPFVLNVDCDHYINNSKALREAMCFLMDPVLGEKICYVQFPQRFDGIDQHDRYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTATKPPSKTCNCWPMSCCLCCGSERKCLKAKKKQENQKKVKCGDASKKVHALEVTGKEYATLVPQEKFEKRFGLSQAFLASTLQESGEACRFDMLKSLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPRRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYQSGLKPLERLSYINSVIYPWTSIPLIIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMQWGGVGINDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVDTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYESWGPLLGKLFFSFWVILHLHPFLKGVMGKQDRVPTIIVVFSVLLAAIFSLLWVRVNPFTIKFDGPVLEVCGLECE >PAN24236 pep chromosome:PHallii_v3.1:4:16179529:16193935:1 gene:PAHAL_4G147600 transcript:PAN24236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQKKTFSDVVKLLTSWLPRRSNPDNFSRDFWMPDHSCRVCYECDTQFTIFNRRHHCRLCGRIFCGKCTVNSIPVSSGPDRHVDEGDRIRVCTFCFKQWEQERVTSLKQVMPVLSPSLSEASLFSTKSTITINSVTTPGGSYSTGNYQHVGCVASSSNLKCCHDKTSHNTQDAHVPEKIRSTVSNKDDSSSVQFDFYTNRSDDEDEEYPSYCSDQQQNGQYYGPDGFDELDTSFNSTMSQIVEESMVSKEVSPHVVDQGFPSTLPVTKLENEQEPDNNSECGAASSIYALESKDTNPVDFEKDELFWLPPEPEDEDDEMGGDLFDDDDDDECIADGEQCRIRSSSSFGSGEFRVRDRSGEEHKKVMKNVIDGHFRALISQLLEVENISLHEGDDMGWLEIVTSVSWEAANFLKPDTSQGGGMDPGGYVKVKCLACGHRSESIVVKGVVCKKNVAHRRMTTRIEKPHLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENSVSRYAQDLLLEKNISLVLNIKQPLLQRISRCTGAQIVPSIDLLPSQKLGYCELFHVDKYDEHSVNLGNMAKKMVKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLTELPLKSPLTVALPDKRSTADNSISAVPGFTINVSNNQQTDSFDHLGTNYIMPTDPGERAVVEAPVSSECLTSQNTYSHSYGLLCANSSNFNHGNGDVNELVKVTATSASASISSTSTSGAPTNHSPRYSAVEKKGMHFGDYHDGSTRSRGKMIMMDSASTLSCYRHNTVESSTNITSSDIKESLEGSYALADVRTMSNNNAVVVQPVSSAAAQNQETSQGDDSTSNKDEVMASDHQSILVSLSTRCVWKGTICERSQLLRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLTEIVLSGERDGKIWMWHRCLKCPWSNGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPISVHSVYLPPHKLDFGHQPLDWIQKEANEVIERAKHLFDEVLHALCLISGKKVQGGSLNIEPSTYIAELESMLRKEKSEFEGCLNKVLRKDMQKGQPDILEINRLRRQLLFHSYLWDRRLAFAARSDRCCHELFNFKQGDKEKIRSVDSVAEQNALTKAQIEVSGNKHTSKDAKYVECLQESNYGGNRTGLDASTPNSNHDQQMTTSELDLLQRDIKTPLYSSVSVSGESIPLEPDLVARRTLSEGQFPSVLDVTNALEAKWTGKDDPVSSSKVTMLDSATSSEDSEEHMGDTTPSCASILLNKLGDSAADHSNWIRMPFLLFYRSLNKQWNRSNRFDALNEYTPEYVSSFLREVERQIGPKFIFPIGINDIVVGVYDDEPTSIISYALASQEYHLQMSDELERDTIDTSLPLCDSRSTSLTELDDCTSELLRSVVLTEDNILSMSGSKNPLASDPLVPRKVSHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRMCCPSERDFIKSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFQYVSESICTGSPTCIAKILGIYQVKSLKGGKEMRMDILVMENLLFERNVTTLYDLKGSTRSRYNPDSNGSDKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEKRHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPEIIPNKQGADSGQDSDQVPFTEL >PAN23530 pep chromosome:PHallii_v3.1:4:7031754:7035449:-1 gene:PAHAL_4G099600 transcript:PAN23530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGILSPCLVWLLCFITFLGSLPEATCSERENDQQALLCFKSQLSAPADVFATWSNASLEFCSWHGITCSQRSPRRVIVLDLASEGITGTISPCIANLTSLTRLQLSNNSFHGSIPSELGLLSELSSLNLSMNSLEGNIPSELSSCSQLQILGLWNNSLRGEIPFGLSQCIHLQEINLSNNNLEGSIPSTFGTLPELRILVLASNKLSGAIPPSLGSSLSLKYVDLGRNAITGGIPESLASCSSLQVLRLMRNSLSGELPGALFNSSSLIAICLQENKFVGSIPSVTATYPLVKHLHLGGNSLSGTIPASLGNFSSLLDLRLTRNNLVGSIPESIGYLPTLSLLNLNLNNLSGPVPPSLFNMSSLTTLAMANNSLNGRLPSHIGYTLPKIQILILTSNKFDGPIPDSLLNAFHMKWLYLRGNMLTGPVPFFGSLPNLEELDLSYNMLDAGDWDFVSSLSNCSRLTRLDLAGNNFQGELPSSIGNLSRSLKVLRLRDNKISGHIPPEMGNLKNLNELYMDYNRFTGSIPPTIGNLKSLVVLAGAQNRFSGTIPDAIGNLVQLTDLKLDANNLSGRIPASLGRCTQLQILNLAHNALDGSIPRVILKISSLSQEFDLSHNYLSGAIPEEIGNLINLNKLSISNNMLSGNIPSALGQCLLLEYLKMQNNLFAGSIPQSFAELRGMKELDISRNNLSGKIPEFFTSLNSLHYLNLSFNNFDGAVPRGGIFANASAVSIEGNDQLCSSVLTGGIPLCSALGDDRKSKHTYLVLVAKIVIPVVIIILFCLAAFLGRKRMQAQAHLQQLNKNITYDDIVKATDMFSSTNLIGSGSFGKVYKGSMGLHKDQVAIKIFNLNIYGAHRSFLAECEALRNARHRNIVKIITLCSSVDPTGADFKAIVFPYMLNGNLDMWLNQRAHEHSQRNILTLSQRINVALDLANAMDYLHNQCASPLIHCDLKPSNILLDHGMVAYVSDFGLARFQCTKSSTHKDSSATLPGLKGSIGYIPPEYGMSQDISTKGDVYSFGVLLLEMMTGCRPTDEKFSNGTNLHEFVDRAFPKNINEVVDPTMLQDDISANNVLQNCIIPLVKIGLSCSMTSPKERPGMDKVSTEILAIKNMFSSIHEHDQSK >PVH47889 pep chromosome:PHallii_v3.1:4:26537873:26538355:-1 gene:PAHAL_4G179000 transcript:PVH47889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGSPPNSKITGDAKEAVDNCLVEFCAVFIAAAVEECRRDKRTTVTGDDLIFAMRNLGFDDYVRPLALYLRRYREIEGNRPRARHSSMAALDPTAPAVGAEVQQQQPPPGLNLQLGPPPVRDVTELGPHADVYAVWRAAAAAAAGTSQAPGGADDEDEE >PAN23107 pep chromosome:PHallii_v3.1:4:4468558:4474755:1 gene:PAHAL_4G067800 transcript:PAN23107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVDAEPYAVVATAADIICSLRGADLAGWTPPWRKGAGAPDLPSACDGAGQEEQEGGGHARGLAWPAVARGKRSRSRRAGSPSASGSASASAGAAAASSKGEGRKKPRRGARGSPASPLDYSGSGASTSGGEDGAFCSQPAAPAAPTAAAAPPSSKVGGLAGRRSILPVPPPRPAGQRPRKKMRLPEIQQLVRSLSVENDALREEMKALQRACTALSKENGKLETRLDHSSKQNGMISAENKGKPQHDHEHAAKQDARNGIVLPDLNLPADD >PVH47708 pep chromosome:PHallii_v3.1:4:10087502:10088009:1 gene:PAHAL_4G124700 transcript:PVH47708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSGADKENAAPSTSAATAAGPRRHGYGVRSCGVKKRSSRARGAGRVPLRDITNLVAAAAGPEATLAPGQDASPAAVELAKKPDAAVPAVAGAAEAQDGAAAGGAAAKKAAAAPRYSLRKGFR >PVH47835 pep chromosome:PHallii_v3.1:4:20525651:20528152:-1 gene:PAHAL_4G162700 transcript:PVH47835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEMSWVGKKIHLYNVTMGLYMLDWWERCLFNILVLILLWFVCLNGSRFATDVFESHLKARILQGANYGMGIGMPSS >PAN24382 pep chromosome:PHallii_v3.1:4:37873587:37875953:1 gene:PAHAL_4G212600 transcript:PAN24382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRLVGPTPGTVGGSAPDPGVSGKAEGEREGEAKRPEPPRREVTALGGGSEVVHVPRFVARDKAWEWFDCLDRTIPWRRPDTRVFGRLGPQPRDMCYVADEGLTDLKYSGHQVDAHSWDEFPVLKDILKVVHEALPGSYFNSVLLNRYQTGSDYVSWHADDEALYGPTPEIASVSFGCERDFVLRKKPTKSQAASGSGEAARKWRKVAAPQQQHSFLLKHGSLLVMRGHTQRDWQHSVPKRAKASSTRINLTFRHVLT >PAN24017 pep chromosome:PHallii_v3.1:4:28446872:28450856:-1 gene:PAHAL_4G185300 transcript:PAN24017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPSPPSLLLLLLLLVSSPSTSMALLSPQGVNYEVQALMMIKNLLTDPHGVLKNWDKDSVDPCSWTTVTCSPDKLVTGLLLQNNNITGPIPAEIGKLSKLKTLDLSSNHLYGGIPTTVGHLQSLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICGANTEKDCYGTAPMPMSYNLNSSQGALPPGKSKSHKFAVAFGTATGCISFLFLAAGFVFWWRHRRNRQILFDVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKAKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLKGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKAVELSGPR >PAN24021 pep chromosome:PHallii_v3.1:4:28447232:28450511:-1 gene:PAHAL_4G185300 transcript:PAN24021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPSPPSLLLLLLLLVSSPSTSMALLSPQGVNYEVQALMMIKNLLTDPHGVLKNWDKDSVDPCSWTTVTCSPDKLVTGLLLQNNNITGPIPAEIGKLSKLKTLDLSSNHLYGGIPTTVGHLQSLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICGANTEKDCYGTAPMPMSYNLNSSQGALPPGKSKSHKFAVAFGTATGCISFLFLAAGFVFWWRHRRNRQILFDVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKAKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLKGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFSFSRCYSDLTDDSSLLVQAVELSGPR >PAN24020 pep chromosome:PHallii_v3.1:4:28447232:28450511:-1 gene:PAHAL_4G185300 transcript:PAN24020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPSPPSLLLLLLLLVSSPSTSMALLSPQGVNYEVQALMMIKNLLTDPHGVLKNWDKDSVDPCSWTTVTCSPDKLVTGLEAPSQSLSGMLSPTIGNLTNLQIVLLQNNNITGPIPAEIGKLSKLKTLDLSSNHLYGGIPTTVGHLQSLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICGANTEKDCYGTAPMPMSYNLNSSQGALPPGKSKSHKFAVAFGTATGCISFLFLAAGFVFWWRHRRNRQILFDVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKAKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLKGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFSFSRCYSDLTDDSSLLVQAVELSGPR >PAN24018 pep chromosome:PHallii_v3.1:4:28447232:28450511:-1 gene:PAHAL_4G185300 transcript:PAN24018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPSPPSLLLLLLLLVSSPSTSMALLSPQGVNYEVQALMMIKNLLTDPHGVLKNWDKDSVDPCSWTTVTCSPDKLVTGLEAPSQSLSGMLSPTIGNLTNLQIVLLQNNNITGPIPAEIGKLSKLKTLDLSSNHLYGGIPTTVGHLQSLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICGANTEKDCYGTAPMPMSYNLNSSQGALPPGKSKSHKFAVAFGTATGCISFLFLAAGFVFWWRHRRNRQILFDVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKAKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLKGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKAVELSGPR >PAN24019 pep chromosome:PHallii_v3.1:4:28446872:28450856:-1 gene:PAHAL_4G185300 transcript:PAN24019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKNLLTDPHGVLKNWDKDSVDPCSWTTVTCSPDKLVTGLEAPSQSLSGMLSPTIGNLTNLQIVLLQNNNITGPIPAEIGKLSKLKTLDLSSNHLYGGIPTTVGHLQSLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICGANTEKDCYGTAPMPMSYNLNSSQGALPPGKSKSHKFAVAFGTATGCISFLFLAAGFVFWWRHRRNRQILFDVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKAKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLKGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKAVELSGPR >PVH47914 pep chromosome:PHallii_v3.1:4:28446872:28450859:-1 gene:PAHAL_4G185300 transcript:PVH47914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKNLLTDPHGVLKNWDKDSVDPCSWTTVTCSPDKLVTGLEAPSQSLSGMLSPTIGNLTNLQIVLLQNNNITGPIPAEIGKLSKLKTLDLSSNHLYGGIPTTVGHLQSLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICGANTEKDCYGTAPMPMSYNLNSSQGALPPGKSKSHKFAVAFGTATGCISFLFLAAGFVFWWRHRRNRQILFDVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKAKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLKGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFSFSRCYSDLTDDSSLLVQAVELSGPR >PVH48120 pep chromosome:PHallii_v3.1:4:45331490:45338075:1 gene:PAHAL_4G254600 transcript:PVH48120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAESAPAAAAEVKNPRCFMDITIGGEMEGRIVIELYASVVPRTAENFRALCTGEKGVGAATGKPLHFKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGSKFEDENFVLKHERKGMLSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHVPVGEADCPTLDVKIVDCGELPEGADDGVVNFFKDGDKYPDWPNDLEEKPAEVSWWMDAVESAKAYGNDNFKKQDYKAALRKYRKALRYLDVCWEKEEIDEEKSTALRKTKSIILTNSSACKLKLGDLKGALLDADFALREREGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPNDGGIKRELAAAKKKIADRRNQERKAFARMFQPSGKSDKSSEENN >PAN24816 pep chromosome:PHallii_v3.1:4:45331551:45337912:1 gene:PAHAL_4G254600 transcript:PAN24816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAESAPAAAAEVKNPRCFMDITIGGEMEGRIVIELYASVVPRTAENFRALCTGEKGVGAATGKPLHFKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGSKFEDENFVLKHERKGMLSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHVPVGEADCPTLDVKIVDCGELPEGADDGVVNFFKDGDKYPDWPNDLEEKPAEVSWWMDAVESAKAYGNDNFKKQDYKAALRKYRKALRYLDVCWEKEEIDEEKSTALRKTKSIILTNSSACKLKLGDLKGALLDADFALREREGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPNDGGIKRELAAAKKKIADRRNQERKAFARMFQPSGKSDKSSEENN >PAN22694 pep chromosome:PHallii_v3.1:4:2364581:2368456:-1 gene:PAHAL_4G037900 transcript:PAN22694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFVDSAAMERARESDKCLDPQLWHACAGGMVQMPPVHSKVYYFPQGHAEHAQGPVDLPAGRVPALVLCRVAAVRFMADPDTDEVFAKIRLAPVRANEPGYAADADDAMGAGAGAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFLHQPPPPGGNYGGFSMFLRGEEDGNKMMATRGKVRVRVGPEEVVEASNLAVSGQPFEVVYYPRASTPEFCVKAGAVRTAMRTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLCVPMYPELPLEGQFPTPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGIHQLDHGMQPRIAAGLIIGHRAARDDISCLLTIGTPQNKKSDAKKTPPQLMLFGKPILTEQQISLGNAAGFPVSTPKKSPSDDNAEKTVSNSDVSSPGSNQDGTSSGGAPLCQDSKVLDLGLETGHCKVFLQSEDVGRTLDLSDVGSYEELYQRLADMFGIEKAELMSHVFYRDASGALKHTGDKPFSEFTKTARRLTILTETSSDSLAR >PAN26028 pep chromosome:PHallii_v3.1:4:51438316:51442073:-1 gene:PAHAL_4G339500 transcript:PAN26028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQEKCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYTSARRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDAHPAAGGDDPYYPSQPPSSAIRGAAGDQATQEQEGWVICRVFKKKNLLHHGQSSGGGGAASATGGHAAASKMAAPMEGSPSNCSSVTVSDHAKAQMLHHSASDDALDHILQYMGGRPCSSNKHHQDTKPALLDHHHHHHHLAATTTAACHGSSLYGKFMKLPPLEHAGGGGLLPSPGEYGAADASGIADWDTLDRLAAYELNGLSDASKSMAAFFDDPSSTAAAAAFSSAAHATVAGDGDLWSLARSVSSSLHADLTMNNV >PAN22599 pep chromosome:PHallii_v3.1:4:1923827:1925485:-1 gene:PAHAL_4G030300 transcript:PAN22599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGLGFFVAAVVSAAALMAPPAVAQLRPYYYSSICPNLEVIVRSSVQQSMAQSPISAPAALRLFFHDCAVRGCDASIMIVNSNGDDEWRNPDNQSLKPEGFQVILNAKAAVDSDPQCQYKVSCADIMALAARESIVQSGGPFYEVELGRYDGRLSTKASVVLPHANFTLDQLNAFFSGLGFSQSEMIALSGGHTLGAADCPFFQYRIGTDPTMDPNFASQLNSTCRSNPTSGFAFLDSSPVTFDNTFYRNLQAGKGLLGSDQVLYSDVRSRGTVNYYATNQGAFFGDFVAAMTKLGRVGVKTAATGEIRRDCRFPN >PAN23795 pep chromosome:PHallii_v3.1:4:9217388:9219125:1 gene:PAHAL_4G118300 transcript:PAN23795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGDNTLRVFFFLAMVVCAAHAAKEAPKGKDEKKDDSAAAPGPSGGGGSSDISELGAKGDGKTDCTKALNEAWTAACGKEGAQTLTIPKGDYLTGPLNFTGPCKGSVTIQLDGNLLGTTDLKAYKTNWIEIQHVDNLVITGKGTIDGQGKQVWDSNKCAQKYDCKILPNSLVLDYVNNGTVSGITLLNSKFFHMNVFQCKGITIKDVTVTAPGDSPNTDGIHMGDSSKVTITGTTIGVGDDCISIGPGSTEINITGVTCGPGHGISIGSLGRYKDEKDVTDVNVKDCTLKKSTNGVRIKSYEDAASTLTCSKVHYENIAMEDVANPIIIDMKYCPNKICTKNGASKVTIKDVTYKNVTGTSSTPEAVSLLCSEKLPCTGVTMDNVKVEFKGTNNKTMAVCNNAKGSATGCLKELACI >PAN25033 pep chromosome:PHallii_v3.1:4:46437048:46438749:-1 gene:PAHAL_4G269400 transcript:PAN25033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGYQPLDPHNQRPSSKNHGGFLSRPACAWLVLGFLSLALLHLLCCSPAGTQQAVFSPLRQYINNTYSFVSSVPGEGRSCNYSVGNWVWAPGHARRYNATECNAKESHDCIRNGRPDTRYLDWRWQPAGCPLPAFDAGAFLSAVRGKHVAFIGDSMARNQAQSLICLLSAAFPYRLLYRDEGPRSYNFWRYAFPAHDVRVSFYWNPFLVKSTGKSQDETVRENHVHLDTPGDRWAADAGTFDVVVLGAAHWLLNGAIYYNESKLIGAHGYPELNTTGLGYAWPLHMAYRTSVERLRSDGRPRTLVLATFSMSHFEGKPTDDPTACARTEPYRDGEKDNEWVFREVRDIVYDEAEAARARGGENSTLRVEVLDVSKLASLRPDGHPGLYMRPNPLANGMPERMYSDCLHFCLPGPVDTFNEILLQILRKKR >PAN22975 pep chromosome:PHallii_v3.1:4:3782237:3785052:-1 gene:PAHAL_4G057700 transcript:PAN22975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPPMPTHLLPTRTISPPSNGCAATASPSSPLIAAWPRRFPSGLHSVAGRGKFSLAAITLDDYLPMRSTEVKNRTSTGDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTDGMISHFEAVLPMGPTIIYNVPSRTAQDIPPEVIMAISGYPTNMAGVKECVGHERVKHYTDKGIAVWSGNDDECHDSRWQYGATGVISVASNLVPGLMHSLMYEGENATLNGKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRIEFVRIVEAIGRENFVGQKEVRILDDDDIVLISRY >PAN25045 pep chromosome:PHallii_v3.1:4:46534282:46536341:1 gene:PAHAL_4G270400 transcript:PAN25045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARTVGGRAGPRLAPPLAGLLLRGYAPPTSSAAAADDLVIDKDPPRASSPSAATAASTVAATMPTVLQPRVLIYDGVCHLCHRGVKWVIRADKHAKIKFCCVQSKAAEPYLRLVGLDREDVLRRVLFVEGPEAYYEGSTAALKVASYLPLPYSVLSSLLIVPTPLRDSVYDYIAKNRYNWFGKDDKCIVTKDKDILERFIDREEILGGGPSSSFF >PAN22243 pep chromosome:PHallii_v3.1:4:318691:320796:-1 gene:PAHAL_4G005600 transcript:PAN22243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSDLNTHLPPRKRLLAGLRTAATACDAADPLPSPLASGDLAARLREMALAANASGSSPEEMIEAARAAAEAAADAAAAARAAAAEKAAVAAKARAAARAAMEFLDSFSRTGASRNGLQFKVKSRKKHVQVKMLYRPNGTLGDAPKPRRRKQSDEEIARNLHRAMNSSPRISHTGPPKRPRGTVGDGKDGAAPGDGNGEGGGNACNGSSTHAPIEAGGGLPNGCSEGKSSETTVPLFKHEDRGDDPSKHAAKSSGNAADNGVGAGNLSAGRKVKIRRKELLLNQHNNKETEEPRETEPSVQVQPIGQDESKLNGNGTEKHGSPTYAKAPGDGVAPMKITSVWKFKKLKTSHCSSDSKVLHNVCSSPTAAETSASVKAD >PVH47969 pep chromosome:PHallii_v3.1:4:34472184:34474452:-1 gene:PAHAL_4G202600 transcript:PVH47969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFKRTTPTRTRKSHRRTNQRLRRQPLLRRRRRRELAMCAVVRITLLQSIRTAKATTPPTWLLASLEEHRDRRDFLLADGERITCACSWCWYGKSEVYFGEDRAAEERAACPHHQEEFSQRLSTV >PAN22660 pep chromosome:PHallii_v3.1:4:2208116:2211621:-1 gene:PAHAL_4G035000 transcript:PAN22660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDFKAIPLIDVGPLVGKIDDPNMANDADLLEVVQMLDDACKEAGFFYVKGHGIAESLMKEVRDVTRKFFHLPYEEKLKIKMRPESGYRGYQRIGENVTMGKPDMHEAIDCYTPIRPGKYGDLAKPMEGSNLWPENPSNFEALLENYINLCRDLSRKIMRGIALALGGAIDVFEGETAGDPFWVLRLIGYPVDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVQNRSGEWIYATPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPHYRVSVAFFYESNFDAAIEPVEFCREKTGGAAKYEKVVYGEHLVKKVLTNFVM >PAN23639 pep chromosome:PHallii_v3.1:4:7770151:7772978:-1 gene:PAHAL_4G106700 transcript:PAN23639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMALFAGTLAQQWQLPTALVLAVVLSLVSILLSWSRRWTRSCRPALYLPPGPRKLPLLGNLHQVGALPHRSLWVLARQHGPVMLLRLGSVPMVVVSSPEAAREVMRTHDAHCCIRPAMPGPRRLTYGYKDVAFAPYGDHVREMRKLFILELLSMRRVQAAWDAREAQVDKLVENLRRAGPNSVALDEHIFGAVDGIIGTVVFGKLYGTKHFKMQFLDMLGEAMDMLGSFSAEDFFPNTAGRLVDRLTGLVARRDGIFRRLDAFFDAVIEQHLNTTCNKLEGENCRSDLVQALIELWKDNGTAVPFIRDHVKAMLFDTFIGGINTSDVTMVWAMSEMIRHPGVLKNVQDEIRAVVGSKQRASRDDMSNLKSEDGCQGNPQDAPSRNSATSKGDHSAS >PAN25501 pep chromosome:PHallii_v3.1:4:49326344:49330196:1 gene:PAHAL_4G306800 transcript:PAN25501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLRLLGAVWLFAALLLRLGAAAAAAGQAAVAAVDGRRAIAATGEDFVCATLDWWPPDKCDYGTCAWGRAGLLNLDLSNKVLLNAVRAFSPLKLRLGGSLQDKVLYGTAVLRRPCTPFVKNESEMFGFTHGCLPLRRWDELNAFFHKSGAKIVFGLNAMNGRVLLPDGSMGGPWDYTNAASLIQYTAYKGYHIHGWELGNELSGNGVGTRIRAGQYAADVITLKTIVDDIYRSNPSKPLVLAPGGFFDPGWFTELIVKTKPNLLNVITHHIYNLGAGRDTNLIEKILNPSVLDGMVSIFSNLQGILKSTGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFNGTNKIRAYAHCARDSPGITLLLINLSGNTKTEVSVTAQAAPAAGAHKHGARTGYTTAQVSVTNQAAAAGEHKHGGRRHGRKFGHAPAPGFAAAADGATRDEYHLTPKGGDLRSQVMLLNGRALATGADGSIPRLEPVKVDAAQPIAVAPYSIVFVRISHFHAPACS >PAN24866 pep chromosome:PHallii_v3.1:4:45522866:45524556:1 gene:PAHAL_4G257800 transcript:PAN24866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASSSFRRRGHALLSLLALALCMSSARRSVAAGAASPASRRLMELYRPPAGDMLRYHDGAVLSGDIPVSVLWYGRFTPAQKAVVTDFLLSLSTAPSSSPAPSVAQWWGSINRLYLSKAAAAAVGKNGARGGGGAGNARVVLAGQVSDEACSLGKSLKMSQLPALAAAARPAKAGGIALVLTAQDVAVEGFCMSRCGHHGSYGGGSRAAYAWVGNPADQCPGQCAWPFHQPAYGPQAPPLVPPSGDAGMDGAVISVASMVAGAVTNPFGDGFYQGERAAPLEAATACSGVFGRGAYPGYAGQLLVDAATGASYNAHGARGRKYLLPALFDPGTGECATLV >PVH47404 pep chromosome:PHallii_v3.1:4:3566135:3566813:-1 gene:PAHAL_4G054000 transcript:PVH47404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAWPLARGARSDLAAGRWCFLRSCVSGVPRRVYLRLQQRRPGAGAGVGGGQREGTDGWWRWAGCGTRGGGRRREGEGRRGGGEGGRARSEGQGQGGQRGRQGGHQGDALASY >PVH47908 pep chromosome:PHallii_v3.1:4:28336478:28336999:1 gene:PAHAL_4G184600 transcript:PVH47908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWSLFLLVLRMGRLDFKRMVYSFCIACLSLPRNHGVRVYATELSSLKVRLEG >PVH47257 pep chromosome:PHallii_v3.1:4:1176230:1177131:-1 gene:PAHAL_4G018300 transcript:PVH47257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCDFQFFFCYINSWDLPYLFFFFEGRICSTLRQKYLTSLLGPGPATAVLQPRFSICCWAKQSLDDGTISKAAGTARLKQNRIEASPVRRRRLPPVPLPGAGAHLRRPLPDSPQIQAMERAVAKDSALPALAPPSRTAPRRSAAPFATSASPPLAAAAGGPPARPPSSRIQTLTKGSDRWGEEGGGVYNDGRRRCVVVARL >PVH48188 pep chromosome:PHallii_v3.1:4:46623560:46629344:1 gene:PAHAL_4G272000 transcript:PVH48188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQPCSDQRDWEASEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFFYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKDLPVELQSLDLEVIGSLVNDTDVMKEAKPSLYVKKILPILLRNRVVHFIGFGNRLSFDPIPSDLQRLRCRCNFHALRFVPKIQEMGALLVERLHGHRSHLSPLKDNLLGQFAVKSAPSANKSDASKYLAVHLRFEIDMVAYSLCYFGGGKDEEDELEAYRQIHFPVLTELKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGRHRMAAISHLYPALVTKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGNLPTIRPNKRRLASILVKNGTIEWQEFQTRVRKLIQQTKQVHERPVARSIFRHPRCPECMCRTEH >PVH48189 pep chromosome:PHallii_v3.1:4:46625901:46629344:1 gene:PAHAL_4G272000 transcript:PVH48189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKPSLYVKKILPILLRNRVVHFIGFGNRLSFDPIPSDLQRLRCRCNFHALRFVPKIQEMGALLVERLHGHRSHLSPLKDNLLGQFAVKSAPSANKSDASKYLAVHLRFEIDMVAYSLCYFGGGKDEEDELEAYRQIHFPVLTELKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGRHRMAAISHLYPALVTKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGNLPTIRPNKRRLASILVKNGTIEWQEFQTRVRKLIQQTKQVHERPVARSIFRHPRCPECMCRTEH >PAN25065 pep chromosome:PHallii_v3.1:4:46623560:46629344:1 gene:PAHAL_4G272000 transcript:PAN25065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAAPPPLPLPLLAAASSPAAAPLQRARRRQQQHRRGWRRPRGLLAWGALVAFFFVMNWWMFSRLQDPAARPHFRLRRHPPRADAAARNSSSLSTLEEVAGAAKGKGPHRVMLTRLLSLAAHALAEAETRPEPNDLWEEPINATMWQPCSDQRDWEASEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFFYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKDLPVELQSLDLEVIGSLVNDTDVMKEAKPSLYVKKILPILLRNRVVHFIGFGNRLSFDPIPSDLQRLRCRCNFHALRFVPKIQEMGALLVERLHGHRSHLSPLKDNLLGQFAVKSAPSANKSDASKYLAVHLRFEIDMVAYSLCYFGGGKDEEDELEAYRQIHFPVLTELKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGRHRMAAISHLYPALVTKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGNLPTIRPNKRRLASILVKNGTIEWQEFQTRVRKLIQQTKQVHERPVARSIFRHPRCPECMCRTEH >PAN24414 pep chromosome:PHallii_v3.1:4:39081492:39086430:-1 gene:PAHAL_4G216800 transcript:PAN24414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAQTEHAVAPTGTTTTPGASSDEIEASNAHGNNNNQNSMVSGLGDGSGKGQDSMDDSSRRFLLVDSIDDDFVFLWKLQKYFVLLGILAVGMTYNSGLTPPGGFWNKNKDGYKAGDPVLHVEFSQRYEVFFYCNATAFAASLVLIILLLSKNVTRQKLWLRSMQFTMLVDLFSLMGAYASGSCRALKSSIYTWVLVIIVFAYVLIHILVSTRFVPETFKTTVKEMVNRTLSKLGIYDVETSSHGEKRNLEEARKFILMLVTFAASVTYQAGLSPPGGFWAENDHISEQRPATPVLRSHYLHRYNIFVSCNSTSFVASLVTIILLLSPELSRHGIRTKAVLVCVVADLLCLIGAYAAGCCRDVATSFFVIFIIVIVLICIGFLAGIFVYKPVADLLEKIKAQIMQCMGVLVRALSLKSRSNRSINSKRESSLGSHQKESIHASVAPAEDSACEPGLQTAVNQQVSNATEVESSGEHPPEDNQKTENTESLSNSQNPSDSSSQSTNTKYLMSNLECELTDSKLGANTTQSLSSPEHPSFSCQQRDGMFADSQLVSGMEQSSTDNIRTIDMPEEGSSEPNVLAGDSIEDGMPSLPTEVSDTVESAEEHNLIRHNNRDIENGGIDNNAEHENVCIDCYQESRELNYSRNSIEEHLEKTRTYLLLLAILAISLTYQSGLNPPGGFWSRSENNHSAGDRILEDSDHPRFIAFFYLNAVAFVASIVIILMLLNKSMSKKVTKHRVLHIVMIVDLLSLTGAFVMGSSRDAKKSISISVLLCLVLAYVALHVLIAIHVIPPECKRQLSDKLKNFSCGNVWSALPQLCHKQTGKQTSPKELERRRILLLTLAILAATVTYQAGINPPGGVWSDDKDVSGTPGNPVLQDNHRRRYDLFYYSNSISFVSSVAITILLVNKESCEHGIKSYALRVCLVVGLLGLLIAYAAGSCRNRKQSIFVIVIAVAVLISLVIQVLLSSMYETLRRPLAKLMERLQKLVEYLQNWVFGTETVRREITSESPETKDCDEQKARKRHKYLMLCHFISSLNHIPSWSEPAWWLLVRRRHPFCRRSTSP >PAN23909 pep chromosome:PHallii_v3.1:4:11380223:11382218:-1 gene:PAHAL_4G131400 transcript:PAN23909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALCDQLFSDVDGDLMMHHPSDADDLLGILEAWEDCVTGGGSTPRGAKVPQATDAAVATSNPAPASGNVRRLGDREDATMRAAPKRRRCSPAVSSSDAAATSDDGAANNNTSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLQSLEAKKQRKAYTDQVLSPRPPPACCSPRPPLSPRPPLPPLKSTPPISPRPSVPISPRTPPGPGSPYKVRRQPPLPLPLSPPGSAYTSPARTPTREPSPASSSYLPSLDKIAAELCAYAAGTNKQQQRQALLPAATSGGAVVLLPDVRVEFSGANLVVKTVSHREPGQAVKVIAALEGRSLEILDAKISTVDDTAVNSFTIKIGIECELSAEELVQEIQQAFS >PAN25963 pep chromosome:PHallii_v3.1:4:51309460:51313703:1 gene:PAHAL_4G337300 transcript:PAN25963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHISLFPPQHLLPLYEHTSRHGCALYHWCTCHHWYTLFLYAYRRCTYAGSHETCRVPRLMSLGSCSIHSSHVASLSFKHIIRATTIEVPEGEAATGQLLEKSVNMICFLAIDAVEKANSGPVGMPMGCAPMGHILYDEVMRYNPKNPCWFNRDRFVLSSGHGCMLQYALLHLAGYNSVNEQDLKHFSQWGSRTPGHPENFVTPGVEVTTGRLGQGIANAVGLALAEKHLAARFNKPDIEIVDHYTYVILGDGCQMEGISNEVCSLAGHWGLGKLVAFYDDNHISVDGDIEIAFTEDVSARFEALGWHTLWIKNGNTGYDDLREAIKEAKAVTDKPTLVKVTTTIGFGSPNKANSYRAHKSALGTKEVEATRQNLGWPYEPFFVPEDVKCHWSRHVPRGAALEVDWDAKFAEYEKKYPEDAATLKSIISGELPPGWADVLPKYTPEGPEAATRNLSQQCLNALAEVLPCFLGGCADVASSSMTLIKTFGNFQKDTPEERNVCFGVREHGMGGICNGMALHIPGLIPYCATYFVFSDYMRAAMRISALSEAGVIYIMTHDSIGVGENGPTHQPIEHLMNFRTMPNILMLHPADGNATAGAYKVAVLNRKRPSVLALSRQKLPHLPDTSVEGVEKGGYIISDNSTGNKPDIIVLSTGSELQIAAKAADELRKEGKSVRVVSLVSWELFEEQSDEYKESVLPESVTAGISIEAGCTLGWQKYVGAKGKIIGIDRFGASAPSGKIFKEYGITVESINTASRRL >PAN23510 pep chromosome:PHallii_v3.1:4:6869071:6871001:1 gene:PAHAL_4G097300 transcript:PAN23510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLRQLAALLLAASAAAAGGDTVEVGVNWGSQLSHPLLPDSVVKMLKANGIARVKMFDADPWPVGALVDSGIEVMLGIPNDMLETMNSYGNAEDWVKENVTAYGDKLKLKYVAVGNEPFLKAYNGSFMKTTFPALKNVQKALDEAGVGNKVKAVVPLNADVYVSPDDKPSSGAFRPDINDLMTDMVKFLHDHGAPFVVNIYPFLSLYQSDDFPFEFAFFDGGRNIQDKGGVSYSNVFDANYDTLVNALKKAGVPNLKVIVGEAGWPTDGNKNANLKLARRFYDGLLKKLSKNDGTPVRPGKMEVYLFGLFDEDMKSIAPGNFERHWGIFTYDGKPKFPMDLTGQGHDKLLSPVPDVQYLPHQWCVFDDGAKDKAKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITEKNASARGCLFPIQVLSAGARAAPGIGWAAAIMALAVMMFV >PVH48217 pep chromosome:PHallii_v3.1:4:47390119:47390610:1 gene:PAHAL_4G279800 transcript:PVH48217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKIELLTSGIRAVLSCRLDISCSSPSEAAVAAQPPPAARAAAAAAAPAAATAAVAAAAPAAATAAAAPAACSPPFPSPSPRSSPLEARHVRRTVSALGRLERTAPARRRARRGRGAQPSDGSSRCSSGEGGQAGCSGAGSCPGGGGSSGGGRCSACGGGRG >PAN26217 pep chromosome:PHallii_v3.1:4:52549225:52554234:-1 gene:PAHAL_4G356300 transcript:PAN26217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVSSSETSGRQVAIRRQLAAEQGPRMTSCLFLGVAPRPPPAALHLLLRRRMASNRAFQLRLNPLTGDSEWLVVDEEEEAPAPSQHKQLLAATSYLDMLNDTARNRAYRHAIDATVTDPTSCVLDIGAGTGLLSMMAARALAAAGGEGRGSVSACEAYLPMGKLTRRVLRANGMENRIKVFHKRSDELRVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDMLLVKNPKTVPYRATTYGVLVESMLLWKMHDLHSNEANTEDGVWLTPDGTENILSVKLQQHAMQCDALEDEVRLLSEPFKVFEFDFWKRPDSHRETKIEIKATADGHAHAIISWWVLQLDSAGSVFYSTAPGWVRQSSGVDLPQCVNGMKDWCDHWKQCVWFIQGTGAPAMKDQTLALRASHDQTSISYHLNINDEVSSRSPKSDHLTLLPERIALYGDKAWRSALIGAVRNAMSGRSSPTCIVADDSVLLALIVSSLLPSSKVITMFPGLRDKGFNYLRAVADANNFSMDRIKVIGKKASSLTMNDLKDEKINLVVGEPFYHGSEGMLPWQNLRFWNERTLLGPLLSEDAFIMPCRGILRLCAMSLPDLWRSRCSLKDVEGFDHSVVNDTFGACGDLPGEQQGPCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIKFSHDGICHGFAVWIDWVLDEKKSIVISTGPESRYWKQGVQLLSRPVQVNPVSSVMHVEAHFDPDTAELVFKSMVS >PAN26218 pep chromosome:PHallii_v3.1:4:52547926:52554234:-1 gene:PAHAL_4G356300 transcript:PAN26218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVSSSETSGRQVAIRRQLAAEQGPRMTSCLFLGVAPRPPPAALHLLLRRRMASNRAFQLRLNPLTGDSEWLVVDEEEEAPAPSQHKQLLAATSYLDMLNDTARNRAYRHAIDATVTDPTSCVLDIGAGTGLLSMMAARALAAAGGEGRGSVSACEAYLPMGKLTRRVLRANGMENRIKVFHKRSDELRVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDMLLVKNPKTVPYRATTYGVLVESMLLWKMHDLHSNEANTEDGVWLTPDGTENILSVKLQQHAMQCDALEDEVRLLSEPFKVFEFDFWKRPDSHRETKIEIKATADGHAHAIISWWVLQLDSAGSVFYSTAPGWVRQSSGVDLPQCVNGMKDWCDHWKQCVWFIQGTGAPAMKDQTLALRASHDQTSISYHLNINDEVSSRSPKSDHLTLLPERIALYGDKAWRSALIGAVRNAMSGRSSPTCIVADDSVLLALIVSSLLPSSKVITMFPGLRDKGFNYLRAVADANNFSMDRIKVIGKKASSLTMNDLKDEKINLVVGEPFYHGSEGMLPWQNLRFWNERTLLGPLLSEDAFIMPCRGILRLCAMSLPDLWRSRCSLKDVEGFDHSVVNDTFGACGDLPGEQQGPCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIKFSHDGICHGFAVWIDWVLDEKKSIVISTGPESRYWKQGVQLLSRPVQVNPDSWMNCSFSAATLVTRRAELPWQRFRPDALIHASC >PAN26216 pep chromosome:PHallii_v3.1:4:52547926:52554234:-1 gene:PAHAL_4G356300 transcript:PAN26216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVSSSETSGRQVAIRRQLAAEQGPRMTSCLFLGVAPRPPPAALHLLLRRRMASNRAFQLRLNPLTGDSEWLVVDEEEEAPAPSQHKQLLAATSYLDMLNDTARNRAYRHAIDATVTDPTSCVLDIGAGTGLLSMMAARALAAAGGEGRGSVSACEAYLPMGKLTRRVLRANGMENRIKVFHKRSDELRVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDMLLVKNPKTVPYRATTYGVLVESMLLWKMHDLHSNEANTEDGVWLTPDGTENILSVKLQQHAMQCDALEDEVRLLSEPFKVFEFDFWKRPDSHRETKIEIKATADGHAHAIISWWVLQLDSAGSVFYSTAPGWVRQSSGVDLPQCVNGMKDWCDHWKQCVWFIQGTGAPAMKDQTLALRASHDQTSISYHLNINDEVSSRSPKSDHLTLLPERIALYGDKAWRSALIGAVRNAMSGRSSPTCIVADDSVLLALIVSSLLPSSKVITMFPGLRDKGFNYLRAVADANNFSMDRIKVIGKKASSLTMNDLKDEKINLVVGEPFYHGSEGMLPWQNLRFWNERTLLGPLLSEDAFIMPCRGILRLCAMSLPDLWRSRCSLKDVEGFDHSVVNDTFGACGDLPGEQQGPCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIKFSHDGICHGFAVWIDWVLDEKKSIVISTGPESRYWKQGVQLLSRPVQVNPDSWMNCSFSAATLVTRRAELPWQRFRPDALIHASC >PAN23875 pep chromosome:PHallii_v3.1:4:10823540:10825650:1 gene:PAHAL_4G128000 transcript:PAN23875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSGGPLSVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNDWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAIFLGNARRIGLRKTLVFYIGRAPHGKKTDWIMHEYRLDEENVEIQEDGWVVCRVFKKKNYQRALNQAEMAALDDDELQPFPVPVPGALPTDHKHNPHLMQYDHQFPSFDPSMQLPQLMSADQPVQTLLPSQPGVPIAMSSLDVECSQNLMKLTSNGSDGMLHSGGGGVDRFTGTTDWSILDKLLASHQNLDQLFQGKVSTASAPPMAPYQQQLMELGGSSSLQRLPLQYLGGEAADLLRFSK >PAN22268 pep chromosome:PHallii_v3.1:4:452536:459990:1 gene:PAHAL_4G007700 transcript:PAN22268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MLCITSPFSSLAPLQPTRRPHALSDRAAPPGIAGGGNVRLSVLSVQRVARRPVPAKAKSKFATAATVQEDKTMAASKDDVDHLPIYKLDPKLEKFKDHFSYRMKRYLDQKCAIEKNEGCLEEFSKGYLKFGINTNKDGTVYREWAPAAQEAQLIGDFNDWNGANHQMEKDKFGVWSIKIDHVKGKPAIPHNSRVKFRFRHGGVWVDRIPAWIRYATVDASKFGAPYDGVHWDPPASERYMFKHPRPSKPDAPRIYEAHVGMSGEKTAVSTYREFADNVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQSTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINVGFTGNYKEYFNLDTDVDAVVYMMLANHLMHSILPEATVVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKEDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNVFDQAMNALDEEFSFLSSPKQIVSDMNEEKKVIVFERGDLVFVFNFHPKKTYDGYKVGCDLPGKYRVALDSDAFVFGGHGRVGHDVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEEAETTGAGKTSPEIIDVDATPLKTPTATTEACEERESTKDVSGKKGRKLGRQSSDKSTK >PVH47224 pep chromosome:PHallii_v3.1:4:453231:459990:1 gene:PAHAL_4G007700 transcript:PVH47224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MDSPPAQGLRLIHLLPLFLLRHSLGMPRACLLSMGIDMHGSFRFACERGAKNTCSSPPILHSSARVAPILVARTAVSATRIAPLHETPPPLSKAKSKFATAATVQEDKTMAASKDDVDHLPIYKLDPKLEKFKDHFSYRMKRYLDQKCAIEKNEGCLEEFSKGYLKFGINTNKDGTVYREWAPAAQEAQLIGDFNDWNGANHQMEKDKFGVWSIKIDHVKGKPAIPHNSRVKFRFRHGGVWVDRIPAWIRYATVDASKFGAPYDGVHWDPPASERYMFKHPRPSKPDAPRIYEAHVGMSGEKTAVSTYREFADNVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQSTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINVGFTGNYKEYFNLDTDVDAVVYMMLANHLMHSILPEATVVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKEDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNVFDQAMNALDEEFSFLSSPKQIVSDMNEEKKVIVFERGDLVFVFNFHPKKTYDGYKVGCDLPGKYRVALDSDAFVFGGHGRVGHDVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEEAETTGAGKTSPEIIDVDATPLKTPTATTEACEERESTKDVSGKKGRKLGRQSSDKSTK >PVH47223 pep chromosome:PHallii_v3.1:4:453231:459990:1 gene:PAHAL_4G007700 transcript:PVH47223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MAASKDDVDHLPIYKLDPKLEKFKDHFSYRMKRYLDQKCAIEKNEGCLEEFSKGYLKFGINTNKDGTVYREWAPAAQEAQLIGDFNDWNGANHQMEKDKFGVWSIKIDHVKGKPAIPHNSRVKFRFRHGGVWVDRIPAWIRYATVDASKFGAPYDGVHWDPPASERYMFKHPRPSKPDAPRIYEAHVGMSGEKTAVSTYREFADNVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQSTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINVGFTGNYKEYFNLDTDVDAVVYMMLANHLMHSILPEATVVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKEDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNVFDQAMNALDEEFSFLSSPKQIVSDMNEEKKVIVFERGDLVFVFNFHPKKTYDGYKVGCDLPGKYRVALDSDAFVFGGHGRVGHDVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEEAETTGAGKTSPEIIDVDATPLKTPTATTEACEERESTKDVSGKKGRKLGRQSSDKSTK >PAN22917 pep chromosome:PHallii_v3.1:4:3513104:3516103:1 gene:PAHAL_4G053000 transcript:PAN22917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYNRVHEGFKVAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAHPFLRWAREKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGIVGVEKPDPKIYKIALEMAGNIAPEEALHIGDSMRKDYTPARSVGMHALLLDRFKTADAESWRQSGATVLPDLVATQEWLTKNQNEEQKHETVAAQVLNRMSEKLKMGA >PAN24661 pep chromosome:PHallii_v3.1:4:43910481:43912490:1 gene:PAHAL_4G242800 transcript:PAN24661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNILYYLLALLPLLFLITISKASLGARRHRHGLHLPPGPWRLPGIGSLHHLRGELPHRALRDLSLRYGPLMFLKFGEIPVVVASTPDAAKEILKTRDAVFSTRPLSLTMKVITKNGAGVVWAPYGEHWRQLRKICMMELLSAKRVQSFRHIREEEAARLAMAVSAATTPLVNLSKLLAIYVADASVHAILGSRFKDRDTLLRYVDEAVKLAGGSTLVDLFPSSRIARALSRRALRKAEVYRETVFAFMDGVVREHLERRSLREEARQEDLIDVLLRIRGEGNLQFPLTMHIIEAVIFDLFGGGTETAATTLQWAMAELMRNPAVMCKAQAEVRRVFKEQNKITEERLRELSYLHLVIKETLRLHTPGPLLMPRECQEQCQILGYDVPKGTMVLVNAWAISRNPEFWDAPDEFNPERFQGDMRDFKGNDFDYIPFGAGRRICPGMAFGLANMELALANLLFYFDWSLPEGVIPSEMDMTEAMGVTARRKADLLLSATLRVPLPN >PVH48270 pep chromosome:PHallii_v3.1:4:48480100:48480524:1 gene:PAHAL_4G295300 transcript:PVH48270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLWLLIIGVLKKNSFLIQRCSLEHHPSRYLLTNNPNLLPHFMPNWFHFKQVHSYCVLHGYSGHVDVFFVGTRK >PVH47497 pep chromosome:PHallii_v3.1:4:5125456:5133173:1 gene:PAHAL_4G075900 transcript:PVH47497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASSTQGPLCVLNAGFLSDLPFSGICYHRGDIANYRHCHELDYLVEGILVECEACKEYLPFSTLASHQLDDCSFRQTLPKIAPGMPPMFNLWISQGLLDCTYCHRALLPDSYGGRAFTCAGSHIFCRDCDTRHQKACISRCGLMDDIIIQMKFKSNCCDSGRKYTPYCEFAGHLCTTRAVEQQLKSVRAWKECILDTSALVCSECRLPLRPPIFRHLLGNMPVCSACYHRGDIANYCHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGKNERMPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTENAFKTPCEKAEIAMPNGQKTDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHAESGMRVAENAFKTSACNMKVKIATPYGQKTAPTAAQAGTSRCRHLPITAPSKPPLPRCPGTRLFQAAHNRNRGDKMAALATDSSTYKAGQQRTKREDPNIQQEKKRRSCEQS >PVH47498 pep chromosome:PHallii_v3.1:4:5126533:5132946:1 gene:PAHAL_4G075900 transcript:PVH47498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASSTQGPLCVLNAGFLSDLPFSGISLNTCTFVASATIVVISPTTVTATSSTTWSKVSWWNVRLAKNTFHSLPWLRTSWTIAHSGRHCQRLPQACLPCLTSGSARGYWTAHTATEPSCLTVTVAEHLRWCAGSHIFCRDCDTRHQKACISRCGLMDDIIIQMKFKSNCCDSGRKYTPYCEFAGHLCTTRAVEQQLKSVRAWKECILDTSALVCSECRLPLRPPIFRHLLGNMPVCSACYHRGDIANYCHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGKNERMPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTENAFKTPCEKAEIAMPNGQKTDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHAESGMRVAENAFKTSACNMKVKIATPYGQKTAPTAAQAGTSRCRHLPITAPSKPPLPRCPGTRLFQAAHNRNRGDKMAALATDSSTYKAGQQRTKREDPNIQQEKKRRSCEQS >PVH47496 pep chromosome:PHallii_v3.1:4:5125456:5133173:1 gene:PAHAL_4G075900 transcript:PVH47496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASSTQGPLCVLNAGFLSDLPFSGICYHRGDIANYRHCHELDYLVEGILVECEACKEYLPFSTLASHQLDDCSFRQTLPKIAPGMPPMFNLWISQGLLDCTYCHRALLPDSYGGRAFTCAGSHIFCRDCDTRHQKACISRCGLMDDIIIQMKFKSNCCDSGRKYTPYCEFAGHLCTTRAVEQQLKSVRAWKECILDTSALVCSECRLPLRPPIFRHLLGNMPVCSACYHRGDIANYCHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGKNERMPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTENAFKTPCEKAEIAMPNGQKTVLNVLGNLEVSALFCIVSLFPSLQSRGQLTDMRISDFILISDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHAESGMRVAENAFKTSACNMKVKIATPYGQKTAPTAAQAGTSRCRHLPITAPSKPPLPRCPGTRLFQAAHNRNRGDKMAALATDSSTYKAGQQRTKREDPNIQQEKKRRSCEQS >PVH47501 pep chromosome:PHallii_v3.1:4:5125456:5133513:1 gene:PAHAL_4G075900 transcript:PVH47501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPEMSVYNIRIKQRLLDCTYCGQPLRPDTLGGKAWKCAGVHIFCRACVSRHEQACISYCSSLDQIISKMKFKCNCCNSEYIPYHEIKGHMCDINILVHHEFFAVRDYGECIIDTRALVCSECRLPLRPPIFRHLLKYMHVCSICYHRGDIANYRHCHELDYLVEGILVECEACKEYLPFSTLASHQLDDCSFRQTLPKIAPGMPPMFNLWISQGLLDCTYCHRALLPDSYGGRAFTCAGSHIFCRDCDTRHQKACISRCGLMDDIIIQMKFKSNCCDSGRKYTPYCEFAGHLCTTRAVEQQLKSVRAWKECILDTSALVCSECRLPLRPPIFRHLLGNMPVCSACYHRGDIANYCHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGKNERMPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTENAFKTPCEKAEIAMPNGQKTVLNVLGNLEVSALFCIVSLFPSLQSRGQLTDMRISDFILISDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHAESGMRVAENAFKTSACNMKVKIATPYGQKTAPTAAQAGTSRCRHLPITAPSKPPLPRCPGTRLFQAAHNRNRGQQRTKREDPNIQQEKKRRSCEQS >PVH47494 pep chromosome:PHallii_v3.1:4:5125456:5133381:1 gene:PAHAL_4G075900 transcript:PVH47494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPEMSVYNIRIKQRLLDCTYCGQPLRPDTLGGKAWKCAGVHIFCRACVSRHEQACISYCSSLDQIISKMKFKCNCCNSEYIPYHEIKGHMCDINILVHHEFFAVRDYGECIIDTRALVCSECRLPLRPPIFRHLLKYMHVCSICYHRGDIANYRHCHELDYLVEGILVECEACKEYLPFSTLASHQLDDCSFRQTLPKIAPGMPPMFNLWISQGLLDCTYCHRALLPDSYGGRAFTCAGSHIFCRDCDTRHQKACISRCGLMDDIIIQMKFKSNCCDSGRKYTPYCEFAGHLCTTRAVEQQLKSVRAWKECILDTSALVCSECRLPLRPPIFRHLLGNMPVCSACYHRGDIANYCHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGKNERMPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTENAFKTPCEKAEIAMPNGQKTDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHAESGMRVAENAFKTSACNMKVKIATPYGQKTAPTAAQAGTSRCRHLPITAPSKPPLPRCPGTRLFQAAHNRNRGDKMAALATDSSTYKAGQQRTKREDPNIQQEKKRRSCEQS >PVH47495 pep chromosome:PHallii_v3.1:4:5125456:5133381:1 gene:PAHAL_4G075900 transcript:PVH47495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPEMSVYNIRIKQRLLDCTYCGQPLRPDTLGGKAWKCAGVHIFCRACVSRHEQACISYCSSLDQIISKMKFKCNCCNSEYIPYHEIKGHMCDINILVHHEFFAVRDYGECIIDTRALVCSECRLPLRPPIFRHLLKYMHVCSICYHRGDIANYRHCHELDYLVEGILVECEACKEYLPFSTLASHQLDDCSFRQTLPKIAPGMPPMFNLWISQGLLDCTYCHRALLPDSYGGRAFTCAGSHIFCRDCDTRHQKACISRCGLMDDIIIQMKFKSNCCDSGRKYTPYCEFAGHLCTTRAVEQQLKSVRAWKECILDTSALVCSECRLPLRPPIFRHLLGNMPVCSACYHRGDIANYCHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGKNERMPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTENAFKTPCEKAEIAMPNGQKTVLNVLGNLEVSALFCIVSLFPSLQSRGQLTDMRISDFILISDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHAESGMRVAENAFKTSACNMKVKIATPYGQKTAPTAAQAGTSRCRHLPITAPSKPPLPRCPGTRLFQAAHNRNRGDKMAALATDSSTYKAGQQRTKREDPNIQQEKKRRSCEQS >PVH47500 pep chromosome:PHallii_v3.1:4:5125456:5133512:1 gene:PAHAL_4G075900 transcript:PVH47500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPEMSVYNIRIKQRLLDCTYCGQPLRPDTLGGKAWKCAGVHIFCRACVSRHEQACISYCSSLDQIISKMKFKCNCCNSEYIPYHEIKGHMCDINILVHHEFFAVRDYGECIIDTRALVCSECRLPLRPPIFRHLLKYMHVCSICYHRGDIANYRHCHELDYLVEGILVECEACKEYLPFSTLASHQLDDCSFRQTLPKIAPGMPPMFNLWISQGLLDCTYCHRALLPDSYGGRAFTCAGSHIFCRDCDTRHQKACISRCGLMDDIIIQMKFKSNCCDSGRKYTPYCEFAGHLCTTRAVEQQLKSVRAWKECILDTSALVCSECRLPLRPPIFRHLLGNMPVCSACYHRGDIANYCHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGKNERMPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTENAFKTPCEKAEIAMPNGQKTDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHAESGMRVAENAFKTSACNMKVKIATPYGQKTAPTAAQAGTSRCRHLPITAPSKPPLPRCPGTRLFQAAHNRNRGQQRTKREDPNIQQEKKRRSCEQS >PVH47499 pep chromosome:PHallii_v3.1:4:5126533:5132946:1 gene:PAHAL_4G075900 transcript:PVH47499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASSTQGPLCVLNAGFLSDLPFSGISLNTCTFVASATIVVISPTTVTATSSTTWSKVSWWNVRLAKNTFHSLPWLRTSWTIAHSGRHCQRLPQACLPCLTSGSARGYWTAHTATEPSCLTVTVAEHLRWCAGSHIFCRDCDTRHQKACISRCGLMDDIIIQMKFKSNCCDSGRKYTPYCEFAGHLCTTRAVEQQLKSVRAWKECILDTSALVCSECRLPLRPPIFRHLLGNMPVCSACYHRGDIANYCHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGKNERMPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTENAFKTPCEKAEIAMPNGQKTVLNVLGNLEVSALFCIVSLFPSLQSRGQLTDMRISDFILISDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHAESGMRVAENAFKTSACNMKVKIATPYGQKTAPTAAQAGTSRCRHLPITAPSKPPLPRCPGTRLFQAAHNRNRGDKMAALATDSSTYKAGQQRTKREDPNIQQEKKRRSCEQS >PVH47597 pep chromosome:PHallii_v3.1:4:6977060:6977705:1 gene:PAHAL_4G098800 transcript:PVH47597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAARSVLLYLWVIVTLLMLSSHFLAARRTLLACRGSWLDRSDPTPGPGKYFYLFYH >PVH47772 pep chromosome:PHallii_v3.1:4:16115057:16115386:1 gene:PAHAL_4G147200 transcript:PVH47772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLCWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPSEEPVHSGVLKRGDNVKRGRGRPRLTWDETVKRDFKEWNIAKELAMDRSVWRLAINVPEL >PVH47279 pep chromosome:PHallii_v3.1:4:1674936:1678952:-1 gene:PAHAL_4G026800 transcript:PVH47279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTREVVVPAAPRSLDGYCHSCLITSAGRRLERLLGNIGSLVEEAEGRHITNHHLLAHLKALASGMYRGRFALEVTDLDDASNAVGHDGGGGGGDAAVAVTVVGQRSLALRSSFNSAKRYRATSLILGGGGDDGMERLAAAVDELESLTREYTREFILLVQGYPRKVQRPVRTTLYMDRCVRREGAHRRLPDAARAGRRRAVPEHTRGRRRQEVREDHPREARLRRRARSRPLRAHRVVRDAGRRAPGRPPGPDHVGERRAGVPRRRAPHPRRAAILGGAVPARVRGSLARRRVVLERASVVPERARRREQAPLHVPRRRPRPARHGGASGAPPPAAGGVLVLLQGVRVRRRRPAGLPSDRGGRAGDLRAPGAHVPRRQGARHAARGQLRRQVLAQGALRHCQVQAPAVPRRRAARAPARARPAAIGRILPEPAQVHRAGRVQRRSC >PAN22517 pep chromosome:PHallii_v3.1:4:1476590:1484848:-1 gene:PAHAL_4G023700 transcript:PAN22517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGADRSPPPRPLVFAFYLTGHGFGHATRAIEVVRHLVAAGHEVHVATAVPEFVFTAEVRSPRLRIRRVLLDCGAVQADALTVDRLATLEKYREAAVVPRESILRAESEWLSSIKADLVVSDVVPVVCRVAADMGVRSVCIGNFSWDFIYAEYIMEAGYHHRSTVWQISEDYAHCDILLRLPGYGPMPAFRNVIDVPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQPAGWKLKQEWLPDGWICLVCGASDSQDVPPNFIKLAKDAYTPDVIAASDCMLGKIGYGAASEVLAYKLPLVFVRRDYFNEEPFLRNLLEHYQNSIEMIRSDFLAGHWKPYLLRALTLRPCYNGPTNGGEVVAQILQDTAIGKECIPDKFSGARRLQDAIVSGYQLQRAPGRDVRIPDWYSLSETETGAASTSKIVAIKETAALCFEDFEILHGDLQGLTDTMDFLKSLSELNRNNLESSEKQYQERTAASVLFDWEKEIYIARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWKHTQARQLENAGLAPVIQIVSFGSELSNRAPTFDMRLSDFMDGEKPIPYEKAREFFCQNPSQKWAAYVAGTVLVLMTELGVQFTDSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIIPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLVSESLTSKAPVESDCHKENGMDLLKSEAAMEYLCNLPPHRYEAAYAKDIPEVISGDAFLEKYGDHNDTVTVIDPKRSYSVKAPTRHPIYENFRVETFKTLLAAGSTDEQLSALGELMYQCHNSYSACGLGSDGTDRLVNLVQEMQHRTTSEGGSRPSLFGAKITGGGSGGTICVIGKNCARSSEEIVEIQKRYKAATGYLPILFDGSSPGAAKFGYLKIRRQRS >PAN22506 pep chromosome:PHallii_v3.1:4:1476850:1484076:-1 gene:PAHAL_4G023700 transcript:PAN22506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSVCIGNFSWDFIYAEYIMEAGYHHRSTVWQISEDYAHCDILLRLPGYGPMPAFRNVIDVPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQPAGWKLKQEWLPDGWICLVCGASDSQDVPPNFIKLAKDAYTPDVIAASDCMLGKIGYGAASEVLAYKLPLVFVRRDYFNEEPFLRNLLEHYQNSIEMIRSDFLAGHWKPYLLRALTLRPCYNGPTNGGEVVAQILQDTAIGKECIPDKFSGARRLQDAIVSGYQLQRAPGRDVRIPDWYSLSETETGAASTSKIVAIKETAAFRCFEDFEILHGDLQGLTDTMDFLKSLSELNRNNLESSEKQYQERTAASVLFDWEKEIYIARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWKHTQARQLENAGLAPVIQIVSFGSELSNRAPTFDMRLSDFMDGEKPIPYEKAREFFCQNPSQKWAAYVAGTVLVLMTELGVQFTDSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIIPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLVSESLTSKAPVESDCHKENGMDLLKSEAAMEYLCNLPPHRYEAAYAKDIPEVISGDAFLEKYGDHNDTVTVIDPKRSYSVKAPTRHPIYENFRVETFKTLLAAGSTDEQLSALGELMYQCHNSYSACGLGSDGTDRLVNLVQEMQHRTTSEGGSRPSLFGAKITGGGSGGTICVIGKNCARSSEEIVEIQKRYKAATGYLPILFDGSSPGAAKFGYLKIRRQRS >PAN22507 pep chromosome:PHallii_v3.1:4:1476590:1484858:-1 gene:PAHAL_4G023700 transcript:PAN22507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGADRSPPPRPLVFAFYLTGHGFGHATRAIEVVRHLVAAGHEVHVATAVPEFVFTAEVRSPRLRIRRVLLDCGAVQADALTVDRLATLEKYREAAVVPRESILRAESEWLSSIKADLVVSDVVPVVCRVAADMGVRSVCIGNFSWDFIYAEYIMEAGYHHRSTVWQISEDYAHCDILLRLPGYGPMPAFRNVIDVPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQPAGWKLKQEWLPDGWICLVCGASDSQDVPPNFIKLAKDAYTPDVIAASDCMLGKIGYGAASEVLAYKLPLVFVRRDYFNEEPFLRNLLEHYQNSIEMIRSDFLAGHWKPYLLRALTLRPCYNGPTNGGEVVAQILQDTAIGKECIPDKFSGARRLQDAIVSGYQLQRAPGRDVRIPDWYSLSETETGAASTSKIVAIKETAAFRCFEDFEILHGDLQGLTDTMDFLKSLSELNRNNLESSEKQYQERTAASVLFDWEKEIYIARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWKHTQARQLENAGLAPVIQIVSFGSELSNRAPTFDMRLSDFMDGEKPIPYEKAREFFCQNPSQKWAAYVAGTVLVLMTELGVQFTDSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIIPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLVSESLTSKAPVESDCHKENGMDLLKSEAAMEYLCNLPPHRYEAAYAKDIPEVISGDAFLEKYGDHNDTVTVIDPKRSYSVKAPTRHPIYENFRVETFKTLLAAGSTDEQLSALGELMYQCHNSYSACGLGSDGTDRLVNLVQEMQHRTTSEGGSRPSLFGAKITGGGSGGTICVIGKNCARSSEEIVEIQKRYKAATGYLPILFDGSSPGAAKFGYLKIRRQRS >PVH47398 pep chromosome:PHallii_v3.1:4:3377922:3378887:-1 gene:PAHAL_4G051700 transcript:PVH47398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAGSAAAPGARGGPSSSSSSGCRGGRGCGLALGRLVRKLRRQSRMLVCTAATAGARHGHGHASSSSARCHQYDPLSYARNFDFGTALDGSEGYYSFASRFVLAAAPARRPQ >PVH48396 pep chromosome:PHallii_v3.1:4:50421534:50423634:-1 gene:PAHAL_4G323000 transcript:PVH48396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATSPPRVLDPFTTVATEGTSCDEAMASMGAGADPGIFASPRAPSPPPSSTASDLVSREPQAEGALWSAPDVAASSPPTEGGLVSSELAKVLASLGYNEMASAAALLHNETLVSVWQEAITVFAAPDVLLQAACPGCSRRELLLRHMALGYFPYAELATARSMKLLSASGFCLNVSAERGPFAVDGVEISRPELFDNGRYVVHGLRGFVPRLSRESCIEGTHHRLGARSPGSAVVRMAMARLRERGFGFVALAIRVKCTEPEKLANLTVFALDDQAIFNGGRHGYVSAVRFHIVPGHRLTRADLLLLRPGTVLATLAGEDQKLVITHGAGADEVQINYVPVKEADLVINSRIAVHGIYIPFPRPSPAYAVAVASATPLNGICGEEAISYCTSTSMTSGPVQPAKGSASEVATAPPANKPAAMVRPLHPNHGDPLHVSEKLGGAGVGAQPVGGGHGAAAAAAAAGAIGVVAIAVGLLKKEKKRRQHRERLSKMFRRRAEELEPRVDPSICTDCGYDKHAWTASCCGFSFCSLCVVNGYLDSHVHIYRRKGSDKLGFCGKEGLLIPTKEPEYDPINAEFFFTGEEKADGPHMKVKTFVNLAQLRARQLPKRLLVWYKTSDDTRLNALVRSPVKKNLSLY >PAN25737 pep chromosome:PHallii_v3.1:4:50381162:50385134:1 gene:PAHAL_4G322200 transcript:PAN25737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALPRVGEPVRWMMASSARPRRAMGSSAAGGRRDPGENPKVGRLRELFAGDAADGWEKSWEFGVTPWDLGKPTPVIEHLVRSETLPKGRALVPGCGMGYDVVALACPERFVIGLDISDMAVKKAKQWSSSLPNADYFTFLAEDFFKWIPSEQFDLIFDYTFFCALDPSLRVAWAETINRLLKPDGELLTLIYLISDQEGGPPYNNTVADYQKVLEPLGFRAVLMEDNELAIKPRKGCEKLGRWKRFAHQSSL >PAN22928 pep chromosome:PHallii_v3.1:4:3565655:3566134:1 gene:PAHAL_4G053900 transcript:PAN22928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFPGAVFRILVVAVLIAATLSSHAAARYVCRGKCGDFLPDCDSWCRTAGGYPKGGQCVPPLDQYCCCIE >PVH48058 pep chromosome:PHallii_v3.1:4:41928901:41929891:1 gene:PAHAL_4G230800 transcript:PVH48058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDTLRSGTSTLRCKPCRGGAAPCDPAFDPGRTSSFARVACGPECPSPLCDGSGCSFNLTYSNHSVAVNGTFIKDTLTLSPSVTVASFVLACVDVDNMHITALSRLLDLSRTSATSSRGFLSIGGALPDFSSVQAGSTPLVVDNPRYKNSYIVKLDGINVGGTELPATESNLAALEVGTSFTFFPPAIYSALRDEFRKQMSKYRPAPPYLMLDTCYNFTGLPAFDGPGGATLQPDVDQMMYFVDGDDSFNYVCLAFAALPEDFPYTVIGNRAQQTVEVVYDVGGGKVGFIQGSC >PAN22310 pep chromosome:PHallii_v3.1:4:730655:734164:1 gene:PAHAL_4G010800 transcript:PAN22310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSRGRHSTDPTRPSQSGAASVSLFGSEGSVTGGGGSAEAALKAEAATMRLVWRRGVVRLVLVSAIAWAMLVLLALAFHLWSCNSSVAFLSALCKKDSKVLNVLDSMGLSSKPLHRCQIPVADDPNAVAIPKRTPNTIVKKLSYITVDKQDKDPSPLFGGRQSWKQREESFKLNSTMKVHCGFMKNSGADMVSIDVKYIQKCKFVVASGIFDGYDIPHQPSNISRRSQKLFCFLMVVDEVSLDFIEKNTTVKIDSAGGKWVGIWRLIIVHRLPFDEPRRNGKIPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYYEGMEPWSPKKKIPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQISFGYVVHRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPADLSSVELPAVKRTSPAG >PAN22311 pep chromosome:PHallii_v3.1:4:730655:734164:1 gene:PAHAL_4G010800 transcript:PAN22311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSRGGGGSAEAALKAEAATMRLVWRRGVVRLVLVSAIAWAMLVLLALAFHLWSCNSSVAFLSALCKKDSKVLNVLDSMGLSSKPLHRCQIPVADDPNAVAIPKRTPNTIVKKLSYITVDKQDKDPSPLFGGRQSWKQREESFKLNSTMKVHCGFMKNSGADMVSIDVKYIQKCKFVVASGIFDGYDIPHQPSNISRRSQKLFCFLMVVDEVSLDFIEKNTTVKIDSAGGKWVGIWRLIIVHRLPFDEPRRNGKIPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYYEGMEPWSPKKKIPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQISFGYVVHRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPADLSSVELPAVKRTSPAG >PVH47688 pep chromosome:PHallii_v3.1:4:9004161:9013994:1 gene:PAHAL_4G117000 transcript:PVH47688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLPMGGSVKVIDESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDTQIYIPTPTWANHHNIWRDAHVPQRTFTYYHQESRGLDFSGLMDDIKNAPDGSFFWLHACAHNPTGVDPSEEQWREISYQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMHGTLRTKIFYVTMRCKQLS >PAN25811 pep chromosome:PHallii_v3.1:4:50624636:50626606:-1 gene:PAHAL_4G327400 transcript:PAN25811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTAVHARCLLAAALLLVLGTRGGGAQPLVPAVMTFGDSSVDVGNNDYLHTIIKANFPPYGRDFANHVATGRFCNGKLATDITADTLGFTTYPAAYLSPQASGQNLLIGANFASAGSGYYDHTALMYHAIPLSQQLEYFKEYQSKLAAVAGAGQARSIVTGALYIISAGASDFVQNYYINPLLFKTQTADQFSDRLVGIFSNTVSQLYGMGARRIGVTSLPPLGCLPASITLFGHGSDGCVSRLNRDSQSFNRKMNATVDALSSRYPDLKIAVFDIYTPLYDLATNPQAQGFTEARRGCCGTGTVETTVLLCNPKSVGTCPNATSYVFWDAVHPSEAANQVIADSLITEGLILVT >PAN25101 pep chromosome:PHallii_v3.1:4:47063099:47070018:-1 gene:PAHAL_4G275400 transcript:PAN25101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLGSKRRVAEGDAEPEEEEEGSSEEYEVEVVRDHIASSRGSRLALFGSDLRLGRFRPRRRRREGGAEGFFQDLVIHPDNRWYRLWTKFILVWAVYSSFFTPLEFAFFRGLPRNLFLLDIAGQIAFLIDIVIKFFVAYRDPDTYRIVYDPTAIALRYFKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRALKVSEFFWHLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLKLGDYSYANFREIDLAKRYITSLYFAIVTMATVGYGDIHAVNIREMIFVMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYVESVPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCYGALEGVGIGEDGQEETVLMLEPESSFGEISILCNIPQPYSVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLSESEYGGRIKQLESDITFHIGKQEAELILRVNSAAFYGDLHQLKSLIRAGADPKNTDYDGRTPLHLAASRGYEDVVQFLLGEGVDINLTDQFGNTPLLEAVKQGHERVAALLFAKGAKLSLKNAGSHLCTAVAKGDSDFIRRALACGADPNCGDYDHRTPLHIAAAEGLYLIAKMLVEAGATVFATDRWGTTPLDEARKCGGRTLLALLEQARADELSKFPERGEGVRDKMHPRRCSVFPYHPWRAAAAATGAGRRKEGVVLWIPHTIESLVASAQEKLGVPGPGARLRLLCEDGARVLDVDMVKDGQKLYLVGGEDDDQEDGE >PVH48200 pep chromosome:PHallii_v3.1:4:47062804:47070018:-1 gene:PAHAL_4G275400 transcript:PVH48200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLGSKRRVAEGDAEPEEEEEGSSEEYEVEVVRDHIASSRGSRLALFGSDLRLGRFRPRRRRREGGAEGFFQDLVIHPDNRWYRLWTKFILVWAVYSSFFTPLEFAFFRGLPRNLFLLDIAGQIAFLIDIVIKFFVAYRDPDTYRIVYDPTAIALRYFKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRALKVSEFFWHLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLKLGDYSYANFREIDLAKRYITSLYFAIVTMATVGYGDIHAVNIREMIFVMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYVESVPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCYGALEGVGIGEDGQEETVLMLEPESSFGEISILCNIPQPYSVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLSESEYGGRIKQLESDITFHIGKQEAELILRVNSAAFYGDLHQLKSLIRAGADPKNTDYDGRTPLHLAASRGYEDVVQFLLGEGVDINLTDQFGNTPLLEAVKQGHERVAALLFAKGAKLSLKNAGSHLCTAVAKGDSDFIRRALACGADPNCGDYDHRTPLHIAAAEGLYLIAKMLVEAGATVFATDRWGTTPLDEARKCGGRTLLALLEQARADELSKFPERGEGVRDKMHPRRCSVFPYHPWRAAAAATGAGRRKEGVVLWIPHTIESLVASAQEKLGVPGPGARLRLLCEDGARVLDVDMVKDGQKLYLVGGEDDDQEDGE >PAN26124 pep chromosome:PHallii_v3.1:4:52103251:52106415:1 gene:PAHAL_4G349100 transcript:PAN26124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVVAVCAVVGFLGVISAALGFAAEATRVKVSDVQTDSPGECIYPRSPALALGLISAVCLMLAQSVINTVAGCICCKRHPVPSDTNWSVALISFIISWCTFIIAFLLLLTGAALNDQRGAENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSSKSPPLTFAAPQNQGIAMGQPVIPQQSSEPVFVHEDTYNRQQFP >PAN24567 pep chromosome:PHallii_v3.1:4:42860782:42862539:1 gene:PAHAL_4G235600 transcript:PAN24567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRGRKQLTNGRIHEDKGSSGEEVVVPARKRRGRPQKRVAAEKIIEAEVKKLEEADDGDEDYVVGAGDGAKLKGSAGVGTNKRNRVPKEEEEEGSNLDMEENSSSTRSSNDESTRSNGFRQSGSRRKSTPRRAAEAGL >PAN24568 pep chromosome:PHallii_v3.1:4:42860782:42862539:1 gene:PAHAL_4G235600 transcript:PAN24568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRGRKQLTNGRIHEDKGSSGEEVVVPARKRRGRPQKRVAAEKIIEAEVKKLEEADDGDEDYVVGAGDGAKLKGSAGVGTNKRNRVPKEEEEEGSNLDMEENSSSTRSSNDESTRSNGFRQSGSRRKSTPRRAAEAGL >PVH48164 pep chromosome:PHallii_v3.1:4:46184002:46184959:-1 gene:PAHAL_4G265600 transcript:PVH48164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITVNHQAGTSECPHNKNQNQNILEGSAWQFCTNNLPNAHNALILYATYASAKAFIFHFIYTYLNQSQLGKLGGRKSD >PVH47963 pep chromosome:PHallii_v3.1:4:34103889:34104818:1 gene:PAHAL_4G201700 transcript:PVH47963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTNTFWDQEGHFHTNGLHWEGFPRILWESLSMFHYTEPPLYDGVEYREERVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSVGNFDDF >PAN22688 pep chromosome:PHallii_v3.1:4:2334298:2335175:1 gene:PAHAL_4G037300 transcript:PAN22688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCRSVPHEHSSAYYGCGGGYDYEDVGGGGGQGKSYSFNGPSARDDPEAKRRRRVAAYNVFATQGRIKTTVRSSVKWLKSKFSDIRYGGL >PVH47493 pep chromosome:PHallii_v3.1:4:5101462:5101920:1 gene:PAHAL_4G075700 transcript:PVH47493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVAKCDTWCELQNPANHRVFERKLRPRPSDTPNPSLGTDVVFGSPRLMVWWAEVVAAGIPCRAPACGEETYKDSPSNGERTGSSPA >PVH47992 pep chromosome:PHallii_v3.1:4:37628712:37629123:1 gene:PAHAL_4G210800 transcript:PVH47992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGRIRCPQGRLAAAPSPRRVDLPTPCRSAMPAGLNLMIWNTCSTGRGCSLRASGSISKWGNEGREGNGRLRRGRRRPATTPLGLG >PVH47466 pep chromosome:PHallii_v3.1:4:4653299:4655715:1 gene:PAHAL_4G069600 transcript:PVH47466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLETRTDVDIDDPDDASPIVRALGPDWRWKFMLIIAGALLATLLLIWLAKRAGRGGGVAAGGRPSHSPRSRCARCARTTSSRVRTRSRCPCGHPYHRLCITLAVDHDPRCPVCRAPVSPADTAA >PVH47907 pep chromosome:PHallii_v3.1:4:28298719:28299688:1 gene:PAHAL_4G184400 transcript:PVH47907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPLLSLTGGRVAASLSLPFLPHRAAPGSLLSLPWSTSTSNSSPLHPLLVLRPRPSAGVASGRAGARAARSGVVTRSQP >PAN25267 pep chromosome:PHallii_v3.1:4:48009354:48013569:1 gene:PAHAL_4G287900 transcript:PAN25267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSAAKAAAPPPGNAADHVLLIPPDQPPTPPHAASGNQQPNPAAAEGPKPSQNPEKPPPPVSSPARPPLPPALLRRRSSIGMPKSRFAEPPTPPHPDSAHPSPVHPAAAASLAATPSHRAAAGVSTPRTPAEADDEEDLFRNRDGSRTWASAARCRRRARIGLELSVLILFLALLAVSVVVRPLRGRVLWGLEIWKWCVMVTAVFSGHLLSRWLVTLIVFVVERNFLLRTKVLYFVFGLKKSFQVSLWLGLVLIAWSQLFDQGAGRSLKTARILNYVSKFLASVLIGSDIWLVKTFLMKLIAATFHRKTFFDRIQESVFHQYVLQTLSGPPLMQLAENVGREGSGLGRVSFSTAKEEKDKGVPEVIDVVKLRRMSQEKVSAWTMRGLITAIRSSRLSTISNTIESFDDADGMSKDKEINSEWEAKAAACAIFKNVARPGYKHIEEVDLLRFLTKEEVDLVIPLFEGAPETGKIKKSALKNWVVKAYLDRKSLALSLNDTKTAVMQLHNLISVIVVIIIIIVTLLLMGIATTKIIVVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGTQMTVEEMNILTTVFLKNDNEKVYYPNSVLSTKAISNFYRSPNMYETIDFAIDVSTSIESIGALKSKIKGYLESKPTHWHPVHTVNLKDILDVNKINMSLSVQHTMNFQNIREKNIRRSELVMELKRIFEEMSIRYHLLPQKVELTYVGPNPLPMAVAHTR >PAN22511 pep chromosome:PHallii_v3.1:4:1500174:1501512:-1 gene:PAHAL_4G024100 transcript:PAN22511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQQQPAAEAAPAPVKLITAFGSPFAHRVEVALALKGVPYELVVEDLASKSELLLAHNPVHRSVPVLLHGGRAVCESLVIVEYVDEAFHRGAAPRILPTDPYDRATARFWAQFIADKCLKPLWLSMWTAGEAQARFARETKESLAVLDAQLEGRRFFGGDALGFVDLAACTLAYWLDVLEEVAGVRLAGDGEYPALRRWAKEYTADETVRRFLPDRGELVAFFAANKERYSSMVRAAVQRQ >PAN25433 pep chromosome:PHallii_v3.1:4:48854398:48857383:1 gene:PAHAL_4G301100 transcript:PAN25433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVDGGGAAAEAAPGRGQTVCVTGAGGYIGSWIVKLLLERGYAVRGTVRNPDDAKNAHLRALPGAAERLALCRADLLDYEALRAAVAGCHGVFHTASPVTDDPEQMVEPAVRGTRHVIDAAAEAGTVRRVVLTSSIGAVAMDPGRAPDAVVDESCWSDLDFCKSTRNWYCYGKAAAERAAWEAAAARGVDLVAVVPVLVQGPALQPAVNASLAHVLKYLDGSVATFANAVQAYVHVRDVADAHVRVFEAPGAAGRYLCADAVLHREDVVRTLRKFFPEYPVPERCSDEVNPRKQPYKISNHRLRDLGLEFTPAAQALYETVVCFQEKGILPVPATATPSSPPSLP >PAN23815 pep chromosome:PHallii_v3.1:4:9529119:9531572:1 gene:PAHAL_4G120500 transcript:PAN23815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKANVKKGPWTPEEDAKLLAYTSTHGTGNWTNVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEDLIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMHSIGALAIRPPQPAHSPSGGSSSSYLPAPAALPLVHDVAYHAAGILQPPPPQQQQAVIARVDADAPASPAADHGQQLKWSDFLADDAAAAAAASEAQQALDQYHHEAAAASVLAAGSSSGAGGRACGDGGGGDDGAAAFIDAILDCDKETGVDQLIAELLADPAYYAGSSSSSPEMGWGC >PAN22966 pep chromosome:PHallii_v3.1:4:3713773:3718383:-1 gene:PAHAL_4G056500 transcript:PAN22966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIRQLAKELKNLDDSPPEGIKVSVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHILLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHAIKPKNKSKSGAISESTTALNVGQSNTVLGENTPLASTAISTSAAAKALGKNSQDQNAATSDPVVGASTAPKKDAPHAAKVPVDKKKLDARKKSLKRL >PAN23646 pep chromosome:PHallii_v3.1:4:7823803:7825652:-1 gene:PAHAL_4G107400 transcript:PAN23646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAESSRHDNLESIFEGASSEPRTLPLEYLRNITNDFSEELLLGEGGFGKVYKGVKENGETIAVKRLSPSIPEVSQFENEARHLMKLNHPNIVPLVAYCFESKIIYVEQEGKYFWAEKPERLLCLEYMPEGSLRGCLSDESCGLDWDRRYKIIEGICFGLHYLHEEWNPKTPIIHMDLKPANILLDVNMVPKIADFGLSRLFGEEQTRTCTTNCFGTWGYKAPEYINRGTITKELDIFSLGVIIIEIVTGHKNYPEDTEVDDGSSQRYIELVLKNWRTRMENSQGYTSVEINYQQIALCIAIGLVCVKLDRMKRPTTSQIITMLRRPGSADGSIREGVRSPAKTKIHYLLTDWEFLFCTKVVQPEQTHDNKDGAQTVVTVAEETFADRRKQRSPASRPFRRFTEPRPAEETIAQTEKRGTLVFQPIGGATFYPTPTERDERTNCSII >PAN23645 pep chromosome:PHallii_v3.1:4:7823584:7826893:-1 gene:PAHAL_4G107400 transcript:PAN23645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAESSRHDNLESIFEGASSEPRTLPLEYLRNITNDFSEELLLGEGGFGKVYKGVKENGETIAVKRLSPSIPEVSQFENEARHLMKLNHPNIVPLVAYCFESKIIYVEQEGKYFWAEKPERLLCLEYMPEGSLRGCLSDESCGLDWDRRYKIIEGICFGLHYLHEEWNPKTPIIHMDLKPANILLDVNMVPKIADFGLSRLFGEEQTRTCTTNCFGTWGYKAPEYINRGTITKELDIFSLGVIIIEIVTGHKNYPEDTEVDDGSSQRYIELVLKNWRTRMENSQGYTSVEINYQQIALCIAIGLVCVKLDRMKRPTTSQIITMLRRPGSADGSIREGVVQPEQTHDNKDGAQTVVTVAEETFADRRKQRSPASRPFRRFTEPRPAEETIAQTEKRGTLVFQPIGGATFYPTPTERDERTNCSII >PVH48300 pep chromosome:PHallii_v3.1:4:49044218:49046976:-1 gene:PAHAL_4G303400 transcript:PVH48300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPCSSAPSRLLPSLTLCSRRTVAAASSPAPAGEPGRRPLRYAVLGAGFAGLSVAWHLLKHSPRDSRVSVDIYDDNGVGGGASGVSGGLLHPYSPKVKLLWRGAEFWKESMGLLRIAEQANGTARSDTTSQDESLIWRRGIVRPPTTEKAADILLENAQSCLESCSLQVLDSDAAQRLIPGLCVPFDFAVYMPLALNINPKKYLQALFSACQNLTNEASSLPSEQKDFKLYKQHVDNLHQLAGDYDSVIICLGAKACSLPELANKLPLRTCRGVIAEFQLPSDTVEEYGNQSPSILSDAWMAFQGPRTVSIGSTWQWKSENYSSTVSDEEALTAKNELLPKASGVYPGISKWDFVHARAGIRAMPPLTANGSLPLLGCLDGMIGKKSNCNFWLVGGLGARGLLYHGLVGKLTAKAAISCDENIIPSEFTSWKKPKDIGTILMQ >PAN25466 pep chromosome:PHallii_v3.1:4:49044859:49046767:-1 gene:PAHAL_4G303400 transcript:PAN25466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPCSSAPSRLLPSLTLCSRRTVAAASSPAPAGEPGRRPLRYAVLGAGFAGLSVAWHLLKHSPRDSRVSVDIYDDNGVGGGASGVSGGLLHPYSPKVKLLWRGAEFWKESMGLLRIAEQANGTARSDTTSQDESLIWRRGIVRPPTTEKAADILLENAQSCLESCSLQVLDSDAAQRLIPGLCVPFDFAVYMPLALNINPKKYLQALFSACQNLTNEASSLPSEQKDFKLYKQHVDNLHQLAGDYDSVIICLGAKACSLPELANKLPLRTCRGVIAEFQLPSDTVEEYGNQSPSILSDAWMAFQGPRTVSIGSTWQWKSENYSSTVSDEEALTAKNELLPKASGVYPGISKWDFVHARAGIRAMPPLTANGSLPLLGCLDGMIGKKSNCNFWLVGGLGARGLLYHGLVGKLTAKAAISCDENIIPSEFTSWKVMKPSQ >PVH47983 pep chromosome:PHallii_v3.1:4:36364470:36365756:-1 gene:PAHAL_4G207100 transcript:PVH47983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLNAASKRSRGGLLPEEEESLSEMRRISQLLRDEEDEGDAEYYQEEAEEEEEAPAAAKGLKVKVVLTRAELEWLMAQLKSGDRRLQDVLHHMHAAKAAADKHPGGGAAWRPRLESILECQETLVS >PAN24621 pep chromosome:PHallii_v3.1:4:43317785:43322467:-1 gene:PAHAL_4G238800 transcript:PAN24621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MELATTAAAAVASAARPHGPFSSPPAVSVSVSWTSPSLASTSRSLSTSSSASTSRRRRRWLPVASAAVELREAAAGGGDSVRVTETPQPGSSVKFSVEVPTSIIQECYQLTLQEYAKRFKVPGFRPGKIIPENVLINYVGPQHVHDATIEAVLKHTLPQALSSVEDRALEDSVRILTQFDDMRSSFTLDDVFRYDVAVDVAPEVRWLSEDKYKNLKVVVEIDEAVHAEKAAEKELQRRHKALGLLRVVADRGLQIGDLVVLDIFAESINSDGSKGEKISSAESTGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPIQFPESFEQESLRGVRAQFTVVCKELFYRELPEMDDSLAGKLLPGCNTIAEVRERILERCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERRLDKDQLASLSSQRSVQAYLEDEKENIIRIIKQMLAVGDIFKSENLEYSTEQLIKEIENSVAEFKRYNQDYDEDNIKQQVQDVLEAAKVLEWLKENCTIEYVRR >PAN24622 pep chromosome:PHallii_v3.1:4:43317080:43322611:-1 gene:PAHAL_4G238800 transcript:PAN24622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MELATTAAAAVASAARPHGPFSSPPAVSVSVSWTSPSLASTSRSLSTSSSASTSRRRRRWLPVASAAVELREAAAGGGDSVRVTETPQPGSSVKFSVEVPTSIIQECYQLTLQEYAKRFKVPGFRPGKIIPENVLINYVGPQHVHDATIEAVLKHTLPQALSSVEDRALEDSVRILTQFDDMRSSFTLDDVFRYDVAVDVAPEVRWLSEDKYKNLKVVVEIDEAVHAEKAAEKELQRRHKALGLLRVVADRGLQIGDLVVLDIFAESINSDGSKGEKISSAESTGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPIQFPESFEQESLRGVRAQFTVVCKELFYRELPEMDDSLAGKLLPGCNTIAEVRERILERCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERRLDKDQLASLSSQRSVQAYLEDEKENIIRIIKQMLAVGDIFKSENLEYSTEQLIKEIENSVAEFKRYNQDYDEDNIKQQVQDVLEAAKVLEWLKENCTIEYVRR >PVH47454 pep chromosome:PHallii_v3.1:4:4352399:4356074:1 gene:PAHAL_4G066700 transcript:PVH47454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNSRSVGGVDETMGAASGWRTTKNHLRRAPVQKDVGSGIPNHHPRRAPLQIDAGSGTFKRHPLRGPLQIDVGSGTPKHHPHRGPLQIETRSCRLQIDGKGEDKVDGNGWRTPGSRARGSTGGNVAKEKDKRKVSPSDAETEDENVVDLLQKVSLSVAETEDESAVDLLQKLMQKASPSDAETKEENTLIWNILWLCFSVVCMGYIAVYRS >PVH47253 pep chromosome:PHallii_v3.1:4:1145314:1146682:-1 gene:PAHAL_4G017600 transcript:PVH47253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYERWVQRRRARTTRSYGGSYDDVSSTVSDDADGCRRREKVSVRTERTPAGSDRDGARGGSDVPSSGSRKSLHLEDRGAWTARELGEVSTGWVGRASGGRARAGVARIWAGGAIRGWHRSSPSSGAGRRGTTRSGRRHRRRDPGVNQSRDRRAARGRGVAARWRRGGRRPPAPSRARGAIVAYRLVRLAAPTCTAVARCILHHRSEARGPGHGRR >PVH47956 pep chromosome:PHallii_v3.1:4:33311307:33312044:1 gene:PAHAL_4G199300 transcript:PVH47956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEWVDGICHAEPGLPKLLVLSLERIGVMEPPEYAYREYTSKGTLRCDMMVFVGKSTRYPDVDPWFISTSGFRFPDTYRKAAHKTLRRLRVVYKHHLQRTSMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKANQELEEQQIRATCAEYSLAALQAQMQEYENHRGIGGWIEEEEEPEETHWDKGTQTEDEVMDRCLPIKKRPVRIEEESPR >PAN22909 pep chromosome:PHallii_v3.1:4:3477675:3484458:1 gene:PAHAL_4G052600 transcript:PAN22909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to heavy metal ATPase [Source: Projected from Oryza sativa (Os06g0665800)] MAHVQLSAVAGGRPAAAGGRGDDMEDVALLDSYDEEMGMPPPPGGAAAEEEAAAEAHVRVTGMTCSACTSAVEAAVSARRGVRRVAVSLLQNRAHVVFDPALAKVEDIIEAIEDAGFEAEIIPDSAVSQPKSQKTLSAQFRIGGMTCANCVNSVEGILKNLPGVKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKILLGLIGLHTERDVEVLHDILKKMDGLRQFDVNTVLSEVEIVFDPEAVGLRSIVDTIEMGSNGWLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSIPVFFIRMVCPSIPFLSTLLSMHCGPFLMGDLLKWILVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPVYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPSTALLVLKDKEGKHVGEREIDALLVQPGDVLKVLPGSKVPADGVVVWGTSHVNESMITGESAPVPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSIVTFSVWFLCGWLGAYPNSWVAENSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVVFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLARAVLDYAFHFHFFGKLPSSKDGIEQRKDEVLSQWLLEAEDFSAVPGKGVQCSIKGKHVLVGNRTLITENGVTIPPEAESFLVDLESNAKTGILVAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >PAN22911 pep chromosome:PHallii_v3.1:4:3477675:3484458:1 gene:PAHAL_4G052600 transcript:PAN22911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to heavy metal ATPase [Source: Projected from Oryza sativa (Os06g0665800)] MTCANCVNSVEGILKNLPGVKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKILLGLIGLHTERDVEVLHDILKKMDGLRQFDVNTVLSEVEIVFDPEAVGLRSIVDTIEMGSNGWLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSIPVFFIRMVCPSIPFLSTLLSMHCGPFLMGDLLKWILVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPVYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPSTALLVLKDKEGKHVGEREIDALLVQPGDVLKVLPGSKVPADGVVVWGTSHVNESMITGESAPVPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSIVTFSVWFLCGWLGAYPNSWVAENSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVVFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLARAVLDYAFHFHFFGKLPSSKDGIEQRKDEVLSQWLLEAEDFSAVPGKGVQCSIKGKHVLVGNRTLITENGVTIPPEAESFLVDLESNAKTGILVAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >PAN22912 pep chromosome:PHallii_v3.1:4:3478064:3484458:1 gene:PAHAL_4G052600 transcript:PAN22912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to heavy metal ATPase [Source: Projected from Oryza sativa (Os06g0665800)] MTCANCVNSVEGILKNLPGVKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKILLGLIGLHTERDVEVLHDILKKMDGLRQFDVNTVLSEVEIVFDPEAVGLRSIVDTIEMGSNGWLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSIPVFFIRMVCPSIPFLSTLLSMHCGPFLMGDLLKWILVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPVYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPSTALLVLKDKEGKHVGEREIDALLVQPGDVLKVLPGSKVPADGVVVWGTSHVNESMITGESAPVPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSIVTFSVWFLCGWLGAYPNSWVAENSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVVFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLARAVLDYAFHFHFFGKLPSSKDGIEQRKDEVLSQWLLEAEDFSAVPGKGVQCSIKGKHVLVGNRTLITENGVTIPPEAESFLVDLESNAKTGILVAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >PAN23731 pep chromosome:PHallii_v3.1:4:8437226:8438838:-1 gene:PAHAL_4G112900 transcript:PAN23731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAFITYYTSSLLADCYRSGDQATGKRNYTYMDAVAAYLGRWQVWSCGIFQYVNLVGTAVGYTITASISAAAVHKANCFHKKGHAADCSQYDTMYMIVFGIVQIFFSQLPNFSDLSWLSILAAIMSFSYSSIAVGLSLARTISGRTGKTTLTGTEVGVDVDSAQKIWMALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLAGCLGYAAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAAVETAAATHWPNSKFVTREHPLVAGRFNINMLRVTWRTVFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIRQQRIQKYTTRWLALQTLSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >PAN23730 pep chromosome:PHallii_v3.1:4:8436941:8442290:-1 gene:PAHAL_4G112900 transcript:PAN23730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTQDLEMAARQGNGAAGGAYYPPPRAGAGGEDLDDDGRKKRTGTVWTASAHIITAVIGSGVLSLAWSTAQLGWVVGPVTLMIFAFITYYTSSLLADCYRSGDQATGKRNYTYMDAVAAYLGRWQVWSCGIFQYVNLVGTAVGYTITASISAAAVHKANCFHKKGHAADCSQYDTMYMIVFGIVQIFFSQLPNFSDLSWLSILAAIMSFSYSSIAVGLSLARTISGRTGKTTLTGTEVGVDVDSAQKIWMALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLAGCLGYAAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAAVETAAATHWPNSKFVTREHPLVAGRFNINMLRVTWRTVFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIRQQRIQKYTTRWLALQTLSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >PAN23729 pep chromosome:PHallii_v3.1:4:8436941:8441258:-1 gene:PAHAL_4G112900 transcript:PAN23729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAFITYYTSSLLADCYRSGDQATGKRNYTYMDAVAAYLGRWQVWSCGIFQYVNLVGTAVGYTITASISAAAVHKANCFHKKGHAADCSQYDTMYMIVFGIVQIFFSQLPNFSDLSWLSILAAIMSFSYSSIAVGLSLARTISGRTGKTTLTGTEVGVDVDSAQKIWMALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLAGCLGYAAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAAVETAAATHWPNSKFVTREHPLVAGRFNINMLRVTWRTVFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIRQQRIQKYTTRWLALQTLSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >PVH47673 pep chromosome:PHallii_v3.1:4:8437226:8438838:-1 gene:PAHAL_4G112900 transcript:PVH47673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAFITYYTSSLLADCYRSGDQATGKRNYTYMDAVAAYLGRWQVWSCGIFQYVNLVGTAVGYTITASISAAAVHKANCFHKKGHAADCSQYDTMYMIVFGIVQIFFSQLPNFSDLSWLSILAAIMSFSYSSIAVGLSLARTISGRTGKTTLTGTEVGVDVDSAQKIWMALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLAGCLGYAAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAAVETAAATHWPNSKFVTREHPLVAGRFNINMLRVTWRTVFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIRQQRIQKYTTRWLALQTLSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >PVH47838 pep chromosome:PHallii_v3.1:4:20539246:20544099:-1 gene:PAHAL_4G163000 transcript:PVH47838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPAPPPPFAVHLVSGGGSSPGLALLLRSLAAARVVALDAEWKPRRRGSPAAAGTGDGASPAPAPPQFPTVTLLQVACRGGDGGEGERCEVFVVDLLAVPLADLWAPLRDLFERPDVLKLGFRFKQDLVYLSASFAAALGGDAGFDRVEPFLDVTNVYYYLKGHDRQKKLPKETKSLATICKELLSVSLSKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFQQKITTEGKCSSTTELNSDKHCSPTVIECSSSGYDTCSGGYLMSIVTKYSEKILLTDSDTKPHSSRRKEKSKLPTNAKCKDKVDYSTEWQGPPPWDPSIGGDGYPKFLCDVMIEGLAKHLRCVGIDAAIPSSKKPEPREILNQTYKEGRILLTRDVKLLKYQYLASNQVYRVKSLLKHDQLAEVIDTFQLKISEDQLMSRCTKCNGSFIQKPLTLEEAVEASKGFQVIPSCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSVCNISD >PVH47839 pep chromosome:PHallii_v3.1:4:20539231:20544126:-1 gene:PAHAL_4G163000 transcript:PVH47839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPAPPPPFAVHLVSGGGSSPGLALLLRSLAAARVVALDAEWKPRRRGSPAAAGTGDGASPAPAPPQFPTVTLLQVACRGGDGGEGERCEVFVVDLLAVPLADLWAPLRDLFERPDVLKLGFRFKQDLVYLSASFAAALGGDAGFDRVEPFLDVTNVYYYLKGHDRQKKLPKETKSLATICKELLSVSLSKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFQQKITTEGKCSSTTELNSDKHCSPTVIECSSSGYDTCSGGYLMSIVTKYSEKILLTDSDTKPHSSRRKEKSKLPTNAKCKDKVDYSTEWQGPPPWDPSIGGDGYPKFLCDVMIEGLAKHLRCVGIDAAIPSSKKPEPREILNQTYKEGRILLTRDVKLLKYQYLASNQVYRVKSLLKHDQLAEVIDTFQLKISEDQLMSRCTKCNGSFIQKPLTLEEAVEASKGFQVIPSCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSVCNISD >PAN22309 pep chromosome:PHallii_v3.1:4:728111:730443:1 gene:PAHAL_4G010700 transcript:PAN22309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAAAGIATTSRSLPLPFSSAPLHRRRRSAFLPVAASKRHSDDDKEVADGPGREPTGLAPYGLSISPLSKDAAMGLVLSAATGSGWTTGSGMEGPPTASRAGGADRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVGPDFRYQGDAPFNYFDNNEDGDTIFPL >PAN22428 pep chromosome:PHallii_v3.1:4:1257212:1260462:-1 gene:PAHAL_4G019000 transcript:PAN22428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSGQAIRGSSKGPLGGTREKVVRQGRHRRFGAVQRRRPARSSRPRSAPASPKSRMENTLTPCANNDGNSEAYVEGQEWEFPLSDSLEDLDNLTLPQMRQALGLRRPIPLHPAAVNVEATQEHTEVIGQTPELQIPVPQSKRDGKGKGKAKVAGNFSGKRVSQRGKSFSKEEDKIICSAFLNVSKDPITGTSQSSGGYYQRIHKYFVENIEGPSTRSQVAICNRWLTIQKAVNKFCGHLSTVERLNKSGKTEQDRIDDAVKMYEQSEPWTFMHCWNILRHEAKWSDKMVEINSGGRSTKVNQQVAGNIEGQQRQSENDDNGQPARPEGRDTAKKRKSRGTADNDASSAAIEVLQSMNARGQIKDDKEDSQMAQILQRKDAKIELQQNMIALQREEMQKRWELEKEKLNLTREEVQLRKEQTKVEMMKAEAHFMGQDLDKLAPHLKEYYMSIQREIMERRGIISSPTSSSGPSMP >PAN22430 pep chromosome:PHallii_v3.1:4:1257212:1261747:-1 gene:PAHAL_4G019000 transcript:PAN22430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQNWSEAKMPTFQVVRALPKRSSGTTPARSAPSMYCIPSGLSCRPTDTTWYRSGTALRRATEKVPARSRATSAPWKPTTRLTPEKVASVLELVFSAWMVSASCRSRMVPDCPYSRRSSRASETSRMENTLTPCANNDGNSEAYVEGQEWEFPLSDSLEDLDNLTLPQMRQALGLRRPIPLHPAAVNVEATQEHTEVIGQTPELQIPVPQSKRDGKGKGKAKVAGNFSGKRVSQRGKSFSKEEDKIICSAFLNVSKDPITGTSQSSGGYYQRIHKYFVENIEGPSTRSQVAICNRWLTIQKAVNKFCGHLSTVERLNKSGKTEQDRIDDAVKMYEQSEPWTFMHCWNILRHEAKWSDKMVEINSGGRSTKVNQQVAGNIEGQQRQSENDDNGQPARPEGRDTAKKRKSRGTADNDASSAAIEVLQSMNARGQIKDDKEDSQMAQILQRKDAKIELQQNMIALQREEMQKRWELEKEKLNLTREEVQLRKEQTKVEMMKAEAHFMGQDLDKLAPHLKEYYMSIQREIMERRGIISSPTSSSGPSMP >PVH47287 pep chromosome:PHallii_v3.1:4:1786786:1787386:1 gene:PAHAL_4G028400 transcript:PVH47287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVLFVLLCFAFASSSSSDSSWFWFHLMVDPRRVAAMTKNMVRSSERRVLPRLAELKSSPSPTPPPLDPLLLFLVLSTLLVSFSSFNPHIMFWFLMDEKGCCHDDFFNLESRSLLDESGK >PAN22770 pep chromosome:PHallii_v3.1:4:2806524:2810218:1 gene:PAHAL_4G044000 transcript:PAN22770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSRRARTPSPRASYPRPPSAKPRKLVSLCLGTLGQHLEDIITDISEFAAYFPPHIKLAVLSIARRRRLLNDEVLTSLAECSWEILDISGSDVTDVGLATVANVCSNLRAIDISRCEKITTAGVSEIVCHCPSLEILRCGGCPRSEFTARRCLNILKPKLNTLEEDSWEELDTLDIGGGAESLRWLVWPKIDDNSKETLAAECPRVTINPQPSPYDLSRSKVPVEALASVPLDHFIVEDIDPKTWAVSAAPRRPVAPPNPNAPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERDYMMNDIDARSVALAAQASRNLRKS >PAN22769 pep chromosome:PHallii_v3.1:4:2806524:2810217:1 gene:PAHAL_4G044000 transcript:PAN22769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKSVVAELAASLSDVRVTPRQNPKPKSFVPSASFYCFSKKAKPRKLVSLCLGTLGQHLEDIITDISEFAAYFPPHIKLAVLSIARRRRLLNDEVLTSLAECSWEILDISGSDVTDVGLATVANVCSNLRAIDISRCEKITTAGVSEIVCHCPSLEILRCGGCPRSEFTARRCLNILKPKLNTLEEDSWEELDTLDIGGGAESLRWLVWPKIDDNSKETLAAECPRVTINPQPSPYDLSRSKVPVEALASVPLDHFIVEDIDPKTWAVSAAPRRPVAPPNPNAPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERDYMMNDIDARSVALAAQASRNLRKS >PAN24943 pep chromosome:PHallii_v3.1:4:41684078:41686556:1 gene:PAHAL_4G229600 transcript:PAN24943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMVRLSFLLKILFSLALSVISSTTATVEDQFVYSGFSRSNLDLDGAATITPDGVLELTNHTVHIKGHAFYRTPWRFRKSPGEVVQSFSVTFVFGMVPIYSDQCTDGMTFLISPTKDFSGAQTSQYLGLLNKTSDGKSNHIFAVELDSSQNTEFNDIDDNHIGININSLTSIQSRPAAFYDDNNGMLKNLSMVSHKEMQVWVDYDGDTTQINVTLAPLRLPKPSRPLLSAAYNLSTVLEDPSYIGFSASTGPINSLYCVTGWSLGINRPAPLIDITKLPKLPHVGPKPRSKLLEIILPIATAIFIFLVGTTVILLVRRRMKYAEINEDWEAEFGPHRFSYKDLFNATDGFNNKNLLGLGGFGKVYRGLLSVTKSEVAVKRVSHESKQGIKEFVAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMSNGSLDKYLHCEEDKPTLNWTQRFQIIKGVASGLFYLHERWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELARTGKATTLTDVYAFGIFILEVTCGQRPINSHADDSSQILVDWVVEHWHKGSLTYTLDSRLQGNYNSHEVSLALNLGLLCAHPFCNVRPSMRQVIQYLNGEMPLPELTPTNLSFSVLGLMQNEGFDQYSSLPSAVGSSCMTSSLSSGR >PAN23609 pep chromosome:PHallii_v3.1:4:7504859:7507218:1 gene:PAHAL_4G104400 transcript:PAN23609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRACLATFVMVTSFLSTINHFHMVATAGLADDGILLTPDIVSRIHTDHDSIARASSDFGHIVEAIPNGVFHPTSPADIVALIRLSISQPKPFAVAPRGQGHSARGQALAPGGIVIDMRSMRRGDHVSMSSEQLWADVGGEQLWIDVLHATLEHGLAPRIWTDYLRITVGGTLSNGGIGGQAFRHGPQISSVHELDVVTGMGEMITCSPDKDSDLFFAALGGLGQFGVITRARIALEPAPKRVLWVRVAYADVESFTSDQELLISKPASSGSGFDYVEGQVQMNRTLTEGRRSSSFFSASELDQLAKLVLDTGSTAIYYIEGAVYYNDDTASSVNQKLERLLEELNFVPGFAFVRDVSYVEFLDRVGREEQKLRAAGVWDVPHPWLNLFVPRDRWDDRMTTATPGGEDVFYAVGLLRSAVAAGDLERLERENAAVLAFCDREGVGCRQYLPHHASRDGWRRHFGEKWGRLAALKRRYDPRGILSPGQGIFPAAGSDSL >PAN23608 pep chromosome:PHallii_v3.1:4:7504859:7507218:1 gene:PAHAL_4G104400 transcript:PAN23608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRACLATFVMVTSFLSTINHFHMVATAGLADDGILLTPDIVSRIHTDHDSIARASSDFGHIVEAIPNGVFHPTSPADIVALIRLSISQPKPFAVAPRGQGHSARGQALAPGGIVIDMRSMRRGDHVSMSSEQLWADVGGEQLWIDVLHATLEHGLAPRIWTDYLRITVGGTLSNGGIGGQAFRHGPQISSVHELDVVTGMGEMITCSPDKDSDLFFAALGGLGQFGVITRARIALEPAPKRVLWVRVAYADVESFTSDQELLISKPASSGSGFDYVEGQVQMNRTLTEGRRSSSFFSASELDQLAKLVLDTGSTAIYYIEGAVYYNDDTASSVNQKLERLLEELNFVPGFAFVRDVSYVEFLDRVGREEQKLRAAGVWDVPHPWLNLFVPRSRILDFDAGVFRGILRDAKPAGLVLMYPMNRDRWDDRMTTATPGGEDVFYAVGLLRSAVAAGDLERLERENAAVLAFCDREGVGCRQYLPHHASRDGWRRHFGEKWGRLAALKRRYDPRGILSPGQGIFPAAGSDSL >PAN22219 pep chromosome:PHallii_v3.1:4:206103:209877:1 gene:PAHAL_4G004300 transcript:PAN22219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MAIILVRAASPGLSDAVRDIHHHGSLQCSSLLKRRVPARRWMLCSLRYACLGLEPGEIGRTSAVYSSLAVNPAGEAVVSSEQKVYDVVLKQAALLKRQLRTPLLDVRPQQLDMPRNGLKEAYARCGEICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFAGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRNFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLLPVSLRNSQT >PVH47207 pep chromosome:PHallii_v3.1:4:206664:209877:1 gene:PAHAL_4G004300 transcript:PVH47207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MAIILVRAASPGLSDAVRDIHHHGSLQCSSLLKRRVPARRWMLCSLRYACLGLEPGEIGRTSAVYSSLAVNPAGEAVVSSEQKVYDVVLKQAALLKRQLRTPLLDVRPQQLDMPRNGLKEAYARCGEICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFAGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRNFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLLPVSLRNSQT >PAN22220 pep chromosome:PHallii_v3.1:4:206103:209877:1 gene:PAHAL_4G004300 transcript:PAN22220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MAIILVRAASPGLSDAVRDIHHHGSLQCSSLLKRRVPARRWMLCSLRYACLGLEPGEIGRTSAVYSSLAVNPAGEAVVSSEQKVYDVVLKQAALLKRQLRTPLLDVRPQQLDMPRNGLKEAYARCGEICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFAGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRNFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLLPVSLRNSQT >PVH47208 pep chromosome:PHallii_v3.1:4:206664:209877:1 gene:PAHAL_4G004300 transcript:PVH47208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MAIILVRAASPGLSDAVRDIHHHGSLQCSSLLKRRVPARRWMLCSLRYACLGLEPGEIGRTSAVYSSLAVNPAGEAVVSSEQKVYDVVLKQAALLKRQLRTPLLDVRPQQLDMPRNGLKEAYARCGEICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFAGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRNFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLLPVSLRNSQT >PAN23583 pep chromosome:PHallii_v3.1:4:7370680:7373115:-1 gene:PAHAL_4G102500 transcript:PAN23583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRFVFLLNLLHLLLQIYALDILSSGSSLSAERSSDVLRSPDGTFTCGFYNTSPNSRTFSIWFSKVPERTLVWSANPLRPVYSWGSTVRLNSDGSMVLRDYDGQIVWTSNVSSSNAEQAQLLDTGNLIVKGKGDTILWQSFTSPTDTLLPGQRINATIKVVSTSSRLLVPGQYSLHFDDQFLISLFNDQKDLSFIYWPDPTASIWQKLRIPFMSNTSGVLDGLGQFLGSDNTSFRAADWGSHTVRRLTLDYDGNLRLYSLKDDGTWSVTWMAFRQLCNVHGLCGRNGVCVYTPVPRCVCTPGFEVIDQSDWGRGCRPKVNTTCDKEKVKFVHLPNTNFLGLDLSVHRFVSLNFCKNICLSDCNCNGFAYWQGIGDCYPKAILLSGASLQHGTGSIYLKLPKDLEVPESSIPRSQFFGPKYGPNCSEANKYIIANFSSIHKTSQNISKYLYFYGFLSAMFLAELILILLGWFVLRREGQHLRGAWPAEAGYEMITNHFRRYTYRELVAATGKFKDELGRGASGIVYRGILKDTRAIAVKKLGDINQGGEEFQHELSVIGRIYHMNLVRVWGFCSDGPHRMLISEYVENGSLDKILFGVGGSEVLLEWKQRFGIALGVARGLAYLHHECLEWVIHCDVKPENILLDNNFEAKIADFGLAKLLSRGGSNLNVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARISDMEKNEDEEVEMVLGRTVRTLKENLQLDGTDQSWVPGFIDARLRGEFNYLQARTMIKLAVSCLEEDRSRRPTMENVVQVLVSVDEVSSATVMGGAA >PAN23582 pep chromosome:PHallii_v3.1:4:7370500:7373263:-1 gene:PAHAL_4G102500 transcript:PAN23582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSGVLDGLGQFLGSDNTSFRAADWGSHTVRRLTLDYDGNLRLYSLKDDGTWSVTWMAFRQLCNVHGLCGRNGVCVYTPVPRCVCTPGFEVIDQSDWGRGCRPKVNTTCDKEKVKFVHLPNTNFLGLDLSVHRFVSLNFCKNICLSDCNCNGFAYWQGIGDCYPKAILLSGASLQHGTGSIYLKLPKDLEVPESSIPRSQFFGPKYGPNCSEANKYIIANFSSIHKTSQNISKYLYFYGFLSAMFLAELILILLGWFVLRREGQHLRGAWPAEAGYEMITNHFRRYTYRELVAATGKFKDELGRGASGIVYRGILKDTRAIAVKKLGDINQGGEEFQHELSVIGRIYHMNLVRVWGFCSDGPHRMLISEYVENGSLDKILFGVGGSEVLLEWKQRFGIALGVARGLAYLHHECLEWVIHCDVKPENILLDNNFEAKIADFGLAKLLSRGGSNLNVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARISDMEKNEDEEVEMVLGRTVRTLKENLQLDGTDQSWVPGFIDARLRGEFNYLQARTMIKLAVSCLEEDRSRRPTMENVVQVLVSVDEVSSATVMGGAA >PAN26247 pep chromosome:PHallii_v3.1:4:49314762:49316337:1 gene:PAHAL_4G306500 transcript:PAN26247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMPPGKRSRPWADLPTELVDAVVYRLELDVFSAARLAAVRAPRSPTCPSGPCLLASHEYNDSDDEYNDYTFDSLDLGAWLAAVDEDCNAKLLNLYTGGRVGLPAVSTIPGVEPSGYHCKIVVCDTPSASGAGAYLAVAIVTTLILAVARGGDRSWTTLKNHRDMLAGYDDAVVHKGRVFAVDVAGSVFAWDLPRAAGSRPDPQRVAAPGATSNGESMYQWNLAESADGRRLILACTHGRYANHEKRGRNVSTRTVNRFHGDGVRLHELDVGDAAGGDGRRWRRVTSLGGRALFLGANWPLWATVTRGPPGQVVQPNCVYVTPAALFGYPDEDFDVVAHDLGDGSCRQIKVSTADRDEDDDGFVIPIWFTPTLQMWSRRAS >PVH48486 pep chromosome:PHallii_v3.1:4:51491422:51493975:-1 gene:PAHAL_4G340000 transcript:PVH48486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMSGRDDDAPDLVCQIDCVHGMVDALSSVRWKRHQDAVMELSAHGIVLTVEESGCLQAKVFLKRELFVEYEYAGEGRERFGLSLGLFVDCLNIFSTPGHASAVEIRYPGPDMQLLLKSVDSQDACLYAEIRTRIPDTISWDYNFEHEGNTPVTFTVKSAVLKEALDDLEWPGSSIQIRMQPDPPTVVFKGEGHGDLQVEFPYYANTDLLIVFQCDREVSYRYKYKFLRATTSNIPSSVMKENRRTKVTIGRGGMLKIQHLVSLARPDSAHKYLDQQD >PAN26021 pep chromosome:PHallii_v3.1:4:51491520:51493975:-1 gene:PAHAL_4G340000 transcript:PAN26021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMSGRDDDAPDLVCQIDCVHGMVDALSSVRWKRHQDAVMELSAHGIVLTVEESGCLQAKVFLKRELFVEYEYAGEGRERFGLSLGLFVDCLNIFSTPGHASAVEIRYPGPDMQLLLKSVDSQDACLYAEIRTRIPDTISWDYNFEHEGNTPVTFTVKSAVLKEALDDLEWPGSSIQIRMQPDPPTVVFKGEGHGDLQVEFPYYANTDLLIVFQCDREVSYRYKYKFLRATTSNIPSSVMKENRRTKVTIGRGGMLKIQHLVSLARPGMPYFRNIGGGTEQTSRIAHIEFFVKPEEDDNDA >PVH48243 pep chromosome:PHallii_v3.1:4:48029705:48036946:-1 gene:PAHAL_4G288300 transcript:PVH48243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKYLTWRYYITKFIDHVNRVLKLQHGKVVETLEIKVDFGSILAVHLDNWVSFAAASCTKNLALELVPRYYWNRIDRYVFPFEAPELLDGEATSRLQQIQLSFVSFKLPYQFSGFPKLKRLDLYSLCVTRTDLQDLLSSCSKLEWLSIAKCDLEDEVIVDRPLSHLLYLRVARCKMTKIELDAANLRTFIYNGTQLPAPTIQAQELKMLILLKHLKLLLCHTPEDLDNILSLASFLRAAPLIEELEIHFSISGGGNADIGRLRNLPKCSYKHMRSICITGFNGIQGQAELLVHAVKNAPALEVLTIDTGNKNGKGLPQDVEHLGAFISRSCLEGKISPKTKLHINQCSCEGLHQEWVLV >PVH47744 pep chromosome:PHallii_v3.1:4:13075612:13077206:-1 gene:PAHAL_4G138400 transcript:PVH47744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLHVLRSYAQEELTEGSETKTDSFIMLLTELLGEMFLVMQTTLKERSNKESVGVIDECLKLLFLFHTLAQSKKYQQDAAILLLEALLMVFYLSSDTVSQIPSVAIQLEDIMLSKPPERRQQLQDMVRASVSQGQITVPVNMSARSEQNVQNSNIKQNVQDINKTPGFSAESTPEGSECCATQGKDEKEVDDDDWDAFQSLPATAANDAVDSGDNSSASSYHKQIPQENISDANIAAGAMEGGTCGKELEEPSDLQCASTEQQANHEFPGSSQEGNVKLERHSTVDCKKPLAHIETADEPLQVHEDTDQASEDLKDVSTEIHRIEVDVHDGNITSKDDSTRNSSNLSDITEDESNKGSDIASRVDGKFVKDATKKELSG >PAN22429 pep chromosome:PHallii_v3.1:4:1184540:1189876:-1 gene:PAHAL_4G018500 transcript:PAN22429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGGVRAQGVNPDCPNAANPFHRCAEYCPVPAPRAAAAKPSPPRPRAAQNGTRHGDGAKRVVPAAADDSEEEAGAERAVNPDCPNASNRFHSCAEYCPVPAPLAAAAKSPPPPGPGHAAQNGTAHRDDEECEITTADDSEGGGETIEESPEVGGARRSARPPAKDEEAAGDGQWQGVNPDCPNAANPFHRCAEYCPVPAPRAAAKHPPPPRGHEGSTHSDPGELHPRPRRRDKGGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKMAEAGRSSEGGKSPLSIFSRHSRRSSSSSEEGSVKSAGSRKVDPKCPNAVNPFHECGEQCAAKMQQVEQHKGTKMQSPRKKGGKDVALIPNWKVDPRCPNASNPFHMCAQYCFDHLNETAQTSATKSDKKKGKAVSKEVKREINPDCANASNPYHTCGEHCKRKGDR >PAN22416 pep chromosome:PHallii_v3.1:4:1184540:1189877:-1 gene:PAHAL_4G018500 transcript:PAN22416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGGVRAQGVNPDCPNAANPFHRCAEYCPVPAPRAAAAKPSPPRPRAAQNGTRHGDGAKRVVPAAADDSEEEAGAERAVNPDCPNASNRFHSCAEYCPVPAPLAAAAKSPPPPGPGHAAQNGTAHRDDEECEITTADDSEGGGETIEESPEVGGARRSARPPAKDEEAAGDGQWQGVNPDCPNAANPFHRCAEYCPVPAPRAAAKHPPPPRGHEGSTHSDPGELHPRPRRRDKGGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKMAEAGRSSEGGKSPLSIFSRHSRRSSSSSEEGSVKSAGSRKVDPKCPNAVNPFHECGEQCAAKMQQVEQHKGTKMQSPRKKGGKDVALIPNWKVDPRCPNASNPFHMCAQYCFDHLNETAQTSATKSDKKKGKAVSKEVKREINPDCANASNPYHTCGEHCKRKGDR >PAN26245 pep chromosome:PHallii_v3.1:4:52653782:52659769:-1 gene:PAHAL_4G357700 transcript:PAN26245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVEKPWEGVQQQPAMDDEAEEAAAAAGGLRTREMKKKMAGPAYAGDNVGDVVVVEELLKAAAAAAAAEEEDKSVFFDPAKGLWKCRHCDWTHHLSGPCTDHIRNHQGYCQIARNLESLVQSEPFYYSPNKVSDHATEEDEVTEVVQVDGQKESSNETSIAEGKGIEEEENVNDQETNHISSNGKLENGSHSNGVHEISRGAETMTAAVGEAQPLKLIAAIGESKNVLPNWSGVLDISNGSTSTTEVREIEVEKDENGTKDKVNIEEYDLEKILDEQETHDLYCPNCKSCITRRVILKKRKRTARQAKREEPPKRPQLEEPSAIVSDQTPVESHDQESPEVFRCLSCFTFFIPTGCRFNIFRIFEWRDANQQVQVQDPAVSQGTSEHCGSWLLSCFQTVDSPKKSTDADPQKEPLLSGSQSTDNTISVQDSASSSQSHSTVGEAEQVKKPLVAGSSSTVQTTTGKYEEEIKQPFSESHGTASSSVAVHTSSSSQSETGLFTQTGHVVTEQRGRAHQEQIPPSKPADDMINGVHKQDIQGNTTGAPGKNSFFNPELKVPAKFLPGVNNLTGEKPTPVIPQPSQSPHVVVPVPEATVSETPVRPAPSDQRDEWDILKAIVYGGLVESITSLSVVSAAAASGAKTLDIFILGIANLIGGLPVIYHNIADLRNTGDVAESSEQVGHYWLELGRRRKYQLHMVVAILSYILFGLLPPVIYGLSFRMSDNRENKMMAVAAASLMCIGLLAIAKAHVKRRRTYITTLLYYLSIGFSSSGLSYVAGVLITRLLAHFGLIDQGGASALAPPSLLFPQATGADAATWASY >PAN26241 pep chromosome:PHallii_v3.1:4:52653074:52659068:-1 gene:PAHAL_4G357700 transcript:PAN26241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYRDTQVSDHATEEDEVTEVVQVDGQKESSNETSIAEGKGIEEEENVNDQETNHISSNGKLENGSHSNGVHEISRGAETMTAAVGEAQPLKLIAAIGESKNVLPNWSGVLDISNGSTSTTEVREIEVEKDENGTKDKVNIEEYDLEKILDEQETHDLYCPNCKSCITRRVILKKRKRTARQAKREEPPKRPQLEEPSAIVSDQTPVESHDQESPEVFRCLSCFTFFIPTGCRFNIFRIFEWRDANQQVQVQDPAVSQGTSEHCGSWLLSCFQTVDSPKKSTDADPQKEPLLSGSQSTDNTISVQDSASSSQSHSTVGEAEQVKKPLVAGSSSTVQTTTGKYEEEIKQPFSESHGTASSSVAVHTSSSSQSETGLFTQTGHVVTEQRGRAHQEQIPPSKPADDMINGVHKQDIQGNTTGAPGKNSFFNPELKVPAKFLPGVNNLTGEKPTPVIPQPSQSPHVVVPVPEATVSETPVRPAPSDQRDEWDILKAIVYGGLVESITSLSVVSAAAASGAKTLDIFILGIANLIGGLPVIYHNIADLRNTGDVAESSEQVGHYWLELGRRRKYQLHMVVAILSYILFGLLPPVIYGLSFRMSDNRENKMMAVAAASLMCIGLLAIAKAHVKRRRTYITTLLYYLSIGFSSSGLSYVAGVLITRLLAHFGLIDQGGASALAPPSLLFPQATGADAATWASY >PAN26243 pep chromosome:PHallii_v3.1:4:52653074:52660217:-1 gene:PAHAL_4G357700 transcript:PAN26243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVEKPWEGVQQQPAMDDEAEEAAAAAGGLRTREMKKKMAGPAYAGDNVGDVVVVEELLKAAAAAAAAEEEDKSVFFDPAKVSDHATEEDEVTEVVQVDGQKESSNETSIAEGKGIEEEENVNDQETNHISSNGKLENGSHSNGVHEISRGAETMTAAVGEAQPLKLIAAIGESKNVLPNWSGVLDISNGSTSTTEVREIEVEKDENGTKDKVNIEEYDLEKILDEQETHDLYCPNCKSCITRRVILKKRKRTARQAKREEPPKRPQLEEPSAIVSDQTPVESHDQESPEVFRCLSCFTFFIPTGCRFNIFRIFEWRDANQQVQVQDPAVSQGTSEHCGSWLLSCFQTVDSPKKSTDADPQKEPLLSGSQSTDNTISVQDSASSSQSHSTVGEAEQVKKPLVAGSSSTVQTTTGKYEEEIKQPFSESHGTASSSVAVHTSSSSQSETGLFTQTGHVVTEQRGRAHQEQIPPSKPADDMINGVHKQDIQGNTTGAPGKNSFFNPELKVPAKFLPGVNNLTGEKPTPVIPQPSQSPHVVVPVPEATVSETPVRPAPSDQRDEWDILKAIVYGGLVESITSLSVVSAAAASGAKTLDIFILGIANLIGGLPVIYHNIADLRNTGDVAESSEQVGHYWLELGRRRKYQLHMVVAILSYILFGLLPPVIYGLSFRMSDNRENKMMAVAAASLMCIGLLAIAKAHVKRRRTYITTLLYYLSIGFSSSGLSYVAGVLITRLLAHFGLIDQGGASALAPPSLLFPQATGADAATWASY >PAN25086 pep chromosome:PHallii_v3.1:4:46775970:46781400:-1 gene:PAHAL_4G273900 transcript:PAN25086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVTSNGGAAAAANGPSPGRLASVYSEVQTSRLLHALPLPSVLRSDFSVVDGPASSAAGNPDEIAKLFPNLFGQPSASLVPSAEPAATRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSTMYGFKGGPAGIMKCKYVELNSDYVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLDLDGLVVIGGDDSNTNACLLAEYFRSKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNAALIGEEVAAKKQTLKNVTDYLTDIICKRADLGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLEPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLITMVETELEKRKAEGRYHASFRGQSHFFGYEGRCGLPTNFDSSYCYALGYGSGALLQSRKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASMRDEWAIKNRYISPGPIQFSGPGSDDSNHTLMLELGAQA >PVH48511 pep chromosome:PHallii_v3.1:4:51970595:51971412:1 gene:PAHAL_4G346500 transcript:PVH48511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERKAMCRLLYTRGRRAVAGQLACSGGRRRPRLSRKLTAALSHRFPSVRSLARTKTWPCPRTARSILRARGRGRAARTEKTRAAPVLACYLVGLASRNLRRGLAPVDLLECQYQATNTGILLLQQVRHFSSKQLITLAGKMQ >PVH48066 pep chromosome:PHallii_v3.1:4:42654296:42654789:1 gene:PAHAL_4G234400 transcript:PVH48066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFFSRCAATKNEKSEHKSLQSDLCQNPYGSQYFAQLITPMAAKLKLCKPNLCKGIVASIVMNR >PVH48159 pep chromosome:PHallii_v3.1:4:46062492:46064004:1 gene:PAHAL_4G264100 transcript:PVH48159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMEPREVKLYGAWGSAHAAMARNALALKGVRYEYVEEDLDNKSETLLRLNPVHGKVPVLVVDGRPLAESLVIIEYADEAWPEGRGYPPALLPGAPRARAAARFWARFFHDEVSPLSRAVVLADARAEREELAREVKERMAVMEAGIAEDFPCGREEGPFVHGRSPGLLDVILGSCSSGTRVLSAVSGVDIVEPGATPRVHASVAAFDELAAGFGTTVPHELLLARLLERKARSRAAAA >PVH47752 pep chromosome:PHallii_v3.1:4:14244111:14244739:1 gene:PAHAL_4G142200 transcript:PVH47752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN24214 pep chromosome:PHallii_v3.1:4:22630838:22636494:1 gene:PAHAL_4G168400 transcript:PAN24214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHSARIMPADGTCGLLPGVLQRFSTAAAAEDPISPPVQVNYTKLLINGNFVDSASGKTFPTLDPRTGEVIAHVAEGDAEDVNRAVAAARKAFDEGPWPKMTAYERSCILLRFADLIEKHNDEIAALETWDNGKPYEQAAQIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTLVLKTAEQTPLSALYISKLLHEAGLPEGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTNTGKIILELAARSNLKSVTLELGGKSPFVIMDDADVDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALKRVVGDPFRKGVEQGPQIDDEQFNKILRYIRSGVDSGATLVTGGDRLGDKGFYIQPTIFSDVQDCMKIAQEEIFGPVQSILKFKDLNEVIKRANASPYGLAAGVFTKSLDTANTLTRALRVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPIKNAAWL >PVH47855 pep chromosome:PHallii_v3.1:4:22628603:22636494:1 gene:PAHAL_4G168400 transcript:PVH47855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAASSLVSRCLLASRAAFAGAAPAVPSALRRPDGTCGLLPGVLQRFSTAAAAEDPISPPVQVNYTKLLINGNFVDSASGKTFPTLDPRTGEVIAHVAEGDAEDVNRAVAAARKAFDEGPWPKMTAYERSCILLRFADLIEKHNDEIAALETWDNGKPYEQAAQIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTLVLKTAEQTPLSALYISKLLHEAGLPEGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTNTGKIILELAARSNLKSVTLELGGKSPFVIMDDADVDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALKRVVGDPFRKGVEQGPQIDDEQFNKILRYIRSGVDSGATLVTGGDRLGDKGFYIQPTIFSDVQDCMKIAQEEIFGPVQSILKFKDLNEVIKRANASPYGLAAGVFTKSLDTANTLTRALRVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPIKNAAWL >PVH47847 pep chromosome:PHallii_v3.1:4:21306352:21309667:-1 gene:PAHAL_4G164500 transcript:PVH47847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPKLPSPSRPWRPCLLPGAPPTRPRIPATALPGRRRFLPPSAASASGSPPSRNSPLPPARTSSKNKSPDEIGRWKKVPPGMRESAVHETEDPSPSPPRTALLSARRRARAACRKVTSWVPRKARSVVLLNLVTLIFASNISVVKEAETLLDPDLFNMLRFTIAVIPFAPLLLKSLRDMRILVRGVELGLWVSMAYLAQAVGLLTADAGRSSFISALTVIIVPFLDGLVGAEVPAYTWFGAVLSLLGVAMLELSGSPPCVGDLLNLLSAFSFAIHMLRTEHISRNMKKENFSTLVGCELSYQQPHTF >PAN24196 pep chromosome:PHallii_v3.1:4:21306352:21309665:-1 gene:PAHAL_4G164500 transcript:PAN24196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPKLPSPSRPWRPCLLPGAPPTRPRIPATALPGRRRFLPPSAASASGSPPSRNSPLPPARTSSKNKSPDEIGRWKKVPPGMRESAVHETEDPSPSPPRTALLSARRRARAACRKVTSWVPRKARSVVLLNLVTLIFASNISVVKEAETLLDPDLFNMLRFTIAVIPFAPLLLKSLRDMRILVRGVELGLWVSMAYLAQAVGLLTADAGRSSFISALTVIIVPFLDGLVGAEVPAYTWFGAVLSLLGVAMLELSGSPPCVGDLLNLLSAFSFAIHMLRTEHISRNMKKENFSTLVGCEVFVVALVSAATYILKCFIRNVQHWHFKSWPPTELFGMAMSLPWPAILYTGIFSTSFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWVMLGERWGMAGFVGAILIIVGSLMVQILGSIPDTSRGNTYQMNS >PAN22644 pep chromosome:PHallii_v3.1:4:2113496:2114611:-1 gene:PAHAL_4G033600 transcript:PAN22644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSSSSSRVCPVALPLLLVALLLLSSCPAAWCTTELTSAADAGASGRPAARRLLVSRPSTSRQKAEQAQQQMRVDGRKTPFKQAAASFGRRIPRSGWNPIQNR >PAN22910 pep chromosome:PHallii_v3.1:4:3847139:3853021:-1 gene:PAHAL_4G058600 transcript:PAN22910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAAGGRTPPRPSASYAAASPPAPPPPSPTGWLAGLVSGAGRILAAVLGPEPSASASVSGSRSIASAGASDGGSPSVSCSPAPCRLPGPRGEGHNGGTDNDDSSLFPLRNDQFNQGENKTVQKNYGSLAIVSEIEPKDAIMQLLMQETYSRSECSKFIKIIQERVLDSDSGDIDAGGFALTSAQRVGRQAVDGYSSFSPNESSPATSSLQMHRCDNSAALGTIPKLTHTDQSPFIQNAKNVQPVLKRNYSVREDAYGEIRRVRPKINGNPLNISKFKQVDIIRNHPAANSHEELTARDPNASRDEKKILTDVMGANNLTYPIIISKVESADEILDVPNKPSAVTPQLFDSSFSQAGRNQKGFGPSTLNQCSSEDLKKGFPLKVEPLNVFIPFEQQMMDLSHQKQEHAVCEDSCSLSKLMLKQDIEGASSLPMALQLQNGSKNRRRRQSSSQKTAPSPTRSPAKGPRRKNNDVVVKSEMDLLEQSKLVLTEQGPELGDVPVKRPVGRPRKAR >PAN22546 pep chromosome:PHallii_v3.1:4:1664333:1665960:1 gene:PAHAL_4G026500 transcript:PAN22546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLILSTNVPVDAVVAADILKDCSKAVARIIGKPESYVMVSINGSVPMSFAASEEPTAYGELVSIGGIGPGVNGKLSAAVAEILETKLSVSRSRFYIKFDDVQRFNFGFNGSTF >PAN24872 pep chromosome:PHallii_v3.1:4:45549613:45553673:-1 gene:PAHAL_4G258300 transcript:PAN24872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPSSSASASPAAGGGPRPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFERYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTIAGRRANCNIASLGPPRPAQPRGRGPYAAGPHFQQVPQPAAQGPHFVPSRAPGSPQMMPQHGGAPAAAIYPSPFGYWYPPDFQYPQALANPQVLQSYYPQLYGMTSPTASPFHQYVGYMAPQAPTPRALLPPPPAQQVAVQPLVQHPPPAQQVAVQALLQHPTPQIQGSFFPAPSLPHNFRLQLPPPQALSVMPPNTTESQPADQAPASAARATNASSTPGA >PAN26012 pep chromosome:PHallii_v3.1:4:48255332:48257402:1 gene:PAHAL_4G292200 transcript:PAN26012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEPVQESLMLDYPQPSKPRVLLAASGSVAAIKFESLCRSFSEWADVRAVATKSSLHFVDRSSLPSGIALYTDDDEWSTWKKIGDEVLHIELRKWADILVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTLMWNNPFTERHLQTINQLGIILIPPVTKRLACGDYGNGAMAETSQIYTSVRLACKTQPHGSSRSLVIPVSNHLADSAINLTNC >PAN25316 pep chromosome:PHallii_v3.1:4:48255332:48257402:1 gene:PAHAL_4G292200 transcript:PAN25316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEPVQESLMLDYPQPSKPRVLLAASGSVAAIKFESLCRSFSEWADVRAVATKSSLHFVDRSSLPSGIALYTDDDEWSTWKKIGDEVLHIELRKWADILVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTLMWNNPFTERHLQTINQLGIILIPPVTKRLACGDYGNGAMAETSQIYTSVRLACKTQPHGSSRSLVIPVSNHLADSAINLTNC >PAN24515 pep chromosome:PHallii_v3.1:4:41583525:41585149:1 gene:PAHAL_4G228700 transcript:PAN24515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAVPSLLFCLVLLVSPYLGYSCPTSYTHGGKHYVLRSNSDPRQPGQTPTCSSVHSGRSSSDGVPVLHRLSPCSPLGGARNQEKTTTVADVLHRDALRLRSLRGEDNHGAPAPAPGPSAPGGGGVSIPVRGDPIEARPGAFEYHVVAGFGTPAQELTVGFDTYTSSATLLKCTPCAATEPCDQAFEPSASSSLAQVPCGSPDCPFHGCSGPSCTFSLGTNNTLLGNVTFMTDKLTLTSSATVENFRFACLEAGFRPRDNSTGILDLSRNSHSLASRAPSSPGTVAFSYCLPSSPSTVGFLSLGAPKPELSGRKVSYTPLRSNPGNGNMYVVELVGVGLGGRDLSVPPTAFAGDTLVDLHTTFTYLRPEAYSVLRDNFRQWMTEYKPAPPLGVLDTCYNFTGRNFFSVPAITLKFNGGADVDMSMNGIMYFPDPGNHFSIACLAFAAAPTNAREAAVVGNRAQSSMEVVYDVRGGKVGFVPFRC >PAN24701 pep chromosome:PHallii_v3.1:4:44471854:44472963:-1 gene:PAHAL_4G246000 transcript:PAN24701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAPGQHRPAKSGPQPGLLAKKPVRRHLFSGQKSGYSRRRPLHVRSFAGNGYNHWLTEYEKDVERNYMLAVHIFTILPVLWFTLISAMSRGGKGKVHPLIISLQ >PAN24868 pep chromosome:PHallii_v3.1:4:45533140:45533845:1 gene:PAHAL_4G258000 transcript:PAN24868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREGRQHGWVFAVDRSLVDPEGKLRTRAVQVDGAAAAANGGFVRAPRKPTNHSKPAVGRAYKALVGKGEAGSGRGRRKFKHDEVKMYYLEDQGAEDAFVDAMELCYY >PAN22188 pep chromosome:PHallii_v3.1:4:146962:150130:-1 gene:PAHAL_4G002900 transcript:PAN22188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISHPLSDEYDALRAAVLSPERTPPASPPHHHCLEHEVSRMDTLAGIAIKYGVEISDIKRANGLVTDSQMFAHKTLLIPLPGRPMPAVVRLSGSGQGMKRAWAPNHQQNRDTVDSLDSSNCGQKGASPAMSTLQRYYGLSSQKGNAMDCSTEMSLYRKGGFESSLSETLLSSSAAPGTKGTDRSWEYEAPVNRFLSANGANGSETNRVPKPKQDASMRRRQKAEAESNTTNTQDDFLADPIKAIKSLLPRPISSIRLNMDTGIPDSSQKSSNSFLNLNGLKSVRKSPSAPSFADAENGVSMWSSSKWTFNHESFTRPLLDGLPKPVSGRRMKTALD >PVH47893 pep chromosome:PHallii_v3.1:4:26718320:26719242:1 gene:PAHAL_4G179600 transcript:PVH47893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFHYRTVINHSVLMWIPRGCSEETVNEEEGRERTCEHTSQKPDSPAPVQVLRSLAPVQRHRSPSIRCTADEGTAGLKAAAQRKRERVKCESPVTSPYTGRLPYIEGGQLPLRFDLPKS >PVH48474 pep chromosome:PHallii_v3.1:4:51297953:51299657:-1 gene:PAHAL_4G337000 transcript:PVH48474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNWSILIWPPHWKSSLVPERTKIADVAACRRRPARGGGFSGFGWTGASPDRSSANCGRAEGRKMYSHVFLSVPVIAPARRHSATTGSAFTTRTYLMSEDVIRIKIDFSIWKAVTTKNTRVVRPLAGPYLQPYWQFERIFA >PAN23135 pep chromosome:PHallii_v3.1:4:4674944:4680769:1 gene:PAHAL_4G070100 transcript:PAN23135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MKGWGFLLLSLHVFSCLVSGVASGRTSPYVRTEFPSTDIPLESEWFAIPKGYNAPQQVHITQGDYDGKAVIVSWVTPEEPGPSEVFYGKKEKQYDQKAEGTTTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHDDGIRWDSWGRLVERSTAYQPWIWNSGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWWWLKNELKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRDAFEKWFVKYKVDLVFAGHVHAYERSYRISNVNYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFNDPQPDYSAFREASYGHSILQLKNRTHAVYQWNRNDDGNNVPADTVVFHNQHWTSSTRRRRLKKNHFHLENLEDLISLF >PAN23134 pep chromosome:PHallii_v3.1:4:4674944:4680769:1 gene:PAHAL_4G070100 transcript:PAN23134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MKGWGFLLLSLHVFSCLVSGVASGRTSPYVRTEFPSTDIPLESEWFAIPKGYNAPQQVHITQGDYDGKAVIVSWVTPEEPGPSEVFYGKKEKQYDQKAEGTTTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHDDGIRWDSWGRLVERSTAYQPWIWNSGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWWWLKNELKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRDAFEKWFVKYKVDLVFAGHVHAYERSYRISNVNYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFNDPQPDYSAFREASYGHSILQLKNRTHAVYQWNRNDDGNNVPADTVVFHNQHWTSSTRRRRLKKNHFHLENLEDLISLF >PAN24901 pep chromosome:PHallii_v3.1:4:45737084:45738032:1 gene:PAHAL_4G260600 transcript:PAN24901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLLLLFSVRVDPADPDLVADLQDLVRANAAAAAAPPPPPRPQPEPPAPRGRPRWAESESSLPPPISSLRRRPALVRTRTGDGRLVIAHRGEGSVGRGGLVCTRRREGEGEGEGHLTMRLL >PAN23199 pep chromosome:PHallii_v3.1:4:5005459:5009732:1 gene:PAHAL_4G074800 transcript:PAN23199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKARLAAYGYPCEEYQVTTEDGYILSLKRIPHGLSNADNSTEDRTPVLLFHGLMVDGFCWLLSTPKQSLGFILADAGFDVWIANCRGTKSSRKHTSLTPEDPAFWDFSWDELAAYDLPAVLQFVYNQTGGKKVHYVGHSLGTLIILAAFSEHKLIDIVRSAVLLCPIAYLHRTKSRLILLAARIFLAETIHMLGFHEFNPVGRVAQEVLGQVCTDPEVDCYDLFAAVAGPDCCLNTSTTCIFLQHGPQSTSVKNMIHMSQLVRKAGVRRYDYGNEKENMKHYNQPEPPMYNLSSIPTHVPLFLTHGGQDFLGDVLDTRHLLRTLVREHDSDDIEVLYMPDYAHGDFVMGYNAPQLIYKPIVEFFERH >PAN23201 pep chromosome:PHallii_v3.1:4:5006972:5009732:1 gene:PAHAL_4G074800 transcript:PAN23201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNLYLLCFLILFISLNRRSVLSWRIQNSTDDQCPLQPHPLGMCKARLAAYGYPCEEYQVTTEDGYILSLKRIPHGLSNADNSTEDRTPVLLFHGLMVDGFCWLLSTPKQSLGFILADAGFDVWIANCRGTKSSRKHTSLTPEDPAFWDFSWDELAAYDLPAVLQFVYNQTGGKKVHYVGHSLGTLIILAAFSEHKLIDIVRSAVLLCPIAYLHRTKSRLILLAARIFLAETIHMLGFHEFNPVGRVAQEVLGQVCTDPEVDCYDLFAAVAGPDCCLNTSTTCIFLQHGPQSTSVKNMIHMSQLVRKAGVRRYDYGNEKENMKHYNQPEPPMYNLSSIPTHVPLFLTHGGQDFLGDVLDTRHLLRTLVREHDSDDIEVLYMPDYAHGDFVMGYNAPQLIYKPIVEFFERH >PVH47472 pep chromosome:PHallii_v3.1:4:4712446:4712743:-1 gene:PAHAL_4G070700 transcript:PVH47472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREAQLSLKPRRPTTNLEPGGPARAQPAQARSHTTTSNHHLNAPARVTSSRC >PAN23844 pep chromosome:PHallii_v3.1:4:9895148:9897662:-1 gene:PAHAL_4G123300 transcript:PAN23844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFTSVSRSLLESKLAVGLFAGVFLALEIFLSASPQFAVQGPSGEENPPRTALPPLKPICDLSDERYDGCEMWGDARTANGTDRSRVYFIPPPSQLATAEAASWSIRSQSRKIIGVREVVVRSLNMSNLHEAPHCTVRRSVPAVVFALGGLTSNFWHAFSDVLVPLFTTARAFGGKIELVATDAPAWFVTKYRRVLRALSQYEVVMLDADAEVRCYPHLIVGLRGHRDFDIDPARAPGNYDMFTFRAFVREAYSLPRPTAALPVKSGGAKPRLMIILRRKTRRFVNADAIVGAIERAGFDVVQMEPTNTADMDAVSREVNACDVLVGAHGAGLTNMVFMRTGAVAVQVIPWGKMEPHSEGFFGAPAAHMGIWHVRYSIAAEESTLYDKYGKDHPVITDPDVFYKNGSNARYYWWERNIRLNTTRFMPTLERVKRLLQE >PAN25777 pep chromosome:PHallii_v3.1:4:50522324:50523546:1 gene:PAHAL_4G325000 transcript:PAN25777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAIYSLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTPGCTGIDLLQAHNFDLHCFQSLTGTKIFVVCETGAPNMEMLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVALLGR >PAN23340 pep chromosome:PHallii_v3.1:4:5906188:5908283:-1 gene:PAHAL_4G085000 transcript:PAN23340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLRGASLQSPLLAPRLAVRRAPTARRRAVPAKISCIGWDPEGVLGAPQGGHIARLEFRRRLERDSEAREAFERQVREEKERRRSEREARVIPDTDAGLVEFFLDTEAREIEVEIGRLRPRLNEAFFSHVSREIAQIKFAVTRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVTAKERLMKILQSSDRKATLLEMVERNELNISILTLLDENIASAKTSNQDDAVAFMEDVRSAVVKYITV >PVH48045 pep chromosome:PHallii_v3.1:4:41298449:41300498:-1 gene:PAHAL_4G226500 transcript:PVH48045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQPPQSSLSDFRFHLVVSVFAICYSWLRREKLNQTSVCLLYALLALVKAFSRLLAVCPMCLFLEPYLETQTWICSPL >PVH47698 pep chromosome:PHallii_v3.1:4:9795170:9796102:-1 gene:PAHAL_4G122600 transcript:PVH47698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYEEEGVPCCRVKMTVSPHPTLSLWQPIEVNVIGHRLADTFEAAAIEAIHIFCDQHLEEVAGYPIGLFPAMDSRDPEWTFRLTYCDHLLGTLAGETLHTSVRFMNAQYRYQTLQQHGIYRLTNIAQRYRNQVGRQNTQIEELQAAVTAREEVITQREETIQHREEQIIESDTLITQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPVQPVVDEFESEEEEEDPEEVEEVSEIDSEHGDPMLSPHYSSSGSQSSVGNLDDF >PVH48504 pep chromosome:PHallii_v3.1:4:51850292:51851357:-1 gene:PAHAL_4G344400 transcript:PVH48504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVTRGFFNLPTEEKQKYSNLVNGKEFRFEGYGNDVVLSEDQILDWCDRLYLIVEPESRVVGSLWPARPPAFSAVLREYTARCRRIADVVLSSLARLLGLREGRFVGMMNEGVAMTHARFNYYPRCPRPDLVLGLKSHSDASVITVVLIDDTVGGLQVQKPNDGGGVWYDVPIVPSALLVNVGDAIEIMSNGFFTSPVHRAVANAEHDRLSLAMFYTLDPEKEIEPLPELVDEKRPRRYGKTTTKDYLAVLFERFAGGARAMDTVKISTAELDSGSGSEDG >PAN23033 pep chromosome:PHallii_v3.1:4:4124246:4125853:-1 gene:PAHAL_4G063200 transcript:PAN23033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNCGRCKGYKPRLLPVCHGFLRKRTAGMGNVVSGVQIRRRLVPTVEERLTRPRRLVRELPDLDAGRLHRLIRSGDLAPCFDAADDAGDGRAEECPICFYFYPSLNRSKCCGKGICTECFLQLMPSKTSKAVHCPFCKTKSYAIEYRGAQTTSEKKIKQEGEQNVNESKLRVHSKFQIAGKIILP >PAN23032 pep chromosome:PHallii_v3.1:4:4123584:4126029:-1 gene:PAHAL_4G063200 transcript:PAN23032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNCGRCKGYKPRLLPVCHGFLRKRTAGMGNVVSGVQIRRRLVPTVEERLTRPRRLVRELPDLDAGRLHRLIRSGDLAPCFDAADDAGDGRAEECPICFYFYPSLNRSKCCGKGICTECFLQLMPSKTSKAVHCPFCKTKSYAIEYRGAQTTSEKKIKQEGEQNVNESKLRVHSKFQIAGKIILP >PAN23349 pep chromosome:PHallii_v3.1:4:5972891:5976063:1 gene:PAHAL_4G086200 transcript:PAN23349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVLGRQDTNKRNFHGSKLEAKMVDAMQQRASHGTSLKSFDGIIMKFPKIDESFRKCKTIFEQFDEDSNGEIDKEELKHCFQKLEISFTEEEICDLFEACDINEDMGMNFNEFIVFLCLVYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKDEMIEAINETTTGERSSGRIAMKRFEEMDWDKNGMVSFKEFLFAFTRWVGIDENEDDDE >PVH48303 pep chromosome:PHallii_v3.1:4:49123943:49124730:1 gene:PAHAL_4G303800 transcript:PVH48303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSIGSLMVGNYSGCLCIRASRIWDFYDPRDETKLLHTDLVLIDKEGNSIHAQIYPPITEMFKPLIKEGSVYNISFIQVKKSNRMYKPVKNDIMINFTRWTTMEEVVEVPTAFPVITYSLTPIDKLPSHVEDREYFTDVIGTVTGISGVSPVRPRSQQADTLKRTVTIRNARSAVHV >PVH48382 pep chromosome:PHallii_v3.1:4:50135108:50136334:-1 gene:PAHAL_4G318500 transcript:PVH48382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASWMSLGMMVTRLAWMAQRLVSSKSPTRYASAASCSAATAEDWNRRSVLKSCAISRTRRWKGSLRMSSSVLFWYLRISRSATVPGRKRCGFFTPPVAGADLRAAFVASCFRGALPPVDLRAVCFVRAISSRGD >PAN25942 pep chromosome:PHallii_v3.1:4:51249756:51251921:-1 gene:PAHAL_4G336100 transcript:PAN25942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASGSTQALAPPTAHRGGGGGEPADHPHCPRLLERTAPRRLAAADRRLTRLLVNVTVDRSLWPVHLVLGADATVADLVRAAVAAYAREGHRPPLQPGGAAGGGDPADGFELHFSKYSLESLSPEEKVVDLGSRNFFLCARRSPAAAWA >PVH48470 pep chromosome:PHallii_v3.1:4:51251096:51252166:-1 gene:PAHAL_4G336100 transcript:PVH48470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASGSTQALAPPTAHRGGGGGEPADHPHCPRLLERTAPRRLAAADRRLTRLLVNVTVDRSLWPVHLVLGADATVADLVRAAVAAYAREGHRPPLQPGGAAGGGDPADGFELHFSKYSLESLSPEEKVVDLGSRNFFLCARRSPAAAWA >PAN25518 pep chromosome:PHallii_v3.1:4:49390480:49395144:1 gene:PAHAL_4G308100 transcript:PAN25518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRARIRRDPLLPRHFSSSSPPFPPPPSERASADPPPRSPFANVRDRLSSTASAPPNDDLRRKLRHFAKSHPSTSATHSPSSSSPGPSFLDVFASAPSSPLAPNRSTPIPLDFGALRDSLNKPGSAAAGLPAPGARKFDWKPSLSPQRRRPAGAEAAFGRDPGEKPEFLRQYSYEDLGKRLGDLRPAGAGKDGKEWFSLEELSARLGRLREVEKEERERAPMAGMGIGALQEALQAHALQTKGQKKAGGAPSMSALMGLGGQTVQGKPQEELMERYFHPDHMSSAEKMKLELKRIRDKFKMSENDCGSARVQVAQLTTKIKHLSGTLHKKDKHSRKGLQEMVQKRKKYLKYLRRTDWDSYCLVLKSLGLRDVPEYKAPDYKSKSNTKSKTKKKSKRKMKA >PVH48322 pep chromosome:PHallii_v3.1:4:49390480:49395144:1 gene:PAHAL_4G308100 transcript:PVH48322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRARIRRDPLLPRHFSSSSPPFPPPPSERASADPPPRSPFANVRDRLSSTASAPPNDDLRRKLRHFAKSHPSTSATHSPSSSSPGPSFLDVFASAPSSPLAPNRSTPIPLDFGALRDSLNKPGSAAAGLPAPGARKFDWKPSLSPQRRRPAGAEAAFGRDPGEKPEFLRQYSYEDLGKRLGDLRPAGAGKDGKEWFSLEELSARLGRLREVEKEERERAPMAGMGIGALQEALQAHALQTKGQKKAGGAPSMSALMGLGGQTVQGKPQEELMERYFHPDHMSSAEKMKLELKRIRDKFKMSENDCGSARVQVAQLTTKIKHLSGQAF >PAN26111 pep chromosome:PHallii_v3.1:4:48705912:48707356:1 gene:PAHAL_4G298700 transcript:PAN26111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGHALPLLHLASALAARHEAHGGLRVTVLTTPGNLAFARRRVPAHVGLVALPFPAHPELPPGSHSLFPAFLRATAMLREPFSGFLASLPAPPLALVSDFFLGFTQRVASDAGVRRLTFRGMSAFSLALCFSLATRPPPAGSIEDGAPFPVPGFPESVTITKDEVPHAVAQAADLDGPVTRFLFEEVRDWDYRSWGVLAAILESFYLPGARAWFVGPLFVAAGESQEEDGDDDPEGCLPWLDERAARRPGSVVYVSFGTQVHVAAAQLDELAHGLVGSSHAFLWAVRSSDAWSPPVDAGPEGKIARGWVPQRPVLAHPAVGVFVSHCGWNSVLESLPAGRPMLAWPVMAEQAANAKHVADILGAGVRAGVKAGAKPELVGRAQVAGKVWELMDGGGEAGRGMRARAERVGEAARAAVGDGGTSGLALRRLVDELQRSYGGGGGGGRQREAAASAKPNV >PAN23152 pep chromosome:PHallii_v3.1:4:4778191:4780281:-1 gene:PAHAL_4G071600 transcript:PAN23152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTVVASRKREADAFLGDPFDLPRLTKRGRCSASAAAADLGLSFPLESDPVEALQLIFPGADPQILRDHLQASGNVLDAAIRAYKDYSADRSTESASAVTYPPSDNRANDAVPFEVDFPLSTIPTNGSEWAELIVKEMSSASNLVDAKNRASKILKLLEKCVARVSPDEKRKVNKEHKIVKQMLGALLHQNGVLKRAFLIQHNRLKEYQEMVQERSQFNQIVEEYQKQIKALEEKNYALSFHLQQVNHCSNTYGYRNPDVF >PAN25119 pep chromosome:PHallii_v3.1:4:47175543:47178253:-1 gene:PAHAL_4G276800 transcript:PAN25119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRPAAASLRPPLSILSLLLTCSLFSGKVSARALADGASSNMTELQKHVSFFDRNKDGIITPLETFQGFVAVGCEIAFSSAAASTVHGALAPFTNPPGALPPYVNIYVKYIHRAIHGSDTGAYDSKGRFVQEKFDETKHAHVKPDALTLPEIEEMLTFNRDLLDPVSWPAAEAEWQLIYQLAHDRYGFLTKERARGIYDGTIFVELEERRKDLHSDA >PAN25051 pep chromosome:PHallii_v3.1:4:46572946:46573981:-1 gene:PAHAL_4G270900 transcript:PAN25051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHRRLRTPALFLLQLLVVDAAAVAEAKLVPNYYAKTCPRAERIVTDVVSQKQLSHPTTAAGVLRVFFHDCFVSGCDASVLVAPSSFARSERDAAQSRSLPGDAFEAVTRAKTALELECPGVVSCADVLAIAARDLDALASSPAAPDAELPLANSTVPRLIAMFAAKGFTAQELVALSGAHTLGFAHCSEFADRIFRRAKGGGAAAPPHDPTMNPSYAKGLQDACRNYQRDPTIAVFNDVMTPGKFDNMYFVNLQRGLGLLSTDQALWTDPRTRPIVQRYAANQTAFFADFARAIVKLGVQGVKMGRDGEVRRRCDMFNGNPAPLGP >PAN24892 pep chromosome:PHallii_v3.1:4:45675671:45677918:-1 gene:PAHAL_4G259800 transcript:PAN24892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRQLLPLLHAISPLPSPIHHRTCPLLSISTSASATPFSLEEYLVAACGLAPAQARETAKKALDKASKASNKKAFEELCKSRLRSASNPDAILALLSGVGLTRTDIASIVAADPLLLRSSVKNVGPRLHALRDCLGLSAPQIARFLLLGSPALRGCDIVPKLEFFISFYGSFERLLVVMKKNKAILFSDLERVIKPNIALLCQRGLNVRDIFHSRVLTFNPEQVKEFVLRAEELGVHRSSPMFKRTIKSLSYINKEKVAPKLKFLKSTLGCSESEVAIAVSRMPSILPLSEELLLRKIHFLIKEVGMEPQYIVERPILLSFSLEKRLVPRHCVMKVLQEKGLLSSKMGFFSFAQIGEKTFKLKYIDYHKDSVPGLADAYATASAGILKSSFCSRTTTDLWLLIVQV >PVH48227 pep chromosome:PHallii_v3.1:4:47683405:47684814:-1 gene:PAHAL_4G284200 transcript:PVH48227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAAAASNDTASAAAKHGAKRTYKGVRMRSWGSWVSEVRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGSAAAADLNFPLRLPFDLPPAAMSPKAIQRVAAAAAAAAGGAGFAACAADNADSACSGTTAATPAWSSGSPSDDASAVSSPESTLSSESELPAHHYGDADADYYSSLADIDAFFQSPKCMEYAMMDPCSAFFAPAPAAADDACWEEEGDIALWSFSALGC >PAN25208 pep chromosome:PHallii_v3.1:4:47683402:47685038:-1 gene:PAHAL_4G284200 transcript:PAN25208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAAAASNDTASAAAKHGAKRTYKGVRMRSWGSWVSEVRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGSAAAADLNFPLRLPFDLPPAAMSPKAIQRVAAAAAAAAGGAGFAACAADNADSACSGTTAATPAWSSGSPSDDASAVSSPESTLSSESELPAHHYGDADADYYSSLADIDAFFQSPKCMEYAMMDPCSAFFAPAPAAADDACWEEEGDIALWSFSALGC >PAN25209 pep chromosome:PHallii_v3.1:4:47684119:47684814:-1 gene:PAHAL_4G284200 transcript:PAN25209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAAAASNDTASAAAKHGAKRTYKGVRMRSWGSWVSEVRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGSAAAADLNFPLRLPFDLPPAAMSPKAIQRVAAAAAAAAGGAGFAACAADNADSACSGTTAATPAWSSGSPSDDASAVSSPESTLSSESELPAHHYGDADADYYSSLADIDAFFQSPKCMEYAMMDPCSAFFAPAPAAADDACWEEEGDIALWSFSALGC >PVH47411 pep chromosome:PHallii_v3.1:4:3643551:3646965:-1 gene:PAHAL_4G055000 transcript:PVH47411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEPLVAAVEDSGCTFGRCFCCWLTRCSSRIPPVAPGRDYDRTPLGADHRAAWSLLIGYHPPSSAGTSLIRLRRFRVARSGRVLGRSDDALEILNDVDYDAKGELVRISAAAATPSADGRSLALCLFCLDFNVHVAGGEASPPCPMELLLELNAADTRISLHSTPHLPPLSFMSTCPISAAGKLWTLGFLNHCGPTSLVMLRLDKESEKWVQVATMEVTDCGIYGYAVVHDTILLSLEPERLFVVFNCATCAWTAVKTDTKAPTFDPSAWIRGEVVIDYIPICGRGLYVEEHDTIYFLRDNIIYAYKLCYDQDQRQYWMAMPTIVDRLCPFGREGSGFLTHLGGQVMCFVWISVPSYGWQRYCNCDTFHVLITTFQVIGNSGSSHEHFIPKGVQVLHSTCRRLDIDPSKSSLSDYMFCFLREYEENTLPPAKKKRENEMTPSMRLELMGPATSNVAESSKMLTCCRKFFSKSPYILALRLEKSVIQTTKDLYIVCQAPSHATVFKINIRDGKLACHNQNLKPYGVMDTFVCSDPDDLMEQPFPWHFTCDRKSIYAVPDKRKDIHVLSLDKGSVISLEATGPTGTDFSISLVLAVGSNIIAISDTLGGVYHLSDTHEWVPHNIQRSVDLEKKVELSGYAVLSDKSFVVCDRKTECCFLFDLDKDTWSIVRAYSDISSSLPIVQPTEWSESRFLRGRSVLAEGFIYTCADGGLRAYEIIKVQDSYCLSEGIFLKFPWLKYWGSDRMCLDYVGQDTASGAIMFCVVQGNNYDQHRLDAPDKHRVLITTIQIKTERTSRFTLKPVAVGHADGGTSFVGQDGGPIWTSSCFAP >PAN25169 pep chromosome:PHallii_v3.1:4:47536733:47538706:1 gene:PAHAL_4G281200 transcript:PAN25169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSWWQGHNIKYLSQLVNNCIIFAATMRVTTAVILKATQIYQYWLLFTWSATTPPIYLLWAFLLITVITVKYLIQRPSTVYLVDYACFGPNSNFHVDPSSWAETLRLSFLDDDTISFLTKIFRRSGLGSETCLPCVNSYPPQTHSLRLARGEAEMVIFTVIDDLFAKTSAKAHNIDILIVNCSLTTMIPSMADMIVNRYKLRSDTRNVHLSGMGCSAGLIAVGLARNLLQTMPHGARALVVSTEILTGNFYVGKERSMQLANVLFRMGGAAVLLSTSRDDARFELTHIVRKSTGAQDSAYRCVFQEEDGEGILGLKLSKDLVAIAGAALRANITTAAPVVLPFSEQLLLFLSSIAQKVFIIRKSAGTKQYVPNFGSAVEHFCIHAGGRAVVDAVQRSLNLSDEQVEPSRMTLHRFGNTSSSSLWYEMAYCEAKQVMREGDRVWMIGFGSGYKCNSALWKCILPARSADSAWANCIHRYPMDVTKQVSV >PAN23786 pep chromosome:PHallii_v3.1:4:9116215:9117982:1 gene:PAHAL_4G117500 transcript:PAN23786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFARPTALLALTAAVLIGVLVGGGAEAQQLSPNFYSSTCPSVATIVRRGMASAVQKERRMGASILRMFFHDCFVNGCDGSILLDDTPTFTGEKGAGPNANSVRGFEVIDAIKAQVETSCRATVSCADILALAARDAVNLLGGPTWSVPLGRKDSRTASQSLANANLPGPGSSLATLISMFGNQGLSARDMTALSGAHTIGRSQCQFFRTRIYTESNINGSFAALRRRTCPRSGGDANLAPFDVQTPDAFDNAYYQNLVARKGLLHSDQELFNGGSQDALVSQYSGSPSQFAADFVTAMIKMGNLLPSSGTRTEVRLNCRKVN >PAN24117 pep chromosome:PHallii_v3.1:4:30580299:30580592:1 gene:PAHAL_4G192000 transcript:PAN24117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGMLCFFKAHHMTSLDTLSYAFSRSIKTICKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSIILSQSFIVWLISLIPR >PAN25235 pep chromosome:PHallii_v3.1:4:43312970:43317242:1 gene:PAHAL_4G238700 transcript:PAN25235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAMIRISPRTPCFDPPPACACVTSPSSLPPPAPAPTDPICLDSIKKKKSQFPPSHFSHQKIKENPTSFFLPSSSERDKLPIRSAAFSIPLGFDRRRRRRGRGAGEMRKRERENPCGICGHYHKYEEGEVCGVCGHRPPAAPAAGARQQDSAFPSEILKEFLFLGSYDNASRSELLKTIGVSHILNTVPLCQNLYRNSFTYHCLQEDKTLQFDDAIQFLEQCEREKARVLVHCMSGKSRSAAFVIAFLMKSRGWRLAQSFQWVKERRPQVQLSDGAQQQLIEYETKLFGSNVGMPAPAFAPADSFPSLGFGFPKPAGEIQVPTFNQQAPASIFERVSPNNFPSNFTFGAERTNEGKLPDSNSFSVINSSGGDSMMDSS >PAN24366 pep chromosome:PHallii_v3.1:4:37635586:37636635:1 gene:PAHAL_4G210900 transcript:PAN24366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-9 [Source:Projected from Arabidopsis thaliana (AT1G21970) UniProtKB/Swiss-Prot;Acc:Q9SFD8] MSMDPQDPKPRASCTSPTERAAIAKEAMATEPLVPGTEPVIREQDQLMPVANVSRIMRHALPPHAKISDDAKEMIQDCVSEFISFVTGEANERCHTEHRKTVTAEDLVWAMNRLGFDDYIRPLNAFLQRMREIEGGGAGGRGSGRGSRRGTSLAALHGAHTMRPAVSYPAAPQGYAVQPVPRPVPVPATSAASHFGSRYQMPGGQRSMVPYYGGAAFRAGGSHGGFYADEASSSNEAPPTRRAGSRHY >PAN25092 pep chromosome:PHallii_v3.1:4:47026929:47027228:-1 gene:PAHAL_4G274800 transcript:PAN25092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKAVPLLLLLAVLSGSWTSRIPAAAAAGRPLRLAADRRWGDQQAPAGVVVGDSVIVLPSLRRPWHKLPPLAMKPAGHSCETWSPNNKDCPTPPPRP >PAN24338 pep chromosome:PHallii_v3.1:4:27157118:27160325:-1 gene:PAHAL_4G181000 transcript:PAN24338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAGGDSPPLPPPEPSKGKAKMDGEAEVEGAGKMCGICYVDGGRAIRGEIDCCAHYFCFVCIMAWGRVESRCPFCKARFRTIRCPPVPGRFPDERIVSVPERNQAYCPQGNGSTTVGRDPYADTICTVCNGSRDDELLLLCELCDSAAHTYCAGLGTTVPEGDWFCKDCATIREEQLRWLAENEGQDSQGEFEISIDVPRAEPVAAPSVSDVVDDEHDLDRTDVWSGRLSMDDPVPSIYDIVGDDFATSAGIFRRPARNAEDFPSQGTSSAGSQCPGLTKGRDNGLGAYHARIRLEVERARTLRNSRNLDKRIRELRDNWAALRDGSLGFAPRVPNGRRKDMNGSVSVATEQCHTVPGTVSYRNGAAATSVQLPSPSPQETSTSLGHSKKVSHKDARDARKAWKRLEMAKASGGKKISSKPASLSCSPPFSMGNRSTSYSPIDTVLGHKNRKQPNKAPQQNTANCGQGTKMESTPPAKCTGECRSLSEDSRSRIQERTISFQTRINQDSLNGEVASSSTQDQHVDQSLAPSCSTGILEKSKSLHPLKCSSSSGQSPPTSSLQLGPSAGSQSTMMVNPEEPPAVSAATTNEIGSAATTEVRKSSRADRHERKRKHSSENCNAGGSKRSRSACKIAKSEISSLAVRELKLLKIDKMHGSETFKEVARTATHTVLAACGFEHSPSQSLALPRPICKHSPKVKPLKSSEITDSCSECLRMSVKEAISLALSGRHMDQTSASC >PAN25961 pep chromosome:PHallii_v3.1:4:51299800:51302320:1 gene:PAHAL_4G337100 transcript:PAN25961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRSLYLLRIFPFRYEKLVAGVAESASALTLQEPDVSYSGVLLDYTSSEESTTDSDDDSAQSASSFGSKIFLRRLPKWYQVYFIRIDRSGYFRMYPALAGPVQSLDQADSAINHHLAKLERPSMFLEKDNYSIVDRLIHEHNYYPDGMPKRGPKSQRRTNPNEEQHHLVQALLDQYNDDNNLFGNRAHALESLLRHEYEKNKWYYHFNFTTKTGNLFFAEVSQRQGERAWKVNCCCIVDCNENGQCNGYRNNGGPDMNHPNNTDAYTAGLLDGYLPFGDGELSGFDDLEAEAARLRVVFKGLDDPDATKRLRLRAMQQQ >PAN25254 pep chromosome:PHallii_v3.1:4:47922707:47923489:-1 gene:PAHAL_4G287000 transcript:PAN25254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVEATPFAVSPELGDALAKVAVFALVYVILRRSSDVFAPGRTPSRSLSFRPMRSMSVRRVLAAFSDVPVGVPEDGSAGAPSPSPLDHPGADERASWLK >PVH48461 pep chromosome:PHallii_v3.1:4:51130209:51133620:-1 gene:PAHAL_4G334400 transcript:PVH48461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSYCGPLVLIITKAMDFSTIQNKMEGKDVTTYKNVREIYADVRLIFANAMKYNDDENIVHLLAKSLLEKFEEKWRQFLPKVESEEKRQKEEESKGVLASNTSREAAIAKLAKDTDDELNQINKQLEELRKMLVHRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKKQP >PAN25919 pep chromosome:PHallii_v3.1:4:51130421:51131693:-1 gene:PAHAL_4G334400 transcript:PAN25919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSTIQNKMEGKDVTTYKNVREIYADVRLIFANAMKYNDDENIVHLLAKSLLEKFEEKWRQFLPKVESEEKRQKEEESKGVLASNTSREAAIAKLAKDTDDELNQINKQLEELRKMLVHRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKKQP >PVH48462 pep chromosome:PHallii_v3.1:4:51130215:51132861:-1 gene:PAHAL_4G334400 transcript:PVH48462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSTIQNKMEGKDVTTYKNVREIYADVRLIFANAMKYNDDENIVHLLAKSLLEKFEEKWRQFLPKVESEEKRQKEEESKGVLASNTSREAAIAKLAKDTDDELNQINKQLEELRKMLVHRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKKQP >PAN23482 pep chromosome:PHallii_v3.1:4:6693886:6696393:1 gene:PAHAL_4G095400 transcript:PAN23482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPRPHFLVLTFPFQGHIAPALRLARRLLDAAPDALVTFSTTEAAHARMFPATTEADGAGVLPDGDEDGRLEFLPFPDGTEAGYVRSADPGAFNAYMASFHAAGARGVAGIVDALAARGRPVTRVVYTLLLPWAAGVARDRGVPSALYWIQPAAVFAIYHHYFHGHAAGVVAEHRRDPSFVVELPGLAPQAIGDLPSFLTDSTDPSDIFHSIFNTIRDLIETLDKESPRATVLVNTCQELEPGSLAAVGAHDVLPVGPVLPSGDESGIFKQDGAEYMEWLDAKPANSVVYVSFGSLASMAREQLDELLLGLEVSGRPYLCVIRKDVMAALAEAEAEVRGRLRSGVAVEWCDQVRVLSHAAVGCFVTHCGWNSVLESVASGVPMVCVPRMSDQRMNAQLVVREWRVGVRAQVDSGGVLRAAEVGRCVDEVMGDSEAAAEARRMAGKWKRMVAEATGKGGSSERNLMAFVDSARSTV >PAN23481 pep chromosome:PHallii_v3.1:4:6693736:6696401:1 gene:PAHAL_4G095400 transcript:PAN23481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPRPHFLVLTFPFQGHIAPALRLARRLLDAAPDALVTFSTTEAAHARMFPATTEADGAGVLPDGDEDGRLEFLPFPDGTEAGYVRSADPGAFNAYMASFHAAGARGVAGIVDALAARGRPVTRVVYTLLLPWAAGVARDRGVPSALYWIQPAAVFAIYHHYFHGHAAGVVAEHRRDPSFVVELPGLAPQAIGDLPSFLTDSTDPSDIFHSIFNTIRDLIETLDKESPRATVLVNTCQELEPGSLAAVGAHDVLPVGPVLPSGDESGIFKQDGAEYMEWLDAKPANSVVYVSFGSLASMAREQLDELLLGLEVSGRPYLCVIRKDVMAALAEAEAEVRGRLRSGVAVEWCDQVRVLSHAAVGCFVTHCGWNSVLESVASGVPMVCVPRMSDQRMNAQLVVREWRVGVRAQVDSGGVLRAAEVGRCVDEVMGDSEAAAEARRMAGKWKRMVAEATGKGGSSERNLMAFVDSARSTV >PAN26005 pep chromosome:PHallii_v3.1:4:51557174:51558458:-1 gene:PAHAL_4G341200 transcript:PAN26005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIEEVAPAVAGAPAPEKVDEVKEAAAVETPEKVEEAPKPAEGEGKKAEEGEEKKAEEGEAKKAEEGKKARKPRSRKPKSAGPHHPPYFEMIKAAILSQDGKAGASPYAIAKHMGEKHRDVLPANYRKVLAVQLRNFAAKGRLVKVKASFRLAEAEEKKVASAAKAKAAPVAAAKRKRAPAPAAPAKKKKPAAPAEARKARAKRARKAAPAPAQPMPKAKQQQTTRSVRAAVAKKAAANKASA >PAN24824 pep chromosome:PHallii_v3.1:4:45364568:45374538:-1 gene:PAHAL_4G255200 transcript:PAN24824 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFGWLRHASRSLALRLGEVAAQRSTWVRQNAPCSSTGMYIGDKYNGRFMLLSFISSRSFHATGHCCSIDKDYYKILGVPKDASQDDIKKAFHSLAKKYHPDTNRGNAATKRMFQEIRDAYETLRDPSKREQYDMLFSRGSRGKFDGSYHDTFSGSNNQNHDPFTEFHRQNDGHFSSRFYKIFSEVFQQATNMHANDIKVELNLSFSEAAKGCIKQVSFSAKNVCDSCGGRGHLANAKKYVCPSCKGLGRITSYPFTTTCGFCRGAGKVIMDHCLTCEGSGVVDGMKNVEVKLPAGIDSGDTIHVRDAGDSGGLGTQHGSLYIKIQVANDPVFRRDGADIHVNKKISFTQAMLGGMVEIPTLNGTTEVKIPKGVQPGHVLVLRGKGLPNLSGYFGDQYVQFQIHFPSVVSERQRALLEEFILEEATKEQNTSIVGNWWELVAENMTGKNVMVGAGILLLIHLILSKAVS >PVH48122 pep chromosome:PHallii_v3.1:4:45365322:45374253:-1 gene:PAHAL_4G255200 transcript:PVH48122 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFGWLRHASRSLALRLGEVAAQRSTWVRQNAPCSSTGMYIGDKYNGRFMLLSFISSRSFHATGHCCSIDKDYYKILGVPKDASQDDIKKAFHSLAKKYHPDTNRGNAATKRMFQEIRDAYETLRDPSKREQYDMLFSRGSRGKFDGSYHDTFSGSNNQNHDPFTEFHRQNDGHFSSRFYKIFSEVFQQATNMHANDIKVELNLSFSEAAKGCIKQVSFSAKNVCDSCGGRGHLANAKKYVCPSCKGLGRITSYPFTTTCGFCRGAGKVIMDHCLTCEGSGVVDGMKNVEVKLPAGIDSGDTIHVRDAGDSGGLGTQHGSLYIKIQVANDPVFRRDGADIHVNKKISFTQAMLGGMVEIPTLNGTTEVKIPKGVQPGHVLVLRGKGLPNLSGYFGDQYVQFQIHFPSVVSERQRALLEEFILEEATKEQNTSIVGNWLYQQLSTG >PAN25363 pep chromosome:PHallii_v3.1:4:48500689:48503914:-1 gene:PAHAL_4G295700 transcript:PAN25363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRGAVRSLLDRLRPPPRGWKQNPSPAMPTAADRACLCRFRFSHSAAHGEGRAVVPGKMGFLHLAGGRRFAPSGALSLKGCLGWHDGGGGGSGFRRRVDGEAAGIKAQVLTRQRQLMRDPEVLPLEEAAATAKTLNGNGACRRGKPLGFPEQAVAAKMVVAVDVDEVLGSFLAALNKFIADRYSWNHAVSEYHVYEFFRIWNCSRERANYLVHEFFTTHYFQDGIQPIPGARDALQNLSSFCSLSIVTSRQDAIKNHTLEWIEKYYPGLFEQIHFGNHFALEGKSRPKSEICRSFGAQVLIDDNPRYAMECANDGMRVLLFDYDNSYPWCKTGVDESHPLVTKVHNWEEVEEKLLSWVVPES >PVH47376 pep chromosome:PHallii_v3.1:4:2968060:2972549:1 gene:PAHAL_4G046100 transcript:PVH47376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSHFFKRKTGPQRQDDPASDYFTGSENITRYSYRELVRATSNFDQANKIGEGGYGPVYKGTLKDGTAIAVKVLSLHSRQGANEFLNELLAISDVAHENLVKLYGCCVEGNHRILVYNYLENNSLAHTLLGSGHSNIQFNWRTRVNICIGVAQGLAFLHDSVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDVSHVSTRVAGTLGYLAPEYAIRGQVTRKADVYSYGVLLIEIVSGRCNTDTKLPYDDQILLEKTWRYYDQGNLEKIIDSSLGDDLDIDEACRFLKIGLLCTQDVTKRRPGMSAVVAMLKGEAEVDTQMISKPDVIRDFRDLKLRSRATSSTLLTSIMARSSPLSSEETTRTSITFTAISERD >PAN22805 pep chromosome:PHallii_v3.1:4:2968733:2972549:1 gene:PAHAL_4G046100 transcript:PAN22805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSHFFKRKTGPQRQDDPASDYFTGSENITRYSYRELVRATSNFDQANKIGEGGYGPVYKGTLKDGTAIAVKVLSLHSRQGANEFLNELLAISDVAHENLVKLYGCCVEGNHRILVYNYLENNSLAHTLLGSGHSNIQFNWRTRVNICIGVAQGLAFLHDSVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDVSHVSTRVAGTLGYLAPEYAIRGQVTRKADVYSYGVLLIEIVSGRCNTDTKLPYDDQILLEKTWRYYDQGNLEKIIDSSLGDDLDIDEACRFLKIGLLCTQDVTKRRPGMSAVVAMLKGEAEVDTQMISKPDVIRDFRDLKLRSRATSSTLLTSIMARSSPLSSEETTRTSITFTAISERD >PAN22804 pep chromosome:PHallii_v3.1:4:2968060:2972549:1 gene:PAHAL_4G046100 transcript:PAN22804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSHFFKRKTGPQRQDDPASDYFTGSENITRYSYRELVRATSNFDQANKIGEGGYGPVYKGTLKDGTAIAVKVLSLHSRQEGNHRILVYNYLENNSLAHTLLGSGHSNIQFNWRTRVNICIGVAQGLAFLHDSVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDVSHVSTRVAGTLGYLAPEYAIRGQVTRKADVYSYGVLLIEIVSGRCNTDTKLPYDDQILLEKTWRYYDQGNLEKIIDSSLGDDLDIDEACRFLKIGLLCTQDVTKRRPGMSAVVAMLKGEAEVDTQMISKPDVIRDFRDLKLRSRATSSTLLTSIMARSSPLSSEETTRTSITFTAISERD >PVH47852 pep chromosome:PHallii_v3.1:4:22322187:22322656:1 gene:PAHAL_4G167200 transcript:PVH47852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMIPFS >PVH47965 pep chromosome:PHallii_v3.1:4:34372550:34373410:-1 gene:PAHAL_4G201900 transcript:PVH47965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTERPRYYSQEYEHHGTPRCRVILVIVRSNRYPDIQPWRDTYPLAIIKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHKEGPLYQVATYLAALDQLFDKQANLLREQTYRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPVIGWGPLFGNSQAPPENPESSAAAVEGDAAAQPLTGGNPENGERGLLTLPTPEEGTPRE >PVH48513 pep chromosome:PHallii_v3.1:4:51980909:51983705:1 gene:PAHAL_4G346800 transcript:PVH48513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRVLADLGEGDPLHHPGGADDPEDAERRLPAGGLDVEEDEAPQQHDAAGALDGARVPAHPDLVHLLAEELHQEHPRQDHQGAQRELAGAGACGGGAGVLGLGEEVGHDGEQERERRGEEEADLGVEGGADVGETAEEVEVEEEAGEDEHDDGGGHHAGLVALLGVGRREVLDVHVNGGRAEEDCCLVIAEVNHLRSSCTKRLLLLPGSDHTHKLEIRFLRNTLHSYYNRKLVWFGRWSLCTPLV >PVH47211 pep chromosome:PHallii_v3.1:4:216155:217324:1 gene:PAHAL_4G004400 transcript:PVH47211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRQRGERDGGSTAERRRHLYLVVDDWECGYSIRKFFTSAFGTKIMGLHHNNSGIVQLVDVRARTVVLGPRTNCPAFPIYFSVGSDKLFAIDAACFELCRLPQVYSDAESDSDGSSPDESESDSDSDRNDKWSWRQLPEPPFPTTDVSSYAMHSDGQSILVSVKGEETETVATTFIFDMGKFVWECLGEWMLPFTGRGHFDRKLKALVGFSKDPEAFGCLYACNVPNTGDRHCPAWKCSKEKVFSKHPADRHVSASLVYMENWRKYCLVECVWVEKENACQVKVKEDKADQVLLEKSEGVGGVPQRGRHMYRLMTFSLKYDKMHDLRVKCRQVRYYEVPNTVSAESIRMDPVAFWL >PVH47209 pep chromosome:PHallii_v3.1:4:215690:217609:1 gene:PAHAL_4G004400 transcript:PVH47209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGPLGPPSPGNLQGFPSFTIHPFSGSAPASAALLFASPSTAAAPSSAGSREQEGDEQGSGMPKRQRGERDGGSTAERRRHLYLVVDDWECGYSIRKFFTSAFGTKIMGLHHNNSGIVQLVDVRARTVVLGPRTNCPAFPIYFSVGSDKLFAIDAACFELCRLPQWSWRQLPEPPFPTTDVSSYAMHSDGQSILVSVKGEETETVATTFIFDMGKFVWECLGEWMLPFTGRGHFDRKLKALVGFSKDPEAFGCLYACNVPNTGDRHCPAWKCSKEKVFSKHPADRHVSASLVYMENWRKYCLVECVWVEKENACQVKVKEDKADQVLLEKSEGVGGVPQRGRHMYRLMTFSLKYDKMHDLRVKCRQVRYYEVPNTVSAESIRMDPVAFWL >PAN22222 pep chromosome:PHallii_v3.1:4:215690:217520:1 gene:PAHAL_4G004400 transcript:PAN22222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRQRGERDGGSTAERRRHLYLVVDDWECGYSIRKFFTSAFGTKIMGLHHNNSGIVQLVDVRARTVVLGPRTNCPAFPIYFSVGSDKLFAIDAACFELCRLPQWSWRQLPEPPFPTTDVSSYAMHSDGQSILVSVKGEETETVATTFIFDMGKFVWECLGEWMLPFTGRGHFDRKLKALVGFSKDPEAFGCLYACNVPNTGDRHCPAWKCSKEKVFSKHPADRHVSASLVYMENWRKYCLVECVWVEKENACQVKVKEDKADQVLLEKSEGVGGVPQRGRHMYRLMTFSLKYDKMHDLRVKCRQVRYYEVPNTVSAESIRMDPVAFWL >PVH47210 pep chromosome:PHallii_v3.1:4:215690:217594:1 gene:PAHAL_4G004400 transcript:PVH47210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGPLGPPSPGNLQGFPSFTIHPFSGSAPASAALLFASPSTAAAPSSAGSREQEGDEQGSGMPKRQRGERDGGSTAERRRHLYLVVDDWECGYSIRKFFTSAFGTKIMGLHHNNSGIVQLVDVRARTVVLGPRTNCPAFPIYFSVGSDKLFAIDAACFELCRLPQLPEPPFPTTDVSSYAMHSDGQSILVSVKGEETETVATTFIFDMGKFVWECLGEWMLPFTGRGHFDRKLKALVGFSKDPEAFGCLYACNVPNTGDRHCPAWKCSKEKVFSKHPADRHVSASLVYMENWRKYCLVECVWVEKENACQVKVKEDKADQVLLEKSEGVGGVPQRGRHMYRLMTFSLKYDKMHDLRVKCRQVRYYEVPNTVSAESIRMDPVAFWL >PAN22461 pep chromosome:PHallii_v3.1:4:1350358:1352951:1 gene:PAHAL_4G021100 transcript:PAN22461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAARSKKGRGVFCNLPLLLLIGAIQFLVIYSPAIDRYMVMITKGKPGFPSLLLDGRRGFKLVEEEFVPEPRVACDFADPRSDVCELEGAIRIRGSTSEVFVVAPGGAGAANVTGLRAGMNATSWTIQPYTRKGEARVMRGITELKVRVVAAGEAPPCTVRHDVPAVVYSNGGYCGNYYHDFNDNIIPLFITSRHLGGEVQLLVAQKQRWWFHKYREIVDGLTNYEAVDLGGDGGEVRCFQRATLGLRSHKDLSIDPRRAPRNLSMVDFKRFLMWRYALPREHAIRTEDEEPGGAARPRLLIIARRSRRRFVNLPEIVALAEEVGFDVTTSDVMSPPPSKKTATGAGAGTRAGTAAAAGDEGHARMADASALVNSFDAMLAVHGSGLTNLVFLPMNAVVVQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITAEESTLSEAFPRMHPVFLDPMPIHKQSWSLVKDIYLGQQDVRLNLRRFRPVLEKAIRLLR >PAN23123 pep chromosome:PHallii_v3.1:4:4629792:4638172:-1 gene:PAHAL_4G069300 transcript:PAN23123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPFRAIGYITAGGVPFSVQRLGSETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRALASHKDYTFAAYGSDIAVFKRTDQVVTWSRHEEKVNMLYLFGEYVLSADVKGNIFIWAFKEAEPNSEPVGSISLGDKFTPTCIMHPDTYLNKVIVGSEEGPLQLWNISTKKKLYDFKGWESPVRCCVSSPALDVVAVGCSDGTVHVHNIRYDEALMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKKRLHSVIREAHDGSIVSLHFFANEPILMSSAADNSIKMWIFDNNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVTKRAKRLRVKEEEIKLKPVITFDCAEIRARDWCNVVTCHMDTPQAYVWRLQNFVIGEHILTPSSGTETPIKACVISACGNFTILGTEGGWIEKFNLQSGISRGGYIDTSLARQCAHEGEVVGLACDATNGSLISAGYHGDIKVWDFKTCKLKSRLDVGKSVTKIAYHRANGILATVVDDMVLILFDTVAMKMVRRFEGHTDRITDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQFLFSTSTNIENYASGKHVRNVCLPAVSSVERSEEEQAHDSGDSNQSNIKPFVIMDHQIPNLITLSLLPRSQWQSLTNLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPPASSKETDSSTTENTKHKKMAELSSQLGLLLQSCGETKNYSAFTDYMKGLSPSSLDMELRLLQIIDDEESEDLEQRPELQSISSLLDYFIHELSCRNNFEFIQAILKLFLKIHGETIRRHSTLQSKVKKLLEVQSLVWQKIDKMFQGARCMVTFLSNSQF >PAN23083 pep chromosome:PHallii_v3.1:4:4629069:4638172:-1 gene:PAHAL_4G069300 transcript:PAN23083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPFRAIGYITAGGVPFSVQRLGSETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRALASHKDYTFAAYGSDIAVFKRTDQVVTWSRHEEKVNMLYLFGEYVLSADVKGNIFIWAFKEAEPNSEPVGSISLGDKFTPTCIMHPDTYLNKVIVGSEEGPLQLWNISTKKKLYDFKGWESPVRCCVSSPALDVVAVGCSDGTVHVHNIRYDEALMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKKRLHSVIREAHDGSIVSLHFFANEPILMSSAADNSIKMWIFDNNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVTKRAKRLRVKEEEIKLKPVITFDCAEIRARDWCNVVTCHMDTPQAYVWRLQNFVIGEHILTPSSGTETPIKACVISACGNFTILGTEGGWIEKFNLQSGISRGGYIDTSLARQCAHEGEVVGLACDATNGSLISAGYHGDIKVWDFKTCKLKSRLDVGKSVTKIAYHRANGILATVVDDMVLILFDTVAMKMVRRFEGHTDRITDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQFLFSTSTNIENYASGKHVRNVCLPAVSSVERSEEEQAHDSGDSNQSNIKPFVIMDHQIPNLITLSLLPRSQWQSLTNLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPPASSKETDSSTTENTKHKKMAELSSQLGLLLQSCGETKNYSAFTDYMKGLSPSSLDMELRLLQIIDDEESEDLEQRPELQSISSLLDYFIHELSCRNNFEFIQAILKLFLKIHGETIRRHSTLQSKVKKLLEVQSLVWQKIDKMFQGARCMVTFLSNSQF >PVH47592 pep chromosome:PHallii_v3.1:4:6759755:6760246:1 gene:PAHAL_4G095900 transcript:PVH47592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSIISYESESSREPTPEYDPIAAYEVRAPLHWDAEEWDFRYQSEDDESLTDGEDLAFLLGADLEEDEDDASWGEDLSSSEERADSFSSEEDPIAGTFLFGRSSDETSDGTEEAEDDDGFSSDDSGDNDDGSSDSGSSGTSIAPPSKRRKSSGVYWW >PVH47621 pep chromosome:PHallii_v3.1:4:7441048:7443896:1 gene:PAHAL_4G103500 transcript:PVH47621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSALDPAPGRAFTNKVRSLLRVPVASLSPGSRPSFRLLVAFGRCRFRLTHDSAGFLLQSVLGAKAIDLCVTEVDDRVCEFWVSSKAVGFLAYQLQQKRHRSYTDAAKAPLSGANTVPLKRPTNQRVIPIASVFSRLSHDLARASGSNTKSSMFDRLGPIPAKNSRHHLNSNFFRSSELPRFRRCTRCLSTAHDRVACKSGIRCHACFSWGHVAQGCPKPSPVNIGRQVSTPSRSDWYKGDFSKWFSLTSGPSLQLPRGFTATCNNSKQPLGFPDVTSPNPSNSNSKPQSSSPSPRPSPPREMAYLRANPAPFAPEGFNVLAILHRERKARAVVARPQSRNEDVSIVTINGMPDHEVPFNTIREVLVEFFDEHLHVPAYVRFEYVYDRDNAVSSGPHNYGNCNFTFQKHQSWEVWLTLLGLPLDYWAQECLEQAITSFGRLISWERDLNKLGRLILKARVIDLESVPHFIVVTDGERAQGESWTVQCEILQQEMLQELTPDEDPEPDNSNGQEPPINFFGFGQQMPGFHQQQMNAAPDANPPAENWQNGPQGQNASQHEVIEGDPPPFQLHEPSQEIVLALPAQPPQPLNQHLNVGMVHIVNNFLADPVLETFSPMTQPTFICNAQAVRLWANHFSQSRVSNLPTVEIPSVWSDFFTTILMSPTHFEWARKFLLSQAWDVLQTGTSAHGTIQFTLPQICPSKEQLPSTESNNSIKASERKLAQTKNCLACNVSPPTLSTTLIKNLGVTFAKMAPEEVSAEQLQAKSKSRGKKNAPSQAQTRGKKNNKESGPSASASEDTPRKKPKK >PAN22820 pep chromosome:PHallii_v3.1:4:3041092:3041454:1 gene:PAHAL_4G046800 transcript:PAN22820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGMLPNYLQRELPPDEAGAPGRGGGEGIRMLLLDAGRVLMLWGWGTLAATAVSSTGNRQADAAGNAATAARAFLGLLLWLLGVSLVTFASAARRRFPRRGAAVTTNLVMGRFFPPWN >PAN22438 pep chromosome:PHallii_v3.1:4:1288936:1292709:1 gene:PAHAL_4G019800 transcript:PAN22438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLEDNVIPVGIFYFLFGQSYTHDACVADFPLFSECRLHSRKDVGPAESRRNGWLFHYKPATPARPRRHAKRKLQREQSSNLLILPAPGDGRRRRRRMGRGPDAEAEDDAGETKPTLLQRWSSSVWVVSGSGRLLWARNEAWRAHAGMAFVQVAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPIAFLRERNVQRPVTRQLLASFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLVGNGGTVAADGNALAGSWSSNAYSAQWLTTAMLRYGVETWHLGVLCLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATLFMVLTGAFGTSGLHEWALTTTEVIAILYAGIIASCLNYAIMTWANKILGPSLVALYNPLQPACSTLLSTIFLGTPIYVGSVIGGLFIIAGLYLVTWARYNEAQRVLTASYLQPLLVEDLPTSKAEGGSFSGSIDPYTREG >PVH48395 pep chromosome:PHallii_v3.1:4:50417951:50419366:-1 gene:PAHAL_4G322900 transcript:PVH48395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVELAAVKSDWIAPLAMYPDGNTKKVMFGTGFCKAFVVDPSIGIPVVTFSLDGVKHDSQFATMGLFEESLVSVGRESDDIILSSPTAQAWFDVLSRLPTRTVGRLNQVCREWRAITKSECFVELHLLRQANLMSSTKIPQVMFTDGKPNSFKSLESFIGTPDALPPLVDGNSRVVCSKPCHGLNAGSFMCYDFVCNPITGYYRALPLLDHHRELRGSSSVFSYINARRSSLDRSGDGDAMFAGRFGLGYDTEAGTHVLVRVAYKERNLATRDYELECKIRWIEDMFWEELDPPQRPIADTPPAYINGKLYWMADAKLGHHRPSSDHEEIIALDVSARKFELLKGPMRTHQDSDASIVELQGQVCMARSHPRKGTLEIWAMKDNGWWSMEYYIEVGRFSPEYSSDTAVTPLAVDSEDGRILLSTGKALGYYDPKTAKMQTIYSLGEHTKNKKFVPILVQESLIDPCDEVL >PVH48154 pep chromosome:PHallii_v3.1:4:45925283:45932253:-1 gene:PAHAL_4G263000 transcript:PVH48154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEFLKNGPFDRDVEQAITGLKKGTHLLKYGCRGKPKFCLFKLSNDETMLIWYTGKVEKQLRLNQVSRIIPGQRTAIFQRYPRPDKEFQSFSLIYGHRSLDLICKDKDETEAWFVGLKALVSRWVVETKDGKDLQNVNAHEVIGFGNIFSDAVCTGQDRSRISAGSIGTSSSFSSGGADTSSGGASGVSYSRSVSSSSYGSGDDFDLSGDVFIWGKGVGAYASHTSGNLHDSRSDVSSPKALESTFLLDIRSIACGSKHLVLVTKQGEIYSWGEESGGRLGHGVDADVCHPKLIRALSGIIIESVACGEFHTCAVSFCGDLYTWGDGTHYSGVLGHGNDTAHWIPKEVYGPLEGLHISSVSCGQWHTAIVTSLGQLFTFGDGVFGALGHGDRLSTNIPRHVNSLKGMRVLRAACGAWHTAAIVEIVDFLDTAAATKLFTWGDGDRCQLGNVDREARFIPACVASLLEPSFCQVACGHDSTVALSTSGQVYTMGSNAFGQHGNPKTDGKFPTLVGGIISSSFIEEIACGSHHIAVLTSKAEVYTWGRGANGRLGHGDSVDRNTPTLVEALKDKQVKSAVCGADFTAAVCLHKCASGLDQSVCSGCHLQFGFRRKRHNCYNCASLAPDSFKPYRVCDECYTKLSTVAVGKILRNSGLLEGNPRQLSREATDPDKSLRSRLSKLLTCDSFKPDGKYSLDTGQLPLPRIRNMNRSTTNLIGHSKELISSFIPTSKVGSHSQPTSPPSSGPSSPNPIRQENKLSENLTEEVARLKSQVKELTHKSELLEDELAKANNQLREVRATADLENLKCKAANEIISVLTTQIKAMTPRTHEECTSNGSWADHVSKVFGSHSRGNSLQDLHRPPDSSGQQAHQSSCNGNSIVADAEWIEQVEPGVYITVFRSPAGQKYLRRVRFSKRRFTEHQAELWWGNHRSTLQEQYGILTGDSIFPSRTIREKG >PAN23517 pep chromosome:PHallii_v3.1:4:6945604:6946739:1 gene:PAHAL_4G098300 transcript:PAN23517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSLSLSSLPPGDSDGDLVPVVRSGGGARRGGGVYRRRKDLQVPSSPPAPGGRALAHHRWHRGRDGLRRGVDDRLGSHRQIVDGGAAAGVNAHAFVAAGVEGQADGHERRPTGGGQGRPPDRAGTEGGGDDVRPVRDGRRGRPSVTGTASASGRRRTSGTRRARGRTGAAWDLGDGESGGSAKMPSEREGMAREMD >PAN23553 pep chromosome:PHallii_v3.1:4:7135089:7136411:1 gene:PAHAL_4G100800 transcript:PAN23553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSAVIWASLACLAKCSLWLLTSALSTRGAQCIEQRKNVIKHILLLDSPPDCRPQFIGPCKQQQRYCCCSTELILP >PAN22527 pep chromosome:PHallii_v3.1:4:1602563:1610655:1 gene:PAHAL_4G025300 transcript:PAN22527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLMSMTPQKAVLAETGQVVAAQDVKVNTVIAVKAGEVIPIDGVVADGRSEVDESTLTGESFPVAKQPESQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSNTQRLIDTCAKYYTPAVVVMAAAVAVIPVVIRAHNLKHMFQLALVLLVSACPCALVLSTPVATFCALLTAARTGLLIKGGDVLESLAKIKIAAFDKTGTITRGEFSVEEFQAVGGRVPIQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSDNVTEFQIYPGEGIYGEIDGEGVYIGNKRILSRASCETVPDMKDMKRVTIGYVACKKELIGVFSLSDSCRTGSAEAIKELRSLGIKSVMLTGDSAVAAAYAQNQLGNILDEVHSELLPEDKVRIVDELKAKYGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAVQLARRTHRTIIVNIIFSVITKLAIVGLAIAGHPLIWAAVLADVGTCLLVIMYSMLLLRSKGGRNAKKCCASSQHGSHAKKHCVSGHCSDGPCKSTGSCKESSSGKHGCHDHGHSHTHCKELGNQQPTEKHACHDHGHSHSHCKEPSNQVVTEKHACHDHEHTHKHCKEPGNQVLIENHGCHDHGHTHDHCKELSSQHFINKHDCHDNDHSHCKETITSQHSDSNSACHEHEHSHCEEDNHSHPAGEHACHEHEHSHCEDHNHSQSIVEHACHDHDHEHEHHCHAEQKPADTHHCHEHEHDHGEIEESEKDGHAELQLHHNHCCHEPHGLEKKIATVQEFSISISSLPDENHNHQNQCSHHSEEHKVEDCTNHLKAKDTVPPPTDRWSRNCCSVTSNKGCGSKGKDVCSSWQAVCARETSRCCRSYVKCPRTRSCCSHTMLKLPEIVVE >PAN22550 pep chromosome:PHallii_v3.1:4:1606150:1610088:1 gene:PAHAL_4G025300 transcript:PAN22550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKSEKDLIVLDVSDFATAGMSSLMSMTPQKAVLAETGQVVAAQDVKVNTVIAVKAGEVIPIDGVVADGRSEVDESTLTGESFPVAKQPESQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSNTQRLIDTCAKYYTPAVVVMAAAVAVIPVVIRAHNLKHMFQLALVLLVSACPCALVLSTPVATFCALLTAARTGLLIKGGDVLESLAKIKIAAFDKTGTITRGEFSVEEFQAVGGRVPIQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSDNVTEFQIYPGEGIYGEIDGEGVYIGNKRILSRASCETVPDMKDMKRVTIGYVACKKELIGVFSLSDSCRTGSAEAIKELRSLGIKSVMLTGDSAVAAAYAQNQLGNILDEVHSELLPEDKVRIVDELKAKYGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAVQLARRTHRTIIVNIIFSVITKLAIVGLAIAGHPLIWAAVLADVGTCLLVIMYSMLLLRSKGGRNAKKCCASSQHGSHAKKHCVSGHCSDGPCKSTGSCKESSSGKHGCHDHGHSHTHCKELGNQQPTEKHACHDHGHSHSHCKEPSNQVVTEKHACHDHEHTHKHCKEPGNQVLIENHGCHDHGHTHDHCKELSSQHFINKHDCHDNDHSHCKETITSQHSDSNSACHEHEHSHCEEDNHSHPAGEHACHEHEHSHCEDHNHSQSIVEHACHDHDHEHEHHCHAEQKPADTHHCHEHEHDHGEIEESEKDGHAELQLHHNHCCHEPHGLEKKIATVQEFSISISSLPDENHNHQNQCSHHSEEHKVEDCTNHLKAKDTVPPPTDRWSRNCCSVTSNKGCGSKGKDVCSSWQAVCARETSRCCRSYVKCPRTRSCCSHTMLKLPEIVVE >PAN22528 pep chromosome:PHallii_v3.1:4:1602563:1610654:1 gene:PAHAL_4G025300 transcript:PAN22528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAPQPPPPPPGSAGGKAQKTYFDVLGICCPSEVPLVEKLLDPLPGVRKVTVIVPSRTVIVVHDADATPPAQIVKVLNQARLDASVRAYGSGTEKLTNKWPSPYVLICGACLLVSLFEHFWRPLRWFALGAVAAGLPPIVLRSIAAARRLTLDVNILMLIAVSGAIALKDFSEAGFIVFLFTTAEWLETRASHKATAGMSSLMSMTPQKAVLAETGQVVAAQDVKVNTVIAVKAGEVIPIDGVVADGRSEVDESTLTGESFPVAKQPESQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSNTQRLIDTCAKYYTPAVVVMAAAVAVIPVVIRAHNLKHMFQLALVLLVSACPCALVLSTPVATFCALLTAARTGLLIKGGDVLESLAKIKIAAFDKTGTITRGEFSVEEFQAVGGRVPIQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSDNVTEFQIYPGEGIYGEIDGEGVYIGNKRILSRASCETVPDMKDMKRVTIGYVACKKELIGVFSLSDSCRTGSAEAIKELRSLGIKSVMLTGDSAVAAAYAQNQLGNILDEVHSELLPEDKVRIVDELKAKYGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAVQLARRTHRTIIVNIIFSVITKLAIVGLAIAGHPLIWAAVLADVGTCLLVIMYSMLLLRSKGGRNAKKCCASSQHGSHAKKHCVSGHCSDGPCKSTGSCKESSSGKHGCHDHGHSHTHCKELGNQQPTEKHACHDHGHSHSHCKEPSNQVVTEKHACHDHEHTHKHCKEPGNQVLIENHGCHDHGHTHDHCKELSSQHFINKHDCHDNDHSHCKETITSQHSDSNSACHEHEHSHCEEDNHSHPAGEHACHEHEHSHCEDHNHSQSIVEHACHDHDHEHEHHCHAEQKPADTHHCHEHEHDHGEIEESEKDGHAELQLHHNHCCHEPHGLEKKIATVQEFSISISSLPDENHNHQNQCSHHSEEHKVEDCTNHLKAKDTVPPPTDRWSRNCCSVTSNKGCGSKGKDVCSSWQAVCARETSRCCRSYVKCPRTRSCCSHTMLKLPEIVVE >PAN23182 pep chromosome:PHallii_v3.1:4:4903901:4907667:1 gene:PAHAL_4G073400 transcript:PAN23182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15290) UniProtKB/Swiss-Prot;Acc:Q9SHU7] MWSLLLYPAPPRPPLPTLRWRPVSSPAPKPSFSAPSRGAATVGTGTSSYAAGRSSLPRHPAAAAASGPSEPTPLSAEDGAERAKLAKVSKKLEKTAWYFKNLGNLGFWSQLVCTTVSAGILTLSAVATGDATAPFTFCATSLGIIAAFISVFRSFGYIRLSERLRRTANEPAKAPARAAVVNNLRNSIVLNVVGMGAAVLGLQATVGALLAKALTASSVPYYQGIPPGQSPVLALDIFLVQASANTILSHFLGLSSSLELLRSVTEAAPVPKPA >PVH47485 pep chromosome:PHallii_v3.1:4:4903901:4907667:1 gene:PAHAL_4G073400 transcript:PVH47485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15290) UniProtKB/Swiss-Prot;Acc:Q9SHU7] MWSLLLYPAPPRPPLPTLRWRPVSSPAPKPSFSAPSRGAATVGTGTSSYAAGRSSLPRHPAAAAASGPSEPTPLSAEDGAERAKLAKQVSKKLEKTAWYFKNLGNLGFWSQLVCTTVSAGILTLSAVATGDATAPFTFCATSLGIIAAFISVFRSFGYIRLSERLRRTANEPAKAPARAAVVNNLRNSIVLNVVGMGAAVLGLQATVGALLAKALTASSVPYYQGIPPGQSPVLALDIFLVQASANTILSHFLGLSSSLELLRSVTEAAPVPKPA >PVH47479 pep chromosome:PHallii_v3.1:4:4843319:4848482:1 gene:PAHAL_4G072700 transcript:PVH47479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGRNPTFDEKFHIPLIEGLRELNVVVWNSNTLTHDDFIGSGRVYLHKVLANGYDDSSWPLQTRNMRSAGEVKLIMHVDVSAMKNKMGRSIGAASTHSVPPPSMPAPAPVPIPAPAAAPALASAVPYTGVPPSYPPAPAYPAASAYSAYPTPSQSPYTTTEYPPPLQQAYPPPSAGYPPSYPPQPYGQPYPPQPYGQPYPLPPEAQSPYPPAPYPGTYPPRPY >PAN23168 pep chromosome:PHallii_v3.1:4:4843319:4849510:1 gene:PAHAL_4G072700 transcript:PAN23168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGRNPTFDEKFHIPLIEGLRELNVVVWNSNTLTHDDFIGSGRVYLHKVLANGYDDSSWPLQTRNMRSAGEVKLIMHVDVSAMKNKMGRSIGAASTHSVPPPSMPAPAPVPIPAPAAAPALASAVPYTGVPPSYPPAPAYPAASAYSAYPTPSQSPYTTTEYPPPLQQAYPPPSAGYPPSYPPQPYGQPYPPQPYGQPYPLPPEAQSPYPPAPYPGTYPPRPY >PAN23169 pep chromosome:PHallii_v3.1:4:4845665:4848174:1 gene:PAHAL_4G072700 transcript:PAN23169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDGGRNPTFDEKFHIPLIEGLRELNVVVWNSNTLTHDDFIGSGRVYLHKVLANGYDDSSWPLQTRNMRSAGEVKLIMHVDVSAMKNKMGRSIGAASTHSVPPPSMPAPAPVPIPAPAAAPALASAVPYTGVPPSYPPAPAYPAASAYSAYPTPSQSPYTTTEYPPPLQQAYPPPSAGYPPSYPPQPYGQPYPPQPYGQPYPLPPEAQSPYPPAPYPGTYPPRPY >PAN24750 pep chromosome:PHallii_v3.1:4:44816932:44822353:1 gene:PAHAL_4G248800 transcript:PAN24750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDSPSPSTAPVALGAKGAVRAVALHHQAVVGFLLGFLLVLLLYTTVSSQFGSQNAIGVLQSTPAARTGQTAMTPQTSPSPMPNNMAKDHIKEDNKGQQCDTPNKMEEDHIQHGINGIEKNNQTEAKLDNEKEKNPGEAAGQASVDDASDRIEKELIRQEIDQGGIKNDTNIEQATPRKPICDLSDPRYDVCEISGDARALGANRTVLYVPPAGERGADSQEWSIRDQSRKYLEYVGKVTVRSLNASQAAAAPECTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITTRALDGEVQFLVSDLQPWFVDKYRLILRNLSRYDVVDFNQDGGVRCYPHVTVGLRSHRDLGIDPARAPRNYTMLDFRLYVREIYSLPPPGVDVPYKEANRAAAEQQRKPRLMLINRGRTRKFVNFPEIVAAVQNAGFEVVPVEPRRDLSVEEFSRVVDSCDVLMGAHGAGLTNFFFLRSNAVMLQVVPWGHMEHSSMVFYGGPAREMRLRDVEYSIAATESTLYDKYGKDDPVVSDPESIHKQGWQFGMRYYWIEQDIRLNVTKFAPMLHQVLQMLRE >PAN24751 pep chromosome:PHallii_v3.1:4:44816932:44822353:1 gene:PAHAL_4G248800 transcript:PAN24751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDSPSPSTAPVALGAKGAVRAVALHHQAVVGFLLGFLLVLLLYTTVSSQFGSQNAIGVLQSTPAARTGQTAMTPQTSPSPMPNNMAKGDHIKEDNKGQQCDTPNKMEEDHIQHGINGIEKNNQTEAKLDNEKEKNPGEAAGQASVDDASDRIEKELIRQEIDQGGIKNDTNIEQATPRKPICDLSDPRYDVCEISGDARALGANRTVLYVPPAGERGADSQEWSIRDQSRKYLEYVGKVTVRSLNASQAAAAPECTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITTRALDGEVQFLVSDLQPWFVDKYRLILRNLSRYDVVDFNQDGGVRCYPHVTVGLRSHRDLGIDPARAPRNYTMLDFRLYVREIYSLPPPGVDVPYKEANRAAAEQQRKPRLMLINRGRTRKFVNFPEIVAAVQNAGFEVVPVEPRRDLSVEEFSRVVDSCDVLMGAHGAGLTNFFFLRSNAVMLQVVPWGHMEHSSMVFYGGPAREMRLRDVEYSIAATESTLYDKYGKDDPVVSDPESIHKQGWQFGMRYYWIEQDIRLNVTKFAPMLHQVLQMLRE >PAN25450 pep chromosome:PHallii_v3.1:4:44816932:44822353:1 gene:PAHAL_4G248800 transcript:PAN25450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDSPSPSTAPVALGAKGAVRAVALHHQAVVGFLLGFLLVLLLYTTVSSQFGSQNAIGVLQSTPAARTGQTAMTPQTSPSPMPNNMAKGDHIKEDNKGQQCDTPNKMEEDHIQHGINGIEKNNQTEAKLGEAAGQASVDDASDRIEKELIRQEIDQGGIKNDTNIEQATPRKPICDLSDPRYDVCEISGDARALGANRTVLYVPPAGERGADSQEWSIRDQSRKYLEYVGKVTVRSLNASQAAAAPECTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITTRALDGEVQFLVSDLQPWFVDKYRLILRNLSRYDVVDFNQDGGVRCYPHVTVGLRSHRDLGIDPARAPRNYTMLDFRLYVREIYSLPPPGVDVPYKEANRAAAEQQRKPRLMLINRGRTRKFVNFPEIVAAVQNAGFEVVPVEPRRDLSVEEFSRVVDSCDVLMGAHGAGLTNFFFLRSNAVMLQVVPWGHMEHSSMVFYGGPAREMRLRDVEYSIAATESTLYDKYGKDDPVVSDPESIHKQGWQFGMRYYWIEQDIRLNVTKFAPMLHQVLQMLRE >PAN22626 pep chromosome:PHallii_v3.1:4:2013375:2018568:-1 gene:PAHAL_4G032500 transcript:PAN22626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSESDGAGSVGAGEGEEEEEEDEVGVAGAGDGGEAGGMFTFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEEATGYSAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVSNIRRCIDNGTEFRGDLLNFRKDGSPLMNRLHLTPIYGDDETITHYMGIQFFTNANVDLGPLPGSITKESVRSTRFAPDNSFRPISTGPGESNFCREYSSIFQLTDEVICQSILSRLSPRDIASVSSVCRRLYHLTRNEDLWRMVCQNAWGSETTQALETVLAARRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHINVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFILDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDRPVWREVPASWKPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVYTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASNSV >PAN25427 pep chromosome:PHallii_v3.1:4:48819473:48820804:-1 gene:PAHAL_4G300700 transcript:PAN25427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGGAGAACAACKYQRRRCTPDCPLAEYFPHDRPRVFRNAHRLFGVSNILKTLDRAGPEKRREAMHCIIYESQAWDMYPASGCVPIIHDLQKRIRQAEFELRRVYAGIQAYRAAAAAAQGGGPVPGSDGDPSALASAPPPFQFQPATGDDDGGLLPFTMYGGDQQQMMMNAAASDDENIALQMPPWMMQPPQYDMTSAPAVADMAGKVVPQQDHRFLVGATMVPQSEHQQQHIPIQPAELDDEMSFFADDGVDGDTEMPHESSMGSSEKKAMRAPKMEGANGF >PAN23374 pep chromosome:PHallii_v3.1:4:6045386:6049894:1 gene:PAHAL_4G086900 transcript:PAN23374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDSKPSYSYSYDHGNTSSGYTSRYAGNPSFSYNARYTPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKMSFNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAVGIVDSTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPEQYKATLDLQLLGRRHRITPRVALPPPTRNAYSRSTSFSQQSSVYSRSSSFDQQTSGFQQRPESFKQQQPAATRRPDTYAAESALEDRLLCPICMYKSKDLAFGCGHQTCYECGKNLERCPLCQDNITTRIRLY >PAN23373 pep chromosome:PHallii_v3.1:4:6044713:6050062:1 gene:PAHAL_4G086900 transcript:PAN23373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDSKPSYSYSYDHGNTSSGYTSRYAGNPSFSYNARYTPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKMSFNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAVGIVDSTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPEQYKATLDLQLLGRRHRITPRVALPPPTRNAYSRSTSFSQQSSVYSRSSSFDQQTSGFQQRPESFKQQQPAATRRPDTYAAESALEDRLLCPICMYKSKDLAFGCGHQTCYECGKNLERCPLCQDNITTRIRLY >PVH47722 pep chromosome:PHallii_v3.1:4:11051646:11052785:1 gene:PAHAL_4G129400 transcript:PVH47722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVWADQEGLAACGRAEDGGGTRARGGSVRCRGGGSGGGAQVGGETVARGREGGGAWPDGASRRWTPERASGSCGTRAGG >PAN22263 pep chromosome:PHallii_v3.1:4:435901:439395:-1 gene:PAHAL_4G007400 transcript:PAN22263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWSIFQRPAAGTDAEPEPSEPSGRQLSDEALVEELLTTVASARSFQEFRRSQRKECFGLLRWLQLVLPLIQEIRETSPSLTDDAYRRLALLGRAFQAARRLLRCCHDGSKIFLALESEAVLARFQAVYEMMNHALDGMPYSEIGISDEVKEQIELINAQLKRCKKRSDTQDMELSMDFMMIIQNKEDGKADRAILERLAKKLELQSLADLRAETMAIKKLINERNGQQQESTKHIIELLHKFKEIAGIDEKNILGDVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPVIIASGRTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLILQWCEKNKLEIQMGESEPASEQEERKEDIPSLVKDLSSVHLDVQRKAAKKIRTLSKENPENRALILENGGLPAIISLVSYPDKKIQENTVTALLNLSIDETNKVLIAKGGAIPLIIEVLKNGSVEGQENSAAALFSLSMIDENKAAIGILGGIAPLVGLLRDGTIRGKKDAATAIFNLILNHPNKFRAMEAGIVPALLKILGDKKLDMVDEALSIFLLLASHPNCRNEVGTTSFVEILVQIIKEGTPKNKECALSVLLELGLNNNSLMVHALGFGLHEHLSDIAKTGTSRAQRKANSLIQLSCKCS >PAN22262 pep chromosome:PHallii_v3.1:4:435900:439395:-1 gene:PAHAL_4G007400 transcript:PAN22262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWSIFQRPAAGTDAEPEPSEPSGRQLSDEALVEELLTTVASARSFQEFRRSQRKECFGLLRWLQLVLPLIQEIRETSPSLTDDAYRRLALLGRAFQAARRLLRCCHDGSKIFLALESEAVLARFQAVYEMMNHALDGMPYSEIGISDEVKEQIELINAQLKRCKKRSDTQDMELSMDFMMIIQNKEDGKADRAILERLAKKLELQSLADLRAETMAIKKLINERNGQQQESTKHIIELLHKFKEIAGIDEKNILGDVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPVIIASGRTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLILQWCEKNKLEIQMGESEPASEQEERKEDIPSLVKDLSSVHLDVQRKAAKKIRTLSKENPENRALILENGGLPAIISLVSYPDKKIQENTVTALLNLSIDETNKVLIAKGGAIPLIIEVLKNGSVEGQENSAAALFSLSMIDENKAAIGILGGIAPLVGLLRDGTIRGKKDAATAIFNLILNHPNKFRAMEAGIVPALLKILGDKKLDMVDEALSIFLLLASHPNCRNEVGTTSFVEILVQIIKEGTPKNKECALSVLLELGLNNNSLMVHALGFGLHEHLSDIAKTGTSRAQRKANSLIQLSCKCS >PAN23529 pep chromosome:PHallii_v3.1:4:7024829:7028636:1 gene:PAHAL_4G099400 transcript:PAN23529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARSRFLVLAMLMIVLLYLSLVSSARKSGLMPAAPGSHAGRNNPPVSSHSGHPQASHETRLNTGYRAHVLMDDYGHGSPNPPRHCCGH >PAN23528 pep chromosome:PHallii_v3.1:4:7025057:7026881:1 gene:PAHAL_4G099400 transcript:PAN23528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARSRFLVLAMLMIVLLYLSLVSSARKSGLMPAAPGSHAGRNNPPVSSHSGHPQASHETRLNTESPEGGAAGGPRS >PAN23747 pep chromosome:PHallii_v3.1:4:8634362:8638256:1 gene:PAHAL_4G113700 transcript:PAN23747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHSNSRNQRNRGSRIKTLLQATLLVGVIFWLLYQVKHSYDKKNEYLDDAEDQPAHNDRSMFQGRKEKAGSYSDSNVEVVGKPEEGAVDHHSDTFDHNEKGGEIVFDKDSTDLHEDDKRNTELPEAEKGQVNSADGNTEAHSNNSEDETTGHAEENKHDTESNSDAEGKSEVHSTGDDMSQNNQAQEESTGETSGTSHDEVVQGDESTSASGNGLDGEEGEKKETVGTQTVSESLPDDTKTETSDDHSTGSLPDETGNIPSLHTENSQNDSSENQGGEASTTSGSSEHGTGEAVHIETGLEGESATASSGTGSGDDKGSSSDNTSAEEKTGTASDDDGKGAETGTFNEAPNSLNNSAATDQAANTEAENSQGGSSGEGVNGSSEETSNNSDGATEMSSNGGQVDPKIETSTSTNDEHNESQGGDGGSGSSDSNGSGPEQTGKTESQ >PAN22362 pep chromosome:PHallii_v3.1:4:951026:951301:1 gene:PAHAL_4G014300 transcript:PAN22362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGDIIDDLRKSTTTSTTSSEPDANSKP >PAN25607 pep chromosome:PHallii_v3.1:4:49730374:49740133:1 gene:PAHAL_4G313500 transcript:PAN25607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGAPPPPPPHMAAAPPPPGTTGAGAGQPPPPPPPAGAPQGAKPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDREEDAAVYEWFYDHKPLMKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERKATEEDDDEDFCLPEDVEPLLKSTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLILGLTRASEIAGPPQMPNEFLTYADTKIETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDLFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLNDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILEGNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNAPWNFNFMGVKHDPQMKYNMKLGMPRDFYHEDHRPTHFLEFSNIEEGEVAEGDREDTFS >PAN25608 pep chromosome:PHallii_v3.1:4:49731545:49740133:1 gene:PAHAL_4G313500 transcript:PAN25608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDREEDAAVYEWFYDHKPLMKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERKATEEDDDEDFCLPEDVEPLLKSTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLILGLTRASEIAGPPQMPNEFLTYADTKIETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDLFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLNDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILEGNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNAPWNFNFMGVKHDPQMKYNMKLGMPRDFYHEDHRPTHFLEFSNIEEGEVAEGDREDTFS >PVH48320 pep chromosome:PHallii_v3.1:4:49365718:49366755:-1 gene:PAHAL_4G307600 transcript:PVH48320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMMGQPQNVPENAHFVGHVGHTHSSPIDIEGEEGDDEDGDGVRTVSRLIWKQEEDERVMSVWLKHSIDSIKGNNKKDEQYWSDVAEEYNLTTPKNRHRTKKQVKERWHKINKWANMFNDCYLKVRRIYTSGYYEDMWLEKAHKMYVEDSQGSHFVLMNVWNMVRNQAKWICYNSPVKDTETGNIVEGGGVEDFDLPRPMGQKKAKKAAAANKGKSKESAIDVDELDRFEKVQNGVHAKRLKLLGMQEKLNNDKMEVSKIGLERAKEEKAAKLIEKETKMMETYSRLLTQDTSGMSDDMKAEHVIAIRCLRMKLFPGSS >PVH47434 pep chromosome:PHallii_v3.1:4:4088053:4088631:1 gene:PAHAL_4G062400 transcript:PVH47434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDPKIRALSSDSHFRTFSLLFGSQLPVRVSPLPSSRSRSSAALPPPLAVVLALLRLPRSSAALLPLPWPSSSLCSASPARPPLCSPSPGRHPRSAPPPPLVRRSAPPPLAVILTLLPLPRSSAALLPLPWPSSSLCSASPARLPLCSPPLAVVLALLPLPRSSAALLPLPWPSSSLCSASPARVVLLVVCC >PAN23068 pep chromosome:PHallii_v3.1:4:4195068:4197488:1 gene:PAHAL_4G064300 transcript:PAN23068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESMNSSGSNGVRMCVTGGAGFIASWLVKKLLERGYTVHATLRDTGDEEKVGLLRRLVPGAAESGRLVLFEADLYDAATFAPAIAGCRFVFLVATPFQHDATSTKHKSTAEAALDAARVILRQCAASRTVRRVIHTGTMGACSPLREDSAGFKDAIDESCWTPLDVDYPLRNAQFHEYILSKLVSEQELLAYNAGESPAFEVVTVPCPVVAGDTLQGRNSESLECAASPVTRDERRFGDLRMLQRLMGSVPLAHVDDVCDALVFCMERPSMAGRFLCAAAYPTVADIVDHFAAKYPHLDLLKETELGLLSVQAHSDKLGELGFRYKYGMEEILDGSIDCAVRFGSLDASKLIVQG >PAN25085 pep chromosome:PHallii_v3.1:4:46772896:46773989:-1 gene:PAHAL_4G273800 transcript:PAN25085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGTRVTATINCLSLVPTRTASLSRRSPSDLLHWPLLLSPKSPSELRSWAVVQSRHAAGHGRRPAVERVPRSGEAVALMAAAATEGLAMAPRRRGSGKEHADVRLAGGEAPRPPRRAGRRRCSTAMGRALAGCEELRIMRGVAR >PAN26226 pep chromosome:PHallii_v3.1:4:52539109:52548241:1 gene:PAHAL_4G356200 transcript:PAN26226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADFDDTTEDFFDNLVNSDDDGDEDRPRLAAAEASTGDLAALTLDDQSDAGPPDRKPDDQPVPAPLVEEPEHHPAPPNPDPHTEPVAEAEPAVAPPGAAADQPAVALPGAAADEPSPAPDKGVHAAPALKQVQWNDFGATTGAAGADPFGDLQPGGAEDAFFGGTADGDQGGQESLLGASNASAPDHSFSAGADNNATATDGQSDYSSYGGTDDNNANSQFNSTTGAVVYGDQNTNFQLESADPRYLESLYPGWKYDGATQQWYQVDTLSAQRITAETTSAAAVLGRSTEITPQPKPVAEADDQPAPAPHKEDVEHEPMLAHPQVESVGDHASLRGTTNAGAPYHSFYGGMDSNASSQSESTTVAAGYGGYSTVAQLESADPRYLESLYPGWKYDDATQQWYQVDTVSAHHITGEATSAVAVVGSDNVQQQQQQNNSHAEAGHEPTEATSEPKPEFEPSAAPSETEADNEPSVAPHKDEVENDPTRAYPHAEPVVVHHPEADNEAVEAGSAVLNPDTKAVTAPERCGSLGSEKGIHTAIKQVQWNDFGANTSAGGADPFGDLLPDGAEDDFFGATVPGDQGVQASMVGTNNVTTLDHSFSAGVDNNAAISAGVVGYSFSGGVDNNANTNFDFSASAAGYGVQSTNAQLDSTDPKYLESLYPGWKYDAATQQWYQVDTLGAQSYAADNTGAVAVLGSDNVQQHQQQFSASYLQNASHAALETIAEESSANAASWGQSGISAAPVEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQSVAQAATSPAASDGFTGAGHTVAQYTEDSYASSFSQQSQWQPDSLANTMLPDVLGGNSLLGSSFSSNQQAENQIGRQVIAESLQSSINYKPHADTFVPSTGQHTGSEGNHASYEGFKGNHSWYKGSEHSNSQEVGYKGFASSTGFQTGHKESQPPKDHQAGHMAYEPSTRVGYGNSNGPQDFVPKESMYMTQTHDVSSAHTYVPNNYWGTQTAMDFAQQQLIGANGPSQQFGFSPHEQRSSAGRPPHAVVTFGFGGKLVVLNESSSMSTNFDSGNQDNSGRTVSVLNIPEIVADKINNPSMDNSSALSYFHALCRQPIPGPLVGGSAASKDVNKWLDDMIGVYESSLTEFQGGDVQKVLISLLKILCQHYGKLRSPFGSDPSQEGIDGPDMAVTKLFSSCKSSANMKGYGVHCMRNLPSEIQIQATAQEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYADTVKKMAHCHFVSGSPLRTLCLLIAGQPADVFNSENPVNSGSLYTPHQPVEVAPKGMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVASAHSCYLVAELNIDSYSESARMCLIGADHLRCPRTFASPEAIQRTEVYEYARVLGNSQYILLPFQPYKLIYAYMLAEIGKVSDSLRYCQASLKVLKASGRTPELEAWKQLFSTLEERIRTHQQGGYATNLAPGKIVGKLFTSLDKSLSRMMGTQSAPMPPLPQGAANERDVYSPPDTKVVNNQSVMSMSPLMSSASEQSMSEMAGNSGPGREVAHNRSISEPDFGKTPQKAAGSSKAQSTSGSGSSRFGWLVQKTVGLVSKSHRQAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPVPPPPTKSSFQNSIPESNLKGPPVGGGYTANGFAEAKALNTSEPSSGMPPIPPTQNQFSARGRMGVRSRYVDTFNKGGGGGANAFGAATMYSKPAAPSMSSLSGAKFFVPTPAAAASEQAAADAPTGAHSETTQQAEPSSSPAVEAAFSSLAPPVPMQSTIQRYPSGDNIQRYPSMDNIVAPSDSAGSSMSRSRASSWSGAYPEQLGGTAVSRSPDGQTMPSPMMPGKRPPHSRSSSNSSLQFNGLGEDLHEVEL >PAN26227 pep chromosome:PHallii_v3.1:4:52539109:52548241:1 gene:PAHAL_4G356200 transcript:PAN26227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADFDDTTEDFFDNLVNSDDDGDEDRPRLAAAEASTGDLAALTLDDQSDAGPPDRKPDDQPVPAPLVEEPEHHPAPPNPDPHTEPVAEAEPAVAPPGAAADQPAVALPGAAADEPSPAPDKGVHAAPALKQVQWNDFGATTGAAGADPFGDLQPGGAEDAFFGGTADGDQGGQESLLGASNASAPDHSFSAGADNNATATDGQSDYSSYGGTDDNNANSQFNSTTGAVVYGDQNTNFQLESADPRYLESLYPGWKYDGATQQWYQVDTLSAQRITAETTSAAAVLGRSTEITPQPKPVAEADDQPAPAPHKEDVEHEPMLAHPQVESVGDHASLRGTTNAGAPYHSFYGGMDSNASSQSESTTVAAGYGGYSTVAQLESADPRYLESLYPGWKYDDATQQWYQVDTVSAHHITGEATSAVAVVGSDNVQQQQQQNNSHAEAGHEPTEATSEPKPEFEPSAAPSETEADNEPSVAPHKDEVENDPTRAYPHAEPVVVHHPEADNEAVEAGSAVLNPDTKAVTAPERCGSLGSEKGIHTAIKQVQWNDFGANTSAGGADPFGDLLPDGAEDDFFGATVPGDQGVQASMVGTNNVTTLDHSFSAGVDNNAAISAGVVGYSFSGGVDNNANTNFDFSASAAGYGVQSTNAQLDSTDPKYLESLYPGWKYDAATQQWYQVDTLGAQSYAADNTGAVAVLGSDNVQQHQQQFSASYLQNASHAALETIAEESSANAASWGQSGISAAPVEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQSVAQAATSPAASDGFTGAGHTVAQYTEDSYASSFSQQSQWQPDSLANTMLPDVLGGNSLLGSSFSSNQQAENQIGRQVIAESLQSSINYKPHADTFVPSTGQHTGSEGNHASYEGFKGNHSWYKGSEHSNSQEVGYKGFASSTGFQTGHKESQPPKDHQAGHMAYEPSTRVGYGNSNGPQDFVPKESMYMTQTHDVSSAHTYVPNNYWGTQTAMDFAQQQLIGANGPSQQFGFSPHEQRSSAGRPPHAVVTFGFGGKLVVLNESSSMSTNFDSGNQDNSGRTVSVLNIPEIVADKINNPSMDNSSALSYFHALCRQPIPGPLVGGSAASKDVNKWLDDMIGVYESSLTEFQGGDVQKVLISLLKILCQHYGKLRSPFGSDPSQEGIDGPDMAVTKLFSSCKSSANMKGYGVHCMRNLPSEIQIQATAQEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYADTVKKMAHCHFVSGSPLRTLCLLIAGQPADVFNSENPVNSGSLYTPHQPVEVAPKGMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVASAHSCYLVAELNIDSYSESARMCLIGADHLRCPRTFASPEAIQRTEVYEYARVLGNSQYILLPFQPYKLIYAYMLAEIGKVSDSLRYCQASLKVLKASGRTPELEAWKQLFSTLEERIRTHQQGGYATNLAPGKIVGKLFTSLDKSLSRMMGTQSAPMPPLPQGAANERDVYSPPDTKVVNNQSVMSMSPLMSSASEQSMSEMAGNSGPGREVAHNRSISEPDFGKTPQKQAAGSSKAQSTSGSGSSRFGWLVQKTVGLVSKSHRQAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPVPPPPTKSSFQNSIPESNLKGPPVGGGYTANGFAEAKALNTSEPSSGMPPIPPTQNQFSARGRMGVRSRYVDTFNKGGGGGANAFGAATMYSKPAAPSMSSLSGAKFFVPTPAAAASEQAAADAPTGAHSETTQQAEPSSSPAVEAAFSSLAPPVPMQSTIQRYPSGDNIQRYPSMDNIVAPSDSAGSSMSRSRASSWSGAYPEQLGGTAVSRSPDGQTMPSPMMPGKRPPHSRSSSNSSLQFNGLGEDLHEVEL >PVH47730 pep chromosome:PHallii_v3.1:4:11705643:11706503:-1 gene:PAHAL_4G133200 transcript:PVH47730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPGLLINTLENLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLAIRKALRYLCRIFEEHLAPIPAKFFPPAIRTPVWEARMRNLERRHHEEGPLYQVATHLAALDQLFDEQANLLREQIHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPENPESSAAAVERDAAAQPLTNGNPEDGEQGSLTLSAQEEGLPRE >PVH48175 pep chromosome:PHallii_v3.1:4:46372778:46373762:1 gene:PAHAL_4G268100 transcript:PVH48175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLGVSAGSRASSDGRRAPATQVHALRPSSATPGDGQRTGASPRLAMPVPVPHAARGAGWPACAAGTRRHVSGGVPARATLPPTPCRCRCHACLRPLPKVMVAPATPRRAGGRLQPRTGARQY >PVH47932 pep chromosome:PHallii_v3.1:4:30342030:30342649:-1 gene:PAHAL_4G190900 transcript:PVH47932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAGRIRVRAAGIRPRRGRQERRRNTTAACGFRSAGARAGRVNSQVLPCSAGDLNETPAYLRHKPAGRRLCPWVPFLLQPSHAETGLISL >PAN24773 pep chromosome:PHallii_v3.1:4:44979036:44980380:1 gene:PAHAL_4G250600 transcript:PAN24773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDAAADEVAIELLPFIRLYKSGRVERLIDNDTVPASPHDAATGVVSKDVTIDPATGVSVRLYLPPAAGAGAKLPIVVYFHGGGFMVESADSVPYHRYLNALAARAGAVAVSVDYRRVPEHRLPAAYDDSWAALAWAVAAACGAAPSGGSEPEPWLAEHGDPSRVFLAGDSAGANIAHNVAMRAGAEGRPAIRGVLLVHPYFWDASGAMGPELEERIRREWRFMTGNPDARMDDPRLSPTSAGAPSLALLPVARVLVAVAGEDFLAPKGRAYHAALLASGWRGEAELEDTPGEDHVFHLRQPGTAAAEELMDRVVAFMARA >PAN24804 pep chromosome:PHallii_v3.1:4:45168460:45170193:-1 gene:PAHAL_4G253400 transcript:PAN24804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQEAMPLLTPFKSGHLELAHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLITEATGVSATAQGYPETPGIWTPEQVEAWKPIVDAVHRKGALFFCQMWHVGRVSTNDFQPDGQAPISSTDRQISPDAEPGMVYSKPRRLRADEIPGIVDDFRRAARNAIAAGFDGVEIHGANGYLLEQFMKDGANDRDDEYGGSLENRCRFAVEVVDAVVREVGAHRVGIRLSPFLDYMDCVDSDPAALADYMVKELNKHDGFLYCHMVEPRMAVVDGRRQIPHRLLPFRKAFKGMFIAAGGYDREEGNKVVAEGYTDLVAYGRLFLANPDLPKRFELGAPLNKYDRSTFYTQDPIVGYTDYPLLDEDSNDAATQA >PAN22341 pep chromosome:PHallii_v3.1:4:840721:841110:-1 gene:PAHAL_4G012700 transcript:PAN22341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFASTASAATAVPSCGAGARRGGRCVARASALAMAPALGTHYEVLGLGVGASRGEIKAAYRRLAREVHPDAGGCGGDEGFIQLHAAYATLADPDERARYDRSVARPAARRAAPSGFRPRRWETDQCW >PVH47538 pep chromosome:PHallii_v3.1:4:5725172:5727693:1 gene:PAHAL_4G083200 transcript:PVH47538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQRGTQPGVMLYFLPMGPGRSKSVSGQPPSGLPPMNPGGWGGPNDTFWCCYGTGIESFSKLGDSIYFVEEGKTPGLYIIQYIPSIFEWKSAGLTVKQQAKTLFSTDTYFEVSLTISAKGDAQLAKVSVRIPSWTSIDDATATLNDQKLNLTGTGSSSNGSFLTVTKHWDEDTLTLKFPITLRTEAIKDDRPEYASIQAVLFGPHLLAGLTHGNLPVTDSNHSNDGLTPGIWEVNATNASSVAGWVTPLASGSLNLQLVTLTQSTGGRTLVLSVSIADGRLAMQEKPAAGTDACVHATFRVYGPAVASGGGVEGPNMTIEPFDRPGMAVTNALAVGRPGGGRDTLFNAVPGLDGAPGLDGAPGSVSLELGTRPGCFVTAPAAASGGANDTRVGVRCRGDGDDEAASFARAAPLRRYHPLSFAARGAERGFLLEPLRSLQDEFYTVYFSLVSG >PVH47537 pep chromosome:PHallii_v3.1:4:5723228:5727693:1 gene:PAHAL_4G083200 transcript:PVH47537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASEGTIGSGGGCGMRRGDRHDERNVALRRGWGVDPRLKVYPSGRFIHAVVVSTDTHRPGLLTRDSRSLTMPSAYIYARTPGDSPPPRSCWIAHCSWTWTSSRRSINRPIEPAARGMVVARPALAMAAVLLLCAGRGAALHLCTDRLFNDTQGRHDDGLPHLTPTEEATWMALLPRRLRGGGGARAEFDWLALYRSLTRGGGEEGGRPPGPGELLSPAPLHDVRLDAGSPSPSSMYWRAQQTNLEYLLYLDPDRLTWTFRRQAGLPTVGDPYGGWEAPGGQLCGHFAGHYLSASAHMWASTRNRTLRERMARVVDVLHGCQKKMGTGYLGAYPDTMFDAYEQLDEAWSPYYTTHKIMQGLLDQYTLAGNRKGLDVVVWMADYFGNRVKNLIQNYTIQRHWEAMNEETGGFNDVMYQLYTITKDQKHLTMAHLFDKPCFLGPLGLRKDDISGLHVNSHLPVLVGAQKRYEVIGDNLYKDISMYLFDVVNSSHTFATGGTSTMERWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEAKYADHYERLLINGIMGNQRGTQPGVMLYFLPMGPGRSKSVSGQPPSGLPPMNPGGWGGPNDTFWCCYGTGIESFSKLGDSIYFVEEGKTPGLYIIQYIPSIFEWKSAGLTVKQQAKTLFSTDTYFEVSLTISAKGDAQLAKVSVRIPSWTSIDDATATLNDQKLNLTGTGSSSNGSFLTVTKHWDEDTLTLKFPITLRTEAIKDDRPEYASIQAVLFGPHLLAGLTHGNLPVTDSNHSNDGLTPGIWEVNATNASSVAGWVTPLASGSLNLQLVTLTQSTGGRTLVLSVSIADGRLAMQEKPAAGTDACVHATFRVYGPAVASGGGVEGPNMTIEPFDRPGMAVTNALAVGRPGGGRDTLFNAVPGLDGAPGLDGAPGSVSLELGTRPGCFVTAPAAASGGANDTRVGVRCRGDGDDEAASFARAAPLRRYHPLSFAARGAERGFLLEPLRSLQDEFYTVYFSLVSG >PAN23861 pep chromosome:PHallii_v3.1:4:10295778:10299338:1 gene:PAHAL_4G125600 transcript:PAN23861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGGAAAKAVWVCAVLAALLPLLAAAAEEGPGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQELGLPFLPPSKAKNASFAQGANFAITGATALDTEFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCNSAQECKEFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYVDPKEGHGSRTGCLKRFNTFSWVHNAMLKRALEKLRAKHPGVRIMYGDYFTPIIQFILQPEKFGFYKQLPRACCGAPGRGPYNFNLTAKCGEPGASACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFGDEPIVQSS >PVH47738 pep chromosome:PHallii_v3.1:4:12376312:12377429:1 gene:PAHAL_4G136500 transcript:PVH47738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQHHYQLLLRREMGQLINTARGHYREADRKVTQVDQLRALVTQKDEIIAARDETILHREDQINESDHIITQHNTIIEFLQEQIHGLILEADDAQAHLEELQQQPIPPAAPAVPKAEVEDPEEIEGISELDSEHGDPILSPHHSSSGSQSSVGNFDDF >PAN25343 pep chromosome:PHallii_v3.1:4:48384223:48385586:1 gene:PAHAL_4G294300 transcript:PAN25343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVATKPVPTPLQISGAVTPSPPPHLPIKTGMDSLPLLPAAPDCAAERRRLLLRVAVVEERGGDVGAERRRFVVPTAYLGAPAFRRLLEKAEEEFEFHYHGGALTIPCDTEAFKYILLVTDSQGLAADDTKGGTPRKEDGESEARRPDDEPAADGVAPMNHGT >PVH48436 pep chromosome:PHallii_v3.1:4:50713068:50715560:-1 gene:PAHAL_4G328700 transcript:PVH48436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPLFLSLPSPPPPLLPVHHPRALQTVALSLAPPLASSRKPALPACPVASPRHSDYFDPRAPPPPRGDGGYGRPPNGAHEGRVFTSYSIYKGKAALSFDPRPPQFVPLDSGAYKVAKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEIGTLLTLGPTDSCEFFHDPFKGRSEEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNVDESIYIPITKGEFAVIVSTFNYIIPHLMGWSTFTSSIKPEESRPYSRPQSAPEYEWRR >PVH48437 pep chromosome:PHallii_v3.1:4:50712523:50715662:-1 gene:PAHAL_4G328700 transcript:PVH48437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPLFLSLPSPPPPLLPVHHPRALQTVALSLAPPLASSRKPALPACPVASPRHSDYFDPRAPPPPRGDGGYGRPPNGAHEGRVFTSYSIYKGKAALSFDPRPPQFVPLDSGAYKVAKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEIGTLLTLGPTDSCEFFHDPFKGRSEEGKVRKVLKVEPTPDGNGVQNRLLNVDESIYIPITKGEFAVIVSTFNYIIPHLMGWSTFTSSIKPEESRPYSRPQSAPEYEWRR >PAN25670 pep chromosome:PHallii_v3.1:4:50026807:50032114:-1 gene:PAHAL_4G317200 transcript:PAN25670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDHAAAEADHLAAERAAARFDVEAMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIVELRLTEEEAGLLRQYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPNTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVYVRQSIVADASKALSRAVCIAVRYSAIRKQFGSQDGGPETQVLNYRTQQSRLFPLLASAYAFRFVGDWLKWLYTDVTQKLEAKDYSTLQEAHACTAGLKAVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILTKTVSQLTSGKQPVGTMAYMGNVQHLMQSKCAVKTAEDWLNPAFIQEAFEARALRMVVNCAQNIGQAANQEEGFYERSPDLLEAAVAHIQLIIVTKFIEKVQQDIPGHGVKEQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANEQLGKLYTQVRPNAVALVDAFNYTDHFLGSVLGRYDGNVYPALYEEAWKDPLNETVVPEGYHEYLRPLLKQQLKLSRL >PAN22561 pep chromosome:PHallii_v3.1:4:1706786:1711135:-1 gene:PAHAL_4G027400 transcript:PAN22561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARSLAALLSARATRPGPRRAGGAWPPRFAARAAAEPEHARRLVAEFDPAVPLEAAVTPPSGWYTDADFLRLELDRVFLRGWQAVGHIWQVKNPNDFFTGRLGNVEFVICRDANGNLHAFHNVCRHHASILACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKNFNKNDFGLVPVKVATWGPFVLVRFDDESTEGDVGDVVGDEWLGSASKLLSTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLTYERVSVQRCESAPSESDDLERLGTKALYAFVYPNFMINRYGPWMDTNLAVPLGSTKCKVVFDYFLDKSLLDDQNFIERSLRDSEQVQMEDIALCEGVQLGLKSPVYSIGRYAPSVEMAMHHFHCLLHSNLSS >PVH47228 pep chromosome:PHallii_v3.1:4:623054:623738:-1 gene:PAHAL_4G009300 transcript:PVH47228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYTLLRERDNQHSAIFLFAQLILLLLVWTLDMWLFYCNLLYGYWLDMWTCLY >PAN25331 pep chromosome:PHallii_v3.1:4:48307204:48312770:-1 gene:PAHAL_4G293300 transcript:PAN25331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKDQGSGVTPSPAEGDKKPINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDTIPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELMAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPIRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFAFKDVQNALFPGLSLVQWMAMQQNPQMLTAAAPSVQSQYLTSNALGMQDGIGNVNEDPAKRLSMQAQNIGLPNLQTGTKMDHPALTSLVQQQQQPHHVLQQQHVQPLQQSSTILQQQQAQLLQQNAIHLQQQQEQLQRQQSQPPQQLKAPASLQPMDQHKLKEQQPPGGQAVSQAQLLNQILQPSSSQLQQMGLPKSPTQRPGLPGLTTMGSLPQPQLTQSPQLQQTAEYQQAVIQSQQPQLQQLSQSELQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQLGSSDAVGQLKHLQQTPLNHMTGSMTPQQLVRSHSALVESEEPSSSTAPSGSRASPINSLSRAQQGSRNLPEIPSTPHIEHLLQEIQSKSDNRIKNDIQGSKETINAPSRHPASDQLDASSATSFCLDESPREGFSFPPVCLDGNAQVDPRDNFLIAENVDTLMPDALLSRGMSSGKGICDLPSGQRDRRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADGGMASQGLWNSQTQRMRTFTKVQKRGSVGRSIDITRYRGYEDLRHDLACMFGIQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSAEVQQMSLDGDLGCIPPQAQACSASDDANAWRA >PVH48265 pep chromosome:PHallii_v3.1:4:48306646:48312858:-1 gene:PAHAL_4G293300 transcript:PVH48265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MQASKSHLTYSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELMAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPIRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFAFKDVQNALFPGLSLVQWMAMQQNPQMLTAAAPSVQSQYLTSNALGMQDGIGNVNEDPAKRLSMQAQNIGLPNLQTGTKMDHPALTSLVQQQQQPHHVLQQQHVQPLQQSSTILQQQQAQLLQQNAIHLQQQQEQLQRQQSQPPQQLKAPASLQPMDQHKLKEQQPPGGQAVSQAQLLNQILQPSSSQLQQMGLPKSPTQRPGLPGLTTMGSLPQPQLTQSPQLQQTAEYQQAVIQSQQPQLQQLSQSELQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQLGSSDAVGQLKHLQQTPLNHMTGSMTPQQLVRSHSALVESEEPSSSTAPSGSRASPINSLSRAQQGSRNLPEIPSTPHIEHLLQEIQSKSDNRIKNDIQGSKETINAPSRHPASDQLDASSATSFCLDESPREGFSFPPVCLDGNAQVDPRDNFLIAENVDTLMPDALLSRGMSSGKGICDLPSGQRDRRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADGGMASQGLWNSQTQRMRTFTKVQKRGSVGRSIDITRYRGYEDLRHDLACMFGIQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSAEVQQMSLDGDLGCIPPQAQACSASDDANAWRA >PAN23446 pep chromosome:PHallii_v3.1:4:6396726:6398237:1 gene:PAHAL_4G091900 transcript:PAN23446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDLGSGCYNSLARVGSLSGSLARVGSLTAFPAPGEIFAITRLREPEIGLRWQGIETGIDLLVWHRKRLTPARSLGHSLSLLLRRAIGEPPPPPLPPLGGDGVPAGGDLLSVAPPWSVHPRCGLGDSAPPAEPRMQDPAPPPLPMAGSDRIPAGGFIPLDFEFWQALAVVPPDGAAPIVDPVPSHPLDGSTEPAPPVPVVVAAEPPGYTACDGAGVHSSSSSTGRG >PAN23793 pep chromosome:PHallii_v3.1:4:9211505:9215782:1 gene:PAHAL_4G118200 transcript:PAN23793 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MDGASCSSSSSAAAAAAVQGARGGEVGIRVGATNIGRLRCAAAAGRQQQGKQPQNGARGSVGVTSWHLRVFAAAVGVMGCVLLAASLAMSALHQVQFRNAAISRNVRGLQELKQNIVRREQAEQIMHGRLLQMATSAVTKNGSESEDFALWEEPYKQARKWKPCAAKHSLADEEPDEINNGFILISANGGLNQQRVAVCNAVVVAALLNATLVIPRFLYSSVWKDTSQFGDIYQEDYFVNYMKNDVRIVKELPPHLQSLDLEAIGSQVTDMDISKEAEPSELVKSVLPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPELQRAGSLLVQRLRKVDAMQTEMDKQLFGNNMLDPAFPEDHAAGGPSRFLALHMRFEEDMVAYSLCEFGGGEEERRELQAYRETHFPALATRLRNATVSPEEQRSLGRCPLTPEESGLILSALGYDRRTFIYVAGSQIYGGAPRLRPLTRLYPNLVTKEDILTADELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGFRIYHGRGRAPTLHPNRKRYAQVLSEEGNIAWGVFRRRVRQMVEEYKRVSPRPRGRSVYRQPRTPGCMCRAGGDGIVDF >PVH48034 pep chromosome:PHallii_v3.1:4:40866723:40870192:1 gene:PAHAL_4G224200 transcript:PVH48034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGEILLELLLLDGSNYASWSACALSVLRTMGSQIERIVDVSISPSKKCLQLNAQATNVLIRALSKDVFDSIMDDEDDDDILEDAHLIWTILKERYDNHERQEELLIEKIKELKALTKEHEKLKDSHASLVSRYENFLFEQPCATNSIYCHVALQEKYDDLSCPHEKLVDSHVMLDIAYKEMVTLVKSYLPYMHKCTTSQVHIDLSCANPCLSQANILPSTTSDLDNVGKKERHNGHGLVSNSNKKNKSNKLKYKEQSQNMIKIPLTCFTCKNEGHHARDCSLKKEEMNMSKSKGKKKWMAHIKCFKCSNMGHCASIYSNKVDKTSLPKKKTRRSKRKCYGCNGKGHEIASCSHKKDDLCKSLNKRQTSNKKINIKMIRKRRHLAMISNTFATLAGERDILSKNCLMGKISKPNSSIDHSLLRKAKNGTCASKVTHTPYGITKAIWVSKYLVTNLQGPNMDWVPPSP >PVH48466 pep chromosome:PHallii_v3.1:4:51225318:51227000:-1 gene:PAHAL_4G335500 transcript:PVH48466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRRQVVGGWSSPRGRHRHSPAPRSNHNSGDVTAAASLASTKRVAHGCGPPHASPPGDTPALVGPHTGKRSASPAPI >PAN25034 pep chromosome:PHallii_v3.1:4:46443746:46445220:-1 gene:PAHAL_4G269500 transcript:PAN25034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASYQPLDSHNHHKPSSKNPPCFVSKPVCALLACGFVSLALLHLLCCSPAGAQRPAFSPLLQYINNTYYSVSSVPGGDEGCNYSEGRWVWSPGYARRYNATECNVKESHDCLRNGRPDTGYLDWRWQPAGGCPLPAFDAGAFLSAVRGKHVAFIGDSMARNQAQSLVCLLGAAFPSRLVYRDADQHKHNFWRYAFPAHGVTVSFYWNPFIVKATGKSEDESVRENHVHLDTPGDGWGADADTIDVAVLGASHWLLNGAIYYNGSEVIGAHNAPAELNYTGVGYAWPLKMAYRTAVERLSSSRPRTVVLATFSPAHFEGRPSDSPTACTKMEPYEEGEKELDWICRELRDIVYDEAEAARARSAGGGATTRVEVLDVTKLAAMRPDGHPSVYMHRDPFAHGVPERMYSDCLHFCLPGPVDTFNEILLQILRRKRR >PVH47944 pep chromosome:PHallii_v3.1:4:32036762:32038008:-1 gene:PAHAL_4G194800 transcript:PVH47944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRTQTTSPKTSSRVSSCTQSCLWLRPLLEFRMAQDSDVPLFVASVVVWVLVVILAIVALHCPLPRRAVR >PAN24708 pep chromosome:PHallii_v3.1:4:44517889:44520683:-1 gene:PAHAL_4G246600 transcript:PAN24708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISERLGSSAVDMQVDQGEENEDGALDKTDEQPTQCLKLEISYSFLTSIALMLAWDRNAFLQSKYNDRIKYEELTTAQNQHTSAKNYKKISTELPLLSLTIGNKKFIRTGDCNILASFCYVTQLIVCKLFYEKLTRRVDIPFSDITSLLVCFDNRGFDTLRIKARSSLEYFSADKPLPGKFTSWKVDDSKEDNCFPESKFVFLEIEKGKLEKGLAKLLYIDPRLQRTVEFARASGDQHMYQGRVHAHTQQTNMSAPQPFLSVNVLPTLEGECRMFLSPLHS >PVH47867 pep chromosome:PHallii_v3.1:4:24618197:24619126:1 gene:PAHAL_4G173400 transcript:PVH47867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSNVFWDPEGHLHTNALHWEGFPRLLWESLQTFHYTEPPQYDAVKHLEEGIQRAHVRMTIPQHPFRSQWQPIEISMIGYRIVDTIEAAALEAIYAFCSQHLEEVVGQPIGLFATTDPGESEWDLRVIPKSHTLEGSSEEVLRGMRRFTSVQYHYHSLLRREIGHLIYAARSLHGEAARHITQVDQLQAVVIEKDGIIATQNETIHHREDQINESDHIITQRDTVIEFLQAQIHDLILAVDDAQAHIEELQQPPIPPVAPAVPEAEEEDPEEIEGVSELDSEHGDPVISPHHSSSGSQSSVGNFDDF >PAN23434 pep chromosome:PHallii_v3.1:4:6340364:6344262:1 gene:PAHAL_4G090600 transcript:PAN23434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMVAMMAKSKNSVLAMEKKQGWSIQLPELRFPWDSHEDRGFSLSLQGSGPGYGGLFASVGLKVSTGAPAVAPSPGEKDIKIPFADHCIKYVSEAVGYQVISTEAEPVEEEVVDGKAKKKAKKHGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTFLPPSLVAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDDESE >PVH47925 pep chromosome:PHallii_v3.1:4:29517125:29518435:-1 gene:PAHAL_4G188100 transcript:PVH47925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSHGLLGALNLVTLLLSLPVLCAGVYFRMRAATECERALQLPVIAFGGALLVVSLVGLAGAWGHRGAATPFLWAYVVFMFLLVVAVFALTVFAFVVTNRGAGGAVSGRGYREYRLGDYSGWLQARIAEPETWRRVESCLSEARVCGGRPSDGAVGRDATEFYKRHLSPIQSGCCKPPTRCGFRYVNATFWAAPGSGLSTTAAGDGDCRAWSNGMQVLCFECDACKAGVLETVKTKWKAVATVNIALLVLLVVVYTLGCCALRSNGGSRYFKDGARSPLSQRREDGEIETVSSSATEASSPFSTATHDIIQTAA >PAN24627 pep chromosome:PHallii_v3.1:4:43360844:43361364:-1 gene:PAHAL_4G239100 transcript:PAN24627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIKAKVEDAASSAKAGTEKAKAAAGEKVEKATTRDPVRKREAEERKEDRKLEIESDERVEKAGHGPEKHKVEE >PAN22854 pep chromosome:PHallii_v3.1:4:3187365:3193601:-1 gene:PAHAL_4G049300 transcript:PAN22854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPSLHSLLLMAASASSSSAAAGGDSGLLLAARRRLPAAAGHRIRLLHSFSGPRVPRRAEVACCVRSDPDARPAGPVTVRSRNVHSANNYKATSEGRLGQLVQKLKNEGINPKQWKLGNFQRMMCPKCNGGSNEELSLSVYIRMDGMNASWNCFRSTCGWRGFIQPDGVPKLSQAKTGIKSETDQEVEPNKAANKVYRKISEEDLNLEPLCDELVTYFSERRISAETLRRNKVMQRKWKNKISIAFTYRRDGVVVGCKYREVDKKFSQEANTEKIFYGLDDIKRTQDIIIVEGEIDKLSMDEAGYRNCVSVPDGAPPKVSSKIPDRDQDKKYQYLWNCKDYLDSASRIILATDADPPGQALAEELARRLGKERCWRVKWPKKNETDTCKDANEVLMFLGPQALRKVIKDAELYPIRGLFAFKDFFPEIDNYYLGIHGDELGIRTGWKSMDDLYKVVPGELTVVTGVPNSGKSEWIDALLCNINKECGWKFVLCSMENKVREHARKLLEKRIKKPFFDARYGASAERMTPDEFEAGKQWLNETFHLIRCEDDSLPSINWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPSNQTETEYVSQILTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDKNAGPLDVVQVCVRKVRNKVIGQIGDAFLTYDRVTGQFKDAGKATIAATTAATVQKQKNSYVKSTKDNVAYEMPLPHVEPADSVDGKG >PVH47961 pep chromosome:PHallii_v3.1:4:33747360:33747718:1 gene:PAHAL_4G200500 transcript:PVH47961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTHQIGVVESCKIIVFFLDNPRSVITFCSRRLPLISCHPFRPRMGGGHRKHYMLHGTAITVKYQKLGLLLFSSMSLEDVIARLAHQNAGSMWCYDAPEPIRAPGYPL >PVH47199 pep chromosome:PHallii_v3.1:4:60827:62967:-1 gene:PAHAL_4G001100 transcript:PVH47199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTTTTTDAPPSLSLSLSLSLSVCVCVCARARAYLSPIASPCPRFIHLVASWMRNNKVVAVAVACLQAQHVAGLPAAAEGRQVLLRLLQQQQVAAAAAAAATCRGGVAAFHDQVLLLDCAPVSSMDSAVAIAVTIDDTRAAVDVNLAEQLRRPSPRVLRFVLGGGAGTSTAGAVLCLTLHHRLMTRRRSAGGCTAALLSCLRVSLAPAPGGPPEEAGGNGMDDDEESSGFITIEKGTISRRRPPSDNLVTTDDEGPSSVKEEDSKVVDAFLAMLESDGLDLDALIKDAEAELAGPTINPKIAAKSCSPNPALLAAPSLPLSFPSFPVPPLPPPPTSAPPRPVLPKPDPP >PAN23710 pep chromosome:PHallii_v3.1:4:8260550:8271112:1 gene:PAHAL_4G111500 transcript:PAN23710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAAIEGGQLAPLLGSSWSGSGSPAPDASLLRRLYAGHALARWGARMWEFSVGLYMIRIWPGSLLFTAIYGVVESSSVAVFGPMVGTLVDKLTYLQVLGIWLLVQSLSFIVAGASVTALLVYDDLKATSFPVFMAVVVVTNVSGALAALSTLAGTILIEREWVVVISCGHPPAVLTGINSVVRRIDLSCKLLAPVFSGLVFSFVSAQASAAALALWNVASVGLEYWLFVSVYNGVPALAAENGRLRAADVLLPSEEEIAAPPAERAANWRTRLTERLSIIPCWESWVVYVRQDVALPGVALAFLYFTVLSFGTLMTATLDWKGIPAYVISLARGFSAVVGIGATLLYPVVHSRVSTLRTGLWSIWMQWCCLLVCVASIWASSSVASAWMLMTGVAASRLGLWMFDLAVMQLMQDGVPEDERCVVGGVQSSLQSVFDLLTYIMGIIISDPRDFSELIVLSFFLVTCAAAMYTLHVHRVRKHLFHFDKILARISW >PVH48361 pep chromosome:PHallii_v3.1:4:49914488:49919221:1 gene:PAHAL_4G315700 transcript:PVH48361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQLEGLLGGLTQFPAPKVELEQYPTGPHIASRMLYTAENSFDDITGKVVADFGCGCGTLAVASALLDAEHVIGIDIDPQSLELAQENSADLELDIDLIWSDIKSLNLKGFLVDTVVMNPPFGTRKKGADMEFLSMGLKVASQAVYSLHKTSTREHIKKAALRDFGAVSAEVLCELRYDLPQSYKFHKKREVDIAVDLWRFVPKARRSS >PAN23862 pep chromosome:PHallii_v3.1:4:10412594:10413548:1 gene:PAHAL_4G125800 transcript:PAN23862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALAMDKISIILVLLASSYGAVLQGHARPVLSSDNALLQRNDDETASVGATRNFTYLFEVQTGDMRLAGTDSQLTFTFSDTDSNSFELVYDGNGEIYQQFFERGQYNYDEFTKDIFMKPCRLKIKTDGRGAAPSWYCEWVKISVWGQRFEDHYEHRFIVQHWIGPNDPDPSELTVNDCNKASMASAKKNMPSSFSII >PAN22730 pep chromosome:PHallii_v3.1:4:2547312:2550515:-1 gene:PAHAL_4G041300 transcript:PAN22730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSQQDSASAPGSLEGVDGSRSIISRWTKMTSTDTWRWYLGLIYIVAVASIWIAASYIVQSVVDGGVSPFLITYICNSLFVVYIPIIEVARYFEDSVSNFWTKLKCKNAESLQQPADLESVNLLQSGGHEINAASDQSQTRSPEDTSIPDASFPAQTKLNVADCSKGLDAKGRWTRARVAKVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSTTSSLFTFLVALVFLGETFTWLKLVSVLLCMGGTIIVSLADSSSTVNAIATNPLLGDFLSIFSAGCYAIYITLIRKKLPDEKEGEGQVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLSYVGAAAVLVGFAGINIPAGESPQAAQQEQETPIVSMVDEPLHLPSSRNATDAIS >PAN22734 pep chromosome:PHallii_v3.1:4:2547700:2550007:-1 gene:PAHAL_4G041300 transcript:PAN22734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSQQDSASAPGSLEGVDGSRSIISRWTKMTSTDTWRWYLGLIYIVAVASIWIAASYIVQSVVDGGVSPFLITYICNSLFVVYIPIIEVARYFEDSVSNFWTKLKCKNAESLQQPADLESVNLLQSGGHEINAASDQSQTRSPEDTSIPDASFPAQTKLNVADCSKGLDAKGRWTRARVAKVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSTTSSLFTFLVALVFLGETFTWLKLVSVLLCMGGTIIVSLADSSSTVNAIATNPLLGDFLSIFSAGCYAIYITLIRKKLPDEKEGEGQVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHRLTWEQVGLIVGKGELRIIILRRNTVRCIRFVRQCFERLPVGESGPSHNNYSRYGWPHNSSPNCCHCGHAHWSCPASTELCWSCCCTCWFCWNQHPSWRVSTGCSARAGNSNCKHG >PAN22543 pep chromosome:PHallii_v3.1:4:1659748:1661065:1 gene:PAHAL_4G026300 transcript:PAN22543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQSAISLVRDRAKVGALKRLTLSSSKTAGSNSAGRITSFHRGGVAKSIALVRWVQGVHFRGHRIPQEPSNKSQTLESTTADVSGRFSLATFSGREYKGKEASSALYSSLGNGDIPSVNSGAALSLPRIALASAKFAFFTQVGGNKEGKQTFSLSGIQKWATDDALWAHRMKRQAALSWQNDLKKKYLPLTQANHFSSLAAKSIGMSKEPKGKVDCVPVSYILASHQCLPGTTVMNCDSSKPSKSSASSSSSSANQYDIIDLNSKVGNCIPLANARIGTWVHDIECRPGQGGKMVRAAGTYAKVVQEPGAQCVLRLPSGAEKTVDSKCHATIGIVSNPSHGMRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPSVASRKA >PVH47716 pep chromosome:PHallii_v3.1:4:10768845:10769909:1 gene:PAHAL_4G127700 transcript:PVH47716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCSSLV >PAN23455 pep chromosome:PHallii_v3.1:4:6439619:6442463:1 gene:PAHAL_4G092800 transcript:PAN23455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPPPPRAVLLLLLLLLPHNAAAAGAGAAAGASSAVNPFTAKAAFIRYWNRKVPNNRPHPAFFVAKLSPLPAADTASFPSALPDIRARLPALCSRAGLLCAGPADAHAASLAAAAGPFTGYSNANFSNYGTGGGAGADSFRNYSPDLNIAADSFRRYGRDSSGRADRFESYEADGNVVTANFTSYAGGATGGSGSFAAYAAETNVPDSTFTNYDAAANGRGRGFASYSQEANHGENGFSGYGKDGNGVRETFASYGNESNVLASAFANYGESANGATDTFTSYGVEGNVPENTFRSYGAGANAGVDTFKKYRDDANVGDDRFSSYAKGANGGAAEFQSYGNSANPGSTTFKGYGEGTNANHHIGFKEYAGENNTFKGYAKNGVDFKEYHNTSSSAAALTVSAEAATSMHHQHLKWSTEPGKFFRERELVAGNRMPMPDIRDKMPPRAFLPREIATRIPFEPNAVSEVFGVPLDTAMGKAVASTVAECQRAPSKGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGDIRLGAVTGVDGGRVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADITTADGFDKINHGVAICHLDTSDWSPTHGAFVALGGKPGEVEVCHWIFEGDMTWTVAD >PAN23453 pep chromosome:PHallii_v3.1:4:6439619:6442463:1 gene:PAHAL_4G092800 transcript:PAN23453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPPPPRAVLLLLLLLLPHNAAAAGAGAAAGASSAVNPFTAKAAFIRYWNRKVPNNRPHPAFFVAKLSPLPAADTASFPSALPDIRARLPALCSRAGLLCAGPADAHAASLAAAAGPFTGYSNANFSNYGTGGGAGADSFRNYSPDLNIAADSFRRYGRDSSGRADRFESYEADGNVVTANFTSYAGGATGGSGSFAAYAAETNVPDSTFTNYDAAANGRGRGFASYSQEANHGENGFSGYGKDGNGVRETFASYGNESNVLASAFANYGESANGATDTFTSYGVEGNVPENTFRSYGAGANAGVDTFKKYRDDANVGDDRFSSYAKGANGGAAEFQSYGNSANPGSTTFKGYGEGTNANHHIGFKEYAGENNTFKGYAKNGVDFKEYHNTSSSAAALTVSAEAATSMHHQHLKWSTEPGKFFRERELVAGNRMPMPDIRDKMPPRAFLPREIATRIPFEPNAVSEVFGVPLDTAMGKAVASTVAECQRAPSKGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGDIRLGAVTGVDGGRVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADITTADGFDKINHGVAICHLDTSDWSPTHGAFVALGGKPGEVEVCHWIFEGDMTWTVAD >PAN23454 pep chromosome:PHallii_v3.1:4:6439619:6442463:1 gene:PAHAL_4G092800 transcript:PAN23454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPPPPRAVLLLLLLLLPHNAAAAGAGAAAGASSAVNPFTAKAAFIRYWNRKVPNNRPHPAFFVAKLSPLPAADTASFPSALPDIRARLPALCSRAGLLCAGPADAHAASLAAAAGPFTGYSNANFSNYGTGGGAGADSFRNYSPDLNIAADSFRRYGRDSSGRADRFESYEADGNVVTANFTSYAGGATGGSGSFAAYAAETNVPDSTFTNYDAAANGRGRGFASYSQEANHGENGFSGYGKDGNGVRETFASYGNESNVLASAFANYGESANGATDTFTSYGVEGNVPENTFRSYGAGANAGVDTFKKYRDDANVGDDRFSSYAKGANGGAAEFQSYGNSANPGSTTFKGYGEGTNANHHIGFKEYAGENNTFKGYAKNGVDFKEYHNTSSSAAALTVSAEAATSMHHQHLKWSTEPGKFFRERELVAGNRMPMPDIRDKMPPRAFLPREIATRIPFEPNAVSEVFGVPLDTAMGKAVASTVAECQRAPSKGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGDIRLGAVTGVDGGRVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADITTADGFDKINHGVAICHLDTSDWSPTHGAFVALGGKPGEVEVCHWIFEGDMTWTVAD >PVH48278 pep chromosome:PHallii_v3.1:4:48664066:48666300:1 gene:PAHAL_4G298000 transcript:PVH48278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRTRRRFAAVVAPLIIIIILLAATRGASAAARRAGVVDDETSRSRAAGVSMAAGEAAAYVMAALHTCGSRRDATIDQLPKCAEATVPRRSVKLIAVPRKYAFKDFVNVAIDIFRAAMDKLQSPPCYELCAFNGTYDELVRNVSERVFDGAVGDVTITDDRARIVDFTMPYAPSGVSLLVLADNDSKPPIQWIFLKPLTKELWLTTVGFFFFTGFVVWVIEWPRNPVYQGSSVAQFTTASYFAFSTLTFSHGQIIRSPLSKVVVVIWCFAVLVLVQSYTANLSSMLTAKRLRPSVTGLDQLVSNGDNIGYQEGAFVRSFLRNKGAKDDKLRTFKNQTGFAEALRKGSKKDGVSAIVDEIPYLSYFLSDKNNKEFVMGERLYKTPGLAFVFPRGTPLVHDLSIAILNLTGGNESARIEQEWLGSAAQLKGDSTPITDSTPLTLRSFSGLFVITGSVSASITVISISISVYAKYFRVRGSVSQDENGGSGRHEEFRALQNDTGNGFVPDQLLHHEVRDNSSQGAPGSGGSTGDEEAGPVQGSMANGSVPEVCIRIEMSDTGQGVGRRL >PVH47315 pep chromosome:PHallii_v3.1:4:2169471:2171117:-1 gene:PAHAL_4G034300 transcript:PVH47315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVNEKVNASTSCDDLLIDAYATNVVPKLASSREKELTDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATIPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKTKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN22693 pep chromosome:PHallii_v3.1:4:2355035:2359612:-1 gene:PAHAL_4G037800 transcript:PAN22693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDEQPFPTRKRDREEEDELADGGAAEKRPRAEGASLLGLASYEDDEEEEAARGQANGHRAEGEGKGEEGEDEDDEEDDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFVNLQTERAYCLPDGYEINDPSLEDIRHVLNPRFTKEQVLNLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASNKRFQIGVQSDPVEFMSWLLNTLHAKLKSSKRKNRSIIHDCFQGELEVVKEVHRKHIMEKREDGDELNGDAGSVVGTADGTVTETSKVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENDKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >PVH47754 pep chromosome:PHallii_v3.1:4:15094801:15095322:1 gene:PAHAL_4G143600 transcript:PVH47754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQKSDLFCHFESITDITRGILGLITDLVFGTRKTLAVSSYKYAWLPDTEDTKRTTRLTPQPACCAAAYATSSRSSACTDNRESRSPKPRPQRSCTERGRIRFLGSALRDCSNASTSPSSTSSSPRLVFLLVSGARLGVVKRAEVLMVAVIFFTQLFRSA >PAN22877 pep chromosome:PHallii_v3.1:4:3298728:3301572:1 gene:PAHAL_4G050300 transcript:PAN22877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVYLYIPNIIGYFRIIINFIAFAVCYSNKALFAILYFISFVLDGVDGWFARKFNQASIFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIILFLFADDKSTSVLGVCRGILNQSPLIVLVFVSTLIGWAVKQVTNIIQMKTAADACVVYDLKRSK >PVH47769 pep chromosome:PHallii_v3.1:4:15706622:15707551:1 gene:PAHAL_4G145900 transcript:PVH47769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPEGHLHTNALHWEGFPHLLWESLQAFYYTEPPQYDAVEHVEDGIHRAHVRMSIPQHPFRSQWQPIEISTTGYRIMDTIEAAALEAIYAFCNQHPEEVVGQPIGLFATTDPSEVERDLRIIPESHRLEGPPEEVVQGMRRYTGVQYHYHMLLRREIGHLITAARSFHGDAARYFTQADQLQAVVLEKNGIIATQNETIHHREDQINESDHIITQRDTVIEFLQAQVQDLILAVDDAQAQIEELQQPPIPPVAPAAPEAEEEDPEEIEGVSELDSEHGDPVISPHHSSSGSQSSVGNFDDF >PVH47894 pep chromosome:PHallii_v3.1:4:26736454:26737671:1 gene:PAHAL_4G179800 transcript:PVH47894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLGCVYLHCSISLGDGRQALSPSRIPVYSVFFTLLYCFLLSGAHTIMCVAPTRCGEGSILGPIESLLRRLAVVFASDSDGLTLGRTEGALGFSRSGSSSTLGFGRLFPGVTSSRPSWISASAVTSAASPWLPSHRFHLTLRNINSSRQSMSVEEHEEKEKGCCRKAHWLQLLIMQQ >PVH47343 pep chromosome:PHallii_v3.1:4:2568154:2568973:-1 gene:PAHAL_4G041700 transcript:PVH47343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRHCAALACRTPGAQKRLRRSAAGLKNQSSCCWVSFAMAAGQTPSESTHTQIKGLHLPASFQLKRNRRHQPRYGTSLASPMERGRRHLAISSWRVRSDTIALQELLPREPAEPREPLRSPFGTASFSSSTDQLNPARAVGAGAQSEPCPCLPSSRGRKPSSASKTTTGEMDAAGRKLKILCFACGCGGGETTQRIRRKPPLQNQSIFSDKEDRVAS >PVH47742 pep chromosome:PHallii_v3.1:4:12610336:12614033:-1 gene:PAHAL_4G137500 transcript:PVH47742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSPGHSPRNLSPSPSPAPSIPRPPSPTPSSASALATTAATTTSSKRRRPEVLDEDTYVAAIERIIERDYFPDLPRLRDRLDWLQAVRSRDPLILRDAQLKILDRRRRLQRQGTGPVPTPTPATSTTLRSPSFLTTPAGSVAGGTGAPEEDENDDIAAALSLDGFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPAEAKNTALLEDAKRDRITDGYGTSGQPPSTLEGAKFTAKNLLMYYPADRGEVPLTEEERAERIKGMTKEIDKSNTKLHGRAMADDARPKEEEAAILYAPVAGSTPGGMQYHDPDKAKKYDLEDLRKTPNPFYLESDKKANNGYNFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPDETPGGSGGSETAHFKIPPPPARDVKAHLLSRDAARKIKQRSKIFHKPPLPSPVRGGSASPRTLSPAAQKFVRNAISKSAKSSNTIDESLRASYRGSTPSGSTPKTRFSRDPGLGSRSPSTRQGSTPPW >PAN23682 pep chromosome:PHallii_v3.1:4:8127391:8132052:1 gene:PAHAL_4G110100 transcript:PAN23682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSEKPSLKHQRKWKSDPNYTKAWYDRGAKIFHANKYRKGACENCGAMTHDKKSCMERPRTVGAKWTNMQIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIAEYEAREEARKKYLKEQQLKKLEEKNGNQDDIDVGSDEDTEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNQNRLSGQALEFKQLNLHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEKLKSETKEKIMEKYGNAASEEPLPRELLLGQSEREIEYDRTGRIIKGKDTSVPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVQHEEKRLATWGTDVPDDLVLDKKKLAESLKKEDERRREERDERKRKYNVKWNDEVTAEDMEAYRMKKIHHDDPMKDFLH >PAN23683 pep chromosome:PHallii_v3.1:4:8127391:8132052:1 gene:PAHAL_4G110100 transcript:PAN23683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSEKPSLKHQRKWKSDPNYTKAWYDRGAKIFHANKYRKGACENCGAMTHDKKSCMERPRTVGAKWTNMQIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIAEYEAREEARKKYLKEQQLKKLEEKNGNQDDIDVGSDEDTEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNQNRLSGQALEFKQLNLHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEKLKSETKEKIMEKYGNAASEEPLPRELLLGQSEREIEYDRTGRIIKGKDTSVPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVQHEEKRLATWGTDVPDDLVLDKKKLAESLKKEDERRREERDERKRKYNVKWNDEVTAEDMEAYRMKKIHHDDPMKDFLH >PAN23681 pep chromosome:PHallii_v3.1:4:8127391:8132451:1 gene:PAHAL_4G110100 transcript:PAN23681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSEKPSLKHQRKWKSDPNYTKAWYDRGAKIFHANKYRKGACENCGAMTHDKKSCMERPRTVGAKWTNMQIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIAEYEAREEARKKYLKEQQLKKLEEKNGNQDDIDVGSDEDTEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNQNRLSGQALEFKQLNLHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEKLKSETKEKIMEKYGNAASEEPLPRELLLGQSEREIEYDRTGRIIKGKDTSVPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVQHEEKRLATWGTDVPDDLVLDKKKLAESLKKEDERRREERDERKRKYNVKWNDEVTAEDMEAYRMKKIHHDDPMKDFLH >PAN23680 pep chromosome:PHallii_v3.1:4:8127391:8132459:1 gene:PAHAL_4G110100 transcript:PAN23680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSEKPSLKHQRKWKSDPNYTKAWYDRGAKIFHANKYRKGACENCGAMTHDKKSCMERPRTVGAKWTNMQIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIAEYEAREEARKKYLKEQQLKKLEEKNGNQDDIDVGSDEDTEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNQNRLSGQALEFKQLNLHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEKLKSETKEKIMEKYGNAASEEPLPRELLLGQSEREIEYDRTGRIIKGKDTSVPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVQHEEKRLATWGTDVPDDLVLDKKKLAESLKKEDERRREERDERKRKYNVKWNDEVTAEDMEAYRMKKIHHDDPMKDFLH >PAN22785 pep chromosome:PHallii_v3.1:4:2869725:2875065:1 gene:PAHAL_4G044700 transcript:PAN22785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSSRWLHDAQYQVRQDGDSRSQEHRDPFELVADDLSLVADRLRSMVAAEVPKLASAAEYFFKVGAEGKKFRPTVLLLMASALKFPLSESTEGGVLSILADKLRAPHLNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLVMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAVLAGHTAEVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILYAMEEFPQLHEVVDSGFDNPANVELALDYLQKSRGIERTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >PAN22783 pep chromosome:PHallii_v3.1:4:2869303:2875065:1 gene:PAHAL_4G044700 transcript:PAN22783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSWRWALARRVAALAAGSGASGAAQAQRLLTTSSGAGAALLGRQHLPLASQIRSKVVGCRGAAFMSSRWLHDAQYQVRQDGDSRSQEHRDPFELVADDLSLVADRLRSMVAAEVPKLASAAEYFFKVGAEGKKFRPTVLLLMASALKFPLSESTEGGVLSILADKLRAPHLNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLVMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAVLAGHTAEVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILYAMEEFPQLHEVVDSGFDNPANVELALDYLQKSRGIERTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >PAN22784 pep chromosome:PHallii_v3.1:4:2869303:2875065:1 gene:PAHAL_4G044700 transcript:PAN22784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSSRWLHDAQYQVRQDGDSRSQEHRDPFELVADDLSLVADRLRSMVAAEVPKLASAAEYFFKVGAEGKKFRPTVLLLMASALKFPLSESTEGGVLSILADKLRAPHLNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLVMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAVLAGHTAEVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILYAMEEFPQLHEVVDSGFDNPANVELALDYLQKSRGIERTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >PVH47462 pep chromosome:PHallii_v3.1:4:4503198:4503761:1 gene:PAHAL_4G068200 transcript:PVH47462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRERQAGRPSSSVRPSVLPSKGQQDEGKSAHLPTCGSSALRQKGKKGWYEHGRNRMLRPATHRTAEAEREAARGRELRIAARLHAAAFRDGDSVGRQGGIISSWLSVVGKKIGARLERRFETPWSLSMQLAKRRCVLAMDGTTRGTQARRIRKLMGDSNFVKDTER >PAN26190 pep chromosome:PHallii_v3.1:4:52458334:52460830:-1 gene:PAHAL_4G354400 transcript:PAN26190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSAGCMEQWMPAAAMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERKMRPKFTAEIFVYTFLSGIIGPVLLQYTLFVGLDYTTATFAATFSNMLPVVTFLISLAFRFEALEVRSMSGSFKISGTLISLGGAMMLTFYKGSALTHTTSSISPASSSGHREAGGEHGTVRWVLGSVSMLANVVGFALWLLLQRKFTRKYPAVYSATAFMSLFSFIQAGALALSIQRTSIAVWALKGTIEIVTVVYCGVVASGIGYLLLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVILGLYMVLWGKKEEAANAVASAKPVQAEVEQQEKV >PAN22593 pep chromosome:PHallii_v3.1:4:1896028:1897671:-1 gene:PAHAL_4G029900 transcript:PAN22593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPSLRSSDGTRPRSKSGTGRPPSSPRGSDPSRASAAAAPPSDKPVPSFLRPTVSSSLHSSSSSSSLASPSPSCSSSTKATAATARRSADKAPAQALSGLRPITPKDKAKAPAAAASSTTRWSAVSPRQLMQKASNALKATSKPRGKKGKEAVSSSASASGKGAAGASARAKGEAARAQAQQPETPADPSPAVTPVDAEEPVLLDPEAAEQNEEHVATSREAVSTDIMTVEERYQEEHAGAEEARGAPEEEEEKIIVELPRAVKVAPPEPQLQEEKPQSSAVAEAEMEAPKNADDESPAVVVEEAVVKEAATPEGEDEPATSTVEEKVVEETKAEERQQEEQTNEGSSVISEEQTKEGGGLISEEPNEEASVISEEQKEADPAPIQKQEEVAEDAEMAAASSASAPTTPLKEAAEDDDEEEALPKQVSASEPVTPVAEAISKGKAVIDTQQSASAPVTPVNAAKKNGPSKLPATIPEESALTFKGRKVKTAMEKRSDEEQPKKKEVARSNDVLEEAKSKLMEKRKSKVKALVGAFEVVMDSPRAS >PAN22592 pep chromosome:PHallii_v3.1:4:1895695:1898905:-1 gene:PAHAL_4G029900 transcript:PAN22592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQVSTSSRPYCPNLLFDEFCFANMGAPLLYCPAFSHPAACFSLLSLSLSLRPSPVAPLRHAILGRFEVTKRKTPMATRPSLRSSDGTRPRSKSGTGRPPSSPRGSDPSRASAAAAPPSDKPVPSFLRPTVSSSLHSSSSSSSLASPSPSCSSSTKATAATARRSADKAPAQALSGLRPITPKDKAKAPAAAASSTTRWSAVSPRQLMQKASNALKATSKPRGKKGKEAVSSSASASGKGAAGASARAKGEAARAQAQQPETPADPSPAVTPVDAEEPVLLDPEAAEQNEEHVATSREAVSTDIMTVEERYQEEHAGAEEARGAPEEEEEKIIVELPRAVKVAPPEPQLQEEKPQSSAVAEAEMEAPKNADDESPAVVVEEAVVKEAATPEGEDEPATSTVEEKVVEETKAEERQQEEQTNEGSSVISEEQTKEGGGLISEEPNEEASVISEEQKEADPAPIQKQEEVAEDAEMAAASSASAPTTPLKEAAEDDDEEEALPKQVSASEPVTPVAEAISKGKAVIDTQQSASAPVTPVNAAKKNGPSKLPATIPEESALTFKGRKVKTAMEKRSDEEQPKKKEVARSNDVLEEAKSKLMEKRKSKVKALVGAFEVVMDSPRAS >PVH48469 pep chromosome:PHallii_v3.1:4:51245641:51248484:-1 gene:PAHAL_4G335900 transcript:PVH48469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGPNFSGLVSSTCVFQSVCAAADMEKLKKAAQENPPRTTDIRFSASSFIADYEKLVQFLWIQRIGGFMMGWNSLSHRVCTPREACGGRYQSGQIFCQTRAQRNI >PAN26046 pep chromosome:PHallii_v3.1:4:51710241:51713184:1 gene:PAHAL_4G343100 transcript:PAN26046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVKLVEGYKFDDHTTSDVRVCFKSIDEQPEWFSCHSSVLSQNSKYFADWLGQNDVRSNNTIELECPRVDYDHYVKMLKLMYLPRESVIDSFDSVKSAVGVLRASNSLGCELVTKSCVEYIEAASWDEKEEEEILEVARTLGSEAVSLLARLQAPSVDAVKNVFISAIRFATCMESPFPPFLDDLKTSAQEQIDFMIHEDDDTALVTTDEDVKSVVQEGLRKLLCSLRTGLDLLSTEFDQSPDQAEQRILCSLADIDWIANLLAKIEMMHDFVSCWSEISDHVLSVVQDKKYISGLWAVKSKLIEVTGKALDAVGYGSVVLPSSSRVHILKTWLPYIQMTKRFLDENSKNETSLQMDSDLFQNIESAIVSMVLALPSDDQADILSEWMKQAEQFKYPDLTEAFEVWCYRSKTAKRRLVGGLNGASNPTVSL >PVH48214 pep chromosome:PHallii_v3.1:4:47321598:47325554:-1 gene:PAHAL_4G278700 transcript:PVH48214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G49100) UniProtKB/Swiss-Prot;Acc:Q9SMU7] MRHPKAQAAALLQSNLPFVKEKEKKIPSARTPPHATSAGPVLVLPDSPSPSSTRQFPPARLAGVLSVRGQPPLRRRPLRHPHPHPGVLCCLFNTQSKSYLNRDGLFSPVGAPPQIRPRHPHTAPAPNPSLAAAAMVYVDSWDEFVERSVQLFRADPSATRYVMKYRHCEGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >PAN25012 pep chromosome:PHallii_v3.1:4:46326767:46330453:-1 gene:PAHAL_4G267500 transcript:PAN25012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAASSSSSGSSAPRRLHGAGVVRDALPYGGLAASPPPPPPLQQQAQGAGGGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRVLMKKQHRRGGGRGGPASSAAARGDAGGADAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGGKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGVLFAPGFMGDDNPMFDFEERLEEGRLSEDCDDGFGLPGQKASGLAQTPAAEKRVFPVRLGKFKNVGTQGAVEGGNANANANPSVLSRDQGESSSSSLDGRRCFSMGTYQYVLGTSELRVALQPGQVRNGTGGAMRGRPAGLSSINADIMEGKRICARNKGESFSVSKIWQWSNLKGKLPAGSDECSDARSLPWMKRGATDALVKSLLPLRGPEFLYIL >PVH48453 pep chromosome:PHallii_v3.1:4:51057176:51057964:1 gene:PAHAL_4G332800 transcript:PVH48453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLPVSPVSSVAPIPPLPSRHPPDIDLSRNQLTGNIPKEIGALSSLKALNLSGNHIDGSIPEEIGNLGSLEDLISHGTLCQVQFL >PAN22621 pep chromosome:PHallii_v3.1:4:1991495:1992923:1 gene:PAHAL_4G032000 transcript:PAN22621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLYLRSSGSFKRLLLSIGGHRSPSKPPASPAADAGKEAQEPCPESPHRPAWRCFSYDEINRATGGFHEGNLVGRGGSSEVYRGELPDGRAVAVKRLMGASACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFEFSRRGSVAANLHDEGSPAMGWAARRSIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDLQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLELMTGRKPVDGGHRSLLSWARPLLADGKIDALVDPRLGGDYDGEQARRVAFVASLCVRAPATWRPSMTEVRGVRSIRSGRSPYAP >PAN22620 pep chromosome:PHallii_v3.1:4:1991331:1993539:1 gene:PAHAL_4G032000 transcript:PAN22620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLYLRSSGSFKRLLLSIGGHRSPSKPPASPAADAGKEAQEPCPESPHRPAWRCFSYDEINRATGGFHEGNLVGRGGSSEVYRGELPDGRAVAVKRLMGASACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFEFSRRGSVAANLHDEGSPAMGWAARRSIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDLQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLELMTGRKPVDGGHRSLLSWARPLLADGKIDALVDPRLGGDYDGEQARRVAFVASLCVRAPATWRPSMTEVLELLEGGEIQQERWAMPEAADEEGPRWFDDLDDDEDDEDKEFNTPSPSSSSSTTSN >PAN25314 pep chromosome:PHallii_v3.1:4:48249679:48250017:-1 gene:PAHAL_4G292000 transcript:PAN25314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLPEVAMVALYIALGCREVLMPVLDFLDRAAARGPVLDMAVAAVLLTLPTAYLFVAGVILPVALHATLPPAAPVSRAAFWQSLVLGFVLLLLFVAVPLVAFLFLTAGSA >PAN25043 pep chromosome:PHallii_v3.1:4:46536868:46540932:-1 gene:PAHAL_4G270500 transcript:PAN25043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKPARGPAAEERDPDGMFRGVSAFIVPHGVQGRRLEVWKQRLVQMGGRVVEKLDKGSAAAGVNHVLAMDAKALLRVLDAAWLHRFRGSVVSFEWLEECLKSGERLPEHKFTINYEEEFKPKKATGNGNSGASQPAKRSKISSEDPGDQQRTNDEDREEHSNANADKGSGVKTKPIQYASSQSSSGDTKDTVGSHGTLDIEEASSGGPSIYAPADLNRNITKIFGRLIDIYRALGDDRRSFSYYKAIPVIEKLPFKIVSAEQVKDLPTIGKSLKDHINEIVTTGKLSKLEHFENDEKVRTISLFGEVWGVGPATALKLYEKGHRTLDDLRKDESLTNAQRIGLKYFDDIRQRIPRHEVSEMEKFLQDVGKDILPGVIIVCGGSYRRGKASCGDMDIVITHPDGESHVGFLPKFVQRLKEINFLREDLIFSIHSVDGTDSGVDTYFGLCAYPGRELRHRIDLKVYPRNRYACGLLAWTGNDVLNRRLRLLADSKGYVLDDTGLYLATRGSGGKHAGRSDAIVNCHTEKDVFDTLGFPWLEPHERNL >PAN25044 pep chromosome:PHallii_v3.1:4:46536643:46540970:-1 gene:PAHAL_4G270500 transcript:PAN25044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKPARGPAAEERDPDGMFRGVSAFIVPHGVQGRRLEVWKQRLVQMGGRVVEKLDKGSAAAGVNHVLAMDAKALLRVLDAAWLHRFRGSVVSFEWLEECLKSGERLPEHKFTINYEEEFKPKKATGNGNSGASQPAKRSKISSEDPGDQQRTNDEDREEHSNANADKGSGVKTKPIQYASSQSSSGDTKDTVGSHGTLDIEEASSGGPSIYAPADLNRNITKIFGRLIDIYRALGDDRRSFSYYKAIPVIEKLPFKIINEIVTTGKLSKLEHFENDEKVRTISLFGEVWGVGPATALKLYEKGHRTLDDLRKDESLTNAQRIGLKYFDDIRQRIPRHEVSEMEKFLQDVGKDILPGVIIVCGGSYRRGKASCGDMDIVITHPDGESHVGFLPKFVQRLKEINFLREDLIFSIHSVDGTDSGVDTYFGLCAYPGRELRHRIDLKVYPRNRYACGLLAWTGNDVLNRRLRLLADSKGYVLDDTGLYLATRGSGGKHAGRSDAIVNCHTEKDVFDTLGFPWLEPHERNL >PAN26268 pep chromosome:PHallii_v3.1:4:52842809:52844416:-1 gene:PAHAL_4G359600 transcript:PAN26268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHIAEPAGPVVIGCKVLPIFNEHGIVEGAMKKMVHKIDGKKAVARVKELLKLAAQARPHGATVSGKKWKKVLSFHARDSAAAATAAKGGCKQKQKQQEASDEMSCSSSKLSFKWDVGSCSSASSVAYSPLSLMSAPAKASEQTPSRKDYYMSRLSSMSQQSMLCSGGGGSSSPKSMKNMDGEEEEEEEGSCRIGQWITTDSDFVVLEL >PAN24803 pep chromosome:PHallii_v3.1:4:45138630:45139277:1 gene:PAHAL_4G253200 transcript:PAN24803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKDLITPYNYTPESPSPCRSTEKEGQSDGQAPISSTDKQMTSDVGTGPAYSKPRRLATEEIPGIVGDFQRAARNAVEAGFDGVEIHGSHGYLLEQFMNDGTNDRDDEYGGGLDNRCRFAVEVIDAVFCEVGARRVGVTLSPFADFVECADADPVALADYMVRQLNMHEAYVTSRFWEETECILYMRCVC >PAN26050 pep chromosome:PHallii_v3.1:4:51725147:51727408:-1 gene:PAHAL_4G343400 transcript:PAN26050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWVTTVIFLLAGVVASLFTLLCCNRGPSTNLFHLTLVTTAVICCWMMYAIVYLAQMKPLINPIRSGE >PAN25966 pep chromosome:PHallii_v3.1:4:51324627:51328187:1 gene:PAHAL_4G337700 transcript:PAN25966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSVAAAHATIAARAGPAPGAAASAPAERLGFRRLSSLAGRGLRSPAPAGRAPTAAASRRQRVVRAAAVETLEGKAATGELLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSRTPGHPENFETPGVEVTTGPLGQGVANAVGLALAEKHLAARFNKPDSEIVDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSTRFEALGWHTIWVKNGNNGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGSKEVEATRQNLGWPYEPFFVPEDVKSHWSRHTAEGAALEAEWNAKFAEYEKKYTEDAATLKSIISGELPTGWADALPKYTPEIPADATRNLSQQCLNALAKVVPGLIGGSADLASSNMTLLKMYGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPVEHLVSFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLPGTSIEGVEKGGYIISDNSTGNKPDLIVLSTGSELEIAAKAADELRKEGKTVRVVSFVSWELFDEQSDEYKESVLPAAVSARISIEAGSTLGWQKYIGAQGKAIGIDKFGASAPAGKIYQEYGITVESVLAAAKSF >PAN24764 pep chromosome:PHallii_v3.1:4:44936045:44946474:1 gene:PAHAL_4G249800 transcript:PAN24764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MANYLAQFQTIKSSSDRIVIAVEDVSDLWLNVKESFEQRVPVKKACLNNKARNPVFVDNLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQATKMAKKVYARLEADFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMSNLHEDSLREYDELELCYSESVTSPGKHREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLSRPVEVAARGHAFVVGFSKTLALHENSLPFCFREVWVITACLGLIKSTTSHYDGGAVSVDSEKEFHRLQGDLYSLCRIKFMRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAEVMEKEKMILQSKSREKLFNIYRKPLPLEPSLLLREANRRRAFLSVGNLSELYDSADGSGLDANSKLSPNRSASNFMARTMSGPAMSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMTSFSSVEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYDLAVKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLDSTLFSSKERQAFQSEVVRLAHSEMKHLVPLDVSSLITFAGNAGPPLELCDGDPGTLSVAVWSGFPDDITLESLSLRLSAWSSADEGIKAIKSSDSHVLKPGRNTISFDIPPQKPGSYVLGALTGHIGKLSFRSHGFSQDGPVETDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLRGGILHIDAGAELKIEESQMIEIENYRGDMEHASSANSSAEAGKVEKIPIENGKIKLPDWASDVTTLVWFPVRAIDDTIARGESPASPQKQSIVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILRSEVKATLHVKDVQLDLQSGFEHLGKGDGRPASSLFPLVIAPSSRAGILFIIRLSGTKDLDEVENADSMLNIKYGISGERTTGAHSPVPVQPGDSEELLFKIALRLKRPVLDPCLAVGFLPFSTDCLRVGQLVNMRWRVERLKSPEDATISGDEILYQVDANPQNWMVAGRKCGHVSLSNEQGSRMEITVTCIPLVSGYVHPPQLGLPEVGEVNISCNPAGPHLVCVLPPALSTSYCIPAV >PAN26191 pep chromosome:PHallii_v3.1:4:52461189:52463845:-1 gene:PAHAL_4G354500 transcript:PAN26191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMARIDEWKPVFAMLVFDLISAVTTALIKKALREGLDRLVLITLRQLVATVFLAPIAYFKERSTRPKLTLEILVYLFFSAAFGAALSQYTFFYGLQYTTATFAITFTNMAPVLTFLIAVLLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQAEPSESPDHAAESGKKSWTLGTVALLANCLCFSFWLLLQSKLTKKYPALYSSTAYMFLISSLQGGGLTAAIQRRASVWVLTRPLEIVTVLYTGILGSGVGYVVMTWCVEKRGPVFTSAFIPIIQIMVAMIDFFVLHENLYLGSVLGSILMILGLYILLWGKKRDASEASSSAAKEEEEDKEKQVKS >PAN25739 pep chromosome:PHallii_v3.1:4:50389091:50398329:1 gene:PAHAL_4G322400 transcript:PAN25739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRPDPKPAMRSRRDPKPATRSRRGPPRPRPRLPPGERDRRLAEEVLYLHSLWRRGPPAAAPTQSRSAGRVTTESKRRRLDSAAAAAEPQDTGPEWEPAPSPPNSSPKTWPEAAPASSPSSVKPQPPPSPGALAQREALRAAAEFFSNRGSSDEDDDGGCGSESEREQDAAGFITGLFERDAALRGHYERGCEEGQFACMACAGGTRKPGRRFRGCVALVQHARAATRYGRPRAHRALAAVVCRVLGWDIARLPSIVIDPRGTLGQALAAGATADVQPAKENDDTGGNNDSSSDEDEEEEEIEKENGYPGADGDEEDSELENSEYSAEKENVEKRTEDSRNTEEEEMDDDDDDEEEEEEVEEVEEVDFELDNGDKSAEKEELEEKGSWNSKEISKNEVLRNESVQEVNASKDDSLNQGNNEEVHRQEIAKESSGQENTNNAYLPGSKDICKNEEHFKEAAVQEELDATESEKQHAKTADDTGDTAVTSLGNSSTVPPSSSVLYQKSHVSGLNAYMP >PAN24767 pep chromosome:PHallii_v3.1:4:44952825:44958578:-1 gene:PAHAL_4G250100 transcript:PAN24767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGCGGRFYWAPGSAPRGQARGVAVVFAWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKATSLATGVINELVKELKVKPLPTVLASFSGGSKGCMYKVIQLLDGRCEGDATTKDYRLVRNCICGQIYDSSPVDFTSDVGTQFLQKPAVGDSSQSSILHSWMAKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLIFCSEDDNLAPSHVICGFARRLIELGTDVKLMKWSDSQHVGHYNSHEAEYRTAVNDMLNKALITFCHRSQLYDSNMAGDKEYKIAHSVCSLHNAAANSNESLRRVANSPSDHFFLPSSKNHDESSESGSLIEEQRRQLSHPPSMEPKGVLGQILFDVCVPKNVEGWDIKPTVFPNGRPTFASARQLGPFNPIKYFRRSRL >PAN24768 pep chromosome:PHallii_v3.1:4:44952825:44958560:-1 gene:PAHAL_4G250100 transcript:PAN24768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGCGGRFYWAPGSAPRGQARGVAVVFAWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKATSLATGVINELVKELKVKPLPTVLASFSGGSKGCMYKVIQLLDGRCEGDATTKDYRLVRNCICGQIYDSSPVDFTSDVGTQFLQKPAVGDSSQSSILHSWMAKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLIFCSEDDNLAPSHVICGFARRLIELGTDVKLMKWSDSQHVGHYNSHEAEYRTAVNDMLNKALITFCHRSQLYDSNMAGDKEYKIAHSVCSLHNAAANSNESLRRVANSPSDHFFLPSSKNHDESSESGSLIEEQRRQLSHPPSMEPKGVLGQILFDVCVPKNVEGWDIKPTVFPNGRPTFASARQLGPFNPIKYFRRSRL >PAN25564 pep chromosome:PHallii_v3.1:4:49575739:49578449:-1 gene:PAHAL_4G310700 transcript:PAN25564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPVAAPSSIAVPSARRHRALPPAAVSAAAREPPRAWGAAGAKERARRGKEAEADDEEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHDRVAALRWDSALKVFELMRDQVWYRPHIGIYIKLITMLGKCKQPEKAHELFQAMIDEGCAPNLESYTALVSSYSRSGRFREAFQLLDRMKDTPGCRPDVQTYSILIKSCLHAYDFEKVKGLLADMAHAGIRPNTVTYNTLIDAYGKAGKFAEMESTLLKMLSQNCKPDVWTMNSTLRAFGSSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAEEVKKIKTVLRIVENSDITLDIVFFNCLVDAYGRVGCLAEMWDVLDLMKEHRCKPDKVTCTTMIKWFLVKGIDDHRVQYLRDLKDGRVTDDT >PVH48293 pep chromosome:PHallii_v3.1:4:48803335:48805547:1 gene:PAHAL_4G300300 transcript:PVH48293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEVLTSEMVVPAEETPSGGLWLSNLDLAARRGYTPTVYFFRSNGEPGFFAAEAVKDSLARALVAFYPLAGRLGVDGAGRVQVDCTGEGAVFVTARSGYALDDLMSEFVPCRAMRDLLVPATPAPDPPCALLFVQVTYLRCGGVVLGQAMHHSACDARGAAHFFETWASIARGDAAAAAGAAPVTPCFDHGLLAARPGTARAVLYDHPEYKPEPEPMDIAGSDYASSILTMTKAQVGALKARCPGASTFRAVVALVWRCACRARALPPEAETRLYSMIDMRARLDPPLPPGYFGNAVVRTSVAATAGEVLSNPVGHAARRALAATSQGGDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPAFMGPALMYYGGFVYVMNASGKDGGLALVLSLEPETMPEFRKVFADELARLEVV >PAN23041 pep chromosome:PHallii_v3.1:4:4169693:4178648:-1 gene:PAHAL_4G063800 transcript:PAN23041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLYPFSACVPPPRALLRRLSPPPPMAAVAPPPPAVRILPSMGALDLPPLPPPATDDFHWLDLFAFLNSPADTYHQIPQQGGEEEELEAGLELELELELERHAEVERQRERARKAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPALPYVKSLFVGWFEPLRDAIARDQDVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGTGSVRVVQAAHCIGEAVEREFKVQTFFQKSRKKKDQGENDPALEKEQAKCRKHVKSLVRRRKMSDAQKLVQQELELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQTPDSSPDIRPAFKHVLRQPIIENGRLKKKHWVIECDHLVHEGFESTARHVDIPYLPMLVPPKKWKGYDKGGHLFLPSYIMRTHGVKDQKDAIKSVPRKQLRKVFEALDILGSTKWRVNRRVHDVVETIWSRGGGIAGLVDKTNIPLPERPELEDPDEVQKWKWSLKKAKKTNRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLCWLKIHLANKYGGGVEKLSHEGKLAFVENQLLDIFDSAANPVDGNCWWINAEDPFQCLAACMDLSDALKSSSPYRAVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGEKPADIYSEIAARVHDVVRGDSLKDPATNPNASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIAIHRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLHFAGVHDSFWVHACDVDQMNQILREQFVELYSMPILENLLEEFQKSFPTLEFPPCPPQGNFDVREVLTSTYFFN >PAN24889 pep chromosome:PHallii_v3.1:4:45659282:45661377:-1 gene:PAHAL_4G259500 transcript:PAN24889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDLYTNQLSSSSSSSSDQELMKALEPFIRSASSPTSSTSTTTSPFSYPYPSALPQDSYYYYPAAAASYTALPPPPPAPTATSFSQLPPLPPSSSSYATPAAPYLTSTVDVAAGLALNHLGPAQIQQIQAQFMLRQQQQRCLAASLLGPRAQPMKQAGAAAPLSAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAALAYDKAAFRLRGEAARLNFPSLRRAGAHLAGPLDASVDAKLTAICQGLAAAPASKATASTTAAPDSPKASTSTTTTEGDESVHSAGSPPSLPAFPQQHQQQATPLPEMASLDFTEAPWDESAALHLNKYPSWEIDWDSILS >PVH48334 pep chromosome:PHallii_v3.1:4:49567735:49568625:1 gene:PAHAL_4G310500 transcript:PVH48334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLAPSHRLIIGMVQSKSRLAYSSHFRLQMDADLDIDTVSRGPMHSRRQLLHLALLRIRRHVFHLLIEQKVPAYVALHLGKNAPFSFYYSGWMARYMGCILSGILQVAVALGNFQVAELSSTADLLRMICARTVSWTLAIEISPLCRGFVHMVMPVFMYLEEL >PAN26095 pep chromosome:PHallii_v3.1:4:51993387:51994372:1 gene:PAHAL_4G347200 transcript:PAN26095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAALNAKRYTLAALAATLAVAAIVTVSSVVLCPARVNFSVARTGFHYYTSGGRTTAGSSLRLNLTLAVENPSRHAAVAYESMFVDVSNSTAAQRESWVRATVAAAMPLWQPGRSVAAVGATVDLVGGDLAAAFTGNMTRGLAVMVTAQARFRVGVAWTRLYDIKVSCGPVSFFPAATAAKPGGGGGGAARLPAYCV >PVH47548 pep chromosome:PHallii_v3.1:4:5896366:5896734:1 gene:PAHAL_4G084700 transcript:PVH47548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPPLSPLAAMGLGSVHGQTRLSNWLHRLLGNGDRHSAIPRRLQDGPSSGAETMLPELELIAWCEGGREGEGDHGRPICLHPSASKNRIRSDG >PVH47590 pep chromosome:PHallii_v3.1:4:6551790:6554532:1 gene:PAHAL_4G094400 transcript:PVH47590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31430 [Source:Projected from Arabidopsis thaliana (AT1G31430) UniProtKB/Swiss-Prot;Acc:Q9C866] MATACHHAMPLRDCNVLIRTLARRRSFPRVMAVYYDLRARGLVADSFTYPFVLRAIGVMKLSVEGRKAHAAAVKTGFRWDAYTASSLMDMYTMLGRADFAWKVFDEMPQRFLVLWNMMIRCYIRCGRFTAAVALAEEMERSGATPDRVTLVTAVTACSRAGDLSLGRRIHAYMDAVFGFSLPVANALLDMYMKNGCLEEAVKMFEQMPERNIISLTILVSGYALAGQLDKARALFYQCTEKDLILWTAMINACVQHGSSEEALTLFRDMRLQQVEPDRFTVVTLLTCCANIGALDQGEWIHQYAEGRKMKIDAVLGTALIEMYSKCGHVDKALHVFGRMQGRDAAAWTAIICGLATHGQASRALELFEEMQISKVKPDGITFIGVLSACCHGGLVDEGRKHFQAMKQVYRIEPRIEHYSCFVNLLGRAGVLDEAERLINDMPVNKDTMPLFGALLTGCKAHGNVEMSERLARRIAGQDSPNPGVNVLMSNVYATASRWEEAIRVRSEMAHPTVKKTAGCSSIEVKDADDGTNTGIYLMFQNQNG >PVH47606 pep chromosome:PHallii_v3.1:4:7083374:7091549:-1 gene:PAHAL_4G100500 transcript:PVH47606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGSLIAWRAVFAALGVLMVGTLVYTCATDGSPFRPELLTPWMVATLVDFYVNVIAISAWVIYKEASWVSSAVWVVLLFCFGSAATCAYIVMKLFEVTPAGPSQDPLDLLFIRQGNLSQRKCSYVIIGRIIFSILGIFMAAVVTYTVITDGLPFRKELLTPWMAATLIDFYINIFAISVWVAHKESSWISTTIWIVLLICFGSITTCGYIVLQLLQVSYQDPIYHVLLNSHSKKI >PVH47607 pep chromosome:PHallii_v3.1:4:7083822:7091279:-1 gene:PAHAL_4G100500 transcript:PVH47607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGSLIAWRAVFAALGVLMVGTLVYTCATDGSPFRPELLTPWMVATLVDFYVNVIAISAWVIYKEASWVSSAVWVVLLFCFGSAATCAYIVMKLFEVTPAGPSQDPLDLLFIRQGNLSQRKCSYVIIGRIIFSILGIFMAAVVTYTVITDGLPFRKELLTPWMAATLIDFYINIFAISVWVAHKESSWISTTIWIVLLICFGSITTCGYIVLQLLQVSYQDPIYHVLLNSHSKYGIATSL >PAN26067 pep chromosome:PHallii_v3.1:4:51865973:51869316:1 gene:PAHAL_4G344700 transcript:PAN26067 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEPKR1 [Source:Projected from Arabidopsis thaliana (AT1G12580) UniProtKB/TrEMBL;Acc:A0A178WE53] MAAAGCVGGGGAEAKYNSYKAPGLRGAFLEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDLVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEDSVHLVMELCAGGELFHRLEERGFFSEHEAAVLFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYILPGRSLSGMVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDRVSDSAKELVTGMLRRDPRQRLTAKQVLEHSWMQEHADQTQDSCSHCHGIGLRREDLGSCSFSTPLATRSRDVSFNTGGPIACQGMSDDEACSPTFACRSSFSAFVPDMAPSCSMSGFSFGEASELGGGVAFPTPPVASMPSFSFFCAQECGEPEPSGSQGVSVAAAAPSTSRRMSEVMGTARPVTNQSRGAGINSRRNHTIGAGEREPLDVAVAESVIRWASCTNLSTTHSLRASLVC >PAN23886 pep chromosome:PHallii_v3.1:4:36369675:36375872:-1 gene:PAHAL_4G207200 transcript:PAN23886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTARDGGDAFVDEVEPTVTIGEYIEGIEAEELEADLVLGGDDGKECTYAGGYLKRQAVFSCLTCVPDGVAGVCTACSLACHDGHEIVELWTKRKFRCDCGNSKFSGHLCKLCPEKDSENPENCYNHNFKGSYCTCGRPYPDPEAKEQVEMIQCCICEDWFHEDHIGLNSIEEIPRDEEGEPLYEDFICHKCSPVCYFLKLYPETIWASGKQNLASQTDTNDSTVMEGVPSDHANIKEHENGALDHMGGEKTSMENGFTKDIVVPEKANFGDSSGNNCKLGTDVNTMPSVTEKNMPFFMSKGWRDTLCRCETCTNFYAQRGIAYLVDKEDSIEEYEKIAKQKREKKLEQQEGAETNFLNSLNHVQKIEILSGINDMKNEFQSFLESFDSSKPVTSEDIRSVFENLAKKKKQRLS >PVH47933 pep chromosome:PHallii_v3.1:4:30551864:30553146:-1 gene:PAHAL_4G191500 transcript:PVH47933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPEQTLEFAIDCMLPASTDLRSLAEGLPVDVGNEPVCGQNFMTSSSVMPPPEGNDEDVEEIDGEQFADGTANKKGNSHRGKSFLPQEDRVIVSGWLNISKDASTGASQTANAFYARLHRYFLENWDMQSQRSQVSIQNRWTAIRKAVNKFQGILSAIQRTNESGKTDQDKINDAIRAYEEKKPWQFAHCWEILRSEPKWNDYLLACSKPKQVNDKQTAAPPATPANATMPTAPIERPAGRDSVKRCCSTVEDSASSVALEMLQKIHTRGRQMDEQEAKQKEELVAIERAKFDLQQRAVLAKIEQGDKKLQLQREIMETNQFRTEAKIMFTDLNALHPSIGYWMVKKQRDILIREGINLDEVESSSAGTQNDRPSGNN >PAN25117 pep chromosome:PHallii_v3.1:4:47172266:47174178:1 gene:PAHAL_4G276700 transcript:PAN25117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRWPVLMPSGLLVWIVCCTWAAQQSVAASSPYGANMTDLMHHVEFFDENKDGIITITESVKGFIAIGCDPAFALTAATSTHAAFGPLTTPPGKLPSTNIHVSHINGAVHASDTGAYDKKGNFVPKKFERIFQRFARTEPDALSWLEVETMLTANRDLLKPWTWPAAELEWQLIHFLGKDRHGYLHKDTLRGIYDGTVFPKLRDHTIDPHSAHSDA >PVH48027 pep chromosome:PHallii_v3.1:4:40682459:40683132:1 gene:PAHAL_4G223200 transcript:PVH48027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYHGHGVARMIEQLNVVTYIPHFSLVVMKFVHSELFKRILAFVIGARAIQRIGLPVQVNRNGWITEPEKLVWMYQNA >PVH47329 pep chromosome:PHallii_v3.1:4:2379064:2380270:-1 gene:PAHAL_4G038000 transcript:PVH47329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVSCDKYETKQGEGCVQARMMKAYRNITRRVLSVTRRESHHLPLYPMSQFMVWRMWRKVPQDGALAAARDRISTHDNNGDQMRLLPGVFEGVLESIVLF >PAN22663 pep chromosome:PHallii_v3.1:4:2221555:2223245:-1 gene:PAHAL_4G035300 transcript:PAN22663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPPRSPPAAAAAMLAAALLAVALMAAAAAAAPWERADEEVRHLYEAWKAEPGRPGRNGGDDRLRLEVFRDNLRYIDARNAEADAGLHGFRLGLTPFADLTLEEFRGRVLGFQLQSPRRNDTVDEDEDEDGFGDQLAAVPTAVDWRKSGAVTGVKNQRSCGGCWAFSAVAAMEGINKIVTGKLISLSEQELIDCDRKSHGCKGGRMDYAFQFVIGNGGIDSEADYPYTGRDGTCNEAKKKKKVVSINSYGHVPANNEKALQMAVAKQPVSVAIEAGGRDFQLYKSGVFDGACGTKLDHGVTAVGYGSAGGKNYWIVKNSWGTGWGEGGYIRMRRNVAAPTGMCGIAMDAYYPVKNHKAPGMPLLEMVLAA >PVH48057 pep chromosome:PHallii_v3.1:4:41830888:41832689:1 gene:PAHAL_4G230600 transcript:PVH48057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGYTRIYGVHIPRIRSLSPHALHEQIQSQVPKLTTAQRSTETQAHAATMSAAVATPVLREDDRGIPRSLPLLAALVEAEARRYAATAASRPAEIGLVRAFRGGATPKVPIRAFLERIHLLTRSMLSTRGIVRIDGTCFVLAGIYLTRFVRSPAGREAGILVEPATAHRLVAAAVFLGAKFGGHPPRRWTAVFEASSEGAIRAGELPGLEDRFLRAMGFRLFVDSDGFDGFCKVLEQGPRAPAPSGGGGCACKKRQADAAAGEEDERRRVRARLPSPAVVSN >PAN24376 pep chromosome:PHallii_v3.1:4:33752276:33754202:-1 gene:PAHAL_4G200700 transcript:PAN24376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPAKFLHPGPGFHPRVPRCGTDPRVAAAAGSLTTSCGGCATQRRRRRRRCGGLPSFAPSGTYVFAALSMVYISGGLVVFVGRNQSYTNLKKLNVKSIARTTLK >PAN23006 pep chromosome:PHallii_v3.1:4:3898314:3901208:-1 gene:PAHAL_4G059300 transcript:PAN23006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAARASEVKAEGFLRGACAALAAAAAALVGLSTQTETVLLVRKKATVKDVQALWVLAMAAAAAAGYHLLQLLKCLYLGRAGGVNPCRRSSRALAWVCLLLDKACAYTTFATTVAAAQACVIALGGAHALQWTKLCNIYTRFCEQVAGSLVLGMLAAVGTAVLSAVSARNVVRLYTPSSPPYAAGH >PAN23044 pep chromosome:PHallii_v3.1:4:4189142:4193795:1 gene:PAHAL_4G064100 transcript:PAN23044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNRRPLPPPNPKHKLERREIKPSESSEILSPETKVSGVKRKADVISASTEPTELQNATSATIEPAELQNVIFAHTEPAKLQNAARHWSCALCQVSATSRANLNEHYKGRRHLAKLVHSRGIQVIYDCKSGAGPSDAPKKICILVNGAIHEVVQKSIVLTSATNEPTELQNVIPGSTEPDELQNVSSATTEPTELQNVIPGSTVLDELQNVSSATTEPTELQNVAKYWSCAVCQVVRATSKANHKKHLKGEKHLKKKLALYGASVAASGAGPSGAPKKIHILIDGEMHEVVQQGDFVWCERCSIR >PVH47439 pep chromosome:PHallii_v3.1:4:4189142:4193872:1 gene:PAHAL_4G064100 transcript:PVH47439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNRRPLPPPNPKHKLERREIKPSESSEILSPETKVSGVKRKADVISASTEPTELQNATSATIEPAELQNVIFAHTEPAKLQNAARHWSCALCQVSATSRANLNEHYKGRRHLAKLVHSRGIQVIYDCKSGAGPSDAPKKICILVNGAIHEVVQKSIVLTSATNEPTELQNVIPGSTEPDELQNVSSATTEPTELQNVIPGSTVLDELQNVSSATTEPTELQNVAKYWSCAVCQVVRATSKANHKKHLKGEKHLKKKLALYGASVAASGAGPSGAPKKIHILIDGEMHEVVQQGDFVWCERCSIR >PAN25055 pep chromosome:PHallii_v3.1:4:46592934:46595741:-1 gene:PAHAL_4G271300 transcript:PAN25055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPARFGLAAAFLLVLRLPAPAPVSAARPIVAGKPAPSEATATARWLAAQNTWGVVSTISSDLNGAPFGNVVSYSDGLPGEGHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCSKLTLTGKLKLVDLQSSEADLAKSALFTKHPEMKDWPKNHHFKIFKLEIENIFLIDWFGGPKPISPSQYLEFGRNQQSVMSS >PVH47240 pep chromosome:PHallii_v3.1:4:823570:823959:1 gene:PAHAL_4G012300 transcript:PVH47240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSISLVPGGKKHPRRFCGTRPPTANPGNRSSPSHGPPPPHPPQHHQRALDDTLLSCLAPPPPPYAPNTSPSAHPDPSIIPPQAPSLLASSSLRRPRRPRPPLLDRRAPTRPGLTFFSCCCVGKESFPA >PAN26277 pep chromosome:PHallii_v3.1:4:52884132:52884944:-1 gene:PAHAL_4G360200 transcript:PAN26277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSAAVTAPSFAAARPARAVASRRSAAAFTVRASLGKAAGTAAVAVAASALLAGGAMAQEVLLGATGGVLVFEPSEFTVKAGEAITFKNNAGYPHNVVFDEDEVPSGVDAAKISQEEYLNAPGETYSVTLTVPGTYGFYCEPHQGAGMVGKVTVN >PAN25962 pep chromosome:PHallii_v3.1:4:51305919:51309266:1 gene:PAHAL_4G337200 transcript:PAN25962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAAAASPPLRALLLLLSPRLTPPIPRPRFIMNPSSSSSSGGYHSRGAAVASQQSRGDGGRRRGGGGRGRCGGGDGSYRADSLGSSSSSSAGYHSMVAAFDSLQLRGGGGRRPGGGGVCRGGRGGGDGSDRIDALGSSPSSPSAGYHSRADAFASPQPRGGGGRRRGGGRGGRGDGDGRDRIDALGRLLSKVLRHMAAELGLDMRPDGYVRVRDLLRLNLQSFANVPLKCHTVDEIREAVRRDNKQRFSLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSVCVHGTYRKKLGLILQSGLNRMARLHVHFSSGLPSDGEVISGMRQNVNVLIHLDVRKSLNDGMKLYISDNNVILTEGFDGVVPVKYFEKIETWPGRAPIPFER >PAN26209 pep chromosome:PHallii_v3.1:4:52517309:52521775:1 gene:PAHAL_4G355700 transcript:PAN26209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTSLKPRKEGQQTQEELQKRNLREELEERERKHYSSKDKSYAEEGDRRKSSSQLLLEGSKRDAEDKIVPREIDADDSDVEPKSDDECDEDEDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMREAELMRGNPLINVNNPGSFSVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >PAN23973 pep chromosome:PHallii_v3.1:4:18915683:18926745:1 gene:PAHAL_4G157300 transcript:PAN23973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSETLSPLVSKDVPKALLPVANRPLLSYALDLLEASDLKDLIVVVEGQEAARLVGAWVSSAYLDRLCVEVLAVSEDLGSAGALRAISKRLTANDILVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSETASSSGKDKAKKPNRLNIVGLDKTKQFLLHIVSGTDVEKDVRVHKRKIQAVGQMEIRSDLMDAHLYAFKRTILQDVLEQKEAYRSIRLEVLPYLVRSQLRSAPSGGHGTTVGETGNSAVLSSGNLQCLSQHHVITPSAFKQDALSRSHGGHRCCAYIATKSKYCHRLNSIQSYCDINRDVIGEASHLSGYSFSAQNNIIHPSSVLGSKTTIGPHCILAEGSQLGDKCSVKRSVIGRHCRISSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERSVLKDCQIGAGYIVTAGSEHKAESLARK >PVH47302 pep chromosome:PHallii_v3.1:4:1996610:2000980:-1 gene:PAHAL_4G032100 transcript:PVH47302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVQFTLDDGTGKIALVRWITDQMDANEVAFVQNGVYLKVQVTLVGFKAKQQGFARSIRPVINFTEIVLHFIECMHLHLENVRPKMQGQLPRAVQTNASTHEMQAQVPHTVQTITPACTPFSGGVREHQVHFSSEVNQGRFPPSVQTNTSSHVPFSGGVREQQVHFTPRSNQFSAYPATGVQLNALQRMVLDVMQQPDILAHEDGVHVDEVARRLGMPRGQILVAAVQLVDLACLYSTIDDYHFKSVLNG >PVH47638 pep chromosome:PHallii_v3.1:4:7596580:7601556:1 gene:PAHAL_4G105200 transcript:PVH47638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTCTRNQGWTGPPRKWCSTSAPNPPGTATAVPLFLFRVTRAPELRQDSPAGGAGRTPRPFGSSSTPHLATRALSYSASPSCWRRMASSSSSPAPAPAGESLRQKRILSSKLYLDVPSSKAPVVYSPAYDISFLGFEKLHPFESAKWGRICRYLTREGHLEKKKLVEPLEACKEDLLVVHTEKYLNSLKSSYRVASIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLALERGWSINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISSVLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFVCKSRFQPQLIVYNAGTDILDGDPLGKLEISPEGVVTRDEKVFRFAKDQNIPVLMLTSGGYMKSSARVIADSIINLSNKNLIELGNQLG >PVH47635 pep chromosome:PHallii_v3.1:4:7596580:7601556:1 gene:PAHAL_4G105200 transcript:PVH47635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTCTRNQGWTGPPRKWCSTSAPNPPGTATAVPLFLFRVTRAPELRQDSPAGGAGRTPRPFGSSSTPHLATRALSYSASPSCWRRMASSSSSPAPAPAGESLRQKRILSSKLYLDVPSSKAPVVYSPAYDISFLGFEKLHPFESAKWGRICRYLTREGHLEKKKLVEPLEACKEDLLVVHTEKYLNSLKSSYRVASIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLALERGWSINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISSVLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFLYFGPFQDFTAKQYIDQKVELVSGTKTDEYLEQLDNALEVCKSRFQPQLIVYNAGTDILDGDPLGKLEISPEGVVTRDEKVFRFAKDQNIPVLMLTSGGYMKSSARVIADSIINLSNKNLIELGNQLG >PVH47637 pep chromosome:PHallii_v3.1:4:7596646:7600101:1 gene:PAHAL_4G105200 transcript:PVH47637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTCTRNQGWTGPPRKWCSTSAPNPPGTATAVPLFLFRVTRAPELRQDSPAGGAGRTPRPFGSSSTPHLATRALSYSASPSCWRRMASSSSSPAPAPAGESLRQKRILSSKLYLDVPSSKAPVVYSPAYDISFLGFEKLHPFESAKWGRICRYLTREGHLEKKKLVEPLEACKEDLLVVHTEKYLNSLKSSYRVASIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLALERGWSINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISSVLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFDFTAKQYIDQKVELVSGTKTDEYLEQLDNALEFLLEHIVCRYAKVDFSPS >PVH47639 pep chromosome:PHallii_v3.1:4:7596646:7600101:1 gene:PAHAL_4G105200 transcript:PVH47639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTCTRNQGWTGPPRKWCSTSAPNPPGTATAVPLFLFRVTRAPELRQDSPAGGAGRTPRPFGSSSTPHLATRALSYSASPSCWRRMASSSSSPAPAPAGESLRQKRILSSKLYLDVPSSKAPVVYSPAYDISFLGFEKLHPFESAKWGRICRYLTREGHLEKKKLVEPLEACKEDLLVVHTEKYLNSLKSSYRVASIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLALERGWSINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISSVLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFLYFGPFQDFTAKQYIDQKVELVSGTKTDEYLEQLDNALEFLLEHIVCRYAKVDFSPS >PVH47636 pep chromosome:PHallii_v3.1:4:7596580:7601556:1 gene:PAHAL_4G105200 transcript:PVH47636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTCTRNQGWTGPPRKWCSTSAPNPPGTATAVPLFLFRVTRAPELRQDSPAGGAGRTPRPFGSSSTPHLATRALSYSASPSCWRRMASSSSSPAPAPAGESLRQKRILSSKLYLDVPSSKAPVVYSPAYDISFLGFEKLHPFESAKWGRICRYLTREGHLEKKKLVEPLEACKEDLLVVHTEKYLNSLKSSYRVASIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLALERGWSINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISSVLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFDFTAKQYIDQKVELVSGTKTDEYLEQLDNALEVCKSRFQPQLIVYNAGTDILDGDPLGKLEISPEGVVTRDEKVFRFAKDQNIPVLMLTSGGYMKSSARVIADSIINLSNKNLIELGNQLG >PAN23617 pep chromosome:PHallii_v3.1:4:7596580:7599023:1 gene:PAHAL_4G105200 transcript:PAN23617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTCTRNQGWTGPPRKWCSTSAPNPPGTATAVPLFLFRVTRAPELRQDSPAGGAGRTPRPFGSSSTPHLATRALSYSASPSCWRRMASSSSSPAPAPAGESLRQKRILSSKLYLDVPSSKAPVVYSPAYDISFLGFEKLHPFESAKWGRICRYLTREGHLEKKKLVEPLEACKEDLLVVHTEKYLNSLKSSYRVASIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLALERGWSINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISSVLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFVRVFTLSTP >PAN22892 pep chromosome:PHallii_v3.1:4:3359064:3361332:-1 gene:PAHAL_4G051400 transcript:PAN22892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVEMKRIENRVSRQVTFSKRRKGLLKKAHELAVLCDVDVGVVVFSERGKLFEYPNPPASLTDLIRRYEAVTNTQLLQETHCTDHQQQMIAEIGRLRREYEQLQASLMACTGEDLSSLTSVDELDELEQQLESALSKARARKDELLTSLDDELQLKINGNGRHGAAAVGVETEEIAEPLPPSPSFAYLLKVKEKSAASTMLQLWPQADDDDDDVGSGGGGGSGPPRGLQLW >PAN25213 pep chromosome:PHallii_v3.1:4:47709501:47710534:-1 gene:PAHAL_4G284600 transcript:PAN25213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGAYQLRVPDEHRIRGLKLRDGDKLRACRTAAARQNVQAASRRPGSFWRSTVTILHERITRRGRFRSDSSAAGGGRSIDEHTEGFRLRIMQSSEERTMAAGTHQQALAAA >PVH48347 pep chromosome:PHallii_v3.1:4:49704696:49707276:-1 gene:PAHAL_4G312900 transcript:PVH48347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLIACSPKVDPKIEKPDEMVTAGVLASLQNFLRKCLIAVISYGPMPKHIAFIMDGNRRYAKFRSIQQGAGHRVGFSALIANLLYCYEMGVKYITVYAFSIDNFKRDPSEVQSLMQLMEEKINELLDSRSVINRINCKINFWGNLDLLAEPVRLAAQKLMASTAGSTGLVLSVCMPYNSTSEIVNAVNEVCAERREMLQREHASYSNGQAANNSVHSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPGPLWPEFSFKHLVWAILKYQRAYPYLEQNRNVAKKQL >PAN22320 pep chromosome:PHallii_v3.1:4:779833:782717:-1 gene:PAHAL_4G011600 transcript:PAN22320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNRYRQDLFVIAVAVAAACLAVVGEASCVGFDLHHRTSPVVRRWAEARGHHALAAEWPAKGSPEYYSELSRHDRALLARRGLAGAADELLTFAAGNATFQDLGFLYYAEVALGTPNATFLVALDTGSDLFWVPCDCKQCAPLSNNATADGGPQLREYSPRLSSTSTPVTCDSPLCDRPNACSAATNGSCPYGVRYVSANTSSSGVLVQDVLHLTREGPGPAAPLQALVVFGCGQVQTGAFLDGAGFDGLLGLGMDRVSVPSVLASSGLVASNSFSMCFGDDGVGRINFGDAGSRGQAETPFIPRSTHPTYNVSFTTINVGSESAPVEFAAIMDSGTSFTYLNDPEYTALATNFNSQIRERRTNFSSASAGRFPFEYCYRLSPEQTEVELPVVSLTAAGGAQFPVTDPIIVFSDRASSRAVGYCLAILKNNFAINIVGQNFMTGLKVVFDRERSVLGWQKFDCYKNARVADGPGGSPSPAPAPTTTLTPRQNDAGNRYPGAAPVPRPPSAGSRHAAGALSLLPPLLLGAAALV >PAN25503 pep chromosome:PHallii_v3.1:4:49341928:49344313:-1 gene:PAHAL_4G307000 transcript:PAN25503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGHVLPGTLFLAVGLWRVWSAVSRFAADPPAFRVRAWSPLPKGPRLLELYVVAGGAFLDMCLELGGGVLAGRGGGGGGVDPASLIYLEHGGMLLMFFLFGALALLSQKTRYLPLTDGELCLVAAAAFTSELLLFSYHSGTHMGLEGYYHHLLVVLVGLCILATVLGALLPASFPADLAAGVLIALQGLWFYQTALSLYGPMLPAGCALDAAERSRVECRSRAAEERAEQLANLQLFGAVFLAFVYALGCYAVAAARHGHPDLAAMHDEHVAALECRGGGGGARAQEECAI >PAN24516 pep chromosome:PHallii_v3.1:4:41617096:41619133:-1 gene:PAHAL_4G229000 transcript:PAN24516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSPPPLLRSDTRPLAIRHQFTDGLARSSRLPVGRCLRWAMPPSPESPPHTKPTPTRSRTPPAFPSGASAAPTCSPSICGAVILPGGRGRRPRLLGLDLRRRRPPRWFVDASGLPDGCERRPACAPSICGTIDLPLARQLRAGPHEAQPPPHRLHTGLLHPRVLEPPPPPRPPVCQHCISLCPDWISTLKKPDACR >PVH48070 pep chromosome:PHallii_v3.1:4:42864800:42869985:1 gene:PAHAL_4G235700 transcript:PVH48070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLALLPLLLPFFFAVPTAKPEKIISRSQFPKGFLFGTASSAYQYEGAVREGGRGPSIWDTYTHTHPEKIANGSNGDIAIDSYHRYQEDVKIMKDIGFKAYRFSISWTRILPNGKLSGGINMEGIKYYDNLINKLISEGVEPFVTLFHWDSPQALEQQYGGFLSQHIMEDFRDYANICFREFGDRVKNWITFNEPWSFSVGGYSSGILAPGRCSSWENSGCSIGDSGKEPYIVAHNQLLAHAAAVQVYRDKYQGKQKGKIGITLVSNWMIPYSNSKKDKDAAKRALEFMYGWFMDPLTKGDYPLSMKTLVGNRLPRFTKQQSKAINGSFDFIGLNYYTARYIQNTNYSNNRNKSYNADSLTNQTVERHGTAIGPKAGSPWLYIYPKGIEELLLYTKKTYNNPTIYITENGVDEINNENLPLQEALVDNTRIEFYRQHLFHIQRALKEGADVRGYFAWSLFDNFEWMDGYTVRFGINYIDYKDGLKRYPKHSGKWFQDFLHK >PVH48071 pep chromosome:PHallii_v3.1:4:42864800:42869985:1 gene:PAHAL_4G235700 transcript:PVH48071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLALLPLLLPFFFAVPTAKPEKIISRSQFPKGFLFGTASSAYQYEGAVREGGRGPSIWDTYTHTHPEKIANGSNGDIAIDSYHRYQEDVKIMKDIGFKAYRFSISWTRILPNGKLSGGINMEGIKYYDNLINKLISEGVEPFVTLFHWDSPQALEQQYGGFLSQHIMEFGDRVKNWITFNEPWSFSVGGYSSGILAPGRCSSWENSGCSIGDSGKEPYIVAHNQLLAHAAAVQVYRDKYQGKQKGKIGITLVSNWMIPYSNSKKDKDAAKRALEFMYGWFMDPLTKGDYPLSMKTLVGNRLPRFTKQQSKAINGSFDFIGLNYYTARYIQNTNYSNNRNKSYNADSLTNQTVERHGTAIGPKAGSPWLYIYPKGIEELLLYTKKTYNNPTIYITENGVDEINNENLPLQEALVDNTRIEFYRQHLFHIQRALKEGADVRGYFAWSLFDNFEWMDGYTVRFGINYIDYKDGLKRYPKHSGKWFQDFLHK >PVH48359 pep chromosome:PHallii_v3.1:4:49890374:49895996:1 gene:PAHAL_4G315400 transcript:PVH48359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDERAEAARRAKEAGNDAYRKSFLETAVEHYTRGALLDPSDISFLTNRAAAYLKLCKYKECVRDCDDAVRRGRELGAENKLIAKALSRKASALLELADCAGDYTPAIRALEQSLAEHYCDETLDKLGEAERKRKEVEEQERLDQEAADHDREKGNEFFKQKKYHEAAMHYTRAMKMSPKDPRAFSNRAQCHIYLGDFSQGLEDAEKCVELDPTFLKGYLRKAKVQFLMERYENALATYLEGLRCDPNNLEVVDGLRRCAACIKRANGSDFELKELKEMSFTVAGNPWRALMSKGNFQSENDFRKFEKAMEQAAIFKKEASDERLMRIESERMARTMEEHLSGVHQELERLKKQHAEVMEKLEKANEHIQGQLSESRGQYERLLSEHDHLLHERNHAVREVQELRQKRGQMLSVLITSMHCEFSSSELECATENFSSSLKIGEGGFGCVYRGTLRNMAVAIKVLKPDNLQGQSQFEQEVAILSRVRHPHLVTLLGACLESSTLVYEFLPNGSLEDFLVCAEKRRSLPWQTRIRIIAEICSALTFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRHLLQSSTNNTIMCLTMHPMGTLQYMDPEYFATGELTCQSDVYSFGIVVLRLLTGKPPDGIKKIVEDAVLKGDLNSIVDTSAGEWPDVYAQQLAHLALSCTEPSRKCRPDLSVVVWGVVEAMRDAATIPSASSSRSVSDENCTPSYFICPIFQDVMNDPHIAADGFTYEAEAIRSWLDGGHDTSPMTNMRLEHEELIPNRALRSAIQEWLQQQNMAL >PAN25649 pep chromosome:PHallii_v3.1:4:49890374:49895995:1 gene:PAHAL_4G315400 transcript:PAN25649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDERAEAARRAKEAGNDAYRKSFLETAVEHYTRGALLDPSDISFLTNRAAAYLKLCKYKECVRDCDDAVRRGRELGAENKLIAKALSRKASALLELADCAGDYTPAIRALEQSLAEHYCDETLDKLGEAERKRKEVEEQERLDQEAADHDREKGNEFFKQKKYHEAAMHYTRAMKMSPKDPRAFSNRAQCHIYLGDFSQGLEDAEKCVELDPTFLKGYLRKAKVQFLMERYENALATYLEGLRCDPNNLEVVDGLRRCAACIKRANGSDFELKELKEMSGNFQSENDFRKFEKAMEQAAIFKKEASDERLMRIESERMARTMEEHLSGVHQELERLKKQHAEVMEKLEKANEHIQGQLSESRGQYERLLSEHDHLLHERNHAVREVQELRQKRGQMLSVLITSMHCEFSSSELECATENFSSSLKIGEGGFGCVYRGTLRNMAVAIKVLKPDNLQGQSQFEQEVAILSRVRHPHLVTLLGACLESSTLVYEFLPNGSLEDFLVCAEKRRSLPWQTRIRIIAEICSALTFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRHLLQSSTNNTIMCLTMHPMGTLQYMDPEYFATGELTCQSDVYSFGIVVLRLLTGKPPDGIKKIVEDAVLKGDLNSIVDTSAGEWPDVYAQQLAHLALSCTEPSRKCRPDLSVVVWGVVEAMRDAATIPSASSSRSVSDENCTPSYFICPIFQDVMNDPHIAADGFTYEAEAIRSWLDGGHDTSPMTNMRLEHEELIPNRALRSAIQEWLQQQNMAL >PVH48358 pep chromosome:PHallii_v3.1:4:49890374:49895996:1 gene:PAHAL_4G315400 transcript:PVH48358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDERAEAARRAKEAGNDAYRKSFLETAVEHYTRGALLDPSDISFLTNRAAAYLKLCKYKECVRDCDDAVRRGRELGAENKLIAKALSRKASALLELADCAGDYTPAIRALEQSLAEHYCDETLDKLGEAERKRKEVEEQERLDQEAADHDREKGNEFFKQKKYHEAAMHYTRAMKMSPKDPRAFSNRAQCHIYLGDFSQGLEDAEKCVELDPTFLKGYLRKAKVQFLMERYENALATYLEGLRCDPNNLEVVDGLRRCAACIKRANGSDFELKELKEMSARTMEEHLSGVHQELERLKKQHAEVMEKLEKANEHIQGQLSESRGQYERLLSEHDHLLHERNHAVREVQELRQKRGQMLSVLITSMHCEFSSSELECATENFSSSLKIGEGGFGCVYRGTLRNMAVAIKVLKPDNLQGQSQFEQEVAILSRVRHPHLVTLLGACLESSTLVYEFLPNGSLEDFLVCAEKRRSLPWQTRIRIIAEICSALTFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRHLLQSSTNNTIMCLTMHPMGTLQYMDPEYFATGELTCQSDVYSFGIVVLRLLTGKPPDGIKKIVEDAVLKGDLNSIVDTSAGEWPDVYAQQLAHLALSCTEPSRKCRPDLSVVVWGVVEAMRDAATIPSASSSRSVSDENCTPSYFICPIFQDVMNDPHIAADGFTYEAEAIRSWLDGGHDTSPMTNMRLEHEELIPNRALRSAIQEWLQQQNMAL >PVH47844 pep chromosome:PHallii_v3.1:4:20592545:20594282:-1 gene:PAHAL_4G163500 transcript:PVH47844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLCSVLVTAVVVLSLLTSPIDCTRKLSKPRTKPKPKPVSHRPAPAAKVSHKPAAPAVKVSRKPAPAAKAHRNYTATPSSPSTVYGSGGWLSGAGATYYGAPNGDGGEGGACGYQTAVGKQPFDSMIAAGSTPLYRGGEGCGACYEVKCTTNAACSGHPVTIVITDQSPGGLFPGEVAHFDMSGTALGAMAKPGMADKLRAGGVLRIQYRRVQCKYPGVNVAFKVDQGANPFYFDVLVEFEDDDGDLSAVELMEAGSRAWTPMAHNWGATWRLNNGRRLNAPFGLRLTSDSGRVLVVSNAIPAGWKPGTTYRSLVNYP >PAN23435 pep chromosome:PHallii_v3.1:4:6344412:6346414:-1 gene:PAHAL_4G090700 transcript:PAN23435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTEGVNNLAITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPIQKAKIEIVLGKTEKFDELMAAAEEEREAAKAEEQS >PAN24668 pep chromosome:PHallii_v3.1:4:43959973:43960482:1 gene:PAHAL_4G243300 transcript:PAN24668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMFALFALLALCASTITATYIPGYFPPTMALGAMNPCMQYCAVQQPFTTGSFTSPALMMLQQPFASPFQQYFTPMTVQSMTTQAQCNCGAVSQITHQQQLPFTFNPMAVAMSPFFFQQPFVGVPF >PAN23728 pep chromosome:PHallii_v3.1:4:8429900:8432516:-1 gene:PAHAL_4G112800 transcript:PAN23728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAMDIDMQTHSGHGEVDDDGKEKRTGTVWTAAAHIITAVIGSGVLSLAWAMAQLGWVAGPVILLLFAAITYYTCCLLSDCYRVGDPATGKRNYTYTEAVESYLGGGYVFFCGVCQYANMFGTGIGYTITASASAASILKSNCFHWHGHEADCTQNTSAYIVAFGVVQVIFSQLPNFHELWWLSVIAAVMSFSYATIAVGLALGQTISGPTGKTTLYGTQVGVDVADATQKTWLTFQALGNIAFAYSYTIILIEIQDTLRSPPAENKTMRQASILGVVTTTAFYLMCGCLGYSAFGNGAPGNILAGFYEPYWLVDFANVCIVLHLVGGFQVFLQPLFAVVEAGVASRWPGSKQEHGSVNVFRLVWRTLFVALITLGAVLLPFFNSILGILGSIAFWPLTVFFPVEMYIRQREIPRFSGTWLALQTLSFFCFIITVAAGAASVQGVRDSLKTYVPFQTRS >PVH47624 pep chromosome:PHallii_v3.1:4:7467577:7468261:-1 gene:PAHAL_4G104000 transcript:PVH47624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVATVATSLKYFSISGNNFCFILSSLPLDWKAMSHTARPGPLLASAMLLCSVVMSSRLMSTACFYTPNIVSSFTGYPKT >PAN23888 pep chromosome:PHallii_v3.1:4:36279275:36290959:-1 gene:PAHAL_4G206500 transcript:PAN23888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGKEDRYVRFQDWRSEQSVSSENIVVPRRNDVSVFDSLKERTARVFAFLGNLLHSETSSRSMVNERKSATGTLHPQGPFLQKWNRIFVISCILAVSVDPLFLYIPVISDEKPCWYLDRKLEKAASVLRFFTDIFYILHIIFQFRTGFLASSPTTFGRGVLIEDRYAITKRYLSTYFFIDVFAILPIPQVIILVVLPILQDSDVMKAKSVLMIIIICQYVPRLIRIRPLYLQITRSAGVITETARAGAAFNLLLYMLASHVLGAIWYLLSIQRQDSCWRHECRNNTTCAADAASLYCGAVHKNETYAFLKTVCSLGNTQIIPDPVFGIYAPAIKNISQSRSFFTKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSASLRIEETRMKSRDTDQWMSYRHLPENLKERIRRYEQYRWQETSGVDEEQLLMNLPKDLRRDIKRHLCLSLLMRVPMFENMDEQLLDALCDRLKPILYTEGSCIIREGDPVTEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSTSSLPSSTRTVKTISEVEAFALRAEDLRFVATQFRRLHSKQLQHTFRFYSQHWRTWAACFIQAAWHRYCRKKIEDSLRLKEKRLQFAIVNEGSTSLSFMAALYASRFAGNMIRILRRNATRKARLQERVPARLLQKPAEPNFSAEEQ >PVH47981 pep chromosome:PHallii_v3.1:4:36279740:36291419:-1 gene:PAHAL_4G206500 transcript:PVH47981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGKEDRYVRFQDWRSEQSVSSENIVVPRRNDVSVFDSLKERTARVFAFLGNLLHSETSSRSMVNERKSATGTLHPQGPFLQKWNRIFVISCILAVSVDPLFLYIPVISDEKPCWYLDRKLEKAASVLRFFTDIFYILHIIFQFRTGFLASSPTTFGRGVLIEDRYAITKRYLSTYFFIDVFAILPIPQVIILVVLPILQDSDVMKAKSVLMIIIICQYVPRLIRIRPLYLQITRSAGVITETARAGAAFNLLLYMLASHVLGAIWYLLSIQRQDSCWRHECRNNTTCAADAASLYCGAVHKNETYAFLKTVCSLGNTQIIPDPVFGIYAPAIKNISQSRSFFTKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSASLRIEETRMKSRDTDQWMSYRHLPENLKERIRRYEQYRWQETSGVDEEQLLMNLPKDLRRDIKRHLCLSLLMRVPMFENMDEQLLDALCDRLKPILYTEGSCIIREGDPVTEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSTSSLPSSTRTVKTISEVEAFALRAEDLRFVATQFRRLHSKQLQHTFRFYSQHWRTWAACFIQAAWHRYCRKKIEDSLRLKEKRLQFAIVNEGSTSLSFMAALYASRFAGNMIRILRRNATRKARLQERVPARLLQKPAEPNFSAEEQ >PVH47482 pep chromosome:PHallii_v3.1:4:4898020:4901864:-1 gene:PAHAL_4G073300 transcript:PVH47482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPAALAPAPAVFSSASVRPRRGAAPAIISSASGRPRRGARGAVRCEVASSSAPSAAGPQAAKWAQRTVVLPPQCRGCHLITPKIVNEIRDDLADFKCGMAHLFLQHTSASLTINENYDSDVQADTETFLSRIVPEGPSAPWRHTMEGPDDMPAHIKSSMFGCSLTIPITNGRLNMGTWQGIWLCEHRDYATPRQIVITLNGI >PAN25048 pep chromosome:PHallii_v3.1:4:46542048:46549989:-1 gene:PAHAL_4G270600 transcript:PAN25048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAWGGTTQKCASCGRTVYPVEELAADGRVYHRPCFRCHHCKSTLQFSNYSSVEGVLYCKPHYDQILKSTGSLDKSFEGVSRSAKPEKSNGHKGQQRSKFSSMFVGTQDKCVVCNKTVYPLEKVALNGSSYHKSCFRCTHGGCMLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDNSGNAKVATEKPPETEATKNLDQGDGLTDKPSEAELTAENSPQNDVAAEKQLQSSTDAIKPSESITTMQTPAGSEGATESESKSNVVDKKASENSVEKPLQNSVNDVKPSGRGAAIRKPWQRSLQTDKLLPTSTTTEKPSLSGAAIENPSPNNGVDMKKSESSTVAKRSWQQSAATENPPQSILPLDKPSVTSADDAKASETSKVVKKPWQRTVAAEKQTQNSAPTEKPQNSVATEKSSLTTDMKPLDNNMEVKSPWGRRVFNHKPLKSNIDTVKPSASSVVDVKPAETSSVTPVPQQHTENIEKPSDTSANDAKSANDTKSTDDVKPSDTSTDDAKNANDIKRTDDVKPSENTATEVRKSWQRNVGAEKQPQSSAIDAKTTESSGAIKRLWQRNVATEKPSKSDMAVVTPSQSSLAVSKPFQSNTATKRPWQRSMAPEKQKDSDLTSNKPLQSKPLQSKTVVESPLESNTAVKEMLQSITPAEEPQQVTLATESILQISKDTKKNGYTTEKPPTTETAEARSLPTSILECDVSLESVVKTESSQSDTSTEKMPQSTITEKVESILKPLQNDTAHQNISERELATGNILESAMAVEKPHPSTSITDKPLIEDVADEPVQTNEPSEQPLQNKDAVEKPYQSDEIVADMTGSEVSREKTLEPESDATPNKSNKDPSEHEGLRSGESSLDSKSNPNAEQPLVPQVVVAEKAAFQITEAENDAVAGHSSESQSMAPGEIPNEQPSEHQKDVAVEQPLEPQHEKDSGNPLEPESHITAESQKDANQSAEQSSEPQINESNASFNKPSESQTDATAMEPPEPQIHAAPDKATDQPLKSRDDASVERPPGTDNNANSDKPSESSSATDALSVDHPNNNITIDEPVLGGISDETSHQSSAPIETPSGSETVVEDSTHNKETSMKPSEESIAIEKPSEKEEMSVKPPEDKADAELSEDNASLEKQLEADEASAKPSEDIVSPDKPMEEGEASVEPSENNASPGKPSEENEESVKPQKDVVTPEKPLEEGGASTEPSEDNAALEEPKEEEKVIHKLSEDSVALEKPSEEGEANAEPSKDTAARGETNEEDEVIAKSSEASVALEKPLEEREATAEQPEENAAMGKPLEEDEANDEPSEDSEALGKLQEEVVDSVKPSEPEEDVAPEKSAADKPSEEVVNEKPSHDDAIVEPPSQDDTATATEKTAATTDTAETARESS >PAN25047 pep chromosome:PHallii_v3.1:4:46542048:46549507:-1 gene:PAHAL_4G270600 transcript:PAN25047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGTQDKCVVCNKTVYPLEKVALNGSSYHKSCFRCTHGGCMLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDNSGNAKVATEKPPETEATKNLDQGDGLTDKPSEAELTAENSPQNDVAAEKQLQSSTDAIKPSESITTMQTPAGSEGATESESKSNVVDKKASENSVEKPLQNSVNDVKPSGRGAAIRKPWQRSLQTDKLLPTSTTTEKPSLSGAAIENPSPNNGVDMKKSESSTVAKRSWQQSAATENPPQSILPLDKPSVTSADDAKASETSKVVKKPWQRTVAAEKQTQNSAPTEKPQNSVATEKSSLTTDMKPLDNNMEVKSPWGRRVFNHKPLKSNIDTVKPSASSVVDVKPAETSSVTPVPQQHTENIEKPSDTSANDAKSANDTKSTDDVKPSDTSTDDAKNANDIKRTDDVKPSENTATEVRKSWQRNVGAEKQPQSSAIDAKTTESSGAIKRLWQRNVATEKPSKSDMAVVTPSQSSLAVSKPFQSNTATKRPWQRSMAPEKQKDSDLTSNKPLQSKPLQSKTVVESPLESNTAVKEMLQSITPAEEPQQVTLATESILQISKDTKKNGYTTEKPPTTETAEARSLPTSILECDVSLESVVKTESSQSDTSTEKMPQSTITEKVESILKPLQNDTAHQNISERELATGNILESAMAVEKPHPSTSITDKPLIEDVADEPVQTNEPSEQPLQNKDAVEKPYQSDEIVADMTGSEVSREKTLEPESDATPNKSNKDPSEHEGLRSGESSLDSKSNPNAEQPLVPQVVVAEKAAFQITEAENDAVAGHSSESQSMAPGEIPNEQPSEHQKDVAVEQPLEPQHEKDSGNPLEPESHITAESQKDANQSAEQSSEPQINESNASFNKPSESQTDATAMEPPEPQIHAAPDKATDQPLKSRDDASVERPPGTDNNANSDKPSESSSATDALSVDHPNNNITIDEPVLGGISDETSHQSSAPIETPSGSETVVEDSTHNKETSMKPSEESIAIEKPSEKEEMSVKPPEDKADAELSEDNASLEKQLEADEASAKPSEDIVSPDKPMEEGEASVEPSENNASPGKPSEENEESVKPQKDVVTPEKPLEEGGASTEPSEDNAALEEPKEEEKVIHKLSEDSVALEKPSEEGEANAEPSKDTAARGETNEEDEVIAKSSEASVALEKPLEEREATAEQPEENAAMGKPLEEDEANDEPSEDSEALGKLQEEVVDSVKPSEPEEDVAPEKSAADKPSEEVVNEKPSHDDAIVEPPSQDDTATATEKTAATTDTAETARESS >PAN23436 pep chromosome:PHallii_v3.1:4:6350481:6355478:-1 gene:PAHAL_4G090800 transcript:PAN23436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYGGDGGGAWSTVASRSRRVAAPAPAPCVGGGGGDGRAPGAGELAEAVEGLEIGGGGGCGERRLDKYDIPVEVSGEGAPPPADGFEAAGLAEAVLRNVARCGYESPTPVQRYAMPIAMAGRDLMACAQTGSGKTAAFCLPVVSGLLAAAAEGGYGRRDKGSFERRAKPRALVLAPTRELAAQINEEAKKFSSQTGLRVVVAYGGTPMYDQLRDLERGVDLLVATPGRLVDMVERSRISLEAIKYLVMDEADRMLDMGFEPQIRKIVDMMNMPKKSVRQTMLFSATFPPEIQRLASDFLHNYIFITVGRVGSSTDLIEQKIEFVNDGEKRGFLLDLLHKQSVRGANGKLQQPLTLIFVETKREADTLRYWLYSKGFPATAIHGDRTQQERESALRSFKSGATPIMVATDVASRGLDVPNVAHVINYDLPKSVEDYVHRIGRTGRAGKAGNATAFFTETNHSLAKGLLELMTEAKRDVPKWLVEYAEKPCYGGPSYNGRGRRGGGGFGGRDYRRSSDYGYGGNDYNNGGGAYGGGGGYSGGGGGRGGYSGGGDGYSGGGGGGSSHGGGGGSGNSYRSSAPPPRYYPTYPMGTTDISASGWD >PAN23437 pep chromosome:PHallii_v3.1:4:6350055:6355478:-1 gene:PAHAL_4G090800 transcript:PAN23437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYGGDGGGAWSTVASRSRRVAAPAPAPCVGGGGGDGRAPGAGELAEAVEGLEIGGGGGCGERRLDKYDIPVEVSGEGAPPPADGFEAAGLAEAVLRNVARCGYESPTPVQRYAMPIAMAGRDLMACAQTGSGKTAAFCLPVVSGLLAAAAEGGYGRRDKGSFERRAKPRALVLAPTRELAAQINEEAKKFSSQTGLRVVVAYGGTPMYDQLRDLERGVDLLVATPGRLVDMVERSRISLEAIKYLVMDEADRMLDMGFEPQIRKIVDMMNMPKKSVRQTMLFSATFPPEIQRLASDFLHNYIFITVGRVGSSTDLIEQKIEFVNDGEKRGFLLDLLHKQSVRGANGKQPLTLIFVETKREADTLRYWLYSKGFPATAIHGDRTQQERESALRSFKSGATPIMVATDVASRGLDVPNVAHVINYDLPKSVEDYVHRIGRTGRAGKAGNATAFFTETNHSLAKGLLELMTEAKRDVPKWLVEYAEKPCYGGPSYNGRGRRGGGGFGGRDYRRSSDYGYGGNDYNNGGGAYGGGGGYSGGGGGRGGYSGGGDGYSGGGGGGSSHGGGGGSGNSYRSSAPPPRYYPTYPMGTTDISASGWD >PVH48115 pep chromosome:PHallii_v3.1:4:45161513:45161916:-1 gene:PAHAL_4G253300 transcript:PVH48115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCSRLWKFCKYIIKLSFSLKSFWDSSHTCTHTHYFSCSVGNLAMSNFLQYQLN >PAN22405 pep chromosome:PHallii_v3.1:4:1138199:1139144:-1 gene:PAHAL_4G017400 transcript:PAN22405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPPPAPAAAEEAQATQPDPLHPDPAAMRPPSPPRAPLAFPTLDSLAAFLRPRLPPQALSSWGAVPGTKTLLNLFLELSHGDCALHVPAAPPPRVVRAVHVATVRIRNRRGARLVEARQLLSDGTVRRRGPRPLSEKMRPGESPEAAAARAVREELGARARVRIGGAREARVEERESASYPGLPARYVLHAVDAEVVDGVPEDGAFETEETGEDDGGAGAGAITVKRHYWEWVDDDDGDDNEHKEVAAAAGAGAH >PAN24354 pep chromosome:PHallii_v3.1:4:26686296:26686709:-1 gene:PAHAL_4G179400 transcript:PAN24354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITVAILFYILAVAALSAAKAPAESPKASKAPTPAKTPEAAKKAALAKAPEAAPTPSSSRKSGPAAATPTTTSSSPSSTDGDASSPPPPSTPAASSAAKGPAEGPVDASQSSVATLRSGASIVGAVGVVATMIFY >PAN24639 pep chromosome:PHallii_v3.1:4:43588092:43591296:-1 gene:PAHAL_4G241300 transcript:PAN24639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSKLLALLRKSRALTTSATTAAVAATASATANGMEEAAAGPLRTRVCIIGSGPAAHTAAVYAARAELRPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGAELMDRCRAQSARFGTKILTETVTSVDFSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSKVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGAEGGPLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKTD >PVH47603 pep chromosome:PHallii_v3.1:4:7077282:7078611:1 gene:PAHAL_4G100200 transcript:PVH47603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRRQRLGSLRRHLPGRATPPPGGGLLPLAFPSGGSSPGCPPIRRPNPRTTGCPRRRTSLPGRRTLPPTFAPAPGWSPRGSPRSSTPRWSQPASKSNQPRSNKCKQEVASSFTSGLERTI >PAN23799 pep chromosome:PHallii_v3.1:4:9311945:9314809:1 gene:PAHAL_4G118800 transcript:PAN23799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKEKVVMEILQDGEKRSSENSVPPAVLDLNEGFSEGSHGGEIGEDADDDNEEEEDDDDEGGSTSEVAGAGRSSSNSSTTNHNSRSNNKDHDMNSSSKGEGDGERAPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERAAISSVFSPMDFHMRRGDHRLYDMFFQRAAGSSISSRLLHNGGLFGSRSAVSPEASRLYGLLQRRQPTMQTFDFKNYSSLRNQEWTFSQHAATAGTINDHAPAKGLIHDMIFRKDGKPTSHLFDVRDAIASNRTSSAAAGAADHGGRVGSSDWIGSSSRPLSRTMSATASTGFALGSLHLLPRGRASTGSNGYHPNGDANTTSSDPMVTREALGSRLEAHLEPKNPSKVIGEMCTGTAAKRTKASMEENGGTPDLQLSLSPNVGRDADKQAKKRKILSIALSEQEEVDSDKMLPLSLSLSLRGGDSGGEGSGGDAGRLEAATGSSSSSKKAALGLSTLDLTMSIKALE >PVH47626 pep chromosome:PHallii_v3.1:4:7472741:7473046:-1 gene:PAHAL_4G104200 transcript:PVH47626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIAGSRKMDFPVFGSNPNFPRLFFSLASAHALFDAVFLEDTNLQRIQYSNHFASAPKIHVNFDRIICFQSDLHQLGGFSFKVGRLPLQPCWADSYSRSR >PAN23395 pep chromosome:PHallii_v3.1:4:6144682:6146902:-1 gene:PAHAL_4G088400 transcript:PAN23395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSASSPLLNCARAPAAAAAEHHAVVSPSVAAAPRQGAVLCRAMSEGDLAAQLAPAVRGKKDEGGHGRAPRMLSLSASSSSSASISLEEEDGEGEEEEEPEVALAVAGAAGVPLPLRRLLTSTGLDVSAAAAREAALALAEQGVAGGGGGRGGKASGGQGGDAGGDGGGAAADAHYRQMLEADPGNPLLLVNYARFLKEVEGDAARAQEYCERAILASPGDAEALSLYAGLVWETSRDAGRAEDYYSRAVQAAPDDCYVLGSYAGFLWDAEEDDEETDDAEPFPPPSPFLGAAQHPSITAAS >PVH47481 pep chromosome:PHallii_v3.1:4:4893389:4896987:-1 gene:PAHAL_4G073200 transcript:PVH47481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIYLFLQFLIFYYKENVKKQKAHTQLFAFLKCGGALPTQMGVRYSHMFLILLLLHGASAALKDPIQKWRTLSGAPPLVIARGGYSGFLPEAILFCDLQLSSDNVGFCKTGLALDNSTLIAEVFPKNAKTYKVNGDDLHGWFSVDFTSNQLMDNVTLIQNVLSRPSIFDGTTGMSLVDDVVGLHPAQFWINVQVSMFSLLAIVLPLYLDYRIHTLWSVHKLNIEKYISSKAKEFGVNYVSSPEVGFLKSLGGKLRKSNVKFVLRFLDEKLIEPSTKQTYGAILKDLKSVKTFASGILVPKNYIWPVNKDQYLQPTTTLVKDAHALGLEVYAFKFANDSISSYNYSYDPSAEYLQFIDNSDFSVDGVLTDFLSTASAAIACLAHTKDNPLPPPRTVEDGTDIIDCSVQMSKDAVPFCMDSPDLTKGTTAATMFMTKVANVNEIQNGSGIFSFDLSWSEIQNLKPDLVGPFNQEGLTRNPAAKNSGKLMALADFLAFSKRSNVSGILVDISNAPHLATRGISIVDAVSSALVNASYDKDTRQQILIASDDYAVLVAFSKFPGFKRVLQIGHMISDASKQSVEEVAKFADAVSISCGLVVEAQGSFLVRFTDVIDKMHSANLSVYVRVLRDEFMNLAFDFCANPMAEIVLYSSLMAEGIMTEFPATAAEYFRSPCSDFSKNLTYTAMPPRPGSLLNVTDPHALPPAQGPAPVLEPADVVDPLLPPVTVGGHGAALSSNDSSTKSGATTAGTSSGLCLLVAGLAALLAVSSR >PAN25183 pep chromosome:PHallii_v3.1:4:47573286:47579983:-1 gene:PAHAL_4G282300 transcript:PAN25183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKSIVVTVNGEIYNHEELKAKLTTHQFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKTFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFVAFPPGHLYSSKTGALRRWYNPPWFSESIPSTPYNALLLREMFEKSVIKRLMTDVPFGVLLSGGLDSSLVASVASRHLEETKAASQWGNKLHTFCIGLKGSPDLKAAREVADYLNTVHHEFHFTVQEGIDALEEVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLDKSFIDVAMDIDPEWKMIRRDLGRIEKWVIRNAFDDEKRPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASQHVSDSMMMNASFVYPENTPTTKEGYYYRMIFEKFFPKPAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTLGEAPAAIDPVSDNSPRPAIGGNLVKPVASATAV >PVH47736 pep chromosome:PHallii_v3.1:4:12175108:12178053:-1 gene:PAHAL_4G135400 transcript:PVH47736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVVSAVHGAMGTLLAKLAALLTDKYKLAKEAKGQIMFLKAELESMYAFLKKMSSMKNPDKQDEWWAKEVRELSYDIEDSVNEFMLCVDRKSSSKPHGFKGFMERSMHLLTTINTRIKITKEFEDLKTRVMEVSQRRMRYKVDDVVSKPNNITIDRNLLAIHVETIGLVGIDGPRDELIHLMDEEGGVPAHELKVFSIVGFGGLGKTTLANEIYRKLKGQFHCQAFVSVSQKPNIRKILRTILSEVGFRAHEDTNIETWDKSEFISELKTFLLDKRYFIVIDDIWDASAWDIIRCAFPESRNGSRIITTTRIETVGRACCTNHSEYVYKMKQLSDHDSKSLFFKRIFGSEDACTPYLNEVSAKILKKCGGLLLAITTISSLLANEPNKWQYVLNSLGSSLEMCPCLEGMREILNLSYMHLPLEDLHAIFGYLSRGPHNPEEGFG >PVH47767 pep chromosome:PHallii_v3.1:4:15586303:15586431:1 gene:PAHAL_4G145600 transcript:PVH47767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSWSFQMISHTGTETWPKLPWGAAMGNLGQWAKARSSNIA >PVH47554 pep chromosome:PHallii_v3.1:4:5982449:5983620:1 gene:PAHAL_4G086400 transcript:PVH47554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDEYYDALILMRRVAAAGGNRLATVPPDSADPFARGPCSADDFNRNSATNQLNTRARMAAAQMGIATLSDISGIDTHAFDRTVLDAGDRRLYFHVAYSGKMPYKTKRFFFAELVGNRGPERVTIVIKLRGGRKHYYCC >PVH47833 pep chromosome:PHallii_v3.1:4:20477930:20483059:-1 gene:PAHAL_4G162600 transcript:PVH47833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os07g0446100)] MGLQQSKEELLYQQVNYGNADGIRALRAQGAGLEWIDKEGKTPLMVACMRPDLLNVAKVLIELGANVNAYRPGSFCGTPLHHAAKKGLEQTVHLLLTHGANPFIPNDDCNTALELAREKGHVNVVRAIEGRISLFCGWMRENYAPAFLDAIAPQFMTRKIWAVVIPCEVRAPTRLLKLELAIYPELQASKPRAVVKLWKAQIEEPKLNLADPSIIIFDKGTKTRYKILPASEGDKQQLQRFYNACCGMAQVFNTAPAPLANLPMPNLVPANSSVAPSEQSAPSKEDVELAMAINASIQSAIAEGVPDVQPNASTPSTNGWVIPPSNSHNGWGPPAAPAPSKLSSQSQAQVDGPSSSTYNGWDMPGTSSSQSSSRPSKTQTNPPLVIPQEALPALPTPTAPPFAEETFYSGPVQYPSVDATPVDVAMPATTEGGAAAARPGENEANASGSGNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVVRLYAV >PAN25604 pep chromosome:PHallii_v3.1:4:49717382:49726395:-1 gene:PAHAL_4G313300 transcript:PAN25604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMSAAASLLKEKHHGVLISAVQLCTELCKASTEALEYLRKNCLEGLVRILRDVSNSSYAPEYDVAGITDPFLHIRVLKLMRTLGQGDADCSEYINDILAQVSTKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKEDLTAKICSIVEKFPQDKLWYLDQMFRVLSLAGNYVKDDVWHALIVLMSNASELQGYSVRLLYKALLACGEQESLVRVAVWCIGEYGEMLVNNISMLGMEEPITVTESDAVDAVEVALKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASTQATVSSVKSAPAVTPGGQPKLPNGVAKPPPAPLADLLDLSSDDTPVTASAPTTAPNDFLQDLLGIGLTDSSPAGGAPSTSTDILMDLLSIGSTPVQNGPPTSNFVPPSIETKPVAVTPQVVDLLDGLSSSTSLPDETAAYPTVTAFQSATLRITFSFKKQAGKPQETTINATFTNLATTTFTDFVFQAAVPKFIQLRLDPASSSTLPASGNGSVTQSLSVTNNQHGQKPLAMRIRVSYKVNGEDRLEQGQISNFPAGL >PAN25603 pep chromosome:PHallii_v3.1:4:49716655:49726737:-1 gene:PAHAL_4G313300 transcript:PAN25603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMSAAASLLKEKHHGVLISAVQLCTELCKASTEALEYLRKNCLEGLVRILRDVSNSSYAPEYDVAGITDPFLHIRVLKLMRTLGQGDADCSEYINDILAQVSTKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKEDLTAKICSIVEKFPQDKLWYLDQMFRVLSLAGNYVKDDVWHALIVLMSNASELQGYSVRLLYKALLACGEQESLVRVAVWCIGEYGEMLVNNISMLGMEEPITVTESDAVDAVEVALKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASTQATVSSVKSAPAVTPGGQPKLPNGVAKPPPAPLADLLDLSSDDTPVTASAPTTAPNDFLQDLLGIGLTDSSPAGGAPSTSTDILMDLLSIGSTPVQNGPPTSNFVPPSIETKPVAVTPQVVDLLDGLSSSTSLPDETAAYPTVTAFQSATLRITFSFKKQAGKPQETTINATFTNLATTTFTDFVFQAAVPKFIQLRLDPASSSTLPASGNGSVTQSLSVTNNQHGQKPLAMRIRVSYKVNGEDRLEQGQISNFPAGL >PAN25372 pep chromosome:PHallii_v3.1:4:48527427:48536102:-1 gene:PAHAL_4G296300 transcript:PAN25372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSAGASTQKQQNYQRRRQQIREQRKSLPIASVEKRLVDEVRKNDTLIIVGETGSGKTTQLPQFLYDGGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRANASKNGKTLPSMGHSQNLTQKACQGTICAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLINERARLLPPESSKIWTTPIYSSLPSEQQMNAFKSAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQESEFDKLVDSTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVASEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKGFENSEGDHITLVNVYRAAAECLEKSKNANAKERTMEKALTRWCRENFINHRSLKHARDVHSQIQGHVQQMGLNLSSCGEDMPLFRRCLTAAFFLNAAMRQPDGSYRALATSQSVQVHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >PAN25371 pep chromosome:PHallii_v3.1:4:48526992:48536601:-1 gene:PAHAL_4G296300 transcript:PAN25371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSAGASTQKQQNYQRRRQQIREQRKSLPIASVEKRLVDEVRKNDTLIIVGETGSGKTTQLPQFLYDGGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRANASKNGKTLPSMGHSQNLTQKACQGTICAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLINERARLLPPESSKIWTTPIYSSLPSEQQMNAFKSAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQESEFDKLVDSTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVASEFKCLEEMLIVVSMLSVESIFFSPREKLEESC >PVH47237 pep chromosome:PHallii_v3.1:4:741382:743928:-1 gene:PAHAL_4G010900 transcript:PVH47237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSASRFFLSFRRPQQQPPPPSPNPAVDILKRLQRRAFYDIMQLRERQEKVERVLSLFKASKVGPFAEESTQVKGVINVAGSLSRDSSEAESGISSRFVFQTTVRKKDSLFAELVTDHRYMSQENDQIGSPLVLSKVMYLSNINGSLSVAAVPIGAKCDDFSDDPNLREFA >PVH47238 pep chromosome:PHallii_v3.1:4:742287:743152:-1 gene:PAHAL_4G010900 transcript:PVH47238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSASRFFLSFRRPQQQPPPPSPNPAVDILKRLQRRAFYDIMQLRERQEKVERVLSLFKASKVGPFAEESTQVKGVINVAGSLSRDSSEAESGISSRFVFQTTVRKKDSLFAELVTDHRYMSQENDQIGSPLVLSKVMYLSNINGSLSVAAVPIGAKCDDFSDDPNLREVISSDKQ >PAN26228 pep chromosome:PHallii_v3.1:4:49228716:49229174:-1 gene:PAHAL_4G304900 transcript:PAN26228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVAKCDTWCELQNPVNHRVFERKLRPRPSDTPNPSLGTDVVFGSPCLKTWWAEVGAAGIPCQALARGEETYKDSPSNGERTGRSPA >PAN23660 pep chromosome:PHallii_v3.1:4:7898046:7905115:-1 gene:PAHAL_4G108200 transcript:PAN23660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGGRRRDRMRWSKLYTFSCFRGHHGEAGADGPSSDGAGSLGGPGFSRVVHCNNPGLQKPLKYPTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMLKEGLEDWRRFIQDMKVNNRKVSVHKGDGEFVYRHWEELCVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEATLPLEEDESFKDLQAVIRCEDPNPSLYTFTGNFEYERQVYALDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSRIEKKMDLIIYILFTVLVLISLISSIGFAVRIKLDLPHWWYLQPQNSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEDTGNTAQARTSNLNEELGQVHTVLSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKQMASGADENDMPLQDIWEENNEDEIELVEGVNFSVGNNRKSSIKGFSFEDDRLMQGNWTKEPNSSTILLFFRILALCHTAIPEINEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSSQGTIEREFKILNLLEFNSKRKRMTVILKDEDDQILLFCKGADSIIFDRLAKNGRMYEVDTTRHLNDYGEAGLRTLALSYRVLEESEYSSWNAEFLKAKTTIGPDRELQLERVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGDQVAQDAKKAAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALAFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGVGQTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMANGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLRSRDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIKGKLHKKGQSAPSLTIHTVS >PVH48133 pep chromosome:PHallii_v3.1:4:45453506:45453739:-1 gene:PAHAL_4G256800 transcript:PVH48133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWADQGGLVIAGEGTGDDDDEGPARYGGYIELPSDAFKMDQIKAEMKDGVLKVTAPQDQGRGPQGRVPPGQGLVVL >PVH47676 pep chromosome:PHallii_v3.1:4:8554536:8555405:1 gene:PAHAL_4G113200 transcript:PVH47676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPVITELLRVQQVSKHVQARARTRRGTATPRLVSATRESRAVPPGVRSAGGRGSASFLRPSAPRRARGSGGTGRWATPRSRTAPHPPITAPNLLPSRLPVPTYRAGRPVSSPALPRQGRSAPPRACLHPPTAVDSGQPRLPPRALVGSARPPSLPASGFRPHQPGRAHQRMNHTPDATPNATAVSFFLPKKLVANPVNLPAANPRSFPPRVPPPRAREPHINAGKPVASPSPAPLTPLPETRSWSSHGTTTNCRTDVHARSHKQHHQQRRLCYQSCSIAPFERSTSAA >PVH47896 pep chromosome:PHallii_v3.1:4:27054767:27055585:-1 gene:PAHAL_4G180500 transcript:PVH47896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDEIRAGVNMKLELRRHTLESRGFRLSRTKTEYMMCNFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKMVPQRLKEMRMLRWFCEHTRRDRVRNKEIRDRVGVAPIEEKLIQHRLRWFGHVQRRSPEAPVRSRVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH47225 pep chromosome:PHallii_v3.1:4:482959:484499:-1 gene:PAHAL_4G007900 transcript:PVH47225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGAAVLTTTAAALVLLAVAMAMSTTAQAQLQCGSKAGGKLCPKCLCCSQWGYCGSTAEYCGEGCQSQCHGCDVASIITRSEFEDLLPNLDTTPSPCPARGFYTYDAFIAAANAFPGFGTTGDAETRKRELVAFLTSHLTTGGGAHTHGGPYAWGYCFKELAEYLRTGDDYCKPSNRWPCAAGKQYYGRGPMQLTWNYNYGQAGEDMGGLDLLNHPELVANDTLISFETAIWFWMTPQSPKPSCHDVMTGQWRPSAADVAAGPLPGYGVTTNIINGNQCGPGSSHYLDDNAVGFYKHFCDKLGMSYGENLDCRNQRPFDQDNKASSAFTSTDAALDHADA >PAN24975 pep chromosome:PHallii_v3.1:4:46207043:46210277:-1 gene:PAHAL_4G266200 transcript:PAN24975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPLPAAAAAAEEERRGAATGSCLPKLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGILRGAGLGAFAGAVLSIEVLEASRAYWSADRSSPQSTSSMGDFIEQLLHARFVQDQYEPSAYMAYRWQVGIADNDDLYDVLEEVLSEGLSQDTLKKLPHHVVTDQKQESIGENLACAICLQDIVAGETVRKLPKCSHTFHQPCVDRWFIDHGSCPVCRRDV >PAN22610 pep chromosome:PHallii_v3.1:4:1958764:1962118:-1 gene:PAHAL_4G031400 transcript:PAN22610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQATTASAAAATARLPRDALLRIAAPLREPLAAAPYEPPAGSSASVKSLLASLLPSASPAQPQPGAGKEAVDLLLFCAAVLASSPEHPALHWVPAGLAGAAATAVGEMAAAGGWGSVGEMVVAVMPEVVPPLKTMVKDSCVDADNDEIGAVKPPKEHAVVSAHQFRWLVSQVCYPKLGDLCWLVIPCALTTLDHWSPEVKEQGIVGFIHIAKNVKVTELSLYEDAILDACCHNIPADNELWYRVVEVSVLLLTCTQRSNPRSPWYDRMLSEMLGHLERQPLNKERRVAWLTLIGPIFDAMGLFLLAHFRRLFSLFFQWMHTDDDMTVLLVLEQMHAIIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVIRTHILEMLVLLQNCKGQQFEEAWKKHELDPDLTMLLSTFNQLCIKNSSPGC >PVH47297 pep chromosome:PHallii_v3.1:4:1957732:1962405:-1 gene:PAHAL_4G031400 transcript:PVH47297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQATTASAAAATARLPRDALLRIAAPLREPLAAAPYEPPAGSSASVKSLLASLLPSASPAQPQPGAGKEAVDLLLFCAAVLASSPEHPALHWVPAGLAGAAATAVGEMAAAGGWGSVGEMVVAVMPEVVPPLKTMVKDSCVDADNDEIGAVKPPKEHAVVSAHQFRWLVSQVCYPKLGDLCWLVIPCALTTLDHWSPEVKGIVGFIHIAKNVKVTELSLYEDAILDACCHNIPADNELWYRVVEVSVLLLTCTQRSNPRSPWYDRMLSEMLGHLERQPLNKERRVAWLTLIGPIFDAMGLFLLAHFRRLFSLFFQWMHTDDDMTVLLVLEQMHAIIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVIRTHILEMLVLLQNCKGQQFEEAWKKHELDPDLTMLLSTFNQLCIKNSSPGC >PAN22827 pep chromosome:PHallii_v3.1:4:3069149:3069496:1 gene:PAHAL_4G047400 transcript:PAN22827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVPQADEPEEVIGAGARAAPVGAGAALNHPQRRRFLRAGGILAAAGWLVLTYTTTGSDRDANAEHVLAGLALLLLGAFLIMLSPVASRFPGAARAGAAVADAVLSYLFAPAGN >PAN22415 pep chromosome:PHallii_v3.1:4:1181565:1184319:-1 gene:PAHAL_4G018400 transcript:PAN22415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREGAVAGPSTDGKSRPECINSSNPYHECSDYCLRKIAEARQRLDDELPDSRPPEQRTVHPDCINASNPYHECSEYCFKRIADAKSGLERGEQEPPAIGAGTSGAAEQQPDDDDAEKQEEAGTDDGYSQMTEKQKKLFELRLKMNEAKKANQQAMVAEKKRMEPRGESRGVSKQKWLEDRKKKIGKLLDSNGLDMSKAFMLDTQETAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYSKAKEADPEFYRDASSLQYGKVSKVPEENIDKMVKELKEREEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >PAN24290 pep chromosome:PHallii_v3.1:4:32267505:32275044:1 gene:PAHAL_4G195600 transcript:PAN24290 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MNTDPGEKRPFGDLDDDDDDVFASKKAKTKLEESAPGAATGMILSLRESLQDCKHSLASCQVELEAAKSEIEKWHSAFQNIPALPSGTNPDPVSVVSYLSNLKSSEESLKEQLEKAKKREAAYIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKLGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKVAVLKSQNNELRNQFDVLYKHMDGVTNDVERSNEMVSILQEELEAKDLEVARLKEMLSQKEATHDTAVEEERDEAANDMNTVSDPMEVKVES >PAN24869 pep chromosome:PHallii_v3.1:4:45537651:45538619:1 gene:PAHAL_4G258100 transcript:PAN24869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNLFDAMTLLTVALVLASLSRLSLAARRLPGQLAETAPLRDAMSYHGGAVLRGEIPVSIVWYGQFKPAQKAVVVDFLLSLTSVPVNATPSAAQWWGAIDRAYLSNATTGGGSNATATRVVLADQVADEQYSLGKSLTLVEVFQLAAALVPGDGALVLVLTDPGVVVEGFCSVRCGLHGSDDAGARYAYVWVGNAESQCPGQCAWPFAEPAYGPRGQPPLAPPNGDAGVDGMVVTLASMVAGAVTNPQGDGYYEGARDAALEACTACAGKFGSGAYPGYPGKVLVDETTGGSYNAVGANGRKYLLPAVFDPATSACSTLV >PAN23259 pep chromosome:PHallii_v3.1:4:5445675:5447541:1 gene:PAHAL_4G079800 transcript:PAN23259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGAGKTTTTKTVCVTGAGGFIASWLVQLLLSRGGYVVHGTVRDPSDPKNGHLMALDGAGERLRLFKADLLDYDSVAAAVAGCEGVFHVASPVPAVNPTNPDAEILAPAVTGTRNVLKASHAADARRVVVMSSVGAVIMNPKIPDCAVVDEDSWSDEDYCRATENWYCLSKTQAEREALAYGEKAGTTTMDVVTVCPPWVLGPLLQPTVNTTSMRLVAYLTGENTDEKMRNMVDVRDVVAALVLALETPEASGRRLICSAHAMKVSETVGLVRSLHPDLKLDYPRKFVQVEDEKRVSSKRLQALGWKFRAAEETLRETIDSYKAAGILN >PAN23991 pep chromosome:PHallii_v3.1:4:34760587:34767246:-1 gene:PAHAL_4G203100 transcript:PAN23991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MDGGGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIAASKACPDPTCIEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQESAPSSSTGGSSKNTKGGKKKK >PAN25879 pep chromosome:PHallii_v3.1:4:47569107:47573099:-1 gene:PAHAL_4G282200 transcript:PAN25879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRAAMASSAAPRARLSPPAPAVRPPRKRGRSPPAPGSTSSWRASTENAAPRDQRSRWQAPERALGRVWRQFRPPQPALPSSRRWLSSEDASTSTSGDTCTIMSYNILADYNARNHPDLYQDVPWDAMRWDSRRRLIIRDIRHWDPDLVCLQEVDRFQDIAAGMKSRGYEGIFQRRTGDTRDGCAMFWKSKRLHLLEEDSIDFSEFDLRNNVAQICVFELNGAHKLVLGNIHVLFNPKRGDVKLGQIRLLAEKANALAEKWDKIPIVLAGDFNSTPDSAIYKFLTTMKLNISLHDRRQLSGLDSSEFDLYELCSSLKYQWSDEEVRNATGYSNVMVAEHPLKLSSSYANLKGNSNNRGLHGEPLATSFHKKFLGTVDYLWYTHGLECSKVLDTFPIGVLRRTRCLPTREIGSDHLPIIAEFAFTESLEDDSEEEDDSEQDDEPVQEVCTGQHVYPSDGEAR >PAN23348 pep chromosome:PHallii_v3.1:4:5966673:5972125:1 gene:PAHAL_4G086100 transcript:PAN23348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYKRLDLELTNERGQTLKCSHYVPAVIPEDTALPCVIYCHGNSGCRADANEAAVILLPSNITLFALDFAGSGLSSGEYVSLGWHEKQDLKCAVSFLRNNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMMELVEVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQPHHTERIHQAYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLRPPQFPSTCSDKFDKYYNLGAFKGGPGTNESLLYEIINGLRAAGTDAGSSSAATTNFTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAECHLEDKANRQTEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGIPNHKHKSMTLRALATPLRRIRRKPLTIPKEKKNRSLWKRLKKERQEMGENLSQRLRLCLQGQARHKRTKSS >PVH47771 pep chromosome:PHallii_v3.1:4:16113000:16113326:1 gene:PAHAL_4G147100 transcript:PVH47771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYTGVSGMVVGMRGEDVLNFTLAYDLLLANTLFRKRESHLVTFHSGQYLSQIDFILARREDRRVCLDSKVIPGDCVVPQHKLVVAVFRFWVRAHRDKRAKIVRTKW >PAN25722 pep chromosome:PHallii_v3.1:4:50323273:50326164:-1 gene:PAHAL_4G321400 transcript:PAN25722 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGDWFKTIISKKKSKRAKSKHAKLDGQVQNGGNQTNQKSNGPSSSSDHEDNAALEEWAATRIQNAFRKYKARKTLRCLRGVKRLRIVGQANPVKKQTAATLSYIQSWNKLQSEIRNRRAFMVTEGRNRKKKQENQMKLEAKIHNLQVEWNGGSDTMDEILARIQQREEAAVKRERAMAYAFNHQWRARSVTSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKAQAKKENSNSNPSALKLQGSISLSNNINDRKVPKKKPTPSPADQKKSSPSPTDQKKPSPSPSSDQKKAAPKEQRAKAAGTPPKQKAKEMKGRQEKQQQQHVVPSVSA >PAN25721 pep chromosome:PHallii_v3.1:4:50322927:50327311:-1 gene:PAHAL_4G321400 transcript:PAN25721 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGDWFKTIISKKKSKRAKSKHAKLDGQVQNGGNQTNQKSNGPSSSSDHEDNAALEEWAATRIQNAFRKYKARKTLRCLRGVKRLRIVGQANPVKKQTAATLSYIQSWNKLQSEIRNRRAFMVTEGRNRKKKQENQMKLEAKIHNLQVEWNGGSDTMDEILARIQQREEAAVKRERAMAYAFNHQWRARSVTSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKAQAKKENSNSNPSALKLQGSISLSNNINDRKVPKKKPTPSPADQKKSSPSPTDQKKPSPSPSSDQKKAAPKEQRAKAAGTPPKQKAKEMKGRQEKQQQQHVVPSVSA >PVH47344 pep chromosome:PHallii_v3.1:4:2570811:2574853:1 gene:PAHAL_4G041800 transcript:PVH47344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTIKGIFRGLKIIAQIFTVQREHEIEIGYPTDVRYVSHIGFGASGSCPSWMSEFRVEEVAAAAGGGASVSSAAQSRQASWASLDFEPPAGGVLPPAEVSTTGSSDAATAGIPSGARKKPTARPKKARAPSPGSSARSSSWRSRGSFATACSDSGDLRPAGLRAA >PVH47346 pep chromosome:PHallii_v3.1:4:2571127:2574914:1 gene:PAHAL_4G041800 transcript:PVH47346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTIKGIFRGLKIIAQIFMQREHEIEIGYPTDVRYVSHIGFGASGSCPSWMSEFRVEEVAAAAGGGASVSSAAQSRQASWASLDFEPPAGGVLPPAEVSTTGSSDAATAGIPSGARKKPTARPKKARAPSPGSSARSSSWRSRGSFATACSDSGDLRPAGLRAA >PVH47347 pep chromosome:PHallii_v3.1:4:2571457:2572710:1 gene:PAHAL_4G041800 transcript:PVH47347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTIKGIFRGLKIIAQIFTVQREHEIEIGYPTDVRYVSHIGFGASGSCPSWMSEFRVEEVAAAAGGGASVSSAAQSRQASWASLGK >PVH47345 pep chromosome:PHallii_v3.1:4:2571125:2574925:1 gene:PAHAL_4G041800 transcript:PVH47345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTIKGIFRGLKIIAQIFTVQREHEIEIGYPTDVRYVSHIGFGASGSCPSWMSEFRVEEVAAAAGGGASVSSAAQSRQASWASLDFEPPAGGVLPPAEVSTTGSSDAATAGIPSGARKKPTARPKKARAPSPGSSARSSSWRSRGSFATACSDSGDLRPAGLRAA >PVH48493 pep chromosome:PHallii_v3.1:4:51559747:51560675:-1 gene:PAHAL_4G341300 transcript:PVH48493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PVH47430 pep chromosome:PHallii_v3.1:4:4047973:4048897:1 gene:PAHAL_4G061300 transcript:PVH47430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYEPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPLINIEAAPGDENIDEEVEEANIEAGGTATPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQSARYHSIEEVKEAVKCWMAVHGGCMPTRVNGKIIVNAPLSLSTLVICLGCRRAIAISRRNTSQMRCTGR >PAN25482 pep chromosome:PHallii_v3.1:4:49255946:49256653:1 gene:PAHAL_4G305300 transcript:PAN25482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIRRVLAWFFLVVVLVGSFAAPVRSSSRGGGRSVWGAGGGVATAGNDDGYAHGGGKRVWNRRSLGMRTTQPPAPVSNKMRAAAMPAPPSPTTTRV >PAN23396 pep chromosome:PHallii_v3.1:4:6157155:6165099:1 gene:PAHAL_4G088500 transcript:PAN23396 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MAGAAARKKRVGRYEVGRTIGQGTFAKVKFAVDAETGAAVAMKVLDKETILSHRMLHQIKREISIMKIIRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIAHHGKLRENEARKYFQQLIDAIDYCHRKGVYHRDLKPENLLLDARGNLKVSDFGLSTLSQNGVGLLHTTCGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAEYSCPYWFSPGATSLIRRILDPNPRTDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGTSYNRMSQFAIVLEIFEVAPSLYMVDVRKVAGDTLEYHRFYKNLCSKLDSIIWRPIEVSAKSTLLRTTTC >PAN23398 pep chromosome:PHallii_v3.1:4:6157155:6165098:1 gene:PAHAL_4G088500 transcript:PAN23398 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MAGAAARKKRVGRYEVGRTIGQGTFAKVKFAVDAETGAAVAMKVLDKETILSHRMLHQIKREISIMKIIRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIAHHGKLRENEARKYFQQLIDAIDYCHRKGVYHRDLKPENLLLDARGNLKVSDFGLSTLSQNGVGLLHTTCGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAEYSCPYWFSPGATSLIRRILDPNPRTRITIEEIRANPWFKKNYVAIRRSEDEIVSLDDVQAVFDNIEDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGTSYNRMSQFAIVLEIFEVAPSLYMVDVRKVAGDTLEYHRFYKNLCSKLDSIIWRPIEVSAKSTLLRTTTC >PAN23397 pep chromosome:PHallii_v3.1:4:6157154:6165098:1 gene:PAHAL_4G088500 transcript:PAN23397 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MAGAAARKKRVGRYEVGRTIGQGTFAKVKFAVDAETGAAVAMKVLDKETILSHRMLHQAHHGKLRENEARKYFQQLIDAIDYCHRKGVYHRDLKPENLLLDARGNLKVSDFGLSTLSQNGVGLLHTTCGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAEYSCPYWFSPGATSLIRRILDPNPRTRITIEEIRANPWFKKNYVAIRRSEDEIVSLDDVQAVFDNIEDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGTSYNRMSQFAIVLEIFEVAPSLYMVDVRKVAGDTLEYHRFYKNLCSKLDSIIWRPIEVSAKSTLLRTTTC >PVH47819 pep chromosome:PHallii_v3.1:4:20381030:20381848:-1 gene:PAHAL_4G161400 transcript:PVH47819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRNIQGDIPWCMLFANDVVLVDESRAGVNMKLELWRHTLESRVFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFQYLESMLQKDGDIDEDVRYRISAGWLKWRQASGILCDKKVPQKLKGKFYRTAIRPAMLYGAESEMRMLRWFCGHTRKDKVRNEEICDRVRVALIEEKVIQHRLRWFGHVQRRPPEAPVRSGVLKRDDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN25720 pep chromosome:PHallii_v3.1:4:50320970:50322918:1 gene:PAHAL_4G321300 transcript:PAN25720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAPPMELQRLRVLDTVTVGLTPPAAAEHAMAPLPLSGLDADRNMLDVTFRTLRFFPPPPPSVDPLAVLPRAFAAALGLFPALAGRIRDGYVVLGAGAAVPLVLAASELSVADVDTDAPASALLDGLAPGDGDGDVADGPALALQATRFACGGVALGMRVAHALCDGAGATKFLAAAARFASGQGPPDMAPVWERRELLGPRQRPRVATPVFDRVLALDDDVARCGPYGAAGEWHSQQQLTRECVHMSDARVEALRARLADEAGLRLTTFEVAAAFIWRAKVKANGTSSGEVVKMVYSMNISKLVDPPLPDGYWGNVCVPVYVALAAGDLIAQPLAATAALIKKSKQAVDDEYVRSYIDFQELHRGEGVTAGAVSAFTDWRRLGHGEVDFGWGGPEAVLPLSWRILGSTEPCFLLPYGAGDERRRRGFKVFVALQRAAVAGFREEMQELLLKQQQISVGRL >PVH48340 pep chromosome:PHallii_v3.1:4:49606849:49610615:1 gene:PAHAL_4G311300 transcript:PVH48340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSYGKACPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLSKAGEMPSLTPPDDETIRTLYIGGLDSRVTEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDESGRQGQVSHGGLLPRAVISQQQGSDQPQPPGMEGQHQPPAPASYYFNIPAPPAAERTVYPSMDPQRMGAIVKSQDGEGKPGPQQAGQAQPSSSSGQGGYPAPPPYYHGQYPPYYPPPPPYGSYMQPPRMHYPPQYPPYQPMLAPPAQAHASSSQQPAQAGQQPPHGPPAQAQQPAPGPSAQQQPSQN >PVH48363 pep chromosome:PHallii_v3.1:4:49931140:49932978:1 gene:PAHAL_4G315900 transcript:PVH48363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTFLDGTHVRLRTSNGSRYLPPRHEGRAGDRLAMEARFVKRGLGSTTHRARGHDLSSSPHRSADYGRYLALRRVNAAPAICQVCEAVLRPCDHRDRRDIQWEVILLHEASRRIHLRHI >PAN22392 pep chromosome:PHallii_v3.1:4:1074253:1076116:1 gene:PAHAL_4G016600 transcript:PAN22392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRCDVCGAEPAAVLCCADEAALCSACDTRVHRANKLADKHRRIPLIHPSGDDSAAAAPLCDVCKERRGLVFCVEDRAILCADCDDPIHSANDLTAKHSRFLLVGAKLSAALVDQAPPSPDDCRGSGSDELHDVAAVCAPQAQGSCTAKSLALDCSNSYGGGGGGSSISDYLTNICPGWRVDDLLFDDAAFSAASEDRCDEHEQVPSLDADLFDVIAGRQGKRGALYGGAMGLEKVPAAASIAVPTAAKQQGRVRERHWSCDSDSDVFAVPEISLQPPAKKARPPAPTFWCF >PAN24574 pep chromosome:PHallii_v3.1:4:42738658:42740330:1 gene:PAHAL_4G234600 transcript:PAN24574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLCIVLCSYYSIAYGGGGDQSFVTVPTSSFEPERVCSGERVMSEQNNSAVSLPLVHRHGPCAPSISPDKPSFADTLRISRARINHITSGVPRSMGSHGDEKASIPAHLGTSVKSLEYVVTVSFGTPAVPKVVVIDTGSDLSWLQCKPCRPGECSQQKDPLFDPSHSSTYGSVDCGSAPCKKLTADAYANGCANGKQCGFLISYADGTSTDGVYSKDKLTLAPGVVVNNFYFGCGHDKRAVQGLYDGLLGLGRLSESLASQYGGAVSYCLPAVSSKPGFLALGAGKSPSGFQFTPMGRVPGQPTFATVTLAGITVGGKKLNLRPSAFQGGMIVDSGTVITGLQSTAYQALRSAFRSAMAAYPMVPNDHLETCYNLAGHKNVVVPKIALTFSGGATINLDVPNGILVNGCLAFADSGLDGTTGVLGNVNQRTFEVLFDTSSSKVGFRANAC >PAN23118 pep chromosome:PHallii_v3.1:4:4603582:4604772:1 gene:PAHAL_4G068900 transcript:PAN23118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYAWLSRTGLAPSLTHEYGRLFSRNELEPGDAAHFDHDLLKSMGIAVAKHRLEILKLARKQAEGGEGGEGASAAAARLARRATRCLARCVRRLAGGGGRRRRGASSVTVVPRICSGDDAVRVGAVQRKSAANTKKSMVLMITDGAGGGDGLARGGGATARLSASSQKASLMFHDCYHNDDEDEEGEEDDEEDDGGAGDDDDIKWDSMFQDLKPT >PVH47700 pep chromosome:PHallii_v3.1:4:9825268:9825702:-1 gene:PAHAL_4G122800 transcript:PVH47700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFIGFRWGLSILVLVTVLFCLHWPFVADHIGDDGGGSLPVRQVQQPAPGSEPLQPRGVGLGASAIAALPAYSYEKKSGGDECAVCLGELQRGEVVKQLPACAHLFHDGCVDAWLRSHVTCPVCRSLVDAWAPVAAGIVLRTE >PVH48039 pep chromosome:PHallii_v3.1:4:41183400:41190901:1 gene:PAHAL_4G225300 transcript:PVH48039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKHNMDAIAPLVILLLVSGAAGASKHADRSAAAAVTSSSRTMAPMEYWRAVLPLTPIPRAIHDLLTHSTVHVSQDSKGVAQGKNTDAMENHQSQGEGFVKGPQKIGKGNEVEMKMQFSGAQFKEDENVLMLKGMNNHFNFYGTNAKEDLKKISTSYTSQIQKAPRKTTESYGYQGNKGGLRKGTLSYRSQGEDLRTISTSYGSQGEDLRTVSTSYGSQGEDLRTVSISYGSQDGDLRTVSASYGSQGDDLRTVSTSYGSQDKNLRTVSTSYGSQGEDLRTVSASYGSQGDDLGTVSTSYGSQDKDLRTVSTSYGSQGEDLRTVSTSYGSQGEDLRTVSASYGSQGDDLRTVSTSYGSQDKDLRTVSTSYGSQGEDLRTVSTSYRSQGEDLRTVSTSYGSQGEDLRAVSTSYGSQGGYLRTVSTSYGSEGGDLRTVSTSYGSQGEDLRTVSASYDFQGKDLRTINTSYGSQSEDPSSMITICDHKTGVSEKDEASKRDRHDPHVHNHNSGNKLADVFFFHDVLRPGSVITPTIPVTATLPSLLPRREADSLPFSSARLGDILAMFAPASLTMEDEIRTTLDSCEHPRPLPGEKAGCATSLESLARLPAALLGTRDVRAFSGDMPVDPAGTTARRGRYNVTAVRKLSESPVAATCHDLTYPYAVFYCHTTNQVAAYQVTLAAEDGRAPAMEALAVCHLDTSQWTPRQPFLVAHNLKPGDVAVCHFLSKLSIIWVPAGEQGGAREAW >PVH48038 pep chromosome:PHallii_v3.1:4:41183400:41190901:1 gene:PAHAL_4G225300 transcript:PVH48038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKHNMDAIAPLVILLLVSGAAGASKHADRSAAAAVTSSSRTMAPMEYWRAVLPLTPIPRAIHDLLTHSTVHVSQDSKGVAQGKNTDAMENHQSQGEGFVKGPQKIGKGNEVEMKMQFSGAQFKEDENVLMLKGMNNHFNFYGTNAKEDLKKISTSYTSQIQKAPRKTTESYGYQGNKGGLRKGTLSYRSQGEDLRTISTSYGSQGEDLRTVSTSYGSQGEDLRTVSISYGSQDGDLRTVSASYGSQGDDLRTVSTSYGSQDKNLRTVSTSYGSQGEDLRTVSASYGSQGDDLGTVSTSYGSQDKDLRTVSTSYGSQGEDLRTVSTSYGSQGEDLRTVSASYGSQGDDLRTVSTSYGSQDKDLRTVSTSYGSQGEDLRTVSTSYRSQGEDLRTVSTSYGSQGEDLRTVSASYDFQGKDLRTINTSYGSQSEDPSSMITICDHKTGVSEKDEASKRDRHDPHVHNHNSGNKLADVFFFHDVLRPGSVITPTIPVTATLPSLLPRREADSLPFSSARLGDILAMFAPASLTMEDEIRTTLDSCEHPRPLPGEKAGCATSLESLARLPAALLGTRDVRAFSGDMPVDPAGTTARRGRYNVTAVRKLSESPVAATCHDLTYPYAVFYCHTTNQVAAYQVTLAAEDGRAPAMEALAVCHLDTSQWTPRQPFLVAHNLKPGDVAVCHFLSKLSIIWVPAGEQGGAREAW >PAN26057 pep chromosome:PHallii_v3.1:4:51753552:51760776:-1 gene:PAHAL_4G344000 transcript:PAN26057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHGAGTLKSTSINGVKLYSVTGNRYVAPWVLAKKKRALRKDKEYQRRLDLIHDLRFETATTKIKLTPDDQYVIASGIYPPQVKVYELKELSMKFERHMISEIINFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINAVTRSKVHGLVACGGEDGAVECFDMRKRSSVGRINIPVVSSEDYDQEVTSLQFDEDQGYLMAVGSSTGKISIYDLRMSSPLRVKDHMYSSPILNIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGAINDVCIFRNSGLLLIALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKSDSTVYDEYKFLTKEEMERLNLTQYIGSNAVRVHLHGFIVRYELYKKQRREVDPVEHEALIEEMKKKKIDAQRKSRITQVVKIPKVNRQILDSIIEEETNADIENADKSSKKKKERRLKGYKDLLEDERFKEMFENKDFQIDEESKEYLALHPQAATKEPRLIEEHFESVSEDEKQSDASASDASGMSDSDNDMHNSKRIRLYEVKDERHAEAFLKSVSLSNEDAVPLEDRIAALEKKQNSKALDAVKYGPGGSREISFISRSGRRHKEESPSDDEGPKDFKRRGVQSLGLKQGKAEFYMFGGNRGGGRGGGGARGRGGRGRGGRGRGRGRGRG >PAN22465 pep chromosome:PHallii_v3.1:4:1358232:1358522:-1 gene:PAHAL_4G021400 transcript:PAN22465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATATTVLALALCGAVLLLLAARPLDAASCNPTALSPCGGALFGGAVTPGCCMRLKAQQPCLCQYARNPAYSSYVNGPAAQSVAKACGIPKMKC >PAN22624 pep chromosome:PHallii_v3.1:4:2008746:2012107:1 gene:PAHAL_4G032400 transcript:PAN22624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLLMKKVVRHSSFDLDIQLDKSWMEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANVKVSSLAVPPLDSIIHIVPSNANNHPSCPLCRGDVIGWIVIGEARMHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDDTGEDYEVFRRVRTNWWSCIFCKAFSRSSRSRRRARARERRGRRSGNQANLENFNLEVPAQSVELREIRFDEIDDEYIVTGAIPSIAAPGRMASFHYRDTRYGR >PAN22755 pep chromosome:PHallii_v3.1:4:2731880:2736171:1 gene:PAHAL_4G043500 transcript:PAN22755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPSLSCPGHLPPHADMSRRASAARDRCLELERAIAGRVRSGSLGLDDAVKMFDELLPHARPASVRAFNQLLTAVSRAQGRGSSSSELVPSLFNRMARACSDKVAPDLHTYSILIDRFCRIGRLELGFAAFGLILKTGWRVNGIVINPLLKGVCDRKCVSEAMDILLRRMPEFGCTPDVVSYSTVLKGLCNEKRAEEALELLHMMADDGGGSCPPNVVAYTTVINGLFRDGQVDKAYNLFREMDDRGISPTVVTYTTVINGLFRDGQVDKAYNLFREMDDRGISPTVVTYTTVIDGLSKAQAVDRAEGVLQQMIHKGVKPDNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGHKPDTVTCTLLLDHLCKSGRCTEARKIFDSMIEKGTKPDVTTYGVLLHGYATKGALSDMRGLLDLMVENGVSPNHHTFNIVLCAYAKGGMIDEAMHIFDQMRQQGLSPDVVNYGALIDALCKLGRVDEAMLKFNQMIHEGVTPGIVVFSSLVYGLCTVDNWEKAEELFSEMLNQGIHPNATFFTTIMRNLCNGGRVMEAQSLLDLMVHVGVRPNVISYNTLIDGYCLAGRMKEAMKLLDAMVRVGLKPDTVSFNTLLHGYCRAGRIEEAVKLLDAMVRVGLKPDTVSFSTLLHGYCRAGRIDDAVRLFREMLSNEVKPGIFTYNIVLHGLFQSGKFSEAKELYLNMIKSGMQLNIYTYKTILNGLCKNKCVDEAFKIFQSLCSKDFQLDIITFNIMIDALLKSGRKEDAMDMFTAISAHGLGPDVVTYRLMIENLIKEGLLEESDNLFSAMEKSGCTPDSCMLNALVRRLLRRGEIMRVGAYLSKIDEMNFSLEAATTSLLISVLSREEYQHHAKSLPEKYHFLKEINK >PVH48333 pep chromosome:PHallii_v3.1:4:49542999:49545234:-1 gene:PAHAL_4G310200 transcript:PVH48333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELRGFDLSGYLFEDSKAASSGGGSEQQHHHPAVVRFVSAAPVEQILAALEGAASASGLVVHELDDGSVSMEGMREGEHGALVVAAACATSSATSRWLQSDERCRSL >PAN22714 pep chromosome:PHallii_v3.1:4:2473786:2474604:1 gene:PAHAL_4G039900 transcript:PAN22714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSVTKKAASFVVAASMSVVEALKDQAGLCRWDYAIRSLYNRAAAAKVTGRAVPVSLSSSQTAGCSSAAAARAGARTRRMEEEKLSKAYHLVCWGPN >PVH47887 pep chromosome:PHallii_v3.1:4:25770172:25772293:-1 gene:PAHAL_4G177100 transcript:PVH47887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSDEAMGASRHNSRPKVHSTMCNELSLMLDKVSSILPSIEAAQPGCKAGVEELCNLYSIVDKGKLIIQNSIECSSLYLAITSEATAMRCERIRNSLRRSLFLIQNMVEPLLANEVADVHNDLRDLKFIVDPTEEDAGNAILEMLRQSEVTHQLELETFLLAASKLNITSPKAILIERRAIKKLLTKINGTDPKKEGILKYLLYLVRRYGKNTKPETGEKNNNVNAAAEIMSSDLVNGISTPQRCISNVESGNVRYDDQNNLVGAAHRLQSYAAQCL >PVH47886 pep chromosome:PHallii_v3.1:4:25769784:25773460:-1 gene:PAHAL_4G177100 transcript:PVH47886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSDEAMGASRHNSRPKAITSEATAMRCERIRNSLRRSLFLIQNMVEPLLANEVADVHNDLRDLKFIVDPTEEDAGNAILEMLRQSEVTHQLELETFLLAASKLNITSPKAILIERRAIKKLLTKINGTDPKKEGILKYLLYLVRRYGKNTKPETGEKNNNVNAAAEIMSSDLVNGISTPQRCISNVESGNVRYDDQNNLVGAAHRLQSYAAQCL >PAN22232 pep chromosome:PHallii_v3.1:4:256214:257848:-1 gene:PAHAL_4G005000 transcript:PAN22232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLHAAATEQPPPDQQPVVSVDSDMVVILASFLCALVCVLGLALISRCACRLRRGRHASSDDNEQQAPAPPKGLKKKAIDALPTVPFAAPPCSASASASECAICLAEFAEGDALRVLPRCAHAFHVACVDAWLRTRATCPSCRATIVSAQQEAPVVAPAAACGRCGQQVPRGRPAGGGDGHTFLP >PAN23905 pep chromosome:PHallii_v3.1:4:11610251:11611118:1 gene:PAHAL_4G132300 transcript:PAN23905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADHEAPEFSSGTSLLVWDCGSALYDSYELAAFTRQLDAAVLACGRSLSMPHLPSAAAPPPADAQLQGAGRRRRRRSLPALLRRLFSKVLRLRLPAPGAARGAPYRTGDDGAGSPWSGALTSIPEEQSSSSPEMGSSPVEPGTRALRKTQSERFIGGKTAASVVQFEVVL >PAN24169 pep chromosome:PHallii_v3.1:4:25126519:25127589:1 gene:PAHAL_4G175400 transcript:PAN24169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPRIRSPRCLCCFLHSTCQIPPPSSFLLSRSAMDGSFYSWIRHGQSSAPPSMVARTKDSTDATDAGTWLSTDMKEQLRWSKRQAKAISWYPFMLLSFSTFKAGTQMVHGVYACFRKKSGAPVRFGNLD >PVH47878 pep chromosome:PHallii_v3.1:4:25126519:25137757:1 gene:PAHAL_4G175400 transcript:PVH47878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPRIRSPRCLCCFLHSTCQIPPPSSFLLSRSAMDGSFYSWIRHGQSSAPPSMVARTKDSTDATDAGTWLSTDMKEQLRWSKRQAKAIS >PVH47877 pep chromosome:PHallii_v3.1:4:25126519:25127645:1 gene:PAHAL_4G175400 transcript:PVH47877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPRIRSPRCLCCFLHSTCQIPPPSSFLLSRSAMDGSFYSWIRHGQSSAPPSMVARTKDSTDATDAGTWLSTDMLRWSKRQAKAISWYPFMLLSFSTFKAGTQMVHGVYACFRKKSGAPVRFGNLD >PVH48246 pep chromosome:PHallii_v3.1:4:48062334:48070464:1 gene:PAHAL_4G289000 transcript:PVH48246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRTTAAATSRALVALLLVAVAVADDGSTLLEIKKSFRNVGNVLYDWSGDDYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGSLKSLVSIDLKSNGLSGQIPDEIGECSSLKMLDFSFNNLDGDIPFSISKLKLLENLILKNNQLIGAIPSTLSQLPNLKILDLAQNKLTGEIPRLIYWNEVLQYLGLRGNRLEGSLSPDMCQLTGLWYFDVKNNSLTGVIPDTIGNCTSFQVLDLSYNQFTGPIPFNIGFLQVATLSLQGNKFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNRLTGSIPPELGNMSTLHYLELNDNQLNGFIPPELGKLTGLFDLNLANNNLEGPIPDNLSSCVNLNSFNAYGNKLNGTIPRSLRKLESMTYLNLSSNFLTGPIPIELSRINNLDTLDLSCNMMTGPIPSAIGSLEHLLRLNLSKNALVGFIPAEFGNLRSVMEIDMSYNHLGGLIPQELGMLQNLMLLNLENNNITGDVSSLMNCFSLNILNVSFNNLAGVVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCRSNRQEKPPISKAAILGIAVGGLVILLMILVAVCRPDRPPAFKDVTVSKPDMRAVAVSNGPPKLVILHMNMALHAYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMESGSLWDVLHESSSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTASNEVMETVDVDIGDTCKDLGEVKKLFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDLPPKSSYQQPPGQLPQPPPSIPSYMNEYVSLRGTGALSCANSTSTSDAELFLKFGEAISQNTE >PAN25277 pep chromosome:PHallii_v3.1:4:48062334:48070464:1 gene:PAHAL_4G289000 transcript:PAN25277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRTTAAATSRALVALLLVAVAVADDGSTLLEIKKSFRNVGNVLYDWSGDDYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGSLKSLVSIDLKSNGLSGQIPDEIGECSSLKMLDFSFNNLDGDIPFSISKLKLLENLILKNNQLIGAIPSTLSQLPNLKILDLAQNKLTGEIPRLIYWNEVLQYLGLRGNRLEGSLSPDMCQLTGLWYFDVKNNSLTGVIPDTIGNCTSFQVLDLSYNQFTGPIPFNIGFLQVATLSLQGNKFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNRLTGSIPPELGNMSTLHYLELNDNQLNGFIPPELGKLTGLFDLNLANNNLEGPIPDNLSSCVNLNSFNAYGNKLNGTIPRSLRKLESMTYLNLSSNFLTGPIPIELSRINNLDTLDLSCNMMTGPIPSAIGSLEHLLRLNLSKNALVGFIPAEFGNLRSVMEIDMSYNHLGGLIPQELGMLQNLMLLNLENNNITGDVSSLMNCFSLNILNVSFNNLAGVVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCRSNRQEKPPISKAAILGIAVGGLVILLMILVAVCRPDRPPAFKDVTVSKPVSNGPPKLVILHMNMALHAYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMESGSLWDVLHESSSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTASNEVMETVDVDIGDTCKDLGEVKKLFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDLPPKSSYQQPPGQLPQPPPSIPSYMNEYVSLRGTGALSCANSTSTSDAELFLKFGEAISQNTE >PVH47405 pep chromosome:PHallii_v3.1:4:3605607:3607592:-1 gene:PAHAL_4G054700 transcript:PVH47405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIHPRLIRSKKETGFETSHVVMNHGPYASFTIGQASTPICEFSPQIPREKMFGFTTTVRGGGVQPTFKIFCKADENFCLTVRDGDAVLAPADFNDEHQHWYKDKRFGTFVKDEEGKHAFSLINKATNLAVQHFGGPFHPVKLVRFDLDSFDSTLMWTLSNNLGKDGFGFIRTLNDISLKLAAFHGDHGVTVKLSDSCTGDNHHWKILPWRDEAYMVGEHSMRLYCKADERFSVTVRNGTVCLAPTNPDDERQHWVEDTRYGDTIKDEDGYPAFALINRATGEAIKKTSEESPGREQEIVEKYRRQLQSARQQSAAGLAVVFLSIYTSSRPRLGKMPS >PAN22579 pep chromosome:PHallii_v3.1:4:1793283:1797031:1 gene:PAHAL_4G028700 transcript:PAN22579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYLFDDNFSVSRLDPDGKKFDRVTRIEAHNEQMYMQLDVATEVYPMRVGDKFNMVLAPTLNLDGTPDTGYYTQAGRKTLADKFDYVMHGKLYKISEDSSTSSSTKVEIYASFGGLLMLLRCDSSSAASFELDQRLFLLIRKV >PAN23124 pep chromosome:PHallii_v3.1:4:4638668:4645505:-1 gene:PAHAL_4G069400 transcript:PAN23124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIEVLEDTTTSSTSLVAAASTAPSPADGAGAEAAAPEDESLKNDVYTAAAYGDLEKLQRLVEGEGRPVGEPDGGGYHALQWAALNNRVAAAQYILEHGADINAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQVGKKDDLMVKDKTGLTPAQLAADKNHRQVAFFLDNARRVYDRGCGANTKFGKFSKLGLAPLLWCTIIVMLITYIHSVISGQYAMNMTAPFGMFAWSGVFLATAGLVMFYKCSRKDPGYININTRGSQNQRDDEPLLKMELENPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLTLEVFAMIITGSAAIMRIVGDPDSPSSFGDWIHYSAFQHPGVVSFLAMDFFLFFGVAFLTVVQASQIARNITTNEMANSMRYAYLRGPGGRFRNPYDHGIRRNCSDFLLNGYNEDIERLEQTLHTDEEMGMIQMTSAVSQNGDNPLHHGNGTDHSCTDSQANSKPHSQVGPSQCCDHSKRNDRTPLGLGLGLGRNSASRQYVRSLIPL >PVH47747 pep chromosome:PHallii_v3.1:4:13904267:13905511:-1 gene:PAHAL_4G140900 transcript:PVH47747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKIPTTSPKTLSRVSSCTQSCLWLGPPLEFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH47773 pep chromosome:PHallii_v3.1:4:16143786:16161727:1 gene:PAHAL_4G147300 transcript:PVH47773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKQYSELRVMAFRSCPFLEVRTVSFAGHLRSAAVGITCFAKQTVTATPLSSSLEEGKRNEPVVKMCGITSAKDAAMAAKAGPKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAEPVGVFVDDDEETILRASNSCDLELIQISSRELLPVLWENNRIIYVLNVDEDGKLINAAPSEEYVVDWFLVDSAKGGSGKGFNWEKFQMPSVKSKSGWLLAGGLHADNFCQAASALNPDGLDVSSGICYPDGLRKDPERIRSFMSRVKRLSPQ >PVH48337 pep chromosome:PHallii_v3.1:4:49601829:49606654:-1 gene:PAHAL_4G311200 transcript:PVH48337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRGRGRRQAIEKELKKLREEREELDGRIRLLESQLEVRPEGVDGAAAGEGVVDGSCDGSVACRRRRGNGFAPDGGLPADMIYRYSRHLLLPDFGVKGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAYVGQPKVKSAADACREINSSIKVVDHHHTLKPCNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLVSGAALGLEGQLTVYHHNGSPCYRCLFPSPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATAVGEPLCGRMLLFDALSARIRIVKLRGSLPDCTICGENSVFTEQDFQKFDYENFTQSPMSDKTAPSVNLLPENARITSRDYKRLVDNGAPHLLLDVRPTHHFQIASISPSMNIPLSMLEEKLPTLKTSLKETGAASASGKEPALVVLCRRGNDSQRAVQLLRENGFASAKDIIGGLQAWGQDVDPDFPVY >PVH47689 pep chromosome:PHallii_v3.1:4:9003363:9004160:-1 gene:PAHAL_4G116900 transcript:PVH47689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDRSDGDKRRGDGRVSEGKGEGETYDADVHFVRGRVGEEGLGDAEDGVLGRGREGRPPPAVPPGRGGGDAGHRHAGASQRWREAGGRARRRRSPELASACVAA >PAN24960 pep chromosome:PHallii_v3.1:4:46160158:46160808:-1 gene:PAHAL_4G265200 transcript:PAN24960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNATAGAVPVAAPLARLPRRRRARGVQSTLAKASMLANFLPAGTLLAFEVALQAASVDGSCSAVSVATIRAPPPALRRLLLPLPLRLQLPDGKVYYGFVTPRGEGPVAVQESGPGSASRCPGRTGTARLRRRRARGHVGACLRGRRARRLQGLRVPPPGTPKGDGRGDGELSAHGGGRVQRPLPGVPQHPLRHRLLGCLKTSRDHRPDQSMAV >PAN24961 pep chromosome:PHallii_v3.1:4:46159977:46162649:-1 gene:PAHAL_4G265200 transcript:PAN24961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNATAGAVPVAAPLARLPRRRRARGVQSTLAKASMLANFLPAGTLLAFEVALQAASVDGSCSAVSVATIRAPPPALRRLLLPLPLRLQLPDGKVYYGFVTPRGEGPVAVQESGPGSASRCPGRTGTARLRRRRARGHVGACLRGRRARRLQGLRVPPPGTPKGDGRGDGELSAHGGGRVQRPLPGVPQHPLRHRLLGCLKTSRDHRPDQSMAV >PAN24962 pep chromosome:PHallii_v3.1:4:46159977:46162650:-1 gene:PAHAL_4G265200 transcript:PAN24962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNATAGAVPVAAPLARLPRRRRARGVQSTLAKASMLANFLPAGTLLAFEVALQAASVDGSCSAVSVATIRAPPPALRRLLLPLPLRLQLPDGKVYYGFVTPRGEGPVAVQESGPGSASRCPGRTGTARLRRRRARGHVGACLRGRRARRLQGLRVPPPGTPKGDGRGDGELSAHGGGRVQRPLPGVPQHPLRHRLLGCLKTSRDHRPDQSMAV >PAN24367 pep chromosome:PHallii_v3.1:4:37688481:37690176:-1 gene:PAHAL_4G211000 transcript:PAN24367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYAYGVQPYIRRSYPRVSSPLSFAPDPIENFQSFAQRSIETPAHASAMSAAVAAPVLCEDDRGIPRSLPLLATLVEAEARRYAATAASRPAETSLVRAFRGGASPKVPIRAFLERIHLLTRSMPSTRGMVRIDGTSFVLAGIYLTRFVRSRVGGEAGILVEPATAHRLVAAAVFLGAKFGGHPPRRWTMVFEASSEGAIRAGEMPGLEDRFLRAIGFRLFVDSDGFDGFCKVLERGPRAPAPSGGGCACKKRQADAADGEEDERRRIRPRLPPPAVVSN >PAN24368 pep chromosome:PHallii_v3.1:4:37688367:37690176:-1 gene:PAHAL_4G211000 transcript:PAN24368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYAYGVQPYIRRSYPRVSSPLSFAPDPIENFQSFAQRSIETPAHASAMSAAVAAPVLCEDDRGIPRSLPLLATLVEAEARRYAATAASRPAETSLVRAFRGGASPKVPIRAFLERIHLLTRSMPSTRGMVRIDGTSFVLAGIYLTRFVRSRVGGEAGILVEPATAHRLVAAAVFLGAKFGGHPPRRWTMVFEASSEGAIRAGEMPGLEDRFLRAIGFRLFVDSDGFDGFCKVLERGPRAPAPSGGGCACKKRQADAADGEEDERRRIRPRLPPPAVVSN >PVH48485 pep chromosome:PHallii_v3.1:4:51452855:51453589:1 gene:PAHAL_4G339600 transcript:PVH48485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSPRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERSGRTWIARMRGLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIHGVEKLTQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN23415 pep chromosome:PHallii_v3.1:4:6227623:6231857:-1 gene:PAHAL_4G089200 transcript:PAN23415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLLHGTLDATILEADNLTNPTRATGGAPGIFRKFVEGFEDSLGLGQGATRLYATVDLGRARVGRTRVIAGDPVNPRWYEAFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPARDLLSGQEVDRWLDILDAARKPLRHGPKIRVRLRFQDVAADPRGWGRGVGSARYPGVPYTFFSQRPGCRVTLYQDAHVPDGFAPRIPLAGGRLYQPGRCWEDVFDAVSNARHLIYITGWSVYTEITLLRDGARPRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMKWGFMSTHDAETAEYFRGTDVRCVLCPRNPDAGSSAVMGAQIAYMITHHQKIVVVDHEMPGKHSDRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPNLAGASIDNGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGGIDLLVDLKAMADLIIPPSPVMFPEDQEAWNVQLFRSIDGGACFGFPNSPEIAAQSGLVTGKNNVLDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFGWKADGIKPEEIEALHLIPKELSLKIVSKIEAGEHFAVYVVLPMWPEGPPAGGSVQAILDWQRRTMEMMYYDISVALEAVHWMGQTMLGRRMHGAS >PAN23416 pep chromosome:PHallii_v3.1:4:6228080:6231355:-1 gene:PAHAL_4G089200 transcript:PAN23416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLLHGTLDATILEADNLTNPTRATGGAPGIFRKFVEGFEDSLGLGQGATRLYATVDLGRARVGRTRVIAGDPVNPRWYEAFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPARDLLSGQEVDRWLDILDAARKPLRHGPKIRVRLRFQDVAADPRGWGRGVGSARYPGVPYTFFSQRPGCRVTLYQDAHVPDGFAPRIPLAGGRLYQPGRCWEDVFDAVSNARHLIYITGWSVYTEITLLRDGARPRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMKWGFMSTHDAETAEYFRGTDVRCVLCPRNPDAGSSAVMGAQIAYMITHHQKIVVVDHEMPGKHSDRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPNLAGASIDNGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGGIDLLVDLKAMADLIIPPSPVMFPEDQEAWNVQLFRSIDGGACFGFPNSPEIAAQSGLVTGKNNVLDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFGWKADGIKPEEIEALHLIPKELSLKIVSKIEAGEHFAVYVVLPMWPEGPPAGGSVQAILDWQRRTMEMMYYDISVALEVKRIDANPRDYLTFFCLGNREVKLSDEYEPAGRPLDGTDYARAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAYQPCHLNTKGQVARGQVHGFRMSLWYEHLGMLHDDFLNPGSLKCVQRVNEMADKYWDLYASDNLDADLPGHLLRYPVNVTKEGTVTELPGAKYFPDTTATVLGMKSKNLPPILTT >PAN25081 pep chromosome:PHallii_v3.1:4:46747709:46751279:1 gene:PAHAL_4G273300 transcript:PAN25081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASATAAAAAGPSSSSEAAAAGPSSSAAPAAAARSSSGAEPPAAAAQPQPVAPQVQFLQATVLGGGGGAGSGGGSGVARACRHHAYSRKQKSLGLLCSNFVALYDQENVETIGLDDAAKRLGVERRRIYDIVNVLESVGILVRRAKNRYTWLGFGGVPAALKELKERALREMSGSLVLPPMEESSTANLSDDEDDEKLEDADEDDEKLSQSVDNTSDKPDAPGCRLRSDHRKEKSLGLLTQNFVKLFLTMEVETISLDEAARLLLGEGHAESNMRTKVRRLYDIANILSSLNLIEKTQQADTRKPAFRWLGQAKRKQENTKVALPPARPNKRAFGTDLTNFDNKRGRLDSTTENKAKLMQGAGNIVKTFERQLGQGNRSDFVYGPFHPAVVKKQESDDHTVRQKERKTIQDWENLAVSFCPQYQNQALNDLFGHYVEAWKSWYLDLTRETSS >PAN25113 pep chromosome:PHallii_v3.1:4:47154586:47156526:1 gene:PAHAL_4G276300 transcript:PAN25113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGGSSVRVGPVPFKDVEHDGDMVTAVPERPSEEYVALVSALPCCTIAGMSNTKLCCYQGFWLPEGLAPAAAALQRRFEPRPSDVIVASLPKGGTTWLVALAFATLARRAHPPGSGDHPLRRLNPHQCLPFLEGMFISGLEGMLDALPSPRLMNTHMPLAMIPGAVPADGAGGGCRVVYICREPKDMVVSLWHYFRRVYPDLEFSEVFDIACDGTMLWGPFWDHILGYWRASVARPENVLFLRYEELLRDPAENVRRLARFVGMPFSAAEEEDGVVRGIVELCSLDSLRGMEANKAGYVDTRTKFPREALFRKGVTDDWKSHMTPEMACRMDDIVADKLRGTGLAFP >PVH47870 pep chromosome:PHallii_v3.1:4:24647075:24647203:-1 gene:PAHAL_4G173800 transcript:PVH47870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSWSFWTISHTGTETRPGLPRRAAVGNLGQWAKARSSNIA >PAN23643 pep chromosome:PHallii_v3.1:4:7801938:7806428:-1 gene:PAHAL_4G107200 transcript:PAN23643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVELWDAHAVQLLTCCALVLALAHPVAPSSRGAMAPAPTPNAPASATPTVQSPAPGPGPAPHVWNVEYILWAPDCKQHGMIGINGAFPGPTITAYAGDLIKVVVNNKLHTEGVVIHWHGIKQIGTPWADGTASISQCPINPGETFNYEFKADKAGTYFYHGHFGMQRAAGLYGSLIVLDRDDPFEKDPMYAGDLNMLLSDWYHEAVYAQAAGLERKDDHFQWVGEPQTLLINGKGQFGCTLGDVGEFQKGIHRDATKCDRRDGERVEAACDAGCEGKGKGSEAEKEKAACEAEKAESCGVVRRSECGPFCRETQCGPVVFDVEPGRTYRLRIASTTSLSALNVQVQGHKLTVVEADGNLVEPFDVDDIEIYSGESYSVLLTTAAKQVSSPSYWISVGVIGRRPRTLPALAVLRYAGSKNELPAGVPPATPAWDDVGRSKEFAKKLKARAGPGYRAPPETTRVHRTIAMLNTQELVDGQIKWAINHVSLSLPATPYLGAYAYGIQGQVFDTTPAPATFHSSYDIEKPPQEQKPEAVQPVTVSDRVYTFAHGEVVDVVLQNADMRKHGVSESHPWHLHGHDFWVLGYGEGRCDPEQDLKNKEFINTVNPPLRNTAVLFPHGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGMEERRLRELKLKVPREAIMCGKTALAAMARPLAPAATSPSPSP >PAN25297 pep chromosome:PHallii_v3.1:4:48186744:48187864:1 gene:PAHAL_4G290900 transcript:PAN25297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGAKRRTTRARQAATRSGPTKGNVCWNVARSPAGEAPRAAPARRPKRSRGMVYGKKPPTPAAAAMTRTVAVAMGFLATKPNARRSAPRPPDPARRRHAVTRRAAPTDTVAVTTAKRSVPGAPPPARALRTKRASSVVPRTWAVTAAIARTQMRMSWRGLRPAVSSRRRSASGPPSAAAPRARTMVLGARPAMEIRITRSSSTYQVATPSRCPCVPSCCVLSSTLGLLLLLCWAASAFDTSFIDNQE >PAN23625 pep chromosome:PHallii_v3.1:4:7644368:7647764:1 gene:PAHAL_4G105800 transcript:PAN23625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKFSSISTRKLSKALSVLTRDKTMVATSDYGDFHKMVKRYVMTSMLGTSGQKQFRDTRNMMVDNMLNTFHTLLNDDPNAPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFGKDISKEEIYQATVVDMMMCAIEVDWRDFFPYLSWVPNRTFETRVLTTEGRRTAVMRALINQQKKRIARGAARISYLDFLLAENTLTDEQLLMLVWEAVIEAADTTLVTTEWAMYEVAKHPGKQDRLYQEIQEVCGNDIVTEDHLPRLPYLNAVFHETLRRHSPVSLVPPRFVHENTNLAGYDVPAGTEMVINLYGCNMNKSDWEEPEEWKPERFLDGRFESADMYKTMAFGAGRRACAGSMQAMYISCTAIARFVQEFAWRLKEGDEDKADTIQLTTNRLYPLYVYLTPRGRE >PAN23630 pep chromosome:PHallii_v3.1:4:7642631:7647785:1 gene:PAHAL_4G105800 transcript:PAN23630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDNMLNTFHTLLNDDPNAPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFGKDISKEEIYQATVVDMMMCAIEVDWRDFFPYLSWVPNRTFETRVLTTEGRRTAVMRALINQQKKRIARGAARISYLDFLLAENTLTDEQLLMLVWEAVIEAADTTLVTTEWAMYEVAKHPGKQDRLYQEIQEVCGNDIVTEDHLPRLPYLNAVFHETLRRHSPVSLVPPRFVHENTNLAGYDVPAGTEMVINLYGCNMNKSDWEEPEEWKPERFLDGRFESADMYKTMAFGAGRRACAGSMQAMYISCTAIARFVQEFAWRLKEGDEDKADTIQLTTNRLYPLYVYLTPRGRE >PAN23626 pep chromosome:PHallii_v3.1:4:7642820:7647764:1 gene:PAHAL_4G105800 transcript:PAN23626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKFSSISTRKLSKALSVLTRDKTMVATSDYGDFHKMVKRYVMTSMLGTSGQKQFRDTRNMMVDNMLNTFHTLLNDDPNAPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFGKDISKEEIYQATVVDMMMCAIEVDWRDFFPYLSWVPNRTFETRVLTTEGRRTAVMRALINQQKKRIARGAARISYLDFLLAENTLTDEQLLMLVWEAVIEAADTTLVTTEWAMYEVAKHPGKQDRLYQEIQEVCGNDIVTEDHLPRLPYLNAVFHETLRRHSPVSLVPPRFVHENTNLAGYDVPAGTEMVINLYGCNMNKSDWEEPEEWKPERFLDGRFESADMYKTMAFGAGRRACAGSMQAMYISCTAIARFVQEFAWRLKEGDEDKADTIQLTTNRLYPLYVYLTPRGRE >PAN23627 pep chromosome:PHallii_v3.1:4:7642631:7647785:1 gene:PAHAL_4G105800 transcript:PAN23627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKFSSISTRKLSKALSVLTRDKTMVATSDYGDFHKMVKRYVMTSMLGTSGQKQFRDTRNMMVDNMLNTFHTLLNDDPNAPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFGKDISKEEIYQATVVDMMMCAIEVDWRDFFPYLSWVPNRTFETRVLTTEGRRTAVMRALINQQKKRIARGAARISYLDFLLAENTLTDEQLLMLVWEAVIEAADTTLVTTEWAMYEVAKHPGKQDRLYQEIQEVCGNDIVTEDHLPRLPYLNAVFHETLRRHSPVSLVPPRFVHENTNLAGYDVPAGTEMVINLYGCNMNKSDWEEPEEWKPERFLDGRFESADMYKTMAFGAGRRACAGSMQAMYISCTAIARFVQEFAWRLKEGDEDKADTIQLTTNRLYPLYVYLTPRGRE >PAN23629 pep chromosome:PHallii_v3.1:4:7642631:7647786:1 gene:PAHAL_4G105800 transcript:PAN23629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVAVLPAGGAAAAAAAAVGGLLAAAALAGRAGAKNNSSNAPPAVPGLPIVGNLLQLKEKKPHQTFTKWAEIYGPIYTIKTGASSVVVLNSTQVAKEAMIAKFSSISTRKLSKALSVLTRDKTMVATSDYGDFHKMVKRYVMTSMLGTSGQKQFRDTRNMMVDNMLNTFHTLLNDDPNAPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFGKDISKEEIYQATVVDMMMCAIEVDWRDFFPYLSWVPNRTFETRVLTTEGRRTAVMRALINQQKKRIARGAARISYLDFLLAENTLTDEQLLMLVWEAVIEAADTTLVTTEWAMYEVAKHPGKQDRLYQEIQEVCGNDIVTEDHLPRLPYLNAVFHETLRRHSPVSLVPPRFVHENTNLAGYDVPAGTEMVINLYGCNMNKSDWEEPEEWKPERFLDGRFESADMYKTMAFGAGRRACAGSMQAMYISCTAIARFVQEFAWRLKEGDEDKADTIQLTTNRLYPLYVYLTPRGRE >PVH47895 pep chromosome:PHallii_v3.1:4:26740275:26740690:-1 gene:PAHAL_4G180000 transcript:PVH47895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKRSEKKRSENWISCKKWFLAQNQNLKNYTISTGKLKNYIISTGMDTTDTKIELKSGKKRDR >PVH47383 pep chromosome:PHallii_v3.1:4:3113146:3113439:1 gene:PAHAL_4G048200 transcript:PVH47383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGTGSLTARGKGKAPGPPPPPPSRDEANGGHRRGQRRSIWPRGRGVVVAPVAMIAAAAYLLFADALAVAPPSAEWLLAAYVLWIIGLNMLVWLMN >PAN24793 pep chromosome:PHallii_v3.1:4:45094226:45095954:1 gene:PAHAL_4G252500 transcript:PAN24793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRMAPASPPTPPPPAKDFRLDSAATSPYATAPSSPRGRLVRDEGAAGGPFLTAPPSPNPFDILPPATARLTGANPFDLFQHFTSAPASPRRAAAIYAHFAEGNGGRDGDGEEGSDGDEEFQPRGSYATGGSSVPFDWEERPGTPKRGIGGGGAASEAAWDTDFEFGTIADKAAPAETLTTADELFEEGKIRPLKPRFKTAEEPKVRPLKPPPGLLDGGSVASSPRSPMARGGMRSPRRRSRVGSGFDFDPFAAALLEATKAPSPLGGKEANGGVAPGSPPKKLAPRPASRSTGWRRWRLSDLLLFRSSSDGGRANKQDPIFKPAQQFDAPVKKAFAQPALTIKASGKGDDVDKAKKHGNRSAAAAAESVAGCARLSPLQRLAKGLGAYSWHYGRDMAAPATKG >PAN23009 pep chromosome:PHallii_v3.1:4:3926530:3928240:1 gene:PAHAL_4G059500 transcript:PAN23009 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein AFR [Source:Projected from Arabidopsis thaliana (AT2G24540) UniProtKB/Swiss-Prot;Acc:Q8LAW2] MSFSSMSKQQVLDAGEGAGEEVVELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTDAPAKPPPLAPAAAAAAAAVSVSFSLPFLFAFAFDPASRRLQCQALDPFSRRWLLLPPVPGGAAAGSFAVVGLPRRGEIYVIGGVEEGGDKAVRSVAVYSAARNGWEEAAAMRTPRGYMAAGEVGGRVVVAGEDGEAEVFDPEAGRWLPAAPRCGAAVARYDAAAAGGKLYVTEGWAWPFERAPRGAVYDAAADSWREMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYDEARDEWRMVAGGGVPPEVRRPHVVAGEVGEVAGGRRRIYVVGAGLDVAVGTVSAAAAAGAPPPHDVEEEVVEWEVVKGPAEFAGLAPCNAQVLYA >PAN23849 pep chromosome:PHallii_v3.1:4:10028981:10030678:1 gene:PAHAL_4G124200 transcript:PAN23849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNYTSATANTRPQHQSNLLYAVLEIKNVQSKLRTELRRPDSGELIGSSHRRQGVGRGLYRDGGRRGGLGGEVPLGEVAAAERAGPVRAQPGVDAVGVEEVAARGELPHHLAGAHVLQAHRAQQHGAAALRRGGRRLVRERRQQADGRVRQLARAAAVHHQLHRRRRSAAGLLPLRSRRRGTVPRGRGPAGEAEHDRGRGGDGAGPGDAARRGGQHDEEQQRDAGHHDAVAGTALVVAAVLGGARHHHPRVQRQHVVRSLTPSVLLAAGANDP >PVH48127 pep chromosome:PHallii_v3.1:4:45431639:45432291:1 gene:PAHAL_4G256400 transcript:PVH48127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIKEGEVTGDFFFSGSQTILAANKQPELLPCVEGQVIKVILVFNPLP >PVH47533 pep chromosome:PHallii_v3.1:4:5619529:5621733:1 gene:PAHAL_4G081800 transcript:PVH47533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQSVEEAWIFWEAYASRTGFEVRKRYTNKRKFDGKARSCRYVCAKEGHRKEDKRDHLTKCPRAETRCGCEVRMSLALDQELGYYKVTDLVLEHNHILHTPETFHLMVSQRKISKLQAFEIEAAHNSGISPKDAHELASIQVGGSFNLSYTCRDQRNYLRTKRQSEMAHGEAGSMLKYFEDKTKENPSFQYVLQKDCDGQIANIFWADAKMVIDYAHFGDVITFDTTFGTNKESRPFGVFVGFNHFREMVIFGASLMYDETFASFHWLFDTFLKAHNGKQPKTVFTDQDSAMGKAVEQVFTEAWHGLCTFHISQNALKHLHEKEILKDFSACMFEYADQTTFEDAFNTIRSKVEKQTWLDSIYKLKEKWAACFMKDIFTLGMRSTQLSESLNSDLKEYLKSNLDIIRFLKQFERVVQGKRNKELDSTFDSRKKFPRIKMRTSMLLEASKLYTPIIFEVFQDEYERSMRACSRLLDEPNKYRVTIENLDQKPTFEECEVIGNPLEQSVICTCSQFSRIGILCGHALKVLDSMNIKTLPTQYILKRWTREARHGTIQDNHGRNITENPMLDSMLRSRLLSHKFHSLTDQVAGSLDCCLLIDSTLDILIKQVEEKMHACRITLEDPCAGHITNTNVEVSNDLMGIRLKKKEVRTSTSRRKRTWLDKKRMPRKKNESNMLTALVSKNDSLTAQITSDSCSRYNNTSVEEYGVISSFTQLVTVILLYLNVQFDVSELF >PVH48450 pep chromosome:PHallii_v3.1:4:50959396:50960264:1 gene:PAHAL_4G331800 transcript:PVH48450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTVTRASLDQQLSLAKQCSREAAIAGAKAAAIATVASAIPTLASVRVLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARQHSYENAPEHLKNTSFEGTGRVHPAFFRPL >PAN24659 pep chromosome:PHallii_v3.1:4:51799752:51800746:1 gene:PAHAL_4G344300 transcript:PAN24659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAGAAVHVREEGGGSCCGMRTTAGKGAEEPYCVIYHCITFSQAKRKDKGMVLITATHFRLQL >PVH47714 pep chromosome:PHallii_v3.1:4:10591587:10595752:-1 gene:PAHAL_4G127100 transcript:PVH47714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRFQSIVNKVNANRSADALEYTKHEKALKLLYALDCSVWDLKVNMIIESASYETLTANELFSKLKAMEVDNQTRAKLNGAPPSKSITLVTGPGGTSSNANSTLGFSLASLPSVTDEQLETLGDDDLCLLISKFQRVYHNRQRKKNPGCYNCGDLNHFIADCPKKSGGGQNNHFDNYRHRHRDEGGSNKERRRHKHLVVTGEDASTRSRSRSASSTRPRSGRRPSWCSSATSTRALTPTALLHQPSMTMTRRRSRTRKPPASSVCAWRPVGAKVSAPWRAKSMVLVRLQVDMLHRRTPTLLLDPRAIQRLKAWRTGGSWTPVVRAT >PVH47861 pep chromosome:PHallii_v3.1:4:22964183:22964565:-1 gene:PAHAL_4G169400 transcript:PVH47861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPSLVPSAVTGIRLNLLRGRLFIEILVQPIIQKERKEISIPSFLTRLTT >PVH47709 pep chromosome:PHallii_v3.1:4:10290195:10292020:-1 gene:PAHAL_4G125500 transcript:PVH47709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCCAACVRQREERSVSTPHHVRVCRLPQFSLSLCASAHKGPTILSLCSGGGAAERHEAARRAELGRRSGAGRPGALCLGGGARGVAGGAELGGLAARPGTASSLRPPPTRRSGQEWCDATGHGELPSTLHRAAGGGTRPRPQHRSLPGAAAELVHDAFLGLGGVRHRRGLHGDGTGVRRSRPRSGRPPARVDGRGRSSTAARGEATLGAAIGVGRGGEAGSGNRRRCRRGEQPSRGHE >PAN24045 pep chromosome:PHallii_v3.1:4:13160737:13172128:-1 gene:PAHAL_4G139000 transcript:PAN24045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARHRKRRREELRRGKESGANCKVEAEECYTYEEGNEEPVAMIEESPPDIEQDGEDGQSSDSSWSMECTQILRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVASCMRHCSQLLRSLPMTTESALLYLDLPSSISMATAVQPLTDTAKEFLANKYKDLTKFQDEAMTIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >PAN23166 pep chromosome:PHallii_v3.1:4:4829100:4835370:1 gene:PAHAL_4G072500 transcript:PAN23166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKRSFHGAVDGIARAVAEDELQADRRPEEGTRMIFGLPVPGVPTRTAAAVTQQFFPATVAPAAPAPAPAPEQCHVAAASAADQWARSASRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVNADINFTLSDYTDEIKKMKNFSKEEFVQVLRRQGAGFVRGSSRFRGVTQHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAQAYDKAAIKCYGKEAVTNFEPQTYDEELQVQSWGGELDLELSLGCAGGDPSSTVAVDAFNTAPSRQRTMTLTLALPEEDEETGAGYPHGSIRTIPSPTPCTFRLLPLADDHAHHPRTGGRDDTGHMLQMGQVGSSGGAAAAHMRWPNGGNNWAPPYATARADADADAAAAASSGFPLGQLGHRCRQQPPAASRPGR >PAN25590 pep chromosome:PHallii_v3.1:4:49680319:49683889:-1 gene:PAHAL_4G312300 transcript:PAN25590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLVLVSVAQVILPAAAASSRSSGTGTDLDSLLAFRAQLSDPHGVLRGNWTPGTSFCRWLGVSCSQRRERVTALALPNTPLHGSVSPHVGNLSFLAVLNLTNANLTGSIPAELGRLRRLRVLALPRNSISGYIPSTIGNLTRLESLVLYNNRLSGLIPPELQDLQNIRLLDLQMNYLSGKIPEELFNNTPYLSHLNLGNNSLWGPVPAGIGNLPMLQLLVLQQNQLSGTVPPSIFNKSSLQVLSLWRNSNLTGTVPDNESFSLPMLQFLSLSGNNFMGRIPVGLSACQFIQVISLSENAFTDVVPTWLDKLSHLWYLALGGNNLVGSIPVQLTNITGLQKLDLSNCKLKGQIPPEFGKMKPLFYLHLSDNELTGPVPASIGNLSDLSFLVLDTNMLSGPIPATLGNLGSLVLLSFGWNLFEGGLDFLGTLSNCRQLSYLGMASNSHSGSIPDYIGNLSKNLVTFQASGNNLTGGLPATISNLTSLQFIDLAGNKLNRPIPESVVTMENLQVLLLTGNSISGPIPTQIGMLRSLQQLLLDNNEFSGTIPDGLGNLSMLQRISVSHNQLSSTIPQGLFNLDNLIELDMSNNHLVGTLKAELGSMNAINKIDLSSNQLLGDLPDSFGQLQMLTYLNLSHNSFQDSIPSSFGKLASMETLDLSYNDLSGNIPMYLASFTYLINLNLSFNKLQGRVPEGGIFSNISLESLLGNNALCGAPRLGFPPCTAISHSTSGQILKFVLPAALAAFGALAVCLYVTIRRKAKNPGATTGSGDVTDEISHRLVSYHEIVRATNNFSDDNFLGMGCFGKVFKGQLNNGLVVAIKVLNVRLEEATKSFDAECQVLSRVRHRNLIRIVNICSNLDFKALLLQYMPNGNLDTHLHSTDKPPLRFLKRLDIMLEVSMAVEYLHYQHHEVILHCDLKPSNVLFDDDMTAHVADFGIAKLLLGDSNSVVSASMPGTIGYMAPEYGFMGKASRKSDVFGFGIMLLEVFTGKKPTDPMFVGELSLRQWVQQAFPSGIGHIMDGNVPKEDEIVHGFHHTINSSEVSHSTLHSTLTSVFQLGLLCSSELPDERVTMTDVVAKLKEIKDDLKLESSSA >PAN24925 pep chromosome:PHallii_v3.1:4:45870222:45871529:1 gene:PAHAL_4G262800 transcript:PAN24925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRASVSEEEINELIARLQTLLPSARRRGGSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSLLR >PVH48376 pep chromosome:PHallii_v3.1:4:50039496:50041768:-1 gene:PAHAL_4G317400 transcript:PVH48376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAPAAEDARVAKRARLAPPAGDADLISGLDDDVLLRVLGLVGDARDAARTGALSRRWLGLWTRAPALRFSSQPGGFWRAAPASAASLERYAASVDAALARRARSGCAIERLSIAYAAGSEHYPVEQPSFADAAELWIPCPRDTVTERILEQLIPASVRAARGWIGYAFRHGVKSFDLDLQLPLVPPNFLWERDGVEEVELDDELASAVRLETMRLALGGAQLRLPAAMTFASLTNLSLERIRIAAGGAALLGHLVSSATCPRLQKLRVRWIYLPAFHEEMAIEADVLSELWMEDVRILMSLKLRTPRLRVLHIYKCYHVALRISAPRLEELAIIFRPACPPRWLEIDGDLPCVRSLKICLWSHLSRFSGYQEAENDKNMLLLRQCSSLTCLQVFLRGAKASKKDVDMIKSRVPHLPHITSLAVNVACSFKRHGYGASVASLLTRFSNLRRLSLHLPFFLELFYNLPAGLDLLCHHRYHWKSNEISMAHLQEVELTGLTGTDCEVWFMKTMVASAKGLFKVAISFNPYCWQHQGKMDAFERMLLDEGMWTSHRDTHMLTCLRESIPAYITCEM >PVH48375 pep chromosome:PHallii_v3.1:4:50039211:50041828:-1 gene:PAHAL_4G317400 transcript:PVH48375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAPAAEDARVAKRARLAPPAGDADLISGLDDDVLLRVLGLVGDARDAARTGALSRRWLGLWTRAPALRFSSQPGGFWRAAPASAASLERYAASVDAALARRARSGCAIERLSIAYAAGSEHYPVEQPSFADAAELWIPCPRDTVTERILEQLIPASVRAARGWIGYAFRHGVKSFDLDLQLPLVPPNFLWERDGVEEVELDDELASAVRLETMRLALGGAQLRLPAAMTFASLTNLSLERIRIAAGGAALLGHLVSSATCPRLQKLRVRWIYLPAFHEEMAIEADVLSELWMEDVRILMSLKLRTPRLRVLHIYKCYHVALRISAPRLEELAIIFRPACPPRWLEIDGDLPCVRSLKICLWSHLSRFSGYQEAENDKNMLLLRQCSSLTCLQVFLRGAKASKKDVDMIKSRVPHLPHITSLAVNVACSFKRHGYGASVASLLTRFSNLRRLSLHLPFFLELEVELTGLTGTDCEVWFMKTMVASAKGLFKVAISFNPYCWQHQGKMDAFERMLLDEGMWTSHRDTHMLTCLRESIPAYITCEM >PAN26248 pep chromosome:PHallii_v3.1:4:52670018:52674498:1 gene:PAHAL_4G357800 transcript:PAN26248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MPSASRRRPPPPHRRRAMLPTSSTLSVVSAPTPPKPLYLRRSLIAAAAASLAVLLLLAAAYAPWRSDLPPAALLGRPAAPTARFYSFDLVREYPHDPDAFTQGLLYGGNDTLFESTGLYHRSSVRMVELPTGKVLVHHEMDGDMFGEGLTLLGSRLFQVTWLKNNGFIYDRHNFTKRKSFTHKMRDGWGLATDGKVLFGSDGTSKLYQMDPKSFKVMKMVTVKYQDNEVPFINELEYIDGEVWANVWQTDCIARVSPEDGQVLSWIFLHELRRNLWNSGNTNIDVLNGIAWDEGNHRLFVTGKLWPKLYEIKLRPVDGPADGSVEKLCPKASFYR >PVH48561 pep chromosome:PHallii_v3.1:4:52670018:52674498:1 gene:PAHAL_4G357800 transcript:PVH48561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MPSASRRRPPPPHRRRAMLPTSSTLSVVSAPTPPKPLYLRRSLIAAAAASLAVLLLLAAAYAPWRSDLPPAALLGRPAAPTARFYSFDLVREYPHDPDAFTQGLLYGGNDTLFESTGLYHRSSVRMVELPTGKVLVHHEMDGDMFGEGLTLLGSRLFQVTWLKNNGFIYDRHNFTKRKSFTHKMRDGWGLATDGKVLFGSDVMKMVTVKYQDNEVPFINELEYIDGEVWANVWQTDCIARVSPEDGQVLSWIFLHELRRNLWNSGNTNIDVLNGIAWDEGNHRLFVTGKLWPKLYEIKLRPVDGPADGSVEKLCPKASFYR >PAN26249 pep chromosome:PHallii_v3.1:4:52670017:52674498:1 gene:PAHAL_4G357800 transcript:PAN26249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MPSASRRRPPPPHRRRAMLPTSSTLSVVSAPTPPKPLYLRRSLIAAAAASLAVLLLLAAAYAPWRSDLPPAALLGRPAAPTARFYSFDLVREYPHDPDAFTQGLLYGGNDTLFESTGLYHRSSVRMVELPTGKVLVHHEMDGDMFGEGLTLLGSRLFQVTWLKNNGFIYDRHNFTKRKSFTHKMRDGWGLATDGKVLFGSDGTSKLYQMDPKSFKVMKMVTVKYQDNEVPFINELEYIDGEVWANVWQTDCIARVSPEDGQVLSWIFLHELRISMS >PVH48560 pep chromosome:PHallii_v3.1:4:52670017:52674498:1 gene:PAHAL_4G357800 transcript:PVH48560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MPSASRRRPPPPHRRRAMLPTSSTLSVVSAPTPPKPLYLRRSLIAAAAASLAVLLLLAAAYAPWRSDLPPAALLGRPAAPTARFYSFDLVREYPHDPDAFTQGLLYGGNDTLFESTGLYHRSSVRMVELPTGKVLVHHEMDGDMFGEGLTLLGSRLFQVTWLKNNGFIYDRHNFTKRKSFTHKMRDGWGLATDGKVLFGSDGTSKLYQMDPKSFKVMKMVTVKYQDNEVPFINELEYIDGEVWANVWQTA >PVH48559 pep chromosome:PHallii_v3.1:4:52670017:52671426:1 gene:PAHAL_4G357800 transcript:PVH48559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MPSASRRRPPPPHRRRAMLPTSSTLSVVSAPTPPKPLYLRRSLIAAAAASLAVLLLLAAAYAPWRSDLPPAALLGRPAAPTARFYSFDLVREYPHDPDAFTQGLLYGGNDTLFESTGLYHRSSVRMVELPTGKVLVHHEMDGDMFGEGLTLLGSRCLNLKLTLYPITYLPDLFPFPLFHPVDYFKSPG >PAN24513 pep chromosome:PHallii_v3.1:4:41540548:41541673:1 gene:PAHAL_4G228300 transcript:PAN24513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNAAVQTQMANESTHDMKLLVPNGGATKQPPPLVDKTLCGACDIIKLLPTGTVTVFHALAPTFSNHGACGVASRYLTLALVGACAASCVLLSFTDSLVDRDGRLYYGVATPRGFYPFNFDGTGEERRRRFGDLPRMKVRPLDFMHAAVSAVLFVVVALGNAGIKSCLFPNIGPDVREVLMNLPVGLGLLASMVFMIFPTTRKSIGYTDLMPHKQEDDGKGSNNALSTAIV >PVH47490 pep chromosome:PHallii_v3.1:4:4991316:4992519:1 gene:PAHAL_4G074500 transcript:PVH47490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAINQIPLCLLCSSVLAHHQCEFTKRSHEPPSLLVGIISNQSHHPRYYKRARTSNSTIPMGQSYMT >PVH47875 pep chromosome:PHallii_v3.1:4:25113459:25113836:1 gene:PAHAL_4G175000 transcript:PVH47875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKSGEEIDDGPPPFRVQEGGSHWPKSMESNDEFNQFVMNELIDPSSSDDENDLFFGTMHMIIEDSVNHPGRIGSVEGHDVVDHERLSGIDFFTKTIFQRILHSEQILLDAGSHVCKKIYLFFM >PAN23576 pep chromosome:PHallii_v3.1:4:7350936:7354953:1 gene:PAHAL_4G102300 transcript:PAN23576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKTVKGSSCKKGKLFEGLISKHASEMDPCYKLFLENLREDGNSYVLDVPNGDHGMPVSLLYEEDDTSYGNTKANGPNFPNGSLYRSQGVPNGKQPEVKAVNASGSVDHSFSPKRSYVKQKKTPSADESYELFLSLVKFKDGFMVIEPEPGVTIVYEREEDMPAGYDELRTGSSTNEPEPLMSPLENMEEDYTMYGCEYGLTQANKIASEHEMDGPSSENIDDQDVICTDERGLVVYTEPLDSNACEDEQAAPLTISCSGSSTFDGKLNAVLSQPYDQKEYEELWRKATDRKPVSRQRHLRSASKRYVTGAIGLSYLDHYPDLAVQINSADCDERLILLRKFFFWLENLCHEGAYMPWISKPLACNPISPDEYEPTPTLETCEDDL >PAN23577 pep chromosome:PHallii_v3.1:4:7350936:7354953:1 gene:PAHAL_4G102300 transcript:PAN23577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKTVKGSSCKKGKLFEGLISKHASEMDPCYKLFLENLREDGNSYVLDVPNGDHGMPVSLLYEEDDTSYGNTKANGPNFPNGSLYRSQGVPNGKQPEVKAVNASGSVDHSFSPKRSYVKQKKTPSADESYELFLSLVKFKDGFMVIEPEPGVTIVYEREEDMPAGYDELRTGSSTNEPEPLMSPLENMEEDYTMYGCEYGLTQANKIASEHEMDGPSSENIDDQDVICTDERGLVVYTEPLDSNACEDEQAAPLTISCSGSSTFDGKLNAVLSQPYDQKEYEELWRKATDRKPVSRQRHLRSASKRYVTGAIGLSYLDHYPDLAVQINSADCDERLILLRKFFFWLENLCHEGAYMPWISKPLACNPISPDEYEPTPTLETCEDDL >PAN23578 pep chromosome:PHallii_v3.1:4:7350936:7354953:1 gene:PAHAL_4G102300 transcript:PAN23578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKTVKGSSCKKGKLFEGLISKHASEMDPCYKLFLENLREDGNSYVLDVPNGDHGMPVSLLYEEDDTSYGNTKANGPNFPNGSLYRSQGVPNGKQPEVKAVNASGSVDHSFSPKRSYVKQKKTPSADESYELFLSLVKFKDGFMVIEPEPGVTIVYEREEDMPAGYDELRTGSSTNEPEPLMSPLENMEEDYTMYGCEYGLTQANKIASEHEMDGPSSENIDDQDVICTDERGLVVYTEPLDSNACEDEQAAPLTISCSGSSTFDGKLNAVLSQPYDQKEYEELWRKATDRKPVSRQRHLRSASKRYVTGAIGLSYLDHYPDLAVQINSADCDERLILLRKFFFWLENLCHEGAYMPWISKPLACNPISPDEYEPTPTLETCEDDL >PAN22407 pep chromosome:PHallii_v3.1:4:1142395:1144246:-1 gene:PAHAL_4G017500 transcript:PAN22407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLGRRARLLAAALAVACVAAASAQAGTAGSGSGSGAGGAVPSCAAKLVPCAAYLNSTSAPPAACCAPLKEAAANETACMCAMLLNKAALQAFGVAPEQGLGLAKRCGVTTDASACAKSATTAATAGAGAATGSGAAASSASTGGSASTVTKPTANGGVVRHRLSLTDASALAGFSFIWWAIMA >PAN22406 pep chromosome:PHallii_v3.1:4:1142812:1144107:-1 gene:PAHAL_4G017500 transcript:PAN22406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLGRRARLLAAALAVACVAAASAQAGTAGSGSGSGAGGAVPSCAAKLVPCAAYLNSTSAPPAACCAPLKEAAANETACMCAMLLNKAALQAFGVAPEQGLGLAKRCGVTTDASACAKSATTAATAGAAGAATGSGAAASSASTGGSASTVTKPTANGGVVRHRLSLTDASALAGFSFIWWAIMA >PAN22514 pep chromosome:PHallii_v3.1:4:1529207:1530500:1 gene:PAHAL_4G024400 transcript:PAN22514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMGYFRSTKAATAATSPTAKKKARKDGRGAAAREDAGLRESLLEQPAAAAAEGGVPKGYFAVYAGEESRRFVVPTGYLREPAFRDLMERAADEFGFAQAAGLRVPCAEEDFEDLLRRLQRKNAAGGKGKKAIR >PAN22542 pep chromosome:PHallii_v3.1:4:1653627:1658448:-1 gene:PAHAL_4G026200 transcript:PAN22542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCIPAGLRLDLEMVKAAGAAAPPRPAHSAAASSTLSEASNASSSSATSSVASLSLKRPRTPRKRPNQTYNEAAALLASMYPSVFPAAKGAEAAPPRLLGLASALADDPDRSDLLPPFPVLDQAAFLLRDLPPPPQSPAVPMPMSPAPAKSCPSPAAVNSVFSEFRDPAPSPATPDAATADEPGELDFDDDDGFDADSILCGVDESAAEGIDGIMGKLSMENNAGAVSSVNSNLPRSKIHPYLRSLMVLGLSFRQHDQRNINQALKRHNVDPEWWMCPAIPVKDIARPPLPLVAKPMVSEKKKSKKKLLDTLYKDVAIEHCKKEEERVPDFTNGGTGVLALPETGLGLRLNTERVIKAWCGRGSVFADRNTSDLPLSSADVLVKHEDSDMFPQNGTSAVIREGNILKMQRKQKPCAPLPSNKNSRYYRPRVNGRFVSKAYLLQQQQAAEKES >PVH48004 pep chromosome:PHallii_v3.1:4:39009771:39013094:1 gene:PAHAL_4G215700 transcript:PVH48004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAATQHLDGRDGGGGQPSHSNGGGTWEIEELEPEETRQAGGGGVSSAAGGDAQGQGGGGAAASSGGSADDVYVAVGKGGSSMAALSWALRRLTRPRSFVYLVHVFPVVNSIPTPLGMMPKSRASPEQIETYLNQERSKRREMLQKFLDQCRKFQVTVDVYLIESDQIANAIIELVPVLHIKQLVLGISKSNEAQERKHNSRTGTEEHTCIL >PAN24406 pep chromosome:PHallii_v3.1:4:39009771:39013094:1 gene:PAHAL_4G215700 transcript:PAN24406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAATQHLDGRDGGGGQPSHSNGGGTWEIEELEPEETRQAGGGGVSSAAGGDAQGQGGGGAAASSGGSADDVYVAVGKGGSSMAALSWALRRLTRPRSFVYLVHVFPVVNSIPTPLGMMPKSRASPEQIETYLNQERSKRREMLQKFLDQCRKFQVTVDVYLIESDQIANAIIELVPVLHIKQLVLGISKSNVRKLRRGSTIAGQVQKSTPVYCEVKIICDGKEVTTETIADPTPPLSPSPVDNSSGSNNMTPPSSTPNHDKAAANGEGKDGECREQKKITKFLRCFSF >PVH48492 pep chromosome:PHallii_v3.1:4:51540292:51541496:-1 gene:PAHAL_4G340900 transcript:PVH48492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGQDEATPHCDVQVSNNDTTKSPSTGKQPKKAKQGQVSKSTTIWSSSYTPKEDEVICSAYLNVSKDPVVSVNQPSKTYWERICDYYNENRGMYGQRTISSLQHRWGEISKDTCKFTGFYAEIERKNQSGKNEDDKIKDALQLYNGVLGHSFKFIHCWFILRHQQKWHEFVAEKKQHNKTRPEPSAEPVSPMAPATDTPQINAQNLVRPMGRDSAKRLRSANSSASSTGCLEVLQKIHSDRAKYEEKQEKHIKDESKEMVERYERKLRLQEESINFQKDSMNFQKELLTKQVSIQEKMLALQEKERVDKVMMADLDKFPSWVRDYYIIEQKEIAARRLQAGQPSGEK >PAN26147 pep chromosome:PHallii_v3.1:4:52204852:52206299:1 gene:PAHAL_4G350600 transcript:PAN26147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSKISSTSVSTTTTPAVCGIQGWADLPEGLLQSIITLLGSFLDLLAFAGTCRSWRAAFSSYPSKSTFCALLPPLLIRPNICVPAPHLPSKGDDGRKLRTCQVTDLANPNTPVRCQIPQEAFQKLCFAGSSYGQLICGSRGNCLVVDVFTGAKVSPPQLPFSKDTYFNAGMLTAPVASPDSHLLVCVESNEDCTERSLLDWPVGSNSWSELQLHDSRIEQIVQFNGRFIAMDFRYRLYTLSLAPQLSLQEITTVWWDDMDECPFLRPWLVVCGDMLLIVDHYISLLFGAPVNYKAYRLDMSTEPATWVEAAKLENHALFIGGDVRSPAFSCTSPGRWSGRSNCLYYAHDTQPWSLHGLGDDADAVWDDSTDPDLVFKRNWYRQLQPFWVYPSMLYSDGQ >PVH47729 pep chromosome:PHallii_v3.1:4:11627510:11627662:-1 gene:PAHAL_4G132700 transcript:PVH47729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFFSCSFSQACWGFISIPWDFNSSPLDMIIFARQQFGKPIFRKVVMVA >PAN25886 pep chromosome:PHallii_v3.1:4:50976525:50977567:1 gene:PAHAL_4G331900 transcript:PAN25886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSLDQKLALAKRCSREATLAGAKAAAVATVASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFENAPDRAPQEHLLPGHRPSPPGLLQAMIGSQARKTRAALRSSLIYICIPCMLDAIITRPLQSRPAGSFCRVA >PAN25212 pep chromosome:PHallii_v3.1:4:47705806:47708163:-1 gene:PAHAL_4G284500 transcript:PAN25212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKVLNEVKPYLYMVLLQVGFSGMYIVSVASLRRGMSHFVLVVYRNLVATLLMAPFALLFERGVRPRMTPLIFLKVMGLVLLEPVLDQNMYYLGAKLTSAGFASALVNILPAVTFIMALLLRMEKLRLRSLHSQAKIFGTVCTVAGAVLMVLYHGPVVQFPWTRGHHHAAAAAAASQSSASWLNGVAMVIGSCVTWAGFFILQSNTLQSYPAEMSLAALICGLGTLMSGAVALVAERGDMSVWAIGFDTRLFTVVYSGIVCSGVAFYVQGIVTQVRGPVFVTAFQPLCMIITTFLGSTILKEETTMGSVIGAAIIVVGLYSLIWGKSKDHHGKPGAAAVAELPTTTPAPAADGKRALAADVETPPVAKCAY >PAN24771 pep chromosome:PHallii_v3.1:4:44969787:44973845:1 gene:PAHAL_4G250400 transcript:PAN24771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLAPAPALRGRTAAAARWCTCRRVAVAVCLGNLAAALLVARALYAPGTFASATKRGEAKYSREQVRWVEESIRIRRAAEPAELIEAVKKLRKAFAREEKRRKELPLELKQKVSREILQRLRDLGENRNTTEQREAMESWRVEKLKYIRSSSTQNLSNFGLSSDESRMLKRALDFNWRMLLEDIGLWIPTTVYHIEHDDKPENEQEEEEIVPGPPLPPECNAELHTDYGGTAVRWGLTHHKENAADCCQACIDQAKRAKPGALKCNIWVYCPSEFGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSEPYRDSHPTAPVVVPWMSGVFSA >PVH47282 pep chromosome:PHallii_v3.1:4:1689460:1696322:1 gene:PAHAL_4G027100 transcript:PVH47282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLDPSSDAPRARRPPPPPPDSPEDRSPQLPPPPPGGPPAASRKRSRSPPPPPSSLPPPPPPPLGSSRPQRYRDHRGGGRGGSSPSPPPYRGSRRHSPPRRSPSPPFKRSRRDEGYDRRGGRGSPPRYGYDDRRRGYDYERGGGRGGYDDDRNHGRYPNRAPDWHDSGYGAANDGPGITQREGLMTYKQFMQVLEDDISPSEAERRYQEYKTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVMAKDFFLDLQNGTLDLGPGITAGAASKPGSGGDGNSDDDMDSDKRRKHGKDSSKGADSLSGAPKAHPVSSESRRIQADIEHTLALVRKLDAEKGIEGNVLSSGDHDKPDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTILTYLWRIHGVDYYGTSESHEAKGLRHVRVDNKTSNTSDVNAADWEKKLDTFWQERLNGQDPLVTLTAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDIVLESTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPERGRRRPGMDSRLRFDRVNNRDNDKAEGGRYGRGDRSPSHDGPDDQMLDAFRGRGSNAPFVAEFPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGAPHGNTGMLGPMMGGPAPIITMPPNFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >PVH47281 pep chromosome:PHallii_v3.1:4:1689460:1696322:1 gene:PAHAL_4G027100 transcript:PVH47281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLDPSSDAPRARRPPPPPPDSPEDRSPQLPPPPPGGPPAASRKRSRSPPPPPSSLPPPPPPPLGSSRPQRYRDHRGGGRGGSSPSPPPYRGSRRHSPPRRSPSPPFKRSRRDEGYDRRGGRGSPPRYGRGYDYERGGGRGGYDDDRNHGRYPNRAPDWHDSGYGAANDGPGITQREGLMTYKQFMQVLEDDISPSEAERRYQEYKTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVMAKDFFLDLQNGTLDLGPGITAGAASKPGSGGDGNSDDDMDSDKRRKHGKDSSKGADSLSGAPKAHPVSSESRRIQADIEHTLALVRKLDAEKGIEGNVLSSGDHDKPDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTILTYLWRIHGVDYYGTSESHEAKGLRHVRVDNKTSNTSDVNAADWEKKLDTFWQERLNGQDPLVTLTAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDIVLESTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPERGRRRPGMDSRLRFDRVNNRDNDKAEGGRYGRGDRSPSHDGPDDQMLDAFRGRGSNAPFVAEFPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGAPHGNTGMLGPMMGGPAPIITMPPNFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >PVH47280 pep chromosome:PHallii_v3.1:4:1688896:1696322:1 gene:PAHAL_4G027100 transcript:PVH47280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLDPSSDAPRARRPPPPPPDSPEDRSPQLPPPPPGGPPAASRKRSRSPPPPPSSLPPPPPPPLGSSRPQRYRDHRGGGRGGSSPSPPPYRGSRRHSPPRRSPSPPFKRSRRDEGYDRRGGRGSPPRYGYDDRRRGYDYERGGGRGGYDDDRNHGRYPNRAPDWHDSGYGAANDGPGITQREGLMTYKQFMQVLEDDISPSEAERRYQEYKTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVMAKDFFLDLQNGTLDLGPGITAGAASKPGSGGDGNSDDDMDSDKRRKHGKDSSKGADSLSGAPKAHPVSSESRRIQADIEHTLALVRKLDAEKGIEGNVLSSGDHDKPDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTILTYLWRIHGVDYYGTSESHEAKGLRHVRVDNKTSNTSDVNAADWEKKLDTFWQERLNGQDPLVTLTAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDIVLESTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPERGRRRPGMDSRLRFDRVNNRDNDKAEGGRYGRGDRSPSHDGPDDQMLDAFRGRGSNAPFVAEFPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGAPHGNTGMLGPMMGGPAPIITMPPNFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >PAN23234 pep chromosome:PHallii_v3.1:4:5308162:5309229:-1 gene:PAHAL_4G077800 transcript:PAN23234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAALATLSATAAAAGKRLLLPRPSSASSSLSFASRRLGAAGPLRARGLPGSPVRAAASSASAPPAAAAAKTIAVGDRLPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHLPGFVAKAGELRAKGVDTVACVSVNDAFVMRAWKESLGVGDEVLLLSDGNGELARAMGVELDLSDKPVGLGVRSRRYALLAEDGVVKVLNLEEGGAFTNSSAEDMLKAL >PAN22266 pep chromosome:PHallii_v3.1:4:448245:452030:1 gene:PAHAL_4G007600 transcript:PAN22266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAEQSFSLLSSNALPLWATIIAGVFVIISLSLSIYLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGGSGSDAPLLGQASEQRYVHHPFPMNYMLKPWPLGEWFYLVIKFGLVQYMIIKSICAILAVILESFGVYCEGEFKLNCGYSYTAVVLNFSQSWALYCLVQFYAVIKDELAHIKPLAKFLTFKSIVFLTWWQGIAIALLFNWGLLRGPIAQELQFKSSIQDFIICIEMGVASVVHLYVFPAKPYELMDDRFVGDVSVLGDYASVDCPLDPDEVKDSERPTKLRLPQPDDRVRCSTAIKESVRDVVLGDCE >PAN22267 pep chromosome:PHallii_v3.1:4:448245:452030:1 gene:PAHAL_4G007600 transcript:PAN22267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAEQSFSLLSSNALPLWATIIAGVFVIISLSLSIYLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGGSGSDAPLLGQASEQRYVHHPFPMNYMLKPWPLGEWFYLVIKFGLVQYMIIKSICAILAVILESFGVYCEGEFKLNCGYSYTAVVLNFSQSWALYCLVQFYAVIKDELAHIKPLAKFLTFKSIVFLTWWQGIAIALLFNWGLLRGPIAQELQFKSSIQDFIICIEMGVASVVHLYVFPAKPYELMDDRFVGDVSVLGDYASVDCPLDPDEVKDSERPTKLRLPQPDDRVRCSTAIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHMISQNIKKHEKGKKKTNDDSCINSPTKLNRVISGIDDPLLNGSLSDNSGPKKARRHRRKSGYMSAESGGESSDHGLGGYEIRGHRWITRE >PAN25394 pep chromosome:PHallii_v3.1:4:48691347:48696563:-1 gene:PAHAL_4G298400 transcript:PAN25394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGSRKVDDEMALTTQRTVRFRDERAKPAIPIHQKQQGLAASRFGLGSSWKNKIFVSGDDLWYNKIIDPSSDFILTWAYVFRVSCFIALFMDPLYFYVPKIFYAPTTCAGKDRRLTIIATVFRSIADIFYVLQMIIKFRTAYVNPSSKLGVFGRGDLITDPKEIAKQYLRSDFIVDLVASLPFPQIIIWSVIPAIKYSSSEHSNDILLLVVLVQYILRLFLMVSLNNKIVKTTGVFAKTAWQGAAYNLLLYMIASHVVGALWYLLAFDRQVACWKKYCNNEPNCETRYMDCGVQQDLNWNGTLVFSICDANDTDNPNYDYGMFESLLYNRTPNQSFLKKYFYCLWWGLQNLSCYGQSLLVSTYLGETLYAIFVAVVGLVLFAHLIGNVQTYLQSITARVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIHYKWLATRGVDEESILKALPADLRRDIKCHLSLYLVRRVPLFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKPGDFCGEELLGWALVPKPTVSLPSSTRTVKAILEVEAFALRAEDLRFVASQFRRLHSRKLQHTFRYYSHHWKTWAACFIQHAWRRHKRRKMAKDLRMRESFSSMTSYEDDASLEQNLALRRGVSIIKELPKFRKPSEPDFSAEHDE >PAN22165 pep chromosome:PHallii_v3.1:4:107283:111836:1 gene:PAHAL_4G001900 transcript:PAN22165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLGILLLAAFAVFFSLHHEGDFSFRESWYHLADEDFPIKYEADRLPPPLVADLNGDGKPEVLLPTHDAKIQVLQPPHARHLHDDAGFHEARVMADISLLPDNVRVASGRRPIAMAVGSVDRSYRAGDVRKQVLVVVTSGWSVMCFDHNLKKLWEQNLQDDFPHGAHHREVAISITNYTLKHGDAGLIIVGGRMEMQHHSADLFDEFMMPEDHRRSANEKQGSETGTADLRHFALYAFAGRTGERRWSRKNENIQTQPSDASVMIPQHNYKLDVHALNSRQPGQFECREFRESILGVMPHHWDRREDTTLQLAHFRKHKRKQVKKTQGKAVINSVNKPIEHNPPGKDASNRVARALGKAADMASSNKARKTQRAMYIPTITNHTQVWWVPNVIVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGGNGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNLFHHGDFSRSFGRKFDATGLEVATPILVQTDDGHKHRRGSHGDIVFLTSQGEVTSYSPGLLGHDAIWRWQVSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKEVIIAGGDQEAVVLSPSGAILAMIELPAPPTHALILEDFSGDGLTDMVVVTSGGVYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSSGSGKPRASSAEYR >PVH47762 pep chromosome:PHallii_v3.1:4:15552906:15553166:-1 gene:PAHAL_4G145000 transcript:PVH47762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGKTLLSLVSLQVLLEVNKGNAYSTTALSDNQPDNFLTLSNLSTKTTPDLLQFSKVSLGRIHGFRFFQVKSAQLRELRLPFPMK >PVH47663 pep chromosome:PHallii_v3.1:4:8175702:8176367:1 gene:PAHAL_4G110600 transcript:PVH47663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTREASGYPSILDFTMFIPATPPKLFARFGIWVREACGPQGPQFQHSSPLPHCYSKARTAGPKARTQGTGLQVMLNHR >PAN25321 pep chromosome:PHallii_v3.1:4:48268423:48276191:1 gene:PAHAL_4G292500 transcript:PAN25321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MAVASPPFPFPSSSSSSRHLRRAATATASAASPSSDDFDYPLADSTVRWPHLRFPHLPAPRFPATVTAAPPPPARPPQGEDGDPEEAPASATALVEPLDARAHRGRVKRLSKLALRRARDWRARVAGLADAVLALPPGAPVDDVLDGARAAPDEAALVVRTVGESSWRRALDVFEWLARSGAPAPRAVAVVIGVLGRARQDAIAEELFLRFAGEGATVQVFNAMMGVYARSGRFDDARQLLDTMHDRGIEPDLVSFNTLINARAKSGCLASGVALDLLFEVRQAGLRPDVITYNTLISACSQSSNLEDAVTVFEEMMASECRPDLWTYNAMVSVHGRCGKAEEAERLFRELVEKGFMPDAVTYNSLLYAFAKDGDVDKVERTCEDLVKAGFKKNEITYNTMIHMYGKMGRLDLAVGLYEEMRSMGCIPDAVTYTVLIDSLGKMDRIAEAGKMLEEMAAAGLKPTLVTFSALICAYAKGGRRAEAEKTFDCMVASGVKPDRLAYLVMLDVFARSGETKKLLDLYRTMMKDSHRPDDGLYQVLLAALAKEDKCQEIEEVIQDMELLCQMNPGIISTILIKARCISQGAELLKKSCLQGYEPDIKSLRSIIDAYVRTEKHEEGLSLLECIQEHVSSSHDLMSEFSIMLLCRKQTSIAAYEEYNRMQMSKYESFGRNCNLYEYLITCLEEVELFSEACQVFCDMQFMGINASKNVYESMISTYCKLGFPETAHRLMDDALQSGIPLNVLCSRVLIIEAYGKIKLWQQAEILVKGLRQASGIDRRIWNALIHAYAESGLYEQARAVFDNMIKTGPLPTVDSINGMMRALIVDGRLDELYVVVQELQDMDFKISKSTVLLMLDAFAKNGDVFEVMKIYNGMKAAGYLPNMHLYRSMISLLCHHNRFRDVELMITEMEEAGFKPDVAILNALLMMYTATGNFDRTIQVYQSILEADLEPDEDTYNTLIVMYCRNLRPEEGFTLLNEMGKRGLTPKLQSYKSLLAASAKAELREQADQLFEEMRSKGYQLNRSIYHMMMKIYRNAGNHSKAENLLAVMKEDGIEPTIATMHILMTSYGTAGHPREAENVLNSLKSSNLEVSTLPYSTVFDAYLKNGDYELGITKLLEMKRDGVEPDHQVWTCFIRAASLCEQTEDAILLLSSLQDCGFDLPIRLLTERTPSVLSEVADYLEELVALEDSAALNFVNALEDLLWAFECRATASWIFQLAVKRSVYRDNVFRVAEKDWGADFRKLSAGAALVGLTLWLDHMQDASLQGSPESPKSVVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRARSGRFVVKAYSLKMWLKDSPFCMDLELKDIPALPKLNSMKLIDGYFMRAGLVSAFKDIHERLGEVWPKKFSRLALLSEESRDEAINADIQGRKEKLQRMKKKGLVPASKSKRRPRRAKFVREQEESMKAV >PAN22664 pep chromosome:PHallii_v3.1:4:2224857:2227178:1 gene:PAHAL_4G035400 transcript:PAN22664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGH >PAN22489 pep chromosome:PHallii_v3.1:4:1424332:1428520:-1 gene:PAHAL_4G022800 transcript:PAN22489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSVAIGEQIIAPNQIVHACTSTQTSVHKLFDAKLDHGLLIDDTLSSTSQSSNIKTELIRTSSLSRSLSVNLQKRSPESDLESPLSHISHPKFSDPILSNSSTFCTSLFSSSSKNTDPCRQIGTLPFLPHPPKCEQQVSARQSSSSSLLLNGDTGNALDEAGKSDDLKDFLTLSGDASDGSFHGENNTLAFDEQMEFQFLSEQLGIAITDNEESPHLDDIYGTPPQLSSLPVSSCSNQSKQNLGSPVKVQLSSSRSSSDSATTNKSRLRWTLELHERFVEAVNKLEGPEKATPKAVLKLMKVEGLTIYHVKSHLQKYRLAKYLPEPKEDKKASSEDKKAQLGSSSSDSSKTKNLQVAEALRMQMDVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKAGNLSLKAPTKAQAESPETTSKERSESEGGTTSPRASKNRNPDVDAECKSPVGSKRTKVQVDPEREAPCS >PAN22490 pep chromosome:PHallii_v3.1:4:1424667:1427054:-1 gene:PAHAL_4G022800 transcript:PAN22490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSVAIGEQIIAPNQIVHACTSTQTSVHKLFDAKLDHGLLIDDTLSSTSQSSNIKTELIRTSSLSRSLSVNLQKRSPESDLESPLSHISHPKFSDPILSNSSTFCTSLFSSSSKNTDPCRQIGTLPFLPHPPKCEQQVSARQSSSSSLLLNGDTGNALDEAGKSDDLKDFLTLSGDASDGSFHGENNTLAFDEQMEFQFLSEQLGIAITDNEESPHLDDIYGTPPQLSSLPVSSCSNQSKQNLGSPVKVQLSSSRSSSDSATTNKSRLRWTLELHERFVEAVNKLEGPEKATPKAVLKLMKVEGLTIYHVKSHLQKYRLAKYLPEPKEDKKASSEDKKAQLGSSSSDSSKTKNLQVAEALRMQMDVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKAGNLSLKAPTKAQAESPETTSKERSESEGGTTSPRASKNRNPDVDAECKSPVGSKRTKVQVDPEREAPCS >PAN22488 pep chromosome:PHallii_v3.1:4:1424412:1428486:-1 gene:PAHAL_4G022800 transcript:PAN22488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSVAIGEQIIAPNQIVHACTSTQTSVHKLFDAKLDHGLLIDDTLSSTSQSSNIKTELIRTSSLSRSLSVNLQKRSPESDLESPLSHISHPKFSDPILSNSSTFCTSLFSSSSKNTDPCRQIGTLPFLPHPPKCEQQVSARQSSSSSLLLNGDTGNALDEAGKSDDLKDFLTLSGDASDGSFHGENNTLAFDEQMEFQFLSEQLGIAITDNEESPHLDDIYGTPPQLSSLPVSSCSNQSKQNLGSPVKVQLSSSRSSSDSATTNKSRLRWTLELHERFVEAVNKLEGPEKATPKAVLKLMKVEGLTIYHVKSHLQKYRLAKYLPEPKEDKKASSEDKKAQLGSSSSDSSKTKNLQVAEALRMQMDVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKAGNLSLKAPTKAQAESPETTSKERSESEGGTTSPRASKNRNPDVDAECKSPVGSKRTKVQVDPEREAPCS >PAN22487 pep chromosome:PHallii_v3.1:4:1424667:1427054:-1 gene:PAHAL_4G022800 transcript:PAN22487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSVAIGEQIIAPNQIVHACTSTQTSVHKLFDAKLDHGLLIDDTLSSTSQSSNIKTELIRTSSLSRSLSVNLQKRSPESDLESPLSHISHPKFSDPILSNSSTFCTSLFSSSSKNTDPCRQIGTLPFLPHPPKCEQQVSARQSSSSSLLLNGDTGNALDEAGKSDDLKDFLTLSGDASDGSFHGENNTLAFDEQMEFQFLSEQLGIAITDNEESPHLDDIYGTPPQLSSLPVSSCSNQSKQNLGSPVKVQLSSSRSSSDSATTNKSRLRWTLELHERFVEAVNKLEGPEKATPKAVLKLMKVEGLTIYHVKSHLQKYRLAKYLPEPKEDKKASSEDKKAQLGSSSSDSSKTKNLQVAEALRMQMDVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKAGNLSLKAPTKAQAESPETTSKERSESEGGTTSPRASKNRNPDVDAECKSPVGSKRTKVQVDPEREAPCS >PAN24899 pep chromosome:PHallii_v3.1:4:45717160:45718973:-1 gene:PAHAL_4G260400 transcript:PAN24899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRKRPRNSSSASRSSGGGVSTTSPSAMRRTTSLSDLAQPQEMEAGRAPARPARGEAAALGAGMRRHSASADCFTVPEAAFLKACGLCKRGLGPGRDTFIYMGEVAFCSQECRQHQMNLDELREKKCSTPAGGGSGGGSDPSGKSSTIAAA >PVH48422 pep chromosome:PHallii_v3.1:4:50610409:50611596:-1 gene:PAHAL_4G327200 transcript:PVH48422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAARSSPWSDLPPEILGLLLERLPSLPDRVRLRAVCHPWRSNAKLQSLPPPLPWLTLLNGTILSIPDGKIIRMPVPGDACCCGSIDNWLFLVQNDGGCSLMNPFSKATLDLPKLATVWRRDRFNASERSNPLFYKLVVSSPLDSSPESLVAVLILDDGNSSTICICQPAQPPVATNLSRGKRMEPSLYLADVAFFNGKLYGVAFGNELVIFEIGYDLGSKLKISATECINSVDDLWDLPQYLSSEEVYIEREYLVQCCGRLLQVKRFIHNDRPCSRSISFEHHRTVAFEVFEADLSTNPGQWRRINKLGSQALFVGKHCSKSFTSEEYNGVQADCIYFMCDYLPPVYAVNPLRDSGVYNMRNGMITPLLSQTAAVPQHHGGNWRPTWIFPSDPI >PAN25146 pep chromosome:PHallii_v3.1:4:47334662:47335099:1 gene:PAHAL_4G279200 transcript:PAN25146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNCATLLFGAVLVLGIAVFCCCLCAERPDQPAESPEQRVARALAARAEATLAAQAAAAPPVLRPLPYFPYAAHGGVAAECSICLEPLRQWQLCSEVLTCRHVFHRECLGAWARSNGSCPMCRAKIIVPGSSDGVAVAVADDVV >PAN24227 pep chromosome:PHallii_v3.1:4:17501492:17507302:-1 gene:PAHAL_4G152400 transcript:PAN24227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEKQQRVEPQAPAPASVPQATSEPRASPQPQEQPKPAVPVQPSIPVTRPWPMAIIPSVKPVVEMKSGTPAKKKKHCNCKNSQCLKLYCECFAAGDYCDGCNCKQCGNTVENEKGRQEAINNTKLRNPNAFQPKIENGPITPSVRKDAGAPPSLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELRAIIQGDNSCDRNNIQQAANVALNGAIGSSGYRFSPVRRKRPPEDPHYQRLNVEGSMMQMQFPEANHVDASQIASSTGLEGSTGNFQGKSKLVYRSPLANTIHLTDVNDLANRLVIVCRKAAEGVTAIADNKEEREIDREICTSTYQNFDENKKEVQKAAASQLPEIDQQIPVECGSYCSNTQEDSRPASPGTRALLCDEQDLTFGTAYRSSIPVVLHDQDISELQTAQENAVLREFRNYLRLIIARGQVNAEGKSSSGTGMELDAMRNHESSTSLPPVRAEEKSNDPDDPKNPNTSQSFASDSVSTHVLE >PAN24228 pep chromosome:PHallii_v3.1:4:17501226:17507720:-1 gene:PAHAL_4G152400 transcript:PAN24228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEKQQRVEPQAPAPASVPQATSEPRASPQPQEQPKPAVPVQPSIPVTRPWPMAIIPSVKPVVEMKSGTPAKKKKHCNCKNSQCLKLYCECFAAGDYCDGCNCKQCGNTVENEKGRQEAINNTKLRNPNAFQPKIENGPITPSVRKDAGAPPSLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELRAIIQGDNSCDRNNIQQAANVALNGAIGSSGYRFSPVRRKRPPEDPHYQRLNVEGSMMQMQFPEANHVDASQIASSTGLEGSTGNFQGKSKLVYRSPLANTIHLTDVNDLANRLVIVCRKAAEGVTAIADNKEEREIDREICTSTYQNFDENKKEVQKAAASQLPEIDQQIPVECGSYCSNTQEDSRPASPGTRALLCDEQDLTFGTAYRSSIPVVLHDQDISELQTAQENAVLREFRNYLRLIIARGQVNEGKSSSGTGMELDAMRNHESSTSLPPVRAEEKSNDPDDPKNPNTSQSFASDSVSTHVLE >PVH47881 pep chromosome:PHallii_v3.1:4:25247711:25249874:1 gene:PAHAL_4G176000 transcript:PVH47881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHSNPSSPMEEIHRLHLHALAILLIVAMVVPSTVAGGLCRESCGNIPVRYPLGIDDGCGSPYYRNMLTCADNATLRLRTPSGTYPVTGADYSDPHLVVTDPSMWTCARPFTSVHAAPFSLDTSTCFSLSPRNEYLFFDCDEARVIVAPRPASCDRYADRCDSACDSAGYLCRNLPGCRGALEEGNMTCCAYRPRATESLRAMLRHCEAYTSVYWRAAGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCQDKRRGDGGTCGFDPATRDFVCICDDGRNSTADCADGHASGHHGSAGVIAASVVVSISAAIGIGGLVWYIRKIRPSKVVTCGVQSNENRFF >PAN23079 pep chromosome:PHallii_v3.1:4:4261500:4263719:1 gene:PAHAL_4G065300 transcript:PAN23079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMDGEKKAVLRVREFDMERDLAAAEELERRCQVGLCGDPADGSGEGKRKTKRKKKRGMSLSVEQVGDLLARVRHAPEHVMLVAEYGEEEEMVGIIKACVKTVTRGGAGKKLSSASGPEKRQPPAYVKVACLLGLRVSPSHRRLGIATALVERAEEWCRARGAAHATMATTTSNAASLALFTGRFGYAPFRRPEFLGRPVHAHRLDIPSGHRVFQLPPPLAAAAYARLLAPQATEFLPADMPALLAHKLTLGTFVAIESNPDPSLPPSFAVLSVWDSTRSMRLRVRGAPALLRASLAALRALDRGAPWMRVPSIPDIFRPFGAYLLYGLRMSGPAGPALLRSLCHHAHNVARKNPACAVVAADVAPDDPAAAAVPRWRRFSCDEDVWCIKNLNKNDNNNAAAAADDDDDWAAPAPPGTVLFVDPREF >PVH47483 pep chromosome:PHallii_v3.1:4:4906457:4915457:-1 gene:PAHAL_4G073500 transcript:PVH47483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHAPFDHPHHRRGHPGHHHYLDQHHLHHLPGGGGGGVAPSRSRYDSHPIPYLPSDHHPLPRAHHHQPPPPPPPPPQPLPPPPPPAPHHRHDAPHYATLPLRAPPEPYSPPPYRNPTPPHYPYHQQRHGGGGGDDDFRAADEIRRGPGHPHPHPHHLQPQHYHHQQPQHHHQQPPLSWEEAEEEGRRYPAHQLRGVSPPGTRKRYRCAMHDSGDLESTSSSGPPPRRQRQQLHPSYSPTPEDSFVDRAISYSGYSSHEGFVTHSDSNGNRKMPMSTSAMLPGSPNSLGAGYPRRAPQVAPARVSVWQRIEENPSVYAPPSPRKVHISPSKTKNSLSATKELASMISLDCKAKSNDKDSGDSAGVKKNAVKTNEKVLASVLVKPSSEAKEKERAVNKVTKKSDNKVTKKPDKVENNIPGFTSGGVRSTALPRAGGKKVKKIVIKKIVRKIGPKDKQTSSPIVSEKKDASDANANTSEKEEGEITSSSFEKDAISAHNLVSTSDTAGVGNTVEVQKEQNNDLVNLSKSNAAPTIAAMDTLDTASVSRREHPGKEDDKSFMNSVDGNVSSAIESTKTFGTTGEHPGREEEGGFIDSSGLNAAFPCENNNSQKEEGGQILAVSGALNVTSNPPRMLDAVKPHECELENIENKVPEVLSGNNPHRGKDDTEVFSGSGNGWREEGNFLVNDSIRRPMTAEVLMTVNKDDNEKEGMILMGASEVCIASLGDSEGAPNIQEAVVTQGARKEEGNMRNNPREKDVLSVSSWGALDTLDISVNENKEKECRMPIEPSEATASFTQQVKASNTLEVGVIENVHKEIQMPICSSSSEKIQCPKAPSTAEVVISKFVQSEAGKSPTDSTGTYVGTSDNSEYAPEFVVEGRTEDSSMLHDARSALSKSDIPRDVVNTEFSDLRPSRDIGSRILPSLDDDRMKDSSGAVSLNNGVGRNTTSQVAELAHLHRTHLSPDINFSLHSHDSPSISGYSEHSVPTALTLGNNIYFSSAESEGHPEENHKLVEENQGFDANKRKGESGSDLINVGVQNWLTLPLTVSYANNDATGSTDMLDLDQIMDEGASVCQDHDSMPEMKQHGSIDALSGQDDNLNLCGRNTHESDLLATKERNKDVENESEIILPGTVNFVNVLDQYSMHAVDEPIDKPILLSSQAIDAPGGELASSQVYVDPDHTYHSNAEDSVAVSITKPDSLSSWIEAIVSEAKKEHQLCRSTLPSISSPDKVLAPKEVSRKAVSDSVVSSVVKSPPRVNIASSTVLKVPTKQVALPSSLREPPRINQSARHRTWRRDNVSSSNASLHVSQPSGLPPKLPVKKNGKSQNSYIRKGNALIRNPATGNHPHSSSNLDAQNKLSKPVMRRSLNFVRKVDSNDVVARTNVSVERPKTPPLPLHTKSISSAVNLLEPVSQTLQKQQVLETEKEDSSAQVNSGVDNPLNILHKPELLDAGKAVNVRPKSNQLAAAQVQHPGDSSNSSMDKVLLLQPSTSDLYFKKRKNQIILGPSTSDVTGAKDITQAENIKSALQMTNAVGSFSHVWTLSGQHPRKSFVGTSHMKVFPRILPWKRKIFCQNFRSSYSSLLNTSSLGIVRKLLQTRKRSTIYTVSTDGFSLRKSGVLSLGGSSLKWSRFLEKHSQKVNEEATLAVAEVERKKREKRKRQSLRNKGRNDQYSALVAANQLRNNNRSSSDSRVSSTCNEYVRVNKGNQLVRNPKKVIRMLASEKVRWSLHTVRTRLAKKQQYCQFFTRFGECKKSGGKCPYIHDRAKVAICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNTACPYRHVKVNSNAPVCEDFLKGYCADGDECRKKHSYVCPVFEATGECPQESRCKLHHPKKKNKSKRSRVDTLQNNNWGRYFDTSIDHGSGARVVSSEEDERQKPEQVSGDDFVADYIDLGADIEVDGDVDASDDIQLMELDSGNLKMQADSLDARIKPLRIMRTARV >PVH47484 pep chromosome:PHallii_v3.1:4:4907249:4915178:-1 gene:PAHAL_4G073500 transcript:PVH47484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHAPFDHPHHRRGHPGHHHYLDQHHLHHLPGGGGGGVAPSRSRYDSHPIPYLPSDHHPLPRAHHHQPPPPPPPPPQPLPPPPPPAPHHRHDAPHYATLPLRAPPEPYSPPPYRNPTPPHYPYHQQRHGGGGGDDDFRAADEIRRGPGHPHPHPHHLQPQHYHHQQPQHHHQQPPLSWEEAEEEGRRYPAHQLRGVSPPGTRKRYRCAMHDSGDLESTSSSGPPPRRQRQQLHPSYSPTPEDSFVDRAISYSGYSSHEGFVTHSDSNGNRKMPMSTSAMLPGSPNSLGAGYPRRAPQVAPARVSVWQRIEENPSVYAPPSPRKVHISPSKTKNSLSATKELASMISLDCKAKSNDKDSGDSAGVKKNAVKTNEKVLASVLVKPSSEAKEKERAVNKVTKKSDNKVTKKPDKVENNIPGFTSGGVRSTALPRAGGKKVKKIVIKKIVRKIGPKDKQTSSPIVSEKKDASDANANTSEKEEGEITSSSFEKDAISAHNLVSTSDTAGVGNTVEVQKEQNNDLVNLSKSNAAPTIAAMDTLDTASVSRREHPGKEDDKSFMNSVDGNVSSAIESTKTFGTTGEHPGREEEGGFIDSSGLNAAFPCENNNSQKEEGGQILAVSGALNVTSNPPRMLDAVKPHECELENIENKVPEVLSGNNPHRGKDDTEVFSGSGNGWREEGNFLVNDSIRRPMTAEVLMTVNKDDNEKEGMILMGASEVCIASLGDSEGAPNIQEAVVTQGARKEEGNMRNNPREKDVLSVSSWGALDTLDISVNENKEKECRMPIEPSEATASFTQQVKASNTLEVGVIENVHKEIQMPICSSSSEKIQCPKAPSTAEVVISKFVQSEAGKSPTDSTGTYVGTSDNSEYAPEFVVEGRTEDSSMLHDARSALSKSDIPRDVVNTEFSDLRPSRDIGSRILPSLDDDRMKDSSGAVSLNNGVGRNTTSQVAELAHLHRTHLSPDINFSLHSHDSPSISGYSEHSVPTALTLGNNIYFSSAESEGHPEENHKLVEENQGFDANKRKGESGSDLINVGVQNWLTLPLTVSYANNDATGSTDMLDLDQIMDEGASVCQDHDSMPEMKQHGSIDALSGQDDNLNLCGRNTHESDLLATKERNKDVENESEIILPGTVNFVNVLDQYSMHAVDEPIDKPILLSSQAIDAPGGELASSQVYVDPDHTYHSNAEDSVAVSITKPDSLSSWIEAIVSEAKKEHQLCRSTLPSISSPDKVLAPKEVSRKAVSDSVVSSVVKSPPRVNIASSTVLKVPTKQVALPSSLREPPRINQSARHRTWRRDNVSSSNASLHVSQPSGLPPKLPVKKNGKSQNSYIRKGNALIRNPATGNHPHSSSNLDAQNKLSKPVMRRSLNFVRKVDSNDVVARTNVSVERPKTPPLPLHTKSISSAVNLLEPVSQTLQKQQVLETEKEDSSAQVNSGVDNPLNILHKPELLDAGKAVNVRPKSNQLAAAQVQHPGDSSNSSMDKVLLLQPSTSDLYFKKRKNQIILGPSTSDVTGAKDITQAENIKSGESKSLMFASANHNMTVAKDRPHKALQMTNAVGSFSHVWTLSGQHPRKSFVGTSHMKVFPRILPWKRKIFCQNFRSSYSSLLNTSSLGIVRKLLQTRKRSTIYTVSTDGFSLRKSGVLSLGGSSLKWSRFLEKHSQKVNEEATLAVAEVERKKREKRKRQSLRNKGRNDQYSALVAANQLRNNNRSSSDSRVSSTCNEYVRVNKGNQLVRNPKKVIRMLASEKVRWSLHTVRTRLAKKQQYCQFFTRFGECKKSGGKCPYIHDRAKVAICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNTACPYRHVKVNSNAPVCEDFLKGYCADGDECRKKHSYVCPVFEATGECPQESRCKLHHPKKKNKSKRSRVDTLQNNNWGRYFDTSIDHGSGARVVSSEEDERQKPEQVSGDDFVADYIDLGADIEVDGDVDASDDIQLMELDSGNLKMQADSLDARIKPLRIMRTARV >PAN25418 pep chromosome:PHallii_v3.1:4:48769310:48773064:1 gene:PAHAL_4G299700 transcript:PAN25418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLIPIIIFHVGLLPIAVAAAAAAPPAVDDDDRSALLAFRSNVSADPGGALADWGRSPEFCNWTGVMCGGPGRRRVTQLVLSGKGLSGVISPELGRLSFVTVLDLSSNAFAGAIPPELGALSMLTQLSLTNNLLEGAIPAGLGFLQRLYYLDLSGNRLSGGIPETLFCNCSALQYLDLANNSLTGDIPYADECRLPSLRYLLLWSNDLSSAIPPALANSPMLEWIDFESNYLSGKLPSQVFDRLPRLQYLYLSYNNLSSHDSNTDLDPFFRSLRNCTHLQELELAGNDLGGRLPPFIGELPRALRQLHLEDNAISGSIPPNISGLVNLTYLNLSNNLLNGSIPPDMSHMRRLERLYLSNNLLSGEIPKSIGEIPHLGLVDFSGNRLAGAIPDTFSNLTQLRRLMLHHNQLSGAIPPSLGDCLNLEILDLSYNGLQGPIPAYVAALSSLKLYLNLSNNHLEGPLPLELSKMDMILALDLSANKLAGTIPSQLGSCVALEYLNLSGNALRGALPASVAALPFLQALDVSRNALSGPLPVSLQVSTSLREGNFSYNNFSGVVPHAGVLANLSASAFRGNPGLCGYVPGIAMCEPERARRRRPLVPAIVGIVFAVSLMLSAVGCRSMVTARAKRLGSQSARLVDGEEQADREHPRISYRELCEATGGFVQEGLIGAGSFGRVYEGTLRDGARVAVKVLDPKGGGEVSGSFKRECEVLKRTRHKNLVRVITTCSTASFNALVLPLMPKGSLDGLLYPPHGDIDGGGLDFAQIMGIVSDIGEGMAYLHHYAPVRVVHCDLKPSNVLLDEGMRAVISDFGIARLVAGEASSASDESAPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMLLELVTGKRPTDVIFHEGLTLHDWVRRHYPHDVGAVLAHAPWRERALQSPAAAAEVAVVELIELGLVCTQHSPALRPTMADVCHEITLLKEDLARHGAADGDGCRRSFSTKDSLFSN >PAN24838 pep chromosome:PHallii_v3.1:4:45417220:45418937:1 gene:PAHAL_4G256100 transcript:PAN24838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGASLALAALLLVGCVAAAAATKYTVGDASGWTTSGDYATWASGKKFKVGDTLEFKYAGGAHTVDEVSAADYAACSSSNALSSDSAGTTTVTLKTAGKHYFICGVAGHCSSGMKLVVDVAAAKSSAPAPAPAVAPAPDAADTTPDATPATTPKSPSSSGGAKTPVTVLSPPAKKSTSGAAGLSATAWAGLGLAGLVAVHLGAF >PAN22361 pep chromosome:PHallii_v3.1:4:947231:950709:1 gene:PAHAL_4G014200 transcript:PAN22361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFAFRSGKGRSKSQPAAGAKTAPAKSPPASDSSGGGGQRSKASSASASTPTRSIQELSEERGAQRLRVFDLEELGSATNGFSRALKVGEGGFGSVYRAFFRSAGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLIGYCAVDSEAGKHRLLVYEFMPNKTLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGVPEVQVIYRDFKASNILLDAEFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLAWVRRHPPDSAGFRAIMDPRLGGRYPLAAAREVARLADRCLGKNPKERPAMRDVVEELERVLQMEPPPPPADKKGGDGRPPPSAKR >PAN25710 pep chromosome:PHallii_v3.1:4:50251536:50257830:-1 gene:PAHAL_4G320400 transcript:PAN25710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKVFFASSTPSSRRAGALRRLLSSPAFSAACLLFGLAGFLAAALTLSGSPPAARSRCPDSSRPLSVSVAWDRRPGDVSAAGSAELPAHLATGSRGRHKVMAFVGIFTGFSSIGRRRALRRTWLPADRQGLLRLEEATGLAFRFVIGKSNSKNKMTALDREVEEFDDFVLLDILEEYSRLPYKTLAFFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERPHPQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENTHALCEPDCTESSIAVWDIPKCSGLCHPEVKMLELHQRKECTGGPTVAAEVSESDDR >PAN25444 pep chromosome:PHallii_v3.1:4:48887037:48890111:1 gene:PAHAL_4G301700 transcript:PAN25444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGGREMAGGEGGPQQADDFFDQMLSTLPSAWADLGAGGAKSPWELPAGAEDAAVQAAFGDDSSALLVSRLRQHQIGGDVVKSSPSSSPVMLQLSDLHRHGGGLAGAGAEESAGFSPLPLFTDRSAPAREEMEGGFRSPNSAQGGDHSMFNGFGMHGAAAVQPQFAQGGSVSPQSLGGPAVSGGATTPAGGAASSAGGGAAPPRQVRQRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSSNSGGGAKGSAATKANGNGSGENGNGGGGLRVAENQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPPPVLRHPAATAASPAPPGQPHDASNCAAAAAAATSPASASSAGGGGDDPRSTKDVGGGGGKQ >PAN25443 pep chromosome:PHallii_v3.1:4:48887037:48890112:1 gene:PAHAL_4G301700 transcript:PAN25443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGGREMAGGEGGPQQADDFFDQMLSTLPSAWADLGAGGAKSPWELPAGAEDAAVQAAFGDDSSALLVSRLRQHQIGGDVVKSSPSSSPVMLQLSDLHRHGGGLAGAGAEESAGFSPLPLFTDRSAPAREEMEGGFRSPNSAGGDHSMFNGFGMHGAAAVQPQFAQGGSVSPQSLGGPAVSGGATTPAGGAASSAGGGAAPPRQVRQRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSSNSGGGAKGSAATKANGNGSGENGNGGGGLRVAENQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPPPVLRHPAATAASPAPPGQPHDASNCAAAAAAATSPASASSAGGGGDDPRSTKDVGGGGGKQ >PVH48374 pep chromosome:PHallii_v3.1:4:50015702:50017813:1 gene:PAHAL_4G317100 transcript:PVH48374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFGDGTHVRLRNRMHGAYLHADEDGARVSLSPRRASLNTAWRVHRVRRGGGGYVLLHSAAYGRYLALSPQRVSLVYAGHTAVQAAYDAPEQGDVLWEAVRVADEADDVLMLHVSNRLLRAATWNPVLRSPVYVDMDNAGTMMHRVVEPIPLRQAPPVLPGPTELPRGVVLRRTIIYMRANNQGKFDPLDRRTYGFSGRSLFHLTGDLANQLRENFHRITLCVLAGSQGRLTPLVIDLPADEQPMEIVVFTTGSEAALTLRHPDVDAA >PAN22841 pep chromosome:PHallii_v3.1:4:3137888:3139775:1 gene:PAHAL_4G048400 transcript:PAN22841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGDAPARGSAAAAASLRRRRTTSGAAGGGGGASSMLQFYTDEAAGRKMSPNTVLIMSIGFVAVVAMLHVFGKLYRTSN >PAN25877 pep chromosome:PHallii_v3.1:4:50917968:50920335:1 gene:PAHAL_4G331200 transcript:PAN25877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTAKIQAGAAAVVLVALELSLFLCFRLSRPFYLSTAVILSVVLAGTVAALLCHALSPRGRAERMARRPVLDSGEEVSVRVEYSFFRKVAGLPSRFSLEALATATDDFQCVVGRGSSGTVFKGILDDGTAVAVKRIDGSAYGDKEFRAEVSAIGSVQHVSLARLLGFCLVRNGPRFLVYEFMENGSLDKWIFSQHGGGSGGGRCLTWLQRYQVAVDVAKALAYLHHDCRAKVVHLDVKPENILLDDRLRGTLSDFGLSALMGKEQSRVVTTVRGTTGYLAPEWLLGAGVTEKSDVYSYGMVLMEMLGGRRNLQAEPGPGGSRRWTYLPKLVADKAREGRVMEVLDRRLAPSSVDEGGVRRLAHVALWCAQEKAGARPTMARVVEMLEARGGAAVEPPPPSDMIVVDLLALDPAAHAHRGGGGPFGLPTTPTPGSAGTASSVASMSDSFALSYLSGR >PAN23781 pep chromosome:PHallii_v3.1:4:8994707:8997065:1 gene:PAHAL_4G116700 transcript:PAN23781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVLLPWLAFGHILPFTELAKRIARQGHRVTLLSTPKNTRRLIAIPPDLAGLIRVVDVALPRVEHLPEGAEASIDLPSDDLRPYLRLAYDAAFARALSDILRAPEPSRPDWVLTDYAAHWAPVAAARHGVPCAYLSLYAAAVLGFFGPPEALMGRGRYAKTAPEHLTEVPDFVPFPTAVAYRGHEARQMFKPAAVPDVSGVAELYRSGMSIHGSQVVGIRSSREFEPEWLRLLAELYQKPVIPVGLFPPPPAQDVAGHEATLRWLDGQAPDSVVYAAFGSEAKLTSAQLETIALGLEASGLPFLWAFRAPGDADTGGEGTGGLPAGFEERVNGRGVVCRGWVPQVSFLAHGSVGVFLTHAGWNSVTEGLANGVKLVLLPLMFDQGLNARHLVEKKMGVEVARDDDDGSFAPKDVAAALRRVMVEDDGDEFAVKAKELARVFGNDEANDQCLRDFLRYLSEHSRGP >PAN23989 pep chromosome:PHallii_v3.1:4:34781475:34787249:-1 gene:PAHAL_4G203400 transcript:PAN23989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRSKRGYHYDQDSPPPRSKQRFDRRSGGGGQNPNSGYHRRGPPGGGGGSDRRGFLPPDAAPPPPPPPPPPPPAAAGAPGAASTTTSFRILCPECKAYGFPPSFVAKVRDDSGALITVHPPFAGDYVRVIETVDGARREADGRPPMYSPAQEALLMVHRRILETEAEDGDEDGEYGPRGKDPRDRGKTTTRLIVPKLHVGCLLGKGGKIIEQMRMETKTHIRILPRDQHTPRCVSLSEEVVQVVGDGNCVRKAVAIITDRLKESLHRDRGPFRGRMNSPEPRISQEDEYLGGVQQMPVYEETYGRPDQIRNSISMEPPGYEFDSNGGKVIEHPDILYDDIIFRILCPNDKASSLVSSRDGIIEMLQADVGVDVRLSDTIAGSDERVVIITSREGPDHELFPAQEALLHIQTHIVDLGPDKDNIITTRLLVPSSEIACFDGREGSLSDIQRQTSANVQILPREDLPPCALDSDELIQIVGDIRAARNALMQVATKLRSYLYREMSGPIKVGNINVYGAISPATGSPRGPYQGNDIPMGPYHQAPQLTASWHSKDSGGSASGSFEQGSNINDDIRQNASKRFAVPLVTRSTLEVVIPNSAVASLTMRAGSKLAQISEMSGATVTLADDRPGILEKVVQISGTPEQTEKAKSLLQGFILSIQDDS >PAN26089 pep chromosome:PHallii_v3.1:4:51983870:51985970:-1 gene:PAHAL_4G346900 transcript:PAN26089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVLPLLLLLLGSSPSALAAADEHEEFTVLDLSSLKPHAACSGHRVTPPHNGSWVPLYHPLGPCSPSYRGAAAAAPSLADLLRQDRLRAHHIHSKVFGDFRASKGSYKEPVAVEETQVHHQAAITIEMGTQSTSSQMHGSHSELRIGPAATGDGTSGPPPVSQTVVLDTASDVPWVDCVPCALAECPYYDPARSSSYAAFPCNSSACKQLGPYANGCANNQCQYRVAYSDGSSSSGTYSSDLLTISSGDTVRNFRFGCNQASSSGGGATNGFMALGRGAQSLTAQTSSIYGNAFSYCFPPRESEKGFFRIGVPGGAGYRFAMTPMLRDRRAPALYRALLVAITVNGQPLNVAPEVFAAGAVLDSRTVITRLPLTVYGALRAAFRDKMAAYRRAPPQPEVALDTCYDFTGVRYVRLPRVALVFDRNAVVELDRSGILFGDCLAFTANDDDSMPAILGNTQQQTIEVLHDVGGGNIGFRRQAC >PAN23756 pep chromosome:PHallii_v3.1:4:8754342:8758908:-1 gene:PAHAL_4G114300 transcript:PAN23756 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase CCNB1IP1 homolog [Source:Projected from Arabidopsis thaliana (AT1G53490) UniProtKB/Swiss-Prot;Acc:F4HRI2] MSSATLQRMNTLVQFDWSLSTICAGTEDAKKILSNDGACPICDQVLSKSHMKPVDVDPNDDWTNMAMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNHVVGQCRQKIELMQGKFTEKLEELHAAYQKMGKRCQLMEQEIENLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSVKRSAIQPANNVFPRAQQDLFAGMPNMMDNSNPLRQGRREDMWAPAPRQRHSNPDTFEVSGGSAHMGAPPVDARPRKPAGPVFGAGTNNPSAALRNMLISPVKRPQQSRNRPHMFTL >PAN23755 pep chromosome:PHallii_v3.1:4:8754981:8757054:-1 gene:PAHAL_4G114300 transcript:PAN23755 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase CCNB1IP1 homolog [Source:Projected from Arabidopsis thaliana (AT1G53490) UniProtKB/Swiss-Prot;Acc:F4HRI2] MKCNACWRELEGQAITTTCGHLLCTEDAKKILSNDGACPICDQVLSKSHMKPVDVDPNDDWTNMAMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNHVVGQCRQKIELMQGKFTEKLEELHAAYQKMGKRCQLMEQEIENLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSVKRSAIQPANNVFPRAQQDLFAGMPNMMDNSNPLRQGLVFTPDTPGRREDMWAPAPRQRHSNPDTFEVSGGSAHMGAPPVDARPRKPAGPVFGAGTNNPSAALRNMLISPVKRPQQSRNRPHMFTL >PAN23754 pep chromosome:PHallii_v3.1:4:8754342:8758908:-1 gene:PAHAL_4G114300 transcript:PAN23754 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase CCNB1IP1 homolog [Source:Projected from Arabidopsis thaliana (AT1G53490) UniProtKB/Swiss-Prot;Acc:F4HRI2] MKCNACWRELEGQAITTTCGHLLCTEDAKKILSNDGACPICDQVLSKSHMKPVDVDPNDDWTNMAMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNHVVGQCRQKIELMQGKFTEKLEELHAAYQKMGKRCQLMEQEIENLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSVKRSAIQPANNVFPRAQQDLFAGMPNMMDNSNPLRQGRREDMWAPAPRQRHSNPDTFEVSGGSAHMGAPPVDARPRKPAGPVFGAGTNNPSAALRNMLISPVKRPQQSRNRPHMFTL >PAN23740 pep chromosome:PHallii_v3.1:4:8754981:8756940:-1 gene:PAHAL_4G114300 transcript:PAN23740 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase CCNB1IP1 homolog [Source:Projected from Arabidopsis thaliana (AT1G53490) UniProtKB/Swiss-Prot;Acc:F4HRI2] MSSATLQRMNTLVQFDWSLSTICAGTEDAKKILSNDGACPICDQVLSKSHMKPVDVDPNDDWTNMAMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNHVVGQCRQKIELMQGKFTEKLEELHAAYQKMGKRCQLMEQEIENLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSVKRSAIQPANNVFPRAQQDLFAGMPNMMDNSNPLRQGLVFTPDTPGRREDMWAPAPRQRHSNPDTFEVSGGSAHMGAPPVDARPRKPAGPVFGAGTNNPSAALRNMLISPVKRPQQSRNRPHMFTL >PVH47874 pep chromosome:PHallii_v3.1:4:24818521:24820868:1 gene:PAHAL_4G174400 transcript:PVH47874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKAAERGVEDLAAGFLLPVRLQSFRREYVGDARAAGWRPHCRRRRTGGRSLRSIRRSRTPTLRLRSHVDDRQDQDSRRAVTSDSRTPRRPITSTAKKTRTQVIFLCESHGIEDEPKGCG >PVH47873 pep chromosome:PHallii_v3.1:4:24818521:24819891:1 gene:PAHAL_4G174400 transcript:PVH47873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKAAERGVEDLAAGFLLPVRLQSFRREYVGDARAAGWRPHCRRRRTGGRSLRSIRRSRTPTLRLRSHVDDRQDQDSRRAVTSDSRTPRRPITSTAKKTRTQGK >PVH47915 pep chromosome:PHallii_v3.1:4:28463662:28464756:1 gene:PAHAL_4G185500 transcript:PVH47915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLGFIDTRAMNIALLGKWIYRLGSGEESLCINLLRKKYLRRNNFFQAKNRGVSQFWQGLLKAGEWYGYGQTMVVGDGRKTRFWQDVWSGGCSFMVKFPHLFKISSDQAISVAEAGNVEWRLQFRRNLGRVELEEWATLQQELSQISLTDSEDAAKWGLEKKGIYSTRSLYRFIVDPGVIRRDMVEMWRTKLPLKIQIFLWMIWQDRLQTAVQLKKRKWDGPKECKLCNLTEDTDHLLFCCPPSTFIWCWVIDSLGWSEIPTTVELLHKQIDGIPSRDNMRILFYLFAGVAWAIWRTRNDWVFENKLISSLKMLAYKVIGFLRTWSKMASPEDQDKRRWLLRKLEDGLEAGGLRVHGAPPTDS >PVH48398 pep chromosome:PHallii_v3.1:4:50429361:50430776:1 gene:PAHAL_4G323200 transcript:PVH48398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVELAAVKSDWIAPLAMYPDGNTKKVMFGTGFCKAFVVDPSIGIPVVTFSLDGVKHDSQFATMGLFEESLVSVGRESDDIILSSPTAQAWFDVLSRLPTRTVGRLNQVCREWRAITKSECFVELHLLRQANLMSSTKIPQVMFTDGKPNSFKSLESFIGTPDALPPLVDGNSRVVCSKPCHGLNAGSFMCYDFVCNPITGYYRALPLLDHHRELRGSSSVFSYINARRSSLDRSGDGDAMFAGRFGLGYDTEAGTHVLVRVAYKERNLATRDYELECKIRWIEDMFWEELDPPQRPIADTPPAYINGKLYWMADAKLGHHRPSSDHEEIIALDVSARKFELLKGPMRTHQDSDASIVELQGQVCMARSHPRKGTLEIWAMKDNGWWSMEYYIEVGRFSPEYSSDTVVTPLAVDSEDGRILLSTGKALGYYDPKTAKMQTIYSLGEHTKNKKFVPILVQESLIDPCDKVL >PVH47318 pep chromosome:PHallii_v3.1:4:2192462:2197088:-1 gene:PAHAL_4G034800 transcript:PVH47318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLPRVPRPAPAAVASTSTPDIVAELGNIISTRRWNKGTAYKRLAPSVTAAHVADVFRAPPAPLHPATALAYFEWVARRPGFRHTAASHAALLQLLARRRAPANYDKLLVSMIKCSDTAEDMREAVDAIQAIRRTSGARLALSPKCYNFALRSLSRFDMTEHMGKVYSLLVQDGLLPDTVTYNTMIMAYCKEGSLAIAHQYFRLLRESGMELDTFTCNALLLGYCRKGDLGKACWLLLMMPLMGCARNEYSYTIVIQGLCEARSVQEALVLLFMMRQDGCSPNVRTYTLLIKGLCKEGRIDEARMLLDEMPQRGVVPSVWTYNAMIDGYCKLGRTKDALGIKSLMEGNGCDPDDWTYNSLIHGLCDRKTDEAEEFLNSAIARGFTPTVVTFTNMINGYCKAERIDDALRVKNIMMSSKCKLDLQAYGLLINVLIKMDRLKEAKETLNDILANGLAPNVVIYTSIIDGYCKIGKVGAALEVFKLMEHEGCRPNAWTYSSLIYGLIQDRKLHKAMALVTKMQEDGITPGVITYTSLIQGQCNRREFDNAFRLFEMMEQNGLTPDEQAYNVLTDALCKSGRAEEAYSFLVRKGVVLTKVTYTSLIDGFSKAGNTDFAATLIEKMVNESCKPDSYTYSVLLHALCKQKKLHEALNILDQMTLRGTKCNIVFYTILIDEMIREGKHDHAKRLFSEMTSSGHKPSATTYTIFINSYCKIGRIEEAEHLIGEMERDGVSPDVVTYNVFIDGCGHMGYMDRAFCTLKRMMDASCEPNYWTYCLLLKHFLKTSLGNVHYVDTSGLWNWVELETVWQLLERMVRYGLNPTVVTYSSIIAGFCKAKRFEEACVLLDHMCGKGISPNEEIYTMLIKCSCDTKLFKKALSFVRNMVDCGFQPHLESYQYLITGLCDEGNYDKAKSLFCDLLGMDYNHNEVVWKILNDGLLKAGHVDICSKLLSAMENRHCHINSQTYAMVTNNMHEASGSVVSELRGEAA >PVH47319 pep chromosome:PHallii_v3.1:4:2192463:2197061:-1 gene:PAHAL_4G034800 transcript:PVH47319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLPRVPRPAPAAVASTSTPDIVAELGNIISTRRWNKGTAYKRLAPSVTAAHVADVFRAPPAPLHPATALAYFEWVARRPGFRHTAASHAALLQLLARRRAPANYDKLLVSMIKCSDTAEDMREAVDAIQAIRRTSGARLALSPKCYNFALRSLSRFDMTEHMGKVYSLLVQDGLLPDTVTYNTMIMAYCKEGSLAIAHQYFRLLRESGMELDTFTCNALLLGYCRKGDLGKACWLLLMMPLMGCARNEYSYTIVIQGLCEARSVQEALVLLFMMRQDGCSPNVRTYTLLIKGLCKEGRIDEARMLLDEMPQRGVVPSVWTYNAMIDGYCKLGRTKDALGIKSLMEGNGCDPDDWTYNSLIHGLCDRKTDEAEEFLNSAIARGFTPTVVTFTNMINGYCKAERIDDALRVKNIMMSSKCKLDLQAYGLLINVLIKMDRLKEAKETLNDILANGLAPNVVIYTSIIDGYCKIGKVGAALEVFKLMEHEGCRPNAWTYSSLIYGLIQDRKLHKAMALVTKMQEDGITPGVITYTSLIQGQCNRREFDNAFRLFEMMEQNGLTPDEQAYNVLTDALCKSGRAEEAYSFLVRKGVVLTKVTYTSLIDGFSKAGNTDFAATLIEKMVNESCKPDSYTYSVLLHALCKQKKLHEALNILDQMTLRGTKCNIVFYTILIDEMIREGKHDHAKRLFSEMTSSGHKPSATTYTIFINSYCKIGRIEEAEHLIGEMERDGVSPDVVTYNVFIDGCGHMGYMDRAFCTLKRMMDASCEPNYWTYCLLLKHFLKTSLGNVHYVDTSGLWNWVELETVWQLLERMVRYGLNPTVVTYSSIIAGFCKAKRFEEACVLLDHMCGKGISPNEEIYTMLIKCSCDTKLFKKALSFVRNMVDCGFQPHLESYQYLITGLCDEGNYDKAKSLFCDLLGMDYNHNEVVWKILNDGLLKAGHVDICSKLLSAMENRHCHINSQTYAMVTNNMHEASGSVVSELRGEAA >PAN22654 pep chromosome:PHallii_v3.1:4:2192492:2197061:-1 gene:PAHAL_4G034800 transcript:PAN22654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLPRVPRPAPAAVASTSTPDIVAELGNIISTRRWNKGTAYKRLAPSVTAAHVADVFRAPPAPLHPATALAYFEWVARRPGFRHTAASHAALLQLLARRRAPANYDKLLVSMIKCSDTAEDMREAVDAIQAIRRTSGARLALSPKCYNFALRSLSRFDMTEHMGKVYSLLVQDGLLPDTVTYNTMIMAYCKEGSLAIAHQYFRLLRESGMELDTFTCNALLLGYCRKGDLGKACWLLLMMPLMGCARNEYSYTIVIQGLCEARSVQEALVLLFMMRQDGCSPNVRTYTLLIKGLCKEGRIDEARMLLDEMPQRGVVPSVWTYNAMIDGYCKLGRTKDALGIKSLMEGNGCDPDDWTYNSLIHGLCDRKTDEAEEFLNSAIARGFTPTVVTFTNMINGYCKAERIDDALRVKNIMMSSKCKLDLQAYGLLINVLIKMDRLKEAKETLNDILANGLAPNVVIYTSIIDGYCKIGKVGAALEVFKLMEHEGCRPNAWTYSSLIYGLIQDRKLHKAMALVTKMQEDGITPGVITYTSLIQGQCNRREFDNAFRLFEMMEQNGLTPDEQAYNVLTDALCKSGRAEEAYSFLVRKGVVLTKVTYTSLIDGFSKAGNTDFAATLIEKMVNESCKPDSYTYSVLLHALCKQKKLHEALNILDQMTLRGTKCNIVFYTILIDEMIREGKHDHAKRLFSEMTSSGHKPSATTYTIFINSYCKIGRIEEAEHLIGEMERDGVSPDVVTYNVFIDGCGHMGYMDRAFCTLKRMMDASCEPNYWTYCLLLKHFLKTSLGNVHYVDTSGLWNWVELETVWQLLERMVRYGLNPTVVTYSSIIAGFCKAKRFEEACVLLDHMCGKGISPNEEIYTMLIKCSCDTKLFKKALSFVRNMVDCGFQPHLESYQYLITGLCDEGNYDKAKSLFCDLLGMDYNHNEVVWKILNDGLLKAGHVDICSKLLSAMENRHCHINSQTYAMVTNNMHEASGSVVSELRGEAA >PAN24944 pep chromosome:PHallii_v3.1:4:41714288:41716880:-1 gene:PAHAL_4G229800 transcript:PAN24944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMVRLSFLLKILFSLALSLISSTTATVEDQFVYSGFSRSNLDLDGAATITPDGVLELTNHTVHIKGHAFYRTPWRFRKSPGEVVQSFSVTFVFGMVPIYSDQCTDGMTFLISPTKDFSGAQTSQYLGLLNKTSDGKSNHIFAVELDSSQNTEFNDIDDNHIGININSLTSIKSTSAAFYDDNNGMWKNLSMVSKKEMQVWVEYDGDTTQINVTLAPLRLPKPSRPLLSAAYNLSTVLEDPSYIGFSASTGPINSLYCVTGWSLGINRPAPLIDITKLPKLPHVGPKPRSKLLEIILPIATAIFIILVGTTVILLVRRRMKYAEINEDWEAEFGPHRFSYKDLFNATDGFNNKNLLGLGGFGKVYRGLLSVTKSEVAVKRVSHESKQGIKEFVAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMSNGSLDKYLHCEEDKPTLNWTQRFQIIKGVASGLFYLHERWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELARTGKATTLTDVYAFGIFILEVTCGQRPINSHADDSSQILVDWVVEHWHKGSLTYTLDSRLQGNYNSHEVSLALNLGLLCAHPFCNVRPSMRQVIQYLNGEMPLPELTPTNLSFSVLGLMQNEGFDQYSSLPSTVGSSCMTSSLSSGR >PAN22453 pep chromosome:PHallii_v3.1:4:1328562:1334398:1 gene:PAHAL_4G020700 transcript:PAN22453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDFVKSRAAIEEELDLVLAEVHLRNIAPGSLANSELLHHILNELQVPVITMCAHHDEAALSEHVALGACSHVLKPLDTASLNTLKQKALEHKSKKATPQGPIPNRTKSRMVSSSTERLQEMLILEGNNLSNPGNSEFEVPEVEKAHGCSKKLGRVTWTVELHEKFLDAIEVLGDKYATPERIRRLMNVKGLTWKHIGSHLQKHRLRKQNAKQGGQHQRNASTKLVSELIGSGQTAATTESITPRVDADIREAYPSRMWKQVKEEAALKTYMYTRTSGISRDGTKSVWDEYQKGLQKEFSASNKRWQQIGLSLSKCQLPVKNNVVVIDGGVSSEAPKAAGEIGYGASVEISGSSSLSTSLLIKLEITIILKLLANTTMWETLICLKVP >PAN22454 pep chromosome:PHallii_v3.1:4:1328509:1334398:1 gene:PAHAL_4G020700 transcript:PAN22454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDFVKSRAAIEEELDLVLAEVHLRNIAPGSLANSELLHHILNELQVPVITMCAHHDEAALSEHVALGACSHVLKPLDTASLNTLKQKALEHKSKKATPQGPIPNRTKSRMVSSSTERLQEMLILEGNNLSNPGNSEFEVPEVEKAHGCSKKLGRVTWTVELHEKFLDAIEVLGDKYATPERIRRLMNVKGLTWKHIGSHLQKHRLRKQNAKQGGQHQRNASTKLVSELIGSGQTAATTESITPRVDADIREAYPSRMWKQVKEEAALKTYMYTRTSGISRDGTKSVWDEYQKGLQKEFSASNKRWQQIGLSLSKCQLPVKNNVVVIDGGVSSEAPKAAGEIGYGASVEISGSSSLSTSLLIKLEITIILKLLANTTMWETLICLKVP >PAN22457 pep chromosome:PHallii_v3.1:4:1328510:1334443:1 gene:PAHAL_4G020700 transcript:PAN22457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDFVKSRAAIEEELDLVLAEVHLRNIAPGSLANSELLHHILNELQVPVITMCAHHDEAALSEHVALGACSHVLKPLDTASLNTLKQKALEHKSKKATPQGPIPNRTKSRMVSSSTERLQEMLILEGNNLSNPGNSEFEVPEVEKAHGCSKKLGRVTWTVELHEKFLDAIEVLGDKYATPERIRRLMNVKGLTWKHIGSHLQKHRLRKQNAKQGGQHQRNASTKLVSELIGSGQTAATTESITPRVDADIREAYPSRMWKQVKEEAALKTYMYTRTSGISRDGTKSVWDEYQKGLQKEFSASNKRWQQIGLSLSKCQLPVKNNVVVIDGGVSSEAPKAAGEIGYGASVEISGSSSLSTSLLIKLEITIILKLLANTTMWETLICLKVP >PAN25542 pep chromosome:PHallii_v3.1:4:45397147:45401498:1 gene:PAHAL_4G255700 transcript:PAN25542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLRVFLHLRNNTGPDGQPPPPRSHTPSAMSQRPRPEAGSTAEGDEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVGRDLKLLLGAGLAEVLNAVFPVLACRKPANTVLVPTKQTKKKPGANHSHHERLLGVARLLSQMAEPVMKAATQITFLLARSFFIDLCTAVLSLLARIRVLVQQMLLDVVSLYNKVTDLTDRKQAVKISIGGVQAFREYYPSTNDACTILDCVWVKDKFVLHEKMKGSCQETQVEDQKSFGPESSIQYETLALISEDTPNFEETNQTAKQAGAAAADQPDKMNHCSDAGGSQSGRQLENESGACSVPDTLSTRMHSVPHLNLKHETRKRVAFVAVGNPKVPGAASETKSSEVNKKQRLDMISQTSVESGL >PVH48441 pep chromosome:PHallii_v3.1:4:50757883:50764824:1 gene:PAHAL_4G329400 transcript:PVH48441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MAISRIHPLFLLLLLLLLLRAFALLPTQAAARGGHDLSDDGDHGSVSRNLLQDKPHITEEMTRGYMSNAELETAVHAFGSRCSNISRVYSIGKSVNDFPLLVIEISDKPRQREAEPAFKFIGNVHGDEPVGREVLMHLANWLCDNYLKDPLATLIVENMHLHILPTMNPDGFALRWRGNANNIDLNRDFPDQFFSVNNDINYRQPETRAIMNWVKQEHFTASASFHGGALVANYPWDGTKDTGKRYYGCPDDKTFRHMASVYSQSHYNMSLSKEFEGGITNGALWYPIYGGMQDWNYIHGGCFELTLEISDTKWPKASELSVIWEHNRMSMLNLLASLIKGFMEGYLQQILADLYLAQ >PAN25844 pep chromosome:PHallii_v3.1:4:50757883:50764824:1 gene:PAHAL_4G329400 transcript:PAN25844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MAISRIHPLFLLLLLLLLLRAFALLPTQAAARGGHDLSDDGDHGSVSRNLLQDKPHITEEMTRGYMSNAELETAVHAFGSRCSNISRVYSIGKSVNDFPLLVIEISDKPRQREAEPAFKFIGNVHGDEPVGREVLMHLANWLCDNYLKDPLATLIVENMHLHILPTMNPDGFALRWRGNANNIDLNRDFPDQFFSVNNDINYRQPETRAIMNWVKQEHFTASASFHGGALVANYPWDGTKDTGKRYYGCPDDKTFRHMASVYSQSHYNMSLSKEFEGGITNGALWYPIYGGMQDWNYIHGGCFELTLEISDTKWPKASELSVIWEHNRMSMLNLLASLIKSGVHGRIFAADTGRPIPGSVTIKGIDSKVRASRTFGDYHRIIVPGEKYEVMASMEGFRPKSTRIVLEQEAVNLDFILEPDGADGQMKLLRNDYGCRCGSGMMFHVEEAHIWLYLLVLCVVLTLYLVFKRKTASRLLAYRYSPRRPVAV >PVH47268 pep chromosome:PHallii_v3.1:4:1386503:1392732:1 gene:PAHAL_4G022100 transcript:PVH47268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHAKFCPGPLKGSCKSQDCAHAQEILKHSNDCQVRDCLYGQCKQSKEAIYHYNNCVNKHCLICREAIESLSRYCDQTSKQDTTERSINMVADETIDDQPPISKRLRLQPVPSNVFDSADAYVPQACPGFVSWQAHPKHLGQDRRIFPKQEQNIEIDIQPPQKVEIIVSGTIGKSSAIQSYVIPGVSNELYSHFEKENWLPNKDTNESVLDIKNSANDSTDTMMSNIEKTKRKGISLMELFTPEQIYEHVHSLRQWVGQSKAKAEKNQVIGHSENLNSCQLCKVEKLFFEPPPKYCSSCGARIKRNAPYYSGSITESGSYYFCVPCYSESRSDSILVNSIQLLKSKLAKKRNDDELEEAWVACDKCKRWQHQICALFNAKRNDEEKDAEYICHSCYIQEIEHGMRTPLPQNTVPGAKDLPRTVLSDHIEARLSQRLKEERQNRANKYGKNFNEVPGAEGLVVRVVSSVDKKLEVKPHFLEIFREENYPAEFPYRSKAILLFQRIEGVEVCIFGMYVQEFGAECAFPNQRRVYLSYLDSVKYFRPEIETVSGEALRTFVYHEILIGYLQYCKQRGFTSCYIWACPPFKGEDYIMYCHPEIQKTPKSDKLREWYLSMLRKAANEGIVVELTNLYEHFFNPKTDCKAKVTAARLPYFDGDYWPGAAEDIINQIFLPEDDRNLQKKGKLKKTITKRSLKLAGLTDLSGNASKDAMLMQKLGEAIYPMKEDLIMVHLQYSCRHCCIPMVSGRRWVCNQCKSFYICDKCYNVEEQHEGKERHPSNSMDFHILHPVETDGVPNDTKDRDGILGSEFFDTRQAFLSLCQGNHYQYDTLRGAKHSSMMVLYHLHNPTEPAFVTTCDICKNDIRTGQGWRCKECDYDECAACYKHNEGANHVHKLTKHPAGSDMDAHQKKSVETTEILLRLLAHAGSCPGRGGCQYPNCRKLKSLFHHGARCKTRSSGGCHLCKKVWSFIQLHARACKESQCNMPRCRDQNEHWRKLQLMQWQSESRRRAAVNQMMMQRQSESSSQAAGNGVNV >PVH48425 pep chromosome:PHallii_v3.1:4:50630682:50633979:1 gene:PAHAL_4G327600 transcript:PVH48425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYRGYASHSSYNRADPRGGYPGYLAPEGSLASYYASRPSVPPDGPDVLRNDVALQPRAYGYGHPAGVINPAFPGLSDLPAVARARGPSHLEDPSLAPLSGLAPDRAPGSSLLEEPAVMGRSSSLGKGAGIPDVERHSPLPNLDGPSEDESNILFVDCLPTDCTRREVAHLFRRCSGFKDIRLVHKEPRHSGDKAYVLCFVEFENAKCARTAMDNLQAYRFDDWKPDSPILKIQFATFPFRLPAAQDERHISR >PAN25813 pep chromosome:PHallii_v3.1:4:50630486:50634925:1 gene:PAHAL_4G327600 transcript:PAN25813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYRGYASHSSYNRDPRGGYPGYLAPEGSLASYYASRPSVPPDGPDVLRNDVALQPRAYGYGHPAGVINPAFPGLSDLPAVARARGPSHLEDPSLAPLSGLAPDRAPGSSLLEEPAVMGRSSSLGKGAGIPDVERHSPLPNLDGPSEDESNILFVDCLPTDCTRREVAHLFRRCSGFKDIRLVHKEPRVVTRLMSCALWSLRMQNVHALLWIISKHTALMIGSLTPRFLRSNSQRSLSVCLPPRTSAISRADYCLPSSSS >PVH48426 pep chromosome:PHallii_v3.1:4:50630486:50634841:1 gene:PAHAL_4G327600 transcript:PVH48426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYRGYASHSSYNRADPRGGYPGYLAPEGSLASYYASRPSVPPDGPDVLRNDVALQPRAYGYGHPAGVINPAFPGLSDLPAVARARGPSHLEDPSLAPLSGLAPDRAPGSSLLEEPAVMGRSSSLGKGAGIPDVERHSPLPNLDGPSEDESNILFVDCLPTDCTRREVAHLFRRCSGFKDIRLVHKEPRVVTRLMSCALWSLRMQNVHALLWIISKHTALMIGSLTPRFLRSNSQRSLSVCLPPRTSAISRADYCLPSSSS >PAN25814 pep chromosome:PHallii_v3.1:4:50630682:50633979:1 gene:PAHAL_4G327600 transcript:PAN25814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYRGYASHSSYNRDPRGGYPGYLAPEGSLASYYASRPSVPPDGPDVLRNDVALQPRAYGYGHPAGVINPAFPGLSDLPAVARARGPSHLEDPSLAPLSGLAPDRAPGSSLLEEPAVMGRSSSLGKGAGIPDVERHSPLPNLDGPSEDESNILFVDCLPTDCTRREVAHLFRRCSGFKDIRLVHKEPRHSGDKAYVLCFVEFENAKCARTAMDNLQAYRFDDWKPDSPILKIQFATFPFRLPAAQDERHISR >PVH47987 pep chromosome:PHallii_v3.1:4:37436471:37437927:1 gene:PAHAL_4G209900 transcript:PVH47987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNIAFGRFDDSFSAASLKAYIAEFISTLVFVFAGVGSAIAYTKLTGGAPLDPAGLIAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGIFYWIAQLLGAIVGAVLVQYSTGVATPTHGLSGIGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDFTNIWIYWVGPLIGGGLAGIVYRYIYMCGDHAPVAGSDF >PVH48089 pep chromosome:PHallii_v3.1:4:43704979:43706305:-1 gene:PAHAL_4G242100 transcript:PVH48089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGITVPGQFPMSSSIHRRAARGCYIWRGSARPILPPIIYDILSSPSSHAMPLATSFPSAGHASEEAILANPNLR >PAN25485 pep chromosome:PHallii_v3.1:4:49278933:49281642:1 gene:PAHAL_4G305600 transcript:PAN25485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAPPPHGGPRAAARGAPARGLGRHEYAVLALTFASYAAFHASRKPPSIVKAALSTEWAPFSGARGPHRLGELDVAFLSAYALAMFAAGHLADRADLRRLLGAAMLASGAACAALGAAYFFDVHALAFFAAAQVASGVVQSAGWPCVVAVMGNWFGHASKRGTIMGVWNSHTSVGNIAGSVLAAAVLEFGWGWSFLVPAFVIAALGVVVLVFLVAHPSEAGLEVQAMEIEMNGDGGEEVELLGEDKKEAQANEHDGLELELEMGSQLPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSIVFDIGGVLGGISAGFLSDAIGARAVTSVLFLFLSIPALIVYRTYGSLSMHHNIGLMFLSGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLAILFLIRLAKDEIVTKLSTRS >PAN25114 pep chromosome:PHallii_v3.1:4:47158576:47159711:-1 gene:PAHAL_4G276400 transcript:PAN25114 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MADFLFGSPFRHLFHARPFPAVEWSSSAAAAMDWVETPASHVLRVNVPGLGKEDVKVQVEEGNLLTVRGAAPPAGKGEKDGEDGAVWHVAERGKPEFARAVALPDNVRVDGIRAGVENGVLTVVVPKEPAPARPKPRPIPVHSKL >PAN22533 pep chromosome:PHallii_v3.1:4:1621914:1624115:-1 gene:PAHAL_4G025600 transcript:PAN22533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATALRTGAAILRALSAASAAHLHAHALKLGVLPSCLHLCSALLKAYGASGRVAAARQLFDEISRRDVPLWNALLSAYARSGHPGHALSAASAMARYAGARPNGVSVTSLLSACAQLRSSVRGREIHGYAVRNIVDLDLPVLNALVNMYGKCGLLADARMVFAGIGVGMRSDVSWTSMINACSENERPTEALEVFEEMRIAGVKVDEVTLLAVISACTKLDRTSSLGGWVEQCALENGFLKNTRVANALIHMHGKMGRVRRSCDIFDSMGLRTVVSWTAIIQALAMNGHGVAALVRFAQMLREGFQPDEVIFLSIINACGHSTLVNEGRQLFKSMVEEYRITPWMEHYGSMVDMLCKAGALDEAFEFTLAMPVKPDPVIWRVLAGACRDLGNASLARKVMEHVISMEPDYEGNYVLASNLYAADEDWRRVVDVRLDMGVRKGTPRGAIAASYVEVNGD >PAN23023 pep chromosome:PHallii_v3.1:4:4071226:4074721:-1 gene:PAHAL_4G062100 transcript:PAN23023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCSNGLLGLLNAGVLVLAVVALGGGAWLSHRASTDCERFLERPVIALGVLLLALSLAGLAGALCRASCLLWLYLLALFLLIVLLFAFTIFAFVVTNRGAGWVVSGRGYKEYRLGDYSTWLQRRVENAGNWAKIRSCIHDGKVCQKLGDRKETVTQFVNSNLSPIQSGCCKPPTGCNFTYQSETVWIKPDGFNTTTDDPDCTTWSNDQTALCYDCMACKAGVLANLKNDWKKIATVNIVFLIFLIVIYSVGCCAFRNNRQDNSDPAWK >PVH48040 pep chromosome:PHallii_v3.1:4:41199320:41199843:1 gene:PAHAL_4G225500 transcript:PVH48040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPWHHRSSPMVLCPGLTTPPCPRGAPHVAGALHRVLVGVPKLVALHGAGGGVAAVAISRVLGLSAGHIGGWRRAGSCMGPTLRLMGGYMAAYCRRRAHAAVAHRAARDRVAVKEVGAIGEGARQATRRGREGRSGLKSKI >PVH48069 pep chromosome:PHallii_v3.1:4:42851160:42854406:1 gene:PAHAL_4G235400 transcript:PVH48069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGIPTTRALCLVETGKSVVRDMFYDGNAKEEPGAIVCRVAPSFLRFGSYQIHASRGKEDLEIVRRLADYTIHHHYPHLENMKKSEGLSFDAAIGDSPAIDLTSNKYAAWAVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAELISQDEANYIMERYGTKFMDEYQSIMTRKLGLTKYNKQLISKLLNNLAVDKVDYTNFFRLLSNVKADPGIPENELLVPLKAALLDIGKERKEAWISWVQTYIEELVESGIPDEERKAAMNSVNPKYILRNYLCQSAIDVAEQGDYEEVRRLLKVMQHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >PAN23711 pep chromosome:PHallii_v3.1:4:8275961:8277705:-1 gene:PAHAL_4G111800 transcript:PAN23711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDDDACEAAPALHGRRRRGAAAEGDRCGGGSWVSGSTAGSAAGTVSGGSSATTSSLFSSTSSLTDEEEGGDGATSSSRPDRDVSSSSLSSLTSSGSETMQMGGAAGGPAGPLYALSTMLEDLPALRTGLSKYYKGRSQSFTSLADVSCVEDLAKKTAPYTRRKKQAPRCYAEVLGAKNRLSKTIAKKAPRGKPPAYQGKREMYRC >PVH47667 pep chromosome:PHallii_v3.1:4:8275961:8277706:-1 gene:PAHAL_4G111800 transcript:PVH47667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDDDACEAAPALHGRRRRGAAAEGDRCGGGSWVSGSTAGSAAGTVSGGSSATTSSLFSSTSSLTDEEEGGDGATSSSRPDRDVSSSSLSSLTSSGSETMQMGGAAGGPAGPLYALSTMLEDLPALRAVQVLQGKIPVLHVAGRC >PVH47778 pep chromosome:PHallii_v3.1:4:17228132:17229651:1 gene:PAHAL_4G150700 transcript:PVH47778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARQGWRILTNPESLCARVLGAKYFPDDDLLKVQERPGISYSWRSIVRGIPVKPGMDDLLAWHLDKKGIFFSVKSDYHVLVDNKKREARRQQGEGSSRSVQASDTEFKWKKIRKLSCQPKNISRRGMDIDTRCPVFWRLDEDGGHCFLKCKLVLTSLPSSKQVTEHILAMSEEKKLLIVDLLWAWWDARNKTNAGEQRSTTAEVIYRARSVTLQGQLEEAERKEAGTGEHDQRWIPPMPDVWKINIDAAFWEKELTGAWGFVVRDNHATTVLAGAGRISVVSDALCAEAHVCIAALQAAARLRACRTFLLVLNSTAS >PAN22962 pep chromosome:PHallii_v3.1:4:4051407:4054400:1 gene:PAHAL_4G061400 transcript:PAN22962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAPGNNSLALAAPRPGMELANVQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAIYCMKFNPSGTVIASGSHDKDIFLWYVHGECKNFMVLRGHKNAVLDLQWTTDGTQLISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >PAN25891 pep chromosome:PHallii_v3.1:4:51010566:51013056:1 gene:PAHAL_4G332200 transcript:PAN25891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGIVAVEDQERHYGGRITAFVALSCMTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPDVYRRMRGDTWVSNYCKFDSQLLTAFTSSLYVAGLLTTFLASRVTAGRGRRASMVLGGAAFLAGAAVGGASVNIYMVILGRVLLGVGLGFANQAVPLYLSEMAPARLRGAFSNGFQLSVGIGALAANVINFGTEKIRGGWGWRVSLALAAVPAGLLTLGALFLPETPNSLVQQGRDRRDVARLLQRIRGAGVDVGDELDDIVAANGAAAAGEGGGLRGFLFERRYRPQLVMAVMIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVASTFASMLAVDRFGRRTLFLAGGAQMLASQVLIGAIMAAELRDSGGVSKAWAGVLILLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRAAGQGVTVAVSFAFTVFVAQAFLFMLCHMRAGIFFFFAAWLAAMTAFVYLLLPETKGVPIEQVAGVWRAHWFWCRVVGPEPDDQARVGRGKL >PAN23512 pep chromosome:PHallii_v3.1:4:6874664:6878001:-1 gene:PAHAL_4G097500 transcript:PAN23512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQKAWRTASFGLYGFTQFTKSGFLEHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASHGATVYMLCRSKERGEAALNQIRSKTGNENVHLEICDLSSINEVKSFATKFTSMDKPLHVLVNNAGLLEHKRETTAEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVASGGMYTEPLNKDLQFSEGNFDGTLQYARNKRIQVALTEWWAEKYKGVGFYSMHPGWADTPGVAKSLPGFSEKLSGNLRSNDEGADTVVWLALQPKEKLSPGAFYFDRAEAPKHLKFAGTMSSHSQINSIVDSIRSICGLPALG >PAN22586 pep chromosome:PHallii_v3.1:4:1870979:1872175:-1 gene:PAHAL_4G029400 transcript:PAN22586 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATL43 [Source:Projected from Arabidopsis thaliana (AT5G05810) UniProtKB/TrEMBL;Acc:A0A178ULT1] MEPSRRLLLSDYDGAVESPLPSPPPSSATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGAGAGGGFGSSGAGAAGDRRNSGVDRAVVESLPVFRYGALRGQKEGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPPETKAAKEASPAPPAAPAPSPAPGGRRISGRHSTGSVRAPGRVGPSSRRSADGGVAVGCFDGAKVRKDRVLLVEPAAVVAEPDPEAFDRRFGHRILVSTAGGCEGETAPAAQQRWSDLRPSDLMFVRSEFLVTDAGRYSCSAAVNPGSARSAIGVRSLSELAGVCRLPPIRAEGEGGSEPRATGAPRRWRPGSSWWAPRGPPAPGRNGPSAC >PVH47350 pep chromosome:PHallii_v3.1:4:2631746:2633540:-1 gene:PAHAL_4G042700 transcript:PVH47350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENDSDTIARSNSPDLAFSSSLKQKNMKSPMKKLRKRKEKRANALENDKIASSIVMLANSVASSAPAPADPYANLWKRIEDIPFLPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGAKYGASGGYACEYGSSK >PAN23526 pep chromosome:PHallii_v3.1:4:6991093:6994191:1 gene:PAHAL_4G099100 transcript:PAN23526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARSRSLALAMLMLVLLNLALVSSGRKSGLTPAAPGSHVGSNNPPVSSHGGHPQAPPETPANTGYGAHVFKGDYDHGSPGPVPPSSCCGH >PVH47784 pep chromosome:PHallii_v3.1:4:17497434:17497685:-1 gene:PAHAL_4G152200 transcript:PVH47784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDSSQLSSLSRVVHLLLFRQLMVFLFHLLAGVFFLHPLSIFLLFLMFLSSPCS >PVH48481 pep chromosome:PHallii_v3.1:4:51396201:51396746:-1 gene:PAHAL_4G339200 transcript:PVH48481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPWRHRSSPMVLCPGLTTSPCPKCAPRVAGALGRVLVGVPELVALHSTGGATAVAILQAMGSSRRPPTHGRGPGSRELGLRAWQRRLGHAGHIGGWRRAGSYMGPTLWLMGGYAAAHCRRRAHAAVAPRAARDRVAVEEVGAVGAGARQATRRGKEGRSGLKSKI >PAN24168 pep chromosome:PHallii_v3.1:4:25127878:25129985:-1 gene:PAHAL_4G175500 transcript:PAN24168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSTMAPVCGAPGCGQRLEELIFRCYCGDPAWCVTSGNPKSVKPGHGMDCKGSEISLDFGQFGSWPPIVEPALRQFLKRVTSFNC >PAN24166 pep chromosome:PHallii_v3.1:4:25129315:25129802:-1 gene:PAHAL_4G175500 transcript:PAN24166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSTMAPVCGAPGCGQRLEELIFRCYCGDPAWCVTSGNPKSVKPGHGMDCKGSEISLDFGQFGSWPPIVEPALRIGGGSNGC >PAN22390 pep chromosome:PHallii_v3.1:4:1066188:1070565:1 gene:PAHAL_4G016500 transcript:PAN22390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVACRRALLLHGQHHWPQQRWAAAPSSARSISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQESNISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >PVH48241 pep chromosome:PHallii_v3.1:4:48032379:48033196:-1 gene:PAHAL_4G288400 transcript:PVH48241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHMLESRGFRLSRTKTEYMMCDFSPTRYEDGDVSLEGQVKDGDIDEDVRHRISAGWLKWRQASGVLCDRKVPQRLKGKFYRTAIRPAMLYGAKCWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEDIRDRVGVAPIEEKLIQHRLRWFEHVQRRPPEAPVRSGVLKRGDNTVKRDLKEWNIAKELAVDRSTWRLAINVSEP >PVH48421 pep chromosome:PHallii_v3.1:4:50599538:50605225:-1 gene:PAHAL_4G327100 transcript:PVH48421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQWSLCIFQPPDVTDTIQGRQLLEPLLEVSFFGGKLYAIDCFSTFLNIDLVEGLEHKPKISPFKTIIDPSDDLLGKPEPMSSDEEYVVIPYLVECAGKLLMVRRWIRPLHPAPCIDYDRTGAFDVFEADLSSKPCRWRGVNDLGGHALFVSSSSSKSFPAGECSGVQENYIYFMNEFSGLKLVADPLHDSGVYNMKNGVITPLLSETAAVPSNHVGPWRPTWLFPTQAM >PAN25575 pep chromosome:PHallii_v3.1:4:46223884:46225827:1 gene:PAHAL_4G266500 transcript:PAN25575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVENDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDKKSKHRHDSSDDEEEERKNKKKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDTVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEEFDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLVKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPTLEKVVHEKVNASTSCDDLLIDAYATNVVPKLVSSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLSSRSLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN24014 pep chromosome:PHallii_v3.1:4:28357726:28359884:1 gene:PAHAL_4G184800 transcript:PAN24014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 11 [Source:Projected from Arabidopsis thaliana (AT1G68850) UniProtKB/Swiss-Prot;Acc:Q96519] MQAQKLITETSELLFTSCRGTGRTWPEFRMAAGVLCFRVFALSMACLLLAVPLLVAQDPSKLSLEYYSKTCPNVEHVVRTEMECAVRADTRNAALMLRLHFHDCFVQGCDGSVLLDDTATMIGEKQADQNVNSLKGFELVDKIKEKLEADCPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDSKKASLDLANSDIPTAQQGLLTLIAKFWQKGLDATDMVALVGSHTIGFARCENFRDRIYGDFEMTTKYNPSSETYLSKLKEVCALDSGDDNISAMDSHTSAIFDNAYYETLIKGEGLLNSDQEMWSSIAGYSTADTVNKYWADPAAFFKQFSDSMVKMGNITNPAGGEVRKNCRFVNT >PAN25224 pep chromosome:PHallii_v3.1:4:47763456:47768128:1 gene:PAHAL_4G285400 transcript:PAN25224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDSLSKFKQQQERCQSSLASIAASASKPKHRAQPVNAPSAPARPSQPIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAFTAEQINEATYVDIHGNKAVFDSLRNNPKVSYDGRRFSYKSKHDLKGKDQLLVLVRKFPEGLAVVEVKDAYPNVLEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREIELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVKPKAKPKKKQREITKRTKLTNAHLPELFQNLNT >PVH48247 pep chromosome:PHallii_v3.1:4:48072817:48075350:-1 gene:PAHAL_4G289100 transcript:PVH48247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCSSLAWLSIVRCHLDDELKVDLPLPSLLYLSVAHCRVTGIKFNAMKLKTFNCRGSRYPIDLTQSLELKDAHLYFFYSVTLEYTLSKLPTVLPSVEYLYLRAAATLKTPALLENTCRFSQLKYLQLELYMVHEDADNILSLASILTSKGSSSS >PAN22676 pep chromosome:PHallii_v3.1:4:2280036:2281054:1 gene:PAHAL_4G036200 transcript:PAN22676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLHFVAAWLFPGAAAMVARATTPKCHEHDNASSLAFSVEAADSASSISSPSSSSSSSSDGHPRFFTPRQQSPTASPLCFGAGAGGAGRLLRGSRGARAGPSTKHDHAAAASVAPAAKGDVVGRYLRKISRRLRKARNPNKGSPPSPRCAVDDTARERAESVARAISYCKDTLRRGAAPAQPPPSPSLDDWLHDRQEEIIASAAAHCHERTYSQTPPPRPPSPSTASVVAHGDGEESPHHGRDANDKCRGESVKAAKTAASSSPSDLAADELEKPGSFDEMEFRKIFDGDEEMIGRHFITVQI >PAN24839 pep chromosome:PHallii_v3.1:4:45420213:45430758:-1 gene:PAHAL_4G256200 transcript:PAN24839 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MSGKKEEEEEEEEDAQVNALACFLHDTYSDSFRSILLDDDPSKLHFPLVIEFAELMDFDPKFAGKLYSSPDKYLPFLDKAAKWVKDKMLEKLLEELGDLKHAVPRKYVRVRIDVSGSPLEFPEASPSIGKVRVNHMRKLITLKGTVIRSGGVKMIEYERDYMCRKCQHSFSVCPELEAGNRINLPALCPSKSSRGCGSASFQFIEGSTVCRDYQEIKIQENVQLLGVGSIPRSIPVVLMDDLVDSIKAGDDVIITGILSAKWSPDIKDVRSNLDPMLLANYVRSTNELKSDIDIPAETEEEFKHFWEKYRLTPLKGRNFILEGICPQIFGLFTVKLSVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPDESLSVNTTLSGPLLSRFDIVLVLLDTQNTDWDKIVSSHILKENFDEKKDKTNASDAKWMLPKLRRYINYVKRFKPVLTKEAERVISSYYQLQRKSGTHNAARTTVRMLESLIRLAQAHARLMFRNEVKQLDAIAAILCIESSTTTSPIVDTVGNALHSNFTENPDEECILTCDLDSSHFISKISLKTSN >PAN24840 pep chromosome:PHallii_v3.1:4:45419470:45430758:-1 gene:PAHAL_4G256200 transcript:PAN24840 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MSGKKEEEEEEEEDAQVNALACFLHDTYSDSFRSILLDDDPSKLHFPLVIEFAELMDFDPKFAGKLYSSPDKYLPFLDKAAKWVKDKMLEKLLEELGDLKHAVPRKYVRVRIDVSGSPLEFPEASPSIGKVRVNHMRKLITLKGTVIRSGGVKMIEYERDYMCRKCQHSFSVCPELEAGNRINLPALCPSKSSRGCGSASFQFIEGSTVCRDYQEIKIQENVQLLGVGSIPRSIPVVLMDDLVDSIKAGDDVIITGILSAKWSPDIKDVRSNLDPMLLANYVRSTNELKSDIDIPAETEEEFKHFWEKYRLTPLKGRNFILEGICPQIFGLFTVKLSVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPDESLSVNTTLSGPLLSRFDIVLVLLDTQNTDWDKIVSSHILKENFDEKKDKTNASDAKWMLPKLRRYINYVKRFKPVLTKEAERVISSYYQLQRKSGTHNAARTTVRMLESLIRLAQAHARLMFRNEVKQLDAIAAILCIESSTTTSPIVDTVGNALHSNFTENPDEEYKTQEKKILKKLGLIEGSP >PVH48129 pep chromosome:PHallii_v3.1:4:45419500:45430758:-1 gene:PAHAL_4G256200 transcript:PVH48129 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MSGKKEEEEEEEEDAQVNALACFLHDTYSDSFRSILLDDDPSKLHFPLVIEFAELMDFDPKFAGKLYSSPDKYLPFLDKAAKWVKDKMLEKLLEELGDLKHAVPRKYVRVRIDVSGSPLEFPEASPSIGKVRVNHMRKLITLKGTVIRSGGVKMIEYERDYMCRKCQHSFSVCPELEAGNRINLPALCPSKSSRGCGSASFQFIEGSTVCRDYQEIKIQENVQLLGVGSIPRSIPVVLMDDLVDSIKAGDDVIITGILSAKWSPDIKDVRSNLDPMLLANYVRSTNELKSDIDIPAETEEEFKHFWEKYRLTPLKGRNFILEGICPQIFGLFTVKLSVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPDESLSVNTTLSGPLLSRFDIVLVLLDTQNTDWDKIVSSHILKENFDEKKDKTNASDAKWMLPKLRRYINYVKRFKPVLTKEAERVISSYYQLQRKSGTHNAARTTVRMLESLIRLAQAHARLMFRNEVKQLDAIAAILCIESSTTTSPIVDTVGNALHSNFTENPDEEYKTQEKKILKKLGLIEGSP >PAN24847 pep chromosome:PHallii_v3.1:4:45420986:45430535:-1 gene:PAHAL_4G256200 transcript:PAN24847 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MSGKKEEEEEEEEDAQVNALACFLHDTYSDSFRSILLDDDPSKLHFPLVIEFAELMDFDPKFAGKLYSSPDKYLPFLDKAAKWVKDKMLEKLLEELGDLKHAVPRKYVRVRIDVSGSPLEFPEASPSIGKVRVNHMRKLITLKGTVIRSGGVKMIEYERDYMCRKCQHSFSVCPELEAGNRINLPALCPSKSSRGCGSASFQFIEGSTVCRDYQEIKIQENVQLLGVGSIPRSIPVVLMDDLVDSIKAGDDVIITGILSAKWSPDIKDVRSNLDPMLLANYVRSTNELKSDIDIPAETEEEFKHFWEKYRLTPLKGRNFILEGICPQIFGLFTVKLSVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPDESLSVNTTLSGPLLSRFDIVLVLLDTQNTDWDKIVSSHILKENFDEKKDKTNASDAKWMLPKLRRYINYVKRFKPVLTKEAERVISSYYQLQRKSGTHNAARTTVRMLESLIRLAQAHARLMFRNEVKQLDAIAAILCIESSTTTSPIVDTVGNALHSNFTENPDEECILTCDLDSSHFISKISLKTSN >PVH48130 pep chromosome:PHallii_v3.1:4:45420986:45430535:-1 gene:PAHAL_4G256200 transcript:PVH48130 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MSGKKEEEEEEEEDAQVNALACFLHDTYSDSFRSILLDDDPSKLHFPLVIEFAELMDFDPKFAGKLYSSPDKYLPFLDKAAKWVKDKMLEKLLEELGDLKHAVPRKYVRVRIDVSGSPLEFPEASPSIGKVRVNHMRKLITLKGTVIRSGGVKMIEYERDYMCRKCQHSFSVCPELEAGNRINLPALCPSKSSRGCGSASFQFIEGSTVCRDYQEIKIQENVQLLGVGSIPRSIPVVLMDDLVDSIKAGDDVIITGILSAKWSPDIKDVRSNLDPMLLANYVRSTNELKSDIDIPAETEEEFKHFWEKYRLTPLKGRNFILEGICPQIFGLFTVKLSVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPDESLSVNTTLSGPLLSRFDIVLVLLDTQNTDWDKIVSSHILKENFDEKKDKTNASDAKWMLPKLRRYINYVKRFKPVLTKEAERVISSYYQLQRKSGTHNAARTTVRMLESLIRLAQAHARLMFRNEVKQLDAIAAILCIESSTTTSPIVDTVGNALHSNFTENPDEECILTCDLDSSHFISKISLKTSN >PAN24844 pep chromosome:PHallii_v3.1:4:45418870:45431021:-1 gene:PAHAL_4G256200 transcript:PAN24844 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MSGKKEEEEEEEEDAQVNALACFLHDTYSDSFRSILLDDDPSKLHFPLVIEFAELMDFDPKFAGKLYSSPDKYLPFLDKAAKWVKDKMLEKLLEELGDLKHAVPRKYVRVRIDVSGSPLEFPEASPSIGKVRVNHMRKLITLKGTVIRSGGVKMIEYERDYMCRKCQHSFSVCPELEAGNRINLPALCPSKSSRGCGSASFQFIEGSTVCRDYQEIKIQENVQLLGVGSIPRSIPVVLMDDLVDSIKAGDDVIITGILSAKWSPDIKDVRSNLDPMLLANYVRSTNELKSDIDIPAETEEEFKHFWEKYRLTPLKGRNFILEGICPQIFGLFTVKLSVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPDESLSVNTTLSGPLLSRFDIVLVLLDTQNTDWDKIVSSHILKENFDEKKDKTNASDAKWMLPKLRRYINYVKRFKPVLTKEAERVISSYYQLQRKSGTHNAARTTVRMLESLIRLAQAHARLMFRNEVKQLDAIAAILCIESSTTTSPIVDTVGNALHSNFTENPDEEYKTQEKKILKKLGLIEGSP >PAN24935 pep chromosome:PHallii_v3.1:4:41630384:41631292:1 gene:PAHAL_4G229100 transcript:PAN24935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQWIYWDAEGYAHTACLHWEGFPKILWDTLRIFHYRYPPQYKGREFTEVRVPRCCATVIVPQHPILGWQSIEIEVIGYRLVDAFEAAALKAITTFCEQHPEEVAAYPIGLFPAVFAHDAEWNYRTNHFGHLVGSLAEETLKAVIRYMNAQYHFQSLKQQCMDDMVNLAQDFHRSLTLKDDQIHSLGQGIAGRDTTIGYLEVQILEGDAQILQCNTVIDFLQEQVHDLNQELGDALGHIEMLQKQQMPPLVPNELEEEEDSEEEPEEIEGVFEIDSEHGDLEPNPQLNHYSSGSHSSSGS >PAN22929 pep chromosome:PHallii_v3.1:4:3569736:3574545:1 gene:PAHAL_4G054100 transcript:PAN22929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL17 [Source:Projected from Arabidopsis thaliana (AT2G07180) UniProtKB/Swiss-Prot;Acc:Q8H1E3] MGGCFSQEEHRLQSRPAEAAGPDGLKKSKSDSKAIASVLAPPKDVVDLQVEGYGNVNIFTYDELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSRQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKTRPTREHNLVDWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNSITGAVTLYEVPKEPTESVEKEKTKQEPAVLPAVKTAAVPPVNGKPVPQSRRTRPGNGRSKSEPSLECKMYIPSPDSDGQQLGLEALASPSRDRSIPDPPDEDLYKI >PAN23641 pep chromosome:PHallii_v3.1:4:7782427:7782720:-1 gene:PAHAL_4G106900 transcript:PAN23641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFTKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PVH47464 pep chromosome:PHallii_v3.1:4:4526052:4543461:1 gene:PAHAL_4G068600 transcript:PVH47464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVERHDEENPTTSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDEKAEDAVDYEDIDEEYDGPEVEAATEEDNLLSKKDYLSSAGYASVNNTVSVFDEENYDEDEETPNDNEPPGDNAVQNLSSDSIEQTDMATSSDNLALENIGSLSHPEEIMDFEYEVLEQNEMGTEDGQHEPESVTSLPVLCVEDGNVILRFSEIFGIQEPVRKVKTDHHKRPVNKELQITNVADIVEEDEEIILRSSIQNFSTLNHIQMNEDFVESDSDESITDVTLRLKDSCLSEQPMKDAHTVQRSLVCPDFYPLEHDDWENDIIWNNSPSTDRQPCAKICESEDSVDRHSDDQGKDYGQVSRCWDVQSKSNGSPVIEEPFGCTEMPAPANCHSPGNNYPPLTNDDNIDHIRPNNLDEAVKINTMLRLNNLSLLNRELLEGSWLDNIIWDPSEGTPKPKLIFDLKDDHMLFEILDEKNADHLRSHASAMIVSQSMKTSTPTVENFDNQAKTLSVRFNISNDKFYSNRKTPQQAKSHTKKRALMGIKVVHSAPAHKLQTMKPVLSNKEIANFHRPKAKWYPHENKITAQLQGATCSHGKMTAILMTLAGKGIKILVNAEDTPVSVKLKASKKLELKPSEKIKLFCSGKELQDDISLAMQNVRPNSILHVVRTEVNLWPKAQKLPGEDKPLRPPGAFRKKTDLSVRDGHVFLMEYCEERPLLLSNAGMGARLCTYYQKTSPADQTATSLRNNGDGLGTVLAIDPADKSPFLGDIRSGSHQSCLETNMYRSPIFPHKVAQTDYLLVRSAKGALSLRRIDKLYAVGQQEPHMEVFSPGTKNVQNYLLNRVLAYVYREFRARERPDVTPQIRADELPIQSPLTEAIVKKRLKHCADFKKGPKGHFFWTQRADFRVPSEEELRRLLTPESVCCYESMQAGLYRLKRLGIVKLTQPVGLASAMNQLPDEAIELAAASHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVAPKAPASNSMLKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAIDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDDEDTSTADLRSDKADGMRGLKMRRCPTHAQVNEEIEDDEAEASLAKKLLEDNGNDMKRKKQPEGLTNCGTSIGANKMKQNKTGQMIKSSGYSGALTPKEGMPREAKEAENSFAEGSLPTKLKTKMAFDGNDILLVKKKSAPGKDGPTKEKRQGARGDTLVCGACGLLGHMRTNKLCPKYGEDQEASEMDANSVKSNPTDIGNHLQTKTPKRLITKVSSEVTETEGPEGIEKTKSVPVKFKLGVPDKSLERNMSVSGSLVSDKRIMDVTDHRSSGKVNKIIIPNKMKSEDYPPDTPKPSVVFRPPAEEKDVPRKKITIKQPKVVDQQRLVEPRNGQEPTRKTRKIVELSSFEEKSREDDHWFGGEPSQMSSSHERRLDLEGKRRSKTIMENEKSWRDFEEQREMPQQRLIDARIYASREEDHQKAKKKNKKKKKHEFRDDDLLDHRPYKNDRRVPERHRAVKRSTPADVIECAPSAKRRRGGEVELSNILEKIVDHLRGETQISLLFLKPVTRKDAPDYLDIVRRPMDLGTIRDKVRKMEYRNRYEFRHDVAQIQLNAHIYNDERHPHIPPLADSLMEMCDYLLNESAEVLAEAEDAIEH >PAN26140 pep chromosome:PHallii_v3.1:4:52183417:52183867:-1 gene:PAHAL_4G350400 transcript:PAN26140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRDRCGACLMLMLFLFAFPAQCHPHQQQLGVGAARRSRAYSLTDTTLDEIKLRLKFCTQRVCDKRLCYCCWNEKPESLCYGTWQECKNECPLCNPKCPPGQSTTA >PAN23344 pep chromosome:PHallii_v3.1:4:5949731:5951497:1 gene:PAHAL_4G085800 transcript:PAN23344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAPRSSSSKRRAAAAAVAVLAVSLSLPLLVPFGAAQQEIDDELEFSYRRDAGNGPERWGAVRRDWAACSAGRLQSPIGLSDAVAGLADRPGRLGRSYRPAAASIVNRGHDIMVRFNSNPGGVVIDGVAYRLRQMHWHAPSEHAINGRRYALELHMLHQSDANSNKYAVVAQLYTISRSRRDRTIHRLERYIRRIARRKDHEELIDDTVDPRRPVSRSTVYYRYAGSFTTPPCTEGVTWVVARQIRRVTRRQVRLLRNAVHDGARRNARPIQEANGRGVSFYYTSPGHGRGAPGD >PVH47553 pep chromosome:PHallii_v3.1:4:5949731:5951497:1 gene:PAHAL_4G085800 transcript:PVH47553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAPRSSSSKRRAAAAAVAVLAVSLSLPLLVPFGAAQQEIGRRFGFTRARPRHHDELEFSYRRDAGNGPERWGAVRRDWAACSAGRLQSPIGLSDAVAGLADRPGRLGRSYRPAAASIVNRGHDIMVRFNSNPGGVVIDGVAYRLRQMHWHAPSEHAINGRRYALELHMLHQSDANSNKYAVVAQLYTISRSRRDRTIHRLERYIRRIARRKDHEELIDDTVDPRRPVSRSTVYYRYAGSFTTPPCTEGVTWVVARQIRRVTRRQVRLLRNAVHDGARRNARPIQEANGRGVSFYYTSPGHGRGAPGD >PVH47332 pep chromosome:PHallii_v3.1:4:2404522:2406270:1 gene:PAHAL_4G038500 transcript:PVH47332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSSAGAGAASASPSASASASDPTPSWWESVSQARSRIQALSSILPPAASQDVAALADSDRPARALLRSPAAYAALSDALRAGGGADDPACHWLYDTLLSADPDLRLAALAFLPLLAALYLRRLPPELPSSLSGFEAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPASSPSSKSPRRPQPPPIPPPQATPVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYSKILQMPAASKVDACNAVAAWAGQYCKCRFELDDKELEEEEGDSLGSVSPLSSEAENGKELEEELARMRINGDTSGRNCHEDYDKEARVPLPWELLQPVMRVLGHCLLAPLNPVEVRDAAADAVRVVYARACHDLVPQAILAARSLIELDKSARKAAKAAAAAASGTIVAAGTAGSTASSSRPSSKPNTPSKQRKPDTLLVSK >PAN23585 pep chromosome:PHallii_v3.1:4:7379900:7386372:-1 gene:PAHAL_4G102700 transcript:PAN23585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHADLDRQISQLRECKFLAEAEVKVLCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDTPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDMTRKTPDYFL >PAN23502 pep chromosome:PHallii_v3.1:4:6818825:6820147:-1 gene:PAHAL_4G096800 transcript:PAN23502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGASQSSNPPSSVRPINTACEINRASPSRAASAFGYPQMASFARAARLLTLLQVALLVVSAVLMSGSAVCHGARNAGIRHPGTGWPSGGRRRPGGSRPTAPPPAPSGETPQP >PAN23503 pep chromosome:PHallii_v3.1:4:6819224:6820147:-1 gene:PAHAL_4G096800 transcript:PAN23503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGASQSSNPPSSVRPINTACEINRASPSRAASAFGYPQMASFARAARLLTLLQVALLVVSAVLMSGSAVCHGARNAGLGIRHPGTGWPSGGRRRPGGSRPTAPPPAPSGETPQP >PVH48026 pep chromosome:PHallii_v3.1:4:40668580:40672073:1 gene:PAHAL_4G223100 transcript:PVH48026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRHRPSFFCTGTTLEIHSTYLHGLMKPATNIFSTSFLTSSRTSAFICHARCWNGRNLGLRGSRCSIILLSNPGISV >PAN22208 pep chromosome:PHallii_v3.1:4:175174:177914:1 gene:PAHAL_4G003800 transcript:PAN22208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPTGCFKCGRPGHWSRDCPSAPPASADQTTNPSRFASSYPNAKPRPPPAEGDASAENKNKKRKKERATRPKLTPDLLLSDDGIGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFEQFVRKLEKVGATNRVRRCISELRDRVARGGDPTVLHEAPVEEVTPADGTAPEDPFLGTEDNHATGPAHKDLMDPPMDSNDVDLMQEDLLDEIYEKAADDPRIPSGEGSNKEASEPLAPKEASSHDAAAGKTQKQQDGASSSKRAKIELTEEQRARMEANRLKALERAAARARASQSHAT >PAN22207 pep chromosome:PHallii_v3.1:4:175175:177914:1 gene:PAHAL_4G003800 transcript:PAN22207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPTGCFKCGRPGHWSRDCPSAPPASADQTTNPSRFASSYPNAKPRPPPAEGDASAENKNKKRKKERATRPKLTPDLLLSDDGIGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFEQFVRKLEKVGATNRVRRCISELRDRVARGGDPTVLHEAPVEEVTPAGEPDGTAPEDPFLGTEDNHATGPAHKDLMDPPMDSNDVDLMQEDLLDEIYEKAADDPRIPSGEGSNKEASEPLAPKEASSHDAAAGKTQKQQDGASSSKRAKIELTEEQRARMEANRLKALERAAARARASQSHAT >PAN23157 pep chromosome:PHallii_v3.1:4:4797905:4801214:1 gene:PAHAL_4G072000 transcript:PAN23157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGQTLGRSSFSRATDNQAASSSGATGVKLGPNGGIFVSSGIPDLDRILGGGFLLGSVVMIMEDTDAPHHLLLLRCFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAPVSSSKEDGRHRAMGVGPSSDGRANDEGLRIAWQYKKYFGDEKTSRAEHKDNKQEFSNDFDLRKPLERHLLNGQNIECVSTQEADTLSNLQDRCSAFLSKLPRKDGGSLTAGRIAIQSLCAPHCGYFEKDWDMVSFIKSVKAMVRSSNSVAVITFPSTVLSNSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHIHKVAQTNSQVPVILEASTLSLKLRKRRSLVLEGLNQAPVDGSSGPSSAASGSCSSSQGSQLDF >PAN23158 pep chromosome:PHallii_v3.1:4:4797989:4800689:1 gene:PAHAL_4G072000 transcript:PAN23158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGQTLGRSSFSRATDNQAASSSGATGVKLGPNGGIFVSSGIPDLDRILGGGFLLGSVVMIMEDTDAPHHLLLLRCFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAPVSSSKEDGRHRAMGVGPSSDGRANDEGLRIAWQYKKYFGDEKTSRAEHKDNKQEFSNDFDLRKPLERHLLNGQNIECVSTQEADTLSNLQDRCSAFLSKLPRKDGGSLTAGRIAIQSLCAPHCGYFEKDWDMVSFIKSVKAMVRSSNSVAVITFPSTVLSNSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHIHKVAQTNSQVPVILEASTLSLKLRKRRSLVLEGLNQAPVDGSSGPSSAASGSCSSSQGSQLDF >PVH47476 pep chromosome:PHallii_v3.1:4:4797905:4801214:1 gene:PAHAL_4G072000 transcript:PVH47476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGQTLGRSSFSRATDNQAASSSGATGVKLGPNGGIFVSSGIPDLDRILGGGFLLGSVVMIMEDTDAPHHLLLLRCFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAPVSSSKEDGRHRAMGVGPSSDGRANDEGLRIAWQYKKYFGDEKTSRAEHKDNKQEFSNDFDLRKPLERHLLNGQNIECVSTQEADTLSNLQDRCSAFLSKLPRKDGGSLTAGRIAIQSLCAPHCGYFEKDWDMVSFIKSVKAMVRSSNSVAVITFPSTVLSNSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHIHKVAQTNSQVPVILEASTLSLKLRKRRSLVLEGLNQAPVDGSSGPSSAASGSCSSSQVEELVVFMKRKLRGGDMTDGNKFAV >PVH48007 pep chromosome:PHallii_v3.1:4:39077368:39078689:-1 gene:PAHAL_4G216700 transcript:PVH48007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDRSTCRQLDFTLGINMAGQTHVRNQRPISSHLLRKYQYQYQQRLQYETEEEEYERRTGKRLRRREDTRDHWHCPFFIFCWDSGMKRLSTLEDCPECNSQKQDTRSTSVFQCLGPGQSRREQDKSTYTVGNSEDEEDKYHRPRWCPDGLNRSQKRRVQRLRSLEEAEAQYLETLRKARPDLAEKVHNLRKVESSSKKVWRPKKSKTDENTSANAHMVFVLPAEFHVPGREEVPVAQLDLGPRPVIFEKPREKNYRHLKALYLKGYINGQPVSRMLVDMGAAVNIMPYSVLCKLGHSVGDLIKTNITLSDFNGQTSEAQGVLSVDLTVGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSAEVSHVAMSV >PAN24427 pep chromosome:PHallii_v3.1:4:40098864:40100073:1 gene:PAHAL_4G220300 transcript:PAN24427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNATVSPAGPGENPQETSNNERAAIATSDPTPPTHSSEGTGNKLVNSQTTTKTDDPNNASSNIVPILRDRDDRDNKGNVAAEKVLSVSANLAKLLPSGAVLVFQTLSASFTNQGTCYTTNKWLSALLVGFLTAACIFLTFTDSIVHDNRIYYGVALPGRLKVFALSQAEERRLLRVLKKDLVERRLKTLDWVHAFFTAIVFLSIAMGDVGLQKCFFPHLDTETNVKELLRNAPLGLALLSSFVFMIFPTTRHGVGFENGSRQPAAEQKRKDDPKAAAKSSKPGDIEKGQAPADSNKA >PAN25205 pep chromosome:PHallii_v3.1:4:47672940:47677636:-1 gene:PAHAL_4G283900 transcript:PAN25205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFDRSRESLLPSFLYAPAAAKSFAGSSSLPASPAAAPASGGAPSFPIQAPKEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFIRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKPFQLGISFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAPTAEEAALKASA >PAN23375 pep chromosome:PHallii_v3.1:4:6052483:6056339:-1 gene:PAHAL_4G087100 transcript:PAN23375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWFSSGSGPSSSAASSSSQPSLLAEWNSYAAARSAEDAGDGFGIDIEAAVRSANDRVAGTFGVVSKGVKGLPGSFKSTTSSVTSGGSLMYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTAGCAFIIGSFFALKGPKNQLYHMISKERLPFTVGFVGSMVATIYVSLVLHSYILSVFFSVLQVLALAYYAISYFPGGSAGMKFLSSALVSSVLRCFGR >PAN23156 pep chromosome:PHallii_v3.1:4:4801215:4804611:-1 gene:PAHAL_4G072100 transcript:PAN23156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSSDSQPTDAFEYMLLEKDPDLYRMVFSGPSQISPWIDPSVLKLKHRIGRGPFGDVWIATHHQKTEDYERYHEVAVKMLHPIKEDQLQLFSARFDEIFSKCQGLDNVCFLHGISTQNGRICIAMKFYEGSVGDKMARLKGGRLSLSDVLRYGADLARGILDLHNRGIMILNLKPCNFLIDEHDHAVLGDFGIPSLLFGLSLPHPDLIQRLGTPNYMAPEQWQPNIRGPISYETDSWGFACSILEMLSGIQPWRGKSPDEIYQLVVLKKEKPIFPYSLPPGVESVLSGCFEYDFRNRPSMKDILHAFESAKDADHDTTGWDNSENVTVDRPTMANHTNWLLFKDKLQVGDKVRSRKLKSSCSPETMEIPDGTIVGMEDDGERDGFILVRVHGLHGPLKVRCSAVERVTYGFAAGDWVRLREEDKKRSQVGILHSIDRDGTVTVGLIGMDNLWKGNYSDLQMAEAYCVGQFVRLKANISSPRFEWQRKRGGGLATGRITHVYPNGCLLVKFPGKFSLGEVCICLADPSEVEVVSFDKCEGLVKKYEHLEDFHWAVRPLFIAIGFFTALKLGVFVGKGIVRPRSRKVASISDQGDDYQQQQVVQNNANAAWLPPPVANMLFGDGVASSG >PAN23809 pep chromosome:PHallii_v3.1:4:9474256:9475059:1 gene:PAHAL_4G119900 transcript:PAN23809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTTISALAVLLLAAVAFHQQPARAADIACPAVLQDMAPCLPFLQGGGGSPSGDCCAGVRSLYASADTTAERRATCECLKTAYRQVQAQLSAAQALPDDCGLSLPYVITPDIDCNTIE >PVH47715 pep chromosome:PHallii_v3.1:4:10627762:10628563:-1 gene:PAHAL_4G127300 transcript:PVH47715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGSKNLMEFLVPGEELELTAEDHLQMSEGMKFILDGYGFEVEAEMVNSRIINMAAAVYEFDFRVNKHTDFLCYGGEKLQEVSQIDTQNWDPLKLATALKLIACPGEDITTGGCEELNMNACLAIYRDIAVACKHRVKVLDLLASRVKEAKEELKLHQGF >PVH47795 pep chromosome:PHallii_v3.1:4:18030551:18031351:-1 gene:PAHAL_4G154100 transcript:PVH47795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPDHAIASEKGIDAESLAKSTSGQPIKYSLVSIKPLISHDARTLKDFMNGRSTKSLAYRKKKKITDGSSSPTATPTPDISAVTTNLIQTIQVSFSRFQTAPRSPP >PAN23471 pep chromosome:PHallii_v3.1:4:6580979:6582580:-1 gene:PAHAL_4G094600 transcript:PAN23471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSANSAASPAVSGLDYDDTALTLALPGSSSSTADPTAAADRKRAHADHYKPPSPKARAVGWPPVRAYRRNALRDEAKLVKVAVDGAPYLRKVDLAAHDGYAALLLALHGMFASCLGADGAGRLVDAATGAEYVPTYEDKDGDWMLVGDVPFKMFVDSCKRIRLMKSSEAVNLSPRTASQ >PVH47854 pep chromosome:PHallii_v3.1:4:22480532:22481266:-1 gene:PAHAL_4G168000 transcript:PVH47854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDRICHAEPGLPKLLILSLECIRVMEPPEYAYREYTSKGTLRCDMMVFVGRSARYPDVDPWFISTSGFRFPDTYRKAAREALRRLRGIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDELYREQAAQLKQLIHRAEKATQELEEQQIRAARAEYSLAALQAQMQEYENRRGIGGWIEEEEEPEETHWDKGTQTEDEVMDRCLPIKKRPIRIGEESP >PAN26237 pep chromosome:PHallii_v3.1:4:52630545:52635629:1 gene:PAHAL_4G357200 transcript:PAN26237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSSTQAAQTPQQLVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCRLGGPGEEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYANKQRQLVGMRKTLVYYKGRAPNGHKSDWIMHEYRLETNENGPPQEEGWVVCRVFKKRLPTTRRESDHDAPCWYVDEDEPFMHDLNSPMSRMPPHHAMPQLQEQHLQMLNNTYKRELKLQFQMPSHHVLNTIPHELESPPFHSLLVSPDHQTNLHHAHHQHVQLMEHAVDQVTDWRVLDKFVASQLSHDATKGVDYTDEGDIIQVNEKQEVATDYASTSTSSSQVDPWK >PAN25062 pep chromosome:PHallii_v3.1:4:46614358:46619659:-1 gene:PAHAL_4G271900 transcript:PAN25062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKESSAKVALSRPCVLVLVMAGVERFANKGVGSNLVTYLTGVVGMSTAAAAKSVVTWTGVSFMLPLLSSILTDSYWDRYSTLAASSLLYVLGMVSLTVWALLRPRMPRPTLFIPLYLMAFGQGGYQPTLQAFGADQLGIGDDDAEPGLTAEEKGKVKSLFFQWWYFGICSGSLLGNSTMSYVQDNFGWVLGFAIPSGVMALSVAAFFCCTPLYRETQPKGASSKPSSPSGICKVLKSILAGRKISLQSQDDNGDTMSELELQEKPLKAEPADAKGAPDEAAPSVAKVILGLLPIWAILLIFAVIFQQPMTFFTKQGMLMDHTVGRGAFVIPPAMLQSSITVSIILLVPMYDRVIIPLINAVTRGSDGITVLQRIGVGMVLSVVAMVIAALVESWRLRVSAASEGAARLKIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPASMKTISIGLYLSVFGVGGFLGALLITVLEVATARPGNSKGWFSDDPREAHLDNYYWFLALLCFISFVIFTHLCKFYSGKNASGK >PAN25063 pep chromosome:PHallii_v3.1:4:46614358:46623891:-1 gene:PAHAL_4G271900 transcript:PAN25063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLTVWALLRPRMPRPTLFIPLYLMAFGQGGYQPTLQAFGADQLGIGDDDAEPGLTAEEKGKVKSLFFQWWYFGICSGSLLGNSTMSYVQDNFGWVLGFAIPSGVMALSVAAFFCCTPLYRETQPKGASSKPSSPSGICKVLKSILAGRKISLQSQDDNGDTMSELELQEKPLKAEPADAKGAPDEAAPSVAKVILGLLPIWAILLIFAVIFQQPMTFFTKQGMLMDHTVGRGAFVIPPAMLQSSITVSIILLVPMYDRVIIPLINAVTRGSDGITVLQRIGVGMVLSVVAMVIAALVESWRLRVSAASEGAARLKIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPASMKTISIGLYLSVFGVGGFLGALLITVLEVATARPGNSKGWFSDDPREAHLDNYYWFLALLCFISFVIFTHLCKFYSGKNASGK >PAN25203 pep chromosome:PHallii_v3.1:4:47662344:47663873:-1 gene:PAHAL_4G283700 transcript:PAN25203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKDLANGRGTASDAGGGGGGDVKAHFVFIPMMFQGHLIPAVDTALLLATHGALASIVVTPSNTGRVRPAVDFARKSGLPVRLVELPLELAAEGLPDGADDVDRVPPDLWANYFRALARLRAPLERHLRAHAPYPTCVVADFCHPWARELAASLGVPRLCFFSMCAFCLLCQHNVERFDAYGGVAGDDELVVVPGLERKVEVSRAQAPGFFRGMPGFEKFADEVEQVLAEADGIVTNSFLEMEPEYVAGYAEARRMKVWTVGPVSLFHQRATTLASRGNTAAIDADECLRWLDGKEPSSVVYVSFGSIAHAEEKQVVELGLGLEASGHPFIWVVKNADQHGEDVAEFLRELEARVAGRGLLIRGWAPQVLILSHAAVGGFVTHSGWNSTVEAVTAGLPVVTWPHFADQFLNAKFAVEVLGFGVDVGVMEPVMYRLDQKEIVVGREVVEKAVRSIMDGGDEGEERRRRARALAAKARAAVEEGGSSHRNLLDLVKNFEFKVGAGCDAIA >PAN23511 pep chromosome:PHallii_v3.1:4:6873016:6874489:1 gene:PAHAL_4G097400 transcript:PAN23511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSNSFKKYADASPAPSAGDMETGGESVVNLDKFFEEVEAVKEDMKGLEGMFKRLQSTNEETKTAHDARTVKSLRARMDKDVEQVLRRAKAVKGKLEELDRSNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDLMDDFQGLRARMAAEYKETVARRYYTVTGEKPEDSTIEALISSGESESFLQKAIQEQGRGQVMDTISEIQERHEAVKDIERSLMDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELETAREYQKSSRKWMCIAVLAGILLVAVLILPVLVNLRILTLPTKR >PAN22731 pep chromosome:PHallii_v3.1:4:2552190:2555665:-1 gene:PAHAL_4G041400 transcript:PAN22731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIALAALLLVVAVLAGGARAQLMEGFYAHSCPPAEKIIKDYVMEHIPRVPGIAATLLRTHFHDCFVRGCDASVLLNATGGNEAEKDAAPNLTLRSFGFIDRIKAIVEKECPGVVSCADILALAARDSVVVTGGPFWSVPTGRRDGTVSIKQEALDQIPAPTMNFTELLQSFQNKSLNLADLVWLSGAHTIGIGHCNSFSERLYNFTGRGGPGDADPSLDPAYAANLRRTKCPTPTDNTTIVEMDPGSFRTFDLSYYRGVLKRRGLFQSDAALITNAAAKADILSVVNGPPVLFFQVFAASMVKMGAIEVKTGSEGEIRKHCAVVNKH >PAN24593 pep chromosome:PHallii_v3.1:4:43135432:43138647:-1 gene:PAHAL_4G237400 transcript:PAN24593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDPDVKLRGLDIDVELDPEDLQPSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAEAARMLLEAGAVCAERTFDGDRCHYAALNLHLRWLLKSFEARPPPLAPLPAALRATFLACPANRAAFLEMLQGSAGAEAAALAAAAGFGPKDDPSSACLFPPDITFYVDGKPVEAHRVILCARSPFFEKKFKTDWKDRKEVRFSNQKLYYGALYSLIHFFYSDRLEVAVDDMENLARACKVCKCEELQKILDNEVVHQKYAEYKSARELDLDNSQKRFILQAQSLPEEDRLPSALQRILQTCLANSREEGYDSEESNDMPKNLEEDLADLYIKVGDKVFHCHQVILASRSEYFRARLSRTVDFLEGSCGFQAAQNLPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPDQAEELFDVASRYLLFPLKRVVADMLLPHLEHASPAELCRWLMLSDIYGVMKIREYILDIIACNFEMFAATREFRALLLTLPPPSGDDSLRTTRPSAPGSAGNTDQGNILDDLREKWLEAEGAELDERDESAALFDKRLETLMLVAEKEAVDEDA >PAN25595 pep chromosome:PHallii_v3.1:4:49689671:49691138:-1 gene:PAHAL_4G312600 transcript:PAN25595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLHPSHSHHLPQARSVGVGGATTMSVAEATVAAVGSGGCYDRRRELQAFDDTRAGVKGLLDAGATSIPAIFHHPPDSLPQQEADAPSITADDAHTAVPVIDLMAAPREEVVALVRAAAETAGFFQVVNHGVPGEAMAAVLAAVRGFNEEPAEAKRPYYTRDTAARKVRFYSNLDLFQSQAACWRDTIFCDMAPEPPAPEEMPEPLSSVMFEYTDAVRKLAVWVFELLSESLGLAGDHLSRMGCGESLKVAGNYYPPCPEPHRTLGNTRHTDPTFLTVLLQDGVGGLQVLLDRGGGGGGWVDVPPVPGALIINVGDLLQLVSNGRFRSVEHRVLANKGTGAARVSVAAFVDVGRSMRRYGPIQELVTPPGGGNPPIYRSVTVEEFVAHFYRKGSERRPRLDYFKLEQ >PAN25594 pep chromosome:PHallii_v3.1:4:49689457:49691196:-1 gene:PAHAL_4G312600 transcript:PAN25594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLHPSHSHHLPQARSVGVGGATTMSVAEATVAAVGSGGCYDRRRELQAFDDTRAGVKGLLDAGATSIPAIFHHPPDSLPQQEADAPSITADDAHTAVPVIDLMAAPREEVVALVRAAAETAGFFQVVNHGVPGEAMAAVLAAVRGFNEEPAEAKRPYYTRDTAARKVRFYSNLDLFQSQAACWRDTIFCDMAPEPPAPEEMPEPLSVMFEYTDAVRKLAVWVFELLSESLGLAGDHLSRMGCGESLKVAGNYYPPCPEPHRTLGNTRHTDPTFLTVLLQDGVGGLQVLLDRGGGGGGWVDVPPVPGALIINVGDLLQLVSNGRFRSVEHRVLANKGTGAARVSVAAFVDVGRSMRRYGPIQELVTPPGGGNPPIYRSVTVEEFVAHFYRKGSERRPRLDYFKLEQ >PVH48503 pep chromosome:PHallii_v3.1:4:51749090:51749465:-1 gene:PAHAL_4G343800 transcript:PVH48503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNCCWSSTDQNVSSSCRDGTTAAGCLSGARTPPSSSDRCGRRLRKKFPAGRGGGWVAVVGRPGGESVRRISGWTLKASKAAVSGGRLRAVLESRG >PAN25462 pep chromosome:PHallii_v3.1:4:49024560:49025828:-1 gene:PAHAL_4G303000 transcript:PAN25462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSCFARRGGEPSPAPPSSSATSVYWTHLGAVTLTWSRAPIGLLLAAELRLAGDDGDGDDAAPARFGLRPWLPWRRRGSRRFSLPGGRAVTFSWDLSRARFAAAGRRPEPASRYSLHVSVDGELALAVGDGARPAASAGFLLSRRENAVVADGPGEAYATTVNVAGEAHEVSLAVEESAMWVAIDGEKALQVRRLRWKFRGSERLDLPRGRVRVTWDLHGWLFSPDAAAVFVLRFEASDADDDDDREAEEDAGAGAPARQSSFTNRHASGGGESWCSSDSDRRGWRRGPFRSGSDTSPTVSVASTSATSSAGSVATVSEWAAAEEAVALKDGGGFSLVVQLWKERR >PVH47271 pep chromosome:PHallii_v3.1:4:1444838:1445539:-1 gene:PAHAL_4G023200 transcript:PVH47271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSMSPVTTLYSNGSKPPSVGITCGFANAIMMDSVNETGVKHRQALAVKFQTVLLIVLLYADQGMTLNRRFCCPLQQGLQFSSALFAPGGALDQKFTGTKGRLMISEFNPWLCFSAFGLASIDVLGISFTDHGVVWNFFWNKW >PAN25192 pep chromosome:PHallii_v3.1:4:47600076:47601114:-1 gene:PAHAL_4G282800 transcript:PAN25192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGRGSASSVAALLCAAAILVASAPGAEAGTTYLVGDAAGWTRNVDYGQWLAGKTFHAGDMLVFKYNATYHDVVWVSKGGYRRCIVSPKGRAPVYRTGYDAVRLPAGTHYFICGAPGHCQAGMKLAVKVY >PVH48413 pep chromosome:PHallii_v3.1:4:50540743:50541788:-1 gene:PAHAL_4G325600 transcript:PVH48413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRSIARAAAAAAGERAGGEGTEDDPCQGVDARHLHHEPRHGSSAAAPASSALSCLTCLACLPQPLAAAAMAAWYASSLARCLPAPAFALCAGVRRPQRLAGRPHPPGRRRTGPRGGRRLLLALPRPDVHGGSLGHRRRLRRA >PVH47731 pep chromosome:PHallii_v3.1:4:11758249:11758699:1 gene:PAHAL_4G133600 transcript:PVH47731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRADDSVQRICYKAMRYALIGDDMFYRTLERLLLKCLGPIESNRLLHEVHEVNCGTHQSAHKMKWLIRRSGYYWPTMLEDYFKYYKG >PAN24707 pep chromosome:PHallii_v3.1:4:44501502:44507007:-1 gene:PAHAL_4G246400 transcript:PAN24707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLETPPTHHCRALHCYSLGFPAGAPMVKFSKQFEAQLVPEWKEAFVDYWQLKKDVKKLQTAAGGGVVVPAVVASPWSHQKPPTAAHWVMRLPFLHPHGHHREPAAIQVHKKLGIGGSIDGAVAGEVYETGVANEAGFVDAEAAKAFFQRLDQQLNKVNRFYERKEGEFLERGESLRRQLQILVELKAAITQQQQARRSGGSSKGSADTDDLSISCSIQLGDQSLRGIAEQEEDGQETVTKDVTAKSTDEGLEDQLSISHGLGESGRHGRPNEEAAHKLRTLSGRVVTCQGRNVRINIPVTTPSRTVTAICELLFEDMLSQSKKTGTHGSDSNEKLSINKRKLHQAEKMIRGALVELYKGLGYLKTYRSLNMMAFVKILKKFDKVTDKEVQQIYLKVVESSYFNSSDKAIRLMDDVEELFVRHFTEGDKRKAKMYLKPNQREESHSTTFFIGLFTGGFLALSIGYCIMAHIAGMYTQQSNKVYMSTSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFAPTKELKYRDVFLICTTSMTIVVGVMFAHLTIIVKGNPSSAVQAIPGSLLLVFLSILVCPFNIIYRSSRYQFLRVIRNIILTPFYKVVMVDFFMADQLCSQVPVLRSLEYLACYYITGSYITQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENNNSAGWLSLVVIVSSIATIYQLYWDFVKDWGLLQFNSKNTWLRNDLILKQKYIYFLSMGLNLVLRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVAED >PAN22323 pep chromosome:PHallii_v3.1:4:793727:797185:-1 gene:PAHAL_4G011800 transcript:PAN22323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGAFLQPQPQQGSSFSFPRSSSNVSLSSLARSGSGGSAGRGRGATRGRRMMRRVCRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRLWQARRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEVEATNGMPRASIDKLPESWITEEYKRDAVGDLSGCSVCLQDFQVGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >PAN22412 pep chromosome:PHallii_v3.1:4:1159354:1160634:-1 gene:PAHAL_4G018000 transcript:PAN22412 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MAAPAAAKRPCWPPAAAAAAEPNKRHRTAAATPMDAAAGAEAERSLAHAQRRQQREHQQQHHHHHQLLLPGLPDHLAQLCLAPLPPRLLRAVCRPWRRLLYSPSFPPFLSLYAVLDDGADGRVSFAAYDAVAGRWDELPEPPMPSPPPRLWHPSFLSRRLPLQSVAAGGRLVLVAGSTQSLSPALPRPMVFDPSAPTPRWQLGPRFPFAPRRWCAAGSARGRVFVAGGVGAGYDANDARSGAVWDPASPAAAWEPLPPMRDCRFSRDAAEAVCSRGKVCMVSLRSRGAKEGAVFDLGAGRWEDMPPGMLAGWKGSAAAASAGGAETIFVVDEERGALIAYDWSGDRWRTVAESERLKGATEMAAGGGRVCVAAEGGVKVIVVDVTTPKPPRRAGGPAAAPRTWEVAAPAGKRVVALHVLPRMTRAE >PAN24235 pep chromosome:PHallii_v3.1:4:16170022:16172376:1 gene:PAHAL_4G147500 transcript:PAN24235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAAHQTVAAGDGGGGTDEWRVAVHPADVAEHENARGGGRQREWCEPALAPLLSWAAAPVRGVRSLAAAARDRAAGVARAAWRIGADDPRKLAHGFKMALALTLCSVFYYVQPLYAFTGHNAMWAVLTVVVVFEYTVGGCLYKGLNRAMATVTGGGLALGVQWMASRSGKELEPFIVSGSLFVFASTATYSRFMPTMKARFDYGVTIFILTYTLVAVGGYRVDEVAYMARHRLTTIAIGAAICFAVCALIFPVWAGQELHDQVARNMDKLAAAVESCVQDFFAEAGADGTGTGAARRALSERSQGYRAVLNAKASEDSLANLARWEPAHGNFGFRHPYPLYQKIGAGMRFCAYCVDALAASVGSEAQAPAHVKKHLAGACAALSRHCAAVLREASGSVASMTRSARLALVVGDMNAAAQELRDELRCLAALLQVDDSSDTEHDQNTDAPEEPAPPLVEVLPLFTAASLLLEICTRSEGVVSAVDNLATTAKFKKADHDEENALDVEAAVPTAMSTTLTAEVPQETHAKVADHEKMEMAADQSSDRTPRDQVGELIKVLMRRRSTKKWARGDTKVSPKPPLDFVVHAPSPRSRSMELTGHAQVAPSPRHLHRSVDLTGHPPVAPSPRNRSVDLANHGPVLPSPRHRTILGMA >PAN25020 pep chromosome:PHallii_v3.1:4:46374800:46378647:1 gene:PAHAL_4G268200 transcript:PAN25020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVVKKWLPELRHYAPGVPIVLAGTKLDLREDKHYLLDHPGAVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIRPPTKQRERKKKKARRGCSIIRIMHKRRLGCFK >PAN25493 pep chromosome:PHallii_v3.1:4:49300557:49303492:1 gene:PAHAL_4G306200 transcript:PAN25493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALDMSLDDLISKNKKTHPRPSGRGPTSGGGGPAPTAPRRRFNARAAAAPYSRGTTFPFQAQARRPMAYAGYGYGAAQPQPPMDAPTKLYISNLDYGVSNDDIKDLFSDVGDIQRYSINYDRSGRSKGTAEVVFSRRSDALAAVKRYNNVQLDGKPMKIEIIGTNIEAPPTATFSFNPPSGNFNVPFKRPGRGGDGGWPRGRGGFGGRGRGQGSRGRGRGGRGSEKVSAEDLDADLAKYHAAAMETS >PAN25491 pep chromosome:PHallii_v3.1:4:49300557:49303492:1 gene:PAHAL_4G306200 transcript:PAN25491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALDMSLDDLISKNKKTHPRPSGRGPTSGGGGPAPTAPRRRFNARAAAAPYSRGTTFPFQAQARRPMAYAGYGYGAAQPQPPMDAPTKLYISNLDYGVSNDDIKDLFSDVGDIQRYSINYDRSGRSKGTAEVVFSRRSDALAAVKRYNNVQLDGKPMKIEIIGTNIEAPPTATFSFNPPSGNFNVPFKSRPGRGGDGGWPRGRGGFGGRGRGQGSRGRGRGGRGSEKVSAEDLDADLAKYHAAAMETS >PAN22442 pep chromosome:PHallii_v3.1:4:1305881:1309066:-1 gene:PAHAL_4G020300 transcript:PAN22442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTPVGAGGTAERWRAEASRAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYFVRGFYVVTYGLGIYLLNLLIGFLSPMVDPELEALDAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAILIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKYVPFSFGKQRYDGKKGPAASASKE >PVH48101 pep chromosome:PHallii_v3.1:4:44967117:44968259:1 gene:PAHAL_4G250300 transcript:PVH48101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSGDGFGGRRPLGLLANARKRKDGFVQLFLMAGIFMMSLRSLGQKHRLRDLADDAADLRRERDDLSLRMRRLQDALRREADADASGALASHLRRIFAAHPAPATAAAEDQSPEGHLLPSCFC >PAN25370 pep chromosome:PHallii_v3.1:4:48523525:48526679:-1 gene:PAHAL_4G296200 transcript:PAN25370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPDDDLLNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >PAN25098 pep chromosome:PHallii_v3.1:4:47052791:47058676:-1 gene:PAHAL_4G275300 transcript:PAN25098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRLVAPAFNKILSLRRLSSSSSSSPFDHSAPSAVALLSSLVLQEHTPSSAALSLIRAQPSLADELYALIAVSGKSDAPLTPGSLAILHSLAACHRIPPSSASLLSQLLARFSSPADAASFLRDSLAAGAPAPDVYAFNSLLTALGRAGDLRGMTELFTSMRGASVQPNVVTYGILLNGLCKAGRVGEALKVLDGMSRPGSDVRPDIVILNTVVDGLCKTGRLQEAIMFVDERMRRVHGCAPNTVTYNCLADAFCRVGDVGMACEVVGRMEKEGVPPNVVTMNTIVGGLCRVGRVGAALEFFHEKRTAWPETKGNAMTYSTLVSAFLHCNNVGMAMELFHEMADQGHPPDAIMYFTMISGLTQARRLEDACTMASSMKKAGFKLDAKAYNILIGGFCRKKRLREAYELLAEMKGAGLQPDVYTYNTLLSCLCKAGDFLAVDELLGKMIDDGCQPSVVTFGTLVHGYCKAGKIDEALRIFRSMDESGVQPNTVIYNTLIDFLCKSRDVDLAIKLFDEMRENNVPANVTTYNALLKGLQDKNMPEKAFELMDQMRDERCTPDYVTLDILMEWLPEIGETERLKPFMQQWDLKDNHVRARGA >PAN24812 pep chromosome:PHallii_v3.1:4:45293811:45296937:1 gene:PAHAL_4G254300 transcript:PAN24812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPLPPPGQPPPPPGTDGAGGLPPPPGTDMTGICFRDQLWLNSYPLDRNLVFDYFALSPFYDITCNNEALRSRQIHPLDMSQLTKMTGMEYVLSEVMEPHLFVIRKQKRESPEKSSPMLAYYILDGSIYQAPQLCNVFASRISRAMHHISKAFTVACSKLEKIGNVETESDAAASESKTQKEAIDLKELKRIDHILSSLKRKIGAAPPPPPYPEGYVPPSAEQEKAPDDVLASEAPPQLDPIIDQGPAKRPRFQ >PAN25716 pep chromosome:PHallii_v3.1:4:50284241:50284648:-1 gene:PAHAL_4G320900 transcript:PAN25716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTALKVLACAVLLLSAVWHGRCESADSRAAAVVTGRKMLVAGNGGAAAPSSAAAVVVPRLTRAAAAAAYSESKRSSPGGPDPQHH >PVH47902 pep chromosome:PHallii_v3.1:4:27452778:27454295:-1 gene:PAHAL_4G182400 transcript:PVH47902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIDEFERAWVYMLERFDLRDNGYLRGIYDKRGQWAKAFFKDTFCARMSSTQRSESAKHMLKKFVPRNCSMNRFVLQFNKLLFDRNNAEDRAEFDTTIVTSVRKRAWPIEEHAMTFYISAVYALFRQEVDRSTRYFAIEKARNKEYEVVHVKPHMKLPWQREKITVFVNDFGGRYDCECGLYQHFGVLCSHVLRVMIQLGVYEVPEAHINKRWTKSARDILPDGLKTYESGMLCLDSMTYRHRYLYLDAVAVVEQGDKDLGAFEIVSKSFKKIQRKLKEYFASKEKNTGSVPGVDGVPRDNYYSTESEAGPHSGSESDARLSNSYGQQLMGIRAPSFNRRAGRPRQNRFKGALDYFGEKHRKKREPRYRQKRRADFVDDPTGQVVKKKIRCGENCGSDSWNSRILVCFFFLRAMLYYCFVLLVLS >PAN24760 pep chromosome:PHallii_v3.1:4:44921383:44924038:-1 gene:PAHAL_4G249400 transcript:PAN24760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQRGARAGGVVRIVLLLSVPLLLLLLLSGDRRLLKASDSEFLGLINRRASGERSYDKLLGGLLADGFDERSCRSRYQSVMYRRRPGRRPPAYLVSKLRQQEALQRRCGPGTAAYGHALEQLRSGKSGGDTVGAQDCRYLVSISYRGLGNRILATASAFLYAVLTGRVLLVDPSNEMGELFCEPFPGTTWLLPPGFPLASYTNFSISTAESYGNMLRNKVIRTDAAGDVPAFLYVHLDHDATEQDKFFFCDEDQRVLRNIPWLVMRTDNYIVPGLFLVAGFQEELGRLFPEPDTVFHHAVRYLFHPSNHVWGLVARYYDAYLATAQQRVGIQVRVFGSQPNSPELLEQITRCTQKEGLLPEVLLAAADPVAPGPSRKTKTVLVTSLKSWYYEKLKGMYWERAAATGEAVSVQQPSHEEFQRSGARSHDAKAWAEIYLLSLTDALVTTAWSTFGYVAQGLGGLRPWVMYRPDNETHVPDPPCGRDVSMEPCFHAPPFYDCRLKRGADTGKIVPQVQHCIDMSWGLKIVHRG >PAN23229 pep chromosome:PHallii_v3.1:4:5462971:5468429:1 gene:PAHAL_4G079900 transcript:PAN23229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQRSPAGAGGAGGGGGGGGAGGGGGGTPALHYLSAPYGDTTFTKVFVGGLAWETRSEGLRAHFEVYGDILEAVVITDRTTGRSKGYGFVTFRDPESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFAPVRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYSQAFVYPSYGPSTYGPEYLYQQNAYGPYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPSDHAYSPGYAPSHVLPLSNQNVNAANVVRMPPVQQQFPPGGPHPQQQILVPARAPPFPQNNISEQASG >PAN23263 pep chromosome:PHallii_v3.1:4:5462971:5468429:1 gene:PAHAL_4G079900 transcript:PAN23263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQRSPAGAGGAGGGGGGGGAGGGGGGTPALHYLSAPYGDTTFTKVFVGGLAWETRSEGLRAHFEVYGDILEAVVITDRTTGRSKGYGFVTFRDPESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFVAPVRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYSQAFVYPSYGPSTYGPEYLYQQNAYGPYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPSDHAYSPGYAPSHVLPLSNQNVNAANVVRMPPVQQQFPPGGPHPQQQILVPARAPPFPQNNISEQASG >PVH47788 pep chromosome:PHallii_v3.1:4:17778971:17779900:-1 gene:PAHAL_4G153200 transcript:PVH47788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTKPPPYDGVEYDEEDVPRCRVKMTIPPHPTLSLWSPIEVNVVGHRLADTFEAAAIEAIHTFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRVTYCDHLLGTLAGETLRTAVRFMSAQYRYQTLQQHGIYRLTNIAQRYRNQVGRQNTQIEALQATITAKEEDITQREETIQHREEQIVESDALITQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPEQPAANEPEDDEEEDPEEVEGVSGIDSEHGDPVLSPYHSSSGSQSSVGNLDDF >PAN23154 pep chromosome:PHallii_v3.1:4:4789618:4790286:-1 gene:PAHAL_4G071800 transcript:PAN23154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSTVRVDGRAVQTKLTARSAVARRWLYTTLWRHRRTLHSAAGLTVGLDVQWTPPFGRLPAGAEPRPGTLQLCAGNRCLVFQLAQAARVPRILRRFLADARVMFAAYNVGSDRRKLYAHHGLEVRSVLELRGAAGMGNASLADMASRLLRIHGVEKPWEIRTSEWDGLRLSPEQVRYASIDAYISCCLGVYLRRRAMASIKSETESSDDDTESTASESMG >PAN24430 pep chromosome:PHallii_v3.1:4:40134792:40141383:1 gene:PAHAL_4G220500 transcript:PAN24430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEEQPGNRHMACNSPDEQHALQQGQADKNPGADEVELLWKLRKYLMLLAILAAAITYQAGLAPPGGFWQDNQNGHNAGDIVLKVSYPRRYHVFFYCNTTAFGASLIVLILLLVRKLSRNAVWLRALQFAMVLSLLGLMGAYAAGSCRDVRTSIYIWVLLVGIFAYITLHVIFFRHLAPEWLRDTFLNIRRYWKDFLNNIFKNAQSTTDEQEHSNKMEELERNRSFLLVLATLAATVTYTAGLSPPGGFWPDDKPNHLAGDPVLEDHYPHRFKAFLVCNATSFAGSLVIVIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYAAGSCREVRTSIYVFSLVGGVLLYLVLQWIEPIVTKPECVEKSIGWIRKQKTELLQKLSSFIMKGSGNPDDDKHTTLSRPNTQHLSNNSISSNFSGAKVDLQKLSTYLLLLGILSATVTYQAGLNPPGGFWGDTADGHIAGDPILEAMHPRRYKAFFYCNATAFVASLVIITLLQSKLITVGAMKRHILQTAMTLDLFSLMGAYAAGSSRKFSTSVKNDAMDNEDEGSDLEKRRKFLMLLAILAASITYQAGMSPPGGFWSDNNGHRAGDPVFHDEFPRRYRVFFYFNATAFVSSLVVIMLLVSKRLCSKGLEGYALHACVLIDLISLMGAFAAGSCRKVSTSVYVILVVAAVSVYVMIQVVVLTFAKDKVNNILERMYTFGLSERQHPSMNHKRSIQFKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGFWPDDQVGRHFAGDPILHDTHPTRFKVFFYCNATAFMASMVMVILLLNNTISKYRRSLLAMKTAMVLDLLGLLGAYAAGSCRKLKTSAYIFALFIAMFIYIVIHVLLSFDEVALLVRKKGEKWMPCLKNMWALIETDPSNLQPSAGQLGKAPPGV >PAN25869 pep chromosome:PHallii_v3.1:4:50856704:50860961:1 gene:PAHAL_4G330300 transcript:PAN25869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQSSGRSIDVLMEKVLSVNILSSDYFKELYKFKTYHEVVDEIYHQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVAEPKTLWTWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKLKLPTKQSGMTGDSNRHESNDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTLPSKQEKDRSYDGDRARSPPRKHQSRSRERDHDSERDRSDRDHGRYKDRDHDRHARDHRDRDHHRSSYSDRDGERRGREKRDRDSDRSGRSITHRSRSRSRSPVHGRTDGDNHRSSPFGKAPESSNLAKLKDLYGDATNTKNDSGDDRAHRDSGTEEVIRLGGQRWR >PAN23827 pep chromosome:PHallii_v3.1:4:9611904:9616311:1 gene:PAHAL_4G121400 transcript:PAN23827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGGAGPGAGHGAGAGGAAGRGVVGAGGAGGSRGGAGRGGAGGSRGGAGGSRGHARISSLPKSLLRNQTGTGWDNTRGVINMDNEWWKKIKVDVPGSGKFKKKALQNEDFLREMFGDISNDETDHWNPMSDNPIIPESQKDTENIDGAGEEEEEDNVLHDWSYREEEDEEVQEVSPANGNKKRRARVVLEVPKKEKSSTALIIQEQITKIADSAESFTSKKQAEIVSIKEVMDVVLDYGAQYGSNEHDIATQLFVKKEQREMFLTLPTREICLNWLTRRYNDKYGN >PAN25440 pep chromosome:PHallii_v3.1:4:48883815:48884045:1 gene:PAHAL_4G301600 transcript:PAN25440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDSKDILKNVDWKTVGGSVTTESSQPIVKKRLPKKIRQVPDCYFLPRRSWPSSLAMVLFVLLGLVQGCCLRCG >PVH47263 pep chromosome:PHallii_v3.1:4:1284007:1285749:1 gene:PAHAL_4G019700 transcript:PVH47263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLMLLTHWHLFSPHPQSQTRERASEREQRKAAAAVAEAQPEPRCCRLPPPPSASTNQPVPTAATRRRRPREAPPTSPPPAGGAGIPPRRRSARGPSASGRWTPPSRSTTSPARRGCWRSGSASRSPSSGSATSASSWRAPSRARATRCSPTRGPTTRRSPPRSAPPSSPTRTTSASATRTWCSSPPPSSPPRPSSARSPSTASAATPSSSTSSPSRSSPGTSSSAPSRRTSTSSAPTPCSARSPRATAGTASPSSSTRSASATAPRAAPAPRRSSISSSARAAGWSRCPAPSTTRTPPRPSSSPTPSGGCSPCSSSSPRPSTPRGTRRCSGW >PAN25453 pep chromosome:PHallii_v3.1:4:48968041:48972461:1 gene:PAHAL_4G302400 transcript:PAN25453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os06g0183200)] MATVGKVRGRRSRCLLQYSCPILPLAIVTGVALIALMVFYVSTWDVTHAVNKTEAGFMGVAFRHVAGGMEPLLEANRAAVAIAGAAQEDPTTSNGSAPDSISQVIRTKMFMALAMQPQLAQVSYAGADGGAFAYYRDEGGKVRALFTDSHNRWKWNTQPVDPATGGLVGTAAAAAAASGGLYLPKAAWSLLSSKNASRASLGAGWARPGVRMLFFSAPAGDAGVVSAAVAVDDLLGAAASRVAHLDDYLDVYYAVSDTRGAPATAAYKPLLLGHRPGRSGDDEEGRMRTFSKARCAAAAIDAPRLGRLVAAGHGLYNKYRVACANFDVSGVQLVFRLVLLSRPEGDMLRALSIPVVVFAGAALAVAAASCVLAVRTLRRTAAREAALNADLVRQKEALRQAERKSMNKSNAFVSASHDIRSALSAIAGLVEMTRPEAQALPGIMENLDQMAVCTNKLFDILNSILDTSKVESGKMQLQEAEFSMADVLQESVDMANVTGIRQGLEVVWDPCDLSVLRCAAVTGDCKRLKQILDNLLGNALKFTDEGHVVLRAWANRPIAGSSVSAPSRFKWPMRGGSFGCLFRPREDPDDQDHVQNDPNLVEFYFEVADTGIGIPKEKRLSVFENYVQVNDGQGGTGLGLGIVQSFVRLMGGEISIKDKEPGERGTCFAFNVLLKMSERQEPQDIEEGTSAPSDPLNCSNFRASVFQEDRSFKGVHCVLHVRGGETMRILQTWMESVGVKVWPVLHAEFIASTLVEVLHNGATPAARASPPPTTDEWDDRCFSSKEMVSQVLPALRNSTGPRRGSIGGHPSGILLVIDVSRGRAKDICLEMEKLIRIKHQAPCKTVLLDDIRTPSDDLRRFKELMRCDLVLRKPVHGSRLFTLLMTLRDLQVSDVAQAQSSQVGPEIAGTSHQQQDLPEVVVHCAQEAAASAESEAAYLAQEQKPQNDKPMAGMQVLLAEDTLVLQTIQKKMLSQLGATVRVAQDGAVAVNLFKEALEQASVPEQGAVPLPYHVIFMDCQMPNIDGYEATKLIREEEHRYGIHTPIIALTAHNMEEDLQKAIDAGMDLHLTKPIERKRIVEAVCRVCKREN >PVH47620 pep chromosome:PHallii_v3.1:4:7423517:7424499:1 gene:PAHAL_4G103400 transcript:PVH47620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSVTAISSSPPLLPHHPEPRGPNLHLHDDLCFPMAISLSFCLLILFPLPLSTDLSHGRQFMPVTYPDSSLHMQIHDCIHHSCRVRGKGSNVVVASPQGSDLWRLEALCMGQ >PVH47300 pep chromosome:PHallii_v3.1:4:1978968:1979534:-1 gene:PAHAL_4G031800 transcript:PVH47300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGLLLKMPGKRCFVCTIEEQSISRDPPASEPELEETVLCARPGDKCLQGRKTWSSHDMFVLLGSVKFGGKDDGLGCCIHPFYLIRETHE >PAN23448 pep chromosome:PHallii_v3.1:4:6417688:6420565:1 gene:PAHAL_4G092300 transcript:PAN23448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASTTVPSIVVYVTVPNREAGKKLAGSIISEKLAACVNIVPGVESVYWWEGKVQSDAEELLIIKTRESLLDSLTEHVKTNHEYDVPEVIALPIQGGNLKYLDWLKNSTREN >PAN23706 pep chromosome:PHallii_v3.1:4:8220398:8223371:-1 gene:PAHAL_4G111200 transcript:PAN23706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLVYLMTIMPLLLPLRGQCGAAATSCRHVGAGGAAAAAGRSSGGQAGKTQRQRPQVEGMFVFGSSLVDNGNNNFLNGSGVRADYLPYGVDFPLGPSGRFSNGRNVIDALGELLGLPGLVPPFADPRTRGRAALHGVNFASGGSGILDHTGKLTGEVVSLRQQISNFEAVTLPDLRAQLRGAAATAGDHRIKRQDSFQRCYLSKCLFIIGTGGNDYLLNYFNPRKNGTEGGTPLPEFTRSLITKLSHHLQRLYALGARKFVIFSIQPTGCTPVVRAFLNITGAACIEPVNHAVALFNSELRRLVDGARSRMPAARLAYIDSYRIIKDMLDHPAKHGVRETGRACCEMSRSSSGVLCKKQGPVCRDRTEYVFFDGLHPTDAVNAMIARKGYGSSSPEHAYPINVRKLAML >PAN23409 pep chromosome:PHallii_v3.1:4:6208070:6209125:-1 gene:PAHAL_4G089000 transcript:PAN23409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSSSSAGSHAGLAIAATAMALSGTLVLFSLCRAKQPQLVPSSDADAAGTPSPRLRPCLSSSEKRKKRKEARRGGKKRVRFADDVVDTNGAAPASSAPRAPREAEPSCAAAAMPANREALYRGMLRGRSMLRVACSY >PAN26041 pep chromosome:PHallii_v3.1:4:51692797:51699566:-1 gene:PAHAL_4G342800 transcript:PAN26041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLAKQRAILQEQPDISGIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLAELQERQGSYLSIYDQPSSALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVDEESPSTAGEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFKPLIFSSIVLFLGNVCYAIAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQATLPGWVMAVAWLLYLVWLSFSFKEPNRATEVNDATQNPASGQRVDIGQLENGLARPLLTDAENKQNGDEDEEIDDSEEAAEDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFSWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKVTSRYSVVQYVASALITFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGVGKLLNITLLPSLVICATSITCTFLTYNSLF >PAN23753 pep chromosome:PHallii_v3.1:4:8751356:8753575:1 gene:PAHAL_4G114200 transcript:PAN23753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGAAAPFVWKTYMMVEDPGTDGVIGWGRGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSSAAGGGGGGGGGGGKRKDAASVEPSAEDMAMVATEVVRLKQEQRAIDDRVASMWRRVQETERRPKQMLAFLLKVVGDRDRLHRLVGDAASPDAAGGDAAAAAEGGEKRARLLLEGDGSFGPDAVDFAGFYSSGALGDVAVDAAAGSAGGGSFAFGVDTGY >PAN25153 pep chromosome:PHallii_v3.1:4:42894496:42906306:1 gene:PAHAL_4G236400 transcript:PAN25153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLALFGFGSVILRGAACTVNDLLDRDIDKKVERTKSRPLASGALTPAEGFYFLVFQVLLWLGFLLQLNNRSLIIGASWLVLSFFYPLMKRLIHWPQAFLGFTINCGVFLGSAAIKESLDYAVLLPIYFAGICWTLVYDTIYAHQDKKDDFKAGVKSTAITFGDNTKYWLSGFGAACISSLALTGYNAHLAWPYYPFLAAAAGHLAWQVSTVDLSNKSDCHRKFVSNKWFGAFLFGGILCGILAK >PAN25180 pep chromosome:PHallii_v3.1:4:47562287:47562903:-1 gene:PAHAL_4G281900 transcript:PAN25180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARGVTVMLLPIKTEWPELEGRTIKEATKKIKAERPDLNVMACGPYGRHSPPRLRTTRSASVSGLTPSFPGLAKAAEPNATGDGALCDGTGEKIKRGLEKHME >PAN23425 pep chromosome:PHallii_v3.1:4:6281670:6283004:1 gene:PAHAL_4G089800 transcript:PAN23425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASFDSDVPRHGGAAGTGPLGVHAASRKIGKPGGGGLVQHQERKPVIIYMVSPKVIHVEAHEFMPLVQRLTGPDAGRGDKKGRPSTSGGGAGSSFPRREQEGAGSKGRAAPPVRVKARALNRPAGPAVSVSVTATRQQQAAAPSAASPAGLMFHDLSPLRGAALKGEHHPLVSPGWLHHVGDHFLSPGAPGALGSPSAGFLDIFGPLSSQQQ >PAN25066 pep chromosome:PHallii_v3.1:4:46629815:46633054:1 gene:PAHAL_4G272100 transcript:PAN25066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLAGRIAAAKEGAYFLHESKTAVGRLAEKLPASASAPGGASAPPSPDVLPEILRHSVPIRGTPPPAQPSLSASSRWAIPPGSAEAAGVHPDALNPLRSYVSLPQATFGPRRWQLPTEQPNYLASTANERRRDRNPPPMDPEKLKAVIAGYSQIGKAFLAATILVFGGATAVLFYTANKLQLHSVDDVKTKGKDALQPRADMIKEQIAPLRSWAEEMSRKWHFEGDKEAKEKSVFIRELSRALGSRAPPS >PVH48190 pep chromosome:PHallii_v3.1:4:46630054:46631611:1 gene:PAHAL_4G272100 transcript:PVH48190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLAGRIAAAKEGAYFLHESKTAVGRLAEKLPASASAPGGASAPPSPDVLPEILRHSVPIRGTPPPAQPSLSASSRWAIPPGSAEAAGVHPDALNPLRSYVSLPQATFGPRRWQLPTEQPNYLASTANERRRDRNPPPMDPEKLKAVIAGYSQIGKAFLAATILVFGGATAVLFYTANKLQLHSVSHGSMELEHIQVKCRTFNKKKVHPTMI >PAN24929 pep chromosome:PHallii_v3.1:4:45934052:45937369:-1 gene:PAHAL_4G263100 transcript:PAN24929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSFLKVLAKNFDVLAGPIISLAYPLYASVRAIETKNPIDDQQWLTYWVLYSFITLFELTFAPIIEWLPFWSYAKLFFNCWLVLPWFNGAAYVYDHFVRPMFVNRQIVNVWYVPRNEKSSKPDDVLSAAERYIEQNGPEAFEKLISKSTKSSKSRTTRRSILEEAEAERESWGENPFYDKNFRH >PVH47525 pep chromosome:PHallii_v3.1:4:5484205:5488146:1 gene:PAHAL_4G080300 transcript:PVH47525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTQQKCLVYGRLFNLSSDSMARRRARLSRDMIINSNSNSNITQFGRNGTDHSSGKLRVNSFTPSKLCSTAVRSVQVKGKPRATENFDEGSRYEVVDEMWTDNVLATSSSVSTTEGRFFEQDKAERIMSQDSKVAIGIRKLLGLLRTLGEGFRLSCLFKCQEALEVF >PVH47942 pep chromosome:PHallii_v3.1:4:31728815:31732616:1 gene:PAHAL_4G194000 transcript:PVH47942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSDSLVLSPAPPLQAPNTAASLRVPVFSARAAPSGSLTPNIAGTSDLLCACRRDGSSSLFGFPRLRRAYLPQTLAIGGWRDFWGGHQPSVTPPSRMDQGGWIHGGAGELILALASPSSLTEIGRPQPRGHAPPPASQVAWHRAGPRSVSGLPGGRTARISSTASRGATVTPSTEDVASAGLPVRPQAPPPQEPLRTPRAAAPRQRPPPPRRRDASRGRDSCAATMMAPDSKDMQHQSKAFYKLTDHIEDLQLDSSQVQSISG >PVH48116 pep chromosome:PHallii_v3.1:4:45188127:45188645:1 gene:PAHAL_4G253500 transcript:PVH48116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSVSSNSPSSSSIISITSPDSNTSREATPEFDPIASYEALAPLHWDAGDTWLEDDEPLTDDEDLQILLYGDLDEGDDEDSWDDDFFSFSEEDVKDTSTDDNSAVGGFLRGGSSTSEDDGDASDDTSDDGGDSNNNTGGDDGSSDDDASTSPPYKRRKTLGTYWW >PVH48224 pep chromosome:PHallii_v3.1:4:47597812:47598466:-1 gene:PAHAL_4G282700 transcript:PVH48224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEWSVGLCSCFGDVGTCCLTCWCPCVTFGRVAEVVDRGSTSCCMNGTLYVLLLSIGCQWLYTCSKRSSMRAQYNLQESPCLDCCVHFWCDTCALCQEYTELEKRGFNMAKGWEGSNKVVGCVQGMRPPRKQSMCF >PVH48014 pep chromosome:PHallii_v3.1:4:39729851:39730786:1 gene:PAHAL_4G219300 transcript:PVH48014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVSWNHAGHLHTNPLHWEGFPHLLWESLSLFFYTEPPQYDGVEYREEGVPRCRVKVTISQHPFHFQWQPIEVDVVGYHLVDTIETAALEAIHIFYNQHPMEVAGHPIGLFPAIDSNDPEWNFRIAHYGHMLGDSAEETLQGTIRFMNVQHHYQILLRRSMGQLTGIAQGHYRNADRQVTQIVELQALVTEKDEVITARDETILHREDQINESDATITQCNTIIEFLQEQIHDLILEVDDAHAHIEELQQQSVPPAAPVVPEGGEEDPEEIEGVSDLDSEHGDPEPNPQPDYSSSGSQSSVGDLDDF >PAN25642 pep chromosome:PHallii_v3.1:4:49864367:49871859:-1 gene:PAHAL_4G315000 transcript:PAN25642 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MEKRLAYAALLPVLLLALRILPLPSGSPNGSGGVGGEGGTLAPPASRYVVRFLEYRRSEEHREYLDGGLRGAALAASWRWVERRNPAAAFPTDFAVLEIRDAYREAVVAAVRALGRVRDVHADATYSRSVLSAPDRPPPRRGKLFTAMSFEGGEEGGEMVNSSSVTWGRRLLLQRSQVTSLFGAERLWGRGFTGKNVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLFSVISEDKRKSILNPAAMKQALVEGASKLPGPNMYEQGAGKLDLWQSYEILKNYQPRASIFPTMLDFTDCPYFWPFCRQPIYAGAMPVIFNATILNGMGVIGYVKDQPLWQPSEDIGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLTIYTPAAHGESSPRISTCVLYLKIKVVPTPVRSKRILWDQYHNIKYPSGYIPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVIHKGLGIAVFAEWYHVDTMIKMTFFDENTRSWWTPITGGANIPALNELLAPFGIALGDKILTGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQENSKSTQDHIGTIDTENSQEKSKLSSILGMMEVGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILEFTGNRVKDPVLFSEAAQLKFPVFENIHQPSRRSDVNFSTYSTVVGKELICHQDSRFEVWGTKGYGVQPTGTTRKLPEYQTDESSSSPNVTIQDEVERLQINLSTPDAAKFDDKRDYFGFIGHEEVDIGMLMASQWMIPCLAATACLMLYLSYRVQQKRRRRRKGSVASRLTSMV >PAN25641 pep chromosome:PHallii_v3.1:4:49864367:49871859:-1 gene:PAHAL_4G315000 transcript:PAN25641 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MEKRLAYAALLPVLLLALRILPLPSGSPNGSGGVGGEGGTLAPPASRYVVRFLEYRRSEEHREYLDGGLRGAALAASWRWVERRNPAAAFPTDFAVLEIRDAYREAVVAAVRALGRVRDVHADATYSRSVLSAPDRPPPRRGKLFTAMSFEGGEEGGEMVNSSSVTWGRRLLLQRSQVTSLFGAERLWGRGFTGKNVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLFSVISEDKRKSILNPAAMKQALVEGASKLPGPNMYEQGAGKLDLWQSYEILKNYQPRASIFLALLNIILIYCTICSSWQSYEILKNYQPRASIFPTMLDFTDCPYFWPFCRQPIYAGAMPVIFNATILNGMGVIGYVKDQPLWQPSEDIGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLTIYTPAAHGESSPRISTCVLYLKIKVVPTPVRSKRILWDQYHNIKYPSGYIPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVIHKGLGIAVFAEWYHVDTMIKMTFFDENTRSWWTPITGGANIPALNELLAPFGIALGDKILTGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQENSKSTQDHIGTIDTENSQEKSKLSSILGMMEVGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILEFTGNRVKDPVLFSEAAQLKFPVFENIHQPSRRSDVNFSTYSTVVGKELICHQDSRFEVWGTKGYGVQPTGTTRKLPEYQTDESSSSPNVTIQDEVERLQINLSTPDAAKFDDKRDYFGFIGHEEVDIGMLMASQWMIPCLAATACLMLYLSYRVQQKRRRRRKGSVASRLTSMV >PVH48354 pep chromosome:PHallii_v3.1:4:49863812:49871998:-1 gene:PAHAL_4G315000 transcript:PVH48354 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MEKRLAYAALLPVLLLALRILPLPSGSPNGSGGVGGEGGTLAPPASRYVVRFLEYRRSEEHREYLDGGLRGAALAASWRWVERRNPAAAFPTDFAVLEIRDAYREAVVAAVRALGRVRDVHADATYSRSVLSAPDRPPPRRGKLFTAMSFEGGEEGGEMVNSSSVTWGRRLLLQRSQVTSLFGAERLWGRGFTGKNVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLFSVISEDKRKSILNPAAMKQALVEGASKLPGPNMYEQGAGKLDLWQSYEILKNYQPRASIFPTMLDFTDCPYFWPFCRQPIYAGAMPVIFNATILNGMGVIGYVKDQPLWQPSEDIGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLTIYTPAAHGESSPRISTCVLYLKIKVVPTPVRSKRILWDQYHNIKYPSGYIPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVIHKGLGIAVFAEWYHVDTMIKMTFFDENTRSWWTPITGGANIPALNELLAPFGIALGDKILTGDFSINAVLNSWNDGSRRREDCSLW >PVH48182 pep chromosome:PHallii_v3.1:4:46560444:46562581:-1 gene:PAHAL_4G270700 transcript:PVH48182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSKSDCPWLLLRLLVVLLVAASGSAPVAEAEPAFDENYAVQWGADGYHLVIRGTEVNITMDQNSGAGFRSKSMYGSGFFHMRMKLPSGYTAGVVTTFYLISQPEDGSRDEVDFEFLGDKAGVPVTLQTNVFVNGRGDREQRLHLWFDPAADFHDYKILWNPYQLVMFVDDTPVRVLRNLTATVPGYPFPAKQTMLIRASVWDGSGWATDGGRTKVDWSQGPFTAGYRGFDVSGCASGGATPCGSPDLWWNGAGYRNITAEQRAAYEGVKKHYMNYDYCADKGRFNNTVPIECNYA >PVH48183 pep chromosome:PHallii_v3.1:4:46560442:46562581:-1 gene:PAHAL_4G270700 transcript:PVH48183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSKSDCPWLLLRLLVVLLVAASGSAPVAEAEPAFDENYAVQWGADGYHLVIRGTEVNITMDQNSGAGFRSKSMYGSGFFHMRMKLPSGYTAGVVTTFYLISQPEDGSRDEVDFEFLGDKAGVPVTLQTNVFVNGRGDREQRLHLWFDPAADFHDYKILWNPYQLVMFVDDTPVRVLRNLTATVPGYPFPAKQTMLIRASVWDGSGWATDGGRTKVDWSQGPFTAGYRGFDVSGCASGGATPCGSPDLWWNGAGYRNITAEQRAAYEGVKKHYMNYDYCADKGRFNNTVPIECNYA >PAN22228 pep chromosome:PHallii_v3.1:4:228913:232069:-1 gene:PAHAL_4G004600 transcript:PAN22228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDLCFIEKECLILGSVPLLSICTSLYAFSSFFPMPSVLALMNQIPRFNVFSYSSVRRSVSCIHYIICTLLCALIQSCWACSLRCAEKSVDFRCTVLIQ >PAN23901 pep chromosome:PHallii_v3.1:4:11142923:11150572:-1 gene:PAHAL_4G130400 transcript:PAN23901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQKLSRQSLHLVLNEGRGLYSLRHMDVSKLFYPSAAEALEAKAKAKMKKNGTEKIGSLSCLLEPSIHYQPYTPAVSNPYSSISALALFGENKNKILCSDVAGNARLYNTELHSLIAIPKLNSPKEPNSVAVSIPDATTNGRSDFGNHRQTVLSYDPVGSWCWDPLPQPPFLKEPACEAPLQAHFTVVDSIKICVATTKATYCFDTVTREWNKPVVQHIGMEFELPDNWWQVTCNLVNLGSQRFCIASSFMVDNDQDEYDSVTVTVLTGVEVVAQ >PAN24553 pep chromosome:PHallii_v3.1:4:42187669:42188846:1 gene:PAHAL_4G232700 transcript:PAN24553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGKLGMKLIENPKKRRATYKNRCDGLVKKTSQLATLCGVEALLICFDPKPAGSRRRRRIRDREDVLELIKKYRETPADKVRHSFTAAAYYQEELAKQQRKLLKIEQCGPDKLNLQDWRLADLPPTLRKAQQRIVALGGHVEDDGDVPSATTAMVAAPTPLADYNSFDLAFSVPDAGSILTLYYYPPFDMLPQPEPLQPPCLAYHQMLLPGYTFQMLPPAPLIAPLDLGMAGTGTVDFPPFATNFSHGGATGPGFYDDFMSGFDATGGGVVYVDDYVAGGGQSFAAGHAGARYQHEHHLPAGVWPVSRLNNNPGPMDAAAPPERNDFAVLPGSSTSSSSSSTCFQGGFQKKLLHDGYVFHPDVTVDLISFV >PVH47623 pep chromosome:PHallii_v3.1:4:7463663:7464376:-1 gene:PAHAL_4G103900 transcript:PVH47623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLLRPLREHQRGGVLPEPAASKHRTNRHLQVNAGMADPSKLAVLAAALRTVKVDGTMASSRRSGPPRSF >PVH48219 pep chromosome:PHallii_v3.1:4:47521340:47523005:-1 gene:PAHAL_4G281000 transcript:PVH48219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSIRSVSNMNSPPSSIKRYNYFSQLVINNSVLTAAVLTGTIFLLKAAQLGPAQLLAWFHSVRHAHLFSVTFLAIALGTLYLMQRSRSVYLVDYACFRHTSDCRIPLASFVEHARQVPSTDDKSIQFMKRMLENSGIGDQSYLPTATHYIPPSHTLSDARDEAEQVMFSSIDDLFARTGISPEAVDIVVTNCTVFSPVPSLADMIVSKYKLRSDVRSVHISGMGCSAGMIAVEAVKSLLQVAPQGAHALVVSTEIVSFLKFYTGRNLSMLLPNVLFRMGGAAVLLSTSRSKSRFKLMHTARTITAAQDRSYQCAFQQEDDKGEVGVNLSRDLVAVAGDTLQANITAIGSLVLPLSEQLQFVLSLIACKLLMNRKMKPYVPVFRMAFEHFCIHAGGRAVIDAVQRSLRLSDEDVEPSRMTLHRFGNTSSSSVWYELGYIDAKVRMRKGDRVWMIGFGSGFKCNSVVWQCIQSHSNLDGPWAGCIHRYPVGTTKVAR >PVH47602 pep chromosome:PHallii_v3.1:4:7039825:7043157:1 gene:PAHAL_4G099800 transcript:PVH47602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLFKRLNHGGVLRTFRMALVNRYPTSPMATCAGDPPAVTTAKPPAASTQGDCSHAPKAPADKPAGCDPQASPAVEPPAYPPSGDCPCDPQEAQPLGPGEVPRRDPDPLQDPRDGGRDFILLLTPSIHWSTCDNKDADEDNDHGSDNKDADEDNDHGSDDEALGPLPRGCLDHPCHVGYLDTN >PAN23532 pep chromosome:PHallii_v3.1:4:7039825:7043157:1 gene:PAHAL_4G099800 transcript:PAN23532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLFKRLNHGGVLRTFRMALVNRYPTSPMATCAGDPPAVTTAKPPAASTQGDCSHAPKAPADKPAGCDPQASPAVEPPAYPPSGDCPCDPQEAQPLGPGEVPRRDPDPLQDPRDGTPDP >PAN23533 pep chromosome:PHallii_v3.1:4:7039977:7040359:1 gene:PAHAL_4G099800 transcript:PAN23533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLFKRLNHGGVLRTFRMALVNRYPTSPMATCAGDPPAVTTAKPPAASTQGDCSHAPKAPADKPAGCDPQASPAVEPPAYPPSGDCPCDPQEGKDGIK >PAN25815 pep chromosome:PHallii_v3.1:4:47295388:47297738:-1 gene:PAHAL_4G278300 transcript:PAN25815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELNLSKNQFNGSLLKTLLALPHLKVLDLSENSLVGGIPISSSSDEEPLRNLTKLEEIDLSGNTNLAVHVNIPGWVPPFQLKRLALSGCDLDRAIIEEPHFLRTQLYLEELDLSNNNLSGSMPNWLFSKEATLVNLNLGNNSLTGSLVPIGHPQTALQSMIISNNYTTGQLPVGFGSMFPSLSTLDFYHNNFYGQIPMSLCHINRMRLLDLSSNNFFGELPACNNKFEGALPRLLSGSLVIMSLYDNKLSGELDTSFWNLSSLAVLNLSGNRMIGNIHPKICNLTRIEILDLSANDFNGPMPKCSKDLDNINVLSLGWNELEGQVTSNLCKLCPRIIDLSHDKLSGSLRPCLGRIFCDRETIEVDNPFLLIKVILESYIVVEDTRGFTFGTKGNQYTYGFDFSDLMSGIDLSANMLSGEIPWELGNLSHIKALNLSNNFFSGQIPASFANMSEIESLDMSHNELTGSIPWQLTRLWMQEVFSVAYNNLSGCIRNSGQFGSFAMDSYQGNSNLHKMSQGDGCSSSHGSGAGDMPPDGSDRVVDDPVLNAVSAASFVLVFWALRPWFAIQLGGM >PAN25273 pep chromosome:PHallii_v3.1:4:48045704:48045997:-1 gene:PAHAL_4G288700 transcript:PAN25273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIEWLISLIPL >PAN24136 pep chromosome:PHallii_v3.1:4:36576382:36580317:1 gene:PAHAL_4G207700 transcript:PAN24136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQERDYIGLSPATAATELRLGLPGTEEAVAGTPLTLELLPKGGAKRGFADAIVRMDAAATGKAPADDEEDKKKAQAPAAKAQVVGWPPIRSYRKNTMAMNQGCLYVKVSMDGAPYLRKVDLKMYNNYKELSLALEKMFSSFTVGDNESNGKSGREGLSDCRLLDHKNGTELVLTYKDKDGDWMLVGDVPWRMFMGSCRRLRIMKGSDAVGLAPTATEKSKNGN >PAN23223 pep chromosome:PHallii_v3.1:4:5228352:5229584:1 gene:PAHAL_4G076900 transcript:PAN23223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHGRRTAAMAALVCLLCLQLVAPLLAQGARPSRKLLWTAQEEPSHGGLGGHDTGAATTPTPEPCIGARGSAGSRGSAGTAEAQWGELHTDYIYTQDVKHP >PAN26189 pep chromosome:PHallii_v3.1:4:52456985:52458060:-1 gene:PAHAL_4G354300 transcript:PAN26189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERRSTAAVARAPPATLSLLAAAVLLLATAAAPCRAQAATVMSIEEACQKAASASAGVSYEHCVSSLASDARSRDAADLHNLAVLAARMAVDHAATTGARMEDLNEVEESPHARARLHHCRELYDAAADVLRDALDNLRARIYGKASQQLAAALGASESCEDVWKGEERVPVATHDREYGRMAMVALGLTSGIVA >PAN22893 pep chromosome:PHallii_v3.1:4:3362681:3369708:-1 gene:PAHAL_4G051500 transcript:PAN22893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSQTQPAIGVAAYPPAATIATPAIEAAGLQPGQAFAANPAQMSAQHQIVYQQAQQFHQQLQQQQQRQLQQFWDERVADIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGVGLPRAGLPPMGAPADAHPYYLWQEPQEQQEPPPEEQQSLRGSG >PVH47733 pep chromosome:PHallii_v3.1:4:11839265:11839526:1 gene:PAHAL_4G133900 transcript:PVH47733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSARQRGGLRQRLRRLLGVCLPVDVPVAPSSSCISCYASALLNRFLIRL >PAN22957 pep chromosome:PHallii_v3.1:4:3675906:3677508:1 gene:PAHAL_4G055700 transcript:PAN22957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLSSRAAILARRLGAQAQPQPQPGVVLSRRHSHTRRRAAEVLEAEAAGPSNTPADAATVARRLEEAIDGAMARMAEPEWAPFRPGTSYFVPPRPAGAALGILALVGHGGGFVEPAAPRRELSADEARAVAAASRGYPCSTYFIDGHFPDEMKSSSLDNPAQED >PAN25430 pep chromosome:PHallii_v3.1:4:48824904:48837314:-1 gene:PAHAL_4G300900 transcript:PAN25430 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MTRRRRTRRAPLRLPPGSTPRPAPLATRRRLLHNRKPSRGRASGSAWARRRRRSSAAPPLSMDFVCPGDPAVWDGRHFGPCFADLVLGVAGNAIAAVAVVCLFIAKRNTPRAQNVRRGLSEKLFVFGVPGFAACLSLVGLTMLVKKKFEGKDVEDYELFFTCSQFIAWISVTIVCVSGAWFEIIYNPIMCFCWILKILLEIPHLQYKLTVLKAMASFMEIISFCTATTFGLFVIVAAVVSQSGNRRKVNSIEAPLILNDEKAECEITNMVKDHNLWELLTFKFVNPMMDIGITRQLDFADLLELPAELRATSCYDRLLSSWTAEYQNHRDNSSLLRAMSRSYGWRYLRLGLLKVTNDSISFVSPLLLNKFIRFLQQGSGGMDGYILAISLGLTSIINLAERSRFSEGEIQTFMSVDADRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITIILIPVNKWISTRIASATEKMMKQKDRRISCAGEVLAHIRTVKMYSWEKLFTERLVERRESEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHTLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSNYLSTPEHNSSELTASADILKHQFKRDTEVIHNPMAFVLQDVCCSWSSSSVAEPSIVLRDISLQLQKGLFIAIVGEVGSGKSSLLNSVIGETRVVSGSISSCGSIAYVPQVPWILSGSLRDNILLGKEFDPRRYDEVIQACALDVDISAMARGDMSHIGEKGTNLSGGQRARLALARALYQNPDVYLFDDILSAVDSQVASWILEKAIMGPQLMQKTKLLSTHNLQAISAADMIVVMANGLVKWFGTLESFLATPYSRISKPDSSSPTSFTASVKDKTPIVSCELKTDVILEDDSVVAYEERNDQDEAEARKEGRVELSVYKKYATFAGWSIVVLIFLSAFLMQASRNGNDLWLTYWVDTNTSTNNTVFYLIILAMFGIINSLFTLGRAFSFAFGGLRAAIYTHASLLENIISAPVCFFDQNPSGRVLNRLSSDLYTIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILLPLWLIYRKLQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYSELIASLWLSLRLQLLAGFIILFIAMVATVSFNSSSLVNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERIAEYVGIAQEELKGSESPPRNWPTEGKIEFVHVTLRYKPELPPALNDVSFLIAPAMQVGIIGRTGAGKSSVLNALFRLVPICNGRILVDGIDVAKVAIRELRAHFAVVPQSPFLFDGSLRENLDPFNTTTDIRVWEVLEKCHMKGEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLMTHKSSRFARFAKASQM >PAN25429 pep chromosome:PHallii_v3.1:4:48824904:48837313:-1 gene:PAHAL_4G300900 transcript:PAN25429 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MTRRRRTRRAPLRLPPGSTPRPAPLATRRRLLHNRKPSRGRASGSAWARRRRRSSAAPPLSMDFVCPGDPAVWDGRHFGPCFADLVLGVAGNAIAAVAVVCLFIAKRNTPRAQNVRRGLSEKLFVFGVPGFAACLSLVGLTMLVKKKFEGKDVEDYELFFTCSQFIAWISVTIVCVSGAWFEIIYNPIMCFCWILKILLEIPHLQYKLTVLKAMASFMEIISFCTATTFGLFVIVAAVVSQSGNRRKVNSIEAPLILNDEKAECEITNMVKDHNLWELLTFKFVNPMMDIGITRQLDFADLLELPAELRATSCYDRLLSSWTAEYQNHRDNSSLLRAMSRSYGWRYLRLGLLKVTNDSISFVSPLLLNKFIRFLQQGSGGMDGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLAERSRFSEGEIQTFMSVDADRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITIILIPVNKWISTRIASATEKMMKQKDRRISCAGEVLAHIRTVKMYSWEKLFTERLVERRESEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHTLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSNYLSTPEHNSSELTASADILKHQFKRDTEVIHNPMAFVLQDVCCSWSSSSVAEPSIVLRDISLQLQKGLFIAIVGEVGSGKSSLLNSVIGETRVVSGSISSCGSIAYVPQVPWILSGSLRDNILLGKEFDPRRYDEVIQACALDVDISAMARGDMSHIGEKGTNLSGGQRARLALARALYQNPDVYLFDDILSAVDSQVASWILEKAIMGPQLMQKTKLLSTHNLQAISAADMIVVMANGLVKWFGTLESFLATPYSRISKPDSSSPTSFTASVKDKTPIVSCELKTDVILEDDSVVAYEERNDQDEAEARKEGRVELSVYKKYATFAGWSIVVLIFLSAFLMQASRNGNDLWLTYWVDTNTSTNNTVFYLIILAMFGIINSLFTLGRAFSFAFGGLRAAIYTHASLLENIISAPVCFFDQNPSGRVLNRLSSDLYTIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILLPLWLIYRKLQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYSELIASLWLSLRLQLLAGFIILFIAMVATVSFNSSSLVNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERIAEYVGIAQEELKGSESPPRNWPTEGKIEFVHVTLRYKPELPPALNDVSFLIAPAMQVGIIGRTGAGKSSVLNALFRLVPICNGRILVDGIDVAKVAIRELRAHFAVVPQSPFLFDGSLRENLDPFNTTTDIRVWEVLEKCHMKGEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLMTHKSSRFARFAKASQM >PAN26134 pep chromosome:PHallii_v3.1:4:48825211:48835906:-1 gene:PAHAL_4G300900 transcript:PAN26134 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MLVKKKFEGKDVEDYELFFTCSQFIAWISVTIVCVSGAWFEIIYNPIMCFCWILKILLEIPHLQYKLTVLKAMASFMEIISFCTATTFGLFVIVAAVVSQSGNRRKVNSIEAPLILNDEKAECEITNMVKDHNLWELLTFKFVNPMMDIGITRQLDFADLLELPAELRATSCYDRLLSSWTAEYQNHRDNSSLLRAMSRSYGWRYLRLGLLKVTNDSISFVSPLLLNKFIRFLQQGSGGMDGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLAERSRFSEGEIQTFMSVDADRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITIILIPVNKWISTRIASATEKMMKQKDRRISCAGEVLAHIRTVKMYSWEKLFTERLVERRESEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHTLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSNYLSTPEHNSSELTASADILKHQFKRDTEVIHNPMAFVLQDVCCSWSSSSVAEPSIVLRDISLQLQKGLFIAIVGEVGSGKSSLLNSVIGETRVVSGSISSCGSIAYVPQVPWILSGSLRDNILLGKEFDPRRYDEVIQACALDVDISAMARGDMSHIGEKGTNLSGGQRARLALARALYQNPDVYLFDDILSAVDSQVASWILEKAIMGPQLMQKTKLLSTHNLQAISAADMIVVMANGLVKWFGTLESFLATPYSRISKPDSSSPTSFTASVKDKTPIVSCELKTDVILEDDSVVAYEERNDQDEAEARKEGRVELSVYKKYATFAGWSIVVLIFLSAFLMQASRNGNDLWLTYWVDTNTSTNNTVFYLIILAMFGIINSLFTLGRAFSFAFGGLRAAIYTHASLLENIISAPVCFFDQNPSGRVLNRLSSDLYTIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILLPLWLIYRKLQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYSELIASLWLSLRLQLLAGFIILFIAMVATVSFNSSSLVNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERIAEYVGIAQEELKGSESPPRNWPTEGKIEFVHVTLRYKPELPPALNDVSFLIAPAMQVGIIGRTGAGKSSVLNALFRLVPICNGRILVDGIDVAKVAIRELRAHFAVVPQSPFLFDGSLRENLDPFNTTTDIRVWEVLEKCHMKGEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLMTHKSSRFARFAKASQM >PAN22956 pep chromosome:PHallii_v3.1:4:3677047:3681210:-1 gene:PAHAL_4G055800 transcript:PAN22956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERHLRRILYATSAHRPRADVAYSICTLARRLTKTKNWIVAVKTLIVIHRLLREGDGTFREDFLTYSYRGNILQIPQFKDDSSPLAWDCSAWVRTYALYLDERVECFRVLKYDVEADRLLKLPQASGKAHSRTRTLPLADLLDQLPALQKLLLRLIYCQPEGAACANYLVQYALALVLKESFKIYCSINDGIINLVDMYFEMPKYDAIKALEIYKRAGQQAEKLSNFYDQCKHLDLARTFQFPTLRQPPPSFLVTMEEYIREAPRANIESKSLENEENQPSDNEEAAPQETEKLVEEVKQVPAEPEEELQPAAEPAEEAVEPQPATITGDLLNLDEEVNLMIADLEASNALALAIVAPGNENKMSNSRDLFALDKSGWELALVTAPSNHTNQQMDNQLAGGFDKLLLDSLYEDEARRQQIASVTYTGSLAANPFASNDPFAMSNSFAPPSNVQLAMMAEQQQYYQAQQQQYFQVQQQQQMVMLPPQTYQQQSQYSAPSSQAALSNPFGDPFSSLVAMATAPKQSNSNLV >PVH47979 pep chromosome:PHallii_v3.1:4:36270571:36275562:-1 gene:PAHAL_4G206400 transcript:PVH47979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopropyl transferase [Source: Projected from Oryza sativa (Os06g0528600)] MEAGDAGNGSAAAAQIKGNGDDASCKPLPPCCIKAKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPSPKNVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKEFFPNLSMGFKDPRVRLHVGDAVDFLRNSPEGKYDAIIVDSSDPIGPAQALVEKPFIQTIARALKPGGVLCNLAESMWLHTHLIQDMLSICHQTFKGSVHYAWTSVPTYPSGVIGFLLCAKEGRPVNFLTPVNPIEKIQGATKAGKELRFYNSEIHRAAFVLPTFVRRELESYYTTSASTEKEKPKESVSKSQKIKILPNNAILTAS >PVH47375 pep chromosome:PHallii_v3.1:4:2948205:2949506:-1 gene:PAHAL_4G045800 transcript:PVH47375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKPGRHLHRARSAAVCARSARGKSGPELLGRRRHLLSIRCEAILEKAGVGSRGRKRLRRCLPVQAATSEVSSTARSGWRLAQLRIWNKVVGFGPLVTRRKVPQPNDLCWSIRSMTRTRALCLHQVAKLAPGGCMSRPPLHHHQPRNKCHRLLLRHPVPLQGACG >PVH47883 pep chromosome:PHallii_v3.1:4:25262853:25263203:-1 gene:PAHAL_4G176200 transcript:PVH47883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYISGARSLPDEQVRIASTKKDGIGPKKAIQLRYQLGISGNIKMNELTKYQIDQIEKMIAQDHVVHWELKRGERADIERLISISRYRGICHQDGSPLRGQRTHTNARTAHKQIRK >PVH48167 pep chromosome:PHallii_v3.1:4:46213791:46221081:-1 gene:PAHAL_4G266400 transcript:PVH48167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRHPNVVLFMGAVTRVPHLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHNTLLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQHRRLDIPGSVDPAVAEIIKRCWQTDPRLRPSFSEIMAALTPLLC >PVH48168 pep chromosome:PHallii_v3.1:4:46214584:46217917:-1 gene:PAHAL_4G266400 transcript:PVH48168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRHPNVVLFMGAVTRVPHLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHNTLLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQHRRLDIPGSVDPAVAEIIKRCWQTDPRLRPSFSEIMAALTPLLC >PAN24044 pep chromosome:PHallii_v3.1:4:13154074:13158910:-1 gene:PAHAL_4G138900 transcript:PAN24044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKAEVFINHLIVENAPAR >PAN24043 pep chromosome:PHallii_v3.1:4:13156565:13158638:-1 gene:PAHAL_4G138900 transcript:PAN24043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRVKYESTARSWTQKYAMG >PAN22191 pep chromosome:PHallii_v3.1:4:154864:157826:1 gene:PAHAL_4G003200 transcript:PAN22191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSTATASLHLLLPTSRRRRLLVPRATHSDCTVDRRRFIARTTAAVAPLVLPPVVLWTPAARADDAPALSQWERVYLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWFPRSIPSAEDEDFNYRFNSVSFKGKEGWIIGKPAILLHTSDAGESWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVQVQSRGFGILDVGYRSQDEAWAAGGSGVLLKTTNGGKSWVRDKAADNIAANLYSVKFLDDSKGFVLGNDGVLLRYLG >PVH48244 pep chromosome:PHallii_v3.1:4:48046122:48046889:1 gene:PAHAL_4G288800 transcript:PVH48244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVTRDIQGDIPWCMLFVDDVVLVDESRVGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRNRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRCRGRPRLTWDETVKRDLKEWNIAKD >PAN23447 pep chromosome:PHallii_v3.1:4:6416570:6417175:-1 gene:PAHAL_4G092200 transcript:PAN23447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGSEMSHSSGSTGRRHSPIAYRVGPLEYHPPMNCHCGQKAAMWISWSDDNPGRRYFKCYRAREGGCDFHGWYEGPVDPFVASLLVDLRNIVWTLKRQKEALNMAMGDAAMKMQEQAAMVAQCKKERDAAIADKEELMLRLERQRKLKHNCCGFTVAIFAALVFLCAGMKM >PAN22953 pep chromosome:PHallii_v3.1:4:3669024:3673586:1 gene:PAHAL_4G055500 transcript:PAN22953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPGSPARPSFSSLRGARWRADLGILPGSAAVSIDELRRAAADSRRRYANLRRRLLIDPHLSKDEEGAPDLIVENPLSQNPDSTWGQYFRNAELEKMLNQDLSRLYPELGDFFQTSTCQSMLGRILLVWSLRYPEFGYRQGMHELLAPLLYVLHADVQHFKQVRDLHEELLDDDFDGQTFPDRSKLNRSDRKNNVEGRTSKIRSLADLDPDTRDLFLINDAYGAEGELGIILSEKFMEHDAYSMFENLMNGAQGVVAITDFYSLSPAPESSTGLTPVREASSAIYHLLASVDASLHNHLVELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHLYSTDISKTDYQFKVLCSPRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPEDIDLKTLVEKATLLQSIALEANLPSSPARGKSLLTTPNYWEETWKILQSSIDQKDGGVFKMKGRGFLRRSLSNTNTESNVSRSKAANSENNLTSTRQPTTDELHNTDVVPAKLINSVSHMPTEEQKDHIGQSTSEAIGSNSKNAHETGQRDGYCSTSGEIRDSLGAASGYLSRSSSTSLSCGTEYDHDTHHMEEPCVPCDDNVVNEPDPHSGHRDRTDEAATTMNRTSGIVGTHPVQQERLCSVDGKLKVKYDQNPTMAEGGQKETLVIGLISNAADKELTRSLRYLGESMDENIQAIELLFQPNSLSTSVEKLEKSMSRSTEQAKAVAALKELRKISDLLRQI >PAN23119 pep chromosome:PHallii_v3.1:4:4604690:4618376:-1 gene:PAHAL_4G069000 transcript:PAN23119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVCEGKDFSFPAQELRVLQLWKAIDAFHEQLRRTEGGEEFVFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKALGITNRQQVFDIGIAKYNETCRSIVTKYVSEWEAVVTRTGRWIDFKNDYKTMDINFMESVWWVFAQLWQKDLVYKGFKVMPYSTGCKTALSNFEAALDYRTVPDPAVMVSFPIAGDADNAALVAWTTTPWTLPSNLALCVNANLTYAKVKDKSTAAVYIVAESRLGQLPVKVKASGKKQAPSKGSNAEAVQDGLDKESYELLAKIPGSSLVGLKYTPLFDFFMELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIFETAGLVVAVDDDGCFIEKITDFKGRYVKEADKDIINAAKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIKDQLLECTKETYWVPEYVKEKRFHNWLEGARDWAVSRTRFWGTPLPLWMSQDGEEIVVMDSIEKLEKLSGVKVTDLHRHYVDDITIPSKRGPEFGVLKRVDDVFDCWFESGSMPYAYIHYPFENKELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPTDLIDEYGADALRLYLINSPVVRAESLRFKRSGVYSVVKDVFLPWYNAYRFFVQNAKRLEVEGLAVFSPIDQASLLKSSNVLDHWINSATESLVSFVQQEMDAYRLYTVVPYLVKYIDNLTNIYVRLNRKRLKGRTGEEDCRISLSTLYYALVTTCVAMAPFTPFFTEVLYQNLRKVSNKSEESIHFCKFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEINVKTVTPCNDPLVYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEHVLAFEERGEVTFFGHCLKQDDIKVVRQFKRPENVSEKEIDAAGDGDVLVILDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPSDPVDVYYKSVDHDKNTLGEILNSQDRYIRDALGSPLIPKEMAPTDVVLLAEESHDVHDMSFVICIARSCPVLSPDLLAHASGNNDHVEALRVHLLSRSLSRLKNQFQAGNGMITVDCIEGYPPINLQLGKHIFLSAGDFYLASRS >PVH47421 pep chromosome:PHallii_v3.1:4:3774932:3775300:1 gene:PAHAL_4G057400 transcript:PVH47421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLWMQRNKRRHGEAGMPIRQAVIWVRDTAFDLWQLLHSPKQNKPRAEQPRWVKPELGWIKCNVDAAFQTENGQGATGGVLRDEEGRFLEAQAIAYNHCMDALMAEAYACRGRHGPGRETW >PVH47682 pep chromosome:PHallii_v3.1:4:8889531:8890992:1 gene:PAHAL_4G115400 transcript:PVH47682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCRNTWLLVKIYEVSCVRWQISTRAWLPLNFEEHLLSMCLKCHWIFQRPHHIEKYLSFGHFDAFICDWILGPATFCSFKIFPKALMNYQRTMQVFFKKNHYTCPYIGTKLRCAGLRARPRSVAASLTTGKAPTGSKLFLTGSARSSPTPSR >PVH48521 pep chromosome:PHallii_v3.1:4:52051582:52056821:-1 gene:PAHAL_4G348300 transcript:PVH48521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEMEGRGLSDLFRNTSEEIFLKAVMENSMGVAAAPSMEMLGFRNMSQSFREDSEELFNSWLMNGEIPGFGSVNNRPRQPSRLSSEAAGLPNQQHDIAQQNFLTDNLVPQNSAIPSVEYPNNNNQQLLKNAAEKGMQASDLLLAKAWFHSTQPMTRSRSSELRRRYAAMQTHVAPITTGFIEPANQFKQDFTNTTNSTPMSNTPVQTPKFVSPSSSSTSPLDNPNMVSQDTVTSVVSMLKDTLERKKLGSHANKDATVGNSFGFYDIQQFQQNILGGTDIFPLVTTSQVQDSPMLPKVDRPMETNNGNFAAPANQVWFGAASREPSHSGSSTAMTAHSAGFEVCDELPPMGQAMSVCESTRKNAANGTTDCRLKGKEYRERVLKDNVKDDRKKTALTRMGSISSEQAADNGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTEEKERLLEEIERIV >PVH48520 pep chromosome:PHallii_v3.1:4:52051857:52055066:-1 gene:PAHAL_4G348300 transcript:PVH48520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEMEGRGLSDLFRNTSEEIFLKAVMENSMGVAAAPSMEMLGFRNMSQSFREDSEELFNSWLMNGEIPGFGSVNNRPRQPSRLSSEAAGLPNQQHDIAQQNFLTDNLVPQNSAIPSVEYPNNNNQQLLKNAAEKGMQASDLLLAKAWFHSTQPMTRSRSSELRRRYAAMQTHVAPITTGFIEPANQFKQDFTNTTNSTPMSNTPVQTPKFVSPSSSSTSPLDNPNMVSQDTVTSVVSMLKDTLERKKLGSHANKDATVGNSFGFYDIQQFQQNILGGTDIFPLVTTSQVQDSPMLPKVDRPMETNNGNFAAPANQVWFGAASREPSHSGSSTAMTAHSAGFEVCDELPPMGQAMSVCESTRKNAANGTTDCRLKGKEYRERVLKDNVKDDRKKTALTRMGSISSEQAADNGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTEEKERLLEEIERIV >PAN26110 pep chromosome:PHallii_v3.1:4:52051618:52056821:-1 gene:PAHAL_4G348300 transcript:PAN26110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEMEGRGLSDLFRNTSEEIFLKAVMENSMGVAAAPSMEMLGFRNMSQSFREDSEELFNSWLMNGEIPGFGSVNNRPRQPSRLSSEAAGLPNQQHDIAQQNFLTDNLVPQNSAIPSVEYPNNNNQQLLKNAAEKGMQASDLLLAKAWFHSTQPMTRSRSSELRRRYAAMQTHVAPITTGFIEPANQFKQDFTNTTNSTPMSNTPVQTPKFVSPSSSSTSPLDNPNMVSQDTVTSVVSMLKDTLERKKLGSHANKDATVGNSFGFYDIQQFQQNILGGTDIFPLVTTSQVQDSPMLPKVDRPMETNNGNFAAPANQVWFGAASREPSHSGSSTAMTAHSAGFEVCDELPPMGQAMSVCESTRKNAANGTTDCRLKGKEYRERVLKDNVKDDRKKTALTRMGSISSEQAADNGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTEEKERLLEEIERIV >PAN25015 pep chromosome:PHallii_v3.1:4:46342193:46343098:1 gene:PAHAL_4G267700 transcript:PAN25015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKVSDDRRGDCAGKRPKQAKQHLYLLLDDWERGSVRKLDVDTFDSDAGTDLLQPRHFTEPPVARIEALHEISCGFISHGTKIFAMQPGEGKPAIPAFDTHELGLTICLWPSCRGNYVNRPLFASVAGRLFMFTDVLAEALGDPPPYDSKAPWSWTTIKARPPFFTGRVLCHALHPDGRTLFVSAGSRSRQRNCEDSPEQAGQGDWVGLCGERNGDGCFCACDVAPVAAEFTSAPAWKLGQDRLFRKGPQLHLGAKLLYMGDSKFCLVESVLHKEDDDRLVA >PVH48369 pep chromosome:PHallii_v3.1:4:49952780:49953100:1 gene:PAHAL_4G316400 transcript:PVH48369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSMRRPVFVVSVTLLLAAGPYNGSTLVVADRDDTSELVRELAVVSWTGVLWRAEGHVLWSTAKVESPLHAVLELDVHASVPVPRPTSARGKAAATILASHLTEL >PAN24881 pep chromosome:PHallii_v3.1:4:45610687:45611972:1 gene:PAHAL_4G259000 transcript:PAN24881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLIEQGKRRDRWKMAGCCLACFGGGKFRKGREKSEMQLLVVVAAERKSEGNQMERGEQVCRIGEGNNCGCLDLELHVVSSSDWRSQRSERKSDRAAVLCSHGRSTTVAGLSRQSRHIGVTPSSRPVQRRSWRGANRTSATGLRYESPGHCFACRSSSRRLARSSWQPSARVAVAFSQVTSTAAGAEAGD >PAN23291 pep chromosome:PHallii_v3.1:4:5912113:5912742:1 gene:PAHAL_4G085200 transcript:PAN23291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFSGEFDRSRQTLAALGTSWLSLPPAADASSAPSRQLSLRLAGQCSSRHSRTPRPTSPSCSPSGATYSSLRSSLPARLFRVSKDVLPPFLSKLFLKISKLVYFKLVILS >PAN24671 pep chromosome:PHallii_v3.1:4:44038168:44040490:1 gene:PAHAL_4G243700 transcript:PAN24671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHVDPLVVGRVIGDVVDLFVPTVAMSVRFGTKDLTNGCEIKPSIAADAPAVQIAGRANDLFTLIMTDPDAPSPSEPSMREWLHWLVVNIPGGTDPSQGELVVPYMGPRPPVGIHRYVMVAFQQKARMTAPPALAPGAEAARVGFTTRAFADRHDLGLPVAAMYFNAQKEPANRRRHY >PAN24647 pep chromosome:PHallii_v3.1:4:43462433:43463712:-1 gene:PAHAL_4G240400 transcript:PAN24647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLLAPRCITIFKHTHQQLAQIDNNLPSMILGAPTAHHVVLHHLAVRPPGPGHRGRRLLSAAAHAAARRDDATAAGAEPAEGSSPAVGSESSLGLTAPKDDTKAAVPAGLLPLCKDYSHTLFRSECLEVLGLVDLESLRRRPRLTVGVTVKASVVLPVLVVLAVLYLTDMAKEVVDWTHHLEGEDVGD >PAN24691 pep chromosome:PHallii_v3.1:4:44204231:44210331:-1 gene:PAHAL_4G244700 transcript:PAN24691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPATLHNDPAIIQSHYPRPVSLSTNLPPAASTTSADTTSHNAPSAIQMPPPFQGNLPPFQPGASLQSWNSSPMPSSANGAGLTMPPMYWPGYYTPPTGFPHLQPPLFLRPPHSLTVPQALQPPVQYPGLNGSLPAGFPSMPELPSFLQPGNSNSLSQSLGVSTSVSVPASSSTSTTDSSGSQLPNKLSSISASVFSMGLTPPSVSPSISTVEPSMLVSQGMPSLVNSKPVALPDSSVPSLSSDKPASVPAASVPTYLPSSQPPSANDASPVNVAEQVTLVTPGQLLPTASSTVTPSQALQTASATVPSSKVASSTVPSSQATSSLVPSSQATSSAPSPLKVASSSVLSEEMQVIGENKAVKQREWKAKQPAVAPSGNKEPLLPAPKPILEKPVGASSYVQYNNRGRGRGRGRGRGNGLSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSTGQLNDDPNDYEDDVPEDEISPRKPEAKPVYVKDDFFDSLSCNTIDNGGRSGRIKFSEQRKIDTETFGDSARHRPMGMRGGRGPHGGGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >PAN25616 pep chromosome:PHallii_v3.1:4:49782875:49784601:-1 gene:PAHAL_4G314000 transcript:PAN25616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDATAFYPPAAPAAAPPAALAGAGGVGAAAVGANARGGVGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGAAVAERPEGQKNGGAGLTAAAIRKKAAEVGARVDALHCGVGGGAPPPPPLSSHRRRAKNPDLNREPTPDTSDDE >PAN26149 pep chromosome:PHallii_v3.1:4:52252330:52258921:-1 gene:PAHAL_4G350900 transcript:PAN26149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPYILRLSPLPPLDAPPLPQLRPHVRRRRSAKVAAAGTSWAPTTSESGDGVGGWWVPEHEKPVEQGQRKTGFGRAVAVGLGASAAIALAGLAWQSPSSRNCLQQLIVAPLHYVQEKLSPESAETPNEDTSDREPDEGVFSTTPDEKAEAVIDDSVQNNTSVRSHFLFRAPIDPVHEEAFSILKKLQIIEKDVSPSDFCTRREFARWFVKLCSKFERKRMQRIVPNKLTSGSIQSAFDDVNVDDPDFLYIQSLGESGIVPSRLSNSLETSTSGSPSCQGNSLFLPESYLSRFDLVNWKVLVEHPRALGIDQKMLNQNVRILHVRDCPDVSPSMLIELMAGENNIISKVFGNTRRLQPLKPVTKAQVAAALTSGRMEEAIRDELNRLEAENQAHLSAIAEIMEELISRGDIQQQWEEKMKKEQQRAFKVDKDLQHVLHELANERTGRERELEDLLKEKAALECQNQELINLRSEIDGMYDRLATENAKVMADQQNLENLLSDITSKHQAVNEAKSHLEAEKEALTMLRTWVEEEGAKVHEQAETLKKAVRRWRVPVD >PVH47200 pep chromosome:PHallii_v3.1:4:73152:74677:1 gene:PAHAL_4G001500 transcript:PVH47200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETIAAAATSGLGTRRPRHPPAATASFLSFRLRPAARLPGAVAASASASRLCKAKAHGDDQLGNVLDVAESTWDDLVLGSESPVLVEFWAPWCGPCRLMHPVIEDVAKAYAGRLRCLKLNTDKNRDIATSYGIRSIPTILIFKNGERKETVIGAITDTTLAMTVERFL >PAN22463 pep chromosome:PHallii_v3.1:4:1356026:1357804:1 gene:PAHAL_4G021300 transcript:PAN22463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSFQLNPNASPFIPGSLSSFADKAPENQAESSSKGDSSGDTFDPSEYEKNDMDPLALAKMVVSMFPNVSTDFIDELLKSTDFDINLTVDMLNELSSQDMLHGDAEDINDLHDDQGLSGENYHCVEVSESSSNLNQGLQNEKSATTSDVKSVLPKFSNINLLDNDLCVPDDKSAGASVAK >PAN22464 pep chromosome:PHallii_v3.1:4:1354669:1357826:1 gene:PAHAL_4G021300 transcript:PAN22464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSFQLNPNASPFIPGSLSSFADKAPENQAESSSKGDSSGDTFDPSEYEKNDMDPLALAKMVVSMFPNVSTDFIDELLKSTDFDINLTVDMLNELSSQDMLHGDAEDINDLHDDQGLSGENYHCVEVSESSSNLNQGLQNEKSATTSDVKSVLPKFSNINLLDNDLCVPDDKSAGASVAK >PAN22563 pep chromosome:PHallii_v3.1:4:1698621:1701699:1 gene:PAHAL_4G027200 transcript:PAN22563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALFVVLRKVGLFLGEGALERIGTEIIEGELSILQAFISQVSAHKDDIIDEYAYLSAQAMDTSSFFKRKFHQIKNFTSWQKLPIQISQVEARIQRLAEIRNRYGISVVEEDKGSKLQQPNQLRMSDSAYLTDNSEIVGNVDEIAILTQWLLEEKQERTIIAILGMGGLGKTTVTSSVYKNQKIRRTFDSEELLREIINQLIDQRTSMTSGVVTMSHVRLVEAIQSYLQDKKYMIVLDDVWDKDAWLFLNYAFARNNCGSKVLITTRRKDMSSLAADSYVIALRTLRYAEAWELFCEKAFRLSTNNVCPENLTSWAKKIVARCQELPLAIVTIGSILSYRELEEHAWKFFYNQLSWQLANNPELNWISTVLNLSLNDLPSYLRSCFLYCSLYPEDYKIKRKLISKLWIAEGFVEERGDGTTMEEVAEYYLRELTQRSLLQVTERNECGRPRTFLMHDLVRDVTSIIAKREKFGIGYGDGTTHVAHEARRLSIQRGARSLHSLASTRLRSFILFDAGVPSSWIYDISSRLLRVLCLRFADIEEVPGVVTELYNLRYLDFSHTKLKKIPASFRKLVNLQVLDLRFSSVEELPVEITMLTNLRHLYVFTVHDLQERSLNCFSATKLPGNICYLKNLQALYTISANKKLVSQLGNLTLMRSLAIMEVHQSYTAELWNSLTEMPNLRRLFISACDVNEILDLNTLKPLPNLTFFWLAGKLEGGVLPSIFSEKLMQLKLDWSGLKKDPISSFSYAGEQLSFCTRWFPKLKSLQLADMDRLNWIEIEDGTMMNLHRLELAGLRNLKVVPVGIKYLKTLHQVFLTEMSNEFIDRLQGSDHHIVQRIPDIHNFDSSDSQAVNADLLILQVNNFIFLPYLAKKFGPGAMNYASTKRGSSGS >PAN23990 pep chromosome:PHallii_v3.1:4:34779256:34781268:1 gene:PAHAL_4G203300 transcript:PAN23990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTRRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPETLRLNEGVDVDGPEDGEEGSDYIQFEDEDIDKI >PAN24885 pep chromosome:PHallii_v3.1:4:45621205:45624791:1 gene:PAHAL_4G259200 transcript:PAN24885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKVPATVPTAADDCEQLRKAFQGWGTNEALIISILGHRDASQRRAIRRAYAETYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEAARKWQPGNRVLVEIACTRGSAQLFAVRQAYHERFKRSLEEDIAAHVKGDFRKLLVPLVSAYRYDGPEVNTRLAHSEAKILHEKIQHKAYSDDEIIRILTTRSKPQLLATFNYYNDAFGHPINKDLKADPKDEYLKTLRAIIRCFTCPDRYFEKVARQAIAGIGTDESSLTRVITTRAEVDLKLIKEAYQKRNSLPLERAVAGDTSGDYESMLLALLGQE >PVH47628 pep chromosome:PHallii_v3.1:4:7512911:7514672:-1 gene:PAHAL_4G104600 transcript:PVH47628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQGQKPLKSQQEKFGDPDRKGGRCTLAPAGLRPLAAHPDASGLQTGDVPSGVDNPFFSGEILLLLPVVGAPVFAVLFLRGPQLHFSAAPATWRPPRRTFGRAGRGVDRRRGPIVPSCPPHVTVSWRDC >PAN22969 pep chromosome:PHallii_v3.1:4:3728065:3732280:-1 gene:PAHAL_4G056900 transcript:PAN22969 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMQYRFLAADSLQKLVILAALAVWHNVLSRYRRGAAAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGSDGRVHVVIRRSASASTTGHGAARSGVGYRPYGPSSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGAGARAPGLDEQVANKFASGKQGGDATAYPAPNPGMMPPPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAGVPPPAAPVDGATPKGVSGTVTPIKKVDAAAAAGDLEIEDGLKSPATGLGAKFPVSGSPYVAPRKKGADAPGLEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLVGLVWALVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >PVH48059 pep chromosome:PHallii_v3.1:4:41936684:41937674:1 gene:PAHAL_4G230900 transcript:PVH48059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSGVAPSYIETYIRGHHGPDPTQPDLLCSDNATQTLARYGDEMVARHGEEYDWRTSDVDVGALYSSGGGKKHGRFSMLNGVIDTSGALSEASQRETIMQEQIRQHEEAMQRQEEWARQQHEYMQGFFAQHRQIQEMLAATLGSQFNLPPLPSPPPPPPNFVPFVRVPSPQGSTSTHPQGVSASPSTPPSAPRNISGGDCGSGHNITPPP >PAN25115 pep chromosome:PHallii_v3.1:4:47159770:47163097:-1 gene:PAHAL_4G276500 transcript:PAN25115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGMEEEVAQSVSDLPVQNPHGEEFSAADLTWVKYASSEHHCDDVALIPYDRMEAFISGESSNPECPTRFHIERSRKREEGSLREYRSDEYLLHRIYWCSFGPENYGEGGTILPSRKFRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLLLIIYHERRHVNKSGFICHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHIEGIQRYCSSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFFYQDSNETDAFILGIQTEWQCQQMMRFGHQSLLASHSSFGVSKLKYPLHTLLVFDSMQHALPVAWIITRSVTKKDTLKWMRALTDRIHSIDSTWRISGFIIDDPASELDPIRDVFACPVLFSLWHIRRTWLKNIMKKCSNIEVQREIFIQLGKIVYSIWSAKNPMNALEQLFQDFVDQTAFIKYFKSFWVPKLEMWIDSIRNLPLASQESCGAIEAYHLKLKAKAYDDVLLDALQRVDWLVHKLTTELHSSYWINLFADESGSFPDVKAEYIASTSWQRALQIPDEAVHFDNNEPHSAKVASQKDPSQMWTVWNPGSEFSLCDCSWSMQGNLCKHALKVNMMCGARKDFQPSLSLQSFQRVLLGLWQKPMDDSFSLDLSVAWAMQMQERIQHVAELATSDGIAQLAEKLPIQWTRRRGRKTATKRTSPVVLPHSNSSLQRDSTPTKNRKRKRLSTFSG >PAN25175 pep chromosome:PHallii_v3.1:4:47556037:47556384:-1 gene:PAHAL_4G281500 transcript:PAN25175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPAAASSPPAPQPQPEPAQKAVRVVVKGRVTGVGFRDWTASTAESLGLAGWVRNRRDGSVEALLSGDPARIEDMITRRLPVGPPAATVTAVVPSPAEPVDPSAGFEIKFTV >PAN23432 pep chromosome:PHallii_v3.1:4:6333140:6339481:1 gene:PAHAL_4G090500 transcript:PAN23432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPPPGTVLFMHLAILFLLAAAAAASAAALEEEFTEELLLRPLPDRKALAHFYFRSSAPPAASVGRHHHLFPKAISQLVKKYHISELELSFTQGRWNYEQWGGFDPMSTSNAKPPGVELWAVFDLPLSEIDATWKNLTHTLSGLFCASINFLESSTSFSAPRWGFKSNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTVVLQPNTINGKQLHSSHRQLQPSWSMRHLFNRKLSGKCLVSKSSRIFIEVDKGIVDKINKSGSDLSWNNEFFVLSNGPDRLTKDLNNLEVQSSSIYEYDVSNCSEEKPFDVGITWKLPLIWSCTPSPFHVSRFLMGSGNERGSIALSFTSTNLHKKIFGSPNDCSIKAIIFQIVPWYVKVYYHSLEIFIDGNRKAVSDVVDKIHVTPSEDKLLPGTLEMLLRFPCSMRSATLALDFDKGFLHIDEYPPDANQGFDIPSALISFPEFNSARNFPETDPLLGSPLLENFQEDTVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRISEEERELKKTATRHGLLPLLLAKLRGQKVDPTESGSSSESTGSKKLLFKVVFVAAAAVLFHYFSNS >PAN23433 pep chromosome:PHallii_v3.1:4:6333268:6339481:1 gene:PAHAL_4G090500 transcript:PAN23433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLLRSIWRRIEGGEAVRAPPSGRRMRTGGGERLPHHATGGQPPEPVHATPPFSSASLPSPALMAPPSPPPGTVLFMHLAILFLLAAAAAASAAALEEEFTEELLLRPLPDRKALAHFYFRSSAPPAASVGRHHHLFPKAISQLVKKYHISELELSFTQGRWNYEQWGGFDPMSTSNAKPPGVELWAVFDLPLSEIDATWKNLTHTLSGLFCASINFLESSTSFSAPRWGFKSNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTVVLQPNTINGKQLHSSHRQLQPSWSMRHLFNRKLSGKCLVSKSSRIFIEVDKGIVDKINKSGSDLSWNNEFFVLSNGPDRLTKDLNNLEVQSSSIYEYDVSNCSEEKPFDVGITWKLPLIWSCTPSPFHVSRFLMGSGNERGSIALSFTSTNLHKKIFGSPNDCSIKAIIFQIVPWYVKVYYHSLEIFIDGNRKAVSDVVDKIHVTPSEDKLLPGTLEMLLRFPCSMRSATLALDFDKGFLHIDEYPPDANQGFDIPSALISFPEFNSARNFPETDPLLGSPLLENFQEDTVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRISEEERELKKTATRHGLLPLLLAKLRGQKVDPTESGSSSESTGSKKLLFKVVFVAAAAVLFHYFSNS >PAN25032 pep chromosome:PHallii_v3.1:4:46434447:46436135:-1 gene:PAHAL_4G269300 transcript:PAN25032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYKPLDPRSQKPSSKNPGCFLSKPVCVWLVLGFLSLALLHLLCCSPAGTQQAVFSPLRQYINNTYSFVSSVPGEGTNKNCSYSEGRWVWAPGHARRYNATECNVKERQDCIRNGRPDTGYLDWRWQPAGGCPLPAFDARAFLSAVRGKHVAFIGDSMARNQAQSLICLLSAAFPYRLLYRDAGPQRHNFWGYAFPSHDVRVSYYWAPFLVRGKGKPEDDSIKENHVHLDAPGDRWGADADTIDVAVLAAGHWLLNGAIYYNGSEVVGAHNAPPELNYTRIGYAWPLHMAYRTAVERLSSGSRQRTVVLATFSPSHFEGRPIDSPTACTKMEPYREGERELEWIFKEVRDVVYDEAELARARAAGGATRIEVLDVTTLAAMRPDGHPSVYMNYHPFEHGVPDRMLSDCLHFCLPGPVDTFNEILVQILKKRRP >PAN26026 pep chromosome:PHallii_v3.1:4:51470408:51473365:-1 gene:PAHAL_4G339700 transcript:PAN26026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPPRAAALPGALLALLLAAAALVPPAAAEIRETLIRSDPRSIIPLDEFGFSHSGVLELNVSGIAFDPPASAELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQSDLVKLAFSFDRLRPPSNPAGVEVARSSSFSTAFRVSEPGQYTLVFANCLGGGLKVDMDVRSAMYNVDPATGDRQYLSAGASSLPSIYFLFCLAYAGLAAAWVAILLRKRAAVFRIHYFMLAVLVLKALNLLAEAEDKSCIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADKEKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALQTITSYRYLWTSVVAAELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >PAN22186 pep chromosome:PHallii_v3.1:4:137780:140440:-1 gene:PAHAL_4G002600 transcript:PAN22186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASFSGPLHRPLSAMAVAAFAAVSSLELPDKLSHHKLSYASANADALVSLPTTRTDVPGAPSASALSVQLLPRNLQSLQPLKAPFASLPVILTVYQYARFTKTSEQDDAMPAVPSSSSDVLYRWHLPDPRVCAEFPDKSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHAVTFTLPMSDILSYNLGGKAEKNVEMLSEHLAGWVREESGKKIIFHTFSNTGWLCYGVILENLQQQDASAVKKIKACVVDSAPVAAPDPQVWASGFSAALMKKRSITTKGLGSNDSRSDVLVVESNMEPKPAATEAVLLSALETFFDVVLNYPRINRRLSDVMELLSSKQPKCPQLYIYSSADKVIPAKSVESFIEGQRRAGREVRACDFVSSPHVDHYRSNPGLYTSQLSNFLEECVLTHRCKDAGSS >PVH48079 pep chromosome:PHallii_v3.1:4:43251059:43256193:-1 gene:PAHAL_4G238100 transcript:PVH48079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGTRLYSLLGVLLLLALAYFNWLPAGRGPSGGGGFKLPVPWLQPRMSFAGRAGTHFVDTGTGAPLYVNGWNSYWLLSARSPALAAEMLRRGRRMGLTVCRTWAFSDGGPGALQISPGRFSEPVFQMLDYVIYVARRNHIRLILCLVNNLDNFGGKAQYVQWAQAAGANVTNSTDSFFSHPTIRGYYKEYVKVMLTRRNSYSGIKYCNEPAIFAWELMNEPRCVSNSSGPHIQVAWIEEMAAYVKSLDMKHLVTVGIEGFYGPGRSERLGVNPGDWAASLCSDFIQNSAVKDIDFASVHAYPDTWLPKASMDEKVNYLSNWVDWHLNDSEHILRKPVLFSEVGYLQHPEVNSTVNGDTVLKLVYDKIYNSAKKLQAGGGALIWQLMVEGSQMYNDGFSMVARDRPSTYKLIKKQSCRLQRLYGKEDDSGLKCSLLP >PAN23746 pep chromosome:PHallii_v3.1:4:8601122:8602581:1 gene:PAHAL_4G113600 transcript:PAN23746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPNMARRLWHVVRAVLFMLRKGVSKRKLAMDLHLLLQRGKIAGKALGNLMTAHGHHHHHDKAAAEAAVPPPQQFSCRALDPALAVYDPRGVREVEFSCSNTPSYPSLHLISTGKRRRRNNRRTHRGANGAEPGWYNYDAADIARVFEILNNNEQLLSGGDNASPGAEQPSPLALIASPSPALWASFGRTPAHVRQLRITDSPFPLRDDAAGDGGQVDQEAEEFIKKFYDQLRTQQSLATATPDYGHGSYARPVSGIA >PAN25265 pep chromosome:PHallii_v3.1:4:43506653:43507124:-1 gene:PAHAL_4G240600 transcript:PAN25265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINQVLLLGVVLLVISSSDMVLNVASDGGAVVPEACLIFFIDNKIHDRPTCYSKCLAKFRDGKVYAEIDRLKGCKCIDCTLSTR >PAN25438 pep chromosome:PHallii_v3.1:4:48865734:48871783:1 gene:PAHAL_4G301300 transcript:PAN25438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSTAAAPEGVLHRRIEFHLARSPHATVTVGGGGFRMETLNTQAAGKAGGPAAAGSSEGDTRRSEKGDAGGIDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTSGFCALCALQNHVKAALHSTGKIVTPSFIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESQSAYEKSLVHKIFGGRLRSQVKCTRCLHCSNKFDPFLDLSLDIAKSTTLVRALQNFTEEELLDGGQKQYQCERCRQKVVAKKRFTIDKAPNVLTVHLKRFSPFNPREKIDKKVEFQPVLDLKPFVSDSKGADFKYSLYGVLVHAGWNTQSGHYFCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDSIGNPLARKDNSTVNLTTKKTPEKISTLNGITQGSVKAEHLNGSSPFGDKMHNTSNGYSSIFGKTSGDHFSKNEVKAENVAASQSNGLPSTRALGPQNDGVTLPVKSIQCSVNGQETSSSHQPLLFANTFGKQTVVGRSLQEVEPKVEAGKSTSVASPMVNGAGTLSKADKLASQPQTTALSKPTAHVNDTSAGVAAQTSLKKDSIISNGVVPGSGSLTSSEKAKDLPGSAEQGNDIVKALPMSQNKTAPELAQVNCGQQISSGGSAHVAVAASCNGTAAQKANLKSKKFVRYPVVNMWLGSKKLLVASLKPGKKTKHKRTRRRLVGCKDMANISCLGDSMSEQLTSTSTTAQSEAIECTSGRKRSHASERPENDTQSSKNKQKVNGACVDTETNAPSANADIPKSGPGSSLGQTQSRKNVDAKLGVPQPVSIRASDLMEATVPCWDDIDMPNTKVAEGLHSKRSIGYVLDEWDEEYDRGKTKKVRNSKQDFGGPNPFQEEANYISQRRSKQKSYQGKSWNKPNMTEGLRI >PAN23143 pep chromosome:PHallii_v3.1:4:4713075:4718285:-1 gene:PAHAL_4G070800 transcript:PAN23143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPEKLMNQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNVDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMQSIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLSRRLAELKARG >PAN23144 pep chromosome:PHallii_v3.1:4:4713056:4718297:-1 gene:PAHAL_4G070800 transcript:PAN23144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPEKLMNQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNVDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMQSIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLSRRLAELKARG >PAN23825 pep chromosome:PHallii_v3.1:4:9576720:9578149:-1 gene:PAHAL_4G121100 transcript:PAN23825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPEALLREIIKRLPTTSDLNSLSLVSKRLYTVEAELRDAIYVGCGVCPVTVALASLCSRFRNLCKVEFNYSGWTPNDGMQLGNQGLHVFSSCCPSLTDLTLSFCSYIDDSGLGFLACFKKLMSLRLKALPQIASAGLLSVAVGCKSLSAFRLMSCENVSSVEWLEYLGKSGSLGELVVGNCERISQFDLLRFGSGFMKVQMFEFQNSNLLKDLTLAWISTEAEIGLCCLLRKCKALENLSLYYVLVMLAPQYCEGYDCRTTLTDDSLKALALWCPMLQSVELTFFGCEPDWPDEIGFTQEGLVMLIRSCPIRDLKLGGANIFDDEGMKALSRARFLESLKLINCVAITDAGMHFLACSPSLIDLTLELCDESLTIAECCQISLKAVQGAAKTVHYTDECPGYKEWMDRCVCEPQV >PAN23224 pep chromosome:PHallii_v3.1:4:5435546:5437150:1 gene:PAHAL_4G079500 transcript:PAN23224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRSYTKVPHNVPDAMKITPCHLCRCRQTLAHSVSINAKFMWDRLVRLRTTPSAVPHLLPSCQNLTVKYYFIWSAPMHCRLLLIPTSAPAVACIDELQLTVGCLMVSRNHIITYLNYIRITTTSVAAMIHFS >PAN23043 pep chromosome:PHallii_v3.1:4:4184024:4189131:1 gene:PAHAL_4G064000 transcript:PAN23043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDSHSALLDLDNDTAFFGVFDGHGGKVVAKFCAKYLHREVLNSEAYAAGDLGAAVHRAFFRMDEMMQGQRGWRELQALGDKINQFTGIIEGLIWSPKGSDSNDRHNDWAFEEGPHSDFTGPNCGSTACVALVRNRQLIVANAGDSRCVISRNGQAYNLSRDHKPELEAERERIQNAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLTPDKQILTANPDINIVELCDDDDFLVLACDGIWDCMSNQQLVDFIREHINTEESLSAVCERVLDRCLAPSTMGGEGCDNMTMILVQFKKPITQNKDDSGAEQSAGDTEPSEAHVAEENGS >PAN23892 pep chromosome:PHallii_v3.1:4:11053345:11057548:1 gene:PAHAL_4G129500 transcript:PAN23892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAALRGLAGIAAAGGRRARACGQRWDAGWRGFRAGPSGGRAAAAGHRGSSPSRSSAALAARQAGSLRGAAAAAGRKGWVSGGFESEDGKVTCGYSSYIGRRPTMEDCYAVKLTKVDGQPVNLFGVFDGHGGNLAAEYLKDNLFNNLMKQPEFLTDTKLAISKAFLETDGDILETISSSFRDDGSTALAAVLIGKCLYVANVGDSRAVASKAGKGKMNESELRMLEVLLYGMIHGGLVAFWQCPVHLAIVC >PAN23893 pep chromosome:PHallii_v3.1:4:11053345:11057548:1 gene:PAHAL_4G129500 transcript:PAN23893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAALRGLAGIAAAGGRRARACGQRWDAGWRGFRAGPSGGRAAAAGHRGSSPSRSSAALAARQAGSLRGAAAAAGRKGWVSGGFESEDGKVTCGYSSYIGRRPTMEDCYAVKLTKVDGQPVNLFGVFDGHGGNLAAEYLKDNLFNNLMKQPEFLTDTKLAISKAFLETDGDILETISSSFRDDGSTALAAVLIGKCLYVANVGDSRAVASKAGKAVPLSKDHKPNRKDERKRIEDAGGVVIWDDTWRVGGILAMSRAFGNRMLKEYVIAEPDIQEEEVNSDLEYLILATDGLWDVVRNEDAVALLKAEDGAQAAAVKLTEIAYSRQSADNITCVIVQFHHNK >PVH47790 pep chromosome:PHallii_v3.1:4:17826254:17828511:1 gene:PAHAL_4G153400 transcript:PVH47790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTLIYSKRQAVESVSADSLHSLTLKYRKSIVTPFGIRPKVASPNREEGWKEGMADSLASGLMPPHAHINGQPRALSQPHASSSLPLLDPQNPHRVLPKHPESTSMLHEVELLVLLVDIDDIWYHGQDLYSMLLYCTHRIQVWRHRFDFVVG >PAN22155 pep chromosome:PHallii_v3.1:4:59361:60392:-1 gene:PAHAL_4G001000 transcript:PAN22155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMASSPAASCSAPTHQLPSSFSCCCRPPSSVLSLVVVLRGTRANNNAYADKKKRRRGHSPPSALPDPQAAAAAILAVAGTVGVAATLLFRSGSSSPKQQPQQQDDDDDDDDEAEECCPDCGGTGLCGVCKGEGFVFKQVSEETASKARKAAKNMATRYTAGLPTKWTYCNKCSSTRSCTTCRGSGTIITTKAPAA >PVH47416 pep chromosome:PHallii_v3.1:4:3696210:3696614:1 gene:PAHAL_4G056200 transcript:PVH47416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQAGPWQTPQPINPFFFPSTHRISSRRLQLMPVTRVTSPPRRPPLPSLNSILPSATPAPPACTLPWWCLAPATDLPYRSSSTRLAVRHHLGPVVLDLQLPLGISLLAMDPFPRNRKPKTPNP >PAN24337 pep chromosome:PHallii_v3.1:4:27169689:27171118:1 gene:PAHAL_4G181100 transcript:PAN24337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAPGQAASDSTTGAPHVLVVPYPAQGHMQPLLHLASLLAARGLRLTVVATPATVRLLAPLVVEHPASVRPLTFPSAADHDTSGPTSVGADFHAVAALRGPLGEWLRSRAHSDSGDGKTGRVMAVISDFFCGWTQPLATEAGVPRLVFAPSGVLATAATHSLFRRMPRPPEGDVGRGYAVSFPALPGAPAFPWRQISRMYRSYVEGGGDEHSEAIKDNFLWNLESAAFVCNTCHPIEGTYLDAQPLEDLASNTGEDDPASNVTAWLDAFPDSSVAYVSFGTMMVPPPQHAAALASALEWSGTPFVWAAATTTLPDGFEDRAAAAGTGLVLRGWAPQVAALRHHAVGCFVTHCGWNSVLESSAAGVPMLAWPMAADQFFNARLVVEARVAVAASWGGFGGVPDAEDLARALTEVVGEAGAGMRARAKELALMVAEAVGEGGRSRRDVDGLVEELRELGILG >PAN26272 pep chromosome:PHallii_v3.1:4:52860442:52861769:1 gene:PAHAL_4G359900 transcript:PAN26272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSPAALRYYCHQCDRTVSISPPASPDDDVLCPRCGGGFVEELHPGDSPAAPAAFFPPPPSFDLRHPGDLSAFFGPPSPEPPVPGLFDPSNFLHDHFGGLLSGGATIQIVLEGGSAAPLLAPGVSLADYFMGPSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSADMMQADGGAQCAVCMDDFHLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPDYHQQQRASSALALAPAPAPAPAASSPRVAERRFRISLPWPLRAAFGAAAQAESSNPTNDDVPPSGANNNDHGASGGSPQTQSGYDDLD >PAN24682 pep chromosome:PHallii_v3.1:4:44169363:44170643:-1 gene:PAHAL_4G244400 transcript:PAN24682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVRDPTPTLCYDEAFIDDVIHTGVEYENMHHHPWELPMAGSPGRSTCTFVQDGGWANMAGVVSSSSPSSSSVVTFDGHGGEEYCAAWTDGLDPLISYDQAPPGHAAASAVASNSFSFRGDRDVTAAVASQKRPRARAPSKGGEEEAAAAPPLLKRAAAKPSKPPPTSTAPKDPQSLAAKNRRERITERLRALQELVPNGSKVDTVTMLDKAITYVKFMQLQLKVLQTDAFWPAPGGEAPRMSQVKEALDAILLSAPFSSQR >PAN23963 pep chromosome:PHallii_v3.1:4:29563406:29565191:-1 gene:PAHAL_4G188300 transcript:PAN23963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLPVILSLRSLLAGARRTRHDAPKIMSVPALVAFLILAAAAFSVVFLGPFQWSPTSSSSGAQCDMTRGQWVRDPAAQPYYTNATCAFIEGYQNCMKHSKPSLEFLRWRWRPDSCGDELGRRFDAARFFGLVRGKSILFVGDSLASSHVRSLVCTLAQVEAPERSRSPGGFEHWRFPAHRFTVAFFWTPFQVRWRLTRGPPEAVGPDRQGEVFAGPTDLHIDEPDQRWAPAAKGHDYVVVSASHWFARPAVYYRGGRVAGCHGCGMANVTALRPERAQRAAFRTVLRVLAGMEGFKGTAILRTVAPTHYENGGWFDGGECTATEPADPEDPVEMAEPEGEFYRAQLEEFAAAAEAARRNGVRLRLMDVTKMMLRRPDGHPDRYGHGPGEHEGFDIDCLHWCLPGPIDTWNDLLLQILAGR >PAN25778 pep chromosome:PHallii_v3.1:4:50523922:50529016:1 gene:PAHAL_4G325100 transcript:PAN25778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHRLPPGAPAVDPYYVYAPHPEPHRQGILTLFVAGLPDDVKPREIHNLFSHRPGFDHCLLEYTGRGNQAVAFVTFFTHEAALSAMTSLNGTIFDPETGDRLHVELAKSTSRRPRGGGDVYRVIDKRAKKTEGNADHENFGDEEAWEEDEDGGNDDSGDGGSDEPSGTENENSSDKNEFPADQSDQSAHKQQNGQSPSNDGRDKSSSDIAPCSTLFIANLGRTCTEDELKEVLSKEPGFHVLKMRRRSGMPVAFADFTDIESSTAAMNSLQGTVLASSDNDGFHIEYARSKMRKS >PAN25925 pep chromosome:PHallii_v3.1:4:51168429:51169556:-1 gene:PAHAL_4G335000 transcript:PAN25925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKGGAAASGLKQILRRCSSLGRRQQQQQHSGSYEEEEYDEEETSGLPSDVPRGHFAVYVGERRRRFVVPIALLDRPEFRSLLRRAEEEFGFAGAGAGGILVLPCEEVAFRSLTSALACGGAR >PVH47509 pep chromosome:PHallii_v3.1:4:5261539:5263849:1 gene:PAHAL_4G077200 transcript:PVH47509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta chain [Source:Projected from Arabidopsis thaliana (AT5G38530) UniProtKB/TrEMBL;Acc:Q9FFW8] MGPDLTFLPHLLVRTNVRCDRSSTPGPEHRASLLCTPKHRVAAGGRRSLSFAARAGLSSGAKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLDPSDLAPLFPDELIRQEVTDERFVDIPEEVVDVYELWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSEATAAGRGILAADPASPGSLGIAISEAVEVAATNADTKYCLGSVLNHVLLHQTVIGEECLEQLAALGEAPDVVIGCTGGGSNFGGLAFPFMREKLAGRMSPEFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAVAIQQTECFQAALQFARTEGIIPAPEPTHAIAAAIREALECKKTGEAKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHPADKLEASLAAVPKI >PVH47508 pep chromosome:PHallii_v3.1:4:5260735:5263849:1 gene:PAHAL_4G077200 transcript:PVH47508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta chain [Source:Projected from Arabidopsis thaliana (AT5G38530) UniProtKB/TrEMBL;Acc:Q9FFW8] MAAAAVRPALPQGPEHRASLLCTPKHRVAAGGRRSLSFAARAGLSSGAKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLDPSDLAPLFPDELIRQEVTDERFVDIPEEVVDVYELWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSEATAAGRGILAADPASPGSLGIAISEAVEVAATNADTKYCLGSVLNHVLLHQTVIGEECLEQLAALGEAPDVVIGCTGGGSNFGGLAFPFMREKLAGRMSPEFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAVAIQQTECFQAALQFARTEGIIPAPEPTHAIAAAIREALECKKTGEAKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHPADKLEASLAAVPKI >PAN23228 pep chromosome:PHallii_v3.1:4:5260735:5263849:1 gene:PAHAL_4G077200 transcript:PAN23228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta chain [Source:Projected from Arabidopsis thaliana (AT5G38530) UniProtKB/TrEMBL;Acc:Q9FFW8] MAAAAVRPALPQAGPEHRASLLCTPKHRVAAGGRRSLSFAARAGLSSGAKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLDPSDLAPLFPDELIRQEVTDERFVDIPEEVVDVYELWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSEATAAGRGILAADPASPGSLGIAISEAVEVAATNADTKYCLGSVLNHVLLHQTVIGEECLEQLAALGEAPDVVIGCTGGGSNFGGLAFPFMREKLAGRMSPEFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAVAIQQTECFQAALQFARTEGIIPAPEPTHAIAAAIREALECKKTGEAKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHPADKLEASLAAVPKI >PAN23081 pep chromosome:PHallii_v3.1:4:4269201:4273707:1 gene:PAHAL_4G065500 transcript:PAN23081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPHRRRLLLLPLLVAAAALWGPLPAGAGAGTCKAWLVQSIPTDMPHLRRVPGVLSTGDVLQWLSGNATKSLDILAQYWQFLAQPNNPKSGDYGYSDSDMKRFGADEGHRVYKALENAADRKIKIRIVQHSGFVPDFDQESADLAAGRPNVQNVTLLFEKWWGSGIVHAKVWISDKKDVYIGSANNDWKSLTQVKELGIYFADCPQIAKTLEVYFQNLWSLSALNSTTYTKVAWDKQWQVSRKVPCWSHFLQPKERCRSPIPPSVDIPYTDGYPALANPEMIDVPFETPGYKKSTQEHYLSYLSFAPPEVTFGKFQADEQGWVDTIKSVKVCGIVRMNTMDWLGQSQYATQTVYWPSLSSAISEVVFSKNATVRLIVAYWTHFIPNTENYLKSLLYSNILCASSKYNHCGGKVEIKYYMVPGYNETGPAMSQGGAATGNRYPGFTRVNHGKYAVSNVRANIGTSNLIWDYFYTTAGVSFGTYSPSIVSQLQDIFDTDWYSPYTVPVEPLEASA >PAN23082 pep chromosome:PHallii_v3.1:4:4269201:4273707:1 gene:PAHAL_4G065500 transcript:PAN23082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPHRRRLLLLPLLVAAAALWGPLPAGAGAGTCKAWLVQSIPTDMPHLRRVPGVLSTGDVLQWLSGNATKSLDILAQYWQFLAQPNNPKSGDYGYSDSDMKRFGADEGHRVYKALENAADRKIKIRIVQHSGFVPDFDQESADLAAGRPNVQNVTLLFEKWWGSGIVHAKVWISDKKDVYIGSANNDWKSLTQVKELGIYFADCPQIAKTLEVYFQNLWSLSALNSTTYTKVAWDKQWQVSRKVPCWSHFLQPKERCRSPIPPSVDIPYTDGYPALANPEMIDVPFETPGYKKSTQEHYLSYLSFAPPEVTFGKFQADEQGWVDTIKSVKVCGIVRMNTMDWLGQSQYATQTVYWPSLSSAISEVVFSKNATVRLIVAYWTHFIPNTENYLKSLLYSNILCASSKYNHCGGKVEIKYYMVPGYNETGPAMSQGGAATGNRYPGFTRVNHGKYAVSNVRANIGTSNLIWDYFYTTAGVSFGTYSPSIVSQLQDIFDTDWYSPYTVPVEPLEASA >PAN23672 pep chromosome:PHallii_v3.1:4:8007083:8015595:-1 gene:PAHAL_4G109300 transcript:PAN23672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPGSGDQGEASQPQPQPQPLDWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDKGGRVVLFERTDVRDNATRRELERQDVPVTRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTSNNALSLLSTNDKTIKYWKVQEKKLKRVSVMNLDTSQSLGSSTAIASTSSSKAPLPNGGCSDKFNCLNTNLSFPPGGYPSLRLPVVTSLEASPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPIHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRHILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNIFRVFGCTPGSIEATTLEASRNPMRRQIANPTRPTRTLTSFTRGVRRGGENQGVDANGNSLDFSTKLLHLAWHPTENSIACAAANSLYMYYA >PAN23042 pep chromosome:PHallii_v3.1:4:4179479:4181527:-1 gene:PAHAL_4G063900 transcript:PAN23042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGCSTCLEVIFAVVLPPLGVFFRFGCCSSEFFISLVLTVLGYAPGIVYSLYVILRTPPEPPGIDGERPYDMLA >PVH47438 pep chromosome:PHallii_v3.1:4:4180943:4181285:-1 gene:PAHAL_4G063900 transcript:PVH47438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGCSTCLEVIFAVVLPPLGVFFRFGCCSSEFFISLVLTVLGYAPGIVYSLYVILRTPPEPPGIDGERPYDMLA >PVH48088 pep chromosome:PHallii_v3.1:4:43562402:43563729:1 gene:PAHAL_4G241100 transcript:PVH48088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITLGIPDEVAALVDVPAKERFAKYRGLKSFRTSSWDPKESLLPEYARIFVFDNFTRTQKHVLAKIAELNGVTKDCAQVGSYMRLYVKNVPLISRPNFVIYQGQYLWLFLVFFNMSQKCAFSLQHKET >PAN22154 pep chromosome:PHallii_v3.1:4:41641:48813:1 gene:PAHAL_4G000800 transcript:PAN22154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAEGSTMEDEPDGAANSFVLRSGVRAGLKREFAFAIASQAALSSSTAPLGRTRRSSRNLTSSPAPDPNFSKAKRRRPPDPPPPHQGQGDDATGPVLALMAAEPTSPQPPLSTPPPQPQPEAEADPARHTNIIIIPPESPPRRITRSMLHHKPPSPTAAPPHNATPLKPKPEPPEEEEDDRPGPALRRFTRSLLIKDKDSNDDDLSGTTTASNASSPPPNTTTSISSNKRNTNKIPTNLRELLATGLLEGQPVKYIMRKGKRAVLRGVIKRAGILCACFSCKGRKVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDVLRACADATLDMLESAIQKAIGPAPQKRTFRCQTCKSSFSTLRSGKFALFCDSCLESKGAKNSTRSLKIARGSTSSAKGYKSTSPGAKSVSVGRLTRKDKGLHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKELGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSISLSKGQKMSNRQSDDLCSICSDGGQLLLCDTCPRAFHRECVGLFATPKGTWCCRYCENRQQRESCLAYNNNAIAAGRIEGADPLEEIFTRSIRIATSLDTGFGGCALCKLHDFSKKKFSTRTVLLCDQCGREYHVGCLKEHNMADLTALPEGAWYCSTDCVRINRTLQDLLNRGGEPVHTMDLDVIKKKREEKGLDADVDLDVRWRILKDKSSEDSKLVLSKAVAIFHETFDPIIQITTGRDLIPAMVYGRSARDQDYTGMYCAVLTVRNTVVSAGLFRIMGSEIAELPLVATSRDSQGLGYFQALFACIERLLAYLEVKHFVLPAAEEAESIWTERFGFTKISQDELREYLKGGRTTVFQGTSNLHKLVPQPEQECC >PAN22153 pep chromosome:PHallii_v3.1:4:41766:49628:1 gene:PAHAL_4G000800 transcript:PAN22153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAEGSTMEDEPDGAANSFVLRSGVRAGLKREFAFAIASQAALSSSTAPLGRTRRSSRNLTSSPAPDPNFSKAKRRRPPDPPPPHQGQGDDATGPVLALMAAEPTSPQPPLSTPPPQPQPEAEADPARHTNIIIIPPESPPRRITRSMLHHKPPSPTAAPPHNATPLKPKPEPPEEEEDDRPGPALRRFTRSLLIKDKDSNDDDLSGTTTASNASSPPPNTTTSISSNKRNTNKIPTNLRELLATGLLEGQPVKYIMRKGKRAVLRGVIKRAGILCACFSCKGRKVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDVLRACADATLDMLESAIQKAIGPAPQKRTFRCQTCKSSFSTLRSGKFALFCDSCLESKGAKNSTRSLKIARGSTSSAKGYKSTSPGAKSVSVGRLTRKDKGLHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKELGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSISLSKGQKMSNRQSDDLCSICSDGGQLLLCDTCPRAFHRECVGLFATPKGTWCCRYCENRQQRESCLAYNNNAIAAGRIEGADPLEEIFTRSIRIATSLDTGFGGCALCKLHDFSKKKFSTRTVLLCDQCGREYHVGCLKEHNMADLTALPEGAWYCSTDCVRINRTLQDLLNRGGEPVHTMDLDVIKKKREEKGLDADVDLDVRWRILKDKSSEDSKLVLSKAVAIFHETFDPIIQITTGRDLIPAMVYGRSARDQDYTGMYCAVLTVRNTVVSAGLFRIMGSEIAELPLVATSRDSQGLGYFQALFACIERLLAYLEVKHFVLPAAEEAESIWTERFGFTKISQDELREYLKGGRTTVFQGTSNLHKLVPQPEQECC >PAN25333 pep chromosome:PHallii_v3.1:4:48323657:48328022:1 gene:PAHAL_4G293400 transcript:PAN25333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLLPTAAAAPVAVPRGRRHPPRRVSVRASAAAAAAAPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASKFPTRFAGQIRRFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEAAGIAHGSKPMEKIDKARAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEEAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >PAN26120 pep chromosome:PHallii_v3.1:4:52084242:52090082:-1 gene:PAHAL_4G348900 transcript:PAN26120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHFSLSLSYCAFHPTLTIGLLRNLKNVCLTFVHITEEELGCFLSCTTSLEKLEVSQCDDIICLKIPSHLQKLSILRVSLCRRLQMIEINAPKVSTFSFGGPPIKMSISNSSQLRSMAMNGQCYSGMFQYALTKLQSIASNLQTLTLLSSGEAFNFPVSPVKFLHLRNLKIHCYVMENFDFFSLVSFLKACPAMESFFLLAGPHLDVRRDSIIHEYFGADLSHTRRVAEGHQDYLKRVTITGFCSAKSLIELTCQILESSSSLQCLVLDTTSGYDNSGICEYMERKAVMEALRGVEAIKKYIKGKVPSRVNLEVLEPCGWCHIPNLHKPGR >PAN26118 pep chromosome:PHallii_v3.1:4:52084157:52090136:-1 gene:PAHAL_4G348900 transcript:PAN26118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHFSLSLSYCAFHPTLTIGLLRNLKNVCLTFVHITEEELGCFLSCTTSLEKLEVSQCDDIICLKIPSHLQKLSILRVSLCRRLQMIEINAPKVSTFSFGGPPIKMSISNSSQLRSMAMNGQCYSGMFQYALTKLQSIASNLQTLTLLSSGEAFNFPVSPVKFLHLRNLKIHCYVMENFDFFSLVSFLKACPAMESFFLLAGPHLDVRRDSIIHEYFGADLSHTRRVAEGHQDYLKRVTITGFCSAKSLIELTCQILESSSSLQCLVLDTTSGYDNSGICEYMERKAVMEALRGVEAIKKYIKGKVPSRVNLEVLEPCGWCHIPNLHKPGR >PAN26119 pep chromosome:PHallii_v3.1:4:52084242:52090048:-1 gene:PAHAL_4G348900 transcript:PAN26119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHFSLSLSYCAFHPTLTIGLLRNLKNVCLTFVHITEEELGCFLSCTTSLEKLEVSQCDDIICLKIPSHLQKLSILRVSLCRRLQMIEINAPKVSTFSFGGPPIKMSISNSSQLRSMAMNGQCYSGMFQYALTKLQSIASNLQTLTLLSSGEAFNFPVSPVKFLHLRNLKIHCYVMENFDFFSLVSFLKACPAMESFFLLAGPHLDVRRDSIIHEYFGADLSHTRRVAEGHQDYLKRVTITGFCSAKSLIELTCQILESSSSLQCLVLDTTSGYDNSGICEYMERKAVMEALRGVEAIKKYIKGKVPSRVNLEVLEPCGWCHIPNLHKPGR >PAN25187 pep chromosome:PHallii_v3.1:4:47595116:47597106:1 gene:PAHAL_4G282600 transcript:PAN25187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWSSPKDPALEAALRRNRRWVVNNQIKRLLLRFPSRTAPVRFLQSRFKTLDLMGRAANWLGKYPSCFEVFSADAEGGSGEQEPYFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLSALRGPLGLPDDYLLRLLPAHTDLFRLTNPYPHRRNAAELELIRWAPSLAVSAVEATAAANDSAPRFTCSLPASWTKSHAKMEEFNSTPYISPYSEEWAVPGTDAEAEKRAVAVAHEILSLTLWRKMSILKLEHFRREFGLPEDTARMLLRHPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGELMQEGLQEYNQRRRAANVEKKRRRGEIDVKKEEEKVEDEEAARLESAEKREERRRFYKVLFDDGNR >PAN24295 pep chromosome:PHallii_v3.1:4:6472132:6472921:1 gene:PAHAL_4G093100 transcript:PAN24295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMVHSPLICSTSILQAESHNHVLEQTHGSRHSERSLVYIFGGHEDLIISCITIHKTQNLVAGGSVDQCLCNGHRVFIFWSGPIKIPEVHAHPPSAIFLLYGHDARNPFGVPASPNKSGFQHFFYFFLHLDQNFCFHLSCSLLERSKPTLEGKPMLYYFPI >PVH48553 pep chromosome:PHallii_v3.1:4:52562445:52564507:1 gene:PAHAL_4G356400 transcript:PVH48553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLNIGPTPMLLRVFSRVIVRSHDLAIVTVSPLPDEHAPFAVVREALLDLLVGRYGLRVRDIQHCPFGRGQAFVRLARVSDRDGLVSHSPHQFQGMSFEFVNHNRGANARRVTFNRECWLIIIIKARVTDLLDVPHYILFLEGDDFDGISFSVQCEILHQNILGGLPQDEDIPPGGLGRDFIYPGIEHNIVNHNVQDNQQAEQVEHLEIPNMDAADEVIDPQPNIVEDPPADDEQINYSFQQDLSDEHSSADSVVNASVVNALPDLNAVPGGEVVPANDVPGDEDAPVIVLGMEAPAGLAAIAGLGAHAEPKLVAPHVGQIMGEDLGLWAKFFFLVGCPELSVPIPKDWAPFFLVILLSPDSFDWARKFVRSSVWNALLSCTNTSSYMTFALPASCPKNVAVLVEVVEENQTECFEEKTSIPLKRPLVETEVRRSPRIKTRNGGFKISSCSSRGCLACSACPPGLSINMTKAIGEDACKVATGTFSDTSLLTKNNIDKVVRGGKSIRKASDAKNEAAGSKKKKRKSSLTEVADVQDDDSNNKKKKN >PAN22441 pep chromosome:PHallii_v3.1:4:1299909:1302540:-1 gene:PAHAL_4G020200 transcript:PAN22441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGWRRSAAARGVWRPATVCLWLALAAAALTLAQAKKDLTEVTHKVYFDIEIEGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGVGKSGKPLHYKGSTFHRIIPSFMLQGGDFTLGDGRGGESIYGLKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGRQSGQPKSKVVIADSGELPM >PAN22657 pep chromosome:PHallii_v3.1:4:2182956:2192177:1 gene:PAHAL_4G034700 transcript:PAN22657 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MSSTSSGRRAPSTAAAAASSPGQKRARDEAAGGSPSDPDAAPAKNPRRSFASSPFADFGSYMAAKNSKLAAQFDADASTSGAATGGLFAGVSIFVDGFTIPSSQELKEIMLNNGGRFVNYFSRHTVTHIVCTNLPDSKMKNLRAFSKGLPVVKPAWVVDSLAENRLLSCAPYQISQNSSSSRKQMKLSAFFSEKQNEIRHQGEQNNQNKDIEFQSSSAQEGSLCQSGEFESEVSLDNTELSKDSLSSDEHKASAFEERVSGDFAVDEGEYDCETACSERKGNDMDGKFGVAQSPDAKSRCSNLCSTSSTGSHLSVLLEKSAAKPSSWPHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLEAKSIKSNADHSGKKKTIIHIDMDCFFVSVVIRNMPDLHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVRDAKARCPHLMIVPYNFDAYEEVADQFYGTLHKHCSKVQALSCDEAFLDMTECLDDDPEEVTRRIRSEIFHATKCTASAGIAENMLLARLATRSAKPNGQCFIPSEKADDYLSSLSIKALPGIGHTVSTKLKSKEIEYCGQLRNISKDALHKDFGKKIGDLLWNYCRGIDNSVVGSVQETKSVGAEINWGVRFNDNKDAEHFLTNLCKEVSLRLQGCGVQGRTITLKVKTRREGAGEPIKYMGCGDCETTSRSMTIAGATDSFVTLQRIAKQLFSALRLDVKEVRGVGLAMSKLEHADLARGAPQGNMLESWLASPAAKLEKRHGEMLGNVDVAGASILQDLRRSGPLSIAATTHSSELNLRSDRSSGTHNVELPPLSQLDLEVLKNLPPEIMSEMNDMYKGELQGLLKTLDSDKGKESSSKSLALPAVTQNSVPAGDANLQGYRDHKDSMHFEEDTKGKSVKQLSEVKAANDASCSRACELVQRTSKCVTQLDLMPDSLSQADFTVLQELPEDVKADLFSALPLHRSGDKTCNTSNVSESKSPNVSGAENKSLNDGRADDPKDPGIFLPPGSSQKWIEQFRVSSCLILKVIAEQQTDSSCSRPLSSVLEPVASFLPLCPTSGSEEWNETLSCLSELLRQHIQLKVETDIEELYKCFCLLKRFASESEFFLELHDSILPFLQDYVNQHYGGTLHF >PAN22656 pep chromosome:PHallii_v3.1:4:2182956:2192177:1 gene:PAHAL_4G034700 transcript:PAN22656 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MSSTSSGRRAPSTAAAAASSPGQKRARDEAAGGSPSDPDAAPAKNPRRSFASSPFADFGSYMAAKNSKLAAQFDADASTSGAATGGLFAGVSIFVDGFTIPSSQELKEIMLNNGGRFVNYFSRHTVTHIVCTNLPDSKMKNLRAFSKGLPVVKPAWVVDSLAENRLLSCAPYQISQNSSSSRKQMKLSAFFSEKQNEIRHQGEQNNQNKDIEFQSSSAQEGSLCQSGEFESEVSLDNTELSKDSLSSDEHKASAFEERVSGDFAVDEGEYDCETACSERKGNDMDGKFGVAQSPDAKSRCSNLCSTSSTGSHLSVLLEKSAAKPSSWPHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLEAKSIKSNADHSGKKKTIIHIDMDCFFVSVVIRNMPDLHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVRDAKARCPHLMIVPYNFDAYEEVADQFYGTLHKHCSKVQALSCDEAFLDMTECLDDDPEEVTRRIRSEIFHATKCTASAGIAENMLLARLATRSAKPNGQCFIPSEKADDYLSSLSIKALPGIGHTVSTKLKSKEIEYCGQLRNISKDALHKDFGKKIGDLLWNYCRGIDNSVVGSVQETKSVGAEINWGVRFNDNKDAEHFLTNLCKEVSLRLQGCGVQGRTITLKVKTRREGAGEPIKYMGCGDCETTSRSMTIAGATDSFVTLQRIAKQLFSALRLDVKEVRGVGLAMSKLEHADLARGAPQGNMLESWLASPAAKLEKRHGEMLGNVDVAGASILQDLRRSGPLSIAATTHSSELNLRSDRSSGTHNVELPPLSQLDLEVLKNLPPEIMSEMNDMYKGELQGLLKTLDSDKGKESSSKSLALPAVTQNSVPAGDANLQGYRDHKDSMHFEEDTKGKSVKQLSEVKAANDASCSRACELVQRTSKCVTQLDLMPDSLSQADFTVLQELPEDVKADLFSALPLHRSGDKTCNTSNVSESKSPNVSGAENKSLNDGRADDPKDPGIFLPPGSSQKWIEQFRVSSCLILKVVKNGMKHFPVYPSYLDSIYN >PAN22555 pep chromosome:PHallii_v3.1:4:1683281:1688655:1 gene:PAHAL_4G027000 transcript:PAN22555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHDGSKPYQPRRGPERAPQPADEVAAPPPAAVAPAVDHLAAVAAEAEALNRYTEHQHQQQQQQLQGHEQVGEEEEDEDEEEDEMEDDEDEQEGQDGAVGGEHVPMDADAAAAAAAAAAAAGAQMDPHAAMVPGAVPPMAPNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNNAGLANAASSSGAYNKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKPDEIAASEMATADGSPNWGSVEGRPPSAAECHHCGTSATATPMMRRGPDGPRTLCNACGLMWANKGLLRDLSKAPVPLQAVQSAPLLDGGQNGSVIGNPGGGLENPASAMANGHESST >PAN22554 pep chromosome:PHallii_v3.1:4:1683281:1688655:1 gene:PAHAL_4G027000 transcript:PAN22554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHDGSKPYQPRRGPERAPQPADEVAAPPPAAVAPAVDHLAAVAAEAEALNRYTEHQHQQQQQQLQGHEQVGEEEEDEDEEEDEMEDDEDEQEGQDGAVGGEHVPMDADAAAAAAAAAAAAGAQMDPHAAMVPGAVPPMAPNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNNAGLANAASSSGAYNKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKPDEIAASEMATADGSPNWGSVEGRPPSAAECHHCGTSATATPMMRRGPDGPRTLCNACGLMWANKGLLRDLSKAPVPLQAVQSAPLLDGGNGSVIGNPGGGLENPASAMANGHESST >PAN23922 pep chromosome:PHallii_v3.1:4:11889275:11894687:-1 gene:PAHAL_4G134100 transcript:PAN23922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLLLLLLFLSAAAAAADAAVNPRRRSLAAQTNKGTMASLAAGNPMVVGVMNDRLKALTTSFAQQMGREFHYCIKNMDQEWNTAYNFSSDPTFLTNCMKKTDGDLPQRVCTAAEMKFYFESFLESNGRKNYVRPNKNCNLTSWIDGCEPGWSCSAGKDQEVNLKDAVNIPSRTLDCSGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTSGVCDPYHYQPPAGKPNHTCGGADRWADVVSTDDVFCPPGYYCPSTIQKLDCSSGFYCRKGSTSQTKCFNKGSCKPNSANQDITIFGALLVGALCFLLLIIYNFSGQLLMNREKKQAKSREAAVRHARETAAARERWKAAKDVAKKHAAGLQSSLSRTFSRKKTLRTHESSKGGMPSTESIDGPSNELGGKKESLTEMVRSLDENPEKGEGFHVQIGEKKKPKGKHAHTQSQIFKYAYGQIEKEKAMEQDAKNLTFSGVISMATEEDIKKRPTIEIAFKDLTLTLKGSKKKLLRSVTGKLMAGRVAAVMGPSGAGKTTFLSAIAGKATGCQTTGMILINGKTEPIRSYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQPVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGLTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPDTKEPVNVKDLPIRWMLHNGYEVPRDMLQSSSDSESSVRGGGDYHASGDDTGQSIAGEVWGNVKDIVGQKKDEYDYNKTSENLSNRRTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDESFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGMGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFANLCYTKWALEAFVIANAQKYSGVWLITRCGSLLNSGYDINDKILCIVVLVANGVIFRCVAFFCMVIFQKH >PVH48193 pep chromosome:PHallii_v3.1:4:46751493:46753049:-1 gene:PAHAL_4G273400 transcript:PVH48193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKAGRAAGVLENHEEGRRYAMHSLRSFVHDKTPEIMPSINKFFTEPK >PAN22608 pep chromosome:PHallii_v3.1:4:1938907:1942367:1 gene:PAHAL_4G030700 transcript:PAN22608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRARVRRPAAGASLLRPPPAPFTTTPTTTDASSSSAPDPDGVAAEVATLLSRCSGDWKLAIAASDLPSRLSPAAVSSLLQRTSSSRLHPKLLLDFFYWSRPRLGPSALAPDAFAHLAVSLCAAGLFPQANGLLDQMIRAYPTPPLVLGSVHRALSGSGHDRRPVVLDVLVDTYKKTGRVRDGAEIVLLMKDLGLAPSLRCCNALLKDLLRADAMDLLWKVRGFMEGAGISPDVYTYSTLIEAYCKFRDLDAAKKVLEEMRETGCSLNTVTYNILIGGLCRAGAVEEAFGFKKEMEIYGLVPDGFTYGAIINGLCKRGRPSQAKCLLDEMSCAGLMPNVVVYATLVDGFMREGNADEAFKIIKEMSAAGVQPNKITYDNLIRGLCKLGQMGRAAEILKEMVKIGHLADTVTFNHLIEGHLRQHNKEEAFWLLNEMRKDGISPNVYTYSIMINGLCQIGESETAGGLLEQMIAEGIKPNAFVYAPLISGYCREGKFSLACEALKKMSSSNVTPDLYCYNSLIIGLSKVGKMEEAIEYHDQMLKQGLQPNEFTYDGLIHGYSMTGNLENAEQLLHQMLNRLKPKDFIYAHLLEVYFKSDNLEKVSSILQSMLDRGVIPDNRLYGIVIHNLSRSGQMQAAFRVLPVMEKNGFAPDLHIYSSLISGLCKTADVEKAVGLLDEMGKKGVEPGIVCYNALIDGLCKSDNISHARIVFNSMIIEGLLPDCVTYTSLIDGYCKAGEIHDAIGLYQEMLARGVTPDAFVYSVLTSGCSNSGDLQQALFITEEMVLRGYASISSFNTLVHGFCKRGKLHETVEFLHVMMDKDIIPNMLTIENIVKGLDEAGKLSEAHTIFVELQQKQASQRDTDHLSSLFTDMINQGLVPPDVTNNMIQSHCKGDLNKALMLHDALVAKGALMGCTSYLALLDGLCRKSKLTEAFNLLKEMEEMGICPSEDQCMILLNNLHSSGFIQEYNKVFDTMLGYKWLQKESKFCNSVGNNQEAVNAE >PAN23307 pep chromosome:PHallii_v3.1:4:5703621:5710416:1 gene:PAHAL_4G082800 transcript:PAN23307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHASPPPPELPAAGAEIQAALIPAASTPSAAGRGGGGGGGGGGSFTALLGLPTSQAMELLLPRSAAATPPAPAPAPAPAPTFPSDPHLVDRAARLSAFASPSSPGPTPPPAPPAAAAANAGKRKADPADRASKGKAAKKGKTAEEKPAGGDGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTECGRIAGLNCKNGIDLEQVTWPEMGVHGARHLMQLQQQFWHGDLAHQHQAVSQWEKRGDGNPPVFSSSSPSLFGYDLTSSGAQQPPASKLKTEL >PVH47425 pep chromosome:PHallii_v3.1:4:3882406:3882753:1 gene:PAHAL_4G059100 transcript:PVH47425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPNMGPLQPIVKPTRPPWGRTCATSSGAGACIWARASCSTSGSAPFFPELQPPRRRPAANAVPSEGLHQGSRAKDCIRGCMISYSVSLLFSSASSDWIELLGFSIWDMRPALP >PAN24779 pep chromosome:PHallii_v3.1:4:45003908:45005143:1 gene:PAHAL_4G251200 transcript:PAN24779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRTTSRSLVTDTSLFGTNPTIAAATASPLGRPMSIAARLRHYTNRLVRALHPSRIPPPLHSAARPASQPHRRRGRAMATAAADTDAEVQADFFPMVRQYRSGRVERFMNMAPLPASADPATGVVSKDVVVDPATGVWARLFLPPGTPPGKKLPVVVYYHGGAYVVGSAADPFTHNYLNALAAEAGVLAVAPEYRLAPEHPLPAAYDDSWEALKWVTSHAAGGGGGAEPWLAEHGDLSRLFLAGASAGGTIAHVVAARAGGLGVRARGLLVVHPYFSGAADIGDEGAAGKERKARADAFWRFLYPGSPGLDDPLSNPFSEAAGGSAARVAADRVLVCVAEKDDLRDRGVWYYESLKASGYPGEVELLESRGEGHVFYCINPRCDKAREMQERVLSFLRK >PAN25810 pep chromosome:PHallii_v3.1:4:47268601:47274538:1 gene:PAHAL_4G277800 transcript:PAN25810 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MAPAAAVASAGAGAGDSARLAREVARVLDDCRASLAVHQRKLRELAAIRAASGGGGRFLRAFCVAVTPLFDLARRSAGSDRAARFVAAFASASASSADGGGDGFLEGFIRFLITASEAAHRPARLRACQIISEIIMRLPDDAEVSDDIWDHLIDGMKVRVQDKIPAIRSFAVRALSRFAGDGEDGGIVDLFLETLDNEQNAEVRKTIVLSLPPCNATLESVIESTLDISESVRRAAYSALSTKFPLQSLSIKQRTTLLHRGLSDRSASVNNECLKMLKDDWLIKYCGGDVVALLRFLDVETYESVGESVMAVLLKDGALRVQDGHSIRQYFTANGENEEQVSNIQLMDAEVALYWKIMCKHLQAEAQVKGSEAATTTGAEAAVYASEASDKNDLLDNVLPSTITDYVALVKAHLSAGPNYQFTSRQLLLLGEMMEFSDTMNRKIASSFLHELLVRPLEHEVDDDGNQIAIGDGVSLGGDKEWAKAVAELAKKVHSSVGEFEMVVSAVVEELAVPCRERTADFMQWMHCLAVTSLLLENTSTLRNLRVTAIEPSQLLHSLLLPAAKQNHVDVQRAALRCLCLLGLLENRPNAELVKQLRASFINGPDLVSAMACKALIDLVTWHGPQEIDQAIGIESPDPSYEKSQFTQIDLSEMNDDDLNIGVLDILFSGFHKDDWEFSLEGDNHDNVPTILGEGFAKILLLSENFPSIPSDLHTVILSQLIRLYFSEETKELERLKQCLSVFFQHYPALSDKHKSSISSAFVPVMRAMWPGLYGNAGGSSHVISKRRKLAVQASRFMVQMVQTQLFSTESTDQASKSPESASGSADASNNFDISEEGLAIRIAVEVASCPDKKTAAGKAYALALCKVAVLIRFRQSEQKAIKCMRGLVNSLAASVASDKELMKELAQMAARLRSLDACPDEDLPQDEAEAIFKKLGLDGGFKLDTNQAVPLTPAVRSVRPPAPPRRRARRAPSSSDESDVDGEVNLPAASVNRVPATPSMSAARSQRASKTAALSKLSAKPAAAAASSGESDDQSDLTSDEDSSGEESS >PAN25132 pep chromosome:PHallii_v3.1:4:47268601:47274538:1 gene:PAHAL_4G277800 transcript:PAN25132 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MAPAAAVASAGAGAGDSARLAREVARVLDDCRASLAVHQRKLRELAAIRAASGGGGRFLRAFCVAVTPLFDLARRSAGSDRAARFVAAFASASASSADGGGDGFLEGFIRFLITASEAAHRPARLRACQIISEIIMRLPDDAEVSDDIWDHLIDGMKVRVQDKIPAIRSFAVRALSRFAGDGEDGGIVDLFLETLDNEQNAEVRKTIVLSLPPCNATLESVIESTLDISESVRRAAYSALSTKFPLQSLSIKQRTTLLHRGLSDRSASVNNECLKMLKDDWLIKYCGGDVVALLRFLDVETYESVGESVMAVLLKDGALRVQDGHSIRQYFTANGENEAEQVSNIQLMDAEVALYWKIMCKHLQAEAQVKGSEAATTTGAEAAVYASEASDKNDLLDNVLPSTITDYVALVKAHLSAGPNYQFTSRQLLLLGEMMEFSDTMNRKIASSFLHELLVRPLEHEVDDDGNQIAIGDGVSLGGDKEWAKAVAELAKKVHSSVGEFEMVVSAVVEELAVPCRERTADFMQWMHCLAVTSLLLENTSTLRNLRVTAIEPSQLLHSLLLPAAKQNHVDVQRAALRCLCLLGLLENRPNAELVKQLRASFINGPDLVSAMACKALIDLVTWHGPQEIDQAIGIESPDPSYEKSQFTQIDLSEMNDDDLNIGVLDILFSGFHKDDWEFSLEGDNHDNVPTILGEGFAKILLLSENFPSIPSDLHTVILSQLIRLYFSEETKELERLKQCLSVFFQHYPALSDKHKSSISSAFVPVMRAMWPGLYGNAGGSSHVISKRRKLAVQASRFMVQMVQTQLFSTESTDQASKSPESASGSADASNNFDISEEGLAIRIAVEVASCPDKKTAAGKAYALALCKVAVLIRFRQSEQKAIKCMRGLVNSLAASVASDKELMKELAQMAARLRSLDACPDEDLPQDEAEAIFKKLGLDGGFKLDTNQAVPLTPAVRSVRPPAPPRRRARRAPSSSDESDVDGEVNLPAASVNRVPATPSMSAARSQRASKTAALSKLSAKPAAAAASSGESDDQSDLTSDEDSSGEESS >PAN23713 pep chromosome:PHallii_v3.1:4:8280780:8283852:1 gene:PAHAL_4G111900 transcript:PAN23713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSSTACCRFPVLLVLLLFLLFAGESRAQPAAGDHDTLLAVKKDWGDPPQLKSWDPAAAPDHCNWTGVTCATGGGGAVTGITLSRLHLTGSVPESVCALKSLARLDLSYNNLTGAFPSAALYACAALSFLDLSNNQFSGALPRDIDGLSPAMEHLNLSVNGFDGEVPPAVARLTALKSLLLHTNRFAGAYPAAEISNLAGLKVLTLADNLFAPAPVPAEFAKLTNLTYLWMDKMDLTGEIPEAFSSLTELTVFSLASNKLTGSIPTWVLQHANLQNIYLYNNSLSGELASNVTAMSLVEFDVSTNQLTGEIPEAFGDLKNLTFLALYSNKFTGAIPATVGLLPRLRDIRIQDNQFSGELPPELGKHSPLANLDVGNNDLSGQLPEGLCASGTLYNIVAFDNSFSGEFPAKFGDCVTINHLMLSNNRLSGDFPAKVWSFPKLTTVMIQNNSFTGTLPSEISSKISRIEMGNNMFSGSVPTSATGLKVFHAENNRLAGELPSDMSKLANLTDLIAPGNRITGSIPTSIKLLQKLNTLNLSANRISGAIPPGSIGTLPALTTLDLSDNLLTGGIPSDISNLIDALNLSSNQLTGEVPVLLQIAAYDRSFLGNPGLCARAGSGTNLPTCRGGGRGAHDVLSKGLIILFASIAGVVLISSIGIAWLLFRRRKESQEVTDWKVTAFTQLDFTGSDVLSDIREENEIGSGGSGKVYRIHLGRDEEGAGRMVAVKRIWNPRKVDEKLDKEFESEVKVLGSIRHNNIVKLLCGISSQEAKLLVYEYMENGSLDRWLHHRGREGAPAPLDWPTRLAIAVDAARGLSYMHHDCSQPIVHRDVKSSNILLGPDFQAKIADFGLARMLAKAGEPESVSANGGTFGYMPPEYGYMARVSEKVDVYSFGVVLLELATGKVANDRGAELCLAKWAWRRYQEGPPFDDVADVEIRDDTACLQDVVSVFTLGVICTGEEPQARPSMKDVLHQLVRCCRVPAEAETCRVE >PAN24124 pep chromosome:PHallii_v3.1:4:30554415:30566666:-1 gene:PAHAL_4G191600 transcript:PAN24124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTSSSPATLQSCRHASHGVVRYCPPLPWRRRRRSFGQVTTKDTASSQGQPSATGGRVEAPVGVAAEAGEEGDSIRRLQNGPDVRGVALEGEKGRLVDLTPLAVEVIAESFGEWLREELQRPEGEELRVSVGRDPRLSGARLSAALFAGLARAGCSVFDMGLATTPACFMSTILSRFNYDASIMMTASHLPYTRNGLKFFTKHGGLTSGDVEKICDRAAQKYVARKMGLGGRGTPPVVMRVDLMSAYSQHLRDIIKQRVAHPTHYDTPLKGFKVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGTFPNHMPNPEDATTMSLTRDAVLAHGADLGVVFDTDVDRSGVVDDTGAAINGDRLIALMSAIVLDEHPGTTVVTDARASDGLTRFIASRGGHHCLYRVGYRNVIDKGVQLNIDGVETHLMMETTGHGALKENYFLDDGAYMVVKIVIEMVRMKLAGLEGGVGSLIMDLEEPAESVLLRMNILGEPKHAKQRGTMAVEAFKNYIQEDKLSGWVLDDCGDCSVSEGCLVDTNDHPIDVDAYMYRAKLYDENKRPLGMVHVRQSVHNPNIALNMQSYLPGGCKSMAKDLHERFLLASGVNEFLDTREVEKFVK >PAN25718 pep chromosome:PHallii_v3.1:4:50286354:50290981:-1 gene:PAHAL_4G321000 transcript:PAN25718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPPLHLCLRLSLQPPRTLPPSRRRASHSPCVASRPIAARPVFLRRGGPLPLLAAEGEAAEGSAWSDGSEEELRRLLELLPGEMRRRVETHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFDDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGVIVGLTCRVGRAVPGSANLLHDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIISKTELRVHRSLEATVDALLAGKPPNVEIRKLGTKGLVQEVSVQKEQSHTGLYEDATPFDGDSLRNARRSLDSAFNLDSAEGHTERSDEAESSLSLYAYGISESTALQAIKQLELEDIVTLTYNISEADAVIALHSKLKKNSQIQAVVKSQDIPVFFVKTNSLSQITRALRALIDDHMDELIDYNDKEEARSSEETDALEEARLAIEQVVIPKGESVQLLPRPPSIISSQVDLVESFSLKWEVVGQEPNSHVRILPHFTAAEATGAEQETATTGLADPRSPDGTDHTHQDGITRLPFLPE >PAN25717 pep chromosome:PHallii_v3.1:4:50287290:50290805:-1 gene:PAHAL_4G321000 transcript:PAN25717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPPLHLCLRLSLQPPRTLPPSRRRASHSPCVASRPIAARPVFLRRGGPLPLLAAEGEAAEGSAWSDGSEEELRRLLELLPGEMRRRVETHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFDDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGVIVGLTCRVGRAVPGSANLLHDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIISKTELRVHRSLEATVDALLAGKPPNVEIRKLGTKGLVQEVSVQKEQSHTGLYEDATPFDGDSLRNARRSLDSAFNLDSAEGHTERSDEAESSLSLYAYGAVLDLRVDCLASH >PAN25567 pep chromosome:PHallii_v3.1:4:49578865:49583740:-1 gene:PAHAL_4G310800 transcript:PAN25567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAEAAGRVADDHDGWASDGEVDMEMEAGGEAHGRDAGRRDGGADEVDDAYSLITRVSDISAAEARAGKDMQGIPWERLNITRQDYRKARLEQYKNYENFPQSGELMDKLCKQVEPSSKYYEFQHNTRSVKPSILHFQLRNLLWATSKHDVYFMSESTVGHWSSLSHKLSTVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELVCKGLADCNVKFCTRTTLSDNAITNAIDIHRSTSGSLCITVSNNDCGVREYDMERFQLLNHFRYSWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFATAWHPDGRTFATGNQDKTCRVWDMRNLSTSLAVLRGNIGAIRCIRYSSDGRFLVFSEPADFVHVYSAAADYKKRQEIDFFGEVSGITLSPDDESLFVGVCDRVYASLMQYRMAHAFNYLDSYM >PAN26112 pep chromosome:PHallii_v3.1:4:52057566:52058896:1 gene:PAHAL_4G348400 transcript:PAN26112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGRFKKHWQNYVKTWFNQPARKQRRRIARQKRAVKIFPRPTAGPLRPIVQCQTRKYNMKSRAGRGFTLEELKAAGIPKKLAPTVGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFSRRARKVKAGDSTPEELATATQVQGEYMPITRGEKRSIEVVKVTDEMKESGAYRKLRLERMNKRHQGMRQKKAAEAEKEDKK >PVH47998 pep chromosome:PHallii_v3.1:4:38142016:38143013:-1 gene:PAHAL_4G213400 transcript:PVH47998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPPSRANPTRNGIAWEICPCTPPRLQIEFQEDQSQYPRCLKLTRPQILPRFAVEIGRNYFKQTMALTNARKEDVYKYEKAENLERRFWCQLHQDFYSSMVLRKGKAPIVPCKYVDWAYFEKLNNPFFNQAIAKCKEFGLYDIMGFRYDWNEEILAQFHSSLYYDARKIAFFWTTKGVKYGVDYMTFFRLLGLGSEDEKRDPIHVEHQLKPNQLSSLFYNSILAEAGNASTLQFFYYMMNQFFCATIDAKDGDATALRYFACNLLARVMPGRRPFSIMDFIWNELRRTMNDLQKFLPSGLQACGTSPSSSFR >PAN22801 pep chromosome:PHallii_v3.1:4:2938291:2938896:1 gene:PAHAL_4G045600 transcript:PAN22801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIADDCVLRKPTDDSKDHRSWRRRVRRPCRIALDLMAGAATAAILIWVVQFFIDVRKVASFSVDLAALEGLNATAGRTVSPGFVLAVRVENPRVLVPWCCAGGQAVVSYGGVSLAWGPVPRFCALSKGAAELAVAVKGRGVGLSDDLRRTFAAEWNAGTARAVVEMKLFYLGNGWSNTPAYKGVSLVWRQLTLPGQGAP >PAN23805 pep chromosome:PHallii_v3.1:4:9381288:9385292:-1 gene:PAHAL_4G119400 transcript:PAN23805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRHFAGLACFPAPASAGAGVSGATCLLRRYTPDFCAFAALRPIRPAAAFSAANPLASPAEHEQRQHRQQAVPCHDGDPSAPARSPSSSPPPPPPSPAPLRVGIVGFGNFGQFIAGGIQRQGHAVLAASRSDYSDYCAGRGIHFFTSVDALCEEQPDVLLICSSILSTEAVVRAIPFHKLRPDTIVADVLSVKQFPRNLLLEILPLGFGIVCTHPMFGPESGKNGWGKLPFVYDKVRVAEHGDQAIKCEQFLSIFEHEGCRMVEMSCAEHDRYAAGSQFVTHTIGRVLSQLNLKTTPINTKGYESLLQLTHNTVSDSFDLYYGLFMYNINATEQLDNLERAFERVRQMLYGRLHDLLRKQIVERVPVTTMSSGKLENSRSNSFATPLLSPVITEENKHVSSVTSVASPINILQPTASSIRQ >PAN22983 pep chromosome:PHallii_v3.1:4:3785454:3787625:-1 gene:PAHAL_4G057800 transcript:PAN22983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MVALLGRRIGVAAAAAAAAFIALAAFGSASPSPKSFVKSTVSAHDVVIFSKSYCPYCRKAKAVFKELQLKKEPYVVELDHREDGSEIQDALSEIVGRRTVPQVFVHGKHLGGSDDTVEAYESGKLAKLLNIGVKDDL >PVH47429 pep chromosome:PHallii_v3.1:4:4046408:4046845:1 gene:PAHAL_4G061200 transcript:PVH47429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPMAASPPALLCLAMVALALAASAKAQAPAPTPAPTPAPKPAPAPSVCLCPAGFRNISGFKAAAPKLFVKCGLSLFLRTPTTISKLTIPGNLNLPPLPNIPATSKNLRPHIITKCNCHLAAARVTMSNLKSTAITCHPAGQA >PVH48318 pep chromosome:PHallii_v3.1:4:49348319:49351967:1 gene:PAHAL_4G307200 transcript:PVH48318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRLRQPFWPAAILFAAGQSDTMAAYSLDDDSQNMRRFAKRTLYLAYLIVALMQQVAPSELYPLLAASFLVLFVHLKVVGTASIPSGDICMVAAEGGTTGAPSTSRAPGAVVAVGSLESRWSAVSGSTTYEDILGLIDYSAKWKDLCLSSAFFLQLLKRYHTPHQRIDTSSAVNIVFKELLAGEKSRDYARALELVKVQLSLMYDHFFSVHGSPSSASYKLYWDHWVFKIGFLMHALSSVYPKAVSQRAEQHGTFAVGVIYLLVLLELCQLAHYLTSDRFVVSYLCDRVRVLSSRRSSRSKPRHMVVLDALLETRDTSWQNTLGQYSLLEDFDCASLGQRLMGRLHLHGRPQRGTHASPVTLSMDEVKGWILGKVLASELSGSSSSTASASETTSPSQRRTKLPRQLSWALSQETETHTILTWHIATWLCAMRDVNEVLPSSDYMAATKLSSYCAYLVAFHPEFLPGHRAAAARIFDEAAREAEHHLKGEKSSYGRYTRFMSSWEQNKLFPQGVLKRGAMLARQLTGMDKSGSSDCWTVMADFWADMLLHLALSGSATAHIEHLAKGGQFVTHLWALVSNAGMQQQDSTLIKRKRIDSNVVKNKQASTKVKKRFLSSTAWDHVAASNFHSSAKMQFTLPTSRPATNLTCASQEYSTLENPFEELITTPVPATVTASGSATCKNRKLPLKPNVTLVENEDLRISFRVKNQYKSFKEISFSTNNCLACSAGNPPSTVSPSLVKNMGATFCNMNAYEVFDEALLAKKKTTKRAVKKPTKKDSTEVTSSSKVKVKYSSKCRKAKQPEQDDAPN >PAN25505 pep chromosome:PHallii_v3.1:4:49348319:49351967:1 gene:PAHAL_4G307200 transcript:PAN25505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSMRRRVLRAATQPLAYSSGPSSSPRSFPAGDQYRPAPASDHKVLLFALCSLVFLLVPQLILISIKRLQKQQGELHGAEIIAAGVVVLQFLQFILHRLRFRRSHWLVQWGAWAAYYLPVPLAALAVGMMLRLRQPFWPAAILFAAGQSDTMAAYSLDDDSQNMRRFAKRTLYLAYLIVALMQQVAPSELYPLLAASFLVLFVHLKVVGTASIPSGDICMVAAEGGTTGAPSTSRAPGAVVAVGSLESRWSAVSGSTTYEDILGLIDYSAKWKDLCLSSAFFLQLLKRYHTPHQRIDTSSAVNIVFKELLAGEKSRDYARALELVKVQLSLMYDHFFSVHGSPSSASYKLYWDHWVFKIGFLMHALSSVYPKAVSQRAEQHGTFAVGVIYLLVLLELCQLAHYLTSDRFVVSYLCDRVRVLSSRRSSRSKPRHMVVLDALLETRDTSWQNTLGQYSLLEDFDCASLGQRLMGRLHLHGRPQRGTHASPVTLSMDEVKGWILGKVLASELSGSSSSTASASETTSPSQRRTKLPRQLSWALSQETETHTILTWHIATWLCAMRDVNEVLPSSDYMAATKLSSYCAYLVAFHPEFLPGHRAAAARIFDEAAREAEHHLKGEKSSYGRYTRFMSSWEQNKLFPQGVLKRGAMLARQLTGMDKSGSSDCWTVMADFWADMLLHLALSGSATAHIEHLAKGGQFVTHLWALVSNAGMQQQDSTLIKRKRIDSNVVKNKQASTKVKKRFLSSTAWDHVAASNFHSSAKMQFTLPTSRPATNLTCASQEYSTLENPFEELITTPVPATVTASGSATCKNRKLPLKPNVTLVENEDLRISFRVKNQYKSFKEISFSTNNCLACSAGNPPSTVSPSLVKNMGATFCNMNAYEVFDEALLAKKKTTKRAVKKPTKKDSTEVTSSSKVKVKYSSKCRKAKQPEQDDAPN >PAN25556 pep chromosome:PHallii_v3.1:4:49549504:49550937:-1 gene:PAHAL_4G310400 transcript:PAN25556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLLFLLGGLCALFSLTTSSRAAAAAKNCGDVKSGAKSGDAGKDGSEGEEAARREGARKQARPDPEADLGIVFSTFDHDGDGFITAVELEESLRRLGIAVSTDEAAAMVARVDANSDGLIDIHEFRELYDSIPKKRKHQHPAAALGAAREVPVEDAEEEEAEEEEEEERDLREAFDVFDGNKDGLISAEELGTVLGSLGLRRAGAGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >PVH48403 pep chromosome:PHallii_v3.1:4:50460534:50461580:-1 gene:PAHAL_4G324000 transcript:PVH48403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEELLKRIQELEEGQAELKREVSGLLTTATTERRRRGGAQCHGDGSSNMLLCRQLLPRERRGAQWQPGSSSRRRLPPPPVGRAGLSRRHHAMVLQSLGQAVHILDLQGKVLYWNRCAEHLYGYPASEAVGHNVAELLVHADDIGPATSIIGSTFTGRCWRGKFPVRNKSGERFSILTNGTPLYDDDDGTMIGLVCLCLSDDTRTLQQITGLSTTSGYYYYPTN >PVH48110 pep chromosome:PHallii_v3.1:4:45098604:45099865:1 gene:PAHAL_4G252600 transcript:PVH48110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKIKRRKLALMLIVSCTTYVTSCMVVLFMMSRVQSKSKRKRITYGPIEERDKSRIDYLNIKIYKDDTASTKMIRFKRKLFFRLCQLLRERTLLHDTVHVCIEE >PAN23954 pep chromosome:PHallii_v3.1:4:19025900:19026298:-1 gene:PAHAL_4G157600 transcript:PAN23954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSGSGAAVVALVVLAFLVAASSGSMCCREHRPWGNSNEMGCSPDQNGACNSWCQAWCRGGECKLRGGHHQCHCYC >PVH47985 pep chromosome:PHallii_v3.1:4:36581256:36584512:1 gene:PAHAL_4G207800 transcript:PVH47985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGPENSVANDEQQITQMTQNNESMTMEPVADPHTSLLSVGANTQVDDDMVVDKDGPPVIVPKVGMSFASKNDAYEMYNTYAGMIGFSITKSMIKCRADKTIYSRVIVCSSQGYAETGSSHATTRTGCKALIKFNVSREGVWTVQKIELDHNHILATPKKKCMLRSQRYVIDADRQLIAQIREAGMRPTQVYEFMKQFYGGADKVPFLWMDCNNEIGRERNKYLESNDAQTLLEYLKNKQTKDPAFFYAMEIDKQDGRCDTLGVKYSKQIGRIFCMFRIV >PVH47984 pep chromosome:PHallii_v3.1:4:36581256:36584512:1 gene:PAHAL_4G207800 transcript:PVH47984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGPENSVANDEQQITQMTQNNESMTMEPVADPHTSLLSVGANTQVDDDMVVDKDGPPVIVPKVGMSFASKNDAYEMYNTYAGMIGFSITKSMIKCRADKTIYSRVIVCSSQGYAETGSSHATTRTGCKALIKFNVSREGVWTVQKIELDHNHILATPKKKCMLRSQRYVIDADRQLIAQIREAGMRPTQVYEFMKQFYGGADKVPFLWMDCNNEIGRERNKYLESNDAQTLLEYLKNKQTKDPAFFYAMEIDKQDGRCDTLGVKYSKQIGRIFCMFRIV >PVH48151 pep chromosome:PHallii_v3.1:4:45807329:45807901:-1 gene:PAHAL_4G262100 transcript:PVH48151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGAQSCTWGGGRAAVCGTEGGGASGEAAQGRRGRQGGARQRHSGGGGGAARPGRQRRWRARVRVRVERVRARSGGAAVYGGVRAQEQVACVRWRAGGRCGSGAREQGNREEERRREEERKKEKGKGKEEKNRKKRERGREKEWGEKKGKDARAGGIHGGDRAAELAAVTAAGRPCARGACARNEEKMA >PAN25882 pep chromosome:PHallii_v3.1:4:50939331:50940343:1 gene:PAHAL_4G331400 transcript:PAN25882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTRASLDQRLAMAKRCSREATLAGAKAAAVATVASAVPTLVSVRMLPWAKANLNPTGQALIICTAAGMAYFVAADKKILSLAQRHSFERAPEHLRNTSYQGAARPHPAFFRP >PVH47397 pep chromosome:PHallii_v3.1:4:3354587:3358742:-1 gene:PAHAL_4G051200 transcript:PVH47397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASSSPTPQTLAPARHSNRVAAGGAGRRLRGRVSSAARPGLVVTPRFLVRCGIGCVSCRYGRLAAVPALGLGDGAWRSLELWIARQRGTLEPSSSGTALRAP >PAN22460 pep chromosome:PHallii_v3.1:4:1274341:1277394:-1 gene:PAHAL_4G019400 transcript:PAN22460 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MADVQGDESGLEDDPFPEEGPVDREEGGEGDIGGGGGDDDDEEEDVDGLASFLESEILSGSSAEDAIDNQEEEDEGDVAKNKRKQESGSDGDGGNVSGSEGELNKRMRMEERRRQAKGKAVAPQIDTGMFSSIPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLASNAKFRECAWKNLYIQRDREDMVEFVRNTPTEFREYYIQMQASKRSQAPLPSEVNDDKVILDKTIADQVSTWKSSRGLTDESVKGHSCSGNTCSYTQIGDAYIFCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDNDQQQGGVADEPEPFMGSGRFARAIMLGYNCSDEKELEHALRFC >PAN22433 pep chromosome:PHallii_v3.1:4:1274681:1277286:-1 gene:PAHAL_4G019400 transcript:PAN22433 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MADVQGDESGLEDDPFPEEGPVDREEGGEGDIGGGGGDDDDEEEDVDGLASFLESEILSGSSAEDAIDNQEEEDEGDVAKNKRKQESGSDGDGGNVSGSEGELNKRMRMEERRRQAKGKAVAPQIDTGMFSSIPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLASNAKFRECAWKNLYIQRDREDMVEFVRNTPTEFREYYIQMQASKRSQAPLPSEVNDDKVILDKTIADQVSTWKSSRGLTDESVKGHSCSGNTCSYTQIGDAYICEKTGRVHICDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDNDQQQGGVADEPEPFMGSGRFARAIMLGYNCSDEKELEHALRFC >PAN22565 pep chromosome:PHallii_v3.1:4:1742243:1745104:-1 gene:PAHAL_4G027600 transcript:PAN22565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADREEAEARLLAARQALRAGVDRSRALGRALARDAARVEGIRARLPAMEASARPIRAPPEALAAAGRDIDRALGPAAAVLKVFDAVHGLEAALLARGSLAGDLPGYLAVLAQLEAALRLLADNCGLAAQWLADIVAYLGERDLADPRFVADLTEQLERLKKDHSAGLDAGLLAAALGILEAEFRRLLAEHSAPLAMKEPDSSSPASVVPSRIPASVVHKLSLILDRLAANGRLDRCSAAYADARGDTVGASLRALGLDYLKETSEDAQALSPSVERWGRHLEFAVHHLLEAERKLCVAVFERRPEAMPSCFAEIAARAGILDFLKFGRALADTRKDPIKLLRLLDVFDSLNKLRLDFNRLFGGKACAEIQSRTRELVKVVVDGSVEIFEELLVQVELQRNMPPPVDGGVPRLVSFVAKYCNQLLVEPYRSVLTQVITIHRSWRKEAFDDKMLVDAVLNIVRTLEINFETWSKAYGDTTLSCLFMMNIHWHFFKHLKGTKLGELLGDAWLREHEQYKDYFSAVFLRESWGTLAPLLSREGLIMFSKGQATARDLVKQRLKSFNANFDEMFQKQSTWVISDRDLQQKTCHLVVQAIVPIYRSFMQNYGPLVEQDISSSKYVKYSAEDLDKMLNTLFLPKPGRPRRAGSFQIKHSGDKITSAMTGLYRSASTLK >PAN24669 pep chromosome:PHallii_v3.1:4:43971191:43971875:1 gene:PAHAL_4G243400 transcript:PAN24669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFTSCLDDATTTICITISTVFDTQNGAKHDNRVHCNYGAILQITHQQQLLFTFNPMAMAMSRFFFKQPFVGVPFWIDDLILNR >PAN25695 pep chromosome:PHallii_v3.1:4:50184453:50191698:1 gene:PAHAL_4G319300 transcript:PAN25695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIFSLTEGAVRSLLCKLGCLLSQESWLVQGVHGDMQYIKDELESMNAFLRTLTTSEGHDDQVRTWMKQVREIAYDAEDCIDEFIHHLGEPSGMGFLRQLICIPGTLVCRRRISIQLQELKVRARDVGERRSRYGVVLPRTVRRGGSRQLSKHASLHLDPQLHALFTEEAEMVGIDEPRDALVSWLMEDDPRLRVLAIVGFGGLGKTTLARMVCESPMIKGADFQCSPLFIVSQTFNIRTLFQHMIRELIQRPQKAMAIAGGKHGHLTEENFKGIERWEVAVLAEKLRRYLQDKRYIMILDDIWTISAWESIRCALPENKKGSRVIVTTRNEDVAKTCCSHPQDWIYKIQRLSDATSRELFFKKIFGSADKLPTDEFEEVSNSILKKCGGLPLAIESIGSLLASKINRSKQEWQKVCDNLGSELESNPTLEGAKQVLTLSYDDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGLSMEQVAENYFDEFVARSIVQPVRIDWNGKVRSCRVHDIMLEVIVSKSLEENFASFLWDNTSLLVSHDKIRRLSIHSSHKLVQTTSVSVSHVRSFTMSASVEEVPFFFPQLQLLRVLDMQGCSYLSISTLECICRFFQLKYLSLRNTKVCKLPRLLGNLKLLETLDIRATLVKKLPASAKNLSCMKHLLFGHKEQLTRTASVKYLKPCSGVEMSPGVVKNMAALQSLVHIMVKEQSLVLREIGLLQRLRKLNVLFRNVEVNWKAFVESLGKLASSLRSLSIHILDEKEHNSSLDILAYVESPPLLLTNFSLTGKLKSLPPWISSLQNVSRFTVRKTQLHAGAIEVLGDLPNLLYLKLYHNSYVDDCIVFCRGKFTKLCMLVIDNLENTDTVHFEEGSVPNLERLTLSFLREPKDGISGLQNLQKLKEIEFFGSIILSVMNKVVSCVKTHPNHPRVIGDKWNIVTEYT >PAN22519 pep chromosome:PHallii_v3.1:4:1559982:1561546:1 gene:PAHAL_4G024900 transcript:PAN22519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYTFVCRNSGGEWTAKQHSGEIEASAATPYELQRRLVAAASAVDSACGVQSSFSMVSPSSAVFQVIVGAVGGGAMISGGAAVGGAAASGGGAAEAPKEEKKEEEKEESDDDMGFSLFD >PAN23977 pep chromosome:PHallii_v3.1:4:19613386:19614903:1 gene:PAHAL_4G159100 transcript:PAN23977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPHALVIPYPAQGHVIPLLELAHALVDRGFTVTFVNSEFNQHRVLAAMTDTTKNGSAGLLDRIRLVAVPDGMEPGEDRNNLVRLTLLMAEHMAPRVEDLISRSHDGTEGADGGPITCMVADYNLGTWALDVARRTRIKSAAVWPASAAVLASLLSIPKLIQDNIIDSKDGSALTEDTFKLSPDMPIMRAAHLAWNCIGDHQGQQALFRYLIGGIRAVEKCDFILCNSFLGAEEATFAQFPKVIPIGPLLTGERRGKAVGHFWRPEDEACISWLNAQPTRSVVYVAFGSFTMFDTRQFQELALGLELCGRPFLWVVRPDLVHGDVRDYPQGFLDRVSASGRGMVVAWSPQQQVLAHPAVACFVSHCGWNSTMEGVRNRVPFLAWPYFADQFINQVYICDVWKVGLRAQADESGVITKEYIATRVEELMSGASMRDRVEGMKKVALESLKEGGSSHDNFDMFVQAMKA >PAN24474 pep chromosome:PHallii_v3.1:4:40553647:40562478:1 gene:PAHAL_4G222600 transcript:PAN24474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSGNPNPAPSAPFELSKLFRPPANPNHPTTAPTPTGVFPGSPVPATGPSPTGPYYHPPATPPFHRGSYLHYPNDPHSFHHPAAAAFANANSTANPIPNPGPGPNPGARLMQLLGNTAPTHLESASSMPPSSEFSAVPAGALPASSSAPPARMPSSKMPRGRLLGLGDRAVHDVDSRLPGEAEPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRVYVWKIDEGPDEENKSQISGKIEIAIQIIGDAETYHPRICWHSHKQEILYVGIGNFILRIDITKVGRGRDFNTEEPLRCPLDKLIDGVSVVGKHGGDITDISISQWMTTRLASASKDGTVKVWDDRRVAPLSVLKPHDGQAVYSVSFLTAPERPNHINLVTAGPLNREVKIWASTNEEGWLLPSDLETWKCTQTLELVSSLEHRSEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGQDPASTRLDYIADFTVTMPILSLTGTHETHPDGEQIVQVYCVQTMAIQQYGLELSLCLPPTADNTGSGRDPALPHLNERLPEMAALEPSTGTTPVESSIAVSTKPSNDSQGTAFTSKMNQAGSPVVLSRHPSVGDRDADQSSFGRKDSFGKEEPRGVQSDGVVTSAETVASGTSQNVEAEAKHVDVRKSNQTVELEAGKETQILPEKKERSVMPSEQTVDASSGAGDESVVKRATEASEETEHPCASRDLPLPSAIKEGKIIHPQVSGQLSPSTSTFNSADSSQEPRINENPPIDPSLQAAAIQGSLQQLMATYSNLQKQLSMLVSAPIAKEGKRIEASLGRNMEKSIKANIDAMWARFQEENARHEKSERERMQQMATLIASSVNKDIPVMLEKSLKKEISSLGPAVARTTAPIIEKLLSSAVSDSLQKVLGDKVVNQLDKSISTKLEASVARQIQTQFQTSAKQTLQDAFRSSFESSVIPAFEQSCKKIFEQVDGSFQKGMSEHSAAIQQQVLTAHTPLAQTLREAINSASSMNQGATSELLDGQRKLLSLFASASPTSQSTGALQPSNGPVMNLPEVDAPLDPMKELGRLIAERKFDEAFTMALQRSDVSIVSWLCSQVDLQALCGTVPIPLNQGVLLALFQQLACDIANDTSRKLQWMTNVAVAIQPTDPIIAMHVRPIFEQVYGVLAHQRSLPTTNASDATKISLIMHVITSVLMSHK >PAN24473 pep chromosome:PHallii_v3.1:4:40553647:40562478:1 gene:PAHAL_4G222600 transcript:PAN24473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSGNPNPAPSAPFELSKLFRPPANPNHPTTAPTPTGVFPGSPVPATGPSPTGPYYHPPATPPFHRGSYLHYPNDPHSFHHPAAAAFANANSTANPIPNPGPGPNPGARLMQLLGNTAPTHLESASSMPPSSEFSAVPAGALPASSSAPPARMPSSKMPRGRLLGLGDRAVHDVDSRLPGEAEPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRVYVWKIDEGPDEENKSQISGKIEIAIQIIGDAETYHPRICWHSHKQEILYVGIGNFILRIDITKVGRGRDFNTEEPLRCPLDKLIDGVSVVGKHGGDITDISISQWMTTRLASASKDGTVKVWDDRRVAPLSVLKPHDGQAVYSVSFLTAPERPNHINLVTAGPLNREVKIWASTNEEGWLLPSDLETWKCTQTLELVSSLEHRSEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGQDPASTRLDYIADFTVTMPILSLTGTHETHPDGEQIVQVYCVQTMAIQQYGLELSLCLPPTADNTGSGRDPALPHLNERLPEMAALEPSTGTTPVESSIAVSTKPSNDSQGTAFTSKMNQAGSPVVLSRHPSVGDRDADQSSFGRKDSFGKEEPRGVQSDGVVTSAETVASGTSQNVEAEAKHVDVRKSNQTVELEAGKETQILPEKKERSVMPSEQTVDAVSERTITDKYSVDDSQSLPDRSVPTLLKQSSGAGDESVVKRATEASEETEHPCASRDLPLPSAIKEGKIIHPQVSGQLSPSTSTFNSADSSQEPRINENPPIDPSLQAAAIQGSLQQLMATYSNLQKQLSMLVSAPIAKEGKRIEASLGRNMEKSIKANIDAMWARFQEENARHEKSERERMQQMATLIASSVNKDIPVMLEKSLKKEISSLGPAVARTTAPIIEKLLSSAVSDSLQKVLGDKVVNQLDKSISTKLEASVARQIQTQFQTSAKQTLQDAFRSSFESSVIPAFEQSCKKIFEQVDGSFQKGMSEHSAAIQQQVLTAHTPLAQTLREAINSASSMNQGATSELLDGQRKLLSLFASASPTSQSTGALQPSNGPVMNLPEVDAPLDPMKELGRLIAERKFDEAFTMALQRSDVSIVSWLCSQVDLQALCGTVPIPLNQGVLLALFQQLACDIANDTSRKLQWMTNVAVAIQPTDPIIAMHVRPIFEQVYGVLAHQRSLPTTNASDATKISLIMHVITSVLMSHK >PAN25166 pep chromosome:PHallii_v3.1:4:47516769:47519285:1 gene:PAHAL_4G280900 transcript:PAN25166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPIQKCLKIFYTKTVDNFLLVVSMSLTVAAIAVMARSNPNGLTDRIQALNHSHHILLIGLFLATAVTVYILQRPRTVYLVDYACFRPPYNYRVPSASFAEHVRQVSQFSERSVRFLTRLLERSGLGEETSLPPMSFYIEGYKYSTLEAARKEVELVIFSAIDDLFAKTGIDPTTIDAVVVNCSGFSPTPSMADMIASKYKMRSDILSIHLSGMGCSAGLISIDLAKNLLQAMPQGARALVVSTETLTPNYYLGNERAMLLPYCLFRMGGAAVLLSTSPAKARFRLKHIIRTLTAGDDRSYRCIFQEEDDKGNTGVNLSTDLIAVASNTFETNITTVAPLILPVSEKLLFALSLASRKLFKMRKKVRMPNLLTGFEHICVHAGGRAVIDGIQRSLRLSDEHVEPSRMTLHRFGNTSSSSLWYELAYVEAKRRMRKGDRVWMIGFGSGFKCNSAVWECIVPASNEDGPWAGCIHRYPVHIP >PVH47959 pep chromosome:PHallii_v3.1:4:33453671:33461076:-1 gene:PAHAL_4G199700 transcript:PVH47959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRATTGSCTPVAASQLLHARPRACFPPRLARAIKLVRCPGSSCRSDSPIMASLTTCLGGHSSWRRPVLLHLVVAAAAAAAAFQPGVIHGAASAEDQKISAIFMFGDSIVDPGNNNNRFTEAKANFPPYGQDFPGGVATGRFSNGLVPGDLLASKLGVKELLPPFLGDDLELKDLLTGVAFACGGSGYDLLTSKLATTLSSTDQLDLFRDYKEKLRALVGEEKMTRVISEAVYFTVMGANDILNNYFIVPLRRHEYDLPSYVDFLVSSAINFTKTLNDMGAKRIGFVGVPPLGCCPSQITLGGSPSRQCEPLRNRASRLFNSRISQEIEILNAERSASGSKIAYFDIYYNLLDLIQNPALYGFKDVSEGCCGSTVLNAAVFIAYHSACPNVIDYIFWDGFHPTEKAYNIVVDKLIQQNMKYLM >PAN24743 pep chromosome:PHallii_v3.1:4:44777388:44782596:1 gene:PAHAL_4G248500 transcript:PAN24743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRSRRGASTKARALCILLAAASFFLPSTSTDRIDRAASISGNQTLVSPGGVFELGFFSPGGGADGTRKYLGIWYAKVPGPTVVWVANRQSPLVNSPGVLRISADGRLVILDGRNATVWSSAAAPATATITSRASARLLDDGNFVLRSDGGGGGVAWQSFDYPTDTLLPGMKLGVDNRAGITRNITSWTSPTDPAPGAYTFKLVTGGLPQFFLFRGPATRIYTSGPWNGALLTGVPHLRSQGFSFVVESGPDETYYSYSIRNASVLSRFVVDGAAGQLQRFVWSNGAWSNFWYYPSEPCDGYAKCGPFGYCDSAQYSLCSCLPGFVPRSPDQWNLRDASGGCVRITNLSCGGGGGGGGDGFWVVNRMKLPEATNATVYAGMTLEQCREVCLGNCSCRAYAAANVAGGGSRGCVIWGVDLLDMRQYSTPVQDVYIRLAQSDIDALKAAEANRRRSGKTTVIAIVCAISGVLLMAAICCCFWRTKVRRRLQSKMASSTPSGGGNCKVRKPRLDVDWKCSEKDVDDLPLIDLEAILAATDNFAEENKLGEGGFGPVYLGKFEDGQKVAVKRMSQRSVQGVQEFTNEVKLIAKLQHRNLVRLLGCCIDDDER >PAN24744 pep chromosome:PHallii_v3.1:4:44777388:44782596:1 gene:PAHAL_4G248500 transcript:PAN24744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRSRRGASTKARALCILLAAASFFLPSTSTDRIDRAASISGNQTLVSPGGVFELGFFSPGGGADGTRKYLGIWYAKVPGPTVVWVANRQSPLVNSPGVLRISADGRLVILDGRNATVWSSAAAPATATITSRASARLLDDGNFVLRSDGGGGGVAWQSFDYPTDTLLPGMKLGVDNRAGITRNITSWTSPTDPAPGAYTFKLVTGGLPQFFLFRGPATRIYTSGPWNGALLTGVPHLRSQGFSFVVESGPDETYYSYSIRNASVLSRFVVDGAAGQLQRFVWSNGAWSNFWYYPSEPCDGYAKCGPFGYCDSAQYSLCSCLPGFVPRSPDQWNLRDASGGCVRITNLSCGGGGGGGGDGFWVVNRMKLPEATNATVYAGMTLEQCREVCLGNCSCRAYAAANVAGGGSRGCVIWGVDLLDMRQYSTPVQDVYIRLAQSDIDALKAAEANRRRSGKTTVIAIVCAISGVLLMAAICCCFWRTKVRRRLQSKMASSTPSGGGNCKVRKPRLDVDWKCSEKDVDDLPLIDLEAILAATDNFAEENKLGEGGFGPVYLGKFEDGQKVAVKRMSQRSVQGVQEFTNEVKLIAKLQHRNLVRLLGCCIDDDERLLIYEYMDNQSLDTFIFDEGKRRLLGWQKRFEIILGIARGLLYLHEDSRFRIIHRDMKASNVLLDRDMIPKISDFGIARMFGGDQTTAYTLKVIGTYGYMSPEYAMDGLFSMKSDVYSLGVIVLEIVTGKKNRGFYDPELDLTLLGYAYMLWKGGRSAELVDEVMDGGFNHSEVLRCIQVALLCVDVQPRNRPTMSSVVMMLASENATIPEPNEPGVNIGKNTSDTDSSYGLTTGSVTTTETCTR >PVH47266 pep chromosome:PHallii_v3.1:4:1345978:1347827:1 gene:PAHAL_4G021000 transcript:PVH47266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPWNLPRLGSGRRRSVGERREHPHRGRPLPDQVPRRAARRPVAPVGEVQHAHVRGHLVAPLVRPRPVVGEVHAVAPQPGAAAAAIHRDAQRRALPQEQRAPAQDAAAALAVHLRPPGKVPCISGGGAGAGLPLEELDVGRRVLDAFRQRLPGVPRVRRAADPVHLEQVPRRELHEVPAARRRHGARACRAAARRRDLPGRRRRRVHVPRLDAPRGVHRVHRSPLRRPISDGRANGVDPAARGRVEQSPLRVPCPGDGEAGQGLADEQHGVAAPGPRRRSPPRRGHDDGLLVDLVPEPVRRERLRRDQFAAVVGEAAPEVVARDAAVRAAAGVDERERGDGWQMDLRAGDRVQELQLRAGVGGDEPPVGRRADAETVRGGGRRLPDGVRPALRQAQEPRLRELGVAAATAAPPRGRVEGEHEPRGQRRGAARSAGGRLPRGTDGGEAEAVRHGEAAEDGLEEVAREVRPARRPPAGGGELAHGWH >PAN25129 pep chromosome:PHallii_v3.1:4:47242144:47244757:-1 gene:PAHAL_4G277600 transcript:PAN25129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELVLEPTEIRDVLRCILHTIFFHRTLSLVRPKDVDCDFLEITYVQCGLPELEKEVDEKIDQFIAWVEKHPNRRSQVCLSFFDEKSKNPGWFVNKTERIYWEQWFINLHVMSPKRYSKSNSSKRLTDIGGNALEETSSRRAALESSINEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPR >PAN25130 pep chromosome:PHallii_v3.1:4:47241515:47245014:-1 gene:PAHAL_4G277600 transcript:PAN25130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELVLEPTEIRDVLRCILHTIFFHRTLSLVRPKDVDCDFLEITYVQCGLPELEKEVDEKIDQFIAWVEKHPNRRSQVCLSFFDEKSKNPGWFVNKTERIYWEQWFINLHVMSPKRYSKSNSSKRLTDIGGNALEETSSRRAALESSINEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSFSDSVFGWNKDVIRRVLNSGHPYSL >PVH48448 pep chromosome:PHallii_v3.1:4:50873567:50878512:-1 gene:PAHAL_4G330500 transcript:PVH48448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLGVARAASRRSRRTGPPASSVVARMSGACDAIASANRLIGRHLRAGRLDAAREVFDGMPRRDVVSWNSLMAAHARSGAHGSAAAAFVEMRRRGFRADHASFSTALSACARMEALELGRCVHGLATKTGASRNVFVGAALITMYANCGLFSCLERVVEAVDSPNVALWNALISGLVMNHRVEDARRVFDQMTERNVVSWTAMIQGYVRMHELGWAFELFNLMPAKNSVSWCVMIGGFVNHERFGEAVDLFKTLVSSGEEVTAAVLVKIVSAYSSLKSIGGGRCTHGFAMKSGFALDHIMEASLVMMYCNSLNIDEAWLEFDRMERKHVGSWNAIISGYVHANRIDDARQLFDSMIDRDKISWNLMVSGYIKDGRIADATELYSKMPEKNVEAATALMSCFIDNGMLDKAQDVFYNMPQVDVISCTTLLFGYVKGRYLDDALDLFHRMHKRTAVTYNVMITGLLHQNRVTEAYKLFNESPTRDLVSWNSLITGLAQNGLNNEALKMYKEMLLSNIQPSDSILSSLIGCFSHHSMLVHAQQFHATTIKLGFESHLLVQNSLISIYGKCGEMTTAQTIFYLMDKRDVVTWNSMIHGYALNSLGRNAIETFENMTNAQVDPDDITFVGILSACDHLSLLEEGKHFFNLMTCNYGILPNIMHYACMVDLFCRKGMVEQAEELMKSMPFEPDSAIWTSLLSSCRMNDNNWLAQHAASQLISINPSSKIPYLHLINVHGSTNRWSVIDNTRSQIRNAATGKEVAYSWI >PVH48447 pep chromosome:PHallii_v3.1:4:50874544:50878513:-1 gene:PAHAL_4G330500 transcript:PVH48447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLGVARAASRRSRRTGPPASSVVARMSGACDAIASANRLIGRHLRAGRLDAAREVFDGMPRRDVVSWNSLMAAHARSGAHGSAAAAFVEMRRRGFRADHASFSTALSACARMEALELGRCVHGLATKTGASRNVFVGAALITMYANCGLFSCLERVVEAVDSPNVALWNALISGLVMNHRVEDARRVFDQMTERNVVSWTAMIQGYVRMHELGWAFELFNLMPAKNSVSWCVMIGGFVNHERFGEAVDLFKTLVSSGEEVTAAVLVKIVSAYSSLKSIGGGRCTHGFAMKSGFALDHIMEASLVMMYCNSLNIDEAWLEFDRMERKHVGSWNAIISGYVHANRIDDARQLFDSMIDRDKISWNLMVSGYIKDGRIADATELYSKMPEKNVEAATALMSCFIDNGMLDKAQDVFYNMPQVDVISCTTLLFGYVKGRYLDDALDLFHRMHKRTAVTYNVMITGLLHQNRVTEAYKLFNESPTRDLVSWNSLITGLAQNGLNNEALKMYKEMLLSNIQPSDSILSSLIGCFSHHSMLVHAQQFHATTIKLGFESHLLVQNSLISIYGKCGEMTTAQTIFYLMDKRDVVTWNSMIHGYALNSLGRNAIETFENMTNAQVDPDDITFVGILSACDHLSLLEEGKHFFNLMTCNYGILPNIMHYACMVDLFCRKGMVEQAEELMKSMPFEPDSAIWTSLLSSCRMNDNNWLAQHAASQLISINPSSKIPYLHLINVHGSTNRWSVIDNTRSQIRNAATGKEVAYSWI >PAN25871 pep chromosome:PHallii_v3.1:4:50874615:50878146:-1 gene:PAHAL_4G330500 transcript:PAN25871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLGVARAASRRSRRTGPPASSVVARMSGACDAIASANRLIGRHLRAGRLDAAREVFDGMPRRDVVSWNSLMAAHARSGAHGSAAAAFVEMRRRGFRADHASFSTALSACARMEALELGRCVHGLATKTGASRNVFVGAALITMYANCGLFSCLERVVEAVDSPNVALWNALISGLVMNHRVEDARRVFDQMTERNVVSWTAMIQGYVRMHELGWAFELFNLMPAKNSVSWCVMIGGFVNHERFGEAVDLFKTLVSSGEEVTAAVLVKIVSAYSSLKSIGGGRCTHGFAMKSGFALDHIMEASLVMMYCNSLNIDEAWLEFDRMERKHVGSWNAIISGYVHANRIDDARQLFDSMIDRDKISWNLMVSGYIKDGRIADATELYSKMPEKNVEAATALMSCFIDNGMLDKAQDVFYNMPQVDVISCTTLLFGYVKGRYLDDALDLFHRMHKRTAVTYNVMITGLLHQNRVTEAYKLFNESPTRDLVSWNSLITGLAQNGLNNEALKMYKEMLLSNIQPSDSILSSLIGCFSHHSMLVHAQQFHATTIKLGFESHLLVQNSLISIYGKCGEMTTAQTIFYLMDKRDVVTWNSMIHGYALNSLGRNAIETFENMTNAQVDPDDITFVGILSACDHLSLLEEGKHFFNLMTCNYGILPNIMHYACMVDLFCRKGMVEQAEELMKSMPFEPDSAIWTSLLSSCRMNDNNWLAQHAASQLISINPSSKIPYLHLINVHGSTNRWSVIDNTRSQIRNAATGKEVAYSWI >PAN25537 pep chromosome:PHallii_v3.1:4:49488301:49494253:1 gene:PAHAL_4G309500 transcript:PAN25537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTPGPAAAMAVDDAEDDQLASMSTEDIVRASRLLDNEIRVLKDELQRTNLELESVKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIVQVQAKKKSSLNYYA >PVH47892 pep chromosome:PHallii_v3.1:4:26681477:26682850:-1 gene:PAHAL_4G179300 transcript:PVH47892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAGVAAASAVLLLVLATSPSCHGASSHKITDILALHPYFTEFSAALTSTGAAAEIDRRNTITVLAVDNAVMAQLKAQKLQPKDLEHVIYLHVLLDYFDATKLGSIQGGFAQATSLYQATGKAQASEGIVNITVFRGGRVAFALSGPSNALPAAFYQKSIQEAPYDIAVLQVSALIWSPAPLAGAPAPAAVPPPDAAPRLADLLFKNGCGGFAGLLDSTADAAATFERSAGGAGGLTIFCPGDKAVAAFNPSFRNLSADDQVALLLYHVVAAHYSAQSLKAINGDVNTLATDGSKGYKYNLTVHADGNTVKLSSASTSAAKVTKTLVDKAPLAVYLIDAVLLPRELFNNGQGRTSPAPAPASSPAHPPPPAIAPASPPAHAHTPPDLAPVVAPAIPPTPRRRPAPTPEDTPAASPDAEDSQPPADQKNNGARDTASWSLGTAVAAAVPVIVLLVLL >PAN24355 pep chromosome:PHallii_v3.1:4:26681308:26683397:-1 gene:PAHAL_4G179300 transcript:PAN24355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAGVAAASAVLLLVLATSPSCHGASSHKITDILALHPYFTEFSAALTSTGAAAEIDRRNTITVLAVDNAVMAQLKAQKLQPKDLEHVIYLHVLLDYFDATKLGSIQGGFAQATSLYQATGKAQASEGIVNITVFRGGRVAFALSGPSNALPAAFYQKSIQEAPYDIAVLQVSALIWSPAPLAGAPAPAAVPPPDAAPRLADLLFKNGCGGFAGLLDSTADAAATFERSAGGAGGLTIFCPGDKAVAAFNPSFRNLSADDQVALLLYHVVAAHYSAQSLKAINGDVNTLATDGSKGYKYNLTVHADGNTVKLSSASTSAAKVTKTLVDKAPLAVYLIDAVLLPRELFNNGQGRTSPAPAPASSPAHPPPPAIAPASPPAHAHTPPDLAPVVAPAIPPTPRRRPAPTPEDTPAASPDAEDSQPPADQKNNGARDTASWSLGTAVAAAVPVIVLLVLL >PVH47333 pep chromosome:PHallii_v3.1:4:2421908:2426393:1 gene:PAHAL_4G038800 transcript:PVH47333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESGGDGGTRAKRRRVDEQGSQRQEVAAGPEAAPVVRISALPDDLRRRILTRLPLEDAIRSGALAQGWRDLWKSRWAEPTSCREIHLLPGDNPRNVLRSLESVPRRRLDRFSFSYRVEDLHVEVGHHWQSLVFHLPLSSPLLAHLSLRGIRIYNIKGAQPFYALEVIRLHSVRICKSTFRRLMALCPSLHTLDLHRYNCSDALNGARAFIPPAGEKLRTITIAECHGGEARLEFMADAALTDLYICIVEPIPVAYAHWFDAALPDDLSGLTVLTICSNTLKTSRLKSLRELQRLMLGMEMDNLAVIYLFLRSCHCSNLERLFVQLPATSDVPLEDLVEEVRVEQPEDGLVNLRMVKVMNFNWRRFEVQLVSHLLRKARSLHKLLLVSPNVTPLHVPEALASGHIIVSKSDDPATQPFHSEVFIKV >PAN24632 pep chromosome:PHallii_v3.1:4:43537892:43544249:1 gene:PAHAL_4G240800 transcript:PAN24632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAMDSDYGAPRELSALQKARALYQPELPPCLQGTTVRVEYGDAAIAADVAGAHVISQAFPHTYGQPLAHFLSKTAKANVPDATIITEHPVVRVGIVFSGRQSPGGHNVIWGLYDAIKAHSSNSKLIGFLGGTDGLFAQKTMEITEEALSSYKNQGGYDMLGRTRDQIRTTEQVKAAMATCQALKLDALVIIGGVTSNTDAAQLAETLAELKCPTKVVGVPVTLNGDLRNQFVETTLGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVSMSKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHNKGVSIENISSSLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNKRFKEGTYKGRKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPAASQIGKPAVPMASVDLKGKAYELLRQNSSSFLMEDIYRNPGPLQFEGPGAETKPISLCVEDQDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAAVSAMASVTEMLAIMSSPSFGGQATI >PVH47586 pep chromosome:PHallii_v3.1:4:6525233:6525895:1 gene:PAHAL_4G093800 transcript:PVH47586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAHVVLERKHSILVTAFAKGNRVTCPAPCEPTHGGCLYLMDLFSGRGVHQCACGITVHGRLMIGTSCMQ >PVH47306 pep chromosome:PHallii_v3.1:4:2084272:2084628:1 gene:PAHAL_4G033100 transcript:PVH47306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRSSEREKEFMVVTAESESWSGKFHADACRSEAFFPWRLPPRPGAGPLGVEAQAAEASGPVRCSPGSPTPPNPLAPSSLSPFPAGRSPPAATGAPPPTREQEPTNLSYHSSLRCPF >PVH48196 pep chromosome:PHallii_v3.1:4:46966447:46966962:1 gene:PAHAL_4G274500 transcript:PVH48196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLWRIPTTSPRTTSRASSCTQSCLWLRSPLELRMAQDSDDPLFVVSVVVWLFVVILAIVALHCPLPRRVVR >PAN22711 pep chromosome:PHallii_v3.1:4:2460467:2463386:1 gene:PAHAL_4G039600 transcript:PAN22711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALEAPEGNSHGEALELLMSMASSSLACSVSQFPAKWQSIKDKLQQLCCSLNSLCCSFGVDSNGDDEEHPVLLELLQSASATVRNIQAVASQCSEGSYNGGRLRLRSDLDNLSCKLDAHMKQLKEMASSGMPSPSKAIVAVRPGVEASVGEKTFYLKDLFSRIRIGGTVQRSQALATIRELLVEDELCAKVVAFDIDDGITFLTGFLESTDACIQEEAAGAVAIVASSECYRGMLVKAGVIAPLIQLLENTDTASELGKERAAQALRELTENSDNVWAVCAHGGLTTLLHACADAGSSSKLISSSFAVLRNLSRVEEVKMFMVEQGVVTELVKLSQKKEEVRKLGAVELLHAMALDDADVREEAVSMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFFSANSLDDLISSDVLGWLLFYLNNGEYAVLECTLKILRHLSEVSEEYNRMMGRAGYFSALSSLLGAKSCRVREMAAQVLSSLLILHPNRTIFVQDGDNLDRLLQLLDPAEGKLIAKDLILSAIMSLTETSSGRKKIVTSEHFCSLKELADSGEFDAKKIVRKLSTNRLQTIFSKIWSV >PAN22319 pep chromosome:PHallii_v3.1:4:777314:779759:1 gene:PAHAL_4G011500 transcript:PAN22319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAGTPAVLLRFLQLGVFLALGVAALGPAAQGSRVHHYQFLIRKVNVTRLCRQRSILTVNGQFPGPTIRALRRDVVVVNVRNHGDKNITIHWHGVDQPRNPWSDGPEYVTQCPIQPGAAFAYRVILSQEEGTLWWHAHTGFDRATVHGAIVILPKHGTAFPFVQSAMEEMTPIILGEWWRNDDANQLLEDAVRTGRDVKPSDATNINGEPGDLFPCSKPGTVRVRVERGKTYLLRVINAGLTNDMFFAVAGHRLTVVATDARYAKPFAADHLMVASGQTVDALLRADRAPGDGGRYYMAARTFASNTNVDFNNSTATAVVEYADAPPAARRGPPAFPATLPAVDDAAAAEVYTARLRSLASEAHPVDVPARADERMLVTMAVNLIPSAPAATARASLNNVSFQNPAAVDILGAYYRGGSARGVYDADFPDGSPSLFNFTDPGIPAAGLVGPFMERGTRVKVMEHGAAVEVVFQDTTVLGTESHPMHLHGFSFYVVGRGLGNFDEGRDPAGYNLVDPPRQNTVAVPKGGWAAIRFRAKNLVRVWFMHCHLDRHVVWGMDTVFIVKDGKAPEAKMMRPPPDMPKC >PAN26152 pep chromosome:PHallii_v3.1:4:52272737:52276816:-1 gene:PAHAL_4G351300 transcript:PAN26152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGDWEDLVRRMFPPGTTIPEPPANLDYSIALEYDGPPVSYELPRIDPVNIPAIPTAEPVSGPLGLANGAVPVAPVVGPARGANPPAPRVAQRAHQPPIQARRSSASADSAAARDEEYSDDSDSRSARSLRGHRAAARPAAPEGRRGQVVTFGVAEDSKYESKEFDEVSEQYVAVTRAERKGRTCHRCGKSKWESKESCIVCDARYCSHCLLRAMGSMPEGRKCITCIGQPIDESKRSKLGKGSRILSRLLSPLEVKQILKVEKECQANQLRPEQLIVNGFPLDDEEMADLLRCQRPPGNLKPGRYWYDKESGLWGKEGEKPDRMISTNLNFNGKLQPDASNGTAQVFINGREITKIELRILKIAKVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPLTRLACALVSLPVPPVNSDEPKDDNHYSSRSVPNYLDHKRVQKLLILGSPRAGTSTIFKQAKLLYGSRFTHEELESIKLMIQSNMFKYLGILLEGRERFEEEALAISDHTSSEDEDPHQDENRPTSSNSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPVVDELWKDPAIQATYKRKDELHFLPDAAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLSFIDFTLDDRSPMSESFGDNHEAYSQPVNKYQLIRVSAKGMNEGCKWVEMFEDVRMVIFSIALSDYDQLAAPGNSGSRSVVNKMIQSRDLFEATIRQPCFRDTPFVLVLNKYDLFEEKIGRSPLSACEWFGDFCPLRTHHNNQSLAQQAFYYVAMKFKDLYAASTGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDGAGYCPEESFYSTTELSSSRLIAAAE >PAN25330 pep chromosome:PHallii_v3.1:4:48300824:48305407:-1 gene:PAHAL_4G293200 transcript:PAN25330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQLSGAGVAAVAFTNKGLASPPSALRVCSSRRSVRSLVVRAATVVTPKYTSLKPLGDRVLVKLGAAEEKTAGGILLPSTAQSKPQGGEVVAVGAGRTVRDKKIEVGIQIGAEVVYSKYAGTEVEFNDSKHLILKEDDVIGILESDDVKDMKPLNDRVLIKVAETEDRTPGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLPVPAGSTVLYVKYAGIEFKGADGTGYIVLRASDVMAVLS >PAN25247 pep chromosome:PHallii_v3.1:4:47864392:47868222:1 gene:PAHAL_4G286500 transcript:PAN25247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGDEPEGSNNNQHQRKQYHRHTPHQIQQLEAMFKECPHPDENQRAALGRELDLEPQQIKFWFQNRRTQMKAQHERASNSFLRMENDKIRCENVTMREALKNVICPNCVGQPVTDYFDAQKLRMENTQLKEELDRMSSITSKYLGRPFTQAPAVLPTSVPLLDLSVGGGLGGGPSLDLDLLSGCSSGMLPPVTEMERPMMIDLAARAMDELIRLAQAGRQLWVKGVPGDALDTLNVAAYDSLFAAPGGAFRPPDISVEGSRDSGLVFMSAVALVDVFMDTNKWTEFFPGIVSKAHTGDVLMSGLCGRSESLIMMYEELHVVTPVVPTREFSFLRYCKQIEQGLWAVADVSPDGLRDVPYGTPPRSRRLPSGCLIADMSNGYSKVTWVEHMEIEQTLPISALYRNLVLSGAAFGAHRWLAALQRACERVASLAMLGAPHHDLAGAGVTPEGQRSTMKLSQQMVGLFCASLSSSPLQRWVLLPGTTDVSIRVAAQRSAEPGQPNGVVLTAATSIWLPVPANHAFAFLRDESARSQWDILAHANQVQEVLRIPNGSNPDNCVSVLRGTMTDANQDGMLILQESCTDASGSSLVVYSPIDLLTANVVMSGEDASGIPLLPSGFAILPDARPGSGAGATSSSSVGPLGKISPGCVVAVAFQILVSSLPSSRVDAESMATVTGLIGTTVQQIKAALNCAGH >PVH47407 pep chromosome:PHallii_v3.1:4:3616500:3618617:-1 gene:PAHAL_4G054800 transcript:PVH47407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFLREYEENTLPPAKKKRENEMTPSMSLELMVPASSNVAESSKMLTCCREFFSESPYILALRLENSVIQTTKDLYIVCQAPSHATVFKINIRDGKLACHNQNLKPYGVMDTFVCSDPDDLMEQPFPWHFTCDRKSIYAVPDKTNVGSNIIAINDTLGGVYHLSDTHEWVPHSIQRSVHLEKKVELSGYAVLSDKSFMVCDGKTDCCFLFDLDKDTWSIVRAYSDISSSLPIVQPTEWSASRFLRGRSALAEGFIYTCADGGLRAYEIIKVQDSYCLSEGIFLKFPWLKYWGSDRMCLDYVGQDTASGAIMFCVVQGNNYDQHRLDAPDKHRVLITTIQIKTERTSRFTLKPVAVGHADGGTSFVGQDGGPIWTSSCFAP >PVH47409 pep chromosome:PHallii_v3.1:4:3616501:3618617:-1 gene:PAHAL_4G054800 transcript:PVH47409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFLREYEENTLPPAKKKRENEMTPSMSLELMVPASSNVAESSKMLTCCREFFSESPYILALRLENSVIQTTKDLYIVCQAPSHATVFKINIRDGKLACHNQNLKPYGVMDTFVCSDPDDLMEQPFPWHFTCDRKSIYAVPDKTNVGSNIIAINDTLGGVYHLSDTHEWVPHSIQRSVHLEKKDTWSIVRAYSDISSSLPIVQPTEWSASRFLRGRSALAEGFIYTCADGGLRAYEIIKVQDSYCLSEGIFLKFPWLKYWGSDRMCLDYVGQDTASGAIMFCVVQGNNYDQHRLDAPDKHRVLITTIQIKTERTSRFTLKPVAVGHADGGTSFVGQDGGPIWTSSCFAP >PVH47408 pep chromosome:PHallii_v3.1:4:3616823:3618461:-1 gene:PAHAL_4G054800 transcript:PVH47408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFLREYEENTLPPAKKKRENEMTPSMSLELMVPASSNVAESSKMLTCCREFFSESPYILALRLENSVIQTTKDLYIVCQAPSHATVFKINIRDGKLACHNQNLKPYGVMDTFVCSDPDDLMEQPFPWHFTCDRKSIYAVPDKTNGIHVLSLDKGSVISLEATGPTGTDFSISLFLAVGSNIIAINDTLGGVYHLSDTHEWVPHSIQRSVHLEKKVELSGYAVLSDKSFMVCDGKTDCCFLFDLDKDTWSIVRAYSDISSSLPIVQPTEWSASRFLRGRSALAEGFIYTCADGGLRAYEIIKVQDSYCLSEGIFLKFPWLKYWGSDRMCLDYVGQDTASGAIMFCVVQGNNYDQHRLDAPDKHRVLITTIQIKTERTSRFTLKPVAVGHADGGTSFVGQDGGPIWTSSCFAP >PAN23314 pep chromosome:PHallii_v3.1:4:5728187:5733592:1 gene:PAHAL_4G083300 transcript:PAN23314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWRAAWAAVMVMAAAAAAVSAKECTNIPTQLSSHTVRARLQASPGAAEWRLRELFHDHLNPTDEAAWMDLMPPPRGGLPAAAGGHDHEEFDWAVLYRSLKGQLPGGGGGGASPASAAAAGPFLEEVSLHDVRLDPDGDAAYGRAQRTNLEYLLLLDPDRLVWSFRTQAGLPAPGEPYGGWEGPDVELRGHFVGHYLSAAAKMWASTGNATLAGRMSAVVGALRECQRAAGTGYLSAFPAEFFDRFEAVRPVWAPYYTVHKIMQGLLDQHVVAGDGRALGMVAAMADYFAGRVRNVIRRYSVERHWASLNEETGGMNDVLYQLYTITNDQRHLVLAHLFDKPCFLGLLAVQADSLSDFHANTHIPVVVGGQMRYEVTGDPLYKEIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAGALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIIQFIPSTFNWRTAGLTVIQKLEPLSSSDQYLQVSLSISAKTNSQFATLNVRIPSWTSLSGAKVTLNDKDMELTSPGTFLTISKQWDSGDRLSLQLPIHLRTEAIKDDRPEYASIQAILFGPFLFAGLTTGDWDAKTGGATAAPSDWITPVPPESDPQLVTLVQESSGKAFVLSAVNGSLTMQERPKDSGGTDAAVHATFRLIPHPQGGASATNSTASVTLEPFDMPGMVVTDKLTVSAEKSSGALLDVVPGLDGSPGSVSLELRARPGCFLVGGGEKVQVVCGGVRKRGGDGGTGFRRAASFVRSEPLRRYHPMSFAARGVRRNFLLEPLFTLRDEFYTVYFNLGS >PAN23313 pep chromosome:PHallii_v3.1:4:5729377:5733262:1 gene:PAHAL_4G083300 transcript:PAN23313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRSRVWLAWGDAVVRALLVSHSRRHGSGKGARSVWLPTLLHKPIPLGSLPCLRTSMAACRRLLFYAAFFPPPSVSPSPPFLLCTAIFLEFWPNLDGPPHVRLADQALRMGLRILNLAHIRYEQGNHPIIGLYDTAQLSCFTAFKFGAKLRAAQTFKRFAGHYLSAAAKMWASTGNATLAGRMSAVVGALRECQRAAGTGYLSAFPAEFFDRFEAVRPVWAPYYTVHKIMQGLLDQHVVAGDGRALGMVAAMADYFAGRVRNVIRRYSVERHWASLNEETGGMNDVLYQLYTITNDQRHLVLAHLFDKPCFLGLLAVQADSLSDFHANTHIPVVVGGQMRYEVTGDPLYKEIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAGALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIIQFIPSTFNWRTAGLTVIQKLEPLSSSDQYLQVSLSISAKTNSQFATLNVRIPSWTSLSGAKVTLNDKDMELTSPGTFLTISKQWDSGDRLSLQLPIHLRTEAIKDDRPEYASIQAILFGPFLFAGLTTGDWDAKTGGATAAPSDWITPVPPESDPQLVTLVQESSGKAFVLSAVNGSLTMQERPKDSGGTDAAVHATFRLIPHPQGGASATNSTASVTLEPFDMPGMVVTDKLTVSAEKSSGALLDVVPGLDGSPGSVSLELRARPGCFLVGGGEKVQVVCGGVRKRGGDGGTGFRRAASFVRSEPLRRYHPMSFAARGVRRNFLLEPLFTLRDEFYTVYFNLGS >PAN22999 pep chromosome:PHallii_v3.1:4:3857412:3858850:-1 gene:PAHAL_4G058800 transcript:PAN22999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRNKRDAFRKTEVDKKIGITFAAQNEAEETLRRITYSGLHRSGVPRRGPAKQRGGTTRGRASTKEAWHRRRRGRRLQRLPRRPGASTSRRSRCKRTRRERRAGASQRGRWALLS >PAN25423 pep chromosome:PHallii_v3.1:4:48795255:48799292:-1 gene:PAHAL_4G300200 transcript:PAN25423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03380, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03380) UniProtKB/Swiss-Prot;Acc:Q9ZQ74] MGQNAERQPHPRTPFRLRRPPLRRRAHSIENLALRAVSAGDLLRLLPSCGTLPSLRALHARLLTHTHGLLLGSLRASTKLLSCYAAVGDLASARMVFDGTPHPDAYSYGVMLRCLVNAGRHAEAVALHQDMRRRCPCPEAQDDFVLSLALKACVRSSEYGYGRRLHCDAVKAGGADGFMMNSLIDFYAKAGDLECARNMFERIPDRSVVSWTSMLSGCVQNGFAADGLFLFNEMRRESVQPSEYTMASVLAACAALNSLHKGRWIHGSVIKHGLIYNSFISAALLDMYVKCGEVADARRVFDELSYVDIVLWTTMIVGYTQNGNPLDALRMFLDKKFLGIVPNSVTMATVLSASAQLRDLSLGRSIHGIAVKLGAADYDVVVNALVDMYAKCRAISEANNIFGRILYKDVVTWNSMIAGYAENNMGIDALMLFKQMRLQGVSPDATSVVNALSASVCLVDLLIGKSFHSYAVKHAFLSNVYVNTALLNLYSKCADLPSARRVFNEMNDRNSVTWCAMIGGYGMQGDSAGSIDLFNEMLKDGVRPNDVAFTSILSTCSHTGMVTAGKKYFDSMAQHFNITPSMKHYACMVDVLARAGNLERALEFIYKMPMQADVSVWGAFLHGCRLHSRLQYGEEAIKRMMMLHPERPDLYVLISNLYTSYGMWEKSLAIRRWMQEKGLVKLPGSSSIGHENG >PVH48291 pep chromosome:PHallii_v3.1:4:48795628:48799292:-1 gene:PAHAL_4G300200 transcript:PVH48291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03380, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03380) UniProtKB/Swiss-Prot;Acc:Q9ZQ74] MGQNAERQPHPRTPFRLRRPPLRRRAHSIENLALRAVSAGDLLRLLPSCGTLPSLRALHARLLTHTHGLLLGSLRASTKLLSCYAAVGDLASARMVFDGTPHPDAYSYGVMLRCLVNAGRHAEAVALHQDMRRRCPCPEAQDDFVLSLALKACVRSSEYGYGRRLHCDAVKAGGADGFMMNSLIDFYAKAGDLECARNMFERIPDRSVVSWTSMLSGCVQNGFAADGLFLFNEMRRESVQPSEYTMASVLAACAALNSLHKGRWIHGSVIKHGLIYNSFISAALLDMYVKCGEVADARRVFDELSYVDIVLWTTMIVGYTQNGNPLDALRMFLDKKFLGIVPNSVTMATVLSASAQLRDLSLGRSIHGIAVKLGAADYDVVVNALVDMYAKCRAISEANNIFGRILYKDVVTWNSMIAGYAENNMGIDALMLFKQMRLQGVSPDATSVVNALSASVCLVDLLIGKSFHSYAVKHAFLSNVYVNTALLNLYSKCADLPSARRVFNEMNDRNSVTWCAMIGGYGMQGDSAGSIDLFNEMLKDGVRPNDVAFTSILSTCSHTGMVTAGKKYFDSMAQHFNITPSMKHYACMVDVLARAGNLERALEFIYKMPMQADVSVWGAFLHGCRLHSRLQYGEEAIKRMMMLHPERPDLYVLISNLYTSYGMWEKSLAIRRWMQEKGLVKLPGSSSIGHENG >PVH48292 pep chromosome:PHallii_v3.1:4:48795639:48799292:-1 gene:PAHAL_4G300200 transcript:PVH48292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03380, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03380) UniProtKB/Swiss-Prot;Acc:Q9ZQ74] MGQNAERQPHPRTPFRLRRPPLRRRAHSIENLALRAVSAGDLLRLLPSCGTLPSLRALHARLLTHTHGLLLGSLRASTKLLSCYAAVGDLASARMVFDGTPHPDAYSYGVMLRCLVNAGRHAEAVALHQDMRRRCPCPEAQDDFVLSLALKACVRSSEYGYGRRLHCDAVKAGGADGFMMNSLIDFYAKAGDLECARNMFERIPDRSVVSWTSMLSGCVQNGFAADGLFLFNEMRRESVQPSEYTMASVLAACAALNSLHKGRWIHGSVIKHGLIYNSFISAALLDMYVKCGEVADARRVFDELSYVDIVLWTTMIVGYTQNGNPLDALRMFLDKKFLGIVPNSVTMATVLSASAQLRDLSLGRSIHGIAVKLGAADYDVVVNALVDMYAKCRAISEANNIFGRILYKDVVTWNSMIAGYAENNMGIDALMLFKQMRLQGVSPDATSVVNALSASVCLVDLLIGCSMR >PVH48290 pep chromosome:PHallii_v3.1:4:48795615:48799292:-1 gene:PAHAL_4G300200 transcript:PVH48290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03380, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03380) UniProtKB/Swiss-Prot;Acc:Q9ZQ74] MGQNAERQPHPRTPFRLRRPPLRRRAHSIENLALRAVSAGDLLRLLPSCGTLPSLRALHARLLTHTHGLLLGSLRASTKLLSCYAAVGDLASARMVFDGTPHPDAYSYGVMLRCLVNAGRHAEAVALHQDMRRRCPCPEAQDDFVLSLALKACVRSSEYGYGRRLHCDAVKAGGADGFMMNSLIDFYAKAGDLECARNMFERIPDRSVVSWTSMLSGCVQNGFAADGLFLFNEMRRESVQPSEYTMASVLAACAALNSLHKGRWIHGSVIKHGLIYNSFISAALLDMYVKCGEVADARRVFDELSYVDIVLWTTMIVGYTQNGNPLDALRMFLDKKFLGIVPNSVTMATVLSASAQLRDLSLGRSIHGIAVKLGAADYDVVVNALVDMYAKCRAISEANNIFGRILYKDVVTWNSMIAGYAENNMGIDALMLFKQMRLQGVSPDATSVVNALSASVCLVDLLIGKSFHSYAVKHAFLSNVYVNTALLNLYSKCADLPSARRVFNEMNDRNSVTWCAMIGGYGMQGDSAGSIDLFNEMLKDGVRPNDVAFTSILSTCSHTGMVTAGKKYFDSMAQHFNITPSMKHYACMVDVLARAGNLERALEFIYKMPMQADVSVWGAFLHGCRLHSRLQYGEEAIKRMMMLHPERPDLYVLISNLYTSYGMWEKSLAIRRWMQEKGLVKLPGSSSIGHENG >PAN23531 pep chromosome:PHallii_v3.1:4:7036088:7037389:1 gene:PAHAL_4G099700 transcript:PAN23531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNNSPVQLPPSSVSNSPATPAITPPATPGSSGPPATPGAGGDPPAVQPVTPPAVPDPDPDSENRHNPNAYLRDP >PAN23271 pep chromosome:PHallii_v3.1:4:5584031:5584522:-1 gene:PAHAL_4G081300 transcript:PAN23271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGSRRWAYVRVMAGTILGGALGFYVMHRVETSYKARMEERLRRYEAHMLAKAREAQQLQDEAQREDKAQLLPDS >PAN25145 pep chromosome:PHallii_v3.1:4:47333077:47333496:1 gene:PAHAL_4G279100 transcript:PAN25145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTGDEIFLLMVVPLIALFFLACLCSVCLRREVRANGQGHPPPRNEERLRAPVVLAHFPYAARARAAAASEPPPVCAICLDELRQGQLCSEVPACRHIFHEGCIRAWARKKNTCPLCRARVVLPRAAYGIAAADDMV >PVH48410 pep chromosome:PHallii_v3.1:4:50529966:50532126:1 gene:PAHAL_4G325200 transcript:PVH48410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAERVDVAVLSRTLVRASDPPRGFPAVLPVSNLDLILGSFHIYLVSVYPAPAAGFPAVAAAARAALPAFLSRFFPFAGRIVADASTGVPEVACDNAGAELVVAEAAARLADVDFADADRSLARLAVPFQEGLALSLQLVRFACGGFALSWGSDHLLADGHGLTALPNAWAELLRTGGLSWEPHHERASLFRPRSPPRFSPSLDAEFTRYEPAGLPNALLTATLVRRNYVVSAADVARLRAAASTPARRATRLEALSAHVWKLLAAAVGGSDARCRMAWLVDGRRRLDPARFDADAVRRYLGNVVTYASREAAVEAVSSSPLPDVAAMAGAAIAGVFRSERFEELVDWMEARKGVFREGGKWTEAVGAGTGSPALVVSAFVAFRVEGDFGFGRPRLVMPWVRPGRLGSAAMTVARSPRGDGSWVITARLWPRLANAVDADPEAVFKPAAAAALGFGDLEPADGVQHASRL >PAN22330 pep chromosome:PHallii_v3.1:4:803266:805213:-1 gene:PAHAL_4G012000 transcript:PAN22330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQANAAKASLGPRVKANLVLGAESFAISSESGILSEQLAMMKEKSMVILKEYITKHNAPNDVPDESIEGESDEEGEALLKNPPKKSKKQK >PAN25790 pep chromosome:PHallii_v3.1:4:50558353:50559693:-1 gene:PAHAL_4G326200 transcript:PAN25790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALRGIRGKLTEHREKVISALLLGSFLALGWRSAEQQREIEGLEAEKNSLRAAKASMSTAMWAWREELFSLAAAPSSPISVSRLRHIYGEEEVAPPVAPKQPGSDAGEE >PAN23664 pep chromosome:PHallii_v3.1:4:7945410:7946306:1 gene:PAHAL_4G108600 transcript:PAN23664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAERRRGEAGGEQRKRKKTLGRQKIEIKRIERGAARHVCFSKRRSGLFKKAAELAVLCGAHVAVVVFSGAEKPYSLGHPSVDAVVDRYLDPASAPAPGGQVVDQAVLEEFEREKERLDKAIAAEARRREALDAAARAAGVPDSDDVGRAGMPDLLATLAALGRVQAEATQRMHEAIVEEAMMQHCAAAVSGDASGAFYCAAGAGAFAADGGASSRQGVMDAQMLMGGDANHASMPFAPMTMPPYLPPPPFNYVSHHNQLAGYGYDIGDGCHDAAAAAAYGKEGYHGTTTACNFFW >PAN23739 pep chromosome:PHallii_v3.1:4:8555433:8565402:1 gene:PAHAL_4G113300 transcript:PAN23739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAMEKVWESGRRVSRSISRGMGMDAWGVDEAFMPHHHQWGSRGGSRGGRSGRHADDDEEALRWAAIERLPTYSRVRTAILSSSAEAEADGGAKPRQQQFKEVDVRKLGDGERQEFIERVFRVADEDNQRFLQKLRNRIDRVGIELPTVEVRFEQLTVQAKCHVGSRALPTLLNTARNIAEGALGLCGIRLGRQATLTILRGVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPALRCAGEVTYNGFALDDFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLMTELTRREKEAGIRPEPEVDLFMKATSMEGVQSSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWSDKQRPYRYISVPEFAQRFKRFHVGLQLENHLSLPFDKSRCHQAALVFSKHSVSTTELLKASFDKEWLLIKRNSFVYIFKTIQLIIVALIASTVFLRTHMHTRNVDDGFVYIGALLFSLIVNMFNGFAELSLAITRLPVFYKHRDLLFYPAWVFTLPNVILRIPFSIIESIVWVLVTYYTIGFAPEADRFFKHLLLVFLIQQMAGGLFRAIAGLCRSMIIAHTGGALSLLIFFVLGGFLLPKGFIPKWWIWGYWISPLMYGFNALAVNEFYAPRWMNKFVMDQNGVPKRLGISMLEGANIFVDKNWYWIGAAGLLGFTIFFNVLFTLSLMYLNPLGKPQAVISEETAQEAEGNEHARGTVRNGSTKSKDGGHIKEMKEMRLSARLSNCSSNGVSRVMSIGSNEAAPRRGMVLPFNPLAMSFDNVNYYVDMPAEMKQQGVQDNRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRIAGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEIIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQQMVEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRQKMDFAEYYKTSDLYKRNKVLVNQLSQPEPGTSDLYFATQYSQSIIGQFKACLWKQWLTYWRSPDYNLVRFSFTLFVALLLGSIFWRIGTKMGDANTLRMVMGGMYTAVMFVGINNCSTVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFVQTTYYTLIVYAMMSFQWTAAKFFWFFFISYFSFLYFTFYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPRWWIWYYWICPLAWTVYGLIVTQYGDLEEVIEVPGESKQTISYYVTHHFGYHMNFMPVVAPVLVLFAAFFAFMYAVCIKKLNFQQR >PAN22577 pep chromosome:PHallii_v3.1:4:1787549:1789077:-1 gene:PAHAL_4G028500 transcript:PAN22577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFHETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHHRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDEKQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKRLVAEASQRGSADNITCVVVRFMEQHNGPGRATNDQAS >PAN22576 pep chromosome:PHallii_v3.1:4:1787395:1789870:-1 gene:PAHAL_4G028500 transcript:PAN22576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAGERSPVSGGGFSENGKFSYGYASSPGKRSYMEDFHETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHHRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDEKQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKRLVAEASQRGSADNITCVVVRFMEQHNGPGRATNDQAS >PAN26192 pep chromosome:PHallii_v3.1:4:52469271:52469696:1 gene:PAHAL_4G354600 transcript:PAN26192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYSEVPKLLLHLLVLLGHLRRLSSCLLRLAGLHDYSHADRHFCDDGAAAERLEEHSPAVRFDSLPPGGTSAALPEGWGCCVCLGDFHGAAEVRRARGCRHVFHRACLDRWAAHGHRTCPLCRTPILPPLLLPLPPS >PAN25509 pep chromosome:PHallii_v3.1:4:49358781:49362598:-1 gene:PAHAL_4G307500 transcript:PAN25509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESWRIPMLVQELAAKVQQPPSRYVQPEEYHPVSLVVDAEKPEPIPVIDLSRLLAADGADHEGSKLRLALQSWGLFLVDNHEIETSLMDDLISASREFFHLPLEEKQRCSNLIDGKHFQVEGYGNDPVASKDQTLDWLDRLHLRVEPEDERNLVHWPEHPNSFRALLHEYTLNCKRIKDRILRAMAKALGLNEDYIVSQFSNKAPSFARFNYYPPCPRPDLVFGVKPHSDSGVLTILLMDKDVGGLQVLRNGIWHNVPASPHRLLINVGDFAEIMSNGIFKSPVHRAVTNAGKERISLAMFHGLDPEKEIEPATALLHEKQPARYRKIKAKEYLAGFYEHFCRGTRFIDSVKI >PVH48472 pep chromosome:PHallii_v3.1:4:51269531:51276408:1 gene:PAHAL_4G336400 transcript:PVH48472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFGAPADDPKVFRNICRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMGYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFTIDMQGFVTDHDSALNDLYGPSEQNSKTFNDTISTTATRIATTFASLKEFPCVRYRAPKGDASATTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGTKYIYEVSKAGSEPEQKEAVLEDHDPFWLELRHAHIADASERLYEKMNNFVSKNKAAQLHSRDGGEISTRDLQKIVQALPQYSDQVEKLTLHIEIDATIAGKINRFIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDDMDVIKCLRYLEGVDTKKSSRTGTFSLKFDAQKKKNAARVEKQDGEETWALSRFFPLIEELIEKLSKGELPLKEYPSMGEPSSAPQGATQTASTAGPPQNPQPMSMRSRRTPQWAKSRTSGDSQSSDSSVLRHSSGEFKRLGNRIFVFMIGGATRSELRTVHKLTMKMKREIVLGSSSIDDPPQFISKLKSIGSAANK >PVH47308 pep chromosome:PHallii_v3.1:4:2096922:2098138:-1 gene:PAHAL_4G033300 transcript:PVH47308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAVAVLAVVASLPVLRRLLSASAGGKKTKPPLPPGPFGIPFIGQTLSLVRALRANTADDWLRRCVAAYGPVSRLSLFGCATAFLVGPAANKFIFASAAVTAKTPESLARMVGRRTIREVVGDEHRRVRAMMVQFLRVDAVKRYVASMDDEVRRHLDAEWRGRGTVAVMPSMKLLTFDVMCTAIFGLGRDAARLELWTEFQQLVRGIWAVPVNLPFTTYSRCLAASWRGRHAVAGVIQERRAKLERGDCSPTSDVVTHMLAEGLPDEEIIDNVMFLMVSAHDTTAALLTFLIRQLEADKDAYDKVVQEQEEIARSKAPGEALSWDDLVRMRYTWAAAMETLRMVPPVFSTMRKTVADVQYGGYLIPKGGR >PAN25202 pep chromosome:PHallii_v3.1:4:47655931:47658473:1 gene:PAHAL_4G283600 transcript:PAN25202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPCAAPRSQQHNRARKQQLIVSSASTTTATMTSQGQSATLGRGDAENDAGGSKAAHFVFVPLREQGHLIPAVDTALLLATHGAVCTIVAPPSTAALVRPTVDSARRSGLQVRLAEFPLDYATAGLPEGVDDGDSIPPMHVWNYYRAMALLRAPIESYLRAHAPYPTCVVSDFVHPWTTELAANLGVPRLTFFSMCTFGLLCQHNLERFHAWDGVEDPNEPVVVPGLGRRFVVTRAQAPGFFRGIPIPCWEEFADYVERARAEADGVIVNTFEEMEPEYVAGYAAARKMKVWTVGPVSTYHQRRTTLASRGLRTSAIDPEECRRWLDGRAPGSVVYVSFGSISQADPKQVVELGLGLEASGHPFVWMLRNADGYDEAVRGFLRELEARVAGRGLLVRGWAPQLLVLSHNAVGGFVTHCGWNSTLEAVAAGLPVVTWPHFTDQFLNEKMAVEVLGIGVSVGVTEPLTYQAVAKEIVVGRGVVEAAVRSVMGDGEEAEGRRRRARALAAMARAAAQPGGSSHANLLDLVERFQPGAAGGAAASEV >PVH47552 pep chromosome:PHallii_v3.1:4:5948585:5948746:-1 gene:PAHAL_4G085700 transcript:PVH47552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANIECLVRDSFHSHWLGLCRVLVSSNCSQKWPRQSWTKYFRNMVQPSRHG >PAN25388 pep chromosome:PHallii_v3.1:4:44516400:44517556:-1 gene:PAHAL_4G246500 transcript:PAN25388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCDPLDMFCFLQEHISINCLLPIRNKGLHVRLSANEVIFMIALLPCKVCMACLRNARSWFAECMSSVCDTIWLVPCFLFESINVK >PVH48097 pep chromosome:PHallii_v3.1:4:44556050:44556698:1 gene:PAHAL_4G247300 transcript:PVH48097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKPQGSVFVFVLSMMCRSGPGTRPTIACSEILWQLLGGMTGSGHCTGRPVQGVPSFRGNIRRSRGIMMDFGQGRQGVHVLEVTATARATSLPGVVTAALPFGKHGRQGGRRCEHGGPRRELLPWWSHTKEVGDLPVTSPPAKRKEAEKVRLVMIRATRRAITLTRTRRLSICILEIPFRYWLF >PAN24054 pep chromosome:PHallii_v3.1:4:32975573:32982948:1 gene:PAHAL_4G198000 transcript:PAN24054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALSPAGRRAAAIARHLAAGALPAPPPLAAAAALLGTSPCLSYAPPESAENAPAFPPTELRALLDGHHLMERDWVFRVMEESQLFCPRQRGGGSRVFVAPDYNDGKEAQREATMRRVAHLAQRGVFRGWLTETGAEAELRKLALLECLGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLLATENYDVKGCFAMTELGHGSNVRGIETIATYDSKAREFIINTPCESAQKYWIGGAANHATHTIVFSQLDINGKNEGVHAFVAQIRDEDGNVLPNIHIADCGHKIGLNGVDNGRIWFQNIRVPRENLLNLVADVLPNGQYVSVIDDPDQRFAAFLSPLTLGRVNIAVNSVYISKVGLAIAMRYGLSRRAFSLTPDGPEMLLLDYPSHQRRLLPLLAKVCLMSSAGNFMKKMYVKRTPEMSKAIHIYSSALKATLTWQNMITLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLAAQKKKKPFKGLGLEHLNGPSPVIPDKLTSSILRSSKFQMDLYCLRERDLLKQFTEDVSLHLAQGKSREKALMLSYQLAEDLARAFTERTILQIFLADEMNVPSGSLKEVLLLLRSLYVMVSIDESASFLRYGYLSRDNVVSVRKEVLKLCSELRHHALAVVSSFGIPDAFLSPLAFDWIEANALSTGSH >PAN24053 pep chromosome:PHallii_v3.1:4:32975573:32982948:1 gene:PAHAL_4G198000 transcript:PAN24053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALSPAGRRAAAIARHLAAGALPAPPPLAAAAALLGTSPCLSYAPPESAENAPAFPPTELRALLDGHHLMERDWVFRVMEESQLFCPRQRGGGSRVFVAPDYNDGKEAQREATMRRVAHLAQRGVFRGWLTETGAEAELRKLALLECLGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLLATENYDVKGCFAMTELGHGSNVRGIETIATYDSKAREFIINTPCESAQKYWIGGAANHATHTIVFSQLDINGKNEGVHAFVAQIRDEDGNVLPNIHIADCGHKIGLNGVDNGRIWFQNIRVPRENLLNLVADVLPNGQYVSVIDDPDQRFAAFLSPLTLGRVNIAVNSVYISKVGLAIAMRYGLSRRAFSLTPDGPEMLLLDYPSHQRRLLPLLAKVCLMSSAGNFMKKMYVKRTPEMSKAIHIYSSALKATLTWQNMITLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLAAQKKKKPFKGLGLEHLNGPSPVIPDKLTSSILRSSKFQMDLYCLRERDLLKQFTEDVSLHLAQGKSREKALMLSYQLAEDLARAFTERTILQIFLADEMNVPSGSLKEVLLLLRSLYVMVSIDESASFLRYGYLSRDNVVSVRKEVLKLCSELRHHALAVVSSFGIPDAFLSPLAFDWIEANALSTGSH >PAN24717 pep chromosome:PHallii_v3.1:4:44567915:44575074:1 gene:PAHAL_4G247400 transcript:PAN24717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRIKRLEKLKLSALLTFIRCHRGPSDDHSRIGTVGFSRVVYVNEPDRLGEEGFRYQLNEVSTTKYNLGTFLPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALAPLCVVIVATMAKEGVEDWRRKQQDHELNNRIVKVHRGNGNFEETKWKNIKVGGVIKVEKDNFFPADMILLSSNYPDGICYVETMNLDGETNLKIKQALEVTLDLKEDIKFREVRQTIKCEDPNANLYSFVGSMEWKGQQYPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATDPPSKRSKIEKKMDKIIYILMSSLLMIALLGSVFFGIWTKEDLRDGKLKRWYLRPDASTIFYDPKRATVASFFHLLTALMLYSYFIPISLYISIEMVKLLQALFINQDIEMYHEESDKPTHARTSNLNEELGMVNTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVERAMAMRKGARLDDDIENGGHKDKKIDDSPHVKGFNFKDPRIMDGNWIHEPNKDMIRDFFRLLAICHTCIAEKDENGKVSYEAESPDEAAFVIAARELGFEFYKRSLTTIIVRERDPSQNVVEKRKYELLNILEFSSSRKRMSVIVKEPEGRILLLSKGADSVMFRRLAPHGRKIEEVTRRHINEYSDSGLRTLVLAYRVLDDKEYKEFNEKLNAAKISVSADRDEKIEQAADSIETDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIITLEQSDIIALEKNGDKLAITKASKQRVMDQIEVGIKQIPPSTQVSTESFALIIDGKSLTYALEDDVKLKFLDLAIKCASVICCRSSPKQKALVTRLVKQVTHKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDVAVAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARLCIQYPQLYQEGVQNILFSWRRILGWMLNGVMNAVLIFFFCITAFEDQAFRQDGQVAGLDALGVIMYTCIVWVVNCQMALSVNYFTIIQHIFIWGSIAFWYLFLLVYGAINPRFSTTAYMVFIEQLAPALSFWLVTLFVVMATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSQQRMVGISARRDGKAMQITRETELEVRE >PAN25397 pep chromosome:PHallii_v3.1:4:44567915:44575074:1 gene:PAHAL_4G247400 transcript:PAN25397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRIKRLEKLKLSALLTFIRCHRGPSDDHSRIGTVGFSRVVYVNEPDRLGEEGFRYQLNEVSTTKYNLGTFLPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALAPLCVVIVATMAKEGVEDWRRKQQDHELNNRIVKVHRGNGNFEETKWKNIKVGGVIKVEKDNFFPADMILLSSNYPDGICYVETMNLDGETNLKIKQALEVTLDLKEDIKFREVRQTIKCEDPNANLYSFVGSMEWKGQQYPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATDPPSKRSKIEKKMDKIIYILMSSLLMIALLGSVFFGIWTKEDLRDGKLKRWYLRPDASTIFYDPKRATVASFFHLLTALMLYSYFIPISLYISIEMVKLLQALFINQDIEMYHEESDKPTHARTSNLNEELGMVNTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVERAMAMRKGARLDDDIENGGHKDKKIDDSPHVKGFNFKDPRIMDGNWIHEPNKDMIRDFFRLLAICHTCIAEKDENGKVSYEAESPDEAAFVIAARELGFEFYKRSLTTIIVRERDPSQNVVEKRKYELLNILEFSSSRKRMSVIVKEPEGRILLLSKGADSVMFRRLAPHGRKIEEVTRRHINEYSDSGLRTLVLAYRVLDDKEYKEFNEKLNAAKISVSADRDEKIEQAADSIETDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIITLEQSDIIALEKNGDKLAITKASKQRVMDQIEVGIKQIPPSTQVSTESFALIIDGKSLTYALEDDVKLKFLDLAIKCASVICCRSSPKQKVTRLVKQVTHKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDVAVAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARLCIQYPQLYQEGVQNILFSWRRILGWMLNGVMNAVLIFFFCITAFEDQAFRQDGQVAGLDALGVIMYTCIVWVVNCQMALSVNYFTIIQHIFIWGSIAFWYLFLLVYGAINPRFSTTAYMVFIEQLAPALSFWLVTLFVVMATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSQQRMVGISARRDGKAMQITRETELEVRE >PAN25533 pep chromosome:PHallii_v3.1:4:49453487:49456197:-1 gene:PAHAL_4G309100 transcript:PAN25533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLGLAMTPGVLLHRRVVTHASFIRGRGRSASGRRSRNLALSASSNGAAVPSLTSDSDKKGPVIMEIPLDKIRRPLMRTRANDPAKVQELMDSIRVIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >PAN24398 pep chromosome:PHallii_v3.1:4:38607763:38609632:-1 gene:PAHAL_4G214800 transcript:PAN24398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPGAPGRSLLVLVSLVTVVLVLVSAVECYDGHHAAAARSAVARRSRLAMGTRHVRHRRTATPHRYVLAEKSNATGGGSAKNRSSPAASNNATSPTPAPAPPAEPSKHHRNHRHRVRNWIIGFVVGSLAGVISGLVLSVLFRLVLNCIRGRYGSRSGVTIFTPKLIRRAEHLAFLEKDDGLASLAVIGRGGCGEVYMAQLPAEREGAEPRFIAIKKINIKKSADTPNNLSDEESRQLDKQSRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHHALKGGGDGVAALSWPARLRVAVGVAAGLEYLHVSHHPQIIHRDLKPANILLDDDLEPRIADFGLAKAMPDAHTHVTASHVAGTLGYIAPEYHGTFKFTARCDVYSFGVILAVLATGKEPSDPFFTQTEEVVGLVKWLRRVMLAGNHAEAIDPAIAGAENEEQIVLVLRIAMFCTADDPKERPSAKEVRCMLSQIRIQQDLV >PAN24416 pep chromosome:PHallii_v3.1:4:39093821:39095017:-1 gene:PAHAL_4G216900 transcript:PAN24416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPRRSSGTRTDWPCAPAPCLSTPSFGLRWRRARRRHHDFSGPDGRMRRAERRLDAQRNRQGNARPRQAETRRSPVGGSVDIRSATPRGARDGRGPPSVVVHHQSVQLAVDVLCSALL >PAN23167 pep chromosome:PHallii_v3.1:4:4836129:4842455:1 gene:PAHAL_4G072600 transcript:PAN23167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MAEIAERAAAGELPEEPRPPPGGEEEEEEEEEEEGDVCRICRNRGDEGHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYAENAPTRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLAGPNNRVPADGNIDELAEAQGIGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASTPMLAKMMPFTETAISIANDTLKSALNVVKNFSSDSNNEGVIGHVIEVVTQSLKINATGLSVIQGTGKSSLIKGTTIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTMSPLASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKSLLHHWFAAVGWALGLTDFLLPKPEENGGQENWNGRAERRDRGHGGREMIAPQVEQRMIQHVAAEDNGRGNANEANDVAEEPDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISIGRLVFEAVPRLPITHGIKCNDLFSFSIGCYILWSAAAGTRYAIDYTRSRQLGILVQQICKWCSIVLKSSVLLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRSKFERVRDDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGIFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDTPEPSESGATIGSDDQDRALVLQDQEEEVGLRLRHNNVRANQQPRLAF >PAN25198 pep chromosome:PHallii_v3.1:4:47625134:47630854:-1 gene:PAHAL_4G283400 transcript:PAN25198 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Positive regulator of ABA signaling, Drought toleranc [Source: Projected from Oryza sativa (Os06g0211200)] MEMPGGSGGPALARQGSIYSLTFDEFQSALGGASKDFGSMNMDELLRNIWTAEESNAMAAAAPTTAAASVDAQAQQQQQPGAPIQRQGSFTLPRTLSQKTVDEVWREIVGLTGGEDLQPVPAPAPAPAPAAAAPAPMPAQAQRQPTLGSMTLEEFLVRAGVVREDMAQQSLVLPPHAQALFSQGNAVAPQTLQLGNGMVTGVVGQGLGGGMTVAAPTTPVVLNGMGKVEAGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQNDELQKKQVEMLKKQKDEVLERINNQLGPKAKKLCLRRTLTGPW >PAN25199 pep chromosome:PHallii_v3.1:4:47628198:47630854:-1 gene:PAHAL_4G283400 transcript:PAN25199 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Positive regulator of ABA signaling, Drought toleranc [Source: Projected from Oryza sativa (Os06g0211200)] MEMPGGSGGPALARQGSIYSLTFDEFQSALGGASKDFGSMNMDELLRNIWTAEESNAMAAAAPTTAAASVDAQAQQQQQPGAPIQRQGSFTLPRTLSQKTVDEVWREIVGLTGGEDLQPVPAPAPAPAPAAAAPAPMPAQAQRQPTLGSMTLEEFLVRAGVVREDMAQQSLVLPPHAQALFSQGNAVAPQTLQLGNGMVTGVVGQGLGGGMTVAAPTTPVVLNGMGKVEAGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQNDELQKKQAL >PAN22968 pep chromosome:PHallii_v3.1:4:3725225:3726520:1 gene:PAHAL_4G056800 transcript:PAN22968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAAAALCGKEEKVLGAQKAPGSCPYCGGGVAATDVEAKWVLCCLPLCRRTKRRFTCTACARRLVTYPAILHD >PAN23657 pep chromosome:PHallii_v3.1:4:7888404:7895175:-1 gene:PAHAL_4G108100 transcript:PAN23657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGEENQDAMNGYEEEEEEEEVEEVEEVYEEEEEEGDGEADDGAAAAGAEEAASAPDPAEMRSGGGGRGLADVVGDADAGGEEGRDADSGGGDASGKIFVGGVAWETTEETFTKHFQKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDRVLEDEHVIDGRSVEVKRTVPKEEMSTKDGPKTKKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSQGRMHDLGGKQVEIKKAEPKKPGGGDSSSNGRYSRGGGGHRDSYRGSGGGGSGSSSGGGYGYGGGYRSAAAYYGSTAYGAYGRGYGYGNTAGYGSGYGSVYGGSMYGGPYGAYGAYGGAYGGGAYGAPGGYGGAGGYGGYGGAGGMGGGGSTGGRGSRYHPYGK >PAN24094 pep chromosome:PHallii_v3.1:4:27776635:27781310:-1 gene:PAHAL_4G183500 transcript:PAN24094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTTWIIDSQRFATKIKNASGSSDPSKQKWMSNPSKECPKCSHVIDNSDVVHQWPGLPKGVKFDPSDQELLWHLLAKHGKSGIKPHPFIDEFIPTVEEVDGICYTHPQKLPGVKKNGSVSHFFHRTFKAYNTGNRKRRKINTDDLADVRWHKTGKTKPVLVDGKHLGCKKIMVLYISTEKGGKPEKTNWVMHQYNLGTGEDEKEGEYVVSKLFFQQQFKPGEKNAQELTTGDAVESVAAETDAVESMAAEADASDFTTLPSEGHFSTIQEVVHNSEHNPYQVNENCEINIQENASEGTVVHPPSEKPEGGDNPQSQDPKLWESDSQFELLDSQQLAEGLAMCDEFLLSQSQTSCGGGDEPRVIKPCLAVYAQLPTEDFKKDLEECQQLEPSDAVNIELDSTAEFRLSQIDFSQDSFTTWASGKMIDD >PVH48562 pep chromosome:PHallii_v3.1:4:52767911:52768687:1 gene:PAHAL_4G358700 transcript:PVH48562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFPMFNFDLQDVFSSEVGPYDGYQSKEGSNLLSVSSPLLPWSGRFLQVSQASLFSHINSIPHCCCLITRVAILPWTNC >PAN26157 pep chromosome:PHallii_v3.1:4:52295569:52297820:1 gene:PAHAL_4G351700 transcript:PAN26157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEPAPTPAGAAAEPEAEAEAERLLALAESELSAGRLRAARKHARRAALLDPASPRAALLATAANVLVADASSHYAVLLLPDDDSASPSALRRHFKSLVKSLRVHPDAAAASPAVAAAVEEALGRAAEAYEALTAPAPALATFWTACAGCRLLHEFERKYVGYRLMCPSCHRTFLAAEVPPPPEAGPPTPALPPPPAKKPKTEKPEMTLAEMQLQLAKKRGAKAPKSSSRGQAEEEDGEEAEAENNHSDLMAVVDSDFYNFDADRGERCFKRGQLWALYGDDDGMPRHYASVEGVLRGSRFRIQIRWLDGEEGKPCGQFKVGRAETLHSVNVFSHLLACERVAREVYRVYPRKASVWAFHGDQASIAGRGKYEIVVFLSGYSEQYGASFGYLEKVEGFRSIFKRRDVGAHAVQSLQKGDIGALSHQIPAKKVSKGEGSALPPGDCWELDPASLPPELLRLEPPRK >PAN25645 pep chromosome:PHallii_v3.1:4:49877968:49880409:-1 gene:PAHAL_4G315200 transcript:PAN25645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHAKSESDVTSLAASSPPRSPKRGGGVGGGGGGNNYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGAGAGGERKALNDKGWPECNVIEEEGPYEDLAGDSGLSRRCQIILGFLTFVLLFTVFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNPATMFGIHVTSGPIHLIYSEISIAVGQLRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFSKKACAVYKA >PAN25646 pep chromosome:PHallii_v3.1:4:49877442:49880935:-1 gene:PAHAL_4G315200 transcript:PAN25646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHAKSESDVTSLAASSPPRSPKRGGGVGGGGGGNNYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGAGAGGERKALNDKGWPECNVIEEEGPYEDLAGDSGLSRRCQIILGFLTFVLLFTVFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNPATMFGIHVTSGPIHLIYSEISIAVGQLRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFSKKACAVYKA >PAN23133 pep chromosome:PHallii_v3.1:4:4671375:4674698:-1 gene:PAHAL_4G070000 transcript:PAN23133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFAPHGHLLLLLLLVAVVLACLGTAAAAGSGEGYTIAGRIKIDGANAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSIGYFFSPVRVDISARNPGYIQAALTETRRVLNELVLEPLKEEQYYEVREPFSVMSLLKSPMGLMVGFMVLMVFVMPKMMENIDPEEMKQAQEQMRNNPVSFSSLLARAQG >PAN23767 pep chromosome:PHallii_v3.1:4:8885862:8888639:1 gene:PAHAL_4G115200 transcript:PAN23767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRAKMGKQNLDMLLDILPNQDNAFRRYSSNPTFLIPTLPLS >PAN23487 pep chromosome:PHallii_v3.1:4:6700614:6703492:1 gene:PAHAL_4G095500 transcript:PAN23487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRRPHILVLTFPLQGHIAPALRLARRLLAAAPDVLVTFSTAGAAHRLMFPARPTEQGGGGAEDDARLEFLPFSDGTEAGDFRSSSADADAGLFNAKMASFHAAGARGVAGVLDALAARGRPVTRVVYTLLLPWAAGVARDRGVPSALYWIQPAAVFAIYHHYFHGHAAGVVAEHRHDPSFVMELPGLSPQTIGDLPSYLTDSTDPSDAFHSIFTTIRDLIESLGKERPRATVLVNTCQELEVGALAAVGAHDVLPVGPVLPSGDDEAGIFEHDDAKYMEWLDAQPSSSVVYVSFGSVSTMARELLDELLRGLEESGRPYLCVVRKDIKAALGEAEAAEMVGDRLRNGVVVEWCDQARVLSHAAVGCFVTHCGWNSVLESVASGVPMVCVPRVSDQRMNAQLVVREWRAGVRARVDGGGVLRAAEVRRCVDEVMGSSEAAAEVRRMAGEWKRVVAEATGKGGSSERNLAAFVDGARSDF >PVH48295 pep chromosome:PHallii_v3.1:4:48816719:48818561:1 gene:PAHAL_4G300600 transcript:PVH48295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAAAVRRLPRHLRLLHPRCLTTTSPLPLHPDPDAPTPEPSPVRTPPDEQFAAWVTRLRPGFTASDLAAAISAEPDPDLALALFRWAALRPGFRHAPESYLAALRAASSGRRPAAAEALVHDVFAGACAPDLRLFNACLRFCCDRRSLFPLAFDMFNKMRAMPAAAGCRPDVETYTLLLTAVVRRVRRPPASLVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCVEVDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGWTDKGMVYFAEMREKGFVPSGGVYMIAVSALALEWRFEESRRVLLDMLDCKRKPDMITYRTLLEEMCRAGQTEQAFEVLEELKGRKRGPLDQRMYSELLDGLHWISQPHKDSRTVHDRGSDD >PVH47380 pep chromosome:PHallii_v3.1:4:3105386:3105697:1 gene:PAHAL_4G048000 transcript:PVH47380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKRGNRDEPAAAAEGRGGGRLAALRQLVELDDRRLQASVFFLAWGCCVMLHDTVLNRAANPEHVLAGYGLFTIGAALAFLTLSGAGRAAARVEEALRGYF >PAN25323 pep chromosome:PHallii_v3.1:4:48278231:48280809:1 gene:PAHAL_4G292600 transcript:PAN25323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTLARGALTVSRTHPPSTPTRASPSGRDHSLSAAAARVLRLEAPAAASSTYLWNRLLGLLCSGSGAPGPLALARRVFDAMPERDAVSHNTLIACLSRAGHGHAAERARTYSRMLREDGVMPTGTTLSALLTVSGGDAASACRGFFRQVHAHAVRFGLCSNAFVGSALVRAYQRCGDADAMFGVFEEIDEPDVVCWNVMIDACARSRRAWRAVEMLSRMCRGGGVADRFTLASILKACSCGHDLGLGMQLHAWAWKIGSESETATCNALITMYLKCGGGVHSAANVFDGISEPNIISWTAVIAGLVQNGLAMEAAGFYKHMVRVGEKENDFCFTSVLSAFSNLASLEHGKMVHCRAVKAGFCFDTILGNALLDMYFKCGSSADAQFVFDAMQAHDVVSWTAMVVGYGRHGEARKAVECFRAMVDGGFKPDSITFLAVLSACSRGGIVDEGLNIFRCMAEDHGIKPDREHCACLVHLLGHAGRLNEAETLIRKMGLQLDSFAWESLLSACGIHGEVELGKRSAGKVMELEPWKDGPYVLLSNMCAEQCQWREKETLRGRLDYSNVRKDAALSWFPVSEAN >PAN25324 pep chromosome:PHallii_v3.1:4:48278230:48280810:1 gene:PAHAL_4G292600 transcript:PAN25324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTLARGALTVSRTHPPSTPTRASPSGRDHSLSAAAARVLRLEAPAAASSTYLWNRLLGLLCSGSGAPGPLALARRVFDAMPERDAVSHNTLIACLSRAGHGHAAERARTYSRMLREDGVMPTGTTLSALLTVSGGDAASACRGFFRQVHAHAVRFGLCSNAFVGSALVRAYQRCGDADAMFGVFEEIDEPDVVCWNVMIDACARSRRAWRAVEMLSRMCRGGGVADRFTLASILKACSCGHDLGLGMQLHAWAWKIGSESETATCNALITMYLKCGGGVHSAANVFDGISEPNIISWTAVIAGLVQNGLAMEAAGFYKHMVRVGEKENDFCFTSVLSAFSNLASLEHGKMVHCRAVKAGFCFDTILGNALLDMYFKCGSSADAQFVFDAMQAHDVVSWTAMVVGYGRHGEARKAVECFRAMVDGGFKPDSITFLAVLSACSRGGIVDEGLNIFRCMAEDHGIKPDREHCACLVHLLGHAGRLNEAETLIRKMGLQLDSFAWESLLSACGIHGEVELGKRSAGKVMELEPWKDGPYVLLSNMCAEQCQWREKETLRGRLDYSNVRKDAALSWFPVSEAN >PVH48551 pep chromosome:PHallii_v3.1:4:52500898:52501230:1 gene:PAHAL_4G355300 transcript:PVH48551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDGPGVLFLYAVFLSSFGLKFSETEFCTGLGCVMEPAILSYISLSLFKSLNLVYHPLKIVDCKHSWLKQR >PVH48294 pep chromosome:PHallii_v3.1:4:48816062:48816608:1 gene:PAHAL_4G300500 transcript:PVH48294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGDAWMAPDKLQHALACLLISLLAAALAGRSTRPGIRRRAAAVGSVASLAAGAAKEAADEAGLFGSAGASPKDAAADLLGVAAAALVLALLRRRRRERKAREDGDDVSMV >PAN25178 pep chromosome:PHallii_v3.1:4:47560039:47561800:1 gene:PAHAL_4G281800 transcript:PAN25178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSEDRAPMSKILTTARSNSLSLHQEDYKFRTNTGLPPFHPHEPAQTPTAAIATQRKRSMASCKLVALLFALAAVASATAVQPSEARIQGLERRQDAGGDQMLRPSTFHSTAPPPRSPGVVPLPPQPATGSAPPPPPPPLTECMTPLIGMVPCMDYLTNLTVLTPPAACCDGLKAVIRGAPICLCHGMNGGMNSLMPRPIDPLRMVVLPLTCGAMLPLETLLSCNTQHVPPIMPPMPAPATADARAASP >PAN25723 pep chromosome:PHallii_v3.1:4:50332411:50332962:1 gene:PAHAL_4G321500 transcript:PAN25723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGAVFRGDPPGPAAGPRDGGGGGDGYENRALIPLFLASALLFFVTYQLFGLAAAGGVVALFVVLALAAHRVRLSRAFPFLHLSWDVAPGGGVLFRPRAAAGMDAAAISALPAAFGYKRDEHAATGWAQCAICLGLVRAGEAVRRLPACGHLFHAGCVDKWLRAHATCPLCRAAVAPELPI >PVH48397 pep chromosome:PHallii_v3.1:4:50425092:50427183:1 gene:PAHAL_4G323100 transcript:PVH48397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATSPPRVLDPFTTVATEGTSCDEAMASMGAGADPGIFASPRAPSPPPSSTASDLVSREPQAEGALWSAPDVAASSPPTEGGLVSSELAKVLASLGYNEMASAAALLHNETLVSVWQEAITVFAAPDVLLQAACPGCSRRELLLRHMALGYFPYAELATARSMKLLSASGFCLNVSAERGPFAVDGVEISRPELFDNGRYVVHGLRGFVPRLSRESCIEGTHHRLGARSPGSAVVRMAMARLRERGFGFVALAIRVKCTEPEKLANLTVFALDDQAIFNGGRHGYVSAVRFHIVPGHRLTRADLLLLRPGTVLATLAGEDQKLVITHGAGADEVQINYVPVKEADLVINSRIAVHGIYIPFPRPSPAYAVAVASATPLNGICGEEAISYCTSTSMTSGPVQPAKGSASEVATAPPANKPAAMVRPLHPNHGDPLHVSEKLGGAGVGAQPVGGGHGAAAAAAAAGAIGVVAIAVGLLKKEKKRRQHRERLSKMVTRRAEELEPRHDPSICRDCGYDEHAWTASCCGFSFCSLCVVNGYLDSHVHIYRRKGSDKFGFCGKEGRLMPTKEPEYDPINAEFFFTGEEKADGPHMKVKTFVNLAQLRARQLPKRLLVWYKTSDDTRLNALVRSPVKKNLSLY >PAN24300 pep chromosome:PHallii_v3.1:4:21745713:21750169:-1 gene:PAHAL_4G165700 transcript:PAN24300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGSFYFVPLLLLLILLLASPPTAALDSSKTGSSDAGNATAAAAARLRPGKELLKYKRIRALLRKLNKPSLKTIKSPDDDIIDCVPSHLQPAFDHPKLKGQKILDPPERPKNYNFTITGSGNGRGGEVVVQAWHATGEACPEGTVPIRRTMEKDLLRASSLRRYGRKPARRGVRRDSTSSGHEHAAGYVNSELYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDTNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGSGLVVGYWPSYLFTHLARHASMVQFGGEVVNTRPSGSHTATQMGSGHFPSEGFDRAAYFRNLQVVDWDNNLIPAASLKLLADHPGCYDIQGGSNSYWGSYFYYGGPGRNVKCP >PAN22986 pep chromosome:PHallii_v3.1:4:3793537:3803720:1 gene:PAHAL_4G058000 transcript:PAN22986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMREVDSVFQGVGQKDGLEIWRIEKLQAVPVPKESYGKFFTGDSYIILKSTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHTEVNEREHKTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHDGKCEVAAVEDGKLMADVDAGEFWALFGGFAPLPRKPFSELNGKDSASTSKLLCVNKGQAAPIDCEILTRELLDSTKCYLLDCGSEIYAWMGRETTLEERKRAGSAAEELLREGNRPRSHIIRLMEGFETVIFRSKFDKWPKKADAVVSNESRGKVAALLKRQGFNFKSPAKAAPVKQEPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYTYPGENGDECLIGTWFGKKSVQEERSAATSLADKMIESLKFQAVLVRVYEGKEPIEFFPIFQNLVIYKGGTSTGYKKFVSENGIEDDTYSESGVALFRVQGSGPENMQAIQVDTAATSLNSAYCYILHDGDTVFTWVGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYSSQKIVRDQESDPHLFACTFSKGVLKVREIFNFTQDDLMTEDIFILDCHSCVFVWVGQRVDTKIRAQALNIGEKFLELDILMENVSCETPLYVITEGSEPQYYTRFFTWDFAKSAMHGNSFERKLSIVKDGVKPRADKPKRRPTTSAHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKQLPKPVSPESSKPPPRTASIAAISASFERPKATLIPKSIKASPDANKPQTEASKPKPETNAKESNPTKDNPAATPTVQEDVKESQAEGEEGLLAYPYDRLRTSSTNPATDIDVTKREIYLSSTEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >PAN22987 pep chromosome:PHallii_v3.1:4:3793539:3803704:1 gene:PAHAL_4G058000 transcript:PAN22987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSAIKHTFSSLVKQKPPKTSPTKSRGTMAVSMREVDSVFQGVGQKDGLEIWRIEKLQAVPVPKESYGKFFTGDSYIILKSTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHTEVNEREHKTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHDGKCEVAAVEDGKLMADVDAGEFWALFGGFAPLPRKPFSELNGKDSASTSKLLCVNKGQAAPIDCEILTRELLDSTKCYLLDCGSEIYAWMGRETTLEERKRAGSAAEELLREGNRPRSHIIRLMEGFETVIFRSKFDKWPKKADAVVSNESRGKVAALLKRQGFNFKSPAKAAPVKQEPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYTYPGENGDECLIGTWFGKKSVQEERSAATSLADKMIESLKFQAVLVRVYEGKEPIEFFPIFQNLVIYKGGTSTGYKKFVSENGIEDDTYSESGVALFRVQGSGPENMQAIQVDTAATSLNSAYCYILHDGDTVFTWVGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYSSQKIVRDQESDPHLFACTFSKGVLKVREIFNFTQDDLMTEDIFILDCHSCVFVWVGQRVDTKIRAQALNIGEKFLELDILMENVSCETPLYVITEGSEPQYYTRFFTWDFAKSAMHGNSFERKLSIVKDGVKPRADKPKRRPTTSAHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKQLPKPVSPESSKPPPRTASIAAISASFERPKATLIPKSIKASPDANKPQTEASKPKPETNAKESNPTKDNPAATPTVQEDVKESQAEGEEGLLAYPYDRLRTSSTNPATDIDVTKREIYLSSTEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >PAN24470 pep chromosome:PHallii_v3.1:4:40465854:40469167:-1 gene:PAHAL_4G222300 transcript:PAN24470 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14620/T5E21_15 [Source:Projected from Arabidopsis thaliana (AT1G14620) UniProtKB/TrEMBL;Acc:Q8L7U3] MLSRSPATASLLLRSLLRQPRGFSSSAAAAPMAAKEDGKLVASVLFERLPVVIPKIHPVVYAFQEFSFRWRQQYRRQYPDEVLGKADARGKGDYHIDYVPAPRITEADKTNDRKSLQRALDNKLYLLLYGNAYGAPDGKPVWHFPEKVYENEETMRSCAESALKSVLGGLDNTYFVGNAPMAHMAVEQMDSSVSPFKRFFFKSQVVGTAKYHIGKCKDYAWVTKDELLEYFPENKDFFNKMIIHIR >PAN22989 pep chromosome:PHallii_v3.1:4:3804489:3807237:1 gene:PAHAL_4G058100 transcript:PAN22989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLAHARPRHRLICPTSRAVSTAPAPAPAPPATTPPAAPPLSAADLELLLRRGHYSASTHRFHSLLPLLSHPSVLLSSALHLSRRAHPSLPTPPQPPPTTVAAAAAALSSPSSHLRLLLPSRLKGQALALPTLPLRLAMRCAAYALDAVFAPRAATFAYRGRHAAIRYLRSIPSASWFFRVAIPRQRFGPRHVRHLLDAISGKVDDPGFLEYLNELFASDAVAFELGGCELGRGLPQESELTATLVNIFFDPVDRELMAVREEVHKKNPRMKHDSVLHTPVRVYAVRYLDDILVVTSGSKMLTIEIRDMIIAVLERDLELKVDRLGSSVHSAVSEKIEFLGIEFQAVPPSVLHPPMSEKAKRARKKYLKMKAEKAQELKNARETRRKKLGLKILNHLFKRVRRGEEFQFNFQIENEVRQVFKDWAEDTVAEYFKSQEHCQYWHRLLTSGDFLSLTRVRDQLPPALVDSYDKFQETLDRFLMPKRGHDMAEEEERLAEEEDEKEYEKRTVEDLTELKMRANVPIELVRKAVKLAGFTNSMGRPRPIKLLLCLDDADIIKWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHFTKDLKVENDDGVAEVHFPTEREIKMMGDKNLSDPKPVDGALTMILVRLAVDDTSYPCLTHFCAKTDTVLYRIRLLQNRLNIDPLNENKWVHGLSAIHESLNKKCLPLCSMHASDLLLGKITLQDIDCTQFVDVE >PVH48021 pep chromosome:PHallii_v3.1:4:40460877:40461635:-1 gene:PAHAL_4G222100 transcript:PVH48021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDTEVMGWCSQKGNGEFRLCTISFIFSFMYRLQTRQRAIRPYLYIHSLSAMECDMMLLVDVFMFVRMFLLNIYMI >PVH47515 pep chromosome:PHallii_v3.1:4:5316754:5328617:1 gene:PAHAL_4G077900 transcript:PVH47515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPFPPVAAAAASAPPRLAPRHPFAAAAAARRSSLSFRPAARRLALPLRSSAAAANPLRCAHRRAVSPRSRRRAQGFGAASDSAASTWGGAAGRDGCLSCFPKSRRGRSGLARFAPCALPHASGLSFRGRLSGAKVRPSHILRAAGPDEPHVASPTWSETSLDKPDLDHTISKEELEDVLNTPLPEHPKLIRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQYLHSENKLSNRFPIGLEEQIQKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLSENEGNPVPGGSPFGAMASLFAPKLPGGFAANLTGEKSPVTDKIKPVKRERQAVRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVSKVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPKKVHVDGVGETDFEIYPDEITEAIKSGLDEPIYPEPELEVPKELITQPELNKLKLQHKPSFIPLTEEDNVVKVFDTETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGSNMEVSIVGDFTEEEVESCVLDYLGTVRAASSPNTEERIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFASEGNDLFNVIQRSGADEISEPVNLDLTGKKRIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSSRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDVSCIKELTTLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDVNDDEVDMDLHGMAPMGGRGLSTMTRPTT >PAN23235 pep chromosome:PHallii_v3.1:4:5316754:5328617:1 gene:PAHAL_4G077900 transcript:PAN23235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPFPPVAAAAASAPPRLAPRHPFAAAAAARRSSLSFRPAARRLALPLRSSAAAANPLRCAHRRAVSPRSRRRAQGFGAASDSAASTWGGAAGRDGCLSCFPKSRRGRSGLARFAPCALPHASGLSFRGRLSGAKVRPSHILRAAGPDEPHVASPTWSETSLDKPDLDHTISKEELEDVLNTPLPEHPKLIRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQYLHSENKLSNRFPIGLEEQIQKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLSENEGNPVPGGSPFGAMASLFAPKLPGGFAANLTGEKSPVTDKIKPVKRERQAVRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVSKVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPKKVHVDGVGETDFEIYPDEITEAIKSGLDEPIYPEPELEVPKELITQPELNKLKLQHKPSFIPLTEEDNVVKVFDTETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGSNMEVSIVGDFTEEEVESCVLDYLGTVRAASSPNTEERIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFASEGNDLFNVIQRSGADAEISEPVNLDLTGKKRIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSSRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDVSCIKELTTLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDVNDDEVDMDLHGMAPMGGRGLSTMTRPTT >PVH47857 pep chromosome:PHallii_v3.1:4:22707152:22709111:1 gene:PAHAL_4G168600 transcript:PVH47857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELPPGSSFLDVVSAAAALEPVFADALAALDPRPDLLVHDGFLAWAKDAADDLGVPRLVLFGMGAFPSCVSLAVLAQKPHARVSSPLEPFEVDGLPGLRLTKAYLPPPFDDPEPAGPHWDFICESSRAMGSSRGCILNSFLELESFYIDKWNREMTLNKIWPVGPLCLASEPARTLVSNIADWLDSRLAMNRPVLYVAFGSQADLSRAQLEEIATGLDWSDLDFIWVVRSKWFDGEEPFQGRFRDRGKVVQGFINQLGILSHQAIKGFFSHCGWNSVMESISMGVPILTYPIVAEQKLNAKFVVDVLEVGIRIWPSQRGDSGPASEQLVSSDEVETLARELILGEGGKRAAAKASELAASARAAV >PAN23766 pep chromosome:PHallii_v3.1:4:8886629:8887834:-1 gene:PAHAL_4G115300 transcript:PAN23766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLWWSDRDKAEEGDPFRSTEYFNFTISRLSVEYKKAHEKKLVEKGTSLLQWKTPAQEVVEINTDGSFRERSKSGGWGFSIREHEGQALAAGAGHIPHASDALQTGAEAVLHEINCAEKIGIARIIAETYAKVVEQALTCSEYDLAEMGTLF >PAN23015 pep chromosome:PHallii_v3.1:4:4028276:4035569:1 gene:PAHAL_4G060800 transcript:PAN23015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGGGGGGGAGLGLDLSAVIQAAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASYDDHHHHHSPPAYPMSPKGSQQERGPAGGEVSGPCAACGVVTSKKCSRCKRVRYCSQECQTKHWQDDHKSKCKPMNADKLSFGFEANSKKSSGFGRISLVPTRKKIKKGQVLFSYDEFLNLYNRKDFDFIPCGLMNCGNSCFANVVLQCLSCTRPLVAYLLGKDHSRECSTRHEDWCFLCELQCHVQRASESIHPFSPVNILSHLPNIGGNLGYGRQEDAHEFMRFAIDKMQSACLDEYGGEKAVDLSTQETTIIQHIFGGRLQSQVQCTACGMVSNRYDNMMDLTVEIQGDAESLEKCLDQFTAVEWLDGDNKYKCDGCNDYVKARKHLSVHQAPNILTITLKRFQSGRFGKLNKRVTFPMNLDLTPYMSSTDGSDLYDLYAVVVHLDMLNASFFGHYICYIKGSRGNWYKIDDCKVMIVDEEEVHAQGAYMLLYRRRTARPRPLATVEEPVKQPQQCKVLPLNGQNHMIPEPEDATLICESALESSEDLLQQDSESNNEYLHKMDIKDQESDLDRHTSIEADKLISDEVDLLGSPVSHVLEDTRAPCSPLEASTSLRSVPLCPPIEGGPSTMSSVEFGSSMSEASSVHSFAEECEEQPASCIDSVDYMDVDTEADTEVERCDEGPPALDGLIGRTDNRTSLPILANGMAGKPRSAFSPGFLNKPSRKRSSFAEEDHIGGNSAGSSRKLNGHCNEYISSSEQVLANSCGNSPSSGSENRNGDMFATSSNGNYYTINGVTQSSNHSLHADSHGFEPRPYSEPSSGSNKNCTSTSSGNPLKACQGDMSFLSRGFLGRPSSRGNSVKVDDRLPFGNGTSSSFGNGNSKPSNDRRESAVLGTSSDIPMEQKSNGATVVPEHVEERCSDCTINGSSFQLRAAPNHLDENSHAILASNNTSCRQENGSNGTSGMNGVGCQRDDAPSMLVSKNSTGSEHDGLRRRVTSKFFEQNGIDAQ >PAN26185 pep chromosome:PHallii_v3.1:4:52446828:52447633:1 gene:PAHAL_4G353900 transcript:PAN26185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKVKKTGTKAKKLPPLPALHLVTAQWALCFACLYAAVAFRAINHPCRHGDRASSYRRGQRPGHGPARLPGPPHRRPGGFALACLPLSMELHHLAVEDQNFYFGHSFKVECNQPAVSLPKEKVK >PAN22289 pep chromosome:PHallii_v3.1:4:596316:597813:-1 gene:PAHAL_4G008900 transcript:PAN22289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFARSISFPLSPSRSSSSKQPARMASSYHARSVSLPCRSHPILAHLHTHIRAVRAWAQDPTSAAQGLAHVDALHAALGDLLDFPEAQAALSAGAANDRLLDAFLRLADAHGSFQEAVVGLKRDVAEALAAIRRRDGARLASALRSQRRAGKEMARLAVAAKDGACGRPSRLGLGLGGGSAAEVEVAGLLAEAAAATASASAALFNTVAAMSASASAAACTCKRTAALMCLIKKVPEEEKETMALMERLEELEECIDELESGSEKVFRSLVQTRVALLNVHTNIF >PAN22993 pep chromosome:PHallii_v3.1:4:3816384:3819600:-1 gene:PAHAL_4G058300 transcript:PAN22993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGRLNVATSAPGDDFPFAPMQQQQQPPPPPPPYVGFEHGVVGGGGQRGGGGMQHHLYDGLDFAAVLQFQEAAPHHQLLTLPSSLAPMAPPPLPMPLQMPMPMPGMPGDVYPALGMVKREGVGADGAASAAGGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAAGGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPAASTASSKDAASPPAKKPSGGSMTGSYTTDSKNLSTAKSTMSSNTGSVISCLDQGNKQLARPTLTLGASPDKDHQHQQLSTMLQVQAAAGGHHHHHHHHQEQHFITSLQVHNHNNGGGGGGNNILSCSSVCSSALPSANGEVSDQNTTTTNNGGGNMHNLFEVDFM >PAN22447 pep chromosome:PHallii_v3.1:4:1309454:1317965:-1 gene:PAHAL_4G020400 transcript:PAN22447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSASSPHRKLLRSLVYWAVQRCRMSESPCRLTVSVKRPAEAASASLLGVSVSDTGVGSKLEEFLELRVLARETPVEKWDGTLLITTTGINDEAIYRYRLNLQEEISSARFTKLATTYKNHATFSGTEVCLCLSNEADADDFILWLVGFFHKILILRAPNLACELFVEQIGNAESRNVCLPQDSEDMHHSVMASSSDRLVSGLKDYTLSHGNTCDKCDTCILNRDLLKIGTGAANNVDRRKAKGLHVEVVIVIARTASDLSCWTVNSSSTQVLYFEDFVPCPISQSSFDVLVSTDWRSYGFKLKGGFIDDEGNAVLEWDDMAFARVDIAIHTYLECAMQESQGSQQDRHLVRKALKSALSHLKADHAGDFLSCHGQRVREYVPDLAESIAGLILSSNDKEFQDECITLLGLGSDQEGLVQSSICEKMVHIIELNDTKENVDDHAPYLFECEKLDEEDGDEDMVFDF >PVH47629 pep chromosome:PHallii_v3.1:4:7520960:7522298:-1 gene:PAHAL_4G104700 transcript:PVH47629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIYMSQLSTALPLMEGDHHQDHHHHHHQGHFQTFTLPKDPPILFPFVISSSSSASDSSLSYGSADHHLMFRQQHQAMLEPQHMIGGSSSASVFATPFPTVESIRDDMIEPSSYDPYDMGRLQAAGSLEAAGSWTPPAKMRITRKATADPGAAKKPRRKAQGYEDMMSMGGQPNLGVIRVCSDCNTTKTPLWRSGPCGPKLLVCMVQSLCNACGIRQRKARRAMMASGGGSGPVPADGAKAATATPRDAMAAPAHPKVKKEKRVDVDRSLPFKKRCKLVQDHAGAAGPPPAAAHKAVVQPAAEVADDAGLSSRDLVDNIGLLSWSRNPAPPSAAASCSLFRASPGLPVQQDEITDAAMLLMTLSCGLVRS >PAN23610 pep chromosome:PHallii_v3.1:4:7520466:7522622:-1 gene:PAHAL_4G104700 transcript:PAN23610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIYMSQLSTALPLMEGDHHQDHHHHHHQGHFQTFTLPKDPPILFPFVISSSSSASDSSLSYGSADHHLMFRQQHQAMLEPQHMIGGSSSASVFATPFPTVESIRDDMIEPSSYDPYDMGRLQAAGSLEAAGSWTPPAKMRITRKATADPGAAKKPRRKAQGYEDMMSMGGQPNLGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGGGSGPVPADGAKAATATPRDAMAAPAHPKVKKEKRVDVDRSLPFKKRCKLVQDHAGAAGPPPAAAHKAVVQPAAEVADDAGLSSRDLVDNIGLLSWSRNPAPPSAAASCSLFRASPGLPVQQDEITDAAMLLMTLSCGLVRS >PAN22685 pep chromosome:PHallii_v3.1:4:2325736:2326654:-1 gene:PAHAL_4G036900 transcript:PAN22685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPLFLRPVARFAASVAVAGGPAAVAATILHRAGALPRNRGLERLVRDDALQDGRGHDCIASFVVGVMRCLC >PVH47746 pep chromosome:PHallii_v3.1:4:13515862:13516806:-1 gene:PAHAL_4G139800 transcript:PVH47746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDLAKWLYIVVHTHSDLCLSSLPEMQCLFAMAKKIKFSSIMSMLAHWQKMITGRGRIDITSLVTCITAHVGALDNAQVTYLPLMEAFQYRVGLEHFVQGHMMREGLDNSLFMCCPRYDRKIEIPCLKLSLYLVK >PAN24774 pep chromosome:PHallii_v3.1:4:44987033:44989010:1 gene:PAHAL_4G250700 transcript:PAN24774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTRSCTRCLASLVLPFLFFTSLLYLSTRQPVLDIAVREEAAGGRMRLIVDRAAAAVEANATVTITTDAVAQASGSSAVATEDGDGSFLTDAPPASGDANVTRDKVQVSVSDVAAVATEDGDAKVVTDAPPASGAAAGDEVRTDELRASDDAATAGSSANVDDDAVVFDFRPYVLVYKSGRVHRFHGTETVPPGVDALTGVASKDVAFGTDTGVSARLYLPPKSRRGEKKKKKLPVLLYFHGGAFVIESPSSPLYHAFLNILVHKAGAVAVSVNYRLAPEHPLPAAYDDAWAALQWTVSSCLSGPEPWLADHGDATRIFLAGDSAGGNIAHNLAVRAGARRPLPGGAAIAGVALLNPYFWGKEPVGAEPGERWARDGLEQTWALVCGGRFGIDDPHVNPLAAPGAWRAMAGERVLVTIAGRDNFRDRAAAYAEGLRRSGWRGEVETYVTEGEVHVHFVGNPRSEKAERETDKVAEFIAGGGRG >PVH48016 pep chromosome:PHallii_v3.1:4:40141384:40145472:1 gene:PAHAL_4G220600 transcript:PVH48016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRNKSTTEESVAYIKEKEDEMEQKRSLLLVLATLSTTVTYAAGLNPPGGFWPDNSASHLAGDPTLRDYYPRRFKAFMACNDTAFAGSLVIIVMLLSNTAADHVVESNALRLCVLISLFGLMAAYAAGSCREVHTSIYVFTLVGAVLLYLIIQWIAPIVPRPEFVSKCIKWAKAEKNKLILKLKSFLTDNTSTSSEQEMRLPLDQQQPSDHDIACTVCDVKDDIRKLRTYLLLLGILAATITYQSGLNPPGGFWLDNEDGHLAGDPILEAISPKRYNTFFYCNATAFVSSLVIITLLQSNLISVGALKRYVLQTAMIFDMFGMMGAYAAGSSRTFSTSLYVIILVILAFSYVMVQIVLFVCTRNSDESAQQMDDNPKPKDLEKRRKFLVLLAVLAASCTYQAGINPPGGFWTDNNDGHRAGYPMFHDEFPHRYMVFFYLNSTAFMSSLAVIMLLVSKRLCQRGINGYLLRGCMLLDLVSLMGAFAAGSCRKVSTSVYAILVVSIVFAYVMVQVLVLTFAKDKVSSFFEWVLSAAPFKSLHPSENRKQSIMVSRKPEHKWRKDLILIGTLAVSVTYQAGLLPPGGLWPDDRDGHFIGDPILHDTDPPRYKAFFYCNATAFMASVIIVILLLNSTISKYRRSILPMKTAMVLDLLALLGAYAAGSCRKLKTSIYVFALVIAVFMYIVIHILLSFDKMARSVKKTGEQWIPCLKKMWALIETEPPNHLPSSEEEP >PVH47775 pep chromosome:PHallii_v3.1:4:16627186:16627551:1 gene:PAHAL_4G148700 transcript:PVH47775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKEKRKWERKKKGREKKMERREGTTRAGGIRARDARTLPEENSEGRGKRVGANRSGGRGRSATRTVFARGEREKKGSRVGANHGRRSRVSGRPPSGAGRDSDPVRVRVLTSNTNYSSA >PAN22185 pep chromosome:PHallii_v3.1:4:135943:137453:-1 gene:PAHAL_4G002500 transcript:PAN22185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAAMPESESKTRQDAEDTRWLQTLSEPELGLLIGLKEVAMTRASNAGHPDLADKVFHVRALRALAFVLLQELKERLRQASVNTSMLERLSLLNDHDPEGVVRPSQDVMPMPNGINKKRKQMQDGCHGEDGQSPKRRKATREDWLIAGAAKPQVEAYCWN >PAN23320 pep chromosome:PHallii_v3.1:4:5766961:5774933:-1 gene:PAHAL_4G083700 transcript:PAN23320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYTNFLRGYYSHFPPSNPPSFPTSSYASSYLHPPPPSPPIREALPLLSSLTPSSSATNHHQHHDDVARDHKGCRRATSCSGQDAADQAAAGEVTVALHIGLPSPSPSESAAGGGDSREQAAEGSSSLQQQQGDGGHEGGGDEEDGDDGEDAAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDCFDDLDDDDRDPSSEVDHAAGGSTATATASATTTNTTSAATAAGAASSHRHHHWKPPPPSSRGSGSDRLL >PAN23319 pep chromosome:PHallii_v3.1:4:5766961:5774929:-1 gene:PAHAL_4G083700 transcript:PAN23319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYTNFLRGYYSHFPPSNPPSFPTSSYASSYLHPPPPSPPIREALPLLSSLTPSSSATNHHQHHDDVARDHKGCRRATSCSGQDAADQAAAGEVTVALHIGLPSPSPSESAAGGGDSREQAAEGSSSLQQQQGDGGHEGGGDEEDGDDGEDAAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDCFDDLDDDDRDPSSEVDHAAGGSTATATASATTTNTTSAATAAGAASSHRHHHWKPPPPSSRGSGSDRLL >PVH48261 pep chromosome:PHallii_v3.1:4:48218550:48219633:-1 gene:PAHAL_4G291400 transcript:PVH48261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTLTVLFGFYVVFCCLDLLGEAAAKTRTAESAGLPRLAAAALAPAVLATLSLTPLLLYAHVRALGHAASAGGAGAGGRFVARLLAKATLLVAALALLCGAAVRLGGAGGVLGLGGADDVGWQNEK >PVH48377 pep chromosome:PHallii_v3.1:4:50057576:50060803:1 gene:PAHAL_4G317600 transcript:PVH48377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFPDGIHVRLRSLVRGAYLYADEDGVGVSLSPRRASLNAAWRVHLVQRGDFHYVLLCSAAYGRYLALSPAMEPPPGLRGRRAVQRDYDEEDLHAVMWRAIGAGDAGDGVVVLRHRGFARSLRANGRYRRWHTCVTVDDDIGSFSERSTTMHWMVEEIPPRQAPPVLPTPKTPSIEPLRTIRYVRVNDHGHFNQHGWATFQFYGRSVYLLICRVLYLLDEPNFIGDEGNFSITVCVQAGIYGRRTPLVIDLPRCEEPMDIFVLTTGSPAFDGFRQRAGAGAVVDAETPHDASATPCSRSVRHSTVDAAGVVHACSLGSRRAARCNGLAGEKGEWNGRHVSLCCGPETVCEACYLGRYDRGGKPRARTEGCIVLGHGRCKKKK >PAN23450 pep chromosome:PHallii_v3.1:4:6420991:6424227:-1 gene:PAHAL_4G092400 transcript:PAN23450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPGSKESQNYDNNNQKVHPQPIDENMNQNGDSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQEADKLVIEELTKLSELKHAYREKNPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAVDNAYQSMHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYAFESYICQRMFSGFQEESFSIKDSNISVSNEAFFHQFLAVRAMDPLDVLSQNPDSIFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSEFSDIHMDSVVKNIILDESAERLKVGLMVMPGFLIGTSIIQSRVYLSGIKCAD >PAN22887 pep chromosome:PHallii_v3.1:4:3352196:3354288:-1 gene:PAHAL_4G051100 transcript:PAN22887 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MATRAAAATLTAAMSSLLRRSASLRPQGLRVPRRVPPRRFVRHIASSTNEEAAARAAAATADTGGPTIFDKIISKEIPSSVVYEDDKVLAFRDINPQAPVHVLVIPKVRDGLTGLSKAEPRHVEILGHLLYAAKVVAEKEGVANGYRVVINNGAEGCQSVYHLHLHVLGGRQMEWPAG >PVH48477 pep chromosome:PHallii_v3.1:4:51356713:51358803:1 gene:PAHAL_4G338000 transcript:PVH48477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEHYYVLDDDGCIGKQTIDPWKRLQLLVERVGAGAVAEHGVPHPLHQEPAPAGAVHVVRRAGPRVRQQLPRVRAAAACAVDALAEVGDLRRERRVRARVPRVGQRVGRQLRQLVRDDAHHPVHADVGVGRRLGPPPRHVRRDGERVRAHLRAPPRRVAHPRVRERVPHHGREGEVVEAADLPAEVLHQHLRRGEHEIHAAGVHGEGPGARGHGVADHRLDVHPRQPRAAGHARVRLLGAQRHRRRHVGAGLVRVQPHGPPPRRHGGARDPGLLRRRRSQGAEEGADGALLRRRPGAVPDRVHRDGRRQDGAHVVLQLHELRRPLELLGRAQPRRGRRAVAWRPRAPRRDGGRRGEEGRDEEHAEVPHLHCGARWPGGGGSGGLWRVSWPGDRDAL >PAN25958 pep chromosome:PHallii_v3.1:4:51295095:51295923:1 gene:PAHAL_4G336800 transcript:PAN25958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHERLLLVVAFEVEGAAPAKATENLSGQGSEDLISSACDPGGRNEAIADALADLARAQLIKHLLQGLGDHQRLTLDGSDDYRIELVGGGAPRLLLLLLRRRRLGQSGSHREQEKRGEEQGGGGGRFGGHFRSCLAC >PAN23873 pep chromosome:PHallii_v3.1:4:10620822:10621914:-1 gene:PAHAL_4G127200 transcript:PAN23873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDVQGSRRHQDAGVKVKFIETQFISSDAASFKAVVQRLTGKSPAALSRPAQAALAPQPQRPRPCRPNFAGAGQQQHQAAGWPEQHQAGAYLVAPAPKQEPLAAVAPSLEEMHELCDFADLFYTTTTAAAGARRDIAGSAFPY >PVH47529 pep chromosome:PHallii_v3.1:4:5526596:5527276:-1 gene:PAHAL_4G080900 transcript:PVH47529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMREEAARPTCPGQPRTTANLNGGAAPWPFSLVCSCACSVARPSSCPRQRDNFKFPIFRGYSSSIFGSRIPYLVRE >PAN22952 pep chromosome:PHallii_v3.1:4:3664123:3665930:-1 gene:PAHAL_4G055400 transcript:PAN22952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEHLTNSANPSSGFAFYGNEAMEEMKRLQGLRAETLKETCQSPESRDGAIRCPIPCKSSRWYRDRELRAAQDLSDFILSKASPPYFMGSPPVRATNPLVHDAQFCAWKVQGVDQSIGIPIPTKGYNARYCGTKGSITKA >PAN22951 pep chromosome:PHallii_v3.1:4:3664123:3667005:-1 gene:PAHAL_4G055400 transcript:PAN22951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEHLTNSANPSSGFAFYGNEAMEEMKRLQGLRAETLKETCQSPESRDGAIRCPIPCKSSRWYRDRELRAAQDLSDFILSKASPPYFMGSPPVRATNPLVHDAQFCAWKVQGVDQSIGIPIPTKGYNARYCGTKGSITKA >PVH48230 pep chromosome:PHallii_v3.1:4:47777420:47781230:-1 gene:PAHAL_4G285600 transcript:PVH48230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os06g0208800)] MLIQLASISPTRGSESHQPHRSLTSHTPRPPTLPHTSEFALHSAELRPRAEQSFSPSSAAEAQTLNPQAQMPRPAPAVAAAAALLAILAAAAPRGATAKTTIEPCSGADACPALLGYTLYADMKVSEVAALFGADPAAVLAANALDFASPGAANRILPAGTPLRVPTRCACADGVRKSVAVRYAARPADTLGSVADVVFAGLPSADQIRTANGLAAEDPDAPLNPGQQLVIPLPCVCFNSTDNNLPAVYLSYVVRVGDTVQSIAGSHATTVTDVSNVNAMGSPIVAPGDILAIPLPACASAFPNSALDSGLLVANGTYALTAGNCVECSCGPANLNLYCTPAPASLTASCSSMQCPNSSLILGNVTAQATSGGCSVSSCNYGGYVNGTIATSLSSGLQPTCPGPHQFPPLTALPTPANHVSYSPAAAPGPGEAGGAMPGGSNVSPAKGPGGSPSQAPAMNQPCRILLLSILCMVSSLWM >PAN22404 pep chromosome:PHallii_v3.1:4:1130709:1135725:-1 gene:PAHAL_4G017300 transcript:PAN22404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT2 [Source:Projected from Arabidopsis thaliana (AT2G45670) UniProtKB/Swiss-Prot;Acc:Q8S8S2] MASTSPSPASLSTPLLSGSIAPARAANGHANNHRHHHRDDSDAAASVCDAGGDPFAFLSEDRPPRDRGVSPADPFRNATPAWGGGVYAWARTLLLLPVAALRLALFGLSIAIGYAATWVALRGWADVQDRPREGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRDLAPIVVSNHVSYIEPIFFFYELFPTIVSSESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAEDTSYAMAHALNVLPTSYSYGDSMIMARAVEAGKANCSNYMVQMAWVKDMYGVNTAEAMELLENFLAMNPDSDGRVKAQEFWAHFGLDCSPLCKKIFHYFNSGITDSITFRQFLVGCAHLRKQPLFQGACETAFEKCRDPETSDISRGQLADVLRLSMLLPSDDGMLRLFKTFDVDGDEKISRDDFLTCLGRFPFLIAFFAAPINGEVYIEIV >PAN25612 pep chromosome:PHallii_v3.1:4:49754103:49757136:-1 gene:PAHAL_4G313700 transcript:PAN25612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKLSRAARRLLCCGGPASGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPFGSVYWGQVWDGSQIAVKRLKNSKNGTEVEFASEVEILGRIRHKNLLSFRGYCADGPERILVYDYMANSSLYAHLHGPLSAECLLDWRRRASIAIGAARALLYLHHHATPQIIHGSIKATNVLLDSDFQAHVGDFGLIKLIPDGMDHEKITSENQRGYLAPEYIMFGKPTAGCDVYSFGIILLELASGKRPIEKSGSVKTYGIRNWVLPLAKEGRYDEIADSKLSDKFSESELKRMVLVGLACTHSEPEKRPTILEVVPLLKGVSKETLLKLERDELFRPDSTMSSQGTSTPEGSTDSAPRKDQELGGA >PVH47232 pep chromosome:PHallii_v3.1:4:651887:663708:1 gene:PAHAL_4G009700 transcript:PVH47232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCHHQAIDGGVGTVVVTLLVVTMASVVQLAPAVRRPTTAPALYVFGDSILDVGNNNHLPGAGVPRANTPYYGVDFPGGARPTGRWSNGYSVADLIAQAAMGFERSPPAYLSLTPRSSRLVVRGLGGVSYASGGAGILDSTQVRNFGTTRAQMVASLGSTAANDVLSQSLFLIAIGTNDMAAFAITQQQQSDVAAFYGSLISNYSAAITELYGMGARKFGVINVGQIGCAPLQRAQSPTGACADGMNALAAGFNDALRSLLGRLGSDQQRLQGLAYSLGDLYGLMQATIADPRAAGLSNVDAACCGGGRLGAQSGCQPNSTLCADRRSYLFWDYGHPTQRGAELVATAFYDGPVRFTLPVNLKQLMLS >PVH47337 pep chromosome:PHallii_v3.1:4:2524314:2526171:-1 gene:PAHAL_4G040600 transcript:PVH47337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFLSGASRQQSGHPARRSRIWGSAEKEWKRRRRRRSERKMAMLWWKSLKKTLSCKSRESCDVIKREDSRGGSARGIKRSFLPPPPPRSGCSRSISNLRDVIHSQYGGSRRRAPAAVPSRCDSPRSIESSDVLNALTQDVLLAAGAPAGSGRDLRAGAGEAPGLAAWAIGGAAPLSPLLMRCSTSRLSRRSSPREVSPLRLRREAGAGNGDLGSPVPAWASCGVGVQCDRCGGLFSSNDALESHHLVYHAVTELVDGDTASKVVELIYKVGWPNPQVAMDRVERVVKVHNMDRSVDRFKEYMEEVKARAAQQPNKHPRCIADGNELLQFHGTTVSCPLGAGGSHSVCASGACNVCRIIRHGFSATRENRADGVGVGVFTTSTSKRALECLQETNAAAGGEAGAGGVTHALIVCRAVAGRIRRPLENPQDAAGQPGFDSVAGQVGADSSIEGLYLLNPSALLPCFVVICKA >PAN22300 pep chromosome:PHallii_v3.1:4:693941:696058:1 gene:PAHAL_4G010300 transcript:PAN22300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLLVAVAASLLLSAMLQVPPLASAASFQPTKFVRPPKFGDSQVRSQLLNKKLKDILKKHGSDAVDIAQNAVDFAQIFLTPPQSGDDPIPTDSAPAVSRQTAGLYLADLQVGTPDVQNISGVLDISSQLVGMRCDTSCTDCPQSTSYFLTQKSETFDFLSCADDKYCSYCSDEMNDRCGYTAEYSNSRMYTAFDNFTFDTTAVAMLFVCTEIDSAASNYSGASGVIGLGRGQLSLVSQLELSNFSYFLAPDVGDSDSDSQSQLQLGGVAVPQTGRVRTTPLYRSSLYTDPYYIRLTGIRVDGEELDGIPARTFDLRSDGSGGAFLSTTMPFTYLLSDAYAVVREAFASRISAQPLGQGNLDPLCYSKQSMTGVKFPKLTLVFEGEDAALELRTDNYFLSVGNDDDQGGSELVCLTVLPSTGASLLGSLLQTGTTMIYDLKGEQLTFVMMEEKEEEEGSYSPPQPSSISEAPAASLAMEVAVAVAVAVAVGLL >PAN25885 pep chromosome:PHallii_v3.1:4:50950179:50951334:1 gene:PAHAL_4G331700 transcript:PAN25885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSRASLDQKLAMAKRCSREATLAGTKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSYEDAPEHLKNTSFQGAGRPHPAFFRP >PAN26008 pep chromosome:PHallii_v3.1:4:51551593:51556602:-1 gene:PAHAL_4G341100 transcript:PAN26008 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDNLGHRENGRQRPDQFKAVHTQWMLPQLKDHHSMNLLALMNEKDSAIRERDHALAEKKAAIAERDMAFAQRDAAMAERNAAIVERDNALAALELARTNGFNMNNGNGFHQGPPLNGTKNIHHHDQLSHVQSSPLQLADSPYDHAREMHISEAYPITTAPGSIGKGKKPRKNNSQASPLKRPSGVLRKTKKAACGWKNGGMSGGGADSTRASVMKNEWKDQDLGLNQVPFDESTMPAPACSCTGELRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNRRHARMGGRKMSGSAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >PAN22683 pep chromosome:PHallii_v3.1:4:2311775:2316154:1 gene:PAHAL_4G036700 transcript:PAN22683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRYATAAAAATRASSSPSKRDADAASASSPLVASPRVGGGKDGPRPHQRWSLPPPVRSLLALEDPRSPAASTSYRILVAAIACFALAALFSAPSVWSRLNAPYLCRKEGIRLHCPRVSERDSLWENPHAAATSWKPCAERRSDEISDLVPENEASGFIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIKYLKDDVRIVRDIPDWFTEKEELFTSIKRTVKNIPKYASAQFYIDNVLPRIREKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPHIEEMADKLATRMRNRTVNLNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMASYRQQQWPRRYKNGSHLWPLALKKRKEGRCPLEPGEIGVILRAMGYTKETQIYVASGQVYGGNTRMAPLRNMFPNLVSKEDLASKEEMEPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVENVMITHQTRTGLPEPTFPHYDLWENPLTPCMCRA >PAN25242 pep chromosome:PHallii_v3.1:4:47835329:47838012:-1 gene:PAHAL_4G286200 transcript:PAN25242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENISEALSQAPLADLDRHIHITWRQSRPARSVPNSRESYRAAPALQVAKARMELEGCEGQADSTQQWGSKGKGLLQHPFCFLPNHSGQLASPGRGCLLCLCGLDRRPAARHVSVAGSTSNFQQTSRASARRNRYATLSLRIQKLLVECGYTQGEDFLHASFNSGRYRRINLSEFKTLLAPGVASFAIG >PVH47351 pep chromosome:PHallii_v3.1:4:2637629:2638022:-1 gene:PAHAL_4G042800 transcript:PVH47351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWQPSRRGSVQTPKARQERERSEAAMAELAADQHVRYIVTVGKILNWVHTVGVSGRLQLGAGPIDGDAGASSGVGWICTVLRR >PAN23115 pep chromosome:PHallii_v3.1:4:4544780:4548765:1 gene:PAHAL_4G068700 transcript:PAN23115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSRGRCLFVLLLVSASLCAHVSAAAADTTVLAAERTRRKDPLDRLRYYTGGWNISNRHYLASAGFSAAPAFVLAALWFVSVAAAALVACCCRCCRGVGSSYSYSRRVFAISLVLLIVFTAAAVIGCAVLYDGQRKFNGSTTATLDYVVSQADGAAATMRDFTGLLETAKSAGGGVASLPPDLARTIDDVARRVDAASDELAARTASNSRRIRTALDTIKKVLIGVAAVMLVLVFLGFVFSLTGFKSLVYTVVFLGWIIITATLILSGTFLLLHNVIGDTCAAMEEWVAQPQGRTALDDILPCADAAVTAEALRRSEEVNYQLVAKLNELVSNVSNRNVPPQAGPPLYYNQSGPPVPLLCNPYNADLTRRPCAAGEVTADNAQQVWQRFVCRTAAAPGSRSEVCATAGRLTPPMLSQMLTVASVSDGLRRQAPGLRDLASCATVRRAFQTIGERGCPPMRRDSSRVYQALLAASVAAMLAAVAWLAHSRERRRRRESERFRVSPYRLPIEEKVLLHSPRRPYRRV >PVH47465 pep chromosome:PHallii_v3.1:4:4544780:4547172:1 gene:PAHAL_4G068700 transcript:PVH47465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSRGRCLFVLLLVSASLCAHVSAAAADTTVLAAERTRRKDPLDRLRYYTGGWNISNRHYLASAGFSAAPAFVLAALWFVSVAAAALVACCCRCCRGVGSSYSYSRRVFAISLVLLIVFTAAAVIGCAVLYDGQRKFNGSTTATLDYVVSQADGAAATMRDFTGLLETAKSAGGGVASLPPDLARTIDDVARRVDAASDELAARTASNSRRIRTALDTIKKVLIGVAAVMLVLVFLGFVFSLTGFKSLVYTVVFLGWIIITATLILSGTFLLLHNVIGDTCAAMEEWVAQPQGRTALDDILPCADAAVTAEALRRSEEVNYQLVAKLNELVSNVSNRNVPPQAGPPLYYNQSGPPVPLLCNPYNADLTRRPCAAGEVTADNAQQVRTVRAKNRR >PVH48053 pep chromosome:PHallii_v3.1:4:41581797:41582156:1 gene:PAHAL_4G228600 transcript:PVH48053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLSASREGEQSLSPTKVVADVLAEKTKKSSFLKNIGIHNACSRPSIRSIEAQLEVEKRANGDLRAVVDAQREQLDLLSKQVKETEQGRIREQDEMKKKQAKMEAKLQLVLSQIKST >PVH48529 pep chromosome:PHallii_v3.1:4:52182352:52182739:1 gene:PAHAL_4G350300 transcript:PVH48529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIRRQWFEVPIAVDLRWFVAFAMGFKFEPQNFFCYFLFRIQGNCTQSITLCCIIK >PVH47402 pep chromosome:PHallii_v3.1:4:3546583:3548977:1 gene:PAHAL_4G053500 transcript:PVH47402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFPLLAMPPPFNTSHPTMPLSSLSSLLILRHHPPRSLPPTSNRPRPHLRLLLAPFTRCRAFACQPLAAATDDMAAAAAATSPPTLLEHMGQAGAAADLTVLVAHIQSACKRIAAVVASPGNAELSRAKAGGGAVAAGRDAPKPLDELSNDIILSSAKSSGKVAVLASEENDQPIWISDDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLVELDHLPLEERAQLNSLQSGTRLVAAGYVLYSSATILCISFGAGTHAFTLDWSTGEFILTHPSLQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKNRILSIQPVKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGYEV >PAN24936 pep chromosome:PHallii_v3.1:4:45990614:45994403:-1 gene:PAHAL_4G263700 transcript:PAN24936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAGSAPASTPGSIKTPKFKKRKVKANREKAATAAATVDQVASVGAGTAGGDASASAVLPQPSHVAEASPVAQMPESATIAEASTVTQTPKPATDAEGSGPAPKPATAEASASAARPKPKPADADAATAPAASKGKGVGADNRGGDGRMKSRKERARNGKGKEVEEDGGRNRKGKKAVGKKEERGDNKGAGFIFMCNAKTKQECYQNRLFGLPSGKIGMVKKIRPGAKLFLYDFDLKLLYGVYKAASNGGLNLVQEAFNGKFPAQVKFKIEKDCLPLPESSIKQAIKENYSARSKFDPELTSRQVHRLLVLFKPVNVPQSAPKNHREERRHYEERRQPYHYEERRPSLPIEAVRQPRFDEERRPAVIHVPLEDPYRAPRFAPLPVEPQLGHSLASGQGDHHRYYQSELAPEPRHIPLALEPRHVPLSLEHHHVPSMPELRHVPAAYYHNLAPSSDSYYRSLHNLVPERYADRTVADITTRDPIIPRDHTRLPGEISARADRLEDLYRTGGIAARGAHVEELYPPGEIAARADRVGISTRADRLEDLYRSDRLVTRAVDPLPRSTYHTAAYGTHPAYAETSTRPVSARVNGPGVPVSSLYSFSGAPEYR >PAN24937 pep chromosome:PHallii_v3.1:4:45990202:45994776:-1 gene:PAHAL_4G263700 transcript:PAN24937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAGSAPASTPGSIKTPKFKKRKVKANREKAATAAATVDQVASVGAGTAGGDASASAVLPQPSHVAEASPVAQMPESATIAEASTVTQTPKPATDAEGSGPAPKPATAEASASAARPKPKPADADAATAPAASKGKGVGADNRGGDGRMKSRKERARNGKGKEVEEDGGRNRKGKKAVGKKEERGDNKGAGFIFMCNAKTKQECYQNRLFGLPSGKIGMVKKIRPGAKLFLYDFDLKLLYGVYKAASNGGLNLVQEAFNGKFPAQVKFKIEKDCLPLPESSIKQAIKENYSARSKFDPELTSRQVHRLLVLFKPVNVPQSAPKNHREERRHYEERRQPYHYEERRPSLPIEAVRQPRFDEERRPAVIHVPLEDPYRAPRFAPLPVEPQLGHSLASGQGDHHRYYQSELAPEPRHIPLALEPRHVPLSLEHHHVPSMPELRHVPAAYYHNLAPSSDSYYRSLHNLVPERYADRTVADITTRDPIIPRDHTRLPGEISARADRLEDLYRTGGIAARGAHVEELYPPGEIAARADRVGISTRADRLEDLYRSDRLVTRAVDPLPRSTYHTAAYGTHPAYAETSTRPVSARVNGPGVPVSSLYSFSGAPEYR >PAN25627 pep chromosome:PHallii_v3.1:4:49810518:49812582:-1 gene:PAHAL_4G314400 transcript:PAN25627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAEAAKAAEQPAAAQEQQANGNGEQKTRHSEVGHKSLLKSDDLYQYILDTSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNMLIKLIGAKKTMEIGVYTGYSLLATALALPEDGTILAMDINRENYELGLPCIEKAGVAHKIDFREGPALPVLDDLIADEKNHGTFDFVFVDADKDNYLNYHERLLKLVKLGGLIGYDNTLWNGSVVLPDDAPMRKYIRYYRDFVLVLNKALAADERVEICQLPVGDGVTLCRRVK >PAN26238 pep chromosome:PHallii_v3.1:4:52639727:52640722:-1 gene:PAHAL_4G357300 transcript:PAN26238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQGQGNERHLIVLPLLCCLTRLSSTSSPTNPAVIFGVCLLHQFFLRSFFILLLDWSMVVLLKRYLNCMGAMDKNILLK >PAN25038 pep chromosome:PHallii_v3.1:4:46486173:46488500:1 gene:PAHAL_4G269900 transcript:PAN25038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVMPISAADMIYEEEVLRDPFKLGAWLRYLSARGAAPPAERAAIYERALRALPGSYKLWHAYLTELACSPSTTARTPPSTPPSSARWPRRLLTRARRALDRALRALPVTQHHRFDPARAEELVAFLVSAGRFREAAEQLAAAINDDGFRSAKGMTKRQLLLDLCYILAKHPDDVAGMPVEAILCSAVRKFPEDAGVLWTTLAGHYARKGIHNKARDVFEEGATAATTVKDFRVVFESYMHFEHAVVSAELDNGVVQEENSASVLQGCWLADKDDADLNMARLEWLIERRPELLNSVQLRQNPHDVQSWHDRVKIFHADPARQAATYAEAVRTVDPMQATGKPHTLWLAFAKMYEGCGLLDSAREVLRRATQVNFKSADDLAAVWCERTEMELRHQNSEAAVELIRLATSEPSPEVRARAAADARGEPAQTKLHRSLRLWCFYADLMEARGALEPACADLRPALATPVLVLTHAALLLEHKLFEDAFRVYQRGRYGASAPERVRDLFEDAVRRAPPRTKKAVFLRYAKFEEDFGLAARAMKLAVYDVYAARAAALFGALRTREVYHHAISGGGLPDTDARAMCVRFADLETDLGEVERARALYAYAAGFSDPGAHPEFWRRWGEFEVAHGDESTFREMLRLKRTMAAAGHAGVHATEIGTMKKRPCTGQQVEYRGTLQPECKRTRVAY >PAN22916 pep chromosome:PHallii_v3.1:4:3511214:3512627:1 gene:PAHAL_4G052900 transcript:PAN22916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNYFIFIVALIIFMQYDWADGASTSETVEYSLPNLVNSPTLPPYKDLIGGGGGGPSTRAANGVPPPPYCLYPPPAKPAMPAPLPPMASPLGAPPPADSPSIAPGISPPGASPPAGSPPPTFMPPSSSPPPPAFMPPVAFPTTPPGQARPGLWCVANPKAASAVVQTAMDYACGSGADCGMAAPGGPCYLPATLVKHASYAFNSYWQRTKAAGGTCDFAGAAMLVTRDPSYDGCRYVYM >PAN23420 pep chromosome:PHallii_v3.1:4:6254154:6255701:1 gene:PAHAL_4G089400 transcript:PAN23420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRTATGELAPVPPARDARGGGGGSSSAAASAAGGNSSSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNKDAAASSSSGEEPAPSDGAATTPTSTSTMTTAGSPSASATMEDDEEERIALQMIEELLGRSSPVSHSHGMLQAGEGSLVI >PAN25911 pep chromosome:PHallii_v3.1:4:51110410:51111972:-1 gene:PAHAL_4G333900 transcript:PAN25911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRDGGPAVIHPQPPPPPPPPLPSSSFAHFVPQYHPAMVPYAPQPAQLVYSPSSAAAAAKAEVRDVWAGNFEEELSNIAALLPYYPCVCVDTEFPGAVHDSGTPRYLRGPRESYALVKRNVDDLKLLQVGFALSGAAGRCPVAWQFNVRGFDPARDPHAPASIAMLRAQGMDFATLREFGVRPDDFAAGFYRCGLGCGQLTWAAFAGAYDFAYVAKVLTGGRPLPDTLDGFHALVQGLFGPKVLDVKHLARCCGHGGIRGGLEQVAAALGVKRAAGRAHCAGSDSLLTIDVLLAMVDRFFRNSSVLSHAGTIVDLA >PVH48555 pep chromosome:PHallii_v3.1:4:52587522:52595479:-1 gene:PAHAL_4G356800 transcript:PVH48555 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] METLKRKAPDGPAPAGNASPLKAPRADAAEPPARTTLAAAEPIACVHDVSYPEGCDAAASASRVLAGGAEGSEPAKKFPFPLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEIMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDGNKKKENGKWQKGIVAGKSSEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRSEDGDPEKLLRFSFYQFQADRALPDLEKQIKELESDRNSMVIEEEESLKDYYDLLQQYKSLKKDVRDIVLSPKHVLPFLQPGRLVRILCGTDESATFSIDENVSWGIIINFEKVKSNGEDRRPEDYDYRVDVLTRCSVSKDSSGKKTMKIIPLKQRGEPVVISLPLSQIDGLSSIRMYIPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMSTIKIFSESYQKNRSSGGLI >PAN26234 pep chromosome:PHallii_v3.1:4:52587974:52595118:-1 gene:PAHAL_4G356800 transcript:PAN26234 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] METLKRKAPDGPAPAGNASPLKAPRADAAEPPARTTLAAAEPIACVHDVSYPEGCDAAASASRVLAGGAEGSEPAKKFPFPLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEIMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDGNKKKENGKWQKGIVAGKSSEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRSEDGDPEKLLRFSFYQFQADRALPDLEKQIKELESDRNSMVIEEEESLKDYYDLLQQYKSLKKDVRDIVLSPKHVLPFLQPGRLVRILCGTDESATFSIDENVSWGIIINFEKVKSNGEDRRPEDYDYRVDVLTRCSVSKDSSGKKTMKIIPLKQRGEPVVISLPLSQIDGLSSIRMYIPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMSVQSKSFRKATRRIEALEGLFEKHDIHSSPHIQQKLKVLHAKQELSAKIKSIKKTMRSSSALAFKDELKARKRVLRRLGYATGDDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVSKIKRDIVFAASLYL >PVH48360 pep chromosome:PHallii_v3.1:4:49896616:49901199:1 gene:PAHAL_4G315500 transcript:PVH48360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAEEARRAKEAGNEAYSKSFLETAYQECVRDCDEAVKLGRELGADNKLIAKALSRKASALLELAGCAGDYAPAVRALQQSLAEHYSEETLDKLGKAERKRKELEEKERLGQAADHHRERAAVHYTKAMKMNPKDPKIFSNRAQCYIYLGALPQALEDAEKCIELDPTFLKGYVRKAKVQFLMEDYENAMATYQEGLRCDPNNLEVLDGLRRCEARIKRGHGGADLQYLKKMFGEFRTEDDLHKFQKATEQAAIFKKEASEERLRRIESERMEFLSRAQQELERFKIQHDDVAERLQKANEHNERLLGQLSESRGQYDWLLSEHDHLLHDRDRAVREVEELRQRRGQMHSALFTIEEGGFACVYRGILRNMSVAIKVLKPDCLQGRSQFEQEVTILSRVRHRHLVTLLGACSESSALVYEFLPNGSLEDFLVCADKRQTLLWQIRTRIFAEICSALIFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRLLIQFSTNNTTLYHTMHPVGTPLYMDPEFLATGELTPHSDVYSFGIVVLRLLTGKPPVGIKKIVEDAMMKDDLRSVVDPTAGEWPDVHVQQLAHLALSCTELSRRCRPDLSGEVWRVVEAMRDAATTTPSASSSRSVPDENCTSSYFICPISQKLALSGHDTSPMTNMRLEHDELIPNRALRSAIQEWLQQQNSA >PVH47960 pep chromosome:PHallii_v3.1:4:33714436:33715947:-1 gene:PAHAL_4G200300 transcript:PVH47960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRDLPLFNKAMLGKQGWRLMTRPDSLCAWLLKGRYFHGSDFLAATRKKHASQTWRAILAGREVLQKGLIRRAGNGQTTNIWRDKWIPNHPGGKLLTQQYDHQVMSTDLRTASGDWNVELIREVFCHFDTESILRINSRIVGEDFCSWEKEKHGMYSVRSAYRLLEEGRRQAEDTDVPGSSDDKDWKILWKFEVPPKVRVFWWRVLHGFLPARQVLYRRHIERIANWNRQKEAKHWRRPVIGWTKCNVDGAFQERDSTGATGIVLRNHAGEFIGGRASWQAHCPDVLAMEALACRDGLQLAQRLGVTKVCIKTNCLELTRLWEKLETQRSAIKLILLEIKQLCRSFDEFSQVFASRSCNRVAHECARQVSREQVVEWLYEPPTAICDLLVADCNLMI >PAN23877 pep chromosome:PHallii_v3.1:4:10832557:10835939:-1 gene:PAHAL_4G128200 transcript:PAN23877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIPLIKFPKRNLKAPSPSTPAASQPADQHATLMSRLGAKVEAPSSGEIKNYRFRSDVPSPPSHTAVGGPASLLPKRKPLTEEEIEAIMLGGSI >PAN23878 pep chromosome:PHallii_v3.1:4:10832169:10836127:-1 gene:PAHAL_4G128200 transcript:PAN23878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIPLIKFPKRNLKAPSPSTPASQPADQHATLMSRLGAKVEAPSSGEIKNYRFRSDVPSPPSHTAVGGPASLLPKRKPLTEEEIEAIMLGGSI >PAN25432 pep chromosome:PHallii_v3.1:4:48847432:48852048:1 gene:PAHAL_4G301000 transcript:PAN25432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQTDGSDARYVLSDLPSDIVIHVDGARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVVIQDIPGGVKTFEICAKFCYGMVVTLNAYNVVAARCAAEYLGMTEDVEKSNLIFKMEVFLNSGIFRSWKDSIIALQTTDALLPWSEELKLVGRCIDSIATKATVNPSNVMWSYTYNRKSASSDEIVEARKSSQAVPKDWWVEDLCELDVDLYRRVMVAVKSRGRVPSDVVGEALKAYAARWLPECCDTLVDDVYSESYKHLLETIVWLLPSDKGSSGISCRFFLKLLKATVLIGAGELLKEELMDRIVLQLHKASVHDLLIPSKPPAQTIYDIQLVQTLIGRYMRHAGVAEDGIFLNNLDQEMFETNVDNESLVALCKLVDRYLAEVASDPNLSVSSFVDLATSMPESARTTHDGLYTAIDIFLKLHPGLPKTEKRKICSLMDVKKLSKGACIHAAQNDRLPLRVVVQVLFFEQLRAAGAASAAPGPNGSMARCMARLAEEEEDDDWKDGRAQQEPPTPGALKKQLGSLKLAAADHGAGDDGRRLVARSSSVANQSSRLSLSSRSRRIFDKLWVGGAKLPGEGPAGGKGSSDTSGSSQSPRSSAKPLESKSSSSSSRNRRYSVS >PAN25629 pep chromosome:PHallii_v3.1:4:49837371:49841777:-1 gene:PAHAL_4G314600 transcript:PAN25629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQGFAGFGGGRGAVPVRDQGREAMALLQHQHHHQRRRQLEVEEEEEEEEEEEARRQMFAGVAAFPAAALGLGHGQQAYYGEEAGGLGDSDAGGSEPEPAQARQRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPPYLSGALEPAQASQMFAALGGANVTASSSGAVMPPVNQSSGAHQAFDPLNPPQNQPISFVLPSVPDKTIPERRFHLESSQSHLRTFRMPESSEMMLRGEIMAKHQLSSAQERVSLPGNDMNPIRQESSIVNADQFDGCSRNKE >PAN25630 pep chromosome:PHallii_v3.1:4:49838093:49841806:-1 gene:PAHAL_4G314600 transcript:PAN25630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQGFAGFGGGRGAVPVRDQGREAMALLQHQHHHQRRRQLEVEEEEEEEEEEEARRQMFAGVAAFPAAALGLGHGQQAYYGEEAGGLGDSDAGGSEPEPAQARQRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPPYLSGALEPAQASQMFAALGGANVTASSSGAVMPPVNQKRRFHLESSQSHLRTFRMPESSEMMLRGEIMAKHQLSSAQERVSLPGNDMNPIRQESSIVNADQFDGCSRNKE >PAN25631 pep chromosome:PHallii_v3.1:4:49838003:49841861:-1 gene:PAHAL_4G314600 transcript:PAN25631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQGFAGFGGGRGAVPVRDQGREAMALLQHQHHHQRRRQLEVEEEEEEEEEEEARRQMFAGVAAFPAAALGLGHGQQAYYGEEAGGLGDSDAGGSEPEPAQARQRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPPYLSGALEPAQASQMFAALGGANVTASSSGAVMPPVNQSSGAHQAFDPLNPPQNQPISFVLPSVPDKTIPERRFHLESSQSHLRTFRMPESSEMMLRGEIMAKHQLSSAQERVSLPGNDMNPIRQESSIVNADQFDGCSRNKE >PAN23493 pep chromosome:PHallii_v3.1:4:6780766:6782274:1 gene:PAHAL_4G096100 transcript:PAN23493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPPPPPPPQQHFLFVTSPMQGHINPARRLAARVMAANPDARVTFSTAVSGHRRMFPSLARPDDEAVDAAGVLHVPFSDGFDDGFSSATHGAGTYRARASAAGCETLSAVVSRLAARGWPVTRVVYTFLVPWVPDIARAHGVPAALFWIQPAAVFAVYYHYFHGHDAALAACANGADPGAAACLPGLPPLKPDALPSAVSITSPEHRHYLLLDLLRDLFLSLDEHKPMVLVNTFDALEPDALRAMPQFEVAAVGPVLPDDASFPSSADLLHRQDAKVPCMEWLDTKPARSVVYVSFGSVLSMSKRQEEEMRRGLEATGRPYLWVARTAADGGASPDPDPDSSSAGAGGLRGLVVEWCDQVRVLSHPAVGCFVTHCGWNSALESVTRGVPMVAVPQWTDQPTVAWLVEARMGAGARARVDGEGLVERGELRWCVEAVMGGGEAIRARCDRWRELAREAVATGGTSERNLRAFASAAAVVMSPPATSRHRDCCDNCASQHVI >PAN24886 pep chromosome:PHallii_v3.1:4:45625720:45627569:1 gene:PAHAL_4G259300 transcript:PAN24886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRHLLPLHRAASSLPSPIYHRAWLLSISTSTSTAPFSLEDYLVAACGLPPAQARKTAKKAFDESARCNKKAFEEHCKSRLKSASNPDAVLALLSGIGLSSADIADVIAADPLLLRASPKNIGPRLLALRDRLCLSTPQIARFLLIGSRALRCCDVGPRLEFFISFFGSFEQLLAIMKKNSGHILLGNVERVINPNIALLRQWGLSVRDIAQLCSRNSRLLTFSPKRVKECLLCAEELGVPRSSRMFKYVVSIVSNITKEKVAPKLKFLKSTLGCSESEVAIAVSKMPSILPLSEELLLRKIHFLIKEVGMEPQYIVERPVLLAHSLEKRLLPRHCVMKVLQAKGLLSSKMGFYTFAQIGEKTFKLKYIDCHTDSVPGLADAYAADRAGFVPSGNKFEHLKMFQSFSLDGVSATHVH >PAN23858 pep chromosome:PHallii_v3.1:4:10255688:10256473:1 gene:PAHAL_4G125200 transcript:PAN23858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTIAMVAAAVFLVCAIMAWTPEAGRMGQRGEVVAAAGVDGGGGSGNVRYWEQQQQEFIGRRPRLASFTRRDGVALPNGQVAAGGEDGGSKREVPSGPDPIHHPGAPSSAAPTTP >PAN23269 pep chromosome:PHallii_v3.1:4:5493410:5499358:-1 gene:PAHAL_4G080500 transcript:PAN23269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDQGGEDPPPRPQAEGEAPPAADVPMGEAAAVDDDDDEEPVTGEGGADGAAGTFDSVEGWVKPEAAGAAEGDGEELKGDAAADSVGEAEKLENGDEPVTVGGEKGGSPVGDAADGGDDKGVDGQNQAAENQIVLVPADEDLAMSKISNNSFMFDYSTGGDDSGTEEEQAAFMKELERFYREKMMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVASSTLADRIGSESQVGGSHISGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTIVLKKDKTPKSSGSAKRKRTPSLEDDRVVPYKSDKLQNDSMVIDMGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSKQ >PAN24711 pep chromosome:PHallii_v3.1:4:44526153:44533417:-1 gene:PAHAL_4G246800 transcript:PAN24711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSDDRETCLLQCARHPRAPRRHVTDNPAALPHGTHTASCIPLKPPSDTLRRLPEAPGPPAGTAANPFPAAMFSSYSAAAFALRAAKPSLHAHSSYSYSYLPSHHCHRDDADEHHRRHHHELLQQSPYLAPRILLDGCLLRHSAHLLLLSARLRPPPPTHPHPPRCCRRRASARCCCVGGGVRPVAGQVSWQVEARGCRCCGRGAERPDLAAVCRRLEAGRCLCGGSGGGRLLGAGCGRRDAPRLVGRAVRQEVWEYEGGEWPRRRYLTECHDDWEDEEDCDRGQLEVVRLVRRRREDDDDDDDDDVCRCRDCGRRKGLGSYYSGEDAYSGRRRERRDVDEDRRSFRDSDRRRRQQREYHDDEDDLDLRQQRQRWEGRDKRDFDFDDAVDTRSVQTRRYRGDGREYDQRRERRDFVSDDMVDVRRASRRAEDVRKSDRRKVSRNFEIDDKVDDWREGRRFSNDDYRHASRHTRSEHADGEDVSLMRSHRWNNEEIDYDEQDLAERRYYSGGRSQKSARATSFHEDDSNRASSLRSTVEARRARQEENSSSRVRLHDNVDRRTEQTYEERNRRHSVGRSNDERETYDYDDARFVRITDARTDTQDVKVITEDDTNLTSSSKNTSILKHSSNVDQQATVHKDESRKSSQKIMEISEVQDYQTERGSSSQNYHQEDRGNYIENRSSVQNSVKMASDSRRQVDQHNEVNQNLVSLTESSKNSENLINVTTDSSHNVSRASHSQRNYDEVNQTDIDDRSTSLQNIIHVTRDKKRIVNQQVIHETDIDVQNITHVDVSKIRASDTSTSRSSQSHSETKSDVNSTSNMSFINSTRSQEKEVYQNKISASNTAMVRGSQSHYGTGLYDQFHSTSSSNIADNRKASQEQVELNIASNAVVASTSGSHLQTRVDDQFQSTSDVNTIGIMKEQIDPAKIHSSDATVVSSSQGLVTRNGNQVCRTSAVHWPREKQGNNDQQITQVSSTERNGELGSKFSETSHDSRDRMVRSEDTHENMDLIWQQADTSGISDDKDITGLLLESTEQGSSMVTVDVAQGEAIMGRNGQEVRTETTAGIIMPSGSSSGQSVKESMLESAARLEKSSTFHVGRFVDELQKGVSDADTTSTKRHEKSIVEGTTRSSSRSRMKGPADEMWDVHSTTSQETFKTANKEEGSSADEATNSASQTPKNESALARKVHRSLWAYVADIIRLGWIQRGDSHDSSDKSIKKSSSSNSQSTEGWLSSQERDTDSTRKKNKAKDQQLIMSHSGESEPGVASKSKEEYFHTDTQGLQISETVIEPKVRRSEGDLLARSSKDDLHVSEERIKQSDVGESPEGNIIDDSTPTLIDVTKGHLPEHKTATSSRITTKGSGEFNTGKGMLADNSSVNISAMEAGRSGDGADWIYDPSSAITPYRHPQTQAVVPHESTSTSIHEPPALPVGGIRFEEKNVVQEAPEIIKTGGKDTELKRRNFQRNKQVLKETFDEWEEAYQRDAEQRKADELFMREALLEAQRAADIWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARIDTVVWGAPNKLLGADGSWVRLFPGDGQTSTLDSANQSQAAGPIHPFHPKITIRRGVLSAECSEIMQQFFQLRRRKKQKAQSPPRAHHQGHHHPVKFFSKMHHMFGTIFCL >PAN25601 pep chromosome:PHallii_v3.1:4:49708454:49709868:1 gene:PAHAL_4G313000 transcript:PAN25601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARALPLRSPHRADGLRAAATKPRQLPRGPPLLRARLCRNDCATPPPRLGSSSTDLAARLGSGPSPRLALELRPAAGGEAIPSRLPLSSLMAAAAWTAEATSASPWRKLLPRRTRSSGAARTSRGGRVCAARRKRRSRAARSARCGHGERKRKARRKRRRRVPASKTSHQGRLEVEEDRWAPPIIEVGGGREQGTIVVSKIAEAL >PAN23347 pep chromosome:PHallii_v3.1:4:5956954:5961741:-1 gene:PAHAL_4G086000 transcript:PAN23347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAGFGNFPSVHPKLLPSPFPSLISHTFPTRRGELSSWGGFGKPAAARNPSSGRRRAMAAAFRSGTRRRDAFAALEDAGSATRGRPAAGGGGGGSSVRRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGGGRGGLPPEISLDDLADEFFRARAESEDDDEEDVAVARGRSRYPAPAEKGAGGGRRSSTARYARETESSRQRERSVSRPPAERRGVAANAVNGGPAARRQRYASVDRRASMDRHRWCDSDNDMEVSHQYVSRGIHTKSSSGNSLQNSFSKSSKANHALKRSTSQKDFFHSRDSSSSHSSLTDDESRSSHSFYIRNQKAACAVYGLDKEHQIGDEAGNVLYDVMRKEVRQAVEEIRTQLEKTVTKSEPSEKVLSSDTQPTQVITELRRSYTNKLEESEKRKQELLAQLAAEEQHGHELTKIVRELLPTPKKTANPQRQPRHRRRSNDRSKMSKRLTEEAEQYFEDFLSNVEDTDFSSFDGEKSDTSSTRKDALHHAMTETPVAIPTVASHAEADGVVLPWLQWETTNDLQTSPCKTKPQGESMACSTSNQTVSSRGSWSPGDYATSTTSNDKLLTRFEEVGIRQSSCPHVAGTSSFHIDDYLHLRQSEDLLFEKWRQKQRIESGGLFLCSRPTIL >PAN23346 pep chromosome:PHallii_v3.1:4:5956632:5961844:-1 gene:PAHAL_4G086000 transcript:PAN23346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAGFGNFPSVHPKLLPSPFPSLISHTFPTRRGELSSWGGFGKPAAARNPSSGRRRAMAAAFRSGTRRRDAFAALEDAGSATRGRPAAGGGGGGSSVRRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGGGRGGLPPEISLDDLADEFFRARAESEDDDEEDVAVARGRSRYPAPAEKGAGGGRRSSTARYARETESSRQRERSVSRPPAERRGVAANAVNGGPAARRQRYASVDRRASMDRHRWCDSDNDMEVSHQYVSRGIHTKSSSGNSLQNSFSKSSKANHALKRSTSQKDFFHSRDSSSSHSSLTDDESRSSHSFYIRNQKAACAVYGLDKTVTKSEPSEKVLSSDTQPTQVITELRRSYTNKLEESEKRKQELLAQLAAEEQHGHELTKIVRELLPTPKKTANPQRQPRHRRRSNDRSKMSKRLTEEAEQYFEDFLSNVEDTDFSSFDGEKSDTSSTRKDALHHAMTETPVAIPTVASHAEADGVVLPWLQWETTNDLQTSPCKTKPQGESMACSTSNQTVSSRGSWSPGDYATSTTSNDKLLTRFEEVGIRQSSCPHVAGTSSFHIDDYLHLRQSEDLLFEKWRQKQRIESGGLFLCSRPTIL >PAN26045 pep chromosome:PHallii_v3.1:4:51706387:51709743:1 gene:PAHAL_4G343000 transcript:PAN26045 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MSRKEAEPAEGDGSSASGADEGGSGSGSGGELADALARRRLYREVTLALRSGLRDAKADFSFLRERGLRSLLGFLRSTASAADDARLLLFRHSQSIPDLQVVPVLFQNSLHQPKEDPVVTLDHIFGTEPMRITSPSTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPTEQGVCLDALISVMLESPSNQMDFEEYSGLEKVAELLKDVQVEKHIRLKCGEFLLLLIGHVYVKENSPIHEQMKNLFGEQCASLIWAASRFGSTLDAEQRQMTLQIQAMRVVESLEPY >PAN26137 pep chromosome:PHallii_v3.1:4:52156413:52157536:1 gene:PAHAL_4G350000 transcript:PAN26137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSPANSFPAFDIEKLVKLAGFYPHDFNFEEMNQLRFQLHLYIAGVRNDESFKILRNLSELSMMIVKRDMVSRYDIVCKLPVATAGVERIFFLMQ >PVH47366 pep chromosome:PHallii_v3.1:4:2810296:2811146:-1 gene:PAHAL_4G044100 transcript:PVH47366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLRSPARRPPAPRADERQRGGGSPHRFLRPGALARLRDSKVLARSLRCAARAPLPPPSSSSSPPRSPAPAAAAGDGVPCFLGPAARGMRYPLRKKLAAARGVVFLPPPEAAEAFFMDAFAPPPPSDLVAAR >PAN23779 pep chromosome:PHallii_v3.1:4:8989884:8990435:1 gene:PAHAL_4G116500 transcript:PAN23779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPSKGRQRIEIRLIKDADRLEVTFSKRKSGLLKKASELSLLCGAHVAFVVFSPGGRAFALGTPSVDHVLRRFDPLPGDEDDGDLLALLQDGDGVSATADRGAVEAIVRRTEETKARVAAEKVRMDAVGEKVRQAMIKAGGKFWWEADVEALGEAELPEFARALRRLRDNVQRHVDLLLASA >PAN23468 pep chromosome:PHallii_v3.1:4:6549261:6551789:-1 gene:PAHAL_4G094300 transcript:PAN23468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRVAVVVGGSVAGLACAHAVAGAGWDVVVLEKAAAPAAGGGTGAGLGLDAQSMETLARWIPGWEATLPLAVDLNRATDSVTKAARTLARDDGFNFLAAHWGDLHRRLHEALPPAVTVLWGHQFLSFEALSDAGGVVATARVLRTGDTVDVTGDLLVAADGSTSSIRRRFLPDLKLRYSGYCAWRGVFDFAGKESSDTMIGIRRAYPELGNCIYFDLAYKTHAVLYELPGSRLNWLWYINGPEPELTGSSVTMEATGAMVARMRDEAERVWCPELARLVRETAAPFVNVIYDADPLPPRPSWAGGRAVVLAGDAAHPTTPHGLRSTNMSIMDARALGRCLVRWGSEPTPARALAEYEAVRLPVVAAQVLHARRLGRIKQGLPVDGEAEGFDVRTATAEAALELRQRTMPYFGGVPTAEDGGF >PAN23492 pep chromosome:PHallii_v3.1:4:7028945:7029940:1 gene:PAHAL_4G099500 transcript:PAN23492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPRRRSERCESLGAPAPPPLLLRRLRGGGQLATDTPPLLLPRILSISDMRHAAPILGKSPPPRRIPTSTAASPQIQGAAPWESSRI >PVH47939 pep chromosome:PHallii_v3.1:4:31274960:31275709:-1 gene:PAHAL_4G193300 transcript:PVH47939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSLWPPIEVNIMGYRIMDTVEGAALEAIYRFCIQHPKEVAGKPIGLFSTTNPDEPEWNLRIVPESHRLDGPPEDALQGMMRFMNVQYHYHLLLRRELGRVIYVARGHYREADRQNTQVDQLQALVIQKDEIIAARDETIHHREDQINESDHIITQHDTVIEFLQAQIHDLILAVDDAQAQIKELQQPPIPPVAPAVPEAEEEDPEEIEGVSELDSEHGDPVLSSHHSSSGSQSSVGNLDDF >PVH48522 pep chromosome:PHallii_v3.1:4:52078667:52080161:1 gene:PAHAL_4G348800 transcript:PVH48522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQAEEDDQEDHALVSDSDGSYHYSDDEADTVQARYFHICSLTQVDHIRCYPRRYSRVIHFILSSFCFGEAKEMLNGIQRALIDQGCRSVRNFGRLEQADDLNTTLGRVYRKLLNVGRLFQQRLIDLDLPSSLSEFVQELALDGVHIQPPNVTNHVNAITIGLKAMIDSVSTAAGGYVQFAGPVDYTELNHLYYEEDKGPWMMRCCPPVLNGSEEEDKEGKEEEKQDFAETYVPYFDLDQLPDDEIDPEVHSWVSDSDDSCDQYDDDDNIMVQDILIRGLINLQYIRNFPKKYSHCQYYIIASFCFAGIPELLHGIREESEKLSWLWVGNMERLRQAYDIRATRGHICLDLEAIRKLLHCGRIDQVLVDSLAGSLMHLDFTSTRLQPHFIRYFVDNIATCLQDFLSAIPSDAAVVWAAEPPYLDDLAVLKSHLEFVTERVDALENQHVYYLKNIKRPELLSPLPGPAPLPFAYP >PAN23910 pep chromosome:PHallii_v3.1:4:11470283:11471286:1 gene:PAHAL_4G131700 transcript:PAN23910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPHDRWNSCPNALVFWKDHDQAMMLFSSDA >PAN25006 pep chromosome:PHallii_v3.1:4:46303919:46310315:-1 gene:PAHAL_4G267200 transcript:PAN25006 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MLTTGPFTQRVLLTIEEKHLPYNLKLVDLANKPDWLFEINPEGKVPIVKLEDKWIADSDVITQALEEKYPEPPLATPADKASIGSKIFSTFIGFLKSKDPSDGTEQALLDELTSFDSYLKDNGPFINGGAISAADLSLAPKLYHMEIALGHYKNWSVLDSLSHVKQYMKSIFSMDSFVKTRALQEDVIAGWRPKVMG >PAN25007 pep chromosome:PHallii_v3.1:4:46303919:46311314:-1 gene:PAHAL_4G267200 transcript:PAN25007 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MAVLLRGTSAAATTAAPSSALLATTFRRARGCGRLLPAAPRLRRAFAARASAQPLEVCAKESITVPGRLGDCPFTQRVLLTIEEKHLPYNLKLVDLANKPDWLFEINPEGKVPIVKLEDKWIADSDVITQALEEKYPEPPLATPADKASIGSKIFSTFIGFLKSKDPSDGTEQALLDELTSFDSYLKDNGPFINGGAISAADLSLAPKLYHMEIALGHYKNWSVLDSLSHVKQYMKSIFSMDSFVKTRALQEDVIAGWRPKVMG >PAN23456 pep chromosome:PHallii_v3.1:4:6453397:6456781:-1 gene:PAHAL_4G092900 transcript:PAN23456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAAAGYSPGWWWTAGVVAAGACLLLLLHVAARVADALWWRPRRLEAHFARQGVRGPPYRFLLGCVTEMVALMAEAAAKPMSPPDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVADPELVREILLTRADAFDRYEAHPVVRQLEGDGLVSLHDDKWALHRRVLTPAFFPDNLNRLAPHVGRSVAALAERWRAMASAAGGEVEVDVAEWFQAVAEEAITRATFGRSYDSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRLSWSLDREIRRGLVTLIGRRSDEAEEDEDAGLNDKGSNGFRDLLGLMINAGGGKKAPAIPVADMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREVLAVCGADELPSKEHLPKLKTLGMILNETLRLYPPAVATIRRAKRDVVLGGLSVPRDTELLIPIMAMHHDAALWGPDAARFDPGRFAGGAARAAAHPLAFVPFGLGPRMCIGQNLALLEAKLTLAVLLQRFQLARSPNYVHAPTVLMLLHPQYGAPVIFRPVVSPSERPAPGMAA >PAN23457 pep chromosome:PHallii_v3.1:4:6453494:6456606:-1 gene:PAHAL_4G092900 transcript:PAN23457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAAAGYSPGWWWTAGVVAAGACLLLLLHVAARVADALWWRPRRLEAHFARQGVRGPPYRFLLGCVTEMVALMAEAAAKPMSPPDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVADPELVREILLTRADAFDRYEAHPVVRQLEGDGLVSLHDDKWALHRRVLTPAFFPDNLNRLAPHVGRSVAALAERWRAMASAAGGEVEVDVAEWFQAVAEEAITRATFGRSYDSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRLSWSLDREIRRGLVTLIGRRSDEAEEDEDAGLNDKGSNGFRDLLGLMINAGGGKKAPAIPVADMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREVLAVCGADELPSKEHLPKLKTLGMILNETLRLYPPAVATIRRAKRDVVLGGLSVPRDTELLIPIMAMHHDAALWGPDAARFDPGRFAGGAARAAAHPLAFVPFGLGPRMCIGQNLALLEAKLTLAVLLQRFQLARSPNYVHAPTVLMLLHPQYGAPVIFRPVVSPSERPAPGMAA >PAN22379 pep chromosome:PHallii_v3.1:4:1018811:1022731:1 gene:PAHAL_4G015600 transcript:PAN22379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHLLKKQHSSASLGGSSGGPMPPKGCMAVRVVGPGPGGGELEEERFVVPVGYLKHPLFVALLKAAEEEYGFEQKGAITIPCGVDHFRRVQGIIHHQKHHHISGGGHHGHHNHHHHLNIAGCFRA >PVH47713 pep chromosome:PHallii_v3.1:4:10589115:10589699:1 gene:PAHAL_4G127000 transcript:PVH47713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSPTRHYGCSNPRCSCCNSNLVLTADFGKQSPIQEGFPGATGAIHKEKPAIVGINS >PAN24170 pep chromosome:PHallii_v3.1:4:25122928:25125746:-1 gene:PAHAL_4G175300 transcript:PAN24170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAYSRPSKPPGPATGDRRGPRLAKELGKIEPKKLGIGLVAGCCLALLTYLSFARLFAIYSPVFDSSALVLRNAPPATTTVPATETPPVQQKTEVEEQKDVTDPEADPNMTNLPEATSKDEQEDPAATKPAPAATEAKITCDENGVDKGFPYARPPVCELTGDIRISPKEKTMYFVNPSGSGAGPFDANGEKKIRPFARKDDFLLPGVVEVTIKSVSSPEAAPQCTRRHDVPVVVFSVAGYTDNFFHDNTDVLIPLFLTTAHLKGEVQLLITNFKPWWVHKFTPLLKMLSNYDVINFDKDEGVHCFRAGHLGMYRDRDLIISPHPTRNPHNYSMVDYNRFLRRAFALPRDAPAVLGEETGAKPKMLIIERKGTRKLLNLREVSAMCEQLGFAVTVAEAGADVRGFAERVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMRIHGQGWPAIAEIIMKQDVMVNMTRFKPFLLKALDELQE >PAN25135 pep chromosome:PHallii_v3.1:4:47286901:47291753:1 gene:PAHAL_4G278100 transcript:PAN25135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVFRRADLTAPRLDARPLIRAAPAERRRVTGRVDPESARERKARSPSGQCAPHLHGAARGRQKTAKHTGPHQEATTPALAGLGGSGRNIAGKSFSIYKQLATGRPNQQQPTAAAGAGGSRGEASAGGRARRGGGRASAMSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSETWPPASPGEFEPVPRLCRVVLANYDADLSSPKFAPQGRGYADVDPRGIVRRATYDHVGNRCPPYLIYVDEAHKEIVLAVRGLNLVRNADYKVLMDNKLGMQMFDGGYVHHGLLKAAQFILERETETLRDLLRRYGPEYKLIFTGHSLGSGIAALMTVLVVNNRKEFDNIPRNRIKCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFLICLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPVVRTAIPVEGRFEHVVLSCSTTSDHAIAWIERESQKALELMLESDNAMAPPPQQKMERLQSFEEEHKSALQRAKTLDVPHAADLSEEEILEDGSTAPPSDTHSETTMEPKSAGRTSWDALMEKLFTRDEDGKLVVKKDMAKEIVVE >PVH48213 pep chromosome:PHallii_v3.1:4:47287359:47289415:1 gene:PAHAL_4G278100 transcript:PVH48213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVFRRADLTAPRLDARPLIRAAPAERRRVTGRVDPESARERKARSPSGQCAPHLHGAARGRQKTAKHTGPHQEATTPALAGLGGSGRNIAGKSFSIYKQLATGRPNQQQPTAAAGAGGSRGEASAGGRARRGGGRASAMSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSETWPPASPGEFEPVPRLCRVVLANYDADLSSPKFAPQGRGYADVDPRGIVRRATYDHVGNRCPPYLIYVDEAHKEIVLAVRGLNLVRNADYKVLMDNKLGMQMFDGGYVHHGLLKAAQFILERETETLRDLLRRYGPEYKLIFTGHSLGSGIAALMTVLVVNNRKEFDNIPRNRIKCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFWPLYFGQFALLAIPHLLERYI >PAN25070 pep chromosome:PHallii_v3.1:4:46646506:46648431:1 gene:PAHAL_4G272400 transcript:PAN25070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIIERDLHMATGDGENSYTRNSRIQEKAMFQMKPVLEEATREVYKAVLPRTMVVADLGCSSGPNTLRFVSEVIGIIARSCKELGVKQHEYPQLQFFLNDLPGNDFNNLFELVDQFKKSTTGNRQGEAQPPACYISGLPGSFYARLLPCRSVHLFHSLFCLQWRSQAPGGLKGTGKTHQDKGDIYITKTMSPSVVKLFQQQFQKDFSLFLKLRYEELVFGGQIILTFIGRKHEDVFNGEPNHLYGLLAQSLQSLVDEGLLKKEKLDSFYLPIYSPSVGEVVAIVEQSGLFNMNHVKLFETNWDPYDDSESDVVQDSARSGVNVAKCIRAVTEPLIASHFGETILDTLFKEYAQRVAKHLEKEKTKHAVIVLSMKKEN >PVH47510 pep chromosome:PHallii_v3.1:4:5264252:5267571:1 gene:PAHAL_4G077300 transcript:PVH47510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAAPSVEPQDVSSGGSSSSDSDQKPGKGKGGSVPKAAATAQDAKRVTLHEEPQDVSSDDSMSSDSDDEASKGNGWNTFGLPNSSKAASTAEVAMSKKKKTGGVDFSALSRHGYRGGPSVLTVRPQEESNWSWSTGKNRDAKEDAPESYEERERTRAAVTEGEKLIGVQNAPPNQLLLEKDHKNASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >PVH47511 pep chromosome:PHallii_v3.1:4:5264252:5267571:1 gene:PAHAL_4G077300 transcript:PVH47511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAAPSVEPQDVSSGGSSSSDSDQKPGKGKGGSVPKAAATAQDAKRVTLHEEPQDVSSDDSMSSDSDDEASKGNGWNTFGLPNSSKAASTAEVAMSKKKKTGGVDFSALSRHGYRGGPSVLTVRPQEESNWSWSTGKNRDAKEDAPESYEERERTRAAVTEGEKLIGVQNAPPNQLLLEKDHKNASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >PVH47419 pep chromosome:PHallii_v3.1:4:3771192:3771551:1 gene:PAHAL_4G057200 transcript:PVH47419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSHERGPTSCSELPADMLVTVLEGLAESPSVTSAAPDGRSYPVPRSTAPPSPGGSGRASPTAGLDARVLADYLYHRVHLARRTHRAAAAASSCSSTGRRPPHYQLYSRGRATRTGLG >PVH48302 pep chromosome:PHallii_v3.1:4:49055898:49056184:1 gene:PAHAL_4G303600 transcript:PVH48302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFLGEGAASRGAPTSNILISIAKFSSSFCSICPAIGFLRCTSNSPLSCHRPDPPHAQHQLDERAEAW >PVH48455 pep chromosome:PHallii_v3.1:4:51069870:51074331:1 gene:PAHAL_4G333100 transcript:PVH48455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIMRLSLLPLLLLLLVATETTAASRCIVKERSVLLDLKATFKDPHGLLQSWRGLNCCSWYGVTCDNKTGHIIKLDLHNNNFSNEYALTGDISPSLVNLTHLEYFDLHGNDFGGASIPEFIGSLMNLRHLDLSFAGFGGKIPPRLGNLLKLNYLDISFPNGTFIVRSNSSVDNLLWLSRLSSLAFLDMSWWNLSAASDWLESLNMLTSIQELRLINTSIPPIELNSLSQPKFTVLNKIDLSDNNLNSTFPYWLTSKQTVSYISLGRCGLHGSIPEAVGNLTALTELLLYQNSLEGAIPVSIGRLCNLQVLDLSNNNLAGDIDNLGKATDGCLNQLYLMNLRNNNLSGSIPGWLGSLKELFSVDLSNNSLSGPVPSNIGQLKRLDKLDISYNFLQGVLSEEHLANLSELSTLVLSSNSLKISVGTNWLPPFQLFELSLHSCPLELQLPQWLRTQTKLHTLDLHDTGTIGPLPDWLWASLTSLVRLDLSNNLLTGTLPATLVHMKSLYYLKLDSNKLEGQVPNMPRSIRLLDLSRNSFSGPLPHSLGGNDSRFVFLSENSLNGSIPLYFCEMELLSIIDLSNNRLSGGLPNCWKHDTRLFMLDFSNNHLEGEIPSTIGSLNSLGSLNLNRNKLSGVLPFSLSSCSRLVLLDLGENQLEGSIPAWIGDNLQLLIILRLRSNQFSGNIPAELSLLQGLRVLDLANNNLSGPLPQSIGNFTKMASHQSKYSTSSFMTVTNGGYIVSINVSLYITMKGEERIYSRILYLMKSIDLSDNDLSGEIPVEIGALVELKNLNL >PAN22233 pep chromosome:PHallii_v3.1:4:262659:277076:1 gene:PAHAL_4G005100 transcript:PAN22233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGRRADMSSSSPSPSPAAPPSSGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTGQAIMRYPEIQAAVYTLRNIRGLPWPKDHEKKPDEKTTGKDLLDWLQAMFGFQKDNVSNQREHLVLLLANVHIRKIPKADQQPKLDDQALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKDAYPNRLNGENTSVGSVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIILAWNGGTPSDIFDAEVFKQVLSIFISAAVLKLGQAILDIVFSWKARRSMSFAVKLRYVLKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGNGQNQPSLYILTVVIYLAPNLLASMLFLFPFLRRFLESSNVKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMREPVRTFQWHEFFPHGSNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPVAFNERLIPSDANKSKGFRAAFSRKPKASGDEKEEEKRAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRMGSDPYFSFAIRECYASFKNIINTLVFGQREKIVIKEIFDVVDKHIAEETLIKDLNMRSLPALSKKFIDLLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEDEQLGGMLESIHGGNNRKHEGITPLDQQDQLFAKAIRFPMDESDAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRNMLPFSVLTPYYKEDVLFSSQNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKSEEELREDEGLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEPCAHDILRLMTTYPSLRVAYIDEVEARSQDRNKKVEKVYYSALVKASVTKANEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFEEKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGRKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPEKSWESWWDKEQEPLRHSGKRGTIVEILLALRFFIYQYGLVYHLNITKKITKDTHSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFTSIVIILIAIPGMTVLDIFVCILAFMPTGWGLLLIAQAIRPVIQKIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >PVH47859 pep chromosome:PHallii_v3.1:4:22955778:22956247:1 gene:PAHAL_4G169300 transcript:PVH47859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHVIEGAKSIGAGAATIALAGATVGIGNVLNSSIHSVVRNPSLAKQSFGYAILGFALTEAIALFAPMMAFLISFIF >PVH48050 pep chromosome:PHallii_v3.1:4:41375386:41375985:-1 gene:PAHAL_4G227200 transcript:PVH48050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVKEVALKANQSSKASTSSVSRPRSSKVEVEASSSDSSDDEDDSGSSDEIGDVALFMRKYKKRLKREGYMFTKRKFTNKKKRTCYKCESTEHLIAECPLNNEEEKRHKKKSYKREDKTRNKKKQSYSGQAHIGHEWDSHDESSSEEEGKKIATIAIKNKSSLPRLFTNLNDDEDSTTPFCLMAKGEKVKQSKLKT >PAN25513 pep chromosome:PHallii_v3.1:4:49377942:49381714:1 gene:PAHAL_4G307900 transcript:PAN25513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKEQASTKYADFEEKVKKTIYIDQLSPQVTTPVIKAALAQCANVVNVEFIVNYTIPYDIPSAALVELDDEVQAKAAVELMTDFPFIIGGMPRPVKATYAKAEMFRDRPPCPGLKKDFRWIKQGDGEYETMKKLKNLAKKQESENMALIKNLLDEEKELAKQQQEALDGNGKKYEMLEAVVSNGTIRKLAHHYGVSLDD >PAN25515 pep chromosome:PHallii_v3.1:4:49379213:49381684:1 gene:PAHAL_4G307900 transcript:PAN25515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIYHFYFSSLNFVMLLYTVLLKSVCVLLAQNSYKLGKVSVEPYSCRGCPSMETSKEQASTKYADFEEKVKKTIYIDQLSPQVTTPVIKAALAQCANVVNVEFIVNYTIPYDIPSAALVELDDEVQAKAAVELMTDFPFIIGGMPRPVKATYAKAEMFRDRPPCPGLKKDFRWIKQGDGEYETMKKLKNLAKKQESENMALIKNLLDEEKELAKQQQEALDGNGKKYEMLEAVVSNGTIRKLAHHYGVSLDD >PAN24702 pep chromosome:PHallii_v3.1:4:44487767:44492405:1 gene:PAHAL_4G246200 transcript:PAN24702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSQKAANRKSLSFVIMEACIGHCGHSKCSIMLWMLMIANLWIMCSCSTQKQVLPPGFSGSEMDYIDNNGIFLLSNGSVFGFGFVTNSVSDSASYLLAVVHLATTSIVWSANANSPVSHSDNFVFDKDGNAYLQSGGSTVWTANISGKGATSMQLLDSGNLIVFGKDSSSPLWQSFSHPTDTLLSGQSFIEGMTLVSRSNTQNMTYTLQIKSGDMLLYAGLQTPQPYWSALQDNRMIVDKNGNNIYSANLSSRAWSFYDQSGLLQSQLVIAQQGDANTTLAAVLGNDGLITFYILQTLNGKSTLPITVPQDSCDMPAHCKPYSICNSGTGCQCPSALSTYANCNPGIISPCNSKDKFQLAQLDTGVGYVGTNFKSPVPKTNLAGCKNSCMVNCSCIAVFFDQTSGNCFLFDQIGSLQQKDGGKSSFASFIKVSSSNRGSEQGGSDSRRLTIIVVIIVGTLVVIGVLVYVGFRIYRRRRHHPPLQDDAGSSEDDGFLQTISGAPTRYTYKELQDATNNFSDKLGQGGFGSVYLGILPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCVKGAHKLLAYEYMAKGSLDRWIFQSNQDSSLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKSYDPVESSEKAHFPSYAFKKLEEGDLRDIFDARLKYNDKDERLHVAIKVALWCIQEDFYQRPSMSKVVQMLEGVCDVPQPPISSQIGYRLYANAFKSSSEEGTSSGMSDNNSDALLSAVRLSGPR >PAN24703 pep chromosome:PHallii_v3.1:4:44488006:44492426:1 gene:PAHAL_4G246200 transcript:PAN24703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSQKAANRKSLSFVIMEACIGHCGHSKCSIMLWMLMIANLWIMCSCSTQKQVLPPGFSGSEMDYIDNNGIFLLSNGSVFGFGFVTNSVSDSASYLLAVVHLATTSIVWSANANSPVSHSDNFVFDKDGNAYLQSGGSTVWTANISGKGATSMQLLDSGNLIVFGKDSSSPLWQSFSHPTDTLLSGQSFIEGMTLVSRSNTQNMTYTLQIKSGDMLLYAGLQTPQPYWSALQDNRMIVDKNGNNIYSANLSSRAWSFYDQSGLLQSQLVIAQQGDANTTLAAVLGNDGLITFYILQTLNGKSTLPITVPQDSCDMPAHCKPYSICNSGTGCQCPSALSTYANCNPGIISPCNSKDKFQLAQLDTGVGYVGTNFKSPVPKTNLAGCKNSCMVNCSCIAVFFDQTSGNCFLFDQIGSLQQKDGGKSSFASFIKVSSSNRGSEQGGSDSRRLTIIVVIIVGTLVVIGVLVYVGFRIYRRRRHHPPLQDDAGSSEDDGFLQTISGAPTRYTYKELQDATNNFSDKLGQGGFGSVYLGILPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCVKGAHKLLAYEYMAKGSLDRWIFQSNQDSSLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKSYDPVESSEKAHFPSYAFKKLEEGDLRDIFDARLKYNDKDERLHVAIKVALWCIQEDFYQRPSMSKVVQMLEGVCDVPQPPISSQIGYRLYANAFKSSSEEGTSSGMSDNNSDALLSAVRLSGPR >PVH48094 pep chromosome:PHallii_v3.1:4:44487756:44492669:1 gene:PAHAL_4G246200 transcript:PVH48094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSQKAANRKSLSFVIMEACIGHCGHSKCSIMLWMLMIANLWIMCSCSTQKQVLPPGFSGSEMDYIDNNGIFLLSNGSVFGFGFVTNSVSDSASYLLAVVHLATTSIVWSANANSPVSHSDNFVFDKDGNAYLQSGGSTVWTANISGKGATSMQLLDSGNLIVFGKDSSSPLWQSFSHPTDTLLSGQSFIEGMTLVSRSNTQNMTYTLQIKSGDMLLYAGLQTPQPYWSALQDNRMIVDKNGNNIYSANLSSRAWSFYDQSGLLQSQLVIAQQGDANTTLAAVLGNDGLITFYILQTLNGKSTLPITVPQDSCDMPAHCKPYSICNSGTGCQCPSALSTYANCNPGIISPCNSKDKFQLAQLDTGVGYVGTNFKSPVPKTNLAGCKNSCMVNCSCIAVFFDQTSGNCFLFDQIGSLQQKDGGKSSFASFIKVSSSNRGSEQGGSDSRRLTIIVVIIVGTLVVIGVLVYVGFRIYRRRRHHPPLQDDAGSSEDDGFLQTISGAPTRYTYKELQDATNNFSDKLGQGGFGSVYLGILPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCVKGAHKLLAYEYMAKGSLDRWIFQSNQDSSLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKSYDPVESSEKAHFPSYAFKKLEEGDLRDIFDARLKYNDKDERLHVAIKVALWCIQEDFYQRPSMSKVVQMLEGVCDVPQPPISSQIGYRLYANAFKSSSEEGTSSGMSDNNSDALLSAVRLSGPR >PAN24704 pep chromosome:PHallii_v3.1:4:44487756:44492669:1 gene:PAHAL_4G246200 transcript:PAN24704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSQKAANRKSLSFVIMEACIGHCGHSKCSIMLWMLMIANLWIMCSCSTQKQVLPPGFSGSEMDYIDNNGIFLLSNGSVFGFGFVTNSVSDSASYLLAVVHLATTSIVWSANANSPVSHSDNFVFDKDGNAYLQSGGSTVWTANISGKGATSMQLLDSGNLIVFGKDSSSPLWQSFSHPTDTLLSGQSFIEGMTLVSRSNTQNMTYTLQIKSGDMLLYAGLQTPQPYWSALQDNRMIVDKNGNNIYSANLSSRAWSFYDQSGLLQSQLVIAQQGDANTTLAAVLGNDGLITFYILQTLNGKSTLPITVPQDSCDMPAHCKPYSICNSGTGCQCPSALSTYANCNPGIISPCNSKDKFQLAQLDTGVGYVGTNFKSPVPKTNLAGCKNSCMVNCSCIAVFFDQTSGNCFLFDQIGSLQQKDGGKSSFASFIKVSSSNRGSEQGGSDSRRLTIIVVIIVGTLVVIGVLVYVGFRIYRRRRHHPPLQDDAGSSEDDGFLQTISGAPTRYTYKELQDATNNFSDKLGQGGFGSVYLGILPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCVKGAHKLLAYEYMAKGSLDRWIFQSNQDSSLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKSYDPVESSEKAHFPSYAFKKLEEGDLRDIFDARLKYNDKDERLHVAIKVALWCIQEDFYQRPSMSKVVQMLEGVCDVPQPPISSQIGYRLYANAFKSSSEEGTSSGMSDNNSDALLSAVRLSGPR >PAN25396 pep chromosome:PHallii_v3.1:4:48697292:48701991:-1 gene:PAHAL_4G298500 transcript:PAN25396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLYVGNLDPRVTARELEDEFRTFGVLRSVWVARKPPGFAFIDFDDKRDAEDAIRDLDGKNGWRVELSRNASSGRGGRDRYGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAARSPRRRSVSPAPARGRSYSRSPQRARETSPYDNGYRRSRS >PAN25395 pep chromosome:PHallii_v3.1:4:48699002:48701493:-1 gene:PAHAL_4G298500 transcript:PAN25395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLYVGNLDPRVTARELEDEFRTFGVLRSVWVARKPPGFAFIDFDDKRDAEDAIRDLDGKNGWRVELSRNASSGRGGRDRYGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAARSPRRRSVSPAPARGRSYSRSPQRARETSPYDNGYRRSRS >PVH47385 pep chromosome:PHallii_v3.1:4:3142208:3142756:-1 gene:PAHAL_4G048600 transcript:PVH47385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLNSNFLSGKKTGRGYKEEPEKATNQQQEIRSQIQSQRNRRGYIRRSNPSNGCKTFAAQASSFHENKTRRAADSSLPRAARSLPPPFPARLPRRVPRRGERKPGQAAAACSNVAEGGTRPPGCIEGGRRLPRYSGGEEAGRRREVVAATSAGIHREGSLADFRIRCLQKPDFADLHRPPRIL >PAN24972 pep chromosome:PHallii_v3.1:4:46202153:46204809:1 gene:PAHAL_4G266000 transcript:PAN24972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISDFQDDEAPPRQQQQAAADVEEALAALLERCGGALPFLQAAIGVAHRRSGLFRDPSAVTKVTAMAAAARAEVEAEERAAREAKRKAEEAERKAAAEAEKAAKAAAAPAAEGKPESSAEKDSMEVDKKEEGNVRQPNAGNGLDLEKYSWTQQLPEVNISVPVPEGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELYKPVKVDDCFWSIEDGKSLNILLTKHNQMEWWKSVIKGDPEVDTQKVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQELLKKFMAEHPEMDFSGAKIA >PVH48309 pep chromosome:PHallii_v3.1:4:49234709:49239682:-1 gene:PAHAL_4G305000 transcript:PVH48309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGVGGVTASASAGDPSSPSARAWGEDEAAPGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEAAAGGGGVLVRYQLPGEDLDSLISVSGPEDYENMMEEYEKLAAAAPDGSAKLRVFLFPASGSEAGGSGSGSHHLAPAAVDESGQRYIDAINCVSAEAVAAAMRRKDSVASAGSSAHNSEASEYSGLVEGMSPRAGPLPPVAAEYSYSGGPQYHGGFPESVGFGAVTMSAPAMGIPAQNPILVRTEPSTVQPHQVAAAYATSQQPPQVASYVQQQQQPQVAQYVPHQQHQASAYVQQMPQSYTEPQHVHYINAQQFGVHGAPQSVNFVPVQMSQFMPSIPATSSMPTAVAQQVGTFRPVSAGAEPVQENVHFARQVQAPVDQSYRVLQTPLSQFPPLPSVHLQTSDAQRYGVQPVITSTTSTPVVTSSGTIPVVVSSATVPSLRYDDCTMCQKALPHAHSDNIIQERGNPRAVSNPEAVPMFYSLHQDSASNKSSPSASSGTPANYMAELRAGNTVGVMGQFESTLPARIPAVQVTASPDAGVQVQPTMVALPVSSAPTPNGVFVGHPPQAGAEDPSRYQQQPYSYSMQPPQVPVNGPQVIDASAYKNSNHPAAEPLREYARDLPNDFARAIDACMQGVHLGPIAPPESSVQGKPSIPHGAIDHAKVEKPLVNIDSSSIYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPAFDVGAQNVHPDIIQHPLNVPVQNNLRVPIEPPVSNEMVPVRPPYSGVQVPAGPPPQHPREMLGHLVSGPPNGGSKFPLQATAGIDRVEGTREPAYTDSLFSNQDPWKAVGNSSLVPPRPSKLAKEPVASGDQYMDGHIPDINTNAAALLEEGNLSHIRDPGFKDIHTVKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAVLSGDHIDSHGAVVDAKVQDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHENRIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRALMEQCWSAEPSERPSFTEIGKSLRAMAASPTKAQPQK >PAN25478 pep chromosome:PHallii_v3.1:4:49234242:49240180:-1 gene:PAHAL_4G305000 transcript:PAN25478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGVGGVTASASAGDPSSPSARAWGEDEAAPGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEAAAGGGGVLVRYQLPGEDLDSLISVSGPEDYENMMEEYEKLAAAAPDGSAKLRVFLFPASGSEAGGSGSGSHHLAPAAVDESGQRYIDAINCVSAEAVAAAMRRKDSVASAGSSAHNSEASEYSGLVEGMSPRAGPLPPVAAEYSYSGGPQYHGGFPESVGFGAVTMSAPAMGIPAQNPILVRTEPSTVQPHQVAAAYATSQQPPQVASYVQQQQQPQVAQYVPHQQHQASAYVQQMPQSYTEPQHVHYINAQQFGVHGAPQSVNFVPVQMSQFMPSIPATSSMPTAVAQQVGTFRPVSAGAEPVQENVHFARQVQAPVDQSYRVLQTPLSQFPPLPSVHLQTSDAQRYGVQPVITSTTSTPVVTSSGTIPVVVSSATVPSLRYDDCTMCQKALPHAHSDNIIQERGNPRAVSNPEAVPMFYSLHQDSASNKSSPSASSGTPANYMAELRAGNTVGVMGQFESTLPARIPAVQVTASPDAGVQVQPTMVALPVSSAPTPNGVFVGHPPQAGAEDPSRYQQQPYSYSMQPPQVPVNGPQVIDASAYKNSNHPAAEPLREYARDLPNDFARAIDACMQGVHLGPIAPPESSVQGKPSIPHGAIDHAKVEKPLVNIDSSSIYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPAFDVGAQNVHPDIIQHPLNVPVQNNLRVPIEPPVSNEMVPVRPPYSGVQVPAGPPPQHPREMLGHLVSGPPNGGSKFPLQATAGIDRVEGTREPAYTDSLFSNQDPWKAVGNSSLVPPRPSKLAKEPVASGDQYMDGHIPDINTNAAALLEEGNLSHIRDPGFKDIHTVKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAVLSGDHIDSHGAVVDAKVQDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRALMEQCWSAEPSERPSFTEIGKSLRAMAASPTKAQPQK >PVH48308 pep chromosome:PHallii_v3.1:4:49234709:49239682:-1 gene:PAHAL_4G305000 transcript:PVH48308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGVGGVTASASAGDPSSPSARAWGEDEAAPGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEAAAGGGGVLVRYQLPGEDLDSLISVSGPEDYENMMEEYEKLAAAAPDGSAKLRVFLFPASGSEAGGSGSGSHHLAPAAVDESGQRYIDAINCVSAEAVAAAMRRKDSVASAGSSAHNSEASEYSGLVEGMSPRAGPLPPVAAEYSYSGGPQYHGGFPESVGFGAVTMSAPAMGIPAQNPILVRTEPSTVQPHQVAAAYATSQQPPQVASYVQQQQQPQVAQYVPHQQHQASAYVQQMPQSYTEPQHVHYINAQQFGVHGAPQSVNFVPVQMSQFMPSIPATSSMPTAVAQQVGTFRPVSAGAEPVQENVHFARQVQAPVDQSYRVLQTPLSQFPPLPSVHLQTSDAQRYGVQPVITSTTSTPVVTSSGTIPVVVSSATVPSLRYDDCTMCQKALPHAHSDNIIQERGNPRAVSNPEAVPMFYSLHQDSASNKSSPSASSGTPANYMAELRAGNTVGVMGQFESTLPARIPAVQVTASPDAGVQVQPTMVALPVSSAPTPNGVFVGHPPQAGAEDPSRYQQQPYSYSMQPPQVPVNGPQVIDASAYKNSNHPAAEPLREYARDLPNDFARAIDACMQGVHLGPIAPPESSVQGKPSIPHGAIDHAKVEKPLVNIDSSSIYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPAFDVGAQNVHPDIIQHPLNVPVQNNLRVPIEPPVSNEMVPVRPPYSGVQVPAGPPPQHPREMLGHLVSGPPNGGSKFPLQATAGIDRVEGTREPAYTDSLFSNQDPWKAVGNSSLVPPRPSKLAKEPVASGDQYMDGHIPDINTNAAALLEEGNLSHIRDPGFKDIHTVKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAVLSGDHIDSHGAVVDAKVQDEGNNQSDKTSQGVQVLDDIDNLQHCELQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHENRIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRALMEQCWSAEPSERPSFTEIGKSLRAMAASPTKAQPQK >PAN25479 pep chromosome:PHallii_v3.1:4:49234242:49240180:-1 gene:PAHAL_4G305000 transcript:PAN25479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGVGGVTASASAGDPSSPSARAWGEDEAAPGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEAAAGGGGVLVRYQLPGEDLDSLISVSGPEDYENMMEEYEKLAAAAPDGSAKLRVFLFPASGSEAGGSGSGSHHLAPAAVDESGQRYIDAINCVSAEAVAAAMRRKDSVASAGSSAHNSEASEYSGLVEGMSPRAGPLPPVAAEYSYSGGPQYHGGFPESVGFGAVTMSAPAMGIPAQNPILVRTEPSTVQPHQVAAAYATSQQPPQVASYVQQQQQPQVAQYVPHQQHQASAYVQQMPQSYTEPQHVHYINAQQFGVHGAPQSVNFVPVQMSQFMPSIPATSSMPTAVAQQVGTFRPVSAGAEPVQENVHFARQVQAPVDQSYRVLQTPLSQFPPLPSVHLQTSDAQRYGVQPVITSTTSTPVVTSSGTIPVVVSSATVPSLRYDDCTMCQKALPHAHSDNIIQERGNPRAVSNPEAVPMFYSLHQDSASNKSSPSASSGTPANYMAELRAGNTVGVMGQFESTLPARIPAVQVTASPDAGVQVQPTMVALPVSSAPTPNGVFVGHPPQAGAEDPSRYQQQPYSYSMQPPQVPVNGPQVIDASAYKNSNHPAAEPLREYARDLPNDFARAIDACMQGVHLGPIAPPESSVQGKPSIPHGAIDHAKVEKPLVNIDSSSIYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPAFDVGAQNVHPDIIQHPLNVPVQNNLRVPIEPPVSNEMVPVRPPYSGVQVPAGPPPQHPREMLGHLVSGPPNGGSKFPLQATAGIDRVEGTREPAYTDSLFSNQDPWKAVGNSSLVPPRPSKLAKEPVASGDQYMDGHIPDINTNAAALLEEGNLSHIRDPGFKDIHTVKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAVLSGDHIDSHGAVVDAKVQDEGNNQSDKTSQGVQVLDDIDNLQHCELQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRALMEQCWSAEPSERPSFTEIGKSLRAMAASPTKAQPQK >PVH47946 pep chromosome:PHallii_v3.1:4:32296470:32298433:1 gene:PAHAL_4G195700 transcript:PVH47946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPHRAPPAPRTAAEPLPRAPVLPPCSWHCRLLLGAHKVFGVLPLRVCCFPVLWVFSVCVSVAVWLIVELGSRLRPSLGCFYWTSRPSLDEDSSASALAACDRGQLYLAAWQMFRAVVVQVMVIFHHAGFREQLRARQLLSSRRRRKRRSSRVVIQMIGTLLLQRQQQKGELSVVESQQLFRSVTGPAHPSLLRGPPPPPLRRSSRPHCQPVATPTPPAATARSGRKRPRAEPQKQQQPRQRQ >PAN23088 pep chromosome:PHallii_v3.1:4:4660428:4667728:-1 gene:PAHAL_4G069800 transcript:PAN23088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAFLPELATQVVVPVAAVVGIAFAVLQWVLVSKVKLSPEPRRGDGSSAKSGAGPSEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAVLIFVFLGSVEGFSTKSQPCHYSKGKTCKPALANALFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLVSSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEIQTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >PAN25186 pep chromosome:PHallii_v3.1:4:47592275:47594470:1 gene:PAHAL_4G282500 transcript:PAN25186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDQDKAIRLFHPFTGNVVDLPPLGNLVTHISQDLPGASHPVHRFYYLGDVCTSFSVSAAGVITVMLALGRMGCVAFATSQDQKWHLSTWTLSYYKSLSFQGKLYMVRMSFIPEENKDIFQVDPPQGDQGVGAGSSLPEPKLVATIPADKLTYPIFLTECDSQILVAGYTDRLYSHMQVHRLADLASEKLVPVTSIGDKALFINDRSLSVSSTAALPGVVGDTIVLPSRKDGSLIQYHLGIGTWSRPMDGCITTGPVFGPSCLIYHIYTCCRREYWNKGQLYNRRKACKWRVKRKWRVGV >PAN23588 pep chromosome:PHallii_v3.1:4:7388421:7398418:1 gene:PAHAL_4G102800 transcript:PAN23588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPAKRRHQPGGAHPTRRKVVEEPFHPAAPTPAAAAAAAPPSRLVGAIVEKGFTAAAPSFAPRPSVLPFPVARHRSHGPHWGPVAKGAGKDGDEEENDEMDTDETDYQQVAAAAAGPVRKKEKKGMDFSRWREFVGDASPKRQQGKPMQAKKQSELKIDAGAVTSNVGAASAGVRQLEGGAMQIDSGNAREGPGAAISVSDVVSKKPMNQAESRVELVKPGEVRNSALQGERMELDGGESSMEAEINAENMARLAGMSSGEIAEAQADIINKMNPALVEMLRRRGREKSGGTKGAGKDKGTENLGPQKAKRATPGDWLMAGEHNGRSWKAWSERVERIRSCRFTLDGDILGFQSSQGQQDGKKTHAENVAERDFLRTEGDPAAVGYTINEAVALTRSMVPGQRVLALQLLASILNRALQSLHKMDLLDNVKEMDLNDKFHDWQAVWAYALGPEPELVLSLRMALDDNHDSVVLSCAKVINAMLSFEFNESYFESSERAVDHGKDICTAPVFRSKPDLDGGFLQGGFWKYNTKPSNILPQYGDNDEDEGDEKHTIQDDVIVSGQDVAAGFIRMGILPRICFLLEMDPPPVLEDYLVSILVALARHSPQSADAILNCPRLIQSVTKLLSKQGSMEIRSSQIKGVTLLKVLSKYNRQTCLNFVNHGVFQQAMWHWYRKAGTLEDWVRSGMEQCKLSSAMMVEQLRFWRSCISYGFCVTHFADLFPVLCLWLSPPNKKLSEHNVLVEFSSVARESYLVLGALAQRLPLLHSVEQLAKQDVGVSASSYMETWSWSHVVPTVDLALSWLHLNDIPYVCSLVSGQNMNTKHMLEASYLILVVASVLGMLNSILERISPDATYDGKNYSLPWIPDFVPKIGLGIIGNGFFSISGTVAFGNLDHQSLCRTSLVQGLCYMRCHGNVDVSLSSISCLKRLMQLSWSVDRVIQGATKSCSEHLNESKTGAAGKLLGEGISSLWHDDLLHLLTSLLPMISSQWSILQNIEVFGRGGPAPGVGFGWGACGGGFWSLKCLLAQQDSQLVLELFKTFSSAPGLVTHNNGVNSDNVTNTAVTASDRISSSLGVSSIAGPGQISMMEKAFDILFEPSILKYLKSSIHKFASHMALPKPFEWDITEDEYLLFSSVLNSHFRSRWLSIKKKHSDKYAGNNNSTIVPKIPETLEAIQEETELTEAVNEPLSTLVVEWAHQRLPLPVHWILSAICCIDDPKGILLTSANYIIDVSRAGLIFLFGLEAISAAPCLHAPVIWKMHALSVSIRSSMDLLQEDRSRDIFHALQELYGQHLDMLCQKYYRSHSVKEDDSVGMANLEEGKEISRLEILRFEEKIHGSYTSFVESLVEQFAAVSYGDVIFGRQVVIYLHRMVEPSVRLAAWNALSNAYVLELLPALDKCIGNMEGYLEPLEADEKILESYAKSWTSGVLDKAAQRDSMSYTLAKHHLSGFVFQCRVSGKTLRYKVVKSLLRCYAQKRHHEAMLKSFVLQGIAQDPERSSNELDQRFEILKDACEMNSSLLAEVQRLKASLGQ >PAN23587 pep chromosome:PHallii_v3.1:4:7388421:7398418:1 gene:PAHAL_4G102800 transcript:PAN23587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPAKRRHQPGGAHPTRRKVVEEPFHPAAPTPAAAAAAAPPSRLVGAIVEKGFTAAAPSFAPRPSVLPFPVARHRSHGPHWGPVAKGAGKDGDEEENDEMDTDETDYQQVAAAAAGPVRKKEKKGMDFSRWREFVGDASPKRQQGKPMQAKKQSELKIDAGAVTSNVGAASAGVRQLEGGAMQIDSGNAREGPGAAISVSDVVSKKPMNQAESRVELVKPGEVRNSALQGERMELDGGESSMEAEINAENMARLAGMSSGEIAEAQADIINKMNPALVEMLRRRGREKSGGTKGAGKDKGTENLGPQKAKRATPGDWLMAGEHNGRSWKAWSERVERIRSCRFTLDGDILGFQSSQGQQDGKKTHAENVAERDFLRTEGDPAAVGYTINEAVALTRSMVPGQRVLALQLLASILNRALQSLHKMDLLDNVKEMDLNDKFHDWQAVWAYALGPEPELVLSLRMALDDNHDSVVLSCAKVINAMLSFEFNESYFESSERAVDHGKDICTAPVFRSKPDLDGGFLQGGFWKYNTKPSNILPQYGDNDEDEGDEKHTIQDDVIVSGQDVAAGFIRMGILPRICFLLEMDPPPVLEDYLVSILVALARHSPQSADAILNCPRLIQSVTKLLSKQGSMEIRSSQIKGVTLLKVLSKYNRQTCLNFVNHGVFQQAMWHWYRKAGTLEDWVRSGMEQCKLSSAMMVEQLRFWRSCISYGFCVTHFADLFPVLCLWLSPPNKKLSEHNVLVEFSSVARESYLVLGALAQRLPLLHSVEQLAKQDVGVSASSYMETWSWSHVVPTVDLALSWLHLNDIPYVCSLVSGQNMNTKHMLEASYLILVVASVLGMLNSILERISPDATYDGKNYSLPWIPDFVPKIGLGIIGNGFFSISGTVAFGNLDHQSLCRTSLVQGLCYMRCHGNVDVSLSSISCLKRLMQLSWSVDRVIQGATKSCSEHLNESKTGAAGKLLGEGISSLWHDDLLHLLTSLLPMISSQWSILQNIEVFGRGGPAPGVGFGWGACGGGFWSLKCLLAQQDSQLVLELFKTFSSAPGPGQISMMEKAFDILFEPSILKYLKSSIHKFASHMALPKPFEWDITEDEYLLFSSVLNSHFRSRWLSIKKKHSDKYAGNNNSTIVPKIPETLEAIQEETELTEAVNEPLSTLVVEWAHQRLPLPVHWILSAICCIDDPKGILLTSANYIIDVSRAGLIFLFGLEAISAAPCLHAPVIWKMHALSVSIRSSMDLLQEDRSRDIFHALQELYGQHLDMLCQKYYRSHSVKEDDSVGMANLEEGKEISRLEILRFEEKIHGSYTSFVESLVEQFAAVSYGDVIFGRQVVIYLHRMVEPSVRLAAWNALSNAYVLELLPALDKCIGNMEGYLEPLEADEKILESYAKSWTSGVLDKAAQRDSMSYTLAKHHLSGFVFQCRVSGKTLRYKVVKSLLRCYAQKRHHEAMLKSFVLQGIAQDPERSSNELDQRFEILKDACEMNSSLLAEVQRLKASLGQ >PVH47617 pep chromosome:PHallii_v3.1:4:7388421:7396144:1 gene:PAHAL_4G102800 transcript:PVH47617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPAKRRHQPGGAHPTRRKVVEEPFHPAAPTPAAAAAAAPPSRLVGAIVEKGFTAAAPSFAPRPSVLPFPVARHRSHGPHWGPVAKGAGKDGDEEENDEMDTDETDYQQVAAAAAGPVRKKEKKGMDFSRWREFVGDASPKRQQGKPMQAKKQSELKIDAGAVTSNVGAASAGVRQLEGGAMQIDSGNAREGPGAAISVSDVVSKKPMNQAESRVELVKPGEVRNSALQGERMELDGGESSMEAEINAENMARLAGMSSGEIAEAQADIINKMNPALVEMLRRRGREKSGGTKGAGKDKGTENLGPQKAKRATPGDWLMAGEHNGRSWKAWSERVERIRSCRFTLDGDILGFQSSQGQQDGKKTHAENVAERDFLRTEGDPAAVGYTINEAVALTRSMVPGQRVLALQLLASILNRALQSLHKMDLLDNVKEMDLNDKFHDWQAVWAYALGPEPELVLSLRMALDDNHDSVVLSCAKVINAMLSFEFNESYFESSERAVDHGKDICTAPVFRSKPDLDGGFLQGGFWKYNTKPSNILPQYGDNDEDEGDEKHTIQDDVIVSGQDVAAGFIRMGILPRICFLLEMDPPPVLEDYLVSILVALARHSPQSADAILNCPRLIQSVTKLLSKQGSMEIRSSQIKGVTLLKVLSKYNRQTCLNFVNHGVFQQAMWHWYRKAGTLEDWVRSGMEQCKLSSAMMVEQLRFWRSCISYGFCVTHFADLFPVLCLWLSPPNKKLSEHNVLVEFSSVARESYLVLGALAQRLPLLHSVEQLAKQDVGVSASSYMETWSWSHVVPTVDLALSWLHLNDIPYVCSLVSGQNMNTKHMLEASYLILVVASVLGMLNSILERISPDATYDGKNYSLPWIPDFVPKIGLGIIGNGFFSISGTVAFGNLDHQSLCRTSLVQGLCYMRCHGNVDVSLSSISCLKRLMQLSWSVDRVIQGATKSCSEHLNESKTGAAGKLLGEGISSLWHDDLLHLLTSLLPMISSQWSILQNIEVFGRGGPAPGVGFGWGACGGGFWSLKCLLAQQDSQLVLELFKTFSSAPGLVTHNNGVNSDNVTNTAVTASDRISSSLGVSSIAGPGQISMMEKAFDILFEPSILKYLKSSIHKFASHMALPKPFEWDITEDEYLLFSSVLNSHFRSRWLSIKKKHSDKYAGNNNSTIVPKIPETLEAIQEETELTEAVNEPLSTLVVEWAHQRLPLPVHWILSAICCIDDPKGILLTSANYIIDVSRAGLIFLFGLEAISAAPCLHAPVIWKMHALSVSIRSSMDLLQEDRSRDIFHALQELYGQHLDMLCQKYYRSHSVKEDDSVGMANLEEGKEISRLEILRFEEKIHGSYTSFVESLVEQFAAVSYGDVIFGRQVVIYLHRMVEPSVRLAAWNALSNAYVLELLPALDKCIGNMEGYLEPLEV >PVH47618 pep chromosome:PHallii_v3.1:4:7390563:7398418:1 gene:PAHAL_4G102800 transcript:PVH47618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLDNVKEMDLNDKFHDWQAVWAYALGPEPELVLSLRMALDDNHDSVVLSCAKVINAMLSFEFNESYFESSERAVDHGKDICTAPVFRSKPDLDGGFLQGGFWKYNTKPSNILPQYGDNDEDEGDEKHTIQDDVIVSGQDVAAGFIRMGILPRICFLLEMDPPPVLEDYLVSILVALARHSPQSADAILNCPRLIQSVTKLLSKQGSMEIRSSQIKGVTLLKVLSKYNRQTCLNFVNHGVFQQAMWHWYRKAGTLEDWVRSGMEQCKLSSAMMVEQLRFWRSCISYGFCVTHFADLFPVLCLWLSPPNKKLSEHNVLVEFSSVARESYLVLGALAQRLPLLHSVEQLAKQDVGVSASSYMETWSWSHVVPTVDLALSWLHLNDIPYVCSLVSGQNMNTKHMLEASYLILVVASVLGMLNSILERISPDATYDGKNYSLPWIPDFVPKIGLGIIGNGFFSISGTVAFGNLDHQSLCRTSLVQGLCYMRCHGNVDVSLSSISCLKRLMQLSWSVDRVIQGATKSCSEHLNESKTGAAGKLLGEGISSLWHDDLLHLLTSLLPMISSQWSILQNIEVFGRGGPAPGVGFGWGACGGGFWSLKCLLAQQDSQLVLELFKTFSSAPGLVTHNNGVNSDNVTNTAVTASDRISSSLGVSSIAGPGQISMMEKAFDILFEPSILKYLKSSIHKFASHMALPKPFEWDITEDEYLLFSSVLNSHFRSRWLSIKKKHSDKYAGNNNSTIVPKIPETLEAIQEETELTEAVNEPLSTLVVEWAHQRLPLPVHWILSAICCIDDPKGILLTSANYIIDVSRAGLIFLFGLEAISAAPCLHAPVIWKMHALSVSIRSSMDLLQEDRSRDIFHALQELYGQHLDMLCQKYYRSHSVKEDDSVGMANLEEGKEISRLEILRFEEKIHGSYTSFVESLVEQFAAVSYGDVIFGRQVVIYLHRMVEPSVRLAAWNALSNAYVLELLPALDKCIGNMEGYLEPLEADEKILESYAKSWTSGVLDKAAQRDSMSYTLAKHHLSGFVFQCRVSGKTLRYKVVKSLLRCYAQKRHHEAMLKSFVLQGIAQDPERSSNELDQRFEILKDACEMNSSLLAEVQRLKASLGQ >PAN25662 pep chromosome:PHallii_v3.1:4:49962836:49964063:1 gene:PAHAL_4G316500 transcript:PAN25662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVANTTSSKRCRLLLLAAAVALTILVPPVSAQWRPVRLRVYVQEVLDGPGQTEKLLLRGPGPANPSLWPPHNYFGDTVVMDDLVTEGPANDSAPVGRVYGIYMTASMSRPVYTVSFTLLLSTGPYKGSTLVMAGIDDDSMPVREHAVVGGTGALRGAQGYVLGVVMPVSSQYVVMELDVHASVPEPTNKAKAAASHLIMDL >PVH48552 pep chromosome:PHallii_v3.1:4:52536536:52538278:1 gene:PAHAL_4G356100 transcript:PVH48552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRQEGNSLLEDVVIVGGLLLAQCVLAAYVVFIDHLLGLGAHPLAVIVVAGVASAAFFLPFAIALERHEPVACHRTAAMVRSSKPAMPPYMGLLLLHFTFLILNTCVPRTDAVGDREDDAGSRLRSAMPNLSPGLIFIVAACFSRRIDRSTDRCDWHAVPILSKLLYDACVKYTQAKLVGTLVCLVGVMAMSFLHSPSSSSYPEAAGGGSSYDWILGCSYLVGAAVVLSLVTVLQLPGLLLIAVALERMDDRSGRDGGQLPGAAFTAVLQVILTWRGGWTWGRPRSMPSSSRASSSWAASWAGPASCSRRGAWARRGLCSCPCSGPCRPCARQSCRRLSWGR >PVH47395 pep chromosome:PHallii_v3.1:4:3350991:3351552:-1 gene:PAHAL_4G051000 transcript:PVH47395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGYATEQRRIRVVGYAQSCSECRSAWGQKQNGSVTRGGGHARDHADILRGSTNLGARLARSCSGFVSFAFRARQSYRCWRLSSSACSPPSFGRPESGWRRRTLCWCERVKLPA >PAN23499 pep chromosome:PHallii_v3.1:4:6808847:6809317:1 gene:PAHAL_4G096500 transcript:PAN23499 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBF1C [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/TrEMBL;Acc:A0A178VDH9] MPTGRLSSNITQDWEPVVLRRTKPKAADLKSAKAVNQALRSGAAVETVRKSAAGTNRHSAAPAAPARKLDETTEPAAVERVAAEVRAAIQRARVAKGWSQAELAKRISERAQVVQEYESGRAAPAQAVLAKMERVLEVKLRGKGVGAPLAAGGGGK >PAN24190 pep chromosome:PHallii_v3.1:4:22260900:22264909:1 gene:PAHAL_4G167000 transcript:PAN24190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARPPTPTPTPPPPARLRSLWSTLEDQRGAAVPLLSSAWTLPTTSGQEAERPKEGFLRRASAAVARWWGAACGAVAELWAFARADPRKPVFAGKVGVALALISLLVFLREPRDIVSHSIWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGAFALAVAELSKNLGELEEVILIMSILLVAFFTTLTKLHPKMKPYEYGFRVFLLTFCYVMVSGYNTGKFTDTATSRFILIAIGAAVSLGINIGVYPIWAGEDLHNLIAKNFTGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGPYKTMNYPWRSFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFAAEIEKVGQEGAKVLRELGDRVKTMTKLSSLDILFEVHMAAEELQKKIDEKSYLLVNTERWDASKQAQGIKEVLNGTSAVEKENRNKENKNEGVEPTNVDQTLAHQSKSFLGNSFLNRYDSTSTIDGFKLSWPPRRSFHPNLPLEDEDSKTYESASALSLATFASLLIEFVARLQNVVNAFNELSEKANFKDPVEEPTAVGTSDGGFFDKIRKLVGF >PAN25457 pep chromosome:PHallii_v3.1:4:48990423:48994796:-1 gene:PAHAL_4G302700 transcript:PAN25457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRSCSPSSWSSAGAAVSARAFANPPPPRSTSPPSRPTRRAMDSAAGAGRRRVRIAVVGDVHNDWALEEDSKALQFLQPDLVLFTGDYGNENVELVESIADLQLPKATILGNHDCWHTYQFSEKKVDRVRLQLASLGEQHVGYKCLDFPTIKLSVVGGRPFSCGGDRLFRPKLLSKWYGVNDMAGSAKKIYDAAAGSPEGHSVVLLAHNGPTGLGSRMDDICGRDWVPGAGDHGDPDLERAITDLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIALGANHTIYLNGAVVPRVRYAQATPSLEQNQPEGSVSAAPTSRAFTIADLSEGRVEKISEVWVLVSGARAELEEEIVLYEHPQEHI >PVH47582 pep chromosome:PHallii_v3.1:4:6473175:6474599:-1 gene:PAHAL_4G093200 transcript:PVH47582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLNFALGINMAGVAPRRQIGDGEAGSSNRLQNKKSEYVTERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIKDCPECGPRKPEARDSVFQRIGPAPLQQARVWSSQKEDEEEDKYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPKKEWRPKSSKADKKVSADAHMVFVLPAEFHARPQEELSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTVGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN24666 pep chromosome:PHallii_v3.1:4:43941782:43943366:1 gene:PAHAL_4G243100 transcript:PAN24666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQTKRPPHPHEPSRDTTSPPPTFLLVSRSRESQRMGATLLQAVAALVGRLQRAARRMAAGAGRGGNGKGSPPRAAAVAPWKKTFSLAPAGKAAREAEAGVWRKEILMGERCQPLDFSGVIYYDAEGRRLAQPPPPRSPMRSPLPASPRIAANARAY >PAN25598 pep chromosome:PHallii_v3.1:4:49695251:49704372:-1 gene:PAHAL_4G312800 transcript:PAN25598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAPASLVAVFLLVLALLLAIAAAQGPPLLPTNPSDAAALHAVFRQWRLEGDAAAEDPCEKGAWSWSFAVNASVDCDCSSGVECRITQLNVTGYRNITEIPPALFNLTELVSLDLSNNNLSGSVPREVGNLSKLETWHFNNNNLSGYFPHESSLLRNLKSLWMFDNYIEGPIPEFIQNLTNLTDLRLYGMKLQGPIPQNFSKLINLENLMLGDLEGNYTSFVFVENWANLSTLSLRKCGLTGQLLSPPRNLPKLKYLDLTSNNLSGSIKLLLQYKDSLNFIYVGNNSFSERLPPEIIQPSVPLDVSYNPFINGSLRSIPAGQKWPINYIGTSVDANGTINSGSLTILNCLRMQECNRNDLTNHATSFAINCGGKQTSYSDRMPTVFSEDSTDLGGAGFHVNTTSHWVVSHVGSDPFSKSPGIVRDILETDMLELYKTARTSTGALRYYVVGLANGKYTVQLFFAEIVIVDGPGRRVFDIDIQDRNIRKDFDIAKEAGGSRKPTNITHEVIVDNSILVMHLYWSGRGTCCIPYEGAYGPLVSAIKVTRFQDPKISPPQVPHSGSSRQDEKRRGIIAGIAALCIAAAVISSSVVYLWWKWVSLVKRAMA >PAN23099 pep chromosome:PHallii_v3.1:4:4410783:4418872:1 gene:PAHAL_4G067200 transcript:PAN23099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSAPPLLAFLPLLLLLAAFSPAATATAARSSNPKAPFPAVIPLHLQSLRQQRARAGSSAAKHVAAAAADGASASDGTAAKPFTAHYFPQELDHFTFTPNASMLFYQKYLVNDTFWRRPSGGKGAAAGPLFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSYKSAKTLGYLTSTQALADFAVLIRSLKHNLSAEDAPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILQFDYITPWSSFYDAVSQDYKSESLNCFSVIKATWDVLDERGANDKGLLELSKQFRACKTVKYADSIQNWLWTAFTYTAMVDYPTPANFLENLPAYPVKEMCKIIDGYPTNTDILEKAFAAASLYYNYTGNLTCNPIEGEDDPHGLGGWQWQACTEMIMPMTVSNESMFPPSSFSYDERSEECLESWGVRPRPHWVTTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSATKGDPDWVIEQRRQEVEIIQGWIDQYHQDMTEIYY >PVH47850 pep chromosome:PHallii_v3.1:4:21883356:21885007:-1 gene:PAHAL_4G166100 transcript:PVH47850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCFARCRFTRLMAAMQLVLGVFVIFVSMASLHRFYATNSLLPGLDDPALCAKFHTVAGASGYAGFDIRALADRVDDVLVQLAELQEKLEATALKIAKKSKKSKARHKQPENMTMPEFRHFLEDEVIHPLYSAHIALRLIRIPRPDPDGGDGDAAGPAVDPLVNFFTAEETRKYVTAKGNRDGLPSVYGTNRTYGTIGHACVLLRQELDEYMSYDVGSHCPDDWDLGQRLMLGGCDPLPRRRCLALASKFFQRPLPINESLWTLPDDGNVRWSRYHCRGYKCLSARNQRRGYDRCVGCFDMDRERQRWVAAAPNGTASSLADFRIDDVLAAKPGEVRIGLDVSVGTGSFAARMRERNVTIVSAALNLGAPFAETIALRGLVPLYATMSQRLPLFDNTMDLIHTAGFFDGWVDLQLLDFVLFDWDRVLRPGGLLWVDKFACARKDLDDYMYMFLQFRYKKHRWVVSFKSKDEVYLSALLEKPPRS >PAN25234 pep chromosome:PHallii_v3.1:4:47798918:47803215:1 gene:PAHAL_4G285800 transcript:PAN25234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILARSILRRCEIATSSPSPAPAPCLRSFMAAAAAAPPRCWWRPRARVRGDLPPSAPPDRPVRRPLCCQAAKTRASAGLFQTNFRLFHNNGSRNMASTTGIKGEPDNGEQDNGRGIGAHDDDEKKYARKKKKVTRDGMFLLCGEGPPGGELSQDGEYPSSEDEEFCCTCSDDVYPMAVLPYSTHRDGFIYRFGGGWGKDYCIADRDETRCDAMMLSNPKECMFSGATCYQHRPGRMLQIFSLKLAKCQVAEGGPVELYGYIAARDILDPLLNYVVNVNRDDPILVEQGSLIEITGPKRGIELNYATLIEYDMRIKTGKQEKDDLQLIDGVSLIDELSTYYIREPFTRRMHGDCGAVDITLVCVSDAVEATVEVVVSEVRSNFSLCVGCFTSGLDQEIRLFDGTISESRGLRRSVVAVAMDTCIDVKLRVGSGDKDAEHCCTFKATNHGYTSQLVKVKFAIILVKVTWSTLSMLP >PAN25917 pep chromosome:PHallii_v3.1:4:47798090:47803215:1 gene:PAHAL_4G285800 transcript:PAN25917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTGIKGEPDNGEQDNGRGIGAHDDDEKKYARKKKKVTRDGMFLLCGEGPPGGELSQDGEYPSSEDEEFCCTCSDDVYPMAVLPYSTHRDGFIYRFGGGWGKDYCIADRDETRCDAMMLSNPKECMFSGATCYQHRPGRMLQIFSLKLAKCQVAEGGPVELYGYIAARDILDPLLNYVVNVNRDDPILVEQGSLIEITGPKRGIELNYATLIEYDMRIKTGKQEKDDLQLIDGVSLIDELSTYYIREPFTRRMHGDCGAVDITLVCVSDAVEATVEVVVSEVRSNFSLCVGCFTSGLDQEIRLFDGTISESRGLRRSVVAVAMDTCIDVKLRVGSGDKDAEHCCTFKATNHGYTSQLVKVKFAIILVKVTWSTLSMLP >PAN23036 pep chromosome:PHallii_v3.1:4:4142952:4145970:1 gene:PAHAL_4G063500 transcript:PAN23036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETETVTMTTSAREERHGGGCCVHAATGVVLSSREEGGKGRLPRLVRFEELPDYLRDNEYIHAHYRCEWSIRDALRSVFAWHNETLNVWSHLGGFFLFLYLAVAKETGRVAAAAARAAPSIVTFVLTSANASWETRSGNSSLVASTDFSAVLASGSSGLGQAVPRWPRTVFLAGAMTCLAVSAAAHLLACHSRRFTRLFWQLDYAGIAVMIVASFFPPVYYAFLGDARTQLSYLSLITALGLLVVGLMLSPARSSPRLRHLRAGLFVCMALSGALPALHALALHWGHRECHLALALELVMGLVYAAGAGFYVSRVPERWRPGKFDCVGHSHQIFHVLVLVGAITHYAATDILINWREALAAVAAAGGAPALTL >PAN24921 pep chromosome:PHallii_v3.1:4:45828072:45831041:-1 gene:PAHAL_4G262500 transcript:PAN24921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGASMAMQSRAPGVGAGAGGRRSAFLGWEKQARAGSLRVGAGTAGAAAVAVRARGTKPVAPLRCVRASRGNESLHNSVDEALLLKRKSEEVLFHLNGRCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQAVGMPSVAEIFKVHSEAFFRENESSVLRDLSSMRRLVVATGGGAVIRPINWNYMNKGLSVWLDVPLDALAKRIAQVGTASRPLLDQPSDDPYTAAFTKLSMLAEQRGDAYANADARVSLEEIAAKQGHGDVSKLTPTDIAIEALLKIGNFVAEHPKTYGQVGNLQADSQSRRIQAL >PAN22698 pep chromosome:PHallii_v3.1:4:2392650:2403814:1 gene:PAHAL_4G038400 transcript:PAN22698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRAAKRPKLDRGAAPPQRGEDDYVPGNIVEVELCNFMTYDRLVCRPGPRLNLVVGPNGSGKSSLVCAIALGLAGDPNILGRASSVGAFVKRGEVAGHVKISLRGDTPDENICITRKIDTKNKSEWLLNGATVPKKEVIDVIKKFNIQVNNLTQFLPQDRVSEFAKLSPIQLLEETEKAVGDPDLPVQHRQLVERSKELKALEVALKQKERTLNNLKALNAEQEKDVERVRLRDNLLRKAELMKKKLPWLKFDMMRKEFTEVIQEQEKIAKRKMEEAARIWEDSKGPIDELKKHRAAHTSNIKKTSNQVNENMTNRRKVTDHELQLNAELKAAFDDIDYLKKQEKSRQQRILKAKEDLAAAEKELEDLQPYELPKAQMAQLTDQIARISVEIKNLKAERNSVESQLARENESMGRCSNRLMEMESKNSKLLQALQMIGADKITEAYHWVQDNKKNFRGEVYGPVLLEVNVEDKLHATYLENHVPNYIWKSFITQDASDRDYIFREMKKYGIPVLNYITDERVRRRPLNITPEMKQLGIYSRLDQVFRAPDAVKDVLINQAMLDDSYIGTDETHRRADEVSKLGISDFWTPDNHYRWSKSRYGGHMSAFVDAVNPSRLFKSNLDVSDIENLRLQKEKHERNIEGMLEVLKGVQRKQRQLEDNEANIHKQKEEIINMMMSQKKRQEEIKRRVDMRRRVLEDLCKEEDVESSTRKLVDQVANLKDKRFQAMIKLKDLLTEAVALKWSHTEKQMASIELDAKIWEMEKGVKKLEKDANLAAREYEDRKRITQEHKRKLVLAQQHAESITMITKDIEKEFDEMPRTVEELETAIQDTELEANSMLFLNQNVLQEYQNRQREIESISNKLKDDKDEYEICCSEIETVKGKWLPTLRTLVSKINDTFSRNFQEMAVAGEVSLDEHDLDFDQYGILIKVKFRQTSQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQINTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAKVWSAGDCWRTVMSAAGH >PVH48266 pep chromosome:PHallii_v3.1:4:48351819:48354837:1 gene:PAHAL_4G293800 transcript:PVH48266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRISQLGARLLREAKAETRAGNLLSSRGSLYQGHVSQHLTPVVTPTVQSSRNVLFSTATSGDKDESSQEKEKISVTFVNKDGSEKTISVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNDYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPAATRNFAVDGYVPKPH >PVH48267 pep chromosome:PHallii_v3.1:4:48351819:48354837:1 gene:PAHAL_4G293800 transcript:PVH48267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRISQLGARLLREAKAETRAGNLLSSRGSLYQGHVSQHLTPVVTPTVQSSRNVLFSTATSGDKDESSQEKEKISVTFVNKDGSEKTISVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNDYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPAATRNFAVDGYVPKPH >PVH47576 pep chromosome:PHallii_v3.1:4:6390517:6391633:-1 gene:PAHAL_4G091700 transcript:PVH47576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEHDAVPAIAADGAAPSAAASSSGIAAAAAAAVPASARGATSWAECSVDLKLGGQRSGAHCPSCAVDGCKADLSKCRGYRRRHKVCETHSKTPSSSSPAARCASVCSKYTQQFQPFSIHFSLLAKIHKHSFYKTDCR >PVH47575 pep chromosome:PHallii_v3.1:4:6388643:6391700:-1 gene:PAHAL_4G091700 transcript:PVH47575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFDARCTRLIVEVDRQQGYFCHFFIDLEHDAVPAIAADGAAPSAAASSSGIAAAAAAAVPASARGATSWAECSVDLKLGGQRSGAHCPSCAVDGCKADLSKCRGYRRRHKVCETHSKTPSSSSPAARCASVCSKYTQQFQPFSIHFSLLAKIHKHSFYKTDCR >PAN23275 pep chromosome:PHallii_v3.1:4:5521477:5524825:-1 gene:PAHAL_4G080800 transcript:PAN23275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) UniProtKB/Swiss-Prot;Acc:Q9SZM1] MASSLPLFLLLLLSGAGFCGGVRLGSGGYEDWRLGTATYVKEFQPHPLNDGGGACGYGDLDIFRYGRYTAGLSAALFGRGGACGGCYELRCVNHIQWCLRGSPTVVVTATDFCPANMGLADEAGGWCNFPREHLELSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGSAHFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVTAYSVASADWMFAQTFEGKQFVE >PVH48345 pep chromosome:PHallii_v3.1:4:49666641:49667045:1 gene:PAHAL_4G312000 transcript:PVH48345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDVKPPPQVASTDDQLRLAELQRAFACLEAPVVETCAFYGEIIAAIDAVAAQVRELRRLRAEGLGAELAAPACKVEWAFANLSVVVSRVAAMRGTAVEMGRICIARDGGGGSSEAADALADALRRIHICLSQ >PVH47728 pep chromosome:PHallii_v3.1:4:11219946:11222098:-1 gene:PAHAL_4G131000 transcript:PVH47728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLHLLLLLLAASLLCSTIPVACSSRTTFPSAATTTVNVTSFGKTYGKVCDADRFAELGLNMSAFPYCNASLPYAERVRDLIGWMTVEEKVGNLGDVSRGAPRVGLPPYKWWSEALHGLSSTGPTTLFDDLRSRPGNHSGRATVNNGTVFANVTNSAASFNETLWKSTGQAVSTEARAMYNLGKGGLTYWSPNINVVRDPRWGRALETPGEDPFVAGRYAVNFVRGMQDIPGHDDGAGDPDARPIKTAACCKHYAAYDVDDWHNHTRFTFDARVSKRDMAETFLRPFEMCVREGDASSVMCSYNRVNGVPAWADARLLSGTVRGDWQLHGYIVSDCDAVRIMTDNATWLNFTGADSSAAAIRAGLDLDCAESWIEEGGRPLRDFLSEYGIAAVAQGKMRESDIDSALRNQYMTLMRLGYFDNIPQYAALNERDICTGEHKSLALDGARQGMVLLKNHGDLLPLDPKKILAVAVHGPHARAPEKVMDGDYTGISKDVKISHRANTTIYFGGINLHIEREGNDREDIFLPKNQTEEILHFAAASPNPIILVILSGGGIDISFAQNHPKIGAVLWAGYPGGEGGNAIADVIFGRYNPG >PVH48174 pep chromosome:PHallii_v3.1:4:46300535:46301106:-1 gene:PAHAL_4G267100 transcript:PVH48174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGLPLVRLRRSTTTPGRRAAAPPLRDSAGGGVGRRRGYGGDEMDRRDRADHFTCRPHHVPAASFPAAPAAHGVLSCGRKPARASLRSSSIRPLLARHPRRDPIRHVEAPPRPRQGGTFWTRAGVAVDAAGARGRARPDAASRSGTAARHGRSLGTEDGGSSAAQPPPS >PVH47702 pep chromosome:PHallii_v3.1:4:9900110:9900786:1 gene:PAHAL_4G123400 transcript:PVH47702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRQIGHFRWPDAKPLLDAVLVEDVPALRRLLRRSSHRRRPQSILPRQTASPSQTPPCGCCRWQAMGGGPLQRSTFLVAVDVKPQYSPFEKSGGAQTETDGETEDGGQPAEKNDGDAAVIPEANGRDSCDGDPSEDDEEVEHLDAPVEDCLMASHSVVAACR >PAN22824 pep chromosome:PHallii_v3.1:4:3057221:3057526:1 gene:PAHAL_4G047000 transcript:PAN22824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVPQADHPESIEPPRLDHVQRRHLRAGRILVAGGWLVLTYTTNSGRRGNAEHAIAGLALLLLGVFLVVLSPVARQFPGAARPGAAAADTVLFYFFAAGN >PAN25310 pep chromosome:PHallii_v3.1:4:48228149:48229740:-1 gene:PAHAL_4G291600 transcript:PAN25310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLNDAPRFALCVALGISLIIKPLLESLEGATPPSPALHAAVAVVLVLLPFAYLASIVLLQLRLAPQTPAPARRFACLACTMASALLVVLAVPLVAF >PAN23116 pep chromosome:PHallii_v3.1:4:4550035:4551066:-1 gene:PAHAL_4G068800 transcript:PAN23116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWTTSKLTLLATILLAVLLLLPSAAAAVAKAIDASKTQRLELPDTLAIGPESVAFDGHGAGPYVSVSDGRILKYGGEGAGWTTFAYSPSYTKNNCDAFSDLPPVATESSCGRPLGLRFHVDSGNLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDIDQVTGDVYFTDSSTTYTRAQHQMVTRTGDSTGRIMKYDPRTDQVTVLQSGVTYPNGIAISADRTHLIVALTGPCKLMRYWIRGPKANTSEPFADLPGYPDNVRPDGKGGFWVALHREKYELPFGLDRHLLAIRIGANGEKLQEMNGHKNVRPTEAVEREDGKIYLGSVELSYVSVVST >PAN23704 pep chromosome:PHallii_v3.1:4:8209655:8213503:-1 gene:PAHAL_4G111100 transcript:PAN23704 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol oxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G14520) TAIR;Acc:AT1G14520] MTITIEQPQLDAVAAERKVPAGGDPAELVLDGGFAVPDANAFGNTFRDYDAESERKKTVEEFYRVNHIRQTHEFVSRMRAEYGRLDKTEMGIWDCIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYHNPKFNNKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYTHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMSLIDKYFPAKLRW >PAN23705 pep chromosome:PHallii_v3.1:4:8209655:8212499:-1 gene:PAHAL_4G111100 transcript:PAN23705 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol oxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G14520) TAIR;Acc:AT1G14520] MRAEYGRLDKTEMGIWDCIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYHNPKFNNKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYTHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMSLIDKYFPAKLRW >PVH48229 pep chromosome:PHallii_v3.1:4:47732056:47733549:1 gene:PAHAL_4G285000 transcript:PVH48229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKPKMVKQDSSSSNEEDDHLESSSDIEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSNTKVCEVDSEDDSDEEFDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPCLEKVVNEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSQEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFS >PAN23145 pep chromosome:PHallii_v3.1:4:4719346:4723456:1 gene:PAHAL_4G071000 transcript:PAN23145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSAVMAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYVGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGDDNKPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPDELFETVSQALMSSVDRDCLSGWGGYVLIVTPTEVREHVVKGRMD >PVH47612 pep chromosome:PHallii_v3.1:4:7313537:7313752:1 gene:PAHAL_4G101800 transcript:PVH47612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSACQQPGTYVYILPLSTQRLRSNRFIYRHGEACLIFVVLYMCCYVKYVEAILRLPRCFIQCDIGIKMIC >PAN24202 pep chromosome:PHallii_v3.1:4:21252117:21257931:1 gene:PAHAL_4G164300 transcript:PAN24202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEGGRGAAGALKKSWRSELVLAYQSLGVVYGEVVTSPLYVYKSAFAGGDIEHSEGNEEIYGVLSLVFWTVTLITLLKYVLVVLPADDDGEGGTFALYSLICRRVGAGLLPGAGAGDDLKEQRDGRVRTALQQRRELQWLLRLFALLGTSMVIGDGVLTPAVSVLSAVSGLKLSMVDEHHQYVLLPVTCAILVGLFALQHYGTHRVGFLFAPIICLWLLCISIIGVYNIIYWNPHVYKALSPYYMYRFLQKTQFGGWMSLGGILLCVTGSEAMCADLGHFSQSSIKLAFTMVVYPSLILAYMGQAAYISRHHSFEKNHHIGFYVSVPEKIRWPVLGIAILAAVVGSQAVITGTFSVIKQCCSLNCFPRVKIVHTSSTVHGQIYIPEINWILMLLCLALTIGFRDTKHMANAQGLAVITVMIVTTCLMSLVIILCWNKNIVFALAFLLFFGAIEAIYFSASLVKFHEGAWVPIILSFIFLMVMCVWHYGTAKKYEFDVDNKVSISWLLNLGPSLGIVRVRGIGLIHTELISGIPAIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGLKQYRLYRVVVRYGYRDVQLDSLEFEKALVSSIAEFIRSGDSDQNCYLDGSDSPYEKLSVISKGLPFQEEDGEPEGSPKSSTFKETNPKLVSSKTRRVRFVLPENAQMNSEVRSELQELTEAREAGMSFIMGRSYMKAKSGSSLIKRIAINFIYQFLMRNSRGPAYAANVPHVSTLEVGMVCQV >PAN24200 pep chromosome:PHallii_v3.1:4:21252117:21257931:1 gene:PAHAL_4G164300 transcript:PAN24200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGDGVLTPAVSVLSAVSGLKLSMVDEHHQYVLLPVTCAILVGLFALQHYGTHRVGFLFAPIICLWLLCISIIGVYNIIYWNPHVYKALSPYYMYRFLQKTQFGGWMSLGGILLCVTGSEAMCADLGHFSQSSIKLAFTMVVYPSLILAYMGQAAYISRHHSFEKNHHIGFYVSVPEKIRWPVLGIAILAAVVGSQAVITGTFSVIKQCCSLNCFPRVKIVHTSSTVHGQIYIPEINWILMLLCLALTIGFRDTKHMANAQGLAVITVMIVTTCLMSLVIILCWNKNIVFALAFLLFFGAIEAIYFSASLVKFHEGAWVPIILSFIFLMVMCVWHYGTAKKYEFDVDNKVSISWLLNLGPSLGIVRVRGIGLIHTELISGIPAIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGLKQYRLYRVVVRYGYRDVQLDSLEFEKALVSSIAEFIRSGDSDQNCYLDGSDSPYEKLSVISKGLPFQEEDGEPEGSPKSSTFKETNPKLVSSKTRRVRFVLPENAQMNSEVRSELQELTEAREAGMSFIMGRSYMKAKSGSSLIKRIAINFIYQFLMRNSRGPAYAANVPHVSTLEVGMVCQV >PAN26213 pep chromosome:PHallii_v3.1:4:52528028:52535716:1 gene:PAHAL_4G356000 transcript:PAN26213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSTTPHPLDSTAAPGKEEARPMRLRQVAAPMALPLGDDEDEGPRRVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKALQVVLPQQASHIMEQGCGTSMDTATVATDLSANDALPSWENDPVQHLSPRHTQDATGSGATNNYSSSIGSQSGTWPTSEATEQDIMLPALRAMPDFARVYSFLGSIFDPETNGHLQQLKEMDPIDAETVRLLMKNLSINLTSPNFEEHMRLLSSHGSSTDQDMGSSHTIHLPFMITSK >PAN23451 pep chromosome:PHallii_v3.1:4:6426072:6429265:-1 gene:PAHAL_4G092500 transcript:PAN23451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGGTASAAAGEAFWTACPHCCHVHSYPRPYLGLRLRCPVPACRRAFPASELPAVPPVVPGADMYFCTWAFFPLGPPATADGWVPFTPFHHFNPPPSPSPAPAPNPTAASADTPSRPTSRRRVGVCLKGRARAEAEEEEEATAAAISFEAEAGGLGERYDSGIDINETVDLSDLGFRVDEMGVLHDLP >PAN26079 pep chromosome:PHallii_v3.1:4:51944074:51950893:1 gene:PAHAL_4G345700 transcript:PAN26079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAHPWTSVSGSACPNLGLVAREMNGSVPKSTTNSSGPSIGVSSLVTDTNSSLSGGAQLQPSTSMNGDSFMRVPASPMSFSSNNTSGSSVIDGSIMQQSPPQEQVQKQRSSSVSSQAVIDAGGALHAQKKSRIDASQGDIMQQQLIQQLVHGQNSLHFQGQQNPQLQALIQQHKLAQLQQRQQQHLLQPFSQMQQPQVGIPRQPQLRPPLAQPGMQLGGPVRTPVENAICSRRIKQYLFHKRHRPENNCITYWRKLVEEYFAPGSRERWCVSSYENRGNSSSASPQKALDSWRCDICNTHGGKGYDATYEVLPRLFQIRFDHGVIDECLFLDSPNEFRLSNGQMVLEYAKVVQKSVYEHLHVIHEGHLRIIFTPELKIMSWEFCSRRHEEYTTRKTIAPQVNNLLQVAQNYQTAVSESGPAGISNNDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNNLGPKESLNCYSKTIAKFQNMPRQLMAAASLANNQSNTKVMGIQQETSAPVKNQTPSVGAIGNNTLQTATALNSYQNILRSSSANQNLLQQEASSIFKGPAAMHNGIQLEAVRSFHGPGQAQLVQFQHPASLQQPMPQQNNLHGFGASPQYQQHVFNQLLQEVKKNNNRAFAQQPSSDAPSASSGLASGAATPNVAASGEQVLGASPQYQQHVFNQLIQEVKKNNNRAFAQQPPPDAPSASSGLASGAATPKDAASGEQVQRINNHNSNHNSAVKGAPPAGNGPSNVINNNTASIVPSRNNSFKSVSSNPGAAATGGTVNQKVDESFHELEDLDHLITNELLESGLFGSGQGGDVLPW >PAN26078 pep chromosome:PHallii_v3.1:4:51944116:51950893:1 gene:PAHAL_4G345700 transcript:PAN26078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAHPWTSVSGSACPNLGLVAREMNGSVPKSTTNSSGPSIGVSSLVTDTNSSLSGGAQLQPSTSMNGDSFMRVPASPMSFSSNNTSGSSVIDGSIMQQSPPQEQVQKQRSSSVSSQAVIDAGGALHAQKKSRIDASQGDIMQQQLIQQLVHGQNSLHFQGQQNPQLQALIQQHKLAQLQQRQQQHLLQPFSQMQQPQVGIPRQPQLRPPLAQPGMQLGGPVRTPVENAICSRRIKQYLFHKRHRPENNCITYWRKLVEEYFAPGSRERWCVSSYENRGNSSSASPQKALDSWRCDICNTHGGKGYDATYEVLPRLFQIRFDHGVIDECLFLDSPNEFRLSNGQMVLEYAKVVQKSVYEHLHVIHEGHLRIIFTPELKIMSWEFCSRRHEEYTTRKTIAPQVNNLLQVAQNYQTAVSESGPAGISNNDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNNLGPKESLNCYSKTIAKFQNMPRQLMAAASLANNQSNTKVMGIQQETSAPVKNQTPSVGAIGNNTLQTATALNSYQNILRSSSANQNLLQQEASSIFKGPAAMHNGIQLEAVRSFHGPGQAQLVQFQHPASLQQPMPQQNNLHGFGASPQYQQHVFNQLLQEVKKNNNRAFAQQPSSDAPSASSGLASGAATPNVAASGEQVLGASPQYQQHVFNQLIQEVKKNNNRAFAQQPPPDAPSASSGLASGAATPKDAASGEQVQRINNHNSNHNSAVKGAPPAGNGPSNVINNNTASIVPSRNNSFKSVSSNPGAAATGGTVNQKVDESFHELEDLDHLITNELLESGLFGSGQGGDVLPW >PVH48507 pep chromosome:PHallii_v3.1:4:51944076:51950893:1 gene:PAHAL_4G345700 transcript:PVH48507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLIQQLVHGQNSLHFQGQQNPQLQALIQQHKLAQLQQRQQQHLLQPFSQMQQPQVGIPRQPQLRPPLAQPGMQLGGPVRTPVENAICSRRIKQYLFHKRHRPENNCITYWRKLVEEYFAPGSRERWCVSSYENRGNSSSASPQKALDSWRCDICNTHGGKGYDATYEVLPRLFQIRFDHGVIDECLFLDSPNEFRLSNGQMVLEYAKVVQKSVYEHLHVIHEGHLRIIFTPELKIMSWEFCSRRHEEYTTRKTIAPQVNNLLQVAQNYQTAVSESGPAGISNNDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNNLGPKESLNCYSKTIAKFQNMPRQLMAAASLANNQSNTKVMGIQQETSAPVKNQTPSVGAIGNNTLQTATALNSYQNILRSSSANQNLLQQEASSIFKGPAAMHNGIQLEAVRSFHGPGQAQLVQFQHPASLQQPMPQQNNLHGFGASPQYQQHVFNQLLQEVKKNNNRAFAQQPSSDAPSASSGLASGAATPNVAASGEQVLGASPQYQQHVFNQLIQEVKKNNNRAFAQQPPPDAPSASSGLASGAATPKDAASGEQVQRINNHNSNHNSAVKGAPPAGNGPSNVINNNTASIVPSRNNSFKSVSSNPGAAATGGTVNQKVDESFHELEDLDHLITNELLESGLFGSGQGGDVLPW >PAN26077 pep chromosome:PHallii_v3.1:4:51944076:51950893:1 gene:PAHAL_4G345700 transcript:PAN26077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLIQQLVHGQNSLHFQGQQNPQLQALIQQHKLAQLQQRQQQHLLQPFSQMQQPQVGIPRQPQLRPPLAQPGMQLGGPVRTPVENAICSRRIKQYLFHKRHRPENNCITYWRKLVEEYFAPGSRERWCVSSYENRGNSSSASPQKALDSWRCDICNTHGGKGYDATYEVLPRLFQIRFDHGVIDECLFLDSPNEFRLSNGQMVLEYAKVVQKSVYEHLHVIHEGHLRIIFTPELKIMSWEFCSRRHEEYTTRKTIAPQVNNLLQVAQNYQTAVSESGPAGISNNDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNNLGPKESLNCYSKTIAKFQNMPRQLMAAASLANNQSNTKVMGIQQETSAPVKNQTPSVGAIGNNTLQTATALNSYQNILRSSSANQNLLQQEASSIFKGPAAMHNGIQLEAVRSFHGPGQAQLVQFQHPASLQQPMPQQNNLHGFGASPQYQQHVFNQLLQEVKKNNNRAFAQQPSSDAPSASSGLASGAATPNVAASGEQVLGASPQYQQHVFNQLIQEVKKNNNRAFAQQPPPDAPSASSGLASGAATPKDAASGEQVQRINNHNSNHNSAVKGAPPAGNGPSNVINNNTASIVPSRNNSFKSVSSNPGAAATGGTVNQKVDESFHELEDLDHLITNELLESGLFGSGQGGDVLPW >PVH47480 pep chromosome:PHallii_v3.1:4:4882859:4883875:1 gene:PAHAL_4G073000 transcript:PVH47480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAGTAALPIHCAGRSVDSTLYSWCLDLPVYHYTITCGQLVKVNGSTLHDRFRPPYNEWSVTVLLVRTATALALNEATPLRSTLHPLGARGFRLLGRRGRPVGRNPHLCRQQAAGRGGSAVAAKRNGQPRRGSLVASPGAARRGAWRGSGTARSRPRPSEGETGARRAMRACDQTAGVRPAGHGHGHGRQLSVRPKARSAAGACLNSLLSMQEGVIARSECAGTSAGDG >PAN23965 pep chromosome:PHallii_v3.1:4:29573952:29574800:1 gene:PAHAL_4G188600 transcript:PAN23965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPYSLQRSRASTTVLPWVLISLLAAALAQTSAIGSMNYWCSRVSPGRSTCLCARGSKSGAEETVEGKRRKPCGCMKGGCVIFFSIFCGAHLEVVWEGFSQKRLHR >PAN24231 pep chromosome:PHallii_v3.1:4:17472817:17475970:1 gene:PAHAL_4G151900 transcript:PAN24231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVVLRSRVLARAVSSSLRRSLLGAHPHPPSSPLAASTRPSAVHRLPSVCGGLLSVMPLHSAIASARLRSAISPESQSWGVVPQGNSMPL >PAN25471 pep chromosome:PHallii_v3.1:4:45012866:45015482:-1 gene:PAHAL_4G251400 transcript:PAN25471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSRELKGLDLCLLCSESSLLVPGSQSKFRSLHITRSLDMYLGSMNHFQVQVIIDINLIAEAQTKEVSEFLLDVSDIHLSSVGTEYIYYLKFMSHVADNCYLPDHNL >PVH47598 pep chromosome:PHallii_v3.1:4:6980524:6982801:-1 gene:PAHAL_4G098900 transcript:PVH47598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHGNLPRSIGKLSISMKELWLRNNKISGPIPPEIGNLKNLNTVFMDYNLFTGNIPSTIANLHSLVYLALAQNNLTGQIPDTIGNLVQLNDLKLDGNNLSGGIPATLGQCTQLKILNLAHNSLEGSIPSKIFKISSLSEELDLSHNYLSGAIPEEVGNLINLNKLSISNNRLSGTIPSALGQCMLLEHLEMQSNYFEGSIPQSFAKLVGIIEMDISQNNLSGEIPEFFASYHSLHHINLSFNNFDGAVPRGGIFDIAGAVSIAGNDHLCTNIPIQRMPLCSTFIDRKVKHKFLVLALKITIPIAAVVITTYSYLATIHCRKRMQAKPQTLHEHMKKITYKDIVKATDRFSSANLIGSGSFGMVYKGNLNNQENEVAIKIFNLDIYGAHRSFVAECEALRNIRHRNLVKILTVCSSVDYSGADFKALVFQYMPNGSLEMWLHPKDHAHGERKILNLSQRINIALDVASVMDYLHNQCASPLIHCDLKPSNILLDLDMAAYVSDFGLARFLCIRDAGQDCSESLACLKGSIGYIPPEYGMREVISTKGDVYSFGVLLLEMITGSSPIDQKFTDGTNLHSFVDRAFPKKTHEIIDPVMLQDEVDVTETMKSCIIPLVRIGLSCSMTSPRERPGMGQVCTEILKIKQTLSNSHAE >PVH47599 pep chromosome:PHallii_v3.1:4:6980525:6982801:-1 gene:PAHAL_4G098900 transcript:PVH47599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHGNLPRSIGKLSISMKELWLRNNKISGPIPPEIGNLKNLNTVFMDYNLFTGNIPSTIANLHSLVYLALAQNNLTGQIPDTIGNLVQLNDLKLDGNNLSGGIPATLGQCTQLKILNLAHNSLEGSIPSKIFKISSLSEELDLSHNYLSGAIPEEVGNLINLNKLSISNNRLSGTIPSALGQCMLLEHLEMQSNYFEGSIPQSFAKLVGIIEMDISQNNLSGEIPEFFASYHSLHHINLSFNNFDGAVPRGGIFDIAGAVSIAGNDHLCTNIPIQRMPLCSTFIDRKRMQAKPQTLHEHMKKITYKDIVKATDRFSSANLIGSGSFGMVYKGNLNNQENEVAIKIFNLDIYGAHRSFVAECEALRNIRHRNLVKILTVCSSVDYSGADFKALVFQYMPNGSLEMWLHPKDHAHGERKILNLSQRINIALDVASVMDYLHNQCASPLIHCDLKPSNILLDLDMAAYVSDFGLARFLCIRDAGQDCSESLACLKGSIGYIPPEYGMREVISTKGDVYSFGVLLLEMITGSSPIDQKFTDGTNLHSFVDRAFPKKTHEIIDPVMLQDEVDVTETMKSCIIPLVRIGLSCSMTSPRERPGMGQVCTEILKIKQTLSNSHAE >PVH47393 pep chromosome:PHallii_v3.1:4:3302468:3303184:-1 gene:PAHAL_4G050400 transcript:PVH47393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKKIFYSRATSNYTNYKRPNHSVPYSTASLQAGTIISLLRYDHPYTVTRQAFSLKIDKLIQQNKLLKKSLSYWRILV >PVH47977 pep chromosome:PHallii_v3.1:4:36003263:36004012:1 gene:PAHAL_4G205800 transcript:PVH47977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEINMMGYRIVDTIEGAALEAIYRFCNQHPKEVTGQPIGLFSTTSPNELEWNLRIVPEIHRLEGPPEEALQGMMRFMNVQYHYQLLLHREMGRLINTARSHYREADRQNTQKDEIIAARDETILHREDQINESDDIITQRNTIVEFLQEQIHDLILAADDAQAQLEELQQPPIPPVAPAVPEVEEEDTEEIEGVSELDSEHGDPILSPHHSSSGSQSSVGNFDDF >PAN22317 pep chromosome:PHallii_v3.1:4:770043:772352:1 gene:PAHAL_4G011300 transcript:PAN22317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSPSPSSSPRSIFRIETTDDAMERSSSTPSSTPARLPPRSPLDVDEEYDSAFKSKSFLDLWSHAHRHLSQTFSFKQSSSKSSAKDYSRHDDDDTDTAAALEQSCSYTVLDDFVLEPSPEVLVRGRGRGGRQLRRRRRRVETLLIEYFDVTQEACEAFSALLTAIGAARRHHLTLRQLLARLDGGGDISAARDAIAEHVRLDNPLSPGCLTGFHEVHERCGPLAKRLAAAQRRLRRLARAVRVARGTAAAALVAACAGAVVAAAVFAAHAVVGVGAAAAAVGASPATAVRWAAERVSERHYERAGAAVDAAARGAYIVGRDLDTVSRMVRRAHDELEHGRDVARIAVRGRGERPLLQEVAREEAECEEDLRAQLEELEEHVCLCLITINRSRRMVAHEMTGGLPSLEATPSQN >PAN24321 pep chromosome:PHallii_v3.1:4:27546280:27552479:-1 gene:PAHAL_4G182900 transcript:PAN24321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLETLQHVREAGLSICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMTRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGNEEAAAPAYTERPEQAASM >PAN24323 pep chromosome:PHallii_v3.1:4:27546439:27555430:-1 gene:PAHAL_4G182900 transcript:PAN24323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMLLARTLRSRLCPPFAAAAAFSSAAAAEAERAIRDGPRNDWSRPEIQDVYDSPLLDLLFHGAQVHRNVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLETLQHVREAGLSICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMTRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGNEEAAAPAYTERPEQAASM >PAN25878 pep chromosome:PHallii_v3.1:4:50909827:50912695:1 gene:PAHAL_4G331100 transcript:PAN25878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRATSLLLRRQRSALAPRSPAVSAAAAPGLCRTPAPLFFSTLDAAGMRTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFMLDVIGGGGSPDGHDDDDDHHH >PVH47567 pep chromosome:PHallii_v3.1:4:6236913:6239899:1 gene:PAHAL_4G089300 transcript:PVH47567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMFVCGDDGRRFVRYRPAGLREEQWEESPTVATTYERRHMCDYPANDSVGWRHPGFVFDGVMKGLQPGRRYLYKVGSDSGGWSETYSFISRDTEANETTAFLFGDLGTYVPYNTYFRTPDESLSTVKWILRDLEALGDKPAVISHIGDISYAKGYAWLWDHFFEQIEPIAAKTPYHVCIGNHEYDWPSQPWKPSWAANIYNGKDGGGECGIAYSIKFRMPGNSSFPTGTGAPDTQNLYYSFDAGVVHFVYMSTETDFTQGSDQYNFVKADLERVNRSRTPFIVFQGHRPMYTSSNETKDTAHREQMIQHLEPLFVKHNVTLALWGHIHRYERFCPMKNYQCLNTSSSFVYPGAPAHVVIGMAGQDYQPSWEPRPDHPNVPIFPQPQRSMYRGGEFGYTKLVATREKLTLTYIGKHDGQAHDKVEIFSLQVGTDSTPDKLVSAMPKNTRYVEIAGCAMLALLLGFMAGFGVRKKTDSARWTPVRNEES >PAN25197 pep chromosome:PHallii_v3.1:4:47619632:47620915:-1 gene:PAHAL_4G283300 transcript:PAN25197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSLKSAQLLEQMRLHLATDAGKELIKKVGLVYQLNIAPKKLGVDEEIFVVDLKKGEVTKGPYQRKPDATFSFTDNDFLAIATGKTNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPAKL >PAN22288 pep chromosome:PHallii_v3.1:4:579542:580615:-1 gene:PAHAL_4G008600 transcript:PAN22288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMTVPLSPGRPAARSLMAAGGHVRSASVPCYTHPLLMDVDHQLLALRSWTSNPGQNPLSLAHVRALLCVLDELLHLPLAQGALVRGAAARADSLLDGFLVLADAFGTFLAALLALRQHAAELRAAVRRRDAAKLASAARAQRQVGKELEQLATAAAREAASLASSTYGATAELEIARTVAEAVNDTAAASASVFSEVGAAADAAAALASPASSSPKKRLPLVNASSRSKQQRSASEERREAAALGKLQELEQCVGELESESEKVFRNLVQTRVSLLNIHTPTF >PVH48407 pep chromosome:PHallii_v3.1:4:50508508:50517856:-1 gene:PAHAL_4G324900 transcript:PVH48407 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MPHPSAAMLRSPSSLRSLLHLSGRLLRPPNPRRPRPLNPRLPSYPLSARPRFLSSSSSVSSPSTGGAGWATYDPVTDSLSASAALPSPSASDPEAPVESHAWAVFDPAAGRIVKQRSPPSSSTTAAAAAAEEEEEEEEEGEGAGEDEEKGRLRASARVAKAQTSWSSLAAPRKPAVKGGKERFSFVCSNCKEADSQWWGFCRHCNAAGTMERYVLGTDGNASAEGSHHIARSWIPQKSKEIVPQSLQEVNKGVNQAEWRIPLSGSFGVEIARVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILESFMVEESSPVVYVSGEESIEQIGNRADRMSITSSKLYLYSGTDIEDILDKIQPLSPRALIIDSIQTVYVKSFAGSAGNLAQVKECTSALLRFAKLTNIPVFLIGHVTKSGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGPTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEILAGVAVAVILDGSRTFAVEVQALCVSGSHRSGQVVGIPTRRADVIISVLMKQASLKLQDNAIFLNVVSGFELTETAGDLAIAASICSSFLEFPIPNDVAFIGEIGLGGELRTVPRMDKRVMAIAKLGYKKCVVPKTSEKLLKPLNLDIEILPCNNLKQFINAIFRPQA >PVH48406 pep chromosome:PHallii_v3.1:4:50508508:50517857:-1 gene:PAHAL_4G324900 transcript:PVH48406 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MPHPSAAMLRSPSSLRSLLHLSGRLLRPPNPRRPRPLNPRLPSYPLSARPRFLSSSSSVSSPSTGGAGWATYDPVTDSLSASAALPSPSASDPEAPVESHAWAVFDPAAGRIVKQRSPPSSSTTAAAAAAEEEEEEEEEGEGAGEDEEKGRLRASARVAKAQTSWSSLAAPRKPAVKGGKERFSFVCSNCKEADSQWWGFCRHCNAAGTMERYVLGTDGNASAEGSHHIARSWIPQKSKEIVPQSLQEVNKGVNQAEWRIPLSGSFGVEIARVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILESFMVEESSPVVYVSGEESIEQIGNRADRMSITSSKLYLYSGTDIEDILDKIQPLSPRALIIDSIQTVYVKSFAGSAGNLAQVKECTSALLRFAKLTNIPVFLIGHVTKSGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGPTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEILAGVAVAVILDGSRTFAVEVQALCVSGSHRSGQVVGIPTRRADVIISVLMKQASLKLQDNAIFLNVVSGFELTETAGDLAIAASICSRCQEWTRG >PVH48408 pep chromosome:PHallii_v3.1:4:50512248:50517696:-1 gene:PAHAL_4G324900 transcript:PVH48408 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MPHPSAAMLRSPSSLRSLLHLSGRLLRPPNPRRPRPLNPRLPSYPLSARPRFLSSSSSVSSPSTGGAGWATYDPVTDSLSASAALPSPSASDPEAPVESHAWAVFDPAAGRIVKQRSPPSSSTTAAAAAAEEEEEEEEEGEGAGEDEEKGRLRASARVAKAQTSWSSLAAPRKPAVKGGKERFSFVCSNCKEADSQWWGFCRHCNAAGTMERYVLGTDGNASAEGSHHIARSWIPQKSKEIVPQSLQEVNKGVNQAEWRIPLSGSFGVEIARVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILESFMVEESSPVVYVSGEESIEQIGNRADRMSITSSKLYLYSGTDIEDILDKIQPLSPRALIIDSIQTVYVKSFAGSAGNLAQVKECTSALLRFAKLTNIPVFLIGHVTKSGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGPTDERSCKLMYQTIF >PVH48409 pep chromosome:PHallii_v3.1:4:50509735:50517856:-1 gene:PAHAL_4G324900 transcript:PVH48409 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MPHPSAAMLRSPSSLRSLLHLSGRLLRPPNPRRPRPLNPRLPSYPLSARPRFLSSSSSVSSPSTGGAGWATYDPVTDSLSASAALPSPSASDPEAPVESHAWAVFDPAAGRIVKQRSPPSSSTTAAAAAAEEEEEEEEEGEGAGEDEEKGRLRASARVAKAQTSWSSLAAPRKPAVKGGKERFSFVCSNCKEADSQWWGFCRHCNAAGTMERYVLGTDGNASAEGSHHIARSWIPQKSKEIVPQSLQEVNKGVNQAEWRIPLSGSFGVEIARVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILESFMVEESSPVVYVSGEESIEQIGNRADRMSITSSKLYLYSGTDIEDILDKIQPLSPRALIIDSIQTVYVKSFAGSAGNLAQVKECTSALLRFAKLTNIPVFLIGHVTKSGDIAGPRLLEHIVDVVLYMEVERCSSHRLLRSVKNRFGPTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEILAGVAVAVILDGSRTFAVEVQALCVSGSHRSGQVVGIPTRRADVIISVLMKQASLKLQDNAIFLNVVSGFELTETAGDLAIAASICSR >PAN25771 pep chromosome:PHallii_v3.1:4:50497018:50499316:-1 gene:PAHAL_4G324700 transcript:PAN25771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAGRRGAAARRKAKEAAVGATARVLFYPTLLYNVVRSKAQAEFRWWDEVDQFILLGAVPFRRDVSRLQKLGVHGVITLNEPFETLVPSSMYQSCGIDHLVIPTRDYMFAPSLVDINQAVDFIHRNASSGKVTYIHCKAGRGRSTTIVLCYLVKYKKMTPETAFEYVQSKRARVLLTRSQWKSNAAIC >PAN25770 pep chromosome:PHallii_v3.1:4:50496188:50499545:-1 gene:PAHAL_4G324700 transcript:PAN25770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAGRRGAAARRKAKEAAVGATARVLFYPTLLYNVVRSKAQAEFRWWDEVDQFILLGAVPFRRDVSRLQKLGVHGVITLNEPFETLVPSSMYQSCGIDHLVIPTRDYMFAPSLVDINQAVDFIHRNASSGKVTYIHCKAGRGRSTTIVLCYLVKYKKMTPETAFEYVQSKRARVLLTRSQWKVVQEFSKKNAEVLAVTSNSATASPAGDAVPVTEADLDGIDAPVALTEDASLSCQKTTPSRPMIKMLSCLFPSRI >PAN23252 pep chromosome:PHallii_v3.1:4:5412855:5414116:-1 gene:PAHAL_4G079100 transcript:PAN23252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKWWEQAALDFPPPQHQHQHQAVAMPALTAAPAPAAVTAAAGASPEGKQQQQQQPGAAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHVLEVAPGADVSACVAEYARRRGRGVCVLGASGAVGDVAVRGAAVPLRGRFELLSVTGTVLPPPAPPEASGLAVLLSAGQGQVVGGCVVGPLVAAGPVTIFAATFANAVYERLPLPDAPDVDVKPDLSTATSAGQEVQPQLPLATSQQQPPAMGAGYADHRSPPYPWGGGV >PVH48484 pep chromosome:PHallii_v3.1:4:51416954:51417442:-1 gene:PAHAL_4G339400 transcript:PVH48484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSRRLPLLLSANARSRWLPHLLHLDNGSRRRPHLFHVENHLAGGLNRLLSIRKYPTAGSLVSIDSARPCLFHVKNHLAGGLNRLLSVREYPTAGSLISIDGANDGGHLLGYLVSLEQVGYERSDPPLAIDSVPTPP >PAN23868 pep chromosome:PHallii_v3.1:4:10487056:10491539:-1 gene:PAHAL_4G126400 transcript:PAN23868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLSKSPRLVICAILCVFLFSEVGVLRQAAALGINYGQVGNNLPPPPQVVQLLSSLRIGKVRIYDVNPQVLSAFAGTGIELIVTVPDDLVPGMAASASQALQWLTAGVRPYFPATRVTGIAVGNEVFTGDDEQLKASLVPAMRNLHAALAQLGMDAYVRVSTANSLAVLSTSYPPSQGVFTQAAAPYMAQLLRFLVDTSAPFWINAYPYFAYKDDPTKVSLDYALSNPSHVGAVDPYTHLQYTSMLYAQVDAVTFAAARLGYGNVPVHVSETGWPSKGDANEAGATVENARQYNRNLLMRQISGEGTPLRPKLRLEVYLFALFNEDMKPGPTSERNYGLYQPDMSMVYNVGLNQQATMSAASLSLATSPASRRDVRKDFAGLCLVTSLAILLITQALLL >PVH48443 pep chromosome:PHallii_v3.1:4:50832225:50833251:-1 gene:PAHAL_4G330000 transcript:PVH48443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFEFLVNNPPVVAFRLHTNDDIPVCTPEGCNLFQGFVDFVLTMHKRRLTLARNFQATDLVVLTVNGRHGYQILKEPSPGLDHETMTKDFKRLADILLHEYTLATNSSKNDPPFFSNLSNALRNIPADVLAKLESMERFNTFICNHIAFLPALSRSNLICNLMRVYRALSRSERGQFEKSIGEFDVETEDISWIPERGFMGIFSCESMG >PVH48106 pep chromosome:PHallii_v3.1:4:45026379:45026848:1 gene:PAHAL_4G251800 transcript:PVH48106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQLEHENVTQLVLVSLRDGVEEGRRLSNEEKDPFEIIERGAVHLSANLASSVPPYQQGVVQEY >PAN25009 pep chromosome:PHallii_v3.1:4:46314789:46320442:-1 gene:PAHAL_4G267300 transcript:PAN25009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVGLWPSNGAMARRHARRTRGRATAEPSPRNRRARARRCCPNSGDGETRRRRLVRTRLALQDSCAPTHRSHRSPAQRESGISIAPIFLSTEIIITARKTVSAESKNLPVGELLAGARDSDRARGRCLLYTTILPSVAVLHFEPPAARRRRRLHSLFAGDLIEFSFLHRSKTMAGGGGACPPPGLGFGGEYYSVVDGVCSRDGSFFGGKPVLAQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGGAAHAVFLVFCLTTNVIVTAMLLLGGSAVANALTGINVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVVFVFLVYTSSSRLGSPRVVHDHLTAVASAARDCSAPLSHSDQACGPVHGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYINPDATGKQILRVSRAVVLAFGCLMGALAVILNLVGVSLGWMYLAMGVIIGSAVIPIALLLLWSKANAIGAILGTVTGCALGVTVWLTVAKVQYGRVDLDSTGRNAPMLAGNLVSILLGGAVHAACSLAWPQHYDWESSRQITTVESVAADGELAEELKEERLVRAKRWIVKWGVAFTAVIVVLWPVLSLPAGKYSAGYFTLWAAVAIAWGTVGSAVIIFLPLVESWDTICKVCEGMFTSDAVYERLDDMNLRLKAIMEAMPEAEERYQEMQKKGIGAMEMAHHPASGTHPSTVANDDGDDLPHA >PAN25008 pep chromosome:PHallii_v3.1:4:46314506:46320605:-1 gene:PAHAL_4G267300 transcript:PAN25008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGACPPPGLGFGGEYYSVVDGVCSRDGSFFGGKPVLAQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGGAAHAVFLVFCLTTNVIVTAMLLLGGSAVANALTGINVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVVFVFLVYTSSSRLGSPRVVHDHLTAVASAARDCSAPLSHSDQACGPVHGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYINPDATGKQILRVSRAVVLAFGCLMGALAVILNLVGVSLGWMYLAMGVIIGSAVIPIALLLLWSKANAIGAILGTVTGCALGVTVWLTVAKVQYGRVDLDSTGRNAPMLAGNLVSILLGGAVHAACSLAWPQHYDWESSRQITTVESVAADGELAEELKEERLVRAKRWIVKWGVAFTAVIVVLWPVLSLPAGKYSAGYFTLWAAVAIAWGTVGSAVIIFLPLVESWDTICKVCEGMFTSDAVYERLDDMNLRLKAIMEAMPEAEERYQEMQKKGIGAMEMAHHPASGTHPSTVANDDGDDLPHA >PVH47884 pep chromosome:PHallii_v3.1:4:25467555:25468376:-1 gene:PAHAL_4G176600 transcript:PVH47884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQIDDNEEEVEEVIVGPKRKLTSAVWNEFKKVKLTTGEEKAQCNYCHKKLARKSTHGTKHLHDHLKICVLRKIKLTGKNKTLCQSSLRFSSQEGGKVPVENYSFDPEVARKELAAMIALHEYPLCIVEHIGFRRFVSALQPLFKMVTRNTIR >PAN26263 pep chromosome:PHallii_v3.1:4:52812279:52818107:1 gene:PAHAL_4G359100 transcript:PAN26263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSQYPFNLPGPAVPPASKPRQMAASNNPWRIPQPPLLNARAGLGDASLFSTSLPLLPHEKLNFPDSAHGTPSMDDTSAKMKVLSDDPEEKDYKFDFDLRQIDDLLPDEDEFFAGITDEIEPVGKTNNTEELEEFDVFGSGGGMELDLDPLESVTASFANSSIADGARGNGISPFGVPSAVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRSARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDLDQEEPRSYRHPHVGSPIANSPPGVWAHYSSPTDNNMLQAFNRSPTGNGISPIGMPSLMSNAVKIAPIGKDSNRSKYDQLFSNGNQSLGTAFQHSHSYQDRNSEHLNSSPGTLSGPQFLWGSPKPYSETSQSPVWRPQAIGSALSTNSRSQGQGFLHSSRQASLFGSSDQHQQHHHHVGSAPSGAPFESHFGFLPESPETSFMKQVRFGNMGNIGTGRNGAGLMLNLAGRASLSPVSSLSGSLTDNSSSNFRPMLSPRLGHAFYSNPTYQGPGSFGLDSSIDRARNRRVDSSALQVDSKRQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPTHIISFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHPNGLESGNQEAFPINGICIHMPVEDGAGDSLDNEDDVKTGGESSMHMAGSL >PAN24907 pep chromosome:PHallii_v3.1:4:41389566:41390497:-1 gene:PAHAL_4G227300 transcript:PAN24907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLERNPLSDALHRNPGVVLNEQGPGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIRLGNWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRRLVIGDLVLNVISAYAPQVGFSESSKSQFWEDLDSMVSTVSISEKLFIGGDLNGHVGATNVGYERVHGYFGYGSRNEGGEDWTILEPNRFYPCSERG >PAN22748 pep chromosome:PHallii_v3.1:4:2623927:2627965:1 gene:PAHAL_4G042500 transcript:PAN22748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRASAARDRCLELERAIAGRVRSGSLGLDDAVKMFDELLPHARPASVRAFNQLLTAVSRAQGRDSSSSELVPSLFNRMARACADKVAPDLHTYSILINRFCRIGRLELGFAAFGLILKTGWRVNGIVINPLLKGVCDRKCVSEAMDILLRRMPEFGCTPDVVSYSTVLKGLCDEKRAEEALELLHMMADDGGGSCPPNVVVYTTVINGLFRDGQVDKAYNLFREMDDRGISPTVVTYTTVIDGLSKAQAVDRAEGVLQQMIHKGVKPNNQTYNCLIHGYCSSGQGKEVVRMLKEMSAHGHKPDTVTCTLLLDHLCKSGRCTEARKIFDSMIEKGTKPDVTTYGVLLHGYATKGALSDMRGLLDLMVENGVSPDHHTFNIVLCAFAKGGMIDEAMHIFDQMRQQGLSPNVVNYGALIDALCKLGRVDEAMLKFNQMIHEGVTPDIVVFNSLVYGLCTVDKWEKAEELFSEMLNQGIHPNATFFNTIMRNLCNGGRVMEAQSLLDLMVHVGVRPNVISYNTLIDGYCLAGRMKEAVKLLDAMVPVGLKPNTVSFSTLLHGYCRAGRIDDAVRLFREMLSNEVKPGIVTYNIVLHGLFQSGKFSEAKELYLNMIKSGMQLNIYTYSTILNGLCKNKCVDEAFKIFQSLCSKGFQLHIITFNIMIDALLKSGRKEDAMDMFTAISAHGLGPDVVTYRLMIENLIKEGLLEESDNLFSAMEKSGCTPNSCMLNALVRRLLHRGEIMRVGAYLSKIDEMNFSLEAATTSLLISVLSREEYQHHAKSLPEKYHFLNEINK >PVH48271 pep chromosome:PHallii_v3.1:4:48488142:48490884:-1 gene:PAHAL_4G295500 transcript:PVH48271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 12 [Source:Projected from Arabidopsis thaliana (AT2G26440) UniProtKB/Swiss-Prot;Acc:O48711] MFSHSHTTHLTRTVSTAMAAALSPPLLLLAVLLLTTAGTIQCHGYKRHHHHQTDGTRRPNKAAAADGRPPADTAHHAICQKTPHPVSCLAAVASHLDAVASASAKAAEASAVSVQLLPANVLSVALASLRGAASALSSLSPAVSTLSAPSASPAGAPLRRGAAQDCQELHAASLSSLSRSASLLAAPGEGLPAVRAHLAAALANKATCLDGLAGASAGLGVAGLIASLDDAYEHVSNSLALVARGGGVSAAGFANAVAKAVHNRRLLQDDDDDGDSVDDDSSNNGDGDRGNADQPAATVITVAKDGTGNFRTVGEAVAAAPNNSRARTVIRVKAGMYEENVEVPPYKTNIALVGEGRDTTVITGSRSAAGGWTTFRTATFGVSGEGFLARDVTFRNTAGAGAGQAVALRVNADLAATYRCGVEAHQDALYAHSFRQFYRECAVSGTVDVVFGDAAAVLQGCALLARAPLPGQSVVLTAQGRGDPNEDTGIAVHNCTVAAAAPLPAGTRTFLGRPWGAYARAAVMDSYLGQIVDREGWAEWPGAEPGRGDTVFFGEYGNGGPGADTEGRVSWAGVRQMDYDEAAQFAVENFIYGDEWLGATSFPYDDDV >PVH47723 pep chromosome:PHallii_v3.1:4:11058232:11059371:-1 gene:PAHAL_4G129600 transcript:PVH47723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQKLSRQSLHLVLNEGRGLYSLRHMDVSKLFYPSTAQALEAEAKAKKKNGIHRLGGIGCLLRPSIYYQPFSSAVSNPNSLVNVFALFGESKNKILYSDVEGHTNIYNTEFRSFMTMPSLNSPKGPNCMAAHITRTPAHARSDFDINPEVDYGFFNEKPCGEHTDSLYMMDMGQDKPGCFEVLAYYPVGEWQWRALPSPPFFDDLEYKACNNIAYAIVDGTRICVSSATATYSFDTVTLEWSKTGDWVLPFHTKAEYVPELDLWLGLSASSPSDLCALDLSTAAIDSCDVPPMQHVGLDVDLPKDWLLKSRTLVNLGSDAQDGPQVVAFTGVDVVPCGDNQQGERTLRRIKHKSKCLVTDRIEYVL >PAN22331 pep chromosome:PHallii_v3.1:4:812743:815577:1 gene:PAHAL_4G012100 transcript:PAN22331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MLIPSSTTASHLLSPRPARHHHSAPAAAATMPPLHPVLLLLFLLPLAASASPERDVYALARLKAALVPAASNSSPTALADWDPGASPPAHCAFSGVACDPATSRVVAINLTAVPLHGGSLPPEVALLDALANLTVAACSLPGRVPPALASMPALRHLNLSNNNLTGTFPPPPAADVSEPYFPVLELIDMYNNNLSGPLPPFGASHARLRYLHLGGNYFNGSIPDSFGDLAALEYLGLNGNGLSGRVPPELARLTRLREMYIGYFNQYTGGVPPEFGDLRSLVRLDMSSCNLTGPVPLELARLTQLDTLFLSINQLTGEIPQQLGDLSNLQSLDLSINELTGEIPPSFANLASSLKLLNLFRNHLRGEMPEFLGDFPHLEVLQVWDNNLTGHLPAALGRNGRLKNLDVTGNHLTGTIPPDLCLGRKLEMLVLMENGFFGHIPESLGDCKTLKRVRLGKNFLTGPVPAGLFDLPKADMVELTDNLLTGELPDLIGGDKMTMLMLGNNGIGGRIPPSIGNFQALQTLSLESNNFSGPLPPEIGKLRNLTRLNVSGNALTGDIPQELTGCGSLGAIDLSRNDLTGEIPDTITSLKILCTLNVSRNRLSGELPPAMPNMTSLTTLDVSYNQLSGPVPMQGQFLVFNESSFAGNPGLCGAPFADACPPAAGSGSPFSLRRWDSKKMLVWLVVMFTLLIMAFLGARKGCEAWREAARRRSGAWKMTAFQKLDFSADDVVECLKEDNIIGKGGAGIVYHGVTHGGTELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGGGGGATSECMSAIAGSYGYIAPGNPEPSLELAANLLSHALGLQKGSKHDHITQKRTL >PAN22332 pep chromosome:PHallii_v3.1:4:811101:818375:1 gene:PAHAL_4G012100 transcript:PAN22332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MLIPSSTTASHLLSPRPARHHHSAPAAAATMPPLHPVLLLLFLLPLAASASPERDVYALARLKAALVPAASNSSPTALADWDPGASPPAHCAFSGVACDPATSRVVAINLTAVPLHGGSLPPEVALLDALANLTVAACSLPGRVPPALASMPALRHLNLSNNNLTGTFPPPPAADVSEPYFPVLELIDMYNNNLSGPLPPFGASHARLRYLHLGGNYFNGSIPDSFGDLAALEYLGLNGNGLSGRVPPELARLTRLREMYIGYFNQYTGGVPPEFGDLRSLVRLDMSSCNLTGPVPLELARLTQLDTLFLSINQLTGEIPQQLGDLSNLQSLDLSINELTGEIPPSFANLASSLKLLNLFRNHLRGEMPEFLGDFPHLEVLQVWDNNLTGHLPAALGRNGRLKNLDVTGNHLTGTIPPDLCLGRKLEMLVLMENGFFGHIPESLGDCKTLKRVRLGKNFLTGPVPAGLFDLPKADMVELTDNLLTGELPDLIGGDKMTMLMLGNNGIGGRIPPSIGNFQALQTLSLESNNFSGPLPPEIGKLRNLTRLNVSGNALTGDIPQELTGCGSLGAIDLSRNDLTGEIPDTITSLKILCTLNVSRNRLSGELPPAMPNMTSLTTLDVSYNQLSGPVPMQGQFLVFNESSFAGNPGLCGAPFADACPPAAGSGSPFSLRRWDSKKMLVWLVVMFTLLIMAFLGARKGCEAWREAARRRSGAWKMTAFQKLDFSADDVVECLKEDNIIGKGGAGIVYHGVTHGGTELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGGGGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDPSDDAAAVLAVADRRLAPEPVALVVNLYKVAMACVEEASTARPTMREVVHMLSNSATSTPSD >PAN23826 pep chromosome:PHallii_v3.1:4:9610488:9611150:-1 gene:PAHAL_4G121300 transcript:PAN23826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYLPTDFPYFRPPPPPRWWRSPPPPPPSPPIQVTSQRSRDNSPASHGGVIAGVAISVAAFLLVLTFVCSLCRGYRNSRANAAADAAAAAAALAARPRVPAPPVLLPVAWDDENEDQQHLRGRDRSSGRPRRVSPTAGLPSFTYDRSVRHNVTGSGEEAATCSVCLGAFQTGETVRLLPVCLHLYHVECIDPWLDAHATCPICRSGTDPDMDGSLLPPV >PVH48330 pep chromosome:PHallii_v3.1:4:49479461:49480773:1 gene:PAHAL_4G309400 transcript:PVH48330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVLLSDFEVYDSDICSLECAEVRDVHILCHQGGIRGHGGSLGSSDTVAAGRAK >PVH48329 pep chromosome:PHallii_v3.1:4:49479461:49480773:1 gene:PAHAL_4G309400 transcript:PVH48329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVLLSDFEVYDSDICSLECAEVRDVHILCHQGGIRGHGGSLGSSDTVAAGRAK >PAN26230 pep chromosome:PHallii_v3.1:4:52576742:52579187:-1 gene:PAHAL_4G356500 transcript:PAN26230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEIVKAEPLSILMISALPMSNLHDAGNGKDDDASPPKRLRRSPAPEPTSVLYNRSPSPPTSSSLASSSAPEPPPISAEDWEAVLSGDMAAPPAPARSQDSCFLHWIMDDDAQVDAFDPFLAPPLCQEPAVEPPFMLQPQPQPPLRVAEDLEPQAVVDELLEAARRADSGDSTGAREILARLNHRLPSPPLVHPPLLRAAAHLRDTLLRLLVTPAALPPGSVSSPLDVALKLAAHKALADASPTVQFASFTNTQVLLDALGAARRVHVVDLDVGFGARWPPLMQELALQWRRASAQLPPPSIKVTALVSPGSAHPMELRLTHESLTRFAADVGIRFEFDAVVFDPLDPSPPVGVSAAAPGEAVAVHLPLGSGTFSAAPSTLRVVKQLRPAIVVCVDHGCHRGDLPLSHHALNVVRSGAAFLESLDAAGAPADAVAKVEQYILRPRVERLLLSDRMPPWQTMFASAGFAPVQLSSAAEAQAECLVRRRTPTPGFLVEKRQAALALRWQQSELVTVSAWRC >PVH47863 pep chromosome:PHallii_v3.1:4:23637997:23639907:-1 gene:PAHAL_4G171200 transcript:PVH47863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFFGTSAASDLCHLLLGNGSVHHELIRPNNYRQRSVIRCCSTARGRTKDYYYQVLGVTVYSTPQEIKEAYRKLQKQHHPDIAGYKGHDYTLLLNEAYKVLMRNLSRHADGKSRAGLLWKWSHWDGYSSWNGPVRSQALFVDENKCIGCRECVHHAARTFAMDDVLGSAHVEIQFGDLEQQIQLAVESCPVNCIHWVDSQELPMLEFLSRPQPKEGHGIFGGGWERPKNVFAAAKNFAKRLERVEQELERKQSSRSYGGNSDCEAETEAQAEARRRAGEELRWKPLVDVWNVLRGWRKGGTDQ >PVH48099 pep chromosome:PHallii_v3.1:4:44680826:44681633:-1 gene:PAHAL_4G248100 transcript:PVH48099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLHIQGVGEAQSSSGTKRKRTPQPTSTPIVEGVHLNTKAPEVVQVQASNAVQPNGDSEVVGHGDDNENIGDGEDQDIDYSWEDEDFGDGWEDEMDEEDRAGFVEGRIEPGMVSSFLHGEENRQFRSKVWNKFSKIRVPGIVIKGQCSHCSGEISAKRGVGTSAMFTYLKRCKVRKSVTNMACQLRFSQEVSWKELTRMTSLHGLPLSIVDYEGFRRFVSSLNPVFRMISRRTISDDCLKTFKEKKNTSRCS >PAN23037 pep chromosome:PHallii_v3.1:4:4154909:4156458:-1 gene:PAHAL_4G063600 transcript:PAN23037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARLVLCLVVCLPVLAAGTGVAARPPAMFVFGSSIVDVGNNNYLPGPGVPRANRPYNGIDFPGSIPTGRFSNGYNTADYVAKNMGFALSPPAYLSLAPASSSGPLVPTAVSNGVNYASGGAGILDSTNAGNTIPLSKQVEYFEATKAKMVAAAGAGAVDALLSRSIFLVNAGNNDFYVFAAAELARNRSAADQRRDAAALYASLLSNYSAAVTELYSMGARKFAVINVWTLGCVPAVRVLSPAGACSALLNQLAAGFNDALESLLAGLAPRLPGLAYSLADSFGFTRDALAEPRASGYTDVAAACCGSGRLGAEAECFPNATLCADRDQHVFWDRAHPSQRAAFLAAQAFYDGPAKYTTPINFMQLAQSS >PVH48428 pep chromosome:PHallii_v3.1:4:50682482:50682862:1 gene:PAHAL_4G328100 transcript:PVH48428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRNDAHVDAWLLVLTLSSGAAACRAAGDAASIAFVLAGYVGAPAAPRLPPRAHTSARRRARPPTTAERAASDARYIWSLSTLLTVMFAWRVAAVMPNWPAALLVWALAAATTVGGFVALFHRRP >PAN24157 pep chromosome:PHallii_v3.1:4:14008889:14009526:-1 gene:PAHAL_4G141600 transcript:PAN24157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELRPFDNEDQRRHSFRKRRPTLFGMAHDLSEEFGAHVAVIAFSPAGEPHAFCAPTADSVLRTYLPAADGLLPNPTPHSPFPGSAAGVGAETAGEAAARVAGMRREVDETKAMVAEEWARVAAAREKVRAAQGAAQKQNWWEVDVEALGEEELPVFMRALEMFKAEVQERIDAMASARMSLPREKKRQ >PAN24855 pep chromosome:PHallii_v3.1:4:45470384:45475105:1 gene:PAHAL_4G257100 transcript:PAN24855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEHEVYGQEIPVDGEDVDMSGAGDDATKLQELDEMKRRLKEMEEEAAALREMQAKVAKEMQGVDPNATTSENKEEMDARSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEAVKLNESELHGRQLKVAPKRTNVPGMKQPRGRGFNPYHGHPYMRPYGYSPYGYGRFPRFRRPRRPYF >PAN22295 pep chromosome:PHallii_v3.1:4:672568:678341:-1 gene:PAHAL_4G010100 transcript:PAN22295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVVVVTQPFWPPNQMLVSGRGAGYPVGDAARAERRRTAVSIYDDNQCEGESESEIPAAVAHISPSTSRVPMEGDRVRPQPQQRPGSERTRLGVYHDVLRRLRGAATPEALAPDFADRLWTHFHRFSVRYALDVNAERAEDVLVHMQLLDRAKHSENQPAFSVRVVQVPVPPELDATEHDSSEPNSMEEGASATSRKLNVHPEPIFGSSQNLKALVREASSRNLLDDGDAVLRPMHEITFASKDRPKGLTQLSALLGQLNLDIKEVHALSTNDGYFLDIFIVVGWDHKETLQLEEALEKEVHNYKAQMHSTSSCWPPELAGKQCPKNSQEGSHVEIPKDNTDEWEINFKALAFQDKVASGTYGDLYRGTYFGEDVAIKVLKSDRLNENMEKEFAHEVYIMRKIRHKNIVRFLGACTKPKTLCIVTEFMKNGSVYDFLHKRKGSFKLSSLLKAAVDISKGMDYLHQNRIIHRDLKSANLLMDEHELIKVADFGVARVKAESGVMTAETGTYRWMAPEVIEHKPYDSKADVFSFGIVLWELLTGKIPYEFLTPLQAAIGVVQEGLRPVIPRGTNPKLAQLLEKCWQQNPINRPDFTEILQTLNEIAEEVPMDPNKPHKEKEKGGSFFSFGKGH >PAN22297 pep chromosome:PHallii_v3.1:4:671739:678626:-1 gene:PAHAL_4G010100 transcript:PAN22297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVVVVTQPFWPPNQMLVSGRGAGYPVGDAARAERRRTAVSIYDDNQCEGESESEIPAAVAHISPSTSRVPMEGDRVRPQPQQRPGSERTRLGVYHDVLRRLRGAATPEALAPDFADRLWTHFHRFSVRYALDVNAERAEDVLVHMQLLDRAKHSENQPAFSVRVVQVPVPPELDATEHDSSEPNSMEEGASATSRKLNVHPEPIFGSSQNLKALVREASSRNLLDDGDAVLRPMHEITFASKDRPKGLTQLSALLGQLNLDIKEVHALSTNDGYFLDIFIVVGWDHKETLQLEEALEKEVHNYKAQMHSTSSCWPPELAGKQCPKNSQEGSHVEIPKDNTDEWEINFKALAFQDKVASGTYGDLYRGTYFGEDVAIKVLKSDRLNENMEKEFAHEVYIMRKIRHKNIVRFLGACTKPKTLCIVTEFMKNGSVYDFLHKRKGSFKLSSLLKAAVDISKGMDYLHQNRIIHRDLKSANLLMDEHELIKVADFGVARVKAESGVMTAETGTYRWMAPEVIEHKPYDSKADVFSFGIVLWELLTGKGLRPVIPRGTNPKLAQLLEKCWQQNPINRPDFTEILQTLNEIAEEVPMDPNKPHKEKEKGGSFFSFGKGH >PAN22296 pep chromosome:PHallii_v3.1:4:671739:678626:-1 gene:PAHAL_4G010100 transcript:PAN22296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVVVVTQPFWPPNQMLVSGRGAGYPVGDAARAERRRTAVSIYDDNQCEGESESEIPAAVAHISPSTSRVPMEGDRVRPQPQQRPGSERTRLGVYHDVLRRLRGAATPEALAPDFADRLWTHFHRFSVRYALDVNAERAEDVLVHMQLLDRAKHSENQPAFSVRVVQVPVPPELDATEHDSSEPNSMEEGASATSRKLNVHPEPIFGSSQNLKALVREASSRNLLDDGDAVLRPMHEITFASKDRPKGLTQLSALLGQLNLDIKEVHALSTNDGYFLDIFIVVGWDHKETLQLEEALEKEVHNYKAQMHSTSSCWPPELAGKQCPKNSQEGSHVEIPKDNTDEWEINFKALAFQDKVASGTYGDLKIRHKNIVRFLGACTKPKTLCIVTEFMKNGSVYDFLHKRKGSFKLSSLLKAAVDISKGMDYLHQNRIIHRDLKSANLLMDEHELIKVADFGVARVKAESGVMTAETGTYRWMAPEVIEHKPYDSKADVFSFGIVLWELLTGKIPYEFLTPLQAAIGVVQEGLRPVIPRGTNPKLAQLLEKCWQQNPINRPDFTEILQTLNEIAEEVPMDPNKPHKEKEKGGSFFSFGKGH >PAN25206 pep chromosome:PHallii_v3.1:4:47678798:47679902:1 gene:PAHAL_4G284000 transcript:PAN25206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGAGGGVRRRRRTWRLYWCYVCGRAVRAVSYPTSDVFCPRCFGRFLHEIDLPAPRPVLPPPDRFFQPPFLPHDGPRRWVVYTGDATADADTPLPRRRRPRRVPSPPPSPATRRPDDDDAPVDAPPPPPPTVVGWDEFLVGPNLDALIERLTQDDRPGPAPAPESAIESLPTVRVSPAHLSDGPQCPVCKEEFELGEAARELPCKHAYHADCIVPWLRLHNSCPVCRQELPEQADGSREGGVEEGSGEAEAPGPVVVAGWGPLAWLPLPRAPDGDAWESSGADDGDAAGRGACAAAVLQSFVVVAACFVALSFFV >PAN26262 pep chromosome:PHallii_v3.1:4:52809220:52811986:1 gene:PAHAL_4G359000 transcript:PAN26262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MGAQEELRYLSGLGNTFSSEAVPGSLPVGQNSPLVCPRGLYAEQLSGTSFTTPRAQNLRTWLYRIKPSVTHEPFHPRPAHARLVGEFDRSTTAATPTQLRWRPPEVPLDPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANRSMDGCAFCNADGDFLIVPQQGRLLITTECGKMLVSPGEIVVIPQGFRFAIDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASPRDFLSPTAWFEQVHRPGYTIVHKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSRFCPFNTVLFDHGDPSVNTVLTAPTDKAGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRADANEPFRLSGTLAFMFESSLIPRVCRWALDSPCRDLDYYQCWIGLKSHFSHDNGATASEPAASP >PVH48564 pep chromosome:PHallii_v3.1:4:52809548:52811572:1 gene:PAHAL_4G359000 transcript:PVH48564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MWLYRIKPSVTHEPFHPRPAHARLVGEFDRSTTAATPTQLRWRPPEVPLDPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANRSMDGCAFCNADGDFLIVPQQGRLLITTECGKMLVSPGEIVVIPQGFRFAIDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASPRDFLSPTAWFEQVHRPGYTIVHKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSRFCPFNTVLFDHGDPSVNTVLTAPTDKAGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRADANEPFRLSGTLAFMFESSLIPRVCRWALDSPCRDLDYYQCWIGLKSHFSHDNGATASEPAASP >PVH47528 pep chromosome:PHallii_v3.1:4:5517583:5521453:1 gene:PAHAL_4G080700 transcript:PVH47528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLSIQSEATAKYHLNTIKNFSPFTKYYLGEKIGLFGEQGTGTQICIWNLDKWGTNYTLGWHSGKSSENPVRKGRGDILIRSRRVRSRQGQLSNKVPLDYSRQSYFEVMFLNLRMKISVQGSLVKSRPLARTLNNTSIMSGEILGRTIVLTLGMSKVERERMNCGFFLYWHGRLIEPYKRVGGQKHSADTGRGLIGVADILIDEEDGHHGFSTINKDLKIVRFMPNWRSGSVGKWMNTGMQNMIISK >PVH47316 pep chromosome:PHallii_v3.1:4:2179104:2181185:1 gene:PAHAL_4G034600 transcript:PVH47316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRQVQIERQGRAGGGRVVAAGVFAEDGRRGSAVAAAVLLVLLLRRGGAARQGGGGGAGRAVAPRLYGAAVAGRGRCCRRSRLRCGGGGGRPAVPRRGGRGAGEVGAGGGPAEGSGGGVVGARGGLGGVEGAEPDRGLLSRVPDLGGVAPRRPPPHAAVPDLRRRRRLRLRRLRPAHRGRRGRGAGGWGGGGERTRGSARVWPVVYFLPYPCSGLLPLRAPCPWSPWFFWSFLRSLASVSPPSRSRPSPPPPLHHPPPPRRAPACAPCPLGGGPWPCARALWRGCPTARPRWLAVIIF >PAN25932 pep chromosome:PHallii_v3.1:4:51187943:51197658:1 gene:PAHAL_4G335200 transcript:PAN25932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGVRNGGPRMSAKLDRQGAGATPKAAAGKQRLSSAAGAYRRTSSGPLPAAGGRASSDGVSSRVRVAVRLRPRNAEELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFSSQKRVYEVVAKPVVESVMEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPEIDSVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHAILMVNVRRSVKGRSEMDVSISGENGHSSSMIGSLRPPIIRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEVERIRAEAQCRIAEAERECKITLENEKMKYHQEYLDSIKVLEEKWKTQQQSPKRQIKEAESTSSDVGEAHNLLQNEKMLRQSAEDEASDLKNQITHWKKLEATATAEVVKLQKMLDTEASQKEKLEEEIGVLRSQLLQMSMEADETRRSLDKGDGPGKIFPGLDSLASQTRSSQPREQSNGPKQPIAKLSEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLVLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQAEMRRLGIKM >PVH47948 pep chromosome:PHallii_v3.1:4:32298625:32299126:-1 gene:PAHAL_4G195800 transcript:PVH47948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSLKPLLSLLLDKLSLLHLHKCVCHHPNGRGKCSNLSSDIWCVRLCLRSWRCCSSYTRATGLVVVSTSERCDIWKNELRIQRVILRELITV >PAN24512 pep chromosome:PHallii_v3.1:4:41537606:41538877:-1 gene:PAHAL_4G228200 transcript:PAN24512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMPLALLLLCLLVTHAYGSRPVLLRSTDDSGASPSSDACRPSIPSGHSNGNKLPLVHRLSPCSPLGGSGARKHGKASLDEILHRDGLPLRYLSGSGVQAAAAAAPAPASSATPASGLSVPATQNVISSLPGVFDYTVLAGYGTPAQQLPLYFDVSGMSNLRCKPCFSGSSGAAPCDRAFDPSLSSSFSTADVAPALSDYSGLAGVKYVPLVTNPRGPNFYYVDLVAITIDGKDLPIPPATFRGNGTMIDSQSAFTYLNPPIYAALRDEVRWAMAKYQPAPAFSDLDTCYNFTGLQYIELPDVTLRFGNGETMDLDDRQFMYFFREHLDDDFPFGCLAFAASPDTNFPWNLLGSQVQRTKEIVYDVWGGMVAYVPSRCGLR >PAN24089 pep chromosome:PHallii_v3.1:4:33747791:33751761:-1 gene:PAHAL_4G200600 transcript:PAN24089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLPPASSIRALAFHRRLRVPLLAPPRTLPRLGAAPARSIMAFSAEPASGEEKAEAEEESLHTTAAAGAGAGDGDGDGSEVSHEEWRRWGTSSPLPAAVAAVVRELLEMEAAAGEKMRFGGVGSKLKGDFKDMEDKKHRAVYETLGDSDQKLQYFSARQIGCRLLGSRGYLCHKCWLPTEDCMCANIVPCNLWRGMKFWLYMHPKDFLRQNNTGKLLWQVFGIQAAQLCLFGIQEHEDIMWDAFHRSGKGKISFLYPNKSIIPKSVKDLKFDGSSLTSDLHEMSSSDEPFNFVLLDGTWSNSAALYRRLKERWTAKWGDEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAAAGLLWELNLLPELSTYEFEKQAEAVECSLDILLDALTTRRVRLGRSITRKQRHNRNI >PAN24088 pep chromosome:PHallii_v3.1:4:33747791:33751677:-1 gene:PAHAL_4G200600 transcript:PAN24088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLPPASSIRALAFHRRLRVPLLAPPRTLPRLGAAPARSIMAFSAEPASGEEKAEAEEESLHTTAAAGAGAGDGDGDGSEVSHEEWRRWGTSSPLPAAVAAVVRELLEMEAAAGEKMRFGGVGSKLKGDFKDMEDKKHRAVYETLGDSDQKLQYFSARQIGCRLLGSRGYLCHKCWLPTEDCMCANIVPCNLWRGMKFWLYMHPKDFLRQNNTGKLLWQVFGIQAAQLCLFGIQEHEDIMWDAFHRSECIYICTGHYKCNASGLHILFYDLQKITASIKHLVCPYGKGKISFLYPNKSIIPKSVKDLKFDGSSLTSDLHEMSSSDEPFNFVLLDGTWSNSAALYRRLKERWTAKWGDEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAAAGLLWELNLLPELSTYEFEKQAEAVECSLDILLDALTTRRVRLGRSITRKQRHNRNI >PAN26001 pep chromosome:PHallii_v3.1:4:51614552:51617456:-1 gene:PAHAL_4G341800 transcript:PAN26001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDNDNDFGPAAARNKKQQPSPSRDRAARPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPAPGSPPGSASAAGNANSASSPIHRSLSRGLLTPRAALPALSARGAVVRAGDEDSLYYAGLRRCADDPYHPASNPDGLINLGLAENHLSLDLVGRWMEEHAGAAMLDGIAGVGEDARDLTIRGLATYQPYDGILDLKMALAGFMRQIMHESVSFDPSQMVITSGATPAMEILSFCIADPGNAFLVPSPYYPGWDRDIKWRTGVELIPVPCRSTDNFNISITALEIAYKQAKKRGVRVRGVLISNPSNPTGGIVPRETLHDLLEFAAEKNIHFISDEIFAGSTYGSDKFVSVAEVVDELEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNENIVAAAAKIARFSSVSTPTQRLLVSMLSDQKFISEYLTVNRERLRKMYHLFVDALKQVGIECFKSSGGFYCWADMSRYIRSYSQKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTALSEEDIPVLVERLRRVTDSHRSNS >PVH48495 pep chromosome:PHallii_v3.1:4:51613055:51617648:-1 gene:PAHAL_4G341800 transcript:PVH48495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDNDNDFGPAAARNKKQQPSPSRDRAARPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPAPGSPPGSASAAGNANSASSPIHRSLSRGLLTPRAALPALSARGAVVRAGDEDSLYYAGLRRCADDPYHPASNPDGLINLGLAENHLSLDLVGRWMEEHAGAAMLDGIAGVGEDARDLTIRGLATYQPYDGILDLKMALAGFMRQIMHESVSFDPSQMVITSGATPAMEILSFCIADPGNAFLVPSPYYPGWDRDIKWRTGVELIPVPCRSTDNFNISITALEIAYKQAKKRGVRVRGVLISNPSNPTGGIVPRETLHDLLEFAAEKNIHFISDEIFAGSTYGSDKFVSVAEVVDELEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNENIVAAAAKIARFSSVSTPTQRLLVSMLSDQKFISEYLTVNRERLRKMYHLFVDALKQVGIECFKSSGGFYCWADMSRYIRSYSQKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTALSEEDIPVLVERLRRVTDSHRVLHSGMQGCSGKNCAIHLFWVIDIEHLLATGTGNC >PAN26186 pep chromosome:PHallii_v3.1:4:52447732:52450027:1 gene:PAHAL_4G354000 transcript:PAN26186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase domain-containing protein Sgpp [Source:Projected from Arabidopsis thaliana (AT2G38740) UniProtKB/Swiss-Prot;Acc:Q9ZVJ5] MAAPTANGNPTVSSLATTVPVQAVLFDIDGTLCDSDPLHHVAFQELLLEIGYNNGVPIDDEFFIKNIAGRSDAEAAQNLFPDWELEKGLKFLAEKDAKYRSLAKERLEHVKGLGKVVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAIIIGGECEQPKPAPYPYLKALKELQVSAEHTFIFEDSASGIRAGVAAGMPVVAVATRNPEKSLLEAGASLLIKDYEDPKLWAALEEIDREEAKLKKASA >PAN25896 pep chromosome:PHallii_v3.1:4:51050194:51051972:-1 gene:PAHAL_4G332700 transcript:PAN25896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQSLDLGLSLGLGLAALQPSFCYSGNAAAAAAEREASPTAAEERERRCSPAGSPVSSGSGSGGKRAAAERSAGAGSGSGDEDDDGAARKKLRLSKDQAAVLEECFKTHHTLTPKQKVALASSLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCEQLAEENRRLGKEVAELRALNAAAAAPAPAPPLTTLTMCLSCRRVASSSPSNASSRIPATHAHVAANAGGMASPAAATLPGHRQFFCGFRDAGAAAYGTSSGLAKAVKAAR >PAN23812 pep chromosome:PHallii_v3.1:4:9483104:9485590:-1 gene:PAHAL_4G120100 transcript:PAN23812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G17120) UniProtKB/Swiss-Prot;Acc:Q9SHH0] MASAAEGVQEAAAAGRRYWRWSKAEFFPEPSFRSWRAYGGALLSTGQRLRDRVTSRSSEAVEAGTLLAQSENPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEARFDAGPAVPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDLAAFLAAGNILLEAVVGAAGLGRSWTSYLAALIGRDSDALRIHVPALADGFNLLDPIAVVVLCATSALAVSGARLTSTINSAASVVGIAIIAFVLGGGFAHFDPANLGPSFFPFGAAGVFRAAAVVYWSYTGFDMVATMAEETRNPGRDVPLGLISSMSAITAVYCAMSLALVGMQRYSEIDANAAYSVAFAAAGMKWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPRTGTPIYATAAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYYVAGATSPAQLRTFLAFLALIVFSSIGMSVYYNSGYARRWPGYVAFGALWVVGTAGMALCAKQQRAPKVYGVPLMPWLPAMSVATNLFLMGSLGSLAYMRFGICTAAMLVYYVFFGVHATYDMAHSEDQTTSTANAAIDGVEQGKIVPV >PAN25531 pep chromosome:PHallii_v3.1:4:49444403:49449081:-1 gene:PAHAL_4G308900 transcript:PAN25531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAQSKEYSAFGGAVFLCSHLTRKESFNKKIFGLSPRCADFVEKVKAGTTLFLYDVEQCKLHGVFEATSNGAVNIIPDAYVSSGRRYPSQIRFKRIWFCKPLMEGEFRDAVQNYSIKNKFSYGLSHQQVAKLLHLFSSRNRLQLCQNPRLKDDLPRELETSLVKVTDVQSSPTSSSCGSFRSPCQTCSSSTLGDKLTDSASLVHRGLQSDISDVAKSKCSQPPLHTGADTAMVTIPSNQEAMHEKSTDDFIPLPQEEDTLEGVDDLFGLLKDESPSSESKGSSDSEDHTTFHHVCVRKEKEDGCYPPMLNSKLRSDSEGRTSVFSRLVKTHKTYIQGKRSKTEASPPRGAQSFNSLSQRKKKQKAQHSKPFPCHNDRMLFMPSSDRLNRLPASNHSFVWRRSTKYSGGKQNEIQTFLEPLLCEDGNKWDVSGKQPVRYNSCKNSFVPKGCSKLMDSCDNELNMPAVFPGEHDSSEVNVKEEMRTSSMNVKQRANESRVEGGDQDFYSEDVEGTGRKKRQATASFPQECPSDIALVPKGTKTSDMLGISDENLKEKGIILSCKDAHTQLARPYFETNVLLQDEQQQSFQGSFEYDEEITSDSSLILESSTIMDTLGKHGFGDKKTSLKDEMQSHVADGHLGTEISLQQNETQSIRSCHRVVNGDKILLMGKFETMDILPKHGEDCENKKSLPSDGSGRLVTSCNLETEMPLLQKQTANIQSCSEVVHDYEVLVPEISKVMFPKFDADSGNKGTSMGSGYPEEVCHLVKSYQETVPSDAAPVLEGCGPLINSPAFHGDSAEKIILLDETSEHLSTDHQGTVMLSQVEHYRSCCGDTSSVLEYSTVGTSAEDGDSEHKNSFDQKDDESLYLVTDSKDYRNTANTSSSDGSRSCAPTDDQECSKPMLLKEEPYQNFQGNSNSLDSFAVLSEGCGSKSGISVDRTSGHLVADLLGTSSESRTSFVNDSSNGPAGTFSTSAFAVENADHTTNGSEAYAEPPILQHDPGEAMEQL >PAN25530 pep chromosome:PHallii_v3.1:4:49444403:49448326:-1 gene:PAHAL_4G308900 transcript:PAN25530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICLPFSLSIYMLPRNSVSFGMVKAQSKEYSAFGGAVFLCSHLTRKESFNKKIFGLSPRCADFVEKVKAGTTLFLYDVEQCKLHGVFEATSNGAVNIIPDAYVSSGRRYPSQIRFKRIWFCKPLMEGEFRDAVQNYSIKNKFSYGLSHQQVAKLLHLFSSRNRLQLCQNPRLKDDLPRELETSLVKVTDVQSSPTSSSCGSFRSPCQTCSSSTLGDKLTDSASLVHRGLQSDISDVAKSKCSQPPLHTGADTAMVTIPSNQEAMHEKSTDDFIPLPQEEDTLEGVDDLFGLLKDESPSSESKGSSDSEDHTTFHHVCVRKEKEDGCYPPMLNSKLRSDSEGRTSVFSRLVKTHKTYIQGKRSKTEASPPRGAQSFNSLSQRKKKQKAQHSKPFPCHNDRMLFMPSSDRLNRLPASNHSFVWRRSTKYSGGKQNEIQTFLEPLLCEDGNKWDVSGKQPVRYNSCKNSFVPKGCSKLMDSCDNELNMPAVFPGEHDSSEVNVKEEMRTSSMNVKQRANESRVEGGDQDFYSEDVEGTGRKKRQATASFPQECPSDIALVPKGTKTSDMLGISDENLKEKGIILSCKDAHTQLARPYFETNVLLQDEQQQSFQGSFEYDEEITSDSSLILESSTIMDTLGKHGFGDKKTSLKDEMQSHVADGHLGTEISLQQNETQSIRSCHRVVNGDKILLMGKFETMDILPKHGEDCENKKSLPSDGSGRLVTSCNLETEMPLLQKQTANIQSCSEVVHDYEVLVPEISKVMFPKFDADSGNKGTSMGSGYPEEVCHLVKSYQETVPSDAAPVLEGCGPLINSPAFHGDSAEKIILLDETSEHLSTDHQGTVMLSQVEHYRSCCGDTSSVLEYSTVGTSAEDGDSEHKNSFDQKDDESLYLVTDSKDYRNTANTSSSDGSRSCAPTDDQECSKPMLLKEEPYQNFQGNSNSLDSFAVLSEGCGSKSGISVDRTSGHLVADLLGTSSESRTSFVNDSSNGPAGTFSTSAFAVENADHTTNGSEAYAEPPILQHDPGEAMEQL >PAN24374 pep chromosome:PHallii_v3.1:4:37747903:37750866:1 gene:PAHAL_4G211400 transcript:PAN24374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALRLLPLLLLLTLTAPPAAAAASLETAAAAKNVSIDSATLSFADLTLLGDSFLRNGSVGLTRETGVPSSSAGSVLCTQPVAFRGPNGTVVASFAAKFSFVIANPNAGAAGGDGIAFFVSAGRATLGATGGYLGLFNSSGGPAKNGSIIVAVEFDTMANPEFADPSDNHVGLDLGSPVSVAAADLAASGIDLKSGNLTTAWVDYRSADRRLEVFLSYAPAAKPKRPVLTVAVDLSLYLKGPMYVGFSASTEGSTQQHTIKEWSFQTFGLPPTANASSFESNATSNSSEQAVPVSTAPHKRVGLALGILGPVALAVAFVFFAWVSIKKLIELTARNDGAFSPELLKGPRKFSYKELSVATRGFHASRIVGKGAFGTVYKAAMPGTATTTYAVKRSTQAHQSRSEFVAELSVIACLRHKNLVQLEGWCDEKGELLLVYEYMPNGSLDKALYGEPCTLSWPQRYTVAAGIASVLSYLHQECEQRVIHRDIKTSNILLDSNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVVLEVCCGRRPIDKDESGGGKNVNLVDWVWSLHGEDKLIQAADARLAGEFDRDEMLRLLLVGLSCANPNCEERPAMRRVVQILNREAEPVPVARKKPLLVFSSSASMKLQEIAFSCGDDVRGGYSVANPTSPKSEGADIER >PAN24696 pep chromosome:PHallii_v3.1:4:44425871:44427070:1 gene:PAHAL_4G245700 transcript:PAN24696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPAARANGGRTAARAAGGEVTAAAPKRSLADKPPFTLADIKRAVPPHCFRRSVARSCSYLLRDLAAVAALLYLALQAIPAGGPLRLAAWPLYWAAQGCALNSLWVVAHECGHHAFSEHALLDDALGFALHTVLLVPYFSWKHSHRRHHANSASLDRDEVFVPWRRSELPWYARRLHGSAPVRLAALALVLAFGFPLYLTCNITGRPYPRLANHYDPYSPIFSTGRERAQVVVSDAGVVAFSLALYRLARAAGFWTVARVYGVPMLVVNGWLVLITFLHHTDPEVPRYDDGEWDWLRGALATVDRDYGAFLNSAFHNIADTHVVHHLFPSMPHYHAKEATRAIRPVLGEYYRFDSTPIVRAAWRAAKECLYVEPDRRGGGVYWFGSKFNVEPEGRHDG >PVH48061 pep chromosome:PHallii_v3.1:4:42127072:42129653:1 gene:PAHAL_4G232100 transcript:PVH48061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNYSVGSVGLVASYDGDYTVAELEVDKGGERARLFRFRAGGDRWTQNDLSCPLPAEGREWAPAGVVAQKKTLWWFDLSWGLLSCDAFVADPVLLFHKLPEDRALGMARPDIHTHRCVTVSRRELRYVEIIPEDGGDGHDKEAATVSMWTRRMATPAGWEWDKKYSMRFKELWDDDTYKDTGLPRKVPVLSAVCPSNPDLVYFALEQRLFGVDLPVHKVVEAADEAHELVNMPWPAPASCRYVHAWNLPPWVARDLDLVRFSSSDEDEDQTEELDEEELVKLGMEAAMRMDPSTLKSEVDNFYDYEGPPSPEREVMRQMRGPFSFWLTSEEADADLMEVHRRIMKKRRREE >PAN26043 pep chromosome:PHallii_v3.1:4:51702221:51706320:-1 gene:PAHAL_4G342900 transcript:PAN26043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVKDVARRSTKKYVEEALYRRLFRKGSTPQAVREELDGFLDSRKRAFKWEVGVCVRRMRRNALYRPALKLSEVMARRGMNPTVSDQAIHLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKKLMTEKAESLMEKMKELNFAFTAMSYNSLMTLYTKVNQPEKVPSIIQDMKADDVLPAVFTYNVWMRALAAVKDIPGVERVIEEMKRDGRVDPDWTTYSNLASIYVDAGLFEKAEAALKELERRNTSNDIEAYQFLITLYGRTRNLMEVHRVWRSLKRNCPRKANMSYLNMIQVLSNLKDLPAAEACFKEWEAQYIHPPKKNTKGSEASTAEPESSPNAPSNESDVNGTKGAEELELKHPKYDIRVANAMIRAYIAEGMLDKAVALKKRAKRRGGRLNAKTWEIFMDHYLKAGDLKMAHWCADRAMKKGHSGGRIWVPPHSVTETLMGYFEKNKDVDGAEQYVGALKKVQKDLGVLVFEPLVRTYAAAGKKFPGMRQRLKIENVEVGEETARLLDSICVDQ >PAN25398 pep chromosome:PHallii_v3.1:4:44575429:44575879:-1 gene:PAHAL_4G247500 transcript:PAN25398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSWALALPPSSAQAKRCAFTTHQPCLPRARMAAGMPVTPTTPTTTMPLPSYQVSGAGAAPRHAASAGSSIGAFFGVLAAVLVLTVLSCVFGRVCAAQAERPDESYDCTRLALWRPRRAPRRRPPPAAEAKQQPATEGPPLPLPEP >PAN25346 pep chromosome:PHallii_v3.1:4:48390817:48399505:1 gene:PAHAL_4G294400 transcript:PAN25346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGAEADGCLRSFELYEAESKFYILGTNTDKTLWRLLTIDRMEPSELNVEEDSTVHSQSDYLDLLRLLDEDHRSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQRKIGDIFGHPVYQVTKTAMIELSNSKTRPKLTNSKDENRYKKLLQTIDLRKDFFFSHSYHIMRSLQKNISDPQEGWELYDTMFVWNEFLTRGIRNILNTTLWTVALVYGFFKQDKLAICGKDIMLTLIARRSRHYAGTRYLKRGVNDEGRVANDVETEQIVYEDLPGPRQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRKRYGNPIIILNLIKTREKRPREIILRREFDRAIKIINSGLPGEDHLRFLHWDLHKNSQSKSTNALQVLLKVAFEALNLTEFFYYQVSPDRRTESFLNLRLTLKNGFGPHVCDDNNNHGTADYVDDLDDISQDDTCGSSDPGNGIAEDNSEVNGSTQIKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSLESPEVHIDAPLSRHLMHFYERMGDTLALQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFEPQVGKPALWEPESGDEHVLDDDTSKLMKRARSDGSILNNSKPPISSKGPNGMLNSAFTSSKKEEQYPNWSSDSMHGMSSTSDNSVSKLRYTSSASHVKHISCELDYCNGSGDSNFLDLDWLSASDNDRSKAISTPDVNISTDNAVGDVSSGTTDAQTTKIQAHGLSKDFVQWVYQGEAFWY >PVH47422 pep chromosome:PHallii_v3.1:4:3776225:3777550:1 gene:PAHAL_4G057500 transcript:PVH47422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDHAFSITDDDDLVGGAVGGPRGAPVKEIAFAAALLAFGVLGVVAGSYMAAHQVGGDSAHGIFFAVLGVVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPM >PVH48192 pep chromosome:PHallii_v3.1:4:46656179:46658263:1 gene:PAHAL_4G272600 transcript:PVH48192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNIERDLHMATGDGQTSYTRNSRIQEKAFFQIKPILEKATRDVYTALLPRTMIVADLGCSSGPNTLCFISEVIGTIARYCKEVGLPHDHPQLQFFLNDLPSNDFNNLFKLVERFNKLTARNHQGEAPPPCYISGLPGSYYTRLFPRQSVHLFHSLFCLQWRSHAPEGVKGTRKTHQDKGDIYITKTTSPTLVKLFQQQFQRDIALFLKLRYEELVFGGHIILTCIGRKHEDVLRGESNHHLYGLLAQSLQSLVDEGLLKKEKLDSFYLPVYSPSIGEVVAIVEKSGMFNMNHIKLFETDWDPESHAVHDSVSSGVNVARCIRAVMEPLIASYFGETILDRLFKEYAHRVAKHLEKEKTKHAVIVLSMKKVI >PAN22587 pep chromosome:PHallii_v3.1:4:1875084:1877960:1 gene:PAHAL_4G029500 transcript:PAN22587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASGGDLREHLLDVDGGAAAPKIRVRGLRRLADGPAGDEILRGVDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGADIRGIDVLALRRKVGMLFQLPAMFEGTVADNVRYGPQLRGKKLTEAEVRNLLSMADLDPALSSKPASELSVGQAQRVALARTLANDPEVLLLDEPTSALDPISTQNIEEAIVRLKKARGLTTVIVSHSVKQIQRIADLVCLVVAGEIVEVLAPSQLSDAKHPMARRFLELSG >PAN22588 pep chromosome:PHallii_v3.1:4:1875084:1877960:1 gene:PAHAL_4G029500 transcript:PAN22588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASAGGDLREHLLDVDGGAAAPKIRVRGLRRLADGPAGDEILRGVDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGADIRGIDVLALRRKVGMLFQLPAMFEGTVADNVRYGPQLRGKKLTEAEVRNLLSMADLDPALSSKPASELSVGQAQRVALARTLANDPEVLLLDEPTSALDPISTQNIEEAIVRLKKARGLTTVIVSHSVKQIQRIADLVCLVVAGEIVEVLAPSQLSDAKHPMARRFLELSG >PAN22613 pep chromosome:PHallii_v3.1:4:1962814:1966947:1 gene:PAHAL_4G031500 transcript:PAN22613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRRLLPLFLRGGAPAFRALSTAAAPAAAAASVEEKESITVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDSAVEAARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRSDGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRRVSALQQRLLDGILAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVRQVKKLRDMSPLYEMAKAGIDLKSIEWSQH >PAN25416 pep chromosome:PHallii_v3.1:4:48750759:48758693:-1 gene:PAHAL_4G299500 transcript:PAN25416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRTEVFELQKQLARQQAQFDLLAGQASSLIQGRRARLSAMSTVSGQLLSLDEILSSRNLEMNAVLGRIAATTQELAHYHSGDEESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLMRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQAFLSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNVQATVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFIDFFCNPERLKNQVRELTSRVKALQD >PVH47588 pep chromosome:PHallii_v3.1:4:6544949:6547364:-1 gene:PAHAL_4G094200 transcript:PVH47588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSSSEDEELVEDFIDVEDDTGTENIDQGTGVVASQIHAIDPSDGTMPPTGNELLMAADMVGNNDEPRMGMEFESDAAARVFYNAYALRFGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKPVEPSNKKKRKRLSIRDGCPAMMEVVRRGPDKWVITKLVLEHNHVIASADRAREVQLRRLSGKFQEHENQLQEVRRNVFGDTDAQGLFDYFKRMQSVNSGFFCSIQVDSKNCVTNAVWVDARARMAYTYFGDAVYFDTTYSQNENMLPFAAFTGVNHHGDTVVFGCALILDRTESSYGWIFETWMAAMDKRLPFSFTTDEGKGMAAAVAKVFPQCFHRLCRWRILSRCKKKLTDVYTRFPGFHDELKRCINRCDTVPVFDMVWGSILDKYGLRDDTWLQSLYEIRHKWVPAYLTSSFFAELSLTHRVETVSRFHRNNFTARVSLSTFITRFDQYMDGLYANEAQKDIISFPPEQLLKINTDLEKQAASIYTRAAFETFQVELIEALQHYAVKVQDGPYMKYYVDRDGDPPTRHTVFYNVAEKKAWCDCCRFAFSAILCRHVLGVFVLAGVIMLPEPCITKRWTKKAKMGPELIGLNVGNDSGSADSVASRYNDLVRDAMKCAEKGAVSAGAFKVAKEVLSKAFMEIKGLGEKPNRDALHSAASR >PAN23867 pep chromosome:PHallii_v3.1:4:10480232:10486849:1 gene:PAHAL_4G126300 transcript:PAN23867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPLASALTLSKTPRAPSSGSHHSPPALVRRLQTLTRALASSSPQAMASPPAPKKVLVPIANGTEPMEAVITIDVLRRAGADVAVASVEPGSATIAAAWGVKLAADALLADIADAEFDLISLPGGMPGASTLRDCKLLENVVRKHVEKGKLYAAICAAPAVALGAWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVVLVEQLYGKEKAEEVAGPMVMRPQHGVEFSMKELNSISWNVGETPNILVPIANGTEEMEATMIIDILRRAKANVVVASLEDKLEIVASRKVKMVADVLLDDALKQQYDLILLPGGLGGAEAYAKSDTLMGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSYPAMWNKLADQSECKNRVLVDSNLITSQGPGTSMEFSLAIVEKLFGRERALELAKTMVFV >PVH47724 pep chromosome:PHallii_v3.1:4:11122311:11123114:1 gene:PAHAL_4G130200 transcript:PVH47724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSCRGNRICFGRYALQVLEPAWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMSGVSETVARAAISIAASKMPIRSQFLRLEI >PAN25358 pep chromosome:PHallii_v3.1:4:48477762:48478832:1 gene:PAHAL_4G295200 transcript:PAN25358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISYKCTPFSIVEVPTPFFSAEPRSMAVRSSWYLHPSSTMAMISPRLGIRRPALRLSTSAHGSGSPSHLSFAAATDNNKVFEDQLRGVVCYRDEKGEIVCEGYDEGPRLGMRLPEKACFPWPVGVQVTDFIQLATLPVFEDSEALQLKSGQKSQL >PVH48060 pep chromosome:PHallii_v3.1:4:42120909:42123078:1 gene:PAHAL_4G232000 transcript:PVH48060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTFEDNPHDTRLAVVRHFHRADGATTASAELVPARTSPVPALLNVGSVGLYSDHEGDYIIAELQVRKGRDHATLVSFEWDQQAWYSEDVESPFAEEDRDREWAPHGAVCVETTLWWFDLSWGILSCDLAGDHDLLFHRLPDGRALPMATPLMLTQRCIAESQGQLRYVEVIVPEVGGDDDDSGDDSEAARVCMWTMTFGPDGWRWEANYSVGFPKIWDDASYRETGLPRDVPIVAAVCPTDPNLLYFTLKRNIFGVNVANHRVVGNEVYDEKVNNARLDATQASVRYVLPWFLPPGLAPGAAASNFRG >PAN22704 pep chromosome:PHallii_v3.1:4:2436125:2437374:-1 gene:PAHAL_4G039100 transcript:PAN22704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLMTMMALAPSPLSVKRCFSSKETPGTTMLLLTKRSSSSSAHLLRRSWARGAATATATTAIRDEQELMQRLDEDGWVDRHLLPLLTPAEEAWQPADLLPSFSASADEQRSQVAELQARAAAVPDDLLVCLVGNMITEEGLPTYMSMGNRVAGASDATGCDGHAWARWLRGWTAEENRHGDLLNRYLYLCGRVDMRQVERTVHHLLRRGMRAIPRPSSPYHSLIYGAFQERATFVSHARTAGRASRHGDACLAKICGVIAADERRHEAGYTKASARAFETDPDGMVRALAAVMRAKVTMPGELMTDGRDERLFDHFSAVAQRSGVYTAADYGDMVEHFVRRWKVAELGADLSGEGRRAQDYVCGLPRKVRRMEELAHDRAAKMEAQSVSFSWVFDRPVRIR >PAN25845 pep chromosome:PHallii_v3.1:4:50765791:50769096:1 gene:PAHAL_4G329500 transcript:PAN25845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAALVLALYSPPSPSPPLSSRPRALRSDTLLSLRAKPRARARVCAAAAASGSSNFGNQTSLMPPFSFTLDEGSSRSKKPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTKLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPRHNPNAHLLETVSYHEVTTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTRNEEQNGNTLCEEKRLVNEA >PVH47945 pep chromosome:PHallii_v3.1:4:32090373:32094732:1 gene:PAHAL_4G194900 transcript:PVH47945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGDDSRALVPARPRAYAVAPLRTRTPPLRQKWEVEYARYFGTPRREPSAPPPPGLRHITRGIQRHQGTWLPASSPAALCISCPTLPSAVPVLTVSIGDVVFEEHFVSILNFSWPQVTCVTQCPIRGSRVVFMSFCDKSRQIQKFAVRFPQLCDAESFLNYVKECSCETMDIIPSGSDYVCEDSSASEYIASNGLHHRPDDASSFEEQASDHMIEAPTMSYHEEPDLPVIEPLSGSNTNYSYSGFPPSFSQMLTNCSTENAQDTEEPYPVGTTNHAPQEVYALDTSHDVAVATEEMTADKGTDAGEEIDTSILTGDIMTRIKVPFLLTIFWLVFPVVKYLYLVTSCVLRLQTYMADDSFNGMFSLPQCRTPS >PAN24283 pep chromosome:PHallii_v3.1:4:32090373:32094732:1 gene:PAHAL_4G194900 transcript:PAN24283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGDDSRALVPARPRAYAVAPLRTRTPPLRQKWEVEYARYFGTPRREPSAPPPPGLRHITRGIQRHQGTWLPASSPAALCISCPTLPSAVPVLTVSIGDVVFEEHFVSILNFSWPQVTCVTQCPIRGSRVVFMSFCDKSRQIQKFAVRFPQLCDAESFLNYVKECSCETMDIIPSGSDYVCEDSSASEYIASNGLHHRPDDASSFEEQASDHMIEAPTMSYHEEPDLPVIEPLSGSNTNYSYSGFPPSFSQMLTNCSTENAQDTEEPYPVGTTNHAPQEVYALDTSHDVAVATEEMTADKGTDAGEEIDTSILTGDIMTRIKTYMADDSFNDMLFKLEKVIDELGGDMSL >PAN25487 pep chromosome:PHallii_v3.1:4:49287518:49291777:1 gene:PAHAL_4G305800 transcript:PAN25487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAEEPEDTERLGFGEEGVEEAAEFPLGQMERVCENTTSADFRQNKLSNFVPVIRSGDWSDIGGRQYMEDAHVCIPDLSKNFGFPSLNNEVVSFYGVFDGHGGKDAAHFVRDNLPRVIVEDSDFPLQLEKVVRRSFIHIDCQFAETCSHHRAQSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLTEKLRIESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARRRLQEHNDVKVCCKEIVEEAIRRGASDNLTAVLVSFHVEAPPQIKVDRPGRVARSISADGLNSLRILLGRQ >PVH47233 pep chromosome:PHallii_v3.1:4:663949:667529:1 gene:PAHAL_4G009800 transcript:PVH47233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPAAPLLARAPAHTRLRHGGAARAAPPRPVATARPAGAKRSSLRAVRVSFFSSDGPRFGVDEALRPEVEAAAVPRSVPVRVAYELHQAGHRYLDVRTEGEFSAGHPEGAVNIPYMNKTGSGMTKNTHFLEQVSRIFSKDDEIIVGCQSGKRSLMAAAELCSAGFTAVTDIAGGFSSWRDNGLPITQ >PAN22293 pep chromosome:PHallii_v3.1:4:664005:667529:1 gene:PAHAL_4G009800 transcript:PAN22293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPAAPLLARAPAHTRLRHGGAARAAPPRPVATARPAGAKRSSLRAVRVRFGVDEALRPEVEAAAVPRSVPVRVAYELHQAGHRYLDVRTEGEFSAGHPEGAVNIPYMNKTGSGMTKNTHFLEQVSRIFSKDDEIIVGCQSGKRSLMAAAELCSAGFTAVTDIAGGFSSWRDNGLPITQ >PAN25078 pep chromosome:PHallii_v3.1:4:46725485:46729719:1 gene:PAHAL_4G273000 transcript:PAN25078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAPREPMKQRVNRCLLRLSDRDTEAMAAAELDAIARELDADELPVFVAAVSDARPTDRTPLRRHSLRLLALVAGEHPREAVAPLVPKLVAAALRRVRDPDSSVRAALVDAARAAAGAAQAPPAALGPLTDALLHEQEQCAQLAAALAAAAAVEASEPTDDLAAYLRALLPRLLKLLRSAAFKAKPALISLIGTASAASGGGAASTAVPSLRDALTGDDWAARKAAAEALALLALEHGDDLISHKSSCITVFEAKRFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSDMPPSSQARSSLSETVSDGRYPTDSMGSNSAPSISRRNSWPTNRQPPPDSLHNASNRKASPPSTISKKNLPPSHPSADQAKNYEEKVDVTVTPDATPIKMVTEEKLLKEGNVRERLEARRVLFQKTGEKGYKKLVGPKSGSRVVPYNGDGDLEETAGNQDAPEEFQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRIPNSEPDTNACCILSPKFWRRHDGGRYTSRYSISDAPDYSEESKASYKWERQKFGGQGGGLVTNPLAGPNTSSVRSTSIIQEGRRRDSAQYMSRLG >PAN25669 pep chromosome:PHallii_v3.1:4:46725824:46728862:1 gene:PAHAL_4G273000 transcript:PAN25669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAPREPMKQRVNRCLLRLSDRDTEAMAAAELDAIARELDADELPVFVAAVSDARPTDRTPLRRHSLRLLALVAGEHPREAVAPLVPKLVAAALRRVRDPDSSVRAALVDAARAAAGAAQAPPAALGPLTDALLHEQEQCAQLAAALAAAAAVEASEPTDDLAAYLRALLPRLLKLLRSAAFKAKPALISLIGTASAASGGGAASTAVPSLRDALTGDDWAARKAAAEALALLALEHGDDLISHKSSCITVFEAKRFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSDMPPSSQARSSLSETVSDGRYPTDSMGSNSAPSISRRNSWPTNRQPPPDSLHNASNRKASPPSTISKKNLPPSHPSADQAKNYEEKVDVTVTPDATPIKMVTEEKLLKEGNVRERLEARRVLFQKTGEKGYKKLVGPKSGSRVVPYNGDGDLEETAGNQDAPEEFQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRIPNSEPDTNACCILSPKFWRRHDGGRYTSRYSISDAPDYSEESKASYKWERQKFGGQGGGLVTNPLAGPNTSSVRSTSIIQEGRRRDSAQYMSR >PVH47764 pep chromosome:PHallii_v3.1:4:15555254:15559199:-1 gene:PAHAL_4G145200 transcript:PVH47764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPLLQSGLNLWVGSVGSTTIAARSTVEFPGTVIQKVPPRMTPIQFLRELEKRLRVKHRIHITACHLRSAIHSKLRDLGYSIPIKELTKGMSGRGCLLDAVQLAETLGKDGLKSPQVSVLWGTVKHIQQRSRGISLLHSSGQSKVPSGVQQAVSRSGMSVLKNKLYTPFGRKAVGEGRGHWAGSFSSEFPIQIEAPIKKILQRLRDRGLIRRRRPRPIHVVSLINVSDRDIVNWSAGIVISPLSYYRCCDNLYQVRTIVNYQIRWSSIFTLAHKHKSSARNIIPKYPKDSNIVNQEGGKTLAEFPNSIELGKLGLGQDPNNGGALNYMFNK >PVH47436 pep chromosome:PHallii_v3.1:4:4099364:4100914:-1 gene:PAHAL_4G062700 transcript:PVH47436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCRVFRSAARNASILACLTLALPCPPRPDHPPIPSRHSKILSSQTLRPNPEFENATPRPPSLPPPGSSTRGAPSALSTDAPGVFDEMPPPLPASPALPRRSPFAHAHQVLGGTHALGFCSAARHSTDDQAGVEPPRFTVVPGDTAVNGPGTGAGVGVSEAAERVCRVVSTQPELGIASALDALRVAVSPELVAEVLKNLSNAGMLALAFFRWAERRDGFRYTAESFDNLIEALGKTKQFRLMWSLVETMRCRCLLSKDTFKLIVRRYARARKVKEAVETFEKMSSCGLKTELSDYN >PAN23545 pep chromosome:PHallii_v3.1:4:7334148:7337485:-1 gene:PAHAL_4G102100 transcript:PAN23545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQINNYIKLDAYRDDTFAKFELLFARKNMLVAGAILTHVLLFLCSDLVCCLVFFYTHKANDAASPCLRLVLEDQA >PVH47659 pep chromosome:PHallii_v3.1:4:7962326:7967090:-1 gene:PAHAL_4G108800 transcript:PVH47659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSLPPRRRHLLLRLWPRRKPTLLRLALGAYAAALSTAPTATSAGARAAATPISDRLRTLRSLHAVDPDNLLSHPLPSSAHVCLAAHLAARARLFAHSRRLLARLLGTGHRPHLAASLVDLLHRAALALGPRHSALPSVADTLLSLLADRGLLDDAVRAVARVRELRVPPNTRTCNHILLRLAHDRRGGLVKRLFDQLPAPNVFTFNIVIDFLCKEGELAEARALLLRMKAMGCSPDVVTYNSLIDGYGKRGELEEVELLVGEMRKSGCAADAVTYNALVNCFCKFGRMEKAYSYFGEMKKQGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMMPNEFTYTSLVDGTCKAGRLDDAIVLLDEMVQQGVALNVVTYTVLVDGLCKAGKVAEADGVLRLMDRAGVKANELLYTTLIHGHFMNKNSERALDLLSEMKNKGMELDVSLYGTLIWGLCNVQKVDEAKKLLHKMDGCGLKPNNVIYTTIMDACFKAGKESEAIALLHKMLNSGFQPNVVTYCALIDGLCKAGSIAEAVSHFNKMRDLGLEPNVQAYTALIDGFCKNGSLDKAMQLLNEMVDKCMSLDKVVYTSLIDGYLKQGNLQDAFALKAKMIESGLQLDLYTYTCFIWGFCNMNMMEEAREVLSEMIGNGITPDKMVYNCLISKYQKLGNMEEASSLQTEMESVLISCKEDDTVSGGET >PAN24253 pep chromosome:PHallii_v3.1:4:15111547:15113790:-1 gene:PAHAL_4G143700 transcript:PAN24253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTVTEPDNAEPYPSTPPPKKVAYELAARNIYYAKPAPAPRSFARLVARPCGAAPAPPDYILRDVSLTAKAGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNSAPLRPSSFRRLSAHVPQADVALTLLTVSETFAFAASLLHPESAPAASAAVAALLADLRLAHVAHTRVSPARLSGGERRRVSIGLALLRNPGVLLLDEPTSGLDSSSAHVVVSCLRAVAAARGTTVVLTIHQPSARLLSAVDSLLLLSRGTLLHHGSLASLDAALLSHGLVVPAQLNPLEFALEIVDQLPHPPPSTTPEPKSTQELTSPSDQRKAAAATSSTASPSSRLHELVVLYKRAWKVVFRSKQLLLTNFLEAVLVGTLLGTIYIHAGYGEAGAHKRLGLFAFTLTFLLTSTTETLPTFVSERPIVLAETAAGLYRLSSHAAAATLVFLPYLLAVALLYSVCVYFLVGLCASAGAFAAFVLVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLPRGSMPSYWVFMHYASPYKYALDALLANEYSCAADRCFGVAGGGECSETGRDVLAEKGLTAEERWTGVQVLFGFFLLYRVLYWVVLSRRASRAKR >PVH48523 pep chromosome:PHallii_v3.1:4:52100337:52102320:-1 gene:PAHAL_4G349000 transcript:PVH48523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASYIVGSLVGSFAIAYLCDTFVSDKKAFGGTTPHTVSDKEWWKATDTKFQAWPRTAGPPVIMNPISRQNFIVKSTE >PAN26123 pep chromosome:PHallii_v3.1:4:52100634:52102271:-1 gene:PAHAL_4G349000 transcript:PAN26123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASYIVGSLVGSFAIAYLCDTFVSDKKAFGGTTPHTVSDKEWWKATDTKFQAWPRTAGPPVIMNPISRQNFIVKSTE >PAN22462 pep chromosome:PHallii_v3.1:4:1353803:1354147:1 gene:PAHAL_4G021200 transcript:PAN22462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGGGGGGGGKGGGGGGGGGGGKGGGGGGRSGGGGGGKGGGSGSGGAHGSGSAKSGSGGHAGHGGGGAGKASAGGCGGGMMKAPGGDGGCISRSDFESNPQGYFQGLHQGGK >PAN24918 pep chromosome:PHallii_v3.1:4:41442858:41445102:1 gene:PAHAL_4G227600 transcript:PAN24918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVTMKLGLLILLSITTSHQVLAAQQQHTINVQSLLSSEMCSSEPAAPDSVNPSSRPGAGSTHQIVRRACVQTGDHKTTLSDHYAAVLHRDLHRVRSIHRRLTGPQSTTTIPAHLGLPFHSHEYVVTIGIGTPPQNFTVLFDTGSDLTWVRCTPCAASSCHAQEEPLFEPKNSTTYADIPCDAPECRIGGFQETSCGVNGSCAYTVQYGDKSQTFGNLAKETVTLSPEAPPATGVVFGCSDNTTTPFQNTGVAGLLGLGRGNSSILSQTRGTGDGGVVFSYCLPPRASSIGYLTIGVAPQPSNLTFTPIKTAAEPLLSSVYVVDLSSISVNGEAVPIPAAAISAATVIDSGTVITHMPAAAYYPLRDEFRRHMGNYTMLPEGLAGRLDTCYDVTGHDVVTVPPVAFEFGGGARIDVDASGILSVAGASGAAVACLAFVPTDSVDIMVIGNMQQRAYNVVFDVAGERVGFAPNGC >PAN22605 pep chromosome:PHallii_v3.1:4:1942368:1944938:1 gene:PAHAL_4G030800 transcript:PAN22605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLLPPPRRAAAPHLIPTVPHLARLLLSHAPATPPLLLSLLPACPALLTPLLSHLLLSHSPPLPALSLYRSLLALPHFPVTESSLPVLLRLLARSRRHAHLSFPLLESLPSTHPHLLSTPALAVLLSTALSASAPGASFDAAVTCFDSAARVWARAGRAFGAAELNALLRAFCARGRVAEARALFHRYCDAYPPDTRTFNTLLLGFKEAGHAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGKFVDALELLDEMSKRENCKPTLQVFTTLIYGAGIVRDATRARFLFDEMEKWGVTPDRGAHNALMGAYVRARDLKSAMAVVDKMERKGIGLDDVSYNTMFCGFQRVGDLEGIWKVYSKMVGSGLMPRTRTTMLLMKVFCENGRPDLGLELWDYLMGKGCVPHRHALDILVTGLCCRGVVSEAYRCFREIIEMGMAPTERAFRVLEGFLRRTREYGKVEEIRQMMKVAQLEGHQIEEEAV >PVH48248 pep chromosome:PHallii_v3.1:4:48077297:48079436:1 gene:PAHAL_4G289300 transcript:PVH48248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQGGMGKICLLGSPGPDFIVDEAVVVASWVEDGKRKVKLLGYEGKVFEVYKVVDIAASSVEGGGKKFKFKSSDGVVFEVDKALVMQSLTLRSFCLNCTDSIPVVKFNSEILDKKSWSTARSTPTATPRNSRTGMRISSRLTRPWLKTFWWLDTI >PAN25260 pep chromosome:PHallii_v3.1:4:47948021:47963204:-1 gene:PAHAL_4G287300 transcript:PAN25260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQHWNASPAAEPVGKDSPTMTSSSPRRAGEAPLGAPAASMLDATEAKESVTVTVRFRPLSPREVRLGEEIAWYADGDTIVRSEQNHGIAYAYDRVFRPTTTTRHVYDAAALHVVRGAMDGINGTIFTYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPSRQNLRIREDLQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGEFSEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHVPFRDSKLTRLLQSSLSGQGRVSLICTVTPTSSNSEETHNTLKFAHRAKHIEIQASQNKIMDEKSLIKKYQNEIRQLKEELDQLKRGILSGTPLKDASEDSDILWKQKVLEDGNVKLQSRLEQEEAAKAALLARIQRLTKLILVSTKATQNPRLFQHPIPRRRHSFGEQELACLPHRSQDIVLNCESNDLFVSMEGFDETLEVSSKWEKKNRKGFLNWFKLRKHDGGSAALTSSDGDQSSLRKALTAPSTPLGNGLNFQTEQGKSNSLLPENVSAVLLSVDHEEFHSDGLCGEEASLVSRKRTDHVNLLREQLKILSGEVALHKSFLKHLMEEAGRSTMNEHIEMEMKKVNEEIKGKQQQIENVERQIKGKLDQLEHPLSHAELLEQLNEKAFELEVKTADNRILQDQLQQKGSECQKLQETVAHLQEQLSQALEANDLLSESIIFQPNTDIIVQIGSQVHKLDPASLDVSDELCQKAQLEIDELKRRLRGLTEAKAQLEARNQKLLEESMYAKGLASAAGIELKALSGEVTKLMNHNERLASELASARNSTQRRVSNGQKVGRRDTYTKRHEPASRRDVHASYEREQALEVILMEKDQREAELQKKIEESKQKEAILEGELANMWVLVAELKKGRGVDQDDMDAKLNGS >PAN25259 pep chromosome:PHallii_v3.1:4:47948015:47963244:-1 gene:PAHAL_4G287300 transcript:PAN25259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQHWNASPAAEPVGKDSPTMTSSSPRRAGEAPLGAPAASMLDATEAKESVTVTVRFRPLSPREVRLGEEIAWYADGDTIVRSEQNHGIAYAYDRVFRPTTTTRHVYDAAALHVVRGAMDGINGTIFTYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPSRQNLRIREDLQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGEFSEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHVPFRDSKLTRLLQSSLSGQGRVSLICTVTPTSSNSEETHNTLKFAHRAKHIEIQASQNKIMDEKSLIKKYQNEIRQLKEELDQLKRGILSGTPLKDASEDSDILWKQKLEDGNVKLQSRLEQEEAAKAALLARIQRLTKLILVSTKATQNPRLFQHPIPRRRHSFGEQELACLPHRSQDIVLNCESNDLFVSMEGFDETLEVSSKWEKKNRKGFLNWFKLRKHDGGSAALTSSDGDQSSLRKALTAPSTPLGNGLNFQTEQGKSNSLLPENVSAVLLSVDHEEFHSDGLCGEEASLVSRKRTDHVNLLREQLKILSGEVALHKSFLKHLMEEAGRSTMNEHIEMEMKKVNEEIKGKQQQIENVERQIKGKLDQLEHPLSHAELLEQLNEKAFELEVKTADNRILQDQLQQKGSECQKLQETVAHLQEQLSQALEANDLLSESIIFQPNTDIIVQIGSQVHKLDPASLDVSDELCQKAQLEIDELKRRLRGLTEAKAQLEARNQKLLEESMYAKGLASAAGIELKALSGEVTKLMNHNERLASELASARNSTQRRVSNGQKVGRRDTYTKRHEPASRRDVHASYEREQALEVILMEKDQREAELQKKIEESKQKEAILEGELANMWVLVAELKKGRGVDQDDMDAKLNGS >PVH48379 pep chromosome:PHallii_v3.1:4:50071635:50073907:-1 gene:PAHAL_4G317800 transcript:PVH48379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAAAAEDARVAKRARLAPPAGDADLISGLDDDVLLRVLGLVGDARDAARTGALSRRWLGLWTRAPALRFSSQPGGFWRAAPASAASLERYAASVDAALARRARSGCAIERLSIAYAAGSEHYPVEQPSFADAAEWWIPCLRDTVTERILEQLMPASVRAARGWIGYAFRHGVKSFDLDLQLPLVRSNFLWERDGVEEVELDDELPSAVRLETMRLALGGAQLRLPAAMTFASLTNLSLERIGIAAGGAALLGHLVSSATCPRLQKLRVRWIYLPAFHEEMAIEADVLSELWMEDVRILMSLKLRTPRLRVLHIYKCFHVALRISAPRLEELAIIFQPACPPRWLEIDGDLPCVRSLKICLWSHLSRFSGYREADNDKNMLLLRQCSSLTCLQVFLRGAKASKKDVDMIKSRVPHLPHITSLAVNVACSFKRHGYGASVASLLTRFSNLRRLSLHLPFFDELGNNLPAGLDLLCHHRYHWKSNEISMAHLQEVELTGLTGTDCEVWFMKTMLASAKGLFKVAISFNPYCWQHQGKMDAFERMLLDEGMWTSHRDTHMLTCLRESIPAYITCEM >PAN22358 pep chromosome:PHallii_v3.1:4:939256:939822:-1 gene:PAHAL_4G014000 transcript:PAN22358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLLLPSPLPLLLHRPSKPLLLHPRRRLVCISPAASDGSSGDPTTAASEPATDTVIQPSPPAAAPSSGKPTGIKNRLKARNQARRFQLDAPPEEVIPKKKASASAAAPRREKQRERKGWEEMSLAEKAVELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSLGLYQLDAPPLPPTAVFGGSP >PAN23494 pep chromosome:PHallii_v3.1:4:6782584:6786408:-1 gene:PAHAL_4G096200 transcript:PAN23494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARRGRGGGGVNGVVRPRPRDRGDGGGGSMAGRVAVLAFCVAGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVVCFIWSFIMIKLWSSGSSSAGHAPLWKYWGVSVTNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTFPEYLCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYALCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVKFCQENPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSPKQWGSVVMVFSGLSIQIYLKWKKKKGREHKE >PAN24432 pep chromosome:PHallii_v3.1:4:40145324:40149314:-1 gene:PAHAL_4G220700 transcript:PAN24432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWDSILPGGGRRFIKRKDSDAGEAGRALEELRGALYNDFHTSEGAKRQQQRFCGPIVALTFNFVVAVGIIMANKMVMGTVGFNFPVALSLIHYLFAWALMAVLKALYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVVAEFILFQKKVSIRKVITLVVVSFGVAVATVTDLEFNFFGACVALAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITIFFFIVLMPMLDPPGLLSFKWEFKNSSAIIISALFGFLLQWSGALALGATSALAHVVLGQFKTIVIMLSGYLVFNSDPGLTSLCGAVIALAGMSVYTYLGMKESATSGKRNSLNSRQSSHLLKSKVTTDGEKQETRTVDSV >PAN26259 pep chromosome:PHallii_v3.1:4:52697885:52699642:1 gene:PAHAL_4G358100 transcript:PAN26259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARRPFRRGAPPPGTGYVRRGPPADAAKPRGPAAPVPLRKPVFTTIDQLRPQTHGHTLTARVLSARTVLEKHSTHVGRTRVAECLVGDHTGTILVTARNDQIDLVKPDTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTDAAEFKVKEDNNMSLVEYELVDVAEEED >PVH48064 pep chromosome:PHallii_v3.1:4:42301307:42302882:1 gene:PAHAL_4G233100 transcript:PVH48064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWACYYIAHGGDEHGFSVTLEPHRATVSMPLVHRHGLRAPSQNSDKPSFTERLRRNRARANYIMSRASHGMASTRGDDANVSIPTHLGGSVDSLEYVVTVGLGTPAVPQVLLMDTGSDVSWVQCAPCNSTQCYPQKDPLFDPSKSSTYAPIACDTGTCRKLGDHYQNGCTDGGAQCGYAVEYGDGSKTRGVYSNETLTLAPGVTVKDFHFGCGHDQRGDNDKYDGLVGLGGAPESLVVQTSSLYGGAFSYCLPAQNSKAGFLALGAPSANATGFVFTPMRHLPDVATFYMVTLTGISVGGKQLDVPPSTFRGGMIIDSGTVVTGLPHTAYNALQAAFRKAMAAYPLLPNGDLDTCYNLTGYSNVTVPKVAFTFSGGATIDLDVPNGILLEDCLAFHESGPDVGLGIIGNVNQRTLEVLYDAGRGKVGFRSGAC >PAN23804 pep chromosome:PHallii_v3.1:4:9376895:9379296:1 gene:PAHAL_4G119300 transcript:PAN23804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVEMHVNIDCDGCEGKVRRALERLGGVHSVSIDRMHGKVTVTGSVSQKKVLRAARRTGRLAVLWPSAYNHPAYHHHAYAQPPAAYYQYQHHAKPPAQAQAQHHYYYSSVPRGSKSGVVSAVAGKNPVAQYPQAKASSYNYHVHGYYDSELYGNYHEQPDVVPAAVRNYFSDENPSACSIM >PAN24740 pep chromosome:PHallii_v3.1:4:44761808:44764667:1 gene:PAHAL_4G248300 transcript:PAN24740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALGAVTARPHLHPALALALPAATARKFLLRSRAASTMDEASANSPDSEKKTTTVFVAGSTGRTGKRVVEKLLAKGFGVVAGTTDLQRARGSLPLDPNLQLVTADVTEGADKLVEAVRGVDAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILVSSILVNGAAMGQLLNPAYIVLNLLGLTLVAKLQAENHIRRSGINYTIVRPGGLTDQPPTGNIVMEPEDTLYSGSISRDQVADVAVEALLCPESSYKVVEIVARADAPNRPLQDMFAAIKQT >PVH47276 pep chromosome:PHallii_v3.1:4:1588369:1591935:1 gene:PAHAL_4G025200 transcript:PVH47276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAPEGSQFDAKHYDSKMQELLSTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >PVH47275 pep chromosome:PHallii_v3.1:4:1588387:1591935:1 gene:PAHAL_4G025200 transcript:PVH47275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAPEGSQFDAKHYDSKMQELLSTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >PVH47796 pep chromosome:PHallii_v3.1:4:18257179:18257928:-1 gene:PAHAL_4G154400 transcript:PVH47796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDDSRVGVNMKLELWRHSLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYIGSMLQKDGDIDEDTSGVLCDKKVPQRLKGKFYRTAIRPVMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRKDRVRNEEIRDRVRVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVRRGRGRPRLTWDETVKRDLNE >PVH48400 pep chromosome:PHallii_v3.1:4:50445180:50445943:1 gene:PAHAL_4G323700 transcript:PVH48400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEGDDDA >PAN25973 pep chromosome:PHallii_v3.1:4:51362268:51363396:-1 gene:PAHAL_4G338200 transcript:PAN25973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKILAFSILSSSPADISASGFSTQLSWRTPSAGSQKQQQLQRQAEQAPKQQEGKAAEQRGPRPAAAERKARFAPEFDGINCFESIVSS >PAN24464 pep chromosome:PHallii_v3.1:4:40419741:40420396:1 gene:PAHAL_4G221900 transcript:PAN24464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSLIVFAVALAMAMAFAATSSSAQNTPQDFVNLHNKARAAVGVGPVTWDAKVARYAQSYAAKRAGDCAMVHSNGPYGENLARGTALSAADAVKMWVDEKAHYRYNTNSCDPGKECRHYTQVVWRRSTRIGCARVVCSGNRSVFVICSYDPPGNVRGQRPFARA >PVH48108 pep chromosome:PHallii_v3.1:4:45030104:45035581:-1 gene:PAHAL_4G251900 transcript:PVH48108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHNEAYLNRNYYLYVNCVLPEASTFGLVIDQDGDVAGLAFFVGWSKTAVLPSFIIRTVIEMERNFRCIARPVHDLCLRAVQILDIHKREEILYVHNIDNGYIVDEVTIKSTAEIVGIREGDVIISFNGMHSQTMPELENYLLSLGLKFLEKKNESYKVVLKLKVYDPIESQEHIVNLPLGFSCSPSVKKRAKVQVTEKIPPSARKPLLC >PVH48143 pep chromosome:PHallii_v3.1:4:45753869:45755257:-1 gene:PAHAL_4G260800 transcript:PVH48143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPAAGARDATACPLGLQTLIAADSDAPTSSSSSPWGGNVATRTIAASARAVRCLASASGGGVGDGCDGREGEEGGGCWVSYGWRRRPRRLPPPIPSLRPLARERTADGRLVISREGAAHRVGARKVEDRRLVLELVDERDGGAAAPAHQQRPRRWSHPLDGHQEAKPAAGEEAAARAPAASPVPAEACFEGAIRAASRRGMRMSLPRMVH >PAN26128 pep chromosome:PHallii_v3.1:4:52117111:52119342:1 gene:PAHAL_4G349400 transcript:PAN26128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKYIIAGLAASFIIAYGSDVLVAQKKVFGGTTPRTVSDKEWWEATDKQFQAWPRTAGPPVVMNPISRQNFIVKDLKP >PAN22230 pep chromosome:PHallii_v3.1:4:237203:240059:1 gene:PAHAL_4G004700 transcript:PAN22230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGNARELDQTPTWAVASVCGVIVIISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLVFGQNYIIKICISNHAADTMLPCKLKAVEAVAAGGGGGEGGGQEHGGAGVPEKNKGGEAEAGAEHLGGVVAWAPPYYAGGGAGSSWHHSSSRLLGEANMKTKCPDGKVSLISINALHQLHIFIFFLAVFHVSYSAITMALGRAKIRAWKEWEKEAAGQDYEFSNDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRKADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVNGWHTMLWISIMPVVTILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFARPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKEFVFARLCLGVVVQVLCSYVTLPLYALVSQMGSTMKQSIFDEQTSKALKNWRAGVKKKAPTSSKHGGHGGSPAGCSPRGGSPTKADAEKKNAAHQQQGDAAGTGLQAGAAKKAAAGQQEGEYEFIKIDE >PVH48236 pep chromosome:PHallii_v3.1:4:47909161:47910684:-1 gene:PAHAL_4G286800 transcript:PVH48236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRRPQPALQLGSDPTTSTTAPQTPQRSAARSRGWLGAAGGGEDREAKLLHAGADLGHKSGVARRLALPQVRRVSWLSLQLRFLRKLHSRRRWPDLAESVASLWLSAAQDDDNVVADRGGRSMGGAQQHGVGAGDGGHRRFGAVSNGHRSTEQKDGVARAGGVGGKAEPEAKAKAEERAASNGALQLALVPAVAVSGSNGGGGAKKRRGPAVLLEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSAAAAAAAAAARGRLGRTEHGARTTVPAAVGAASMVTITAAPPPRAEAPPSLPPC >PAN25252 pep chromosome:PHallii_v3.1:4:47908580:47910772:-1 gene:PAHAL_4G286800 transcript:PAN25252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRRPQPALQLGSDPTTSTTAPQTPQRSAARSRGWLGAAGGGEDREAKLLHAGADLGHKSGVARRLALPQDDDNVVADRGGRSMGGAQQHGVGAGDGGHRRFGAVSNGHRSTEQKDGVARAGGVGGKAEPEAKAKAEERAASNGALQLALVPAVAVSGSNGGGGAKKRRGPAVLLEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSAAAAAAAAAARGRLGRTEHGARTTVPAAVGAASMVTITAAPPPRAEAPPSLPPC >PAN24146 pep chromosome:PHallii_v3.1:4:14635990:14652024:-1 gene:PAHAL_4G142700 transcript:PAN24146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGPEQRVHVPHGINLCFSTSLPFQMDSSIELGTGEVTPPVTFEKLSANPQPVSDDAGAVEVTEMNGKSVQKPKRKKHRPKVIKEGQSAKLQKPKTPKPPKGKGNQPTGKRKYVRRKGLSTPTEQPPSGSADTHTRAETGVVQRCLNFDAGDQHKHLDLVPQAQATDIHTGPGDNQPSISGVERGNVQVACHWSGTSSTICSVDPMADLQELRVDSMPKRVNFDLNNSIVNQMPTNYSNLMDSSGQFFRFGVRDKVQTNQLLDSHSSLPVRCVPHLTRSLDHMQHPSANFDQYICTTQACTDKSPRNYQMLHGYRMPESMTATSQHTERVSMRGNFNPEACVGEGVVIKQMAQCYRIPESPLVPPKHSERDVTNGDLNEFSVKNDYLKFATNDNYQTGPAFGFHDAPDYSDVLAMGKKREHNAISGHQISFGIDFDNSNRARQFCSNDPHSTSSQTSYYPETRKKMRQENHRNRLNRATENFSSSSTFSDSRNTNKISSVNPGICTLADIQKLMVLEKSRASQKIIDFGASGHNMVQQQVEPAVQNIVGEEFIALPDKQFRSFSAQNIPLPGSTVSPLGESNILRNGMHQIQPWEITSMQDHCSNNFALPDKCSGYLTAGYTQLSSSVVNPSIESYIQSNAIHQHQSFENVMAKEPILLSEIHNTSSQEAHKYCIAAATDGHIRTTSDEVVRALSQPTSQSTRSGNCHLDPPRSTAEENSTEKPRKRGRPRKEAKPNGEPKEKGTKGKQNVGRAKTTSPKGACTDSLKTNGIAYASEPSTGITPRMATMESKSSDHDKHSGITTKATYGGIIPQATAPTVDPLDGIIQKIKLLSINRADEIVADIPQNALVPYEGEFGALVAFEGKAKKSRSRAKVNIDPVTTMMWNLLMGPGMGDGAEGLDKDKEKWLDEERRVFKGRVDSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMAVAAKFPAKTEVPEKPVAEMSHTPEQKDSCSGLFGDSIKLQGKLFIEEISDIKSLVTTEDNEESNSNDLIGSSSGYGVNHAAVGCQVSYMKSHENGPSGSVLRAAGFSSVVEAEAGSLEDVISSQNSAVSSQNSPDYLFHRTDPIGSSSLQNFTEEGYIMRNMSNGIGSSTEYTALPPMQEPKGMPGSSEYDGLNLLPVSGVNKGVLLDLNRSYQPLHTSMSFVQNGESDFTGVSCFSHIDKSFCTGPDRVNPSSVTQSEASLYHLPPVSAMGNNNKTKVTDSSSHSLYSVNAPLSQERRTCPSAPSQQGDSSPIIKQNFQPLHSSEKVPFPKEHSSCGNDSVRNKTEAPFMESHVYTNLQEVYTTPTQQVQSGCSQHDNGVRVQTTAYEKHQSSILHENQNSHSEVLQGVASDSTQKFSDTQKGPSEISQDGSKAKKVRGRPKKKIYDWDSLRKEVLSNGGNKQRSHNARDTVDWEAVRQAEVREISETIRERGMNNMLAERIKEFLDRLVTDHGSIDLEWLRDVQPDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLEHIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNSCPMRAECKHFASAFASARLALPAPEEKRLATSEDPNVVEFLHQAYINSRNVGQLEWNANYPKHAVFGNHQPIIEEPPSPEPEPENAETKEGAIEGFFCEDPDEIPTINLNIEEFTQNLKNYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFEQREPDDPCPYLLSIWTPGETAQSTDAPKTFCNSGETGRLCGSSTCFSCNSIREMQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSQNPIDVPRSWIWDLPRRTVYFGTSVPTIFRGLTTEEIQQCFWRGFVCVRGFDRTVRAPRPLYARLHFPASKVVRGKKPGAAREEE >PVH48138 pep chromosome:PHallii_v3.1:4:45570129:45571252:-1 gene:PAHAL_4G258500 transcript:PVH48138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPCNKTDKLLLAASTEIQIGGGKKISFWHSVWTAGRRPKDIAPDIYALSRRKNRKLHEALSDNDNQWIRDIMLRPNITKHHLQQFIDLWVIVRETANGEYSAASAYRAQFLSSIATKLQPLIWKPWATQKCKFFAWLIIKNRVWTSDRLATRGWPRNDVCPFCRVEPESAHHLLVTCHFTRRVWSLIAGWVHYHQLLPSHWGITHSVKEWWHMIGNFRGMPSRPLKSFLLLVYCEIWKERNGAFRALPFVILSLLIEIRTVCACSFKKKEISTARKVGYAIIVNLVPIFEYRN >PAN25738 pep chromosome:PHallii_v3.1:4:50385498:50388380:1 gene:PAHAL_4G322300 transcript:PAN25738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRLDMSALETNFSVPCGDDGDGMYFSAEAPDVPSMVFPTCADFDGFQAATKEMVKNKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGNKCRLHELSNKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLVGNRFSVGSGSLYAYGILDEGYRFNMSVEEAGELARRAIYGATFRDAASGGCVSVYHVGPDGWKKLSGDDVGELHYHYYPVQKTPVEQEMTDAPTAAA >PAN26060 pep chromosome:PHallii_v3.1:4:51778982:51782072:-1 gene:PAHAL_4G344200 transcript:PAN26060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSTAAKDMAARGGVHELERERRLVSGATEPGVAVDDMRRPGGAPAHLGLVLHVGVSIERHLEREHRAGLPVARLAHPGEATRAEVADPVNIRQPQLLWRRRCCWIRVPGPSSSGAGGRQAADPVNDGASHRTRGAVVGRRRHRDARSNGEQVGLDSHFWG >PAN23170 pep chromosome:PHallii_v3.1:4:4874940:4876663:-1 gene:PAHAL_4G072800 transcript:PAN23170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVSSAANGVGTLVGNVVSAPFKVLFGASCESVCSGTWDLPCFIEHVCISSLLRLFVVIIVTYIVLLFGYVLCKLGIVKCVAKNAFKMVWKPCSACCGALGLLWQKVRDTKRVHRGRRGRRERDVELGQPSSSTTRDGTGSSSSSSSSDDDYDGDHRRGAAAGSRSTRRSLPSSSLSVRERKKDRIRQSLRLKRVSSKVERAARVSQGISGRQHRHSTSTGPKRTEVPPSLSSRRELGMARRRVGTSMRTAAH >PVH48449 pep chromosome:PHallii_v3.1:4:50879457:50879619:-1 gene:PAHAL_4G330600 transcript:PVH48449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVILPPLETGSDRCSVKPASRRSCHYRSREAQTFA >PAN26085 pep chromosome:PHallii_v3.1:4:51969165:51970565:-1 gene:PAHAL_4G346400 transcript:PAN26085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEARYVKVASRFFLAGKAGNAGDGCGGHGHHFLDACFLCKREITSDRHIFMYKGDAAFCSDECRQDQRAMDAALKAARRRHRSLLRSASLPVPAAGSAPVGMPRRPTIAGLASATPSCPAS >PVH48393 pep chromosome:PHallii_v3.1:4:50394721:50395408:-1 gene:PAHAL_4G322500 transcript:PVH48393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGRPRRGYSSAWSRATALACPAPCLATPWMRRRHDSPRSMVRRRRGRRRACSFGATPRKVGDRWRRRNKGGRLYGSDWKSFSSSPGSRGGSRSGALLAPPHPCPMMVCTPWLPTTPGASPVLLLLSDAASLIFLTCFLNTHSWICHHTRGTWRRQPSSHPASAGEPRGCCATRCNCTHQEHHVFRKAKR >PAN25018 pep chromosome:PHallii_v3.1:4:46365832:46366338:-1 gene:PAHAL_4G267900 transcript:PAN25018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDFAAPTKIFGRATGTPTKNPRSSTKFISKGKIFRNQEHPLAAPVNFLLSGCSGKVAWHLSAVDASPTPSASTSRRAIHRRPAHRWRFLCRSFPLRCCLMLARFGARTRRGINWAPHARASSQLVFLMNEQR >PAN23332 pep chromosome:PHallii_v3.1:4:5844225:5845889:1 gene:PAHAL_4G084200 transcript:PAN23332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPNSTMLRALFLLVLVCAAHAGGKAKESSSAPAEGGGGSCDGGTCDITKMGATAGGKTDSTKAVQEAWTSACGGTGKQKIMIPKGDFLVGPLNFTGPCKGDVTIQLDGNLLASTDLSQYKANWIEIMRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNVFQCKDIVIKDVTVTAPGDSPNTDGIHMGDSSGVSITNTVIGVGDDCISIGPGTTKVNITSVTCGPGHGISIGSLGRYKDEKDVTDITVKDCTLKKSTNGVRIKAYEDAKSVLTASKIHYENIKMEDAANPIIIDMKYCPNKICTASGGSKVTVKDVSFKNITGTSSTPEAVSLLCTDKIPCSGVTMDNVNIEYSGKNNKTMAVCKNAKGSSTGCLKELACL >PAN25825 pep chromosome:PHallii_v3.1:4:50688336:50691381:-1 gene:PAHAL_4G328200 transcript:PAN25825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVISRDKCRCSLCFASHPATVASESTQLFRQVNSEAAAIARSTAASGHKQNARVSLRLSSSLVGLTVRREACQLVSGTWNLFSVPKLSCRGDQVQCIKKI >PAN25467 pep chromosome:PHallii_v3.1:4:49047156:49050577:-1 gene:PAHAL_4G303500 transcript:PAN25467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to terminal acidic SANT 1 [Source: Projected from Oryza sativa (Os06g0181300)] MPRLTPADATLILDHALGDPKVPAAAVHALLAALPFPSDPTPRLRRAVLLRRLAADPVSASALDSLHLLASLPASPSPSPPPIASAHIAVAGFLAASAPDFDAAAAALFVRPDGRARRAVSEGGSPALASDDAVAAVDQFEAAVGNSFSQVVLRSLWGDRAAAEERVRELLAAEWAAIGPSLLEVAAERIVGDGSIQTWRDAKEATRAKFRVLAGEEKAREILGKLEESTSRVNLISTPEFSRVVDALKTSCAELHSVVEDPLPAAKAAADEVLATRVDRAVNLNAETGQPAACGTAGPSALHEKNNGTNKGTPPSLMDWNPTAQTFQWEESPDPEGSEPALRRPHLPSPRRIPVSPLPPAENKNKRRRARKWCLLEEETLRKGVELYGSGNWKDILSNNPDVFIGRTPVDLKDKWRNMMR >PVH48301 pep chromosome:PHallii_v3.1:4:49047155:49050577:-1 gene:PAHAL_4G303500 transcript:PVH48301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to terminal acidic SANT 1 [Source: Projected from Oryza sativa (Os06g0181300)] MPRLTPADATLILDHALGDPKVPAAAVHALLAALPFPSDPTPRLRRAVLLRRLAADPVSASALDSLHLLASLPASPSPSPPPIASAHIAVAGFLAASAPDFDAAAAALFVRPDGRARRAVSEGGSPALASDDAVAAVDQFEAAVGNSFSQVVLRSLWGDRAAAEERVRELLAAEWAAIGPSLLEVAAERIVGDGSIQTWRDAKEATRAKFRVLEFSRVVDALKTSCAELHSVVEDPLPAAKAAADEVLATRVDRAVNLNAETGQPAACGTAGPSALHEKNNGTNKGTPPSLMDWNPTAQTFQWEESPDPEGSEPALRRPHLPSPRRIPVSPLPPAENKNKRRRARKWCLLEEETLRKGVELYGSGNWKDILSNNPDVFIGRTPVDLKDKWRNMMR >PVH47252 pep chromosome:PHallii_v3.1:4:1056395:1058021:-1 gene:PAHAL_4G016300 transcript:PVH47252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSQMMRSVLRMRSANFIPLLISQIESSSTSIGIRNLECEVRPFGSSKDATPDEAIVSTIFLYALKYAIIVFHRNIFPVPPCPMTK >PVH47299 pep chromosome:PHallii_v3.1:4:1976095:1977778:-1 gene:PAHAL_4G031700 transcript:PVH47299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVIITNLATSVLQKAASFGTDWAVNEIKSAWNVKKEIGKLEMSLRPICAVLRDAESKKSTSHALQEWLDNLKDAVYDIEDVLDDLATEALEQEVHKDLFSRSKHVLTYPFKLSNRLKEVREKLDDIAANREQFALTEQSFDIQLARSSMRETHSFVNESDIVGRDEARKEIIASILTASDSACPLSVLPIFETKLWACVSDVFDLKKILKDIIESGTGKSNKHQNLETLQKKLCELLQGKRYFLVLDDMWNDKPSDWEDLRSLLSSGRSGSVIIITTRSLNVASLVKTLEPHDVAELPQDECMQIFIRYAFRDKECKDPELLKIGECIVKKCCGVPLAAKTLGSLLFNCQDIKEWRCMEEDNLWNVKQDKDGILPALKLSYDALPPHLRACFASLSTFPRDYILFAEDLVMFWMALGLVHRGSESKDMMSIGERYFHELLGRSLFQDQLRFFDKTIVRCKLHDLIHDLSIKVSQKEHAVVSCREVDVSQRIRHLVWDHQYFSTEMKFPKQLKRACSAKNICKHRQLWHCEQSLS >PVH47369 pep chromosome:PHallii_v3.1:4:2880584:2880862:-1 gene:PAHAL_4G044900 transcript:PVH47369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGLRAAERVQRGAWTEAMEPWTTCLELWAAAAVVVSGVVVVVVVPCRVLPCGRAELQRRSWRRWQGGSIGSYQLAGFSQRFHSDSGVRVY >PAN24781 pep chromosome:PHallii_v3.1:4:45016283:45018684:-1 gene:PAHAL_4G251500 transcript:PAN24781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRTDLLAQILLSGDHLCRRLPAAHALAVVSGDGLRDLFLTNLLLRGYSKLGLLHDARLLFDGMPHRNLVSWSSAISMYAQRGANDEAVSVFASFRKASHEAPNEYLLAGVLRACTLHLGEQVHGIAVKLGLSLHVYGGTALINMYAKSGCMEAARRLFDELPAKNLVTWTAVISGYSQTGQGDVALELFRKMGVHPDRFVLASAVGACSAIGFLEGGRQIHAYTYRSAAAEIIDASVVSALMDLYCKCSRPSVARKLFDRMANPNLVSWTTMIAGYMQNSFHTEAMAMFWQMRRAGWQPDVFACTSILNSCGSLGGIWQGRQIHAHAIKANLETDDYVKNGLIDMYAKCNSLTEARAAFDTLAEDDFVSYNAMIEGYAGQGDLIGAVYIFGKMRYCSLRANLLTFISLLRVSASQLAVELIKQIHCLIIKSGTSLDLYAGSALIDVYSNKDMAIWNTMIFGHAQNEQGEEAMKLFNQLRASGGIPNEFTFVALVTIASNQASMFHGQQFHAQIIKAGADLNPHVSNALIDMYAKCGFIEEGWLLFESTCGKDVNCWNSMISTYAQHGRVAEALRVFQLMRGAGVEPNYVTFVGVLSACAHAGLLDEGLCHFSAMKTKYGIEPGTEHYASVVNLFGRSGKLYAAKVFIENMPVKPAAAVWRSLLSACHLFGNAEIGKYAAEMALSADPSSSGSYILLSNIYASKGLWADVQKLRQGMDCAGTVKEPGYSWIEVMKEVHTFIARERNHPQAELIYSVLNELTSLLKDPGYNNDISEPALLGRNG >PVH47284 pep chromosome:PHallii_v3.1:4:1752128:1753129:1 gene:PAHAL_4G027700 transcript:PVH47284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCLGARGPTPRCRGRDDSRRRPPRARQGITSAAGGGGHRPGLGTRRSPHTTRRARADAEERPAAEAKSPGRRVCFTAKKCLLWKPRYFCHVFSFFPFPVVTRAGFFLVIAARLLE >PAN25554 pep chromosome:PHallii_v3.1:4:49545673:49545956:-1 gene:PAHAL_4G310300 transcript:PAN25554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >PAN22356 pep chromosome:PHallii_v3.1:4:932435:935293:-1 gene:PAHAL_4G013800 transcript:PAN22356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRAAPDRSDAPAREREWREELRQQQSQVDALRERLVEVKVGMRCSEGDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRLQEGIGYIDRHGVPLADWPKGAEPVSCGANSGDRMAVESSAAPEHGDAVVGDVDVDDILKSIRVVTDVMESLVKRVIVAESEAANEKEKVRMGLEEIRRKTLQVETMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVAKTNQLETEKAQKEAEVQKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHHN >PAN22858 pep chromosome:PHallii_v3.1:4:3216793:3225569:-1 gene:PAHAL_4G049600 transcript:PAN22858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEGGLPAVQPMGRRTTSSSSWGLQKATLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLMCRRSRMGLLNSIHEGSLSAYNQKEPREELKSSLGIKCFFEKHYSLRVVLLLFVLMGTSMVIGDGVFTPTMSVLSAVSGLRIKFPELHENYTVLFACFVLVGLFALQHCGTHRVGFLFAPILLAWLGCIGGIGTYNIFKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDTVFWPVLVIATLATVVGSQAIISATFSIISQCRALGCFPRIKVVHTSSQVHGQIYIPEVNWVLMFLCLAITVGFRDTEMIGNAYGLAVILVMFATTCLMFLVITTVWNRNVLLAALFTVGFGSIELMYLSACLAKVPHGGWLPLLLSLITLLAMSTWHYGTKKKKEYELQNKVCLDRFLSLSSGIGLVRVPGVGFVYSSAVNGVPPMFAHFVTNFPAFHRVLIFVSIQTLTVPKVSPDQRFLVGRVGPPANQLFRCVVRYGYKEGRWDHFNFENQLLMKVVEFLEMQEEASEPADSGELSVIPASPRAQQQLVDVDAAAPTASCSSSSACEIDPGIVSRRVRFEEPWAGAGVGEEGEEMKSSEVKTLLEERESGVSYMIGHTCVQAHESSPAVKKFAVNVVYGFLRRNSRRPAAELGVPHTSLIEVGMTYRV >PVH48331 pep chromosome:PHallii_v3.1:4:49497690:49498481:1 gene:PAHAL_4G309600 transcript:PVH48331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKLSIIETSFLGWIFAISSDQNVGRERFAAPNLRSAALVVLRRTGYKMDLRLRRSGGNWMEGEAMS >PAN25541 pep chromosome:PHallii_v3.1:4:49506203:49509327:1 gene:PAHAL_4G309700 transcript:PAN25541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIASSVKLAGGTLSVGGRTVLSGVPAAVAASSAAAGGAVDGVFVGADLAEPASRHVISLGALRGVRFMACFRSKLWWMSQRMGEKGGDVPHETQFLLAESRGAGGEDAEAAYVVFLPLVEGAFRASLQGGAGDALELCVESGDDDTRAASFDRALFVGAAESDPFAAVAGAVAGARSALKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLVAGSAPPKFVIIDDGWQSVGTDQPTPDDQAGEAKQPRLPRLTGIRENSKFQNVDDPAAGIKTVVRAAKEEHGLKYVFVWHAITGYWGGVRPGAAGMERYRSSMQFPRISPGVAENDPGMTTDWITAQGVGLMHPRAVYRFYDEQHAYLAAAGVDGVKVDEQCILETLGAGHGGRAQLTRQYHQALDASVAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFFPRDPASHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGEYHGSARAISGGPVYVSDAPGKHDFELLKKIVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSFVEKKIMFHHAGAGALTCGVKGSDVHLISEAATDPDQWSGDCAVYRHGSGDLVVLPDGAALPVSLKVLEQDILTVSPIKDLAAGFRFAPIGLVDMFNSGAAVEGLTYHLLDGGKLLGDGGSAACRSEEAVGLVCMEVKGCGRFGAYSSVRPRRCMLGSSEMEFSYDSSSGLVVLQLEEMPGERVHKIVVEL >PVH47849 pep chromosome:PHallii_v3.1:4:21410329:21411674:1 gene:PAHAL_4G164900 transcript:PVH47849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLVGVPLFSNRAPSLSEHSAFLVCSTHSTPSTQSGDQNHTLDHTFRERKKGQAAAAKPRSPSLDSVNQSYLIGHNGDRKILGTNTPLFTKDIQEIHQ >PVH48352 pep chromosome:PHallii_v3.1:4:49826282:49827305:-1 gene:PAHAL_4G314500 transcript:PVH48352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERAKGTVKWFNGTKGFGFISPNDGGQDLFVHHSSVKADGYPNLKDGDAVEFTVGADSDGRAKAIDVSAPGGGALAGGERPDSGYGGRGGGGYGGGGDRGYGGGGDRGYGGGGGDRGYGGGGGYGGGYGGGGDRGYGGGGGGGRGCYKCGEEGHMARDCSNGGGGGYGGGGGGGYGGGRGGGCYNCGEEGHISRECPNKRR >PVH47532 pep chromosome:PHallii_v3.1:4:5596298:5597188:-1 gene:PAHAL_4G081600 transcript:PVH47532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKATGGGRYRLRFGQQHGESCDAASVLAVGTTNPAAADLLAAALDSPLLFLVACSDFAGGMMDSLVTTLDLGSSLLTERAGCGSAAALLRRGSEARRGACGGGGGKAALGKGREGRGGEGEGWCMTGGPTKRGLTEEEPADARPCPYPSDQTKLQA >PVH48275 pep chromosome:PHallii_v3.1:4:48558252:48558830:1 gene:PAHAL_4G296800 transcript:PVH48275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAWPTAAVAAAIGADATSLSPFLFSFSPLSISFSFLFSLSFFLSSFPPFSSSLPLLPSAAQPAPPLLLGSRTRASPRQVPAASSLARTLTPALGRARPARAPGAAPPAVPPVARPSRDAASSAAGARPSHCSHVRTPRGAPPRPLARATPRRARTRACPDPRCRAARRCHVLPRRSRHRLCPHSPPPRAR >PAN24902 pep chromosome:PHallii_v3.1:4:45738057:45750405:-1 gene:PAHAL_4G260700 transcript:PAN24902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CELLULOSE SYNTHASE INTERACTIVE 1 [Source:Projected from Arabidopsis thaliana (AT2G22125) UniProtKB/Swiss-Prot;Acc:F4IIM1] MAAALAWRFNGTNGGSHGGADLERHVDKVQESEPPTPMSVMKMGKNRVNVEDEETLSSVAHCIEQLRQSSSSTQEKESSLKQLLDLVQTRDTAFGAVGSHSQAVPILVSLLRSGPSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKITLKNESLVDGLLTGALKNLSKNTEGFWSATVQCGGVDILVKLVSSGNTNTLANACYLLGSLMVEDSSVCSKVLSGETTKQLLKLLGPGNETSIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDANAESISASDPLDIEKTLLKQFKPKVPFLVQERIIEALASLYSNPVLCKTLADSDAKRLLVGLITMAGTEVQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSAIILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLGSVMKLLDIQSDKILMGASCCLAAIFLSIKQNKEVTAIGQDALSPLASLANSSVIEVAEQATRALANLFLDQGLSLQVSFEEILFRVTRVLREGTIDGRTHAAAAIARLLQCRTINQLLSDTINRSGCVLALAGLLEAANGEAAATSEVLDALVLLSRSKASSGHTKAPWVVLAENPHTILPLVSCIADAAPSLQDKAIEVVARLCSDQHDVVGGLVSETPGCMSSITRRVIGSNVLKVKVGGCALLVCAAKEHCQKQIEILNDSSLYIQLIHSLIDMIHMANTPAESGSGDSISDIRISRHSKENNNDGETVCRTAVISGNMIPLWLLAVFSRHDSKTRAEILEAGAVEMLTEKISQNAFQYVGEEDSTSWVCSLLLALLFQEREIIRSDSALHSIPVLSNLLRSDEPAYRYFAAQALSSLVCNGSRGTLLAVANSGAAIGLISLLGCADVDIADLLELSEEFMLVPNPDQIALERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCPQNMLLMAEAGILEALTKYLSLSPQDATEEATTELLGILFSSAEIRHHESALGVVNQLVAVLRLGARNSRYSAAKALESLFSADHVRNSESARQAIQPLVEILSTGMEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSDCSAELKGDAAELCCVLFANTRIRSTMAAALFVEPLVGLLVSEANPAQLSVVRALDRVLDDEQLAELVAAHGAVVPLVGLLYGRNYMLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADMGPEGQYSALQVLVNILEHPECRADYNLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLLLEDHLQKDTITEQAITPLIQVLSSGLPNLQQRAIKALANLAIAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEETAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWSACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNTPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >PVH47273 pep chromosome:PHallii_v3.1:4:1514732:1515094:1 gene:PAHAL_4G024200 transcript:PVH47273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKSGAPGAACRRGWRRWPPPVLEPRRTNGGTPPPLPSPPPSHRDHPPNPTPLSPRHRGQSRVANNRGGVRACVPLSLPPRPPAPPPHPHPHPPPPARVASRLSRSEAEERRTTTGAGA >PVH47218 pep chromosome:PHallii_v3.1:4:351017:352078:1 gene:PAHAL_4G006200 transcript:PVH47218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTPTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFSWLV >PAN26087 pep chromosome:PHallii_v3.1:4:51974385:51975189:-1 gene:PAHAL_4G346700 transcript:PAN26087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACATKPKTLEGKAPAEATTISTPKVAPETTVPTEEVAAPEEVVEKVVEEAREEPAAAAAPTELPAPAEPEQKAEAVPDEAIVEPEHKEEDLVLEKTIVEEEKPASGPVEEKTAAAAEVAEEPTEVKTKGAEEEKEKPTQS >PAN25325 pep chromosome:PHallii_v3.1:4:48279511:48283092:-1 gene:PAHAL_4G292700 transcript:PAN25325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSPHRLLFLLVATIAAAAAALPAAVVAENNMPVADALVKLKKSFTNSSSLSSWLITDKDGDKHPCAPGSHEWYGVVCSGGKVLGLRLNGLGLGGTIDVDALASFPRLRSVSFAGNNFSGPLPAFHQLKALKSMYLSNNQFSGSLPEGFFANLSHLKKLWLDGNQLNGSIPASVAQASSLLELHLDRNDFTGELPPAPPPALKSFNVSENDLEGVVPEPFRRFDASMFAGNEYLCFVPTNVKSCKREQAVAVDSSHRRDVMLLAALLVVAVVALCACSSQPSSRDMEGLEEKPPAYMVKQASTQQPQKRSASWLGRRAGSSLGFGHRRSASAAKVDDRSSRSAGDLVMVNSSKGSFGLADLMKAAAEVIGSGGLGSAYKAVMANGVAVVVKRSRDMNRATKDAFQAEMKRLGEVRHANLLPPLAYHYRSDEKLLVYEYIPKGSLLYVLHGDRGMDYAALDWPMRLKVAAGIARGMACLHTELAGHEVPHGNLKSANVLLAPDFEPLLVDFGYSGLINHMQSPHSVFARRAPECVAGHPVSAKADVYCFGIVLLELLTGKFPAQYLQNAKGGTDLVMWATSAMADGYESDLFDPAIMAAWKSALPDMKRLMKMAVDCVEADPEKRPDMKEIAARVEEVVVATALARARESGSEDAASRSSHAVYVRDGSIQRMTSVGERSSRRGSSDYAYGIS >PAN22545 pep chromosome:PHallii_v3.1:4:1665925:1670714:-1 gene:PAHAL_4G026600 transcript:PAN22545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMVDPPNGMGNQGKHYYTMWQILFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADLEFIDNPKARKYIKSLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVEMIREMMWQEMIHYHPEVVTGMSM >PVH48404 pep chromosome:PHallii_v3.1:4:50462299:50472829:-1 gene:PAHAL_4G324100 transcript:PVH48404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQATDGQLQATEQEVSVHASQQAGQPHMNTKDQFSKSELVTEGSKGEQPVQAEQQNPQLQQFQPESRLQQAETNSFQLAEKETGSFGQQSFSGSKVDVAQPSVVQQNAKQVVGPQAPSGAQDTRKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFLQMQAQAQRNNQANPSQHSLFSQASAQQMPSSGSAQLHDQKVRSPGPSNQGQKNQVSSSPQAFAPQSGTQAQTSTQHLSHDNPNPDPDAKVPNAIPNQPSRMNSAVSLQTKNKQHQPTQFQQASQQIYGASNPGAQGYPRSITGSLRPSNPVPETQPSMHAHGMPPTKVAPPPTHPMMQHNAVAWQMHQNKELKTNTLPPNANAKQNYESAGKARTVGAGNSSAKGKQGPPNSSTSNASGGAKSNKKSGGQKKSSEAAGTTQSSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEEKLFLRKGPLLKKLAEIARKCNLKNVNVDVEHCLSMCVEERLRRFISTLIRVSKQRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQTEADGSGAAELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVAAASQPGKGQGPRSLSKFGKGLGENQEGSKRSHSAAFGTGGMKRPGRTPFAGPQRTISVKDVICALEREPQMMKSRLIFRLHERLPGDSSAD >PAN23770 pep chromosome:PHallii_v3.1:4:8930222:8932044:1 gene:PAHAL_4G115700 transcript:PAN23770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARSTVLIIFALFCCYASTTASSSDDGFLKCLSASIPSQLVFTRSSPSFTPLLKSSIRNPKFFTPATVRPLYIVTPTNASHVQAAVACGRRSGVRIRVRSGGHDYEGLSYRAVRNETFAVLDLSSLRAVRVDARASTAWVDSGATLGELYYAVGKASGLLGFPAGLCPTVGVGGHFSGGGFGMLLRKYGMAIDNVIDAVLVDARGRLLSKNTMGSDVFWAIRGGGGESFGVVLSWQVRLVPVPPTVTVFNVPVTASQGAVDVVTRWQQVAPALPDDLMIRVVVQQQTANFQSLFLGTCDALLPVMRSRFPELRFNRSYCREMTWIQSVPYIYLGSGSTVEDLLNRTTSASVFASGYKATSDYVRRAIPRDAWATIFAKLSQPNAGLMILDPYGAAIGAVPESATPYPHRAGVLYNIQYMNFWSMAAGDGAVQTKWIRDFYAFMAPYVSSSPREAYFNYRDLDLGENVIVGNVSSYQAGMVWGQKYFKDNYKRLAMAKGEIDPEDYFRNEQSIPPLAKNK >PVH47647 pep chromosome:PHallii_v3.1:4:7780727:7781101:-1 gene:PAHAL_4G106800 transcript:PVH47647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLLEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTRREKCERPLLIFHYTRAQM >PAN23473 pep chromosome:PHallii_v3.1:4:6608743:6614469:1 gene:PAHAL_4G094800 transcript:PAN23473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPKQARAQPAPGSGAASRSLPPAALHSPQPASHGMFGPGICGAKAPTSSYLIVPMGGQPAQVAASSPNAPHLSRVSLRPPQQVLSVQPALPGILSPQPPPPPAVGKKMALSMKVQVPKPLQSQATSKCSVKKEPLLKAYPESSETVRSKFRETLAAALCVDSDQQSVQQSAPKVSPIGSSNVNKHADGKLQSPNTCISHGDGEADAGTDPKSVGSRSKQDDILSCRILGSNMTIKVSKDAQEHAMHVRLENDVLGNSISDKILQGHGPFCAPDSVVGASGSISQLNSKRTTTSDIHAGATVSLNEPEFKRTKTSDGTTGEKKDMIQKGQSLALGIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPVLREQVLSGEITPKCLCSMTTDELASKELSAWRLAKAEELAKMVVLPTREVNVRLVRKTHKGEFHVEVEETDSISVGAELRSDLLSHVPSNFIEGRTRSDDIVSAYRGDIESDNTVQGGSAGIGNSNLLSNLECLANEKADLIEERVVHDLKYTENIPEIMSWDEFVEAPDSDIPLECHSTETAQADPSITDKAYLMLKPEKNHIGEDNAGPSEFEFTCEAPSPEDNCQASIKSTENGSIHDLSPSKQPKGCLLIKSSPEMMDVEKLGTGTASISGSTVQLKPISDGTLMNDTLWEGTIQLTLSSLIKVVAIFKSGEKPSTNEWRHFVEIKGRVRLTDFQEFLEQLPKSRSRVVTVTELRLKEGSLESGQQQFLQTIDSYVADERVGLVKLTEGVELYLCPSHEKAAQILTEHLPKEHSASQTMTGVSVIGVFVWRRPCITTRTPTRHDSSKRHPMSISRKPQAMLSSSVPMSSLRTRSPASHFGYSNERPCLKDDATDDVPPGFGHGVIKDDDDLPEYDFVSISDGSPNVAAPHCYQRQQHVQAISPPINQVRQVVRKYGSMYASAHPWGGDKDLPEWDPRHSALRYSPMQHQHVMVTPHLSSPLPHVYGRLPQQHAMAVQQPWNHHHMLHPAEAQSGRPGWRSSAQWQEDAAWHARFVVEPDVAAPEPTSLGGAREPWHGSWKPH >PVH47309 pep chromosome:PHallii_v3.1:4:2101528:2105782:1 gene:PAHAL_4G033400 transcript:PVH47309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLGLVVLLLLTFASPNSSCTEEEQRFLLDFLAQLSHGGNSGLNMSWVHGTDCCAWEGVTCRGDNTVMGISLTSKGLTGRISPSLGNLSGLMNLNLSHNSLHGSLPKELVLHSTIVVLDVSFNQLEGSLQDLQSLNPGPPLQVLNISSNFFTGQFPSKTWGAMKNLVAVNASNNRFTGQIPASICVSPSFTELDLSRNQFSSNIPQGLDDCSMLRVLKAGHNNLSGILPHELFRATSLEQLSFPNNGLHGVLDGSQVVKLSNLTVLDLGSNGLSGEVPDSIGQLRRLEELHLGNNNMSGELPLTIGNCTNLRYISLRNNSFTGDLSKVNFTLLDLSIADFSMNYFTGTIPESIYSCNNLIALRLAYNNFHGQFSSKIGNLRSLSFLSLTNNSVTNIEDVIQTLRRCKNLTTLLMGSNFKGEIMPQVKSIDGFQNLEVLSIDTCALVGQIPLWLSKLTKLKILDLSSNQLTGSIPSWISRLHFLFFLDISSNRLLGDIPTALMKMTMLQAEMNAEKLDPEFLELPIYWTPSRQYRLLGAFPAALHLGNNKFTGTIPPEIGQMKMLMYLNFSSNSLSGEIPQEICNLTSLQVLDFSNNQLTGAFPSTLSELHFLSTFNVSYNELEGPVPTGGQFDTFSNSSYIGNPNLCGDVLSKHCGTTPRDQTFSSRQHSSRLPIIFGVIFGGLSLDGNANLNVTWSAAVMRRQPELRTPSRRHRLYPVASLPSIPRLFSPACRRVEPVHVPCVSVPGPLASCGPTSGRRWSMSGGPASGRFCGIVDCPSFRVKIVRTGRWIRNQEEHAFSDAPQLQHPQAPRDGGGVSAGRGSSPAGPRCSHARPGQLPRRWPGAAACAALDPEPWILPRPWPGRASWGLRRGLGGNLQDGVVSL >PVH48139 pep chromosome:PHallii_v3.1:4:45641469:45644400:-1 gene:PAHAL_4G259400 transcript:PVH48139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQDVVLPDMGIAAAALPGPGRALFVCRGAAGAVSSLRRGAYGGLGLPGAADGGAGGEPFLGARAPAPAAVAGRTCTSRVVEAIRASSPTRCPAVDECDAWTRKHPSALGSFDQVAAAAKGKRIVMFMDYDGTLSPIVTDPDMAFMTAEMREAVRDVAKHFPTAIVTGRCVEKVCSFVGLSDLYYAGSHGMDIKGPSSKDDQTVLLQPAREFLPVIDKAFRALEERTRATPGARVEHNKFCLSVHFRCVAEKSWSPLAEQVKAVLRDFPELKLTEGRKVLEIRPSIMWDKGRAVEFLLKSLGFDDRSDVLPVYIGDDRTDEDAFKVLKKRGQGLGILVSKCPKETDASYSLQDPTEVMEFLVRLVEWKRLRSLSPSARPRAQQQK >PAN22580 pep chromosome:PHallii_v3.1:4:1797239:1798666:-1 gene:PAHAL_4G028800 transcript:PAN22580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRWVRAAAAVCLAILVVLQVVAAGEGRRAPPLPPERARGHAYRGRGLPPSTGSASSLPVRHDEAAAGAFVGFNAASARCKSGGRKAAGRLAAACAEDDDDDKRRIPTGPNPLHNR >PAN25021 pep chromosome:PHallii_v3.1:4:46379137:46379426:-1 gene:PAHAL_4G268300 transcript:PAN25021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSPLCILKDFIGMSCDACSYSSKLAHVLICLSIPSKKDPENRTRLYYFSIIPLHVGWPYAHISC >PAN23310 pep chromosome:PHallii_v3.1:4:5715897:5718315:-1 gene:PAHAL_4G083000 transcript:PAN23310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MEGKVPAVDFGGDASGDAVDDLKYISGLSTILVATIQEVKDQVSQMEFIFCSQLFPHIQAKVKLFQARLADAMKTNEDEWRKREASLVSQLEELSSGKRQAEERLLRVGNSLEEMKGKLVESERLAARHEAEKKQLLGRLEDEMRKGEVVRRLQREIEEKDAEVAREREAHQRLLQQVDLKDKDLLLEQSKRRDLIEDYTQLKTNYKNLKSQYTFLLGKIGQNEGSKSHVDIPLDKRNTGSPPSKRKLKDLEHTNKESIQVVSMTRDLKNDSAPGAKAQAAQHASSVRNPFRNTRLALPSGPTIPLPKKAASNSKLEALSSIASPSLHWRETRARKEPGVADPHDDFLDTPLEALRNPATPEEAQALAASPPQDMEFNNSDDETQDMNNATQGLKNIPSMPAPKQQSTIQVQPPKKDFKYRESVRKKADRENLKGVECKQCKKFYDAVLPDDRANGDGAGSTSLRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >PAN24664 pep chromosome:PHallii_v3.1:4:43930779:43936861:1 gene:PAHAL_4G243000 transcript:PAN24664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPATHLPVPIRRLTRKPSLAATAARRSHSSSSSDDDSPLAAELFPAAGAPTLLSVARSLAVASPPPSVAAVLGFLGRLPHDASPHILPHLVAALARSPRPILALRLFLSPPTPAATTHHSFNSALVRFPLPPHLLPAFFSHSLRRFPGLAPTLLSFNLLLKCISSSLVPRNPGLYLATALRILHDVIPAWNLAPDKFTYSTVVSALADAGQVEDAVALVHEMVVDGVVAAEAFNPVLRAMMRSGDVNGAAKLFRFMQLKGCTLTAATYNVLLHGLLLCNKARAAMGIMRRMESEGIAPGLMTYGAVVDGLVKCGRAEDAWKVAEEMGNKGLAPSEFVFSAVITGFCRSGEVDRALRVWETMVAATVRPNIVLYSAMIDGLARCGRMTEAEMLFEEMADAKCIPNVMTYGSMIRGYFQIGDSSRALSTWEEMIRAGCVPNAISYSILISGMCNVGRLKDAMMVWKHMLGRGCAPDTIAYTSMIKGLCMSGMVDGGLRLFNDMLAKGDAKPDAISYNVMLDGLIRTNNLPRAMDLLNQMLDQRCDPDAVTCNIFLWEIGVAEGKGRQFLEGLVMRLCNRERYRAAGDVLMVMLAKYIVPEAAIWYTIVRGVCQSKRVRKVVDNCWDEIWRP >PAN24663 pep chromosome:PHallii_v3.1:4:43930779:43936861:1 gene:PAHAL_4G243000 transcript:PAN24663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPATHLPVPIRRLTRKPSLAATAARRSHSSSSSDDDSPLAAELFPAAGAPTLLSVARSLAVASPPPSVAAVLGFLGRLPHDASPHILPHLVAALARSPRPILALRLFLSPPTPAATTHHSFNSALVRFPLPPHLLPAFFSHSLRRFPGLAPTLLSFNLLLKCISSSLVPRNPGLYLATALRILHDVIPAWNLAPDKFTYSTVVSALADAGQVEDAVALVHEMVVDGVVAAEAFNPVLRAMMRSGDVNGAAKLFRFMQLKGCTLTAATYNVLLHGLLLCNKARAAMGIMRRMESEGIAPGLMTYGAVVDGLVKCGRAEDAWKVAEEMGNKGLAPSEFVFSAVITGFCRSGEVDRALRVWETMVAATVRPNIVLYSAMIDGLARCGRMTEAEMLFEEMADAKCIPNVMTYGSMIRGYFQIGDSSRALSTWEEMIRAGCVPNAISYSILISGMCNVGRLKDAMMVWKHMLGRGCAPDTIAYTSMIKGLCMSGMVDGGLRLFNDMLAKGDAKPDAISYNVMLDGLIRTNNLPRAMDLLNQMLDQRCDPDAVTCNIFLWEIGVAEGKGRQFLEGLVMRLCNRERYRAAGDVLMVMLAKYIVPEAAIWYTIVRGVCQSKRVRKVVDNCWDEIWRP >PAN25088 pep chromosome:PHallii_v3.1:4:46795053:46799218:-1 gene:PAHAL_4G274100 transcript:PAN25088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITGSGATATAAAAAGLWLRPGRLGEVLAVAVLLASAALPLAGAESPPAAGPASAQARHDYEDALRKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGADVADAGELAHALESIKWGTDYFIKAHTQPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASMVFREHNPHYASLLLHHALQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAEYLDYVVDNAHDFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHSPRHRETLERYRAKAEHYVCACLGRNAAGGADANVERSPGGMLYVRQWNNMQYVTSAAFLLSAYSGYLSSAAGGAAEAVACAGGGAASAGEVFAAARSQVDYVLGSNPRGMSYLVGYGARFPARVHHRAASIVPYKHSKEFIGCAQGFDDWFVRKGANPNVVVGAIVGGPDRRDRFRDQRENYMQTEACTYNTAPMVGMFAMLNRLARDEAADPSVNR >PAN25315 pep chromosome:PHallii_v3.1:4:48253868:48254209:1 gene:PAHAL_4G292100 transcript:PAN25315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFRLASSSRRRAAQQASGGGGRRKRMAVVRLGGGGSDGGARKRRLFGALRLRLRWPWLAAVYRRALRRLRASYQQALRDLVEGTALVGALHAPAGVDCAHAASFGPMATVGF >PAN22370 pep chromosome:PHallii_v3.1:4:925639:927364:-1 gene:PAHAL_4G013600 transcript:PAN22370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLFLRIPPTGWKAWHSYPRSRSVSHRRAPSPSSQSMNSSIGIEDEGPTGHSPAPHRPGWTHLRPPPNRIEASPVRDLPLLLRPLGRARPIRQSHFPSNGAGALKAAAMPRAVAPRSSVTASPCRFAELPPRGSSYPSWEASNPSERRGHGGAKEPPPRGRRGRTSGQRHWCVGRVSNHPSDVRRRRPPKLQTLAEGARAEGPWRSRQGKGRNDLCDLQS >PAN23194 pep chromosome:PHallii_v3.1:4:4969124:4971842:-1 gene:PAHAL_4G074200 transcript:PAN23194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRPTVPKFGTWDKDNVGYTVYFDKVRENKGATAPPLHRPFNPNDPEEGPMMSVPPPSSSRPATSGGRREPQQRHHQRRTDSGSSAASDPGGRGAEQSKFAPPPQYYQRPSPEPAHQQYNAGHHQHHSGHHHQQQSHQAEHGAGGGGGHRASHAQRHHHHAGPAARARSASPQSNAPNRQRASAVPKFGVWDEQTAAAAAQGFTVQFENVKRHREVARTAVPSVPRAPSPPEGGAARHSHHHKPPFVSKMFGCFLPPAKH >PVH47488 pep chromosome:PHallii_v3.1:4:4970392:4971640:-1 gene:PAHAL_4G074200 transcript:PVH47488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRPTVPKFGTWDKDNVGYTVYFDKVRENKGATAPPLHRPFNPNDPEEGPMMSVPPPSSSRPATSGGRREPQQRHHQRRTDSGSSAASDPGGRGAEQSKFAPPPQYYQRPSPEPAHQQYNAGHHQHHSGHHHQQQSHQAEHGAGGGGGHRASHAQRHHHHAGPAARARSASPQSNAPVSINS >PAN24438 pep chromosome:PHallii_v3.1:4:40164608:40171221:1 gene:PAHAL_4G221000 transcript:PAN24438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVRVIEARGLPATDADGPRDPYARAQLGKQRAKTKVLRKTLSPAWDEEFAFRVGDLRDQLLVSVLHEDRYFSDDVLGQVKVPLTAVLDADNRTLGTQWYQLQPKSKKAKLKDCGEICLTISLSQNLSEETAALAHWASDDLASNSDKSAELVKGSSLPNIPIEISTAVSESDEIEVIKEDKSNGGPSFVNKLYQIFKPKDTEAPAPSLSNLDSSPDVLEETPSTSSQSPEKQDQEASASMTFDELLKAFGSRHEGKEMPENFSGGVLLDQVYAVAPGDLNTHLFSPSSDFLQSLAEIQGTTGLEIQQWRLENDGEILNRVVSYTKAPTKLVKAVKATEDMTYLKADGERFAVLASASTPEVPFGNNFRVEVLTCIMPGPELPDDEKSSRLVVSWRLNFLQSTMMKSMIENGARQGLKDNYAQVSELLARTFRPVDAKDTTDSNEVLSSVQPEQESDWKLTFRIFGNFTLLSSVFAFVYVSAHIILANPSVIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIGRFIQAKRQRGDHGVKAQGDGWLLTVALIEGTNLAATKSSGFSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEIDVYDFDGPFDEVASLGHAEVNFLKYNISELADIWIPLKGKLAQACQSKLHLRIFLNNTRGTQIVKDYLDKMEKEVGKKIAVRSPHANLAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIFGFYTNLFGHKTKFFFLWEDIEEILVVPATLASMGSPSLVIILRKGRGIDAKHGAKQLDSEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETEDFQNEEGGSFLGIEDAKMSGVFSSTKPFDVSTAMSIFEGGPLERRVMEKVGCVDYSVTEWESVRADVYQRQVHYKFDKRLAQHEGEAISTQQKSPLPNKNGWLVEEVMTFEGIPIGECFNLHIRYQLESNTSKQKTCTVQVSIGIVWLKSCKNRKKITQDVTSSASSHLKKIFSQLEKESISAK >PAN24437 pep chromosome:PHallii_v3.1:4:40164629:40171204:1 gene:PAHAL_4G221000 transcript:PAN24437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVRVIEARGLPATDADGPRDPYARAQLGKQRAKTKVLRKTLSPAWDEEFAFRVGDLRDQLLVSVLHEDRYFSDDVLGQVKVPLTAVLDADNRTLGTQWYQLQPKSKKAKLKDCGEICLTISLSQNLSEETAALAHWASDDLASNSDKSAELVKGSSLPNIPIEISTAVSESDEIEVIKEDKSNGGPSFVNKLYQIFKPKDTEAPAPSLSNLDSSPDVLEETPSTSSQSPEKQDQEASASMTFDELLKAFGSRHEGKEMPENFSGGVLLDQVYAVAPGDLNTHLFSPSSDFLQSLAEIQGTTGLEIQQWRLENDGEILNRVVSYTKAPTKLVKAVKATEDMTYLKADGERFAVLASASTPEVPFGNNFRVEVLTCIMPGPELPDDEKSSRLVVSWRLNFLQSTMMKSMIENGARQGLKDNYAQVSELLARTFRPVDAKDTTDSNEVLSSVQPEQESDWKLTFRIFGNFTLLSSVFAFVYVSAHIILANPSVIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIGRFIQAKRQRGDHGVKAQGDGWLLTVALIEGTNLAATKSSGFSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEIDVYDFDGPFDEVASLGHAEVNFLKYNISELADIWIPLKGKLAQACQSKLHLRIFLNNTRGTQIVKDYLDKMEKEVGKKIAVRSPHANLAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIFGFYTNLFGHKTKFFFLWEDIEEILVVPATLASMGSPSLVIILRKGRGIDAKHGAKQLDSEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETEDFQNEEGGSFLGIEDAKMSGVFSSTKPFDVSTAMSIFEGGPLERRVMEKVGCVDYSVTEWESVRADVYQRQVHYKFDKRLAQHEGEAISTQQKSPLPNKNGWLVEEVMTFEGIPIGECFNLHIRYQLESNTSKQKTCTVQVSIGIVWLKSCKNRKKITQDVTSSASSHLKKIFSQLEKESISAK >PVH48324 pep chromosome:PHallii_v3.1:4:49411191:49414971:-1 gene:PAHAL_4G308300 transcript:PVH48324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MAAAAAARLFLLQSTPSPNASNSASAGSQALRAPSPRLTLSRRMVGGPPAAIAGASGGSERDLSASALSMEAQESVAASDSGLEAKEPSVATILTSFENSFDMYGALSTPLYQTATFKQPSATDYGTYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLIQSGQEIVAGEDIYGGSDRLLSQVVPRHGIVVKRVDTTNISDVASAIGPLTKLVWLESPTNPRQQITDIKKISEIAHSRGALVLVDNSIMSPVLSRPIELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKQVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHAAIPAAVREQRGLTDDLVRISVGIEDVDDLIADLDRALRTGPA >PAN24873 pep chromosome:PHallii_v3.1:4:45569030:45569883:1 gene:PAHAL_4G258400 transcript:PAN24873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAEAALRRPFRAAGGGDGEVAGGPAPVLLAAETGRPVDPVIWGDEERMKRELVAWAKAVAASMAAGKSTTTSSSSSTPSSPWPSMRRRGS >PVH47198 pep chromosome:PHallii_v3.1:4:35284:36003:1 gene:PAHAL_4G000600 transcript:PVH47198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRTNKRRRHCSSLPSLASSSSSRSGSTTTQQEEEDEEADMAKCLILLAQGPAVVVEPHPPIIMPAPETPRSTSRRCAGVSSSSYECKTCNKCFPSFQALGGHRTSHNNDKKQQPRRPEQEATAAAVTTTLSLRTAAPGRPAAHECSACGATFASGQALGGHMRRHRPLTMSAAVPPESVERSAGGNHHVNLELDLNLLPAPSTEQEVTSPAKRMHHHFN >PVH47563 pep chromosome:PHallii_v3.1:4:6141559:6145841:1 gene:PAHAL_4G088300 transcript:PVH47563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASPEVADAIGAMSIDNGAMGKLPPSDVVEGHGEEHDALADGAHSGESEVINPSEEVELEATSPSQDIKPCILEDSQSHSPKVFRSQRQSPRGGDKSQARKSSPSPYPKAPIARVSDPDLVDSSSSNGDASVSKKKAEKSSFRPVAKESSSLEDSKEKKKTQKPSNQCSVKKDIEEESNESIKPQRVGSTPSYGFSFKCDERAEKRREFYSKLEEKIHAQELEKSNLQAKSKEAEEAELKMLRKSLNFKAAPMPSFYKEPPPPKVELKKIPTTRPRSPKLGRSKNTTSTGTEGKTNPPVRSARLSLDERASLNGVKKAPTANAVKKPQRKSLPKLPSEQTAKVDVAAPLSSREELENKSSTDLVREPIRAQVTPDEPGLSG >PAN23394 pep chromosome:PHallii_v3.1:4:6141981:6145841:1 gene:PAHAL_4G088300 transcript:PAN23394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASPEVADAIGAMSIDNGAMGKLPPSDVVEGHGEEHDALADGAHSGESEVINPSEEVELEATSPSQDIKPCILEDSQSHSPKVFRSQRQSPRGGDKSQARKSSPSPYPKAPIARVSDPDLVDSSSSNGDASVSKKKAEKSSFRPVAKESSSLEDSKEKKKTQKPSNQCSVKKDIEEESNESIKPQRVGSTPSYGFSFKCDERAEKRREFYSKLEEKIHAQELEKSNLQAKSKEAEEAELKMLRKSLNFKAAPMPSFYKEPPPPKVELKKIPTTRPRSPKLGRSKNTTSTGTEGKTNPPVRSARLSLDERASLNGVKKAPTANAVKKPQRKSLPKLPSEQTAKVDVAAPLSSREELENKSSTDLVREPIRAQVTPDEPGLSG >PVH47562 pep chromosome:PHallii_v3.1:4:6141559:6145841:1 gene:PAHAL_4G088300 transcript:PVH47562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASPEVADAIGAMSIDNGAMGKLPPSDVVEGHGEEHDALADGAHSGESEVINPSEEVELEATSPSQDIKPCILEDSQSHSPKVFRSQRQSPRGGDKSQARKSSPSPYPKAPIARVSDPDLVDSSSSNGDASVSKKKAEKSSFRPVAKESSSLEDSKEKKKTQKPSNQCSVKKDIEEESNESIKPQRVGSTPSYGFSFKCDERAEKRREFYSKLEEKIHAQELEKSNLQAKSKEAEEAELKMLRKSLNFKAAPMPSFYKEPPPPKVELKKIPTTRPRSPKLGRSKNTTSTGTEGKTNPPVRSARLSLDERASLNGVKKAPTANAVKKPQRKSLPKLPSEQTAKVDVAAPLSSREELENKSSTDLVREPIRAQVTPDEPGLSG >PAN23005 pep chromosome:PHallii_v3.1:4:3891657:3898220:1 gene:PAHAL_4G059200 transcript:PAN23005 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os06g0656500)] MGSVPEDSAAAVFRSKLPDIEIPRGLSLQAYCFERLPEVSSRSCLIDGQTGAVHTYADVELLSRRAAAALRGLGVGRGGVVMSLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQADAAGARVIVTEACAVDKVRAFAAERGVPVVSVDGATDGCPRLRDLMDAAEPLAADEEVDPDDIVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLYFSKDDVVLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFEIGALVELVRAHGVTVAPFVPPIVVEIAKSPRVGAADLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGASLGRNQSGEICIRGEQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDELAGEVPVAFIIRTEDSEISEDEIKQFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPSGDSTQSKN >PAN24193 pep chromosome:PHallii_v3.1:4:21368361:21368828:-1 gene:PAHAL_4G164700 transcript:PAN24193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPILDTELQKVCSEGSLLVASISLPPLHSHAFLGRTNLTGDFRQVFLLRARSGTKIKLSLFSFMDNQSIFQYSWEILPKKWVHKMKRSEHGNRSYTNTDYPFPHKDSKIHPELFIPPLLSNPRSN >PAN24511 pep chromosome:PHallii_v3.1:4:41470315:41471487:1 gene:PAHAL_4G227900 transcript:PAN24511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHRNVLHEDLSRLETLHLRYSENSNGTQRGYISGLDVITLDYIAVVGYGTPKIDTTVYIDTSSDLSLITCGETYCRTSGYSHHDECFYPSNSSSFIETLNLTNRLAEGFSDDGYYEMITAYERGISMLRGCNGFLSNETLTLTPSIVIKDFMFCCGSRPRDRDYHHLSDQKVGVMGLGRGSLSIASQGYRSINGSFSYCLPSLNGNAGFLIFGSQREEFGLVQFTPILHNPTAPSYYFVDLIGLSVGGKMLPIPASVFRDEGTVLDTGTVVTYLPEAAYLALHSEFDAWVRRYAASVSGFANLETCYEFGHLKEIKIPKVALLFGSGVTLELPPTGILYYIGSSKYCLAFAATKEIGEFSVIGNVQQRSTKVIYDLGRQMVGFEAGSC >PAN23472 pep chromosome:PHallii_v3.1:4:6598601:6602216:-1 gene:PAHAL_4G094700 transcript:PAN23472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIAASAFFPGSPAPAPAAPKNGLGERPESLDVRGVAAKPGSSSNAVRAGKTRAHAAVPKVNGGGKSAVADGEHETVPSSVPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLSDTFGFGRIIHDGLMFRQNFSIRSYEIGADRTASIETMMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVSANGKNGMRRDWHIRDSITGDTILKATSKWVMMNKLTRKLARIPDEVRTEIEPYFFERSAILDEDNRKLPKLPEDKSTAANYVRTGLTPRWADLDINQHVNNVKYIAWILESAPISILENHELASIVLDYKRECGRDSVLQSHTTVHTDCNSESGETTLHCEHVLSLESGPTMVKARTMWRPKGTKAQETVVLSSL >PAN25334 pep chromosome:PHallii_v3.1:4:48328871:48332286:1 gene:PAHAL_4G293500 transcript:PAN25334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAPPATAATRGAEGATDHLWAKAAELERDFEGYKRRLAERMAQAAAEVAGGRADEEERRGPGDAAGRGKRYEEYVRRRDERLRQEWRARMERKEAEVQALWARLDRTGSRRRRGGDGELAAAIHAREDHGHLRQKTGNLEVKVKPAAPVTPRCGPATKLSRPRTSMPSSPAAASSRLSTPDPRRRPPHLHREQPQAEPPATPRKENRLPPPSTATVASPGTPRPRTMLSRSRSMFKDRGCSSVTVRESPRPPRFQSPRSSYDSAGNLNEPSLPLHADAIAVMQRSSSCSSKQPVLADLKKSSAVAPEPFHPRRSGHGVEPASPPPVIPRDEPGSSEIAPASDGNADNESNHEHADQSSDKFGSVEITGDSDTEPSYVYIKKDRDEQTPRPCQASPGLGTCPGSEQPRSDNRNSDNVDDTMESTGSDDVSGETTVTDAEEASRRKSSESLYSNVQSSFSPRSELDTSATDSPLPSATEKSPESSASPRPRTEVEDAEKSLPVPTTPRSNVTVSITVQSPMDAVTGLKRFLTFGKKNSKGSEAAAVVERTPHSMAPAPPHGDGCMSGEWPAGDSVKVRSGSSDVVSADDLDHSYVISPHVRSLQSFEPSYPANPELKEPVLHAKSPRVHRSFFSFSSFKSRAI >PAN25587 pep chromosome:PHallii_v3.1:4:49667182:49672282:-1 gene:PAHAL_4G312100 transcript:PAN25587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQETVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYMHFNERSGLMAPLVADDIYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLAGKVVERPQHMLMRVSVGIHKEDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGDEFENLYKKYEREGKAKKVVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSSDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWDWPSLRETISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPTLKNQIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGVANGKPAEEDVEAKMAQMVCSLNNREECLACGS >PAN24109 pep chromosome:PHallii_v3.1:4:22978421:22983220:1 gene:PAHAL_4G169600 transcript:PAN24109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALPRPVQEEGDGDEQQNGRRSFGAVLITVPDGPGSGLPASSSDGIAAALLDHAGAAGSDDDALMEAEDGRPRPLGESFSLWRATVAVFALAALAVAGYVCLYSGGSGGTGATWRLLEAREEEGEGRGGRRSFLLPLHPKPRRSGGDGRDGAARNLTAASPPTGIVFPTGLYYTTVSIGNPPRDYFLDVDTGSDLTWVQCDTPCRSCAKGAHPAYRPAQSNIVLASDPLCDRVQRNPNECNYDINYADRSSSMGAYVRDNMQLTSEDSERDNIDIVFGCGYDQRGILLDTLENTDGILGLGSRAISLPTQLASRGIISNVFGHCMTTDSSGGGYLFLGDDYIPRWGMTWVPVLNGPADNIRRSQLQHVNHGDQQLNVQGKLTQVIFDSGSTYTYFPHEAYTNLVAALKSASPRFVQDDSDNTLPFCMKVDFSVRSVDDLQHFFKPLSLQFEKRFFFSRTFNIRPEDYLTISDKGNVCLRVFDGTAIGFDSVIIIGDAFLRGKLIAYDNDEDQIGWIDSDCTDPSKQSRIPFFLRRVFRNQLV >PAN24713 pep chromosome:PHallii_v3.1:4:44543187:44556244:1 gene:PAHAL_4G247200 transcript:PAN24713 gene_biotype:protein_coding transcript_biotype:protein_coding description:G patch domain-containing protein TGH [Source:Projected from Arabidopsis thaliana (AT5G23080) UniProtKB/Swiss-Prot;Acc:Q8GXN9] MGADSEEDDLVVYGTPIEREEDTSARKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTVGSKEGWAPQTFTSSRKNRAEVKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTAAEYAQKQASKEQKERPSAIPGPIPDELVVPTTNSIGVTLLMKMGWRQGRSIKDSHADSLYESRRNARKAFLALSSSKNDEDQDQSSDKPSIDQAVVGSLEEMHISGSTPVYVLHPKQDLHGLGFDPFKHAPEFRDRKTLQKSRDRDHKRNDVSVRGNLLISNSGHYAPGFGIGALEELDVEDEDIYASGFAYEQTEVDIEPSKISSDSNYKLDDRKRGFYLSFKIATNSEYKLERFLPPQIPDDFNAQHKFPTPVQSAEKFSDSAPLEVPPPEDTSLTLLIDGCAAMVARCGKHIEDFYKEKSKSNPQFMFLSGGDGCKYYMRKLWEHQQKYVGQQRPDSAKSKTSSEKLTAENRGRILGERPLDRSTKLHSPSLSAKEAVQLQSNLVDTFVKPISLDGLPESEKPFSNDPAKQVRFEQFLRDKYKGGLRAANIAPTSTMSEADRARERLDFEAAAEAIEKGKGKKVIDPSSVFSLPGMNEQRFVAATELESSVVPHDEKPIYPRREQFEWRPSPLLCKRFDIVDPFMGKPMPVQRPRSKIENLIFMTESNKGTKDEVESSSRSSQHVSMEGTTEAESQGTINDPDIEPSRMQRPVDLYKAIFSDDSDDDADEIPNTQPMDPAKTSEGANMALNRLVAEDFLESLGKELGLEVPPERPKVLSRPETLSAAGASVSSQNERITTTLTEVKESQISLGMVQVGNGNEDAVLASAEMLDLKCEEGNRTEENRSRHMHRQSKNHCPRSDSSSERHMSRKRRSHHHIRNGTPESDSASERHRNRRRKSHSRHRKGRSRTPDSDSSSDIKNERKRKEKRHHQTYTPDTDSSDHEHKERYRSSSRRSSDKDRSRKHSRHHKHRRRDHVDYS >PAN25656 pep chromosome:PHallii_v3.1:4:46596904:46598659:-1 gene:PAHAL_4G271400 transcript:PAN25656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYAGCKFAVSRVYNNWFSMSWSSQKTQAHDVSETEQTILFSSQSSHPSLQYSPNPNLSPCLYQCTATLKGNSFYVSSLTVDGDSLYIASSDGHIRLWPLDMAMDAQRAELSSSTVAVTNSSIKCVIATGNGLVSSHQDGKIRVWHAPRRNGSCGHLALRAVLPTAVDCLRTFLFPGNYVEVRRHRKRTWVHHVDAVTALALSPDGAEMYSVSWDRSLKVWRLPSLRCAQSVSAAHGDALNAVAVSADGHVFTGSADGTVKAWRRGPGQRKLALVGTMERHKAAVNALAVGVGGTVLYSGACDRSVVVWEYCAGGGMAAAAATLRGHTKAVLCLAAAGDVVCSGSADRTVRVWRRGAAEAGYTCLAVLDGHAGAVKSLALVRKSGGDHDDGPCDGCCSAAHVCSGSLDCDVKIWRVTISCLRVP >PAN22471 pep chromosome:PHallii_v3.1:4:1380106:1382782:-1 gene:PAHAL_4G022000 transcript:PAN22471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFQGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEEDLYHLLEKVGTPSGAGDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSIEGGSYRSLDPVQPPIAPPYKTALEMKKASSHGASVDTIRPSANS >PAN22544 pep chromosome:PHallii_v3.1:4:1661890:1664045:1 gene:PAHAL_4G026400 transcript:PAN22544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLRPRSSSSPYGRRLPRLPPLRLHPVTGGGFRRPRPGRGGEPLQTRCTPGVYWVIRRTQARREAASAPSSRLRRRTAGLSARDGTRGPRPPHLRTTSMSCFGTTSSWNLRQGMNGLAKSAMQRRTAHEPRARSELGIQKELQIYPLLEQDSDTGLFAGYICSPTIQESQLRRFILPTSSNGVGGARGHPDATMASAYVITAKRRLHPPRFGPTGQALYG >PAN22958 pep chromosome:PHallii_v3.1:4:3682058:3686507:-1 gene:PAHAL_4G055900 transcript:PAN22958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKSSGCSNEIYREPHLCRKKEFRSSVIKIIDSSLNSNLLPADSICSIPASEDDFYFNKRRKMDEEYDPLLENGNMRESTARNFTTTGYIPSPVHSADGKSCMVSSNVEIAAGSHSNGNNGQTSSASSVSPYISQRIKDAWECSLPDTNAAKPLTELTSARDLCIFILESEIFPAKGSELSRTSSTIDHDDNQSSPLFECMGCGSMEDPSKMLICDCCEGAFHLSCCKPRVKKIPEEEWYCLVCKRKKPKRYHGKLTSPKRGLPKGIQRPRRGLGPIQDMLVDAESYESEVRIGSKFQADVPEWSGPISSNEDQFAEPTELDPNETTMLGCLQDKKTSVGNWIQCREVLDTGVACGKWRRAPLFVVQSSDWDCSCSVVWDPIHADCAVPQELETDEVLEQLKYINKLKNRLGSNQKC >PAN22959 pep chromosome:PHallii_v3.1:4:3682057:3686507:-1 gene:PAHAL_4G055900 transcript:PAN22959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKSSGCSNEIYREPHLCRKKEFRSSVIKIIDSSLNSNLLPADSICSIPASEDDFYFNKRRKMDEEYDPLLENGNMRESTARNFTTTGYIPSPVHSADGKSCMVSSNVEIAAGSHSNGNNGQTSSASSVSPYISQRIKDAWECSLPDTNAAKPLTELTSARDLCIFILESEIFPAKGSELSRTSSTIDHDDNQSSPLFECMGCGSMEDPSKMLICDCCEGAFHLSCCKPRVKKIPEEEWYCLVCKRKKPKRYHGKLTSPKRGLPKGIQRPRRGLGPIQDMLVDAESYESEVRIGSKFQADVPEWSGPISSNEDQFAEPTELDPNETTMLGCLQDKKTSVGNWIQCREVLDTGVACGKWRRAPLFVVQSSDWDCSCSVVWDPIHADCAVPQELETDEVLEQLKYINKLKNRLGSNQKC >PAN22772 pep chromosome:PHallii_v3.1:4:2812209:2815690:-1 gene:PAHAL_4G044200 transcript:PAN22772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRGGGADGVARRWVLLLCVGSFCLGLLFTNRMWTLPEASEVAIPNERRGNESELIAGDCSIRQVQGKHDYSNMLRTSHTHQDAQTLDKTIANLETELSAARSLQDSFLNGSPVSEEYKASESTGRRKYLMVIGINTAFSSRKRRDSIRNTWMPQGEMRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDKKHGDFMRLDHVEGYLELSGKTRTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHILKPRVYIGCMKSGPVLSEKDVRYYEPEHWKFGEVGNKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGSWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWGVHKKCSEGEKALLTASF >PVH47367 pep chromosome:PHallii_v3.1:4:2811456:2816209:-1 gene:PAHAL_4G044200 transcript:PVH47367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKTIANLETELSAARSLQDSFLNGSPVSEEYKASESTGRRKYLMVIGINTAFSSRKRRDSIRNTWMPQGEMRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDKKHGDFMRLDHVEGYLELSGKTRTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHILKPRVYIGCMKSGPVLSEKDVRYYEPEHWKFGEVGNKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGSWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWGVHKKCSEGEKALLTASF >PVH48416 pep chromosome:PHallii_v3.1:4:50548753:50551653:-1 gene:PAHAL_4G325900 transcript:PVH48416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEAGRRGVPSLLNPSSSSSEGQHEHIASDVTQLIGWTPLIELKRITGKDGVDARIVGKVEAYQPLCSVKDRSALRMIEDAEERGLISPGVTTLVEPTSGNLGLGIVLIALRKGYRFVAVMPGQYSLDKQILLRYMGAELYLTDPALGFPGITDKVEQLKKELPNVHVLDQFSNKANPDAHIRWTGPEIWKDTAGKVDIFVAGSGSGGTVSGVGKYLKMQNPGIKIICVEPAESPVVSGGEPGKHKIQGIGPGFIPEVLDTSVIDEAVTVTTEEAMVNARRLAKEEGLLVGISSGANLAACLKVASREENKGKMIVTVFPSGGERYMNSDLFADVREECIAMTF >PAN22162 pep chromosome:PHallii_v3.1:4:77727:80159:-1 gene:PAHAL_4G001700 transcript:PAN22162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFDSPTSSPAAAPFHDDAFLHLDGSAAAADGFPASPDPYAFRSDAPSPFGMPQANGGLHDDPFAAPPADSDGGPILPPPTEMGRDEGFLLREWRRQNAILLEEKEKKEKELRSQIILDAEEFKKAFVEKRKLNVETSKGQNRDREKLFLANQEKFHAGADKQYWKAISELIPHEIANIEKRGARKDKEKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAAAGKDGAPAAAAKDGAKAAAPANGSVPEMEKAAAAAPAPATEPIAAA >PVH48068 pep chromosome:PHallii_v3.1:4:42849319:42851089:1 gene:PAHAL_4G235300 transcript:PVH48068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQFPTARRLLTRQLPHRLLPPPPLPFAPPRALRLPPAPQPLPRGARLPPLLRGMASAAAPPPPPPPGHGPRRRPPRPPRGGSRWRSSLGTTPSYASCPGTRDPTPSRGRSCTPVTPRCPPRPRWTTPSSWRGPTPSPTSSIWITKSLKDLTFLGFSRGQLHWWEVCPTLSAMEDISLAYGLVSWGMVER >PVH47334 pep chromosome:PHallii_v3.1:4:2440196:2441470:-1 gene:PAHAL_4G039200 transcript:PVH47334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTGSMLQKDGDIDEDVRHRISAGWLKWRQASDVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRCRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN25986 pep chromosome:PHallii_v3.1:4:51658691:51663213:1 gene:PAHAL_4G342300 transcript:PAN25986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLPSSLAASAGLCLLSVLAAALLAVALYILGVVASFAAFCAREYARRDRGRPPLIGTVFRQLSNFDRLFDEHVRYALAHRTSRLVYPGHSELCTADPAVVEHVLKTSFSKYSKGTFNYGIMKDLFGDGIFATDGEKWKHQRKLASHEFSTRVLREFSSVVFRTNATKLADTISSAATNRTIINMQDLLMKTTMDSIFKVGFGFELNTLSGSDELSIQFSNAFDEANSLVYHRYVDLFWQLKRYFNIGSEAKLKRNIQIIDGFVMKLIHQKREQMNGQDNRAREDILSRFIIQSKKDPQTMNNRYLRDIVLNFLIAGKDTTGNTLTWFFYMLCKNPIVQDKVALEIKESVEWDTEDNNTEDFTARLNEGAIDKMHYLHAAISETLRLYPAVPVDNKMADEDDVLPNGYRVIKGDGMNYMIYAMGRMTYLWGEDAEDFRPERWLVNGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKIMAATLIHFFRFKQADESKDATYKTMFTLHMDKGLHLYAYPRPT >PAN23461 pep chromosome:PHallii_v3.1:4:6512809:6513549:1 gene:PAHAL_4G093600 transcript:PAN23461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPATRRDLGRLLLPPAAPAPTARPAGYGIDDLLLDADRVLMLLGALVLTWQPPPAAVTAPLDAGRLFVVAALLLWLLGATAATLSLAGLRRVIPGLAAAGAVTAVAALLSAATVACRGL >PVH48212 pep chromosome:PHallii_v3.1:4:47178254:47181315:-1 gene:PAHAL_4G276900 transcript:PVH48212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWRPLPAAAVTAVLLLWVFSCGHGEASTDFANMTALQKHVEFFDRDKDGIITPSEMFEGYVALGCDAEFARATVASVSAGVGPITSPVDAPLPHFSIYVEYIHKAMHGSDTGAYDAKGRFVPEKFEEIFTKHAKVRPDALTSMEIEEMILANRDPLDPQSWAAPEKEWGLIYKLASDTEGFLHKDSARGIYDGSVFYKLEEQRTSSRSDM >PVH48405 pep chromosome:PHallii_v3.1:4:50480346:50482531:1 gene:PAHAL_4G324400 transcript:PVH48405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDDMQAAKKFYKEYAHDLDFSVRTGQQKLEDNGVVMWKRFLCAREGYKTEKEAGSSGSSSKGRRSRESRCGCQAYIYVKRTPEGKYIIAALFEGHNHAFVTPTKHHLLRSNRYVSEKAKTILFNCHKSSIGTLQAYRLLQVGAGDFEYVGCTKKDLQNYYSDFRNKIKDADAFMFIENLRTLKDLDPNFFFEYEVKDGRLFRVFWADTISSKNYIHFGDILSFDTTYSTNQYDIKFAPFTGVNHHMRSIFFGAAFLADEKIESYVWLFQTFFRDIRGKAPALIVTDEDASIRAGIANVLPNTVHRLCMWHIMKKLPEKIDANLLNEDEFRKMINSCVRGSETIEEFESRWQAWIAKYHLENNDWLDGRYQIRESWIPAYVKKIWLGGILRTTSRSESANSFFIRFIGRKLALVEFWLRFDTALKCQWQEELIDDNTSMHTSPKLFTSWELERHGGSVFTHEVFRKFQEELLAAREHCDVQNRTEMEDRTIVKVVDNSNRIREVICFTTKQVHKCSCMLFESIGIPYRHIIRMLRCARIRELEDAYDSEGNLLIEKSTSYMEDTTRRKMASAHNKFEDVFQMAKTSDEGLDILIQNLESLSLLFQPSSRTRQEEQETFIGMSIPENVQVHPPSDIRSKGKCKRILGHADKNRRTQSSGPRKCTICKGVGHDRRNCPNKDADTV >PVH47841 pep chromosome:PHallii_v3.1:4:20563380:20564675:1 gene:PAHAL_4G163200 transcript:PVH47841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVSSAANGVGTLVGNVVSAPFNVLFGASCESVCSGTWDLPCFIEHVCISSLLRLFVVIIVTYIVLLFGYVLCKLGIVKCVAKNAFKMVWKPCSACCGALGLLWQKVRDTKRVHRSRRGRRERDVELGEPSSSTTRDGTGSSSSSSSDDDDDGDHRRGSAAGSRSTGRSLPSSSSLSVRERRKDRIRQSLRLKRVSSKVERAARVSQGISGRQHRHSTSTGLKRTEVPPSLSSRREHGHGSPARGHTHAHHRRVSM >PAN22789 pep chromosome:PHallii_v3.1:4:2892409:2898713:1 gene:PAHAL_4G045000 transcript:PAN22789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSGSVLPAQAASPEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPCQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTNSLMLLRDTANPGFQSLNFGGLGVNPWMQPRLDASLLGLQPDMYQAMATAAFQDPTKQVSPTILQFQQPQNIAGRAAPLLSSQILQQVQPQFQQQPYLQNISESTIHGQGQAELLKQQIQRSQSFNEQKPQLQPQQQQQESQQQSQCLQVPQHQQMQQQNNMTNYQSVSNALSAFSQLSSAPQSSPVALQTILPFSQAQSFAQTNISSLSPSNATAMQNTLRPFSSEAASHLSMPRPTAVPVADPWSSKRVAVESLLPSRTQVTSQMEQLDSTPPSIPQSSALAPLPGRGCLDQDVNSDPQNHLLFGVSIDSQSLLMQGGIPGLQNGNDSTAIPYSTSNFLSPSQNDFPLDHTLNSSGCLDDAGYVPCSDNSDQVNRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPMRSGWQLVFVDREEDVLLVGDDPWQEFVSTVSCIKILSPQEVQQMGKQGLELLSSAPARRLGSSCDDYVSRQESRSLSTGIASVGSVEF >PVH48010 pep chromosome:PHallii_v3.1:4:39283477:39286532:-1 gene:PAHAL_4G217900 transcript:PVH48010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFKKHWVVLKAYLELIRDLAYDIGDCFEEFMVFIKNTSLLQQLLSLRARHRMAVQIGSLKQRVQEVTQRNQRYNVGLTASSSDDATAHTSKAGPRMVSVVGMGGLGKTTLTKKVYDTKDLRDKFDSHAWITVSQTFDRKELLKGMVEQLFGVDSFKRFLEEHQGKVLEVHHLTLPTCKKDSEKRYFIVLDDVWTTEAWNFFKLSFPDNSKDDSCVVVTTRDGKLAEQFCSASYIHQLKFLEKEVAKSLFLKKAQKRPDDLDKDDHTKGTVEKILNKCGGLPLAIVTIGANKVTKEWENLYNQHPSELSSNPSLEDLRRVVHLSYNHLPSHFKPCFLHLSIFPEDFEIEGKHLVNRWVAEGFVTHATSRRTLEDIAESYFYELISRSMIQPSKLDFLGHVKTCRVHDISVSISTQENHIFLVEERTSATATSTESIRHISCLVSGKLNSSMDFSRVRSFTMFSEPMQPIASLCSSEFKMLRVLDLKNARFYAVQQHIRNIGLLLHLKYLHFPNRVSDVYAIPRCIGNLQGLQTLDLQKSRVSSLPAEITKLHNLRSLRCSKSPRFAYFSTSDYGEWFSDAFSLVNLADRYSRGAATTELHMALSSCWSYSSGIKLPRGVGRLKQLQILEKVDTKRTTRKAIKELGELTQLRRLVVRGRAASKEKCKAFCEAAQKLSSLRSLNVSTKESAYVADEELDMLVSFESPLPSLERLKLKGRPQKIPAWVGNCVNLVKDAFLKLRILHLQVGVFSVAPREVTLEQSSSPNMETIRIKSFLLTSGINGVKHLPKLKEIYIEGGILAKQDVLREEVDNHTNHPTLQMQDCEPANSEEPEVKVEVRVHF >PAN25524 pep chromosome:PHallii_v3.1:4:49419095:49425747:1 gene:PAHAL_4G308400 transcript:PAN25524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGTQPTLRKYLGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPSKEKYIREIFLSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSAEAWDYSAWVRIYALYLEERLECFRVLKYDVETDPPRSRDLETAALLDHLPPLQQLLFRLLACQVALESVKIYTAISDGTINLVDKFFEMPRNDAVRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLQTMEEYVRDAPTMAKEKAVLAIEYKKEPEEEEKPASPPPAPEPEQAQQEPEPEPEPVIEEPPVAEPDLLGLNEPSPAATAIEEQNALALAIVPIDDVPKAAPAFENGVTGWELALVTAPSSNETAVTSTKKLAGGLDLLTLDSLYDDANRRASQPVSYNPWEAAPAPMLQTMAPATQDPFYASGGYAAPHAVQMAAMAQQQQAFMLQQQMMAPPAAAVHHPMQMQMQMQQNPANPFGNPFAPAGAHPYGAAGMPLHAGPGNVYTGLI >PAN25525 pep chromosome:PHallii_v3.1:4:49419095:49425747:1 gene:PAHAL_4G308400 transcript:PAN25525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGTQPTLRKYLGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPSKEKYIREIFLSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSAEAWDYSAWVRIYALYLEERLECFRVLKYDVETDPPRSRDLETAALLDHLPPLQQLLFRLLACQPQGASSYNIIIQHALSMVALESVKIYTAISDGTINLVDKFFEMPRNDAVRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLQTMEEYVRDAPTMAKEKAVLAIEYKKEPEEEEKPASPPPAPEPEQAQQEPEPEPEPVIEEPPVAEPDLLGLNEPSPAATAIEEQNALALAIVPIDDVPKAAPAFENGVTGWELALVTAPSSNETAVTSTKKLAGGLDLLTLDSLYDDANRRASQPVSYNPWEAAPAPMLQTMAPATQDPFYASGGYAAPHAVQMAAMAQQQQAFMLQQQMMAPPAAAVHHPMQMQMQMQQNPANPFGNPFAPAGAHPYGAAGMPLHAGPGNVYTGLI >PVH48102 pep chromosome:PHallii_v3.1:4:44974906:44976232:1 gene:PAHAL_4G250500 transcript:PVH48102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRYPSRVRTNRKAGGPAHWNRRCPLAIPSPKKSPAFKPPHPFPSLSRHSSQRAPLESKFKTPIPREEGSEEREGDPSMASAAVVNGAPLANYLKELPAAPSAFEKPVASALRLLNTQGGGDDDTSEKPSGKDTVDGRLALDLSVPKRFSTGALDLFGEPSKLLELLALTEHGGAASGTGLSGHGWWVSKEDDDAVQLKVAMPGLGKEHVKVSAEKNILVIKGEGDKDPEDRKGPALYTRRFQLPAEAFKMDQIKAEMNNGVLKVTVPKIKAEERKDVFQIKVE >PVH48234 pep chromosome:PHallii_v3.1:4:47871315:47872963:-1 gene:PAHAL_4G286600 transcript:PVH48234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASRKKAAPRRVPKRGQVLKRVFASLFAWSWLPARRRLLQFRRRHNRSPRGRRGNRVPAGGGHVEPEPDVAAARAGTSPARAHHY >PAN25688 pep chromosome:PHallii_v3.1:4:50136335:50137216:-1 gene:PAHAL_4G318600 transcript:PAN25688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYFNSTAFVTSLAIIILLMNRSFYASEARVVALEIVVVLDMVGLMGAYWAGSTRKDHTTKYTLVLTAFVLFALYVVYMVQLLPKLWRLAAAVVPRRAPADAAVRGRDDAAADGALPVPSSKIDEARSSQRPQGDVGRSVPTPRHRGSRSARAAGTEPARMLSP >PVH48383 pep chromosome:PHallii_v3.1:4:50174951:50177921:-1 gene:PAHAL_4G319000 transcript:PVH48383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLCALVLDLRVLLCASSSRRQAERWRPRMAAGAATATLRWVLQLHRDVPRAARFYAEGLDFSVNVCTLRWAELQSGPLKLALMHTNDSNIASQRVYSSMLSFTVPDINSTVSKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLYEPA >PAN25704 pep chromosome:PHallii_v3.1:4:50219413:50228774:-1 gene:PAHAL_4G319800 transcript:PAN25704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLVEVEPATETAGPAYRNARAKDGLLQPPPGLNSCWDIFRTAVEKYPNNPMLGRRSVVDGKAGEYTWVTYKQVYDVVMKLAASISKSGIKQGECCGIYGANCPEWIISMEACNALGVCCVPLYDSLGAGAVEFIICHAEIQVVFVEEKKIAELLKTCHATSKYLKTIISFGGVTNDHKEEAKNHGLSIFSWEEFLITGGSHQVDLPEKKRSDICTIMYTSGTTGDPKGVMLSNESLVVNVVGPDSVLQYVGEVFDQDDVYLSYLPLAHVFDRMFEEVFIYHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTAKISAGGILKKTLFNIAYKMKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGRLRVIVSGGAPLAVPVEEFLRVVTCAYVVQGYGLTETCAGSIVSIPNEFSTVGTVGPPVQHVDVRLESVSEMGYDALSSIPRGEICIRGSVLFSGYYKREDLSQEVMIDGWFHTGDVGEWQPDGSLKVIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWVYGNSFESCLVAVVNPNQQVLEHWAEQHGITGNFAELCKNSRAKEHILTELTKIAKEKKLKGFEFIKAIHLDPLPFDIERDLITPTYKKKRPQMLKYYQGEIDALYKGLK >PAN26233 pep chromosome:PHallii_v3.1:4:52595790:52598516:1 gene:PAHAL_4G356900 transcript:PAN26233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHRHYLRFLDDPFFPFPPPPQPSSASLSSCPFLDFYSTSTFPDLDLFLPPSDPFPAPPPYPFLLRDLTDRVATLELAVAARRPEPTTRKCTYVTEAGGRKVKWTSVERPRAGDRTLKWEAEVKSPNDDGFDRKWKWEAKGASSAAPRKLKWGAAVKGKGSLEPWSQAYTWEEDFTASDTDDEEEEKKADNKKTKVADKKKTTKKKQDKAVNKEKKCPVASVKIEEISDDNDAGCVAIRKAFAKGNGKGKRKELSPQDAALLIQMTYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRRRIANDHEERQRFSEKIIVLLITVDALEGPDYMVRVAKKSMLEELEAMLEVVDPQPPGKQRSLSRRKFDLPEGGAVSDEKAAGVNKAVRIIQEGK >PAN25019 pep chromosome:PHallii_v3.1:4:46366729:46372366:1 gene:PAHAL_4G268000 transcript:PAN25019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHNHASGGKRKRKSGRKPKPPAPDSSDNNHHHHHHHHHPAPSSSPLATAAAAASSDSPDPEPASSSPAPRQRGRKSRRVRNEPPSEADAAHSPSPPPRRGGPKGAPNGGAEAAEPSRRELAVSMVEVPAAMEPVRWEQVVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVTPVGFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVIKHFIEDYEKSGEYTGFPILGIEWQKMENPDLRKAMEMKSDQKGVRVRRVEPTAPESGCLRPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKARVKVLRNSKIHEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVSDINIGYEDIVNIQVLAFNGTPVKNLKHLATMVEECNEAFLKFDLDYDQLVVLETKTAKAATQDILTTHCIPSAMSEDLKS >PAN26204 pep chromosome:PHallii_v3.1:4:52499377:52500897:1 gene:PAHAL_4G355200 transcript:PAN26204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNGNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAINEEQ >PVH47791 pep chromosome:PHallii_v3.1:4:17873656:17874024:1 gene:PAHAL_4G153600 transcript:PVH47791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQHIHAQPPPPPPPQPRDRRADFLRGHPPTFSHATDPLQADGWLRSVERQLVVAQCDDWERVLYAAGQL >PAN24123 pep chromosome:PHallii_v3.1:4:30573900:30574336:1 gene:PAHAL_4G191800 transcript:PAN24123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEKELPCVIRQLLHGTLSVTASASCGGKTESPSCDGMSSLPRKQIDNTMAPLRCCLSPRWRSTLRPHSASREGLTGGIVGDSFNLPSSVSLSSFSFQIRSCY >PAN25170 pep chromosome:PHallii_v3.1:4:47541132:47547800:1 gene:PAHAL_4G281300 transcript:PAN25170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23, isoform I [Source: Projected from Oryza sativa (Os06g0264300)] MKLNVKTLKGTSFEIEASPEESVAGVKRIIETTQGQSVYPADNQLLIYQGKILKDDTTLESNKVAENSFLVIMLSKAKASSSGPSTATPATPAKAPATPAQAATPAASAASVARSTPPQAPVATAVTVPPSPQPSPAPAATAPAATVDASGDADVYSQAASNLVSGNNLEQTIQQILDMGGGTWERDTVVRALRAAYNNPERAIDYLYSGIPENVEAPPVARAPASGQQTNPQAPSLAQAAVAPPVQPSPASAGPNANPLNLFPQGVPSGGANPAAGAGAGAGALDALRQLPQFQALLQLVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPEGAAGGNILGQLAAAMPQAVTVTPEEREAIQRLEGMGFNRELVLEVFFACNKDEELAANYLLDHGHEFDEQQQ >PAN22591 pep chromosome:PHallii_v3.1:4:1888145:1890226:-1 gene:PAHAL_4G029800 transcript:PAN22591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHATVLLEQLASQQPDLGAPGFWLDFLSGMLKPAAATAVVALAVALSFTQRLGVEGEMLFAVARSFLQLSLVGFVLHFIFSQKNTAPWILLAYLFMVTVAGYTSGQRARQVPRGKYIALMSILVGTVITMTLLLLLRIFPFTPRYIIPAAGLMVGNAMTVTGVAMKKLRENVTIQKNMVESALALGATPLQATLQQAKGALVIALSPTIDSAKTMGLVSLPGAMTGLIMAGASPLEAIQVQIVVKNMVMTASTVSSILSSYLCRLAFFNEAFQLNDEIFDD >PAN22695 pep chromosome:PHallii_v3.1:4:2383073:2386150:1 gene:PAHAL_4G038200 transcript:PAN22695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDASSSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPGAAAAGIHRLLLSCASEASEDAISSLVAELESPSPSLDSLRRAAMELRLLAKHNPDNRVRIAAVGGVRPLVRLLSHADPLLQEHGVTALLNLSICDGNKAAIAAAGAVRPLVHALKSAASPAARENAACALLRLAQLDGATAAAVGRAGAVPLLVSLLEAGGARGKKDAATALYALCGGARENRQRAVEAGAVRPLLDLMADPESGMVDKAAYVLHSLVGSGEGRAAAVEEGGIPVLVEMVEAGTSRQKEIATLSLLQICDDNAAYRTMVAREGAIPPLVALSQSSSARPKLRAKAESLIEMLRQPRSPSLRARPAAAVVAAE >PAN24302 pep chromosome:PHallii_v3.1:4:23639908:23653825:-1 gene:PAHAL_4G171300 transcript:PAN24302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSAAASAAAAERCALAIRARPAAASPATRQQASLRRSAGPRRSSTFAASRRGPVAPRAVATPADRASPDLVGKFTLDSNSQLQVAVNPASQGSVTEIDLEVTNTTGSLILHWGALCRDRRDWLLPSRRPDGTAVYKNRALRTPFLKSGDNSTLRIEIDDPAVQAIEFLIFDETQNKWFKNNGQNFQIQLQSSRHHGSGASGASSSATSVLVPEDLVQIQAYLRWERKGKQSYTPEQEKEEYEAARAELIEELNRGVSLEKLRAKLTKAPEAPESLESDSPASQITVDKIPEDLVQVQAYIRWEKAGKPNYPPEKQLVEFEEARKELQAEVDKGMPIDQLRKKILKGNIESKVSKQLKNKKYFSVERIQRKKRDIMQILSKHKHTVIEEQVEVAPKQLTVLDLFTKSLQKKDGCEVLSKKLFKFGGKQILAISTQVLNKSKVYLATNHMEPLILHWSLAKKAGEWKAPPSNILPSGSKLLDMACETEFTKSELDGLHYQVVEIELDDGGYKGLPFVLRSGETWIKNNGSDFYLDFSTRDTRNIKDTGDAGKGTAKALLEKIADLEEDAQRSLMHRFNIAADLVDQARDAGLLGIVGIFVWIRFMATRQLTWNKNYNVKPREISKAQDRFTDDLENMYKAYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIEYIKSDFDISVYWDTLNKNGITKERLLSYDRAIHSEPNFRSEQKEGLLHDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLEFVLDHVEDKSTEPLLEGLLEARVELRPLLLDSPERMKDLIFLDIALDSTFRTVIERSYEELNNAAPEKIMYFISLVLENLALSIDDNEDILYCLKGWNQALEMAKQKDDQWALFAKAFLDRIRLALASKGEQYHNMMQPSAEYLGSLLSVDQWAVNIFTEEIIRGGSAATLSALLNRFDPVLRNVAHLGSWQVISPVEVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVIGVITPDMPDVLSHVSVRARNSKVLFATCFDHSTLSELEGYHQKLLSFKPTSSDITYREITESELQQSSSPNAEAGHAVPSISLVNKKFLGKYAISAEEFSEEMVGAKSRNIAYLNGKVPSWVGVPTSVAIPFGTFEKVLSDGLNKEVAQNIEKLKNRLAQEDFSALGEIRKAVLNLAAPTQLVNELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVSADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFICKKDDLDSPKLLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLIVDHGFRNSILSSIARAGHAIEELYGSPQDVEGVVKDGKIYVVQTRPQM >PAN25010 pep chromosome:PHallii_v3.1:4:46323279:46324490:1 gene:PAHAL_4G267400 transcript:PAN25010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGGGGAVVAGGGADEADAAFFSRRGHRCCGCFWAPPWAAASSPSQSPRARRAEPADEEWWHRVGDGAASGRRRWWRRGVDALMKVREWSELVAGPRWKTFIRRLRFRRGGPRHGAGSGKLNYDPLSYALNFDEGHAAAAGGGPEGGDYAGYLDFSARFVAPPPASAKSSMDLGGRDAPPLFLHQAHSPRTPPAAARG >PAN23014 pep chromosome:PHallii_v3.1:4:3958287:3964806:-1 gene:PAHAL_4G060100 transcript:PAN23014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGDEYLAEPENEVAQSMWPEHLGDKHQRQFRMEKFRKDQDAFKDVKFDEKPVHVDFQRLMEMANSEKGVSHMQYFMKHWEYKRANAARLLEEELGLLSQQRKEIEQNKQQILEEQRFQDESYYAVKRHVPILDEAYEDEWKRPSKKNDELSRNREPKIDADYDSVTFWKERATQLEKTLEESIQRERSLVEKLEENIKNLQSHTPAEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHYPTLADEDVIGKTDYEILSGEGIEEMNSVKREVMATGIATKREFAFNTPMFGAKTFVTYIEPVFSKGGETIGVNYVAMDITDQVKRREKMADIRVREAIQNAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDREQHQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPVEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLQVAHEQQPGCKMEHEKIHKRAYPGTPITTAAENSCLSPRNCDKDTLNCSKHEDVVQNGVPTCENFREDHESEEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASADHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFTFVLPCKIPVKEDHSDDPDEEHSSQNGFTNSDIEGSFLFKPQMRTSLLSSGVSVINNSKLFGAKLMCYDPPDILDDRKLFSNGFTSSKEHNFASCTSDAHQSNGASVRSTAEKQHDDGMVLELNSQAERVSSSRGDTVSVSGSSCQKTGPCKILEEQSLQKKSKCSPIGNKAKILLVEDNRVNIIVAKSMLEQLGHGIDIVNNGMQAIRAVQQHQYDLILMDVHMPEMDGLQATKHIRSFESTGFWDASVKPEDDLMIADPAISSDCTHAKRQGQRVPIIAMTANSFSESAVECLAAGMDSYISKPVNFQNIKECLQRYLPSQ >PAN23465 pep chromosome:PHallii_v3.1:4:6526135:6528696:-1 gene:PAHAL_4G093900 transcript:PAN23465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSAAEPNAALAPPAAEPTQPRRRMPDFQQSVRLKYVKLGYHYLISHGMYLLLSPLMALVAVQLSTVSPRDLGDLWEQLRFNLLSVVACSTLLVFLSTVYFLTRPRPVYLLDFACYKPEPERKCTRETFMHCSKLTGSFTDENLEFQRKILERSGLGEDTYLPAAVLRVPPNPCMDEARKEARAVMFGAIDQLLEKTGVRPKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLSIDLAKDLLQVHPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYELVHTVRTHKGADDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELADWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIDNFPVDVPRISKVGNA >PAN26176 pep chromosome:PHallii_v3.1:4:52416380:52418246:1 gene:PAHAL_4G353500 transcript:PAN26176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDQDAGVKKGPWTPEEDKLLVDYIKDNGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTDEEEKLIIHLHSILGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLSMGIDPVTHRPRTDLNLLAGIPNLLAAAQTATCWDINALRLQADAAKYQLLQGLLRALATPPAAPSVDLMALLAATNGGVSVSQPAGVDQQGTRSAQYDGLLNLPALTSVPAATLPAMSSFSGLLSSFGAGDGLSSTELGHSGASGSNMTAAMAPPLVAAKECNDGGTSTPCEDTPASSPFEGLENLNLDDEFSSDSWKDLLEQMSWLNNPNDQQQLM >PAN26177 pep chromosome:PHallii_v3.1:4:52416915:52418246:1 gene:PAHAL_4G353500 transcript:PAN26177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNRWSSIATKLPGRTDNEIKNYWNTHLRKKLLSMGIDPVTHRPRTDLNLLAGIPNLLAAAQTATCWDINALRLQADAAKYQLLQGLLRALATPPAAPSVDLMALLAATNGGVSVSQPAGVDQQGTRSAQYDGLLNLPALTSVPAATLPAMSSFSGLLSSFGAGDGLSSTELGHSGASGSNMTAAMAPPLVAAKECNDGGTSTPCEDTPASSPFEGLENLNLDDEFSSDSWKDLLEQMSWLNNPNDQQQLM >PVH47694 pep chromosome:PHallii_v3.1:4:9368132:9369740:1 gene:PAHAL_4G119100 transcript:PVH47694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCVCELSVGDLPFSVVDMNPVGWPCIEDLPLQYGESRHTACKFCQGEEQKKSRPTGMVLSGSRQDTTAAEEDK >PAN22157 pep chromosome:PHallii_v3.1:4:62400:66263:1 gene:PAHAL_4G001200 transcript:PAN22157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDRRSGQGVRSRQVLGWAACCLGFLLKLLAFLQAFAAVSALLYAAWILSRWARHHQLHLQDLLPGLWFPSLVMAAGLFYCLLLLAGYLAAEISNGCCLCFYTIPAMAMMLLEAALAAHLTVNEHWIQDLPDDRTGELHNLLSFIHNNLDLCKWVALAIFATQALSLFLAMILRAMLSARTTGYDSDEDFVVIRRPLLLAQAPPPYLPTTVDTRGFRPDLWSSRMRQKYGLNTSDYTYNTLDANAARPQ >PVH48084 pep chromosome:PHallii_v3.1:4:43370360:43375644:1 gene:PAHAL_4G239200 transcript:PVH48084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFCYFDFFENLSLPSFHVTVFVISELCSYELGIRWSCCRPGRVPKEGASSGERRGCQQHQQVDWYCLHLLALPCLGRYITCIVFQVIYLVGLVMLSLSSLFFLVVPSKCGDGVGLRPCRPPSQLGIALFYVSTYTTAFGIGGYQPSVATFGADQFDESDATERRSKLAFFSYFYVALNVGSLFSNSFLAFYEDKGMWIRGFWVSTVAVALGLLVFLLGTPYYRHFKPTGNRVTRMVQVFSAAFHKRHIQMPPGEDLHEVEDDEESGIRKLLHRDQLRCLDKAAIVTEEDYHAGNTKNPWRLCTVTQVEEVKCILGMMPIWICTIVYSVEFTQMASTFVEQGTAMDTNLFGRFRVPAASMSVFDITSVLLSVLAYRFVVAPVASRLTKNPDGITDLQRMGSGLIIALFGMLAAAVVEINRRRRVVAMDQPSPMSVLWQAPQYVLIGASEVFMYIGQLDFFSGQMPDGLKCFGSSLCMASISLGNFASMLAVSAVTGITTRRNRRGWITKNLNYGHLELFFLLLVGLSILDFILFVAFAVIYKGTEFKEGGKHISIDI >PVH47461 pep chromosome:PHallii_v3.1:4:4501411:4502258:-1 gene:PAHAL_4G068100 transcript:PVH47461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASPSSPSKLGHRLRTTVCCCFGGGSGAAASAGTRWRRRGAAAGEFRYDALSYALNFDEGGDDDDACVDPAAAFRYRNFNARLPPSPPPAAAPQRAAAIAIA >PAN24540 pep chromosome:PHallii_v3.1:4:42061013:42062557:1 gene:PAHAL_4G231700 transcript:PAN24540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSCLVLLLPLALLLLAGSSPAAAQLEVGYYSKTCPNVEAIVREEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEGHLAERDAKPNKSLRGFGSVDRVKAKLEAACPNTVSCADVLTIMARDAVVLAKGPFWPVALGRKDGRVSSATEAADHLPPAFGDIPLLTKIFAANGLDLKDLVVLSGAHTLGTAHCPSYAGRLYNFSGAYSADPTLDSEYADRLRTRCKSVDDTAMLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLTDATTREYVQRIATGKFDDVFFKDFGDSMVKMGSVGVLTGAEGEIRKKCYIIN >PAN24660 pep chromosome:PHallii_v3.1:4:43890905:43893119:1 gene:PAHAL_4G242700 transcript:PAN24660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDGVYYYPLIMVLLFPLIYLVKFCKPSLFSRRRGLRLPPGPWQIPIIGSLHHLRGSLPHRALRDLSLRYGPLMFLKFGQVPVVVASTPEAAKEIMRTRDAVFASRPLSFTKKIILKDGPGIAWAPYGDHWRQLRKVCIMELLSAKRVHSFRPVREEEAIRLVQSIIISSKKTSSSVVVNLSKLIAMFVADASVHAIMGNANGRFQHRDTLLQYVDEGVRLAGGLTPVDLFPSSWFVRLLTRAPQEAEDFRQSLSTFMDGVIRGHQGRRSHGDQKDQPEDLIDVLLRIQREGSLQFPLSMSIIKAVIFDIFGGGVETATTTLQWAMAELIRNPAVMCKAQAEVRRVFKEQNKVTEERLSELAYLHLVIKETLRLHTPGPLLMPRECQEQCKILGYDVPKGAKVLVNAWAISRNPDYWSEPDTFDPERFIAGDTRDFKGNDFDFIPFGAGRRICPGMTFGLANIELGLANLLFYFDWSLPEGVIPSELNMTETMGITARRRDDLLLSATLRVPLPS >PAN23634 pep chromosome:PHallii_v3.1:4:7712045:7712683:-1 gene:PAHAL_4G106300 transcript:PAN23634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFSCTRSAARGQHVKLVFPGGHVELLDRPTPAADVMARHPRFCVARPDVFREPAGAVAAPDAVLQLGHKYYVVPCSTVRRLQKHSATSSARGAAAGGRRSGSGGAVTLKRHLASERGYKVGGRRWLRCLVGGGAQAQLQPSSQRPRERESVGHSGKADTVRKVDMRETNKENGKAPGVGSPGRRRRRGVSPGNSASYSWQPSLHSITEE >PAN25715 pep chromosome:PHallii_v3.1:4:50279012:50280881:1 gene:PAHAL_4G320800 transcript:PAN25715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSIVLFGDSITEEAFGEGGWGSSLANHYSRSADVVLRGYSGYNTRWAARVAGRAVATVAGAVAAVTICFGANDAALPDRASAAQHVPVGEYRDNLRAICAMLQRRWPGVVVILVTPPPVDEDGRRRYPPYAHDYSGLPERTNAAAGVYARACVEVARQCGIRAIDIWSRMQKFPGWEKSFLRDGLHLTPRGNRVLFEEVVFALKDANLSLEALPADLPLFGDMDPDNPAKSFEDEGEWAEC >PVH47295 pep chromosome:PHallii_v3.1:4:1928312:1930567:-1 gene:PAHAL_4G030400 transcript:PVH47295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENYSNTVETFPNSILPPSGDPHHHHDRCTRFAYPLDPSISASPAPSTAAAAMLEATCPTTKKLPPPSRIQPPPAPAPNPLPYHHHHYGTFPPPPVEGAAYHASQIGFGSQGVVAFPGTVQQQIFVEGVPVQEPPLPFCGIGIGWFLFLLGFFLAAIPWYAGAFLLFFVALDHREKPGLIACTVVEDVTMVSS >PVH47294 pep chromosome:PHallii_v3.1:4:1929063:1930561:-1 gene:PAHAL_4G030400 transcript:PVH47294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENYSNTVETFPNSILPPSGDPHHHHDRCTRFAYPLDPSISASPAPSTAAAAMLEATCPTTKKLPPPSRIQPPPAPAPNPLPYHHHHYGTFPPPPVEGAAYHASQIGFGSQGVVAFPGTVQQQIFVEGVPVQEPPLPFCGIGIGWFLFLLGFFLAAIPWYAGAFLLFFVALDHREKPGLIACTVVYCVLCLFILQSKLLRPFNSLPSN >PVH47293 pep chromosome:PHallii_v3.1:4:1927676:1930567:-1 gene:PAHAL_4G030400 transcript:PVH47293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENYSNTVETFPNSILPPSGDPHHHHDRCTRFAYPLDPSISASPAPSTAAAAMLEATCPTTKKLPPPSRIQPPPAPAPNPLPYHHHHYGTFPPPPVEGAAYHASQIGFGSQGVVAFPGTVQQQIFVEGVPVQEPPLPFCGIGIGWFLFLLGFFLAAIPWYAGAFLLFFVALDHREKPGLIACTVVGIFALVPLILNGIRMHPFW >PAN24156 pep chromosome:PHallii_v3.1:4:14003674:14004977:1 gene:PAHAL_4G141300 transcript:PAN24156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVKPGKKTSKGRQKIEIRRIEDKEKRHVTLCKRKGGIFKKCSELQLLCGAHVAVAIFSKKEEQPQPPTAGGRPSRGGNVFAMGTPSDDHVLRRFAPLPGDEVGLLLPAGADEEAEALVKAEKDWMRAVGEKVQQAAEAAGKPFWWEADVEALGEAELPEFARALQRIREIVQREADKLRTSAPPAVAPAAWHP >PAN23113 pep chromosome:PHallii_v3.1:4:4510640:4514325:1 gene:PAHAL_4G068500 transcript:PAN23113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVRAAAAAAASSSPHPSRQLPRRACVSGPCRPRPRRPGFPSLRAAAAAATAVEPETKEQQNDTSETEVFACPVCYEPLIRKGPPGINLPAIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYTEQKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFHMAQDYFQPVAGGILLDVSCGSGLFTRKFAKSGTYTAVIALDFSENMLRQCYEFIKQEDTLLNANLALVRADISRLPFASCSVDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVGTTFLSSPRNNPFSVEALRPLRMIVGPVNTSYNYFTEGELEDLCKSCGLVNYTSKVQRSFIMFSGQKPY >PAN23944 pep chromosome:PHallii_v3.1:4:28535854:28537626:-1 gene:PAHAL_4G185900 transcript:PAN23944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFILDRSVQQLDHLSTSTCFPLRLPICALQKPQTKNMEGKSLRSVYTGSLIMFLLVVGQIQVEAKAKACCRKLWSATCAKNCIGYGFDLNYCAAQCGCKLTDEDQCHSRWAALPSESAAEATVVDYCKMGCTSSVCNQMITDATSEVKKDNVMKYCGIACHQFCTKGAGTATATLAA >PAN23942 pep chromosome:PHallii_v3.1:4:28535455:28537693:-1 gene:PAHAL_4G185900 transcript:PAN23942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFILDRSVQQLDHLSTSTCFPLRLPICALQKPQTKNMEGKSLRSVYTGSLIMFLLVVGQIQVEAKAKACCRKLWSATCAKNCIGYGFDLNYCAAQCGCKLTDEDQCHSRWAALPSESDATSEVKKDNVMKYCGIACHQFCTKGAGTATATLAA >PAN23943 pep chromosome:PHallii_v3.1:4:28535854:28537626:-1 gene:PAHAL_4G185900 transcript:PAN23943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFILDRSVQQLDHLSTSTCFPLRLPICALQKPQTKNMEGKSLRSVYTGSLIMFLLVVGQIQVEAKAKACCRKLWSATCAKNCIGYGFDLNYCAAQCGCKLTDEDQCHSRWAALPSESAEATVVDYCKMGCTSSVCNQMITDATSEVKKDNVMKYCGIACHQFCTKGAGTATATLAA >PAN24745 pep chromosome:PHallii_v3.1:4:44783878:44784435:-1 gene:PAHAL_4G248600 transcript:PAN24745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNRPMPYSHYPSSGYSGSMQHGARSVPDDGPGSFGPVLVVMAVISFLAVAACVAGRLCGRESPPRGNSPGQQSADAGKGAGKHLEVMRPLPSSRATVHDVDDAFEIRLVPQKPGVGSEATGGGIRLQALPLPPRQVGAPRQYPAAAAVGAMGVRDPGNIGGARQAHPLYGRGASFAPAQQRR >PVH47447 pep chromosome:PHallii_v3.1:4:4296645:4296956:-1 gene:PAHAL_4G066100 transcript:PVH47447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMPSPPRARCSFCQPLSRPRRADGWLALIWATTPRHDAHWRARQATDDATTDTWGVGGKELAAVSLAWVDREPCHAEMGDTHAARGRRLLQAARTCHLIIF >PAN22516 pep chromosome:PHallii_v3.1:4:1544704:1547182:1 gene:PAHAL_4G024600 transcript:PAN22516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFNHEFIQSKIRSFIRLGTYVRDTFFFVCRFVGTHVHPFFIQLAYFLAIAVLGSVLLISLKPSNPAFSPRYIDMLYLSTSALTVSGLSTVTMEDLSSSQIVALTLLMFLGGEVFLSFLGLMLRPNHHQSKPIDPTGNKIVAVELDIIEAASVTDVVGEELQLEEAMRGAPSLSSSDLKNSRSVRYLGFVVFGYLAGTHIIGFLLVFLYINRVPNAKAVLTKKGINVALFSASITVSSFANGGLIPTNENMSAFTKNTGLLLLLAGQILAGNLLFPLFLRLLVWFLGRVTKLERLKLMIRDPKKLPYSYLLPKLPTAFLSSTVVGLLAVAVTLFCGIDWNSPVFDGLSSYQKIVSALFTAVNARHSGENSIDCSLISPAVLVLLIAMMYLPPLTTFAPPNGDDKTKDEKVVPKHGSLVLNLVFSQLGCNVIFVIVACITERRRLRNDPLNFSMLNMIFEVISAYGNVGMSIGYSCSRLQQLHPESVCHDQPYSFSGWWSDEGKMLIVLIMLYGRLKAFSTGTGKAWKLVKSQC >PVH47399 pep chromosome:PHallii_v3.1:4:3392745:3393668:1 gene:PAHAL_4G051800 transcript:PVH47399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEHCDKANWTYAQNNTTFCELCVEQIREGNRVNGHMAGRGFKIIAEKFYLSTGLRHNRIQLKNRWGQLKGLYNFWLWCNKQTGLGRANGTVVADEEWWKKHTKGHSEWKKLKFGPPENLEHLEQMFEHTAIDGSSSYEEEPMNEEPMEGDQGCRRKRPNSSNTGATSPLKKGKAPMVKVMKGIWGTMQSNSAFAKKVMQGEVRAESIKKAMKLVVDCGAPEGSIEHYMATKLFIKAENRDIFFTFETNEGRLSWLKRNCQEYRLY >PAN23146 pep chromosome:PHallii_v3.1:4:4725032:4733132:1 gene:PAHAL_4G071100 transcript:PAN23146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARKKTPSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMIPSWITQTPEAGVTADSFLKSVVFYRIHVGIQSPEGFSSSHGILRRFSDFLKLCSDLKSAFPRKDVPSAPPKHAFLRINTSRLLLEERRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAARSYFQERNGRPSEAGSSAKSSADSSPHPDGPASGSLAESNQINHALTRGSSLTGATGNGVLGEAILDQPDEHVSSVPNHRKGNLVFLEHDGRNGSVASYRGVVSEEDHDSNPGHARKESSESIGSDLSSLRGSELSVPGASSSLWDGPVDGHISQTEHLPGLDMQLLYDVDTQVILPNDQKQKLSRLLITMQRRIGTAKTDMEDLIARLNQEAAVKEYLTTKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKTEQNEKTRAESEITSASGENETLLEELEIKRKEAESLKQRLGEVEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYLEEKTDLEMVINREKQRSTRMRLSREKILHECRLLRERLQECSAKFLAEEQDNFTIDPSSLPDALDLLATSDNRIRLLVAEAQLLARDDEQHSSDDGDNSDSRSSLTMGTEEANVTDEDTTKMLSDLLIDNAQLRMRLNAVIRNAVNTAVKPEKEGSGEILPKKTVLNWLLDR >PAN23981 pep chromosome:PHallii_v3.1:4:19746101:19747582:-1 gene:PAHAL_4G159700 transcript:PAN23981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRIASWLVPLMILCVILASTAAKGDHRLSVSYYDKTCPSAQSIVQSVMASRVAADQTMAPAVLRLFFHDCFVNGCDASVLLDDATPFFKSEKDAEPNDSLRGFDVIDEIKSHLEHSCPATVSCADILALASRDAVALLGGPTWNVQLGRKDSRGANKYAAEEKDLPSPTANLTELIAKFAEFGLDATDLVALSGAHTIGSARCHHYKERVYGYDGQGGANIDPSFAELRRQTCQAGDDARAPFDEQTPMRFDNAYYRDLVARRGLLTSDQELYGCGSPLDHLVERYSEDGEVFARDFAKAMVKMGKIPPPPGMPVEVRLTCRKVNY >PVH47737 pep chromosome:PHallii_v3.1:4:12316767:12317937:1 gene:PAHAL_4G136000 transcript:PVH47737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPGEKAALGPVLSEAVIGEVLFRLPPDQPACLFRAAAVCQAWRTFFTSPDMLRIYRKHHMAPPVLGFLQNTGRSDDPFPRFVTTAAPSPPLHHQPEFECVYSLALDCRHGRVLLHTTFPLGLIVWSPVTGDHQLLPEEPIQLDPPQPPYSDFAGAVLCAGPFHVLFAATSYEDVDVVSTWAILYSSETGAWTEPSTVHPGAVMNSPDVMSIMGPSLLVGDALYFTLGVLNNRTVLTYNLVGGALTVMDPPPLVRRDAFLVTGEGGGLGVAAVEGYSLRLWSWEAAGRWALCRVIDLEMSIPFTIGAPITQLKVIGFAEMSDTIFVSANGIISSVQLRSGRVRKFSKTPNSDTIFPFEIFYTLGTSLMPTYVSVLS >PVH47924 pep chromosome:PHallii_v3.1:4:29445342:29446067:-1 gene:PAHAL_4G187800 transcript:PVH47924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLSQHLVGVPAHLTRSACRRLRRHLSPCPAPRRAAPIAAWRRRCLWTAHRGPPRRRHGIRVRGRLFRAGARQGHPRRRLRPPLRIRLRHPTAATIYLVWSLIASTCASGYDDVYSDDEDQLSDSESPKKAGYIIIHDAEEYGVGKN >PVH47290 pep chromosome:PHallii_v3.1:4:1885104:1887908:1 gene:PAHAL_4G029700 transcript:PVH47290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASRQQPSQTNHGVELTISPASGLSDEDDEARELLLDVGGLLAGGGAAGAAAAGGAAPCKIRVRGLCRRAAGGQEILRGVDLDVPRGAVVGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGADVCGLDVRALRRRVGMLFQQPAMFDGTVAYNVRYGPMLRGKKLTEAEVQNLLSLADLDPAMSSKPATELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDTIVRLKKTRGLTTVIVSHSVKQIQRIADLLCLLVAGEVVEVLPPSALSDAKHPMARRFLELS >PAN23008 pep chromosome:PHallii_v3.1:4:3908096:3911063:-1 gene:PAHAL_4G059400 transcript:PAN23008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSACLHLLLLLLGVLLVPSRTAAQPRAFGGAPPVYARYLVDAAAMPAVELYDYIVVGGGTAGCPLAATLAGSGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQGFTSEDGVPNVRARVLGGGTAINAGFYSRAHPEWFRSHAEDAEVTNWDMRLVNASYEWVERHMTFQPTVRGFQAAVRAALLEANVTPWNGFTVDHVTGTKVGATTFDASGRRHSAADLLAFARPSRLRVAIRATVTRIITNPIDPAARHGRSPQPTIAAIGVVYQDRLLDQHQALLRPGGEVILSAGALGSPQLLLLSGIGTASDLSYLGIPVSADIPDVGKHMFDNPRNGISIIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPLAPVLRSAGPFIGSSSPLYVTVATIMEKVPGPLSEGSLWLSSTNPLESPPLRFNYLSRPEDLARCVLGVRRVAEVLEGRALDGFRSPVGSVNRRGAVRRDFRIVGMALPVEWRTDDRALAEYCQQTVATLWHYHGGCIAGKVVDRDFRVIGTRALRVVDASTFSQTPGTNPQATVLMMGRYVGLKMIEERHSRRPVITS >PAN25246 pep chromosome:PHallii_v3.1:4:47849839:47851169:-1 gene:PAHAL_4G286400 transcript:PAN25246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAGTSFMDKVEAAADEDGGVDGASMDTGEGEEIGEMAPLEPLPEPPDDGGPVAWPMPDFCPLTIDGAVKESFLETLRKDAAETERPPREDAEAEEVMSPDSRPSSSKRHRAGTASPSSRSSPYRNILQVFQQCRQDVVGETPAKNC >PVH47531 pep chromosome:PHallii_v3.1:4:5581061:5581495:1 gene:PAHAL_4G081200 transcript:PVH47531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIVVARARGLFADQENHQRFGYRQPGLPWDMGFTAVDVDRQLAGYCS >PAN26179 pep chromosome:PHallii_v3.1:4:52425634:52426527:-1 gene:PAHAL_4G353600 transcript:PAN26179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVKEKVKDSVSAAKAKAKEKQAKAEEKAEVATARSRAERELAHERGKARVAAAKMELHQEKALHREEAIQHRLRKHHGVGHHHGGVGMAGTAPPAAGTTETTVAPPPPAGPLHHHPAPAKHYY >PAN22857 pep chromosome:PHallii_v3.1:4:3212689:3213640:1 gene:PAHAL_4G049500 transcript:PAN22857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLRRLSRVAAADACAAAAYQPLRAAPKAAAAPASGARLLGGGARVPEGHVPVCVGEEGGPVERYAVRAELLGRPAFAALLRRAAQEYGYGHPGALRIPCAVADFHDLLLQLAYPSADHADDEAAVCYY >PAN25391 pep chromosome:PHallii_v3.1:4:48669798:48673498:1 gene:PAHAL_4G298100 transcript:PAN25391 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MGADAGEPSSILSLAAAFSYGVASMAMVFVNKAVLMQYVHSMTLLTLQQIATMLLIHFGQVLGMSKRKDFNLTTAKKLLPVSIFYNANVGFALASLKGVNIPMYIAIKRITPLAVLVSGCIRGKGKPPTQVTLSVICTATGVLIAALGDFSFDLYGYCMALTSVFFQTMYLVLVEKSGAEDGLSSVDLMFYNSILSLPFLFFLIIATGEFPHSLAVLSAKTGSLSFSVILVISLVMGIILNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFILLGGVEVHALNVTGLVINTFGGVWYSYAKYKQKKKTPRKLVPDVESHVHK >PAN25079 pep chromosome:PHallii_v3.1:4:46729875:46736588:-1 gene:PAHAL_4G273100 transcript:PAN25079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G14950) UniProtKB/Swiss-Prot;Acc:Q9LFR0] MACKLRHHEHRAPNHQPKLHGATVTPDLNRWPHLEPKGPRVSDTYHPYELFPVPIPTRESNEALKISPRRCRTGHGRKGAPTRDDHPPRARSVRRGHYPSPAAAMPFFSGGGGGRSGALLPTTSKPKAHHHLRSKSSLSAPASSRRRGGPHSASSPYSRRALCLAAAAFAALFVLAFLRLGLPSSRPAARSPHARPRARLTRRPAFRLRDSAAVAARIGREAPVDITTRDLYDRIQFLDADGGAWKQGWEVKYRGDEWDGEKLKVFVAPHSHNDPGWIRTVEEYYERQSRHILDTIVESLSKDSRRKFIWEEMSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEANSHYFAIIEQMMEGNMWLNDTIGVVPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELAMKKNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETDADNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSVEEAEVQFRNYEKLFDYINSDPHLNAEVKFGTLEDYFSTLRDEAEKINYSRPGELGSVELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILASFVLGYCQKFQCAKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDFHDRSDPTLLSHFEPVQERSKYDVQPVHRVLDPREGKAQSIVFFNPLEQTRDEIVMVVVSTPDVSVLNSNGSCLQSQVSPEWQFVSDEKISTGRHRLYWRASVPALGLETYYVVTGQDCEKAIPAVVKTFTASQQFPCPEPYVCSKLEGKTVEMKNSYYTLSFDASHGLLQTVTGHKDGEQTVIGEEIGMYRSHGSGAYLFKPIGEARSIVEEGGHFILTEGPLVQETHSLPKTEWHKPPLSHSTRIYDCGDSIQDMLIEKEYHVELVGHVFNDKELIVRYKTDIDNQRIFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWLEIMLDRRLVQDDGRGLGQGVMDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHRVGAHLNYPMHAFMSKKPHEKSFKLPQQSFTPLTASLPCDVHIVNLKVPQPLRFPHTEAASQRFAILLQRRGWDASYCKKGGLHCTTVEEEPVNLFYMFKDLSAVNVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQPPSSQEE >PAN22344 pep chromosome:PHallii_v3.1:4:848181:852793:1 gene:PAHAL_4G012900 transcript:PAN22344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVSDNAKGLALAVASSAFIGVSFILKKIGLRRAAKCGARAGGGGYTYLSEPLWWAGMATMLLGEVANFVAYIFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGVLGCVSCIVGSVVVVMHAPEEHMPNSVEEIWNLATQPGFLAYAMTTMLLVGTLVLFFEPRYGQTNILVYLGICSSMGSLTVVSIKAIGVAIKLTLDGVNQAAYPYTWFFLMVAIICGVSQINYLNKALDTFNLAIVSPIYYVMFTTFTIVASGIMFKDWAGQSLSSIASELCGLITILSGTILLHTAEEGGNNSAALLPWPLDKGSISWCISLSSDNLLKNVEEDYFAALQNSPAPV >PVH48307 pep chromosome:PHallii_v3.1:4:49186058:49189157:1 gene:PAHAL_4G304800 transcript:PVH48307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLAAILFSSILLAASGRGACLLRCQQEETDALLRLKASFRFYYYERLPSWKSLTNCCAWEGVTCGDDGSISSSGYAAVVTALNLSSLYISGNLSSSHLFKLTSLRFLSLAYNSFDASPWPALGFQQLPHLQYLDLSFSGLSGDLPVDDGQLSNLATLNFSGLHIKRLPLQSLIANLGSLQELTLHHANISVVSPADSPHASSPTNIAPLSSGLKSLSIKDCTITGGHLGSVLTDPRFLPMLANLVTLDLSGFDLRNFSLHALIGSLSNLENLHLENVNISATTCPSPRPPSTNTTSGLKDLLMMDCTITGGDFNTVLAKLPFLSTLNLDGTKFSGPPPVLERFAELSSLAILSLASCGLTGTFPSLIFHMKSLISLDLSWNENLSGELPEFVQGSALQILDLSRTKFSGEILESIGNLRNLTWLGLSDCQFHGPVPTFTQWPMLSSIYLSGNNLTSSLPSDGYLALHNLTAVDLSNNSISGVIPASLFSLPSLEFLSLSQNNLTGNFLLHPNISSNLRLFIDLSNNRLQGPVPKLLSELVGMYWLDLSSNNFTGNVDLSFIKNYKELDYLSLSYNMLSVVEEGGNQSYAEYPIIWSLSLASCNLTYVPEFLMHQRSTGLDLSNNNIGGHIPDWIWGTGEFSPYSIDLSHNLFTSVATNLSHSSVIDLDLHSNKIEGVIPLPPSGTSRLDYSNNHFSSSIIPEFWSHVGSAISLSLAHNSLSGEISNLICNATDIGVLDLSFNNLSGLIPLCLLKHNKTLEILNLRGNNFNGSLPHDISEECALQVIDLNGNKLEGKVPVSMINCAKLKVIDLGNNLIMDMFPEWLGVLPLLKVLVLRANQFHGTIDHYGLHPFFFSELQVLDLSLNSFNGSIPIGFLKQLKAMMVVSSQVSSTYVEVVASVAASPDYQPNYGESNYRESVTVTLKGQETTLIKILSVTTGNGYFAECQKHSAKTQKHSAKPLPSVALGKEHTEKELSAKGTLPSAFHRALGKAFAERKVPHSAKCKRRDGAGT >PAN26126 pep chromosome:PHallii_v3.1:4:52108494:52113244:-1 gene:PAHAL_4G349300 transcript:PAN26126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDRASSSSHHHSHHHPPPPPPPKILLAKPPLPHASSSGADDDGGGPGGAGGRARQAPQPGSLSLVSDAWEAHTDKILPYLTENNDFMVIGVIGPPGVGKSTIMNELYGYDASSPGMLPPFPTQTEEVKLMGKHCTTGIDLRISNERVILLDTQPVYSPSVLIDMLRPDGSSTIPVLNGDPLSADLAHDLMGIQLGVFLASVCNVLLVVSEGMNDLSMWELMLTVDLLKHNIPDPSLLTLSASQDKENKNDDQSGSEDYLSDLCFVHARLRGQDSSPSKHTLLRKALEKHFSSSSFRIGSASATGQVSDSSVSSSAKVEDLTSSRQDIFLLPLRGHDNSAKFEYGTHSCMLGMLRDQILSWPARSFSKNLSERDWLRSSAKIWDMVKKSPIIADYCKALQSSGSFRK >PAN25582 pep chromosome:PHallii_v3.1:4:49636904:49640975:1 gene:PAHAL_4G311600 transcript:PAN25582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHYYKNSTYRAAALLLLLFSLTGELQFQAKAVALPSSSCPESCGDVKIVYPFGIGVDCAREGFDLECNKTDNGHANITFFGTIPLVNISLLDGMIRVRHRISSMCYNHLNRSITYRNGGLELGNPSFTFSEQLNKFTVIGINTLAYMLGSTQVLGCLSQSSPYNNLMARDEVCDGVGCCQVALSSNMSYYEMDFNERYNTSNVSITKNTDYCGYAVMMETDAFKFRTTYLNNGVFWDEDRRVPVILNWAVGNETCDIARQKKDSFACRSNNSYCIDSRNGPGYLCNCSTGYHGNPYLPDGDGGCQDINECATNEPPCDGCINTPGSYFCPNQKPSSGSSHSDSTVLVVGPSIGVVIVVIAITCTYVIRERKKLASIKQKYFQQHGGMLLLQEMSLKQGTAFSIFTEADLTEATNKFDDNNILGRGGHGTVYKGTLKDGSLIAVKRCVLMTSEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGDNGSHNIPFSTRIHIALESALALAYLHSWASPPILHGDVKSSNILLDENYAAKVSDFGASILAPADKSQFMTLVQGTCGYLDPEYMQTCLLTDKSDVYSFGVVLLEMLTGKTAFNLEGPENERSLSLRFLSAMKEGRLMDVIDDQIKSDSDAGLLEEVAELARQCLEMVGESRPSMNDVAEKLDRLSKVMQHPWVPAQRDPEEMESLLGESSAASLEMISTGNFSMEKRIVQGLLESGR >PVH48343 pep chromosome:PHallii_v3.1:4:49636838:49640976:1 gene:PAHAL_4G311600 transcript:PVH48343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGDDGKCEQVLGCLSQSSPYNNLMARDEVCDGVGCCQVALSSNMSYYEMDFNERYNTSNVSITKNTDYCGYAVMMETDAFKFRTTYLNNGVFWDEDRRVPVILNWAVGNETCDIARQKKDSFACRSNNSYCIDSRNGPGYLCNCSTGYHGNPYLPDGDGGCQDINECATNEPPCDGCINTPGSYFCPNQKPSSGSSHSDSTVLVVGPSIGVVIVVIAITCTYVIRERKKLASIKQKYFQQHGGMLLLQEMSLKQGTAFSIFTEADLTEATNKFDDNNILGRGGHGTVYKGTLKDGSLIAVKRCVLMTSEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGDNGSHNIPFSTRIHIALESALALAYLHSWASPPILHGDVKSSNILLDENYAAKVSDFGASILAPADKSQFMTLVQGTCGYLDPEYMQTCLLTDKSDVYSFGVVLLEMLTGKTAFNLEGPENERSLSLRFLSAMKEGRLMDVIDDQIKSDSDAGLLEEVAELARQCLEMVGESRPSMNDVAEKLDRLSKVMQHPWVPAQRDPEEMESLLGESSAASLEMISTGNFSMEKRIVQGLLESGR >PVH48342 pep chromosome:PHallii_v3.1:4:49635237:49640976:1 gene:PAHAL_4G311600 transcript:PVH48342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAVDTCLSEDSSTAVRAAASKSSGSNIGLLVQRAHMHLRRRVDDGDSVRAKRKCATRATAVARRRPRAARVDGDKLVGFKDHGGLAGRPAATAGWTTVTMAAAAHDDERRRRREVRDINECATNEPPCDGCINTPGSYFCPNQKPSSGSSHSDSTVLVVGPSIGVVIVVIAITCTYVIRERKKLASIKQKYFQQHGGMLLLQEMSLKQGTAFSIFTEADLTEATNKFDDNNILGRGGHGTVYKGTLKDGSLIAVKRCVLMTSEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGDNGSHNIPFSTRIHIALESALALAYLHSWASPPILHGDVKSSNILLDENYAAKVSDFGASILAPADKSQFMTLVQGTCGYLDPEYMQTCLLTDKSDVYSFGVVLLEMLTGKTAFNLEGPENERSLSLRFLSAMKEGRLMDVIDDQIKSDSDAGLLEEVAELARQCLEMVGESRPSMNDVAEKLDRLSKVMQHPWVPAQRDPEEMESLLGESSAASLEMISTGNFSMEKRIVQGLLESGR >PVH47982 pep chromosome:PHallii_v3.1:4:36291950:36294631:1 gene:PAHAL_4G206700 transcript:PVH47982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPLPVASPPPPPHPPTAATIRSLTAAGDHAAALRALSYLAAASPSAPLDRFALPPAAKSAAALRSLPAVRAIHGAAMRRHLLDGPTPAVANALLTAYARCGDLPAALALFAAMPGRDAVTFNSLIAALCLFRRWLPALDALRDMVLEGHPLTSFTLVSVLLACSHIADDPRLGREAHAFALKSGLLDGDERFAFNALLSMYARLGLVDDAQRLFGSVGAADAPGGGLVTWNTMVSLLVQSGRCAEAVDVLYDMVACGVRPDGVTFASALPACSQLELLSLGREMHAYVLKDADLAANSFVASALVDMYASHERVDAARRVFDMVPGGDRQLGLWNAMICGYAQAGKDEDALELFARMEAEAGVVPSETTMAGVLPACARSEAFAGKEAVHGYVVKRDMAENRFVQNALMDMYARLGDMDAARRIFAAIEPRDVVSWNTLITGCVVQGHISDAFQLVREMQQQGRYTDVATEDGIAGADEEPVMPNNITLMTLLPGCAMLAAPARGKEIHGYAVRHALDSDVAVGSALVDMYAKGGCLALSRTVFDRLPRRNVITWNVLIMAYGMHGLGNEAIALFDRMVASDEAKPNEVTFIAALAACSHSGMVDRGLELFRSMKRDHGVDPSPDLHACAVDILGRAGRLDEAYSNISSMEPGEQQVSAWSSFLGACRLHRNVELGEIAAERLFELEPDEASHYVLLCNIYSAAGLWEKSSEVRNRMRQRGVSKEPGCSWIEVDGAIHRFMAGESAHPESALVHAHMDALWERMRGQGYTPDTSCVLHDIEDGEKAAILRYHSEKLAIAFGLLRTPPGAAIRVAKNLRVCNDCHEAAKFISKMVGREIILRDVRRFHHFVDGSCSCGDYW >PVH47929 pep chromosome:PHallii_v3.1:4:29598167:29599144:1 gene:PAHAL_4G189000 transcript:PVH47929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPFTLLVDMKLGHILRGCITDGSAENVHTKVVHENSEYEDESYLEYDGEESTTSSLSNDLERYNGDNFWEHEYSDEDEDAKQYRRHAKMVKKGLYVDEEMDACNDTPYFDSSEEASYDDEEGHEICGCRRKSKLPRFDDTTRLPIFSIGMTFRGRRAQARRG >PVH47201 pep chromosome:PHallii_v3.1:4:114866:115808:1 gene:PAHAL_4G002000 transcript:PVH47201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCCIRLVFKLQPHSFNVQKQVLKCLYCGKRSARSIILYWFPFSHSCGCCLIMLQPCKAISLCTPHLLFPSLLTHAIKHSQAQTWVGKMTASGPDEPNDKDFEGRPSRLGLGAKVAPGVKRAAPTNPVERKLLGKVNAQKREAMEDEN >PVH48091 pep chromosome:PHallii_v3.1:4:44353259:44354940:1 gene:PAHAL_4G245400 transcript:PVH48091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHRACARARAYLHLLLTVALLPCCLPRHAAAQAQPADEARLLLRIKRAWGDPPVLAGWNATAAGALCSWPFVGCDAAGRVVNLTLANTNVAGSFSDAVGNLSALTHLDASNNSISGGFPTALYRCASLQYLNLSQNYLGGVLPADIGRGLGEHLTTLDLNGNEFNGTIPASLSRLRNLQFLALNSNRFAGTIPVELGELTSLQKLYLANNPFGAGQLPASFKKLTNLVSLFASQCNLAGDFPNFVWGLKKLQLLYLYTNNLTGELAADGFAARSLIGIDVSMNQITGFIPEVFGGLENLTVLSLFQNNFSGEIPASIGLLPSLTLLRLYSNRLNGTLPPELGKHSPGLYRIEADDNELTGAIPEGLCAGGKFQALTAKRNHLNGSIPAGLANCTTLYSLQLGSNNLSGEVPQALWTVAQLQYVQLPNNQLTGSLPASLAKCSNLRTLNLRDNRISGVLKS >PVH47505 pep chromosome:PHallii_v3.1:4:5192086:5195032:1 gene:PAHAL_4G076400 transcript:PVH47505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLEVGKMDKHIVHSDESGNDDDSCDDNHGTCEHVFSCEDYSIDKMDEGKEVENPETVKRVTKNAFKTPREKAEIAMPNGQKTDEEKIERLSTCCSCIHGKNKQKAPYGVGKMDKYIVHGDEVGNDDSSDDNHI >PAN26261 pep chromosome:PHallii_v3.1:4:52766783:52768285:-1 gene:PAHAL_4G358600 transcript:PAN26261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTERKLPLPMKNATNAIPLQTIRIRRGKWKEIGGFCRKRKCMNLLRKLAQHLSGRQAGGGSGRHVISWGRRWNGDGRSDGYGSYSYHCHNTVYWRGALHVNCQTNFVMRISLLDGKYQVIKPPAGIELTPDPKLYLGRSQKGVYCALDDYYVYILDVSYGKMEWVRKASIVLRHRQTDARPKPWTLQGRNDEMIMEQNFELGFAKNNAVETEEWDSDDDNVIGTRDWDDSNLGLGGDITFLGFHPYKEVVFLSEGLSTGLAYH >PAN23390 pep chromosome:PHallii_v3.1:4:6109585:6114462:-1 gene:PAHAL_4G088100 transcript:PAN23390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRTLLLLCFCLLVFPSPGPLAVEAFVGGYGINYGRIANNIPSPDKVVELLRKSKIRNVKIYDSDHSVLDAFKGSGLNLVIAIPNELVKDMAANESRSMDWLNQNVKPYLPQTRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYSGLKRLHLEKEIELFTPHSEAVFATSYPPSACVFKEELMPYMKPLLDFFAMIHSPFYVNAYPFLAYISDPEHIDINYALFKPNNGIVDPNTSLHYDNMFDAQIDAAYAALHAAGYDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENQKPGPGSERHYGLFLPDGRISYDIGVSGLLPSSASSSMLSMKKIRAGGWILHYLATVLLSIFIFWP >PAN23389 pep chromosome:PHallii_v3.1:4:6109815:6114460:-1 gene:PAHAL_4G088100 transcript:PAN23389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRTLLLLCFCLLVFPSPGPLAVEAFVGGYGINYGRIANNIPSPDKVVELLRKSKIRNVKIYDSDHSVLDAFKGSGLNLVIAIPNELVKDMAANESRSMDWLNQNVKPYLPQTRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYSGLKRLHLEKEIELFTPHSEAVFATSYPPSACVFKEELMPYMKPLLDFFAMIHSPFYVNAYPFLAYISDPEHIDINYALFKPNNGIVDPNTSLHYDNMFDAQIDAAYAALHAAGYDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENQKPGPGSERHYGLFLPDGRISYDIGVSGLLPSSASSSMLSMKKIRAGGWILHYLATVLLSIFIFWP >PVH47301 pep chromosome:PHallii_v3.1:4:1986969:1989942:1 gene:PAHAL_4G031900 transcript:PVH47301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSADVSSRCRMLANPPLRLPAGRRFGSSACSTGRCSSLSPCNLGNLAAMASFPTAPVPHIERIHGRHSTQGHRRSVAGTPRAADSCSRRRLPAIAITYSPPRRATADTAETAWSDLATAPLRASMLPRLWQPQSLGRALLGRTDEGSAGAVCGGGGRR >PAN24301 pep chromosome:PHallii_v3.1:4:31345336:31396863:-1 gene:PAHAL_4G193400 transcript:PAN24301 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MLIPPQNPSPPGVLAHGLPSSAPRLPAVLPPLLGHDTTVETMASCPLFLMTPRPPPALVDASRYPPLAGSADLPTSCRPRLGRRHGYRCGSSSSSSAPRDRPPRPRQQRQRSQRSGGRGDAVDPVGFLAKLGVSDRAFAQFLRDRHKALKDRRWELCSRFIDLKEASSGFELLGMHRHRQHRIDFMEWAPGARYCSLVGDFNEWSPTENCAREGHLGHDDFGYWFIILEDKLREGQESDEYFFQEYNYVDDYDKGDNGVDAEEIMHRMKEEYWEPGQIRSRRSQLEMVVKLYEQMFGPNGPQTEEELGEIPDAQTRYNEWKALQKADSSSLSASYDIIDNGQPFDIFNVVTDRASFEKFQAKKPPLAYWVEMRKGRKAWLEKYVPTISHKDKYRVYFNTPDGALERVPAWATYVLPDAEGKQSYAVHWEPPPEEIYKWRFGRPKVKGSLRIYESHVGISGAEQKVSSFQEFTSKVLPHIKNAGYNAVQLIGVVEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDVDVLHFLLSNLNWWITEYRIDGFQFHSLSSMLYTHNGFSTFTGAMEEYCNQYVDKDALIYLILANEMLHDLHPDIITIAEDATFYPGLCEPTTQGGLGFDYCVNLSVPEMWLWHLENVPEREWSMNKIMKVLVSSNQNMLSFVENHNQSISGRKSFAEIILNSGKFSIGSVDDDLSKASLLKIIKLITFTMSGGAYLNFMGNEFAHPKRVEFPMSSNDYSFWLANRQWELLDKGFHKHLFDFDKDVMSLDENERIISRGSPSVHHCDDTSMVICFTRGPFLFVFNFNPEVSHQSYHVGVDEAGEYQLILNTDETKYGGRGELKSSQYMRRTCDKRVDGCRNSLELSLPSRSAQVYKLVRILRI >PVH48145 pep chromosome:PHallii_v3.1:4:45765445:45766249:1 gene:PAHAL_4G261100 transcript:PVH48145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGKILMERYELGRLLGKGTFGRVHYARNLESNRSVAIKMMDKDKVLKVGLSEQIRREITTMRLVAHKNIVELHEVMATRNKIYFGMEMISSKCRSSFGCLSFLFRKFVFFSSEILFYMCVLF >PVH48100 pep chromosome:PHallii_v3.1:4:44876858:44877548:1 gene:PAHAL_4G249200 transcript:PVH48100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNRRASIARANSKVNPLVIAFRTLANMLLCFSITVLSTCPVLILKPIYVL >PAN23857 pep chromosome:PHallii_v3.1:4:10233982:10236239:1 gene:PAHAL_4G125100 transcript:PAN23857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATILVLLAVATGAWSAAALRLHRGHDYERVFDRQEADRVEALPGQPSEVGFRQFAGYVTANESHGRALFYWFFEATHDVQNKPLVLWLNGGPGCSSVGYGALEELGPFLVQNGKPEISLNPYSWNKEANLLFVESPAGVGFSYTNTTRDLSQFGDELTATDAHGFLVNWFKRFPQFRGHDFYIAGESYAGHYVPQLATKVVEGNKKAHHKKDRINLKGIMIGNAAIDASSDDRGLADYAWDHAVISDELYGAIKKECTFPDDGEESAPCNQAWNEFFGSIQNIDIYSLYTPACTDTLANASRSNSSSWKLAGTPLARIHRGRPYNTYDPCADYHVVDYLNRGDVQAALHANVTGMPYAWTPCSDALTNWTDSVSSTLPAIKGLVEAGLRVWVFSGDTDDRVPVTSTRYALRKLGLATVKEWREWFTSDQVGGYTVVYDGLTLVTIRGAGHMVPMIKPVQASQVFTHFLDGNELPAKPVAATAA >PAN24098 pep chromosome:PHallii_v3.1:4:20891390:20902139:1 gene:PAHAL_4G163700 transcript:PAN24098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MMGFLEGLRLDTLLKRVCKSLLKKRLGDLILGDLDLDQFDIQLGRGTLQLNDLALNAEFVNRKLSGSPIMLKEGSIKSLIVRFTGSCEIVVEELELVLAPSVASEVADVHTECSVSGSTSDTQTSVKTQRYESDSNQCSTSVSRDVDEGVKRIANAVKWFLTSFNIKLKNVYVVFDPQTSLDSMLPENNRSLVFRIKELEFGTQLGLFKLNNFLTFHEAVIEFLKMDDVDTLLQNDPFRGVADISSRHSTTAVLTGPIGGFSGKLNLSIPWNKGCLNFEKIDADVSVDSLELRLQISSIRWIMNVWDSLQRKPVDKQSCAHNAADISISSSRSAFCSPALSTLKSSSDSVIANSECLARSTFSQSRQGKIQESFLTRAHVITDWMEPAAREDQGDPDSDCDESIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISFASTLASGSDQVPKEPVIEKTLRASIAEVSVLLLFSDDMDVNNSSVPISELDDMRNSQMFSSCLSSEQFEKSIISPAAASSLNMHHLEAKCQNIHLNLQTYPKNLRFKASVAQMKLDEYYRAGNNSSDDSYLGYHFLNNNLRQGVQASLPQCLFAAGDHLVETFEHCGNSSNELTKVELLKTYGKCTFHYDVSTKDQDGKLVSSTSMSICLAPLVLWVHFHTLYMLLSFINKVESDLSHEEHKAHMHGDDKGSRLTTSTNVSSTGSLNIHISLSPARIILCFPTEFSWDLSHPSILDKFLVIDHTSCLNMAETASHPQNEIHNEFHLGKPCTSIHLATGNFDIYLVKPANDVLDGRVFSSNRQTFSTMKIFSVTGTSYNDSGITLIRRKYPVTSPEMVSKAWSLAKLHDQLVTEKQNSKWAGISPSITQDLEETGSSMRQELLKSTELLFHVQLSCVSLQLSKKDCELLTNLIDHVLDGMSNEETSISGNCKDKSVPTNDICTQTSIIFECSVLEICTELDETVEVSPLLQAELEGSWNSLKLKVSNFSLFSFSNVGGLNNASFLWLNHGEGELWGSVGVKDDEAPEESKDFLIVVCKDSACRRGDGEGTNVLSIGTAGCSVTHIRNPKLKENYTSVGVRSGTIVAPGGRMDWINAICLLFSSGSDGTGKSDDSSTVNSSQSGEFYLSSFFLELADVAVSYEPHFKYVTLDAEAADHKFFSCLLAASSFKLHNKSASASAATVFDIQLRDLGVLLLQSSGSKNVTCGYGVDYLRQAGYAKVAQNTLIEASLRIDSLFWKLEILDSQFDIGTCHDTTYGLIRLGSQLQQLYGPDMRDALDHLQSRWNSVQQASKQNIAADASDKSESSLEILRDSGDCQSDGLLDDIIENAFYEEYMANDFCESNCCETDDGFELNTQIPLRQNSCADQIIDSYYMPELHQLSSYEEHKCTSGGDALRTLESEDGGWYNNVPLTIVENHVSQKKSKQGEQILQQEVKASVCVSNTDESCNLKGKVLIHDIDVKWRMYAGGDWLLPQKDSTSFTCTDGRDRSSSLEFTLTGLSIQLDMYPDGDVSISKLSVAAQDLSLCDQSIHAPWKLVLGSYDSKDYPRESCSSLFRFELESVRPEPHAPLEDYRLHLEILPLQLHLDQGQLNFLINFFQNDSCNNDPHLHCEKEIVDVKSTSYGGNTVADEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSICDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLVKTERALTAVPPSLASCEAKRTKHSIRANQPESAQQGIKQAYESLTDGFGRTASALIANPIKVYNRAGPGSALATAICGAPAAAVAPVSASARAFHCALVGLRNSLDPEHKKESMYKYNGPSQL >PVH47260 pep chromosome:PHallii_v3.1:4:1248685:1250487:1 gene:PAHAL_4G018800 transcript:PVH47260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPAFTVRRGEPVLVAPAEPTPREVKPLSDIDDGEGMRFYSSGIHLYRSNPAKAGQDPARVIREALARALVPYYPLAGRLREEKGRKLVVDCAGQGVMFAEADADLTADDFGDVQSPPFPCFESFILESTTIAGVEPVVDRPLLYIQVTRLKCGGFIFGQRFCHCIVDAPGGMQFEKAICELACGAGAPSVAPAWGREMFMARRPPRPSYPHLEYREPAGGHDRMLSTPPADMARVPFFFGAREIAGLRQRAPPGMRCSRFELVAACIWRSRTAALGYSPDEEVRLSFIVNARGRPEIPLPDGFYGNAFAYSVAATTAGELCGRDLGYALELVKKAKAAVTHDYLLSVADLMVLEGRPLFALSRTYIVSDVSHAGFKSVDFGWGKAVYGGPAKGGEGPLPGVTNYFSRAKNGKGEECTVVPVCLPKDAMEKFQLEVEGLTAEL >PAN26113 pep chromosome:PHallii_v3.1:4:52059497:52062180:1 gene:PAHAL_4G348500 transcript:PAN26113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVLVGVGGGLSLCLVPASNCSSTSASFARYAGGDSQRLGRRLVLLRRGGAGGERTGRWNRAVFHCANEAHVVTEDDTVDGDATDDESETDLEAAANDTIDADGDTEDEPEDVVWIKKQPLPYPLDALEPYISKETVEQHWGVHQQMHVDRLNGMIGGSEWEGMSLGQMMLSSFNEGREQPHPTFFHAAQVWNHEFYWRSMKPGGGGKPPERLLKFINRDFGSYEHMLQQFMDAALTQFGSGWVWLSYKESKLPYVKSRSPIPSENYGRLVISKTPNAINPLVWGHSPLLAIDVWEHAYYLDYEDQRADYVAAVLEKLVSWEAVESRLTKAVQRVVERDGHLKKRILKKRQLAQANGQIRVKPRTPQEARRP >PVH47348 pep chromosome:PHallii_v3.1:4:2591412:2594901:-1 gene:PAHAL_4G042200 transcript:PVH47348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 like protein [Source:Projected from Arabidopsis thaliana (AT1G11600) UniProtKB/TrEMBL;Acc:Q9SAB7] MAPFLMVDGAHLRRHGTSPPSSLLRYIAPRHPLSPTAIAHSLSSAGAVYTPTLTAGSSLAAAVVAASSSHQLERERERVKKKRWPELARGETTVDRETMDVNDVLLVVLAVALAAMWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTSADLIHEALVKQGPMFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPHRVKAFSWIREWAVNAHLRRLRAEFAATGAVRMMANCRLTICSILICICFGAKIPDDLIREIEEVLKDVMMMTMPKLPDFLPLLTPLFRKQLTEARHLRRRQLDCLVPLVRARREFLRDGAKKAAAAGVEMMSGPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWAMMHLVLDPAAQERLYDEVVGKVGKTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPATWPDPGAWRPERFLEGGEGFDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNSLRAAIVERASAAAAASA >PAN22249 pep chromosome:PHallii_v3.1:4:359687:361836:-1 gene:PAHAL_4G006400 transcript:PAN22249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNPILTALSGAAVGFFIGVSFPVQLGPSQLPCYYVFPWRGGEGGGEATAGTSTTTNILGRLWVPFVVSNSSNNTGAAAAVLQQPNATATTATCSPAAANKRNKPPPTGAERLPPKIVVSESDLHMRRLWGDPRQDTPVRKYLLTMTVGFTEKANVNATVHKFSDDFDVMLFHYDGRTTEWDDEFPWSKDAIHVSARKQAKWWYAKRFLHPSVVAPYEYVFLWDEDLATDFFDADEYVRLVRKHGLRISQPGLDVTRGKKTYDVTTRRNDGSEVHRSTVGGPGNCSDVHTRPCSGFVEVMAPVFSREAWACAWHMIQNDLIHGWGLDLNFWRCVDDPEEQMGVVDAQYVAHRAVPTLGRQGNPETGGGGKVRARAWREFADFNARIRNADRAAAAQQQEAAAAAAAGSAAPLATRPPQPPRSK >PAN25417 pep chromosome:PHallii_v3.1:4:48759245:48765881:1 gene:PAHAL_4G299600 transcript:PAN25417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) UniProtKB/Swiss-Prot;Acc:Q949Q7] MASRSAGALGLLLLLLAAVAVAGSAAAAGAGEGKWREEQARDRVPRVPGQGFNTSFAHYAGYVTVSEPRGAALFYWFFEAEKDPGSKPLVLWLNGGPGCSSIAYGLGEEVGPFHVNADGKGVHMNPYSWNKVANLLFVDSPVGVGYSYSNTSDDILSNGDARTAKDSLEFLLKWLERFPQYKGREFYLTGESYAGHYVPQLAQAIKRYHEATGDKSINLKGYMVGNALTDDFHDHYGIFQFMWTTGLISDQTYKLLNIFCDYESFVHTSPQCEKILDIASTEAGNIDSYSIFTPTCHASFASSKNKVMKRLHSVGKMGEQYDPCTEKHSIVYFNLAEVQKALHVNPLIGKSKWETCSEVVNTHWGDCERSVLHIYHELIQYGLRIWVFSGDTDAVIPVTSTRYSIDALKLPTVTPWHAWYDDDGEVGGWTQGYQGLTFVTVRGAGHEVPLHRPKQALTLIKSFLAGSPMPVQSSAHSDM >PAN23824 pep chromosome:PHallii_v3.1:4:9573179:9573670:-1 gene:PAHAL_4G121000 transcript:PAN23824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAMSPDSLLFFCSVAASAAAAFALVSLYKHLAHRRAQPSAGDGLAMSAGSAAAGAGGDGSEEEMLPLSAAAAGLPAFMYSRLVRHSGKGAGWTECAVCLGAIQVGAMVKLLPACGHVYHRDCIDLWLSSRSTCPLCRRRVGDAAAAPGQEPSRQLAQPSPA >PVH48350 pep chromosome:PHallii_v3.1:4:49727588:49728754:-1 gene:PAHAL_4G313400 transcript:PVH48350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTPSSHGGVRAPYLALKHAAGSDDPVFFNVSAKKAIITDITGEPENSNYCATPQGWVLVRDTAAPSTYLLDLHDHRRRIHLPHLSEDDLPPVCTCLLSDHPDLRRSKERKLPGAGGGEWVKHEYDIETLDLPDLGEGCKEKLVICSITPFGCQKEFLVESGRELFMRPSVVLRVHVHRMNFARQEWREVEDIGGRAFLLSPWYFGASRPATKCGLEADCVYVPYAGTKRLMRLMVFNVKDRTMTMQDLDEAPVSKQALWMLPTYP >PVH47524 pep chromosome:PHallii_v3.1:4:5479127:5481553:-1 gene:PAHAL_4G080200 transcript:PVH47524 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MRESAIKPRNCDHRDKISRFPMDDIDLDALLASFSGEPAGVSDLIVPSPPPPALAPARDAEAGSPQSVTSRARPPGEEALTEIEKFLMQEGEAELSGEAEGISVEEFFDALYDGGERGGRGRRARRVEARMRIPEGMRTPEAETVEVDGDDPVNKKKRRQMRNRDSAMKSRERKKTYIKDLETKSKYLEAECRRLSYALQCYAAENMVLRQSLLKDRPIGAPIAMQESAVLTETLPLVSLLWLVSIVCLFLMPGLPNRSPAAPSSAGRGLGMVAGKTSSENPEILELILHGRRCKSTRAKIKLDTLPFHAEAVC >PAN24285 pep chromosome:PHallii_v3.1:4:20206690:20208373:-1 gene:PAHAL_4G160700 transcript:PAN24285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLTLLFLVALLASAARRGAVDASIVDGLEVGFYGQSCPDAEGAIRNVVNNEIGMNRGIAPGLIRLFFHDCFITGCDASILLDESPAGDVPEKESSANGFTLVGLRTIDIAKSTVEAMCPRTVSCADILAFAARDAAVAAGLPSYDVAAGRRDGMRSNMDDLPGNFPVPGHHVPRLTELFSQRGLTQEDLVALSGAHSIGGAHCFMFSNRIYGFSKDADVDPSLDPDYAARLRQVCPPKNPNNDPQQAPKVKFDARTGERLDAAYYSELLARRGLLTSDNALIEDTQTRAMVEAFARDEVMWQQKFAEAMQKVGMLDVLIGEDKGQVRRQCRLVNGQEQQQQPQPQQLQQQQQLPWFRPHFPWFRQRRPPRPFPHHPMGDLINGFFRGFH >PVH47463 pep chromosome:PHallii_v3.1:4:4507743:4508318:1 gene:PAHAL_4G068400 transcript:PVH47463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTLHPETFSRKELLSKWKNARRSRSFGPLANGFCPLALHRDTS >PVH48086 pep chromosome:PHallii_v3.1:4:43444693:43446842:1 gene:PAHAL_4G240200 transcript:PVH48086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSYASHPTSFHPYSSWGWNDPWAHTPSYFRPYHVEVQNKKRVVKQVYRVKRDGRKDKCLDLDSIDEKPINVLKTSAIKSKEREKSVVDPPTAKSEQNVQVQRKDGIQAKVATEMIEKRKTKRRSPTLRFAPNHQNYRSSYRPYALQMPPMPQSWSSSLGMFGYPSYSYFHPWMPYGSLYHGGVN >PVH47371 pep chromosome:PHallii_v3.1:4:2914536:2917069:-1 gene:PAHAL_4G045400 transcript:PVH47371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGWRVGSKVQRWCRSCFRGFSSAAIPSQLENVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSMSSDVVITMLPSSSHVLEVYNGTNGLLGGGSHLGPWLYIDSSTVDPQTSRKISTAISRCHLKENKGYTENPMILDAPVSGGVPAAEAAKLTFMVGGLEEAYLAAKPLLLSMGKRAIYCGGAGNGSAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLTDIFNCSSARCWSSDTYNPVPGVMEGVPSSRNYDGGFTSKLMAKDLDLAMASASGVGFKCPMGSEALEIYRKLCGDGCELKDFSCAFRHYYAGKDEE >PAN23306 pep chromosome:PHallii_v3.1:4:5699324:5701630:-1 gene:PAHAL_4G082700 transcript:PAN23306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAARDLLAPGALPALLLLAGLTAVLYALRRRGSGGGGLRLPPSPLALPFLGHLHLLAPLPHQALHRLAARHGPLLYLRLGSVPAVAACSPGAAREVLKTHEAAFLDRPKPTAVHRLTYGGQDFSFSAYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVARLVGSLSRSAAGGDVVDVDAALMGLTGDVVSRMVMSRRWTGDDNDTEEMRGVVAETAELTGTFNLQDYIGVFKHWDVQGLGKRIDAVHRKFDAMMERILTARDAERRRRREEDAAGAGEEAAKDVLDMLFDMHEDEAAEMRLTRDNIKAFMLDIFAAGTDTTTITLEWALSELINNPSVLRRAQAELDAVVGASRLADESDVPNLPYLQAIAKETLRLHPTGPLVVRRSMEPCKVSGYDVPAGATVFVNVWAIGRDPASWGPDPLAFRPERFLEGENAGMDVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMVQCFEWAPVGGAPVDMEEGPGLTLPRKHPLVCTVKARFDPMPALAADEGAAAAAAAGEE >PAN22501 pep chromosome:PHallii_v3.1:4:1470221:1472752:1 gene:PAHAL_4G023600 transcript:PAN22501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEPLQPCATATAFARRDSAGTSNASSCTARRRPCGASNRGSKRRSASGAGRRAEAGRSAELRHSKLIPLPSVHSRPVSSASLYRTPCIPPNPSNAHVFAITRALI >PVH47827 pep chromosome:PHallii_v3.1:4:20447212:20454508:-1 gene:PAHAL_4G162000 transcript:PVH47827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDARLDSVVFQLTPTRTRFDLVLIANGRKEKFASGLLKPFLAHLKVAQDQIAKGGYSITLEPSSGFDAPWFTRGTVERFVRFVSTPEVLERVTTLESEILQLEDAIAIQSNDNLGLKSVEDHGRKLTESNEGVRTNSDPDAATAIVVYKPGSHSTPPVQNETTAQEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGALRLMKACSHFVELWKQKHETGQWIEVEPEAMSTRSEFPPFNASGIVFMGDNMKQNMESGSVNGEANGEDGAKSDQKPGQQMGSQAAYPPWAMHPPSGAVVYPPYPMQGMPFYPGVNPYYPPYPPMDDPRYHYSGRKSSRKHSSDSKDSETLDVESDHSSSDRGSYHGRKSHRKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSDVSEESDDSHSKSRERKHKSSSSKKKEGRKTTDSGDEYSKDETSNGQDAEQGNWSAFQNFLLRAEEKTRSSDADMFAGEKEPPSRRKKNVITADPILLAERDSGNVHERNTVGFDSVNGRTRAIRLMSDDELVMSGEGRSYTNSEMKEIEAGGGRYRRGTGDDFMVCGQESQIDRSNLLDPLAEARYKNPAQQDKNRNDVADESFMIPLRSSSQDNFGAESRTTIDIDVELPTSIHKTSDEKAGHQLYYEPDELVPDRGFEDVSFGYDPAMDYDSHMLMQTTVKVDDAKADDVLPVTDADVKKADKEKLRNAKDGSDKRRKDALLRRLSAPRTPLNDAQKRAQNLRAYKADLQKLKKEQEEEQIKRLERLKLERQKRIAARGNGKSTGSDTPKANGTNGLSKSVPSFTGVKKEKNGTTESFSDRLKRLSEPKSIAGVEHSSNPRSNGADHSRRRSMA >PVH47993 pep chromosome:PHallii_v3.1:4:37715139:37715975:-1 gene:PAHAL_4G211200 transcript:PVH47993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQSSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLMHRIDVKCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAFYL >PAN25104 pep chromosome:PHallii_v3.1:4:47096285:47101523:1 gene:PAHAL_4G275600 transcript:PAN25104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRDKNCLAASEEDMARIREVTAREEMPGGDGAVAAAVEKAVRCLGRGVDMAGDLRLKHCKDAGGCLVLRSSEKKAAAKVVVPGFRVVADVPADVKCGKGDRIRFKSDVLEFNKMSEVFNHRNSLAGKIPSGLFNSCFDLECSSWAEDASAIKCLAFDGYFISLLDLQLDCRPLALADHVVRDVPAAWDPSAIASFIEKYGTHIVVGLSLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGACTLPHSNRKSRDHKIKIPEAFNVFGAHVTRQRLEGMIAPVSCKEGVTVIHSKRGGNTAASDHSEWLLTVLTMPEAINFKLVPITSLLKGVTGVGFLSHAMNLYLRYKPPIEELSYFLDFQHHRLWAPVLGDLPLGPCSNRQGASPALHFSLVGSKLYVSSSEVIVPRLPVTGMRLHLEGKKNNRLGIHLQHLSNTPTFIDARSAKQPVWRGSETISDERYFEPVQWRMFAHVCTVPVKYDPRWGSAGGSPAAYVVSGAQLHVKAHDSTNILHLRLLYTELPGHAVVRSKWAHNTVRLSGRMSFLSKSLAASSGAVEEQRQPARVNIDSGVFAGGPPVPVGAQRLLKFVETSQVTMGPQDSPGYWLVTGAKLDVEKGKISLHVKFSLLAPVS >PVH48206 pep chromosome:PHallii_v3.1:4:47096285:47101523:1 gene:PAHAL_4G275600 transcript:PVH48206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPTSSAMSEVFNHRNSLAGKIPSGLFNSCFDLECSSWAEDASAIKCLAFDGYFISLLDLQLDCRPLALADHVVRDVPAAWDPSAIASFIEKYGTHIVVGLSLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGACTLPHSNRKSRDHKIKIPEAFNVFGAHVTRQRLEGMIAPVSCKEGVTVIHSKRGGNTAASDHSEWLLTVLTMPEAINFKLVPITSLLKGVTGVGFLSHAMNLYLRYKPPIEELSYFLDFQHHRLWAPVLGDLPLGPCSNRQGASPALHFSLVIVPRLPVTGMRLHLEGKKNNRLGIHLQHLSNTPTFIDARSAKQPVWRGSETISDERYFEPVQWRMFAHVCTVPVKYDPRWGSAGGSPAAYVVSGAQLHVKAHDSTNILHLRLLYTELPGHAVVRSKWAHNTVRLSGRMSFLSKSLAASSGAVEEQRQPARVNIDSGVFAGGPPVPVGAQRLLKFVETSQVTMGPQDSPGYWLVTGAKLDVEKGKISLHVKFSLLAPVS >PVH48208 pep chromosome:PHallii_v3.1:4:47096285:47101523:1 gene:PAHAL_4G275600 transcript:PVH48208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRDKNCLAASEEDMARIREVTAREEMPGGDGAVAAAVEKAVRCLGRGVDMAGDLRLKHCKDAGGCLVLRSSEKKAAAKVVVPGFRVVADVPADVKCGKGDRIRFKSDVLEFNKMSEVFNHRNSLAGKIPSGLFNSCFDLECSSWAEDASAIKCLAFDGYFISLLDLQLDCRPLALADHVVRDVPAAWDPSAIASFIEKYGTHIVVGLSLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGACTLPHSNRKSRDHKIKIPEAFNVFGAHVTRQRLEGMIAPVSCKEGVTVIHSKRGGNTAASDHSEWLLTVLTMPEAINFKLVPITSLLKGVTGVGFLSHAMNLYLRYKPPIEELSYFLDFQHHRLWAPVLGDLPLGPCSNRQGASPALHFSLVIVPRLPVTGMRLHLEGKKNNRLGIHLQHLSNTPTFIDARSAKQPVWRGSETISDERYFEPVQWRMFAHVCTVPVKYDPRWGSAGGSPAAYVVSGAQLHVKAHDSTNILHLRLLYTELPGHAVVRSKWAHNTVRLSGRMSFLSKSLAASSGAVEEQRQPARVNIDSGVFAGGPPVPVGAQRLLKFVETSQVTMGPQDSPGYWLVTGAKLDVEKGKISLHVKFSLLAPVS >PVH48207 pep chromosome:PHallii_v3.1:4:47096285:47101523:1 gene:PAHAL_4G275600 transcript:PVH48207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPTSSAMSEVFNHRNSLAGKIPSGLFNSCFDLECSSWAEDASAIKCLAFDGYFISLLDLQLDCRPLALADHVVRDVPAAWDPSAIASFIEKYGTHIVVGLSLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGACTLPHSNRKSRDHKIKIPEAFNVFGAHVTRQRLEGMIAPVSCKEGVTVIHSKRGGNTAASDHSEWLLTVLTMPEAINFKLVPITSLLKGVTGVGFLSHAMNLYLRYKPPIEELSYFLDFQHHRLWAPVLGDLPLGPCSNRQGASPALHFSLVGSKLYVSSSEVIVPRLPVTGMRLHLEGKKNNRLGIHLQHLSNTPTFIDARSAKQPVWRGSETISDERYFEPVQWRMFAHVCTVPVKYDPRWGSAGGSPAAYVVSGAQLHVKAHDSTNILHLRLLYTELPGHAVVRSKWAHNTVRLSGRMSFLSKSLAASSGAVEEQRQPARVNIDSGVFAGGPPVPVGAQRLLKFVETSQVTMGPQDSPGYWLVTGAKLDVEKGKISLHVKFSLLAPVS >PAN22425 pep chromosome:PHallii_v3.1:4:1252040:1253544:-1 gene:PAHAL_4G018900 transcript:PAN22425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPAFTVRRAGEPVLVAPAAPTPREAKPLSDIDDAEGMRFYSSGIHLYRGDPARAGQDPARVVREALARALVPYYPLAGRLREEKGRKLVVDCAGQGVMFAEADADLTADDFGDVKSPPFPCFERFILESTTIAGVEPVVDRPLLYVQVTRLKCGGFIFGRRICHCLVDAPGAMQFEKAVCEFARGAEAPSLAPAWGRETFMARQPPRPSYPHLEYREPAGGHDRMMSTPPGDMARVPFFFGPREIAGLRQRAPPHMRGSCSRFELVAAGIWRSRAAALEYAPDEEVRLSFIVNARGRAGVPLPEGFYGNAFAYSVAATTAGELCGRDLGYALELVKKAKAAVTHDYLLSVADLMVLEGRPLFALSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPLPGVTNYLSRAKNGKGEECTVVPVCLPKDAMEKFQLEVEGLTAEH >PAN22205 pep chromosome:PHallii_v3.1:4:169130:170234:-1 gene:PAHAL_4G003600 transcript:PAN22205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQRIPFFVVFFFFILMVALAADADDSQELHVQQQHRSSIRSLLQAPPKIDCPSSCVVRCGNNWKNQMCNKMCNVCCSRCSCVPPGTGQDTRHLCPCYDTMVNPHTGKLKCP >PAN24762 pep chromosome:PHallii_v3.1:4:44928283:44930759:-1 gene:PAHAL_4G249600 transcript:PAN24762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVRAVQGERDPSQEAEKRRPSGLGTVTVVFLLALPLLLLFFLFGDRAVASIAADYPVWQRFKVQSSGNASSSRRAERCAHDRLLGGLLSPDFDAATCLSRYEASRRWKPSPFPVSPYLVRKLRQYEANHRRCGPGTANYRDAMAQLMSGRNADRAECKYVVWLPLQGLGNRMLSVVSTFLYALLAGRVLLVHEPPEMEGLFCEPFPGTSWVLPPEFPYTDGFSADSKESYVNMLENNIVRYDGSGDAGALPAYVYFHLEQISLRLQNHTFCEEDHRVLGRFNWMVLRSDSYFAVALFLMPVYRGELDRMFPAKASVFHHLGRYLLHPGNRAWGIVERFYDGYLAGADERLGIQVRVAPFLPITFEVMYEQIARCAREHDLLPQVTGDPGARPASGAAKVKAVLVVSLKPEYYDKLHSVYYTNATATGEVVTVFQPSHDQDQHTEALAHNERALAEIFLLSYSDRLVTTGFSTFGYAAHSLAGLRPWLLLLPDRATMRAEVACVRSASVEPCLHSPPSLVCRAEQDLDPVAHVPFLRPCEDVYFGLKLI >PAN26072 pep chromosome:PHallii_v3.1:4:51888489:51891272:-1 gene:PAHAL_4G345100 transcript:PAN26072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MTSLDTVRGDLALVILYLNKAEARDKICRAIQYGSKFLSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGIYKNKERAEFLSRIAFYCFLGSNTCTTIIELAELQRLSKSMKKLEKELKHQELYKNEQYRMKLQKSNERRLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPSPPKSK >PVH48566 pep chromosome:PHallii_v3.1:4:52840499:52842120:-1 gene:PAHAL_4G359500 transcript:PVH48566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSQQQEGSSSTPPPSSLGKRPRTTAAAAKPLPATLRGRYELHSLRGEGKSAEVWEARHLRTGHPVAIKIIILKGKGKALTINKAVEREVFVMRLLSLRQPQHPHIVRFYEAVRSGDHTYIVMELAESGQLYDHVAVRERLPEAPARRLFQQLVAAVAYCHRSMVVHRDLKMENVLLDSRGDVKIADFGFSELWAPGRLQTESCGSPQYAAPELLDGRLYVGPEVDVWSCGVILYAMLCGRLPFDGGTDDISDLRRNIRRGDFRLPSWVSDDARDLISSMLIVVPQKRATITEVREHRWLQPDMPPYLAMLPTTSPALRRPAAVDAGTVELLVTRHGFERASLLHHLDDDDDGSSGSEEAVSYQLVLSEQYDAAAPALHPPPPPPPPPPQWALGGGLDGVELLLHECPRETMRRIATALGQLGVSILLYHSHRHRMVCVVPPPGVSSASALGSLVLFEIQLLTAGRGIEEQSRYAVHLRRTSGPQLPYLRVCSQLASKLRRPRMHQ >PAN26097 pep chromosome:PHallii_v3.1:4:51995466:52000326:1 gene:PAHAL_4G347300 transcript:PAN26097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAGARLALLLARRSLSSASSSSSSSAAAASHFPRARAGIWSDAAPSRTSPFSSPSTAHRFFHGTRPVAARDYYDVLGVSKNASQADIKKAYYGLAKKLHPDTNKGDADAERKFQEVQRAYETLKDEEKRSFYDQVGPDQYEKASAAGGGTGNPFEGGFGNPFEDIFSGGGGGMNDFFRNIFRDREFGGRDVKVALEISFMEAVQGCTKTINFQTSVTCETCGGAGVPPGTKPETCVTCRGSGFMFMQTGPFRMQSTCTKCGGSGKTVKDFCKTCKGNKVVPGTKSVRLDILPGSDDEDTIKVMRSGGADPDGRPGDLYVTLKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPVNLTPRQRELIEEFAKEEQGEEEKGAKAASASG >PAN22491 pep chromosome:PHallii_v3.1:4:1432225:1434914:-1 gene:PAHAL_4G022900 transcript:PAN22491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRTGLLEMTPYGTSLPLVPFRLDGRIHLINADDWFQNTVSSVQAGTWEEKNLNSWANSRIKDLLGSLDPLEFSTGKASVYEVFRCSGDAFLVTVRNKKRVGYNYELSLKFKGEWLIKEENKKVKGHLEIPEFSFGELEDLEVNVRLSDDKDLPSDVKLQICKDMKSFLAPIQEKLREFEKELKER >PAN22492 pep chromosome:PHallii_v3.1:4:1432225:1435496:-1 gene:PAHAL_4G022900 transcript:PAN22492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTAAAVEPAAEKATSYRYWVREATGDAAPLPAPRKLDAADLAANPAPATLGSVWNQAGTWEEKNLNSWANSRIKDLLGSLDPLEFSTGKASVYEVFRCSGDAFLVTVRNKKRVGYNYELSLKFKGEWLIKEENKKVKGHLEIPEFSFGELEDLEVNVRLSDDKDLPSDVKLQICKDMKSFLAPIQEKLREFEKELKER >PAN22736 pep chromosome:PHallii_v3.1:4:2576079:2577356:1 gene:PAHAL_4G041900 transcript:PAN22736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLGLGLACFALVVAAASATQFRVGGQRGWSVPDAGFEPYNTWAGRLRFQIGDQLLFVYPKETDSVLLVEPAAYNACNTSSYLQKFDDGNTVFTLDRSGPFFFISGNEASCRANEKLIVVVLADRTGARTPPGAPPMMSPPSPAPLPSPSSPPPAAAPALSPSSPPPSGAAPLPAPAATPTSPPSPAASAPGPAPATTPGSPPEPMAPSPSTTPGGGASQPPSASANAPGAEGNATPPPPSASDANTNAAALVVAGFVGSLGVIIGYAMLAA >PVH47707 pep chromosome:PHallii_v3.1:4:10083153:10085625:-1 gene:PAHAL_4G124600 transcript:PVH47707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLLNTLSHLSLHTQTPRVAGGDSRFKSGAAPPLPAPVACRRSRPSHPHAVVRHPQPEAEGWPSRRGRASPSACCRIALTGMVALG >PVH48144 pep chromosome:PHallii_v3.1:4:45756797:45758320:-1 gene:PAHAL_4G260900 transcript:PVH48144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALRVADLPACLVFAPEDEVAVEFYLLPRLLGWRPQIDGLILEDDPLSAPPWELLERNGRKEEAFFLAEGQARCGKGTRQKRTCAGGGWWEGQKTCAEGNKLRVPGGGDQEAAWRKKALNFHGGGGGEKGSTGWVMHEYAVTAPEDLARSPLRLYHIRRSSYGRKQSGAMEVPRALGLPPGFLFAPEDGDVVAHYLLRRILGQALPLDGLILDDDPLSAPPWELLERNGCKDDAFFFALGQAKSGKGSRQKRTCAGGGFWNGERTCVDGEKLRIPGPGGADEEGGEKGSTGWVMHEYAITAPDHLAESQLRLYRIRFSGHGKKRKRGEADSFADEAAPTAARRRVADDAPLDMSTSQPSYSSSMVMVDHADGNCPTGADQLAAAPVSISANQDLNPGITVDTSLDLGFLDNFDIDEFMRSLGDLPSTDPCVLPAVTDTGAYLHADAVGSSFFGQMGSPYNGVGTGQVASSSLRRGCMEPADAFFTGPNQSYAAC >PAN25472 pep chromosome:PHallii_v3.1:4:49128188:49128883:1 gene:PAHAL_4G304000 transcript:PAN25472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSTVALAAAAAALLVSLPMLLLVSLPAADLLPSADPYEQESRRVFVEWKLKNGKTYTYAGKEECRYAVFKETRRRVAWNWAAWDRAAGPTSSRLNGFTANSIEEMYFGLLGPRVAKQEEYEQETRRMFVFWKAKYGKTYRDIGEERCRYRLIKGNCRVVVRLNAATEQDVYGLNQFGDLTNEEVQQRCYPETDRELSTRCQAAVLDPGSSTVRICERLISYMVIILHF >PAN24971 pep chromosome:PHallii_v3.1:4:46199302:46201283:-1 gene:PAHAL_4G265900 transcript:PAN24971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKGSARLSLRALKQIHGNLVVSGITSRGLQPVRDLLLSCTVSFRGGMDYARRLFDGIPPPGPDLFMHNAMLRGYAHAGASHAAFAVYSRMETVDLRPDGFTFCYLLRACCAGIRVPACSSAGWQVHGVVVKLGFLEDAFVRNALINMHAKCGDLGVAGMLLGEASERDIVAWSAVIAGLACRGNLDMARQMFDQGRHKDIVCWNVMLGAYAKHGEMEKARELFDCAPEKDVVSWNTIITGYSTQGMLEQALEVFDEMRGAGWMPDDATIVSLLSCCANAGSLDAGRMIHSLHLEGRRISILIGNALVSMYAKCGDVNTALEVCSRMKEKDVWTWNSIIGGLALHGQAEKSVQFFNKMLEEKIHPNEISFLCVLGACNHAGLVEDGQRYFYLMKERYGIDPNARHYSCIVDMLGRAGLLDEAFAIVSGMRQQNAVVWRTLLGACRTHGNMALGKIAQEKLLSINGDVSGDYVLLSGIYSSYGEWSRVETVRRSMDKRGLRKVVGCAQIGHKTAGLSAL >PAN22703 pep chromosome:PHallii_v3.1:4:2426912:2432851:-1 gene:PAHAL_4G038900 transcript:PAN22703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVPPPPRQLEVRRFAAARAGELRSLHAAISAHLDDGGGRFRQQPRSARRRTTGHLPSKRRRRGSGEDAAGTGEVGSAGEGSSAPRKLSRRVRRRRELAGNPAEGFSVAGDGARRLRTHLWHAKRFSMERQWGFILPVGAQGRGRGSRSVLKRLKNGTIVHDSSYFIPIELDGPEDSLLSILRMVLHPSPSDKTTDLKHLQDQVMRGVCYENAMLWDVGSPHSQIVGPVTYMWRPFSRENDKSETEGDLSTAHSFDEKSRSSLRRQLWIWIHPVALDDGLSAIRFACERQFQDSGGVVKCCSLEGKIARLEVMGCKAMRSLKKMLHPIKASKINMVPDTNHKSTSTDTSPDSSTVPHLLEASIIDHAEILQPGAILSMIVHDPREVSVKGTVSSSKLVSLDKENEGLEDVVPNADEALSEVGNMLSSMWMHPGKHDIFLSDCRELWDSSQNINPPVAEEVLCTEKQRDRIKFFCLDSGNDQGQTTQEKDSFSRSCPVVLLKHAKKGMPSLGWSIILPLSWVKPFWLFLVSHGAHVIGLRERRWIATKFRMPCFPYDYPDSKAYESYMSKEAAAFDKAVECRPAAKRPPTVPVPPLWHCIMACFHNDDGILSGLEVDDLVRANIVSPKNSSVNSESGDAEPSQAKVASLQLRVPRTIQILRQYVKEFDMKYLSLSSDMVTHTDKPDLTSNVTIKMTCSVCLTRVLIRAFKEGSFEEGAVVCAPLPSDLPAWKIRSEEECVEKWELQLPQSHVSSYFSWFDPSIGSLQLPKDDAARDAFRWPIGFVTTGFVHGSNGQDAVAVAFCEAKLLAVLRRQQWAHENLQNRDICVLVRNARSAAYRRALATIILEHQESDLEFL >PVH48471 pep chromosome:PHallii_v3.1:4:51248485:51251062:1 gene:PAHAL_4G336000 transcript:PVH48471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSVPTFIREPKFLVAPELPEMGPRYPEWILLSTRAYISDRVNAATVACSNTSDGHPIQVSLFAATPPAVSHLCVHCPGRETHQFSDNPGVIFSRDDLILLNVSFACGDMTDFFVYKAGPKTPSLVRIAIPDLSISSFLNTGIVCCGADHFAVAALIADYMTDMFELSVFNSKTRVWETRLLPLEPSESLCHPAELSFFPSKVIPLEGSLLGWVDLWNGILLCDILSDNPKLHYVPMPKPMPGNVALKGEGEPKCYRDVIGCGDLIKVVEVDYEYNGTEVADINSYVPEEWTLVTLTRRLDSREWKRGHEVNIGDITVSQDFYGHTDVLPRFCENGTPSLKKMPLGFPTLCEWNNMVYFMCKVSLMDYNGWVVAVDMNSNKLQAVSSFCGATLPGFSTAYYPSSFTKYLNNSGARPEIELNAQTSDGLASTDTEDSSVDD >PVH48276 pep chromosome:PHallii_v3.1:4:48589512:48590530:-1 gene:PAHAL_4G297200 transcript:PVH48276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLAILKMKTLINCVTASFLASGPSLWGIGDDEGVRQIERSVSCGCCLVLDEMQCRPARKEFRRKRNGCSNPYTRFLSTKKNKNKKTMHEVNQQSKLEIKLHTASNTAIPSGPIRRAAAAVALFGLVVKA >PVH48186 pep chromosome:PHallii_v3.1:4:46583524:46586310:-1 gene:PAHAL_4G271100 transcript:PVH48186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPKRKAPASPAAHGTSPEPYPSHASPSPAQCLAVRDALLGFHGFPEEFAPFRRLRLGGRSPEDCSCDSPPPPSPTVLDGIVTTLLSQNTTEAISRRAFASLKAAFPSWDQVVDEEGTRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRELSVDEVKRELSQFKGIGPKTVACVLMFYLQKDDFPVDTHVHRITKAMGWVPVTASREKAYIHLNNKIPDDLKFDLNCLIVTHGKLCQTCAKKMGGEKSKVPNAACPLASYYCVGEKLQQ >PVH48185 pep chromosome:PHallii_v3.1:4:46581816:46586399:-1 gene:PAHAL_4G271100 transcript:PVH48185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPKRKAPASPAAHGTSPEPYPSHASPSPAQCLAVRDALLGFHGFPEEFAPFRRLRLGGRSPEDCSCDSPPPPSPTVLDGIVTTLLSQNTTEAISRRAFASLKAAFPSWDQVVDEEGTRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRELSVDEVKRELSQFKGIGPKTVACVLMFYLQKDDFPVDTHVHRITKAMGWVPVTASREKAYIHLNNKIPDDLKFDLNCLIVTHGKLCQTCAKKMGGIINGCWCFDKRLQNLATALAAKGFCKAVVQ >PVH48184 pep chromosome:PHallii_v3.1:4:46583293:46586399:-1 gene:PAHAL_4G271100 transcript:PVH48184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPKRKAPASPAAHGTSPEPYPSHASPSPAQCLAVRDALLGFHGFPEEFAPFRRLRLGGRSPEDCSCDSPPPPSPTVLDGIVTTLLSQNTTEAISRRAFASLKAAFPSWDQVVDEEGTRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRELSVDEVKRELSQFKGIGPKTVACVLMFYLQKDDFPVDTHVHRITKAMGWVPVTASREKAYIHLNNKIPDDLKFDLNCLIVTHGKLCQTCAKKMGGEKSKVPNAACPLASYYCVGEKLQQ >PVH47834 pep chromosome:PHallii_v3.1:4:20477287:20478006:-1 gene:PAHAL_4G162500 transcript:PVH47834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPHVPPCSSANEGRATRAEAGGTRPRHEASGARRPGGPRENGRSQRKGAYPRRRLPLRSLRLLPSTSATCYPPPAKEQQCPRRRHWNAHMMKQASSASPSRLPARPPTPPSAPASALAGGAAIGRCPIAARRNASKASYSLTQHWNQTSSA >PAN22496 pep chromosome:PHallii_v3.1:4:1445343:1448570:-1 gene:PAHAL_4G023300 transcript:PAN22496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAQESGLWKQIDDAEDYLLSGLFEQAVSAVLSASDQIRTVSLETECDRDELLDILESAGMVLVQALKELRRTSEMFVQLKTMFGSVASVPAKVFLTGATMQMAAGSGSELRAIFEEYLAKWRYTNDEVYVLNGGQEISLNGFVVTPVMSTKQYLEVAELYTVTFLCIVSQESETAISWAEKAELTEQGRQDLLKKLYALRSAANKKPSTVEGVKQTAEKNLSTSTNDSAPSLHEDAPSTAPLHDSLKKVQVKSTQSSTQHFTNQFDPLFWWFHSVRLKFGKIHIVLPSGKLMLLFSLLFSTIYVLRRKTAGLKRTVFQHASSLRRAFFDALQLAFSVQLNPLAAVQQVPQAPRGSW >PVH48074 pep chromosome:PHallii_v3.1:4:42946693:42947106:1 gene:PAHAL_4G236500 transcript:PVH48074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWAHLCRAPISACVRPCAFTRLSRASALGCAARPHHCRSSPPLAPPLGPRSAYCSRSGPPSLASARSSACVLARPAPLAPWTHAGPLHARCASAPRAGARCCLSRSPPAAALPPAVLLRAPEPLGTASRPLPRA >PVH47296 pep chromosome:PHallii_v3.1:4:1948294:1948605:-1 gene:PAHAL_4G031000 transcript:PVH47296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASALAMASEHEHHQTGWLAGRPWLTCAHTDTPISCWPLAPARPAGQGNLQAPLRSDLYLLADADQVGLSVESSFRALSLGSSLYLFFFSCLLSSGLQVVAW >PAN24252 pep chromosome:PHallii_v3.1:4:27171632:27173034:-1 gene:PAHAL_4G181200 transcript:PAN24252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPLDLAGLLAYRGLRLTVVATPATAPLLAPLLAAHRVGAVRALVLPFPSHPALPTGVECARDAPPTLFAAPIVVLAGLRGPLVSWVSKRSGTPDHVVAVLADHSCGWAQPLAAELGVAGIVFSPSGVYGCAVLYSLFRRPPRREDEADDESPMGFPDHPGAPAYPWRQLSLLYRTCKQGDEVSKGVRQDFLWNLDGSAFVSHTFRRLEERYLRAPLADLGLRHVHAVGPVAPEPDAAGGRGGETAVSAADLSAWLDRFSVDRSVGYISFGSMAVLQPPHAAALADALERTGVVFVWAAGTTAPLPDGFEERVAAAGGRGRGRGRVIRGWAPQAAAAGVAMLTWPMTADQFVNARLLMDELGAAMPLSWGGLKAAPAADEVSWVLHAAVVGNGGQLRSDVVARAKELAAEAAAAVREGGDSWREVEELRELASEPTTKTISNY >PAN24748 pep chromosome:PHallii_v3.1:4:44811965:44814186:-1 gene:PAHAL_4G248700 transcript:PAN24748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQTKYGESSSQKFVFRLSVSTEPSSHGPFLTGWRSHAAAVNRPPPRSATAAPAAPAKGDPKYLDDSPASKLPTTDSARALHQHLTSNAFDHSTAGNRRFPGRSRAPSFHYGPAVDDPLDGHLRPDCVHRRDFRCMYRSSCYRG >PAN24749 pep chromosome:PHallii_v3.1:4:44811965:44814126:-1 gene:PAHAL_4G248700 transcript:PAN24749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQTKYGESSSQKFVFRLSVSTEPSSHGPFLTGWRSHAAAVNRPPPRSATAAPAAPAKGDPKYLDDSPARFSPALPFSSSARQCSLTRSRYSAYVTASCRPPIPLARCTNTSPATPSTTPPPGIVGFPAARGHRASTTVPPSTTPLTDISAPTACTGETSGVCIDQVVIVVESAIVQQTIRLLYLSNAC >PVH47251 pep chromosome:PHallii_v3.1:4:1036087:1037062:1 gene:PAHAL_4G015900 transcript:PVH47251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQLLMCQLSVSGQCVPAGSEQAMSTPNYFWCGRTSIARLSAGRRGFSPAWRNHQRDRRRYTIYVFLREWKPLLL >PVH47745 pep chromosome:PHallii_v3.1:4:13153202:13153655:-1 gene:PAHAL_4G138800 transcript:PVH47745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKIDMKIIEQRDTGKSSAQLHLYYMPKRYKSKSLSVRQRTFGPLHLSKKQRM >PAN26065 pep chromosome:PHallii_v3.1:4:51857135:51861557:-1 gene:PAHAL_4G344600 transcript:PAN26065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYWRYAAADPRQQQPPPPSAAAGAGAHPGMGGAPQMAPAGGQQPMKRPRPADFSDGPGAPDMTGYYPRDEERAGYRAARDTEALNASYERFLRTGQIQSYGAGPAGEPIRPAVGGNAGYPVDERSMMAARGMDSRNIGYGGGMQEPPLPPDASNTLYIEGIPTGCTRREVSHIFRPFVGFREVRLVNKEPKHPGGDPIVLCFVDFAEATQAAIAMDALQGYKFDEHDRNSSNLRLQFARFTGPRGNSGPGGPRGRR >PVH48505 pep chromosome:PHallii_v3.1:4:51857135:51860663:-1 gene:PAHAL_4G344600 transcript:PVH48505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYYPRDEERAGYRAARDTEALNASYERFLRTGQIQSYGAGPAGEPIRPAVGGNAGYPVDERSMMAARGMDSRNIGYGGGMQEPPLPPDASNTLYIEGIPTGCTRREVSHIFRPFVGFREVRLVNKEPKHPGGDPIVLCFVDFAEATQAAIAMDALQGYKFDEHDRNSSNLRLQFARFTGPRGNSGPGGPRGRR >PAN25406 pep chromosome:PHallii_v3.1:4:48715138:48716424:-1 gene:PAHAL_4G298900 transcript:PAN25406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPAPPPPPPDAGPSVASAIERKLSPGVVLLVAILAMVFFIIGLLNLLVQNLLRLRRARRVRDAAAGGGVGDGSPTAFQGQLQQLFHLHDAGVDQAFIDALPVFAYGAVAGRREGKDPFDCAVCLCEFAADDKLRLLPTCGHAFHVPCIDAWLLAHSTCPLCRGSILAEAEYSPGGSPSFLVLESEGLHETASRGGGDPGDRDSEEAPKDAEEIVEVKLGKLRCVDGNVSAREFAVDGTGSSNGNGRGSLGQRRCLSMGSYEYVMDEHAALRVSIKATPKRVPGSSRSRRRHALSASDFGGSKKGAWETAVTEAAAGRCGDGTASLNRDSFSTSKIWMVPAAKREEDGRRTAESAGERRAASFRWPAMSAGCKKHRGGGGGVEASLDVEAAGGRGDNGAPAPAEDRTSLARAATLWVAGRRQGSHS >PAN25144 pep chromosome:PHallii_v3.1:4:47331416:47331844:1 gene:PAHAL_4G279000 transcript:PAN25144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETAELWILALGAALFFAVAFATRRSWCEEPAPPESLSPEQSQRAALAALAALEPPVAAARPAVVLPRFPYARGRASETLVCAICLEALRVGEACSEVPGCRHVFHGDCVGAWARSKGSCPLCRAKIVPGSGGAVAADDMV >PVH48225 pep chromosome:PHallii_v3.1:4:47614488:47616672:1 gene:PAHAL_4G283200 transcript:PVH48225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPSPYLIKRLREQEALQRRCGPGTEPYARASERLRSGQTDARDVDGCSYLVLISYRGLGNRMLAMASAFLYALLTGRVLLVDPGYGNTLADLFCEPFQGATWALPADFPLANFKELGEDAPESYGNVAVNRSGSVAGLRFVYLHLDHAASPASRLVYCDDHRQFLHRVQWVIIRTDQYMAPGLFFNPAYQEELGRLFPRKDSVFHLLSRYLLHPTNDIWGMVIRYYNSYLRNADERLGIQIRVFDTSRKPFQSVLDQILACASREHLLPAVATMGGAAPPALPAAGARSVAVLVTGLNSWYQESIREVYWTSATAGGEVVSVHQPSHEEYQIWFYSKHDMKALAEIYLLSLTDRIVTSGWSTFGYVGHGLGGHTPWVMFRPMNYSEPAPDPPCTRAMSMEPCSHGALSFECTRKEIDKVIDTGVLLPHVRPCEDMSWGLKLRDPAVGNKV >PVH47666 pep chromosome:PHallii_v3.1:4:8271113:8271916:1 gene:PAHAL_4G111600 transcript:PVH47666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCHSKQVPLGSYASHNLCEPTGDTVKQIGPLSSISNCSIWKRKHNYLVDCVDVLNALYFSTLQLLKVIVLGSSKPGYPHRTASSRVRHHLRDVWTHRLPLKD >PVH48312 pep chromosome:PHallii_v3.1:4:49292369:49292869:1 gene:PAHAL_4G305900 transcript:PVH48312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYIYHLRPQGQMAQVQATKTTALQSSLLHLSFTTETRTVPRSLQRDQMKTSLPLVAAAIVLLLVMARVEGIRLDAESHEAFRNQMAHKSGETAVKNTGDEPSGESMEETISEEKDKVGHRLPEIHVDYFGPRGHTSRHH >PVH47962 pep chromosome:PHallii_v3.1:4:34057214:34064006:1 gene:PAHAL_4G201600 transcript:PVH47962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKAQHLPSLIPSPFHRLPHSSSTGTCSLFCSSICLLLHFSALHSEAITPPCSTSPHRALLHFSPPSKSKQAATYCFLPQIRPAQACSFLPPGPIGKHQLLVALLQFLSANRQMKHDLFPPLYITSIQGPASHGALFQPPSSRSSLCSLNRGAAKHPHHLPRLIFFASLSTKRSTKQHFISASPWF >PVH48104 pep chromosome:PHallii_v3.1:4:44996256:44996894:1 gene:PAHAL_4G251000 transcript:PVH48104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSSSKLPIDSPIPKFFPQLPKPHLLSLDVWEKQAVEALSPIDGWLGAPNFSARPTPLLCWPCCAAPPQHGGGRAQRPGSTPLLRPNLRLRQGPDSAPATPRPNDQMRAALPLTKPRTASRRHAPASPRGGPAGRAPAVEWGEIGAGPAERAPPLGRAPEATGSGGEKMGGPPAGAVAGRKMGGGGAVHRCWEGA >PAN23506 pep chromosome:PHallii_v3.1:4:6825428:6826294:-1 gene:PAHAL_4G097000 transcript:PAN23506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAKTARLLLLLQIALFVASAVIMSGGSVCHGARDGYGGFHPDLPACIGERCPVRPGYPYGHRLPNPYGRPGRGGIPYHGTPAQPPNGEIPRP >PVH47927 pep chromosome:PHallii_v3.1:4:29565652:29567151:1 gene:PAHAL_4G188400 transcript:PVH47927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCVRAAAVVLFFASCCGCKLSPNKINPPLCNPSTCFDLVALASFSPCCELLILSRRSARFGHERRWGGRLRCGGAIASMAMVAVNDGVEAAAGNVLVRLRRPCWSRRAMAQRRWSLRGLRAEAFPAETRSPRSPSMVPAPSWGLRAVRVPPGPGHGNVLCLSGGYRNGDGGHVCTRVGRTNRLQPKLELCSTSLRRLPMRHQDATNASFFCSLAHMEVTKHLPAFGLTADISRSWFSDLTLVDVASITRVR >PAN23011 pep chromosome:PHallii_v3.1:4:3941398:3943334:1 gene:PAHAL_4G059800 transcript:PAN23011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHKYWGVGGRRCGGCEAAPAAVHCRSCPSGGAFLCTACDARPGHARLGHERVWMCEVCELAPAAVTCKADAAVLCAACDADIHDANPLARRHARVPVAPIGSEAAAAAVEAMLFGTGEPAASEAEEPQNAAAGQHQHHHQQHALNLNVEAKDMKLDYLFSDLDPYLSVEIPRFQHADSVVPNGVGAGAGAAVELDFTCGIGVKPSSYSSYTATSLAHSGSSSEVGVVPEAFCGGGGGGGGSFELDFTRPKPQAYMPYTATPQSHSVSSVDVEAVPERGDMAAARPVPLVGESREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDADADDAEAEAEAAVPSAAAYVLDFGYGVVPSF >PAN22534 pep chromosome:PHallii_v3.1:4:1624332:1630441:-1 gene:PAHAL_4G025700 transcript:PAN22534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIKLACLLLVFVQQAVLGTHDVYIVTMEGDPVVSYQGGVEGFPATAVDLDEEMDVTSEAVTSYSLHLQRHHDNLLDSLFVEGTYEKLYSYHHIVNGFAVHMSSLQAEFLRKAQGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSSHKTDPYGPVPRYKGKCEMDPVTRRSFCNGKIVGAQHFAKAAIAAGAFNPDIEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVADVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLTLGNGKLLSGLGVSPATHGNKSFTLISAADALLGSSATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSQTAKSLGAAGFIVAVENSYPGTKFDPVPVSIPGILITDVSKTTDLIDYYNSSTVRDWAGRATGFKATAGIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDESNYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTANTLDKGSHPLRAQQYTTSEMMTLSRATPFDCGSGAVNPKAALDPGLVLDATHEDYIRFLCSIPDVNHSEVSNIAGSSCNSSSKGQRPYDLNIPSITISQLRGTETVKRTVTSVSEETETYTIMTRMPPEIALDVTPPALTVLPGASREIMATLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >PAN23687 pep chromosome:PHallii_v3.1:4:8145338:8148824:1 gene:PAHAL_4G110300 transcript:PAN23687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNASYQQLGLGVDAMMSGCFVSGGAGSVVGADTQVFHDGSFGFGEPADVAASFLMDGGSMLAGQLQLIRAAATQSVSPEEMRGVAYGGYGPSPSDVTVAHAPKVAKLAEETEGSWIHEPYYGPTWFSGDGFRDPFAAAASELSLRLRPESLPAGGVNVSLPDQSSEVSCSGLTHASSSAAGSVFQTPCGGGDMARPGPLHFSHVLPRWSGYAHVTQQTLDEFVVCLLQDVAGFPGSAGGGGETSCPLPISSSSKTTSSNASAFLGSEEHAHQKVKNDLQKLLQLLDQRCNQCVDEIQSAASKYGGMVGGGALLAPFAHRAVSATHRRLRARITGEIAAASRRREPPPPPSSLTLADRERSWESAFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKEMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEDLKKASGGGEGVAA >PVH47661 pep chromosome:PHallii_v3.1:4:8145350:8148824:1 gene:PAHAL_4G110300 transcript:PVH47661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNASYQQLGLGVDAMMSGCFVSGGAGSVVGADTQVFHDGSFGFGEPADVAASFLMDGGSMLAGQLQLIRAAATQSVSPEEMRGVAYGGYGPSPSDVTVAHAPKVAKLAEETEGSWIHEPYYGPTWFSGDGFRDPFAAAASELSLRLRPESLPAGGVNVSLPDQSSEVSCSGLTHASSSAAGSVFQTPCGGGDMARPGPLHFSHVLPRWSGYAHVTQQTLDEFVVCLLQDVAGFPGSAGGGGETSCPLPISSSSKTTSSNASAFLGSEEHAHQKVKNDLQKLLQLLDQRCNQCVDEIQSAASKYGGMVGGGALLAPFAHRAVSATHRRLRARITGEIAAASRRREPPPPPSSLTLADRERSWESAFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPVSFRYPKDNEKEMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEDLKKASGGGEGVAA >PAN25964 pep chromosome:PHallii_v3.1:4:51314261:51316524:1 gene:PAHAL_4G337400 transcript:PAN25964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 40S ribosomal protein S20 [Source: Projected from Oryza sativa (Os06g0134000)] MAAADVAYAPPMKSGKAGFEGTLEPQHRIRITLSSRSVKNLEKVCGDLVKGAKGKLLRLKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRIHKRVIDLVSTPDVVKQITSITIEPGVEVEVTISDA >PAN23177 pep chromosome:PHallii_v3.1:4:4887680:4891177:1 gene:PAHAL_4G073100 transcript:PAN23177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRRGAGGGGGSVAAVALWLLVGVLLSGGAAGLNADGTLLMSFKAAVTADPLGALAGWGYDAAEPCAWNGVVCKGYPQPDGAAAAAVNVTSASASDGGGGGANSTTAAWNGTAAAGAGGLSASLAAATVSRVISLVLPNAQLSGALPPDLGRVEHLQHLDLSGNALNGSLPAALLNATELRVLSLAGNGISGELPDAAAAYARGLQELNLSGNALAGRLPAALCRLPSLAVLGLAGNRLAGELPIGGLGALELVDLSGNDLNGSLPSDFGGSRLRLLNMSSNKLDGAVPTELAAVVPANATVDLSRNNFTGAIPQAGPFAAQPAAAYEGNPDLCGPPLKQACSIPSSPSNPPNATDSPPAFAAIPKNPTRAPPGAGGQPQAPRDQEKLRPAAIVAIVVGDIAGVGLLFMLFLYAYHVRKKRRQRREQDPAPPMQQKSALGIDGAVKTLDIAGGKEDKASTSMRCCIGRRHDSSDSSECSVSSDGESDDGEDLKKRGGLIGRSTPQDHGSKKHNPPQQQAPPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHEYAPNGSLANIAFSRRFGASSPLHLSLEARLRIARGVARGLAYIHEKKGVHGNLKPSNILLGADMEPWIGDLGLDRLLSGEAAGHRAGASARLFGSKRSMHSTSSLPDLSQMPGPGASPCGSASAAAATSSGAAGAPSPYHAPECLKNLRPTAKWDLYAFGMVLLELLSGRVYSEVELCQWHAGLVAEEHGRVLRMADPTLRGEADGREDALLACFRLAFACCAMAPGKRPAMRDAVTVLERAAAAPPGAPAGSSAAIP >PAN25286 pep chromosome:PHallii_v3.1:4:48118328:48121703:1 gene:PAHAL_4G290300 transcript:PAN25286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRKRLLRCFGCGGEEAPEEQQQEEAGKRPGGGGKPKLRRLSTANLRSLSLQDLSRKLETTRLHAFTLDELKAATKNFSTTNFLGEGGFGPVYKGFVDGRLRPGLEPQHVAVKYLDLESDGVQGHREWLAEVVYLGMLSHPNLVKLVGFCHQDDHRMLVYEYMPRGSLENHLFKNLLSSLPWSTRLKIAVGAAKGLAFLHEAECPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPKGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADRLHRFMDPGLEMQYSARAAEKAARVAHQCLQSVPKARPSMRDVVAALEPLLALEDDVPMGPFVYTVGGAEAAPAPPPAAAAGDEEAEAGGRQGKRHVMSAVHAESPLRYASAVKRPESPPTLSRA >PAN23027 pep chromosome:PHallii_v3.1:4:4097415:4098324:-1 gene:PAHAL_4G062600 transcript:PAN23027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCTNGLLGLLNAGVLVLAVVGLGGGAWLSHRVSTDCELFLERPVVALGVLLLALSLAGLAGALCRASCLLWLYLLALFLLIVLLFAFTIFAFVVTNRGVGWVVFGRGYKEYRLGDYPTWLQQRVENAGNWAKIRSCHP >PAN22782 pep chromosome:PHallii_v3.1:4:2862897:2863993:-1 gene:PAHAL_4G044600 transcript:PAN22782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQRGASLLLQVEEGAFFDEVAPWQPVAAGRFQRPRPAKLDTIAEEESSSVISTAHDAAGGYGAASTS >PVH47558 pep chromosome:PHallii_v3.1:4:6052676:6052864:1 gene:PAHAL_4G087200 transcript:PVH47558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYHVSLSGLATQTKIILPRAARWPRSRLIVFEHCALFTEKFSNFILISLQCGSSLSKQQRF >PAN25090 pep chromosome:PHallii_v3.1:4:47018656:47019489:-1 gene:PAHAL_4G274700 transcript:PAN25090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSKVAVPLLLLLVVLSGSWACRPAAAARPLLADDGRWVEQAAPASAGSVIVLPSVWRLWHKLPPLQMKPAGASCKGSTWDPNNGCPPPTKP >PAN23155 pep chromosome:PHallii_v3.1:4:4792715:4795743:-1 gene:PAHAL_4G071900 transcript:PAN23155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHHYFYLALALVSLLVVIAKRRCGPAHGHGLRLPPGPWQLPIIGSMHHLAGQLPHRAMRDLARHHGPVMLLRIGEVPTLVVTSREAAREVMKTHDTAFASRPLSATVRVLTSGGRDIIFAPYGEHWRQLRKIAITELLSARRVLSFRAIREEEVGAMLRACAAAAAAAAPIEMRARLSALVADATVRAVMGDRCRDRDVFLRELDRSIELSAGFNPADLWPSSRLVGWLSGAVRRAEECRDTVYGILDGIIKEHLEVIDRGGGAGEAEDLLDVLLKIQKDGILQIPLDMDVLKAVIFDIFGAGSETSATTLEWAMAELVRNPKAMRRATAEVRGAFGARGAVAEHALGELRYLHLVIRETFRLHTPLPLLLPRQCQEARRVLGYDVPRGATVLVNVWALGRDERYWPGDPEAFRPERFEAGEAGAVEFKGADFELLPFGAGRRMCPGMSFGLANVELALASLLFHFDWEAPGVADPAEFDMAEAFGITARRKANLLLRPILRVPVPGA >PAN24588 pep chromosome:PHallii_v3.1:4:43096491:43101966:-1 gene:PAHAL_4G237100 transcript:PAN24588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MEMVECSLAATLAPRPLPGRVRKAPPRPGVLAGRARLRVRSARGEQPPPPEPVAVRGASGTEHGGRALGQAAAGLAAAAVVSLTGFAGDVSPLPTPPARAESLTVAFPVAKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYSKISGMLSTLGDPFTRIISPMEYQSFRIGSDGNVQGVGVFINREPSTGRLLVMDCIQGGPADRAGIHEGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGTDNDRGGRSRQKEVQLSREIINLSPLSTTIISHRSDDGHECKTGYVRLAAFSQTAAAEMENAVKRMEDEGVESYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDREGNVLPINMIQGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHRTFGKGKIQSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCSPDILSLPRAPSLRENSEATSLEMDSCIMVAEQALEIEQTKGSAS >PAN26116 pep chromosome:PHallii_v3.1:4:48729567:48731475:-1 gene:PAHAL_4G299100 transcript:PAN26116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNAAPPGPGRRTRVLPFLHNRTTTHRFFRLLDATATKTARRRRRRLTARPTRNRRATRPGEPKRPGAAEEPQDQAVGAAREPDAAAEADVDAAVVAGKYWAHRHSLFSLYDRGVRMDAEGWYSATPEAVAASQAARAAPGDLVVDAFAGCGGNSIQFAARGCYVVAVEIDPRKVELAAHNARVYGVEDRIEFVVGDFFRLAPFLKADLVFLSPPWGGPAYIHAPIYTLDMLKPKDGYTTFQAAQKIAPNAIMFLPRTVDISQVEELSWLSCPPLDFESEENYIHHRLKGITAYFGRTAGPPSYHCQNWDDEQAAD >PVH47810 pep chromosome:PHallii_v3.1:4:19567358:19568630:1 gene:PAHAL_4G158700 transcript:PVH47810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKTRATSPKTLSRISSCTQSCLWLGPPLEFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN25069 pep chromosome:PHallii_v3.1:4:46642549:46644532:1 gene:PAHAL_4G272300 transcript:PAN25069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHMVSGEGEDSYAKNSRLQEKAMVEIRPMLEKVVTDVYDALCPRTMVVADLGCSSGPNALHFVSDVIRVTGDCCRRSCRDPPELQFFLNDMPGNDFNSLFQSFARRNKMAMAVARNYGGGTAGSRPPHYVVGLPGSFYTRLFPAHTVHFFHSSYSLMWLSQLPTELQSNSKIHLNEGNIYITTTTPPSVVRIYQEQFQKDFLLFLKLRSRELISGGQMLLTFLGRKNYSVFHGDLNHVYGLLGQAVQSLVVEGMVEKERLDSFNLPIYGPSIAEVTAVVKQSEMYDINHIQLFESNWDPYNDSEGDFVGDTVQSGINVAKCLRAVMEPLFARHFGEYILDELFERYALNVAKHLEREKTKYSVIVASLRSKRWSVDQLRT >PAN25356 pep chromosome:PHallii_v3.1:4:48461761:48464132:-1 gene:PAHAL_4G295000 transcript:PAN25356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGVVVGGYVSPRQRVTACHLCPGKKPSSAEAVGRKGTHEEDDFDFEADFVKFAESEESEVESEDEAAKLFAAPRSVIARDGLNTIAAGVDGSNGRKRKNQFRGIRQRSWGKWAAEIRDPNKGVRVWLGTYNSPEEAARAYDAEARRIRGKKAKLNFPDEAPVASQKRLAEPNSVEVPNTGEMLITNNMTNSNADHYPVVDHTIPGPFIQTPNMSSAPLVNSAASIQEPLVNLSSDQGNANFDFSEFEPMNFLMDSSDYPINTFLGSDESQDGYINVDLWNFDDMPIPNGFY >PVH47794 pep chromosome:PHallii_v3.1:4:17991273:17992439:1 gene:PAHAL_4G153900 transcript:PVH47794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQTTSPKTSSRVSSCTQSCLWLGPPLEFRMTQDSDDPLFVASIVVWVLVVILAIVALHCPLPRRVVR >PVH47703 pep chromosome:PHallii_v3.1:4:9904370:9904942:-1 gene:PAHAL_4G123500 transcript:PVH47703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHQTNCHWAIELLEAAAIFVAGIAIAAVAAVGFLRNGGIAFLSLSGLPTVIFFAIGFRLSSAALRNRRSASRLEVEGDKEDGTLRGIAAGHGPQRHHYRGSIQLPAIVIAQLGELSHNKVGGGGVGEECAICLCKIGNDGVPTRQLPVCRHVLYRDSIEQWLRVHRRVQSAGARCPDDLFFCQTRICG >PAN22269 pep chromosome:PHallii_v3.1:4:461819:464088:1 gene:PAHAL_4G007800 transcript:PAN22269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRGASSGGAAELELPGFRFHPTEEELLEFYLKQVAHGKKLKFDIIPTVQLYRHDPWELPGLTRIGEREWYFFVPRDRKQAAGGGRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDVAADASSSSSAGSGNVVVSSPKEDIVLCKIYRKAVSLKELEQRVAMEELARASSACTPSVSHNDTADSMSSSDHHQQGGSGGVQGEIMVMGVAIPPASVCCMKKEVMAESTAVLRPATLSLPQLDVAKQPPAAQQQQEWMQDPFLTQLRSPWMESWSPYYASVLNF >PAN24631 pep chromosome:PHallii_v3.1:4:43391904:43398014:1 gene:PAHAL_4G239500 transcript:PAN24631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSPSVPFPLLQAPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLNSVPSFKQRAENDPTVPDAPAKAEKFAQRYTAMLEEMKKNPESHGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRVENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKSKWTKKSWEKAVIFVDNSGADVILGILPFARELLRHGAKVILAANDMPSINDVTYPELIEIINKLKDADGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAFMANDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >PVH47943 pep chromosome:PHallii_v3.1:4:31908658:31909407:1 gene:PAHAL_4G194600 transcript:PVH47943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQNPFRSQWQPIEVDVMGYRIVDTIEGAALEAIYLFCKQHPREAAGQPISTFSTTDPKDPEWDLRVVPEGQSLEGSTEEAFRSTMRFMGVQQHYQLLLRREMGQLINTARSYYREADRQVTQVDQLRALVTEKDGIIATRNETIHHRVDQINESDAIITQRNTIIEFLQEQIQDLILEVDDAHAQINELQQQPVPPVVPAPEGEEEEDPEEIEGVSEIDSEHGDPVISPHHSSSGSQSSVGNFDDF >PVH48126 pep chromosome:PHallii_v3.1:4:45401811:45402739:-1 gene:PAHAL_4G255800 transcript:PVH48126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSGTCDTTVPPSVVHTDQSFNRVDGTCSNITKLLKEMHIDEISPSFEVYLPNSKFKKSSPGAPSFLLCLLRNKPPSRIELEMVENNFGGIPLKYCHVDNGRVSFLSFDKVALPRLP >PAN22721 pep chromosome:PHallii_v3.1:4:2511898:2514770:1 gene:PAHAL_4G040400 transcript:PAN22721 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MAGMAALQGAMASLSVSAPGAASTSSFWGNRLATYSAPQPGIRFMVKTCPIEMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTGDEPGEIVMIEGPIHSSNVMLYSKEKSVASRVGHKFLEDGTKVRYLIKTGEVIDSVEKWVKVFKEGDSE >PVH47821 pep chromosome:PHallii_v3.1:4:20398743:20408044:-1 gene:PAHAL_4G161600 transcript:PVH47821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKPHFLPHAAFATASSSSSRSPSAAADAEAGLAVFRLLLPPSFSDADTMRLYAAVNPLRRRTAALQVRVEPLDPAAAGGRVVAAVLGPAATVRRAEASSSSAEPLVLSPAQEALFAVLDAEGALYCADQEGPRGGKGGGPSGCATCLLLVDADRLQAATAGGGVLGRIALEAGAYVRVVPWEEAAPQPQGQPPEEVVEITGDRTAVRKALVALSSCLQGDQPVDGSTTSVNKEGSMLPWASSEVPEPNVGILFSEASTEFAQGSVPKADCPECNTGHVQSKGLQQVSFRLLLPTYLAGGLIGKKGLIIKGIEEETGACVDVGAPVTGCRERVITICALESPDSEYHIVQSALLLVFDRMMELESNTRSTFEKTSQFSARALVLKNQCDCLVGLGGSIIKEMVNATGARIQILNDTDVPECASSFELVVQITGELMNVRHALCLVCWKLRNHVFCSNGTDYNNGYIPSSGIAESNAISQANIYSTSQYSMDNAHKVDHEPSLSYGMDSVDKTFSSLELSSSEIQKHDNWNAAMIDNSDNGIQKPTEPNDIVVNNLNHGIIFPEENNLAREVQHAAITRITYETAVSGSILNLVYGDGNNLAQLTEISGADIAVYDPPSEGNEAMIVVSGPPDHAQSAQRMLVELILQGQ >PVH47823 pep chromosome:PHallii_v3.1:4:20398386:20408106:-1 gene:PAHAL_4G161600 transcript:PVH47823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKPHFLPHAAFATASSSSSRSPSAAADAEAGLAVFRLLLPPSFSDADTMRLYAAVNPLRRRTAALQVRVEPLDPAAAGGRVVAAVLGPAATVRRAEASSSSAEPLVLSPAQEALFAVLDAEGALYCADQEGPRGGKGGGPSGCATCLLLVDADRLQAATAGGGVLGRIALEAGAYVRVVPWEEAAPQPQGQPPEEVVEITGDRTAVRKALVALSSCLQGDQPVDGSTTSVNKEGSMLPWASSEVPEPNVGILFSEASTEFAQGSVPKADCPECNTGHVQSKGLQQVSFRLLLPTYLAGGLIGKKGLIIKGIEEETGACVDVGAPVTGCRERVITICALESPDSEYHIVQSALLLVFDRMMELESNTRSTFEKTSQFSARALVLKNQCDCLVGLGGSIIKEMVNATGARIQILNDTDVPECASSFELVVQITGELMNVRHALCLVCWKLRNHVFCSNGTDYNNGYIPSSVTEWTLWIRLSVP >PVH47822 pep chromosome:PHallii_v3.1:4:20399520:20408044:-1 gene:PAHAL_4G161600 transcript:PVH47822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKPHFLPHAAFATASSSSSRSPSAAADAEAGLAVFRLLLPPSFSDADTMRLYAAVNPLRRRTAALQVRVEPLDPAAAGGRVVAAVLGPAATVRRAEASSSSAEPLVLSPAQEALFAVLDAEGALYCADQEGPRGGKGGGPSGCATCLLLVDADRLQAATAGGGVLGRIALEAGAYVRVVPWEEAAPQPQGQPPEEVVEITGDRTAVRKALVALSSCLQGDQPVDGSTTSVNKEGSMLPWASSEVPEPNVGILFSEASTEFAQGSVPKADCPECNTGHVQSKGLQQVSFRLLLPTYLAGGLIGKKGLIIKGIEEETGACVDVGAPVTGCRERVITICALESPDSEYHIVQSALLLVFDRMMELESNTRSTFEKTSQFSARALVLKNQCDCLVGLGGSIIKEMVNATGARIQILNDTDVPECASSFELVVQITGELMNVRHALCLVCWKLRNHVFCSNGTDYNNGYIPSSGIAESNAISQANIYSTSQYSMDNAHKVDHEPSLSYGMDSVDKTFSSLELSSSEIQGSSACCDNKNHI >PVH47611 pep chromosome:PHallii_v3.1:4:7307892:7308083:1 gene:PAHAL_4G101700 transcript:PVH47611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSRRRSSHVRRNINVLQCTVRCLKRNSLHTYTVLQERITAHHKEHAPKERGTPRTQLFLT >PAN25385 pep chromosome:PHallii_v3.1:4:48613186:48615612:1 gene:PAHAL_4G297500 transcript:PAN25385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAPAPAAVAPKKAKK >PAN23151 pep chromosome:PHallii_v3.1:4:4775862:4777940:-1 gene:PAHAL_4G071500 transcript:PAN23151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELQSSHHYIFLVVILLLPLLAVKLRRRNHGKNPPPGPWRLPVIGSLHHLVGALPHRAMRDLARRHGPLMLLRLGQLPVVVASSPDAAREVMRTHDAAFATRPRTATIRELTRDGLGVAFAPHGEHWRQLRKLCVTELLSARRVRSLRRGREAEAANLVASVASLSSAPAPPKPVNVSALLATYVTDAIVRAVVGDRISDRDAFLEKLDEGVKVAAGFSLADVFPSSRLARAFSGAARRAQAHHREMTRLMDGVIEEHRQRRAAGAGNEEEDLLDVLLRVQKEGSLHVPLDMGTIRAVIIDLFGAGSETTATTLQWAMAELVRHPAALRKAQAEVRCVLAGESRVAEDVLPELRYLQLVMKETLRLHAAVPLLLPRECQEETRGVLGYDVPGGAMVLVNAWAIGRDAAIWGPDAEEFQPERFEGGGAGAEVNFRGTDFEFVPFGAGRRICPGIALGLAVMELGLASLLFHFDWELPGGAAPEELDMAEGLGITARRKSDLWLQATVRVPVPNI >PVH48113 pep chromosome:PHallii_v3.1:4:45116641:45123282:-1 gene:PAHAL_4G253000 transcript:PVH48113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPEALGAAEKATAPYGSWESPITAAAVSAAGRTVEGLAVAGDGRLVWVEKRPEEGGASVLVREAAEPRGKALDVSPQGFAVRTLAQEYGGGSGAFAVQGDTVVFSNYSDQRLYMQTIGDSSPRPLTPDYAASEVRYADGVFDPHFHRYVTIMEDHRNNSSDPVTTIAAVKTSDRDVNEPTILVSGNDFYAFPRVDPSEKRMAWIEWSNPNMSWDKSQLWVGYFSEKGEVQNRICIAGGDPTLVESPTEPKWSSKGELFFITDRQSGFWNIYKWDEQSNVVIQLYALDAEFSKPMWVFGASSYAFVGKNDSSLKIICCYRQNGRSYVGVLDPGSGSFSTLVIPFSSVTNIVSGDECFYIEGASANLPVSIAKCQVTLDEKRTVTTDFSLIWSSSEDVTEYKSYFSLPEFIEFPTVIPGQHAYAYFYAPYSHSFQGPSDEKPPLLVRTHEEARGILDLNVQYWTSRGWALVDVNYGGSSGYGREYRERILGQWGAVDVNDCCSCATFLVETGRVDGQRLCITGESAGGFTTLACLAFRQTFKAGCSLYGIADLTSLRAGSHKFEACYTDNLVGNKQAYDERAPINFVDKFTCPLILFHGLEDTVVPPDQTAKIYKAIKDKGLPVALVEYEGEPHGFRKAENIKFTLEQEMVFFARLVGKFKVAGDITPINIQNFD >PVH48112 pep chromosome:PHallii_v3.1:4:45116640:45123282:-1 gene:PAHAL_4G253000 transcript:PVH48112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPEALGAAEKATAPYGSWESPITAAAVSAAGRTVEGLAVAGDGRLVWVEKRPEEGGASVLVREAAEPRGKALDVSPQGFAVRTLAQEYGGGSGAFAVQGDTVVFSNYSDQRLYMQTIGDSSPRPLTPDYAASEVRYADGVFDPHFHRYVTIMEDHRNNSSDPVTTIAAVKTSDRDVNEPTILVSGNDFYAFPRVDPSEKRMAWIEWSNPNMSWDKSQLWVGYFSEKGEVQNRICIAGGDPTLVESPTEPKWSSKGELFFITDRQSGFWNIYKWDEQSNVVIQLYALDAEFSKPMWVFGASSYAFVGKNDSSLKIICCYRQNGRSYVGVLDPGSGSFSTLVIPFSSVTNIVSGDECFYIEGASANLPVSIAKVTLDEKRTVTTDFSLIWSSSEDVTEYKSYFSLPEFIEFPTVIPGQHAYAYFYAPYSHSFQGPSDEKPPLLVRTHGGPTEEARGILDLNVQYWTSRGWALVDVNYGGSSGYGREYRERILGQWGAVDVNDCCSCATFLVETGRVDGQRLCITGESAGGFTTLACLAFRQTFKAGCSLYGIADLTSLRAGSHKFEACYTDNLVGNKQAYDERAPINFVDKFTCPLILFHGLEDTVVPPDQTAKIYKAIKDKGLPVALVEYEGEPHGFRKAENIKFTLEQEMVFFARLVGKFKVAGDITPINIQNFD >PVH48114 pep chromosome:PHallii_v3.1:4:45117045:45122738:-1 gene:PAHAL_4G253000 transcript:PVH48114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPEALGAAEKATAPYGSWESPITAAAVSAAGRTVEGLAVAGDGRLVWVEKRPEEGGASVLVREAAEPRGKALDVSPQGFAVRTLAQEYGGGSGAFAVQGDTVVFSNYSDQRLYMQTIGDSSPRPLTPDYAASEVRYADGVFDPHFHRYVTIMEDHRNNSSDPVTTIAAVKTSDRDVNEPTILVSGNDFYAFPRVDPSEKRMAWIEWSNPNMSWDKSQLWVGYFSEKGEVQNRICIAGGDPTLVESPTEPKWSSKGELFFITDRQSGFWNIYKWDEQSNVVIQLYALDAEFSKPMWVFGASSYAFVGKNDSSLKIICCYRQNGRSYVGVLDPGSGSFSTLVIPFSSVTNIVSGDECFYIEGASANLPVSIAKCQVTLDEKRTVTTDFSLIWSSSEDVTEYKSYFSLPEFIEFPTVIPGQHAYAYFYAPYSHSFQGPSDEKPPLLVRTHGGPTEEARGILDLNVQYWTSRGWALVDVNYGGSSGYGREYRERILGQWGAVDVNDCCSCATFLVETGRVDGQRLCITGESAGGFTTLACLAFRQTFKAGCSLYGIADLTSLRAGSHKFEACYTDNLVGNKQAYDERAPINFVDKFTCPLILFHGLEDTVVPPDQTAKIYKAIKDKGLPVALVEYEGEPHGFRKAENIKFTLEQEMVFFARLVGKFKVAGDITPINIQNFD >PAN23787 pep chromosome:PHallii_v3.1:4:9148961:9150492:1 gene:PAHAL_4G117700 transcript:PAN23787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPRPEMGILFARSLALLALLCLLLPCHGKLSTKFYAKSCPGVATIVRSAMAQAVAKEPRMGASIIRLFFHDCFVNGCDASILLDDTPTFTGEKNAGANANSVRGYEVIDAIKTQVEAACKGIVSCADIVALASRDAVNLLGGPTWNVQLGRKDSRTASQSAANANLPGPGSSAASLVSAFAAKGLSARDMTALSGAHTVGRARCLFFRGRIYTEPNINATFAAARQRTCPQSGGDGNLAPFDDQTPDAFDNAYFRNLMARRGLLHSDQELFNGGPTDAQVRKYSGNAGMFATDFAKAMVKMGGLMPAAGTPAEVRLNCRKVN >PVH47458 pep chromosome:PHallii_v3.1:4:4387902:4398651:1 gene:PAHAL_4G067000 transcript:PVH47458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSLVAFLPPLLLILVAAISPEPTVAAHSHSKPKPFPPLTPLRMQALLRHERGSSSRGGKLVVTAAADGTSSTAAIPFTAHYFPQELDHFTFTPNASMLFYQKYLVNDTFWRRPSGGKGAATGPMFVYTGNEGDIEWFATNTGFVFDIAPKFGALLVFIEDDPTSCPMFKSEAEYRSMLERGLGAECEHSRPYRSQDMEWFRQDVIQLKQCVLEMKQEIRDIGKRAFVVDKSCVLVACAACFLGVILGMMCSRN >PVH47460 pep chromosome:PHallii_v3.1:4:4387902:4398651:1 gene:PAHAL_4G067000 transcript:PVH47460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSLVAFLPPLLLILVAAISPEPTVAAHSHSKPKPFPPLTPLRMQALLRHERGSSSRGGKLVVTAAADGTSSTAAIPFTAHYFPQELDHFTFTPNASMLFYQKYLVNDTFWRRPSGGKGAATGPMFVYTGNEGDIEWFATNTGFVFDIAPKFGALLVFIEEDMERCQQGSCHLSLFSLQFWSEQTTINRRKQLIHIRE >PVH47459 pep chromosome:PHallii_v3.1:4:4388003:4396738:1 gene:PAHAL_4G067000 transcript:PVH47459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSLVAFLPPLLLILVAAISPEPTVAAHSHSKPKPFPPLTPLRMQALLRHERGSSSRGGKLVVTAAADGTSSTAAIPFTAHYFPQELDHFTFTPNASMLFYQKYLVNDTFWRRPSGGKGAATGPMFVYTGNEGDIEWFATNTGFVFDIAPKFGALLVFIEEDMERCQQGSCHLSLFSLQFWSEQTTINRRKQLIHIRE >PAN24650 pep chromosome:PHallii_v3.1:4:43658241:43664625:-1 gene:PAHAL_4G241800 transcript:PAN24650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQSDHHASGGRRSHHETDIEIFTSKVANSNVNITMPPMSHHGDTTRGGDDRLCNLEYIPNKGLMVNPEFDRAA >PVH47264 pep chromosome:PHallii_v3.1:4:1294637:1296379:-1 gene:PAHAL_4G019900 transcript:PVH47264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKFQCSERHSYEMSGMLEDGVSFLEKFICIIFSSPRSCCFFCVCGFRSTII >PAN24792 pep chromosome:PHallii_v3.1:4:45085691:45091284:-1 gene:PAHAL_4G252400 transcript:PAN24792 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MSCRASPWNLPPLPSGPRFPTSARPRSPLGSRNLWKNPILNNSWTLGVGVPNIFALPSRMFRCMASSGSGDSGFARPTSTDEAVAPLPLYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPSDKKPQVVLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVRDSVKLLRPSDHFRREPGESWAGGVVHLESGTVIEYDWLVLALGAEAKIDVVPGSAEYALPFTTLEDALRVESKLKMLERKRFGKSSPTIEVAIVGLGYSGVELAATISERLKNTGTVKAINVQTTICPTAPQGNRDAAVKVLESRNIQLFLGYFVSCIKEASTADDSSGTVADSEVDGDHKKLILDLQPAERGLKGQTLEADLVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLLVKGHPRTFAIGDSAALRDASGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAITASFIEGLTLEGPLGHAARKLVYCLRMPTDEHRVKVGVSWLTKTAIDSLASVQNAVSDMLTSP >PAN23712 pep chromosome:PHallii_v3.1:4:8273167:8275981:1 gene:PAHAL_4G111700 transcript:PAN23712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPLVLALALALAAASSAAASASGPRSVLRTVTDVPAAVSSGEDADALFCDSWRLSVETSNAGPWRAIPARCAAFVRGYMEGPRYASDSAVAAADSLAFASGALAAAGAGAARPAWVFDVDETLLSNAPYYAVNGWGSQEFNETSFDEWVDAAKAPALPSSLKLYNELRGLGFHVILLTGRTEFQRNSTEANLLFAGYQSWEKLILRQPSDIGKTAVKYKSERRAATEAEGFKILGNSGDQWSDLIGSPMATRSFKLPNPMYFIS >PVH48136 pep chromosome:PHallii_v3.1:4:45481413:45485494:-1 gene:PAHAL_4G257400 transcript:PVH48136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPGSFPTSSSAHQDHVHTPLCRSCGAPTTAPTPGPWSGTSDSPPPAYRPIRLPAINAPTNTAAIVLSPVPQPLPVPPAAPPHAFQTPTKRIASPDDIARFHASLHGRHFLGFVAALSASVHGRKLSDPLPAPPSAAVSALLDLISALTALVASTPPLPHNSRYGNPSFRLWHEKLTDSASDLIARITSTAASPADLAGAEVELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEPDYAAIVLRVFAAYLNLMRTLQDTYQLEPAGSHGVWGLDDFHFLPFIFGAAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVAYVKKIKKGPFAEHSPMLDDISGVPNWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED >PAN24262 pep chromosome:PHallii_v3.1:4:17231494:17238399:1 gene:PAHAL_4G150800 transcript:PAN24262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSVLVERATSESLIGPDWSLNLEICDILNHDPSQAKDVVKTIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMQVAEKDVLHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSHARYPQYYAAYQEMLRAGAVFPQRPESSVPIYTPPQTQPLRNYPPPALRNTDYRQDAPESSSAPEVPTLSLTEIQNARGVMDVLSEMLNAIDPNNREGLKQEVIVDLVDQCRSYKQRVVQLVNSTSDEDLLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKAFPARADSSPTKPEGPKETDQRSSKDASSMTPFEQSALPAPPSSSASKSHVKPATNPNIDLLSGDDFFKPEPVHSQALVPVSNQPAASASSSHSLDLLDMFSDSNAINNSSQNPATPPIPNTNPNPLAPEAYPAPQQPVPPQHPSPYSNGLNSNTLAPYDQGSNLTSASSWNGQFAPGMVPPQQASNYGQDEQSSDLPPPPWEAQPAESEQFQASHPGGLSVPPQFGVSQPQPVQIAQPGQQILPSQSMPGQHGGQFQPGLGVQQQYVTPNTQYGGMYQPVQGNQAGGIYPQQMAGDVYQQQMYGGQMASYGYGQQPGGYYVPNAGYAYASANELSQRMNGLSMQDGSLYGTPGSSLQQRSRPSRPEDSLFSDLVSIAKTKPSKTASNKPGDL >PAN24261 pep chromosome:PHallii_v3.1:4:17231494:17238399:1 gene:PAHAL_4G150800 transcript:PAN24261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSVLVERATSESLIGPDWSLNLEICDILNHDPSQAKDVVKTIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMQVAEKDVLHEMVKIAKKKRAGAVFPQRPESSVPIYTPPQTQPLRNYPPPALRNTDYRQDAPESSSAPEVPTLSLTEIQNARGVMDVLSEMLNAIDPNNREGLKQEVIVDLVDQCRSYKQRVVQLVNSTSDEDLLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKAFPARADSSPTKPEGPKETDQRSSKDASSMTPFEQSALPAPPSSSASKSHVKPATNPNIDLLSGDDFFKPEPVHSQALVPVSNQPAASASSSHSLDLLDMFSDSNAINNSSQNPATPPIPNTNPNPLAPEAYPAPQQPVPPQHPSPYSNGLNSNTLAPYDQGSNLTSASSWNGQFAPGMVPPQQASNYGQDEQSSDLPPPPWEAQPAESEQFQASHPGGLSVPPQFGVSQPQPVQIAQPGQQILPSQSMPGQHGGQFQPGLGVQQQYVTPNTQYGGMYQPVQGNQAGGIYPQQMAGDVYQQQMYGGQMASYGYGQQPGGYYVPNAGYAYASANELSQRMNGLSMQDGSLYGTPGSSLQQRSRPSRPEDSLFSDLVSIAKTKPSKTASNKPGDL >PVH47277 pep chromosome:PHallii_v3.1:4:1634442:1635636:1 gene:PAHAL_4G025800 transcript:PVH47277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMLLLINLFLHSDAMRTKETLKILQEHVQGLSQAVVHFIPSFYSISAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLETCNAALLEAAGKSWVEAFSLAGLGGWKLHGIVKP >PVH48157 pep chromosome:PHallii_v3.1:4:45981625:45982570:-1 gene:PAHAL_4G263500 transcript:PVH48157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWMDDYNRIICELFAEQVRRGNRPNTHLNTLGYTEVSGRFYQMTGIELSKTQIKNKWDRLKNDWSIWQKLLRNQTGTSWDNTRGVINMDNEWWKKMKVVNVPGSVKFKKKALQNEDFLREMFGDISNDETDHWNPMSDNPVIPESQKDTENIDGAGEEDNVFHDWSYREEEDEEVQEVPKKQKSSTTLIIQEQITKIADSAESFTSKKQAEVVSIKKVINVVLDCGAQYGSNEHDIATQLFVKKEQREMFLTLPTREIRLNWFTRRYNDKYGN >PAN25465 pep chromosome:PHallii_v3.1:4:49040597:49044515:1 gene:PAHAL_4G303300 transcript:PAN25465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISPEDVRNGNVDLSKMPVEEVFKTLKCDRKGLSSAEGEGRLKAFGPNKLEEKKESKLLKFLSFMWNPLSWVMEMAAIMAIVLANGGGKPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQDASILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKHPGQEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAAGMLVEVVVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDILCSDKTGTLTLNKLTVDKSLIEVYSKGVDKEMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIQEVHFLPFNPVEKRTAITYIDGNGDWHRVSKGAPEQIIELCNMGAEAEKKVHALIDGYADRGLRSLGVSYQQVPEKNKDSAGEPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTTLLGDKNSTVNGMPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRSIFQRMKNYTIYAVSITIRIVLGFLLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPKPDSWKLDEIFATGIVLGTYMALVTAFFFYLAHDTDFFTDVFGVTSIKENDRELMSALYLQVSIISQALIFVTRSRSWSFVERPGYLLLFAFFAAQLVATSIAVYADWEFCRIQGIGWAWGGAIWVFSLVTYIPLDVLKFMIRSVLRDKGCSNDRNK >PAN22559 pep chromosome:PHallii_v3.1:4:1702411:1706555:1 gene:PAHAL_4G027300 transcript:PAN22559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALFVILRKIALSLGEGVLERIGTELAEVAPILTDFEHSMKQIEGEFSILKAFIDQVSIHKDGDKAFDAWLDQVRDVAHEVEDIIDEYAYLTAQNQDTSSFFKRKFHQIKNFAAWQKFPGQISQVEARIQRLTEMRNRYGISVGVLDKGDKLQQHNKFSMSDFAYLTDNSEIVGNIDGITRLTQWLLEEKQDRTLIAIFGMGGLGKTTITSSVYNNKRIRRTFDCRAWVTLSQTYQAEELLREIINQLIDQRSSMASGFTTMSRMKLLEVIQIYLRDKKYIIVLDDVWDKDAWLFLNYAFVRNSCGSKVLITTRQKDVSSLAADSYVIELKTLKYAESWELFCKKAFHASTDNKCPENLISWANKIVTKCQGLPLAIVTIGSILSYHELEEQVWKFFYNQLSWHIENNPELNWISSVLNLSLNNLPSYLRSCFLYCSLYPEDYKIKRKLISKLWIAEGLVEERGDGTTMEEVAECYLMELTQRSLLQVTERNACGRARTFVMHDLVREVTSIIAKREKFGIAYGDAGTTQVLHEARRLSIQRGAKSLNSLASSRLRSFILFDTEIPSSWIYDISSSFRLLRVLCLRFASIEQMPCVVTELYNLRYLDFSHTKVKEVPASFSKLVNLQVLDLRFSYVDELPLEISMLTNLRHLHVFVVHDVQQRSLNCFGSTKFLGNICHLKNLQALYTVSANKYLVSQLGNLTLMRGLGIMKVQQSFIEELWNSLTKMPNLSRLLLFASDMDEILNLKMLRPLPNLKLLWLAGKLDGGMVPSLFSKFEKLTQLKMDWSGLKEDPISSLSHMLSLVNLCLNGAYDGEQLTFCAGWFPKLRSLQLVDMEHLNLIEIEGGTLMSLHTLELTGLRNLKAVPEGIKYIRTLDQMFLTDMSNEFIERLLGSDHHIVQHIPDIRNFGSSDTQEANNFISLEYLAKKYGTGALKYSPAE >PAN25419 pep chromosome:PHallii_v3.1:4:48774875:48778459:1 gene:PAHAL_4G299800 transcript:PAN25419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAPISAAILTFLLLFLPHCPSPTVLAGGSDDRSALLSFKSSVSSDPNGALASWGSPNVCNWTGVSCDMAARRVVKLILRDQKLSGEVSPALGNLSHLNILNLSGNLFTGRVPSELGKLFHLTLLDMSANSFSGEVPPELGNLSSLNYFDLSGNSFAGGVPPELGNLSKLTQLSIGGNSLEGSIPVELTRIPNLIYLNLGENNLSGHIPEAIFCNFSNLQYIDLSSNFLVGKIPIRGDCPLPDLMFLVLWSNNLVGGIPPSISNSTKLKWLLLENNFLTGELPSGMFSKMRDLELLYLSYNYLTSPENNTNLEPFFASLTNRTSLKELGVSWNEIAGTMPPLVGRLSPGLKQLHLEYNKIFGPIPANLTDLTNLTTLNLSHNLLNGSIPPGIAAMQRLERLYLSNNLLFGEIPPPLGTIPRLGLIDLSHNRLTGTIPATLSNLTQLRVLVLRHNRLSGAIPPSLAQCVNLQNFDLSHNALQGRIPADLSGLSGLLYLNLSSNQLEGPIPATISKMVMLQVLNLSSNRLSGTIPPQLGSCVALEYFNVSGNALEGGLPDTIGALPFLQVLDVSYNGLTGALPLSLEKAASLRRVNFSYNGFSGKVPGTGAFASFPADAFLGDAGLCGPVAGLARCGGARHRVVRDRRVVLPVVITVVAFTLAIVGVVACRAAARAEVRRDSRRSMLLTDADEPTDRDYPRVSYRELSEATRGFEQSSLIGAGRFGRVFEGTLRDGTRVAVKVLDPKSGGEVSRSFKRECQVLRRTRHRNLVRVVTACSQPDFHALVLPLMPNGSLESRLYPADGGPGRGLDLAQLVAIASDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAQLVKDVGDSDFRGNTGSGDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMLLELITGKRPTDMIFQEGLTLHDWVKQHYPHDVGEVVGQSWLTDAASAVADERLWNDVMVELIDLGLECTQHSPSARPTMVEVCHGITLLKEDLAKHLGAPGTTARRTASMTMTASERSYSTTDSSF >PAN25934 pep chromosome:PHallii_v3.1:4:51213463:51215682:1 gene:PAHAL_4G335300 transcript:PAN25934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVAAAAAHGGGHHFAPPPAPFHPFAHHFPGQHPAFQHFQEQLMGGASAKQELADDSNTINSAGSNGSGGDGGADHHNQQQQLAAAGEEQQQQQPPVVMRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVAAGCDVVDSVAGFARRRQVGVCVLSAAGNVANVTIRQPGAGPGAVVNLAGRFEILSLCGSFLPPPAPPSATGLTVYLSGGQGQIVGGTVAGPLVASGPVVIVAACFGNAAYERLPLEDDEPPPQGLAGQSSSPPPQLPLGAGGHPHPPPSLADQLPHSLMNGLPLPGDAYAWAGPGGGGGAGRVAPY >PAN24099 pep chromosome:PHallii_v3.1:4:21052338:21052682:-1 gene:PAHAL_4G164000 transcript:PAN24099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAAGALGLELCCAVGFRISRLSVCFTFFSECCAVTASSSSSVGVKDGYVVRKGVVIVSLLLMLQLRSRLTICICAFVLQPMGKRPFQAGPGHKVYTLCLIGTSVYICCQENI >PVH47196 pep chromosome:PHallii_v3.1:4:16935:31618:1 gene:PAHAL_4G000400 transcript:PVH47196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGLPLAASAAGTVPEAPVFHPTEEEFADPLAYVARIRPLAEPYGICRIVPPSSWSPPHALDFTSLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLKASSAHRRGRRKGLPKSPALSDGRPVDLCRLFHAVKRFGGYDGACEGKRWGDVARLVDDKAPTHISECAKHVLAQLYYEHLYDYEKFTNRLVSQELDGRKGEQPDVGSDYQPSVSGSQDEESNSSDTRELVEEFSGVRNRNRRNAFRKKAGEGTSHGRYGSVCNITGNSAASAGSWKRKRRKFDAAVTVVNDVSAGVWKRKRRKSVTGATVFNEAADQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPSGNWYCSDCLNSDRDCFGFIHRRKPCLLETFRRFDERLRKRWFGPRNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRPSDPVPSSVDLETWQKYCLSPWNLNNFPNLPGSVLRTVRDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRQVLPDLFDAQPDLLFHLVTMLNPSILRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGADLYRLYRKAPVLPHEELLYVVAKNGVDAESLPHLKGEIERLFINERRRREELWINGIVKSRPMLPRSNPNFIGSEEDPTCIICRQYLYLSAVSCNCRLSSYVCLEHWKHLCECSPEKHCLLYRHTLADLHDLVCDVSLASLPGDNVKQNTHLLNDVCVPSKKVKDCYISYAQLAEDWISKSEHILQMPFLDRSYATALEEAEQFLWGDHGMDSVRNVTLRLKEAMNWALGVRKCLSKIENFLKDSCSEKVNYVEIEELVAMRCIPCCEPGLTKLQAYAEKGKMLMDEVNIALSSRLTVDKLETLYSRISEFPVKLTESSTLFREISSAKSWLKKASDCLEQNKLGTIDIDVLNKLKLETIQLRVLLPEIDLILKLWKDAESWQLRCQLYLQDLPGLKELEGFLLAADGAKFSIPELNLLKQHYSNGCSWVNRAKNMLGKLHARSDYHNVVDELISILKDVEILGVKVDELPIIERELKRSLCRKQASEALATVMSLEVVEEVLKEASILTIEEEQPFLDLSRMLKEATAWEEKARLILEQSASLSEYEDHMRCSEDIRVILPSELRLKAEIDNAKLWVDKCQSYLRPRCNKLAFGGMLKVEDIKELINQAATLKVILDTSALNSVLNIVENWEGNSLSLLCNLRTLLHLNHIGYTVDPLKRNLEELQDKMNTEIESGLSLGFEFRVLDELKDSLLVLRWILDVLSLCCVIPSLQDVDRLIEAAVHLPGSLSECSLVTLLMRGLSCLRKALTLLPDPETSAKSKLEDVENILAEFKEIDVPYPIITAKLEDAVNKHTSWTEQCNTFFMLPDGQSWARLLSLRDNGQSIAFHCPEMDKVFVEVKKVEEWLNRCHCTLFLDGDNSSLLSILSKIRGSLDDVCMLYAEDCVKKGFCAICSCDMGGSLATRCVTCQDWYHDSCMENLPTSTQMTSEWICPFCSLLQSENLLENQIHVKMSKGNCPALTSLNELLSSAKGFYAGIEEINLLEEIVQKACNMNAYLMQILNDSDSYHGEDLTVICKSLLVALKATSASGLYDHLVSCKIESMLSRYLWKKQIHKLLFGGKKTSIKEVLRLDKEGSHLEICDQDFFKLEISKIKESSLQWLAKAEKVECDSGELALDLVYGLIAEGENLCVNFEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCINLLGPPPDTFFCPACHPNNGEESISLPRTDHDEDRSSTGGGCPPHTPPASCDEPDRVVEANKCEKREKSQIRVDLTKILRCHSEIDSSWRESKRVPHRTARRRSSFVGLL >PVH47194 pep chromosome:PHallii_v3.1:4:16935:31618:1 gene:PAHAL_4G000400 transcript:PVH47194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGLPLAASAAGTVPEAPVFHPTEEEFADPLAYVARIRPLAEPYGICRIVPPSSWSPPHALDFTSLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLKASSAHRRGRRKGLPKSPALSDGRPVDLCRLFHAVKRFGGYDGACEGKRWGDVARLVDDKAPTHISECAKHVLAQLYYEHLYDYEKFTNRLVSQELDGRKGEQPDVGSDYQPSVSGSQDEESNSSDTRELVEEFSGVRNRNRRNAFRKKAGEGTSHGRYGSVCNITGNSAASAGSWKRKRRKFDAAVTVVNDVSAGVWKRKRRKSVTGATVFNEAADQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPSGNWYCSDCLNSDRDCFGFIHRRKPCLLETFRRFDERLRKRWFGPRNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRPSDPVPSSVDLETWQKYCLSPWNLNNFPNLPGSVLRTVRDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRQVLPDLFDAQPDLLFHLVTMLNPSILRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGADLYRLYRKAPVLPHEELLYVVAKNGVDAESLPHLKGEIERLFINERRRREELWINGIVKSRPMLPRSNPNFIGSEEDPTCIICRQYLYLSAVSCNCRLSSYVCLEVKDCYISYAQLAEDWISKSEHILQMPFLDRSYATALEEAEQFLWGDHGMDSVRNVTLRLKEAMNWALGVRKCLSKIENFLKDSCSEKVNYVEIEELVAMRCIPCCEPGLTKLQAYAEKGKMLMDEVNIALSSRLTVDKLETLYSRISEFPVKLTESSTLFREISSAKSWLKKASDCLEQNKLGTIDIDVLNKLKLETIQLRVLLPEIDLILKLWKDAESWQLRCQLYLQDLPGLKELEGFLLAADGAKFSIPELNLLKQHYSNGCSWVNRAKNMLGKLHARSDYHNVVDELISILKDVEILGVKVDELPIIERELKRSLCRKQASEALATVMSLEVVEEVLKEASILTIEEEQPFLDLSRMLKEATAWEEKARLILEQSASLSEYEDHMRCSEDIRVILPSELRLKAEIDNAKLWVDKCQSYLRPRCNKLAFGGMLKVEDIKELINQAATLKVILDTSALNSVLNIVENWEGNSLSLLCNLRTLLHLNHIGYTVDPLKRNLEELQDKMNTEIESGLSLGFEFRVLDELKDSLLVLRWILDVLSLCCVIPSLQDVDRLIEAAVHLPGSLSECSLVTLLMRGLSCLRKALTLLPDPETSAKSKLEDVENILAEFKEIDVPYPIITAKLEDAVNKHTSWTEQCNTFFMLPDGQSWARLLSLRDNGQSIAFHCPEMDKVFVEVKKVEEWLNRCHCTLFLDGDNSSLLSILSKIRGSLDDVCMLYAEDCVKKGFCAICSCDMGGSLATRCVTCQDWYHDSCMENLPTSTQMTSEWICPFCSLLQSENLLENQIHVKMSKGNCPALTSLNELLSSAKGFYAGIEEINLLEEIVQKACNMNAYLMQILNDSDSYHGEDLTVICKSLLVALKATSASGLYDHLVSCKIESMLSRYLWKKQIHKLLFGGKKTSIKEVLRLDKEGSHLEICDQDFFKLEISKIKESSLQWLAKAEKVECDSGELALDLVYGLIAEGENLCVNFEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCINLLGPPPDTFFCPACHPNNGEESISLPRTDHDEDRSSTGGGCPPHTPPASCDEPDRVVEANKCEKREKSQIRVDLTKILRCHSEIDSSWRESKRVPHRTARRRSSFVGLL >PAN22147 pep chromosome:PHallii_v3.1:4:16935:31618:1 gene:PAHAL_4G000400 transcript:PAN22147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGLPLAASAAGTVPEAPVFHPTEEEFADPLAYVARIRPLAEPYGICRIVPPSSWSPPHALDFTSLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLKASSAHRRGRRKGLPKSPALSDGRPVDLCRLFHAVKRFGGYDGACEGKRWGDVARLVDDKAPTHISECAKHVLAQLYYEHLYDYEKFTNRLVSQELDGRKGEQPDVGSDYQPSVSGSQDEESNSSDTRELVEEFSGVRNRNRRNAFRKKAGEGTSHGRYGSVCNITGNSAASAGSWKRKRRKFDAAVTVVNDVSAGVWKRKRRKSVTGATVFNEAADQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPSGNWYCSDCLNSDRDCFGFIHRRKPCLLETFRRFDERLRKRWFGPRNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRPSDPVPSSVDLETWQKYCLSPWNLNNFPNLPGSVLRTVRDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRQVLPDLFDAQPDLLFHLVTMLNPSILRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGADLYRLYRKAPVLPHEELLYVVAKNGVDAESLPHLKGEIERLFINERRRREELWINGIVKSRPMLPRSNPNFIGSEEDPTCIICRQYLYLSAVSCNCRLSSYVCLEHWKHLCECSPEKHCLLYRHTLADLHDLVCDVSLASLPGDNVKQNTHLLNDVCVPSKKVKDCYISYAQLAEDWISKSEHILQMPFLDRSYATALEEAEQFLWGDHGMDSVRNVTLRLKEAMNWALGVRKCLSKIENFLKDSCSEKVNYVEIEELVAMRCIPCCEPGLTKLQAYAEKGKMLMDEVNIALSSRLTVDKLETLYSRISEFPVKLTESSTLFREISSAKSWLKKASDCLEQNKLGTIDIDVLNKLKLETIQLRVLLPEIDLILKLWKDAESWQLRCQLYLQDLPGLKELEGFLLAADGAKFSIPELNLLKQHYSNGCSWVNRAKNMLGKLHARSDYHNVVDELISILKDVEILGVKGMLFDELPIIERELKRSLCRKQASEALATVMSLEVVEEVLKEASILTIEEEQPFLDLSRMLKEATAWEEKARLILEQSASLSEYEDHMRCSEDIRVILPSELRLKAEIDNAKLWVDKCQSYLRPRCNKLAFGGMLKVEDIKELINQAATLKVILDTSALNSVLNIVENWEGNSLSLLCNLRTLLHLNHIGYTVDPLKRNLEELQDKMNTEIESGLSLGFEFRVLDELKDSLLVLRWILDVLSLCCVIPSLQDVDRLIEAAVHLPGSLSECSLVTLLMRGLSCLRKALTLLPDPETSAKSKLEDVENILAEFKEIDVPYPIITAKLEDAVNKHTSWTEQCNTFFMLPDGQSWARLLSLRDNGQSIAFHCPEMDKVFVEVKKVEEWLNRCHCTLFLDGDNSSLLSILSKIRGSLDDVCMLYAEDCVKKGFCAICSCDMGGSLATRCVTCQDWYHDSCMENLPTSTQMTSEWICPFCSLLQSENLLENQIHVKMSKGNCPALTSLNELLSSAKGFYAGIEEINLLEEIVQKACNMNAYLMQILNDSDSYHGEDLTVICKSLLVALKATSASGLYDHLVSCKIESMLSRYLWKKQIHKLLFGGKKTSIKEVLRLDKEGSHLEICDQDFFKLEISKIKESSLQWLAKAEKVECDSGELALDLVYGLIAEGENLCVNFEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCINLLGPPPDTFFCPACHPNNGEESISLPRTDHDEDRSSTGGGCPPHTPPASCDEPDRVVEANKCEKREKSQIRVDLTKILRCHSEIDSSWRESKRVPHRTARRRSSFVGLL >PVH47195 pep chromosome:PHallii_v3.1:4:16935:31618:1 gene:PAHAL_4G000400 transcript:PVH47195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGLPLAASAAGTVPEAPVFHPTEEEFADPLAYVARIRPLAEPYGICRIVPPSSWSPPHALDFTSLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLKASSAHRRGRRKGLPKSPALSDGRPVDLCRLFHAVKRFGGYDGACEGKRWGDVARLVDDKAPTHISECAKHVLAQLYYEHLYDYEKFTNRLVSQELDGRKGEQPDVGSDYQPSVSGSQDEESNSSDTRELVEEFSGVRNRNRRNAFRKKAGEGTSHGRYGSVCNITGNSAASAGSWKRKRRKFDAAVTVVNDVSAGVWKRKRRKSVTGATVFNEAADQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPSGNWYCSDCLNSDRDCFGFIHRRKPCLLETFRRFDERLRKRWFGPRNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRPSDPVPSSVDLETWQKYCLSPWNLNNFPNLPGSVLRTVRDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRQVLPDLFDAQPDLLFHLVTMLNPSILRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGADLYRLYRKAPVLPHEELLYVVAKNGVDAESLPHLKGEIERLFINERRRREELWINGIVKSRPMLPRSNPNFIGSEEDPTCIICRQYLYLSAVSCNCRLSSYVCLEVKDCYISYAQLAEDWISKSEHILQMPFLDRSYATALEEAEQFLWGDHGMDSVRNVTLRLKEAMNWALGVRKCLSKIENFLKDSCSEKVNYVEIEELVAMRCIPCCEPGLTKLQAYAEKGKMLMDEVNIALSSRLTVDKLETLYSRISEFPVKLTESSTLFREISSAKSWLKKASDCLEQNKLGTIDIDVLNKLKLETIQLRVLLPEIDLILKLWKDAESWQLRCQLYLQDLPGLKELEGFLLAADGAKFSIPELNLLKQHYSNGCSWVNRAKNMLGKLHARSDYHNVVDELISILKDVEILGVKGMLFDELPIIERELKRSLCRKQASEALATVMSLEVVEEVLKEASILTIEEEQPFLDLSRMLKEATAWEEKARLILEQSASLSEYEDHMRCSEDIRVILPSELRLKAEIDNAKLWVDKCQSYLRPRCNKLAFGGMLKVEDIKELINQAATLKVILDTSALNSVLNIVENWEGNSLSLLCNLRTLLHLNHIGYTVDPLKRNLEELQDKMNTEIESGLSLGFEFRVLDELKDSLLVLRWILDVLSLCCVIPSLQDVDRLIEAAVHLPGSLSECSLVTLLMRGLSCLRKALTLLPDPETSAKSKLEDVENILAEFKEIDVPYPIITAKLEDAVNKHTSWTEQCNTFFMLPDGQSWARLLSLRDNGQSIAFHCPEMDKVFVEVKKVEEWLNRCHCTLFLDGDNSSLLSILSKIRGSLDDVCMLYAEDCVKKGFCAICSCDMGGSLATRCVTCQDWYHDSCMENLPTSTQMTSEWICPFCSLLQSENLLENQIHVKMSKGNCPALTSLNELLSSAKGFYAGIEEINLLEEIVQKACNMNAYLMQILNDSDSYHGEDLTVICKSLLVALKATSASGLYDHLVSCKIESMLSRYLWKKQIHKLLFGGKKTSIKEVLRLDKEGSHLEICDQDFFKLEISKIKESSLQWLAKAEKVECDSGELALDLVYGLIAEGENLCVNFEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCINLLGPPPDTFFCPACHPNNGEESISLPRTDHDEDRSSTGGGCPPHTPPASCDEPDRVVEANKCEKREKSQIRVDLTKILRCHSEIDSSWRESKRVPHRTARRRSSFVGLL >PAN22515 pep chromosome:PHallii_v3.1:4:1532187:1533068:-1 gene:PAHAL_4G024500 transcript:PAN22515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYQQVQQQQGGRASNKIRDIVRLQQLLKKWKKLATVTPSASGSGKGGRSSVPRGSFAVYVGDEMRRFVIPTEYLGHWAFAELLREAEEEFGFRHEGALRIPCDVEVFEGILRLVQGRKKDAATAAMCDCSCSSETEILCR >PAN23863 pep chromosome:PHallii_v3.1:4:10434951:10435676:1 gene:PAHAL_4G125900 transcript:PAN23863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALAMDKISIILVLLASSYGAVLQGHARPVLSSDNALLQRNDDETASVGATRNFTYLFEVQTGDMRLAGTDSQLTFTFSDTDSNSFELVYDGNGEIYQQFFERGQYNYDEFTKDIFMKPCRLKIKTDGRGAAPSWYCEWVKISVWGQRFEDHYEHRFIVQHWIGPNDPDPSELTVNDCNKASMASAKKNMPSSFSII >PVH48052 pep chromosome:PHallii_v3.1:4:41579266:41581795:1 gene:PAHAL_4G228500 transcript:PVH48052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRAKFPANKPQKHRPVPAEQPLHPYEVERLQQCMRNRARLKEFGIHDLVDVLSNANSIAHKKNKLNCRNRENSEDEYDPTNNDTDEEDLLDDDTPEMLIPPILLQINMVVTKKTAAMRPEAIKTRSKRVFAEPQTTRSTRSKKTTSYRDASLAPNEIFVPSSSLSHGSQVEEVGNFANVPALFDDNNHMTNELHAITPSDGHNQMGNEDVQLVENDRRDRGVNMGHGLEKMSRAMHGKLPIVIPEGGIRPVAPFAAAKFATECNIAVRNHMPVLKHWKDYKKNSALLQQFRGTLKAMFDINTNDASVQKACSAMMKNAIRQQRHRLKKQYFDPFPLHLVSKSSPIKSMTDEQWNDLVESWKRPKKMETCQKNKNNRSNVKHHHTTGSRSYPVHVENLGDKYIDHEPDALELFKECHYSKKKKV >PVH48269 pep chromosome:PHallii_v3.1:4:48375907:48376555:-1 gene:PAHAL_4G294200 transcript:PVH48269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPCAAGPGGRRAAGLRRARGRGLRWSGGSRTPGVACLPPSLTGSAGRTQLARQQGCFQKKGRRSTRTATGLLINLAVSLALMIWVRQQCANAHACVCPCPAVLPTCARSMYPGLSSTLFLSFR >PAN26173 pep chromosome:PHallii_v3.1:4:52399591:52402999:1 gene:PAHAL_4G353200 transcript:PAN26173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSSEQPDAAAPGAGAISRVLIVMAMEKEAMPLVNKFKLVEAPARESIFPKGAPWTRFYGIYKDLHLDLVMPGKDAVFGVDSVGTVSAALVTYASIQALKPDLIINAGTAGGFKAKGASVKDVFLASDVAFHDRRIPIPVFDMYGIGARKTFAAPNILNELNFKLGKLSTGDSLDMSPQDEEAILSNDATIKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGDKPTAEEFLNNLISVTAALDVAVTKLVDFICGKRISDL >PAN24674 pep chromosome:PHallii_v3.1:4:44087304:44088214:-1 gene:PAHAL_4G243800 transcript:PAN24674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAMSSQLLVLLCMVLVSLAIILQGACAARDLTHTMRASNYGGGYGGYHGGMSDSYGTGY >PVH47989 pep chromosome:PHallii_v3.1:4:37474035:37474769:-1 gene:PAHAL_4G210100 transcript:PVH47989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREHIAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLHVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIEKLTQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRYLPIKKRSIKTEEESP >PVH47265 pep chromosome:PHallii_v3.1:4:1296393:1297148:-1 gene:PAHAL_4G020000 transcript:PVH47265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCFTVMPVTAASTVNVDRVSWINGTNMLLVYLLLLASEVGQLILFWQLLGVLLPSGFHESDLILSSVNNWYC >PAN22264 pep chromosome:PHallii_v3.1:4:441208:445191:1 gene:PAHAL_4G007500 transcript:PAN22264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLRSENYHQGAAMEGVKFAPEMANTNRRALSDIKNIIGGPHQHLAVSKRGLSEKPVAAVNAKDQAGFVGHRPVTRKFAATLANQPTTAHLAPIGSERQKRNADTAFHTCADMESTKMADDDIPQPMLSEMNEAMSCELKEIEMEDIEEAAPDIDSCDAGNSLAVVEYVDEIYRFYRRTEGSSCVPTNYMSSQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLALENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRIVNTLKFNMSVPTPYCFMRRFLKAAQAEKKLELLSFFMIELSLVEYEMLKFCPSMLAASAIYTAQCTINGFKSWNKCCELHTKYSEEQLMDCSRMMVELHQRAGHGKLTGVHRKYSTFRYGCAAKSEPATFLLDARA >PAN23945 pep chromosome:PHallii_v3.1:4:28555670:28558079:-1 gene:PAHAL_4G186000 transcript:PAN23945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPNSGIPIKAEQDSDGSAQSTADMTAFVQNLLMQMQTRFQAMSENIISKIDEMGMRIDELEQSINDLKAEMGSDGVTTLSKTKDEGSKPADSSA >PVH48491 pep chromosome:PHallii_v3.1:4:51534398:51535869:1 gene:PAHAL_4G340800 transcript:PVH48491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACVSSAQRWVMNSPPAASFSAWISTLLDGARKRMNSAQKSASTFHLRASGTTPLSSLPSLPPSSRIVQLLSLARAIIVSFSHLLLTVSHPVRLFRASMTTASKMRWVS >PVH47926 pep chromosome:PHallii_v3.1:4:29567318:29572426:-1 gene:PAHAL_4G188500 transcript:PVH47926 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBX2 [Source:Projected from Arabidopsis thaliana (AT5G21040) UniProtKB/TrEMBL;Acc:A0A178URR1] MAFDCNKARGVSLPNNRSSICTEGTIIQANPLSHYWKAKGWKSRDKLGNQKSSYGSIPRDSNTKKADEASGEATASTCGIRCFTDLPAALVCEVLARLDAKELGIVSCVSTLLHTLATDHQGWKKLYCERWGLPNLPATLNGPVVPGSPLDGKSWKTFFVEREFRSKSFMGKCNVDVLRGHNEDVRAVFLLASANLIFTGGRDSVVRMWNMEEGLLIDSSHPLGGTIRAVAADTRLLVTGGTNSYIQCWRAVDGNVHLFHISGNGTDQTSEFRLWGHEGPVTCLALDSLRIYSGSWDMTVRVWDRTHMECVQKLMHADWVWDLAPHGNTIASTAGRDAYVWDIRNSELTSLISNAHVGNTYSLARTHLANVLFTGGEDGAIRLFNVSDVSDDDEDSKPVATWVPHSGPVHSLAFEYPWLVSASSDGRIALIDSRKLLTPKKSSKGPFSVKSFDASAIEPPQRMLHGVGCDLFSIAIGADRIVCAGEDGAVRVWNFSEALEIERRAQALRSLRQENRMRRRKAQAEMNANGRRPDQCSIAMKKNQLKGDKSVT >PAN23964 pep chromosome:PHallii_v3.1:4:29567017:29572318:-1 gene:PAHAL_4G188500 transcript:PAN23964 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBX2 [Source:Projected from Arabidopsis thaliana (AT5G21040) UniProtKB/TrEMBL;Acc:A0A178URR1] MAFDCNKARGVSLPNNRSSICTEGTIIQANPLSHYWKAKGWKSRDKLGNQKSSYGSIPRDSNTKKADEASGEATASTCGIRCFTDLPAALVCEVLARLDAKELGIVSCVSTLLHTLATDHQGWKKLYCERWGLPNLPATLNGPVVPGSPLDGKSWKTFFVEREFRSKSFMGKCNVDVLRGHNEDVRAVFLLASANLIFTGGRDSVVRMWNMEEGLLIDSSHPLGGTIRAVAADTRLLVTGGTNSYIQCWRAVDGNVHLFHISGNGTDQTSEFRLWGHEGPVTCLALDSLRIYSGSWDMTVRVWDRTHMECVQKLMHADWVWDLAPHGNTIASTAGRDAYVWDIRNSELTSLISNAHVGNTYSLARTHLANVLFTGGEDGAIRLFNVSDVSDDDEDSKPVATWVPHSGPVHSLAFEYPWLVSASSDGRIALIDSRKLLTPKKSSKGPFSVKSFDASAIEPPQRMLHGVGCDLFSIAIGADRIVCAGEDGAVRVWNFSEALEIERRAQALRSLRQENRMRRRKAQAEMNANGRRPDQCSIAMKKNQLKGDKSVT >PVH48003 pep chromosome:PHallii_v3.1:4:38611116:38611870:-1 gene:PAHAL_4G214900 transcript:PVH48003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWLAVARLFGLWGRAACGPPARGGDVPRIAVRARAYHCCRAPVLDRGAVRPGARPPAPARVPRRGVAPAHALLPSRARIPDLHRRGLPLSGPAAASRAITRSCRPACFTRSWRKTERGH >PAN24649 pep chromosome:PHallii_v3.1:4:43621465:43622937:1 gene:PAHAL_4G241700 transcript:PAN24649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNKSSPAADKTAANEIAIDHPLKIRIYKNGRIEQVLRSPFVPASEDPGNTGVATRDVAIDREAGMAARLFLPTGAVAAGRRLPLLLFFHGGSFAAVAAESAFCRTYHRYATSLAARARALVVSVEYRLVPEHPMTAAYDDAWTALRWAASSADPWLLYHADRRCTFVAGDGAGGDIAYRTAVRASRDGEDIDIDGLLLIHPYFWEPEWPPSENTGQCHGGFLTTPQVAATVSLPCRRALVAVAEKQGAVREPGRRSAARMRGCWWRGELTIVELNGEDHGFFHMYGPASASTERFMDTVVEFVNKKEHDQGSIMLHGKKEVAQSLSNGPCKAVSAEVPRGAVTKSCL >PAN26131 pep chromosome:PHallii_v3.1:4:52131559:52133531:-1 gene:PAHAL_4G349700 transcript:PAN26131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYPFQQTPLYLPHSSKPATPIHHRLGHGDPRADAASVSLRQGAPTDARGLRALIKALSVSSAAAAANEAAAAVHAHAAKLGLDRERTVRNGLIALYLARGDRAAAGALFQGFPDGRDVVSWTAMVTGHARLGFADEAVALFLEMAGGGCGVAVDAVATAAGFAACAEVRNLALAREAHRRVAAAEVTLDVVAWNALVDMYSKCGDVAAAHRWFRAMPASKTVVSWNTMISAFARAGEHGEALALFREMQRAGVRPDDATLVAVLGACAQLGALDTGRWVHAYMYRQLGRREADGVVGNALLDMYAKCGAVDQAVAVFDGMARRDVYTYASMILGLATHGRAEEALALFAAMRRAGVRPNAVALLGVLSACCHAGRVEDGLRHLDDMARSYGVAPGIEHYGCAVDMLGRAGRLDDAEALVAAMPVPPDALVRGSLLAACRARGDVERAERVMRRMNDDGDSGDHVLMSNMYASRGRHGRAVRVRKKMRRSNLTKEPGCSVIEIDGVVHEFQAVPANSIT >PVH47741 pep chromosome:PHallii_v3.1:4:12519985:12521421:-1 gene:PAHAL_4G137000 transcript:PVH47741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDWSTRRQLDFMLSINMARHTSRQHTRRQEADSRDRPQKEERDYITEEQVRHVRNQRSVSSHLLRKYQYQYQQRLQRETEEEEYERRTGKCLRKREDTRDHWHCPLPTLEDCPECNSQKPDTRSTSVFQRLGPGQPRREQDKSTHSAGNSEDEEDKYHRPCWVQWLRSLEEAEAQYLETLRKARLDLAEKVHRPQKAEANSSKKVWRPKKSKADVKTSADAHMLDLGPRPVIFEKPREKNYRDLKALYLKGYINGQPVSRMLVDTGAAVNIMPYSVLRKLGHSVGDLIKTNITLSDFNGQTSEAQGVLSVDLTVGGKTVPTSFFVVNSKGSYTILLGRDWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSVEVSHAAMSVWDTEDQEPISGVSLEGCDCVEATKNGVRLVLSTGLTE >PVH48117 pep chromosome:PHallii_v3.1:4:45234875:45236955:-1 gene:PAHAL_4G254000 transcript:PVH48117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSGAVATRSRSWTRSQRAMCKPRPGSDAAAPRPAAAPSSRRSASSSSSAKRRLAAAGDSSATAFTSSNSGAGPSGAGTASGQRRGATSSSSTSSASSGRASLAAARASLPDPPVLYPFQELAAATNSFLAKRAGGDAYWRCSLRRRDAALFQLPRRPGAAVDAAALARVGRYHHTSLARLLGACPAGAHLYLAYELPPGAATLAACLRSPRNPSFTALRTWVSRVQVAADVAQGLEYVHHHAGAVHGRVSPSAVVVSDPGLRARLTHFGAAEFAAPADAREAGESPYAAPGSSEPSREADVYAFGVLLLELLSGEEPARYRFDRGTKEFQRVSVLETAAAAAAGGSVRNWVDRRLGDSFPVAAAERLVEVALRCASPENRPDMTWVAGKVSKVYLESRAWEQKVQVPTEFSVSVAPR >PAN22521 pep chromosome:PHallii_v3.1:4:1568814:1576610:1 gene:PAHAL_4G025000 transcript:PAN22521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNIITRRLKVFSMALLIYFDYKAVQKRVQWVSTGKKSAMWAKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPFKEVRGTIEKELGKSMSDLFADFVLDPLATASIAQVHRATLADGREVVVKIQHDGIKEIILEDLKNAKSLVEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRTVSRNLSDRTDCGSGSISSAVDVLIPEVLQSTDKVLILEYMNGIRLNDNDSLEAYGVDKQKLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISKSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPQQAMDIATVFFRQSTTANEAKENIKALNDQRERNVKALQEKMKLNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSLTHGQIPNSQWIYDSPANSDLESKLRNYLLELGSDKILGIQICAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVNKEKLKYEETVANIWPNFGSNRKELIKVHHLLNHTSGLHNALGDVVKNDPLLICDWEETLNQIAKCTPETEPGLAQIYHYLSFGWLCGGVIEHASGKKFQEVLEEAIVRPLHIDGELYIGIPPGVESRLAALTIDTEELQKLSGIRAGPDIPQELLSNVAQMASGVPVLFNTLNVRRAIIPAANGHCSARALARYYAALATGGSIPPPHSADSKPPLGSHVHTPKFPTAPLKKKKGAGKKGVSSTGNLQDGSNTDKNGYSQLRTSDVDDDAAAAGSGSRIFSSDKILDAFMGVGEYESMVHPNGKFGLGFRRYTHSSSTLRCFGHSGMGGSTGFCDVENNFAIAVMVNKMSLGSVTRGIVRFILEELGLPVPDEFSVSGEKGPDMVLNLAQPQQQR >PVH47813 pep chromosome:PHallii_v3.1:4:19715653:19715847:1 gene:PAHAL_4G159400 transcript:PVH47813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein K [Source:Projected from Arabidopsis thaliana (ATCG00070) UniProtKB/Swiss-Prot;Acc:P56782] MLVMPNILSLTCICFNSVLCPTSFFFAKLPEAYAIFNPTVDVMPVIPVLFFLLAFVWQAAVSFR >PAN25875 pep chromosome:PHallii_v3.1:4:50899497:50904811:1 gene:PAHAL_4G330900 transcript:PAN25875 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MDTAFLRSPLARNLVYDEFAVLHSASYRFHPLRYSRCNPTNSTPGQTLSASPSRGLTQRVAALPNVDDFFWEKDPTPILDTIDAPIHLKNLSSKELKQLADEVRSEISFIMSTKCQPCGPGRSVVELTIAIHYVFNAPMDKILWDAGQHAYAHKILTGRRSLFHTIKQKNGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDINGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSCHSLLPKADGRPKMSVNAFSSAVSKIQSSKGFRRFREAAKGLAKWFGKGMHEFAAKVDEYARGMIGPHGATLFEELGLYYIGPIDGHNIDDLICVLKEVATLDSTGPVLVHVITGTEIDTGGNIRSEITPNDEGPPNSSHDLLKFLETGLSRTYNDCFVEALTAEAENDKRIVVVHGGMGMDRSLRLFQSSFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITNAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPRGAIVGTSGTLTYGNPLQIGKGEILVEGKEIAFLGYGEVVQRCLIARSLLSNFGIQATVANARFCKPLDIDLIRTLCQQHSFLITVEEGTVGGFGSHVSQFISLDGLLDGRIKWRPIVLPDRYIEHASLAEQLDLAGLTAHHIAATALTLLGRHRDALLLMK >PVH47262 pep chromosome:PHallii_v3.1:4:1278589:1279086:1 gene:PAHAL_4G019500 transcript:PVH47262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDRSSSMASILPTVCVRNCVPAACASCSAQGISTMRHPSRANTSRKASARARRAGQSPTRTLSNTKGRPAQPSRADSGPNMGCVQMMSNSSGSAPRRRFLGNSLTESTSAKRVSRRRRCTGSERTTASAERMEVARSSTSGWHSQRSCGSGKNRAPRVAAAAE >PVH48150 pep chromosome:PHallii_v3.1:4:45793889:45794498:1 gene:PAHAL_4G261800 transcript:PVH48150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLISLFIILFRIYMTALVYFCRVLLCFVPSVSFWDPSLVTRGCKSFHPVS >PAN25263 pep chromosome:PHallii_v3.1:4:47991719:48000242:-1 gene:PAHAL_4G287800 transcript:PAN25263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGARGASDRAGAARSPTTTTAIQSTIQSIKEVVGGHSDADILDTLRESNMDPNETAQKLLNQDPFHEVKRKRDKKKEVEQNPQWMKPHAQRIENERRAPNQGQMSGPSREFRVVRDNRVQHGAVENRPELGNKGSPNVQMSDRSVVQSGRNRSPATTSDGQITHQNVKHNFHSDTLHGKRDAQGATQKHAKPYLKNSQNEQHFPGSDPAHVPSNVRNAGGPVGSARRQVGVINSTRQPAGHLGSQMHALGSSYANNQRGNFSSVGTSGRHSAFMSRNIHQNQRPDTMFRGRPTGRSFVAQNINRYHQGPTSNQKAVQPIKEWKPKSTKKSPTTDADTSVADAVSPSASITENANASVVNGLSDKLSQSNLHEVEHVIIPEHLRVPEYEQTKLRFGSFTSGFDSEQVPTSTSPDSEPSEHVQEPVQQVSEDDSLHAGHDDVDGQARSSQHLSTSTAEISLPPSEDSDRISGQVENDDGLGLVQSDTPIGAADGESTQITTTLTAFSTYSHEDPNMHSNNEAQLYGLVEPNVHQQVLASSSQGYPSENPEADNAVQVFRMPDSNVHSQVLPSTSEALNPQIVSNSPFTISSQQQHMSQQQAAAQMYPQMHVQHFPNFMPYRQLYSPVYPVPMPNYSPNVPYPSNGNNYLQMPGGGSHLTAGGMKYGVSQYKPVPAGNPSGYGNYTHPAGFTIGSPGVIGAAVGVDDVNRMKYKDNNIYASTPQVETSDIWIQTAREIPTMQVPPYYNISGQATPGAFVPNPANASFNATAQSSHAQFPGMYHPQQPPSIVSPHPMVHQQVPSAIGPNVGVGVAAPGPQVGAYQQPQIGHMNWRPSF >PAN25264 pep chromosome:PHallii_v3.1:4:47991773:48000242:-1 gene:PAHAL_4G287800 transcript:PAN25264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGARGASDRAGAARSPTTTTAIQSTIQSIKEVVGGHSDADILDTLRESNMDPNETAQKLLNQDPFHEVKRKRDKKKESSGQKSFADSTAQVEQNPQWMKPHAQRIENERRAPNQGQMSGPSREFRVVRDNRVQHGAVENRPELGNKGSPNVQMSDRSVVQSGRNRSPATTSDGQITHQNVKHNFHSDTLHGKRDAQGATQKHAKPYLKNSQNEQHFPGSDPAHVPSNVRNAGGPVGSARRQVGVINSTRQPAGHLGSQMHALGSSYANNQRGNFSSVGTSGRHSAFMSRNIHQNQRPDTMFRGRPTGRSFVAQNINRYHQGPTSNQKAVQPIKEWKPKSTKKSPTTDADTSVADAVSPSASITENANASVVNGLSDKLSQSNLHEVEHVIIPEHLRVPEYEQTKLRFGSFTSGFDSEQVPTSTSPDSEPSEHVQEPVQQVSEDDSLHAGHDDVDGQARSSQHLSTSTAEISLPPSEDSDRISGQVENDDGLGLVQSDTPIGAADGESTQITTTLTAFSTYSHEDPNMHSNNEAQLYGLVEPNVHQQVLASSSQGYPSENPEADNAVQVFRMPDSNVHSQVLPSTSEALNPQIVSNSPFTISSQQQHMSQQQAAAQMYPQMHVQHFPNFMPYRQLYSPVYPVPMPNYSPNVPYPSNGNNYLQMPGGGSHLTAGGMKYGVSQYKPVPAGNPSGYGNYTHPAGFTIGSPGVIGAAVGVDDVNRMKYKDNNIYASTPQVETSDIWIQTAREIPTMQVPPYYNISGQATPGAFVPNPANASFNATAQSSHAQFPGMYHPQQPPSIVSPHPMVHQQVPSAIGPNVGVGVAAPGPQVGAYQQPQIGHMNWRPSF >PAN24870 pep chromosome:PHallii_v3.1:4:45544460:45546770:-1 gene:PAHAL_4G258200 transcript:PAN24870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRAHAMLFPFPCSGHINPTLKLAELLHARGVHVTFVNTEHNHERLRRGAAAAGLRGREGFRFEAVPDGLSEEDRRSPDRTVRLYLSLRRSCGAPLVALARRLAEREGAPPVTCVVLSGLVSFALDAAEEIGVPAFVLWGTSACGFVCTLRLRELRQRGYTPLKDESYLTNGYLDTAIDWIAGIPPVRLGDVSSFVRTLDPQCFALRVEEDEANSCARARGLILNTFEDLEPDVLDALRGEFPRVYTIGPLAAAMHAAQGSGHGGAGPAGLSLWEEDAACMAWLDAQAPGSVLYISFGSLAVLSPEQLAELAWGLAASNRPFLWVVRPGLVAGDRGADALPEGFLAATRGRCFIAAWCAQEQVLRHRAVGGFLTHSGWNSTAESIWAGVPMVCWPGFADQYINSRYTCGEWGVGLRLDEALRREQVAAHVEELMGDTGRAREMRRNAARWKAAAEAATAPGGSSYESLGRLVEELRLGDDDALAAAAAQDGR >PVH47871 pep chromosome:PHallii_v3.1:4:24784968:24785821:1 gene:PAHAL_4G174100 transcript:PVH47871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKGDSHMMAIAWPYKKVKVSKASKSSLVLEAVLEEMLGRMVEAVCKLEEKCSCNLLCLLYFGVPGDECFAQA >PVH48142 pep chromosome:PHallii_v3.1:4:45719029:45719937:-1 gene:PAHAL_4G260500 transcript:PVH48142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADRSEPQLAFGSDGFGVFLARSRACDGPSSSYDCLAVSCSSGSALVWWMLQAAESVPGCFKMKPC >PAN22668 pep chromosome:PHallii_v3.1:4:2246919:2250638:-1 gene:PAHAL_4G035700 transcript:PAN22668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSLLPQSQLRRSSARPSGGGGAAGGDGAAADGGGAGARAPASSTFWFLLHAFCCLISLFLGFRFSRLLFVLLFSTTALYHSTTSSSSAAVLRATTTTTTTTTTTTTTTNTFTLSFAAANPPPSNPANRTALEAAADKGATSGNPQSHVVVGRHGIRIRPWPHPDPIEVMRAHQIMERVQEEQRRWYGVKEPRQVLVVTPTYTRAFQALHLTGLLHSLRNVPYPLTWIVVEAGTTTNATASLLARSGLTFVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGAADQPRFSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEVEGKPDWVKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLDIVVPAKRTPWPETTTELPSELLDDKQDQEDRRLSRANKSSRPRSTTKRKGDLQGKEN >PAN25116 pep chromosome:PHallii_v3.1:4:47165022:47168351:-1 gene:PAHAL_4G276600 transcript:PAN25116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLAPAALPALLLLALSACATPARGGDDYTAFVYAGCSQARYDPGSQYAADVDTALSSLVNSAGYTAYANYTSPSAATGLAGVYQCRSDLPAAVCGGCVKSAASKLSSLCNAAAGAAVQLRACFVRYGNDSFLGKQDTTVLFKKCGGESAGDTGVVAMRDAALGALVAASAPADEGSYRAGAAGYVQAMSQCVGDLGAKACSDCVSAASSQLKAGCGYASAGEVYLGKCYARFWSNAGGGSGSSGVPVGGGAGGTGTSNGVGGAGGVGGASNGYAYGGFVPNTYGQHDESGKTLAIIIGLVAAVAIVIVLLSFIRRAGGVGGKS >PVH48037 pep chromosome:PHallii_v3.1:4:40961526:40962017:-1 gene:PAHAL_4G224700 transcript:PVH48037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESESSREPTPEYDPIAAYEVRAPLHWDTEEWDFRYQSEDDESLTDGEDLALLLGAEMEEDEDDTSWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSDDSSDSSAGAEGDDSFTSNDGGDDDDSGSDTDDSGPSITPSPKRRKTSGVYWW >PAN23217 pep chromosome:PHallii_v3.1:4:5040544:5042530:-1 gene:PAHAL_4G075400 transcript:PAN23217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIPKSRARQLVMQPLNCLSFLLGLAILSATLGPFVTIAHRELLTVTGSKRGAEIKLDLSVDKTSTDEDVRSNVLTGRKLVSGDAVTEQKDANNSGSKTSSGEIKNYPTNSHAPSNLKDSSSSRMQAGPSMKRMKPEGSTSVIVLNMPNPQHIRTLPSKHSAMNSNAGFKQKLRDSIVRSTLHRINEDSKEKMLEASDEVLKFLNRDYHASPHKRRPVHN >PAN25938 pep chromosome:PHallii_v3.1:4:51228861:51233744:1 gene:PAHAL_4G335600 transcript:PAN25938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTIASVKARQIFDSRGNPTVEVDVGLSDGSFARAAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNSIIGPAIIGKDPTEQVDIDNFMVQQLDGTSNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTVVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIEKAGYTGKVVIGMDVAASEFFSEKDKTYDLNFKEENNDGSNKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWSTYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >PAN25407 pep chromosome:PHallii_v3.1:4:48728029:48729351:1 gene:PAHAL_4G299000 transcript:PAN25407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLEEDLYPSTPGKVKVERAGSMSRHVHRCFASTGTMFLWALFLVAMTATYLSVHSFVDTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCALALRKRGDGVVGIDNFNSYYDPSLKKARRALLRSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQPAIVWASSSSVYGLNDRVPFSEAHRTDQPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYINDIVRGCLASLDTAGRSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVSILERYLRVKAKKNVVEMPGNGDVPYTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHTFRNS >PVH47906 pep chromosome:PHallii_v3.1:4:28290520:28296454:1 gene:PAHAL_4G184300 transcript:PVH47906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSEAQARKASLAESVLAAISELMSSAAAIDVEPENFMDVGSHLHHATPGTMELQKAQNSPTNTLHVMEYLAANVDLAKDLVAKCSAIAQKLMDDDLLGITEDLDNVIKNISNELNKIPVSTFASSRFAEPAVSGHLQVVRNRHDLYDQHSCDGYSEGDMSMVVAIERPRRRTLHNSDMPRLVDFLQGMYQESHEFGGQSFNSLPEVAEYVEPLYDSFFCPLMNKVMIDPVTTESGVTYDRRAIEDYFEKFTDSSEPVICPVTKMAMQSKTLRNNIPLKSTIAEWITRNEATRVRIARTALSMATTEAMVLEAIHELKVLARLRRKNRDQMHKIGITKFLARLLDHKDALIRCDSLDLLCLLVEDDAGKEIIAKTRAVSRTIKLLSSSSTDERHSAICFLVELSKSELLLENIGSTAGSILILTTMKFNGSDDPIAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELIQKQEMTINIAGSASEILIKMVHSGNTAIRKAALDVLVQISSHHPNGKTLVDAGAVPVMVEQLFIRKIDDEPMGSKTEAATVLANIVESGLDPEAIVVNKEGHVITSKYSVYNFAHMLKCSMPDTLNLSLVRVLLALTTLPKPLTTVVSVMKEQDSSQTVIELMGSLSELLGIAATRLLIALSPQMGHTIAEKLCKAPGQPGELVKSIGLHGRITERHAMSATLLAKLPYQHIALNLALLNRGAMTTMLAKIDEMQRGETRASRYAKAYMEGLVGVLVRLTTTLYDPDVLLAAMDHNLTSVLTDLLVRSAGSDEVQRLAAVGLENLSSQSPNLSQPPTEERRPKKKNILRRLREAHAGRVHDNRRPPAHSRVCPVHRGVCSPSTTFCLVEAGAVEGLLCVLESNENGRVVEATLGALCTLMDDAVDVTSGVAVLAEHDAARHLLRALRQHREDGWGGSDGGGGTVARRCFWAVERFLAHGGERCVREVTSDRALPSLLVSAFHKGDAATKQVAEGVLRCLHRMPDYSATYESVEL >PAN23112 pep chromosome:PHallii_v3.1:4:4505291:4507084:-1 gene:PAHAL_4G068300 transcript:PAN23112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASTLLKSSFVGARLPAAPRAPSSVVVATPRAAGPICASISSSSPPYDLTSFRFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPSVSIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELDIAYDEAEDYVVIKHAALFTSTIMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMISAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTAQTASPAWREEFVMASKDDEVVDA >PAN24656 pep chromosome:PHallii_v3.1:4:43722199:43723123:-1 gene:PAHAL_4G242300 transcript:PAN24656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSDEALAIVVPILVYWVYSGMYMALGQSMDKYRLHPRKEEDSKNLVSKRDVVMGVLLQQLVQAAVAAVVFALTGDSSSSSTTAQDMRGGSPSSFLKLARQFAVAMAVLDGWQYAWHRYMHLNRFLYRHVHSWHHRLVVPYAFGAQYNHPAEGLLLDTLGGALAFLASGMSPRASIFFFSLCTVKGVDDHCGLWLPGNVFHLCFWNNTAYHDVHHQLRGSRFNFSQPFFVTWDKVFGTHMPYVLEERPAGGLQARPLMARAARSNGHEN >PAN22581 pep chromosome:PHallii_v3.1:4:1809679:1811346:1 gene:PAHAL_4G028900 transcript:PAN22581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVSLALLLGALLACSAAASFDQEFDITWGDGRGKIQDGGRLLTLTLDRTSGSGFQSKHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGSTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTTDFHTYSILWNPKHIIFMVDDLPIRDFRNLESKGVAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRAFRADACVAVAGGKTRCGASVGTEGAAGAAAPAGDWYNQELDLTLQQRMRWVQRKYMIYNYCTDPKRYPQGLPAECSMQQ >PAN26208 pep chromosome:PHallii_v3.1:4:52519576:52525501:-1 gene:PAHAL_4G355800 transcript:PAN26208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGANEGEQAAAREPPPPIILATSANALPPPATLDPRLFMAARRGDSKQLKDLLLLEDEEEEPAATAVDDTPSVEAAPQFVLEVDPGFPGFAPAAAPVPAPLPVVVLDGGGVTMEGDSLLHVVAACGDGEEFIKCAKMIVRDKERKGGAAAKRLVLEARNKNGDTPLHCAAGAGNAEMISCLVALANTADVKAFVRMRNQCGETSLHQAIRAANNNDDKVVCIDRLMAVDPDLACIPQDGEEGASPLYLAISLGEIEIARHMLINKKGRLSYSGPDGRNVLHAAVCRGQETTGIIDPLQPDGVLLMLLVKFHKEAAAQGDHRRMSSSSEPDLLWQLTSQRDELNGSTPLHLAASLGARPWNWLLCRLYPHQVWSWSGWHAAAELLDANVSTAYQADNGGSYPIHVAAWSGYVSVVHLLLKKCPDSATLRDGKGRTFLHVAAEAEEEEHDVVRYVCERPQYSSILNAQDKNGDTPLHRAVHAGNVTTFRYLIRNRQVRLDVANKDGLTPLDLACSLNPPGFQYALNPRSIIEKTLAFAGAPHGSVRPQLLYEKYIAKRDVDGESEKHTQATQVMSIVAVLIATVTFASAFTVPGGYRAEGTPVLAGTGGYAFDAFILADTLAFICSCLATFSLVYAGVPAMDLSIRTYYFNLSALLLQNAGRSFVAAFGLCLYLVLAPVDRMVAAAVCVVTFASLLLGNMEAWKITCLAITVRARAGVRPYALRTYAQAISSEVLVHFGSLIIIFGLPAIRKWARSTK >PAN22876 pep chromosome:PHallii_v3.1:4:3290272:3298340:1 gene:PAHAL_4G050200 transcript:PAN22876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MVVVDASEFGAEGFDPKQWINAALDARHPSEPLDRFLADAEERLRAAADDAAAALERDSGDALRRVPLACRDALRLRDDAVALRGHLASVLQSLNLAEGSSAESIAALAQIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKVDAVQDLRGILIRIERFKSLEAQYTKIHVKPLKKLWEDFDLKQRASRVDMEKLGGDSINGLSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFVSRVNIATGDVVPETRSVSKGILDVLSGDLPKSTKLQNKHLQALIELHNMTGTFARNIQHLFSESDLAVVLNTLKAIYSPYETFKARYGQMERAILSAEMAGIDIRGAVPRGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCIGLTGGTEADELVIALDDIMLQYISNLQEALKSLRIVCGLESDGLKKDSGLEKREAQRSVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSLSGFGSSLDKSPEAIADENADLPLGGRAALDIAAIRLSDLPDKSKKLLTVLEQSKDPRFHALPLTSQRVATFSDTVNKFVYDVLISKVRQRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGNEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRGLIKSEGGSQLDLPTAHLVCKIRRISLD >PAN24753 pep chromosome:PHallii_v3.1:4:44831709:44852209:-1 gene:PAHAL_4G248900 transcript:PAN24753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKNSEGGGPWLSSRNNFLGRAVWEFDAQLGTPEERAEVERVRREFTEHRFERRESQDLLMQMQCAKQHRLQVQHPVPKLDEGDEVTEEILLTSLKHVVSQHSALQAENGHWPGDFGGLMFIMPILIFALYVTKSLNAVLSSEHRQEICRYIYNHQNEDGGWGTQVLGPSTMFGSCLNYITLRLLGETAEHEAVAKGRSWILLHGSATAMPQWGKIWISVIGLYDWSGNYPVIPELWLVPHFLPFHPGRFWCFCRMVYMPMAYLYGKKFVGPITHTILSIREEIYSEPYTKVDWSKARTSCAKEDLHYPRSQLQNALWASVNMFVEPILNSWPLNILRERALKNLMEHIHYEDESTKFIGICPITKALDMICCWIENPNSKAFKQHLPRIYDYLWLAEDGMRAQVYDGCQSWETAFIIQAYCETGLVAELGPSLRRAYDFINKSQILENHPNSESYYRHRSKGSWTLSTADNGWSVSDCTAEALQALLCVSKASPNLVSEQMQAQNMYDAVDCLLSYMNKDGTFSTYECKRAPFWLEVLNPSESFRNIVVDYPCVECTSSVLQALIMFGGLHPGYRTSEIEFCIRNGANFIESKQNKDGSWFGTWGICFTYGTFFAVKGLVAAGRTYEHSSSIRKACEFLLSKQRSTGGWSESYLSCETEVYVEGNSPHVVNTCWAMLALIYAGQVERDPTPLYRAAKELINMQLDTGEFPQQEHIGCSNRSIYFNYANYRYLFPIWALGALRNRLSNNNSNGGT >PVH48140 pep chromosome:PHallii_v3.1:4:45669438:45672656:-1 gene:PAHAL_4G259600 transcript:PVH48140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKLSAPVLSLSEMVRASVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >PAN24890 pep chromosome:PHallii_v3.1:4:45668890:45671624:-1 gene:PAHAL_4G259600 transcript:PAN24890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRASVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >PVH48357 pep chromosome:PHallii_v3.1:4:49883747:49888666:-1 gene:PAHAL_4G315300 transcript:PVH48357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRAVIMAHTLAAALALAAMWRSRGALLACSAADLAHVPVSRRVRGGYLMTEQRLQRLRSKDNLSTSRRDATIGAPGAALPGREMAASADADAGAGLRSEFLQVLLSRRRDLQVPLSVEKGSPVRNPIYQKPPGPMEAVPMESCPRKEVENFKEKLVEENFYLVTELGEQGRVPVLLLKLNDPVPKRKPIIVFLHSSYKCKEWLRPLLEAYASRGYICVAIDSRYHGERASNETTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFVDTRYSVTVPIIGVQGFRWAIDNNMWQARVNSIKPLFEEARIDLGKSEIDTEVVEKVWEKIAPGLDSQFDAPYSLPLIAPRPLLLLNGAEDPRCPIAGLEETSSRAAKAYEESGSAEKFMFIAEPRIGHQMTVNMVKKASDWFDRFLK >PVH48356 pep chromosome:PHallii_v3.1:4:49881123:49888897:-1 gene:PAHAL_4G315300 transcript:PVH48356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRAVIMAHTLAAALALAAMWRSRGALLACSAADLAHVPVSRRVRGGYLMTEQRLQRLRSKDNLSTSRRDATIGAPGAALPGREMAASADADAGAGLRSEFLQVLLSRRRDLQVPLSVEKGSPVRNPIYQKPPGPMEAVPMESCPRKEVENFKEKLVEENFYLVTELGEQGRVPVLLLKLNDPVPKRKPIIVFLHSSYKCKEWLRPLLEAYASRGYICVAIDSRYHGERASNETTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFVDTRYSVTVPIIGVQGFRWAIDNNMWQARVNSIKPLFEEARIDLGKSEIDTEVVEKVWEKIAPGLDSQFDAPYSLPLIAPRPLLLLNGAEDPRCPIAGLEETSSRAAKAYEESGSAEKFMFIAEPRIGHQMTVNMVKKASDWFDRFLK >PAN25647 pep chromosome:PHallii_v3.1:4:49882668:49888897:-1 gene:PAHAL_4G315300 transcript:PAN25647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRAVIMAHTLAAALALAAMWRSRGALLACSAADLAHVPVSRRVRGGYLMTEQRLQRLRSKDNLSTSRRDATIGAPGAALPGREMAASADADAGAGLRSEFLQVLLSRRRDLQVPLSVEKGSPVRNPIYQKPPGPMEAVPMESCPRKEVENFKEKLVEENFYLVTELGEQGRVPVLLLKLNDPVPKRKPIIVFLHSSYKCKEWLRPLLEAYASRGYICVAIDSRYHGERASNETTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFVDTRYSVTVPIIGVQGFRWAIDNNMWQARVNSIKPLFEEARIDLGKSEIDTEVVEKVWEKIAPGLDSQFDAPYSLPLIAPRPLLLLNGAEDPRCPIAGLEETSSRAAKAYEESGSAEKFMFIAEPRIGHQMTVNMVKKASDWFDRFLK >PAN25648 pep chromosome:PHallii_v3.1:4:49882666:49888897:-1 gene:PAHAL_4G315300 transcript:PAN25648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRAVIMAHTLAAALALAAMWRSRGALLACSAADLAHVPVSRRVRGGYLMTEQRLQRLRSKDNLSTSRRDATIGAPGAALPGREMAASADADAGAGLRSEFLQVLLSRRRDLQVPLSVEKGSPVRNPIYQKPPGPMEAVPMESCPRKEVENFKEKLVEENFYLVTELGEQGRVPVLLLKLNDPVPKRKPIIVFLHSSYKCKEWLRPLLEAYASRGYICVAIDSRYHGERASNETTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFVDTRYSVTVPIIGVQGFRWAIDNNMWQARVNSIKPLFEGLGKDSTGSGLSI >PVH48355 pep chromosome:PHallii_v3.1:4:49881122:49888897:-1 gene:PAHAL_4G315300 transcript:PVH48355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRAVIMAHTLAAALALAAMWRSRGALLACSAADLAHVPVSRRVRGGYLMTEQRLQRLRSKDNLSTSRRDATIGAPGAALPGREMAASADADAGAGLRSEFLQVLLSRRRDLQVPLSVEKGSPVRNPIYQKPPGPMEAVPMESCPRKEVENFKEKLVEENFYLVTELGEQGRVPVLLLKLNDPVPKRKPIIVFLHSSYKCKEWLRPLLEAYASRGYICVAIDSRYHGERASNETTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFVDTRYSVTVPIIGVQGFRWAIDNNMWQARVNSIKPLFEEARIDLGKSEIDTEVVEKVWEKIAPGLDSQFDAPYSLPLIAPRPLLLLNGAEDPRCPIAGLEETSSRAAKAYEESGSAEKFMFIAEPRIGHQMTVNMVKKASDWFDRFLK >PAN25683 pep chromosome:PHallii_v3.1:4:50112709:50117576:1 gene:PAHAL_4G318200 transcript:PAN25683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDYRGRPGSGSYGAAPGGGGGGPSLYPHVGQPSHGGGGSGTASPRAAPYHHGPGGGSGSSAPIVTPLAPTSTSSSKVGIHVAIKPEFRITPPPQLPPQMVEIPRSTFNFDFDYERRILAEAEKENPNWSKFVVERQAPPPVPQQQARPASSGSGDPVVDKYVAMGLGREAVSFAVLNYGDNPAKVKEFVKSYNILHEMGFTSPNVPELLAIHDNDPDKVIQRLLSSPS >PAN26282 pep chromosome:PHallii_v3.1:4:52893911:52896869:-1 gene:PAHAL_4G360500 transcript:PAN26282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPPPPESLPVGFRFRPTDEELVRHYLKPKIAGRAHPDLLLIPDVDLSACEPWELPAKALIRSDDPEWFFFAPLDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRRAGTLIGVKKTLVFHRGRAPRGHRTAWIMHEYRTAEPQLQQGQNGSFVLYRLFNKHEQEQEASDASDTPSTSSPAYPRPITPAVKSENLSRLATVETAHLLTTACTNNEPTAAQGGNLLLDVLAQLPDLQTEQTYDGFPTITSPMRPYTDHPFLGNVGGQDLSAYLDSIIAHHDLEDLLVCPSMAETVEHPTGNVEPNPTPLLIPSSSSSNNKRSTENSWANVDSERLLLIQGADGTDAAACCSSATKILQIDMGDANHDTGAQTNSSSSVSAQASHVYNQYQLQSAFIPEMEPPNSGALCSGGSFTPYPQHLFNNMVGPSRSDMADSDAFNGLEARAEPSMPQFTVSNFTDPHQGTAARRIRLVHSIQRASVTEPVLTSNLEGEDEAASWYSTGSSSTNSNEDYANAGGALHCQGGGVIPAQVVSSIEVTEELQDFIFDAECSSPHGGNLKRRLKQECIESSQGVGQHSVHVPGRRRQEAARIGSVVRLLCLALVAFLVFVGLAGLVAYMGI >PAN26280 pep chromosome:PHallii_v3.1:4:52893620:52896951:-1 gene:PAHAL_4G360500 transcript:PAN26280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPPPPESLPVGFRFRPTDEELVRHYLKPKIAGRAHPDLLLIPDVDLSACEPWELPAKALIRSDDPEWFFFAPLDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRRAGTLIGVKKTLVFHRGRAPRGHRTAWIMHEYRTAEPQLQQGQNGSFVLYRLFNKHEQEQEASDASDTPSTSSPAYPRPITPAVKSENLSRLATVETAHLLTTACTNNEPTAAQGGNLLLDVLAQLPDLQTEQTYDGFPTITSPMRPYTDHPFLGNVGGQDLSAYLDSIIAHHDLEDLLVCPSMAETVEHPTGNVEPNPTPLLIPSSSSSNNKRSTENSWANVDSERLLLIQGADGTDAAACCSSATKILQIDMGDANHDTGAQTNSSSSVSAQASHVYNQYQLQSAFIPEMEPPNSGALCSGGSFTPYPQHLFNNMVGPSRSDMADSDAFNGLEARAEPSMPQFTVSNFTDPHQGTAARRIRLVHSIQRASVTEPVLTSNLEGEDEAASWLEEHCIVKVEG >PAN22475 pep chromosome:PHallii_v3.1:4:1394195:1398815:1 gene:PAHAL_4G022200 transcript:PAN22475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVAARASAPGGAAVSTIAAFHSHASPSRALPRAVAAASSSSIGATRRFHHGPACCFAAKPTTPVAAELVDEDGSEPATASAASTQEAAKPRKKRRSRKAKKSATAELEEKDEGTDKPAAPAAPAEGEAKKRKKEAGAEENARALVAGLDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQISSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVNIVVGDVGDPATVKAAVSGCSKIIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNELAQLRAGKSSKSKLRIAKFKSGKSLNGWEVRQGSYFPNTFASSFDEGIDAAFEFSEDRKAIFSGFVFMRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKIGFCRVRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQNASDPRNFELILEYIKALPTGQETDFILVSCAGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGNELYELVAHLPDKANNYLTPALSVLEKNT >PAN23286 pep chromosome:PHallii_v3.1:4:5632145:5634565:1 gene:PAHAL_4G082100 transcript:PAN23286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLATAGPGAAAHPCLRTHGKEVAWLHLLDWIVLLLLVAMYGVLSLVQPFHRFVAEDMMATLRYPMKDNTVPGWAVPVIAIVVPMIFIIGVYVKRRNVYDLHHAILGLLFSVLITAVLTVVVKDAVGRPRPDFFWRCFPDGVPNYNNITGDVICHGDPRVIKEGYKSFPSGHASGCFAGLGFLSWYLAGKIKAFDRRGHVAKLCIVLLPLLLASMVAVSRVSDYWHHWQDVFAGGLLGLAVASFCFLQFFPLPYSEHGFWPHAYLEHIRRPAGESQVLSATNSNMHHQSLSLHLSGTCELRTSSQALGSMEEGSRDQ >PAN23288 pep chromosome:PHallii_v3.1:4:5632145:5634518:1 gene:PAHAL_4G082100 transcript:PAN23288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLATAGPGAAAHPCLRTHGKEVAWLHLLDWIVLLLLVAMYGVLSLVQPFHRFVAEDMMATLRYPMKDNTVPGWAVPVIAIVVPMIFIIGVYVKRRNVYDLHHAILGLLFSVLITAVLTVVVKDAVGRPRPDFFWRCFPDGVPNYNNITGDVICHGDPRVIKEGYKSFPSGHASGCFAGLGFLSWYLAGKIKAFDRRGHVAKLCIVLLPLLLASMVAVSRVSDYWHHWQDVFAGGLLGMHQIQFSYSPSAVTPMIPEEHAAIDSALYDSAGFWPHAYLEHIRRPAGESQVLSATNSNMHHQSLSLHLSGTCELRTSSQALGSMEEGSRDQ >PAN23287 pep chromosome:PHallii_v3.1:4:5632145:5634518:1 gene:PAHAL_4G082100 transcript:PAN23287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLATAGPGAAAHPCLRTHGKEVAWLHLLDWIVLLLLVAMYGVLSLVQPFHRFVAEDMMATLRYPMKDNTVPGWAVPVIAIVVPMIFIIGVYVKRRNVYDLHHAILGLLFSVLITAVLTVVVKDAVGRPRPDFFWRCFPDGVPNYNNITGDVICHGDPRVIKEGYKSFPSGHASGCFAGLGFLSWYLAGKIKAFDRRGHVAKLCIVLLPLLLASMVAVSRVSDYWHHWQDVFAGGLLGMHQIQFSYSPSAVTPMIPEEHAAIDSALYDSAGLAVASFCFLQFFPLPYSEHGFWPHAYLEHIRRPAGESQVLSATNSNMHHQSLSLHLSGTCELRTSSQALGSMEEGSRDQ >PVH47285 pep chromosome:PHallii_v3.1:4:1759688:1761717:1 gene:PAHAL_4G027900 transcript:PVH47285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFGPRLIVTIRYRVLCVNIWFATMDRDPNWGVIEKSWVASRGYLQFYSFSAMWFLASSRRRRPSSGSPAAKRIVLASVKSTRPAASTNPTSPCAGPPRRCFRGVAGAPRTRRRPAETARDPMQPRGGSRRTHAPVRPPPLRRWWRWPAMAGHLTYIHEPHELIASSTTASAGSPSGSAHLEVNFPEVLPTSSTTTSSLPPKVAP >PVH47478 pep chromosome:PHallii_v3.1:4:4814759:4815712:1 gene:PAHAL_4G072300 transcript:PVH47478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGDSSSNMAHRYHCHCHIHPALLPGSSSFFFSSSSSSGSDDDGAGTQPLQVRVEVPPVSSTSDGAAPLLEPTPRPDDAGSSPAVRTHSLSSSINRSASLQLVALGGLTRFACFLAVQACGLRLDLLRRACLLRALLLIRADTTRSGRCKYKHCLRELRSSLV >PAN22395 pep chromosome:PHallii_v3.1:4:1089709:1093498:1 gene:PAHAL_4G016900 transcript:PAN22395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 3 [Source:Projected from Arabidopsis thaliana (AT3G54340) UniProtKB/Swiss-Prot;Acc:P35632] MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPGTDIKTIFDRYQQAIGTSLWVEQYENMQRTLSHLKDINRNLRTEIRQRMGEDLDSLEFDELRGLEQNVDAALKEVRHRKYHVISTQTDTYKKKVKHSYEAYKNLQQELGMREDPAFGFVDNTGAGGWDGAVALGGPGAADMYAFRVVPSQPNLHGMAYGSHDLRLG >PVH48160 pep chromosome:PHallii_v3.1:4:46126677:46128426:1 gene:PAHAL_4G264700 transcript:PVH48160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERADSKTEDGRKGLPLLCYREEKEVSSGSNSDTKVVGAGQRARPEETALTFELVSQYFYMPIMQAARELNVGLTLLKKRCRELGIPRWPHRKMKSLQSLINNVQVLQEAGKATGEEQLRAVVEMLQQEKQLLEQRPYVQLEEKTKRLRQACFKANYKKRRLLAIEAGEAPPRRTHKY >PVH47406 pep chromosome:PHallii_v3.1:4:3604724:3605606:-1 gene:PAHAL_4G054600 transcript:PVH47406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFAHDAANGITLRGDNKGSECKFWIHDLNNQGELQETIRRSLRLKHYAILKPILCNESEELSKCIAACACAVYQEMFVEWRRTQQVKSSGDYLSDEEKSMIMALGGPMVQR >PAN25957 pep chromosome:PHallii_v3.1:4:51290332:51294498:-1 gene:PAHAL_4G336700 transcript:PAN25957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLRFTLPLLSLLMLLSAASARNAEDARALAALRRALDPAGRVLGSWNPAGDPCGGSFVGVTCDPAGRVTAVSLQGRGLAGSLPPAVAGLRRLQGLYLHYNGIKGPIPREIGKLSELTDLYLDLNHLTGPVPVEIAAMVNLQVLQLGYNQLTGSIPPQLGNLNKLSVLAMQSNQLTGAIPATLGELTQLRRLDLSFNNLFGSVPSKIAEVPLLEVFDIRNNTLSGSVPAGLRRLNGGFQYTNNKGLCGVGFSLLELCPSSEDGLKPSKPEPFGPDGTVKTREVPQSANPESCSGSHCSKSANGSEGVLIVAVVAVVIGAAFCGLFAFSWYRRQKQKIGSSLEVSDSRLSTDHFQQKEACRRSASPLISVEYSNSWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSDANLLGKSGFAATYRGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTLLRHDNLVSLRGFCCSRGRGECFLVYDLMVNGCLSQYLDVKDASSPSVLDWTTRVSIVKGIAKGIEYLHSKKSSKPPVVHQNISAEKILLDHNFSPRLSVPGLHKLLADDVIFSTLKASAAMGYLAPEYATTGRFTDKSDVFAFGIVVLQIITGKRDVSQLKVGAAAVSDLDNVVDGNLNGVFSRTEVARLAAVAAYCTSEAPSQRPTMEAVVQQLSH >PAN22509 pep chromosome:PHallii_v3.1:4:1488713:1493570:-1 gene:PAHAL_4G023900 transcript:PAN22509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFQGQNPENRRVHTSKSRPSKKKPCKVPESVEVHIIDDDDDDSTKDYSVGDASKQLVLYNPEITRDKQSDIDHHNSLRQSSKKPRYGYGTVLPSIGAYTVQCASCYKWRIVPTKEKYEELRESISQELFVCTRVSEWNRELSCDEPEDISQDGSRVWALDKPNIAQPPPGWDREVRIRVASTKFADVYYTSPSGKKLRSLVEIGRYLAENPHYIREGVNLSQFSFAIPKPLQEDYVRKRRLRDAHELPELPEIAQVDPLCWAAPPIRRELLAGPGSSTSYPAYSNQPEMPDPVDLHQPEVSEPPPQYHKKRNRKQVSSRKCQSNLPATSCPFEEQSGGYFIDIDHVAL >PVH47428 pep chromosome:PHallii_v3.1:4:4037486:4038109:1 gene:PAHAL_4G061000 transcript:PVH47428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERYISSTCVVLLPEGKQCSNVIKPVNSMSHINSSGVQFAYSYELPVLM >PAN26104 pep chromosome:PHallii_v3.1:4:52020369:52025408:1 gene:PAHAL_4G347800 transcript:PAN26104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTPLYRKYRDALRHVRSPAGAPSSSGGGGGGGPVIEMASLLRSDRTYAPLSTDDPSASSRGAVTVGLPPAWVDVSEEISANMQRAKMKMGELAKAHAKALMPSFGDGRDDQRAIEVLTHEITDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNINGTKSTFEDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >PVH48517 pep chromosome:PHallii_v3.1:4:52019418:52025408:1 gene:PAHAL_4G347800 transcript:PVH48517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAKMKMGELAKAHAKALMPSFGDGRDDQRAIEVLTHEITDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNINGTKSTFEDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >PAN23087 pep chromosome:PHallii_v3.1:4:4280978:4284650:1 gene:PAHAL_4G065700 transcript:PAN23087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAGAPRVRSLNIAAPEVEARPVLVPGGNKARSGPANARKPSPKPLRKAEPAAARTPEKPAAAAAKEEEGAKRNAVGGGGAGAPKGASPVPSPRRTPPGPPPRRNDAPPLQPSLPLSASCSSDASVESIRTRVFAGKAEKGRSWAKAVPKQGKSVGKVAESKLAGVDFVCPVTLEAGEGKRRCAWATPTTDPCYVTFHDEEWGVPVHNDRRLFELLVLSCALAELTWPEILKRRQLFREIFMDFDPVAVSKINEKKLVAPGSVAHSLLSEQKLRAVLENARQLLKIADEFGSFDQYCWGFLNHKHIVSKFRYQRQVPVKSPKADIISKDMMRRGFRGVGPTVIYSFMQAAGLTNDHLVSCFRFEECNATPTLSMSDIDRVNMKADLKKDEVATKICCEEIATNAEMPRTIDALIVS >PAN23086 pep chromosome:PHallii_v3.1:4:4280978:4284650:1 gene:PAHAL_4G065700 transcript:PAN23086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAGAPRVRSLNIAAPEVEARPVLVPGGNKARSGPANARKPSPKPLRKAEPAAARTPEKPAAAAAKEEEGAKRNAVGGGGAGAPKGASPVPSPRRTPPGPPPRRNDAPPLQPSLPLSASCSSDASVESIRTRVFAGKAEKGRSWAKAVPKQGKSVGKVAESKLAGVDFVCPVTLEAGEGKRRCAWATPTTDPCYVTFHDEEWGVPVHNDRRLFELLVLSCALAELTWPEILKRRQLFREIFMDFDPVAVSKINEKKLVAPGSVAHSLLSEQKLRAVLENARQLLKIADEFGSFDQYCWGFLNHKHIVSKFRYQRLQGSQMITWSVASGSKNAMPLQLLA >PAN25744 pep chromosome:PHallii_v3.1:4:50398774:50405816:-1 gene:PAHAL_4G322600 transcript:PAN25744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKPEGGDADGGASEVGSPRSGYFRQRSMHAAAAADPEAARRALDVENPPCSAGAGGAPGLRTSESVTKLESLERAERAALAPAVVLRTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKLIIFFQSKGPDSAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLENPSIKLLGIIFVISIGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDTYGLKNPITLMSHVTPVMAIATMILSLLLDPWSDFQKNSYFNNPWHVMRSCLLMLIGGSLAFFMVLTEYILISATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGVGLFTIMIGVSLFNWYKYEKLKRGQTNEDDVNSPQFTADAKYIILDDLEYQDEFGEEDT >PVH48554 pep chromosome:PHallii_v3.1:4:52585470:52586323:-1 gene:PAHAL_4G356700 transcript:PVH48554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGSSSRSRKQQAMTTLLLLLLLLLGVVVPPASACGGHPCPKPAGKCPVNAVKLGVCADVLDGLIHAEVGKPPKEPCCSLISGLADLEAAVCVCLAINANVLGVGLDVAVDLSLLVNYCGRTVPAGFQCA >PAN25745 pep chromosome:PHallii_v3.1:4:50411216:50412330:-1 gene:PAHAL_4G322800 transcript:PAN25745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRVAALVLLLALAVALVASPPPCAAAARVRLLADVPRAGGEAPGYDKTDGSATGSEVRGSPPEFDGGRTTAAAANAAARVLGSVPSPGVGH >PAN23001 pep chromosome:PHallii_v3.1:4:3863924:3867153:-1 gene:PAHAL_4G058900 transcript:PAN23001 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL5 [Source:Projected from Arabidopsis thaliana (AT5G57390) UniProtKB/Swiss-Prot;Acc:Q6PQQ3] MDMDMSSAYPHHWLSFSLSNNYHHGLLEAFSNSSSAPPLGEEGAVEESPKMEDFLGGVGGAGGAPPATTAEDQQLVCGELGSIAAGFLRQYDPAPGTVENPGAVTVAMSTDAAESDQARRPVETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVESILNSDLPVGGGAAGRASKFPLDSLPPASAAAMITGAASHAMPPSEKDYWSLLALHYQQQQQQQFPASAYEAYGSGGVNVDFTMGTSSHSGSNASSGVMWGAATGAMGQQDSSSSKQGNSGYGSNIPYAAAAMVSGSAGYEGSTGNNGTWVTSNTSTAPQYYNYLFGME >PAN25613 pep chromosome:PHallii_v3.1:4:49761371:49763225:1 gene:PAHAL_4G313800 transcript:PAN25613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELGLAPPNPHAPGGGGGGEFVGLLDGAPAGAACGKRAFGRAEKATLPLFVRDGDGGGDANRHSVDRETSNKRKRLVGWPPVKCAHRWSCGGGGYVKVRMEGVAIGRKVEISLHGSYGELLRTLGRMFPSANKGAGADAEGEAATQDGERRRGHHPYVVTYEDGEGDWLLVGEVPWEDFAKSVKRLKILA >PVH48351 pep chromosome:PHallii_v3.1:4:49762089:49762659:1 gene:PAHAL_4G313800 transcript:PVH48351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGFFAPMTEMHPRRTCRRKRLVGWPPVKCAHRWSCGGGGYVKVRMEGVAIGRKVEISLHGSYGELLRTLGRMFPSANKGAGADAEGEAATQDGERRRGHHPYVVTYEDGEGDWLLVGEVPWEDFAKSVKRLKILA >PAN23513 pep chromosome:PHallii_v3.1:4:6916170:6920810:1 gene:PAHAL_4G097800 transcript:PAN23513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHRRARLFSLVAAVVAAAALSVGPAAALSPDGKALLSLLPGAAPSPVLPSWDPKAATPCSWQGVTCSPQSRVVSLSLPNTFLNLSALPPPLAMLSSLQLLNLSTCNISGTIPPSYASLSALRVLDLSSNALTGDIPDELGALSWLQFLLLNSNRLTGGIPRSLANLSALQVLCVQDNLLNGTIPASLGALAALQQFRVGGNPALSGPIPASLGALSNLTVFGAAATALSGPIPEELGNLVNLQTLALYDTSVSGSIPAALGGCIELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGKIPPELSDCSALVVLDLSGNRLTGAVPGELGRLGALEQLHLSDNQLTGRIPPELSNLSSLTALQLDKNGFSGAIPPQLGELKALQVLFLWGNALSGTIPPSLGNCTELYALDLSKNRLTGGIPDEVFALQKLSKLLLLGNELSGPLPPSVADCVSLVRLRLGENQLVGDIPREIGKLQNLVFLDLYSNKFTGTLPAELANITVLELLDVHNNSFTGGIPPQFGALMNLEQLDLSMNKLTGEIPASFGNFSYLNKLILSGNNLSGPLPQSIRNLQKLTMLDLSNNSFSGPIPPEIGELSSLGISLDLSSNRFVGELPEEMSGLTQLQSLNLASNGLYGSISVLGALTSLTSLNISYNNFSGAIPVTPFFKTLSSNSYIGNANLCESYDGHTCTSDMVRRSALKTIKTVILVCAVLGSVSLLLVVVWILINRNRKLAGEKSMSLSGAGGDDFSNPWTFTPFQKLNFSIDNILACLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKAGKDEPIDAFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNYIPNGNLLQLLKENRSLDWDTRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYGYTSNITEKSDVYSYGVVLLEILSGRSAIEPVVGETSLHIVEWAKKKMGSYEPPVNILDPKLRGMPDQLVQEMLQTLGVAIFCVNAAPAERPTMKEVVALLKEVKSPPEEWAKTSQQPLIKPGSQQG >PVH47339 pep chromosome:PHallii_v3.1:4:2533710:2534155:-1 gene:PAHAL_4G040900 transcript:PVH47339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDDIFATISVDISYELGRCVDHYFTLLPICMNMMAGIVGNYLAELSCMNSVSSSGHSPKLFFLKFFFVRFVSALEGSVAMRTSKLPLLKVLRTVAGRIEPINLIATIEQLVISLQYIVLLF >PAN26239 pep chromosome:PHallii_v3.1:4:52641125:52643185:-1 gene:PAHAL_4G357400 transcript:PAN26239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPAASSSIQAALSYCVRQVRSYDYHHYLCLLHLPPAMRKAAFTFRAFNVETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQALSLVVSEHKVSKHWLKRSVEARINDANRDEGAIPETSAELERYAEDTQSTILYMTLQAGGIQSTVADHAASHIGKASGLLLLLKALPHHVNKQGVIPYIPANIAEECGLLTREGGRSEVRMDERLPDAVFKVASVAEAHLHKARELASSVPREAIPVLLPALPAQVLLDSLRRCEFNVFDSRVSRGVHGVSPLWYQLKLNWYSWRNKY >PAN25139 pep chromosome:PHallii_v3.1:4:47305942:47307495:1 gene:PAHAL_4G278500 transcript:PAN25139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDADIPTSPSADSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGAQAQQQRRAARAPAAGEEGAGGAQRAPREEEEEERRRGGVWRRRRRRRRRRGRSLGGSWWRLCRDHGDGCPPTSLGEFLDMERQLAGADFLCDGTGASGREAAAVATALFEDGRVRPPPSFAAAEERGRWRLLRASEGSSSLARLPVLLTGICSGGAG >PAN23919 pep chromosome:PHallii_v3.1:4:12185759:12186205:1 gene:PAHAL_4G135500 transcript:PAN23919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARISVCWIEGEPRTRWHCWLRHAVRRRGRPADHGVAAGGLWSRGSPRATTAPWPVPPPSPRLPWAPYPGRREERDKAEGEEEEDAAARGKEGVVTAREEEVAGGASRGGRGHVGARRRRYPPCSGEVRSQTRLGWTNMAQGNSWPS >PAN23745 pep chromosome:PHallii_v3.1:4:8594778:8596081:-1 gene:PAHAL_4G113500 transcript:PAN23745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLRGADQQRSFLVSEGASCILLQKNVWGWIFTTFAENAGCSHCLLLKVQLKHYSKPLLPRGSDREMFVIRRLLLDLFGS >PAN25245 pep chromosome:PHallii_v3.1:4:47842211:47849793:1 gene:PAHAL_4G286300 transcript:PAN25245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGRDDDDDEVEEEEDEEEAYDLDEEEEDEEDDYEEEARRGKASRGGGGGGGKGGGGRKRSREDNFIDDSAIEDEDEEDEDDDGGARPRKKGGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADIPDEDVVRGSRRHSIPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKYIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDSWVRMKLGIYKGDLAKVVDVDNVRQRVDVKLIPRIDLQALASKLEGRETVKKKAFVPPPRFFNIDEAREMHIRVERRRDKESGEYFEWVDNLKFKDGFLYKSVSTKSIHTNNIQPSFDELEKFRKPGDDMNGDMASLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVISGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELRDLVLLDNLSFGVIIRVEAEAFQVLKGVPDRPEVVLVKLREIKSKIDRRASAKDRSNNIISAKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSTGGRRGNGMDTTDARLGALRSPASILQSPGRLPPRGPHMNYGGRFGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGALVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYSLGGETPMHPSRTPHHAYQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEDGNPATWSSSPAYQPGTPPARPYEAPTPGSGWANTPGVSFNDAPTPRENYANAPSPYVPSTPVGQPMTPNSAAYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGTWLLPEVLVNVLRGGDDGPGVVREVLGDGSCRVALGPLGNGDMVTVLPNDVEVIRPKKSDRIKILNGNFRGYTGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAT >PAN24796 pep chromosome:PHallii_v3.1:4:45108572:45116545:-1 gene:PAHAL_4G252900 transcript:PAN24796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLASIFSPARSPGSAPAHFLHRALPRFCHRRACPSPSRMSSSSAASPAPPATAAAGGHKPAAAPYGSWRSPITADVVSGAERRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEEDRPVDVIPQEFAARTLAQEYGGGAFAVDKSVVVFSNYKDQRLYKQAIGSAGPPVPVTPDYGAPDISYADGVFDPHFGRYVTVMEDRRKSSLNPTTTIAAINLSGDDVCEPKELIGGNDFYAFPRIDHNKKRMAWIEWSHPNMPWDKSELWVGYFTESGDLAKRVCVAGGNPLLVESPTEPKWSPKGELFFVTDRGSGFWNIYKWVEQTNEIVPVHALDAEFTRPLWVFGISSYDFLGNSNHIIFSYRQQGRSYLGVIDYDSGSVSLLDIPFSDLSNVIAGDDYFYIEGASASIPMSIAKVTLNESKTKVFNFSIVWSSSPDVVQYKPFFSTPELVEFPTSKPGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRAVLDLSVQYWTSRGWAYVDVNYGGSTGYGREYRERLLEKWGIVDVDDCCSCARFLVESGKVDGQRLCITGRSAGGYTTLAALAFRDTFKAGASLYGIGDLTLMRAETHKFESHYIDNLVGNEKAYYERSPINFVDQFTCPVILFQGLEDKVVPPDQAQKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGKFEVADEITPIKIDNFD >PAN24407 pep chromosome:PHallii_v3.1:4:39016734:39019664:-1 gene:PAHAL_4G215900 transcript:PAN24407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEALPQAPPAVPDIQTLKLHSQIGSSLEAARLNKPSTSVPRKLPELDNLSKPSSEVESKEQKPDHLPNESVDRTTSNKISAELIQDKMVSVCPVDEEKKIVDHDNTGRSLKLSSILDKECSLTKENESGRLIKRSETGERGTSSRYRPSNSSDISDESSCSSISSITKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRSQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDMHTLRQRQRGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENILIREDGHIMLTDFDLSLRCAVSPTLIRSTNPDAESLRKTNQAHCAQQACAEPSCMMQPSCTAPTTCFGPRLFSKSKKDQKPKPEVVNQVRPWPELIAEPSDARSMSFVGTHEYLAPEIIKGHGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFPARDLIRGLLAKEPQQRLGYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPFEIGRPPKQPALTSETAASTGAAQKGSDNYLEFGFF >PAN24408 pep chromosome:PHallii_v3.1:4:39017204:39019362:-1 gene:PAHAL_4G215900 transcript:PAN24408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEALPQAPPAVPDIQTLKLHSQIGSSLEAARLNKPSTSVPRKLPELDNLSKPSSEVESKEQKPDHLPNESVDRTTSNKISAELIQDKMVSVCPVDEEKKIVDHDNTGRSLKLSSILDKECSLTKENESGRLIKRSETGERGTSSRYRPSNSSDISDESSCSSISSITKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRSQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDMHTLRQRQRGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENILIREDGHIMLTDFDLSLRCAVSPTLIRSTNPDAESLRKTNQAHCAQQACAEPSCMMQPSCTAPTTCFGPRLFSKSKKDQKPKPEVVNQVRPWPELIAEPSDARSMSFVGTHEYLAPEIIKGHGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFPARDLIRGLLAKEPQQRLGYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPFEIGRPPKQPALTSETAASTGAAQKGSDNYLEFGFF >PVH48093 pep chromosome:PHallii_v3.1:4:44484167:44484855:-1 gene:PAHAL_4G246100 transcript:PVH48093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSFFFSRNLCWDPRIVSHLDALLRPVLKFTIRAILEVASSEGSPKLCSFFLFFLFSCIFLGRL >PVH48092 pep chromosome:PHallii_v3.1:4:44484147:44484556:-1 gene:PAHAL_4G246100 transcript:PVH48092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSFFFSRNLCWDPRIVSHLDALLRPVLKFTIRAILEVASSEGSPKLCSFFLFFLFSCIFLGRL >PAN24287 pep chromosome:PHallii_v3.1:4:20305439:20305941:1 gene:PAHAL_4G160900 transcript:PAN24287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSARAHGGHHQPPPQPHGLRYEPLRRMQGLDLKSTLRASSLHASTLATAALLVPLGAALLRISGLALAATLAGLALAAPLLVLFSPVIVPAALAAALAMSGFIASGGLGIAGVSALGWAVVWQGGGRLTGMVVQPLDHGEKRRSAEGPAAFVGHRPRDIDVA >PAN26187 pep chromosome:PHallii_v3.1:4:52451384:52455828:-1 gene:PAHAL_4G354200 transcript:PAN26187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRPRHGGAGPSRLPPLGRKLRLYILNGGLAGAGASAEDVADTLRIHHPEFRRQKLDPFIALVRRVLSSIPSPSSSSSGSSASRRRHDAHATTSSSTSVSDEAAHPPPSPAFDFNSSLRSQYAVRAQTPKRNPAANQQQLEIEVTAEKARRLITSDGGAGGDAKPDAAASEGIVRGEKGPRFADLGGMEAVIEELMMEVVVPLCHPELPQRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDQFHQNIGSGSSDLDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILKMLTQNLRLEGEFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIDERRAQYCRNHDGNSKHDWWRQPWDAGEVEGLHITMDDFEEATKMVQPSLRREGFSSVPDVTWDDVGGLDSLRKEFDRCIIRCIKHPEDYEVFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHFVPLPGAEERVSILKAHARSKPISSDVDLAALAHREECNNLTGADLASLVNEAAMAALEERLEFLDNGISSMSSSSLIELSHFERALSKVKPSVSEQQIRHYEALSKRYSSS >PAN23822 pep chromosome:PHallii_v3.1:4:9558657:9564342:-1 gene:PAHAL_4G120800 transcript:PAN23822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHAPVLVMKDSMKRESGAKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDAISVLDKIAMSVDVNDRTAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGADLGQGMREVDIKKYIKVEKVPGGQLEDSTVLKGVMINKDVVAPGKMRRKIVNPRIILLDSPIEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVITEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRTMTQLQGKHANGENAWVGLDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >PAN25052 pep chromosome:PHallii_v3.1:4:46574959:46581132:-1 gene:PAHAL_4G271000 transcript:PAN25052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRFGSFKSEKGDSAASAAAAAGGAAQRRDPYEVLGVGRSATDQEIKSAFRRMALKYHPDKNGDDPVASDRFQEVTFSYNILSDPDKRRQYDTSGFEAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQTAHFYSVDITEKQAKMGLVCRVHSNDKSKFKLLYFELEENGGLSLALQEDSVKTGKVTAAGMYFLGFPVYRFEQNNLAAAAKDSDGAFFKRLDSFQPCDIHELKPGTHFFAVYGDNFFKSANYTIEVVSGESFPAEKEMLRNVEAKILTKRAELSKFESEYREVLAKFTEMTSKYTEEMQAIDQLLKERNEIHASYTNNPPLKRSSSRNKAKSPSKMPKSDADKHHQKEKKVKDHCMEGYGSDDDNSSEKKPKERFPRKKWLHIPFKLDRRKSC >PAN25095 pep chromosome:PHallii_v3.1:4:47040683:47040919:-1 gene:PAHAL_4G275100 transcript:PAN25095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGIIAAVPVMLLLVILGFVAISGAARPLSGEVWSPAGETISGDGVLQFLQQMYLQQLGAGPSCGTNSSNGGCPRRP >PVH47314 pep chromosome:PHallii_v3.1:4:2156304:2158724:1 gene:PAHAL_4G034200 transcript:PVH47314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAEAASPAGTGGRRLRVLMFPLRPPHPNAAVGRDHASGGHTIDPLAPVRQRRGLRQHNAVDQILPPRAVPEPNPPGAREEEEGGGETACLVVDYNLHGMQLVVEELGRGARSCS >PAN22336 pep chromosome:PHallii_v3.1:4:819194:822971:1 gene:PAHAL_4G012200 transcript:PAN22336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHGFRSPSSSNNNNSSPWPAPSAPPLYPTLTMADLAPVEIGPVSSPTAGEDAGPPPSEDLLLRIPGAQLHLIDRTRSHPLAAGDLSLLRIRSGETSLAAIALLDPVQWPLARDVAAVKLDPCHYSFSLTVPPSADDPNPDPLHYGLTLTHPDARLDGLLATYTRFSVHSVVGTKELENRVRDEVEAAAYWTAVAPNVEEYGSKVARAIATGAEHLAKGILWCGEVTVDRLHWGNEVLKKRMQPGDANAEVSPEMLRRIKRVKKVTQISEKVATGILSGVVKVTGYFTSSLANSKAGKKFFNLLPGEIVLASLEGFGKICDAVEVSGKNVLSTSSTVTTGLVSHKYGDKAAAATNEGMDAAGHAIGAAWAVFKIRQALNPKSVLKPTSLAKSTIKANVAELRAKHSK >PVH47749 pep chromosome:PHallii_v3.1:4:14008458:14008743:-1 gene:PAHAL_4G141500 transcript:PVH47749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNAVLEQIIALSVLLIYSAGGKLKSFGFHAVDQLNSESHSGYFVSEL >PAN24801 pep chromosome:PHallii_v3.1:4:45130413:45136494:-1 gene:PAHAL_4G253100 transcript:PAN24801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHAVGGAGKATAPYGSWESPISAAAVSAAGSTAEGLAVAGDGRLVWVETRPEEGGRAVLVKEPAEPGGKARDVTPQGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNLPLPLTPDYAGSVVRYADGVFDPHFHRFVTIMEDHRHGSSNPITTIAAVRISNRDIEEPTMLVSGNDFYAFPRADPTKKRMAWIEWSNPNMSWDKSQLWVGYFNEKGEVQKRICVAGGDPTLVESPTEPKWSSKGELFFITDRRSGFWNIYKWDEQSNLVTTLYSLDAEFSKPMWIFGVSSYDFLGKDNSSHKIICCYRQNGKSYVGVLDHDSDSFSKIDIPFSSVNNIVSGDGSFYIEGASASLPVSIAKVTLDEKRTIATDFSIVWSSSEDVAKFKSYFSFPEFVEFPTVIPGQHAYSYFYAPYNDIFQGSSDEKPPLLVRTHGGPTDEARGVLDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLGQWGVVDVNDCCSCAAFLVGTGRVDGQRLCVTGESAGGFTTLACLAFRQTFKAGSSLYGIADLASLRAGMHKFEAYYIDNLVGNKQAYFERSPINFVDKFSCPVILFQGLEDTIEMNIHIHLMQHLEMNKFQTVNQNLLGITCQLYHQIRQRKYTRR >PAN24800 pep chromosome:PHallii_v3.1:4:45129766:45136733:-1 gene:PAHAL_4G253100 transcript:PAN24800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHAVGGAGKATAPYGSWESPISAAAVSAAGSTAEGLAVAGDGRLVWVETRPEEGGRAVLVKEPAEPGGKARDVTPQGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNLPLPLTPDYAGSVVRYADGVFDPHFHRFVTIMEDHRHGSSNPITTIAAVRISNRDIEEPTMLVSGNDFYAFPRADPTKKRMAWIEWSNPNMSWDKSQLWVGYFNEKGEVQKRICVAGGDPTLVESPTEPKWSSKGELFFITDRRSGFWNIYKWDEQSNLVTTLYSLDAEFSKPMWIFGVSSYDFLGKDNSSHKIICCYRQNGKSYVGVLDHDSDSFSKIDIPFSSVNNIVSGDGSFYIEGASASLPVSIAKVTLDEKRTIATDFSIVWSSSEDVAKFKSYFSFPEFVEFPTVIPGQHAYSYFYAPYNDIFQGSSDEKPPLLVRTHGGPTDEARGVLDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLGQWGVVDVNDCCSCAAFLVGTGRVDGQRLCVTGESAGGFTTLACLAFRQTFKAGSSLYGIADLASLRAGMHKFEAYYIDNLVGNKQAYFERSPINFVDKFSCPVILFQGLEDTVVSPDQATKIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQEMVFFARLVGKFKVADDITPIKIENVD >PAN24799 pep chromosome:PHallii_v3.1:4:45129766:45136733:-1 gene:PAHAL_4G253100 transcript:PAN24799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHAVGGAGKATAPYGSWESPISAAAVSAAGSTAEGLAVAGDGRLVWVETRPEEGGRAVLVKEPAEPGGKARDVTPQGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNLPLPLTPDYAGSVVRYADGVFDPHFHRFVTIMEDHRHGSSNPITTIAAVRISNRDIEEPTMLVSGNDFYAFPRADPTKKRMAWIEWSNPNMSWDKSQLWVGYFNEKGEVQKRICVAGGDPTLVESPTEPKWSSKGELFFITDRRSGFWNIYKWDEQSNLVTTLYSLDAEFSKPMWIFGVSSYDFLGKDNSSHKIICCYRQNGKSYVGVLDHDSDSFSKIDIPFSSVNNIVSGDGSFYIEGASASLPVSIAKVTLDEKRTIATDFSIVWSSSEDVAKFKSYFSFPEFVEFPTVIPGQHAYSYFYAPYNDIFQGSSDEKPPLLVRTHGGPTDEARGVLDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLGQWGVVDVNDCCSCAAFLVGTGRVDGQRLCVTGESAGGFTTLACLAFRQTFKAGSSLYGIADLASLRAGMHKFEAYYIDNLVGNKQAYFERSPINFVDKFSCPVILFQGLEDTVRLYHQIRQRKYTRR >PVH48254 pep chromosome:PHallii_v3.1:4:48086323:48086644:-1 gene:PAHAL_4G289800 transcript:PVH48254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPLASSSCLPVQAPPPRGRCVWCRRSLPRTGAGDAGAPPPPLAILRRASSWAPALPCARSWARRRRPALAALAPSLAAQDLGLLQRVVPLVVLRH >PVH47718 pep chromosome:PHallii_v3.1:4:10894555:10898084:-1 gene:PAHAL_4G128800 transcript:PVH47718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSEIYTPSNPRKEKGKKKALLKRGEMARVLIFLLAVHQLIFLPNPVAILAAESTNKSEIEALLSFQQGLTDDPLGVLSSWTHDTPYCRWKGVVCGKALPLRVVSLQLNSLQLRGVLSSSLANLSSITRLDLGNNSFTGGIPEELGTLPQLQDLILAYNSLTGLIPNSLATSSSLTVLNLTSNSLSGTIPTSLFNGSSQLAVIDLGRNSLSGSIPDFYKMETLQILNLAKNNLSGSIPPSLGNVSSLREIDLYTNSLGGSIPETLSRIWNLSVLNLGNNLFGYVPAGIYNISSLRTLDLSNNSITGPIPSRIGNSLPILEKLITSGNIINGSIPASLANASKLQVIDLSYNSLAGPVPLLGSSHDLLLLDLQNNILESDNWQFIASLANCPNLKMLLMNENRLDGSLPISVGNLSSSLQRLDLGNNRISGPLPEEIGNLPQLQLLAMDQNWIVGEIPSSIGKLSTLVVLRLSHNILSGQITPLVGDLQQLTELSLDRNNLTGKIPASLGQCQRLTLLNLTYNNLDGQIPTELLNITTLFSLDLSKNCLTGSIPNIGFINLVILNISHNLLSGKIPPSLGQCRVLSSLLMQSNQLDGMIPHSFIDLKAIQKIDLSQNNLTGQIPDFFNNFSTLEQLDLSDNNFEGPVPTSGYFLNISVVNLYGNTKLCASVSIFALPICPTTSTVKSKNARLLLIVIAPIIIALFSILIFMVTHLKKRAPITPCYKETMMKKVSYGDILKATNWLSPVNKISSSHTSSIYIGRFEFDTDLVAIKVFHLDELGSLNSFLMECSVLRNTRHRNLMKAVTLCSTVSMENDEFKAIVFDFMANGSLDMWVHPKLHKNSSKRCLSLDQRIRIAMDVASALDYMHNQLTPPLIHCDLKPANVLLDYDMTARVGDFGSAKFLSSDLASSQGFVSVGGTIGYIAPEYGMGCKISTGCDVYSFGVLLLEMLTGMRPTDAMFTDGMSLHRLVSSAYPNGLGEVLDPYMCQEGHHTCATISAQKYVMPLVEVALSCSMELPKGRPGMRDVCAKLFAINEAFHKP >PAN23636 pep chromosome:PHallii_v3.1:4:7726211:7730914:1 gene:PAHAL_4G106500 transcript:PAN23636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGGGLRPRHLLIFVAATVLCAVSSPAGAFYLPGVAPRDIPKDDELQVKVNKLSSIKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKIVCRKKLSQEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDQTSPDARIVGFHVIPSSIKHEYGAWDDTNPTAQTCNANIKITPGSHTPQEVAPDAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFAVFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFAILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >PAN26142 pep chromosome:PHallii_v3.1:4:52184181:52194419:-1 gene:PAHAL_4G350500 transcript:PAN26142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRRRRRGHLRQERSPLAPPPEDPTPREPTTRREVAIPDRPLKKTCHASSSTSTSMSGPDVWADLLDSLLLQIIALLSSFRDLLALIGTCRSWRAALSSLPPAFSFNFPPLHLQPDDYDPHPHRNYVKHSLLSNTKWQLVDPAKQTSSLRCSAPQNRRVHMRYLGCSYGYLIFSNLEQCLLVDAYSGATVKPPKLKSTGNHDIYCGFLVAPINSSNSRLLFCSRSSMFQWQVGSNSWSEHPLDVERILQVVFFKGEMFAMDLLGRLHRISFVPQLNMQEVAVMWGEDMVVGMSYKQWLVVCGDMLLLVDFSVSIDPFSGFSGTFKVFRLDSTVEPAKWVKVDNLGDNALFLSIDRRNPTFSCMSPERWGGKSNSIYVANPSADCNEPWSVVELGEVVPGTTYSSEPIMAPRPGIHHQQLQSLWVLPSLVYGVG >PAN24552 pep chromosome:PHallii_v3.1:4:42162252:42163726:1 gene:PAHAL_4G232600 transcript:PAN24552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLFVCIILCTYYSVAHGGDDEHGFVTVLTSRSFQPEAAECSTTRVNLEPSRATVSLPLAHWHGPCAPLQTSEKPSFTERLRRSRARANYIRSRASTGTVSTQAGDDANVTIPAHLGGSVDSLEYVVTMGLGTPPVPQVVLMDTGSDLSWVQCAPCNSTACYPQKDPLFDPSKSSSYAAIPCSAGACRNLTVDDYGDGCVTSGGASQCAFAIEYGDGSHTRGVYSKETLTLAPGVTVEDFHFGCADDQEGSNDKYDGLIGLGGAPESLVVQTSSVYGSAFSYCLPAQNSEAGFLALGGAPSANTSGFLFTPMRVEEATFYVVTLTGVSVGGKQLDIPPVVFSHGMIVDSGTVITELPHTAYAALRSAFRSAMSAYPLLPPSEDLDTCYNLTGFSNVTVPTVALTFDGGATMDLDVPNGILLEGCLAFQEAGPDDFPGILGNVNQRTFEVHYDVSHGKVGFRAGAC >PAN22366 pep chromosome:PHallii_v3.1:4:972280:973537:1 gene:PAHAL_4G014800 transcript:PAN22366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDYVFKIVVIGDSAVGKTQLLGRFTRDEFFLDSKSTIGIEFQTRTVDIARRRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTRRHTFEHAARWVDELRAHADKSIVVMLMGNKADLAAAGRAVPADEAAAFAEEQGLFFSEASALSGENVERAFLRLLEEIHANVSRRPLGVAADEEASADGHGGADVLMLKGTKLSLAEEMSIMETSALRRASSCSCS >PAN23318 pep chromosome:PHallii_v3.1:4:5734232:5739466:1 gene:PAHAL_4G083400 transcript:PAN23318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGVQLTLTSTLKTTGKAKINGDGLNNGLHDKEKQERNGALQPSKGQKQQLCTTCAKGHTCQSVINRTRQMRALIDSKKPYQAHSVFKHLVDEGHKPSLVTYTTLLTALTHQRMFESIPSLLAQVELAGLRPDSIFFNALINAFVEAKRMGEAINTFWKMKHSGCHPTTSTFNTLIKGYGIVGKPEESQRVFDMMGLEESVRPNLTTYNILVKAWCDQRNLEEAWSIVGKMRAGGVEPDIVTYNTIASAYANNDETWRAEELTVEIQTRVRTSERTWGIIIGGYCREGRLEEAFRCVRQMKDAGVIPNVVIFNTLLKGFLDANDMAAVNNILGLMEQFGIKPDIVTYSHQLNTFSSLGHMAQCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLLQMSQLGVLPNVVTFTTVISGWCSVADMDSAMRVYEKMCKSGVHPNLRTFETLIWGYSEQKQPWKADEVLQMMQETGVKPKQSTYSLVADAWKAVGLIENANQTNGSLNGRHAVDNSDHSDDNSNLQISEDNNKLQSFEKRNGHGTNGRSRSSFLQMTSALGSSGVVSSKILKAGEFPSKRLKAVKNTSLLQSSYRFQLRYSGFCRKQLQKNGGFYSQSVISFKMVFL >PAN22822 pep chromosome:PHallii_v3.1:4:3052212:3053043:1 gene:PAHAL_4G046900 transcript:PAN22822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREVAVPNYQGEREQEDEEAAAVVFALERPGRRPGHAHGQLFLDAGRALMLWGWGGALAAVSTAATSRQPDASSSCAVRALLGLVLWLLGVALVALVPVARRFPRAAWVGAAVASAVVGCFFPPRN >PAN25123 pep chromosome:PHallii_v3.1:4:47188138:47191391:-1 gene:PAHAL_4G277100 transcript:PAN25123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESERGATTAAAPSPRKPRPERSQLARRHVLCGASFAIVTALLLSVLGRPGSSRDGAKVRRPSSSSNADVYEQGELTPLQRHVAFFDRDKDGVIYSSETYEGFRAIGCGVPLSAAAAIFINGGLGPKTIPENEKTPPFKLPIYVKNIHKGKHGSDSDVYDAHGRFVPEKFEEIFKKHAHTRPDALTGKELQELLEANREPKDFKGWLGGFTEWKVLYYVCKDKDGFLHKDTARAVYDGSLFERLENERKSKESTS >PVH48314 pep chromosome:PHallii_v3.1:4:49312093:49314202:1 gene:PAHAL_4G306400 transcript:PVH48314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDQQDAGRHGTTPLVFDEVRWVVQIRHSLQEDGAGGDDDDDNGIPVSVFNVPKQLRVHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQRRLCAGLKLEGLVQQFARLERKVRAHYHRYLDFSGETLAWTMVVDGAFLLEFLQIYAADEGDGRALRRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQVPLFLLRKILEPQCASADEAAGLLARMVTGLMKELCPFKMMDSFPAVDVGKHAHLLEVLYHILLPKPADDSTAEADANGNFHDDGYDIEEQAADGGGAEEQQKPAAGGCEYVKQLFLAVWGIVSGLNNKAGPMRYVTKPIEFAIKAPWKMLAVVPGVGSFMSAGDGSANPRDPSSSAGYLTRPPLIEEIMIPSVSELVNAGVKFLPTTGDLSTVAFDAKAATFSLPVVTLDCNTEVVLRNLVAYEAAAASGPLVLARYTELMNGIIDTDEDVALLRRRGVVLNRMKSDGEAAKLWNGMTRSVRLTKVAPMDRAVEEANRYYNSRWRVKAKRFMRKPSAPSTPARGGSAPSPSPRPGDRVGLAGRLSGSGRAT >PVH48315 pep chromosome:PHallii_v3.1:4:49312093:49314202:1 gene:PAHAL_4G306400 transcript:PVH48315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDQQDAGRHGTTPLVFDEVRWVVQIRHSLQEDGAGGDDDDDNGIPVSVFNVPKQLRVHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQRRLCAGLKLEGLVQQFARLERKVRAHYHRYLDFSGETLAWTMVVDGAFLLEFLQIYAADEGDGRALRRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQVPLFLLRKILEPQCASADEAAGLLARMVTGLMKELCPFKMMDSFPAVDVGKHAHLLEVLYHILLPKPADDSTAEADANGNFHDDGYDIEEQAADGGGAEEQQKPAAGGCEYVKQLFLAVWGIVSGLNNKAGPMRYVTKPIEFAIKAPWKMLAVVPGVGSFMSAGDGSANPRDPSSSAGYLTRPPLIEEIMIPSVSELVNAGVKFLPTTGDLSTVAFDAKAATFSLPVVTLDCNTEVVLRNLVAYEAAAASGPLVLARYTELMNGIIDTDEDVALLRRRGVVLNRMKSDGEAAKLWNGMTRSVRLTKVAPMDRAVEEANRYYNSRWRVKAKRFMRKYVFSSWQVLTFLAAVLMLLLTTLQAFCSVYTCSRWFGAVTIAKAG >PAN25362 pep chromosome:PHallii_v3.1:4:48496645:48501130:1 gene:PAHAL_4G295600 transcript:PAN25362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSCLKRLQKEYHALCKEPPPQIVARPLPNDILEWHYVLEGSKGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIKTSDAEKKRLAKASLAYNCESKNCPHFRKLFPEYVEKYNQQQQLENTAAELEPRENPAAPAPSPAVQQAPVVANRAQPVAEARREKNQKKAVPFWMVLVMFSVFGAVMALPLMQL >PVH47475 pep chromosome:PHallii_v3.1:4:4771617:4772754:1 gene:PAHAL_4G071400 transcript:PVH47475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEMKHFQITLLLSCCLAAAAAAGIGCSSDADAIADVARSLARPPSTWTAGGGDACSFKGVTCSPSGRVTAIDLAGQGLAGTLPSSLSSLTALESLQLQGNVFSGAVPPPRAPSLTRLSLEGNAFTSLPEDLLRATPALRSLSTDGLPLQPWPFPDLPALHTFSASNASIAGHFPAAGVVANLMSHNNLTGDGEPDRHLPSYNHLQGPVPAFSPAVAADVVAGNGFCLDAPGPCDAQVSALLQVAEGFGYPLNLSRSWTGNDACSGWLGVICDASEVTILGLTNYNLSGTMWPAIANLTGLRKLDLAGNRLTGEIPDALAALPSLSLVDVRNNRLTGKLPKFWASVDVSAEGNSPSLGSHYGSGQR >PAN25589 pep chromosome:PHallii_v3.1:4:49674919:49677644:-1 gene:PAHAL_4G312200 transcript:PAN25589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHRGGGRVRRRPHAPPHVPPVEQLRQPEPADELGGRGNPPKTGPARTSPCNSAFGWNWEEAEAWAEATGFRKQQLPGLSAGVREAASPQAGRCSPPRSSTAWVPPTSSHRAPVAFRGCPREKREEVRGSDPDAAMHAVACYAYRHNRRGKLVVLVLDNQQATGPSKWVHFDEFDSFYVLLGRSELPVYPVN >PVH48153 pep chromosome:PHallii_v3.1:4:45914750:45922891:1 gene:PAHAL_4G262900 transcript:PVH48153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MPPPLPFTAAAAAVQQLESLLPRVATLSHHEQFHARLLTSGLLGSHPPLRARFLDRLALSPHAAALSHALRLLRLLPSPTTNDLNAALRGLAASPQPARCLLLLAGRLPPAPAGAPPRPRLDALSLSFALKATARCSDAFATLQLHAILVRLGVAADVRLMTTLLDSYAKCGDLPSARKVFDEMPVRDVATWNALLAGLAQGTEPDLALVLFRRLAGSYRELLPREEPNEVTVVATLSACAQLGALQDGLGVHDFARKIGTANNVRVCNALIDMYSKCGSLSRALEVFHSMKLEDRTLVSYNATIQALSTHGHGADALKLFDEMSAWIEPDEVTYLAVLGGCNHAGLVNDGRRVFDSMRVPPNMKHYGTVVDLLGRAGHLDEAHDMIMHMPFPADIVLWQTMLGAAKMHGNVELAESAATKLAELGSNVDGDYVLLSNVYASKARWADVGRVRDTMRSNDVRKVPGFSYTQIDGVMHKFINGDKEHDRWRDIYRALDDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLISTPPGETIRVIKNLRICGDCHVVAKLISKAYGRRDQQEWLSVAVLTRRDRFRNEFLRRVMLWDKTGFSWNSFPYYVDQNARQLLSECMASHLQHKDVALEYGSGLQSSRTELYRERFVRALTSELRASLLVLDSSVLAPYSEEEDNHAESEDEGSESEVDGEGDEESGQSDDDDSIKSVADLKMLVPCTLEEFAKMVVGSARSHYLSSAVNPSIKGDRLTIPRESLDLAIRRLKEQEASNKKPSENMKLLAKYEYDRNFISAVVPPNEIGVKFDDIGALEYVKKTLDELVTLPMRRPELFSHSNLLRPCKGILLFGPPGTGKTLLAKALATEGAYPWFGDAEKLTKALFSFTSRLAPVIIFVDEVHSLLGARGGAHEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIWRLPRRILVDLPDAKNRMKILKILLAKENLESDFRFDELANAMEGYSGSDLKNLCIAVAYRPVHELLEQESKGDTGSTKTSLRALKLDDFVQAKAKVSSSVAFDATSMKELRKWHEQYGEGGSRSKSPFGFGS >PAN26053 pep chromosome:PHallii_v3.1:4:51739725:51740291:-1 gene:PAHAL_4G343600 transcript:PAN26053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRASTAPAPEPQTVGETVVHMSRCEIWTLAVFTEAAFIAFFVGMTHAVKSATSPSSSAVPWRLALWASYGVYMLLVFLVMFYIDLFLPRAPVAVMEKLRDVGGEAVGVGALNLMVSVVLAVEVRGSRVLAGCTAVLAAFVVGLVAFWEWLAGRYGGDPLDPATAAAPRIGGSSEVAGQPYVTHLPI >PAN24896 pep chromosome:PHallii_v3.1:4:45688011:45691028:-1 gene:PAHAL_4G260100 transcript:PAN24896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAISHHALASTQSCGWSAQNSRFEKRTGNVRLVYEGSCRSGSRKLGLVCASGSQSSVVEPVKLPSDGNSSHTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPIDVIYTSSLIRAQMTAMLAMMQHRRKKIPIIMHNENEQAHRWSQIYSEETNKQSIPVITAWQLNERMYGELQGLNKQETADRFGQEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKEQIVPQLVAGKHVMVAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRTLAKYRQKLDNMVQ >PAN23284 pep chromosome:PHallii_v3.1:4:5891912:5894660:1 gene:PAHAL_4G084500 transcript:PAN23284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MDSRSDGCEELAAVTRRKPARKRARRGDSPPPARRAPPPHHPMTRCPRSLPPPPPGVAAVVRLLESGDLPVAARLAAATASSSPLPLAAVLLHRPLPPRLGYCLHARAARSGLLADRYLANALLAFYVRLPGHLPHALRAFDDMPRRDVVAHSSVLAAFLRAGHPRRALLHLRTMASGGCGADEGVAPSAHALSAAAKACAVLRDLRAGACVHGTIVVRGFGDDGIVLSALVDMYGHAAAPADARRAFEEMRAPDGICYTSLISAFVRNDWFKEALRWFCAMVATNRVWPDGCTFGSMMTALGNLKRARQGREAHAQVVTRGLCGNVIVESSTLDMYAKCGMMVDARKVFDRMKVRNAVSWCALLGGYCQSGEHEKVLILFRQMDLEDDDWYSLGTLLRSCAGLSAVKLGKEIHCRFMRMRGCRDIIVESALVDLYAKCGVVEYAHRTFDMSSVRNMITWNAMICGFAQNGHGERAISLFNKMVREGVRPDCISFIGVLFACSHTGMVEEGRNYFNSMTKDYGIAPGIEHYNCMVDLLSRVELLEEAEDLVNKSPFRDDSSLWAAILGACATHTNPDVAVRVAKKMMELEPQYHLSYVLLENVYRTIGRWEDAVEVRKLRKSRKVRKEPGTSWIDANRSKLYVCKAKEGASQLVASGDMSADEEVQSI >PAN26109 pep chromosome:PHallii_v3.1:4:52050120:52051438:1 gene:PAHAL_4G348200 transcript:PAN26109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALGPGRFYGSSLPRPRFFPGDRVDPPPSVTEPLLAWAQEAHWSMGGLGVKRLRLQGRIEGSIDKLRRRARRDARAKARAAGHKPASLAALGSDDDASDGDSDAEEAAAQERILKREVVDDDEDSDGSDQSEEEEEEDDEPLATIATAAKKKRARKLSDEFDRIAAQQQLEKKQKAAAAAPARTSPRRQASAPAAKAPARASPKRKASAQAARASPRRKAAAPAAPVAGARRTSPRNKN >PAN22829 pep chromosome:PHallii_v3.1:4:3077323:3082046:-1 gene:PAHAL_4G047600 transcript:PAN22829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCQKKRKKNRRGEGPRFVILGTIGYGCHLASLRSPPVDSACIGVAPLTARSAAYKRRGRQCSPRPACDAHLAAAYRCRGRPQAGAGTPAVSAFPGRGRSPARGRCSPEPRAPRRLSHAPRFRARGVVPTRLFRTGRAGALASLVFGVLQDRRVGGPVQAFQSPASGLQREQGRRRAAMGGGSGRPCYYAVLGVSRDTSAADIRAAYRRQALKWHPDKLQLQGDDDDRRWAREEAKARFQQLQEAYEVLSDASKKAAYDRDVVVVFPSESDLAKTFQAMDDLLDEMDGLVESMKQFLSRIKQEPNLTMDEMLAMMDEEIKKCCGDQPRAPGRWTPPSRSAAGTSAAGAQAAGAGDARGKSSSSTPRPRGTKCPPPPGFYGPFGRTG >PVH47817 pep chromosome:PHallii_v3.1:4:20359425:20370676:1 gene:PAHAL_4G161200 transcript:PVH47817 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os07g0438800)] MERLSTNKLYTSGVPVTVPTSLPSIPASLEESFLRLPDAPHQTAVAPIRSQFHSSTGSVGPLCSPPAVRFSSVSNPEQYSNPSPYNSQAPTTASSSTLSYGSQYGGFGPSLTDFPSDVEPAWCSDPVESILGYSGDVPGGNNLTGSTSIEASDDLTKQTEWWTELMNDDWKDIVDNPASAETQQVGPPAQLSVSVQQSATQQTVSSQSGEPLAVVAPSPSAGSNTAKARMRWTPELHERFVDAVNQLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKVASKEDIPSIDLKGSFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTEKATDASTSAEGSKLSSEIPEPAAVKEVPETSQNGLTKQTESGDTQ >PAN25833 pep chromosome:PHallii_v3.1:4:50698094:50703152:-1 gene:PAHAL_4G328500 transcript:PAN25833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGVKQLGSHEERLTDKACSPNPLERSQIDEASNQTSAILKAQNKVEEDKLASTRAEMGEVREENKRLKTMLSRIVEDYRSLQLHFHDVLQQGQAKKLAEPATVAATDVEEPEFVSLSLGTSTSTRKKEENSSVSEGRGREDSMNTREGGLSLGLSDCKVGATNNAKIQPEMLTLSPEGSSEDAKDDAMEAADQQWPPSKTLKNLRSVGAEAEDDIGPLQQAKKTRVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSASATAMASTTSAAASMLTSGSSTSLRFPSASPAAAGLSFGFPSAHDAAASKHFFLPNGGAASITSTPSYPTITLDLTSPPATSQAFSLSSRFSSSSFGHGGARYPPTSFSFSTSGPSALSGAAWPATGGAGYLSYGSPASSLFNGGKLSSFEAALSSINGRQQGGEVPALHHQQQKASASGSAPAGVLTDTIAKAITSDPGFHTALAAAITSYVGTQGGKSSAAGGDGGSQLQGLKWGQHLGLGPPPSSAGAACSSALLARSSPTTAAEEQGSNGHRSFLQPSLGLSSGSHSASTSPVENREQ >PAN25193 pep chromosome:PHallii_v3.1:4:47602798:47603978:-1 gene:PAHAL_4G282900 transcript:PAN25193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAVSFLVLALLLLAVAFPVEVVAGGGKGKGNGYGNGNGNGNGNGNGGGNLKPWECSPKCASRCSNTQYRKACLTFCNKCCAKCLCVPPGFYGNKGACPCYNNWKTKEGGPKCP >PAN24450 pep chromosome:PHallii_v3.1:4:40244959:40245081:1 gene:PAHAL_4G221600 transcript:PAN24450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAMRQGKSSRGIGRILKEQKARLYIIRRCVVMLLSHHD >PAN23661 pep chromosome:PHallii_v3.1:4:7910438:7911417:1 gene:PAHAL_4G108300 transcript:PAN23661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLAAICWAIWKSRNSVCFQKKVIRFPTEIICLACTFLLYWTELQKIGDKMALEAGTEALKAVALHFHPRERRAGDVGSLLLQ >PVH48310 pep chromosome:PHallii_v3.1:4:49248224:49250070:1 gene:PAHAL_4G305100 transcript:PVH48310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMAGMLPGVECARRRRLRQGGAGASAEAGGGTRRPSFCLYAAGHGGHQAAGLGGAGSSGKRSAVMEMIHGWTLDSNAREAKERLDQKLRSKREAAIKRHHSTGSIKLSRPHHNGGAGGGGGAEERGESSASAPAMSGVQREVYSRKGVMRRLMRWSRPRWAAAEQAECAVCLDEFRAGDVLAHLPCGHRFHWACAAPWLEGTSRCPFCRAAVDANPHAGA >PAN25480 pep chromosome:PHallii_v3.1:4:49248224:49250070:1 gene:PAHAL_4G305100 transcript:PAN25480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMAGMLPGVECARRRRLRQGGAGASAEAGGGTRRPSFCLYAAGHGGHQAAGLGGAGSSGKQRSAVMEMIHGWTLDSNAREAKERLDQKLRSKREAAIKRHHSTGSIKLSRPHHNGGAGGGGGAEERGESSASAPAMSGVQREVYSRKGVMRRLMRWSRPRWAAAEQAECAVCLDEFRAGDVLAHLPCGHRFHWACAAPWLEGTSRCPFCRAAVDANPHAGA >PAN25490 pep chromosome:PHallii_v3.1:4:49298335:49300453:-1 gene:PAHAL_4G306100 transcript:PAN25490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT3G15580) UniProtKB/TrEMBL;Acc:A0A178VBL7] MKPFKKEFTFDERLQESAAMIANYPARVPVIVERFSRSNLPEMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDSYKDKDGFLYMCYSSEKTFGCPALA >PAN24652 pep chromosome:PHallii_v3.1:4:43676032:43677057:1 gene:PAHAL_4G242000 transcript:PAN24652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPFDSNQKASKGEDEGAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWSPVPDDDEKHGLGATEATVGSGGDERGGGGRGWKRQLVEVVVEWGEDKLLLLRRAKKRLALYLLGCHYGRPALPFRSGGGSGSCTAAMITSR >PAN25772 pep chromosome:PHallii_v3.1:4:50500775:50505366:1 gene:PAHAL_4G324800 transcript:PAN25772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPASRAADSAPADPSRPAASAPEPITVSSPRDHLHHHLIDRRDTPRGRAWEPELSRSGGAMDGAAAVKLVSGEAGYVLEDVPHVSDYLPDLPTYPNPLQDNPAYSVVKQYFVNPDDTVCQKIVVHKDGPRGNHFRRAGPRQRVYFEPDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYRGFYARNTITLTPKSVNDIHKRGGTILGSSRGGHDTTKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAVSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYIEKRLKDNGHMVIVVAEGAGQKLIAETMQSIGKDASGNELLLDVGLWLSQKINEYFKRNKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTIGQVNGRHCYIPFYRITEKQNRVSITDRMWARLLSSTNQPSFLCNKVIEEAKKEQERAAQLIDGSPSHRKLAGKVAGPNSGDTK >PAN22231 pep chromosome:PHallii_v3.1:4:240882:243758:1 gene:PAHAL_4G004800 transcript:PAN22231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAVLVAIAAAIGNLLQGWDNATIAGAVLYIKREFHLETQPALEGLVVATSLIGATIITTFSGPVSDAVGRRPMLIASSLLYFAGGLIMLWSPNVLVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSFGMFFSYCMIFYMTLGPQPRWRFMLGVLSIPSLAYLALTVLYLPESPRWLVSKGRMKEARAILQMLRGRDDVSGEMALLVEGLGSGGDTVIEEYVLGPASAAAEADAEHDTRDQVTLYGPEQGLSWVAQQVQGARSSVLGSAVELASRQGSMYEQMKDPVVTLLGSVHEKMPEAGGSSVRGSTLFPNLGSMLSVAERPGDWDEENVPPNDDLDEEDEEEYLSDEEGGGAGALQAPLLSRQSTDVETKKQQQRQAAAEGSTSGSSAMQRYSSVTGGGETASTMGIGGGWQLAWKWTEKVGPDGVKRGGVKRMYLHEDGGGGGGGGESGPGEYVHAAALVSRSMLYTKDVMIGQSPTPAFENPPETVASKAAAAGPRWRELLEPGVRRALFCGVMIQILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSADSTSILISGLTTLLMLPSIGLAMRLMDVSGRRTLLLWTIPVLIASLVVLIVANVVPMATTVHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFGFYAVVCCLALIFVYIKVPETKGFPLEVIIEFFNIGAKATAEQEQQPQLG >PVH48257 pep chromosome:PHallii_v3.1:4:48170557:48175116:-1 gene:PAHAL_4G290800 transcript:PVH48257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYYRVTMRRAQLAEIVNCRAKVKSNLLRIHTIEHCRMPHGRPFLEPILAHRPLQAYKSHSPTPAGSATTTSRAAATTTVCSCAPRGSKPSHANAAPPTAKAGASLQTSRSPPTGHHGERRFRRGLRRPVRRARRPRDLQLPRPPAGCGYRAMGASPAARRQRARALGAAGRGGVAPHRRRAHLPPPEPRRRPRGRQADAGGRQLRPVRVGGRPPPLLLRAAGWWGGSRRASSRARSGGAARVARCGDGDVLLGDDAYHSCARPRRRRRRRWRRRWSGARGGPGARAHPHQHRARSGGGARLPHRNGSWRQVLKPSWARATTTGYGQYSLWGYPGIAIFRAEFDYISIVNLVMCSYFQMNVVLNMVLVMVVICDYGSRVRGDPLSLLVYSEQTLLCHHLMHLMCEYFGAIFNLVLVLIDHLV >PVH48256 pep chromosome:PHallii_v3.1:4:48171516:48176715:-1 gene:PAHAL_4G290800 transcript:PVH48256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYYRVTMRRAQLAEIVNCRAKVKSNLLRIHTIEHCRMPHGRPFLEPILAHRPLQAYKSHSPTPAGSATTTSRAAATTTVCSCAPRGSKPSHANAAPPTAKAGASLQTSRSPPTGHHGERRFRRGLRRPVRRARRPRDLQLPRPPAGCGYRAMGASPAARRQRARALGAAGRGGVAPHRRRAHLPPPEPRRRPRGRQADAGGRQLRPVRVGGRPPPLLLRAAGWWGGSRRASSRARSGGAARVARCGDGDVLLGDDAYHSCARPRRRRRRRWRRRWSGARGGPGARAHPHQHRARSGGGARLPHRNGSWRQVLKPSWARATTTGYGQYSLWGYPGIAIFRAEFDYISIVNLVMCSYFQMNVVLNMVLVMVVICDYGSRVRGDPLSLLVYSEQTLLCHHLMHLMCEYFGAIFNLVLVLIDHLV >PVH48255 pep chromosome:PHallii_v3.1:4:48171044:48175116:-1 gene:PAHAL_4G290800 transcript:PVH48255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYYRVTMRRAQLAEIVNCRAKVKSNLLRIHTIEHCRMPHGRPFLEPILAHRPLQAYKSHSPTPAGSATTTSRAAATTTVCSCAPRGSKPSHANAAPPTAKAGASLQTSRSPPTGHHGERRFRRGLRRPVRRARRPRDLQLPRPPAGCGYRAMGASPAARRQRARALGAAGRGGVAPHRRRAHLPPPEPRRRPRGRQADAGGRQLRPVRVGGRPPPLLLRAAGWWGGSRRASSRARSGGAARVARCGDGDVLLGDDAYHSCARPRRRRRRRWRRRWSGARGGPGARAHPHQHRARSGGGARLPHRNGSWRQVLKPSWARATTTGYGQYSLWGYPGIAIFRAEFDYISIVNLVMCSYFQMNVVLNMVLVMVVICDYGSRVRGDPLSLLVYSEQTLLCHHLMHLMCEYFGAIFNLVLVLIDHLV >PAN25884 pep chromosome:PHallii_v3.1:4:50947046:50948193:1 gene:PAHAL_4G331600 transcript:PAN25884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSRASLDQKLALAKRCSREATLAGAKAAAVATIASGIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPDHLKNTSYQGVGRPHPAFFRP >PVH48222 pep chromosome:PHallii_v3.1:4:47583676:47586040:-1 gene:PAHAL_4G282400 transcript:PVH48222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MAPPAVAAAADDARFCLPWAAAGAAPFENWGDSGIVVTSPLTETTCTDADDASGDRQHAQGGAITQSVAAYMDSCAASKEGPCRDQKVQRRLAQNREAARKSRMRKKAYIVELESSRTKLAQLEQELQRARQQGMFIASGRTGDHGGSTGGASAFDLEYARWLDEHQRHMTDLRVALGAQIGDDDLRVLVDGAMLHYEQMFRLKGAATRSDVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLARHVEPLTEQQLVGICSLQQSSQQAEDALSQGMEALQQALADTLAAAAAGAGAGGAESVTNYMGQMAVAMGKLATVENFLRQADLLRQQTLQQMRRILTTRQAARALLVVSDYFSRLRALSSLWLTRPRD >PVH48223 pep chromosome:PHallii_v3.1:4:47583988:47585555:-1 gene:PAHAL_4G282400 transcript:PVH48223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MAPPAVAAAADDARFCLPWAAAGAAPFENWGDSGIVVTSPLTETTCTDADDASGDRQHAQGGAITQSVAAYMDSCAASKEGPCRDQKCLWSVLSVVGSWQVQRRLAQNREAARKSRMRKKAYIVELESSRTKLAQLEQELQRARQQGMFIASGRTGDHGGSTGGASAFDLEYARWLDEHQRHMTDLRVALGAQIGDDDLRVLVDGAMLHYEQMFRLKGAATRSDVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLARHVEPLTEQQLVGICSLQQSSQQAEDALSQGMEALQQALADTLAAAAAGAGAGGAESVTNYMGQMAVAMGKLATVENFLRQADLLRQQTLQQMRRILTTRQAARALLVVSDYFSRLRALSSLWLTRPRD >PAN23220 pep chromosome:PHallii_v3.1:4:5215576:5218595:-1 gene:PAHAL_4G076600 transcript:PAN23220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGDGSSNGNQQSARKEIRDETTPLLPVKVEEDDGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQASVIVNNVGVLIVYMIIIGDVLSGTTSAGVHHRGILEGWFGAHLWNSRPIVLLATALLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLVLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFRKKEVA >PVH47765 pep chromosome:PHallii_v3.1:4:15561034:15565292:1 gene:PAHAL_4G145300 transcript:PVH47765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRAFLGYVNIESHSCVHANRRADPIHIRHRLFLTAMAIHLSLRVAPPDLQQGGNSHISYVHVPAARMSIVIYITTAINSSLFPLTKHPLFLLVLCHNAYSGMSTTFFNKNNLQADDFLTLTTNSPTTSLAYQSSKHRKSNFGNLTKQATD >PAN25908 pep chromosome:PHallii_v3.1:4:51095987:51098718:-1 gene:PAHAL_4G333600 transcript:PAN25908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAMDRIATRLSAVEGLYFPSSFLSSSPAAGPPSPPRRRAELRALLARDAPLFLERYGSALSAGELAAFDALSPDYEVDWHLRRLRAAAAGAPPPAVRVRNRRRAYLDRLVREGEYFSEEAMREREPYLHHEYLGRFQDPLGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGPEEAMEEQEEEEEEEDEEEEEEEEEEKEEDISEEKGSEVDKPIATEVVANGAAAVDSNNGGGSAAGTFNQTLSSEEMQDQLEQFTFLMQQKFLSGEDTEHMDYSQIDNDEMLDDHWSREANYDAEEKYFEED >PVH48452 pep chromosome:PHallii_v3.1:4:51039646:51040772:1 gene:PAHAL_4G332600 transcript:PVH48452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARSREAKGLSAPDGASPSLSRTGDARPTLAATAPQASSSPSSLSPDAAPFHPSCSGGCMKSRRWADEDGEESDNDHPTTYLDAFIAQQSRWLLPRRAPRLVQSLFEVEAGRTLGSKNLGEGRGDVASRAPSWCTACLLGLWMVVSLTANTLGAVDESPPPTSMGGGRFSHYWRRNLWPPRFSIAKAAPAGPRRASR >PVH47786 pep chromosome:PHallii_v3.1:4:17614328:17615263:-1 gene:PAHAL_4G152800 transcript:PVH47786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNLFWDHAGHLHTDVLHWEGFPRLLWESLSLFFYTEPPQCDGVEYREEGVPRCRVKMTIPQHPFHSQWQPIEVDVVGYRLVDTIETAALEAIHIFCNQHPMEVAGYPIGLFPAIDSGDPKWNSRIAHYVHMLGDSAEEMLRGTIRFMNVQHHYQILLHRGMGQLIGIAQGHYRNADRQVTQIVELQALVTEKEEIIAAREETILHREDQINESDAIITQRNTIIEFLQEQIHDLILEVHDAHAHIDELQQQLVPLAVPVVPEGGEEDLEEIEGVSDLDSEHGDPEPNPQPDHSSSGSQSSVGNLDDF >PAN23542 pep chromosome:PHallii_v3.1:4:7061423:7067551:1 gene:PAHAL_4G100100 transcript:PAN23542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-I type histone deacetylase, Seedling root growt [Source: Projected from Oryza sativa (Os06g0583400)] MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPANEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPETELPEQDEDKENADERGDADSDVEMNDAKPLEDSGRRSSIQGVRMKKEPAETEGTDLDVNSVAAEHSRGSGPVADGVGSSKPTLVPSTERPGEEGRGIDDGTHGT >PAN23541 pep chromosome:PHallii_v3.1:4:7061423:7067551:1 gene:PAHAL_4G100100 transcript:PAN23541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-I type histone deacetylase, Seedling root growt [Source: Projected from Oryza sativa (Os06g0583400)] MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPANEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPETELPEQDEDKENADERGDADSDVEMNDAKPLEDSGRRSSIQGVRMKKEPAETEGTDLDVNSVAAEHSRGSGPVADGVGSSKPTLPNDASPMAIDEQGAQKVETESSNKLQEQPTMQQKP >PAN23544 pep chromosome:PHallii_v3.1:4:7061423:7068416:1 gene:PAHAL_4G100100 transcript:PAN23544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-I type histone deacetylase, Seedling root growt [Source: Projected from Oryza sativa (Os06g0583400)] MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPANEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPETELPEQDEDKENADERGDADSDVEMNDAKPLEDSGRRSSIQGVRMKKEPAETEGTDLDVNSVAAEHSRGSGPVADGVGSSKPTLPNDASPMAIDEQGAQKVETESSNKLQEQPTMQQKP >PAN24596 pep chromosome:PHallii_v3.1:4:43153842:43154971:-1 gene:PAHAL_4G237500 transcript:PAN24596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRVASGCYINSTRDTGAFSSSRASASAIHTSMAFKSPLLLGIVLASLLLLTQDVTAATDRLTDKAKESDGKPTGGDGANEEKMGHGHGYGYGGGGYSGGYGGGYGGYTPGHGWYGGGYGYYPGHGGGYGGGYGHPGHGGGYGHYHGHGGEYVSRHGGYGGGGGSSGGWH >PVH47596 pep chromosome:PHallii_v3.1:4:6928604:6929126:1 gene:PAHAL_4G098100 transcript:PVH47596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKEEAMAQGRRRQPWRRGGGGGHCAGEEEAAMARGRRRRRRGGGGGHGAGEEEAVQGGGGGQIWPWRCGSAGLGGTRRRRGSDLAGAQLPCSGHGQGRRGEEEAPRAGRHGSTGGRTNMDRRARRGRSECGAERLRPPDFLERPRSASEENIPRREPLRSTSLAAKHLQK >PVH48296 pep chromosome:PHallii_v3.1:4:48882318:48882860:1 gene:PAHAL_4G301500 transcript:PVH48296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCPSHPAEWVPPTSDTGSFPPDEAPVAGRSLPPAPPAVTPSLPALPSMPLPPSVPRRRPLGPRVPHRQAPGGGPIPPAHPARLADRRRSPAPSSTHASPVGGGPRPRAPALNGRTSAADFCHKIRHHWSPAEQFLAATSFAELLWTSSVPLRHRFAAGEAHPPPPFKLLPYRPSPSSIA >PVH47642 pep chromosome:PHallii_v3.1:4:7606586:7609219:-1 gene:PAHAL_4G105500 transcript:PVH47642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTVVRTTDAGYLTCRLIEVVQHIIVRRRDCGTIRGISVSPRNGMTEKLFVQTLSGRVLVDDIYIGSRCIAARNQDIGIGLNPFTCRSTSWICQLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTEGTADLVRSLSNGKIQFNKDLVHPTCTHHGQPAFLCYIDLDVTIQIQDIIHSVNIPLKSLILVQNDQYVESEQKNIQKHIYSESDAKMHWSTDVCHAPEYQYVSMCRSSVASFSLHKDQDQMNTYSFSVHGRDIFDLSIANDQVSHRLLDTFGKKDRKIFYYLTSDQIVSNGHWNFGYPSILQDILDLLAKKRRNGFVNPLQYHQEQEKELISRLGISIEIPFMGVLRRNTIFAYFDDPRYRKDKRGRLRGPIESTKNEHRTREGNGEYEILEDEYRTLEDEYETLEDEYGILEDEYRTLEKDSEEEYGSPENEHRIREGEGEYEILEDKYRALEEDSEEEYGSSEDGSEKEYGTLEEDSEEDLEDEYGSPEEDSILKKEGFIEHRGTKEFSLKYQKEVARFSSLKVLDNSIIGVNTQLTKNTRSRLGGLVRVKRKKSHTELKIFSGDIHFSEEADKILVVNWEQEEKEGACASLVEARINDLIRDFLRIELVKSTTSYTRRRYDRTSVGPIPSHCTKAA >PAN22709 pep chromosome:PHallii_v3.1:4:2450670:2452700:1 gene:PAHAL_4G039400 transcript:PAN22709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEKEARSRTANSSSMAELLCASRSKKRRAGEEGEESETAVAAAQEEDRISALPEDCDSAAHPSIRTGALSKRWRAAGPRRPPWLPQSPPPLRRCPEAAPRVTLAPRPAPPGPLHSHHEHEQAPAHNGASSTARTPSASSTTPHHIDAANRVVSMFSTFTFPPGCSHLARLVLRHITRVSFGCCRCPLLERLFVQLPSSSHDTFVDNSLEVAEEDEPDAVLFEVDDPR >PVH47814 pep chromosome:PHallii_v3.1:4:19717881:19718297:1 gene:PAHAL_4G159500 transcript:PVH47814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQFELARSVQLRPYNAISFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFIPFFQGFHNWTLNPFHMMGVAGVLGAALLCAIYGATVENTLFEDGDGANTFRAFNPTQAEETYSMVIANRFWSQIFGVAFFQ >PAN24634 pep chromosome:PHallii_v3.1:4:43547203:43550964:1 gene:PAHAL_4G240900 transcript:PAN24634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAILAARLLRRAATASASSSSPLSALARRALHLGRAPVPLPRRLPAAASSPAAAARRFLASQSPASSSKDSADENLRRVIESEIECVVQSEESLADKHIELPDDFPFEVIDNPGDQSITLKREFAGETIKAAVYTNFDTEEDLNEDDGSDNDEESFKPAIQMVVTVEKTEGPILEFDCNFNDEELAIESLRVLNRDNLDAENVYEGPPFSDLDESLQKALHRYLEVRGIKHSLHDWLYEYMMRKDEKEYVVWLKNMKEFIGN >PAN25900 pep chromosome:PHallii_v3.1:4:47747904:47748173:-1 gene:PAHAL_4G285200 transcript:PAN25900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLDVQSYTLQEAFDLFCEGRCINGPQWQHALEYWEESLRRPGKVLFLRYEEMLREPASSLRKMAQFMGCAFSEEEEDGGLVDAVVEL >PAN23282 pep chromosome:PHallii_v3.1:4:5592115:5595467:1 gene:PAHAL_4G081500 transcript:PAN23282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRKRAAPEGANGAGGPKRSRESETTQMGVGSKSKPCTKFFSTAGCPFGASCHFLHNFPGGYQAVAKMTNLGGPPVPAPPGRMPMGPGAPDGPPSPAVKTRMCNKYNTAEGCKWGSKCHFAHGDRELGKPMQMENSMGAPPMGPGPNGHFMPPPMPVPDMVPPSTFGASATAKISVDASLAGAIIGKGGTNTKHISRVTGAKLAIRDNEADPNLKNIELEGTFDQIKHASAMVTELIVRISGKAPPQAKNNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPAAA >PVH47780 pep chromosome:PHallii_v3.1:4:17354324:17354849:1 gene:PAHAL_4G151100 transcript:PVH47780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKNGRTPLANEIYERMVAKKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIQAEFEATLQAEREEAARKREELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNVLLRAVLRLQKD >PAN24917 pep chromosome:PHallii_v3.1:4:45819351:45821549:1 gene:PAHAL_4G262200 transcript:PAN24917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIQKQHLPFSFRPRTTVTLLSLRRHQCHLPTTRLSTTAAAAACSASRADPFAVEEYLVSTCHLTPAQALKASKVLSHLKSPSRPDAVLAFLSGLGLSDADIAAAVAYDPKLLCSEVERTLAPRLAELRDLGLSPSQIARLVLVDPARFRRPTVVSKLQYYVPLFGSFDNLLQAVRSNAYLLSSDLERVVKPNVAFLMECGLDACDIAKLSVPVPRLITTNPERVRAMVERAEAVGVPRGTGMFRHALLAVAFLSEEKIAAKVEFLKKTFRWSESEVAIAVSKLPLMLKHSKDRLRRMSEFLITQVGLEPEYIAHRPALLTYSLERRLMPRHYVVKFLKENGLLEQDRSYYTAVQVSENVFMEKFIHPYKEAAPSLAQDYAAACRGEVPTTLGFQEPCTGPDGGGR >PAN22500 pep chromosome:PHallii_v3.1:4:1449331:1452002:1 gene:PAHAL_4G023400 transcript:PAN22500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTPPPPAAASAAAAKAGAHSVFVYGSLMADEVVRAILKRVPPAAPALLPNYHRFNIKGRIYPAILPVESKKVAGMVIMGVTDEELQVLDAFEDVEYTRTRVEISLADSSEKMLADTYVWSDAEDSNLYGEWDFEEWKKLHMKDFLTMTNGFMHGLEQPEAKTRVETYQTFMQQQEHPTSETQVEG >PAN23828 pep chromosome:PHallii_v3.1:4:9627335:9627964:-1 gene:PAHAL_4G121500 transcript:PAN23828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPLPPTPGIVFPPPPPRPPPPPWSPPLPPPAHHRNSSSAGGAIAGISIAVAVLLFVVSCICSIILGQRRQSGANAAAEAAALGLRPPRAAAPDHQPRHGGQQPRGAALPEGEPRRASGTAGLPSFTYNRSVKQHNVTGGAGGEEAATCSVCLGAFQVGETVRLLPVCLHLYHVECIDPWLEAHSSCPICRTGTETAVDGGLLPLPPV >PVH48197 pep chromosome:PHallii_v3.1:4:46974164:46976391:1 gene:PAHAL_4G274600 transcript:PVH48197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPMSRATRAASRLVPEIPLLRRGSKQHRAAEEVAVPAHFVCPISLDLMRDPVTAPTGITYDRESVEGWLARGNARCPVTGRPLRLADLVPNHATRRMIQDWCVANRASGVERVPTPKVPLGDADAAEAVAAVSRAAGRGDVAGCGAAASRARALGKESDRNRRCLAAAGAARALAAAFGRLAGERVEGAGAASGALGEILAAATVFFPLDDEARRCIASPASLKSLVSVMAHGGELAARASAAVVLRELASSADERTLEAVSRTPGMCDAVVRLVRSPVSAPATKAALVTAYYLASASDRAAARLAEFGAVPALVELLVDADKGTSEKALAALDGVLGADAGLVAARGHALAVPVLVKKMFRVSDMATEFAVSALWRLCRAGDTGAAACRSEALRVGAFQKLLLLLQVGCVGVTKERASELLKLLNGSRSSVECIETVDFKGLKRPF >PAN22245 pep chromosome:PHallii_v3.1:4:329559:331635:-1 gene:PAHAL_4G005800 transcript:PAN22245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRTHRCPAPCVMEEWIRPGEESWASVRKFKLGVGLGPPEAGRNRRYAPRGRASFLSPALRARLSPSPPPLSPPSQRQACPPLSASPPVQESAMKGAKSKGAAKADAKLAVKSKGAEKPAKGRKGKAGKDPNKPKRAPSAFFVFMDEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTDADKAPYVAKANKLKLEYNKAIAAYNKGESTAAKKAPAKEEEEEEEEESDKSKSEVNDEDDEEGSEEDEDDDE >PAN22480 pep chromosome:PHallii_v3.1:4:1413456:1415694:1 gene:PAHAL_4G022600 transcript:PAN22480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAQAVLAVALAAILSTPAPQPDTFSNIPPTLSGGDGKAERIKHPRSAKALQCTTKCVGTCIRGGGGAPGEGPLNVRRPLVVFKDGFRTRQYCLIECSDICNRIKDGKDGP >PAN22482 pep chromosome:PHallii_v3.1:4:1413456:1415694:1 gene:PAHAL_4G022600 transcript:PAN22482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAQAVLAVALAAILSTPAPQPDTFSNIPPTLSGGDGKAERIKHPRSAKALQCTTKCVGTCIRGGGGAPGEGPLNVRRPLVVFKDGFRTRQYCLIECSDICNRIKDGKDGP >PAN22481 pep chromosome:PHallii_v3.1:4:1413439:1415694:1 gene:PAHAL_4G022600 transcript:PAN22481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAQAVLAVALAAILSTPAPQPDTFSNIPPTLSGGDGKAERIKHPRSAKALQCTTKCVGTCIRGGGGAPGEGPLNVRRPLVVFKDGFRTRQYCLIECSDICNRIKDGKDGP >PAN24828 pep chromosome:PHallii_v3.1:4:45380100:45382670:-1 gene:PAHAL_4G255400 transcript:PAN24828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEEHRTNFITASDFDFLSRHGINTVRIPVGWWITQDPYPPSPFVGGSLAALDLAFSWAQSYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPEYVSQTLEVIDFLVTRYGGHPSLLGIELLNEPSAASVPLDVLVSYYTRGYQIVRNHSSTAYVILCQRIGNADPIELFQAGIGLSNVVVDLHYYNLFDPYFASMNSTQNIEFIYKNRAPQLQALKDANGPLVFIGEWVNEWDVQNASQYEYQKFGGAQLDVYANATFGWSYWTLKNDRMHWDFEWNIRNKYLLFSASSLLKTPSFLLLLAFGWGACLIIMPR >PVH48181 pep chromosome:PHallii_v3.1:4:46461586:46463059:-1 gene:PAHAL_4G269700 transcript:PVH48181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACQPLHPHSAQKPSSPNSANPGYFLPRTAGAWLACGFLSLALLHLLCCSPPGTQQAVLSPLLQYFNGTYSSVSPAPSCDYSEGRWVRSPGHARRYNATACGVKDSEDCVRNGRPDTGYLDWRWQPAGGCPLPAFDAAAFLDAVRGRHVAFVGDSMARNQAESLVCLLGASPFPSRLVHRDADPGEFRFRRWAFPSHGVTVSVYWAPFLARATGRVDDYHLPYSSVHLDALAERWSSEADTMDVAVLSAGHWFLKWSMFYNGSEVLGAHMLPDSNHTEIGFASPFREVVRKSLERLLGSGGGGRTVVLATISPSHFEKAWDDPTTCARKAPYKDGEKEVDGEAAELRRVVKEEASAAAARNGGAATIKVLDVTKLATMRPDGHPGAYMHRDPFGPGKPEKMLNDCLHSCLPGPVDTFNEILLQLLLTKR >PVH48456 pep chromosome:PHallii_v3.1:4:51074387:51080439:1 gene:PAHAL_4G333200 transcript:PVH48456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTIFLANVGAGVFSRLVSHINPQSCNTSNMKSIMRLSLLPLLLLLLVATKTTALSRCIEKERDALFDLKATLKDSEGLLQSWRGLNCCSWYSVTCHKKTGHIIKLDLGNNNFSKESALTGDISPSLTHLTHLEYLDLRRNDFGGASIPKFIGSLKNLRHLDLHGAGFGRKIPPQLGNLSKLNYLDINFPNYEISSSSSVDSLQWLSGLSSLTYLDLSWWNLSAALDWLESLNMLASLQEVRLRFCNLQPTDLNSLSQSNFTVLDKIDLSSNSFNSTFPYWLTSIQTVSEINLAYCGLHGSIPKAVGNLTALTDLLLYKNSLEGAIPESIGRLCNLQILSLSDNNLVGDIDNLGKAMVGCMKKLIVIDFGSNNLSGSLSGWLGPFSRLLSIDLSHNSLTGSVPSNISQLVRLNELDISHNFLQGVLSEEHLDNLFDLSSLVMSSNSFKISVGANWVPPFQLYELKLHSCPLESQFPRWLRTQTRVETIDLHNTGTIGPLPDWLGTSLMSLSSLDLSNNLLTGKLPASVVHMKSLRFLRLDSNQLEGQIPDMPRSIDVLDLSNNSFSGPLPHNLGNSLRFAFLSNNHLNGSIPSYFCNMAWLQVLYLSDNILSGKLPNCWKQSSRIVGWTSRTTTLREKYHPASALLLHFFHCI >PAN22880 pep chromosome:PHallii_v3.1:4:3307444:3317642:1 gene:PAHAL_4G050600 transcript:PAN22880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MASASASPRTMRELMDALTAHLSLYHAAANPRPPASSSSSPRAAILRWLASLSPAARAAAATSHLSPAAAAALLSMLRRLRLRGHSSFFVLHSSSPSSAARGAEEPTVLSRLSRGLLARAAAGSRAHALLFANLLLFPSSSASSRCPDAITVAEAFLADLDGFVAAMDEISGGRFLCSGEGEVDLNALACQDFPELPWLNAKGYYVIEEFVANRLEIALRMSWAAAGGGGVGGRKAARVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRMRARIMGAFFGKGAVALANEMVGGSDIAWDNFSFCLGESGSFVADKSCECTRQSFFRKNRACSIDIANIMSCSKKPIFAKELKRLKLVEEIVCLKNNITCCGGDAIFFTSLSLAPTAADDILMKLRGLLMVVSTESINLELIGDGDPKKKDVEKISGGSRKGKKKSNTLKKLTASAKPSKDNGCSSSESRNCRPLPSQCHASAGGTADGPPSEETPCKEIIPTMKEQTVGLDDCKNQCNKKKNKRKGKTKLSNLTRPESPRSTKLKTGVPHIATDAAHKPVEEVDVSPHHPSYVHPSKSEISEAVSCSDSSILSNGTNIIASRKATKLENPTRVSSSVTTEHFQSANEYDAFNMDEQASSYISQSESMARTSSCLPSGINIFSSNNLHGSSVGSLVRSAQEKTGCDEKHVEHKSLATKDKLLPSVIPANMLQSAISDNGAVMKNSGGEYYVYNRNLLGGTSYEWPSVTPSHFVSPEMQQRPAAADRLHLDGYKWPTQFNQPFLSANHQVRNPPIDAGCNQMLPSLAVPLSFDWPPVFRGYGKNAAVSYDPLYTPQMQSSAWSGFPAQLMQRGGICSDSDIGDDSESYWFSEEESDSRAHSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVIRVVDDVANGIPSTHANGVSSPPSTPSCSQNESFDPAAHSITGNGINNEALTSPSSTQESPEDKSTSVAKSVSCGSEVVKGDTLPYSMLRPIVVPIPRRSSRSDIKAGHDHRSPCVPSTRRDIPLPRRPPSPVVLSVPRVPRPPPPSPAGESRKRGFPIVRSGSSSPRHWGMRGLFSEDKIFHRAQFCLDGPEVVWPSWGNKGTSSGTLVQSIEDTVLQDHLVKISQLSRDQHPDAALPVQPPDMLNGSSHKASLSLMHNALHEEIDQFCKQVAAGNLVRRPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLTNQDWVRSDSLKTVENTAIPVIMLVADVPCDTNTFNEYSSVLDSSQEYSVNVLGEQGSPPQSDTSSSEGSAMLVSSKLNKDDCDIVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAVVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNIFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFSSECSMPASSFNILKKIIPSIDSDGL >PVH47220 pep chromosome:PHallii_v3.1:4:404049:404689:-1 gene:PAHAL_4G007000 transcript:PVH47220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVTAFVASVLLLALVFVSYDAWCLEYPAPDPNACHGYRGLDYCRDECVAVGHGFRGGVCLKNPDGSYGDCLCVKCADQPPAADIH >PVH48226 pep chromosome:PHallii_v3.1:4:47680568:47681998:-1 gene:PAHAL_4G284100 transcript:PVH48226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGRRRVAGEGPGTKVPADISNQDPQLILRKRLRAEMEALRGLLRKAELLSGKTVGNGRAAARCGKDGRFLAAEHRSEATEAERTPCAKRRKTMPLAEIVVEPRMSADEISNLVARVASLSSNMPAHILEFLKEECTGHEDGNSGEIEIDLGSMRRSAMPELRKLLDEFAEGEKRRHQTDAASASRPISRSSPDQHEDGEIVVEEDDAIVDICVDASPTAAEQVLRSPPRLLEGGEIEEEAADMLVDICGDASPVATKTLAGPGNRPGSSSSSSSSSCSSGSSSDPSHSDSGDSGSDDESVTSSPAPAVLHNTSPGASPVGVPDKVLCSSPCSLEDGECRIEERGGAAAKFADHESVGGSLVPLILPERGDEPETALEALPIARDQSIPQATYRDLIARACQMLRRRRHPARQRAYEELEEMERNAKPIGDCVHPMHLRQLGITPVEHAVTSERRAPGRGSPVQRLLGLFLKAE >PVH47492 pep chromosome:PHallii_v3.1:4:5096883:5097812:1 gene:PAHAL_4G075600 transcript:PVH47492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFRDQEGHFHTNGLHWEGFPRLLWESLSLFHYTDPPQYDGVEYREEGVLRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKIFSAQHPAEVAAYPIGLFPTIDPGNLEWDFRTEHLGHMLGDLAEETVRNITRFMDVQHHYQMLLRHSMSQVTFAAQSHFRNTDRQVTQIVELQAMVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADEAQAHLEELQQQPILPTIPIIPEEEEEDLEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSVGNFDDF >PVH47641 pep chromosome:PHallii_v3.1:4:7605080:7606227:-1 gene:PAHAL_4G105400 transcript:PVH47641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIQAALKRANVDPSLVQEVYFGNVLSANLGQAHARQAAQGVSIRIFV >PAN25660 pep chromosome:PHallii_v3.1:4:49947893:49948619:-1 gene:PAHAL_4G316300 transcript:PAN25660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPAKKKNGNGNNGFDGTVDLVTDHIRKHSWYNICLLPDEMLTEIFLRLPPNARGILCASTVCKYWHKLITSYLFMRCFSTLHLPPLICGLISSRCNPRLVIRITSALNPNQVAKLKKVGFGDYLDMKICHTFRELGAWMLWHHDAEEMCFKFGYEDVL >PAN25659 pep chromosome:PHallii_v3.1:4:49946908:49949487:-1 gene:PAHAL_4G316300 transcript:PAN25659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPAKKKNGNGNNGFDGTVDLVTDHIRKHSWYNICLLPDEMLTEIFLRLPPNARGILCASTVCKYWHKLITSYLFMRCFSTLHLPPLICGLISSRCNPRLVIRITSALNPNQVAKLKKVGFGDYLDMKICHTFRELGAWMLWHHDAEEMCFKFGYEDVL >PVH47938 pep chromosome:PHallii_v3.1:4:31008970:31009950:-1 gene:PAHAL_4G192800 transcript:PVH47938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMNKVFMDYLDTFVVIFIDDILVYSKSEAEHEKHLRLVLQRLREHKLYAKLSKCEFWIDEVPFLGHVISKGGIAVDPGKVKDVLDWVVPQTVKEVRSFLGLAGYYWRFNENFSKIAKPLTSLLEKGVDFSWTEECQKAFEELKKRLTTAPVLTLPDQSKRFTVYCDASWDGLGCVLMQECRVIAYASWQLHRHELKYPTHDLELAAVVHALKIWRHYLFGQRCDIYTDHKSLKYIFTQSELNKRQRRWLELVKDYDLEIHYHPGKAKFVADALSRKSYVNMAVAFQMPQELCEEFEQLSLGFLHHTSGTSFEAEPTLEADIRQH >PAN23876 pep chromosome:PHallii_v3.1:4:10826821:10831262:-1 gene:PAHAL_4G128100 transcript:PAN23876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPFSSSHIPSSSCVPRRPPPSAFSPPTRTSASHAPVYRPRRRAVAAAASLHLGPGEIAELARNKVLIAATVASAIGQLSKPFTSGKKWGAGGAGFDAKTAFRSGGMPSTHSASVVAVATSLGLERGFADSVFGMSLVFAAIVMYDAQGVRREVGKHARVLNKFWVLREKVPQDSEVDMAPEFVSVTEEAISSPRTNASPSLRCSSRTESPRLSGLRSSEPEITEVTELNSSYMEKGYLLSESVGHTELQVTMGALLGFIVSLAVYATL >PAN23497 pep chromosome:PHallii_v3.1:4:6796493:6806849:-1 gene:PAHAL_4G096400 transcript:PAN23497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWIMSSLYSGSVCIWNYQTQTMVKSFEVSELPVRSAKFIPRKQWVVAGADDMFIRVYNYNTMDKVKMFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIMTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREEPVASMDSSGKIIWAKHNEIQTVNIKAVGADAEIADGERLPLSVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTDGEYAVRESPSKIKIYSKNFQERKSIRPAFSAERIHGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNVYWADSGDLVTIASDSSFYILKYNRDIVSSHLDGGASVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANTILPSIPKEQHNSVAHFLESRGMLEEALDIATDPNYRFDLAVQLGSLEIAKEIAVEARSESKWKQLGELAMSTGKLEMAEECLLQATDLSGLLLLYSSLGDAEGITKLASMAKELGKNNVAFLCLFMLGKLEECLQLLVDSNRIPEAALMARSYLPSKVSDIVSIWKKDLQKVNSKAAESLADPAEYPNLFEDWQIALSVESTIAPKRGVYPPAEEYMTYAERPNESLVEAFKSMNVEEEIPSENGDPAHEVIEDDGVEESQEDAVEVEPDGSIDSGVLVNGNDGEEHWVLTPDQ >PAN23496 pep chromosome:PHallii_v3.1:4:6795753:6807087:-1 gene:PAHAL_4G096400 transcript:PAN23496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWIMSSLYSGSVCIWNYQTQTMVKSFEVSELPVRSAKFIPRKQWVVAGADDMFIRVYNYNTMDKVKMFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIMTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREEPVASMDSSGKIIWAKHNEIQTVNIKAVGADAEIADGERLPLSVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTDGEYAVRESPSKIKIYSKNFQERKSIRPAFSAERIHGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNVYWADSGDLVTIASDSSFYILKYNRDIVSSHLDGGASVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANTILPSIPKEQHNSVAHFLESRGMLEEALDIATDPNYRFDLAVQLGSLEIAKEIAVEARSESKWKQLGELAMSTGKLEMAEECLLQATDLSGLLLLYSSLGDAEGITKLASMAKELGKNNVAFLCLFMLGKLEECLQLLVDSNRIPEAALMARSYLPSKVSDIVSIWKKDLQKVNSKAAESLADPAEYPNLFEDWQIALSVESTIAPKRGVYPPAEEYMTYAERPNESLVEAFKSMNVEEEIPSENGDPAHEVIEDDGVEESQEDAVEVEPDGSIDSGVLVNGNDVLTPDQ >PAN26182 pep chromosome:PHallii_v3.1:4:52435119:52438396:-1 gene:PAHAL_4G353800 transcript:PAN26182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVIKNEVGSFPPGKKITVVFVIGGPGSGKGTQCSKIVKHFGFTHLSAGDLLREEAKSDTEQGMMIKNMMHEGKLVPSELIVKLLFKAMLQSGNDKFLVDGFPRNEENRQAYDSIISIEPEFVLFIDCPKEEMERRILNRNQGRDDDNIDTARRRFEVFQESTMPVVQYYEKRGKLRRVDGAKSADEVFEDVKAIFVQLNTQANQGGNVSRARSNPFKRFLELFCGCFGTQEAPRQQTDK >PAN23527 pep chromosome:PHallii_v3.1:4:7265354:7267084:-1 gene:PAHAL_4G101500 transcript:PAN23527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGNRGPRSGGGGARSRGYDVKLWGPGRFMPGGVRGIGRYAMHTSVHDDRFAFGFEGECANILAQVKPYKAHKLGVVATKHQAEEHVMEPIR >PAN22930 pep chromosome:PHallii_v3.1:4:3574716:3578083:1 gene:PAHAL_4G054200 transcript:PAN22930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKFRPLMATAAKVRATPAVAASTAAAELDEHVHYKHTDACHHLRWTAKESYEYMYARPWSRVVDFYADLVRAGAGAAGLAKLFGKDEKDYTLDTTEEKNYLTPSEKQTATISSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDERKAKQLEARSLPIEGCAIVAGRTDKGVTALQQVCSFYTWRKDVKYGDIKHAINEAAPDKLKPLHVLEVAREFHPNFSAKWRRYMYIFPLDEDAKLILEEEHSSKVLENYDHNIKPQSFDVAKVDKILKKLAGKTLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLYSANEDYKEGMRVMCFELVANRFLRKMVRVLVATAIREAAAGAGEDALLNLMDATDRRATAPPAPPEGLCLVDVGYEDFSKQRCFIVD >PAN22648 pep chromosome:PHallii_v3.1:4:2126566:2128209:1 gene:PAHAL_4G033900 transcript:PAN22648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGRPLAVDFEALSYISSLVEAFQAFDSDNDGLVTAPELRGLLASLGLDKSEAEARDMLARADADRDGRLSVEELLDVMNAGELGLGALGDLLQSALPALEAAGGVLVGADELARALGVVGAASAEDCAAIVECLDGDGDGAITIEEFRLVADLL >PAN22444 pep chromosome:PHallii_v3.1:4:1316810:1321445:1 gene:PAHAL_4G020500 transcript:PAN22444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPRVSVPVTGLPVPPRRPPEHRRAGAVLRLRPFAHGDVAAGRSTTVLSRWPAELQPGQKKPSLPQIFTSSDQNICITEKPPPRESRVAVMKVELAAGTSQTGKAEETPPPSPAAAAAPSAAEDAPLLPDGGVRRRAGCGRFAQRSGSFRREVGRAAAETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSHVHRSVVYGDQPRNRLDLYIPTTTTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVEDASQGISFVCNNIASYGGDPNRVYLVGQSAGAHIAACALLNQAIRECGEGDTSSWSVSQIKAYFGISGGYNLLNLVDHFHRRGLYRSIFLSIMEGEESLQKFSPQVMIKESSARSAVSLLPHIILFHGTSDHSIPSAESQAFADALQQHGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIASVIHSEDPDVSADHHVVPVARRLVPEFMLKLAGRVSPF >PAN22443 pep chromosome:PHallii_v3.1:4:1316810:1321445:1 gene:PAHAL_4G020500 transcript:PAN22443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPRVSVPVTGLPVPPRRPPEHRRAGAVLRLRPFAHGDVAAGRSTTVLSRWPAELQPGQKKPSLPQIFTSSDQNICITEKPPPRESRVAVMKVELAAGTSQTGKAEETPPPSPAAAAAPSAAEDAPLLPDGGVRRRAGCGRFAQRSGSFRREVGRAAAETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSHVHRSVVYGDQPRNRLDLYIPTTTTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVEDASQGISFVCNNIASYGGDPNRYNLLNLVDHFHRRGLYRSIFLSIMEGEESLQKFSPQVMIKESSARSAVSLLPHIILFHGTSDHSIPSAESQAFADALQQHGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIASVIHSEDPDVSADHHVVPVARRLVPEFMLKLAGRVSPF >PAN24023 pep chromosome:PHallii_v3.1:4:28462485:28468001:-1 gene:PAHAL_4G185400 transcript:PAN24023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDTEAENKRAPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGTTKRPTCCVLVMTKPAKGELEGEVKEQLKTDYDQVTSEVAGVTSAMF >PAN24736 pep chromosome:PHallii_v3.1:4:40331149:40331640:1 gene:PAHAL_4G221800 transcript:PAN24736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPIATYEVCAPLHWDVEEWDFRYQSEDDESLTDGEDLALLLGAELEEDEDDATWGEDLSLSEEKTDSISSEEDPMAGTFLFNRSSDDTSDGREGAEDDDSFTSSSGGDDNDSRSDSSSSGTSIAPPSKRHKTSGVYWW >PAN22666 pep chromosome:PHallii_v3.1:4:2232629:2234488:-1 gene:PAHAL_4G035500 transcript:PAN22666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRSPAPAAALLALAVALALASAAAARHPYATVPPPAERADEEVRRMYASWKSEHGRPRGNCDLAGGEEEDRLRLEVFRDNLRYIDAHNAEADAGLHSFRLGLTPFADLTVEEYRGRVLGFRGRRSAARRAGSTRYLRRRRDQLPDDVDWRQLGAVTEVKNQEQCGGCWAFSAVAAMEGINSIVTGNLISLSEQEVIDCDSQDGGCNGGIMQNAFQFVINNGGIDTEADYPFTGTDGTCDANRVNEKVVTIDNFVDVATNNETALQEAVASQPVSVAIDAAGRAFQHYQSGIFNGMCGTRLDHGVTAVGYGSENGRDFWIVKNSWSDGWGEGGYIRMARNVPSPRGKCGIAMDASYPVKYSSNSNPAARAAMAVLEMVIA >PAN24761 pep chromosome:PHallii_v3.1:4:44926356:44928306:1 gene:PAHAL_4G249500 transcript:PAN24761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEGVVGPGITKRPPQQCPDARRAEAQEGTVEQDTGPWIARKKVTALAVCLVALPVLMTTVSRRDAPWTAASFWPLASTSTQEKLLGGLLVPGFDERSCLSRYRSAFYRKNMARSPSAHLVKRLREHEALQRRCGPGTEAYRAAAARLRSWHGDGDAPGACKYLVLVPYRGLGNNILAMASAFLYAVLTDRVLLLDRTTSLGDIFCEPFPGASWLLPQSFPVRNLQNLTGEVRESYRYLVQGDDAAASVSRRRYVFVDLDHSCTYHDKLFFCDDERRFLRRAPWLLMRTDGYFVPALFLNPAYQEELDRLFPQKDAVFYLLAHYLFHPTNKVWGLITRFHDSYLKNADERLGIQIRVFDGDTPFQHILDQILACTSQEHLLPDVVTQEPPRPPAAGTRSKAVLMTGLSSWYYENVRWKYWQSATATGEAVSVYQPSHEEHQLSGYTTHDMKALAEMYLLGMTDKIVTSGWSTFGYVGHGLGGLTPWVMFRPENHTAPSPPCRRAKSMEPCMHGPPFYDCRAKQGADTGKLVPHVQHCEDMSWGLKLVSP >PAN23983 pep chromosome:PHallii_v3.1:4:19854379:19855852:-1 gene:PAHAL_4G159800 transcript:PAN23983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAKAALVAAVALVAVALEVGLVGANFRDDCDITWEPQNAKMDEGGNHLTLSLVSNSSGCMLRSKKQFIFGSVSTRIKLVKGNSAGTVTTYYTSSIGDNHDEIDFEFLGNETGQPYTVHTNVFADGVGQKEMQFRPWFDPTADYHNYTIFWNECMIAWFIDSIPIRVFRNYSARGVPFPTRRQMYAFSSIWAAEDWATQGGRVKTDWNKAPFVAEYRDISLQVCDCAPSAGGAAGCPESCASPANWYAEPDLCQLSKAQLRQMRAVQLGYTIYDYCADGKRYNGTVLPECSMPQY >PAN24973 pep chromosome:PHallii_v3.1:4:41843426:41849927:1 gene:PAHAL_4G230700 transcript:PAN24973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGLIELTDSMVRQKGHAFHPSPVLFHKSGTVQSFSISFVFAILSTYPESGLAFFIAPNKNFSAAFPTQYLGLFNDQTNGDPHSYIFAIELDTVQNYDLHDINDNHVGININSVRSMQSYEAGYYDDKNGAFLNLTLNSHKAMQVWVDYNRETTQINVTMAPLNIAKPVRPLLSTTYNLSTVITNLAYMGFSSSTGTATGQHYLLAWKTFGINCPAPPIEITKLPRLGQKAQSKTLKITLPVAFIVLLLGACIISFVLVRRNLKNAELREDWEVEYGPHRFSYKDLFDATEGFRDKNLLGTGGFGIVYKGVLPVSRLDVAVKRVSHDSKQGIKVFIAEIVSIGHLQHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYGKDGKTTLDWTKRFQIIQGVASGLLYLHEDNVRLDARTNGRIGDFGLARLYDHGTIPEATHVVGTIGYLAPELARTGNATPLTDVFAFGMFILEVICGQRPIKINTEDGQLILVDWVLEHWHNGSLADTVDIKLQGEYDIDEACLALKLGLYFSMLALMQNDDLNPYIRSQCQSEMGFGTVSTISGGR >PAN22571 pep chromosome:PHallii_v3.1:4:1767045:1769186:-1 gene:PAHAL_4G028200 transcript:PAN22571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVLDWRALGSLLATLMVFRTAVRDFLPPEAKACLRRLLARVAAALRPPRGTVLIDEADGASGGANDHYDSAQLYLGARCLATAPAVRLHKPRHSPRPVASLPDAHATHDVFRGVRITWTSTARAVERGGGHGPYGAFGRGGGDQRTLELQFPRQHRDLIHDHYIPHLIAEAARMRLKSRERRLYTNRATGPGDDHHRLWSSHAFAHPSTFDTLAVDPALREEIRADLLRFAARRDHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRNKKKKGVGGGTDKETAAQLAMVSPAAAAAMAAAGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDPALLRPGRMDRKIELGYCTAPALRVLAKNYLGVGDEGCEDADADADPGAVTSLMAEAEGLLAAAAVRITPADIAEVFMGCDGAGAAAALRKLVDELRRRRDGAAAAAVAPDESAEDTTE >PAN24949 pep chromosome:PHallii_v3.1:4:46099176:46101651:1 gene:PAHAL_4G264300 transcript:PAN24949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTPPKSGTGFFKTCFNGVNALSGVGILSIPYALSQGGWLSLLIFMTIAIICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGKIIVAIFLYLELYLVAIDFLILEGDNLEKLFPNANFHVASLKIGSKQGFVLIFSLLVLPTTWLRSLNMLAYVALGGVMASVILIASVMWVGVFDGVGFHEKGVTANWSGMPTAMSLYAFCFSGHAVFPMIYTGMRNRKTFPTVLLICFIICTLSYGLTGTIGYLMYGESLSSQVTLNLPSKRFASNVAIYTTLINPFTKFALLITPIAEAIEDSLHVGKNRTVSILIRTTLVVSTTIVALIVPFFAYVVALTGSFLSSTVTMLLPCVCYLKISSRTSRNLRLELVACLGIIMIGAGVIVVGTYYSLKQIVHSF >PAN23330 pep chromosome:PHallii_v3.1:4:5829758:5830981:-1 gene:PAHAL_4G084100 transcript:PAN23330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALFLLVLVCAAHAGGKAKESSSAPAEGGGGSCDGGTCDITKMGATAGGKTDSTKAVQEAWTSACGGTGKQKIMIPKGDFLVGPLNFTGPCKGDVTIQLDGNLLASTDLSQYKANWIEILRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNMFQCKDIVIKDVTVTAPGDSPNTDGIHMGDSSGVSITNTVIGVGDDCISIGPGTTKVNITGVTCGPGHGISIGSLGRYKDEKDVTDITVKDCTLKKSTNGVRIKAYEDAKSVLTASKIHYENIKMEDAANPIIIDMKYCPNKICTASGGSKVTVKDVSFKNITGTSSTPEAVSLLCTDKIPCSGVTMDNVNIEYSGKNNKTMAVCKNAKGSATGCLKELACL >PAN26018 pep chromosome:PHallii_v3.1:4:51504089:51504455:1 gene:PAHAL_4G340200 transcript:PAN26018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNCTAQLYWCPPAVRSPAHRVAVMLALLGSYIRFRSLEIVHNSEVSSRTLSHLLF >PAN25151 pep chromosome:PHallii_v3.1:4:47375097:47389137:1 gene:PAHAL_4G279700 transcript:PAN25151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAVAFFGADELSVELAASFLRSGARVRCFVPEADRSASAALAELSGLLRCASPAEAARDSALVIVLTDADGVDELFFGVEGIAKGLCTGAAVLIRSTLLPSQLEKLEQKLADEKKDALLLDGYIFSGLSDELKQQIVVVASGRRDVAERARQFFNGLDKTIYFAEGEFCTSSKIRLVNDLLESIHFIASVEAMYLGVRAGIHPSIIYDIISNAAGSSRIFVELVPKLLSEDPLLIDFLNSSKKNASYVMDMAKAVTFPLPLLGVAYQQLIHGSSAVIGDGSASPLKVWEASFGVNIVDAASQQIYDASKLADQLVMESKSAKRIGFIGLGAMGFGMASHLLKSGFYVVAYDVYKPTMARFDDLGGSTKGSPEEVAKDVEILIIMVANEFQADSVLYGSAGAVPVLSAGTSVILSSTVSPGFVIRLNKRLEAECRDIKLVDAPVSGGVKRAADGTLTIMASGTDEALHCTGAVLSALSEKLYIIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFAARLNLRTRSVFEILQHSRGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSCESSNSRIPVHVSSIAHQLFISGSASGWGRYDDAAVVKVYETLTGVKVEGKPPLLSKEDVLHSLPAEWPEDPMDDLVSVASRNSKKILVVLDDDPTGTQTVHDIEVLTECTVEALVEQFLKLPTCFFILTNSRSMTADKAMLLVQTICRNLEAAAKNVPGVSYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTINDVHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTRGRVSEKQVSTISINLLRKQGPNAVCQHLCSLEKSSVCIVNAASEKDMAVFASGMIQAELKGKKFLCRTAASFVSARIGIKQKPPICPNDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCGQSLRVIEVSVEMVSMKSTEDRDQEISRVVELGNAYIQNRKDTLVVTSRQLITGKTPEESLDINYKVSSALVEIVRRIDSKPRYIIAKGGITSSDIATKALEAQRAKVMGQALAGVPLWQLGPESRFPGVPYIVFPGNVGDNSALAKVVRNWASPSRSSTKQLLLNAEKGGYAIGAFNVYNLEGVEAVVAAAEAENSPAILQIHPSALKQGGVPLVASCIAAAEQSSVPITVHYDHGTSKSDLLQALEMGFDSVMVDGSHLTLGENILYTKSVSSLAHAKGLLVEAELGRLSGSEDGLTVEEYEARFTDVAQAEGFIDETSIDALAVCIGNVHGKYPPSGPKLRLDLLKDLRALTLKKGVSLVLHGASGLPHELVQECIGLGVRKFNVNTEVRNSYLESLKKPEKDLIQVMASAKEAMKAVIAEKLHLFGSAGKA >PVH47321 pep chromosome:PHallii_v3.1:4:2214784:2215026:1 gene:PAHAL_4G035200 transcript:PVH47321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDWWARSSKKLGQQIRQGFNSIIILGAWLLWNHCNRCVFDGGSPNIVSLLIATLEELNLWGIAGAKGIKLLTSSSLEAG >PAN25373 pep chromosome:PHallii_v3.1:4:48538057:48539459:1 gene:PAHAL_4G296400 transcript:PAN25373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYLKKPFTYTVSLIVLLPLTLLCLTFLLPLSAYLSNPLTAAAAARACGGGTAAGVTVRAAPAAEGDDGVGSQRRPELSVLVGVHTMPGKHSRRHLIRMAYALQQTAALRAAARVDVRFALCARPMLPEHRAFVALEARAYGDVLVLDCAESAEQGKTYTYFASLPAMLGSGSGGGGRPYDYVMKVDDDTFLRLDALVETLRAAPREDMYCGAGLPFHDRQFPPFMLGMGYLLSWDLVEWIASSDMVREEAMGVEDLTTGKWLNMGNKAKNRVNLFPRMYDYKSAKAEDFLENTIGVHQLKPDLRWAHTLEHFNLTRVGPSSKLHSF >PAN24910 pep chromosome:PHallii_v3.1:4:45774793:45775612:1 gene:PAHAL_4G261300 transcript:PAN24910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFVLLALLALSASAATAVFIPQCSSPLSAAAMATIPQYFSPLTAVGSAHSFQQSYRQQQAFTTAISPLAVVLQQQLAFQHQIQAIAAVQQQQQVLSHLFNQLAVVNPAAYWQQQQLFPFNQLAVANPALYLQQQQLLPFNQLAALNPAAFLQQPIIGSAFC >PVH48177 pep chromosome:PHallii_v3.1:4:46391137:46393670:-1 gene:PAHAL_4G268600 transcript:PVH48177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLTKNLTKNLNHGVHLMNSLTNNLTKNLNHRVLTQSQKICGERTMKIKKKEVVTAEPSGQLLMLEAPPPPPSPSFNSPSALTRGRKRALMEEGNPAPTNSSGHQNVAVHKDKRCKRGNQKKDGSK >PAN25792 pep chromosome:PHallii_v3.1:4:50563708:50567402:-1 gene:PAHAL_4G326300 transcript:PAN25792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEKDTIDLSDLNAALPAAAAALSAEDRAGLVNALKDKLQSLAGQHADVLETLSPNVRKRVEFLREIQGQHDEIEAKFFEERAALEAKYQKLYEPLYTKRYEIVNGVVEVDGVSDEPTSDNAEEGKESDAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYIKDIKWSRIEDPKGFKLEFFFDTNPFFKNSILSKTYHMVDEDDPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFNPPQVPDDEEDIDEETADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQADDFEDMGDDDEDDDEDEDDDEDEEEEEDEDEEDEEEESKPARKSGSGRKQKVTQKVPHGNADQPAECKQQ >PAN22740 pep chromosome:PHallii_v3.1:4:2595841:2601722:-1 gene:PAHAL_4G042300 transcript:PAN22740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAQLVAWFLALAAAAGAVSAAGARPSEVAVGALFTYDSTIGRAARLAVELAVDDVNADGTVLAGTKLSLKSHDTNCSAFLGTVEALQLMKENVVAVIGPQSSGIGHVISHVANELHVPLLSFAATDPTLSALEYPYFLRMTISDYFQMNAVASIVDYYQWKRVTAIYVDDDYGRGGVSALGDALASKRAMISYKAAIPPNSNSDVISDVLFRANMMESRVMVVHVNPDTGMRIFSAAHKLQMMATGYVWIVTDWLAAVLDSSASRDLKDMSHIQGLIVLRQHTPESDVKNKFISKWNTVARNRSVTSGLNSYGFYAYDSVWTVARAIDQFLNSGQQINFSTDPRLHDSNGSTLRLSTLKIFDGGEQMLQQLVLTNFTGVTGPVQFGSDRNLVRPVYDILNVGGSGSHLIGYWSNHSGLSVAAPEILYQKPPNTSAQQLYNVVWPGDSTTTPRGWVFRNNGQALRVGVPNKASFKDLVSGRGPDNVTGYCIDVFNAAIKLLPYPVPVQFVTIGDGTKNPSYIGIVRMVAANTLDAAVGDFAIVRNGTAISEYTQPYVEAGLVIVAPVKQITPSAWAFLKPFTLEMWCVTGALFILVGIVVWLLEHRINEDFRGSPRRQVITIFWFSFSTMFYSHRENTVTALGRFVVIIWLFVVLIITSSYTASLTSILTVQQLDTGITGLDSLISSSLPIGYQNGKFTKKYLIQELNIPESRLVALNTIQEYADALNRGPKYGGVAVIVDEKPYIDIFLSYYCNFRIVGQQFTREGWGFAFQRDSPLAADMSTAILQLSESGQLQRIHDEWFTRPGCASDDDSQVGATRLGVGSFSGLFLMCALTCIFALVVFFIRICWQYKKYSSSEAAGEPSAADADAIQRRPSGLGSFKELLQFVDKKEEEIRRTMKRRSTDKDNQAAGSSDALSPY >PVH48043 pep chromosome:PHallii_v3.1:4:41273618:41291893:-1 gene:PAHAL_4G226200 transcript:PVH48043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEKTSDEQVEIPFKPARVILQDFTGVPVLVDFASMRDAMSRLGDDPDKINPMVPADLVIDHSVTADVVRMESAVQANMELEFERNKERFACLKWGSSAFHNMLIIPPGSGIVHQVNLEYLGRVVFNTNGVVYPDSVVGTDSHTTMINGLGILGWGVVGIDAEAAMLGQPMSMVLPGVVGFKLYGTLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGRGLAELALADRATIANMVPEYGATAGFFPVDHISLEYLKMTGRNDETVSIIEAYLRANKMFVDHDEPQIEHTYSSYLELDLRNVEPCVSGPKRPHDRVPLKDMKTDWHACLDNKCWFQEPKTYTSMKNQFQAWTTGWYQSQHISLILTSGYAIPKNLQDKVVKFDFHGQTAEIKHGSIVIGAITSCTNTSNPTVMITSGLVAKKACELGLEVKPWIKTSLAPGSGVVTKYLIMSGLLKYLSDLGFNLVGYGCTTCIGNSGDLDASVADAITENDVIVASVLSGNRNFEGRIHPLARANYLASPPLVVAYALAGTVDINFEEEPIATGKSNRPIFLKDIWPSSDKVAEVVQSNVLVNMFKSTYEAITNGNPMWNKLKVPTAGVYSWDPKSSYIREPPFFKGMSRTPPGPHSIKDAYCLMSFGDSVTTDHISPAGSIHKDSPAAKYLVEHGVNPKDFNSYGSRRGNYERYKANGQDTIVLAGAEYGTGSSRDWDAKGTKLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKSGEDMESLGLTGHEQYTIHLPTSVHEMRPGQDIVVATNTGKSFTCILHFDTEVELAYFDHGGILHYVVRKLISSE >PVH47512 pep chromosome:PHallii_v3.1:4:5298134:5298999:1 gene:PAHAL_4G077500 transcript:PVH47512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKCSSPEDARRAFAAAPERNVTMWTAVISGHGQQGRAAEALALFDRMAADGFRPNDVTFLAVLSACAHAGLVDEGLRRFASMSSDYGLAPRGPHYAAVVDMLARVGRIHDACELVKNLPDCQEHSVIWGALLGACRKHGGDVALVELAARRFFRLQPGNAGKYVVLANTYAAREMWDSVAGAREAMRALGVKKDRAWSAVEVLGKKHTFLAGDSYHDEYSAIYKVCTALASAVSEQSARATDGARHC >PVH48536 pep chromosome:PHallii_v3.1:4:52299960:52300326:-1 gene:PAHAL_4G351900 transcript:PVH48536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFARPRWRLHRAPRHHAHRRHRHRHRRAGQECSYHLWSLSPISSTHLLGNAILVGAILTIR >PAN22339 pep chromosome:PHallii_v3.1:4:829116:834251:1 gene:PAHAL_4G012500 transcript:PAN22339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWALSSALLLLFLLTTLPGPAKKLQVNAEESSDDLANPPKVEEKIGAVPHGLSTDSEVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKRYSEFINFPIYLWSTKEVDVEVPADEEETSEEEESTPETTEEEETEESEEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFGDDKPMAWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNNNKSNLKLYVRRVFISDEFDDLLPKYLNFLKGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKIAEEDPDEYSNKDKTDEEKSEMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSVGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALESENVDSVKISNRLHNTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESEGLKQTARLVYQTALMESGFNLPDPKEFASSIYKSVQKSLDLSPDATVEEEDEAEEQPEVEEKESAKEESEPSYDKDEL >PAN22314 pep chromosome:PHallii_v3.1:4:755387:757951:1 gene:PAHAL_4G011100 transcript:PAN22314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANYHHYQMAVHAAAAAAAAWREPDSPQLSFMSGCSSLFSISTLQDDDDGAVVIAGHALPSTPVSLAGFAGDEVDMEVQQISGGSGDDRRSIRMMRNRESALRSRARKRAYVENLEKEVRRLVDENLKLKKQCKELKLEVAALVLPTKSSLRRTSSTQF >PAN24678 pep chromosome:PHallii_v3.1:4:44141261:44144043:-1 gene:PAHAL_4G244100 transcript:PAN24678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGLLGGRRRLLGAAPAPASDGGGHVSGSGSSPDAMRIMVGVLVAVIACTLLYCVYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATGNFSKANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFLFDPSKSAQLGWSTRHNVILGIARGLLYLHEDSLLKVVHRDLKASNVLLDHKMSPKISDFGMAKIFEDDADAINTGRVVGTYGYMAPEFALEGTFSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTEDRAAEFMDPSLGRLYSKDEAWRCYHVGLLCVQESPDVRPTMSNVLLMLISDHAKLPEPAMPPLFTRLRNIPLSAVPLTTKTESTMSPQSINDVSITMIEPR >PAN23477 pep chromosome:PHallii_v3.1:4:6681150:6690996:-1 gene:PAHAL_4G095300 transcript:PAN23477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLSRIHLHPPAATISTARFLLPTSPADSKTLAPTPTLVPLRLVRRFAAMAAGAAEEFVKGRVFPNGVAVITLDRPKALNAMNLEMDIRYKALLDEWETNPSVKCILVESSSPRAFSAGGDVKRLANDCTMPEIIEVFTAEYSLICKIHEYAKPYICLMDGVTMGFGIGLSGHGRYRVITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGITGKRISSPADALFIGLGTHYVPSGNLGSLKESLLSANFTNDPHRDVESVLTGYKKEPESEPQLEKLLPHINSSFSPDKSVAESVEELKKCSQSGDAAVAEWANEALAGIKKGAPFSLCLTQRHFSQVASAYGSSEHYLSKLAGVMKMEYRIALRSSIRNDFVEGVRAVLVDKDQNPKWNPASLEEVNMGEVESVFEPLGAEAELSV >PAN23478 pep chromosome:PHallii_v3.1:4:6681150:6690996:-1 gene:PAHAL_4G095300 transcript:PAN23478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLSRIHLHPPAATISTARFLLPTSPADSKTLAPTPTLVPLRLVRRFAAMAAGAAEEFVKGRVFPNGVAVITLDRPKALNAMNLEMDIRYKALLDEWETNPSVKCILVESSSPRAFSAGMDIKGVATEIQKDKNTPLVQKVFTAEYSLICKIHEYAKPYICLMDGVTMGFGIGLSGHGRYRVITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGITGKRISSPADALFIGLGTHYVPSGNLGSLKESLLSANFTNDPHRDVESVLTGYKKEPESEPQLEKLLPHINSSFSPDKSVAESVEELKKCSQSGDAAVAEWANEALAGIKKGAPFSLCLTQRHFSQVASAYGSSEHYLSKLAGVMKMEYRIALRSSIRNDFVEGVRAVLVDKDQNPKWNPASLEEVNMGEVESVFEPLGAEAELSV >PAN25527 pep chromosome:PHallii_v3.1:4:49426551:49430928:1 gene:PAHAL_4G308600 transcript:PAN25527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTDSPPATPTAAGGGGGRRAPPRAARRSPSPHPPSPSLLAGILSDLAEIGGSLRGGFSRAATQHDHPAAESLQAAASPPASPPPPVAAAAAQVPDDVVGAARALAARPEAWIDFPVLALDENSIISDIQRDHLESIEKLVPDLASLRTRLSPSYMDEDVFWKIYFRLLESNINEHSSEEDNRSVPNSIHHINEIESDSPPHVCEIESVKSNQEGYQSSDGQAFRKTRSERSIDQWVFAKSKSEESMDQWSEIPSDVESFREGKRYLSSEELSDVDSANVVVMDKYMDSLLSDRRNLPYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFDILDS >PAN25768 pep chromosome:PHallii_v3.1:4:50485561:50491395:-1 gene:PAHAL_4G324500 transcript:PAN25768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNACGAAEARVLCCADEAALCAACDEEVHAANKLAGKHQRVPLLSDADAVATATPAVPKCDICQEASGYFFCLEDRALLCRDCDVAIHTVNSFVSVHQRFLLTGVQVGLDPADPVPPIAENHVNAVGGLVYQPAKHLPRRNPTVQFSGEGTASVPCKSLINGDYSRQNSVPTVRTEVVDWTMHNGAIQSVESPPKYMSEESPTLQQSSQATVAFSSQINSDSDRAYNLPFSGGNGTDSLPDWPVDEFFTNSEYGPNFSFAEHGSSKSDNAKLGSAGGSPQCRLAEGFVAEELLGQVPGLVTDEYMSRVPENSWAVPEVPSPPTASGLNWHGNLHFTAYDSTMFVPEISFLQSSQNQFAVPSGFKRRRRRY >PAN25700 pep chromosome:PHallii_v3.1:4:50208144:50211854:-1 gene:PAHAL_4G319600 transcript:PAN25700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGAGAEGGIQLLLTILADGEEQARQLGELAEDPRSRAEHYRGAARRLQCTLGKAVAVAKAVEAAPGSSRGTDRSDSPRSADESSGGTAAVEAPERQNLVCNKRRKGLPRWTAKFRVPDANLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRAAQGCPATKQVQRSDTDLCVFDVTYQGEHTCHQRQRHAAAVTAHGGGGSQSPPPPSHHEQQDPSMQLVVMGFKDALKVETEAPLYHQDHDYYDHGPASAPAALPFSFPSVPFHHHHAGELEAPDNNPAAAAAAAFSPPGSSSYFSAPPHHCPAVAGSYDVYDYESPGARMRGAESSELGEVVSRATGLDYSSLYHHTELDPHLPFPPFGGSSRGPYQ >PAN25701 pep chromosome:PHallii_v3.1:4:50208301:50211854:-1 gene:PAHAL_4G319600 transcript:PAN25701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGAGAEGGIQLLLTILADGEEQARQLGELAEDPRSRAEHYRGAARRLQCTLGKAVAVAKAVEAAPGSSRGTDRSDSPRSADESSGGTAAVEAPERQNLVCNKRRKGLPRWTAKFRVPDANLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRAAQGCPATKQVQRSDTDLCVFDVTYQGEHTCHQRQRHAAAVTAHGGGGSQSPPPPSHHEQQDPSMQLVVMGFKDALKVETEAPLYHQDHDYYDHGPASAPAALPFSFPSVPFHHHHAGELEAPDNNPAAAAAAAFSPPGSSSYFSAPPHHCPAVAGSYDVYDYESPGARMRGAESSELGEVVSRATGLDYSSLYHHTELDPHLPFPPFGGSSRGPYQ >PAN23976 pep chromosome:PHallii_v3.1:4:16107006:16112563:1 gene:PAHAL_4G147000 transcript:PAN23976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPAEETVAAPPPTPAAPAESASDPPLRSPADAASPEKVSPPAPASAPETRSRGFRLLGEDTSVHMALGGGKTADVLLWKDKKTSAVVIGGATVIWILFEVLDYHLLTLISHVLIGVLAILFLWSKATTFIKKSPPDIPLVQIPEDLVVNVSRALRNDINRALHLSREIAVGHDLKKFLGVIVGLWILSGVGSCCDFLTLIYIAVLMLHTVPILYDKYQDKVDHFARRAHTEARKQYEVLDAKILSKIPRGPAKPKKQN >PAN25031 pep chromosome:PHallii_v3.1:4:46430666:46432130:-1 gene:PAHAL_4G269200 transcript:PAN25031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWQPLHSLSTTAWLAAGFLSLALLHLLCCSPPVTQDAVFTPLLQYVNTTHSFLSSSSGRRSCDYSEGRWVWAPGHARRYNGTACGVKEGQDCLRNGRPDTGYLDWRWQPAGCRLPAFDARAFLSAVRGKHVAFIGDSMARNQAESLFCLLSAAAPHRVVHRDPEPHRRQFVRWAFPTHGVTVSLYWAPYLARSAGKSENYSMPYNLVYLDAPADRWAADADTMDVVVLSAGHWLLNSAVYHNGSGVIGVHAHPELNRTDIGYASPFRQAYRTAVERLSRSGRPRAVVLATFSPTHFENNRMDDPAACARKEPYRDGEKELNRLEEELRSIVVEEAEAAARMNGGDVRFEVLDVTKLAAMRPDGHPGPYMNRDPFAHGARERMQTDCLHFCLPGPVDTFNEMLQQILTKRR >PVH47717 pep chromosome:PHallii_v3.1:4:10770206:10770940:1 gene:PAHAL_4G127800 transcript:PVH47717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDTMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKTARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRAANAEYSLAALQAQVQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEKESP >PVH47691 pep chromosome:PHallii_v3.1:4:9055051:9058309:-1 gene:PAHAL_4G117300 transcript:PVH47691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYPCTWQVSMLSLLTHLLLFASSSVPIYGVNDDLSALLSFKSYITNDPGQALSSWDAADNGTNKPSADFCRWDGIACNDRRNPGRVTAIRLRGSDLGGTISPHLGNLTHLQDLDLSQNNLLGEIPVSLGRCTELRTMNLSVNQLSGSLFPDPLGHLSKLKVFNVRHNNLTGVIPMTLSNLTALTNLSVESNYLQGQIPSWLCNLTSLAAIDLALSGLDGQIPAELGKLTKLAFLMIQSNQLEGPVPPSIFNTSSMEHLDLSFNQLSGSLPHDIGFQLPNLKFFGTTGNQFEGLIPASFSNVSALEYFLLRHNQYHGLIPRDIGRHGIMPITIANLSKELSWLSLARNQITGIIPAGLGMFQRLTKLNLEDNLFTGALPVDIGRLPSLQDLDLSHNRFEGQIPQSFGNITKLSKLYLSNNFLDDTIPTSLGNLRTLILSIPSLTILLNLSNNALSGSIPAQIGHLINLVSIDLSMNKLTGEIPDAVGSCVQLRLLHFQGNLLQGKIPNGLKTLGVLENLDLSSNNLTGPIPEFLESIKTLNHLNLSFNNLSGPVPDTGIFCNATILSLIGNSMLCGGPPFLQFPSCASVRSQHQMHLLIVFSILGTLIFLLFSIPTYCCIQRRVKPNIVDQENLLLSETHERISYDELRSATHFGNVYRGTFVVDENLATTVAIKVLNLNLRGASRSFLRECNALRRIRHRNLVQVITVCSGLDHNGGEFKALVLEFICNGSLDEWLHPNIMTNSLTAKRLSLMRRLYIALDVAAVLEYLHHNIEPPIVHCDIKPSNILLDDDLVAHVTDFGLAKIMHAEVWKKNHGGSESSSLAVKGTIGYVPPEYGSGYGVSTDGDIYSYGMLLLEMLTGKKPTDSFNLGETSLVNYVKMAYPNKLLEILDASATYSGNTQDVMDLVIHPVFRLALACCQGSPRRRIKMDCVVEELNAIKKACAALMGVHDEANVAT >PAN23431 pep chromosome:PHallii_v3.1:4:6321394:6325767:-1 gene:PAHAL_4G090400 transcript:PAN23431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAKPPSPGSGAAAHIHGHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPTAASAEPLFVEAKLRQQMRAEERPPPPAVPRIAYLISGSAGDGAALRRTLRALYHPANTYVVHLDLEAPAAERAELAAAIRADPVYARFRNVRVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEWQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTVDDFEGMLNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTYLLNTTEKGGPFTVERVQDLRPGPGVDRLKKLVTGLLTQEGFDDKHCL >PVH47825 pep chromosome:PHallii_v3.1:4:20434659:20445073:-1 gene:PAHAL_4G161900 transcript:PVH47825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSLLSRRKRSWRANELVSRSTLQLLDFDDGSPPEHAWRRKLSSHANRLKEFNVTFREAIRMMKLGLRLWSYIREEASHGRKAPIDPFTRESNRPSASQGVPLGGMGSGSISRGFRGEFKHWQITPGYCEMSPVMANQFSIFVTRGGNKKYASVLAPGQLDGLKKSSDDGISSWDWKLKGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYEESSLPTSVFVYTLVNTGKERAKVSLLMTWANSIGGLSHHTGDHVNEPFIGENGVSGVLLHHKTANNNPPVTFAIAACENQNVNVTVLPVFGLSGESSVTARGMWGTMVQDGSFDRDNFNTGASIPSSLGDTVCAAVSASTWVEPHGRCTVVFALAWSAPKVKFKKGSTYYRRYTKFYGTSPRSAVNLVQDALMKYKHWEEEIDKWQTPILHDDRLPEWYKITLFNELYFLVAGGTIWIDSKSLVVDADNKSSSSPLEDGDSPLHDSTCNSTVPLIGFDPHEIDDKENVGKFLYLEGIEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDNSRVRFLADGAWGIRKVIGAVAHDLGAHNPWHELNAYNIHDTSRWKDLNPKFVLQIYRDFAATGDMSFGKDVWPAVCTAMEYMGQFDHDGDGMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHDDYAERCMARFAKAKSVFEARLWNGSYFNYDSGTSYNSRSIQADQLAGQWYTASSGLPPLFEEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMEHQAFITAEGIYIAGWSEEGYGYWFQTPEAWTVDGHYRSLIYMRPLAIWAMQQALSPPMSILEAPKVNTMDRAHISPGTFQFLQDSVRKMTPKNGCFGNNVFNWDC >PVH47826 pep chromosome:PHallii_v3.1:4:20434659:20445128:-1 gene:PAHAL_4G161900 transcript:PVH47826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSLLSRRKRSWRANELVSRSTLQLLDFDDGSPPEHAWRRKLSSHANRLKEFNVTFREAIRMMKLGLRLWSYIREEASHGRKAPIDPFTRESNRPSASQGVPLGGMGSGSISRGFRGEFKHWQITPGYCEMSPVMANQFSIFVTRGGNKKYASVLAPGQLDGLKKSSDDGISSWDWKLKGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYEESSLPTSVFVYTLVNTGKERAKVSLLMTWANSIGGLSHHTGDHVNEPFIGENGVSGVLLHHKTANNNPPVTFAIAACENQNVNVTVLPVFGLSGESSVTARGMWGTMVQDGSFDRDNFNTGASIPSSLGDTVCAAVSASTWVEPHGRCTVVFALAWSAPKVKFKKGSTYYRRYTKFYGTSPRSAVNLVQDALMKYKHWEEEIDKWQTPILHDDRLPEWYKITLFNELYFLVAGGTIWIDSKSLVVDADNKSSSSPLEDGDSPLHDSTCNSTVPLIGFDPHEIDDKENVGKFLYLEGIEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDNSRVRFLADGAWGIRKVIGAVAHDLGAHNPWHELNAYNIHDTSRWKDLNPKFVLQIYRDFAATGDMSFGKDVWPAVCTAMEYMGQFDHDGDGMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHDDYAERCMARFAKAKSVFEARLWNGSYFNYDSGTSYNSRSIQADQLAGQWYTASSGLPPLFEEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMEHQAFITAEGIYIAGWSEEGYGYWFQTPEAWTVDGHYRSLIYMRPLAIWAMQQALSPPMSILEAPKVNTMDRAHISPGTFQFLQDSVRKMTPKNGCFGNNVFNWDC >PAN24911 pep chromosome:PHallii_v3.1:4:45775961:45783080:-1 gene:PAHAL_4G261400 transcript:PAN24911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDNDEKNLVVTEECTSPGENCEDEGDLSRKTEMLNVEESTNSSNVGLSNESEAQIEEGGNSEKHLNGQMNESTSSDAMEPLHSNQITKEILAEDKSEEPVFDGTEVPEMEEMRRSSNQSVELDSEAQGSVINERAIAIKNFVKEKSVIAVSTFMRRLSGKKDENEFKVEVDKSDGSKCIDSEKTGSDSEPKPKEVQQKTDERTAWNPLNLIKIGRDFDTFITGEAGHKDAPDLSEQSKVKGRIIIYTKLGCEDCKMVRLFLHQKRLKYVEINIDIFPSRKLELEKNTGSSTVPKVYFNDQLIGGLVELKKMEDSSILDENIGVLFKEEPSSSAPLPPLPGEDDESGSGKMDELATIVRKMRESVTPKDRFYKMRRFSNCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFFRHVLDENVFEDGNHLYRFLDHEPIVMTQCYNIPRGIIDAAPKPIAEVASRLRLLSYAIFEAYVSVDGRHVDYRSIQGCEEFKRYIRTIEELQRVEIDDLSREEKLAFFINLYNMMAIHALVTCGVPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNIAKPFGQKDQRSKVALPYHEPLVHFALVCGTKSGPALRCYSPRDIDKELMEAARDFLRNGGLIIDRDAKVASASKVLKWYSTDFGKNETEVLKHAANYLEPAQSEQLLELLASTQLKVVYQPYDWSINI >PAN24912 pep chromosome:PHallii_v3.1:4:45776125:45782575:-1 gene:PAHAL_4G261400 transcript:PAN24912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLFCIMESTDNDEKNLVVTEECTSPGENCEDEGDLSRKTEMLNVEESTNSSNVGLSNESEAQIEEGGNSEKHLNGQMNESTSSDAMEPLHSNQITKEILAEDKSEEPVFDGTEVPEMEEMRRSSNQSVELDSEAQGSVINERAIAIKNFVKEKSVIAVSTFMRRLSGKKDENEFKVEVDKSDGSKCIDSEKTGSDSEPKPKEVQQKTDERTAWNPLNLIKIGRDFDTFITGEAGHKDAPDLSEQSKVKGRIIIYTKLGCEDCKMVRLFLHQKRLKYVEINIDIFPSRKLELEKNTGSSTVPKVYFNDQLIGGLVELKKMEDSSILDENIGVLFKEEPSSSAPLPPLPGEDDESGSGKMDELATIVRKMRESVTPKDRFYKMRRFSNCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFFRHVLDENVFEDGNHLYRFLDHEPIVMTQCYNIPRGIIDAAPKPIAEVASRLRLLSYAIFEAYVSVDGRHVDYRSIQGCEEFKRYIRTIEELQRVEIDDLSREEKLAFFINLYNMMAIHALVTCGVPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNIAKPFGQKDQRSKVALPYHEPLVHFALVCGTKSGPALRCYSPRDIDKELMEAARDFLRNGGLIIDRDAKVASASKVLKWYSTDFGKNETEVLKHAANYLEPAQSEQLLELLASTQLKVVYQPYDWSINI >PAN25013 pep chromosome:PHallii_v3.1:4:46332136:46336736:-1 gene:PAHAL_4G267600 transcript:PAN25013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLGRGNRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISAVNTRHLEDIFNRYKEPDADMIMVEGISQLCNDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKFRAKLPSLRAEIKDDNKFREIYNFAFTWAREKGQKSLSLETAIGMWQLLFAERNWPLLDHWCQFLQVRHNKAISRDTWAQLLEFVKSIDPQLSNYDDEGAWPYLIDEFVEYLTENGLVQRTK >PAN25357 pep chromosome:PHallii_v3.1:4:48471110:48476279:1 gene:PAHAL_4G295100 transcript:PAN25357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSGSYFSPWPVNSASESYSLADGSVESFGEGSMPPSSYFMTARSDHSLKFSGHEQDSAMLTNERLTYVGTGQADLLPGEILSRDKIPENLLELQQLQNNGNLQSNLVNPRVLQRTSTPGGFHQQLNTSCLSEMPHALSSSIDSNSSEVSAFLADVNAVSSASTLCPTFQNHPSFMEPVNIEAFSFQGAQSDAILNKTSHPNRNISVFDNAALASLHDSKEFISSRLPSFSSVQETNLAAIGFKTQKQEQNPMCNVPIPAFTAHNQMAVTTTQGAQIPQQMPSLVNENKSERPVSHPSDVQNQANSAGNGVGMKPRVRARRGQATDPHSIAERLRREKISDRMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLTESQTEGCHGQPLSAPTDAQGLLDAQDSEDALAFEEEVVKLMETSITSAMQYLQNKGLCLMPVALASAISTQKGVAAAAIPPER >PAN23361 pep chromosome:PHallii_v3.1:4:5992445:5995734:-1 gene:PAHAL_4G086500 transcript:PAN23361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDGAKSRRAASDKMTKLRELLHKSDNRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHVSKVLSITLDQWSDDEINSMIEVGGNSYANSIYEAFIPEGYHKPHPDSSQEERADFIRSKYELQEFLKPSLRIVSSKSSLEATYSRKHMGSNASHSAGMVEFIGILKVKVIRGTKLAVRDLISSDPYVVLILGQQKAKTSVVKRNLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDKMGEAEIDLQPMISAATAFGDADLLADMQIGKWLKSPDNALARDSPVNVVNGKVKQEVSLKLQNVESGEVDLELEWIPLNQ >PAN24874 pep chromosome:PHallii_v3.1:4:45575618:45576919:1 gene:PAHAL_4G258600 transcript:PAN24874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGAEMARSFPAAGGDGEVSAWGAAAVFLAAETNRARTVDPVIWGDEKRMKRELVAWAKAVASMAAAGKNASPSTSPSSPWPSTRRRE >PAN22950 pep chromosome:PHallii_v3.1:4:3661283:3665183:1 gene:PAHAL_4G055300 transcript:PAN22950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MLLHFLSSSSASSPLCPRFLLHPNPPASLRFLAMSAAASSSSSRPVRGAAVPVPSLSADETGAVADEAFQRHTSPSLRRGGAGVAVVWFRNDLRVLDNEALVRAWAASEAVLPVFCVDPRVFEGSTHYFGFPKTGALRAQFLIECLGDLKHNLKKKGLDLLVRHGKPEEILPSIAKAVSAHTVYAHKETCSEELFVECLVRQGLEQVQITQGGTSGQKKSPNPRLQLIWGATMYHIDDLPFTVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPSSGLDEIGGWGAIPTLESLGLSVTKSEKGMHSKGGENAALGRIHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSAKYGNSIFHLGGPRKVVSKWSQDQALFESWRDGRTGYPLIDANMRELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKMYDPEGEYVSYWLPELRSLAKERRNFPGASYIRQIVPLKFDGGNQKKDQQFNRQRRPNMCRRR >PVH47413 pep chromosome:PHallii_v3.1:4:3661283:3665183:1 gene:PAHAL_4G055300 transcript:PVH47413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MLLHFLSSSSASSPLCPRFLLHPNPPASLRFLAMSAAASSSSSRPVRGAAVPVPSLSADETGAVADEAFQRHTSPSLRRGGAGVAVVWFRNDLRVLDNEALVRAWAASEAVLPVFCVDPRVFEGSTHYFGFPKTGALRAQFLIECLGDLKHNLKKKGLDLLVRHGKPEEILPSIAKAVSAHTVYAHKETCSEELFVECLVRQGLEQVQITQGGTSGQKKSPNPRLQLIWGATMYHIDDLPFTVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPSSGLDEIGGWGAIPTLESLGLSVTKSEKGMHSKGGENAALGRIHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSAKYGNSIFHLGGPRKVVSKWSQDQALFESWRDGRTGYPLIDANMRELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGVGNDPREDRYFSIPKQAKMYDPEGEYVSYWLPELRSLAKERRNFPGASYIRQIVPLKFDGGNQKKDQQFNRQRRPNMCRRR >PVH47811 pep chromosome:PHallii_v3.1:4:19594248:19595075:-1 gene:PAHAL_4G158900 transcript:PVH47811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREDPIMYEELPAEHKQKYDEIKALFEADLIGSFEKTRHHGVRWKGFSPEGALDNVDLSTPSEDRTRALRQEVNYMVAHSLHWHSESLVNAFERVALCVVQEILNHQYSPTGPTLGSSKGELSFQARPPRPYALAAPKSHGSSAYVVYKVGGDPVDHQFFSDPPKEIPHGYMCAYIPDSNNPVHSVQRAAGGVSGVDADKQAWLAAYATGPTHDSTHSALGAQMVDQISAILRDQFGILPKRRAIGYTKPYPGDYDLIPLPPKYRLLEFTKLSG >PAN23951 pep chromosome:PHallii_v3.1:4:19143345:19146996:-1 gene:PAHAL_4G158000 transcript:PAN23951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) UniProtKB/Swiss-Prot;Acc:O49323] MSGGGSDYANYTVLMPPTPDNQPYSGGGGAPSSTSAGGSKPDDFPLPPYGPSASTKLVNRRGGAGGDDGAGGVSGKMDRRLSTARVPAPSKSLLLRSQTGDFDHNRWLFETKGTYGIGNAYWPQDNNAYADDDGGGAGGGPVKMEDLVDKPWKPLSRKVAIPPGILSPYRLLVLVRFISLFLFLIWRATNPNLDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAVDLAALREKFESVTPTNPTGRSDLPGLDVFISTADPYKEPPLTTANSLLSILSTEYPAEKLFVYISDDGGALLTFEAMAEACEFAKVWVPFCRKHSIEPRNPDSYFNQKGDPTKGKKRPDFVKDRRWIKREYDEFKVRINGLADLIRRRANAMNARERKLARDKQAADVPTVKATWMADGTHWPGTWLDSAPDHAKGDHASIVQVMIKNPHYDVVPGDAASHPYLDFTGVDVRVPMFVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCMAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFNPPRTNEYRGIYGQVKVPIDPHGHHHPGPEELRPLPEHPDHEAPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRPPLDAATVAESVAVVSCWYEDGTEWGLRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFLASRRLKFLQRLSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVIAGIEISFTLTAKAAAEDDDDPFAELYLVKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITVSLLWITISPPDDRIAQGGIDV >PAN25328 pep chromosome:PHallii_v3.1:4:48295239:48296072:-1 gene:PAHAL_4G293000 transcript:PAN25328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATGSSSSSTTRGRGRHAYAPSPSGKRKYRGVRRRGRGRWVSEIRRPNSRRRICLGSFDTSEKAARAFDAAHVCFRGPGAMDGLNFPGSPPAVGRTSHLREVRAAAVSHANHAAATPAVMTTAARPGNVWPRCRTPAEPSVPPQRRGRRQALPRRARWWKVSPAPPHVYAAAASHANQAAEADAAPEAATAGDRGLPVERVAEPAPLQVSAERLNWSQLVANPPPLYLPTVTGSHKHLPITSTAAPPDDSEENEDRPCPGLWSFDSGGSCFRH >PAN23760 pep chromosome:PHallii_v3.1:4:8836979:8838153:-1 gene:PAHAL_4G114700 transcript:PAN23760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARREDDSYTNGSVFEEASVEEGRKDKSEAYAEDVDGQQSGEAGDALCGVPASVAFIQQLIAEFLATFFLIFAGCGVITVNEKNGMATFPGVAVVWGMTVMAMIYAVGHVSGAHINPAVSVGFAISGRFPWKKVPAYMVVQTVAATAASLMLRLMFGGRHEAAPVTVPAGSNNMQSLVLEFIITFYLMFVIMAVATDDRAVGQMAGLAVGGTIMLNALFAGPVSGASMNPARSIGPALVGSKYKALWVYIFGPFAGAAAGAWAYNLVRRTDRTLGEITKSATSRPAN >PAN22380 pep chromosome:PHallii_v3.1:4:1022797:1024633:1 gene:PAHAL_4G015700 transcript:PAN22380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAHRLDFQSCFHDGSKILTETALLSVSQRCLHASCNSSFFSSSFEKECWLQLQHGIIHAGSIALVRKGKMCCIIYVNVMYLKSFRL >PAN25005 pep chromosome:PHallii_v3.1:4:41942495:41947699:1 gene:PAHAL_4G231000 transcript:PAN25005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHRSLVRLLVLCLLCGASLAAPRRYLSVSMDELLSSKAHLDCPPLKKSVTTSGNKLTIPASCGLPPKCCGAGESIGRHVLNHDINRLSTLLQRSAGASSAAPPPLARPIPGPPAVTIPDTSGAYLGTLEFVVTVGFGTPARAYAVVFDTGSDVSWIQCQPCSGHCYKQHDPIFDPTKSATYAAVPCRNQECRAANGSCDGNGTCIYDVEYGDRSSTSGVLSHETLSLTSSSALHGFVFGCGEKNLGSFGDVDGLIGLGRGKLSLPSQAAAKLGATFSYCLPSHNGTQGYLTIGSTPVSDKVTYTAMVQKPDYPSFYFVELVSIDIGGYVLPVPPTVFTSPGTLLDSGTILTYLPEEAYAALRDRFKFTMKQYKPAPAQDLLDTCYDFTGQRAIFIPAVSFKFSDGAVFDLDFFGILIFPDDATAIGCLAFAARPQTMPFNIVGNTQQRSAEVIYDVAAEKIGFVPGSC >PAN24955 pep chromosome:PHallii_v3.1:4:46127448:46130499:-1 gene:PAHAL_4G264800 transcript:PAN24955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTAMSLTTTSRLPICRAHDVSGKQAAPQKRASSSAKATPPDAAATGLSRRRVLQSAGLGLGLGLAAARPGRERAEAVAAAPPALAPEDVTSNRMSYSRFLDYLDAGAVRKVDFFENGTVAVVELDDPALASRVHRVRVQLPGLPAELLRKLRDRGVDFAAHPVEPNPGLGLLDFLLNFGFPLLFIATLIWRSVTMNNPGAGGGPNLPFGLGKSKAKFQMEPNTGIMFDDVAGVDEAKQDFQEIVQFLKSPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDSGVIVIGATNRPEILDDALLRPGRFDRQVSVGLPDVRGREEILRVHSSNKKLDPDVSLSVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVKEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGEDPTLVSKQQIFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALVEPAAQSGDVVLRMLARNSMSEKLAADIDGAVKHIIDQAYEVAKEHVRRNRAAIDQLVDVLMEKETLSGDEFRAILSEYVDIAKEQRETAARTELVTA >PAN23521 pep chromosome:PHallii_v3.1:4:6962936:6966682:1 gene:PAHAL_4G098600 transcript:PAN23521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSLREGFDRVAEKRALSSAKALEAVDHLVNEVEQAIVKLQMMNTDSTGNVDHTTILAELKAKLNEMAPLNQLEGSQKELNVALSKYLKLLEKSFNPDISKAYRNVDFEVHTVNNIIANHFYRQGLFDLGDMFLRECGESGGASLKLPFQEMYGILEAMKARNLEPALSWAANNHDQLLQNGSMLEFKLYQLQFVEILSKGSRDGAREEAIQYARTHLVPFASVHKEEFQKLMACILWVGRLDQSPYSELMSSAHWDKLAEELTHQFCSLLGQSRESPLSVAVSAGFQGLPTLLKLMQVMAAKKQEWQVMKQLPVPIDIGPEFQYHSVFVCPVLREQSTEENPPMRMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASHCKQLHF >PAN23758 pep chromosome:PHallii_v3.1:4:8772721:8775333:1 gene:PAHAL_4G114400 transcript:PAN23758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSLTRGHIIGDVLDPFTSSVPLTVMYDGRPVFDGMEFRASAVSVKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGREVITYESPSPTMGIHRIVLVLYQQLGRGTVFAPQVRQNFNLRNFARRFNLGKPVAAMYFNCQRQTGTGGRRFT >PAN23764 pep chromosome:PHallii_v3.1:4:8873304:8877327:1 gene:PAHAL_4G115100 transcript:PAN23764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRAVEAGEDAASGPGKGEKINIKDDVSAVRKGGCCG >PAN25339 pep chromosome:PHallii_v3.1:4:48360631:48362291:-1 gene:PAHAL_4G294000 transcript:PAN25339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTPATACCNPSLLVAPRAPSRGSSARAQALLCAASTSAFHGLRAPAAAAPAPRWRRAGASTGIVCGKVTKGSVPPNFTLKDQNGRPVSLNKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVLGISGDDTVSHKAFAQKYRLPFTLLSDEGNRVRKEWGVPGDLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILQGL >PAN22914 pep chromosome:PHallii_v3.1:4:3499861:3501260:1 gene:PAHAL_4G052800 transcript:PAN22914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQPPHHLPRLLPSSSSSSETAEMDPRVWRRLPQPLVDRVLACLPTPSFLRLRAACRRFYSLLYSSPFLHSHLLLSPHLPFFAFAVPSAGHLSLLDPTRQAPSWSRLPLPLPAPGAGQAFSPAAASAGLLAFLSDASGHKTLLLANPITRLLAPLPLCPNARLSPTVGLAAGPTSFIAVMAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSLLPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAANEWSKVQPPMRRFLRSPALVELGGGREREARVALVAAVEKSRLSVPRSVRVWTLRGGHHGGAAGGGGAWTEVARMPPDVHAQFAAAEAGRGFECAAHGDFVVLAPRGPASPVLVFDSRHDEWRWAPPCPYPYVGGIGIGAGFRVFAYEPRLATPAIGLLDATAPAALHGMQG >PVH47719 pep chromosome:PHallii_v3.1:4:10907828:10909474:1 gene:PAHAL_4G128900 transcript:PVH47719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMHQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDFKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHCHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELDHKYQELEFAYEVINPSFEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN24677 pep chromosome:PHallii_v3.1:4:44129218:44131574:-1 gene:PAHAL_4G244000 transcript:PAN24677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASILAATGNFSKANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSLQGAAEFRNEVELIAKLQHRNLVRLLGWFADRDEKLLVYEYLPNRSLDAFLFDPSKSSKSTCMSWSTRHNIILGITRGMLYLHEDSLLKVVHRDLKASNVLLDDKMNPKISDFGMAKIFEDESGGINNTGWVVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHHQSLIRGAWTLWIEGHAVEFMDLSLGRSFSREEARRCYHVGLLCVQENPDVRPTMSTVLLMLISDHMKLPEPAKPPLFTKLRSMPSPAQPLTARTQSRAPPHSINDVTITTIEPR >PAN24676 pep chromosome:PHallii_v3.1:4:44129213:44131810:-1 gene:PAHAL_4G244000 transcript:PAN24676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGRVSAGGRRRLLDAAPAPAVGGGAGHGSGSGSSPDAMRVMVGVLVTVVFCTLLYCIYCWWWRKRNAIKRPLLDSLWPRSSSDLPLMDLASILAATGNFSKANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSLQGAAEFRNEVELIAKLQHRNLVRLLGWFADRDEKLLVYEYLPNRSLDAFLFDPSKSSKSTCMSWSTRHNIILGITRGMLYLHEDSLLKVVHRDLKASNVLLDDKMNPKISDFGMAKIFEDESGGINNTGWVVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHHQSLIRGAWTLWIEGHAVEFMDLSLGRSFSREEARRCYHVGLLCVQENPDVRPTMSTVLLMLISDHMKLPEPAKPPLFTKLRSMPSPAQPLTARTQSRAPPHSINDVTITTIEPR >PAN25285 pep chromosome:PHallii_v3.1:4:48108357:48112289:-1 gene:PAHAL_4G290200 transcript:PAN25285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEEQTDAKGKGKAEEGSMKSEELADSIGGLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVCDMQASNKRGYVINLDPAVMTLPFGANIDIRDTVRYKDVMKEYNLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEWMEDFEAFQTALESDKSYSATYTRSLSLVLDEFYKNLRSVGVSAVAGTGVSTFYEAIEASAKEYMETYRADLDKRIAEKERLEAERRKENMEKLQRDMMKSKGQTVVLSTGLKDKNPASSMMDDAEDEEDEEFEEEFEKSGFIVDDEDEEDEGEDEEVAHFGF >PAN23140 pep chromosome:PHallii_v3.1:4:4697898:4701837:1 gene:PAHAL_4G070500 transcript:PAN23140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRALQAHCVGRRIARCAVADDAKVVVAPAGRAAFERAMVGRTIVAARRKGKNLWLQLDAPPFPSFQFGMAGAIYIKGVPVTNYKRSVVNSEDEWPSKYSKFFAELDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSVDNFLHSLGRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASNLSGESCEALHQSIQEVVKYAVEVDADLERFPKEWLFHHRWGKKPGTVNGKKIEFITAGGRTTAYVPQLQKLTGAQSSKMIAANLEQMVENGDAKDPETDGEDADMLKPKKRATTSRAVRGQQNKDSVGSSSRKARGNGGGSRKPGTNAEAVAPKMAVAESNGQQDLDQPSSNAVNNSDQVIRRSSRKVKPRK >PVH48339 pep chromosome:PHallii_v3.1:4:49594173:49602475:1 gene:PAHAL_4G311100 transcript:PVH48339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAIRLLGRRRLLPPPLPAAVAHLSAATQNPSHHHHHPLPSPTLPLPPREFPPFALQSRSFSWYSRSSSGSSPTPGTAAADNSGEEAYTEKESVFLDNEHIVDGEEDVASAVADAVGGAAGATAEGVEGVSGLAVSTMSDLMDGFHNLTGLPWWITISLSTVAMRLFILPTLIVQLQKTAKIGQIMQKLSPSLPPPQPGSNFREQYALFQRKMKELGCPSFLWNFAYFSVQFPCFILWMMSIRSMCLNHHPGFDNGGILWFHDLTEFPHGTLGPIFPILVAGLHYLNVQISFQGSQIKHHRGIFGLLAKYYRIYLDVLTIPLFLIAYVVPQGSLVYWTTNGLFSVAQQLSLRNSAVRKLLGLPDIRAQVVRRAEKSPLEGPKMMQRPLLEDANLQTELTPSDNGTASESTIPNFVLESMEGNISESSSPEKLLEQALQYLGTGRRDQAIPLIRTAVERNPDLSTALIGMGQTLFSNRLFPEASECFKHAIEKIQEDDPLLVLALFGAGLSHERQGDNEMAIKLLQRIAELKEPEKPINKTCYFQGMVILGSILSREGRNSEAAKYLQMATAYDPSVERLLKECEEGMDDQPKSQEK >PVH48338 pep chromosome:PHallii_v3.1:4:49594172:49602475:1 gene:PAHAL_4G311100 transcript:PVH48338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAIRLLGRRRLLPPPLPAAVAHLSAATQNPSHHHHHPLPSPTLPLPPREFPPFALQSRSFSWYSRSSSGSSPTPGTAAADNSGEEAYTEKESVFLDNEHIVDGEEDVASAVADAVGGAAGATAEGVEGVSGLAVSTMSDLMDGFHNLTGLPWWITISLSTVAMRLFILPTLIVQLQKTAKIGQIMQKLSPSLPPPQPGSNFREQYALFQRKMKELGCPSFLWNFAYFSVQFPCFILWMMSIRSMCLNHHPGFDNGGILWFHDLTEFPHGTLGPIFPILVAGLHYLNVQISFQGSQIKHHRGIFGLLAKYYRIYLDVLTIPLFLIAYVVPQGSLVYWTTNGLFSVAQQLSLRNSAVRKLLGLPDIRAQVVRRAEKSPLEGPKMMQRPLLEDANLQTELTPSDNGTASESTIPNFVLESMEGNISESSSPEKLLEQALQYLGTGRRDQAIPLIRTAVERNPDLSTALIGMGQTLFSNRLFPEASECFKHAIEKVFHMSGRGIMRWQSSSCRE >PAN25702 pep chromosome:PHallii_v3.1:4:50213642:50216523:-1 gene:PAHAL_4G319700 transcript:PAN25702 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAGDDDKASTASVWSSATKMAPPTLRKPPTTFAPPPSLLRNQHLRPPKAASASTSAAPATAPPVVTVEPAPAASFQPAFVAVQSTVLEEYDPAKPNDYEDYRKDKLRRAKEAELSKELERRRREEQEREREREQREREAREREERDYQSRASSLNISGEEAWKRRAAMSGGGGAAAAQRTPSSPPHGDGFAIGSSSSAGLGLGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDESSSRPPEKKPKSVNFDGPPTRVLLLRNMVGPGEVDDELEDEVASECANYGTVTRVLIFEITQTGFPAEEAVRIFIQFERAEEATKAMIDLQGRFFGGRVVQASFFDEERFGRNELAPMPGEVPGFFD >PVH48544 pep chromosome:PHallii_v3.1:4:52391758:52394970:1 gene:PAHAL_4G353000 transcript:PVH48544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCRATASLPPSPPTPSHAADPHARLRAAAARSDLPGALAAFAAMPSAPAAARPVLRTFTALLKLCAARADLATGRAVHAQLAARGLASESLAATALANMYAKCRHPTDARMVFDRMPARDRVAWNALVAGYARNGLPEAAMEMVVRMQEEDGERPDSVTLVSVLPACASARALRACRQVHAFALRAELDELVNVSTAILDAYCKCGAIEAARAVFDWMPVRNSVSWNAMIDGYAQSGNATEALALFKRMVKEGVDVTDATILAALQACGELGHLDEARHVHELLVRIGLKSNVSVMNALITTYSKCKRTDLAAQVFNELGNKKTRISWNAMILGFSQNGCSEDAVRLFSTMQLENVKPDSFTLVSVIPAVAEISDPLQARWIHGYSIKHHLDQDIYVLTALIDMYSKCGRVTMARRLFDSARQRHVITWNAMIHGYGSHGFGKVAVELFEEMKVTGNLPNETTFLSVLAACSHAGLVDQGRRYFASMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWSFIKDMPIQPGISVYGAMLGACKLHKNVELAEESAQKIFELGPEEGVYHVLLANIYANASKWKDVARVRTAMEKKGLQKTPGWSIIQLKNEVHTFYSGSTNHQQAKEIYARLAKLIEEIKAVGYVPDTDSIHDVEEDVKAQLLNTHSEKLAIAYGLIRTAPGTTIQIKKNLRICNDCHNATKLISLVTGREIIMRDIQRFHHFKDGTCSCGDYW >PAN22367 pep chromosome:PHallii_v3.1:4:973392:978026:-1 gene:PAHAL_4G014900 transcript:PAN22367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKAAQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDHVKQALYELVILPLRRPELFAFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNIDYDHIARLCEGFTGSDILELCKQAAFYPIRELLDNEKNGRKLDKPRPLRQSDLERALSTSRKGKKAASSGLQSPLWVRPSDSEDDQIS >PAN22368 pep chromosome:PHallii_v3.1:4:973796:977792:-1 gene:PAHAL_4G014900 transcript:PAN22368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKAAQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDHVKQALYELVILPLRRPELFAFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNIDYDHIARLCEGFTGSDILELCKQAAFYPIRELLDNEKNGRKLDKPRPLRQSDLERALSTSRKGKKAASSGLQSPLWVRPSDSEDDQVQSAIFEISKLMSRIVQNSQSESEPQEPSSP >PVH47818 pep chromosome:PHallii_v3.1:4:20371553:20375153:-1 gene:PAHAL_4G161300 transcript:PVH47818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIHKAESNEFRDLLYLTSKQPFILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEEVEKSTVLQETIVSMAVAGAIVGAGAGGWMNDRFGRRPSILIADLLFLAGSMVMCFAPAPAVIIVGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITAGQFLSYLINLAFTKVSGTWRWMLGVAGVPALLQFLLMLALPESPRWLYRKDRKGEAEEIMRKVYPPDEVEAEIEALRVSVESDMAQERSLGGAGLAGTLRKAFGSVVVRRGLTAGVLCQVAQQLVGINTVMYYSPTIVQLAGFASNSTALALSLVTSGLNAAGSVVSMFFVDKAGRRRLMLLSLAGIVACLAMLSGVFFAVDSHSPDVSPAGTALFGANATCPEFAVASTAAGAGWTCTQCLRAASECGFCADTDKLLPGACLAASDEARRACRGAAAGRREWYTRGCPSSFGWLALVALGAYIVSYSPGMGSVPWLINSEVYPLRFRGICGGIAAVANWTSNLLVTQTFLSLTQALGTAGTFLLFCGVSAASFLLLFLLVPETKGLQFEEVEQMLGSKDYKAWKKFDPKA >PAN24049 pep chromosome:PHallii_v3.1:4:13615027:13616259:-1 gene:PAHAL_4G140100 transcript:PAN24049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPMPSMDTEEWELYPSSCFGAEIIEYTAISQDGDDQERDLAVSLDVILPDDLLEKVLSMLPVVSVIRSESVCKRWHEAVHVLRCTLNRMAPQKPWYFMFTCSDEVVSGFAYDPSLRKWYSFDFPCIEKSNWSTSSSAGLVCLMDGDNRSWVFVCNPITKDWKRLADAPGGRSADYSALAISASRKSHCYTVVVARCNQVPGEYYLWELSINLYDSETGEWITPFKEVLHRWRGGDECIICEGVLYYLVYSTGILVNEEHRHRVLIYDLTSRHNHTSLMSMAIPVPCSLTCGRLMNLREKLIMVGGIGKQDRAGIIKGIGIWQLCDKEWHEVSRMPHKFFQGFGEFDDVFVSSGADELIYIQSYGSPALLTFDMSQKLWKWSVKSPMMKRFPLQLFTGFSFEPRLDITS >PAN24050 pep chromosome:PHallii_v3.1:4:13614513:13617040:-1 gene:PAHAL_4G140100 transcript:PAN24050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPMPSMDTEEWELYPSSCFGAEIIEYTAISQDGDDQERDLAVSLDVILPDDLLEKVLSMLPVVSVIRSESVCKRWHEAVHVLRCTLNRMAPQKPWYFMFTCSDEVVSGFAYDPSLRKWYSFDFPCIEKSNWSTSSSAGLVCLMDGDNRSWVFVCNPITKDWKRLADAPGGRSADYSALAISASRKSHCYTVVVARCNQVPGEYYLWELSINLYDSETGEWITPFKEVLHRWRGGDECIICEGVLYYLVYSTGILVNEEHRHRVLIYDLTSRHNHTSLMSMAIPVPCSLTCGRLMNLREKLIMVGGIGKQDRAGIIKGIGIWQLCDKEWHEVSRMPHKFFQGFGEFDDVFVSSGADELIYIQSYGSPALLTFDMSQKLWKWSVKSPMMKRFPLQLFTDTKVK >PAN23771 pep chromosome:PHallii_v3.1:4:8936219:8938307:1 gene:PAHAL_4G115800 transcript:PAN23771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAKSLAPVLISTVFCCYAFLTPSHASSDDFPQCLSASIPCPLVHAQSTPSFTSVLVSSIRNARFSTPTTVRPLWVITPTNASHVQAAVVCGRRHGVRLRVRSGGHDYEGLSYSSKRPEVFAVVDLSNLRGVRIDKQNSTAWVDSGATLGELYYAVAQASNQLAFPAGLCPTIGVGGHFSGGGFGTLLRKYGLAADNVLNALVVDARGRILDKDAMGPDVFWAIRGGGGESFGIVLSWQVRLVAVPPTVTAFRIPVTVDEGAVDAVTRWQEVAPALPDDLFIRVLLQNQTATFEALYLGTCDVLLPVMRCRFRELGMRMNRTHCQEMTWIQSVPYFSLSSGATVEDILNRTTATGTYTKMTSDYVRQAIRRDAWESIFAGWLARPDAGIMILDPYGAAIGGVPEPATPFPHRAGVLYNIQYVNLWFAGGDGGAAQMKWVRDLYAFMEPYVSSSPREAYFNYRDLDLGENVVVGNVSSYEAGKVWGEKYFRDNYKRLALAKNDIDPDDFFRNEQSIPPLGPGK >PAN25593 pep chromosome:PHallii_v3.1:4:49685957:49689135:-1 gene:PAHAL_4G312500 transcript:PAN25593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MASASAAAAAAEWGAAERKVLVARKPCFGLPTACPTSLPVLLYLRLAQVPFDVHVDTSFPDADHIPYVEFGDCVAFNNEKGGVIEYLKGEKIVDLNSKLTSVSPSDVLSTKAMVSTWLADALQYELWVVDDGSITHDIYFSDLAWPIGKILHWKKTRDVKHLLGITKLNSAEKEEEIYQKASAAYEALSLRLGDQIFLFDNSPTDVDALLLGHALFVLNALPDTSVLRGTLQKHENLVNFVEHHKVQLLEDSSSSGLGSSPSPSSSSTPRKRASAGQSYKPKPKAKKERTEEEKKFRQRAKYFLATQLVAVLVFLSLMGGVDSSELDDDDGMDYED >PVH47412 pep chromosome:PHallii_v3.1:4:3646980:3653532:1 gene:PAHAL_4G055100 transcript:PVH47412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGERITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPKKLGNVLEMPSRVGIYMLLVTILQTVFENTLMEHHVALPPGSMGKISYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSETVVYVGCGERGNEMAEVLMDFPQLTMTLKDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRSGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYAKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEDALIAKFQKLYDDLTAGFRNLEDEAR >PAN22946 pep chromosome:PHallii_v3.1:4:3646980:3653532:1 gene:PAHAL_4G055100 transcript:PAN22946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGERITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPKKLGAGDAITGGDLYATVFENTLMEHHVALPPGSMGKISYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSETVVYVGCGERGNEMAEVLMDFPQLTMTLKDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRSGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYAKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEDALIAKFQKLYDDLTAGFRNLEDEAR >PVH47584 pep chromosome:PHallii_v3.1:4:6481915:6482849:1 gene:PAHAL_4G093400 transcript:PVH47584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESKSAAGQTVWTASQSTFVHTFLANFIDEGLKTSTDFKKVHLNKCAEALNEKRRYVKINQLRSLSGALWDEEQYIISLDHEHYRNHFENPKNKGDDEYINNPLPYYGNLATIFGNSVATGQFAKSSNEPLGVDADCTAENDDNGAAVMTNGQAQSDVNDANGASCSAATRPYKKAKVVEAANESLAGVLERSTQTLANAIKEAAVANRALPEGLFSIVDNLPNFEIQDKSSLCRPATIVQDQLDDHVY >PVH47591 pep chromosome:PHallii_v3.1:4:6671819:6673684:1 gene:PAHAL_4G095200 transcript:PVH47591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLYTTVRRSCRVYVGMCRRIGRSVLHSTMYTSSDFEAAGGLHVPGELGRRRRALPGRALPGLLAEHVHGLVPAVAPGADHHHAPPGDGGDGRCGARVLGHLRHRPPRRARRGVARHEVPVAEHVQAPRRPRRRAAGPRARAVLEQAVPDGRPGRRRRVEGLRGADEPALRLRRVPAGDDELAADAEPSRLVALLRHVRERRPRVALRVVRQRRPQRVLLLVMAAGHVHGPADGRASEEGSAGARHRRAVAPRAGQEVVHEHRVHRRPRLRVPPPDDHQPLPPLPGAAHGGHLAEQGQAPRWPGRRRQRRPPALHRVQQHHPVRVRGATRGRRRRRVLLVRRRARVASCRRRAGLHQREHRARQPLGPAQAVVLRRLYLRLPLARDAAHHGQLVEAHPDEALPRHLLRQPRDQLAHCR >PAN24259 pep chromosome:PHallii_v3.1:4:17190627:17191855:-1 gene:PAHAL_4G150200 transcript:PAN24259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSLLLRLVVVLAATVTSFALAAVAPAFDDSYEAQYMARMVIISTGGRRLISSSIEAPLISQPENGGHDEIDFEFLGDKAGRPVTLQTNLIIDGRSYREQRLRLWFDPAAAFHDYKILWNAYQLVMFVDDTPVRVLRNLTAAVPGYRFPSKPAMLIRGSIWDAWATDGGRAKVDWSRAPFTAAFRGFNVDAACAVGDVTPPCYDDPAALWWNGREYEALSDAQRAAYEGVRNNSMVYDYCTDELRFNSHVPLECSYN >PAN22947 pep chromosome:PHallii_v3.1:4:3655411:3661032:1 gene:PAHAL_4G055200 transcript:PAN22947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMMPQRHRAAAKKPMWIIVLLSMVCVVLIGAYVFPPRRYSKCYLFASSVCTPFKDWLPSMGRRERTDEEIISSVVIRDILSMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASREKPVHTSSLFAGRDIHSDAVVWGLISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHSFDYVYNYLMGTNISFIDCFKDPGPHGSGRYSIEMFPEIDERDFRKGAQWFAVTRRHALMILADSLYYKKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYSAADVTYDLLKNITAIDENFHVTSDEKKLVMQKPCLWNGSKRPCYLFARKFNPEALDNLLKLFTSYTSV >PAN23392 pep chromosome:PHallii_v3.1:4:6118865:6124608:-1 gene:PAHAL_4G088200 transcript:PAN23392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSRRWQSPAAAAAAAEAAEEDTGGAGGPSRRPARRGMHRASPYGGGPRRWLPRLPVASRIFPAMPRDGAPADNNLEVHRESLDAIPERQSTEPNTNAAVVGPAMHMSNKSNLLLEGDRHPSHGNGLADIENMINQRHFTRDETEHLIEIMRSRTPDLSFEDQRAPGSTSKVLNIGCSPIEIAKAFMEAQTSASVHESQKRKFRALSHGVETENSTSKLFRKVATDSSVRWPGSVVQDYPNYLTPQSNKGRTLPQPLSRTPYNGSVFRRSIKNSRHGDTYNNSSGQSQFSTPFSVGSKTILEDKLASTSGSMVQSPSSSRGQIDVFGSTTSFFPREGSAAKKNIAFNLQEPDGKGTIESRAASRRALAVDNISRGASVSVHPKSSETAFKILQHLDRTIPSPTLKPLELRQTLAKRNASSVATNRQFKGPDFSIGMGHRQSGINESGTTNLETADAKKVPPSSPSVEESSQKIQSSGANSEVPETRTSQQPLESDLTSISAAEVSDKSTSKGFTFTFPVPKPPSSLFEPPPTPTLASPPRTLPVTIEDIPKFSFGSTTNKLVFSFDSTSSSLGADGSVPTFKFGSDEKRELCFDIAGKDAVCF >PAN23393 pep chromosome:PHallii_v3.1:4:6119297:6124455:-1 gene:PAHAL_4G088200 transcript:PAN23393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSRRWQSPAAAAAAAEAAEEDTGGAGGPSRRPARRGMHRASPYGGGPRRWLPRLPVASRIFPAMPRDGAPADNNLEVHRESLDAIPERQSTEPNTNAAVVGPAMHMSNKSNLLLEGDRHPSHGNGLADIENMINQRHFTRDETEHLIEIMRSRTPDLSFEDQRAPGSTSKVFETTPFSTPAKLIDPQSSWGTDALPPSNVLNIGCSPIEIAKAFMEAQTSASVHESQKRKFRALSHGVETENSTSKLFRKVATDSSVRWPGSVVQDYPNYLTPQSNKGRTLPQPLSRTPYNGSVFRRSIKNSRHGDTYNNSSGQSQFSTPFSVGSKTILEDKLASTSGSMVQSPSSSRGQIDVFGSTTSFFPREGSAAKKNIAFNLQEPDGKGTIESRAASRRALAVDNISRGASVSVHPKSSETAFKILQHLDRTIPSPTLKPLELRQTLAKRNASSVATNRQFKGPDFSIGMGHRQSGINESGTTNLETADAKKVPPSSPSVEESSQKIQSSGANSEVPETRTSQQPLESDLTSISAAEVSDKSTSKGFTFTFPVPKPPSSLFEPPPTPTLASPPRTLPVTIEDIPKFSFGSTTNKLVFSFDSTSSSLGADGSVPTFKFGSDEKRELCFDIAGKDAVCF >PVH47966 pep chromosome:PHallii_v3.1:4:34424643:34427035:1 gene:PAHAL_4G202300 transcript:PVH47966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGARGVTSQPARGRDGRPLGHRGRGAAARRLGRTARALPCAVSWPLGCAARRPDARRPPGGQLHQAPADSSPARNAGGRMAGRQRQQASRQTGSGSLPTVDEDMID >PVH47967 pep chromosome:PHallii_v3.1:4:34424643:34427035:1 gene:PAHAL_4G202300 transcript:PVH47967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGARGVTSQPARGRDGRPLGHRGRGAAARRLGRTARALPCAVSWPLGCAARRPDARRPPGGQLHQAPADSSPARNAGGRMAGRQRQQASRQTGSGSLPTVDEDMID >PVH48272 pep chromosome:PHallii_v3.1:4:48511993:48512759:1 gene:PAHAL_4G295900 transcript:PVH48272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLHHARWNKNITVRGTASLHFLVHRIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGEHVSIRLGSCFLRSILDV >PVH48372 pep chromosome:PHallii_v3.1:4:50006275:50008050:-1 gene:PAHAL_4G316900 transcript:PVH48372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPDRAHVRLRSPAHGTYLYADEDGVGVSLRSRRASLSTVWAVHRVERSGNSFVLLHSAAYGRYLASLPEYINYARDGVDGVVQCCYFNDLDQQDILWEAVGYRGDALFLHNPENRRWSRLWAVEAVPARVGPPLLPPPTPNPMLLRRMILYMKADEYGNIDYESTKLLVFEGHSVSRLRDELAFLLEEWHAVRITMCVWAGSHGRLTPLVVDLPLNNQTVEIVVYESWSRAAQGLQYPNVDAP >PAN25693 pep chromosome:PHallii_v3.1:4:50177954:50181628:1 gene:PAHAL_4G319100 transcript:PAN25693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKSKGPKFAVVKKMITKKTVNKYKQDVLNPNKKDAEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATVGGAPRI >PAN22321 pep chromosome:PHallii_v3.1:4:787847:792658:1 gene:PAHAL_4G011700 transcript:PAN22321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTLMNLLRACWRPSSNRHARTGSDAAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFQNLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGMLYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPDDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKKQEFNREPLYAKFRLREPFHKPILSSEPSICVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVCSSPRNGCARKLIRAALQAAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTNRGPALSLRGGGASMRSNASMRSNTLTPT >PAN22322 pep chromosome:PHallii_v3.1:4:787856:792639:1 gene:PAHAL_4G011700 transcript:PAN22322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTLMNLLRACWRPSSNRHARTGSDAAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFQNLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGMLYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPDDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKKQEFNREPLYAKFRLREPFHKPILSSEPSICVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVCSSPRNGCARKLIRAALQAAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTNRGPALSLRGGGASMRSNASMRSNTLTPT >PVH47975 pep chromosome:PHallii_v3.1:4:35845423:35847096:1 gene:PAHAL_4G205200 transcript:PVH47975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNQGWNDEHLQPRQRGMHTVKETDMLATNLDLLLKKLDEHPQDKAPMQALQALDARMTCEVYGNIGHSGNNCPETHEDVMYMNNNNNGFRPQGGQGWNQSRPYDQRDTDDRT >PAN22923 pep chromosome:PHallii_v3.1:4:3548975:3554227:-1 gene:PAHAL_4G053600 transcript:PAN22923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTEEAGEDERLLKDLPGSSDKEGEDQENIKSNPDETAKEDCDNGGSVIEATVSSEDLNDRHGGDSNSQCAESNGACKPMPEMSSKSVNGECAGEVPEMGSKSSNDDNSGSIDEMPRIGTKSSSDDNSDCADRSSPRAVLDMSVSGSVDSDDSASVEQSAESNHNTQWRNLISGLILRRKKSMGRAVTFPQRSKSRGLRGYLERMRSGRNQMDCSAIAPEILPEIGKWRPSWRSFDYEELCAATDRFSPEKLIGKGGHAEVYKGQLADGQFVAVKRLTKGGNKEDRVSDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKETLKWKVRFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKLTHHIVYPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDANNIKELVDPSLGNEYDPEEMVYTLAVASLCIHHSSTTRPSMKSVVCFLKGDRKSLELVRRPKIVKPLMFDSCDSEDYTRSSYLNDLNRHKQLALEQ >PAN22924 pep chromosome:PHallii_v3.1:4:3549490:3553474:-1 gene:PAHAL_4G053600 transcript:PAN22924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTEEAGEDERLLKDLPGSSDKEAHAISAGEDQENIKSNPDETAKEDCDNGGSVIEATVSSEDLNDRHGGDSNSQCAESNGACKPMPEMSSKSVNGECAGEVPEMGSKSSNDDNSGSIDEMPRIGTKSSSDDNSDCADRSSPRAVLDMSVSGSVDSDDSASVEQSAESNHNTQWRNLISGLILRRKKSMGRAVTFPQRSKSRGLRGYLERMRSGRNQMDCSAIAPEILPEIGKWRPSWRSFDYEELCAATDRFSPEKLIGKGGHAEVYKGQLADGQFVAVKRLTKGGNKEDRVSDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKETLKWKVRFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKLTHHIVYPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDANNIKELVDPSLGNEYDPEEMVYTLAVASLCIHHSSTTRPSMKSVVCFLKGDRKSLELVRRPKIVKPLMFDSCDSEDYTRSSYLNDLNRHKQLALEQ >PVH47278 pep chromosome:PHallii_v3.1:4:1671416:1674087:-1 gene:PAHAL_4G026700 transcript:PVH47278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTKEVVVPAALSEILSRIFSFLFDTFGRQAPGARDAHRRRLEQLLGNIGSMVEEAEGRHITNQQLLAHLKALTVGMYRGRFALEVTDLDDVRNAGGEGDDDGVEGDDATDAAVAAAGKRSFALRTSFNKAKRSRVTRLILGGGGAGDDGAERLAAAVEDLESLTRDYMREFIMLVQGYPRKVDRPVRTTLYMDRCVFGRHVEKERIVDFLLQRPPSGRAPFLSVLAVVGAKKVGKTTLVKHACDDERVRGRFARIEWFETPDVVRRGGRPDQTVWESDGPEYLAGVRRVLGEPRFAAGRSLLVFEDAWPIDESAWSALAASPSALADGSKLLFTCRDADLARLGTVEPVVLHRLQ >PAN22607 pep chromosome:PHallii_v3.1:4:1950110:1952818:1 gene:PAHAL_4G031100 transcript:PAN22607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLLGTHRILLALLEIKESITRHTESRDSIKKIAKLLLKVSGQKLLYSLEEPMDEHFKHDSKESVRKTIIEHDKVFRQQVHELHRLYCVQKSLMTEVGCGKRSFQSRTEETQEMVHRSRSNHNRSPSTSETNQPACLGNAQHSAPPQVPEQLGLQECRPRICLSLFSEDNSAVKGGNCTENPVGSHKAVKDENWSATVESDLDLKLSIGPSSPATKNPHWLFSGSRGRNPSSQHR >PAN22449 pep chromosome:PHallii_v3.1:4:1321268:1327189:-1 gene:PAHAL_4G020600 transcript:PAN22449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTIVDGFRRLFHRRNGSTSNSHQSSVAGEGEEGSPDLEVIEDPDLVGLRAIRVPKRKMPLPVESHKKNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDLITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPFTQKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFASLANLEREPSRHPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLEEYMKGGEQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKDGNNEQHIVDQERSADSVARTTVSPPRSEDVGQDGLKSTSLSSRSYLKSASISASKCVVVADKHPEDDEIPEEMEGDVDGLSEKVSRMHS >PVH48313 pep chromosome:PHallii_v3.1:4:49295229:49296384:-1 gene:PAHAL_4G306000 transcript:PVH48313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMASSGRMRYVTKPIAFVIKAPWKMLTVVPGISAMKQPVEAFFMSGGDGSTGPRDPNGAGYLTRPPLIEEIMVPSVSELVNVGIQFSPTAGDLSTIAFDLKTVTFHLPVVTLDSNTEVVLRNLVAYEAASASGPLVLARYTELMNGIIDTDEDVALLRRRGVVLNRMKSDGEVAKLWNGMSRSVRLTKVAFVDRAVEEVNRYYNSRWRVKTKRFMRKYVFSSWQVLTFLAAIMMLLLTTLQAFCSVYTCSRWFGAVTVATAE >PAN23247 pep chromosome:PHallii_v3.1:4:5386905:5389839:-1 gene:PAHAL_4G078600 transcript:PAN23247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDAAGGGGGGGGIAPGAAPALLCFDIKPFLAALTALTLLAAAWQLRPYRSLLAAPFPADACAQAAAGSPPRALAVHARKAESSSSAAPNSTASPPPPPPPGPEVREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWEPTNASSSSPPMRAARTYHMLPDWGYGRVYTVVVVNCTFPRVPNADNAGGRLILYAHHGPSRSRDSPHERIVALEEAPGAYDAGAAFRPRYDYLYCGSSLYGSLSAARVREWMAYHARFFGDRSHFVFHDAGGVGPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRKLEDVLNELEPYTQFTIEQNPMSSRLCVDNPDADYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLDEVCREFVPIPPKGGLTWSEKTPWYYDDSMKRIADAVREFERKTIGDVRL >PAN23246 pep chromosome:PHallii_v3.1:4:5385430:5389839:-1 gene:PAHAL_4G078600 transcript:PAN23246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDAAGGGGGGGGIAPGAAPALLCFDIKPFLAALTALTLLAAAWQLRPYRSLLAAPFPADACAQAAAGSPPRALAVHARKAESSSSAAPNSTASPPPPPPPGPEVREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWEPTNASSSSPPMRAARTYHMLPDWGYGRVYTVVVVNCTFPRVPNADNAGGRLILYAHHGPSRSRDSPHERIVALEEAPGAYDAGAAFRPRYDYLYCGSSLYGSLSAARVREWMAYHARFFGDRSHFVFHDAGGVGPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRKLEDVLNELEPYTQFTIEQNPMSSRLCVDNPDADYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLDEVCREFVPIPPKGGLTWSEKTPWYYDDSMKRIADAVREFERKTIGDVRL >PVH47937 pep chromosome:PHallii_v3.1:4:30660341:30680293:1 gene:PAHAL_4G192600 transcript:PVH47937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVEMKAYKFGGSSGQNVTAYDVPSNMADLVTEKRRELIEVVSEVDDQLAEAFLNDEPITANQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPLEVDNYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSGSDGKFEFDNMIIGQAIPSNFIPAIEKGFREACNSGSLIGHPVENIRIVLTDGASHQVDSSELAFKLAAIYAFRQCYTVAKPVILEPVMKVELKFPTEFQGTVTGDMNKRKGIIVGNEQEGDDTIVVCHVPLNNMFGYATAIRSVTQGKGEFTMEYLEHNIVSQDVQMQLVNSYKAAKGTE >PAN24110 pep chromosome:PHallii_v3.1:4:30658676:30680293:1 gene:PAHAL_4G192600 transcript:PAN24110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARRSASHLLSSFRPFSLLLQPPLADAPSPVAAAAVASARRALSSASALRARDEKDAARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVEMKAYKFGGSSGQNVTAYDVPSNMADLVTEKRRELIEVVSEVDDQLAEAFLNDEPITANQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPLEVDNYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSGSDGKFEFDNMIIGQAIPSNFIPAIEKGFREACNSGSLIGHPVENIRIVLTDGASHQVDSSELAFKLAAIYAFRQCYTVAKPVILEPVMKVELKFPTEFQGTVTGDMNKRKGIIVGNEQEGDDTIVVCHVPLNNMFGYATAIRSVTQGKGEFTMEYLEHNIVSQDVQMQLVNSYKAAKGTE >PVH48025 pep chromosome:PHallii_v3.1:4:40667912:40668307:-1 gene:PAHAL_4G223000 transcript:PVH48025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALLEFDIRYQPTKAVKGQTLADLVAERTSSDIAALSIHAWAMYFDGSVCGDGSGIGILLLSPQRATYSFSIRLPTPCTNNLAEYEAVHKGMELLLEAGAEAVEVFGDSKLVISQLTETYRCESELLFPL >PVH47950 pep chromosome:PHallii_v3.1:4:32752739:32765976:1 gene:PAHAL_4G197200 transcript:PVH47950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSRPRNITGGKGLLQLVPDSVILDVLLLNSQNSVSFKLAELCAEPATIGELHVISSRRGFRMWANWLGSFESKMGFYDLRYSEAIGGGRLVACGSGRPGLTDGHGIENLGARSGISPRNCPRPTKNRAQVVNRLRELGAVEKERPVPTADTQEMVLTPNIEDSRWTAGCRSRSRSWTSAAGCRCSSFLVLPAGGGPAHPAAILLRGRQRRRLQPRHGHLQELLLFHGRLSSCCVAVLLFALFPLPFRMSNL >PAN22210 pep chromosome:PHallii_v3.1:4:178794:181924:1 gene:PAHAL_4G003900 transcript:PAN22210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSYSSSLSLHGVRVDDEQSALDNSSRPPSPFDILTPQDVLPIEMARSRFLDLIVDYFISEHVVEMVECSGLECIQVDDRSSKRKQQGVRYEGDPRLSLPLMYIANLYETLVSDVNVRLASLIGFREKTIGLALEASGGLYRKLTQKFPKKGPCSFKRRELATSHATRTKFPELVIQEEKRVRFVVINGLAIIERPDNMRMEDAEWFKRLTGRSEVAISSRDYKFYSPRHKFRRSPQAAFDIPETSALAEDENSPLVCSSGFRPPNEIQDQHQSTSKRHIEQLESQPYLHLFHQAEDDTIQQVQHCTQFPPIHQCTSAPHLSDNLQHQQQAYLSQHTSCLQVGQGHLGGRMHITPTSPAKFCDECGSPYLRATSKFCSECGTKRLGM >PAN22209 pep chromosome:PHallii_v3.1:4:178192:181924:1 gene:PAHAL_4G003900 transcript:PAN22209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRGYDIGAGFLGDDVDPEVITGDDDGRREDSLVAADSSSVDCMHGSYSSSLSLHGVRVDDEQSALDNSSRPPSPFDILTPQDVLPIEMARSRFLDLIVDYFISEHVVEMVECSGLECIQVDDRSSKRKQQGVRYEGDPRLSLPLMYIANLYETLVSDVNVRLASLIGFREKTIGLALEASGGLYRKLTQKFPKKGPCSFKRRELATSHATRTKFPELVIQEEKRVRFVVINGLAIIERPDNMRMEDAEWFKRLTGRSEVAISSRDYKFYSPRHKFRRSPQAAFDIPETSALAEDENSPLVCSSGFRPPNEIQDQHQSTSKRHIEQLESQPYLHLFHQAEDDTIQQVQHCTQFPPIHQCTSAPHLSDNLQHQQQAYLSQHTSCLQVGQGHLGGRMHITPTSPAKFCDECGSPYLRATSKFCSECGTKRLGM >PAN22562 pep chromosome:PHallii_v3.1:4:1711650:1713943:-1 gene:PAHAL_4G027500 transcript:PAN22562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVAAAAAAAVGPGAGAAASYGQMCGGGARKRKDVVLDQEAEEEARGDGGVAARRQPLAGLFVLETVEEAAEEERSSIGAASEDEEEEGEEADSGGAPAAARRKGGAGALACMDALDDALPVKRGLSNFFSGKSRSFANLQDAASAVSSARDLAKPENPFNKRRRVLRCCSIRRVASTSLTALPPFLPPTAAGSNGAGDCAAGSG >PAN23635 pep chromosome:PHallii_v3.1:4:7719240:7725230:1 gene:PAHAL_4G106400 transcript:PAN23635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVKDSADMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >PAN22281 pep chromosome:PHallii_v3.1:4:583173:584095:-1 gene:PAHAL_4G008700 transcript:PAN22281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFGRSISFPLSPARSSRPRAAACHVRSISLPCRSHPLLAHLHTQTAAARFWAANPTAPSSGLAHVDALHAALAEILLLPEPQDAVRSATSDRLLDAFLLLADAHRGFQEALLALRRDAADVRAALRRRDAARLASAARSQRRTDKELARLAAAVSSVAASTKCARLGAEETEMVAALMEAAAASAAASAAVFSAVASMSAAASSSKKTATFAAFAKKAAPEAADVAPEKLEELEQCIDECECGSEMVFRSIVRTRVSLLNIRTPAI >PAN22920 pep chromosome:PHallii_v3.1:4:3538236:3544749:-1 gene:PAHAL_4G053400 transcript:PAN22920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARRAPWLAGLVAVQLWLIVAVRARFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPDKKATGCAEFDTKFKSRSRRPVILLLDRGECYFALKAWNAQRAGAAALLIADSVDEQLLTMDSPEASPGTEYIDKINIPSALVNRAFGESLKKMAQKVAGDGEEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQLLERGGYARFTPHYITWYCPEAFRLTQQCKSQCINHGRYCAPDPEQDFGAGYDGKDVVVENLRQLCVHRVANESGRPWTWWDYVMDYKIRCSMKEKKYSKTCAEDVVTALGLDLKKVLECMGDPEADAENAVLSKEQEDQIGSGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSPDIETNQCLHRNGGCWRDEATNVTACRDTYRGRVCECPVVNGVRYEGDGYTDCQAVGPGRCALNNGGCWSETRGHQTFSACSETALTGCRCPPGFHGDGHKCEDLDECREKLACTCPDCHCKNTWGNYECTCKGNQLYIRGEDVCIANSMSKLGWFIILVAVACVAGVGIAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALRH >PVH47304 pep chromosome:PHallii_v3.1:4:2041832:2042924:-1 gene:PAHAL_4G032800 transcript:PVH47304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFGSARTLSSEAGQGQTSRVVGTRGYIAPEYASRGLYSLKTDVFSFGVLALVIISGRKNAILQQQGDTVGNLVRDAWHMWNAGRLHELLDPISSGRHELAEIVRCAHVALLCAQEYPADRPTMSDVVALLNFESVSLLPSPKQQSELSNGGAIGDKLSAHFGQSSRTVDITITSSAPVSTRVRIILEP >PVH48176 pep chromosome:PHallii_v3.1:4:46389763:46390398:1 gene:PAHAL_4G268500 transcript:PVH48176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSRRLALLLSVDPRSRRPPRLLRVDNGSRRRPRLFHVEKHLAGGLNRLLSIKKYPTTGSLVSIDGAWPRLFHVENHLAGVLNRLLSIRKYPTAGSLISIDGANDGGHLSGYLISPEQVGYERSDPPLAVDSVPTPP >PAN25820 pep chromosome:PHallii_v3.1:4:50645516:50646842:1 gene:PAHAL_4G327900 transcript:PAN25820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADPSYRHHHQGPAAPATARTRRLPWVTVAILVGLAVNLALCVRHVGDDRRAVAFVGFSHLNLLLLFGAIRRFEASPDGSPARGRARFAVWLLTATLSAAFTWRTGEMMPLGFAVAAWIMAAATVLGGFYMLFLHGEK >PAN25274 pep chromosome:PHallii_v3.1:4:48039031:48050266:1 gene:PAHAL_4G288600 transcript:PAN25274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIGAHGVETLKRYRYSGEDRSVVAKYVLQPFWSRCVTLFPLWMPPNMITLTGFMFLVLSALLGYIYSPRLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGGWTFCFWVVAAVPFYLATWEHFFTNTLILPTINGPTEGLMLIYVSHLFTFLTGAEWWAQDFRKSLPIFGWIPLPFLSEIEIPLYVIVLILMIVGAVIPTVRSNVSNVQEVVEARKGSMALALAMILPFIALLAGVSIWCSLSPSSIMRNQPHLLVIGTGFNFGYLVGRMILAHLCDEPKGLKSGMFMSLVFLCFPIANALIAKINDGTPLVDELVLLVLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >PVH47521 pep chromosome:PHallii_v3.1:4:5428061:5429345:1 gene:PAHAL_4G079300 transcript:PVH47521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPCLVAAGPCSRGTTQRKALPRTAQNGRESGGERQRERERNRKREKKERQQHRWYSSAAAATSERSPHQPPLLTFASQPRLPARWALLVLAR >PAN24240 pep chromosome:PHallii_v3.1:4:18584630:18586463:-1 gene:PAHAL_4G156100 transcript:PAN24240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQPRREDDPLQAQGDTAQLLGQGQDLQQPEQEAIRYGHVFAVTGDLAGQPIAPRDAVAMSSAEDSVPGVQVPEGAGGGFSAATAMETAAAYNQAVGAVRPGQASDAAAAQGITVTQTAVPGGRVVTEFVAGQVVGQYSVADPPPAAEEDATKITIGEALEATARAGGGRPVDRADAEAIRAAEMSAHGADVAMPGGLGDQARAAARANAQATREGDKVKIGDVLSDATAKLAGDKAAATEDATRVVQAETFNDAETHARAGGVGAAVATAARLNKDNHLGDA >PAN23441 pep chromosome:PHallii_v3.1:4:6372779:6374669:-1 gene:PAHAL_4G091300 transcript:PAN23441 gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MATVLPLAVLAAAPASAAPRTCISSASVPEPFLGTLARLRLRSAPRGVACALRRRPSKYKTKIQSEEEVVPAEAVMDDDDEDGALEALFKQLEEDLENDDLSVDDDEDEISEEDMARFEKELAEAIEEVGGVDESAGDSLLSSADYGNDEQIDGSQRPELKTWQLRRLARALKIGRRKTSIKNLAGELGLDRALVIELLRNPPPKLLLMSDSLPDEAPSKPEVKEQEPPNSATVDEVDTSEIEPQVDLPVHVMSAEWSARKRLKKVQLETLERVYLRSKRPTNTMISSIVQVTNLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSETVASS >PAN24683 pep chromosome:PHallii_v3.1:4:44196746:44200117:1 gene:PAHAL_4G244500 transcript:PAN24683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEAHLTAGYCAATGTYSSRHPPLATAAAASFPDYLFPRLLTFPPDRPAFVDASTGATLSFPELRTLSLKAAAALSALGLRRGHVALLLAPSSLHFPVVSLGVLSLGAVLSTANPLLTPRELADQARDSEPFLALTTAELAPKLGSLLAASRIVLVDQLLAGLAGHDAWEAGASGIGRDDPALLFYSSGTTGRSKGVVSTHGNVIAAAAFLQHVWRRRGGGDAEDVYGCVLPMFHMFGFSAFVLGTPAIGASAVLVPGRFSVDRLMAAMEEHRVTRLLAVPPMVVQMAKKLAAGEPSPTSSARRLCLREVVSSGAPLQRDHMARFASCFPRVSLVQCYGLTETTGIVTMCDLSPLPHGNGNGDGVESSNEPPPASISIGRLVPSTEARIVDAESGESLPPNRVGELWIRGPTVMQGYLRREEATAAALVTDGGGRRWLRTGDLCSVDSRGLVHVVDRIKELIKYKAYQVAPAELEDVLAAHPDIHDAAVAPYPDEEAGEIPVACVVRKPGSNQPQAQDVLSFVQSKVAPYKKVRRVVFVDCIPRSPSGKILRAQLKSFLRTTTCEMHGGAELQATTRV >PAN23279 pep chromosome:PHallii_v3.1:4:5587846:5592114:1 gene:PAHAL_4G081400 transcript:PAN23279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVDGEPVLSTSAAAASRTGAVGVELVAALAGHPGLRDAADRLKATSETRISAGREGAPRHVYVFQREYATVDPARVELVGTDEATTCIGVVIRNNRTGITSVSHMDFPKIVEGGLKQMLELLGDDNAPLDVHLIGGFSDASTKVVRSSGKKHIKQEGYSYPLCCKIVEVLHKSQQQFHLRSFCVLENNTTTDSLGNTLPVIGGFVVQTTSGVVTPASFDMNSRCPDEVVRRIRVSVCSYDPTWQGRLLETYDTQCDVFRIAPACWMPNWADIASSLNQLSDSEVLMQCSTSPAAEPPHFVENERRIWKYLINNPDWEETFPKHKPRVFHRTSDGSWSRYS >PAN24439 pep chromosome:PHallii_v3.1:4:40173005:40178815:-1 gene:PAHAL_4G221100 transcript:PAN24439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPATIRCLVENASLCQNCDWNGHTAGSSAAGHKRQAINCYSGCPSSAELSRIWSFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDNNLFDIASATLMSDLDTCDKPLVGSSSGAGVNLLPLATDQAAGTVDSTTTKVPYTPDKDMFSKDSIYEDFCVDDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFKVKELPAGDPTEPKLMQPVNSNAVSADSGMSNPGVKGGSSVCIPPRQARLSLSLSFSGLTGESSAGDHQDCVVSSLLLMGEPPWQPPGPEGTIAGGSRDSAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >PAN24441 pep chromosome:PHallii_v3.1:4:40173027:40178804:-1 gene:PAHAL_4G221100 transcript:PAN24441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPATIRCLVENASLCQNCDWNGHTAGSSAAGHKRQAINCYSGCPSSAELSRIWSFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDNNLFDIASATLMSDLDTCDKPLVGSSSGAGVNLLPLATDQAAGTVDSTTTKDCLVQVPYTPDKDMFSKDSIYEDFCVDDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFKVKELPAGDPTEQPKLMQPVNSNAVSADSGMSNPGVKGGSSVCIPPRQARLSLSLSFSGLTGESSAGDHQDCVVSSLLLMGEPPWQPPGPEGTIAGGSRDSAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >PAN24440 pep chromosome:PHallii_v3.1:4:40173788:40176774:-1 gene:PAHAL_4G221100 transcript:PAN24440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPATIRCLVENASLCQNCDWNGHTAGSSAAGHKRQAINCYSGCPSSAELSRIWSFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDNNLFDIASATLMSDLDTCDKPLVGSSSGAGVNLLPLATDQAAGTVDSTTTKVPYTPDKDMFSKDSIYEDFCVDDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFKVKELPAGDPTEQPKLMQPVNSNAVSADSGMSNPGVKGGSSVCIPPRQARLSLSLSFSGLTGESSAGDHQDCVVSSLLLMGEPPWQPPGPEGTIAGGSRDSAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >PAN26099 pep chromosome:PHallii_v3.1:4:52002234:52004646:-1 gene:PAHAL_4G347500 transcript:PAN26099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAARRLLSRPSLSALLRRVPAAAVPEQSLLRPAVVAAASRLGFPRGMARRPGGDGYSPARPGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGANKQQMIDCYVQTLAKVLGSEEEAKRKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQR >PVH47808 pep chromosome:PHallii_v3.1:4:19109389:19110633:-1 gene:PAHAL_4G157900 transcript:PVH47808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKIQTTSPKMSSRVSSCTQSCLWPGPPPEFCMAQDSDDPLFVASVVVWVLVVILAIVALHCALPRRVVR >PVH48438 pep chromosome:PHallii_v3.1:4:50733471:50734892:-1 gene:PAHAL_4G328900 transcript:PVH48438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLRALNVSHVLPAPDQAAVCSPEALHVDGGHVKLSFMDALFVDRVPMQRLFFYEGPGVPPFPSLVSSLKSSLAAVLAVFHPLAGKLTYRASTGDVVVDCTPAAIAPGVRFVEAEYPGSIDDMRRLAVGDEHHTEALMLLGPELNAGRLPAPVLAVQVTRPAIGGARAVVVGVSIHHAVADGHSVWQFMSAWSAVSRSPEAASGLAPPTFDRTAIQYPKADEVACKFLRTIAPALPVARSPSLYTPPDQRRRSFLLRADDIKSVKQLILAQSKAIGELMDTHPSTYVAGSSLVWTSIVRAKCLDPAADAYLLVPVDLRRRLGPPIDERYFGNCVVPCFARAAVRDLRDGGAGLARAAAAIGAAVREQLGDPLGGAERWLERFLAAPRERFTFTGSSNRFMAYETDFGWGAPSRVELVSLFTRELVLLLGAAEDGGVQVTVALDHAHMEGFAANLLRVSGEDLNGDVKIKQD >PAN24087 pep chromosome:PHallii_v3.1:4:33743797:33747220:1 gene:PAHAL_4G200400 transcript:PAN24087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTATTGAGSVGEEMRRLTQLSLVSKVCSELEAHLGVADRVLAEFVVDLGRASASAADFAAALRDHGAELPGYFVRSLHAVITAIPDHAPAAQNPITSRVAGTGRRRDDKEAESDGEPELYQVRRGRVTRVADAVCFVRIDGASGREGLVHVSQMPGHRVSATRGQEVFVKVVSVDGTKLGLSMRDVDQDTGRDLLPFRLRSWEDDVPRTNPTAHRATAAGNRKGVSGNCVPDEDEVGPAPRRPTRRMSSPERWEMKQLIASGVLDAKDYLGSDEDDDRMLYQEEAEELEIELNEDEPAFLQGKARSTADLSPVRISKNPEASALVNERRDIHTQEQRGMVDAIPKDLNRSWEDPMSGGRYLMQELIGTGLAAQSVPEWKVSYGKAGTYGQRSRLSVQEQRESLPIFRLKKELINAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTMGKIACTQPRRVAAESIAKRVAEEFGCRLGEEVGYSIRFDDRTGPGTVIKYMTDGMLLRETLVDGDLSSYSVVMLDEAHERTIYTDILFSLLKQLIKRRSDLKLIVTSATLDAEKFSGYFFDCKIFTIPGRTFPVEIQHTKQPESDYMDAALITVLQIHLTEPEGDILLFLTGQEEIEHACERLHERMKTFGEDVPALIICPVYSALPTEGQSKIFEPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKLNVYNPKLGLDSLVITSISQASAKQRAGRAGRTGPGKCYRLYTESAYHNEMAPTTTPEIQRANLGWTVLNMKAMGINDLLTFDFMDPPASQALVSAMEELYSLGALDEEGLLTRLGRKMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKISNFFQPEGDHFTLLTVYEAWKAKGFSGPWCFENFVQINSLRRAQDVRKQLLEIMDKYKLDVASAGNNPTKIGKALAAGFFFHAARKDPSGGYRTRADHQQVYIHPSSALFHQQPQWVIYHEIVMTTKEYMREVTAVDPRWLVELAPRFYRSEDPTRISKRKRQERIEPLYDRHSEPNSWRLSKRRW >PAN23010 pep chromosome:PHallii_v3.1:4:3931826:3935451:1 gene:PAHAL_4G059700 transcript:PAN23010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATPLRHLLPVAAAPSTAPDPAGPSLTTPRALSLPRSRLRSSLAASPSPEPRPRSVTAAAASAAAGRPTVLVTEKLGPAGLDLLRAFANVDCSYELTAEELRAKVSLVDALVVRSGTRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLAAMARNVAQADASLKAGKWQRSKYVGVTLVGKVLAVMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISTSDFISLHMPLTPSTAKLFDDETFAKMKKGVRIINVARGGVVDEDALLRALDNGTVAQAALDVFTEEPPPRDSKLVQHENVTVTPHLGASTTEAQEGVALEIAEAVIGALRGDLAATAVNAPMVPAEVLSELSSYVILAEKLGRLVVQLVAGGSGVKVVKVVYSSARDPDDLDTRILRAMVTKGIIEPISSAFVNIVNADYVAKQRGLQIIEERILLDGSPEIPLDSIQVHLTNVESKFAGALSDAGDIRVEGKVKDGSPHLTLVGSFSVDVSLEGNLILCRQIDQPGVIGKVGSILGKMNVNVSFMSVGRTAPGKQAIMAIGIDEEPEKEALKLIGDTPSVEEFVFLKL >PAN25068 pep chromosome:PHallii_v3.1:4:46634051:46636365:-1 gene:PAHAL_4G272200 transcript:PAN25068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEKKLLKRTNFLEYKREGGHREALVTQRYRLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPFRIEMTDMLLDKLYNMGVIPTKKSLLKCENLSVSAFCRRRLATVMVNLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRRVMEYNDALDDYDSMF >PAN25067 pep chromosome:PHallii_v3.1:4:46634051:46636368:-1 gene:PAHAL_4G272200 transcript:PAN25067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEKKLLKRTNFLEYKREGGHREALVTQRYRLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPFRIEMTDMLLDKLYNMGVIPTKKSLLKCENLSVSAFCRRRLATVMVNLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRRVMEYNDALDDYDSMF >PAN23806 pep chromosome:PHallii_v3.1:4:9394881:9402935:-1 gene:PAHAL_4G119500 transcript:PAN23806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAAEGPIRGADAVPAAERKAAAAAEAAAKGGDAEAGKEEVREYESDMRKLEELLSKLNPSAEEFVPLSRRRGDGDGGARRLSADAPVFVSPAIDYYARHHQLPPPQQQQPVHVLQLVGGVGGGGMGGAGGRDSSSDGSTNGQPNRRRRNGFIQGRRRMMGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSTCGQVVDCRICGDPNSVLRFAFIEFADDVGARTALTLGGTMLGFYPVKVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVGEDEVKQFFEGTCGEVSRLRLLGDYVHSTCIAFVEFVQADSAILALNCSGMVLGTLPVRVSPSKTPVRPRSPRVTSY >PVH47432 pep chromosome:PHallii_v3.1:4:4065034:4065426:-1 gene:PAHAL_4G061800 transcript:PVH47432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQKETGRDEVPAAAAGINLDGVRSNPSDELRSGVLSAPRPRPAAGLSLGGDLALMIKRYRRAQGEAAHNQGGVGLQGSKGLPRAGCRTGFLQFRARLLLNVSIQIY >PAN22903 pep chromosome:PHallii_v3.1:4:3432033:3432828:1 gene:PAHAL_4G052100 transcript:PAN22903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTGQAAVHFTALAGVRRRRRRLGDPALARGREALAEAAEKMERRRRRFDGCGWREESTTTTVNSAHAIFGGNRPGRPIGMSRHAQDPFRRSCLDWPARRRSRLVSFSRLIVLPLAAVRVRTCSLSDRRCPFVALNGNVLIIWGR >PVH48239 pep chromosome:PHallii_v3.1:4:47986467:47987396:1 gene:PAHAL_4G287600 transcript:PVH48239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDAASDDGGDDLAIGEEAGGATTPPPMPPPPVMAVVLAIRTRRRRPGPPRAPRPRRRRAVVTGATGSGHGARRTKEFMQWREWYLREKRREREEAARWFHVGIPPA >PAN25131 pep chromosome:PHallii_v3.1:4:47246074:47248279:-1 gene:PAHAL_4G277700 transcript:PAN25131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEAVAPRTKKRRMEADQREEPDGIVSLPPQDLQLRPPATGALHPESTCHRQGPLPDTGGEEGEDVDRISSLPDAILGEIISRPRTASAPKPSPPGGATSGSPPRSTLITRACPPTRKHKQASSPRSLPPTQAPCAASPCPSSTTFTTATLRSTPGSGPRLLTTSRSSSSTTEHGRCVDPCRPPPSASPPPSCLYHERMPSPRRDSPNIPVPSAQAALTKSLCSVSISEVALHGIISRCPVLEYLLLKDTGFHCLRINSPSLKSIAISSGELIIEDAPLLQRLLQLQPRSGLNVSVISEPKVETLGCLGYDSNLVFGTSVIQKLWAVRFTTVVSSVMILAICLYNLNLDLVINLMRCFPCLEKLYILPSGKQARKLLSSKHRDLIRCNNLWRRKHRDLIRCLDIRLKKVVLKNYRGIKSHVNFASFFVRNAKMLELMRFEISEYNDNEVFIAEQHRLLQLEKRASRGAQFYFTTGHICHGYLSGCYLNHIKHVSDLSITDPFECVH >PAN25617 pep chromosome:PHallii_v3.1:4:49789826:49791034:-1 gene:PAHAL_4G314100 transcript:PAN25617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALPFLHLPPNSAPFHPFRIHRTQQPHPHISLRTRRPSVASAAAEAENPSASVDVDMVRGRDGVWMARSPTVVVLWDLDNKPPRGPPFPAATSLIAAASLLGRVVSISAFANRHAFTHLPAWVAAERRDRRALDRAERAGLAAPSVPYSCAVCGRRFPTRPDLTRHFRQLHERERNKKLSRLRSLKGKKRQKFRERFITGNTKYEDAARELLTPKVGYGLATELRRAGVHVRTVSDKPQAADHALKRQVKHSVACGVDWMVLVSDDSDFTDTVRNARAADLKTVVVGDVCRALGKVADIWLPWDRVENGEVDEEMLKSCTLPEFGEDQEDQRGEEFRLDWDTSELDDVVDEIVGMRTTLLGARTISAFADEDVTDGIFGVELNGDSMFWSSDDEEEDGYL >PAN25618 pep chromosome:PHallii_v3.1:4:49787783:49791170:-1 gene:PAHAL_4G314100 transcript:PAN25618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALPFLHLPPNSAPFHPFRIHRTQQPHPHISLRTRRPSVASAAAEAENPSASVDVDMVRGRDGVWMARSPTVVVLWDLDNKPPRGPPFPAATSLIAAASLLGRVVSISAFANRHAFTHLPAWVAAERRDRRALDRAERAGLAAPSVPYSCAVCGRRFPTRPDLTRHFRQLHERERNKKLSRLRSLKGKKRQKFRERFITGNTKYEDAARELLTPKVGYGLATELRRAGVHVRTVSDKPQAADHALKRQVKHSVACGVDWMVLVSDDSDFTDTVRNARAADLKTVVVGDVCRALGKVADIWLPWDRVENGEVDEEMLKSCTLPEFGEDQEDQRGEEFRLDWDTSELDDVVDEIVGMRTTLLGARTISAFADEDVTDGIFGVELNGDSMFWSSDDEEEDGYL >PVH48476 pep chromosome:PHallii_v3.1:4:51340965:51344458:1 gene:PAHAL_4G337800 transcript:PVH48476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARPTGPRRSLTALAAVAPRDADLASRPAPAAASPARGRCSPRLPPLASLLRFLAQTGIHHVDQTGSRGACLIGREFGNLRLTVESGKNRSSSAARPSAPVTEVHLLRSAIAVTRSLGFDPAPPSSASPPLELLILTSPTMFSLYLNGSILVLGRSICSAPTLDVWWLLVRVLVMGIVSFQV >PVH47706 pep chromosome:PHallii_v3.1:4:10053284:10054030:-1 gene:PAHAL_4G124500 transcript:PVH47706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVASASTTAQYAGIGVFVAIVLYVVLYYCRSFNSVFLTRRHGSNAAAGAGDDDAVTVLPGPALGLGPDDVAVLPTFTYRSPSPGRGDARAPAAAAADCCAVCLDELRHGALVRMLPLCRHYFHAGCVDVWLLSHATCPVCRGSPGLEKVRLGVASLSPPLPQLRRLGAASPERERGEASGAKDAVVSSRSPSPVRSPMHFELMVAMGNGSSAVSPSPPRPRTPDGRMCRTRSPSPATLESHDAGV >PVH47930 pep chromosome:PHallii_v3.1:4:29852784:29854689:1 gene:PAHAL_4G189700 transcript:PVH47930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPILVILPALLLGSQAAWSAQQAATLHERDAAALRDVRAGLRDLPGSRFFDSWDDARSPCAYAGVVCAPDEDDRASGALRISVLTLGTGLADSPGLAGKLPASLASLSELTDLVLYPGSVSGAIPADIGSGLRRLRLLSLSGNQFTGPVPESLAGLPDLHTLDLGNNHLEGAIPTGLLLPSSPSLKVLILANNGGLSGEIPALFSSSQLFHVDLSRNAITGTLPPLPPTIRYFSVAANEMQGSLDGVFGDPSAPADLAFLDLSMNNFSGSIPPDVFALPSASSLLLSRNNFTGPLTVPAASLPWAVVDVSHNGISGEVPEALAVAGSLYVNNNRMSGEVPRAVASSVFAGRMTTLYAQHNFLTGFPAPPLPLPDSAALCLSYNCMELPSASAADGCPTIGGPLEARPAEQCRSTDVGSSGGDG >PAN24662 pep chromosome:PHallii_v3.1:4:43924083:43926104:1 gene:PAHAL_4G242900 transcript:PAN24662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQMVYHADVRAREMELAADRQMGCSCAPLGRMISRMITKCNGNERQGRVRYDEKMDYAMAYAPAQTCYVRPTARTVTLATTNNHHPPHAHAVQPEPPQAHATAMTLQPGTPFPSTGAPPQGARKPKKKKKKRVRFTPSGPVPADDDQPPPHHAQHHTATVASSGAGGTAGVVYYHGAAEPPSYPSPAPPPAHGGQGGHGYAYGYGRYSPSPLPRWETLAGTPRRHEYFSSEYRWYYPTPVREGIYSIATDANGRLSTIFSEENPNACTIV >PAN24651 pep chromosome:PHallii_v3.1:4:43667046:43671706:1 gene:PAHAL_4G241900 transcript:PAN24651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAARKDAGAGAGVAAFGVSCFDIKSFVASLALLTLVVALWQLHPYQPLLSASSRSSSSSCPLLPRHPVSASSRAAAAFPAANSAAASTKAAASTVPAVAATKPAAAVLPAARPRDPNKRELRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPAHVYGTPYFKCEWVPNPDPSSPAPPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPTNPNAGNRGGKLLVHAYYSTASRRYERFVALEEAPGSYDDSRFRPPFPYEYLYCGSSLYGNLSAARMREWLAYHAHFFGPSSHFVLHDAGGISAEVRAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLQEVLGRLERYTQFTIEQNPMSSMLCVEDPNKEYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVIGRTSHKTESLIRYYHYHNSINVMGEPCRVFVPKPTNGSKVMFEGIPYVYDDNMKRLAGEIKPFEAATIGSTHT >PAN25383 pep chromosome:PHallii_v3.1:4:48593794:48598671:-1 gene:PAHAL_4G297400 transcript:PAN25383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDLHKVWEVRELKRKPDAPAARALLDRIAKQVQPIMRRRKWRVKVLSEFSPRSPRLLGLNVGKGIEVKLRLRRDGRDLDFIPYEEVLDTMLHELCHNERGPHDAQFYKLWDELRKECDELVSKGITGTGQGFDGTGRRLGGFTIHPLPPSLRQATAAAAQKRARNGALLPSGPTKLGGNNYIMSVLSPVQAAAMAAERRMHDDLWCGSHDQSGIDDSDDVIILKEPPNLTAMDGKTTKGSCSNTFAESSASSVIHTAPRDGSSSFRTTSDAGDDSKWECGACTLLNEPLAPICEVCGTEKPKIAKAKYATWSCKFCTLENSTKLDKCSACDQWRYSYGL >PAN25439 pep chromosome:PHallii_v3.1:4:48877098:48878589:1 gene:PAHAL_4G301400 transcript:PAN25439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCVRGRAGGRVGQEAASLPLPPPPRMAAANSSAAAASIRAHLARTASGVDAQPSPRSLLSRILLRGGGDGGSGGGGFGCRVRLPRRYGGGMREERKDGSVSEQGETPRVKVVEPPPPPPELPLETPRSSLGRKKPEEELVSMNLGLGASLVLLLSRSAVELNKMVELRAQMEALVSEIRHAAQWKENANHPAGAPTASTSRESNGSSATTANVKDPIAFPAADADAASNCSRTTADNAVSGRAAAVVIDQLEAELQAELGRMQRGTDRTAHGGGGDERIAPMQGLELPLLKVKTKAGNAIGSTSRSRVVDGGGARDGEVVDGNAEEEEEEEYEEAEEEDEEEEEEEGGYDEDRSSPPHGGVSARALERRLHELLQKRQQERIVELESALDAAQRRLHEKEREVVWWRDAAKLVSHRRDESRRFVR >PAN23581 pep chromosome:PHallii_v3.1:4:7364378:7365469:-1 gene:PAHAL_4G102400 transcript:PAN23581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLRRELCLLRSLSTRCGSASGSSCRRPACNSSPVIGSRERPGCTRVWQTSRGPTRSKEWRAEPQRNNPS >PVH47627 pep chromosome:PHallii_v3.1:4:7512142:7512837:1 gene:PAHAL_4G104500 transcript:PVH47627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGIEIRDEPPVATSRQAGRCKRTSPAFSGSPEGRLLGFRGCASCRVRRSCPELRQIFLSRFLSCCDSSYRPPANGLSKSKGNTGCPSSVCLEKCQQARLLVVQNRSDKNLGLQIRLDADRLVLVVLCDLLRHEMQTIQERPCKDPHQRISWEREATL >PVH47342 pep chromosome:PHallii_v3.1:4:2563392:2566793:-1 gene:PAHAL_4G041600 transcript:PVH47342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >PAN26075 pep chromosome:PHallii_v3.1:4:51905702:51907221:1 gene:PAHAL_4G345400 transcript:PAN26075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTESPAATAAAAMADPSCPPFPLLEEEGQHEREEEENVPHGGELELPTVDLEAPGEALAAACRRLGVFRLANHGVPADLSARLFAVARDLLGRAPFHNKQAQPGYFWGTPALSSLRVRDVNWVEGFHLTLGRDHQPTAAASSPDLAALADLAREYGAHMARVARTLFGALAAALGLGAGQASAYLAEHDGFLRAYRYPPCPEPGRLGMEAHTDSSVLSVISQDLVGGLQVLHGGAWRDVAPCGGGGTGTGKLLVNLGDMARAISGDAFRSVRHRVAASRGDERLSLCYFAFPRDDAVISCRGSRYRPFTYAEFREQVQADIKATGSKVGLERFLVHH >PVH47307 pep chromosome:PHallii_v3.1:4:2084828:2089298:1 gene:PAHAL_4G033200 transcript:PVH47307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVRAEDALAAAAAAAAGSDKMRSVTLGGSIQRAVRRMAGGGGRRSGGSARAGSGGAPASCSGDDTSIGSGKREGGRRCSIQQYRSQLEQEVKKLQRQLQEEIDLHLALADAITYNAALILKSSIKLPNKAHELLISIASLEIAITKLEEDLNHLHYQLCHARNERLLAENNPGCLLPTSSDCQPSTACDCTGEKHVSMLRDLGFRDYHSMEEDFSTEPEDHQDDEKETEGRERVPLNRLLEKHRDVSLTGLLEHRKEEMQEPCSMKKESKEDQKIDALPFSQSNLKKSSTGGNVWNNPNQLSEEMVRSMKDIFLHLSTSSKISPKATFANSSSSAERLSGSTLTSLSDSSVIASVLRSPSIDLHHDDVDEVKNLDPYNVNGKEARRDIGSYCSVTEVSWMYIGNEQLEYASGALKKFRFLVEQLSKVDPSSMNCGERLAFWINLYNALIMHAYLAYGVPENDIKLFSLMQKACYTVGGQPVSAAEIEFVILKMKSPVHRPQLVRIFSAANVRQELQESMRDYIRASVGINDKGELIVPKLLQSYAKGIVEASLLADWICRHLTLDQVAAIQDTSSSHKQRLLGVRSFSVIQFDSRFRYLFLTDNGRCQN >PAN22639 pep chromosome:PHallii_v3.1:4:2084828:2089303:1 gene:PAHAL_4G033200 transcript:PAN22639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVRAEDALAAAAAAAAGSDKMRSVTLGGSIQRAVRRMAGGGGRRSGGSARAGSGGAPASCSGDDTSIGSGKREGGRRCSIQQYRSQLEQEVKKLQRQLQEEIDLHLALADAITYNAALILKSSIKLPNKAHELLISIASLEIAITKLEEDLNHLHYQLCHARNERLLAENNPGCLLPTSSDCQPSTACDCTGEKHVSMLRDLGFRDYHSMEEDFSTEPEDHQDDEKETEGRERVPLNRLLEKHRDVSLTGLLEHRKEEMQEPCSMKKESKEDQKIDALPFSQSNLKKSSTGGNVWNNPNQLSEEMVRSMKDIFLHLSTSSKISPKATFANSSSSAERLSGSTLTSLSDSSVIASVLRSPSIDLHHDDVDEVKNLDPYNVNGKEARRDIGSYCSVTEVSWMYIGNEQLEYASGALKKFRFLVEQLSKVDPSSMNCGERLAFWINLYNALIMHAYLAYGVPENDIKLFSLMQKACYTVGGQPVSAAEIEFVILKMKSPVHRPQLSLMLALHKFKTSEKLKKYSIDDTEPLVLFALCCGMFSSPAVRIFSAANVRQELQESMRDYIRASVGINDKGELIVPKLLQSYAKGIVEASLLADWICRHLTLDQVAAIQDTSSSHKQRLLGVRSFSVIQFDSRFRYLFLTDNGRCQN >PAN26082 pep chromosome:PHallii_v3.1:4:51960495:51964796:1 gene:PAHAL_4G346100 transcript:PAN26082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPPPRRGEEEEEERRHDDDDEEDDRITSPLLPEPSSASRSSPLDDDEEENSPIEQVALTVPVGDDPETPVLTFRMWVLGTASCALLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPGRAFLRGTRWEFSLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHITFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRVKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWVCWAFPHSVFAQQLGSGLRGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFIIMYIITPIAYWFNFYNAQNFPIFSDGLFTSTGQKYNISSIVDSHFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVFLFHGSEIWQLSKSAFQDKKVDIHTKLMRRYKQVPEWWFICILIANIAVTIFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMDTIPYICNTELLPPDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPLLVWLAHKAFPGQSWILLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENIGLNWWGNNLDGCPLASCPTAKGIVVEGCPVYT >PVH47851 pep chromosome:PHallii_v3.1:4:22284708:22285637:1 gene:PAHAL_4G167100 transcript:PVH47851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYDEEDVPRCRVKMTIPPHPTLSLWSPIEVNVIGHRLADTFEAAAIEAIHIFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRVTYCDHLLGTLAGETLRTAVRFMSAQYRYQTLQQHGIYRLTNIAQRYRNQVGRQNTQIEALQATITAKEEDITQREETIQHREEQIVESDALITQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPEQPAANEPEDDEEEDPEEVEGVSEIDSEHGDPVLSPYHSSSGSQSSMGNLDDF >PVH48506 pep chromosome:PHallii_v3.1:4:51869410:51878578:1 gene:PAHAL_4G344800 transcript:PVH48506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATADAADEAKLESFLQWMQANGADLRGCTIRACGGGKGFGVFSTAAPEPGATDGVVMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERRRPGSLWKPYLDMLPSTFGSSLWFSEEELAELEGTTLYRATVIQRKSMQSLFDEKVKGLVEELLHADESASSTEVVFEDFLWANSIFWTRALNIPLPHSYVFPGLCGDQHTRTDDDVCDSGLPAHQETDVKAKDSTADENSKPSNTESIWVEGLVPGIDFCNHNVKALATWEVDSVGNATGVPASMYLMLADKSSVEAGAEIYINYGNKGNEELLYLYGFVIDNNPDDFLMVHYPVEALRQIQSADIKMRLLEIQKGELRCLLPRSLLDNGFFGIHSSEDKDNKKNISPFSSYSWSGQRKVPSYLHKIVFPQEFMSTLRTIAMQDHELQQVASLLGEVGSSEDREPSDAEIQSAVWEVCGDQGALGLLVDLLRVKMAELEEGSGTEESDTQLLEEFYSIHSEGYVRDENSKRKLKINNRSCIVYRRGQKQLTRLFLREAEYLLELSAKEQT >PAN26068 pep chromosome:PHallii_v3.1:4:51869410:51880768:1 gene:PAHAL_4G344800 transcript:PAN26068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATADAADEAKLESFLQWMQANGADLRGCTIRACGGGKGFGVFSTAAPEPGATDGVVMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERRRPGSLWKPYLDMLPSTFGSSLWFSEEELAELEGTTLYRATVIQRKSMQSLFDEKVKGLVEELLHADESASSTEVVFEDFLWANSIFWTRALNIPLPHSYVFPGLCGDQHTRTDDDVCDSGLPAHQETDVKAKDSTADENSKPSNTESIWVEGLVPGIDFCNHNVKALATWEVDSVGNATGVPASMYLMLADKSSVEAGAEIYINYGNKGNEELLYLYGFVIDNNPDDFLMVHYPVEALRQIQSADIKMRLLEIQKGELRCLLPRSLLDNGFFGIHSSEDKDNKKNISPFSSYSWSGQRKVPSYLHKIVFPQEFMSTLRTIAMQDHELQQVASLLGEVGSSEDREPSDAEIQSAVWEVCGDQGALGLLVDLLRVKMAELEEGSGTEESDTQLLEEFYSIHSEGYVRDENSKRKLKINNRSCIVYRRGQKQLTRLFLREAEYLLELSAKEQT >PAN24966 pep chromosome:PHallii_v3.1:4:46170696:46175261:1 gene:PAHAL_4G265400 transcript:PAN24966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAASSAFILALSSGTPGGRRRGAPPFRSGASLNFPLWAPPPPPPRAPRDDATVARAEAGPGGRDAAPPERRAGAGADATSRQPNARRKAVSRRRDPVQPVGRYGSGPGNAGASQNGALAGGEIKSIVAAPPASIVKFAGPGYTVILPTQDVAPRAVVPAPEPPLPPSEENFVSRPASIPKPGTDGNAGFGEVDVERVPNPIPPPPPAPEPEPAVQEQEAAWDFKKYIGFDEPDETKDEAGVDADVAGSFEDYENDDPGPLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYAEAFDMGITKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQGDIYGGNRQEIMKRMILFCKVAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGRMQYTRSVLVIHNIAHQGRGPVAEYPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADQVVTVSRGYLWELKTVEGGWGLHDIIRSNDWKINGIVNGIDHQEWNPEVDVHLRSDGYTNYSLRTLDAGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWLAGQDVQLVMLGAGRADLERMLQNLERTHHDKVRGWVGFSVPMAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGDAGLGWTFDRAEPNKLIEALGHCLDTYRKYKESWRAIQARGMSQDLSWDHAAELYEKVLVKAKYQW >PAN23427 pep chromosome:PHallii_v3.1:4:6299463:6301567:1 gene:PAHAL_4G090000 transcript:PAN23427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPGRLSAFPRQNKRIASLCPCGVPPVLDPSGSMTMAPAWLSVSRALSLDRAPTSCFRPEQPARPVVPAVLRRPGTVVVVSVAAARRRRPVVAAAAAATTAPAASGEERGKPSFVEEMRAVAMRLHSRDQSMHGEKEVPLEPPVATWDPTVEGFLRFLVDNKLVFETLEAIVGRAAVPWYAEFRNTGLERSEALEKDLEWFRQQGHTITEPSAAGIAYASFLEELSEKEPPAFTTHFYNFYFGHSAGGVIIGKKIAEKINLHKELEFYQWEGNLSQLQQNVRDKLNQVACGWSREERDRCLDEMEKSFICSVDLRRHMFT >PAN24234 pep chromosome:PHallii_v3.1:4:16162899:16163768:1 gene:PAHAL_4G147400 transcript:PAN24234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPAGAMPAYARHYHAVPMPPPPPPMIGIPVRRVWEDNLEPELVFLRNFAANASYAAVTVHYPGVVHGAGQQSHGLMTAEARYAAMKANADALKPIQLGLAVYNDFGHVAAWEFNLRGFHPAADPHAANSVEYLERRGLSFRDHQARGVAVARLAAGLNGCGLFRRPGVSWATYAGAYHVAYLMKILSLGNDGGNLVLLPDSLGGFLDAVRQCLGEDVYDVARMAADLGLPPGLERVAGALSLVPPALSPRLAGAGSVLALQAFMRLKYYEFGGEVNRFRGLIHGIQVV >PAN22435 pep chromosome:PHallii_v3.1:4:1279417:1283772:1 gene:PAHAL_4G019600 transcript:PAN22435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVTGSGGGIGRKMAAGEVELKEKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQVHANRARRQEEVQREFAQEQEFFRQTSLFSKKDKEKMEVMKAVSFMYVRPPGYNAESAKAAEIEDEKKKSDPGDMAQGAAAASTSSMPDKGPEKTQAGADKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPVRIKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTTIMAQTDSSEPLKWELKQKPGMSPPRGGFDPDDPNQQIVAEDIFDEYGGFLGNCDIPALISNFTASKSKKRSKKKSKHKQVESAILKESSRHESSYHLSSDSEDEKRNNTSRSKRRKKYCSDSSRSDSEVDARKGKHKSKHKHKKKHQSESSSDSEVEVGEDTRRHLKREHRKEKREESPSSFSKDKGDTESKRHSRRSREKRHYSYSLSSSESEKHSLRHKEKQYYSESSSGRSHRHSRRLREKRYDSESSSPDANRRPRRSMEKQRHTDLSPHHTDRHSQRSSGKRDYTESSRYESNRHSRRSREKRLYSDPSASDYSDSDRHNKHRHHPRRN >PAN22355 pep chromosome:PHallii_v3.1:4:927338:932043:-1 gene:PAHAL_4G013700 transcript:PAN22355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVWLPALLLAFLLAASPFTQVARAQSEEDAATAEVVEGADLGIVGDDTQISSDGPLSPAPGVETVCVFPKNAGKIVPAGEETELLVGLQNEGESTLNVVAVHSTLHLPYDHSMYGQNLTVQKFFNASVPVSVQATFPYTFVVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTIEVVEAGGLLSVESVFLITLGIALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANIDEWLEGTSFAQRSKSKKKQT >PAN22614 pep chromosome:PHallii_v3.1:4:1966498:1968282:-1 gene:PAHAL_4G031600 transcript:PAN22614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAVFAPASAAAPPVLHHRRSRCHRNYSCGLAIARSSPSSCGGVRWRQILPSCGYGRARAPLVPASDHWGNWTFLLSTAALGTWSEKWSPVGKALTGALVSVLLGLAASSAGVVAADAPAYRVALDYLLPLAIPLLLFRSDLRRRPRGVFRSTGALLLAFLLGSVATAMGTVVAFRLVPMRSLGPDNWNIAAALMTRHIGGVVSFAAVCEALGVSPSARAAGLAAGDAVCALYFTGLFALAAMIPAEDSQATGEGSEPLATAGNTPPAASSAMAVAAAFAMCRAGKLATSMLGQLGIEGASLPFTTATVAVALATFFPSQIGKLAPSDEALAGIVIQVLFAAVGANGSIGNAINKAPSVFAFASVQVAAHLLVTLGVGKLLGFDGKLLLVASAANVGGLTAAGGMAAAKGWTSLVAPGILAGILGIAVTTFVAGVFLVTVCLYYLPRLITNPVVMAFAIVVGFGTFVLENFVAIGVGVLALLKYRK >PVH47298 pep chromosome:PHallii_v3.1:4:1966059:1968373:-1 gene:PAHAL_4G031600 transcript:PVH47298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAVFAPASAAAPPVLHHRRSRCHRNYSCGLAIARSSPSSCGGVRWRQILPSCGYGRARAPLVPASDHWGNWTFLLSTAALGTWSEKWSPVGKALTGALVSVLLGLAASSAGVVAADAPAYRVALDYLLPLAIPLLLFRSDLRRRPRGVFRSTGALLLAFLLGSVATAMGTVVAFRLVPMRSLGPDNWNIAAALMTRHIGGVVSFAAVCEALGVSPSARAAGLAAGDAVCALYFTGLFALAAMIPAEDSQATGEGSEPLATAGNTPPAASSAMAVAAAFAMCRAGKLATSMLGQLGIEGASLPFTTATVAVALATFFPSQIGKLAPSDEALAGIVIQLWELTEASATPSTKRPAYSRSRPCRSRRISW >PVH48029 pep chromosome:PHallii_v3.1:4:40694867:40696278:-1 gene:PAHAL_4G223500 transcript:PVH48029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAQSVPAAAKLFNQANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGEDVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQELCDAANEEVDEKERVQIANFWLISLLLDHVFLVLH >PAN22623 pep chromosome:PHallii_v3.1:4:2005976:2008745:1 gene:PAHAL_4G032300 transcript:PAN22623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATCNACNVRFVDDDQKRLHYRSDWHRFNLKRKVAGVPGVTEALFSALQAALGEGGELTGAPILYGCALCGKEYRNSRAHAQHLSSRSHLMRASDEGPDSSIAGVTVVKLKPPAERRGPAAVEGKEERIEELADESTSDTRVDEDFSTKCGEEPEELDPLLCFMCDLKHDTVEDCMVHMHRKHGFFVPDSEYLKDPHGLLTYVGLKVKRDFICLYCNDRRQPFQSLEAVRKHMDAKGHCKLRYGDGGDDEDAELEDFYDYSRSYADVEGKQLIAAGDGNNDIELGIGGSELVITSKSGKGTRVRTLGSREFTRYYRQKPRPSAVTKCALALSLASCSYKSMDLVTVQSRDESF >PAN22670 pep chromosome:PHallii_v3.1:4:2251167:2257154:-1 gene:PAHAL_4G035800 transcript:PAN22670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGQYPVSGCSKEHQKLYQEWFALADSDGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADSRRQGYLGFPEFVAAMQLVSLAQAGNEITQDSLKRDDLGSLNPPVMEGLDALLAKSKHVVKRVDPELDGYPQEQSPSNKWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLQDEFAKVQREYHLPAGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >PVH47322 pep chromosome:PHallii_v3.1:4:2251285:2257040:-1 gene:PAHAL_4G035800 transcript:PVH47322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGQYPVSGCSKEHQKLYQEWFALADSDGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADSRRQGYLGFPEFVAAMQLVSLAQAGNEITQDSLKRDDLGSLNPPVMEGLDALLAKSKHVVKRVDPELDGYPQEQSPSNKWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLQDEFAKVQREYHLPAGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >PAN22192 pep chromosome:PHallii_v3.1:4:166210:166740:-1 gene:PAHAL_4G003500 transcript:PAN22192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKQRILLHVLFFVFFFILMAALAVDADDPQELQEQHRSLLQTPPKIDCPSSCLERCGRNWKNKMCNKMCNVCCNRCSCVPPGTGQETRHLCPCYDTMVNPKTGKPKCP >PVH47234 pep chromosome:PHallii_v3.1:4:668275:668598:1 gene:PAHAL_4G009900 transcript:PVH47234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGRDLAVARTDPGCRDFLRSASGRRRAFLPVLTGFFDGRQREGQGHLRPRGCAGQTPRRIAGVLSALVSRLHRRTGAIRGPQSPHVRATATTRSARHLRTSLSFH >PAN25326 pep chromosome:PHallii_v3.1:4:48288418:48291386:-1 gene:PAHAL_4G292800 transcript:PAN25326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAIFVFLLVSAVQMLECVLDLARRRGSISDDQLKLRMEITQLLKEASALSTPSTFAQAAKLKRLAAAKEKELAKIQDLNIKGKQSLHEQHDKILLVSKVLIYGVLIQWFWSTPVTTVPKHLLQPFGRLFSWRGVDAATGRVVVGILPWLFLTSRVSKLLSEKLAPIFLHP >PVH48264 pep chromosome:PHallii_v3.1:4:48288417:48291387:-1 gene:PAHAL_4G292800 transcript:PVH48264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAIFVFLLVSAVQMLECVLDLARRRGSISDDQLKLRMEITQLLKEASALSTPSTFAQAAKLKRLAAAKEKELAKSSNLWRAHSVVLEYSCNYCS >PVH48263 pep chromosome:PHallii_v3.1:4:48288417:48291387:-1 gene:PAHAL_4G292800 transcript:PVH48263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAIFVFLLVSAVQMLECVLDLARRRGSISDDQLKLRMEITQLLKEASALSTPSTFAQAAKLKRLAAAKEKELAKIQDLNIKGKQSLHEQHDKILLVSKVLIYGVLIQWFWSTPVTTVPKHLLQPFGCFPGEV >PVH48262 pep chromosome:PHallii_v3.1:4:48290006:48291280:-1 gene:PAHAL_4G292800 transcript:PVH48262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAIFVFLLVSAVQMLECVLDLARRRGSISDDQLKLRMEITQLLKEASALSTPSTFAQAAKLKRLAAAKEKELAKSSNLWRAHSVVLEYSCNYCS >PAN22431 pep chromosome:PHallii_v3.1:4:1265687:1265917:1 gene:PAHAL_4G019200 transcript:PAN22431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLKSIALFMLLLSTIFFVQLSAPTHARKLEVRAPIISVRPSCTGRSVLQAPATQADSTTPGHSPSIGHNSPPN >PVH47204 pep chromosome:PHallii_v3.1:4:141531:146745:-1 gene:PAHAL_4G002800 transcript:PVH47204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKASAAPADAEADERRRLRTLAFSNGLLQRGDPPAPRAPLAPSAAVARLQGRDIVRRGGQRKSRFLFSFPGLLAPVASGGRVGELADLGTKNPVLYLEFPQGRMKLFGTHVYPKNKYLTLQMTRSAKGVVCEDVFESLIVFSEAWWVGTKEDNPEELKLEFPKEFQNDGVAAECDFRGGAGAAIDEATAYKAGKEIAEPRSPNFESDGDASDDSDQKDGNGTQSTSGAPSVRQSARNAGKALKKYTDLSSGGDSSNSDNEAEVPEDLDEKEMESSSVKNESQREDIKPADFSAMPITSKEPLVQATLSSMFKKAEEKKRSTRSPKGSPAAKGPAAKKQRASPMAKQPAGIKKASRAWRKQTPKVEDDGIEELSSSSQDNAVDDDSDEDWAE >PAN25959 pep chromosome:PHallii_v3.1:4:51296665:51297880:-1 gene:PAHAL_4G336900 transcript:PAN25959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPVATAYSKDTIQTVFYSWATQLLYSEEVPEEESYYPVWGLSEMQQAGVKALI >PAN24667 pep chromosome:PHallii_v3.1:4:43957699:43958214:1 gene:PAHAL_4G243200 transcript:PAN24667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMFALFALLALCASTITATYIPGYFPPTMALGAMNPCMQYCAMQQPVTTGSFTSPALMMLQQPFASPFQQYFTPMMVQSMTMQAQCNCGAVSQITHQQQLPFTFNPMAVAMSPFFFQQPFVGVPF >PAN24624 pep chromosome:PHallii_v3.1:4:43340473:43341656:1 gene:PAHAL_4G238900 transcript:PAN24624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPFICGGGDRGDHGSPAHPSSQQPPRPPPPLPVYVPSDQEDGQAAYKPVVSSPFKEGQAPHQRKGVSASPPLHGSVVAASQPKEAAEEMRAPPVVHMARPGGGDGYSAAAAAAANNYYGGRDHDRDRDRHAYGGDEDDRKPQGNSWW >PAN23070 pep chromosome:PHallii_v3.1:4:4218179:4220537:1 gene:PAHAL_4G064600 transcript:PAN23070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEERSSGVRVCVTGGAGFIGSWLVKKLLEKGYTVHATLRNTGDEEKAGMLRRLVPGAAERLQLFDADLFDAATFAPAIAGCRFVFLVATPFGLEAAGNKYKSTAEAVVDAVRAILRLCEESKTVKRVIHTASITAASPLMKKGSGGAGYKDFISESCWTPLDVDYPLRSAHFDKYILSKLQSEQELLSYNAGKSPAFEVVTLPLGLVAGDTVLGRVPETTESAVSPVSRNEAYSGLPRILQQLLGSLPLVHVDDACDALLFCMDQPSVAGRFLCAAAYPTINDVADHFAEKFPHLDILRETEAVARVQPEGDKLGELGFRYKYGMEEILDSSVACAARLGSLDASKLNLQKG >PAN23470 pep chromosome:PHallii_v3.1:4:6554750:6561012:-1 gene:PAHAL_4G094500 transcript:PAN23470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVLDMAPPPQARHQQGPARARGASSGHAPGRKQPLQSSVAQPKAEPAVVPPEGGKRCGGGGGGGGRRRGGRGRAKAAAAAAAEPRALPAPPPRTVIGPPVPSKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRAVNRAIMAELVRLYRASDLGMRLPAYDGRKNLYTAGILPFDAREFVVRLTDEDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQESLQVLDIVLRELANQRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHRHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEMDILQTVHQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVSHWACINFSRSVPEATARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVVKALKHVYNIALNKLKGKELELLLAILPDNNGPLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRNSMDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTSKSSNGTNGASVKPLPALKEKVKRVMFYC >PVH47589 pep chromosome:PHallii_v3.1:4:6554472:6568465:-1 gene:PAHAL_4G094500 transcript:PVH47589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVLDMAPPPQARHQQGPARARGASSGHAPGRKQPLQSSVAQPKAEPAVVPPEGGKRCGGGGGGGGRRRGGRGRAKAAAAAAAEPRALPAPPPRTVIGPPVPSKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRAVNRAIMAELVRLYRASDLGMRLPAYDGRKNLYTAGILPFDAREFVVRLTDEDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQESLQVLDIVLRELANQRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHRHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEMDILQTVHQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVSHWACINFSRSVPEATARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVVKALKHVYNIALNKLKGKELELLLAILPDNNGPLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRNSMDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTSKSSNGTNGASVKPLPALKEKVKRVMFYC >PAN26130 pep chromosome:PHallii_v3.1:4:52121252:52121950:-1 gene:PAHAL_4G349600 transcript:PAN26130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKPSLCKLHPPLMIRSCAQLSNDAHSGVHHAWQLQLMDPANPSLRFHRPVPATIPPGMEFVGCSYGHAIFADLLVSETGGNITMIDVCTGVQVSSPPCPELAKAAAYRFDYIDLLCCTLTAPISSPKACLLVSTRNIVGRLLVWRIGRDDCQHATTDPGGGGLGPIDQIVAFQDKIIALDWDLSLYTVHLDDAELGMSIRPLLIAEENDGGMVNNDELLNPQLVRGGVWR >PAN22164 pep chromosome:PHallii_v3.1:4:102817:106922:1 gene:PAHAL_4G001800 transcript:PAN22164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPPPPRRCGVVAAVVALVLLACLQIQYHHLKVDLGKAGFASATQDNSNRNRIHLGTSSKPATATTNSLPRGIVERHSDMYLRPLWDDSAATTHKNKNGDHNALLAMAVGISQIKNVDTMARKFLKENYAVMLFHYDGNVDGWHHLAWSDKAIHILAHNQTKWWFAKRFLHPDVMAIYDFIFLWDEDLGVENFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKITKVHRRIYDNRPSMNCSDESKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEGWRDPFES >PAN22163 pep chromosome:PHallii_v3.1:4:102817:106922:1 gene:PAHAL_4G001800 transcript:PAN22163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPPPPRRCGVVAAVVALVLLACLQIQYHHLKVDLGKAGFASATQDNSNRNRIHLGTSSKPATATTNSLPRGIVERHSDMYLRPLWDDSAATTHKNKNGDHNALLAMAVGISQIKNVDTMARKFLKENYAVMLFHYDGNVDGWHHLAWSDKAIHILAHNQTKWWFAKRFLHPDVMAIYDFIFLWDEDLGVENFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKITKVHRRIYDNRPSMNCSDESKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQSHDIQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEGWRDPFES >PAN25804 pep chromosome:PHallii_v3.1:4:50587449:50588609:-1 gene:PAHAL_4G326800 transcript:PAN25804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQSSSWSDLRPELLGLVLKRVPSLADRVRVRAVCRSWRNNAQLHLLPPPLPWLSLLDGTFLSFPGGEVHRMPVPGDARCHGSFDNWLFLVHSDGGCSLMNPFSKTMLQLPKLATIWPHEMGNPAEQPVFLKFVGPSSLDASPDSLLAAMIVDGSRRSGICICQPPGATDKLRKNNCDHIYDVAFFDVKLYALISGKLIVLEIVQKHRCQPKISSIRCISDNICNLTIADPYDGRYFCPSWEYLVESAGRLLLVVRRVGVLLPLPERDALQHGRTLSFEVFEVDLTSNSCRQWRRLSSLAGQALFIGAYSKSVPAAECGLPPEDCIYFTCDYARTCRGPPPDPLRDSGVFDMRTRMVTPLLPETTVVRRAAQGCPTWFFPSGAV >PAN25138 pep chromosome:PHallii_v3.1:4:47297917:47299775:1 gene:PAHAL_4G278400 transcript:PAN25138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMESDFHMVNGVGETSYVNNSMDQQKALVETKPVLEKAVAEVCSAVLPRNLVVCDLGCGSGRNTLIFLSEVINATRGHPATISTIFQSFEQLKNSTAADHKGERLPPFYITGVPGSYYTRLFPFQSVHLFHSSFSLHWHSQFPDVLDGNKGNTYIAKTTPPSVVKLYQEQKEEDIYSGSMNYLYELLAQSLQSLVEKDLVNQKKLNSFNLPIYGASVTEVKEVVNQSGLFDINHIDFGVNIAKSIRTVMETLLVSHFDEFMIEALFKEFASKVAEYLQREDNTKYSIIILSLQKK >PVH47587 pep chromosome:PHallii_v3.1:4:6539096:6539863:-1 gene:PAHAL_4G094100 transcript:PVH47587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTDDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWVCGHTRKDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRPRLTWDETVKRDLKEWNIAKELAMDRSVWRLAINVPEP >PVH47802 pep chromosome:PHallii_v3.1:4:18644915:18646687:1 gene:PAHAL_4G156300 transcript:PVH47802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVGRGEHNDTAVAGRLGLGLLEEVADPGLEAPTLTKSSTNPDAAQKKATPASPATARASRVLRVPDGPSRRHPLGILPPRAPRRRERKRRLPTKVRKPPDPAFSPSETEMSTLLVARMLMRSRLLGTTTVARRPSTAVSWRSPPSLDIKQPRIHLCAGSRRTHVGTWGTGCAQCSPDRSAPATASTTCDAVRKHTYPSHAELSQTK >PAN24908 pep chromosome:PHallii_v3.1:4:45768391:45772426:1 gene:PAHAL_4G261200 transcript:PAN24908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVAALKEFVLARWPQDKEIVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSEKQQSNSPKQNRCGCTIL >PVH47604 pep chromosome:PHallii_v3.1:4:7079777:7080250:-1 gene:PAHAL_4G100400 transcript:PVH47604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWMLFCESKSQVREEKFPREEGMQPERLFSDRIRISSGEEIRTSGGIDPTNDELFARRGGMPPVSVLFVRMTVLRIELLPSEEGMSPVRLLLARNKTDSLESVPNPFGMIPCSLLLNRWIF >PAN24994 pep chromosome:PHallii_v3.1:4:46264420:46267089:-1 gene:PAHAL_4G266800 transcript:PAN24994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCSTMPLDVVYPTPGGSLAAAIHTCRFSSTLCNLCICSSYRVLRLPTENACALSASLASSNSLSSASESPRAPSMECYQDASVLESLLLCCNVGYRRLRIRSPTLRSLGVSNGDCRQPGELEELIVEDVPLLERLIPRNVRYFMVIRVLQAPKLKILGYLEDHCISTFQFGTLVFEKMMPVTLSTSVRTVKTLALIIASNLDLVIGLLKCFPCVEKLYIEFSYQLYSQRNCKNEQRYDQLECLDLHLKRLV >PAN25581 pep chromosome:PHallii_v3.1:4:46264474:46266938:-1 gene:PAHAL_4G266800 transcript:PAN25581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCSTMPLDVVYPTPGGSLAAAIHTCRFSSTLCNLCICSSYRVLRLPTENACALSASLASSNSLSSASESPRAPSMECYQDASVLESLLLCCNVGYRRLRIRSPTLRSLGVSNGDCRQPGELEELIVEDVPLLERLIPRNVRYFMVIRVLQAPKLKILGYLEDHCISTFQFGTLVFEVAAVINVLTIYLCLYALTLTFHVSGLFQKMMPVTLSTSVRTVKTLALIIASNLDLVIGLLKCFPCVEKLYIEFSYQLYSQRNCKNEQRYDQLECLDLHLKRLV >PAN25698 pep chromosome:PHallii_v3.1:4:50199971:50206866:-1 gene:PAHAL_4G319500 transcript:PAN25698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MAGAALGLLGVGAVALLAVASVALLPLVSSDDGYRHCEGVVRGWADSSTGREKDGDKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCKLVVTHDDYSLTSKLPRERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTTRVLTKSRAVSTLDIWPWKYLVPWMREDLFARRVARGFDKVHSTKKVNAYDVEDMVMPLHEYINDPVAHEIIHNGATFQITGLTNNSYFDGAHEVRHCVRQHPDLGHIVLEVAKNRLDQMLYVGLTEDHEESARLFAHMVGAQVLSQSGTLNLDLKEDLPSENDSHPSMVEPEEEARNEHLNSTHGWQNNEALNSTSDEQGNGNMTVGKLMEAYETCIAKLRKSQSNRRKISLKKVAEANFSKEARKLVPEAILKQIISLNSLDMELYDHAKKIFTQEHLMLKAQQSVVGHHRQLAEQKGWTDIICGDGICSPWMVVMLGLGIAAIIALLSFAVTTRRRTSKLKV >PAN26106 pep chromosome:PHallii_v3.1:4:52030513:52036368:-1 gene:PAHAL_4G348000 transcript:PAN26106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYTIIKEVGDGTFGSVWRAIHKGSGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKTRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATVYNAAVDMWAMGAIIAELFSLRPLFPGSSEADELYKICSILGTPNQRTWPEGLQLAASIGFQFPQCESIHLSEVIPSASEDAINLISWLCSWDPRRRPTAVEVLQHPFFQPCFYVPPSLRFKSTGYASTPPSVGAKGAVDQKNARRYSMGTVPNGRPTVNYSYLSNNAPVRAAGAHRKLELDHQPPESNHKVTKANAMNQSWSRPAAVRSNGNYLAKDQSPRAPDLAEKLSQLSMGPNRVSGLGPERFTDLKARTHGSAIKRPLPVGSRAWPGPADPFRRPYEMPGDRALLPRKLVS >PAN23769 pep chromosome:PHallii_v3.1:4:8925018:8927860:1 gene:PAHAL_4G115600 transcript:PAN23769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >PAN24393 pep chromosome:PHallii_v3.1:4:38063068:38065055:1 gene:PAHAL_4G213300 transcript:PAN24393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLWLAWLVISLLSVYVLDLLIHSRSGLPPGPRPLPLIGSLQLLGKQPHRSLACLAKIHGPLMSLRLGTVTTVVVSSPDVAREFLQKHDAIFASRSVPDATGEHAKNSVPWLPNGPRWRALRRTMAAELFALHRLETLHHIRREKVRELVDHVGRLTREGAAVDIGQVVFTTGLNLLSRMIFSRDLTDFDRHGESKEFQGVCAEIMEVAGISNVSDFFPVLAAVDLQGARRRMARLFARLHRVFDVEIAQRLRGRSASEPRKNDFLDVLLDNSKAGIDRDTLLSLFTDLYAAGMNNISNTMEWAMSELLQNPLAMSKACDELSQVIGASRNVEESEISQLPYLQAVVKETFRLHPPAPLLLPRQADTTTKVMGYTIPKGARVLVNVWAMG >PAN25281 pep chromosome:PHallii_v3.1:4:48087103:48090371:-1 gene:PAHAL_4G289900 transcript:PAN25281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVPEDAWRGDGGSSGFGRRGGSPSSSSVAPGSDSRAAVLQCDEMEESDGEVQSSYRGPFETMDALQDALPSNRKRVSKFFNGKPSSNVAGATQPAQATANPGNPSPKKRKGFLSFSFSWNKSRSKGSSSRRDAATTSSRNRRKTPSPSPASSSQGNSRGANEHARRWLQRRSNSSTMAIVSASPTAAAALRSSQLIAVQMQAVCLEDVAESTASLCPREKRRRSLQ >PAN25898 pep chromosome:PHallii_v3.1:4:51061690:51066126:1 gene:PAHAL_4G332900 transcript:PAN25898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MEEASAAAGGGGGGAAAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVRFFVRRATRRAPIINRGYYARWSVLRKLLHQFLNAGKNSNEEKPKQILSFGAGFDTTFFQLQDEGIAPHLYVELDFKEVTSKKAAIINHYSEMKEKLGSEASISIEKGEVISTHYKLFPADIRDIPKLDSVIRMAEMDPSLPTFIIAECVLIYLDPTATGAIVSWASEKFSTAVFFLYEQIHPDDAFGEQMIRNLESRGCPLLGINATPTLSRKEKLFLDNGWQRAVAWDMLRIYNDFIDSQERRRIERLELFDEFEEWHMMQEHYCVAYGINDSEGIFHNFGFGKE >PAN24919 pep chromosome:PHallii_v3.1:4:45821662:45823492:-1 gene:PAHAL_4G262300 transcript:PAN24919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLQKHLGISFRHQWLFSVTRFAATAASAPSADPAPFAVEGYLVASCHLTRDKARKASKAKALSHLKSPSNPDTVLAFLSGLGLSPPDIAAAVVRDPALLLCKVDKTLAPRLAELRDFGLSPSQIAQLVLLAPTRFRHPDIVSKLQYYIPFFGSFHDFIRALKKSSYLLGVDLESVVKPNVSCLRECSLSAHEISKMCIIQPRLLYSKQESVRAMLARAEDIGIPRGTTMFRHALQFVAGRSKETISCKMELLKKTFRWSDAEVARVVSRNPSVLTISEDIAWRVSEFLHSEVGLDPEYIARRPSLIKYSLEGRLMPRHYVVKFLKVNGLLRHDRDYYTALKWTEKVFVEKFINPYREAAPHLAEDYADACRGKMPSRFRLQEPGAGLASV >PVH48412 pep chromosome:PHallii_v3.1:4:50535995:50539231:1 gene:PAHAL_4G325400 transcript:PVH48412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRRPNQPLAAPLQPSSPPPAAEAEHQRAETSELSRERNPSEMAGRAVALLLAVALAAVLLHPAAARQKKPATAARREDIPYIRCRVCERIAREISAQVAKKQQALPPSKKVPEIEIIDIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVIGYADTDVAEFVYKNNPSVDQLMKFLCKDLSKACAKDPPPVPKDRVPGEPFARKPSKDAEMDKILRSMEGMPGAPSMKMYSRDDLMKNNFGTEDDDDEDDEDEEDNFPKNLGKVLKDKGSQKKDLKQQVVQQLKDTSKKLKGYFNKVSKMVKKRWQGTKKPAKSGKSKTEL >PVH47473 pep chromosome:PHallii_v3.1:4:4714462:4714777:1 gene:PAHAL_4G070900 transcript:PVH47473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETKMATRRGNRRGGSMLKSTRSGHRARHSRVCQAQEHTGDNGTHQCCQYRMCKGECTTKCHCLRD >PVH47217 pep chromosome:PHallii_v3.1:4:338336:338898:1 gene:PAHAL_4G006100 transcript:PVH47217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRPVLNPSIRFPWVVGCGGSHVSSFRNSMPARRFAGTRHLLYPFPGVPGPAFGEC >PVH47712 pep chromosome:PHallii_v3.1:4:10588328:10588522:-1 gene:PAHAL_4G126900 transcript:PVH47712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNKSQGQTIPNIGVYLPDPVFSYGQLYVAMSRTNIRFLALPPNATELEEEAKKEKKNAKKKG >PAN25211 pep chromosome:PHallii_v3.1:4:47697596:47702028:-1 gene:PAHAL_4G284400 transcript:PAN25211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTFPAVLVAAVLLLLPPPGAEAVWLELPPSGTKCVSEEIQPNVVVLADYAIMYESHPTSHPTVAVKVTSPYGNTVHHNENATTGQFAFTTSEAGNYLACFWIDSAEKGSGTSLNLDWKIGIAAKDWDTIAKKEKIEGVELELRKLEAAVESIHHNLLYLKAREAEMRTVSEKTNSRVAWFSILSLGVCIVVSVLQLWHLQGFFRKKKLI >PAN24320 pep chromosome:PHallii_v3.1:4:27476141:27477455:1 gene:PAHAL_4G182600 transcript:PAN24320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKPAESTAAPAASATPMGEEYRGVRRRRRRAANAGGGILALHRPAGVPHPLRGLRGPFGIEDSVGAAGPLLAIAGSRFKLHGELHGRGTLHPFPLLHVAHPLAARRPVPARLRAASSPLGPARYELGPASSCSP >PAN26240 pep chromosome:PHallii_v3.1:4:52643200:52648587:1 gene:PAHAL_4G357500 transcript:PAN26240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRARPPAAVPLSFSTAACYSFPLPSSSSSCCTAARCSVGSSAKLSAVQFEPLRSDSDPWGADQGVATTEDGEQQFNKDGPERDRKGIPGIHVPRQRYIAVPKAALLDAVLSQFPSEADAADFKRCARCLDAILHAEHKGMLEEMRTSYMLTQRHQEDDDDQTDSSDAQAIVNGKTSSGFFGITQEDGTLFLTRSLGLRTLLGLTPEPGSKTRAAFATQFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFSLSKPLKKPGKWLNEALKRSTGNQGFQIWIDKLRDWLKEQTYADNSLLLIENSSWDKLSSDQLPNDDVPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLTWTGLIPSLPEATIKTDDETKHLEGHVRPNFLPRITLANIWEPASRESCNNNFWEIVKVSFRILFGRSTLQEPAFQELILLYTDEKSQSEKKDKSEMLPLQLKIYEKIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAISALLILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLCRKKYQVSSRASIRDTCEQFMYEKFKAKIEMPIDKAMETLVRLGLVIELPTNGGSSVVGVPCSEAYEILRSRWDSLLEHRTEQA >PVH48194 pep chromosome:PHallii_v3.1:4:46757917:46761944:1 gene:PAHAL_4G273500 transcript:PVH48194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAILRRLTTLTPAAAASARVAPRPLLPLLARGVSDSTDAITVETSVPFKSHIVDPPSRSATTSARELLSFFRDMALMRRSEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRADAIITAYRDHCLYLARGGDLVAAFAELMGRRDGCSRGKGGSMHFYKRDANFFGGHGIVGAQVPLGCGIAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHVLENGPIILEMDTYRYHGHSMSDPGSSYRTRDEIAGIRQERDPIERVRKLILAHDFATAQELKDMEKEIRKQVDAAIAKAKESPMPDPSELFTNVYVNDCGLESFGVDRKVVRTVLP >PVH48281 pep chromosome:PHallii_v3.1:4:48687152:48688122:-1 gene:PAHAL_4G298300 transcript:PVH48281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCIVADDKWWKDQNDAMAGCICFKDAPLEHEEQIRIMFEGISVTNETSFVPSNEEGGGQEDDGGQNNSELEREGQVPTPPDVTPTLDKRPAPLSPKGKKKKTFRDQCTKRLVEAYEKKAESSNNSATSNVVDSVREEIGNMLDQVIKDGAEEGSDEHYYATQLLIKKEYRDVFITLKTSNGRLNWLRRA >PAN25734 pep chromosome:PHallii_v3.1:4:50366190:50369490:-1 gene:PAHAL_4G321900 transcript:PAN25734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAWSLPHGHSPRGSYSSRSLRFSPVGPACSSSSGSGTLGGLKSARNLYLHPVSRGSPVSCSLMDISKSKQGPDHLLVLVHGIMASPKDWTYGEAVLKRRLGDNFFIYASSSNIYTKTFDGIDIAGRRLADEVLDVVKKMSSLRKISFLAHSLGGLFARYAIAILYSLEAKNEGQSSAQIVPAARGSAKSRYTSGLGAVAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRTGAQLFLTDGDPSKPPLLLQMASDCEDKKFILALAAFKNRVLYANVSYDHMVGWRTSSVRREKDLVVKPSHRSLDGYKHIVNVEYCSPVSSEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMTHGLQKVGWKKVDVNFHSSFWPYLAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRPCLPANL >PVH48389 pep chromosome:PHallii_v3.1:4:50366190:50368495:-1 gene:PAHAL_4G321900 transcript:PVH48389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFTSFYLGCSPKDWTYGEAVLKRRLGDNFFIYASSSNIYTKTFDGIDIAGRRLADEVLDVVKKMSSLRKISFLAHSLGGLFARYAIAILYSLEAKNEGQSSAQIVPAARGSAKSRYTSGLGAVAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRTGAQLFLTDGDPSKPPLLLQMASDCEDKKFILALAAFKNRVLYANVSYDHMVGWRTSSVRREKDLVVKPSHRSLDGYKHIVNVEYCSPVSSEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMTHGLQKVGWKKVDVNFHSSFWPYLAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRPCLPANL >PVH48390 pep chromosome:PHallii_v3.1:4:50366300:50368495:-1 gene:PAHAL_4G321900 transcript:PVH48390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFTSFYLGCSPKDWTYGEAVLKRRLGDNFFIYASSSNIYTKTFDGIDIAGRRLADEVLDVVKKMSSLRKISFLAHSLGGLFARYAIAILYSLEAKNEGQSSAQIVPAARGSAKSRYTSGLGAVAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRTGAQLFLTDGDPSKPPLLLQMASDCEDKKFILALAAFKNRVLYANVSYDHMVGWRTSSVRREKDLVVKPSHRSLDGYKHIVNVEYCSPVSSEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMTHGLQKVGWKKVDVNFHSSFWPYLAHNNIHHPNSCC >PAN25731 pep chromosome:PHallii_v3.1:4:50364330:50369752:-1 gene:PAHAL_4G321900 transcript:PAN25731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAWSLPHGHSPRGSYSSRSLRFSPVGPACSSSSGSGTLGGLKSARNLYLHPVSRGSPVSCSLMDISKSKQGPDHLLVLVHGIMASPKDWTYGEAVLKRRLGDNFFIYASSSNIYTKTFDGIDIAGRRLADELPFLQGLSILEKLAAPLAPLIVGRTGAQLFLTDGDPSKPPLLLQMASDCEDKKFILALAAFKNRVLYANVSYDHMVGWRTSSVRREKDLVVKPSHRSLDGYKHIVNVEYCSPVSSEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMTHGLQKVGWKKVDVNFHSSFWPYLAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRPCLPANL >PAN22717 pep chromosome:PHallii_v3.1:4:2490491:2494506:-1 gene:PAHAL_4G040100 transcript:PAN22717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALLLVMAMAIHGGGTAWCFASDTISASSPISGDRTVVSRGRKFELGFFSPAGGGSNYYVGIWYKQVVSQRTPVWVANRAAPVADPASSRLAVAADGNLVLINEAGKLVWSSNVSSASSSNGAAAVAVILDTGNLKLWRESSGEVLWQSVEHPTDTWLPGVRLGMNKITGEVQALVSWKNAGDPAPGMFTLGIDPNGTSQYFAKWNRSVTFWSSGEWKNGVFSGVPEMISHDKYDFMFFSDANASYFSYSLQDPTVISRLVLDVSGQVRQIMWAQSRDEWEIIWMEPHSRCAIYAVCGEFGVCNENSEPYCSCLAGFRPSSVADWELGDHSMGCRRNNPLRCDGGANSSSVDGEGDGDAFLVAPGVSLPRNPPSPARASSARDCRLACLRSCNCTAYSYGSHCSLWYDSLLNLERRFQDTAGMDDLYLRVSAMDVPSSKGRKRTIVFVSIASVASILALSVIVSVVVRMYRKRQRTITFMQAASEGGNLVAFKYGDVRRATKNFSEKLGGGSFGSVYKGTLPGGQVAIAVKKLEGRLCVGEKQFRNEVRTIGVIQHVNLVRLRGFSSHGSERLLVYDHMPNGSLDKVLFGGAPAPAPALSWRSRFQIALGAARGLLYLHEGCRDCIIHCDIKPENVLLDKDLVPKVADFGLAKLLARDFSRVLTTVRGTIGYLAPEWISGVPITAKADVYSYGMVLLEIVSGRRNARCWPAVEQDPSLSGYFPLVAARKVSQGEALDGLLDERLHGDVDPRELERACRVACWCVQDDEARRPTMEQVVQALEGVVAVDVPPVPTSLQALAENSGFLMSASTSACFDGFSRSHLRDS >PVH47400 pep chromosome:PHallii_v3.1:4:3426085:3426618:-1 gene:PAHAL_4G051900 transcript:PVH47400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCSTIAPAPAPEAPRAPASPLDYDVVVILAAMLCALVCALGLNSMLQCVVRCTRRAVADPVGWVAHRRASAGLKREDVATLPVATYVASPPPAAAGRSPARQQQQASGAPGCAICLSDFFDGERIRVLPVCGHRFHVLCIDRWLASHGSCPTCRRRLSSESVAGGHHHLQVLTPV >PAN22773 pep chromosome:PHallii_v3.1:4:2817934:2820324:1 gene:PAHAL_4G044300 transcript:PAN22773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGGGVEGGGSCGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPEEERQILRLHGLIGNKWARISSHLPGRTDNEIKNYWNTRLKRRQRAGLPLYPPDVEREIALLRAQNVNPFADSDGNANASGSLPPPLLYDASNPFALPPTVPSPSGSASPLINQNYPLLNQMQGMQQVFHHLASQQSPQPVFHPHHQDSSGAAALGHGGFVSAGLPPLPTRPQAAELPSNQFDSSSSGSGGGLLESLLLGDDHLPRHNHPSMVKVSSMPALSYSYREPVSSRLPVHGAGSDSDDTSHCLPGEDMHHGATWNFTFEDVKPMKRRTPSEAGISDMFGVVAPGAVPGEWFGTCGGSTAPSPGPSSAVTDDEFGLEMQQFMSLLPLSIDEHSWNA >PAN22774 pep chromosome:PHallii_v3.1:4:2818550:2819803:1 gene:PAHAL_4G044300 transcript:PAN22774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGGGVEGGGSCGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPEEERQILRLHGLIGNKWARISSHLPGRTDNEIKNYWNTRLKRRQRAGLPLYPPDVEREIALLRAQNVNPFADSDGNANASGSLPPPLLYDASNPFALPPTVPSPSGSASPLINQNYPLLNQMQGMQQVFHHLASQQSPQPVFHPHHQDSSGAAALGHGGFVSAGLPPLPTRPQAAELPSNQFDSSSSGSGGGLLESLLLGDDHLPRHNHPSMVKVSSMPALSYSYREPVSSRLPVHGAGSDSDDTSHCLPGEDMHHGATWNFTFD >PAN25225 pep chromosome:PHallii_v3.1:4:47769464:47771790:-1 gene:PAHAL_4G285500 transcript:PAN25225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLAALLVLIALPCPARAAPGAGVVAALLTHADAGRGLARPELVRRMAHRTRARRRLLSETERPVRARVRAGLGGGGGIVTNEYLVRLSVGTPPRPVALTLDTGSDLVWTQCAPCRDCFGQGLPLLDPAASSTYAALPCGAPWCRALQFTSCGGRGWGNRTCVYAYHYGDKSLTVGQLATDRFTFGDGGGSNAGSLSSRRLTFGCGHFNKGVFQSNETGIAGFGRGRWSLPSQLNVTSFSYCFTSMFESKSSLVTLGGAPAALYSHAHVGEVRSTPLLRNPSQPSLYFLSLMAISVGSTRIPVPAPRRGSTIIDSGASITTLPEDLYEAVRAAFAAQVGLPPGGVEGSALDLCFALPVTAFWRRPAVPALTLHLEGADWELPRANYVLEDLGARVMCVVLDAAPGEQAVIGNFQQQNTHVVYDLENDRLSFAPARCDRLVASL >PAN23103 pep chromosome:PHallii_v3.1:4:4442900:4445106:-1 gene:PAHAL_4G067400 transcript:PAN23103 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MALSLSLARAAPLAVSAGAGARRLPAASLAFPPKSFFGAPLAATAASVTSPLPRKPATSTSLAVVAAGKKGYKMKTHKASAKRFRVTGRGKIVRRCAGKQHLLGKKNTKRKKRLSKMVQVNKSDYDNVTGALPYLKVNRKAD >PVH48420 pep chromosome:PHallii_v3.1:4:50593191:50596539:-1 gene:PAHAL_4G327000 transcript:PVH48420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTDGGCSLVNPFTRATVELPNLATVWYHDPSNATSGLNPILYKTVVLSPLDSSPDSLVAVLILDDGHCSTVCICQPPIATVVSSARATWPLQVFDDVTFFNGKLHGLASCDKLFVFDIDSELSDPPKISSIKCIIGYGVGLQDLPQSESRAKVHIKKEYLVECCGRLLRVRRFLQSDHPGQAGRYLKHHRTVAFDVFEAGLSTNSHRWRKVNNLGGQVLFVGRHCSKSFPAVEYNRIQGDCIYFMCDYYPTLDPLRDSGMYNMRTGMITPLLSETVAVPQRHGGKWCPAWIFPADSM >PAN25596 pep chromosome:PHallii_v3.1:4:49692521:49695461:1 gene:PAHAL_4G312700 transcript:PAN25596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALAYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPDALGKLLAKSGLTQPAPEA >PAN23369 pep chromosome:PHallii_v3.1:4:6015310:6025829:1 gene:PAHAL_4G086800 transcript:PAN23369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLTSQLFLRLPASHIYCQRNKFRSHQMRSSLPLSSTSFPSIADRQDYWGHNVLESNYRLMPYIPNRYRSLGFRSFALPVPSQENPLIKSASLALTRSCDTLLANPATSLVFPAVGIIVFALWGFLPLMRDIRNRFDHGGNWKKSRTYLVSSSYLQPLLLWTGATLICRGLDPVVLPSAASQAVKMRLITFVRSLSTVLAVAYILTSVIQQVQKFLVDMRNPNDTRNMGFDFITKALYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVEISGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSIVRNNTQRTHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNPHIEQQKLHRRVFFEKIDPKNQALMIYISCFVKTSHVEEYLNVQEDVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEDMYCRTRGRPLLIDTSARISDDKAKPRQVSSREEQKGKTNGSVQIKSASPENGNVSNSEKQEQKKLVTEDARMKNSKIDNVTPVKPSSDAVTSTSKTGKGKAQEPEATERQGDGSVSVPNLKKESSPAFEDNIVLGVALDGSKRTLPIEEGNPYPSHTETEPNTVEAASSPKDKMAQRPKNSGQEKTDQRNVDR >PAN23370 pep chromosome:PHallii_v3.1:4:6015310:6025829:1 gene:PAHAL_4G086800 transcript:PAN23370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLTSQLFLRLPASHIYCQRNKFRSHQMRSSLPLSSTSFPSIADRQDYWGHNVLESNYRLMPYIPNRYRSLGFRSFALPVPSQENPLIKSASLALTRSCDTLLANPATSLVFPAVGIIVFALWGFLPLMRDIRNRFDHGGNWKKSRTYLVSSSYLQPLLLWTGATLICRGLDPVVLPSAASQAVKMRLITFVRSLSTVLAVAYILTSVIQQVQKFLVDMRNPNDTRNMGFDFITKALYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVEISGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSIVRNNTQRTHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNPHIEQQKLHRRVFFEKIDPKNQALMIYISCFVKTSHVEEYLNVQEDVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEDMYCRTRGRPLLIDTSARISDDKAKPRQVSSREEQKGKTNGSVQIKSASPENGNVSNSEKQEQKKLVTEDARMKNSKIDNVTPVKPSSDAVTSTSKTGKGKAQEPEATERQGDGSVSVPNLKKESSPAFEDNIVLGVALDGSKRTLPIEEGNPYPSHTETEPNTVEAASSPKDKMAQRPKNSGQEKTDQRNVDR >PAN22705 pep chromosome:PHallii_v3.1:4:2432653:2435808:1 gene:PAHAL_4G039000 transcript:PAN22705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSGGGGCGGRSGAKRQRVDEQGDRCEAVDEDAVPVDRISALPDELRQRILTHLPLKDAIRTGAVARGWRDLWKGRWEHRASLEVHLRSRDDPRRELDALAREPRPRRHLDRFSLVVDTCKLKSSELRRFIEYAAECRVEDLHVETRRRTLKGKLNFHLPLSSPLLARLSLRRIGISNMYYKGAQPFRALEVIRLHSVSIGHAPFTKMMALCPNLLTLDLRHCKCGDFFYSVAMPPNLRSLTVTYCDGIASVNFELVPSLRSFRYYGDFGEAPFSSLPLDTVLSDLDIWFTRSVSNQYIIKKFYNSLPKDLSGLNILTINYNALPVVSSMPSLNLHSLRELQLLMFEMEAANLADLYLFLKTCQCPNLERLFVQFLGFNYKSMEGSTDEVTEEPREDGLDNLLMVKFMNFNWCRAGVQLVSFLLRKASSLHKLLIVSPCVTPLDLPDVQEANLLLVKEALANGKIMLSKSDDAATQPYHSEHPF >PVH48032 pep chromosome:PHallii_v3.1:4:40809164:40821997:1 gene:PAHAL_4G224000 transcript:PVH48032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWFIEFGDVLMLVFDFQWYSFIKAKSDISPEDVQDLVKLGLEIFHASQNKFVVQIKWGGLLIRLLRKHRKSLLLDVQWRPLYDTLIKTHFKRNMGPEGWKVRKQHFETVTSLVRASRNFFPEGAAAEIWSEFRPLLDNPWHNSAFEGVGFLRLFLPANSRNQDHFTIDWVAQCLDIWDSVTNCNFWDIQWASIIARCIKNFRSVNWDDFLPLLFIRYLNMFEVPISSGNGSYPFPVEVPGNTRFLFSSKTRTLAKAIAKSIVYLLKPKSLAFEYFEKLVNFLEQFYHPSNGGRWTYSLERFLRHLVVYFEKRLQHEQFDATVEEHDQPCLGKEERVIFIKVILKLLDRGQYSKDNSLAETVSIATSILSYVEPTLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALLLCSLCSSQSDDSSVIDSFSDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGANDDVPAFLQSTTLSNWLDEFFSRLFSVLQNLESSSPINEGYQTSFTSGTFLAEDSSYYFCMLEILLGKLSKPLFDQSLKRIAKFVNANILPGATSEVGLLCCACVHSYPVEASVHLFKPILMTIMSSFEGTPTTGYVGRAVPDKTSKKAALSPALETALDYYLRVLAIAISYAGPVLLNYKEELNRIITSAFQAPSWKVNGAGDHLLRSLLGNLVSYYPIDQYKPFTCHPIGNIIEPWGCSKAHQDREVEMLNFPPKWHDPSQDELSFANELLQFHFQSALEDLLTICQTKLHSETGDEKEHIKVTLLRILSALHGVMSCLPEMRPSYKDGRSKEVEPIFFIAGSAGSTVGSSEMREKAAEFVHIACRYLLKERTDDSILLALVVRVIDALVNYGSLEYLEWSRHIQAWKLESASIIEPPCNFIVPFHAQGKKRPRWALVDKANLHSTWRCSQSSYHRYRTNAEVSPSGLMTDLMNDLLDLSLHNYEIVRSYAGRSLTKLLKRWPSLISNCVLTLTGNLRDLKAPEHVVLGSCSILSSQTVLRHLTTDSISLSSFIMGILESSHHESLKCQKAITELFVMYNIRFSGISRSFFKNSESHFDKPGFLSLVRQINALGFESNSLHWRYNLMANRVLLLLILASRSESGVYSQMLAETTGHFLKNLKSQLPHSRMLAISALNTLLQGSPHKAYPQDSQQSLDHPEYCNISSTGEILNQIIQEEGFMNETLNSLSHVHIISDNDGSSKASYGASSFQRGSDKAITDFYFDFSASWPRTPSWISLVGGHMFYSSFARIFKRLIQQCGMPVMSSLQTALEDFLSSKERSRQCVAAEAVAGMLHSDITGNLESENNWLMVQLQKIMLVPSVESTPEWAACIRYAVTGKERAGTRAPVLRQKVLECLCSPVPQSMATSVLAKRYAFLSVALIEISAPKMSPAEKQYHVKILDELLDNMNHSSAQVNQMAPVWGVSVSCHSFYFTLILRI >PVH48031 pep chromosome:PHallii_v3.1:4:40806680:40821997:1 gene:PAHAL_4G224000 transcript:PVH48031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAAAARGEAAAFAGAVRAAADAWRPGDPDSAYATLKWISVFDLFIKAKSDISPEDVQDLVKLGLEIFHASQNKFVVQIKWGGLLIRLLRKHRKSLLLDVQWRPLYDTLIKTHFKRNMGPEGWKVRKQHFETVTSLVRASRNFFPEGAAAEIWSEFRPLLDNPWHNSAFEGVGFLRLFLPANSRNQDHFTIDWVAQCLDIWDSVTNCNFWDIQWASIIARCIKNFRSVNWDDFLPLLFIRYLNMFEVPISSGNGSYPFPVEVPGNTRFLFSSKTRTLAKAIAKSIVYLLKPKSLAFEYFEKLVNFLEQFYHPSNGGRWTYSLERFLRHLVVYFEKRLQHEQFDATVEEHDQPCLGKEERVIFIKVILKLLDRGQYSKDNSLAETVSIATSILSYVEPTLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALLLCSLCSSQSDDSSVIDSFSDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGANDDVPAFLQSTTLSNWLDEFFSRLFSVLQNLESSSPINEGYQTSFTSGTFLAEDSSYYFCMLEILLGKLSKPLFDQSLKRIAKFVNANILPGATSEVGLLCCACVHSYPVEASVHLFKPILMTIMSSFEGTPTTGYVGRAVPDKTSKKAALSPALETALDYYLRVLAIAISYAGPVLLNYKEELNRIITSAFQAPSWKVNGAGDHLLRSLLGNLVSYYPIDQYKPFTCHPIGNIIEPWGCSKAHQDREVEMLNFPPKWHDPSQDELSFANELLQFHFQSALEDLLTICQTKLHSETGDEKEHIKVTLLRILSALHGVMSCLPEMRPSYKDGRSKEVEPIFFIAGSAGSTVGSSEMREKAAEFVHIACRYLLKERTDDSILLALVVRVIDALVNYGSLEYLEWSRHIQAWKLESASIIEPPCNFIVPFHAQGKKRPRWALVDKANLHSTWRCSQSSYHRYRTNAEVSPSGLMTDLMNDLLDLSLHNYEIVRSYAGRSLTKLLKRWPSLISNCVLTLTGNLRDLKAPEHVVLGSCSILSSQTVLRHLTTDSISLSSFIMGILESSHHESLKCQKAITELFVMYNIRFSGISRSFFKNSESHFDKPGFLSLVRQINALGFESNSLHWRYNLMANRVLLLLILASRSESGVYSQMLAETTGHFLKNLKSQLPHSRMLAISALNTLLQGSPHKAYPQDSQQSLDHPEYCNISSTGEILNQIIQEEGFMNETLNSLSHVHIISDNDGSSKASYGASSFQRGSDKAITDFYFDFSASWPRTPSWISLVGGHMFYSSFARIFKRLIQQCGMPVMSSLQTALEDFLSSKERSRQCVAAEAVAGMLHSDITGNLESENNWLMVQLQKIMLVPSVESTPEWAACIRYAVTGKERAGTRAPVLRQKVLECLCSPVPQSMATSVLAKRYAFLSVALIEISAPKMSPAEKQYHVKILDELLDNMNHSSAQVNQMAPVWGVSVSCHSFYFTLILRI >PAN24351 pep chromosome:PHallii_v3.1:4:26729982:26731377:-1 gene:PAHAL_4G179700 transcript:PAN24351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELKPVPGMAGRLFGAGAAANGGSSEAAQQEARKVRCPRCESPNTKFCYYNNYNLAQPRHFCKACRRYWTKGGHLRNVPVGGGCRKHKPKRPTAAAVDGSDRNGKIRHSGCAGASSSPAADGDAPVSSVFSVVTEPSGISSGPSRSVAEAGAGTASCAAGDMRTLLVPPPAPVFSDQAAVFASLFATPRPLPAFSSSSAHSMAEERVATSLAEQQSLDSDAAAAGTAPFSGSARPDGALAAGASDWPTAASGAGVFELAGGIAGDASLPEYWNPESWTDPDPDPTIYLP >PAN23884 pep chromosome:PHallii_v3.1:4:10968516:10968977:-1 gene:PAHAL_4G129200 transcript:PAN23884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFLPVVVVASIILHVAYATAATTSVNLTAGATATAYDILEKNNLPRGLLPNSVRSYTLNPDGKMEVTLPRECDFPITFGGQEFKFRFASTVGGVIQSGSIHEVYGVRVQIKFGWLGLRQADRAGDQLTLQVQQFTQSFPVSAFAVSPSCS >PAN24288 pep chromosome:PHallii_v3.1:4:32857152:32857673:-1 gene:PAHAL_4G197600 transcript:PAN24288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSARAHGGHHQPPPQPHGLRYEPLRRMQGLDLKSALRASSPRASTLATAALLVPLGAALLGASGLALAATLAGLPLAAPLLVLFSPVIVPAALAAALAVSGFMASGGLGVAGVSALAWAARYVWRGGGGGGSGGGLTGMVVQPLDHGEKRRGAEGPAAFVGHRPRDIDVA >PVH47779 pep chromosome:PHallii_v3.1:4:17240030:17240453:1 gene:PAHAL_4G150900 transcript:PVH47779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLPFCSPDAAPLSPASSPRWPCSSDHEEDDGSPPLGRKRLMRPHQGRRQGSQGIKLTSPARKKFPPVHDELWEEQAKDRNKIELFSQVKDEHLINLF >PVH47229 pep chromosome:PHallii_v3.1:4:622466:624889:1 gene:PAHAL_4G009200 transcript:PVH47229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFGDSTLDVGNNNYLPGMNVPRANTPYYGVDFPGLSTGRFSNGLNTADFIAKMIGFVSSPPAYLNKLYLDTCFAGRQGEGGDRRGHNASRQGPGPQGWVVSAARTTGVSYASGGAGILDSTNAGNNIPLSKQVHYFNATRSKMAAVAGSGAVSAELSKSFFLVGIGGNDLSVFANAEQARNRSAAQQQSDTAAFYGSLISNYSATITNLYALGARKFAVINVGLAGCLPVARVLDAAGACSEDRNGLAAGFNDALRSLLADLAATLPGLVYSLADSLGLMVATFADPQASGFTDISDACCGSGRLGAEAECSPDAALCANRDQYYFWDRVHPTQRAAILRAQAFFYDGEAKYTTPINFKQLVHVTAA >PAN24755 pep chromosome:PHallii_v3.1:4:44871166:44873177:-1 gene:PAHAL_4G249100 transcript:PAN24755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWTTRQNKVFEEALAMYDKDTPDRWQKVAQAVGGGKTVDDVKMQYKELTKDVKEMDTAGLQNFQYGSSSNTSMGGSSSNGQLRG >PAN25460 pep chromosome:PHallii_v3.1:4:44872799:44876814:-1 gene:PAHAL_4G249100 transcript:PAN25460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRLQRCFAPPRTYERHLKELVNEGHQRIIFSLMSGIGSSINTRRVMAWLHRSNNFADGADCAACHQRLSISNKVFEEALAMYDKDTPDRWQKVAQAVGGGKTVDDVKMQYKELTKDVKEMDTAGLQNFQYGSSSNTSMGGSSSNGQLRGRKPVYIPSPLS >PAN22866 pep chromosome:PHallii_v3.1:4:3250442:3254047:1 gene:PAHAL_4G049800 transcript:PAN22866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCAAAIARAVVAFLNAVLVGCLLSCFRPRPRRGSGSSDALVHGDRTAEVLWDDDRGLGRNGKCHEDLTDGGSIDDDEELRLEGSGRNGKYHGDLTDGGIDDEELRREANYLKLCGTISETPAELQNECGNMPTNAPATNCASLFEATSSEGCEEHHAPSELSIEDTQHLLGVELVPHAAFLEKSPFQNIQHKQADRSGSPFATPLVLRDDMQTPGTIYTSHRGASISGKRVQTRKQFIYPVLRPIENRLQQMELTEHSSPLPPSNPPKRKNLEVDSVKKPKQTYSTSVVKSDVENQGDVKGAAGDQSYDECSFPTERPGFNASDLGWDIENPTPRLPKTWDANGIPNTITRYKEGQRVSWHTTPFEERLLKVLSDEEHRPPRKVVRGKLFHLEKKAE >PAN23859 pep chromosome:PHallii_v3.1:4:10263838:10267575:1 gene:PAHAL_4G125300 transcript:PAN23859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGPRRHQRSPPPTPAMAAAAHAPPGRRGVLLVLLAAALVAAMPATCAAARSRKSYRAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFRKPTGRCSNGRLVVDFLAEHFGLPLPPPSQAQGKDFRKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQDMKPSLCKSEKDCKDYFSKSLFVVGEFGGNDYNAPLFSGVPFSDVKTYVPLVAKAIANGVEKLIELGATDLLVPGILPIGCFPLYLTLYNTSRKSDYNARTGCLRRYNRLAFHHNRELQQQLDELQKKYPNTKIMYGDYFKAAMQFVVNPGKFGFSTALQACCGAGGQGNYNFNLKKKCGEQGASVCSNPSSYVSWDGIHMTEAAYKKVADGWLNGPYAEPPILKS >PVH48073 pep chromosome:PHallii_v3.1:4:42888254:42889135:1 gene:PAHAL_4G236300 transcript:PVH48073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAAAAAVLLLAVAMLAACASAQEFTTAPSSAPAPAPVAGAASAPAASAVAVASSALVSLLVAALMQ >PVH47453 pep chromosome:PHallii_v3.1:4:4343416:4345383:1 gene:PAHAL_4G066500 transcript:PVH47453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWIGGQPSLSLDLNVGLPTAHPVAPAATKVLVEENFLAVRKDREQQVEALEAELRRVGEENRRLSEMLRAVVAKYTELQGQVNDMVAAGNNRQSSTSEGGSAASPSRKRIRSDSLDTAGGGHHRKPSPPFAIPVHDQMECTSAAAAVFHEPGRRIREECKPKVSRRYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDKTILVATYEGEHNHGQPPQHDGKGAKPAAASEPVVRPAPLPLQQQKQPPQQQRHEAAAAAAAGPSEVVRKNLAEHMAATLTRDPGFKAALASALSGRILELSPTRD >PAN23095 pep chromosome:PHallii_v3.1:4:4343416:4345383:1 gene:PAHAL_4G066500 transcript:PAN23095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWIGGQPSLSLDLNVGLPTAHPVAPAATKVLVEENFLAVRKDREQVEALEAELRRVGEENRRLSEMLRAVVAKYTELQGQVNDMVAAGNNRQSSTSEGGSAASPSRKRIRSDSLDTAGGGHHRKPSPPFAIPVHDQMECTSAAAAVFHEPGRRIREECKPKVSRRYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDKTILVATYEGEHNHGQPPQHDGKGAKPAAASEPVVRPAPLPLQQQKQPPQQQRHEAAAAAAAGPSEVVRKNLAEHMAATLTRDPGFKAALASALSGRILELSPTRD >PAN22287 pep chromosome:PHallii_v3.1:4:617082:619134:-1 gene:PAHAL_4G009100 transcript:PAN22287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMVLPPLLLLLTISQFLPPASCSPPSNYYCDWCPRQSTASLPPPAAADLGGTLGGEGACGYGTAIAAELFGGYAVAAAGAEFFRDGAGCGACYQLRCRDRRVCGDGGVKVVVTDVANRTGFLLAGEAFAAMAKDGMADQIAGSDNVVPVDFRRIPCEYKRNLVVRVEEGSRNPGQLAVRFLYQGGQTDIAAVEIAQANRTQLASSWQPMARLRRVWRATRAPAGPLRLRLVVTAGFGGKWLQAQEAVLPADWRPGQAYDTGLRVTDVALRTCSSSCRTHAGDEELR >PVH48454 pep chromosome:PHallii_v3.1:4:51066559:51069025:1 gene:PAHAL_4G333000 transcript:PVH48454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAFPPPSRDVTSLPLCAAAVLCSQVEDFKSGSATKMSQSSSSSGEVGTLPRSGNCNMAEMGVEETAASVVSGTGTNQDAAASLIAKAITLLTPDVRAQAEDPKRKASSKDPGWKYGFWPEIGKKDKIQCIFCGKQPHGGVLRFKMHLAGGYPVV >PVH48238 pep chromosome:PHallii_v3.1:4:47983454:47983855:-1 gene:PAHAL_4G287500 transcript:PVH48238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRRSPPPPPPASSSAILEPLPLPSIAADANPDPYPQHRPSSPTAGSGTVPAPPPPPSAATAQAPSSPTPGNLPCHQPFSAAIFHRPGRRHPRCPFSLPSRPTHRRSDSPWRTSIGPGDQGPGNLGTLTPEP >PVH48008 pep chromosome:PHallii_v3.1:4:39109612:39110394:1 gene:PAHAL_4G217100 transcript:PVH48008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRHVAGVSVLDAMYVSRQAFHCLSSSIHVLPIVVLLDHVRVECLSYKGRLDSGLHYCNWPQRYWKWKSTRANSSGTYMSN >PVH48009 pep chromosome:PHallii_v3.1:4:39109613:39110393:1 gene:PAHAL_4G217100 transcript:PVH48009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRHVAGVSVLDAMYVSRQAFHCLSSSIHVLPIVVLLDHVRVECLSYKGRLDSGLHYCNWPQRYWKWKSTRANSSGTYMSN >PAN24863 pep chromosome:PHallii_v3.1:4:45509357:45511195:-1 gene:PAHAL_4G257500 transcript:PAN24863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHVSSSLRIILVLYLGYLAVAVGYLRKVLPPRIMDRYVGVHGKENRSIKVAREVLERPCDWSMSLDEEDSFRVSFMVYVMSTLLAPGVKYDYAALDYWNALDVPSLIRTYYWADYVMERLIDAVVKLQFDMKSVNVRFFYRYIV >PVH47283 pep chromosome:PHallii_v3.1:4:1753130:1757056:1 gene:PAHAL_4G027800 transcript:PVH47283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTTPDRANKAAAGSGDRNWREEAAAAGSLRQVDLDRGTNGWASPPGDLFHLRARGYFSGGGGKRGKAPSAAEWLLRPAGVDWLRSHARLDHVLARDDNPVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFVHGDDAYRNARFKIANRIVKGPWIVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTAVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSHQQEHAGGKVGRSMSLPERESGGK >PVH48549 pep chromosome:PHallii_v3.1:4:52484944:52485990:1 gene:PAHAL_4G355000 transcript:PVH48549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLALESGSSRPSDDGRREAAAAGGLAAAAEDLGDCSHHHRSSSRPRERMFEKVVTPSDVGKLNRLVVPKHLAERHLPLPGAAARAGGTVLCFHDARGGEAAWRFRYSYWSSSQSYVMTKGWSRYVHDKRLAAGDTVSFCRDGARLYIDCRRRKRAVVVPVPAVPPQQQQQQQQAFFFPQAAAAALQVQQRLVVAPPRVVVSMKEEEEEEEDEARRRRCLRLFGVNLELEPLLLDLQL >PAN22675 pep chromosome:PHallii_v3.1:4:2271942:2277067:1 gene:PAHAL_4G036100 transcript:PAN22675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMLQPQVILLKEGTDTSQGKAQMVSNINACTAVVDTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAANILVDIARSQDSEVGDGTTTVVLLAGEFLKEAKPYIEDGVHPHSLIRSYRIAGHLATEKVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEERQVGNERFNIFSGCPSGLTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEVSKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMGGRGRGGAAMRGRGGRGMRRR >PAN23691 pep chromosome:PHallii_v3.1:4:8181333:8188534:-1 gene:PAHAL_4G110800 transcript:PAN23691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGDPATAVLGSWACGRLAVASPCVQRALIDCVNAALLVAYVSALAAACVRRRRAGSAGRRSGTRGWWRRGLAVAVSACCVAAAVGYGVAGFRDASSGDVAAAAAPYFARALFWIALAASLHVQPDRAAAAVAVLWWALPSLLVTVYNAEILIGGGALDAVEVLAWPVNLLLLLCALGLVLRRSDGHRDGGGLSEPLIGPDGDKAAPTSELYRADLFRQLAFSWLNPLLRLGRSKALDLADIPFIAGEDTAQHASHKFAEAWSRHVNDKARGRRSVGSNSLALVLGKCFLGEILLTGFYAFLRTLAIAVAPLMLFAFVWYSNQQERDLRVGLALIGCLLLMKLVESLSQRHWFFDSRRTGMHVRSALMAVIFQKQLRLSSQGRKNHSTGEIVNYIAVDAYRLGDAISWLHMGWSSPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKILQGYQSKFMVAQDERLRSTSETLNSMKIIKLQSWEEKFRNMIGSLRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAILGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIERFLLEDEIREEDVKRVPSDNSDIRVQVQDGNFSWNANRADLSLRNVNLSISRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNTELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETSRILVMEGGQVSQQGKYSELLESGTAFEKLVSAHQSSITALDTSASQQNQVQGQLVPDENIAPSALQATRQASDIEVAAKGPSATIQLTEEEEKGIGDLGWKPYKDYINVSQGAFQFSGMCTSQVLFTCFQIASTYWLAVAVQMDNISAALLVGAYSGLSIFSCCFAYFRSLFAATLGLKASKAFFSGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVTTGSIEVVTTVLVMGTVTWQVLVVAIPVTITMVYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMELIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGAISPGFAGLCLSYALTLTAAQVFLTRFYSYLENYIISVERIKQYMHLPAEPPAIIPENRPPASWPQEGRIDLQDLKIRYRPNAPLVLKGITCTFAAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALEKCQLKAAISSTPALLDTVVSDDGDNWSSGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVLVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNST >PAN23692 pep chromosome:PHallii_v3.1:4:8181359:8187584:-1 gene:PAHAL_4G110800 transcript:PAN23692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGDPATAVLGSWACGRLAVASPCVQRALIDCVNAALLVAYVSALAAACVRRRRAGSAGRRSGTRGWWRRGLAVAVSACCVAAAVGYGVAGFRDASSGDVAAAAAPYFARALFWIALAASLHVQPDRAAAAVAVLWWALPSLLVTVYNAEILIGGGALDAVEVLAWPVNLLLLLCALGLVLRRSDGHRDGGGLSEPLIGPDGDKAAPTSELYRADLFRQLAFSWLNPLLRLGRSKALDLADIPFIAGEDTAQHASHKFAEAWSRHVNDKARGRRSVGSNSLALVLGKCFLGEILLTGFYAFLRTLAIAVAPLMLFAFVWYSNQQERDLRVGLALIGCLLLMKLVESLSQRHWFFDSRRTGMHVRSALMAVIFQKQLRLSSQGRKNHSTGEIVNYIAVDAYRLGDAISWLHMGWSSPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKILQGYQSKFMVAQDERLRSTSETLNSMKIIKLQSWEEKFRNMIGSLRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAILGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIERFLLEDEIREEDVKRVPSDNSDIRVQVQDGNFSWNANRADLSLRNVNLSISRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNTELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETSRILVMEGGQVSQQGKYSELLESGTAFEKLVSAHQSSITALDTSASQQNQVQGQLVPDENIAPSALQATRQASDIEVAAKGPSATIQLTEEEEKGIGDLGWKPYKDYINVSQGAFQFSGMCTSQVLFTCFQIASTYWLAVAVQMDNISAALLVGAYSGLSIFSCCFAYFRSLFAATLGLKASKAFFSGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVTTGSIEVVTTVLVMGTVTWQVLVVAIPVTITMVYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMELIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGAISPGFAGLCLSYALTLTAAQVFLTRFYSYLENYIISVERIKQYMHLPAEPPAIIPENRPPASWPQEGRIDLQDLKIRYRPNAPLVLKGITCTFAAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALEKCQLKAAISSTPALLDTVVSDDGDNWSSGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVLVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNST >PVH47664 pep chromosome:PHallii_v3.1:4:8182072:8187584:-1 gene:PAHAL_4G110800 transcript:PVH47664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGDPATAVLGSWACGRLAVASPCVQRALIDCVNAALLVAYVSALAAACVRRRRAGSAGRRSGTRGWWRRGLAVAVSACCVAAAVGYGVAGFRDASSGDVAAAAAPYFARALFWIALAASLHVQPDRAAAAVAVLWWALPSLLVTVYNAEILIGGGALDAVEVLAWPVNLLLLLCALGLVLRRSDGHRDGGGLSEPLIGPDGDKAAPTSELYRADLFRQLAFSWLNPLLRLGRSKALDLADIPFIAGEDTAQHASHKFAEAWSRHVNDKARGRRSVGSNSLALVLGKCFLGEILLTGFYAFLRTLAIAVAPLMLFAFVWYSNQQERDLRVGLALIGCLLLMKLVESLSQRHWFFDSRRTGMHVRSALMAVIFQKQLRLSSQGRKNHSTGEIVNYIAVDAYRLGDAISWLHMGWSSPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKILQGYQSKFMVAQDERLRSTSETLNSMKIIKLQSWEEKFRNMIGSLRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAILGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIERFLLEDEIREEDVKRVPSDNSDIRVQVQDGNFSWNANRADLSLRNVNLSISRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNTELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETSRILVMEGGQVSQQGKYSELLESGTAFEKLVSAHQSSITALDTSASQQNQVQGQLVPDENIAPSALQATRQASDIEVAAKGPSATIQLTEEEEKGIGDLGWKPYKDYINVSQGAFQFSGMCTSQVLFTCFQIASTYWLAVAVQMDNISAALLVGAYSGLSIFSCCFAYFRSLFAATLGLKASKAFFSGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVTTGSIEVVTTVLVMGTVTWQVLVVAIPVTITMVYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMELIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGAISPGFAGLCLSYALTLTAAQVFLTRFYSYLENYIISVERIKQYMHLPAEPPAIIPENRPPASWPQEGRIDLQDLKIRYRPNAPLVLKGITCTFAAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALEKCQLKAAISSTPALLDTVVSDDGDNWSSGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVLVLSYGMCMLQKTFQSCSICTKFQLILQTTNR >PAN22551 pep chromosome:PHallii_v3.1:4:1680475:1682970:1 gene:PAHAL_4G026900 transcript:PAN22551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MAASHLTALVSLLPPCLLALLLLRLATVLDPDPDAAAPRVKAAAPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPDGGGARCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSATDAAESLLRAISPAMAYKVPWAAILGNHDQESTMTREELMTFMSLMDYSVSQVNPPGFLVHGFGNYHIGVHGPFGSELVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLAWLRATSLELQKTLLAPALAFFHIPIPEVRGLWYSGFKGQYQEGVACSSVNSGVLGTLVSMGDVKAVLLGHDHLNDFCGNLNGIWFCYGGGFGYHGYGRPHWPRRARVIYSELKKGQRSWMEAESIQTWKLLDDEKLSKIDEQVLWRRSTDDSDHNILSRPGA >PAN23934 pep chromosome:PHallii_v3.1:4:11931480:11932240:1 gene:PAHAL_4G134400 transcript:PAN23934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGPTTINSMSMAKAATSVGTKNSVVAVADQQQAAGQKNCGSYAFQMPLHYPRYKKADYETMPEWRVDCLLREYGLPVTGDLDSKRKFAMGAFLWPDQY >PAN22467 pep chromosome:PHallii_v3.1:4:1367227:1370804:1 gene:PAHAL_4G021600 transcript:PAN22467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRDVISLNRMITGFIRDGLGDRARAVYRWMVASGFRETPHTFSTILSACNSCEGLQLHGRVLALGLCSNPFIGSALVNLYMRIGMPCAALLLYDETALRSTVMSNVVLGGLCNLKLTEDLLCSLLDMRRQGFELNGLSYCYAMRGCYQDEEWLEQGRQLHGVVLKAGWVPSNIFLSNLLVDLYSATGDLVDAKNSLDDIPSEDVISWNSIVSVYASKGRMKDATDYLRQMLWHGKLPSVRSFVGLFALSGQTGDLRFGVQMHGVALKLGFSWSSVHVQTALIDMYGKCCSFDCSLAIFNEIPNLALECCNSTITSSIRCKVFDYALEVLYCMIVEGIVPDNVTLSATMKAISLSASLSLISCKMLHSWVFKLSFETDMAVCSSLISAYARAGQMNSSHLIFESLQDPNVICFTSIISACARYGDGAQAVELFNKMVSRGLKPDDVTFLCAIAGCDQAGLFEEGRLVIELMRASRELDPDERHFACIVNLLSRDGFVEDAMKMMEHSPLRHYTKAWSSLLQSCRAHGENVLGKRAANMLIDVGQKYPATNLQVSKYFYEIGDNENASRVKAMASGQEVKESGHSSVEISHGI >PAN23242 pep chromosome:PHallii_v3.1:4:5371439:5374920:-1 gene:PAHAL_4G078400 transcript:PAN23242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARRLLSRRASSSSSLSSLLRRAPAGASPEHSLLRPAVVAAASRLGFPRGMARRPGGDGYSPARPSGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYVQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQR >PVH47974 pep chromosome:PHallii_v3.1:4:35818094:35819527:-1 gene:PAHAL_4G205100 transcript:PVH47974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDQSTRRQLDFTLGINLAGHTSCSHSRRQEADSRDRPQKEERDYITEEQVRHVKNQRPVSSHLLRKYQRREDTWDHWHCPFFIYCRDSGMKRLPTLEDCPECNFQKQDTRSTSVFQHLGPERPRHEQVETSRAGGNSEDEEDRYHRPRWCPDGLNRSQKRRIQQLRSLEEAEAQYLETLRKARPDLAEKVYDPQRVESTPKKVWRPKKSKADVNTSADAHMVFVLPAEFHAPGREEVPVAQLDLGPWPVIFEKPREKNYRHLKALYLKGYINGQPVSRMLVDTGAAVNIMPYAMLRKLGHSAGDLIKTNITLSDFNGQTSEAQGVLSVELTVGGKTVPTTFFVVNSKGSYTILLGRDWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSAEVSHATMSVWDAEDQEPISGISLEGCDRVEATKNGVRLVLSTGLTE >PVH47368 pep chromosome:PHallii_v3.1:4:2837894:2854732:1 gene:PAHAL_4G044500 transcript:PVH47368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKFHQQKDNTMASHALDSVSGPICFLDITEWNDYSVKLSNALCSFILPSKDIDYWSDEIAVSQIALSIPSAYLEQSVRWIIRILMTVFLCIRACTSESALPNHIKIFAKTVQHYIIRMFKRVLISTPALLPAFREEGVWDLIFSGNFFYFGSSVEGTHFHIVTDIQNGDINSTQISIESESLYCTDVNILQVEAISFLEFAATLNENTYNTPECSALLDALEHCISDPLTVSTLLKSFRVILQLATEQTLASFESLDVITRVLKAACHQAQELRNFSNFLCSNVMITEDVSQCKSIEDRTENALMCTELALSLFTEYVTISVDGRILVLHNADCIECLFDLFQEQNLRKHVLEQVLALFRLPSSSAQDHTAKLQLCSKYLENFARANEKEKVDSELLIDLLVSMREIIMMDHMYYQNLFRNGGCFLHIVSLLNGNINEVTDEQLILNVLETLTLLLKGNDASKGAFRLLVGAGYQTLQSLVLDFYKWSPSERLFDALLSMLVDCKFELNEKTTIKNEDAVVLLLNILQKSSASLQHYGLVILQQLLKQSITNRTSCFRAGLLSFLLDWFSIEEKDDTVIEIAEIIQILGAHSICGKDIRKIFALLRCEKIGAKQEHTSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPFLWPYNRGLSFSCWLRVENFPDNGMMGLFSFFTEDGKGCSAVLNRSALVYESINQKHQCVLLPLKLPPKEWKFLSVTHTIGRAFSGGSQLRCYVDGELVSSEKCGYAKVNEAMTHCTIGTELVPVGEEPISIGFEKTFAFAGQMGPVYVFSDALSSEQVKGIYFLGPSYMYSFHGDDSLYRGILDARDGLSSKIIFGFNAQASDGRSLFSVNYGGTKLCSRHLPQDIIYCVGGVSVFFPLFTQFFDAATDVMQSCHSSVNNDKLAAEVIELVATVLDGNVSNQQQMYLLSGLPILGFLLQSATPQLLTTKTLSALKYMFNILRKCGMSKVLLKDAISHIYLNPQIWVYASYEVQRDLYMFVIKYFETDGRLLPLLCGLPWIIDIVCRYYWEKADSRHVVASKPLLHPVTKEVIGERPKIVEIRKLRLLFLSLAEMSLKLRTSPDDIRALVAFFERSQDIACIRDILDTIIHALSQGSVLSSFVENVNCLGGCCIFVNLLKREFEPVRLLGLQLFGKLLAGVTSEKKGTKLFSLPLVQSRCISDNLMKEITASPQLFFCTISERLFKFPLSDNLCAALFSFLCGTTPQQILQENSQPDPSRDKNCNLSSLPPFSLPQILVCIFRYMHSCQDSSARRRILNDLLGLLDSNPSNIEALMEHSWNSWLETSTKLDVFKDYKSVFKGELDDVETDELNLVRNLYSVVLSYYLRSVRGGWHQLEATANFFLFKLDQGQLSSLDLLRDIFDDIAGSLLQKSLEDNIFLFQPCCDNVLHFLDLIQELLVNQMGIKLLFPSPSLSEESSHENMWKEDIKSTVNDILNTESNGQCTSLLWTACKYSYGNDVSDDRWSFFDKVWSIICNLNGKGPSKLLQKDPNVEVASLGQRPCELVESVNVPAAEKAAAVVSGGIGTALGVKVNRFAEKTITSREEIIPRVFFHLVILYLCKAGSENASKCVLQFMSLLPILLISEDDQSKNKLHFLIWSLLIVRSQYGQLDGGARFHVFSHLILETIIYGNSMLVTNILGRDGSMEVKNNKETGFILSFIQKDRVLAAAANEVKHMKAVQADRLKQLQELQFMLNESSAKETWLVQAIEDEIRFTVTAVLSADDSRKAASQLAFREEQQMIADKWIHISRALMDERGPWSANPLPNDVVTHWKLDKTEDKWRRRFKLKRNYKFDERLCQPSQSKNESTCPSADQPYISAKIPEKMKRFLLKGVRGITEDSGYEPFEDTSDANESTQSNPLENQNLNNAADSSDYHATVHDKKEPSSTNGDNDYTKVLCSVRCVLVTPKRKLAGYLDITRTVMHFSFEFSVEGTGGSSVFSKFKDKKDSDCKNDLGGLDRLDGCRDGMIETNGVLMQNQSNKIKRHRRWNIAKIKGVHWTRYLLQYTAMEIFFDDSRAPIFLNFSSQKDTKNAGTLLVSLRNEALFPKGSTKGKNSIISFVDRRVALEMAENAGERWKRREISNFEYLVILNTLAGRSYNDLTQYPIFPWVLADYTSEKLDFNKSSTFRDLSKPVGALDENRFKVFEDRYLSFCDPDIPSFYYGSHYSSMGIVLHYMLRLEPFTTLHLSFQGGKFDHADRLFQSIDSAYRNSLSSTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPIGNVALPPWAKGSPDKFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDMLHKSAIEDQIANFGQTPIQIFRMKHPRRGPPIPIAHPLYFAPQSITMTSSVSRSISHMCAVLFIGLLDNTVVLMNDGLILSVKLWLTTQLQSIGNFTYSGPQEHLFGISSDVISPRKIGTFLAENVEFGRHCLATMQNNGDNYLILCGNWENSFQIISLSDGRIMQSIRQHKDVVGCVAVSSDGNVVATGGYDTTVMIWHAFRGRPIDKKLRTANFELSEKEHVIVERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIQHPSGVGLSKLVASQHGRVVLYSENDLSLHMYSINGRHIASSATSGRLNCMELSCCGEFIACAGEKGQIVLRSMHSLDIVWRYDGAGKTITSLAVTPEECILAGTKDGSLLVFSIENPLHRRGSMQRNRIKTSTTG >PAN23474 pep chromosome:PHallii_v3.1:4:6615319:6617083:-1 gene:PAHAL_4G094900 transcript:PAN23474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAAAATVTRVAQRVVAPSAPTPRGELPLSWLDRYPTQRALIESLHVFKGRAGADAEVPARAIERALATALVSYYPIAGRLAVSGEGDLVVDCTGEGVWFIEATASCTLEDVDYLEYPLMVPKDELLPHPTYPASDPLPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMSAVGDVARGHAAPLVSPAWAREAIPSPPGAAVGPLPAPTELRLQYLAMDISAGYIEHFKARFLERTGHRCSAFEVLIAKAWQSRTRAAGFAPGTPVHVCFAMNARPALAALRGRALPDGFYGNCYYIMRVSADAGAVAGAPVHDVVRLIRESKRRLPGELAQWIAGGGGGEGAGDPYRITSDYRTLLVSDWSRLGFAEVDYGWGCPAHVVPLTNLDYIATCILVRPPARKPGARLITQCVAAGAVDDFHKAMMRLD >PAN23303 pep chromosome:PHallii_v3.1:4:5671662:5675338:1 gene:PAHAL_4G082600 transcript:PAN23303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHGGGGDPHHEDFQLKDTNPLLGEQWPKGAAGPARPAGGGGGGGLAGWLGVDKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGAPMDPYVEVRLGNYKGTTRHFDRRANPEWDHVFAFSKSRVQSNVLEVFLKDREMLGRDDYVGKVTFDLAEVPTRVPPDSPLAPQWYRLEERRGEGGKVRGELMLAVWIGTQADEAFPEAWHSDAAAVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQQARGRAPEVFVKAQVGNQILKTSVVPAPTLNPRWNEDLLFVVAEPFEEQLVLMVEDRVSPGKDDLLGRVALPLTLFEKRLDHRPFVQSRWFDLEKFGVGAAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGAAGLQPMKNRDGRGATDAYCVAKYGQKWVRTRTMIGNFNPTWNEQYTWEVFDPCTVITIGVFDNCHLGTNGNNGQPARDARIGKIRIRLSTLETDRVYTHAYPLIALQKSGVKKMGELRLAVRFTCLSLVNMLHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGLAAAARWFGDVCQWKNVATTALVHVLLLILVWYPELILPTVFLYMFLIGLWNYRRRPRHPPHMDTKLSWAEAAHPDELDEEFDTFPTSRPQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLSWRDPRATCLFVLFCLLAAVVLYVTPFRVVALVAGLYVLRHPRFRSRLPAVPSNFFRRLPSRADSML >PAN23726 pep chromosome:PHallii_v3.1:4:8410849:8416602:-1 gene:PAHAL_4G112600 transcript:PAN23726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLPCFTQLSPPSSNWGNEVASCKDTSGKVFVRSTRALGQCHFRCCASPRSANSFKKKDSFLDLHPEVSLLRGEKNVEVVDPLKGASDGSPLEGLGVPPDRNDYNEAKIKVVGVGGGGSNAVNRMIESSMHGVEFWVVNTDVQAIRMSPVLPHNRLQIGQELTRGLGAGGNPDIGMNAAKESSESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGMDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKRQDEPEDRTTKGGQQIQGENGRRPSSAEGSMVEIPEFLRRRGPSRFPRV >PAN23725 pep chromosome:PHallii_v3.1:4:8411759:8415157:-1 gene:PAHAL_4G112600 transcript:PAN23725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLPCFTQLSPPSSNWGNEVASCKDTSGKVFVRSTRALGQCHFRCCASPRSANSFKKKDSFLDLHPEVSLLRGEKNVEVVDPLKGASDGSPLEGLGVPPDRNDYNEAKIKVVGVGGGGSNAVNRMIESSMHGVEFWVVNTDVQAIRMSPVLPHNRLQIGQELTRGLGAGGNPDIGMNAAKESSESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGMDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKRQDEPEDRTTKGGQQIQGENGRRPSSAEGSMVEIPEFLRRRGPSRFPRV >PVH47648 pep chromosome:PHallii_v3.1:4:7782845:7783663:1 gene:PAHAL_4G107000 transcript:PVH47648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGEVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLIWDETVKRDLKEWNIAKELAMDRNAWRLAINVPEP >PAN25060 pep chromosome:PHallii_v3.1:4:46608755:46611169:1 gene:PAHAL_4G271700 transcript:PAN25060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATSPLLVLLAAMAVAALVVAPVSSAVDLPSGFADIASKIPNPWSAFKNLTGCHFGEEQQGLAKLKDYLSHFGYLPESPGFNDMFDADLEEAIKVYQRNFGLNITGAMDAPTVAQMMAPRCGVADVINGTSSMGAGAASHAHGRNLYSHFPGAPRWPRSKRSLRYAIAQTAATPIDRATLSQVFARAFARWAAATTLNFTEAASAEDADITIGFHAGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAESWVATGDVSRASSAVAVDLESVAVHEIGHLLGLGHSSEPGSIMFPTITSRTRKVDLASDDVVGIQSLYGGNPNFKGVTPPATSSRDMDSGAGALSRPWSALVAVVAVAAGLAVAL >PVH47976 pep chromosome:PHallii_v3.1:4:35939330:35939810:-1 gene:PAHAL_4G205600 transcript:PVH47976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQQIHAQPPPPPPPQPRDRRADFLRGHPPTFSHATDPLQADDWLRSQGSCEAPLLTGGSPTQLEIVRLSRGTSSVSVSGTITFLRAL >PAN23917 pep chromosome:PHallii_v3.1:4:11618966:11621647:1 gene:PAHAL_4G132500 transcript:PAN23917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHQEKPTTPPQPQAAEGGGARPRLPGGGGAHAGGGGYPNPPEAAVPDAATLRDQWRFAVRQYSRWYSHAWGTAILAGAAFFALGWLVKGSNPLPSRAEPRDTTANAVAKEER >PVH48080 pep chromosome:PHallii_v3.1:4:43284909:43285400:1 gene:PAHAL_4G238400 transcript:PVH48080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKIELLTSGIRAVLSCRLDISCSSPSEAAVAAQPPPAARAAAAAAAPAAATAAVAAAAPAAATAAAAPAACSPPFPSPSPRSSPLEARHVRRTVSALGRLERTAPARRRARRGRGAQPSDGSSRCSSGEGGQAGCSGAGSCPGGGGSSGGGRCSVCGGGRG >PVH48540 pep chromosome:PHallii_v3.1:4:52359411:52361526:1 gene:PAHAL_4G352500 transcript:PVH48540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSDMSLFAASSDLTKRELMRQDSIRAAEMRRQREEGKTVQIQVEGEDEVLGPLSPSADEVLGPLSPSAEMGSKRWLRMAFRFIYTRVNVATTPAYLAFHFLLVPAIHVAAITLFAASRKRGYDPTDVKITYVILFVTAALDVLAESIRQLLYRAMSAAGVPALCETLPQYNLIGSARRRMHPAAGWLLKSAAHLGLEEEYFVCGREKLYRRVAGFVMLDLVRATYVDLATYRSFTGSNWAMSVELQQRCGPMVRRTLRESFDESVLIWHIATDLCFSRRSPRPPPGAGGGTGDHEECTLAISKYMAHLLNFRTDMLMTGSRRHLLTEALEDLESILLRPDLDDTALLEAIQKAGESERHKYPLIHDACKLSDELMEVRPEETRWELMYRAWLGMLCYSASMCRGYLHAKSLGEGGEFLSFVWLVLSLKGAKTLADKLQMPEPDAQDDGGARRPRQEMPRTSTDDLASLVD >PAN25352 pep chromosome:PHallii_v3.1:4:48420086:48422839:-1 gene:PAHAL_4G294700 transcript:PAN25352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVMTSANIKARMGGPDKVCGRTGCERAFSNHNRLSSVFLTQSLVEVRAGRRAPPSCWPQRSTPRRPRRVRPVHVPCRPRARRGDLGGFVPSRVVPCRRVLHADVEEHAWNTSRFRRARVQQHPPGWSQTMITRTFCSLLSNSVQATQAGPPACAGHTWRSASDRAIATASASSANRPPRRIPGRPGTPRAPWSGTPRRLEPFSSHSCLHGRLAWESGTKSMNWIWERFPHWILPKVTSLTVAAIWSCMITPQKLQCLLNLGKNLSICYL >PAN22161 pep chromosome:PHallii_v3.1:4:74910:77480:-1 gene:PAHAL_4G001600 transcript:PAN22161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPPSSSCCCCSSSFSRSRLLLTTPTPPPALFFFLSKPRRRRPPPPPRLISSCCSSSSSSYNGWSDLAAAPDLPLFPLPLQPTTHRHGLLLFLLPAAAAALALSRLPPLPLLAAAFTAGFAARHLSSPPPQSNSALLAHLDAQLRALRGHLLSEADPGLLVQAVDRLLDAVADAARLAAQGDTTRAVSDALPPVLGALGEVGDCLGAWARHAVRDLSLSSPRRRQPTKTNNADVSKANQPAAAAAAAAASPQPQPQNPSVAANIASGMLQFDEDDSGLKAKLGDAGLERLVFKHRHGRDRVQDDPFQAASSRFSTESSLLERTLEIRDRSYRFKIERRDTGSQVNEAQDRAADEHLVDNAAALDPAYDGSAAADSDSEEFSRNVKEAAQILRKVRESMVAMADEETADALLYKSARLLSTAVALRPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANSGAFLNGRERVSRSRKVDSRILSRESISSALVDVCEECESLLVEAGRSYRTALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAVDADQVYLAAIDKFDAMLSRSNTYAPEALYRWGTTLQQRSHLRPRNNREKIRLLEQAKSLFEDVLYVEADNKMVREALSSCISELNYHGRWL >PAN24563 pep chromosome:PHallii_v3.1:4:42874058:42875134:1 gene:PAHAL_4G235900 transcript:PAN24563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEKVDATLADFGAHFERLFASPDGKVKLLLFLADREPGSSLTWCPDCNVAEPVIYERLEALRGRDAVLLRAYVGDKPTWRDPAHPWRVDPRFALTGVPTLIRWEGGAAAARLGDEEAHLKDKVDALLGAGGN >PVH47909 pep chromosome:PHallii_v3.1:4:28353139:28355663:-1 gene:PAHAL_4G184700 transcript:PVH47909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACPRRAGVPCRRGSALRRLRRKGALCKPARREAPARGRGATPPAAIPAASVLAEAAATTGAIGDKEEEVDSWLLLTKDSDNNNISTSNNISSNNMYFAEVEEYFELVGYNSYCDNHINNTEQYGMQERQQQQLMQKEFGDKEAGECVVPSQVAMAKEQQQSGYGVVEQAASMTAGVSAYTDSISNSISFSSMEVGIVPDNMVTDMANSSILTPAGAISLFSGPSLQMPLHFSPMDREARVLRYKEKKKNRKFEKTIRYATRKTYAEARPRIKGRFAKRSDMEIEVDQMFSTAALSDGSYGTVPWF >PAN22971 pep chromosome:PHallii_v3.1:4:3744750:3754291:-1 gene:PAHAL_4G057000 transcript:PAN22971 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) UniProtKB/Swiss-Prot;Acc:Q8RXD6] MSTCLHIQDPRKSAMSSPLEFAVLKYKNQKLSEQLEVHKFEFHALESRFNDLKEKQRTHNETLVLVKSCWERLVADLELVSVCKSESSHSSYGTGHNNVRKDGICMTLERGFLNRLLEAGATESSGCSPSCYLGNDVPPEQSLTVNVLQKIFLPLSDLWHVNNEFVSAALTKLPENEHSRQLHSATSDVLSKLNKVIQAVDNVHLKHRQLAGDYQKQRDSNAWNKAEQKRLKEELTRAVAKLEETKHKLAALKVQGDNKQGTPILVPTLGNKNATAEKVRDKQRELQDLEATHKELMELSSKRLEEIRRLHKERIETLNKLATFQNILTDFKSIRSSKAFQLVNDQLQKSQAELDDHQTLLEKLQVDMDSFVWQERQFNQKVDLAEIPQKVSAYYVSRIADLEKDVQKLCNEKNMLVLKFEEASREPGRNQVISKFRALVSSLPTEMGAIQRELSKHKDASLQLHSLRAEVHSLSGILTRKEQEIEEISCRSAHAGSDISQLQYLVRDLRENTQELKLFMELYKHESTDSRQLMESRDRELCEWARVNVLKYSLNESKLEQRVIAANEAEAMSQQRLATTEAEITELRQKLETSRRDLVRLSDILKSKHEECEAYVVEIESIGNAYEDIMSQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALHMEVRSVQRNLQHANTLMDLYKQKIFRLEDQLRVWSERARRLSEDGMQQSISLANSQRKLAGMRGEAPKLRHSMDELQAKVGSNRLEVAELLIELEKERFSKKRIEDDLDLMSSKANSLREKTDNSAVLQKLHHEVKEYRGILKCGICRDRQKEVVIAKCYHLFCNQCIQKPLSSRQKRCPSCGLSFGVNDVKPIYI >PVH48049 pep chromosome:PHallii_v3.1:4:41372999:41373628:1 gene:PAHAL_4G227100 transcript:PVH48049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN25697 pep chromosome:PHallii_v3.1:4:50191699:50197022:1 gene:PAHAL_4G319400 transcript:PAN25697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATIFSLTEGAVRSLLCKLGCLLSQERWLVQGVYGDMQFIKDELESMNAFLRTLTMLEGHDDQVRIWMKQVREIAYDAEDCIDEFIHHFGDSSGLGLRRWLMCILDLLGCRRRIAIQLQELKTRARDVGERRSRYGVMLAKALIRESGSQLLKHASLHLDPQLHALFTEEAQLVGIDEPRNTLVRWLMEDDPQLRVLAIVGFGGLGKTTLARMVCESPVVKGADFQCCPLFIVSQTFNIRTLFQHMIRELIQRPHKAMAIAGGKYGHFTEENLEGIERLEVAVLAEMLRRYLQDKRYIIILDDIWSISSWESIRCALPDNKKGSRVIVTTRNEDVAKTCCSHPQDWIYKIQRLSDATSRELFFKRIFGSEDELPNDELEEVSNSILKKCGGLPLAILSIGSLLASKTNRTKQEWQKVCDNLGSELESNPTLECAKQVLTLSYDDLPYHLKACFLYLSIFPENYEIKRGPLVRRWIAEGFVSQRYGLSMEQIAESYFDEFVARSIVQPVRIDWNGKVRSCRVHDIMLEVIISKSLEENFASFLRDNGSLLVSHDKIRRLSIHSSQNLVQNTSTSVSHVRSFTMSASVEEVPVFFPRLHLLRVLDMEGCICLSNNALNCICNFFQLKYLSLRKTNISKLPRRLGNLKHLETLDIRSTLINKLPTSAKNLSCMKHLLVGHKEQLTRTGSVKFLKHCSGLEVAPGVVKNMTSLQSLAHIVVKDQPLVLRDIGLLQNLRKLKVLIRNVEVNWKEFVGSLGKLAIFLSSLTIHIVDGKEYGSSLDILAFVESPPLLVTNFSLTGKLGNLPPWISSLRSVSRFSLRNTGLHAEAIEVLGDLPNLLCLKLYHKSYADDCIVFPLGKFTKLSLLVIDNLDSIDRVHCEKGSLPNLEKLTLSFLQEPKDGISGLKYLQKLKEIEFFGNIISSVVSKVVSCVKTHPNHPRVIGDKWNIVTEYA >PVH47787 pep chromosome:PHallii_v3.1:4:17747713:17753279:-1 gene:PAHAL_4G153100 transcript:PVH47787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTKPPPYDGVEYDEEDVPRCRVKMTIPPHPTLSLWSPIEVNVVGHRLADTFEAAAIEAIHTFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRVTYCDHLLGTLAGETLRTAVRFMSAQYRYQTLQQHGIYRLTNIAQRYRNQVGRQNTQIEALQATITAKEEDITQREETIQHREEQIVESDALITQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPEQPAANEPEDDEEEDPEEVEGVSGIDSEHGDPVLSPYHSSSGSQSSVGNLDDF >PVH47527 pep chromosome:PHallii_v3.1:4:5506301:5514612:1 gene:PAHAL_4G080600 transcript:PVH47527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKAYQKSDLPKFSLLPDPQDGRQKTEWGKFMHFLSDYKKAAIARCGSSTFHILPPQSDECSNFSHAVLLYECGQNGPGDCNQMAGTSGRHVNDPAMNSSKRSYKSEVHYGSRNTKPPYFKEEICDSGPDPKEMETSPKHRNPHVTEVHRPVPESSPCESVEDSPRVLNPVVKRRMASPTTNFVVADPSYLRTLSQTHAGWIFGAIAELIDNSRDAGASRLNISVESLFSKKAQRKITVLSVIDDGQGMTYADMMRMISFGHKRPTDHREDQIGRF >PVH47662 pep chromosome:PHallii_v3.1:4:8165722:8168166:1 gene:PAHAL_4G110400 transcript:PVH47662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKNKVDPILNEEERRWLKALHDFDIITEQFRSLMQKMNEWLQQHQESNEQAEETQAAPDGEDNASVLLARAAELSDTIWRFQRELDDDCEASTSGSGLAAEPEEPLAEPKPGVPSADPKPEPSSP >PVH47426 pep chromosome:PHallii_v3.1:4:3928241:3929186:1 gene:PAHAL_4G059600 transcript:PVH47426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAQSPGREASEYAAAHKRERSSFSPPRATSPPPPRRPAEVPRRRAARRTEPDGTGGNSSVASALRGRGRRLAPPPPPYPAATIHERAMMIASWEGGGGGVGTRACLSLSPAAALSRGAIMARWRPAPPSTMPNRP >PVH47616 pep chromosome:PHallii_v3.1:4:7375986:7378696:-1 gene:PAHAL_4G102600 transcript:PVH47616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSADGTFTCGFYSISPSASTFAIWFSRSSKRTIVWSANPLRPVYTWGSKVKLDVDGSMVLKDYNGQIVWSNNVSASDAGHVQAQLLGNGNLIVKGKGGAILWQSFDSPTDTLLPTQRITAPTKLVSTNRLLVPGHYSFHFDDQYLLSLFDDEKGISFIYWPNPSRTIWEKLRVPFNSTTSGVLDTWGHFLGSDNATFTAADWGPGIKRRLTLDYDGNLRLYSLNKAQGTWSVTWMAFPQLCKVRGLCGENGICVYTPVPACACAPGFVAMDPSDRSKGCRPKTNISCDAQKVKFAKLPHTDFFGHDMTVHRFVSLDFCTNKCLHDCNCKGFAYWEGTGDCYPKSVLLGGVTLLNLGSTGTMYIKIPKGVEVLEASIPRSQPFGPKYGPDCSTANKYFIADFLDMLQRDQSESKYLYFYGFLSAIFLAELMFVVLGWFILRRERRELRGVWPAEAGYEMITNHFRRYTYRELASATRKFKDELGRGASGIVYKGVLKDNRAVAVKKLVEINQGEEEFQHELSVISRIYHMNLVRVWGFCSDGPHRILVTEYFENGSLDKVLFGTEGSEILLGWKQRFNIALGVARGLAYLHHECSEWVIHCDVKPENILLDENLVPKIADFGLAKLLSRGGSNINVSKIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGDRASDMENNEDEGVEMVLGRISRMVKEKLKLDGNGSEQSWIADFVDARLNGQFNNLQARTMVRLAVSCMEEDRDRRPTMENVAQMLVLVDDMSSANVNTSGAA >PVH47781 pep chromosome:PHallii_v3.1:4:17395864:17397198:-1 gene:PAHAL_4G151300 transcript:PVH47781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHASRQHTRQEADSRDRPQKEERGYITEEQVRHVRNQRPVSSRLLRKYQYQYQQRLQRKIEEEEYERRTGKCLRKREDTRDHWHCLFFKYCWDTGMKRLPTLEDYPECNSQKQDTRSASVFQCLGPGQPRHEQNKSTHTAGDSEGEEDKYHRPRWCPDGLNRSQKRRVQRLRSLEEAEAQYLETLRKARPDLVEKVHNPRKAESSSKKVWRPKKSKADVKTSADAHMVFILPAEFHAPRHEEVPVAQLDLGPRQVIFEKPQERNYRHLKALYLKGYINGQPVSRMLVETGAAVNIMPYSVLRKLGHSIGDLIKTNITLSDFNGQTSEAQGVLSVDLTAGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSVEVSHAAMSVWDAEDQEPISGISLEGCDRVEATKNRVRLVLSTSLTE >PAN25335 pep chromosome:PHallii_v3.1:4:44216164:44217529:-1 gene:PAHAL_4G244800 transcript:PAN25335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLHGCVNPATAVSLPALLVGAVVVVFFFLLADRHASFLDGYPSSFASAGNSTAGRVPKGCDIFRGEWVWVPDDAARPPYYTNRSCPLIQEHQNCLKYGRPDLGFLSWRWRPAACELPRFDAAAFLDVVRGRSLAFVGDSLARNHMQSLMCLLSKVAYPKDISKTTDPEFRTLCYESYNFTVSIFWPPFLPDDAWLAGVAGSDYVVLSAANWFTRRSVFHDAGGRVVGCHYCLVPGVPDLTLRTALRALVTGFGGTAVVRTLSPTSHFEGGEWDKGGDCRRTRPYAADEARMAGLDLDFHAAQVEEYARAKAEAEAAGARARLLLMDTMAAMLLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDVWNEMLFQMLLPD >PAN22364 pep chromosome:PHallii_v3.1:4:968431:969925:-1 gene:PAHAL_4G014600 transcript:PAN22364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELEEEERYVQVASRFFRVKPRGGGGANPHYLGSCLLCKESIACNRDVFMYKGDAAFCSDDCRQEQMDMDEALQAVARRHRLLRAPSSSSSSPAADAASSSRPPAMRRRPTIANLAARNPPVTAS >PAN24783 pep chromosome:PHallii_v3.1:4:45019997:45023569:1 gene:PAHAL_4G251600 transcript:PAN24783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAASFATCFRSAPPPPRPPSPRSLASPPSMPLTRRFTSSPPYGLAPRLRSYSPLLAAFRRAGDAAKAYAVEAHMAASGVSPEESELAALLDVSSRAGDADKVYEYMHKLRQVVDCVTEDTAEVVEAWFRTDNAAVPGMAHWDAVQVKNTIVASGGGCHRLGWLGSGPWSVKRVSVGADGLCQGCGCHLARIDIDAGETQRFADSVASLALERETKSNFSQFQDWLEAHKEYEAIVDGANIALYQQNFAEGGFCLTQLDAVITELRARYSGKWPLVILHNKRISKLMENSSNRHLIENWRSNGALYTSPSGSNDDWYWLYSAIKLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFNKGKALLMMPPPYSSDDLKIAIWAWSILYHPLG >PAN25456 pep chromosome:PHallii_v3.1:4:48983702:48990339:-1 gene:PAHAL_4G302600 transcript:PAN25456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKFFRGSTHNISEGQYHSRPAEETAWNEPSSSPVVTDFLSDFNNEDIDRAIALSLSEEEQRKAKAIDKDTHLEEDELLARAIQESLNIESPPRRNGGNTYHLPREPGTTNGGNTYQPPRENGTANGGNAYQPLPFMFSSGFRACAGCHREIGHGRFLSCMGAVWHPECFRCHACSQPIYDYEFSMSGNHPYHKTCYKEQFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGYRTLSPDVEEGICQVLAHMWIESEIMAGSGSSAASSSSGSSTSRSSKKGGRSQFERKLGDFFKHQIETDTSMAYGEGFRAGNRAVMQYGLKRTLEHIRLTGTYPF >PAN25902 pep chromosome:PHallii_v3.1:4:51081919:51085402:1 gene:PAHAL_4G333400 transcript:PAN25902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEHSGSSAAAGEAPTTGEHRMGTTIVGVCYEGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIIGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALLDHEWREGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHEELEAQNSLLDILAAGNPDPMVQ >PVH47646 pep chromosome:PHallii_v3.1:4:7697315:7700963:1 gene:PAHAL_4G106200 transcript:PVH47646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLAVAAVGGLVAALAERASNKNRLNLPPAVPGLPVIGNLHQLKEKKPQQAFTKWAEDYGPIYSIKTGASSAFVLNSTEVAKEAMVEKFSSISTRKLAKAVSILSRDKKMVAGSDDSDFHKNGKRHIVMSLLGSSALKQFRGARDTTIDNMALSEDVSSIYVEEFGKVISRDEIYQATVLDFMWCVLEVDWRDFFPYLSWVPNKSFETRVLTTEARRTAVMRALVNQQKKRIARGEARMSYLDYLLAENKELTDEQLTMLIWEAILEAADTTMVATEWAMYELAKKPEKQEIREVCGNETVTEEHLPRLPYLNAVFQETLRRHSPVPLLFPRFVHENTSLAGYDVPAGTEVIINVYACHMNEKDWDEPEEWEPERFLDGGSFSSEKTHKTIAFGAGRRVCAGIMQATSIACTSIARFVQEFAWKLKEGDEDKVDTVHVTAYKLDPLCAYLTPRGRN >PAN23633 pep chromosome:PHallii_v3.1:4:7697315:7700963:1 gene:PAHAL_4G106200 transcript:PAN23633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLAVAAVGGLVAALAERASNKNRLNLPPAVPGLPVIGNLHQLKEKKPQQAFTKWAEDYGPIYSIKTGASSAFVLNSTEVAKEAMVEKFSSISTRKLAKAVSILSRDKKMVAGSDDSDFHKNGKRHIVMSLLGSSALKQFRGARDTTIDNMVSTFHTMVSDDPNSPLNFRDVFTSGLFGLSVTQALSEDVSSIYVEEFGKVISRDEIYQATVLDFMWCVLEVDWRDFFPYLSWVPNKSFETRVLTTEARRTAVMRALVNQQKKRIARGEARMSYLDYLLAENKELTDEQLTMLIWEAILEAADTTMVATEWAMYELAKKPEKQDRLYQEIREVCGNETVTEEHLPRLPYLNAVFQETLRRHSPVPLLFPRFVHENTSLAGYDVPAGTEVIINVYACHMNEKDWDEPEEWEPERFLDGGSFSSEKTHKTIAFGAGRRVCAGIMQATSIACTSIARFVQEFAWKLKEGDEDKVDTVHVTAYKLDPLCAYLTPRGRN >PVH47645 pep chromosome:PHallii_v3.1:4:7697315:7700963:1 gene:PAHAL_4G106200 transcript:PVH47645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLAVAAVGGLVAALAERASNKNRLNLPPAVPGLPVIGNLHQLKEKKPQQAFTKWAEDYGPIYSIKTGASSAFVLNSTEVAKEAMVEKFSSISTRKLAKAVSILSRDKKMVAGSDDSDFHKNGKRHIVMSLLGSSALKQFRGARDTTIDNMVSTFHTMVSDDPNSPLNFRDVFTSGLFGLSVTQALSEDVSSIYVEEFGKVISRDEIYQATVLDFMWCVLEVDWRDFFPYLSWVPNKSFETRVLTTEARRTAVMRALVNQQKKRIARGEARMSYLDYLLAENKELTDEQLTMLIWEAILEAADTTMVATEWAMYELAKKPEKQEIREVCGNETVTEEHLPRLPYLNAVFQETLRRHSPVPLLFPRFVHENTSLAGYDVPAGTEVIINVYACHMNEKDWDEPEEWEPERFLDGGSFSSEKTHKTIAFGAGRRVCAGIMQATSIACTSIARFVQEFAWKLKEGDEDKVDTVHVTAYKLDPLCAYLTPRGRN >PVH47644 pep chromosome:PHallii_v3.1:4:7697315:7700963:1 gene:PAHAL_4G106200 transcript:PVH47644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLAVAAVGGLVAALAERASNKNRLNLPPAVPGLPVIGNLHQLKEKKPQQAFTKWAEDYGPIYSIKTGASSAFVLNSTEVAKEAMVEKFSSISTRKLAKAVSILSRDKKMVAGSDDSDFHKNGKRHIVMSLLGSSALKQFRGARDTTIDNMALSEDVSSIYVEEFGKVISRDEIYQATVLDFMWCVLEVDWRDFFPYLSWVPNKSFETRVLTTEARRTAVMRALVNQQKKRIARGEARMSYLDYLLAENKELTDEQLTMLIWEAILEAADTTMVATEWAMYELAKKPEKQDRLYQEIREVCGNETVTEEHLPRLPYLNAVFQETLRRHSPVPLLFPRFVHENTSLAGYDVPAGTEVIINVYACHMNEKDWDEPEEWEPERFLDGGSFSSEKTHKTIAFGAGRRVCAGIMQATSIACTSIARFVQEFAWKLKEGDEDKVDTVHVTAYKLDPLCAYLTPRGRN >PAN23761 pep chromosome:PHallii_v3.1:4:8846427:8851269:1 gene:PAHAL_4G114800 transcript:PAN23761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTARLYPPIEPYDLEPPQVPAGGGGGGEEKARSSDQLVMWDEEPDASPAPEAGPHIFEPGAKDRPPRNFKEGSLGVVNVCEFSDEMTTMKESGENIYDSFPLCEQTGMWVPASVPPMTKHDHEEWQKGFGYNSGCFPEEEYRWDIDEENLEMTMWDVLSEMVVAGRNRILSIASFDFGRYGMSMVSDFFLEEALKDKAQTLEDISAGSEHALLETEPTKWLPDSSAPSCMLCGARFHPIICTRHHCRFCGGIFCGGCSKGRSLMPPKFMTSDPERVCDVCGVRLECIQPYLMNRFSRACQLPTQDLTDLSTLRSWINIPWAIKMEYEIYKAANSIYGYCKVGELKAEKSIPDSILREARGLAIITEVKFGMMLTYKIGTGLVVARRADGSWSPPSAISTCGLGYGVQAGGELADYIIVLRNTDAIKTFSGNAHMSIGAGISASAGHLGRTAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRDSVNARFYGGPVKASEILLGSLPRPPAAATLYKALSVLFDKIEKETTCL >PAN26138 pep chromosome:PHallii_v3.1:4:52161821:52166117:1 gene:PAHAL_4G350100 transcript:PAN26138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQPLPQPRSSMREALEKEDKEKAAAAAAAKDKAAVPKNGGNGGGKNGGGNGGGGGNNGGGPPQSGEETAREIQVVREAYRRETAAPAYVIPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPSSDFTPDPKYTVKGATCSIHEMSMYQRLTRHSIVIDGSRLSPLGWSGLSWAIGILIVAPILTQTAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIAGSIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLSLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPATAFEPNFFTKLSYSMTLLRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGILYSFGRILLLDASPPGKEGAFAVWYAFVRCIGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMDDEKRMGGLGMEKGEGMGSAVADSGEGRGRV >PAN22732 pep chromosome:PHallii_v3.1:4:2537770:2542497:1 gene:PAHAL_4G041100 transcript:PAN22732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQPQDYAAAAMAYAQAQQPPPPQYGGYHPQAPPQYPPHPYGAPLPQYPPAPYARPMPPAYSHLPPHQQPPPPYAAHPPPHVMSTPSPPPHHPYMHPPPFESAPPPAAPPADPELQKRIDKLVEYIGKNGPEFEAMIRDKQHDNPDYAFVFGGEGHAYYRYMLWLLPRPPVPAPYPPGSMHMMPPMGPMMRGPPIHQPGYPPFYDQHQQFAASHGHGEYEAAAQPFKGLSGPLPTDVAAELQDVLSNLNGTKESIKGAKSWFMQRLPFAPALAEALRERVFTLEDTERQLHIIFLVNDILFESLQRRTNIRDLDNEAIAFKSVLGSMLARIYNNPQSKDDSQTRVEKILQFWGSKEVYDQETIANFEREMKGGLAYPLPPRHVSPDPSTFSGAFAGSVPVPSKWSSEPPEKEKAIHPISGPLQSGPSAQFSANQLPAGVYPPVGQTTYPGSLPVQPSLIPSAIPQSTAAPSNDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILERVSKFFSEIGEVNPSEGPMRQSERDDYDDYERELPARKGGACIPPPPNLLVNPETGMRADGSVESKPGSSGRLGLGASADPNEVSQYDDVYSSYRKQRSTTYHSSITARSTSR >PAN22728 pep chromosome:PHallii_v3.1:4:2537770:2542456:1 gene:PAHAL_4G041100 transcript:PAN22728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQPQDYAAAAMAYAQAQQPPPPQYGGYHPQAPPQYPPHPYGAPLPQYPPAPYARPMPPAYSHLPPHQQPPPPYAAHPPPHVMSTPSPPPHHPYMHPPPFESAPPPAAPPADPELQKRIDKLVEYIGKNGPEFEAMIRDKQHDNPDYAFVFGGEGHAYYRYMLWLLPRPPVPAPYPPGSMHMMPPMGPMMRGPPIHQPGYPPFYDQHQQFAASHGHGEYEAAAQPFKGLSGPLPTDVAAELQDVLSNLNGTKESIKGAKSWFMQRLPFAPALAEALRERVFTLEDTERQLHIIFLVNDILFESLQRRTNIRDLDNEAIAFKSVLGSMLARIYNNPQSKDDSQTRVEKILQFWGSKEVYDQETIANFEREMKGGLAYPLPPRHVSPDPSTFSGSVPVPSKWSSEPPEKEKAIHPISGPLQSGPSAQFSANQLPAGVYPPVGQTTYPGSLPVQPSLIPSAIPQSTAAPSNDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILERVSKFFSEIGEVNPSEGPMRQSERDDYDDYERELPARKGGACIPPPPNLLVNPETGMRADGSVESKPGSSGRLGLGASADPNEVSQYDDVYSSYRKQRSTTYHSSITARSTSR >PVH48423 pep chromosome:PHallii_v3.1:4:50618862:50624093:1 gene:PAHAL_4G327300 transcript:PVH48423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGGGDGTRDSRPARVQDDANPNVADMLKKLNLTEEEGEYVAFSDDEADGEETATEWALVGKVISPGALNKSTIMGALKPAWGNPYGLKLRSIGEKTANLFVFGKYSMILREYDHKLKPSEICFDRMEIWARLIDVLLGWMNQQRGARAMGLLGEVVRMDVDGEGKANGPFLRARVAIDISKPIRRGALIKRSKNEPPEWFDAQYERLPYFCRSCGTPAPRDAEGKMPYDVKLRVEDKKKKLQSFAEAAAESLGSAASSGSKQSRESAGKPDDRKKDNTRGADEHELEGDATSPLKTKSVANEKGKDPSTRITRPLLQTKKGEVQKTVRKRKSKTNGPAINPAPGLNLLPVNNTALVPAGLVNARVSQLDRGGGGNEDDMEEMIKKQRRQSPPDTMNCLSVNYRGCGRPEAVQEIRHLVEVARPAVVFLMETRMSKERALGLKNKLGFPNGEVVSSDGLSGGLVLLWRRDVTVAVQSASKSHIDVVLSSPGPDGFTAGFFQRHWSLVKESVVNAVLGFLNGGEMCNEVNRTVLVLIPKVEALGEKYLGLPTAVGRVADGTFDYSADRIRNFVRGWGANNLSCAGRKYYPNGDFLSATRKKKSLETWVAILHGRKVLQKGIIKRVGPGDTINIWNDNWIPGIRPMKPLVHLENSLVQHVDELFLPGTRTWDEDLVRQSFIPSDANEILKIRPGLRMVEDTLAWSHEKFGMYTVRSAYRLLKEEQIQLEASKLNEPNSSDGSWIWKRLWKLKIPPKIRIFWWRVKKLTGIKIPKLHQATWVKDLLTGDHCSVSSAELIICGVWSLWTGRNARKHGKVEWRSAAAARHISSMLEDFIGSGTDTSSRQEVTRVRWSGPPPGWMKVNTDAAFSLSNSTGSTGAVLHDHSGSVRAAAARFYPCVSDALMAEALAVRDGLILAAEQEATRVVLEMDNATVATLCSLR >PAN22708 pep chromosome:PHallii_v3.1:4:2444094:2447246:-1 gene:PAHAL_4G039300 transcript:PAN22708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNALRYLTKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLRHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLTSEENEEQDGSESDGEGNSRPSFLSVKKAAGSLDKELAASGFTRKEQVEMEKYIDEDAEGHDSGSDDDDEVGDAVPIDSLKIDRDHSDEPDCTLTSRDSGVPGTFSEEHGTSCSGENRLESSPSGSNGDAKEALESEGKMLSQEDEDNDDSSLDHDEEEEDAELTKKLNKQRKKAIAAAHGRRRPASSRNAYKDKGKGTMNSKIQRQACKW >PVH47335 pep chromosome:PHallii_v3.1:4:2443883:2448030:-1 gene:PAHAL_4G039300 transcript:PVH47335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNALRYLTKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLRHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLTSEENEEQDGSESDGEGNSRPSFLSVKKAAGSLDKELAASGFTRKEQVEMEKYIDEDAEGHDSGSDDDDEVGDAVPIDSLKIDRDHSDEPDCTLTSRDSAWNKL >PAN22707 pep chromosome:PHallii_v3.1:4:2443883:2448027:-1 gene:PAHAL_4G039300 transcript:PAN22707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNALRYLTKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLRHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLTSEENEEQDGSESDGEGNSRPSFLSVKKAAGSLDKELAASGFTRKEQVEMEKYIDEDAEGHDSGSDDDDEDHSDEPDCTLTSRDSGVPGTFSEEHGTSCSGENRLESSPSGSNGDAKEALESEGKMLSQEDEDNDDSSLDHDEEEEDAELTKKLNKQRKKAIAAAHGRRRPASSRNAYKDKGKGTMNSKIQRQACKW >PAN25262 pep chromosome:PHallii_v3.1:4:47987814:47990601:-1 gene:PAHAL_4G287700 transcript:PAN25262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRSGAHLHRDPTINPGLGSPRCPRCLSLLNPTAGEGDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPFVQKHVKGPKWLQLLVGIPPLLLFSGASAVFGAYALPRFAQLTVTSYYAASSGSHYAVSQITRQIESAHFSESDEKSR >PVH48381 pep chromosome:PHallii_v3.1:4:50120666:50121534:1 gene:PAHAL_4G318300 transcript:PVH48381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASWMSLGMMVTRLAWMAQRLVSSKSPTRYASAASCSAATAEDWNRRSVLKSCAISRTRRWKGSLRMSSSVLFWYLRISRSATVPGRKRCGFFTPPVAGADLRAAFVASCFRGALPPVDLRAVCFVRAIGLGCGGVAATAI >PAN25712 pep chromosome:PHallii_v3.1:4:50266912:50267184:-1 gene:PAHAL_4G320600 transcript:PAN25712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKAPKLDTAFDCPFCNHRASVECSIDLKHLIAEASCGVCKESYSTAANALTEPVDVYIEWIDACESVNEGVDARRRRSHCTKIAQFR >PAN25980 pep chromosome:PHallii_v3.1:4:51386708:51387269:1 gene:PAHAL_4G338800 transcript:PAN25980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNKLQAVSSFCGATLPWFSTAYYPSSFTKYLNNSGPEIELNAQTSDGLASTDTEDSSIDD >PVH47227 pep chromosome:PHallii_v3.1:4:569252:573259:1 gene:PAHAL_4G008400 transcript:PVH47227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSVSTSHLLITASLPKPKPSSLRPPRLPLAKPLPATLLALAATPALAADAPAPPPAPVPAPAPELQAEAPTPTANPFANSLLTAPKPSAAADLPEGAQWRYSEFLSAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGEAAGPGGFLAFVGNLLFPFIAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVERAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAQLFVA >PVH47445 pep chromosome:PHallii_v3.1:4:4292075:4292398:1 gene:PAHAL_4G066000 transcript:PVH47445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLIVPCTYNKFSCIYYFSSPAKGSSKCLVTHVLGEKNCVITFQNKREQNHLTAQFVQILLREKIRCTLGHFTTLITLFS >PAN23505 pep chromosome:PHallii_v3.1:4:6822573:6824446:-1 gene:PAHAL_4G096900 transcript:PAN23505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAGATNGAPAEANAAADNGARSMANATTSGAVANGDGNGVPVSRSGRDHVVIFPFMAKGHMLPLLHFATALSARHGGLRVTLVTTPGNVAFARSRLPASVSLVALPFPSLPPLPAGVESTDALPSQSLHLAFLRATALLRAPFADFLASLPSPALALVSDFFLGFTRRVAADAGVRRIVFNGMSCFASAICKALAASPPASFEPGAQFHVPVMPDHVVVRAEEVPDGVTKRADPDNPFTRFFVHEIGDSDVRSWGVLVNSFAALDEDYVPGLESFYEPGSRAWLVGPLFLAAGDMSSEGEKEQDPEGCLSWLDERAAQPGSVVYISFGTQAHVTDAQLDELVHGLAQSGRPFLWAVRSDTWSPPTGVGPNGRIVRGWVPQRSVLAHKAVGGFVSHCGWNSVMESLAAGKPLLAWPMIAEQHLNARHVANILGVGVRVAVRPGTDVVGRADVEEKVRELMDAGSKAARSMRERAAWAQQAAESAVSRGGTSAMALQNLVEELQRTYGDVVGKGADGIQKIKESELTLSSLDQDVRPARAKGLLI >PAN23593 pep chromosome:PHallii_v3.1:4:7421451:7422659:1 gene:PAHAL_4G103300 transcript:PAN23593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGEQAPEPAAVREAVAPAVVVPPGPTALVGDEAAELSGVPVRESAAVEASKVQEAEASPLVDGGGGGKCPSPSPAPASPSTVKERQIPVDPASLRRLGMVADEDSPLSAPSVLTEVVARSSPLLPPLRRPTFVGASLPCSAASSPMHGAGGAKWEEQQQPAAAHSPTSALRSLARQHSAALARLVAAPSTLPRSASRAEGRTMVPHDDEEPGEPDKLLAAEDGFTCGALCMLIPGFSRKKPAFAAGTAVSGMQRQPSGLRPRRSSASRVASLERFECGSWSPPPPPPPPPVAARHEAADCLATEVAKTSCAADDAEAPVKMAFVFDGEPPAATRGILKNSASSRLDSARPSTSSQRHVRFSTAVAADAAASASCPTSPCITPRLARARAEFNAFLEAQSA >PAN25551 pep chromosome:PHallii_v3.1:4:49525471:49529836:1 gene:PAHAL_4G310000 transcript:PAN25551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMARRLSSSCGRAVASTSSSFPPSPPRRIYTLPPRPLLLASSSPRPRAPPPRPVPPPSSPPRCSFRTLAATKGPSSPAPPSSNVVPPTQRQPRSKRKQEEMTISSSVKLAGGTLSVCGRTVLSGVPDAVVASSAAAGGAVDGIFLGADFAEPAARHVVSLGALRGVRFMACFRFKLWWMAQRMGEKGGDVPLETQFLLVESRGAGGEDAEAAFVVFLPLVEGAFRASLQGGAGDALELCVESGDADTRAASFDRALFVGAAESDPFAAIAGAVAAAKSALKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLIAGGAPPKFVIIDDGWQSVGTDQSATDEPAGGDEPPRLFRLTGIKENSKFQNADDPAAGIKTVVRAAKEQYGLKYVYVWHAITGYWGGVRPGAAGAEHYRSSLQFPKVSPGVVENEPGMKTDVLTLQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVQLTRQYHQALDASVAKNFPENGIVACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLRKIVLPDGSILRACLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHQTGTEALTCGVKGSDVHHISEASTDPEWNGDCAVYRHAGGDLVVLPNGAALPISLKVLEHDVLTVSPIKDLAPGFRFAPIGLVDMFNSGGAVDGLTYHLLDGAKLLDGNGSTSGSEAVGLVCMEVRGCGRFGAYSSVRPRKCAMGSSELEFSYDSSSGLVTVQLEAMPREGVHKIVVEL >PAN22862 pep chromosome:PHallii_v3.1:4:3240180:3243378:-1 gene:PAHAL_4G049700 transcript:PAN22862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERKGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSSSTSSSDHQSSLQKPTSTSNSKRVFLNREDHCVYASPDGNTAASDKNIYAALLRGCSHQSSPYQTPSLQEVFRSWEQSRGRVPWNSNVLTIEKAAVKPSHTTSSKRPDEKQPGCDLTLSIGLWEDASSDADGSSTISEELPAPAAGARRVATVKEEESKPALNLDLTISSSWLA >PAN24630 pep chromosome:PHallii_v3.1:4:43387150:43391723:1 gene:PAHAL_4G239400 transcript:PAN24630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIRVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYEPPDGSGGPGVTPPAVVSATVGVALLGAVAGNLAFGALGDRAGRRRVYGASLLLMVCSSVGSGFSVCRTRGCALASLCLFRFLLGVAIGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILASSAATMAVAAAFDRCTGRRAPLDTPEAADLAWRVILMIGALPAAVTFYWRMAMPETARYTALVEHNVVKATNDIGRLLTELNLGAVTEEEAAAFRRAAPAPPQLGRAASPPAAASYGLFSRRFLRRHGRDLFACASAWFLLDIPYYSSTLFQSQIYHPWFPPAGRVSAFQEAFNVAKFQAIIAVASTIPGYFAAVLLIDRVGRRGLQMAGFLLMAVFLFALAGPYDRYWRGHGADAWYIVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISGAAGKLGALVGAIGFLWASQDRDRRKVLAGYEPGIGMKYALIILGGICLLGLAVTYAFTPETMGRSLEENESENDDSRSHATGEGDAGQQELVSSELPKSPTSVVSSHVSTSPIHPHRFSV >PAN24712 pep chromosome:PHallii_v3.1:4:44540483:44542731:1 gene:PAHAL_4G247100 transcript:PAN24712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVVVICILVIFLSLLSAGLAFAAEITKIQVSGTVTMEDGRCGYPSTPASLLGMLSTLFFLLAHIGIYTAAGCICCKIQHSHPNLKWIIGLICFTLSWLAFLPATLLLLHGAFLNDQGYKVGTNSYLEPACGGTSDGVFPSGGILVLACNFLAIGYYLALRPIKGILPIGEHQMRGSYEIAMGQPQFPPPLEILQV >PAN23467 pep chromosome:PHallii_v3.1:4:6532163:6544663:-1 gene:PAHAL_4G094000 transcript:PAN23467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSLRSRLLILPPPPASPTAVSLRLRPCASALPSSSRRRNLRLVARAAPPGGAVAPASSSAPAAAEEKDEKGGGEGLSVADAERLCEFLRADLPHLFDDVGIDRSAYDDRVRFRDPITRHDTIDGYLFNIRLLKLLFRPDFYLHAVKQTGPYELTTRWTMVMKFMLLPWKPELVFTGLSIMGLNPQNLKFNSHVDLWDSIENNEYFSFEGLWDVFKQLRFYKTPDIETPNYLILKRTAHYEVRSYSPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIPMTTPVFTQASDGTLSDVSIQIVLPMNKDLNSLPAPNTEAVTLRKVEGGIVAVKKFSGRPKEEIVLQKEKDLRSQLLKDGLKPQQGCLLARYNDPRTKSFLMRNEVLIRLNEFTLEL >PAN25679 pep chromosome:PHallii_v3.1:4:46785931:46794330:1 gene:PAHAL_4G274000 transcript:PAN25679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDSDGTRTVGVISKVDQANGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQSVGSENSLETAWRAEAESLKNILTGAPQNKLGRLALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKIVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVVAIASNALESFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKTQEAEQPTSKRASSPQTDSEQGGGSLKSMKDKSGQQDKDTKEGSNLQVAGAAGEITAGYLLKKSAKTNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPSKSSKDSKKANGPEKTPSLVFKITNRVAYKTVLKAHSAVVLKAESMADKVEWINKIKAVIQSKGGSIKGPNTEGGSMRQSHSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIDELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVSSYSNDTSEAESPRTPSRSGEDWRSAFDSASNGPAAASSNSESRSRSADGRSRRYENGDVSAGANSGSRRTPNRLPPAPPRY >PVH47557 pep chromosome:PHallii_v3.1:4:6049432:6052482:-1 gene:PAHAL_4G087000 transcript:PVH47557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKGQPLNAQLAFGFCSCSLDPPHTKSLLTVSDRATMSAFVGKYSDELIKTAKCLATPGKGILASDESTGTIGKRLSSINLENVESNRQALRELLFTAPGVFDYLSGVILFEETLYQKTSDGKPFVDLLIAGGVVPGIKVDKGTVEIAGTNGETTTQGHDSLGARCAKYYEAGARFAKWRAVLKIGAAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGGHDIKTCAAATERVLAAVYKSLNDHKVLLEGTLLKTNMITPGSDSPKVGAEVIAEYTVAALRRTVPPAVAGVVFLSGGQSEEEATQNLNAMNKLEVLKPWTLSFSFGRALQQSTLKKWLGKKENVTAAQAAFVVRCKANSEAALGKYAGSGAGDAAASESLYVKGYKY >PVH47608 pep chromosome:PHallii_v3.1:4:7155072:7155620:1 gene:PAHAL_4G100900 transcript:PVH47608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLERCHLSTPSLACQEFALVANLCPLKLAEHTAEQQAKMASNAYRCRILLLTVVLSLLVIVSSSSSRLTGSGRPPNCPKGDPNNPCPPSCSPHSSVCH >PAN25985 pep chromosome:PHallii_v3.1:4:51662702:51664973:-1 gene:PAHAL_4G342400 transcript:PAN25985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKGQRRREKNYRAAHGGDSRLAPPPKQRELEAIPSKLRRLIAFQNKHNANANASSGGARAPGKQDDGLGKNKPAKDKKTKKQTPEAPAESKASEIKGGDGSATDENVNAEGSKGKRKRGKAMDLRFKELEENVSISKKQKRKKHLDEKKKKRKGNKTESLPDFPGREKVKFGEVVEAPPKLSFPKVKSALDASREVLRKEAIENYRNIKGWTSRPGLQLPTLAENTFLSS >PVH48547 pep chromosome:PHallii_v3.1:4:52469992:52472286:1 gene:PAHAL_4G354700 transcript:PVH48547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPATLTDTYVEGTGTHHRYRILIPLLLPLFIFTDAMPSPCHHRQAKPAKPFSSSTPLPAYRRSMAPPPSKCRPARLMRCRCCEDTLGVPRRRAQHHQLQQFPELQLRLQDAPPPPRPRRIVLVRHGESEGNVDEAAYTRVPDPRIGLTAKGRRDAEACGRRLRDLFSSDRGADDWKVYFYVSPYRRTLETLRGIAHAFEPHRIAGVREEPRIREQDFGNFQDREQMRVEKENRLRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGEQSPNMNVVLVSHGLTLRVFLMRWYKWTVRQFEGLENLGNGGALVMQTGEGGRYSLLVHHTADELRAFGLTDEMLQDQLWQKTAKPGELNYTFMTNGQSFFDRFTNHTEYS >PVH47793 pep chromosome:PHallii_v3.1:4:17943570:17948700:-1 gene:PAHAL_4G153800 transcript:PVH47793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPVRCADVEECRGGAGMEMEEIGPVSDLDFDFTVDDIDFGDFFLRLEDGDALPDLEVDPGEIFTDFEGIATGSDGAMDQEVHSVQPLAENTTRVDVEEGKGECNHAEEVVAGNNGDFGGGSVTVLAEEKSPSSTTSSSQEADSRYKSTSKHSQGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLAREAEAASWTQRRQMYAAGGAPAAVKRPDSNAWTVPTIGFPPPPPLAPHPMQHFGRPLHVWGHPTPGVESPRVPMWPRHLVPRAPTPPWAPPPPSDPAFWHHPYMRGPSHMPGQVAPCVAVPMPAARFPAPPVRGVLPCPPPMYRPLVPPALGSKSQQDTQLQLQIQPSSESIDAAIGDVLSKPWLPLPLGLKPPSVDSVMGELQRQGVADVPPACG >PVH47658 pep chromosome:PHallii_v3.1:4:7917386:7920830:-1 gene:PAHAL_4G108500 transcript:PVH47658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPAAVTVKQEEDDDEVVVVLDAGGRAGAALAPAPEPWQSPAASQVPPFLAKMFELVEDPATDGVVSWSAARNSFVVWDPHAFAAGLLPRRFKHANFSTFLRQLNTYGFRKVSPDRWEFAHADFLAGQHHLLANIRRRRGAAPGGSRTARTSAAGSGDREKEELEKLRRDREALVRELARLRRGQQEARAQLLDMERRVRGTERRQEQCTAFLARAVGNPGFLDGLLARRGRAAPVEAGRKRRLLDAAAAAPDAADVLAFEELALAAGAEVEAAPVLAAAAASQVSSSTATATDMIWYELLGEEQVEIDDEVEELVAAAAAAEAAEPWEEMGDEEVEELVQQIGCLGSPSP >PAN22722 pep chromosome:PHallii_v3.1:4:2518104:2520693:1 gene:PAHAL_4G040500 transcript:PAN22722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGGWPEEEEEQAAAGSDPPPAVCVTGSTGYVGSWLVRTLLRRGYRVHATARDTGKAWRVLAAVEGGDRLRVFRADMGEVGSFDAAATGCVALFHVAASMEFHVSPGQDNVEERVRSSVLEPATRGTINVLRSCVRAGTVRRVVFTSSVSTLTAAGAEGRREAVVDESCLRDLADVWRTKPVGWIYILSKRLTEEAAFRFARENGLHLVSVILPTVAGPFLTPSVPTSIQLLLSPITGDPKLYSLLASVHARFGCVPLAHVQDACDAHVFLMESPRAEGRYLCAAGGHPMADVERLLAARYPPFKPPERLSRDFDASSAAAMSSKRLLDLGFRFERGVADIVADSVAQCLDHGFLEHPET >PAN22723 pep chromosome:PHallii_v3.1:4:2518681:2520249:1 gene:PAHAL_4G040500 transcript:PAN22723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCRRPVLVSSGKAWRVLAAVEGGDRLRVFRADMGEVGSFDAAATGCVALFHVAASMEFHVSPGQDNVEERVRSSVLEPATRGTINVLRSCVRAGTVRRVVFTSSVSTLTAAGAEGRREAVVDESCLRDLADVWRTKPVGWIYILSKRLTEEAAFRFARENGLHLVSVILPTVAGPFLTPSVPTSIQLLLSPITGDPKLYSLLASVHARFGCVPLAHVQDACDAHVFLMESPRAEGRYLCAAGGHPMADVERLLAARYPPFKPPERLSRDFDASSAAAMSSKRLLDLGFRFERGVADIVADSVAQCLDHGFLEHPET >PVH47625 pep chromosome:PHallii_v3.1:4:7470360:7471244:-1 gene:PAHAL_4G104100 transcript:PVH47625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQFLWICWWQAGVPVPVVKRSEPEFFLAIRFRDAKQMRFGLGHSGCKELDSQQVSSMKSI >PVH47247 pep chromosome:PHallii_v3.1:4:970107:971094:1 gene:PAHAL_4G014700 transcript:PVH47247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPAAPRLSLAARPLSPARSASSPALAPPARSASSSRAATGYAAALADACARAGTLRGAARDARALLSRRHGASSEEEELDARVAALVRMLVGKGKAGMVAEALAEFAAICDHLLPPPPPPARHAY >PAN23679 pep chromosome:PHallii_v3.1:4:8115561:8126735:1 gene:PAHAL_4G110000 transcript:PAN23679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT ROOT IN SALT MEDIUM 1 [Source:Projected from Arabidopsis thaliana (AT2G03150) UniProtKB/Swiss-Prot;Acc:F4IS91] MFPSKGPSHYGQQPPYGGQQPYGQIPGSTGFTAPAAVGGADGGRFGARAGQGAAAQYGGPYASVYGAQQVGGLGGKGPASSTLPSLPTRPTSLSESSKFSSAPVGSSLARPNDDYMAVRGYAQKLEQYGTDYPLERRMYGEHSANLGRRDGLSDLDRRYPDHMPAGHQVHDHMEQGSSMRHQQLLKGQLQPGSDTRQADYFAGRSAPIHQASQEIGAYGRVEAESRNMSILGTAPYGRQQAASLLEGAPRTNIDSLYGQGSSSTGYGAGLPPGRDYSSGKGLLHPSSDPDYRDSILPRVHPGISMVDERRVDRIGYRRELDIRDEERRRDLMLEREKELEWERERELRDLRDRERERERERERDRERLLRERDRERERERERERERERERERLRERREKERERDRKHGADPRREHTPPRVPGDRRRSSSVRSEKPVRRVSPRREAVHRHRSPVKEIKREYICKVLPFRFVDDERDYLSLTKRYPRLAINPEFSKIVLNWAKENLNLSLHTPVSLEHDIHDADDCADEGAMISSEKTSSSDTPVTIWNAKVLLMSGMSKGAFAEITSLRNTEERVLHLNNLLKFAVFKKDRSLFAIGGPWNAAIDGGDPSVDCSCLIRTAIRCVKELVQVDLSNCTHWNRFVEVHYNRIDKDGLFSHKEITVLFVPNLSECLPSVDIWKNNWIAYRKSKAEREQLTMKKEKSPGESKEQKQGELNKGKSIDGDLLKEGDVGSSDMKNDKVDADMDQKGTDVEGKVDKVEEPTEKMGGDVEAKTTEGSSIDHAAGDKKPIKKKVIKKVMKVVRKKPTAGASASASADKSSTEGKNVAAESASKTAEGGQSQQNSEDAGKEQEGAGSNQQPEAKKTSKKRIIRRVVKRKVSASGSQLTAPATPAETSKKEAEVQPEKNVESSTDAGTSQTKLQEGSKTSAEDVSSQKDQKEEEKPEEKEHTLTDGRSPNGDKANHKEAVEQKDMKKDGKKEKTKDDKEKKNRDIKVDPKLKPLNDLKEKKKSDEPPKYPGFILQAKRSKESKLRSTSLSLDGLLDYTAKDIEESVFELSLFAESFSEMLQHRMGCVILSFLEKLSKRYVLKRNQRKRQREEDLKKEEKKSSEKRPKTAHETITESAGNPGGDVKMTKEGEEKMSTDHSASVHDEQSKEGQVKLGTDHPIANLDEPAKEGGEKMNTEHSEAALNEAEAGTKMDEEDPEYEEDPEEIEIYEDDEDMDDAHAEEPTAELNEDDREAKPEVAAEDGGNNKITKEPELENVANIHDKAASVEEKQSMAEKGDSVEGGEKVVSKEVKPAKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSSKDVKDLVQIALIESNSARDNRILYPKLVKIVDL >PAN25269 pep chromosome:PHallii_v3.1:4:48015553:48017543:-1 gene:PAHAL_4G288000 transcript:PAN25269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35550) TAIR;Acc:AT5G35550] MGRRACCAKEGMKRGAWTSKEDGILAAYVKAHGEGKWREVPQKAGLRRCGKSCRLRWLNYLRPNIKRGNISDDEEDLIVRLHKLLGNRWSLIAARLPGRTDNEIKNYWNSTLGRRAGAGGSRAVAGTPDTPAASGSCETGQKGAAAPHADPDSAGSATAAAETAASAAVWAPKAVRCTGGLFIHPDRDTPPADETPPTAGGGAGGSGEGSSEDCSSAASTFAGADEEPCFPGDGGDWMDDVRALASFLENDEEWVRSQVAEQLA >PVH47900 pep chromosome:PHallii_v3.1:4:27241588:27242483:1 gene:PAHAL_4G181600 transcript:PVH47900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLPEPPYNREMAAAVESRGREPSRKMQQPRVTLTVPALAAATYSQRLLVDTISPLSWWSGRGLNEFKPSGIHWSSGDQKDGRKRPHP >PVH48494 pep chromosome:PHallii_v3.1:4:51609505:51611376:-1 gene:PAHAL_4G341600 transcript:PVH48494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGTSIWGTKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAG >PAN22290 pep chromosome:PHallii_v3.1:4:643407:645380:1 gene:PAHAL_4G009500 transcript:PAN22290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYELAVKALVASIVLGVAVAGVEPSKKMMWRRQVPAMYVFGDSTMDVGNNNYLPGEEVPRADKPYYGIDLPDSGKPTGRFSNGYNVADFVARNLGFEKSPRAYLELKARSHLIPSAITSGVSYASAGAGILDSTNAGGNIPLSQQVRLFRSTMVEMDATVGPQAVSRLLSKSLFLFGVGSNDFFAFATELAKRNRSATQGDVAAFYGSLISNYSAAITELYKLGARKFGIINVGPVGCVPRVRVLNATGGCADGMNQLVAGFDAALESLLAGLAANKLPGLAYSLADSFGFTARTDPHAAGFVSDDSACCGGGRLGAEADCLPGATLCADRDRFLFWDRVHPSQRAAMLSAEAYYDGQAQFTKPISFKQLAQKSS >PAN24951 pep chromosome:PHallii_v3.1:4:46112340:46113132:1 gene:PAHAL_4G264500 transcript:PAN24951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHTVDMCAKSKRISFIALNSFLLQLLLPLECYQILCKNDLIFSVHSGQRNHPYFCSSRAESKVSPPTKVSIRKTYIAE >PVH48250 pep chromosome:PHallii_v3.1:4:48081289:48081860:-1 gene:PAHAL_4G289400 transcript:PVH48250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDARIHDMRGKIPNDKRSHGKIYLENTQMAAGFQFKQREKSGICAQETAQMRAIAHKYVKHHMIFLPMSAQNHWFVSVVNSKLRRIHVLNSHKPKICRYRASSKKHG >PVH48380 pep chromosome:PHallii_v3.1:4:50087769:50091145:-1 gene:PAHAL_4G317900 transcript:PVH48380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWRIPTTSPRTTSRVLSCTQSCLWVRSPLELRMAQDSDDPLFVVSVVVWVFVVILAIVALHCPLPRKVVR >PAN24880 pep chromosome:PHallii_v3.1:4:45608294:45609405:1 gene:PAHAL_4G258900 transcript:PAN24880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDEKGLKKGPWTPEEDHKLMNYIQKHGHGSWRALPELAGLNRCGKSCRLRWTNYLRPDIKRGKFSKDEEQTILQLHSILGNKWSAIAKNLPGRTDNEIKNFWNTHLRKKLIQMGIDPMTHRPRTDFFAALPQLIALANLHQLIEQQQWDGHTTMSQTEAVQAANHQYMQTMFQTAASITPNPTTISSLTADLEQISHLNPQHMLSSTLLESTGGEGVARQVPHNQMPHTFFEQPVSNINLSSDNNVSSSEQCHVEGGSSSRKSMLPSDNSLPPLTDMSASKPCYAISTTKCGASSTLSPSWSEILLDEELMREFE >PAN25447 pep chromosome:PHallii_v3.1:4:48899606:48915603:1 gene:PAHAL_4G301900 transcript:PAN25447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRVRSERRPLYTLDESDDDLPPRGGAGKGKDRQDDAPAERIEREDAKEEACQRCGKSDNLVSCSTCTYAFHRKCLVPCLNIASDKWSCPECVSPLTEMEKILDCEMRDAPHEDTSSSEPEPKKIKQYLIKWKGLSHIHCSWVSEKEYLEAAKIHPRLKTRLNNFRKQMDSVEKSDDDFIAIRPEWTTVDRILSSRKTSTGEREYYVKWKELTYEECTWENESDISAFQPQIERFNEIQSRRKKSGGKPTRESRHFKESPTFLSGGTLHPYQLEGLNFLRYSWYHDKRVILGDEMGLGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGAAASREIIKKYEFYYPKEKPKKLKKKKPSPSNEEKKQSRIKFDVLLTSYEMINMDSAVLKNIEWECLVVDEGHRLKNKDSKLFGQLKEYNTKHRVLLTGTPVQNNLDELFMLMHFLEGESFGSIAELQEEFKDINQDKQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLARRNGGQISLINVVMELRKLCCHGFMTDEPDSEPANPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKTSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMILEHLVVGRLTKANNVNQEELDDIIRYGSKELFEDENDESRQIHYDDAAIEKLLDRKQVDDEESVEDDEDDEFLKGFKVANFEYIDEAKALAEKEEARRKAAAEAANSERANYWDELLKDRYDVQKVEEHTAMGKGKRSRKQMAAADEDDIHDLSSEDEDYSFEDDVSDNDTNLQGNVSGRRGQYSKRKSRNVDSIPLMEGEGRTLRVLGFNHAQRALFLQTLNRYGFQNYDWKEYLPRLKGKSVDEIQRYAELVMAHLVEDINDSDYFSDGVPKEGMRVDDVLVRIANISLIEEKVAAMGQGKNTNLFPNYLLCEFQGLSGGRIWKTEHDLLLLKGILKHGYARWQYISDDRDNGLFEAARRELNLPSINEIIGAQLNNVENGNLEGAQEGEVNTAGAHYKEIQRKIVEFLRKRYHILERCLDLEYAVIKSNTPVPDDIAEQGIPAGHAPAVRDINELLVELQELQNLEPIPTNEVAPDGTGGQSQVPYLYNKMCGVLEDSGASALNSFFGVKSASSSLANSLHQFETLCEGVVQALQPQQNGTASAIKEEAVDANSKEAAAAPPQDSGPEAANGELSTAKPDMMEIDG >PAN23921 pep chromosome:PHallii_v3.1:4:11768200:11773502:-1 gene:PAHAL_4G133800 transcript:PAN23921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEAAAAEAAARIRVVRCPKCDKFLPELPAYSVYVCGGCGAALQAKKKSSAQSSLDADDGNVKYLEVLECVPEASATKPGANTADRSETSTMADVHSKPVYGHHDSVPTGPNPSNRNTLVRDNGKEAKYRHIRDWENGEVGQSLRVRNIFPRSPINDIPPNAYQGEGLVDYHLKQRYRYTTRERPSERNLDGPSKVRGLEKDRAEILRMLDELRDQVQQSCEVTDRPSGSAPTNTAPDAPSSCGTSDRLSQLRHDAPQLHRNGSHHSPSLNVRSPSVPRVYAALPAQHDRVGYAEPIPHARASSYPASLYPWRNFDNYFFGQHDPDPLLSCHHDGFYHQAACSCLHCCHKEFLPVQGNHLGFNDQRAPYLLNNSGAYSVDSPLFGQQRYCTRGTNTTLQRNHPRANVSKKPAQSCEPVAGGAPFTICYNCYEVLQIPKKQSLSGNEYKLRCGSCSHAILVKLDGNRLDVSEFAVSTHLSVGQENNMRTNEHTPDERSIPAYRFSDGSPASQEKDLHSNLSESENNHTPLGTNSEDTSHSRDLHPEDNVVSHVPSLPHRDHCGSSPSEHSGGGSRSAHSEHEKVILLTESCKQNSVKHVCVSNEMQSPDNEFGNPEYVEDALNVQHGTGRSRVTKGNDSFITNLIKRSFKINHGTRNGRARVYVNGFPISDRAVRKAEKLAGAICPGDYWYDYHAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCAGGNTSVFVNGRELHQKDLDLLVTRGLSDSPGRSYVVENSGKVSDEVSGEELYGLGKLAPTVEKMGRGFGMRVPRFIQ >PVH47396 pep chromosome:PHallii_v3.1:4:3355878:3356276:-1 gene:PAHAL_4G051300 transcript:PVH47396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAAVVDWSHKTLITFVSLGGSVLIPFPIRSSPGLVMGIGYGVQLLYISVESAFHYELMRPYSTSRPFGLHA >PAN22710 pep chromosome:PHallii_v3.1:4:2453651:2459738:1 gene:PAHAL_4G039500 transcript:PAN22710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSFFTSRAAARFLDGIGRPGVSTAALLLTAASGGGLVAYADSAAESALEPSQDVPKKKVLVLGTGWAGTSFLKNLDCSRYEVKVISPRNYFAFTPLLPSVTCGTVEPRSIIEPIRRMFEKKSKDVTFCEAECFKIDASKKTVHCRSAVGTNLDGNGDFMLDYDYLVVALGANVNTFNTPGVLEHCHFLKEVEDAQKIRRSVIDCFEKASLPNISEEEKRKALHFVVIGGGPTGVEFAAELHDFLVEDLVKLYPAIQELVKITIIQSGEHILNMFDERIAAFAEEKFQRDGIEVATGFRVVRVSDDLISMKSKSVGEDVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRALATNEWLRVRECEGVYAIGDCATVSQRKIMDDISMVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIADLIKGAIGDSHKESMVVDIEEFKKALSHVDSQVKSAPATAQVAAQQGSYLAECFNKMEKCKEHPEGPLRMTGESGRHFFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSRI >PAN26000 pep chromosome:PHallii_v3.1:4:51610913:51613018:1 gene:PAHAL_4G341700 transcript:PAN26000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRNSTTTFAQSWSSTQGRLVRVEVFVLFSALIWILVELLGSRRRRHSHEFFRCFVWAVYTLFTVLGPYTIGLLQDGPFRDQTFVLWGSILLLIQVSADSLSVYSIHDIEQRKRVLVQHVLQIVLVLWLIVNSKGHNTCYTATVWIFWIQSVILTYRKSAVLSNASKKGGLLKQSKVVADYMMIEHKLILPDVAPNPKTMEGYRYIFHGEEEVASLLPTAPEYRQGPGTKCTTIDSVWRWIEGQDVLTTGAVETIKDVALSFSLFKLLKRRLCGYRIGEAGRAKTLDFVLYGLISEEGNYGRAFAVIEDELAFLYDFLYTRYDTKNYMFWFLTFVNVVVTVTVWNSISGAFSRHYHRSNLEQRVHGTDVTRWVTIVLLIIVLVLSFLPPTMDRRWEIVDELHSHKQPTWETAMSQLSRNGRSEILWQRAFGQYSLLLDFDYHSWNVLPLLSLGLVDKTREGQKAGRKTMLTREIIERVLSGFKESNGQLHDGQSALARNQLLSQFSWACTLPTNIHNILVWHIGTTIAMDGHPVPRTGDHRVAKTLSDYCAYLVAFVPDMLPGHGYDTQRIFDAVVMEARKSLTGCDTVSSRCEKLTMMALASDSSGTILELGGRLGRELRGVVPEARRWKVLADFWAEFILFLAPSSNVEIHAEKLAAGGEFMTHLWALLTHAGILERPSTTDGAGGGNNGAPARDSPV >PVH48419 pep chromosome:PHallii_v3.1:4:50584369:50587105:1 gene:PAHAL_4G326700 transcript:PVH48419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGCSWSLCHIWRQCLSRNSGWDRVLQCSLQGRALWYCFSKFLQPNYQCFSWSPFTLSAPTALPICSSCLPIRCSKKTLKPRQGSP >PAN24046 pep chromosome:PHallii_v3.1:4:13264128:13269519:-1 gene:PAHAL_4G139300 transcript:PAN24046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDKLHEEKCPSRQTLSPASYTSSVGATTVNLVSPTRSLDCNARFRKAGNDLKTSTELLKVLNRIWSLEEQHAADVSAMKGLKRELHHAQACIQELMQERQRYHHEIDSLARQVTEDKMARRNKDQEKIKAALRSVQEELEDERRLRKHSETLHRKLGKELSEMKSAFCKAVKALEKEKKTTCLLEDLCDEFAKGIRNYEDEVRMLKQKHVKEYEHKFDKSVVHISEAWLDERMQMQKTDTREDLSGKTSIIERLSSEIESFLHHAKRFGNSKNDNLNIINEKRDASFCRQSLESVHLNGATSAPRLAEDDDGSSIASDLHCFELNMHGGAIKSHDLAGTRRRAISSMHSPMRRLEYSNGVSVEGSPMSNAPTCSKKDKARSSIGRQQFIASTPEISSRNDAGLASTDEQNETVMTQVSRRLRDDLLKIKSEAPQHAYLGHKSNQPWTNQFHEYTTSRDLCDVRSPARHLNNPAKSLECEISESPAHQLVGAKENTLKAKLLQARLEGQHARMSTSVFPLISTRRK >PAN24047 pep chromosome:PHallii_v3.1:4:13264128:13269546:-1 gene:PAHAL_4G139300 transcript:PAN24047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPPHAAAAAVAAALLKCRAGGGGEARLEACSKAASRSPSREAQEPDGRRRGEEEEEQLVEAEEEPLRRGLVAAQARARARRKAGHATPSPSWKLEPSPSPPRPEEESAAAVAAEADAGAGRRGAPAASARQLGATLWEIQDVIRVAGAGRRIRRRGRRATAVDEASADADRPRSSGGFGAHVAASVMEHDKLHEEKCPSRQTLSPASYTSSVGATTVNLVSPTRSLDCNARFRKAGNDLKTSTELLKVLNRIWSLEEQHAADVSAMKGLKRELHHAQACIQELMQERQRYHHEIDSLARQVTEDKMARRNKDQEKIKAALRSVQEELEDERRLRKHSETLHRKLGKELSEMKSAFCKAVKALEKEKKTTCLLEDLCDEFAKGIRNYEDEVRMLKQKHVKEYEHKFDKSVVHISEAWLDERMQMQKTDTREDLSGKTSIIERLSSEIESFLHHAKRFGNSKNDNLNIINEKRDASFCRQSLESVHLNGATSAPRLAEDDDGSSIASDLHCFELNMHGGAIKSHDLAGTRRRAISSMHSPMRRLEYSNGVSVEGSPMSNAPTCSKKDKARSSIGRQQFIASTPEISSRNDAGLASTDEQNETVMTQVSRRLRDDLLKIKSEAPQHAYLGHKSNQPWTNQFHEYTTSRDLCDVRSPARHLNNPAKSLECEISESPAHQLVGAKENTLKAKLLQARLEGQHARMSTSVFPLISTRRK >PAN25415 pep chromosome:PHallii_v3.1:4:48745144:48750615:1 gene:PAHAL_4G299400 transcript:PAN25415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLPSSGKRHAEPAEAAMAPARRAAAAAVKLEVEELGADDRGPLSKRAKAAQPTPPTPPQQQQDMYQNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGSEPSKKKDNKSGMSTAGERLKASNFPANILKIGTWEYVSRYEGDLVAKCYFAKHKLVWEVLEAGLKSKIEIQWSDITALKATCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLIQCDQRLYQLSHQPEIILDSPLFEPRCSIFEDPVESKCAGFTNLKDEHEALPGYSGSLSPCAGSSMSAKNETNDSIGMPAEYLPQAVGTGAGAVGVQTISRNMNGAAPEFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEEIAQYLLGDTQGPPVSASDEISLMARVDSLCCLIQKDAVPVAKPKPEPNDSDRIGMEASDGSDEEFSSAPTGKTADATNPPAMSRKDSFGELLMNLPRIASLPQFLFKIPEDAEN >PVH48288 pep chromosome:PHallii_v3.1:4:48746445:48750643:1 gene:PAHAL_4G299400 transcript:PVH48288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGSEPSKKKDNKSGMSTAGERLKASNFPANILKIGTWEYVSRYEGDLVAKCYFAKHKLVWEVLEAGLKSKIEIQWSDITALKATCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLIQCDQRLYQLSHQPEIILDSPLFEPRCSIFEDPVESKCAGFTNLKDEHEALPGYSGSLSPCAGSSMSAKNETNDSIGMPAEYLPQAVGTGAGAVGVQTISRNMNGAAPEFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEEIAQYLLGDTQGPPVSASDEISLMARVDSLCCLIQKDAVPVAKPKPEPNDSDRIGMEASDGSDEEFSSAPTGKTADATNPPAMSRKDSFGELLMNLPRIASLPQFLFKIPEDAEN >PVH48287 pep chromosome:PHallii_v3.1:4:48745176:48750580:1 gene:PAHAL_4G299400 transcript:PVH48287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLPSSGKRHAEPAEAAMAPARRAAAAAVKLEVEELGADDRGPLSKRAKAAQPTPPTPPQQQQDMYQNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGSEPSKKKDNKSGMSTAGERLKASNFPANILKIGTWEYVSRYEGDLVAKCYFAKHKLVWEVLEAGLKSKIEIQWSDITALKATCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLIQCDQRLYQLSHQPEIILDSPLFEPRCSIFEDPVESKCAGFTNLKDEHEALPGYSGSLSPCAGSSMSAKNETNDSIGMPAEYLPQAVGTGTNTLHLYVGQACTCSGAGAVGVQTISRNMNGAAPEFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEEIAQYLLGDTQGPPVSASDEISLMARVDSLCCLIQKDAVPVAKPKPEPNDSDRIGMEASDGSDEEFSSAPTGKTADATNPPAMSRKDSFGELLMNLPRIASLPQFLFKIPEDAEN >PAN23762 pep chromosome:PHallii_v3.1:4:8851805:8855621:-1 gene:PAHAL_4G114900 transcript:PAN23762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGAGGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIFAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPTAAERHDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITLGGNNFIGGVEGSSLIPWLKNLSSSSSIASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRIKTDWENPSVQPPWAVSNYASLPNSQPPSPGHQVAPDPAWLAGFQISSAGPSSPTYSLVAPNPFGIFKETIASTSRMCTPGQSGTCSPVMGGVPIHHDVNMVDGAPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDEHELELTLGSSKTRADPS >PVH47726 pep chromosome:PHallii_v3.1:4:11123507:11124616:-1 gene:PAHAL_4G130300 transcript:PVH47726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRPPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVDSFYGA >PVH48530 pep chromosome:PHallii_v3.1:4:52206300:52208380:1 gene:PAHAL_4G350700 transcript:PVH48530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDRRETQHSLRVYCYRRLHATSLLPQRERLRASDQSLAHAASRNPHSLSLRQNPPSPSTKIPPPLPSGSLAPTPVPNSSHGGFQICSIPLLKPPNQIYREGSNSSCHGHKFAHPKALCLRPKPSAAAHSLEEQEEEQLVSVKEGKELPLVRRQESSASATAIQVRLQGKEIKEEDYICAAVQQGLKAGTFGSCIRGETPDEILSRIAYG >PAN22393 pep chromosome:PHallii_v3.1:4:1081265:1084052:1 gene:PAHAL_4G016700 transcript:PAN22393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRLAPHLTSPRRRLRPLNPSPAMPPAADAAHLATAADPDEDLCSSAAAAAAGEVAPAPPLPPPPVSAEERIERAWAHWRRLGSPRLMVAPMVDNSELPFRILCRRYGADAAYTPMLHSRIFSENEKYRSMEFTTCKEDRPLFVQFCANDPDILLQAAKMVEPHCDYVDINFGCPQRIARRGNYGAFLMDNLPLVKSLVENLSANLHVPVSVKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRVPVLANGNIRHMEDVKNCLEHTGADGVLSAETLLENPALFAGFRTKEWKEDCGENEDSGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPEVREELNKQNILTFEWLHDMVMRLKKLGGRVPLYKKESALQTTADGLAASNA >PAN25369 pep chromosome:PHallii_v3.1:4:48515058:48516573:-1 gene:PAHAL_4G296100 transcript:PAN25369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPSSSSASLAPEAAAAAQQGLPSGAAAWPSDGGVAAQHQLAISNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPSTPSSRFLFVAASPLPQRGLPAAVLRSLPVTVYGAAAAAASPGSPGKERLECAVCLSEVADGEKLRTLPKCAHGFHVECIDMWFHSHDTCPLCRAPVGADLGALPREDHAAAPLEFPVFPTNVLFWGTHDEVTNAGAPPQAALPPPIAGTSATSSSASGRRKENLVIDIPARAVAINTPPMNSPLPASRMPGSADDMRSPVSARLRSLCRLLSRGKQAMVGTSYSPRAAAAGDIEQGLAGPEAARPPKTPPSAN >PVH48394 pep chromosome:PHallii_v3.1:4:50407245:50408195:-1 gene:PAHAL_4G322700 transcript:PVH48394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVMDSWTKNKLGVHREYDHGPSILAGPARPRDGGATDEPPTVSRFMSSMPKVDLTATLDLLHLIIAPADGWFVHQNPHQIPSVLDSESTHARVQQFQENDYCSKSCPLDQELAQKAPWYRSAEIRHLHT >PVH48065 pep chromosome:PHallii_v3.1:4:42508142:42509071:1 gene:PAHAL_4G234100 transcript:PVH48065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGRFHTNALHWEGFPRLLWESLSLFHYMEPPQYDGVEYREEGVNRCRVKMTILQHPFRSSWHPIEVEVVGYHLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETVRIITRFMDVQHHYQILLRHGMNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAASDETILHCEDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIMPEAEEEDPEEIEGVSEIDSEHGDPVLSPYHPPSGSQSSIGNFDDF >PVH47660 pep chromosome:PHallii_v3.1:4:8052054:8052689:1 gene:PAHAL_4G109500 transcript:PVH47660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN24876 pep chromosome:PHallii_v3.1:4:45583554:45584499:1 gene:PAHAL_4G258800 transcript:PAN24876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAPAHRNDDARRREFLVPIIPTHRHSHSRHSGRASSGYVDIYSDGGRVAVLREPVAGGHCERHEPEAARIELARGCAVRCVSADGGSLMALEAETVLRRAFPGASGDEWEVSVGRRPALLFLAAERERPVDPVIWGDEERLRRELVAWAKAVVEFMVAAGKNTSSSPPSPSMRRRRV >PAN25484 pep chromosome:PHallii_v3.1:4:49275337:49278597:1 gene:PAHAL_4G305500 transcript:PAN25484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G25265) UniProtKB/Swiss-Prot;Acc:Q8W4E6] MAAPCGRGALPLVLVAIAAALLTYNALLSSRSGLLPLTSTSFPTATAASSSSRRFGAAGSAGRPRPFHTAVTASGSAYNTWQCRVMYHWFKAARRAPGGDEMGGFTRILHSGKPDEFVGEIPTFVADPLAGGDQGYIVLNRPWAFVQWLQKADIKEEYILMAEPDHIIVKPIPNLSRDGRAAAFPFFYIEPEKNEKVLRKFFPEDKGPITKIDPIGNSPVIIEKESLARIAPTWMNVSIAMKKDPEADKTFGWVLEMYGYAVASAIHGVGNILRKDFMIQPPWDLEVSDAFIIHYTYGCDYDKEGKLTYAKIGAWRFDKRQYTDKPPPRNLPLPPDGLPNRIPQSVVTLVKMVNEATASIPNWDSYAAG >PAN26083 pep chromosome:PHallii_v3.1:4:51964914:51966667:-1 gene:PAHAL_4G346200 transcript:PAN26083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGMRRWSPGARAFSAAPAARATVPVAHLAPLPASLPESGYTVTPPVQPWPRRLTARSLSRLLLLRAPTPDAVVLALRHALFHAAPPLPPSLPVFAAALSRLARAAASDADAAAQLLPPVLSLLRAARLPAFSDRPFLPLLRALRPLPSLRLFLSLPSFNSHPSARSFNALLHSLVSARRLRLAAALFRSARTKLYITPNLVSCNILLKGLVGVGDLDAALKVLDEMTGWGIVPDVVTYTTVLTAYCGKGDLEGAQKLIDDIIASGCRPDATMYTVLIDGYCMCGKLQDAARIMDEMEAAGVQPNEVTYSVVIEACCKEGKSAEARDLMREMLGAGYVPDTSLCAKVIDVLCQDGKAGEAYEMWRWMAKKNVPPDNAVTSTLIYWLCKNGMVQEARKMFNELEKGFMRSLLTYNSLILGLCEKGELQEAGRVWDDMVERRYEPNAMTYEALIKGFCKMGKSNEGAALFKEMVAKGCTPSKFIYQVLVDSLSERSHDDTFCTIIEAAVLSGRDFLDAESWEIFIKKVLDANESWTKHLDLVLTM >PAN23637 pep chromosome:PHallii_v3.1:4:7736653:7738619:1 gene:PAHAL_4G106600 transcript:PAN23637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRQPQVHAKATSQDRPSEHYDAMSTELLSRPRGSRRAHLSVLQRSHPAKLNMAMEAAVVAASPALGASLHSSSTVLKALLLMLMLVYVVQTLVPRRKSTCTAPLPPGPTPWPVVGNLPEMLLSGKPAFRWIHQVMEKTGTDIACVKLGGVHVIPITGPNIAREVLKRQDANFASRPLTLASRTFSRGYTDAAMSPYGEQWSKMRRVLASEIVCPSRHKWLHDKRAEEADNLTRYVYNLAGAGSGGAVDVRHVARHYCGNVVRRLVFGRRYFGEPRPDGGPGPLEVQHVDAVFASLGLLYSFRVSDYLPWLLGLDLDGHEKMVREANEMVTRLPDAFIDERWTQWKSGERQELEDLLDVLITLEDAEGKPLLTIEEVKAQSQDIMFAAMDNPSNAVEWALAEMVNGPEMLKKAVEEIDGVVGRDRQVQESDIPRLRYLKACIREAFRLHPVAPFNVPHVALADATVASYHVPKGSHVILSRIGLGRNPAVWDDPHRFNPDRHLACNPMEDVTLAENDLRFISFSTGRRGCIAASLGTAMSVMLFGRLLQGFSWSKPAGMVAVDLSESRHDIFMAKPLVLHAEPRLPAHLYSAISM >PVH48332 pep chromosome:PHallii_v3.1:4:49535517:49539412:-1 gene:PAHAL_4G310100 transcript:PVH48332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSFAGEMKRHCQRALKPQITVPVLRSTGWLPPFFRCKHCPTTSSSRRPSPGDGRWMELSASPPPPTPARQHAGDGEVKGVSLNSHAPHAVPSDCSFPGLPRPLPAAGCRPRCASLCVRPCLSCVRTGCGKQLTMVGRAGRPRLPLNSPRPAI >PAN25846 pep chromosome:PHallii_v3.1:4:50772891:50774946:1 gene:PAHAL_4G329600 transcript:PAN25846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATQPSSWPDLQPELLGLVPGRLPSLADRVRQRAVCQVEVSFSSLGQHSRTSWFKVFEADMTANSCHKWRRVSSLAGQALFVGTYSKSLPATECGLPQEDCIYFTCDWARAYPAPDPLRDSGVFNMRNGTITPLLPETTVVRPVCQGCPTWFFPSDAM >PAN23203 pep chromosome:PHallii_v3.1:4:5017964:5022983:1 gene:PAHAL_4G075000 transcript:PAN23203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDAGRAPMPADAQSLVESFCGITSAAPEEAAFFLESHNWALESAVRSYYDSADGDADAGAGAEAGGADPAPPPPPPPANRGDEDSEDEDYVGGGGGGEDEDDEDYIGDDDGDDEDAALVSAAAAAEERRRPSKRLKRSHDAPGGSGSGSRAGGRANGRGNVKTLSDLGGGKRGAGSDEDSGEDDEWAPPPEYYTGGEKSGMVVRDRSKHKNNTDEVFKQAKRKGAKQGHFEPHRRSSSRNFTGTGRLLTGETVQHDAPQPPEEIVHNIYFWSNGFTVNDGPLRSFDDPANASFLESIKNSDCPTELEPADGKSKVNVNLVRKEEEFTEPVKRAAPFQGERRTLVAPSDNNTSSAAASSTATAPRTITVDDSLPSTSLQIRFADGSRLVARFNTSHTISDVRAFIDATRPEASEYTLQAGFPPKPLEDATKTIEEAGVANSVIIQSV >PAN25893 pep chromosome:PHallii_v3.1:4:51018226:51024208:1 gene:PAHAL_4G332300 transcript:PAN25893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEATSEMEEMEAPAVSTVAVAISGSRSSRHALKWALDKFVPEGRVLFRILHVRPAITMVPTPMGNFIPISQVREDVASAYRKEAEWQASNMLLPFKKMCAQKKVEAEAVLLESDDVAAAISEEIGKFNISKLVLGSSSKNIFRRKLKGSKTATKISESIPSFCTAYVISKGKLSFVRSATSDVVETPQSISSSTVSSPSSRSLSSCAPSEWGDTYGTANVSFHQPSLPLQRDQALAIINKLSHSHRGAGSGSVASEVSCNDGPGLTSSHSIVSEMQFSSGSSGNSMYKSFHRDNLPDNSDHASVSEISENVHHSNDQDDLRLQIERLRVKLQHLHKLHECAQHESFDTTQELKKLCTQHIEDEIKLKEIQLTEDRVRRLVRKQEMEEHEAGKEAEFKQHSYEIEEKHSYNSQANENKTGQKIAGCCFDKYNRYKWEEIQASTSSFSSALMIGKGSYGTVYKAKFHHTIAAVKVLNSPEGCGSQQLQQELEVLGKIRHPHLLMMLGACPEHGCLVYEYMENGSLDDMLQRRNNTPPLTWFDRFRITWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMGQYLSTMIKNTAPVGTLCYIDPEYQRTGVLSMKSDVYALGIVILQLLTARSPMGLAHVVETALEDGCFIDILDASAGQWPLNETQELAALALKCSEMRRKDRPDLNEHVLPTLERLKDVATKARESVLQGHTAPPSHFICPILQEVMLDPYVASDGYTYDRKAIELWLSSNDTSPMTNLRLPNKSLIPNHSLRSAIMDWRSKSK >PAN24082 pep chromosome:PHallii_v3.1:4:33713802:33740622:1 gene:PAHAL_4G200200 transcript:PAN24082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIQNSPNTSSSLLASPMASAAAYVGAGVGVALTGMHDLRLRPRLLRALLDDCLPLPVPGRGPPRRPADLAYAADAIRAHDLLAEHSAGPADPGVLEEWGAAVDAWVDRVLELIDSGKEYSCWGGTSFLGLTIQECCDRRFVKSYSDWFEKVLKNMKEPSCNKMVNMFTCTTMSDLFLRLATFPNLKDEAISSAQKVVNPLLRLLDEDDPVAEKAVDLLGLLIKLFPSSVYRHFNKIESSITTKIVSGQCHLQHLKKLASTLALLPSVRVSQNTTSLIIQKLLIVVNNMLNETFVGLEEEHTDHELKMLLTPPGSKLVPPLGGQTTCGDKHIYSTKKFHSYAATTISALVHCCSVMLTSSYPVQVINIPVRALVTLTRKVLLIDGSFLLQSNTTLCQELICSEIPTLHSTFLDLLASTIKGMRSSLTPYAGIIVMLIAEYFKKAKLPPLRRKLYTVVRLLLSSMGVGMAVQLFQVVVSNIFADLDDNAGNSLFSLRTYPIEAKIWSSSNSCYNRRQTQQQQSSNAVSPKPTYNRQTLTPVCVKIAALRTLELILNLGGLFRGSWRSEMDQLLIDVATKACYKAVIYEQSSPWIEDPSISDFQLASFRALLASFLSNHHERPLYFEGLELFSRGKLETGTELAKFCSHALLALDVRVHPRQLHPQYISKGVARDDLGFASQPSCSVHKRQATNDLEDECIYHQVSIAIQPVDPLTKGSAVENCTPVELSGDLSLQNDAQQPHACTVKHPPEITEYFLTEEVQAVKMTDGSYGSVDIKVGFYQVMLQAGRMCQNKPQEPSLTVTLGGSEWDSLDPLLDIGDANADPKFFPRHG >PAN24333 pep chromosome:PHallii_v3.1:4:27242968:27251993:-1 gene:PAHAL_4G181700 transcript:PAN24333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSMPPPPPRNPNPSSSTTSMPPPPPPNPTSASMPPPPPPKPSPPPTQPEAESQTASSAAEVEGRAGPTPSSSMPPPPPPKPAPTAPQPEVEAGAGAGAGAEGSTGPSPSASDSSAGDAPNPSGASSGDTEMEEAAAPPAEQQRQQRPRAPYVIPEWSAAPDHPFFLEVLKDGSIVDQLDVAKKGAYMFGRIDMCDFVLEHPTVSRFHAVLQFRNDGKVFLYDLGSTHGSFINKSQVKKKLYTEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLWDAKIRQDMLDREASILRAKTQAALAEGISWGMAEDAIEESAEDDADEITWQTYKGQLTDRQEKTRSKIVKRMEKIANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELDNLEETLNDSIRESIGARSGKSNRGSHKANLEEEDDALSDDDEFYDRTKKKSSQKSNEQQSVETADSLLEKKDSITSDIENKKKLLEEEKHKLAQSSTVDLGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQAELDRIVYLLKIADPMGEAAHKRDLKPREARTPASNDNLRPESKKQNKVVKATSAEKPKDSSNETATNKPAKVETDVSKNQENVSKPAFSMPKPQWLGDKRIIEPEENFINEEKADVEEPDNFVDYKDRKAILSNSGSGKELEEAAPGLILRKRKSTDQSASSETNSSSVESEASVADAVALLLKHKRGLQTSEEMENEDEPHASKREGKKSKQKRVLGPARPDFLEAGPDSETWVPPEGQTGDGRTAMNDRLGY >PVH47901 pep chromosome:PHallii_v3.1:4:27242902:27251050:-1 gene:PAHAL_4G181700 transcript:PVH47901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVPYCRAKKGAYMFGRIDMCDFVLEHPTVSRFHAVLQFRNDGKVFLYDLGSTHGSFINKSQVKKKLYTEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLWDAKIRQDMLDREASILRAKTQAALAEGISWGMAEDAIEESAEDDADEITWQTYKGQLTDRQEKTRSKIVKRMEKIANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELDNLEETLNDSIRESIGARSGKSNRGSHKANLEEEDDALSDDDEFYDRTKKKSSQKSNEQQSVETADSLLEKKDSITSDIENKKKLLEEEKHKLAQSSTVDLGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQAELDRIVYLLKIADPMGEAAHKRDLKPREARTPASNDNLRPESKKQNKVVKATSAEKPKDSSNETATNKPAKVETDVSKNQENVSKPAFSMPKPQWLGDKRIIEPEENFINEEKADVEEPDNFVDYKDRKAILSNSGSGKELEEAAPGLILRKRKSTDQSASSETNSSSVESEASVADAVALLLKHKRGLQTSEEMENEDEPHASKREGKKSKQKRVLGPARPDFLEAGPDSETWVPPEGQTGDGRTAMNDRLGY >PVH47846 pep chromosome:PHallii_v3.1:4:21051294:21052296:1 gene:PAHAL_4G163900 transcript:PVH47846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPQLQGRWSCPASCGWRAFHCPYTPFHLGVPSAGGRRWSGVATRGSVDAFWPWFVRRACCSVATLFVTGGRLLARIMYCL >PVH48370 pep chromosome:PHallii_v3.1:4:49968686:49970233:1 gene:PAHAL_4G316600 transcript:PVH48370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFPDGAHVRLRTRIEGAYVYANEDGYGVSMSPHGASLNAVWAVRRLVRRGTSYVLLHGAAYGRYLSVTRDDAPRGHGRVVQRLYDDPRRRDVLWVAVEDGDMTGDVVMRNRRYGPWRRYEHPDETISWMVEAIPPRQDPPELPPVVPPPIVPLRGTMLGRQRHVLPQPVSPRSIQYGRATDQEGFNPLVWSTLWFDGQFVSNLRRDIANALGEHDELNVTLCVRGGSQGRLTPLVTDLPGDEQAMEIVVFTTGSQEQIHYP >PVH47338 pep chromosome:PHallii_v3.1:4:2527928:2530657:1 gene:PAHAL_4G040700 transcript:PVH47338 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MRTFVIGVVPIQGHTSLPWPSGQPVLASRSSRELPPPSSPCFFSNDDQTITASEREREREEEEEEEGTTATMRGWREEVVALSLRGYGYGNEEDDRPEKPRRYGVTEMRSPFYSFRPANQALQEILDSLGPFVDGLKFSGGCHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSSFKQYVAECKALGFDTIELNAGSLKLPEDALLRLVRLIKSSGLRAKPLFSVKFDSSDIPASGGRAFGAYIAPVKERSSERIEDVDLLIRRAERCLEAGADMIMIDADDVCQRADSLRADIVAKIVGRLGLEKTMFEASNPSTSEWFVKRYGPRVNLFVDHSDVMNLERLRGFNMCRSDPSSRFASPFFLL >PVH47842 pep chromosome:PHallii_v3.1:4:20572538:20574500:1 gene:PAHAL_4G163300 transcript:PVH47842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEASSKPEAAAAGGDGSRGDDLQGACRRGRGGDRAEERGGGAAAKQDGRVLPVDDDAGVVVVVEPCVIAVDDSSVDRALVTALLRRSKYRVTAVDSGKRALEILGSGSEPNVSMIITDYWMPEMTGYDLLRKVKESSELKQIPVVIMSSENVPTRISRCMEEGAEDFLLKPVRPSDISRITTRMLH >PAN23490 pep chromosome:PHallii_v3.1:4:6735011:6741838:1 gene:PAHAL_4G095800 transcript:PAN23490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNREEALKAREIAIKKLENKDFVGAKRIALKAQRIFPELENIPQLLTVCEVHCAAEAKINGMLDLYGILQVEGTADEMTIKKQYRKLVLSLHPDKNSYVGAESAFKFVAEAYSTLSDRAKRYAYDIKWRVASKAAPKQATQPTQAAEPTWATKPKQSAKPKQAAKPKQAAKPKSATQPNLSEEPKHATQPMQATEPKQTNQPKQATEPKQTNQPKQATEPMKTTEPINKNDATRSCAAGYGPSDSPPTDGETFWTVCIHCKTKYKYYRNILNRQIRCQNCRQNFFAYNISKEDVPPVFSSKAANGAGQQGCVPTQQGCSTNLSSRENQQARPVMDGAQYGEQMKRGSKPSGEGMVNHTETSEKGGVEFSARNPPKASTPNGNGMASDTTVPDFGDGQNLGSGVDTSAEPGAAGIPSPRRSSRRKAFVDANNILNSPKKKSRTIKDWFSNAAPSSNKVFHDTIAHADGPASEPHVSSKANNQEKGCTVNESNQRNCKEAHDTTTEKPCNAGSFMYPDPEFYNFDMRRDVNLFAVDQIWALYDDHDAMPRYYARIRRLDTTNFRVQFTWLEHDAVNDEEYEWTDNNLPVACGNYTLGNTEVSEDPLMFSHIVSSWAKGKKRGSYVIHPSKGEVWALYKGWSMQWTSDADNHRSYEYEVVEVLSNFTMEAGITVIPLVKVEGFVSLFAKAKDKSSFVIPSSELLRFSHSIPFFRTRGNEKVGVPSGFLELDAVSLPSNLDVAFPPVTLDSCVPINSTMDSGFVDLTGDTTPGPENEQSAQKGNKRNGERQRNHSLETPAQRPNADHVSVSGDSTQQGCPSPTIFTYPETVFYNFEEGRSYNKFERGQIWALYSDFDKLPKYYGWVTKVDLDPFRVHLTWLEASPQSEQENMWLEHDVPVSCGTFKIRNWRIKYNTTDPFSHVVDTQVGSKRHFEIHPQVGEIWAIYYNWLPGWVPSSKDACEYAIGEITERTEASTKVLFLTQVDGYRTIFKPDNERSILDVPTKDDMRFSHRIPSFHLTKEKGGKLCGFYELDPASIPDPFLSGGTL >PAN23491 pep chromosome:PHallii_v3.1:4:6735011:6741838:1 gene:PAHAL_4G095800 transcript:PAN23491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNREEALKAREIAIKKLENKDFVGAKRIALKAQRIFPELENIPQLLTVCEVHCAAEAKINGMLDLYGILQVEGTADEMTIKKQYRKLVLSLHPDKNSYVGAESAFKFVAEAYSTLSDRAKRYAYDIKWRVASKAAPKQATQPTQAAEPTWATKPKQSAKPKQAAKPKQAAKPKSATQPNLSEEPKHATQPMQATEPKQTNQPKQATEPKQTNQPKQATEPMKTTEPINKNDATRSCAAGYGPSDSPPTDGETFWTVCIHCKTKYKYYRNILNRQIRCQNCRQNFFAYNISKEDVPPVFSSKAANGAGQQGCVPTQQGCSTNLSSRENQQARPVMDGAQYGEQMKRGSKPSGEGMVNHTETSEKGGVEFSARNPPKASTPNGNGMASDTTVPDFGDGQNLGSGVDTSAEPGAAGIPSPRRSSRRKAFVDANNILNSPKKKSRTIKDWFSNAAPSSNKVFHDTIAHADGPASEPHVSSKANNQEKGCTVNESNQRNCKEAHDTTTEKPCNAGSFMYPDPEFYNFDMRRDVNLFAVDQIWALYDDHDAMPRYYARIRRLDTTNFRVQFTWLEHDAVNDEEYEWTDNNLPVACGNYTLGNTEVSEDPLMFSHIVSSWAKGKKRGSYVIHPSKGEVWALYKGWSMQWTSDADNHRSYEYEVVEVLSNFTMEAGITVIPLVKVEGFVSLFAKAKDKSSFVIPSSELLRFSHSIPFFRTRGNEKVGVPSGFLELDAVSLPSNLDVAFPPVTLDSCVPINSTMDSGFVDLTGDTTPGPENEQSAQKGNKRNGERQRNHSLETPAQRPNADHVSVSGDSTQQGCPSPTIFTYPETVFYNFEEGRSYNKFERGQIWALYSDFDKLPKYYGWVTKVDLDPFRVHLTWLEASPQSEQENMWLEHDVPVSCGTFKIRNWRIKYNTTDPFSHVVDTQVGSKRHFEIHPQVGEIWAIYYNWLPGWVPSSKDACEYAIGEITERTEASTKVLFLTQVDGYRTIFKPDNERSILDVPTKDDMRFSHRIPSFHLTKEKGGKLCGFYELDPASIPDPFLSGGTL >PAN24851 pep chromosome:PHallii_v3.1:4:45447925:45452336:1 gene:PAHAL_4G256700 transcript:PAN24851 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G22460) UniProtKB/Swiss-Prot;Acc:B7ZWR7] MRAGISDMVAVARILNATLIIPELDKKSFWHDKSNFSDVFDEEHFINSLANDVKVEKKLPKELVKAPKSVRYFKSWSGVDYYQDEISPLWDHRQVIRAAKSDSRLANNYLPTDIQKLRCRAFFQALRFAPPIEALGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTTYWKVKDIDPLEQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELRPFSQYAAQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFVGHRKTISPDRKALVRLFDKVDSGLLKEGKRLSERILDIHRKRQGSPRKRKGPISGTKGKDRFRSEEAFYENPLPDCLCQPGSPDSDDSLVSI >PAN24852 pep chromosome:PHallii_v3.1:4:45447925:45452336:1 gene:PAHAL_4G256700 transcript:PAN24852 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G22460) UniProtKB/Swiss-Prot;Acc:B7ZWR7] MQLRRKVRPAGAAARRAALRWWLLSLAATGAAVTAAAALLAVALHFSGSLAASASSSSGAPYRLSQPREAEELRWEREVAPPQLASPQSRKLDGAAERSLWLPAPSRRFVPCVAPSPEFKSPVASRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWHDKSNFSDVFDEEHFINSLANDVKVEKKLPKELVKAPKSVRYFKSWSGVDYYQDEISPLWDHRQVIRAAKSDSRLANNYLPTDIQKLRCRAFFQALRFAPPIEALGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTTYWKVKDIDPLEQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELRPFSQYAAQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFVGHRKTISPDRKALVRLFDKVDSGLLKEGKRLSERILDIHRKRQGSPRKRKGPISGTKGKDRFRSEEAFYENPLPDCLCQPGSPDSDDSLVSI >PAN24028 pep chromosome:PHallii_v3.1:4:12904245:12919997:1 gene:PAHAL_4G138000 transcript:PAN24028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRHPTPHAMKCHSVAALWSPSPPSHHVTAAAASPAALFTGAADGTVLHWPLAPASASASPRPYSLLCAHAAAIAAICPLPSPASLLAACAAGVLSLFSASAPLRCLRRRSLPPWAGSPSLIAPLPPTSTSSNPRVAILCHAPDDGVGQRHVSALVVVDARTLIVLHTAFQGTLSVVTPRAIAVCGCGDEAVSVVLADAEGRAQVVPVAKGVAVEGESPRRLSVSSMSSATSAEMADGRVEAVALSHDGKVVALVLKARCLLKCVAEGVVLGEMSLLGTPLCKGDKEEENGCSVGVFFLHGEGWNACAPDDGIVVRSLVLWSSSGAAAVYRVVVGTSSFESEAVCEIPDILSMQGEGSEIKFCQLDQRLVRVESFSYKVAGSLLWKPKISIWSLDQLELGIAENKLPSSKLLGEGGLQGEEFRPEPSHSRYDINNGVERYGRYSQVCSSDSNNLERYRRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNVSPATAKFGGGGIYPHISERFFLGHKGAILCLAAHYMHARSDSRNFHRALISGSSDCTIHVWDLDAGTLLSVMHHHVASVKQIILPPAWTYHPWDDCFLSVGEDGLVALVSLETMRVERMFPGHPGYASMVAWEGVKGYIACLCRNLHTCNDAGSGLYIWDLKTGARERIISGTASQSAFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSHLWSHAGKKGHDISSVSTNHNNGSIVSVTVSASTTYDFKGKTPAPDEACVFHGGNSVYSSEKAVSSHSVHKRIKCPIKCYCPYPGIASVRFDLTAIMSTQGITNSNTDKQSRGHLHSENAKETLQPGMLDCPSGVHEMDSPSRESLEGRLLRFSLCFLHLWDVDCDLDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSVPPPLRMHKNKAPDALLSSSDNMNDFISAVQSASISSYGELKADSGNVDKDDSDTANMILWLESFENQEWLSWIGGTSQDAMASNIIVAAALVVWYPSIVKAKLACLVVSQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGAEITHFMSDILFQIECLSTAPSSSVIHKTAVAVTMQEALVGTLLPSLAMADVTGFFSVIESQIWATSSDSPVHVASLKTLTRVVRGAPKALAPYLEKAISYILHTMDPSNLIMRKACIISSMMALREMARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLTGSSNTMATILISALSFSPDGEGLVAFSENGLMIRWWSLGTGWWERLSRSLTPIQCTKLIYVPPWEGFSPNSSRLSIISSILGHDKHGSSEKKTKELDEADNLKLLLHNLDLSYRLQWVGGKAIKLTRHGQELGTFQL >PAN23832 pep chromosome:PHallii_v3.1:4:9664727:9665513:-1 gene:PAHAL_4G121800 transcript:PAN23832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHSGRTTVTSPEHHPPTKATVIFSFVSAGFTGIAVLAVIVLFCQYRVRGRAPVTAAVAAGNSSGERRAGVDIAKLPEFAYTQSARRDGGGGAGDGEQCSVCLGAVEAGEMVRRLPLCKHLYHVECIDMWLASHTTCPLCRVDVEPPGEEDQAAAPAEPQQELPV >PVH47994 pep chromosome:PHallii_v3.1:4:37764418:37765387:-1 gene:PAHAL_4G211600 transcript:PVH47994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQIIEAQEGRAISVVDGALGSDATPPVITEISATGKRGIPVLIAPLQSQQRHTRARGATAQEMSTGFQGFLLLEKNDDDDPRKKWFKKMSGWLMVLANLVVSVTYQAGLNPPGGFWQDNSDGHHAGEPVLRDMAALLLTMLIDILSLVGAYVAGTSRFFASNIYVLVIACVAFVGVVYIGDLVAVICKFFKRGMRSCMSKLLQSKWFPVPAGLVKNLQPREERIFQTQRTARRSNQHGGCSACCACASAPRAES >PAN22432 pep chromosome:PHallii_v3.1:4:1271263:1271923:1 gene:PAHAL_4G019300 transcript:PAN22432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGQLVLVAGLAFLVLSVQDRVKHGPAEAAAGLLITAAAAAPAPPPEVINPPVQPLSEEAEAGQRPPIPPSGPSDQFNGKVNGEKPSWGRTDGRRLGAP >PVH47489 pep chromosome:PHallii_v3.1:4:4981999:4982511:-1 gene:PAHAL_4G074300 transcript:PVH47489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVQRDFCQLVRWAAAAARAEREAAGLPPHPENPGYRGVRRHYGKWGAEIRDPLDSERAWLGTYATAVEAAYAYDIAARVVQGNKARPNFSMAPPVPGDDEDAADDIVHAYFAEVRHARLSRAERRAQAAAEAAAARAAAASAPAPGATEGEHLPPRTGDASNAGTDHE >PVH48321 pep chromosome:PHallii_v3.1:4:49369029:49369912:-1 gene:PAHAL_4G307700 transcript:PVH48321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLELDDDYFGDQFGAKADTYARFSYYPPCPRPEFVFSLKPHSDGSFITLLMVDSSIGGLQVLRDGVWYGVPTKLHTLLINLGDQMEIMSNGIFKSPVHRVVTNAEKERLSVALFYSVDPEREIQPAGKLVDENHPALYKKVKIKEYIAGIYEHFSQGKMVIETAKI >PVH47311 pep chromosome:PHallii_v3.1:4:2118242:2122149:-1 gene:PAHAL_4G033700 transcript:PVH47311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLNLPCSSSSISKLLVPFFGLIFVLLLSSASHVSSCTEQERSSLIDFRDGLSPEGNGGLNVSWINNTDCCQWEGIFCSTDGVVTDVLLGSKGLKGSIPPSLSNLTGLLRLNLSRNSLEGSLPAELLFSNSIIVLDVSFNYLSGPLQERWQSSNPGLPLQVLNISSNLFKGQFPSTTLEVMKNLVALNASNNSFTGSMPSSICNYAPSIAMLDLCLNKFSGTISPEFANCSMLKVLKAGHNNLTGALPHELFNATSLEQLSFPNNDLQGILDASNLVRLNNLIILDVGSNGLRGNIPDSIGQLSRLEELHLDNNLMSGELPSALGNCTGLRYITIRNNSFKGDLSRVNFSRLDLRTADFSMNNFTGTVPESIYACSNLIALRLAFNKFHGQFSPSIANLRSLSFLSITNNSFTNITDALQKLKSCSTLTSLLIGTNFNGETIPQDEAIDGFGNLQVLTIDACPLVGKIPLWLSKLTKLEMLDLSNNQLTGLIPSWINNLQLLFYLDISNNSLTGDIPIALMNMPMIQYQKNAVRLDPKFLELPVYWTPTRQYRMLNAFPILLNIGHNRFTGSIPPEIGQLKMLDVLNFSSNNLSGEIPWQISNLTSLQVLDLSNNQLTGEIPQTLSDLHFLSIFNVSNNELEGPVPTGWQFDTFANSSYSGNSKLCGHVLSIPCDSTRTHTAPMKRRNKKTIFALGLGVFFGGLAILLLLARLLISIRTTKSANRNKSSNNRDIEATSFNSVSEHLCDMIKGSVLVMVPRGKGESNNLTFSDILKATNNFDQQNIIGCGGNGLVYRAELPCGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHENLVPLWGYCIQGSSRLLIYSFMENGSLDDWLHNKDDANSFLDWPTRLKIAQGAGRGLSYIHNTCKPHIVHRDVKSSNILLDREFNAYVADFGLARLILPYNTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVQVLIKSKELVQWVREMRCQGKDIEVLDPALRGRGHDEQMLNVLEVACKCINHNPCLRPTIQEVVSCLDRVNVDIQVQT >PVH47513 pep chromosome:PHallii_v3.1:4:5299775:5304228:-1 gene:PAHAL_4G077700 transcript:PVH47513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMALPEWATTVPGSRGDAAAWHQITSPVAVPLLRLAVSLCLAMSVMLFAEKVYMATVVLAARLLGRRPERRYRCEPIRDSDDDLEVGSAAYPMVLVQIPMYNEREVYQLSIGAACGLAWPADRIIVQVLDDSTDPVVKALVRAECERWASKGVDVRYEVRDGGRRGYKAGALREGMRRAYARGCDLVAIFDADFQPEPDFLRRAVPFLLHNPDLALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLMVKNELPSTLKAYRYQQHRWSCGPANLFRKMLMEIVRNKKVTLWKKIHVIYNFFLVRKIIAHIVTFVFYCIVIPATVLVPEVEIPKWGSVYIPTIITLLNAVGTPRSVHLIVFWVLFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALRMKMPGKGSNKPCMRIGDRLHILELGVAAYLFFCGCYDVTFGNNHYYIFLFLQSIAFFVVGVGYVGTFVPYS >PAN22183 pep chromosome:PHallii_v3.1:4:131717:135905:1 gene:PAHAL_4G002400 transcript:PAN22183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDFFTLTEMKDGISTPARIAELISEIQKLKDAAQINTPDMIRQCSTAANTLASTKNEECLQHFVHLNGVGFLNHWLQDAQNCGGDVSTSAEDLIVAILTALECLPINNEQSTSSGVISTVNHLLAHGNAVINQKARALCQKWSTVPKYGTNDKHFDTEEACRTDQKTPEVSLKTEIDKHSVANEVGNTVDESKPEVMTCSDAPLSDPSLTNDNTDATKQPPALTSPNSSNGNTTLGDAKNLVPSPTSACHGGLEDGQSITKETSASNDVDLSVSGILRSNSINAKSSSGKDAPLDATPAAMSVEPNKPDKLFVNSKMDLEDNIVSTSSCIRESEPFAAGRFHLEKDTAATLNHLASVTRDLQGLTEESTGKEEGPTSSSSTDDTGMGSEYILKRCMMSFGDSSKATDIKSTALKGEKSTRLTEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRNADSPDLEARRQPVPAVDELNDNKSSTTGADSGSSSSLKEDGSGITDGSGPLSRKHTRGVELGNLDLNENQCPEEADCNPKSILSNSVNLSMPIAVAASRGSSVFPARLHFEGELGWKGSAATSAFRPAPPRRTPDAEKSLSASSHKTSNVLFDLNVADSDSATSGEPLSTAILPASSDLASKGASTAVGVSRGLKLDLNCSCGDEEDAITASNVAPMWNRQQFNGNWSQPSSSSSSRQPAVRNFDLNDNMSITDGSGRGIDGSSVKTPLRDSSDHSAVTIMGKRILVGQKEHGQQYQHNFLGLSAESRVPARSIQSFAHTSDYSGVSYPSQPAMPFPPAFFATGGVPYMVDAKGAPVIPPLSGLSLGISHPSFSTRATPPSSNELSYYHPSMDFNYGLPSEGARREAGSYWPVSYQGQTIFMDERARTVSQGGSSGLVLKRKEPESGWDMYSRR >PAN23335 pep chromosome:PHallii_v3.1:4:5897208:5900492:1 gene:PAHAL_4G084800 transcript:PAN23335 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGLAGGIVRRVFSKSPCSSASGGGRAHSERGAGDHRRRWSSLRLYLCGEEMNTAPEEEEDETVSVKSFETCVMPPQEEHVPVAVAQPGGVHDADDSTGDPEDQRVPGEESHAVVPTEPDEKEGAAATLIQSAFRGFMARRELQELRMRGEMDGGADEPRSPTSASVATSVVVQVGESLSNLRLSEDSASVQQRGSQKSRPPPPAFRVKEEWDDSTVSSNVSRMRIQSRIEATTRRERALAYAFSQQLRSCGGTKKRSARPDQAEFNVGWSWLERWMATRQAEPAADDCMSRNADTGSAAAAGRRVVVVRRRGDLAVEEKESCGSNDVSVVSFDGSSLGGRSGLSCHKPGRSRLKGARGLPRRKVASSDHRHLARSHKVSKKGHQRQEQAPPHKGQAEADGYDAACQPPTDY >PAN23600 pep chromosome:PHallii_v3.1:4:7454575:7456116:-1 gene:PAHAL_4G103700 transcript:PAN23600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWLPLFRHLLACPVANADAFSSFPASGDCPSSPPPAAALLRLLVSPAPTLPASAPDPDPTAAILFQTLPPFLQSQALSFLASSAGLLDPHLVRALAARVLSGPPGRYGFWARRGARHLLDGLPEKEGVPGVASEEFVDGFHEPPPCLKEAAARARPVLPWLPVDCRSVMGGVAPDRGGGDGLDGIGLETLVLKQDEDLEMQEAGCVLPPQAPPLADLIVQRALDLQKEIVTVESVLVAKRVVKDLQDLCVESRNAAAVLSLVQPWEADDDTLRVLLSNLLLPEDGVQRGGLALVLCSVFLPQLLELQRPPSSVLLSAALDLCKLHPAAALEAVLFPLVLRKGGLNVPQCDVLTRIVKECMHPLHVAAFCHRLLSGEEQDRKPVCMPQHHENVGTHLVWTESLFALFYSILSQDICLTPSTIGELISVTDERASEFSRSLKFGNFMLCLVSKCWHQCKNQRVLLERAAERTNTFLTKAILAKLRPAS >PAN23192 pep chromosome:PHallii_v3.1:4:4944415:4949125:1 gene:PAHAL_4G073900 transcript:PAN23192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPGHRRASAPRQAAAALLLALSCCCCFRGARPAPPRVPAVIVFGDSTVDTGNNNAIGTILKSNFAPYGRDMAGGPRPTGRFCNGRLPPDFISEALGLPPLVPAYLDPAYGIQDFARGVCFASAGTGLDNKTAGVLSVIPLWKEVEYFKEYQRRLRRHAGRARARRIVSDALYVVSIGTNDFLENYFLFVTGRFAEFTVAGFEDFLVAQAERFLAEIHRLGARRVTFAGLSPIGCLPLERTLNALRGGCVEEYNQVARDYNAKLLAMLRRLAASRPALKVAYINVYQNMLDLITDPSTLGLENVEEGCCATGKVEMSYLCNDKSPLTCEDAGKYFFWDSFHPTEKVNQFFAKKTLDLCYEQLF >PAN24592 pep chromosome:PHallii_v3.1:4:43132183:43135992:1 gene:PAHAL_4G237300 transcript:PAN24592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVSAASSCPAKPQEEEEPPVLRRRAEEEGSSGAAAGEQEEEQRVTAVYRKLSEASLCASTTEEETEEDDDDEEEAVAAAKDGIELGPRVSIKEQLDKDKDDESLRRWKEQLLGSVDLSSVGETLEPDVKMTSLSILSTGRPDMVLPLPPEPKSKEPWFTLKEGSAYRLKFTFAVAGNIVSGLRYTNTVWKTGIRVDSTREMLGTFSPQAELYTYLTPEESTPSGIFARGSYSARTKFLDDDRKCYLEMNYTFDIRRDWPSN >PVH47595 pep chromosome:PHallii_v3.1:4:6840980:6849725:-1 gene:PAHAL_4G097100 transcript:PVH47595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVILLAVKKISIALGNEAISQASSRFRNFVAQIAELHGSMTRISRELRFIHGFLCKMDVRNWNDQAYEIWVEETRKLAHGIEDIVDEYLHLVGKSHDIRWTFYITKGFKKPEVFLSLNRIVSLIKEAEASLVHLFQVKERWVPMASAGQSNNSGYIVERSQFLASTSRSISGDLVGIEQNKETLLNWLRNDGMALSTIVLHGMGGLGKTALAANVYKEEREYYDCHAWISVSQTNSPVVLLRKLLVEFFHQEENLPSKIATMDMIGIQEALGEFLKEKKYLIVLDDVWTPEALNYLSGALVQNLKGSRVVITTRIANVAMLASEGRVLTIDCLSEIKSWELFCKKAFQRETNHDCPADLKAVSEKIVTKCKGLPLAIVSVGSLLSVREKNLAEWKRINNKLSWEMTNNPGLDDVRNILYLSFIYLPTYLKSCFLYCTMFPEDYTLHRKVLIRLWIAEGFIEERGESTLEEVAEGYLMELVHRNMLQLLECNSFGRIKSCKMHDIVRELAIDLSQKESFSIAYGYQNHGVLDTDTRRLAIVKCSNDILSSINLPHLRSCKIFDETMPSSRILRSLSDKSKYIAVLELRGLPIDKVLDAVGCLFNLRYFGLRDSKVKFLPKSIEKLSNLVTLDVFNSYIQELPPGIVKLKNLRHLLVNDPSWRAFRSRHGMHIPKGLLNLTNLQTLHAIEAQDQSIKDLGELPQLRTLRVWNIKGTQCERLSVSLLRLQFLYKMHIAMCDENEVLRLNMLNSPLPNLEKLCLRGKLDEGTLFESSLFQTGDQKLRALYLIWSQLREDPLPCISRLHNLTQLNLTRTCNGDKLIFRRGWFPNLKFLLVRDLPNLLQLVIQEGAMESIQTLQLAHLNKLKDVPLGVELLTSLQRLSFLHVTEEFLMLLNRCSRIQHIRWWYSTRDQPLSRKCKTGKMGAPMDHDVSGRSYYLLT >PVH47654 pep chromosome:PHallii_v3.1:4:7878654:7879133:1 gene:PAHAL_4G107900 transcript:PVH47654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFSYLV >PVH47486 pep chromosome:PHallii_v3.1:4:4937743:4938234:-1 gene:PAHAL_4G073800 transcript:PVH47486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQRSCSITPPQIDRRRESPVPPTRRPVTLLLPGRRELARPTTNRRPTMAAAPVRAWLLAALALALACALLSADAAGTPSPQTPAAAQAQAVSSGATKPKCEPGAVNDKACRVGAVHDPENQEEEGFSVTAKAPTGAPDTDSDDDYNDPDVPNDDQLVVVGH >PAN25028 pep chromosome:PHallii_v3.1:4:46423127:46424996:-1 gene:PAHAL_4G269000 transcript:PAN25028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPPTSPSSPGTTTASPRLLHSSGSGEWSAVVRRNVKSSLLLLLVLSTVFVFSVLYSSQGFGSTAAEEVVVLTRRPLVGDPDVSGRPVPGEEEKADEPVVPAENNVARERSSPEEISLPSADSSSAPTATAASPSTAERTGDQSVVGVQVEEGCDMSLGKWVREPKGPVYTNLTCPTLPDFKNCQKYGKDPGHLFWRWQPDGCDLPRFSPERFLAAVRGKRLAFIGDSLARNQMESLLCLLSQAETPTDVHRDALDRFRTWHFPAHDFTLMAMWTEFYAHAVPVLDAGGKPTASFDIHLDRLSANWTSRLPGLDYAIISGGNWFFRVNYLWEGGRRVGCVNCREANLTDLGVTYAVRRVVRAALEAIARCRGCKPGLATFLRTYTPDHFEHGSWFSGGYCNRTRPLEEGEVSSRSIGWELRRAQIEEVARVREAAASTGSRRFGVLDVTKAMMLRADGHPGGHYDKRWVRNASDCLHWCLPGPVDMWNDVLLQRLAQISPAPLVR >PAN22817 pep chromosome:PHallii_v3.1:4:3027065:3029056:1 gene:PAHAL_4G046500 transcript:PAN22817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASNLPPAAAPAYGWLSPRVSFSHDAQGADVMEPAAVSSPAAVAAMAVATPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAAPLPDPEAAAAAPPAPARAEAAMPAPAGPVKPLRAAAVAAADGTADPYVFSPKAPSCSSRWRELLGLKRAAAAQSPSAKPSPSPVAAARTPAARAANSAAARSLKLLLQRNTGRASGASATDLASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHEHDDVPRLSLDSAADPNPPRIRLVRSSHHRHSTSGSTRAGRSPARRRPSPPPPPRCLSVDSPRMNSSGKIVFQGLERSSSSPCSFHAASKSRSRAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKDSTTAKDASAAAAAAAARSRSALGRKAQGWSGELPRSSG >PAN23662 pep chromosome:PHallii_v3.1:4:7915727:7918217:1 gene:PAHAL_4G108400 transcript:PAN23662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFHQEKQRRLLSSSFPSFHKPTTQAASALSLSLRAMELDLESGGGGSRAVALSVDSPTADLGRGDLGGGGPSADRTVSRRHVSLRLLDGGGGESGVAFEVVGRNPVAVRSLDVWTSGVFRRGEKGELRPGDALSLSLKAPAFWAVRRREENGKGEVDAAVLDAVARRESRTRERKEREREREREREKRAAEEEAMEVTEEEKEAAAEGLDIDLASVDPVREFGFLSMGHEFDSYPKGRIRAPKDWNWFLEETKRTPDDEDDEISNRRGRSKGWGQNKKKKDGEGEDEDWTDESEDEKESPARGPGVKRSKYVTRSKGPKKPRQEISKAKGGDDDDEVEEVGEEEDEEDETLGGFVVNDDDDESMEELSDEEDEEEEFDDEEDDD >PAN23130 pep chromosome:PHallii_v3.1:4:4656595:4658650:-1 gene:PAHAL_4G069700 transcript:PAN23130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLVQFVVLLSVFCSKGVATTSLCGKNPHLCMHMLFKEEVSSNRSLMPIKEEASDSSAYIHHHAIYQSNTGYDSVVYGTMATLSVHEFPAIKKGQNILATIKVGNFQRGHKEYTNAVHAGWAIQPSFYGDSKTHFTTKKDDGDWWLYFGHDGQNLRACLVPSAKTQKSSKRKDAKGILLI >PAN22373 pep chromosome:PHallii_v3.1:4:1007417:1009865:-1 gene:PAHAL_4G015500 transcript:PAN22373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLVAIKVFAAISRTLLSSVASLSTDAFVFQLDWWCYGQNTPEPLMNGMELKIAARKSLTISAPRFPTSPRRNQDPHPPPCLQPTTEPRQCRHAARSCSQPCQPLRAPARLCPGAETPRALTPAWSAVPARRPRRRRSLDRSRRRGIPAEPAATPVLSPATIARAHNVPGHIRPLPPPATQFPRLSFSSTPPLRLAAKNSHGFAVRELIGRHLTLEFATTVSLYVPVSESTMPSISSSRGHMDRMHLVSIVRT >PAN24446 pep chromosome:PHallii_v3.1:4:40201233:40205119:-1 gene:PAHAL_4G221300 transcript:PAN24446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRALHLLNPYRSISSTPHLASLGWFDKIKSTFTGKKPEASEADSFTLIKFADTMETARKVGTFKNFVAGRASEATVVNAFEKHSAVLRYLGAIDPTGEKLKNSDKINATKHCNCTIADVEHILAKYTWAKEAQKKMVKLKEEGKPLPKTFNEIQNLMGSTPMDVGQSNLAKSGQISRNALCPCGSKKRYKRCCGAS >PAN22242 pep chromosome:PHallii_v3.1:4:325468:328127:-1 gene:PAHAL_4G005700 transcript:PAN22242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNTNNKPSREGKIPIQPPNVIARLMGVDAIPTPAPKPAAIIQPHRLKPPATAEIKVVSPRSAPFKQARCSSLLSYHSRNGGDSGSRRCLKKTRVPGGSRSRQRRHPQEDLLQKIKQDFQAWQTSKALDDCLATTVAAFGNTPSKDLESRCIQMIAQENLRKQKMARYGFANSKAMENENSLKNVTAFGNNKVVVAAEEKVTTVLRASPCAAASENSGDFEAGKDGHDHSATDEELLRSRTPILLLKPRSDGQGPLFGVPKAKRDGNMARILQEVKEMLQKELKANDTTELNAVTCWGTEATQISRDIAKQTKETVTKDHGKRLFRSESFRGFRSDRKRKEATTKNASPEHVRIVARNILAHRLKSVISITETVSSPNKDDEESMSSCSIISRERVRSLADVSPSGINGLLGEQSFRSECLMKHKDDRASPARALFRSFSAPELGFSLGRLFGDGSVRSATHEASEGAASMTSKNNTPFGFIRGAVSSLRHSFSLRRNLFRRKTHWSKKTSLGLGELHPQMAIGTTPSPPETTFNLFKANLTELPPSPVSKLEVVGHSCRHFFNDLNCTLPEFEAPASELSYRTEITVETACNLDKAYVREILVAAGLYDDGSLDNKGNARVDSMARPICDDIFEEVEDIYYYRGKYCDDGIAMYSDAGGNATDHRMLFDLANEALQSLVQGAKTGSSLRQWVIDSTGVSRGMRLVDDVWQRVQTLRNPQMQEMQTIDSMVAYEIRNSAWAEVLYEDAYVVGRKIERAIFDELIEDLLIEVFI >PAN25633 pep chromosome:PHallii_v3.1:4:49848723:49857823:1 gene:PAHAL_4G314800 transcript:PAN25633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASPAPHPTPPLQAQQPPPPQPPTDPQRALTMNAVRLKAISDRFRGHLSGTAVLPIAEFAHLIYAFARGIDFAVSAGDIPVMASDIPGILRKIYELRKETFMQSSLMVLVISCKNACSKKWFQPADSSDITRIANELSGNFCTSSGQAASDSTVLEVISQIMPRYYPRLKFERLITSIEAKVGYDVLMSDFFIERSLPRDEKISLIVVQKENLDASTCVTSPPHVSFLVNGKGVDKRTNVSMDLGPQFPSDITKMLKYGANIIQAVGYFNANYIIAVAFVNNLASFNAPKLDDYTQPITVYPADSDVLEGPSRVSLSCPISLSRIKTPIKGRLCKHYQCFDYDNYMEMNSRKPNWRCPYCNTPSNFTDLRIDQKMAKILEETGDDVLDVLVFADGSWKAAPAHDEKSDRHRGDGIHQTGDAVETDSSSSDVIDLINGDGDLPMMDWASALEDTKPLLNSQDISVSDYLPDVPMASHTEDLYVGDGNNGGSNMAFTSGQNLLLPSTSGLGSSSFGTLESILPQNVLRPVITDAVSPSLETSTATSGMQPVSQETHCETVQLQPQIGPVHRSEVRSLPIPRNPRREPVRVQALPVPPQNPGSSTRLQPNILNCPPPIPLSTPASSTYQAHQVMNPDCVIAPMSNSGGPLPRTPGPATSLHLQSTTRHVLATVCLLY >PVH48353 pep chromosome:PHallii_v3.1:4:49852960:49858971:1 gene:PAHAL_4G314800 transcript:PVH48353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGPQFPSDITKMLKYGANIIQAVGYFNANYIIAVAFVNNLASFNAPKLDDYTQPITVYPADSDVLEGPSRVSLSCPISLSRIKTPIKGRLCKHYQCFDYDNYMEMNSRKPNWRCPYCNTPSNFTDLRIDQKMAKILEETGDDVLDVLVFADGSWKAAPAHDEKSDRHRGDGIHQTGDAVETDSSSSDVIDLINGDGDLPMMDWASALEDTKPLLNSQDISVSDYLPDVPMASHTEDLYVGDGNNGGSNMAFTSGQNLLLPSTSGLGSSSFGTLESILPQNVLRPVITDAVSPSLETSTATSGMQPVSQETHCETVQLQPQIGPVHRSEVRSLPIPRNPRREPVRVQALPVPPQNPGSSTRLQPNILNCPPPIPLSTPASSTYQAHQVMNPDCVIAPMSNSGGPLPRTPGPATSLHLQSTTRDIRHTSSHLPSRVVGLPAPHLMGARLPPGISGQAGGANTYRPMPMQQNTTHDQIRLTRMTMNQTALAAVGQTTAAALVRPTQSEIRSHILPTVQSQAPRSQSVPRAATLPSLQRAAPHLQPPSVPPAAPSTPQAGSSDRLPPDLPVDENWCPTGQMRGSLTGNAYSSAILRYQPVQARPSSGSGVRRPH >PAN25634 pep chromosome:PHallii_v3.1:4:49848723:49858971:1 gene:PAHAL_4G314800 transcript:PAN25634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASPAPHPTPPLQAQQPPPPQPPTDPQRALTMNAVRLKAISDRFRGHLSGTAVLPIAEFAHLIYAFARGIDFAVSAGDIPVMASDIPGILRKIYELRKETFMQSSLMVLVISCKNACSKKWFQPADSSDITRIANELSGNFCTSSGQAASDSTVLEVISQIMPRYYPRLKFERLITSIEAKVGYDVLMSDFFIERSLPRDEKISLIVVQKENLDASTCVTSPPHVSFLVNGKGVDKRTNVSMDLGPQFPSDITKMLKYGANIIQAVGYFNANYIIAVAFVNNLASFNAPKLDDYTQPITVYPADSDVLEGPSRVSLSCPISLSRIKTPIKGRLCKHYQCFDYDNYMEMNSRKPNWRCPYCNTPSNFTDLRIDQKMAKILEETGDDVLDVLVFADGSWKAAPAHDEKSDRHRGDGIHQTGDAVETDSSSSDVIDLINGDGDLPMMDWASALEDTKPLLNSQDISVSDYLPDVPMASHTEDLYVGDGNNGGSNMAFTSGQNLLLPSTSGLGSSSFGTLESILPQNVLRPVITDAVSPSLETSTATSGMQPVSQETHCETVQLQPQIGPVHRSEVRSLPIPRNPRREPVRVQALPVPPQNPGSSTRLQPNILNCPPPIPLSTPASSTYQAHQVMNPDCVIAPMSNSGGPLPRTPGPATSLHLQSTTRDIRHTSSHLPSRVVGLPAPHLMGARLPPGISGQAGGANTYRPMPMQQNTTHDQIRLTRMTMNQTALAAVGQTTAAALVRPTQSEIRSHILPTVQSQAPRSQSVPRAATLPSLQRAAPHLQPPSVPPAAPSTPQAGSSDRLPPDLPVDENWCPTGQMRGSLTGNAYSSAILRYQPVQARPSSGSGVRRPH >PVH48107 pep chromosome:PHallii_v3.1:4:45035582:45038772:-1 gene:PAHAL_4G252000 transcript:PVH48107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPAAPHPVAPARSTAPDAAHRLSPPAASPSAVSAATIAGPPEAPPPAPVTPNPTPPMEAPPPAPEIPNPTPSMEEEVKPTDGSGSAVDMGLLLSELSIREVLLNCSVRLIDEVAELIKKSGAPSLTSILDDLRQTIALQPGLNSAQRDAAEQIAIQRKILEYQAQYEEPAAAALRQHPPRSAAITKLITGIADKYFLDALELDFSGRHSWPTVSSLSLRVSPEISANKFGSAVIARADHEIIVMYFGTYRPCMHGPGFYLIYDAKLKTLAAAAQVPKCISLFSHRCIGSGAAVLRLPPSSDSGYVLVELLLRLDSNWLPTSNATLLTWSNSSSSQPSWVETEVVLPQQVNGYAFHADTVFPVGTSGLCWADLTKGILVCENLLAQVPEFKFISLPLLSDPLHGRGRPEESRCIAGSSCQSTIIRFAYVEGRTITMWNLNLADMEFGWKRAMCFDMQYTPDGPISHPLLSVVEDDVLYVDINTKKLPGYHMVLYKGLMLACVPRSQRVSDKIVASSSCFL >PVH48509 pep chromosome:PHallii_v3.1:4:51955196:51956854:1 gene:PAHAL_4G345900 transcript:PVH48509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKIGRQEIQRPKTWGQSAAVIFMFQESRLIHSTQKVVNLLNIPVTAIKKGTNEHTKSREAWQNIYCKGGGHIPFFKKVEDICGFLFLPFDSFMFARGPAYMQVISIPRLKSATQGNHANMMGTKMTSIIPASYFQAISCIDHSWRVSSSIFHGELPHQTSSQLNRSGGMHLLGGCHAITCFFQPGTLEHPNIQFDTEDHSKKPAINLE >PAN25495 pep chromosome:PHallii_v3.1:4:49306166:49309231:1 gene:PAHAL_4G306300 transcript:PAN25495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPDGIHVRLRSRVHGTYLHADEDGVGVSLRPRGGGGEVPSATGVWRVHRVLRDGIHYVLLHGAAYGLYLALSTDEAAPPPQGCVGSRVVQRGFDHPELDAVMWRAVPVADGGGYVLLRHVYNGNLRANGRFCFWNNSGVSLDYYFGTRSTMRHWTVEVVPPRPQGAPDLPHPTRKPGGRTGFLFLQHAEPEEDRRRVIRHLRSDEPLNFGHTDVPFFSFYGCSVYNLRTQLGIRANQGNIFSTRMCVQAGLYGRLTPLVNDLPHSDELMNIVMFTDGAPDAEGLVYPDVDAQGP >PAN23668 pep chromosome:PHallii_v3.1:4:7985207:7990611:1 gene:PAHAL_4G109000 transcript:PAN23668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRAASPSPSGAGPDTPQPAPPHVAMACVVASEVATVLAVMRRNVRWAGVRYDGGGADEHLDHPLVVGLKSLRRRAAGWGGGGGVDPLLYLRPFLDVVRSDETGAPITGAALSSLHKMLSLDLVGPAAPNVAGAMGAVVDAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALSNRHVCAIVGTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLPDLNATVVADEQIASWKGQGLGAGELDNGRNDYVCLNSSGDEVGGGSGAAQDKTMTELFGVPCMVEILQFLCSLLNVAEDIEVKPRMNPIDFDEDVPLFALGLINSAIELSASSIHRHPKLLAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLASDGLVAVIQAVAERTNSGPRHHDQTVPEISEYFPFWQLKCESNKDPDQWVKFVNQQKGVKRKLMVGVEIFNRDKKKGFEFLQGAHLLPEKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIQVLHEFARTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQIFVNIDAALVLSYSVILLNTDQHNVRVKKKMTEEDFIRNNRHINGGNDLPREFLLEIYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWKSKRTSAYIACNSCPFLDHDLFSIMAGPTITAISVVFDNVEHEEVLTGCMDGFLSVAKLSAFYHLDDLLNDLVVALCKFTTLLNSTDSDDPVTAFGEDTKARMATEAVFTIATTYGDHIRSGWTSIVDCILKLQKIGILPARLTGNTSDDQDSSDLLPSKLASSSAAAPPVFPVSAPKKSYGLMGRFSQLLYYDPEESRSQPTEEQLVAQRNASETARKCQIGAIFTESKFLQADSLSNLAKALIQAAGRPQKITTSLDDEGTAVFCLELLITITLKNRDRIVLLWQGVFEHIAHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANAIHIKSQMGWRTVISLICITARHPDASYAGFEALVFIMSEGSHLSPANFVLSVEASRQFAESRLGSTERSIHALNLTADSVNCLTRWTREVKEAGGEVDRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGISVSSSAWLMTFDIIFQLLDELLEIAQNYSPKDFRNMEMSLLHAVKLLCKVFLQSLKDLTAQSGFGKLWLEVLAMVEKFMKVKLRGRKLEKLQEAIPELIKNVLMVMKASGILSKTKTGENSLWEATWLQVNNISPVLQSEVFPDNDGNNATQGEQNKSDTPAQSDQSAEQ >PAN25425 pep chromosome:PHallii_v3.1:4:48809108:48810934:-1 gene:PAHAL_4G300400 transcript:PAN25425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVERLTTELVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRADGKPEFFEADAVRDSLARALVAFYPLAGRLGLDGAGRVQIDCTAEGAVFVTARSERYALDDLLSEFVPCDAMRDLLVPATPAPNPPCPLLFAQLTRLRCGGVVLGLALHHSVVDARSAAHFVETWASIARGGGGADAAPLPPCFDHRLLSARPAPTVLYDHPEYKPEPAPAVHAVAAGSAYASAIITLTKAQVSALKARCAGASTFRAVVALVWQCACRARSLPPDAETRLFSMIDMRARLAPPLPPGYFGNAVVRTSALATVGEVVTNPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKDGAVALALSLEPESMPEFRKVFAEELARLEM >PAN25795 pep chromosome:PHallii_v3.1:4:50579038:50581169:-1 gene:PAHAL_4G326600 transcript:PAN25795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAPLALFLLAASAMGGGGGAQAQPLVPAVISFGDSTVDVGNNNYLPRAVFKADYAPYGQSFARHQPTGRFSDGKIVTDITADTLGFESYAPPYLSPQASGKNLLIGANFASAASSYHDDTAAMYDAITLTQQLEYYKEYQSKLAAVAGRATARSILTGALYVVSTGTGDFLQNYYHNASLSRRYDVGRYCDLLVSIFSGFAEKLYKLGARRIGVTSMPPLGCFPASIRLYGEGRGACVARLNRDAETFNGKLNATVEALKGRHADLKIAVFDIYTPLRKLAEAPVEQGFADARGTCCRTGTAKTRVYLCNPTTAGTCRNASSYVFFDGVHPSEAANVFMAESMVEAGIELVT >PAN24548 pep chromosome:PHallii_v3.1:4:42134765:42137758:1 gene:PAHAL_4G232300 transcript:PAN24548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPMAAPWVILRRCLGLVPKEAEAERPVPISVALREPPRVTVLDVSPSVRRDDPRPIRSDKLPYLVATGPGVLLVCFSFDEVPIVTDDLILVRNFVSPADPLRQPTTGSPDLVPRRTGPSMPVSYNVRSVGLTSALFGGYLIAELLVTRSSDRAKLLRLFSLDDRWFPKGSLFSRDDQWNWTETDLPCPLPTRDGTKREWCPSGVVDHDKKLWWFDLSWGLISCDPNAVEPVLRLRFHYLPPGRFLAEAKPFIHTIRCVSVSNHMLRYVDIARDLDLDGRVAERKVSVWTAIPDPDCGDGDHGIRWLKTYEMGFKEIWNDASYRETQLPAKIPEIVLVHPKHPNVVYFFLRRSLFGVDVPAHRVVWFVMDAHKLVAPGCRRCVLPWDLPASIANGIVDAVVPSRGERGLSSTTPGASSSGVGHE >PVH47219 pep chromosome:PHallii_v3.1:4:374659:375541:-1 gene:PAHAL_4G006700 transcript:PVH47219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVVEYHSSIYLLPQCPDSPARKNKNAMSLSLRIEVPKTGAILVLFSGLALAAFLCRRS >PVH47205 pep chromosome:PHallii_v3.1:4:150520:152776:-1 gene:PAHAL_4G003000 transcript:PVH47205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVSNKARFHPKNSSDLSMDNMQLKISQNKLPCTTAVVEVKNSKSRNQNRKTTLQAKQRTPST >PVH48209 pep chromosome:PHallii_v3.1:4:47114109:47114750:1 gene:PAHAL_4G275800 transcript:PVH48209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERVHPLRRRISPSPSCTRFPVSPPSAPAPSPVCGAAGLRPTCARCLRTRPRLSDSGEAKPERGRGEQLRSGEARARRPARVSSSSTARSDAAWPSVRARASCGEGLEAARPATGRAQLDCCGEAREDAWPSGGARAAGLLRRGSPGCGPGGGTATEMLVRLAAEMLVQRGRVAALALLALQGCGIMADLPPTSQKGMDGLRNRKRKKTKLV >PVH48152 pep chromosome:PHallii_v3.1:4:45858914:45860143:1 gene:PAHAL_4G262700 transcript:PVH48152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAGNDVDGAGKLLGPSRSFAGWCPATPPPVAAPPKRQKRVVVVAPRTPNKTPVREPPEEINVWELMKGLDDDDDQDEDGDHAHCVERKARSAPGSPVFDPEILDAFRKALDELTPDSPLPDFVKRGGGGEGEGEGVEKREIQMFPGIVRARVSVLQEKINTKTKQAKKASPPPPESAGRVVVYLTSLRGIRQTYEDCWSTSAVLRGYGVRVDERDLSMHAGFKDELRAALGGSDSGGARPPPLPQVFADGRHLGGAEEVRRLHEAGELATALEACDAAPVPKGCAGAQDACGGCGGVRFVPCDACFGSCKVFAEDDDGAGAGAFRRCPECNENGLVRCPVC >PVH47949 pep chromosome:PHallii_v3.1:4:32436765:32437388:1 gene:PAHAL_4G196100 transcript:PVH47949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGYPIGLFHAIDSGDPEWNFRIGHYGHLLGDLAEETLRGLIRFLNVQHHYQILLRRGVGQLIGIAQGHYQNADRQVIQIVELQALVTEKEEIITAREEAILHREDQINESDAIITQRNMIIEFLQEQTYDLNLEVDDAPAHIDELQEQLVPPAVPVAPEGGEEDPEEIEGVSDLDSEHGDPEPNPQPEHSSSSSQSSVGNLDDF >PAN22913 pep chromosome:PHallii_v3.1:4:3488403:3493126:1 gene:PAHAL_4G052700 transcript:PAN22913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase [Source:Projected from Arabidopsis thaliana (AT4G20070) UniProtKB/Swiss-Prot;Acc:O49434] MAPSSSRRPLRHHQPLLLILAISVSGLLASVPASAADGRPELGGDGLHREILRDETVLRLKELGKISDGEGYLERTFLSPASIRATAVIISWMKEAGLTTWVDQMGNIHGRFEPENSTKEALLIGSHMDTVIDAGMYDGSLGIISAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKMNSFEATAAAITQTRYKPESVGSYVEVHMEQGPVLEALHYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKLLTYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDQVRETIVTSFSRLALQRCDDRLVDCRVEHKHSAPSTLCDPELTSQLKLAARSAVSAMPGRSVVSAAAAETPVLMSGAGHDAMAMAKLTKVGMLFVRCRGGVSHSPEESVMDDDVWAAGLALASFIEQAAVVAKVAEVVEQNAVVAES >PAN23538 pep chromosome:PHallii_v3.1:4:7049504:7052050:-1 gene:PAHAL_4G100000 transcript:PAN23538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGFQWSRPGSLVLYVAVVVLCAAVSEANIGEFDDYWRQRKLMADAAAEATYRHDPVEVVNQLNHAVHRSVEKEEISARREMMAQKKKGNKYKGPCLATNPIDRCWRCRQDWATDRKRLARCARGFGRNTTGGLAGKFYVVTDGTDDDVVNPRVGTLRWGVIQYEPLWITFGKTMIISLKEELIIRGDKTLDGRGVQVRIANGSQLTVQFANNVIIHNIHIHDLVSSNKNGGNIRDSPDHFGWRTVSDGDGITVFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHMTNHNDVMLFSSSDSHPEDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKAPTIISQGNRYIAPPNLAAKQVTKQHDAPESVWKNWVWHSEDDLFMEGAYFTVTGGQINRQFNKKNLIKPKPGSYVTRLTRFSGSLDCRPGKPC >PVH48468 pep chromosome:PHallii_v3.1:4:51241306:51244774:-1 gene:PAHAL_4G335800 transcript:PVH48468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVCRFAMQPLAKYASEHLTDRPARLPPTRSSSPPRLHPDHLHLSGGRSGATVLGQGSADASPKRESEASAPPGGPGRLGGKAEMVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVIMPEFVLQALLSVLFLLSGHWAMFLLSVPMVYYNYTLYQRRQHLVDVTEIFNQLGREKKRRLFKIVSLIVLLFLSLFWMIWSVLSEEDE >PAN24735 pep chromosome:PHallii_v3.1:4:44683568:44686999:1 gene:PAHAL_4G248200 transcript:PAN24735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIAPSPSSSSSSEENRSGSGSSNNNDDNNNDNDSRAPPPNDSSSDSGSSSSPNSKGWSSPPPSPSSESSRSTPPSDSEDSPSSSPPSPSGSSPSNSSPPPSSEQSPSPPPSPFWSGGNSKSSPPPTPPSESSRNSGDNSNSSPPPGRSSSSSPPRRSEGSSLPQPPSSSSNQQVPPNPSGRSSSSSSRESPPSLPQESNDDQSTNSQPSRSPPSSSSSASPPPPTNQSVVIIPVPVSSNSSPVSIAPGAAVGTLTSANNLPPSSQGTNGSTAGSSSRSSLGSSGVGTSHVAAAIAGAAITGLMFAMLAVFFITRRRRKTTDGLVYHNDGNNNLPSGQFGVSNPSGALYPGGASAGFSPPSGPDSGGGGYYQSGRMEPPGSKSSFSYEELTSITSNFSRDNVIGEGGFGCVYKGWLADGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAQHHRMLIYEFVPNGTLEHHLHSRGMPVMDWPTRLKIAIGAAKGLAYLHEDCQPRIIHRDIKSANILLDYSFEAQVADFGLAKLSNDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELVTGRKPVDQTRPGEESLVEWARPVLVDAVETGDLDAVVDPRLEGAYNRGEMIVMVEAAAACVRHSAPKRPRMVQVMRALDNEGSMSDLSNGVKVGQSRNYHGSGQEAAAIQQLRLTAFPSEQYTGEFEQSSGEYRGAYSETQPINRR >PVH48083 pep chromosome:PHallii_v3.1:4:43293439:43298272:-1 gene:PAHAL_4G238500 transcript:PVH48083 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXT-like protein [Source:Projected from Arabidopsis thaliana (AT4G26750) UniProtKB/TrEMBL;Acc:A0A178UUB7] MGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGLKIPQKERTKTTNSILISLMNQLEKDKKTLTLGPDDYLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQPDIEQKQKYAIWKAAEIRKAIKEGRKPEPGPPGGDKDEAPVSTTTISQDMGRNQSFSSTQHGTEAPSPPVDKDFSRRDSFSTVQPGNIVHRQSTEFNDHPSTHSPYSPPPPSQSQHPSPSQSYSSPSYQATDYPSDFHKPPPNHSSPHYTSADYPTNEVPKPPSNFSSPPYTRTDYPPNDGYNPHSNDKPDVSAYPQTYQPPPYTIEPQHISQNYYSTEAPAAPYNYPNFQSYPSFQDSTSASVPTHQSSFYPASDDPAATSHSPASNPSAPTHYHSTADSTPQVTPPAAPLASQYKYDSSYQPAVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >PVH47261 pep chromosome:PHallii_v3.1:4:1261751:1263645:1 gene:PAHAL_4G019100 transcript:PVH47261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPSPSAGRGDARHGAEGCFPPRLLRPSANVDALPARNATPGPSTSASSGCSERGAPLVALSPAGRRLLNHPPAACGGEREQPATELLVSKRFGTLDHLATTMKPRPLVCVAAGAAGWAWRPRPRARSPGVSPKCSQAAATAAAEGAVHSEHHPRRRGVRGVLFRPVGLPRTEQAWKLEERIEKVIYACRFMTFLGIGGLLAGSIPCFLKGWVYVMDAFVKYYLHGGGTVSVILMLVEAIDMFLIGTVMFVFGTGLYELFISNMDMSYGSNLFGLFSLPERPKWLVIQSVNDLKTKLGHVIVMVLLVGIFEKSMTVTITSCADLLCFAASIFISSGCLYLLSKLNI >PAN23834 pep chromosome:PHallii_v3.1:4:9714487:9715002:-1 gene:PAHAL_4G122000 transcript:PAN23834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGIPNSSGDAPATSPLPRALFISLAALLSMFFVTFAGVAALVFRCCRRRAGASSEHTPRAGGSCERDYRPFPVEMVPPAFDYARGPDDDHGSTAARECAVCLGAVQEGEMVRRLPDCGHVYHVECIDRWLAAHRTCPLCRSELDPCKTNSDALPPQPPQEDQPDHQLPV >PVH48251 pep chromosome:PHallii_v3.1:4:48082160:48083144:-1 gene:PAHAL_4G289500 transcript:PVH48251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTQLLRDFFFMNSPTQLLRVQQPAIYCRRRRSSSVCTIHGGSTPPPLQKLQHSRHDERIRGISCSTQNNKGYFRDPYRSEKTPAPLNPLSLAYGNIMNKVHYFESWSLDEFNKINRRQEDICEEIKEINVTLDTIQRTLFDIKCDTQPLMRMDEVGVRLQDCRVSKVLSSTAGRPPKPYGDKASKFYPVQH >PVH47816 pep chromosome:PHallii_v3.1:4:20326015:20331113:1 gene:PAHAL_4G161100 transcript:PVH47816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTAEAAGPGPRPRPRLLGPAGPRSLFHRRLCSRCFLVVFLLAACASSASGSGSGRVVTSLPGFDGPLPFHLETGYVEVDRQNGAELFYYFVQSESGAAAAPFLLWLTGGDRCTVFSGLAYEIGPIRFIVEPYDGTMPRLRYNQNSWAKVSHILFVDSPVGAGFSFSRDPKGYDVGDISSTLQLYDFLIKWFNDHPEFLANPFYIGGDSYAGKIVPFLAQIISEGIEAGRTLPNLKGYLVGNPRTGEIIDFSSRVPYAHGFGIISDQLYETILRHCQGQDYINPGNAGNALCAQALNTFSDLVDEVEPAQVLVDKCVYASAVPYANSKTDGSDGRRILGEELETGKLKHPPARPPFGCISYGYYLSYFWANDKRTREALGIKKGTVDEWVRCHDKELPYTGDLSSVIKYHRNLTSRGYRALVYSGDHDLLLPHLGTQAWVRSLNFSIVDDWRAWHLGGQSAGFTISYSNNMTFATIKGAGHTAPEYEPERCFAMFRRWILNRPL >PAN24500 pep chromosome:PHallii_v3.1:4:41292782:41295234:1 gene:PAHAL_4G226300 transcript:PAN24500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAARILRRGPVRSSARLASISGSWDRMCGSAPSPPAALFARPCGGSGPEAHGWSWWRCFRQPVRCGSTAVRLDTDGGFARFSVRDMEGAKQKGGQKQQPPKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRTPEPVHDPEILTEEEKFYLKRTGDKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVACKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNREGATSVDEETTVDDPTVTSYSE >PAN24501 pep chromosome:PHallii_v3.1:4:41292782:41295234:1 gene:PAHAL_4G226300 transcript:PAN24501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAARILRRGPVRSSARLASISGSWDRMCGSAPSPPAALFARPCGGSGPEAHGWSWWRCFRQPVRCGSTAVRLDTDGGFARFSVRDMEGAKQKGGQKQQPPKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRTPEPVHDPEILTEEEKFYLKRTGDKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVACKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNREGATSVDEETTVDDPTVTSYSE >PVH48326 pep chromosome:PHallii_v3.1:4:49437399:49439195:1 gene:PAHAL_4G308700 transcript:PVH48326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSASSSSSSSLYIIGARAPDAALDGGDTDDDASGGGALSRPVAERFASSFLTQESLDALCRKYGVPDQFKAILPAGHHRACSPPPPGTVCVYAQALEAGMRVPLHGFFCEVLAHFGVAPSQIAPNGWRVMAGFLVLCHFAGVPPSLAVFRHFFSLCAHKLKGWYWFRGKDSAGALIKGLPLSLKGWKEGFFFLRSPTPWPCPVKWGEPSKVSTAEPVLTREEQSRATKLLRVHGAAVDLKTCLSESNLAAAMATGSPRPPPPPPSPRTASNAKGMDPSVYDMMKSLRAAKAAQALGEKVTAKSEPGSDTPLSGTKRKLADDATKQGLPRHEPSTPLDHAHGSSSGAAPPGFSTQRASKSSVRDHDPVPKPRHTPDLPDGGDTAGWEAARRMLQSIVAPSRERAFSAAKPSDVIESSFVTMLEAANCVSFSLGYALELEEKLAAREREADALRRELTKAKAELAGARKASAGEARSARAAALEEYLGSTEHELRLANHALTGYERGMEHMKRAALRRYPHLDPEQLVVPPDGGGP >PVH47957 pep chromosome:PHallii_v3.1:4:33457359:33457781:-1 gene:PAHAL_4G199800 transcript:PVH47957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPVSRAVLVGARRGAGHRSGGPAQAAGGLRSHCTAPSYATSRPGTAGSWQPPGLIWLRHRRICSGSGDHQLPSVAALAANVARVHPVEPRLGCHAAGSGRGFVCPPADLAEGSHADAADLGTERVSRRRTGRRERGRC >PAN23221 pep chromosome:PHallii_v3.1:4:5218835:5220478:1 gene:PAHAL_4G076700 transcript:PAN23221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFGDELFLDVGDDGFGDLSYVTFSQSIEEDLACPRNLLSPGFDLGTLTPTPGSPFSFDSDPDLRGLSPSRPRSPPFWDCLEDELADHGFEWEEIADAAPGVGGRAAAVGDGGGGGGRGLEVDVDADVFGFLDERELLGAMEGIDSGDDDSIFSHEPPFDFGDGDAELDGIFRSGVGWELLPVPLDEDDFEVLPGHLADAAAGGAPPAARAAVERLQVVAVRGEEAAQGCAVCKDGLAQGELATRLPCGHFYHGVCIGPWLAIRNSCPVCRYELPTDDPEYERRRARRRSTGGSTAQLGAPMQI >PVH48048 pep chromosome:PHallii_v3.1:4:41341189:41346134:-1 gene:PAHAL_4G226900 transcript:PVH48048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKATGENTTHRTHHSGISPYIKATKHHGLSSRHGLNRGSKAFTARLAGDAIMELLPLLLACSLLFTVAAPARDITSVCASQIRDFPHRNSSGLHLTLHHPQSPCSPAPLPSDLPFSTVLSHDDARAAHLASRLATNNDAPPRRPTSLRKKAAGGRFDDSLATVPLSPGMSIGVGNYVTQLGLGTPATSYAMVVDTGSSLTWLQCSPCVVSCHRQAGPLYDPRASSTYATVPCSASQCDELQAATLNPSACSVSNVCVYQASYGDSSFSVGYLSRDTVSFGSSSFPGFYYGCGQDNEGLFGRSAGLIGLARNKLSLLSQLAPSLGNSFSYCLPTSASTGYLTIGSYNPGQYSYTPMASSSLDASLYFVTLSGMSVGGSPLAVSPSEYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMGGAARAPAFSILDTCFEGQASRLGVLAVGMAFAGGATLKLPTRNVLIDVDDSTTCLAFAPTDSTAIIGNMQQQTFSVVYDVARSRIGFAAGGCS >PAN24420 pep chromosome:PHallii_v3.1:4:39112192:39115172:-1 gene:PAHAL_4G217200 transcript:PAN24420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNEVAPSNPTENRDQKASNQPVGNKSSSRSTEFQLRKYLLLLATLVATVTYVAGLNLPGGAWQEDTEDGHHAGDPILQYAHHRRYLAFYYCNATAFAASLVVSLLLLVLDGTNTGWEALLRVVMVLDLLGLMGAYAAGSCRDKFTTIYSALLVCAVFAYIVIAFSAYVFSNKSVLAMVMPKKQGEDTEKQGGNHGEETEKQAGKDTGTVEHEEELREVLMLLATFAVAITYVAGLNPPGGFWGDSKGEHKMSDPVLQEHYPSRYQAFFVCNTTAFIASLLIIILLVDKKLSSNKSVRFVALHGLIITALFGLMGAYAAGSCREVDDTTYVVCLIGGVLAYIFLQAALTKAVKKKGHTHKSASEWLKLNAIRSCLGSKRQPERDQTSSRNTQREDAVEKARSLVMLLATLVVSITYQAAFDPPGGLWTADGSDYKNGDPILLTTHPTRYKVFFYSNSAAFVASLIVIIMVQSRFLLKRHTLEAAMILDLFGLIGAYAAGSCRDESTSIYVVALAGIVLVYVVIHIIFFTLDHEDNHRDAEKLENRREVLLLLAILAATLTYQAGLTPPGGFWLDGKAGHRAGYPVLYDNYRSRYNAFFYCNAASFMASVAVIVLLVNPNLYRPGIRCYALYVCMVVGMFGLMGAYAAGSSRDLRTSIYVLTLVVAVFAFVALEVVIFWVYPYLKKLLSRGVKTENGSSSSITEQKTGPRSETTGKTPEAQEEKNMREYLMLLGVLAASVTYQSGLKPPGGLWQDSNNGHSSGNPILHDVNKGRYYAFFYSNSSSFMASIVVVILLLPWTLHKHQLPLWPMQTAILLDMLGLLGAYAAGSTRDWETSKNVIYLVIPVLAYIAAYATVSLFRKRRQCHNSQEEV >PAN24498 pep chromosome:PHallii_v3.1:4:41271043:41273024:1 gene:PAHAL_4G226100 transcript:PAN24498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGSLLCSLVLLQVCIAQLSLVSGSLLTGGQIGGNLLCQDPIRRAWLMSSHGRDEFEGHNADFIFDEELADEGVDTSDDRGVLVAVGEAGAEEEEGLLPSDGDDEGGDNSGGTKDSSGSGGDVECPECGKFFKSDKSMFGHLRSHPNKGYKGTTPPVKKLKLSPETAATAATSSSSSSQDNKQATQVLPPPPSFGKLDAIGQAEGGIRGSATGYAAAKIKGNNDGNVGNYDEHSGSFVKIPKKRRNMPKEVSEAHRKKAKFVPTLKEKRPYICKHCKAEFSMHQALGGHMAGHHKEKVVPALDDSSLRAHQSMAAESQNAKEQVGGGDEDDNSWHGNDLSPPRGQFSIVLDVPWQCGQASGGQMRQHSKRNDGLSSPPVVPVATPTPTDDGDGRRLFDIDLNVKVPEQE >PAN25035 pep chromosome:PHallii_v3.1:4:46458770:46460689:-1 gene:PAHAL_4G269600 transcript:PAN25035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHEQPPHHPHKQKTPRSGYSVPRPVCAWLACGFVSLALLHLLCCTPPGTQDAVLSPLLQYVDDTYNFVSSGPRSCNYSEGRWVYAPGHARRYNGTECDVKESHDCLRNGRPDTGYLDWRWQPAGCRLPAFDAGAFLSAARGKHVALVGDSMVRNQAQSLACLLAGAGFPHRVVYRDAGPRGKPDLWRWAFPTHGVTVSFYWAPFVARATGKALNDTLPQSMNHVHLDAADDLWGADADTMDVVVLGTGHWPLNGAIYYVNGEVIGHHVHDELDPAMDIGYTRPMRMAYRTALDRLSRSGRPRTVVLATLSPGHRYEGDTLATMCPRKAPYEEGEHELRDIDRELVGLVYEEAEAARARNGEGGATRVEVLDVTKLAAMRPDGHPGAYMHRDPFAHEVQPWMAADCVHFCLPGPVDTFNEILQHILMRKRR >PVH48195 pep chromosome:PHallii_v3.1:4:46762278:46763066:1 gene:PAHAL_4G273600 transcript:PVH48195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLPPAHPPQGNSQRRQDLTDDEQDKDQDEHREVAVGQAASAAAAVQTPDRSPCSSVSPGTLLKLYKLLLQPRIGGVSRRSDASLSSGPREHTYTLASLSGGSGTAGHAAVALLPAARNRRTSTRPLTVRARGGWCPQHARVDPLPAPARFPPAASPVRATSNGRKAREAGESEACAPVSGGWTERATPPARCHPGSAERDRRRTRVTDPRRPLSYHGRPWVKTQGPRPPGDEPRSRVSLRARGGDPPRRARQGDSRPGTTR >PAN24835 pep chromosome:PHallii_v3.1:4:45406551:45411187:1 gene:PAHAL_4G255900 transcript:PAN24835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMQTSDSSHHGIVENSPYRIPYSRNAEGGKLGNSWYFSRKEIEENSLSRKDGIDLKKESHLRKTYCVFLQDLGMRLQVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVILLSYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNIHHPYKPLVEAIKKFKIAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRHLEEISNQILELYEQSNVAPPSSQGNDTDRSPASVANHRASGKAPGSTGAPAAHEHHQASRQSSQQNMPGHRGYDHPDPEKQNSNQRTPQNDARDGVVNSNDGPKMSSSMMDAMKKIDKDKVKAALEKRRKSKGDVSRKVDVMDDDDLIERELEHGVELAAEGEKVKQERRQSWSHPSAHREDQQKAARVTGATEEGELSTDSQEHHSPTLDNRRRNDIREHRNYDRGERDIKRLRP >PVH48228 pep chromosome:PHallii_v3.1:4:47718727:47726446:-1 gene:PAHAL_4G284800 transcript:PVH48228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSERRLWKAAGRARSVPEARARRDSASREKKTAALVSLKQPRSCSARQRVPSAARHARHAAPRSAAFPTPERKPAPRRCPLPFPFRLGSSPHSPRKFESPASRALAPRRRCPPSGRPRTPWTRPPPPAPPRASAPPPHAPRSARPAPSPARAPATAAAPRTLTLAPAATAPRSPAPPRPRPPARATAGPSRATSRTPPRSRRPSSRPPPPPRRRRPAAGGAAARAPSPSSGARPTRSRCSRARPPSRTAPASRRGSRTCPTCSRPSGTPSPRTSTRPRCTTSSSASRASSSTPCRATPAPRTSTGCATCARPSGAPSSRALQRTTSWMLRRPRRRTPAGDSRAGIGRAPRGCLWSRRCLESTGSRTGRACQGFPWRRGWRCLGHRRLVWLRASGGDSSRPICACRCAGMIWEKRSMACSSMLSKALGLSLGAVGGVHSWIHRCGVIL >PAN25782 pep chromosome:PHallii_v3.1:4:50539337:50540461:1 gene:PAHAL_4G325500 transcript:PAN25782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRWPPPPPDGAQAFGHDPIALSFFVMCVAATVALTSSMCSACGRKAKPAAEPDPAASEQPAGTGSVSGGSQQEAGTEEDDAEVVRLSPELATHGAIDPVALPSSTSKRRLSVSMSKNLSKNIPDKLRLSRRERKDHQHKAESEDTLWKKGIILGEKCRIPGEREAEQDDGVDPADEITAGSFRRTSYSQPMSRSSSFAVQQQPPPRLDAPARASDC >PAN24763 pep chromosome:PHallii_v3.1:4:44930760:44935215:-1 gene:PAHAL_4G249700 transcript:PAN24763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MSPPDSASTRSSLPLAATLMADPTPSPSSAAPPPNPLAAASSFLHHHLSRLASHLTAPRPALAAAATRAPGPQGASLSLALAPDEVARALTGTPVFTVCNSNNEFVLVSDPGTGLRSLGLLCFRSEDADALLTHVRTRQPVLGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTGFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELSKASKSSRGSALSKQIMVGSLEDVLKKMEINERNSGWDDLIFIPPGKSLNQHINEVSA >PAN25016 pep chromosome:PHallii_v3.1:4:46350607:46354134:-1 gene:PAHAL_4G267800 transcript:PAN25016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLSPVAVTHLLQHTLRSLCTGDSPQWVYAVFWRILPRNYPPPKWDLPGAAYDRTRGNRRNWILAWEDGFCNFAAAAAAPAACGQEGVAVAYAGDCEAAAAAAQDAAHQQQPQPQGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEHEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVMLRRKFGYLESIPGVLLPHPSTAGVFPGGGCVGPPPVDIASAGWPGLMPPPAGPSLELYDPYGAAVAAAGPAAAAAASMHIMPSMSSLEALLSKLPSVVPAPQAQPPAGGPVPGVAPPANKEEEEEEPDDYARCHGMDVASNGANGGESTSTSAATAAGAVNAPMPSYFVNVGSSSNPGEGF >PVH48545 pep chromosome:PHallii_v3.1:4:52403000:52404670:1 gene:PAHAL_4G353300 transcript:PVH48545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPGFPWKKHQPDPDGCTKLELQAGGIKPKVEPCEEQERSPPLPPPAPDDWEVTPLSGDHPFFTTVMSKSQVQKQFQLVIPARLHRHLPEARVPAVLLCRGRSWAASYCGDLKCKKIDAAWRDFALDNALRVGDACVFELTAAAAGSTGSEGDGEVVFRVQVLRGGLPEEITSKGATSDEPLVIVD >PVH47320 pep chromosome:PHallii_v3.1:4:2200405:2208352:1 gene:PAHAL_4G034900 transcript:PVH47320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] MQFLNASASVSSPVQPSAHLLRLSRPPPFPHLRRRCSPPKPLALTRRPPLPLASRQSLLFTSRAHGGHGHGHGHNHHHHHHHHHGHDHHHHGHGHHGVDVHGGGGGAAVMRVARAIGWADVADALREHLQVCCISLGLLLVAAACPHVALLNSVGRLPAALIAVAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNALEGGLLLAMFNLAHIAEEYFTSKSMFDVRELKENHPEFALLLETSGEESVQFSNLSYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLIKPKLQRWLDEFGEYYSRVVVALSLAVVLLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLTCKAIEPIHGHLGVTNGHNDPCCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKELPAVAVESFECLPGRGVAATLSGVKARNNENELSEASIGSVDYISSLYRSNGESEQIKQAVRSSAYGPEFVQAALSVDKKVTLFHFEDEPRSGVCEVISTLREKAKLRIMMLTGDHESSAQRVAKAVCIDEVHFSLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGMVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNAPTWSLVDDIQQLFDGLRNYFSSKFKSSSSNYVTNTVPL >PVH47507 pep chromosome:PHallii_v3.1:4:5234851:5236114:-1 gene:PAHAL_4G077000 transcript:PVH47507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPERGGGGVAAELMAGGGARPSLWRTPTPYLFLGFALMMGLIVMALLALICTRRLSSSRRGAGGEEKAASVRGVLVPLDREAPGVVVIMAGDALPSFLASAKPLASFAAPRADAV >PAN23315 pep chromosome:PHallii_v3.1:4:5743670:5747689:-1 gene:PAHAL_4G083500 transcript:PAN23315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLPGEYFCPVCRTLIYPNEAVQTQCTHLYCKPCLAYVVATTKACPYDGYLVTEADSKPLMESNKTLAETIGKVTVHCLYHKSGCQWHGTLSACVTHGTTCAYGNSPVICNRCGTQIVHRQVQEHAQLCHGLQSQTQQADGTQAQPVAATQAVTQDPSPASAGSAAVTATTLPFSSTATAVTDSASATGGAAASGSASQTPTAQHSYQQQQLQYSQYYQQQHPGSNPYMQQYQQYGQYQQVYQQYPQPPLQVVSQNMMQGSAQPASYVQPQVQSTQSQYMMQSQPQSQPQLQPSTGHPKLQQHPVQSQPQSQAHLPYLQVPAGQSQPHQPTHPALQVPQLQPQSQVALQVSAPQVQASAHTPAPTPVGNQQFANTSTQAMTPHVQPHVQAQPPQQQQHAHLQALPPQQNLHPQMQLNSQAQLETPQVQQQSYPQPQAYAQQTHHMHPQNASYPQQQMPHGALLQQPVHASHQQAPVSQHPALMRPPLPGQQPGMLPPQGVQHATQNQQHIGYHAQWPPMHSNIPCQAPQQGLPPHSSVSSQSVQPYQQGMPLSQQQVHSQPGQPYTQQHVPGNTGHHVQTSAGRPASHLAPPQQFQHQQPTTLRTQSPAAGQPIGQSSLDRETHASKSGKPEIASNAADNTAVSENKNNGAESAVMRPTTSQSFGDENMNKQNSFGGVRKDVGQTGIASHGVDGSIGRDGISDRTGNFHGPVIQEGKDHKASDASTNHGKGGSFKQISQKNAGPVGSYVPPGMGPQHPSGPDRMLPQHMMPPGHKHGFSENIQPPLQQPYGLFHSGMTPRPLGDNQIQMPMSQPGGVDGMISPHMVGPLAGHHDAILPPFVENLGQPPASGRAFHEEMFNTSGEHLRSRAAYPGRHDNMEDGLKQFPGPAHLDGQGLQSGPRPFERGLGRPDGFLDSLPGRPPFPNQRSPFPVALHEDFSRKPNATVGNPAHGAEFDHHRTDGMPIFRNPGPLQGMSGGPHGPRKDQLGSGTLPGNLQHSFGGPEFPPTRFNPGHMHPGDPNLVAGYAHHGFPTESSHFGLAGPFINRNVGWCRICMFNCGSAENLDLHAQTMEHQQCAMDIVLKMKQDVAKRQKLNYGGAKSFHNKKVAGKGHFRGNRR >PVH47860 pep chromosome:PHallii_v3.1:4:22954224:22955964:1 gene:PAHAL_4G169200 transcript:PVH47860 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase chain 6 [Source:Projected from Arabidopsis thaliana (ATMG00270) UniProtKB/TrEMBL;Acc:G1C2Y0] MRLLAPAFKFHFKEGRRTMILSVLLSPALVSGLMVVRAKNPVHSILFPILVFCDTSGLLILLGLDFSAMIFPVVHIGAIAVSFLFVVMMFNIQIVEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTHRNTTSLRYMVYAGKVRSWTNLETLGNLLYTYYSVWFLVSSLILLVAMIGAIVPTMHRTTKVKRQDVFRRNALDSRRTIMRRTTIQNKRCFSSKAEGGSSNKKEEFESFSLEHSDYKNFPGLKDHIDKLLEVLEPREILFLVHSFPRDIILLDILSPLDIKNIIAALHKQAEE >PAN25461 pep chromosome:PHallii_v3.1:4:49020188:49021678:1 gene:PAHAL_4G302900 transcript:PAN25461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSAGSTPTARPPRQARGGRGAGEAPSTPVFLNVYDVTPANGYARWLGLGVYHSGVQVHGVEYAYGAHDGTSSGIFEVVPRRCPGYAFRESVLVGTTELTRAEVRALMAELAADFPGDAYNLVSRNCNHFCDAACRRLVARARIPRWVNRLAKIGVVFTCVIPGNGRAVRRKGERPSAAAAGIRSRSARQEAAPAPPRPRAFFRSLSVGGRRNLAAPRPLPTSPPPPQPQPAPASTSPSSGSTT >PAN22301 pep chromosome:PHallii_v3.1:4:700085:702037:-1 gene:PAHAL_4G010400 transcript:PAN22301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDEQAFLEEILSLRRDAWDCNAMGDFFSPAAACTAAMDCSFQDRHQPPPTVSVLPTFTASYGQPQPQPHPAAPGFDCLSEVYGAAAAFGAPNAGDYGAEMGFLDVIEPKAPLAEGGLGVCKVEPGLAEGAGAFGAGAAPPAPASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIKLLQEEIDEQQQQQETPGVLSVFRELNPNEMVARNTPKFDVERKESGETRVEIYCATKPGLLLSTVSTLENLGLDIQQCVVSCFNDFGMHASCSEMQRERISADAIKQELFKNAGYGGGCL >PAN25706 pep chromosome:PHallii_v3.1:4:50235010:50236728:-1 gene:PAHAL_4G320100 transcript:PAN25706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRDRDPLVVGRVVGDVLDPFVRTTNLRVSFGSRTVSNGCELKPSMVGHQPRVEVGGLDMRTFYTLVMIDPDAPSPSDPNLREYLHWLVTDIPGTTGATFGQEVMCYENPRPTMGIHRFVFVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYPN >PVH47650 pep chromosome:PHallii_v3.1:4:7837872:7846736:-1 gene:PAHAL_4G107500 transcript:PVH47650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEPSRYDKLESMLQDGSSEPRNLPLEHLRSITNNFSEERLLGEGGFGTVYKGVLPNGKTIAVKKLNSSIPGVKDRHFENEASHLMRLKHPNVVLLVGWCSETENMYVHYNGKYICAEKSERLLCLEYMPKGSLRGYLSDEASGLAWDTRYKIIEGICYGLHYLHEEWQHSTPIIHMDLKPANILLDNNMVPKIADFGLSRLFSEEKTWTCTINRDGTLGYMAPEYINRGLITTKSDIFSLGVIIIEIVTGHRDYPDENGVSPQEFIDLVLKKWRNRLQKTASYKELYYYQQIRSCIQIGLVCVGLDRSKRPTTSQIIKMLHVESVDLRGSKRVYPQPYQHWASTTSEDEEPHKHTSPLQKERQPRKISLEIDRQAKLAGSAVCSLDAGVSFRIGDSGDGGGDVCLLCQRLGLSGPDDFAISVAEWEAHKEKKRGEERSESWH >PAN22752 pep chromosome:PHallii_v3.1:4:2708626:2711976:1 gene:PAHAL_4G043000 transcript:PAN22752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPSLSCPGHLPPHADMSRRASAARDRCLELERAIAGRVRSGSLGLDDAVKMFDELLPHARPASVRAFNHLLTAVSRAQGRGSSSSELVPSLFNRMARACSDKVAPNLHTYSILINRFCRIGRLELGFAAFGLILKTGWRVNGIVINPLLKGVCDRKCVSEAMDILLRRMPEFGCTPDVVSYSTVLKGLCDYKRAEEALELLHMMADDGGGSCPPNVVAYNTVINGLFRDGQVDKAYNLFREMDDRGISPTVVTYNTVINGLFRDGQVDKAYNLFREMDDQGISPTVVTYTTVIDGLSKAQAVDRAEGVLQQMIHKGVKPDNQTYNCLIHGYCSSGQGKEVVRMLKEMSAHGHKPDTVTCNLLLDHLCKSGRCTEARKIFDSMIEKGTKPNVTTYGVLLHGYATKGALSDMHGLLDLMVENGVSPNHHTFSIVLCAYAKGGMIDEAIHIFDQMRQQGLSPDVVSYGALIDALCKLGRVDEAMLKFDQMIHEGVTPGIVIFSSLVYGLCTVDKWEKAEELFSEMLNQGIHPDATFFTTIMRNLCNGGRVMEAQSLLDLMIHVGVRPNVISYNTLIDGYCLAGRMKEAMKLLDAMVRVGLKPDTVSFNTLLHGYCRAGRIEEAVKLLDAMIRVGLKPNTVSFSTLLHGYCRAGRIDDAVRLFREMLSNEVKPGIVTYNIVLHGLFQSGKFSEAKELYLNMIKSGMQLNIYTYSTILNGLCKNKCVDEAFKIFQSLCSKDFQLNIITFNIMIDALLKSGRKEDAMDMFTAISAHGLGPDVVTYRLMIENLIKEGLLEESDNLFSAMEKSGCTPDSCMLNALVRRLLRRGEIMRVGAYLSKIDEMNFSLEAATTSLLISVLSREEYQHHAKSLPQKYHFLKEINK >PAN23408 pep chromosome:PHallii_v3.1:4:6201460:6204083:1 gene:PAHAL_4G088900 transcript:PAN23408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >PVH47427 pep chromosome:PHallii_v3.1:4:3975641:3976375:1 gene:PAHAL_4G060300 transcript:PVH47427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYESRNGIGGWIEEEEEDPIETHWDKGTQTENEMDRFLPIKKRQIWIEEESP >PAN23238 pep chromosome:PHallii_v3.1:4:5342455:5344375:-1 gene:PAHAL_4G078100 transcript:PAN23238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEGPRKRQRVAPASASAAPYIPDELVRNILVRLPSRSVLRCRAVCKAWLRMASDPGFALEHHRLQPALPLVSFLRGGAGSSSKEAAGADPVGRCVEAFDLRADEFRPAVRFAGSGRFHIHGSCDGLLLLSFEDRFFVCNPATRQWTRLPAPLRSSWFVGFYRHEPTGEYRALFFRGDWANWPGTDYYILVPDSRKGRGIGLPSEKTGYRFSGEPLGPPVLLRGSLHWMPRQVRSHAIMVFDTATEVITFMDPPVVREHMSLLEVDGELAMFSCGNRVTMVELWLLKDYANGIWVCGHRVRLPAVEVSTFVFDESWRMFFMSEEGVVIVTPEQKLLHYDMNGTLRESFPCNGRNLKITPYTLKESLVRHTFFETHDNAGGRDDEPPPPFFRGL >PAN25076 pep chromosome:PHallii_v3.1:4:46675403:46679265:-1 gene:PAHAL_4G272800 transcript:PAN25076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASAAGSETPKQLLSIIRDFASEKSHGERRVCDLKRRLADVRAAADAAAAELDAAKREREAAEQELRGSQAQAAIAAATIQALEATVSRLQEEISKAGTDLDAIKSKEDSERDDFISQMHDMNAKIRQFQQMVSLELAEYNQSDLQSTEGQHVGDMTETVESEGILKNLTDKVSRIDAEVQLLEGAYKKDLLDHDKVRQELADIQANRALMEAVMGESKQLKEIGGRAAELAKVHASLVEELQRRYTSPGCGINNMPGLEEGAD >PAN23599 pep chromosome:PHallii_v3.1:4:7453012:7454345:1 gene:PAHAL_4G103600 transcript:PAN23599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVIRMDQLYGEKRPETLSLLHNACAQWGFFWLENHGVNEDLMNKMKGLVNKHYEQDMEKNFYSSEMATIQGYEKISSNVDWECSFMYRHQPKSNSHDIPKLLRITVVEYAEEVIKLAEQLAAAMSENLGLDKDYIEKAFSKPSVGIKVAKYPKCSHPGLVMGLREHTDAGGIILLLQDELVPGLEFLKDGKWVPVPPTQGNRIFVNLGDQIEVMTNGIYKSICHRVLPNKNGSRLSIATFYNPGADAIICPAPKLTYPSQYRFEDYLNFYSTTKFTDKVSRFQTTKEILK >PVH47622 pep chromosome:PHallii_v3.1:4:7453217:7454366:1 gene:PAHAL_4G103600 transcript:PVH47622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMKGLVNKHYEQDMEKNFYSSEMATIQGYEKISSNVDWECSFMYRHQPKSNSHDIPKLLRITVVEYAEEVIKLAEQLAAAMSENLGLDKDYIEKAFSKPSVGIKVAKYPKCSHPGLVMGLREHTDAGGIILLLQDELVPGLEFLKDGKWVPVPPTQGNRIFVNLGDQIEVMTNGIYKSICHRVLPNKNGSRLSIATFYNPGADAIICPAPKLTYPSQYRFEDYLNFYSTTKFTDKVSRFQTTKEILK >PAN26019 pep chromosome:PHallii_v3.1:4:51499500:51502600:1 gene:PAHAL_4G340100 transcript:PAN26019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MPALAVDAATPVAHAFASCDAARFPAPLMAGPAADKPEAAAWSADLSAALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPREAGGLGLPMPLLVRFPDVLRHRVETLNAAFDYAVRSTGYGSRYQGVYPVKCNQDRYVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLVARGNPDALLVCNGYKDDGYVSLALMARTMGLNTVIVLEQEEELDIVVEASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNTSQILSVVTKLKAMGMVDCLQLLHFHIGSQIPTTALLSDGVGEAAQIYCELARLGADMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAVAVVAAVGRVCDRKGVPHPIVCSESGRALVSHHSVLVFEAFSATAPGQLDAATAYLLDELTDDCRADYRNVMAAAVRGDYDTCGLYADQLKRRSAEQFKEGVLGLEHLAAVDAFCEIVARGMGAPEPPRTYHINLSVFTSLPDMWAIGQQFPIIPIQRLQERPAVDGVLSDLTCDSDGKVCEFIGGRHSLPLHELPTHATRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAAGPSCADVLRAMQHEPEVMFEVLKQRTDDATAASLARAFGAMPYLAFDPEAAVMSSGESNGMSSDSEGSAAGAAEEDDDEWEFMRGLAV >PVH47735 pep chromosome:PHallii_v3.1:4:12173012:12174929:-1 gene:PAHAL_4G135300 transcript:PVH47735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRRLREVLRACLEKLCNLKYLTVSSPIMNPSWCLDVFSKFHPSFCHLKEFCSYGSLFSRIPQWIVHLHNFYDLQLHVKEVLEDDVGMLAQLHSLTFLFLHVKGVPENKIIVRGGGFHVLKRFILGCCRVSCLTFEAGAMPKLEELMLRFNAHGWDNYGAAPAGIEHLSGLKEIFIDIGGAGAKESVRRAAEAALRNATEMHPGRPTAHIECDALPVTVLMTVSPGSRMSTWKICTRSSRTTRRISAIEEQEHEVSCSTWKAKCSAATLSYRLRRGGRAGAVAFFSYAMDVRWLASPSSTAIASTITRTLPDLGRLPSIPDYTRQSFYKVRPF >PAN24403 pep chromosome:PHallii_v3.1:4:39278631:39280348:-1 gene:PAHAL_4G217700 transcript:PAN24403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSPPNSASGDCPGPAATPRIVLFPSAGMGHLVPFTRLAVALSAGHRCDVSLVTALPTVSSAESRHIAALSAAFPAVRRTDMDLRLAPFDASSEFPGADPFYVRYEALRRSAPLLLAPLLAGASALVADIALASVAIPVARELHVPCYVFFTASATMLSLKAYFPAYLDARGAGQHGVGDVDVPGVYCIPSSSVPQALHDPDDIFTRQFVANGRALVAANGLLINAFEAMEPEAVAALHGGAVVAGLPPVFAVGPLMPVKLGETGEEQGNYRAWLDAQPTRSVVYVSFGSRKALARDQIKELAAGLEACGHRFLWVVKGAVVDRDDAGELNDLIGDDEGFLQRVQGRGLVTKSWVEQDEVLRHPAVGLFVSHCGWNSVTEAASSGVPVLAWPRFADQRVNARVAARAGLGVWVERWSWEGEEAVVTAEDIAEQVAAVMGDEAVAEKAASVLEAAARAVADGGTSHRSLAEFVRRCRGGTAIHTPRVKF >PAN25158 pep chromosome:PHallii_v3.1:4:47417110:47421377:-1 gene:PAHAL_4G280200 transcript:PAN25158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGAVLRDHPGFQLLESEEVKLLGVRARPLAHDAQLRPGRLYFLVALPRPAAPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPASAATAPASPLSTASEGGPVRLRMRLPKAQVEKLMAESRDGAEAAARIMQLCAANAGSGAATPERGILRTPERSPRFVPTPDWGVGAGAFAQTPERSPRFAATPDWGTGFMMPSGAGTAPRTPERWPALPRTPEYASPDVKASRKEKRTRFVALPDEIIA >PAN22906 pep chromosome:PHallii_v3.1:4:3466763:3467622:1 gene:PAHAL_4G052400 transcript:PAN22906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLRLKGCAQAMPHLGITLATEGQARPTGVVRRTIRVREAHIKQLLADPRRTPLLPLSEDFLQGNPRLRESLLAAVAAVLSSIAESENILLQFFQKGFAVMEVEVDYDYQPRQGARGN >PVH47695 pep chromosome:PHallii_v3.1:4:9409584:9414283:-1 gene:PAHAL_4G119600 transcript:PVH47695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPLTAGSPTSVATWNRLFISLASSSSPFISACPESGHLLTLGDLVPRPNRRCPQPSLPASWPGRRDGSLSPVPSLHPSPATLPPPATSTSSTLTSSKGQHRVDRRRESSLPQDLGGAGSQKISTVLPRASFSYESDGEIRKSCGLEEICQVPAQLEVMLQQQNNLMLLLQERKTMSTLVLLLQKLKLKLLNKNHHRKHKVHN >PVH47289 pep chromosome:PHallii_v3.1:4:1839189:1840620:1 gene:PAHAL_4G029300 transcript:PVH47289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT2G18800) UniProtKB/TrEMBL;Acc:A0A178VQ29] MPAAMASGPRLTMRTTLVVLGALCSAALLAGVASAGNFYQDVDITWGDGRGKILNGGQLLTLSMDRSSGSGFQSRSQYLYGRFDMQLKLVPGDSAGTVTTFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRVWFDPTADFHTYSVVWNPSHIVFYVDGVPIRDFRARAASAAGVPFPASQPMRVYASVWDAEEWATQGGRVKTDWSRAPFVASYRGFGAAGCTSPDAAACARSNGAWMFQELDAAGQEQLRRAQASYMIYDYCADKYRFPQGPPPECSAANK >PVH47328 pep chromosome:PHallii_v3.1:4:2342679:2349245:-1 gene:PAHAL_4G037600 transcript:PVH47328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MLSRAAAIRRGAAAAFSTVSEKPETGLYGFDVLRTAKGFRRFVDDAIERSDELVAHVARLPSAPEIVRAMDEISNTVCSVIDSAELCRNTHPDREFVEEADKASMRIYEHLQYLNTNITLYNAILKAEGESVLLTEEARRAATTLRIDFEKGGIHLPEDKLERVNQLNLEIAQLGRKFSENVMNKPGFVDVYPASRVPRNLRHHFKSVYRFNFGSFEKQSNQMSTAKQKGLRIVTDSENLSSALRWISDEEVRKQVYIVGNSEPRENIGVLNELIDARDELAKIMGCKSYADFAIRPNMAASADVVMSFLGYLSNIVRHKADEEFKAIQDFKRRICNEKSADLEPWDEDYFIGMMKSSLNNLDASVIAKYFPLSQCLKGLNVLVESLFGATFHQIPMRDGESWHPDVIKLSLRHPDEGDLGFMYLDLYSRKGKYPGCAHFAVQGGRRLSDSNYQLPIIALVCNFSNSSGITARLNHGDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFECYAWDYRVLKTFALDETTGDAIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQASKPMDTISTVADLRRKHTSWKCAEGAHWHTRFTHLINYGAGYYSYLYARCFATTIWQEICQEDPLSRSAGSTIRDKFLRHGGSKDPSSLLKDFAGDAIIRNSGGGIIPDISSLCKEIDL >PAN23817 pep chromosome:PHallii_v3.1:4:9545486:9546998:1 gene:PAHAL_4G120600 transcript:PAN23817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQSAMQSGGAGAGCGAAVHEWFYASGGRQAPEQAAVVDGAFLMDLLEDAPGADQAPEDVDRLSCVIRSLEAEIGGGGWAAPPADGGSTVEHVPAGDVGCEGLEECMLSDDFYSAPGPCVAEAPFEYCWTEVPPAAGHDMGGWYVDGDGLVAGYEFREQCYYGYNDSPHVEHVYSPLWE >PAN25150 pep chromosome:PHallii_v3.1:4:47365227:47367197:1 gene:PAHAL_4G279600 transcript:PAN25150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGVKKGPWTAEEDQKLVGFLLTHGHCCWRVVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEERLVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIRKKLVRMGIDPVTHLPLQEPPAAAAPQEQEDHLPPPLPQQQQQGHLPPSDGGLVLQEDAGEEDLSLIQPHEITTTPPAPPTAAAAAASNCGSVSSASGGSASVVSPSCSSSASASAVSGVEATEWPEPLYLFGMDGIMDAGWDDLFPGTGGMGGVDPFDGYPGGGFDQDDGWM >PAN25375 pep chromosome:PHallii_v3.1:4:48542400:48550790:-1 gene:PAHAL_4G296500 transcript:PAN25375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDDVEEDDMDFNPFLREGSPSETSSSLTSEAECEEHSSGNRPTSETYQQNNLVNENTSDSALPQNNLSSKAVVKEIFPETTSTQVNLENDEGRLNGLQKEVLPSEAACSPTVQNPPHLFLSEEDAICRRTRARYSLANYSLEELETFLQESDDDGDPQNVDEEEEYRKFLASVLSGVGDDTQACQGDENQDEDDNDADFELEIEEALESDGDENAENYDDTNGKKGKDGRRPQTRQRRPFTELPGAGSYRHESNKTHLRPILPYVPTAVVTPAHSFGWKYPTQNALFPSSLVPVNCAPLVCGFTDQQLGQLHLLIYEHVQLLIQTFSLCVLDPSKQDVANNVKKMIVELAGSRDQALARSAPHRHIFFESQHLSSSFVSSESSECQWMPLIKSPVMSVLDVAPLQFALGYLSDVATAVVKHRKSHVDGTADKNRRKEPLFPSPVISNCQEASNISQDRPNSTPTASSVSSGQLQQKKSLAATLLENTKKDTVALVPADIARLAQRFFSLFNFALFPHKPPPAAMANRVLFTDAEDRLLALGIQEYNNDWGSIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPVKEVRRMKTSPLTVEEKECIREGLRIFKNDWTSVWRFVVPHRDPSLLQRQWRVASGVQKSYTKSDAEKERRRTYEAKRRKLRASMPDSRVVRGQEADNNASEDVENDDDSYVNEAFLEDTDSRSINMMPCQLPLPRNAGKSMTMQSGTCLDEECGTTGGYIEPQKGSGTRLDVTTSYIPFMYCPSDGPSYVRAPSTTAPVVSCGSLDQLQASQMSKEKGSCVVKLAPDLPPVNLPPSVRVLSQVAFHPNATHFHGTSDNAAKDMYSVPPLTFAESAYRQLNLFPDHRANSRLQQSGVSNENTTEDGADQDLQMHPLLFQYPRDVVSSYSHPLQNLINQSRKYDLFPFEKVQVERSNNQTTGSTENSTVNANTIDFHPLLQRTEVEVHDEVPEDDYHQSEYNMRQAPVASTPGQASTSPSERETSIDLNIHLCSPTEIKDSNDFRGTFGQSNVQAEVSRKDKAGVPELEVANSCSHHCIQEANEESMQGIVMEQEELSDSDEDNQHVEFECEEMDDSEEEQVQGPEASPIQNKGISASVICEEFHVSNDWSQIQQGSVQMDKQGASSMQNLQVSSRSARVKLKPETVKRTGSRANQRSSSSRTTETSRSKTRSSKQPQGQSTAERKPNDSKRTRKTPAPR >PVH48402 pep chromosome:PHallii_v3.1:4:50450508:50455050:1 gene:PAHAL_4G323900 transcript:PVH48402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWFCCTHFDTPYLENENGFKSSPDRTSGTGLTSNSDPAKPPSIEVPALSFDELKEKTDDFGSKALVGAGSYGRVYYAVLENGKHAAVKKLDASADPEPDNEFLAQVSVVSRLKHENFVDMRGYCIEGDQRLLAYEFATMGSLHDILRGRKGVAGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNLSSQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSCYLGNTKAR >PAN22560 pep chromosome:PHallii_v3.1:4:1636766:1640909:-1 gene:PAHAL_4G025900 transcript:PAN22560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGESVPHRGSEERRPPFASRSSASPPAPSARPREETAGEGKATAAVGSPVGEGLAGAAGRGRADLEEGRRGQIGGRVSVGSGLALEGRRGASGACARGEGSPGRRPPSPSARAQEEAAERGPRRAADLEECGSSSLGAAAGGEGESDSGPSSAKGGGSGEGRRKRRRSARARRRFISRSADIPSSSKRRRRGRVMDRVTNYAMQGCSNNDEIEEVEAAANAASRQHWMGSFHPYGMPAPVPPYFYPAPPTMPPPAGPPMQQVSEGPRVRGGDPDAGKSGQKVKLPNFNPEEDVNLTKWWLNISTDPVVNTGQRKEGFWLRIMKGYNSSRGVYPERSQKSLTTRWDYIKECCTKFSEFYSCVLRLNPSGMSDADKTTEAMARYAAALQKPFTQMHSWKLLKDEPKWEACIGAHSKVHVLGDDSADAAAGGANGVAGAAESDPPSSSGSKRPAGRDTTKAASKKAATSSSSSEYISQMNDMWGNKLSIIKEGQAEMATHHATMCVLQEKKITTDRELQEKKMAVERELEERRLAQEERRLAHEDRRLEMEQSRAAKEERAEEERILSIDLDRCSPALRLFYKRQQEQILAKYSLPPP >PVH47573 pep chromosome:PHallii_v3.1:4:6378525:6383037:1 gene:PAHAL_4G091400 transcript:PVH47573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKNPFVFLDVAIGDDRAGKMVFELFADVVPKTAENFGALCTGEKGVGKTTISPLYYRGTKFHKIMKGLMAQGGDFSKNNGTGGESIYGGTFEDENFVLSHDDRGLLSMANTGPNTNGSQFFITFKPAPLLTGRILSLASLFLEMMC >PVH48344 pep chromosome:PHallii_v3.1:4:49643593:49648263:1 gene:PAHAL_4G311700 transcript:PVH48344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNYYKTTTDRVPMLLLLPFSSAVALQFQTKTMALPESSCPKRCGDVDILYPFGIGAGCAMEGFELNCSKTEDGHGSLTFFKVIPVRTILLSEGQVRIMKHISTMSYNPLSKEIDPDIWGQNLSNTPFLYSGKSNMFTVIGVNTLAYMTDNVHLIIGCVSRCSPYNNLMAQDGMCRGAGCCQVALTGDMSNDGVYFNELYNTTDYYTNRSTTDRAEYQGYAVLMESEAFQFKTTYLNTTAFLNEHADRVPVILNWVVGKESCDVVRNSDSYACLSTNSMCVNSSSGSGYICNCTEGYQGNPYLPHGCQDIDECATNPCDGCINTAGNFTCPPPPSPKKKRSLNVVALAVGSSIGAVIVVIAITCTYLFHERKKLGKINRKYFQQHGGMLLLQEISLKQGIAFSLFTEAEFIEATDKFDDKNILGRGGHGTVYKGTLKEGSLIAVKRCVSMTSEQQKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGDNGCHNIPFSTRLPIALESALALAYLHSWASPPILHGDVKSSNILLDENYSAKVSDFGA >PAN25143 pep chromosome:PHallii_v3.1:4:47329259:47331186:1 gene:PAHAL_4G278900 transcript:PAN25143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAAVVAIAVLVLATVADAASTPAAAATTTTKSAPVIYIFGDSMSDVGNNNYLLLSLAKCNYPWYGIDYKTGYPTGRFTNGRTIGDIMAAKFGAPPPVPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEQIKNAMIAKIGKKAAEETVNGAIFQIGLGSNDYVNNFLRPFMADGIVYTHDEFIGLLMETMDRQLTRLYDLGARHIWFSGLAPLGCIPSQRVLSDDGECLNDVNAYALQFNAAARNLLEGLNAKLPGARMSLSDCYSIVMELIDHPQKYGFKTSHTSCCDVDTTVGGLCLPTAQLCADRKDFVFWDAYHTSDAANQIIADRLFAEMVGTGAVAPGNGTSPPRVVGAPAPTRVAPPRKP >PAN23914 pep chromosome:PHallii_v3.1:4:11588473:11592192:1 gene:PAHAL_4G132200 transcript:PAN23914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRHESTKQHGSGGGKAVLWLLLPPLLVLIVLKTDFLPQAARLRETGFTRFKDGMVNKVSSFGLDSSARGQQQSLDTEKPESAKGYNQQNEILATNGARDGSLVNSDVGGAATMSKLTCNFSNRHSDYCSMDGDLRFHGKSARVYVVSSSTFRPENSTITIRPYTRKWEPGTMSRIREVEVRSSAPAPHSFVIPPKCTVRHDVPAVVFSTGGCGKNFFHAMSDLIVPLYITAHEYDGRVQLLITDYNADWVAKFRPILAALSVYPVIDFDADTAVRCFPSAHVGLESHRILGIDPARARNGYTMMGFRDFLRSVLSLQRPWTAPVSRSSGQKPRLVFVLRRHSRAVTNEADAVAALADLGFEVVAAGPEDVSDMARFAAVVNSCDVMVGVHGAGLTNMVFLPHNGTIVQIIPWGNLKYPCRFDFGDPVPDMGLRYEEYEVTAQETTLKDKYPRDHPVFADPLSIERKGKVWDVFLEGQNVTLDIDRFRGAMQQIYQSITTE >PVH48482 pep chromosome:PHallii_v3.1:4:51393493:51407638:1 gene:PAHAL_4G339100 transcript:PVH48482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGWPEVPCLPAMEVRCGMRCEEGELRAPATISHPSYSKLRSPIPAVRHQHFPAHPGLLGTSLRPHVRRPPGSAPTCDGHSRPPPHQLGGGSSRPATMLGVALRPAQAPSRSICASASHPPSLGGDQWRRARYCPGNTAARPSPTKKKKSNPIQFPNPHRGSLLACSRPDLAGSHAAAGAVYKAIKDRRRRGGSGATPPAEAGPTKPPPAGARWHARARGPRGPADPDSGDCFRPAERAVLAGHRAGAVAVGVLPVPAGGRDPRGRSAKGRRLTGCWASGCTQTRRGGGGCSRHSVATVTDTRPLRRHSVGCDAVLSSPSRSAHSDAASPNRPHRRPRLLSQSLTPPAAPPPIKPLVSSCPRPLQPPHSQHIPSAAAGRRRSRGGWGSAGGVTSADSARAARDRPGGCGCRAKSRQRAATRASIGMERGSAAEYSEVKAVIGSNEEKKGQRSKKDKGQRKRKKDKAKGHGGDGDRSIESNDKNHSVEMEHAEVSAKMAEKLCSEHAEVIMSKRDAKKDRKKKKRNKEVDTISQKQIPDANDGSVGSEYVEMNKGEGEHDSTSKKGKRKHRDGETSSNGSCDQIVSGGDKKRKRKEPSVTLEEGNDVDVSKMGQNTEGKKKRRKERDNIAVDLSQNTPAGDGKNCNEEKKTSKDDNDGGKSRKVNMARRKDKGKRVSFTDDVEVFNIDGGGADEEGDGSGDSGLVHGKRFTPEEDAKLMEAIEKYAEMKQLGEKGLEMIRASMKHPELRGCWAEIATSLPHRPQMAVYKRARILLYRSAERKWTQEEYEIVRRFVEKNGTTWKELATDLGKSEIHVKDTWRRMKPKNLKKGSWTQDEYQNLFDLVNLDLRVKAHQKIAPSHRQLRDNISWEAISEKLTTRSNKDCCLKWYQQLASPLVKEGIWADTDDYLLMEALRKVDAVCVEDVDWERLLDHRSGELCRQRWNQMVRMIGGHREKPFIEQVEVLARRYCPEMLDYRKAESADLSPDELTGGTD >PAN23784 pep chromosome:PHallii_v3.1:4:9061814:9068585:-1 gene:PAHAL_4G117400 transcript:PAN23784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Shaggy-related protein kinase eta (EC 2.7.1.-) (ASK-eta) (BRASSINOSTEROID-INSENSITIVE 2) (ULTRACURVATA1) [Source: Projected from Oryza sativa (Os06g0547900)] MATTAPGGGPLPAADAMEVDPPRASADEKHVATVMGGNDAVTGHIISTTIGGKNGEPKRTISYMAEGVVGIGSFGVVFQAKCIETGETVAIKKVLQDKRYKNRELQIMRSIDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLSHQVKVCDFGSAKILVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCSALEACAHSFFDELREPHARLPNGRPFPPLFNFKQELANAPPELIGKLLPEHARRQSGFSSLFGTGP >PVH47922 pep chromosome:PHallii_v3.1:4:28519070:28520228:1 gene:PAHAL_4G185800 transcript:PVH47922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQLFLLYCTKACFFCNVFTGYGAALANVTTNKESRLVYIIYPCSTLCKIVFVSKISFTCCTFLCSFTTCYLAAVLLKQFVKQHWQEDEENFVPPVVSA >PVH47274 pep chromosome:PHallii_v3.1:4:1552789:1554430:1 gene:PAHAL_4G024700 transcript:PVH47274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPSNPAFSPQYIDMLFLSTSALTFTGLSTVTMEDLSSSQIVVLTMLMLVGGEIFVSFLGLMLRPNHQAKPTDPTGNKVVAVELDTIEPTNIDASIVEELQLEEAMCGAPTLSGSDLKNGRSVRYLGFVVFGYLAAIHVLGFLLVFLYIKRVPTARSILTKKGINIALFSASVTNMAIFSKNPVLLLLLTGQIFAGNLLFPLFLRLLVWFLGRVTKLEGMKLMIRDPKELRYSYLLPKLPTEFLSTVVGLAAVAATLFCAVDWNSPVFDGPSTSQKIANPLLMAVNTRHSGENSIDCSLVSSAILVLFIVMMLLGCNVIFVMVVYITERRGLRNDPLNFSMLNMIFEVIIAYGNVGLSTGYSCSRLQQLHPESICHDKPYNLSGCWSDEGKLMIVFVMLYGRLKPSAWAQANPGS >PAN25177 pep chromosome:PHallii_v3.1:4:47558406:47559274:-1 gene:PAHAL_4G281700 transcript:PAN25177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSTSATAPRFLRPFTATAPRRGMAASAAVPSGPPPPPSPAPKAVRVVVKGRVQGVFFRDWTVETARSLGLAGWVRNRRDGTVEALLSGDPDKVDEMVSRRIPVGPPAAAVTAVVPSPADPVDPAEGFRRKPTA >PAN23850 pep chromosome:PHallii_v3.1:4:10031108:10031815:-1 gene:PAHAL_4G124300 transcript:PAN23850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRAHMLSVSVQRSRLPAAGRAAPDDDDVRVCSVILASVVSLMLLCGVLSVLPSPGAIAATKAYVVLGLSAIMLVLMLLAWLVAPGIRALTAPRAPAPAAPAPAAPVPVRLARRLCACGLADAAGVVAALPAFPYGGPPAAVADDEEASASPRRQRSGVLCAVCLEDVLAGEMVRQLPACRHLFHVGCVDVWLRAHRTCPLCRCELPPRKAAAAAAGATAAAPVVAAGALPLPPV >PAN22318 pep chromosome:PHallii_v3.1:4:773551:774954:-1 gene:PAHAL_4G011400 transcript:PAN22318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYNKRLAASAVALVVVACALAAASTAGALAPSGWSKGTATFYGGSDASGTMGGACGYGNLYTQGYGTRNAALSTALFNDGASCGRCFKLTCDARADPQWCRRGTSVTITATNFCPPNYALPSDDGGWCNPPRQHFDMAQPAWERIGIYRGGIVPVLFQRVPCRRHGGVRFTVAGRDYFELVLPTNVAAAGAVRAMEVRGTRTAGWLTMSRNWGANWQSLAYLNGQGLSFRVTTDDGQTIEFADVVPPSWTFGLTYASRLQFK >PVH47947 pep chromosome:PHallii_v3.1:4:32299127:32300439:1 gene:PAHAL_4G195900 transcript:PVH47947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPIRPLATPGPAQIPQPVASGIHSPALPDISTSFRTPTSALLGQYATTHTPSASVSAPVSTGGLAKSSVTRQLKFSYSEITGLTTPSTHTPVGSIDSAQPLSVAESSVAPALAAVTTEAPPRVSAPEVSIEQRTVEPSTSVSDPTIAVRVTTPSPESTSAPPPTSDCGRTA >PAN25876 pep chromosome:PHallii_v3.1:4:50905502:50908628:-1 gene:PAHAL_4G331000 transcript:PAN25876 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MASLPNGTAAAAGSSGGRPVDKEVDFANYFCTYGYLYHQKEMLCDRVRMDAYHSSVFRNAPHFEGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVADIVEVIQGTVEDVELPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRTGLGDKKMEDLDIAMDDWNLFVQDTQAYYGVNMNALTKAYRAEHEKYYLKSAIWNNLHPNQVIGQPAVIKEIDCLTATVDEIREVRAQVMLPISLEGARLSALAGWFDVHFRGSAQNPAVEEVELNTAPDEQGGTHWGQQVFLLTPPVKVSKGDSVNVSFSMVRSKENHRLMDMEFTYELHEFSGRKHPAITTKMYLE >PAN23237 pep chromosome:PHallii_v3.1:4:5328794:5337387:-1 gene:PAHAL_4G078000 transcript:PAN23237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILNGISGIIKPQRMTLLLGPPGSGKTTLLLALAGRLGNDLKVSGNVTYNGHGMDDFVPQRTAAYVSQHDLHIGEMTVRETLAFSARCQGVGYFYDLLCDLLRREKEANVKPDADLDAFMKAAALGGQEANVVVEYMLKILGLEVCADTMVGDEMFRGISGGQRKRVTAGEILVGSARALFMDEISNGLDSSTTFQVMNSLRQAIHILGGTAVISLLQPAPETCNLFDDIILLSDGQIVYHGPREDVLDFFESMGFRCPERKGVADFLQEVTSKKDQKQYWAHHDQPYRYVSVKEFAESFCLFRVGQAMENEIAVPFDKSMSHPSALAASKYGVTTKELLKANIDREILLMKRNSFFYMFRVVQLILLSVIEMTLFFRTDMHRDSVANGGIYMGALFFTTLMIIFNGFSELTLTILKLPIFFKQRDLLFYPAWTYTVPSWILKIPITFLEVGGFVFITYYAIGFDPDVVRLFKQYLLFLAANQMSASLFRFIAGAARNMIVAYVFGSFAILVVMLLGGFVISRDNINKWWIWGYWTSPMMYAQNAVSVNEFLGQSWQKVLPGSTEPLGVLILKSHGIFPEAKWYWIGFGALLGFTLLFNSLFTLCLAYLKSYGPSYPSVSEETLKEKNANLIGVAVDVSVHKVKGLGSNCQSSESFCQATGSYNETKLASVDANSMPSQRGMVLPFVPLSLIFDSIRYSVDIPQEMKTQVLEDKLEILKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTSGYIKGSISISGYPKKQETFARVSGYCEQDDIHSPQVTVHESLLFSAWLRLPGDVNSATRKMFIEEVMELVELTPVRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKQGGEEIYFGPLGHHSSEMIKYFEEIEGVAKIKDGYNPATWMLEVTTVSQELVLGVDFSDIYKNSELYQRNKSLIHELNTPPPGSSDLHFPTTHSQSFFTQCLACLWKQNLSYWRNPQYNAVRFFFTAIKALLFGTIFWGLGSKREKPQDLFNAMGSMYAAILTIGVLNAASVQPVVSVERTAFYRERAAGMYSAFPYAFGQVLIELPYTLVQTCIYGTIVYAMMGFKWTVTKFFWYLFFMYFTLLYFIFCGMMAIGLTQNHTVASIVSAGFHATWNLFSGFLIPLTKIPIWWRWYYWLCPVAWSLYGMVVSQYGDDVDTPLFDGVSNTTVAKFVSDYFGYKCSFLGVVAVVVAAFGLLFALLFGLAIMKLNFQRK >PAN23236 pep chromosome:PHallii_v3.1:4:5328696:5337387:-1 gene:PAHAL_4G078000 transcript:PAN23236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILNGISGIIKPQRMTLLLGPPGSGKTTLLLALAGRLGNDLKVSGNVTYNGHGMDDFVPQRTAAYVSQHDLHIGEMTVRETLAFSARCQGVGYFYDLLCDLLRREKEANVKPDADLDAFMKAAALGGQEANVVVEYMLKILGLEVCADTMVGDEMFRGISGGQRKRVTAGEILVGSARALFMDEISNGLDSSTTFQVMNSLRQAIHILGGTAVISLLQPAPETCNLFDDIILLSDGQIVYHGPREDVLDFFESMGFRCPERKGVADFLQEVTSKKDQKQYWAHHDQPYRYVSVKEFAESFCLFRVGQAMENEIAVPFDKSMSHPSALAASKYGVTTKELLKANIDREILLMKRNSFFYMFRVVQLILLSVIEMTLFFRTDMHRDSVANGGIYMGALFFTTLMIIFNGFSELTLTILKLPIFFKQRDLLFYPAWTYTVPSWILKIPITFLEVGGFVFITYYAIGFDPDVVRLFKQYLLFLAANQMSASLFRFIAGAARNMIVAYVFGSFAILVVMLLGGFVISRAYGPSYPSVSEETLKEKNANLIGVAVDVSVHKVKGLGSNCQSSESFCQATGSYNETKLASVDANSMPSQRGMVLPFVPLSLIFDSIRYSVDIPQEMKTQVLEDKLEILKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTSGYIKGSISISGYPKKQETFARVSGYCEQDDIHSPQVTVHESLLFSAWLRLPGDVNSATRKMFIEEVMELVELTPVRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKQGGEEIYFGPLGHHSSEMIKYFEEIEGVAKIKDGYNPATWMLEVTTVSQELVLGVDFSDIYKNSELYQRNKSLIHELNTPPPGSSDLHFPTTHSQSFFTQCLACLWKQNLSYWRNPQYNAVRFFFTAIKALLFGTIFWGLGSKREKPQDLFNAMGSMYAAILTIGVLNAASVQPVVSVERTAFYRERAAGMYSAFPYAFGQVLIELPYTLVQTCIYGTIVYAMMGFKWTVTKFFWYLFFMYFTLLYFIFCGMMAIGLTQNHTVASIVSAGFHATWNLFSGFLIPLTKIPIWWRWYYWLCPVAWSLYGMVVSQYGDDVDTPLFDGVSNTTVAKFVSDYFGYKCSFLGVVAVVVAAFGLLFALLFGLAIMKLNFQRK >PAN24765 pep chromosome:PHallii_v3.1:4:44946537:44946830:-1 gene:PAHAL_4G249900 transcript:PAN24765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMRYRALPQGEPTVEEFRAWLAQFDADRDGRISREELQHALRSLNVWFAWWKARGGVRAADANGDGGVAGDDEVARLFAFAQRHLHAKIAQLGFY >PVH48323 pep chromosome:PHallii_v3.1:4:49399538:49401879:-1 gene:PAHAL_4G308200 transcript:PVH48323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDQTIQRPHPPTTYTHRRRARGDRSTRSRRGGRPAGDAGKKDGEGSGADEGGGVLAVAVPAEGDAGAVEGHHHQDPPQGHRELDLRHAPPRPRRRHLPICDVVQGAGEAIPQILNERSSKLTATAAGFFMMDSIILQG >PVH47340 pep chromosome:PHallii_v3.1:4:2534215:2535636:1 gene:PAHAL_4G041000 transcript:PVH47340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYALGFQGHIIYGRDVYWALAILVDRPVLFVELNSQGFLQAVSILLQGTKQNRSTVETHRSRQSRAVI >PAN25894 pep chromosome:PHallii_v3.1:4:51025338:51027186:-1 gene:PAHAL_4G332400 transcript:PAN25894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMPQSGSLDLGLSLGLTSQGSLSSSTTSGALSPWAAALSSVVGDVVARRDAHAQQQHGAVAAAADPDRGAMRASTSPDSAAALSSGASGDNKRERELERTGSGGVRSDEEDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSPAAQPASPAATLTMCPSCRRVAAAGAPANHQQQCHPKSNAAANVVPSHCQFFPAAVDRTGQSTWNAASAAAPLVTRELF >PAN23084 pep chromosome:PHallii_v3.1:4:4278210:4289860:-1 gene:PAHAL_4G065600 transcript:PAN23084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAALHLLAILCLAGAASAAECGANTSLAGHHADLRMSQHQLRGRVEVLDGCSFRVAGLDLLPGSASARWWRADGTELDALARGEPAAADPLDRTFRSESLVFRLLPGVSWTRVPVLAAYDPLTSSLFGFVRLSGANASSDPSSSSASAAPTMLDSCAQLSPRFRVRWTLHEANNSVDIGLEAAVGSEYYMAFGWAQPGVAKPSVIGADLVVAGFTEDGLPFADDYYVTKNSECLLREDGSVEGVCPDTIYGRNDSAALVNDTRLVYGHRRDGVSFVRFSRPLVSKDSKHDVAVNATRNMTVVWAIGLLRPPDSLRPYYLPLLSRGASAGTAFAFAKLKLSHAGSECVGPLDADDKEDQARITSERKTPLVVTVGPALHYPNPPNPDKALYINKKEAPLLKVERGVPVTFSIEAGHDVPLYITSDPVGGNATSRNTTEVIYAGGPNAEGVPATPTELVWLPDRNTPDLVYYQSLYDQKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGEKKSGYLAIGFGSAMVNSYAYVGWVDGNGKGHVKSYWIDGKDGMSVHETHENVTHKRCRLENGAIIFEFTRPLTPSCSGRVECKNLIDPTTPLKVIWAMGAQWSSGPLSLKNMHSDTSNRPIRILLLSGLAEAVEDLRPVLAVHGFMMFVAWAILFPGGIMAARYLKHLKGDLWFQAHIYLQYSGIAVMLLGVLFAVAELRGFSFRSRHARIGAVAFTFACVQPINAYLRPHRTENRESLSRNRIAWEYLHHFTGRSAALAGIVALFTGLQHLGHRYGSKNIKGLTCGLILWFLSVALVAAYFEYLAIKRRRDGADGLSGKWVLGNTEEDDTVDLLQSDRVVSKMESNSSSEPMEVQLEPLKG >PAN25261 pep chromosome:PHallii_v3.1:4:47979225:47980004:-1 gene:PAHAL_4G287400 transcript:PAN25261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHDVHSVDSFAQLPLLRGAGHAAPTMAGRDATIRLFGRDFSNDQQAGQLLLRKEDDGVVAGEGGEAAAAAGERKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAHCGAYLPGAHLYGLFGYGAGHTALPAAHYPAAVWAGAVPGLYGGGVAPPVPRPPVYGGMPVAPGMWRPSPAGSGPFCAAGRPEGELATYAEMAGKGDKVAMSVVTSLPALPPTCLSGQSPEMIGRPELGHKDGILSLDLCL >PAN22351 pep chromosome:PHallii_v3.1:4:915703:919331:1 gene:PAHAL_4G013400 transcript:PAN22351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRMVRSCVQTALKAVNSVVGLAGMAVILYALWMLRAWYREVADLDQRFPVPWFIYTFLGLGIFLCLLTCSGHIAAETANGHCLSCYMIIVFVLIILEGAITVDVFLNTNWEEDFPPDPSGKFDEFKDFVRSNFEICEWVGLSVVAAQVLSIILGMVLRTLGPDRETDYDSDDDTTVPARLPLLRNQSQHGPDYAEPNTSRRNDSWKLRILDKVNN >PVH48054 pep chromosome:PHallii_v3.1:4:41602446:41602985:1 gene:PAHAL_4G228800 transcript:PVH48054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEAVDEYGIDLHGRDKDEWMMEEETGLLGAGELTPLSHAPVSVELDGPRPHAAVRLSAPHAAAGQASAGREEKDWGRFLRSVTSPLAKIWLLYQMYK >PAN23515 pep chromosome:PHallii_v3.1:4:6925837:6926763:-1 gene:PAHAL_4G098000 transcript:PAN23515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVKGGTVWIASQSTFVQIFLANLVADGSKTSSGFKRVHLNACAKALNEHFKINRTHEQISNHLKILKKKYIRINQLRSKSGAVWDEENFIIRYDHEMYTSYFKDESGKERNKGDDEYINKPLPYYGNLATIFGDSVPTGQFMKTSSEPLVVDVEDDTQKNEMNVGTPSSSTIDKDDTAASGNRPSKRAKKDDNGADPLVQTFDHGTQTLASAIRDAASKKALPPSLFEAVDSLPGFELEQKAKYYSYLLNHPNVTHGFVDAPLLYKLSMVTEFINANM >PAN23717 pep chromosome:PHallii_v3.1:4:8332733:8334920:1 gene:PAHAL_4G112200 transcript:PAN23717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTTPMPPAPPVALVGGKGGHHVYVTVPQRAEGDAAGHCGRELKCRAVPLLAAGETVQEAAALCRLAFPIALTALLLYSRTALSMLFLGSIGDLPLAAGSLAIAFANITGYSVLSGLSLGMDPLCSQAFGANQPRLLGLTLYRSVLFLLCCSLPLSALWLNMSKILVFLGQDREITALAQEYILFSLPDLFSFSIIHPLRVYLRSQGITRPLAAAAGAAVLFHVPANYVLVGHLGLGAPGVAAAASASNFVLLGVLLAYVVGRRDAALLAAGPPTVEWLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPDPKPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAAHVAVAGAAAMGLAAMSFAAGVRHAWGRMFTADADILRLTAAALPIVGLCELGNCPQTVGCGVLRGSARPTRAAHVNLGAFYLVGMPVAVLLAFGLGVGFVGLWMGLLAAQVCCAGLMLCVVGSTDWEAQARRAQELTSCSPADVEKPGAHRSATAAGEGGRPEKGAGRHEAEVLRAIDLQQ >PVH47569 pep chromosome:PHallii_v3.1:4:6310134:6315149:1 gene:PAHAL_4G090100 transcript:PVH47569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKPSPPPPRHRLPQRGVPIRSPRLFYSHPRASSPPSSSRSRTLPLHRHSTRSPARVAVARHRRLRFPRTEASGQRLPRARYKAKAHPAAMAPAPASLSAPRALSLLAPAARVSPGRWNGLSFSVAARPVTGVVSAAQTRLVAAAAATEMAPAASGEEGGKPFVEEMRAVAMKLHTKDQAREGEKEPQAPPVAKWEPSVQGYLRFLVDSRLVFQTLEDIVDRAAVPWYAEFRNTGLERSEALKKDLEWFKEQGHTIPEPSDPGTTYASLLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSQLLQEVRNKLNQVASSWSREEKDHCLEETEKSFAYSGALLRHIFT >PVH47570 pep chromosome:PHallii_v3.1:4:6310134:6315292:1 gene:PAHAL_4G090100 transcript:PVH47570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKPSPPPPRHRLPQRGVPIRSPRLFYSHPRASSPPSSSRSRTLPLHRHSTRSPARVAVARHRRLRFPRTEASGQRLPRARYKAKAHPAAMAPAPASLSAPRALSLLAPAARVSPGRWNGLSFSVAARPVTGVVSAAQTRLVAAAAATEMAPAASGEEGGKPFVEEMRAVAMKLHTKDQAREGEKEPQAPPVAKWEPSVQGYLRFLVDSRLVFQTLEDIVDRAAVPWYAEFRNTGLERSEALKKDLEWFKEQGHTIPEPSDPGTTYASLLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSQLLQEVRNKLNQVASSWSREEKDHCLEETEKSFAYSGALLRHIFT >PAN23428 pep chromosome:PHallii_v3.1:4:6310489:6315417:1 gene:PAHAL_4G090100 transcript:PAN23428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKPSPPPPRHRLPQRGVPIRSPRLFYSHPRASSPPSSSRSRTLPLHRHSTRSPARVAVARHRRLRFPRTEASGQRLPRARYKAKAHPAAMAPAPASLSAPRALSLLAPAARVSPGRWNGLSFSVAARPVTGVVSAAQTRLVAAAAATEMAPAASGEEGGKPFVEEMRAVAMKLHTKDQAREGEKEPQAPPVAKWEPSVQGYLRFLVDSRLVFQTLEDIVDRAAVPWYAEFRNTGLERSEALKKDLEWFKEQGHTIPEPSDPGTTYASLLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSQLLQEVRNKLNQVASSWSREEKDHCLEETEKSFAYSGALLRHIFT >PAN25359 pep chromosome:PHallii_v3.1:4:48486297:48488021:1 gene:PAHAL_4G295400 transcript:PAN25359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARMPCHHHPSSTSSSSMAMISPRSGTRKAAFRFSTSAHGSSSSSSSHLSLTPATDNNKVFEDQFRGILCYRDENGEMICEGYDEGPRLGIRLPEKACFPWPVGVQVTDFIQLATLQVFEDVDVL >PVH47865 pep chromosome:PHallii_v3.1:4:24556018:24557039:1 gene:PAHAL_4G173200 transcript:PVH47865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPAHGKPGVVALRTWPVLPGGAHGRLGFPAPRPQPVRRRRCLPCAPAPPARSPPDPRRHPSPSSATALAPSSPTHRLSSGRGAAAPRAPRPQTVWPAASEAGQHRRSRGGGGPWGRSAHLRLRAPGYARPGLRRLRAQRRRRRHAARLCQNITVYIDIVKISSVRNVTTKVYMHILIS >PAN24795 pep chromosome:PHallii_v3.1:4:45104244:45108351:-1 gene:PAHAL_4G252800 transcript:PAN24795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGNKWCDFCKIFIANNPFSIRTHELGKRHKDNVTKRLSTMQKESDAKDKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWVFDSTSGYCYDKSTGLYYDSNSGFYYSDGLGKWVTQEEAYKSVQTSKIDVGQSSTSQTKAPPAAETAVPAIKGGPAPGRVVTKPLNPMRPIKGTPAPSAVAVNKRKREDKKPKVISKEEEAALKAREAARKRVEDREKPLMGLYRTY >PAN25840 pep chromosome:PHallii_v3.1:4:50742284:50744129:1 gene:PAHAL_4G329100 transcript:PAN25840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLLIRSPLPVVLFCVLAAAVAAAPNVTSDEEYWAARAEAARASNLAAYVSDPVAAMNRFNAETLRATTRRSLGRYQGPCMATNPIDRCWRCRADWAADRRRLARCARGFGHRTAGGAGGKIYVVTDASDDEMVIPRKGTLRYGAIQDRPLWIVFARDMVVRLRQELIVNHNKTIDGRGAQVHIVGAQITLQSVRHVIIHSVHIHHSAPHSGGMIRDSKRHYGLRTRSDGDGISILSSSNVWIDHVSMYRCSDGLIDVVNGSTAITISNSHFTKHDHVLLFGASNDNPQDAAMQVTVAFNHFGKGLVQRMPRCRYGFFHVVNNDYTHWQMYAIGGNKNPTIISQGNRFIAPDDPNAKEVTKREYTPYGEYKEWVWKSQGDVMMNGAFFNESGGQNERKYDQLDFIPAKHGSYVGQLTRFAGALNCRVGEPC >PVH47785 pep chromosome:PHallii_v3.1:4:17498358:17500419:1 gene:PAHAL_4G152300 transcript:PVH47785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHVKRKLAPVGAIEVNRAPRNGYGTRPTKRSHGLAEGLTLTISSLLCFFLLQRERGGGGKKQAAPAACGRAGALTRGEPRARKETRRGPRAREGEGAAQAGPRGRAEAARRGAWPRGGGATREAARGVGDRGEQRGPRARRGARRTPAGEAARGRGEAAGGPAKAWRRVASQGLRAVRKKRREREEEEKGVELLRRPAVEVHRQLAGGWPATAGWGPKSLGCDSNSTLLQCHNRADMVLYKSA >PAN24537 pep chromosome:PHallii_v3.1:4:42046207:42047584:1 gene:PAHAL_4G231500 transcript:PAN24537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPCAVMLIPSALLLLALSSSAVAQLQVGYYSKTCPNVEAIVREEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEGNLAERDAKPNKSLRGFGSVDRVKAKLEAACPNTVSCADVLTLMARDAVMLAKGPSWPVALGRRDGSVSSATEAADQLPPANGDVPLLTMIFASKGLDLKDLVVLSGAHTLGTAHCPSYAGRLYNFSSAYSVDPTLDSEYADRLRTRCKSVDDKATLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLSDATTREYVQRIATGKFDDVFFKDFSESMIKMGNVGVLTGAQGEIRKKCYIVN >PAN25643 pep chromosome:PHallii_v3.1:4:46528861:46531840:-1 gene:PAHAL_4G270300 transcript:PAN25643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLPWAATASPATTPATAAVSLAPLLRAAVLRSPRPLFRPPPLLLLRAGLRSPPRAASSDGNVFWEEPDDGSGSDYEDEVEEENERRRSSRSPSLSPFSRLEAARQQEQELRREIELLLTPEEKAILDQHETPGVTKISSPKWHPLHSYALALQIPLMDKLLDSGVDINLLDKDGFTPLHRAIIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVSDNDGWTPLHLAIQSRNRDIVKVLLVNGADRTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPANRV >PAN25041 pep chromosome:PHallii_v3.1:4:46528476:46531838:-1 gene:PAHAL_4G270300 transcript:PAN25041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLPWAATASPATTPATAAVSLAPLLRAAVLRSPRPLFRPPPLLLLRAGLRSPPRAASSDGNVFWEEPDDGSGSDYEDEVEEENERRRSSRSPSLSPFSRLEAARQQEQELRREIELLLTPEEKAILDQHETPGVTKISSPKWHPLHSYALALQIPLMDKLLDSGVDINLLDKDGFTPLHRAIIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVSDNDGWTPLHLAIQSRNRDIVKVLLVNGADRTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPANRV >PVH48191 pep chromosome:PHallii_v3.1:4:46649533:46649917:-1 gene:PAHAL_4G272500 transcript:PVH48191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVLTYMQSLSAAMGVPPPASLFAQPPPPDPYSTPRQSAASNDPHATPSPNQATPNQPSRNLRM >PAN22729 pep chromosome:PHallii_v3.1:4:2543085:2543378:-1 gene:PAHAL_4G041200 transcript:PAN22729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAIMQIQYVLLWYLPTIVPLVSSSVVFIYYPCPMMIEFRLVSVHYRLCLMASLHAASCWFSFCFAFFGDSQSSSAVLRYCKIMPRGELLDSGVEL >PVH48078 pep chromosome:PHallii_v3.1:4:43249869:43250475:1 gene:PAHAL_4G238000 transcript:PVH48078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRAESRRQGVGRTPHERKADAHLGKKRRRRLARAGEKARSDARTGRERELRAEEIACLCTHAGTPHRCSAPIERERRKERRGGREEDGGRTWNRAREKQREQRVCAGIARLHLPERPHLERVGEEGGGAGPQSSCTRNLVQRLPCLLLRPIQSAYIYIQALVGSCPVLSAPPPRPPSLLAVHVPLPLPSSAL >PAN25873 pep chromosome:PHallii_v3.1:4:50894412:50896758:1 gene:PAHAL_4G330800 transcript:PAN25873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAPPPQDMSYYDHCQKRHQEKGCLYGCIFTALCCFCCYETCECCLDCLCCCCN >PAN25874 pep chromosome:PHallii_v3.1:4:50895465:50896762:1 gene:PAHAL_4G330800 transcript:PAN25874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDAPSAQEMSYFDHVQRRHEEKGCLYACIFTALCCFCCYETCECCLDCLCCCCN >PAN22589 pep chromosome:PHallii_v3.1:4:1879987:1883188:-1 gene:PAHAL_4G029600 transcript:PAN22589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHASVLLEQLAPPQPDLGAPGFWRDFLVGMLKPLAATAAVALAVALSFTQRLGIEREMLYAIARSFVQFSVVGFVLHFIFSQKNTAPWILLAYLFMVTVAGYTAGQRAKQVPRGKYIAFVSILVGTMITMALLVALRIFPFTPRYIIPAAGMMVGNAMTVTGVAMRKFREDVKIQKNLVETALALGATPRQATHQQVKRSLGIALSPAIDNAKTGGLISLPGAMTGLIMAGASPLEATQVQITLKNMLMAASTISSILSSYLCWPAFFTKSFQLKDEVFDD >PAN23724 pep chromosome:PHallii_v3.1:4:8407224:8411890:1 gene:PAHAL_4G112500 transcript:PAN23724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNTSCLSLLVVLLLLLAGGCSSQRAGGGGDREALLAVKREWGGPSQLASWDPAANPDHCNWTGVTCATGGGGVVTGITLPRFNLTGSVPAPVCSLKSLARLDLSYNNLTGAFPAAALHACAGLSFLDLSNNQFSGALPRDIDGLSPAMEHLNLSVNGFDGEVPPAVARLTALKSLLLDTNRFAGAYPAAEISNLAGLKVLTLADNLFAPAPVPAEFAKLTNLTYLWMDKMDLTGEIPEAFSSLTELTVFSLASNKLTGSIPAWVLQHANLQNIYLYNNSLSGELASNVTAMSLVEFDVSTNQLTGEIPEAFGDLKNLTFLALYSNKFTGAIPATVGLLPRLRDIRIQDNQFSGELPPELGKHSPLANLDMGNNDLSGQLPEGLCASGTLYNIDAFNNSFSGEFPAKFGDCVTINNLMLSNNRLSGDFPAKVWSFPKLTTVMIQNNSFTGTLPSEISSKISRIEMGNNMFSGSVPTSATGLLTFLAENNRLDGELPSDMSKLSNLTDFSVPGNRITGSIPPSIELLRKLNSLNLSGNQISGTIPPGRIGMLRVLTILDLSGNELTGDIPSDMGQLHFSSLNMSLNQFTGEVPPSLQIPAYSRSFLGNHLCASAADWGTNLPACRGGARDELSRVLIILFSLLGVVVLIGSIGIAWLLFRRRKESHEVTDWKVTAFTELDFAESDVLRGIREENVIGSGGSGKVYRIHLGAGGRDEESGGGRMVAVKRIWDSRKVDEKLDKEFESEVKVLGSIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHRGREGAPAPLDWPTRLAIAVDAAKGLSYMHHGCGQPIVHRDVKSSNILLGPDFQAKIADFGLARMLAKAGEPESVSAIGGTFGYMPPEYGYMSRVSEKVDVYSFGVVLLELATGKVANDSGAELCLAEWAWRRYQEGPPFDDVADVEVRDDTACLQDVVSVFTLGVICTGEEPQARPSMKDVLHQLVRCCRVPAEAETCRVE >PAN25351 pep chromosome:PHallii_v3.1:4:48418237:48419139:-1 gene:PAHAL_4G294600 transcript:PAN25351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLCRPPGEPAHTCCVSLRLPCGDTGGSPSPPPQPCSSGEDAVAARRDEEMRLRRGAAQPCLPVRTQGQGGGEGVWLFGSRRWLLQSIFVALPAVDLLRFDLDAARRALIAIHA >PAN23685 pep chromosome:PHallii_v3.1:4:8132399:8137540:-1 gene:PAHAL_4G110200 transcript:PAN23685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEFGRPFIILREQEKKTRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIAFDHLERISQKFEFSADNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDAGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFEELSPEKLGKAGLVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMVEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLLPIDTLTAVKAQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPAEY >PAN22815 pep chromosome:PHallii_v3.1:4:3004276:3008427:-1 gene:PAHAL_4G046400 transcript:PAN22815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNSLSMVEARLPPGFRFHPRDDELVLDYLAKKLGGGGGGGSVVVSIYGCPTMVDVDLNKCEPWDLPDIACIGGKEWYFYSLRDRKYATGQRTNRATDSGYWKATGKDRPISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRMEGQGDPMKLPFKEDWVLCRVFYKSRATIAKPPTESSSYNIDAATASLPPLIDNYNISFDQPGSVQNLEGYEQVPCFSNNPSQPSSSMNAPLPSSAMGDHQEQQMGKSIKDVLMSQFSRFDGNVKRETPQQSNFSQDGFEYLAESGFTQMWNSFG >PAN23802 pep chromosome:PHallii_v3.1:4:9370776:9376722:1 gene:PAHAL_4G119200 transcript:PAN23802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSERHHSIDAQVRLLAPGKVSEDDKLVEYDVLLMDRFLDILQDLHGPGIREFVQDCYELSAEYEGDRNAARLKDLGSRLASLAPADAILVAGSIQHMLNLANLAEEVQIAHRRRNKLKSGDFADEGSATTESNIEETIKRLVDLGKSKEEVFEALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLTQLNAKDITDDEKKELDEDLHRAIQAAFRTDEIRRAQPTPQDEMRYGMSYIHETIWKGVPKFLRRVDTALKNIGIDERLPYNVPLIQFCSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFTGLENLMFELSMWRCNDELRARAQEIHSAPKKAAKHYIEFWKQIPLNEPYRVVLGNVRDKLYNTRERARQLLTNEISDIPEESAFSNVQEFLEPLELCYKSLCECGDKTIADGSLLDFLRQVSTFGLSLVKLDIRQESERHTDVIDAITTHIGIGSYRSWPEEKRQEWLLSELRGKRPLLAPDMPQTEEIADVLGCFRVLAELPRDSFGPYIISMATAPSDVLAVELLQRECHVRDPLPVVPLFERLADLQNAPASMERLFSVDWYLERINGKQQVMIGYSDSGKDAGRLSAAWQLYRAQEELAQVAKRYSVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTINGSIRVTVQGEVIEHSFGEDHLCFRTLERFTAATLEHGMHPPVSPKPEWRKLMDEMAVVATEEYRSIVFREPRFVEYFRSATPETEYGRMNIGSRPAKRKPKGGIESLRAIPWIFSWTQTRFHLPVWLGVGAAFQSAIKKDSKNIQKLKEMYNEWPFFRVTIDLLEMVFAKGDPSIAGLYDELLVADDLKPFGEQLRNKYLETQQFLLQIAGHKEILEGDPYLKQGLRLRTPYITTLNVFQAYTLKLMRDPNFQVKKQPPLSKEFSDEKKPAGLVELNPASEYPPGMEDTLILTMKGIAAGMQNTG >PVH48446 pep chromosome:PHallii_v3.1:4:50871687:50873125:-1 gene:PAHAL_4G330400 transcript:PVH48446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLVDRYVIFEDELAKDLRGKEMEAELQRATKELMRRYREEVELPADEILLQRKASRPSAVKKVAVPLTGNCDDHDADFYSQALDGIEPHLRQVADPPGLNSLVLRVSWPGDSALRRFPTGAFISSADHNLLALYVGPYRPIFAGAGFYLVYDAWANSVAVVPQLPSRSINVFSHCNIGAGVAVLRHNLPSDYILVELLPRQDNRGLISTSATFCMWWSSGPAAGRWIQKEVVLPLPSEPEEDSSQPDYNFCADTIFTAGTNCLCWVDLLQGILICDHVLDHHPEFRFVALPWECSVNIKPDPEGGRGMPDQYRSMRCVRRGDEHIIKFISMHGHGQGADISDVALMIWTLDPCDPMSKWKAGKTSFRISDLWCDPIYKRELPPRTPSCPVVSLVQDDVIYITVEDMLNTEARGPCMLSLDMNKHRVLSAFMPPPRSRVYPLPSIFASTFTTYLNKFS >PAN22479 pep chromosome:PHallii_v3.1:4:1408789:1413303:-1 gene:PAHAL_4G022500 transcript:PAN22479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRQELCRNFQRGSCKYGAQCRFVHASSQQQQQQQHAKPNPFGFGSASRPQQQQLFGSQFQQQQQQQQKPNPFGFGVQGAAGQSRNAPGPAKPFQNKWVRDPSAAPTKQPELAQAPAAAHTSCADPESCRQQIADDFKNETPLWKLTCYAHLRSGPCDIKGDISFEELRAKAYEESRQGNPLQSIIEGERNLQNAKVMEFTNFLNNPRVSVSQTTSFPTVASFPEVKNNSPFGVPQTNGPPVFSSFSQVGSANNFGPGPRTAPGVPTNSLFGQSSQASHPAFPAPTFGRSDMKFGVSGSQQPSGSLQGSSMSSAGNFPKPPAGYQQSASSSHHRDIDRQSQDLLSGIIAPTSAVNQAPVEENKNENQDDSIWLKEKWSIGEIPLGEPPQRHISHVF >PAN24114 pep chromosome:PHallii_v3.1:4:30656478:30656842:1 gene:PAHAL_4G192500 transcript:PAN24114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKNSPILLFLSAGSTIYPMPLAFQSVAIPSDFSVCLHGEGYAARKHNRLMVWCTYVPSLHSCSIMLMWIPVHTVCSFFKYGHLFGCAYSMVIA >PVH48417 pep chromosome:PHallii_v3.1:4:50555096:50557878:-1 gene:PAHAL_4G326100 transcript:PVH48417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEGRRGIPSLLKPPLPPETEAGSLQQEHIASDITQLVGWTPLIEVKRITQKDEGNARIVGKLECYQPLCSVKDRSALRMVEDAEEKGLISPGVTTLVEPTSGNMGIGLAYIAVARGYRFLAVMPAEYSLDKQILLRYLGAEVVLTDPSLGFQGQLDKVEQLKKEIPSVHVLDQFANAANPEAHFTWTGPEIWKDTAGKVDIFVAGSGTGGTISGIGKYLKMKNPAVKVICVEPAESPVISGGKPSRHKIQGVGPGFVPKNLDTSLIDEIITVTAEDAMANARRLAREEGLLAGISSGANLAACLKVASREEKKGKMIVTVFPSGGERYMNSDLFAAVREECIAMTF >PVH47685 pep chromosome:PHallii_v3.1:4:8962714:8964361:1 gene:PAHAL_4G116100 transcript:PVH47685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRRFGLAADNILDAKLVNADGELIDRAAMGEDLFWAIRGGGGGNFGIVVSWKVSLVRVPSTVTAFNVMRTLDQGAIDVLTRWQEVGPTLPFDINMRAIIQGQQVTFQTLYLGRCSDVVPTLGTFFPELGMTGADCLEMTWLQSVVFFDTWNPSAPVESLLNRRTSLSTFTKNKSDYVRRAIARDDWKNIFPWFAMNGAGMIILEPHGGFIGAIPASATPYPHRSGVLYNIQYIAFWPSGSDGSAATSWINNFYDFMGQYVTKNPREAYVNYRDLDIGENTVVNDVSTFDGGKVWGEKYFAGNFRRLAAVKAAVDPTDFFRNEQSIPPLLQGNNRWGKRLG >PAN23607 pep chromosome:PHallii_v3.1:4:7493350:7495779:1 gene:PAHAL_4G104300 transcript:PAN23607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNITACVTFMVLLCTVPIAATGVWLASRHGGEGCARLARWPVAALGALLLLVALTGFLGAYRNRKGLLACYLFAMAALITLLLALLVAAFVVAHDSGAYAVPGRAYEDYRLEGYSPWLRRYVAGDPDRWEGIRACVTGSGTCRKLAMDRSFIVPEQFYMTHLSPIESGCCKPPTACGFAYVSPTAWSGPAANPAADADCAAWSNDPGQLCYSCGSCKAGVLGELREQWRRASVALLAATVALIFVYVVGCCAFRNAQTEDMFRRYKWGNNY >PAN24479 pep chromosome:PHallii_v3.1:4:40683422:40684682:-1 gene:PAHAL_4G223300 transcript:PAN24479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAEVETVAASMTDTVATRLAAICDMIHAHRASGTPISARRAAAISAMIDDVAATAAEGRPRAFRRKRRMASARGYKQEGRRLGQQGGRGAVIVRASHRATGRAVAVKSLHRRSGGSYVGDVLRKACFAAAGGGHPSLVTFRTVARKPGTTDYSIVMDYVGPSLRAVMGDRGGRPFPEAEVRRIMRQLLAGAEAMHGRGIVHRDIRPDNILVGDGGAVKICNYGRREGPAVLRPGRDVLVKSADHGALVDAWSLGCVMAELLTGKPPFVGEDEAHQLFKIFDVLGVPCRSAWQALKPQVHDGKAQLWRARQQRRVGRRNRRRELVPEETLSGEGFQCPWFTEGVDDAPVSGRMVTVSKIGAMASKSLSLAMSSIGCALGLLRPKALRV >PAN25125 pep chromosome:PHallii_v3.1:4:47202243:47204647:-1 gene:PAHAL_4G277300 transcript:PAN25125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPSAPAPQSDRAALLKAFDESRTGVRGLVESGVSSVPALFVHPDPYASAPLAPPGVSIPVVDLSLPATLAAAAAAEAARSWGFFHLINHHQALGVPEDYPARALAAVRAFNELPAAERAAHYGRAMPGGVSYSSNVDLFQAPAASWRDTIRIAFGPERPDPARIPAVCRDEALEWDAHATAVGRALLGLLSEGLGLGPTKLEEASCLEGRVMICHYYPVCPEPERTMGVVPHTDPAVLTVLAQDCVGGLQVKQTDDDGASYWVDVNPVPGALVINVGDLLQIMSNDKYKSVDHRVIMNTRKEARVSIAIFFNPGKRGDSVFYGPLPELVSADNPPKYRNFTMSEFLGTFFRRNLSSKAPVDHFKL >PAN26274 pep chromosome:PHallii_v3.1:4:49521134:49522136:-1 gene:PAHAL_4G309900 transcript:PAN26274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRANDPAKVQELMDSIRVIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLVLPTIRCKVRRGTKETLRHHMR >PAN24058 pep chromosome:PHallii_v3.1:4:33155773:33157662:1 gene:PAHAL_4G198100 transcript:PAN24058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGCPLTPSWLATNSSTDSSVTPVVPEDSTPCSSIFCCFIAGSSAEPTIPSVWPLFAVGFASLVRAPSPRLSFPPASLA >PAN22458 pep chromosome:PHallii_v3.1:4:1334781:1339947:1 gene:PAHAL_4G020800 transcript:PAN22458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 15 [Source:Projected from Arabidopsis thaliana (AT2G34360) UniProtKB/Swiss-Prot;Acc:F4IHU9] MDGAAEASASAATAASSALLLPRAPPRTAVGAEVRRQVGLAAPLVVCSLLQYSLQVVSVMFAGHLGELSLSGASVAASFANVTGFSVLLGMGSALDTFCGQSYGARQYNMLGTHTQRAIIVLMLMGVPLAFILAFTAQILISLGQNPEISSEAGLYAQWLIPGLFAYGLLQCLTRFLQTQNIVQILVVCSGLTLLLHIMLCWFLVQTFGLGHEGAALATSISYWFNVALLAIYVKVSEAGRRSWKGWSREALRLKDVKEYMRLAIPSTFMTCLEYWAFEMVVLLAGFLPHPKLETSILSISLNTMWMVYTIPSGLSSATSIRVSNELGGGNPQAARLSIYISGIMCLTEGLFIAIITVLVRDIWGYLYSNEKEVVKYVSMMMPILATSDFMDGIQCTLSGAARGCGWQKVCSLINFFSYYAIGLPSAVTFAFVLKIGGMGLWLGIICAMAVQIFALIVLMLRTSWEEEAEKAQARVQCSDGSITSA >PVH48478 pep chromosome:PHallii_v3.1:4:51382863:51384993:1 gene:PAHAL_4G338700 transcript:PVH48478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRGDGGWRARWERGRAGAGGGGGAQIRAAAGGWGPARAHQPPPRAGAGGGQIRAADGGGGGGGQIRAAAGGWGPARSPAAAAGRGGGAGSRRRAGAAGRGGQIRAAGGGRCWGAACSPANGAGGGGGGQILPAATRGEGRGEGEGRGFAAAEAAAQRPSLVVSRRRMMLWSFTRRT >PVH48480 pep chromosome:PHallii_v3.1:4:51391755:51392495:-1 gene:PAHAL_4G339000 transcript:PVH48480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDTWALGLAAWEVTAIFAGPPGRCLFLDGRLRRRERQLRGQRALLGACDAGKEEEETDKQKQHGEAQGDGRECLLSVRISRTSWATQWARAGRTKASGGTDPPPAK >PAN23601 pep chromosome:PHallii_v3.1:4:7458080:7462578:-1 gene:PAHAL_4G103800 transcript:PAN23601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAALLLALLAAAAAAAIVLSPANAAVSYDRRAVVINGQRRVLISGSIHYPRSTPEMWPDLLQKAKDGGLDVVQTYVFWNGHEPVQGQYYFGDRYDLVRFVKLAHQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQAFVEKVVSMMKAEGLFEWQGGPIILAQVENEYGPMESVMGAGAKPYANWAAKMAIATGAGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNSNSKPTMWTEAWSGWFTAFGKPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALVSGDPTVQSIGNYEKAYVFKSSSGACAAFLSNYKTNAAATVTFNGRRYELPAWSISVLPDCKTAVFNTATVRAPSAPARMSPAGGLSWQSYSEATNALDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSSEQFLKSGKWPQLTIYSAGHSLQVFVNGQSYGSAYGGYDSPKLTYSGYVKMWQGSNKISILSAAVGLPNQGTHYETWNVGVLGPVTLSGLNEGKRDLSSQKWTYQIGLHGESLGVHSAAGSSSVEWGGAAGNQPLTWHKAYFNAPAGGAPVALDMGSMGKGQAWVNGRHVGRYWSYRAPGGGCDGCGYAGTYSETKCQSSCGDISQRYYHVPRAWLNPSGNLLVLLEEFGGDLSGVTLVTRTT >PAN22371 pep chromosome:PHallii_v3.1:4:997183:997466:1 gene:PAHAL_4G015100 transcript:PAN22371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLSLKPGHVLLYLEYAQLIACQTYSTIDYPLSFSKNECPVASSCISLEMKSVVELTILPH >PAN26180 pep chromosome:PHallii_v3.1:4:52426773:52431914:-1 gene:PAHAL_4G353700 transcript:PAN26180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVIPALATGQASTNVLTEQPLKVYIWDMDETLILLKSLLDGSYAGAFDGLKDREKSVEIGKRWENLILELCDEHFFYEEIENYNEPYLNALSEYDDGRDLTSYDFEADCFSSPYDDVNKKKLAYRHRAIGEKYAKGLEKILDQHMVKVWNDLYSLTDKYTDGWLSSAHKLLEEALGKSAAAPTTNSSSINCIVTSGSLIPSLAKCLLYHLDDVVSSENVYSSWEVGKLQCFKWIKERFDGPNVRFCAIGDGHEECSAAQVMKWPFIKIEFQPDAPHRFPGLDMPTVQTYMDVIYESSSKDG >PVH47230 pep chromosome:PHallii_v3.1:4:640340:642039:1 gene:PAHAL_4G009400 transcript:PVH47230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSAMEGLVLFLVATSVQVLVVAGRRPPALYVFGDSILDVGNNNYLPGEDVPRANRPYYGVDFPGMIPTGRFSNGCNTADYVAKSMGFVSSPPPYLSLAPSSSLVGLTALTAGVSYASADAGILDSTNAGKCIPLSRQVEYFNATRATMVAAVGYGAVNALLFKSIFLLGVGSNDLFVFAAAQQSRNRTAAEQQSDAAALFADLLSNYSATITELHAMGARKFAITNLGLLGCVPALRALDPAGACVDGLNLLAAGFDGALRSLLAGLAPRLPGLVYSLADSFGLTQDTFADPQASGYTDIAGACCGSGRLLAEGNCLPNSTVCSNRDQHVFWDRFHPSQRASLLTAQAFYDGPAQYTTPINFMQLAQSS >PAN24575 pep chromosome:PHallii_v3.1:4:42654247:42658089:-1 gene:PAHAL_4G234300 transcript:PAN24575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase B1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53670) UniProtKB/Swiss-Prot;Acc:Q9C8M2] MAARCYTAAVVSSPIAGAPSLPSFLPATAAAALPSSRPRSRGAWPCGGGHRHRRAAVRAMGSAPSSSSPSPQTPPGQAQEKADYKSLSEEEWKKRLTKEQYYVTRQKGTERAFTGEYWNTKTSGIYYCVCCDTPLFESSTKFDSGTGWPSYYKPIGDNVKSKLDMSIIFMPRTEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLKPQ >PVH48096 pep chromosome:PHallii_v3.1:4:44538174:44538366:-1 gene:PAHAL_4G246900 transcript:PVH48096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHMLTVAAGALVGAMGCEHYSLACINLVHGLNELESKRATSPGRNA >PAN22466 pep chromosome:PHallii_v3.1:4:1359489:1362513:1 gene:PAHAL_4G021500 transcript:PAN22466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPWPTPRSVRQASQLHAVLTTSGKIVHPPSAGHLLNSLTNCLSAPRHLGYALSLFDRLPLHSTFIFDTALRACLRASGGEDHPVLLFRRMRRGGVRVDAFTFHFLFRCCARARARASAGLCRMLHAACLRTMLPSAGTLVANPLIHMYAALGFTDDARRAFDEIPVKDAVAWTTMIGGLAKMGLLEEARRLLVQAPERNVVSWTSLIAGYSRAGRAAEAVDCFNSMLSDGVAPDEVAVIGVLSACSRLKDLDLGRSLHFLIGEKRINMSDNLVVALIDMYAKCGDIACAQGIFDAVGRGQKPQPWNAIIDGYCKLGLVDVARSLFDQMDTPDVITFNSMITGYIHSGRLRDALLLFMQMRRHNLRADNFTVVSLLTACASLGALPQGRALHASIEQRLVEEDVYLVTALVDMYMKCGRVDEATIVFQRMGERDVHTWSAMIAGLAFNGMGKVALEYFCQMKRDGFQSNSVTYIAVLTACSHSCLLNEGRLHFNEMRLLHRIHPQIEHYGCMVDLLARSGLLDEAMDLVQTMPMQPNAVIWGSILSACRVHKKIDLARHAAELLLKLEPDEDAVYVQLYNIYIDSRKWVDASRIRMLMEERGVKKTAGYSSITVAGQVHKFVVNDQSHPWTFEIVAMMEKIAHRLKSVGYSPITSKITVDVDEEEKEQALLAHSEKLAIAFGLISLPPNLPIHIMKNLRVCEDCHSAIKLISKLWKREIIVRDRSRFHHFRDGTCSCNDFW >PAN22409 pep chromosome:PHallii_v3.1:4:1149298:1150502:1 gene:PAHAL_4G017700 transcript:PAN22409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPALLPLPLVAAALLALAAASPASSDAAASDFIRKSCRDTQYPSVCVQSLASYGGSPPPRSPRELARAALSVSADRARAASAYVGRLCGGARKGGARTKGAARDCLENLADSVGHLRDAAQELGGAGMGGRAGSAAFKWHLSNVQTWCSAALTDENTCLDGLGARGVDADTRAAIRGRVVEVAQVTSNALALVNKVGPAGY >PAN23522 pep chromosome:PHallii_v3.1:4:6968628:6972151:-1 gene:PAHAL_4G098700 transcript:PAN23522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPSRRTLFLLSYLLALTSSYRSTGAISIREAATLPSTPLSAKAKADRQALLCFKSQLSDPAGSLHSWGSNESLHFCNWRGVTCSNTRVSRVIALDLENSQLSGTISPCITNLTFLRVINLQNNKLHGQIPSELRQLQRLQYLNLSTNFLGGSIPGSLGSIPFLEYLDIRKNDLTGQIPVSLGNSSSLKSLNLRQNNLSGEIPPFISMGSSLVRIDLWQNSLSGNIPSSLSNLSSLAYLYLADNNLVGGIPESLGHKQSLRGLALAANKLTGTVPPSIYNISSLTFLYIGNNLLHGRLPHGIGGFLPNIQSLIFEDNYFEGLIPASLANATSLTVLDLAINSFSGSIPSLGSLKNLLYLDFSYNHLESNDWNFLSSLGNCVQLTELCLQSNSLGGSLPSSVGNLSTTVYTLWLSMNKISGTIPLEIGNLKILNNLMMHDNIISGSIPSAIGTLHHLTILSLSGNNLSGLIPDSFGNLVQLNELYLQQNNLNGTIPGSLGKCQNLQKLNLSHNSLSGSIPTELLQISSLSKGLDISYNNLTGSIPQEVGALINLGLLNISNNQLSSQIPSTLGQCIVLETLQMEGNFLEGSIPLSLMNLKGIKEVDLSRNNLSGEIPDIFTPLASLEYLNLSFNDFTGAIPTTGVFENASKVSVEGNKRLCTRTPMLGLPICTEEHRTKHKSLTKQIAIPVAVMGTILLSCFSIVLLKKGSKTTNRCSNERVNVSYEDIIKATDRLSYDNIIGSGSFGTVYKGTLEDTKNPVAIKVFNLNLYGSSMSFIAECESLRNIRHRNLVKVITSCSTIDSNGDEFKALIFKYMPNGSLDMWLHQRSDMHSHKRFLTLHQRISIATDVAFALDYLHNQFGHPLIHCDLKPQNILLDEHMSACVSDFGLARFLCTDHRFGGDNSSSLAGLKGSIGYIAPEYGQGGQVSTQGDVYSYGVLLLEILTGKRPTDETFQDGLSLHNFVKSAFPDKIGMILDPIIVQEIMAGANQTILAMQSCIIPLIKLGLSCSMESPKDRLPAEHISSEVHAIKIAFSNINGRG >PAN23903 pep chromosome:PHallii_v3.1:4:11153069:11161166:1 gene:PAHAL_4G130500 transcript:PAN23903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHHHILALLRRAAASTSTASQRADPLLYHNPAPPRNGAAVLFSTRLFSSRGRSVAGAAKSLVEDEADLSDWISDLKTDSFHLGLSSGDEGDAPSTRRPPTGASRGGRGGRDSRGSFSRSRFGGGEFGGDRRGGFERRGRVMSSDLDDDEDDSGFGSSRGRRGRGGRSSGLAMRGGRGNGFDDEAGFRSPRGQRGRGGRGSGVARRGGSYSDLDDGDAGFGPSRGRQGRGGRMSGFSQRRGRGSDDSEEDDDDAVGFGRSERMQHRGGRRGGRAESVGPHQGWSRSDLGVSRRGGRYSDLDDNDDDRDIGFGSSRGRRGCGGRMSGVSQRRGRESDLDDDEDDDDDDDVVGFGDSGARGVKKFDFGLSEDDDEVGKVDEDDDPSGFEDDLFDDEGGKESGSFKLDKEEEVKHKSIAGTRSTGGSDSYLSQKRFDECPLSPLTLRGVKAAGYERMTAVQEATLPIILQGKDVLAKARTGTGKTVAFLLPAIEVVSKLPPVDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLRDHMENTPGFATRLMGVKVLILDEADRLLDMGFRSDIEKIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVQEGSEETHSQVKQMHLIAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQLGVPTDREQYIHRLGRTGRKGNDGAGVLLLAPWEEYFLRSIKDLPVTEATQPLIDLDTKKKVDKALAHVEVKDKESAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSMGLNNPPAVPKLVLRKMSLNNIPGLRAK >PAN23002 pep chromosome:PHallii_v3.1:4:3872636:3873669:1 gene:PAHAL_4G059000 transcript:PAN23002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHVVLDYIDAAKLAALPARGQPVVVTTLFQTTGTARNRTGFLNVTAAPRGGAVFVSAAPGSLVSATLKRAVTARPYNISVLQISNFVVPPGVVTRPRPPSPLMLPRMRQMSIAPSPAPTTSPRVPPAALPTSEGDAGEAPDAAEAPAPSSHGHVAQQATSRWWISAAVGMALACSIGYL >PVH47868 pep chromosome:PHallii_v3.1:4:24643632:24645355:-1 gene:PAHAL_4G173600 transcript:PVH47868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKARVEAPKRDFFQPTSSLSGPSLIRFESFQNEKKMTNLVRWLFSTNHKDIGTLYFIFGAITGVMGTCFSVLIRMELARPGDQILGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTRWMVYPPLSGITSHSGGAVDLAIFSFHLSGVSSILGSINFITTIFNMRGPGMTMHRLPLFVWSVLVTAFLLLLSLPVLAGAITMLLTDRNFNATFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGIISHIVSTFSRKPVFGYLGMVYGMISIGVLGFLVWAHHMFTVGLDVDTHAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSSGKNKRCAESPWTVEQNPTTLEWLVQSPPAFHTFGELPTIKVHTNDKKRKVQLSPVLRS >PAN26071 pep chromosome:PHallii_v3.1:4:51885034:51886195:1 gene:PAHAL_4G345000 transcript:PAN26071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREYAARCRRIADVVVLLVRLLGLHEGCFVVMMNEGVAMTHARFKFNHYPRCPTPDLVLGLKSHSDASVITVVLIDDAVGGLQVRKPNDGGGGVWYDVPIVRNALPRARAVANAERDRVSLAMFYTLDPEKEIEPLPEMVDEKRPRRYGKTTTKDYLAVLFERFARGARAMDTVKSQQLNLILGLVVRMV >PAN22261 pep chromosome:PHallii_v3.1:4:433737:434315:1 gene:PAHAL_4G007300 transcript:PAN22261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAQVFFYGVAYGSPFLSGGVGGGSGAGAGGSGDEPNRPRRPKSAHEADAVPAALRKKRPAPRKTKDPAAGEGRYPCPVCHRLFDAVKAVHGHQRSHPERDWRGMAPPRPPPPVAADGRQYRYACDRCGAPFETRQALGGHRASHSGKMGCFWLSRQQQPAAAAPAAAPPMPVLPFDLNEPAVPEQEDEEE >PVH47424 pep chromosome:PHallii_v3.1:4:3808231:3813063:1 gene:PAHAL_4G058200 transcript:PVH47424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTRTVGKHGGSHIAAASSLQIHSTSSRECGILNLHQDVQTCGYQDVQVMWNMLSSEKEAVGTGAGGTLPKPRKRPFWRLPLWPVRPPTRTAAQRD >PAN23519 pep chromosome:PHallii_v3.1:4:6954050:6961125:1 gene:PAHAL_4G098500 transcript:PAN23519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSAAARAAVPRPPSFASQTNALLRKNLIFQKRNRKETIRLIIIPIYLCLIISVLQRVINNLLDKPRFRCGCQCVDVNGTGPCQNVCGIQYSTPQQARSCPIPNPPKWPALVQVPLPEYRAVQGSSSLLTGLPDASCRKTQSCPASIPFTGANRTLSNSIMQNLFASSPLSNLSDYTSISSRLLGTDLPGFTTGFVEPAFASDRPIYVLEQQCKSSDSVTVPITLGSVNAQKEIKCVQGLPLWRNSSRTINDETFRGYRKGKTAEGINEVAMAYDFQDSNEKHFNVLALYNSTYQNVSFVPMPFGLLRISRSLNAVSNAYLQLVQGSGVKMLLDFTKEMPKQATRLTFEFSAAVGPLFFEWVVVLLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYMYFLVFSTVYMIIFVIFGSIIGVNFFKINNYSIQFVFFFSFINLQIVLAFLASSFFSKVNTAQAIAYLYIFGSGLIAGNLIRNFIEGGKFPRHWITVLEIIPAFSLYRGLYELGQYAIIASETGSPGMRWSDLNDHTNGMRDVLIIIILEWLILLPIAYYLDHAASVGHTSSPISIIKRLLKKDRASRRITVNEIADKDVHVEMEKLDIIKERETVDQMLQQQTSGYAVICDDLKKVYHGKDGNPDKSAVQGVSLALPYGECLGILGPNGAGKSSFISMLIGFVKPTSGNAFVRGFSIQNDMEKIYNSMGVCPQNDMLWETLTGREHLQFYGRLKGLSGSSLDLAVDESLRSVNLLHGGVPDKQVKKYSGGMRRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKQAKQDRAIILTTHSMEEAETLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTPPEFEQEVENLVRKLSPSARKVYNLSGTQKYELLKQEARIADVFMAVESFKKRVEVQAWGLADTTMEDVFVKVAKGAQLSEELS >PVH47931 pep chromosome:PHallii_v3.1:4:30284408:30286054:1 gene:PAHAL_4G190800 transcript:PVH47931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHLESSSDIEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHYDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKVSKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN25705 pep chromosome:PHallii_v3.1:4:50229776:50230830:-1 gene:PAHAL_4G320000 transcript:PAN25705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANHCFRRLASASASALSRRSQNPSPPPALLIRLALSSSATDPPPKAEGEEAAGDKGVADAGELKEKEEEEEDEDGGVHVNKATGEIGGPRGPEPTRYGDWERGGRCSDF >PAN26167 pep chromosome:PHallii_v3.1:4:52382316:52382723:1 gene:PAHAL_4G352700 transcript:PAN26167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWQLPQPSGMASMVAAASSLFVTAAPSGNLARSGRPVMASDPGESHGETPTSLRTGGLASMSTRPPARRRGLRPPRGAGGRMGMDLLSLHRFVSKICDKCPIFPFSFLMGIPNFSCCVLMEGRHSSRLNSIY >PVH47550 pep chromosome:PHallii_v3.1:4:5918729:5919187:-1 gene:PAHAL_4G085400 transcript:PVH47550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSLCLLTSTTPSLRLLSSKMPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLNLPVRDPTFQLSRRILVGSASFLTLRTGREKCEDPYSFFTTPGRRCSAPQRLRRPDPCSLIIVPGSRYDAPLRG >PVH48348 pep chromosome:PHallii_v3.1:4:49711694:49712404:-1 gene:PAHAL_4G313100 transcript:PVH48348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGLVLSSGACDAQKKPGKQDMPGGGEGTSEKWKNGGDLRWRGLDGSGCGARCHWKLGEIFLPASDENFRRFGRRGSGCVACVEARPLACLLLGCASPCRTCGGVWLRSRSIQIPSAAVLVWRSASEFGTKQERLRLDRPCQLPPEDL >PAN22845 pep chromosome:PHallii_v3.1:4:3143802:3145315:1 gene:PAHAL_4G048700 transcript:PAN22845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVHAANRAVGSGGGRDVRHEAVAVLHARRPPWLLRLGYLFVAAPVMALCAGLLDGPWAAGSAYAVLLLGVASTWRAALLPRPLERVRADECRAEVSVGCGNQHEVATFPNAVMAWRGYLIVAVAATGSCYGLAGTPGAALVAYALLLLGVTTIWRAMLPPRPREEGNRGVGKGNRQGHEVAVSGAWTMAWCGCLLVAVPVLASSAGFIDGLGTTFFAYTLQLLGVAIIIAAMLLLALAKLKIA >PAN22583 pep chromosome:PHallii_v3.1:4:1826416:1828732:1 gene:PAHAL_4G029200 transcript:PAN22583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASGLCRHAVLVLALAVAAGGHDFRRDFDVVWGEGNARFRDGGRQVELSLDERTGARLQSKQRYLFGRFDLEIKLVPGESAGTITSFYICTGGARHDEVDFEFLGNASGEPYLLHTNIFSDGRGEREQQFVLWFDPTADFHTYSILWNPHNIILYIDGTPIRVFRNNAARGVPFPARQPAHVFASIWDAEDWATQGGRVKTDWASAPFVAAYRRYNVSSSACVWDEGDGRARCPAGGARRRRRQAAWMAQKMDWWSWMTLSWVRMNYMVYDYCDDRRRFPHGAPPECVIPIGRS >PAN23590 pep chromosome:PHallii_v3.1:4:7400086:7406352:1 gene:PAHAL_4G102900 transcript:PAN23590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGSSSPASARGGGAPQVAVAVRGDGRGSRRAARWAAASMVPAGGRVALVHVIPPLSFVPSPSGERVPVEKMEREVVEMYAQDRRARAQEVFLPFRRLCARRAVETVVLEGDSVAQALVSYAAESGVRSLVLGNASLTWLRRMLRLRDVPFMVLKTVPSSCNVFVVSRRRLTIKFANLARTSKSNNVRIQSISHKAFSQIQRDWLQDKQSFNNLVDDEILKYSGNSSLDSQSQVCSSLSTSSNAVKSSESQRRGLLGSLGRKTPRREGNKDIGAIGQWKEVCYVALSSVEESQPIDEVATLRKELKDTLMMYDRACENLAHAKEKIQIISGECREDVNKVQDALQREEELKQAVANKKTKYLQAIGAVEMAKESFAHEAYSKHKAEFVANMVSTEKVKVVDALLSTGKSCRRYSRHEIELATDYFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILGKLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDLLINNKGQPLHWFLRFQIIFDVSCGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEGLTEYRDTVIAGTLFYMDPEYQLTGTVRPKSDLFALGIIILQLLTGKRPHGLVCSVEEAIEKGTLPDILDKSQTDWPIAEAEMLAKLGLRCTALKCRDRPNLESEVLPELENILSRVTDSLKLENIVAPSHFICPILQEVMEDPYVAADGHTYEHRAIKTWLKKHKVSPVTNQRLPHLSIIPNHSLHAAIQQWKSRTSF >PVH47619 pep chromosome:PHallii_v3.1:4:7399794:7407428:1 gene:PAHAL_4G102900 transcript:PVH47619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGSSSPASARGGGAPQVAVAVRGDGRGSRRAARWAAASMVPAGGRVALVHVIPPLSFVPSPSGERVPVEKMEREVVEMYAQDRRARAQEVFLPFRRLCARRAVETVVLEGDSVAQALVSYAAESGVRSLVLGNASLTWLRRMLRLRDVPFMVLKTVPSSCNVFVVSRRRLTIKFANLARTSKSNNVRIQSISHKAFSQIQRDWLQDKQSFNNLVDDEILKYSGNSSLDSQSQVCSSLSTSSNAVKSSESQRRGLLGSLGRKTPRREGNKDIGAIGQWKEVCYVALSSVEESQPIDEVATLRKELKDTLMMYDRACENLAHAKEKIQIISGECREDVNKVQDALQREEELKQAVANKKTKYLQAIGAVEMAKESFAHEAYSKHKAEFVANMVSTEKVKVVDALLSTGKSCRRYSRHEIELATDYFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILGKLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDLLINNKGQPLHWFLRFQIIFDVSCGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEGLTEYRDTVIAGTLFYMDPEYQLTGTVRPKSDLFALGIIILQLLTGKRPHGLVCSVEEAIEKGTLPDILDKSQTDWPIAEAEMLAKLGLRCTALKCRDRPNLESEVLPELENILSRVTDSLKLENIVAPSHFICPILQEVMEDPYVAADGHTYEHRAIKTWLKKHKMLYGMVGIFWKIFSPLGYAGDLRKRKEENKCKHHIAPHCTTPQCYMSCLVPAADHNAPSTTALNPYIRGSCSKNICQKVPFFYLLEGPFTMLCVVSLRSSNMVKCQYCNVLSAHQCQFCSYILPFL >PVH47739 pep chromosome:PHallii_v3.1:4:12449775:12450704:1 gene:PAHAL_4G136700 transcript:PVH47739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTKPPPYDGVEYDEEDVPRCRVKMTIPPHPTLSLWSPIEVNVVGHRLADTFEAAAIEAIHTFYDQHPEEVVGYPIGLFPAMDSRDPEWTFRVTYCDHLLGTLAGETLRTAVRFMSAQYRYQTLQQHGIYRLTNIAQRYRNQVGRQNTQIEALQATITAKEEDITQREETIQHREEQIVESDALITQRDTVIDFLQEQVHELNLNLGQAFDHINMLHEQPEQPAANEPEDDEEEDPEEVEGVSGIDSEHGDPVLSPYHSSSGSQSSVGNLDDF >PAN26206 pep chromosome:PHallii_v3.1:4:52504788:52507797:-1 gene:PAHAL_4G355500 transcript:PAN26206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDVVAHVYDVANAGSDTTVLHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCERGTGVFSCPPCKNPMYTYRESIVLGKTNCCILKVNQILRELSWEWPGQSYELLSRNCNHFCDTLCEKLEVPKLPGWINRFANAGDAALEVAETTAVKLKQAKKEIVTACKVASAFLTGTSSSSSSNVEDTGGSTSTRNPLFEGTWIRSIVGMSMKPSKSLASVDSSDSECSDCESEPDDNADQQVKDATQEQDMKSENNGPRDHS >PVH48105 pep chromosome:PHallii_v3.1:4:45025150:45025661:-1 gene:PAHAL_4G251700 transcript:PVH48105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRERTLFLGQEIRCEITNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMQTVMIQLLLT >PAN25512 pep chromosome:PHallii_v3.1:4:49371419:49373121:-1 gene:PAHAL_4G307800 transcript:PAN25512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQAQGQMVQEVAAGSLPAPPSRYVLREEDRPTGGVAAPELAFPTVDLQRLAEPSDVEEAGKLRSALESWGLFAVTGHGMPESLLEGVRHAGMEFFHLPPEEKLRHANRTEDGEFQPEGYGIDRVDTDEQVLDWCDRLYLTVQPEEERRAQFWPARPPSLARLLHEYALGSERVARRVLGAMARALGLGEGYFLGRVGDKVASYARFTFYPPCPRPDLVHGLKPHTDNSVVTVLLLDPDVGGLQVLKDGRWVDVPVLGRGELLVVVGDEMEIMSNAAFRAPTHRVVARAGRERMTLALFYQPEPHRDLVPAEELVGEGRPARYKKLGAKTFADGFWDAFALGERTIDFLKVKVEQEPQELKAA >PAN23659 pep chromosome:PHallii_v3.1:4:8041001:8043853:1 gene:PAHAL_4G109400 transcript:PAN23659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILLSTPVASVDDLADQVADVLDFFGLDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWSEWFYNKVMSNLLYYYGMCNVVKDILLQRYLGKGVRGCSNEPESDIVQACRSFLDQRQGMNVWRFIQTINERKDLTENLNQLQCRTLIFVGENSQFHAEAVYMTAKLDSRYSALVEVQACGSVVTEEQPHAMLIPMEYFFMGYGLYRPSQINCSPRSPLNPFCVSPELLSPESMGVKLKPIKTRANLKV >PAN23673 pep chromosome:PHallii_v3.1:4:8039557:8043853:1 gene:PAHAL_4G109400 transcript:PAN23673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWGSKPTAAGASWREKEGVVGETGGGRSGREGRRGHVTSTGAPVQSAAGMGDSGGSVMSVDVERISFGGKEHHIQTNHGSVSVAIYGDHDKPALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILLSTPVASVDDLADQVADVLDFFGLDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWSEWFYNKVMSNLLYYYGMCNVVKDILLQRYLGKGVRGCSNEPESDIVQACRSFLDQRQGMNVWRFIQTINERKDLTENLNQLQCRTLIFVGENSQFHAEAVYMTAKLDSRYSALVEVQACGSVVTEEQPHAMLIPMEYFFMGYGLYRPSQINCSPRSPLNPFCVSPELLSPESMGVKLKPIKTRANLKV >PAN23790 pep chromosome:PHallii_v3.1:4:9160849:9166517:-1 gene:PAHAL_4G118000 transcript:PAN23790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLRLLLSHSRRHPQPHRLLSLLHFSSDASSGSAPRPPPIKPVSYAPKPQQEAPPEEPAAPPPAPEADRGPQSPLPRRPQQQMPPREWTRQDMRFVKDAAPVISPVSYPSKVAPLPEDRPAGGQADGAPDEGLRGEGERIQMDAARATRSIFGVQVEEEQVPYPTIIPVVKRPQKVAIDLVDAIRQLKASTNEKKRNFVETVEAHVTLGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAAEEARAAGADVVGGDELIEEIRKGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSEESLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPITIPSLSVAADHYNKVQVS >PAN22152 pep chromosome:PHallii_v3.1:4:48984:57700:-1 gene:PAHAL_4G000900 transcript:PAN22152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPVPLLDDELRVRVGISPPETTEAVHKGFLPALPNSQHPDASETDSASRVGELILPNGDIYRGTLLGNTPHGSGCYIWSDGCVYVGDWRGGLRHGQGKTLWPTGASYEGDYSGGYIYGEGTYIGLNNSTYKGGWKLNLKHGLGLQTYPNGDKFEGSWMQGEIEGHGRYTWANGNTYVGTMKNGVMSGKGIFTWKNGDSFEGNWLDGVMHGHGVYTWKDSGYYVGTWTRGVKDGKGTFYPKCREILVPHELYMDPLRKRGALPGVGIQNHGSHILHSSSFDMADVTARGNQDSAGISSTRSLNFEKTRSKNVSLERRWSLGAAFEKFIGCETHETAIQSCENKADSNFPILEREYMQGVLISEVVVDRSFSNSFRKVSRRQKKMVKDIKKPGQTIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDYGPRASFWMNFPKNGSRLTPSHHADDFKWKDYCPMVFRNLREMFKIDAADYMISICGSDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPEYYYHVRTYENTLITKFFGLHRVKPSSGQKFHFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIKIDENTTLKDLDLNYSFYVDPSWRETLLKQIETDSKFLRNHAIMDYSLLLGVHYRAPQNLRTQASFNQTIVPDRLTVLSEEGALGEDAMNCSEGLVLVQRASDQNDVVIGPHIRGARLRSSASFEEVDLLLPGTARLQIQLGVNMPARAEQTTKEDDSDSFGQVYDVVLYLGIIDILQEYNLRKKIEHTYKSIQYNSLSISVVEPNVYSERFLNFIRAIFPESS >PAN22151 pep chromosome:PHallii_v3.1:4:48908:57283:-1 gene:PAHAL_4G000900 transcript:PAN22151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPVPLLDDELRVRVGISPPETTEAVHKGFLPALPNSQHPDASETDSASRVGELILPNGDIYRGTLLGNTPHGSGCYIWSDGCVYVGDWRGGLRHGQGKTLWPTGASYEGDYSGGYIYGEGTYIGLNNSTYKGGWKLNLKHGLGLQTYPNGDKFEGSWMQGEIEGHGRYTWANGNTYVGTMKNGVMSGKGIFTWKNGDSFEGNWLDGVMHGHGVYTWKDSGYYVGTWTRGVKDGKGTFYPKCREILVPHELYMDPLRKRGALPGVGIQNHGSHILHSSSFDMADVTARGNQDSAGISSTRSLNFEKTRSKNVSLERRWSLGAAFEKFIGCETHETAIQSCENKADSNFPILEREYMQGVLISEVVVDRSFSNSFRKVSRRQKKMVKDIKKPGQTIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDYGPRASFWMNFPKNGSRLTPSHHADDFKWKDYCPMVFRNLREMFKIDAADYMISICGSDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPEYYYHVRTYENTLITKFFGLHRVKPSSGQKFHFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIKIDENTTLKDLDLNYSFYVDPSWRETLLKQIETDSKFLRNHAIMDYSLLLGVHYRAPQNLRTQASFNQTIVPDRLTVLSEEGALGEDAMNCSEGLVLVQRASDQNDVVIGPHIRGARLRSSASFEEVDLLLPGTARLQIQLGVNMPARAEQTTKEDDSDSFGQVYDVVLYLGIIDILQEYNLRKKIEHTYKSIQYNSLSISVVEPNVYSERFLNFIRAIFPESS >PAN23399 pep chromosome:PHallii_v3.1:4:6166347:6169670:-1 gene:PAHAL_4G088600 transcript:PAN23399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFQGYRGDGVLAGVRSRKRVFASAADEPVTAAAPKRQKRREEPSLDALPDECLFEVLRRVRGARARCASACASRRWLALLAGIRASEAVLAPPAPAVPDLNMEYLGGEDDDEEEADLMDHDGDARERTFEGKEATDARLTAAAVAGRLAAVSVRGSHPARGVTDVGVSALARGCPALRSLALWDVPQVTDAGLAEIAAECHALERLDITGCPLVTDKGLIAVAQGCPELKSLTIEACSGVANEGLKAIGRCCAKLQAVNIKNCTHVDDQGVSGLVCCATASLAKVRLQGLSITDASLAVIGYYGKAITDLTLARLPAVGERGFWVMANALGLQKLRCMTVASCPGLTDLALASVAKFSPSLKLVNLKKCSKVSDGCLKEFAESARALENLQVEECNKVTLMGILAFLLNCSPKFKALSLVKCIGIKDICSAPAQLPVCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVNLSGLGAVTDNGFLPLIKSSESGLVNVDLNGCENLTDAAVSALVKAHGCSLAHLSLEGCSKITDASLFAISESCSQLAELDLSNCMVSDYGVAVLAAAKQLKLRILSLSGCMKVTQKSVPFLGSMSSSLEGLNLQFNFIGNHNIASLEKQLWRCDILA >PAN25675 pep chromosome:PHallii_v3.1:4:46767928:46769659:1 gene:PAHAL_4G273700 transcript:PAN25675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAGEAMRAGVVAVFLAVAVSGAAAGTLPRFAEAPEYRNGEGCPAAAAGVCDPGLVHIAMTLDAHYLRGSMAAVYSLLKHASCPESIFFHFLALEAGAAAGEEPEPELLRRAVAASFPSLRFEIYPFRAEAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANLSRYFTPAFWSDPGLGARVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRQRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVHGSCRPLHDGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYIPSDGAVSPASGPALAASLFSW >PAN25382 pep chromosome:PHallii_v3.1:4:48590564:48593793:-1 gene:PAHAL_4G297300 transcript:PAN25382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGGLPTQPQQQPGTPGRARRRPDLTLPMPQREVATSLAVPLPLPPPSSSAPAGAPAAGVAAPAQQQQQPPPLAELERVRRVGSGAGGTVWLVRHRGTGRPYALKVLYGNHDDAVRRQIAREIAILRAADHPSVVRCHGMYERGGELQILLEYMDGGSLDGRRIAAEPFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDAARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGNYDGYAGDIWSFGLSILEFYLGRFPFGENLGKQGDWAALMVAICYSDPPEPPPTASPEFRGFISCCLQKNPARRLTAAQLLQHPFVAGPQPQPLAAPPPS >PVH47609 pep chromosome:PHallii_v3.1:4:7158165:7159154:1 gene:PAHAL_4G101000 transcript:PVH47609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFRLPAPVCRKITTYISNYWWGSSVDNHKVHWLRWNKLTDSKEDGVWRLLTRLDALCSRVLKGKYFPNSDFLGAKNRRRSSETWRAILYGRDVLYRGIIKRIGPGSSVNVWDDNWIPSSFS >PVH48012 pep chromosome:PHallii_v3.1:4:39486422:39487156:1 gene:PAHAL_4G218800 transcript:PVH48012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREHIAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFRFPDTYRKATRKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIKKLTQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRYLPIKKRSIKTEEESP >PVH47352 pep chromosome:PHallii_v3.1:4:2719286:2721710:-1 gene:PAHAL_4G043300 transcript:PVH47352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWQPSRRGSVQTPKARQERERSEAAMAELAADQHVRYIVTVGKILNWVHTVGVSGRLQLGAGPIDGDAGASSGVGWICTVLRR >PAN23016 pep chromosome:PHallii_v3.1:4:4036533:4038375:1 gene:PAHAL_4G060900 transcript:PAN23016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVVDPLRDFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >PAN24477 pep chromosome:PHallii_v3.1:4:40620674:40622363:1 gene:PAHAL_4G222800 transcript:PAN24477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLFFVPLLVAVAGGGQCAASTTGAKGTTVLYYASTSELNAYWQSVLPNRPIPLDILAHFSAPSGNERKDHEKEHYWSIYSDQLTNRDATIFHNWAHRVASEKLLYPESAFTTGSNINLYIDRAAALHNAFLHRDVADSIPMSTENFTDIATMSSPVSVSMARDVWSTLSSCEHRREVVGEQKACATSVESMHKFAVSALGTSGLHAFSTSLDVPEEGIGSPSHIYKVAAVRAVTAHGANKEASNTVTCHSMSFPFALFYCHAVNPTRTYEVTLQKDEDGLVPAMPRMPTVVRALAVCHVNTSGFDPTLNYWVKLGLKPGEASVCHFLTRGDVLWTPA >PVH48033 pep chromosome:PHallii_v3.1:4:40832672:40839150:1 gene:PAHAL_4G224100 transcript:PVH48033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVTCSNMRLSGSFGPGSREELCGDVSMIEQTGNEYWSKRLTDGANELAVSIQNSIQSKQLESPSDSATENSMDHREESDAKRMETIFHFMIASLRSGRSSVLLDIIIGLVYPVLSLQETSNKDLSLLAKSAFELLKWRILHRPFLETAISSILSSVNDPNWRTRSALLSYLRTFTYRHTFVLSGSEKSLIWQTIEKLLVDNQVEVREHAAGVLASLMKGIDEDLSKDFRDRSYAKAQSIIVARRRNSKSGHSVATIHGAVLALTASVLSVPYDMPSWLPAHVTLLARFISEPSPIRSTVTKAVAEFKRTHADTWSIHKDAFTEDELEVLRDTSSSSSYFA >PAN25162 pep chromosome:PHallii_v3.1:4:47495078:47497170:-1 gene:PAHAL_4G280700 transcript:PAN25162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPPPLPAGNGTGLLKAVYRRVVDNFLAVVTVPLAAAALVAVARFGPEELTGRLLREARPVHLFLAAFLPAAAATVYLMLRPRAVYLVDYACFRTASNCRVPFSTFLEHAKQVPVLNERSVRFMTKLLERSGLGEETCLPPAHHYIPPYKYCTLDAARGEVDLVVFGALDDLFAKTGISPGAIDILVVNCSLFCPTPSFVDMIINRYKLRSDVRSMHLSGMGCSAGLISVGLARNLLQVAPRGTHALVVSTETITPNYYVGSERAMLLPNCLFRIGGAAALLSNSPSRARFRLKHVVRTLTGAQDSAYTCVFQQEDDYGNVGINLNKDLMTIAGNALKANITAIGPLVLPASEQLLFALSFIARRVLSGGFRPYIPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIVPAATAEGPWATAIHRYPVDIPDVLKH >PVH47897 pep chromosome:PHallii_v3.1:4:27127143:27128053:-1 gene:PAHAL_4G180700 transcript:PVH47897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREEVGRRSNSGGPKMADFGREKLGRGRWSTGGGAGGGGASPGGRNRRMAARAGRGAGGDAPKPSRKVCFRVKGRGRGLI >PAN25464 pep chromosome:PHallii_v3.1:4:49037805:49038565:1 gene:PAHAL_4G303200 transcript:PAN25464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >PAN26236 pep chromosome:PHallii_v3.1:4:52616006:52620682:1 gene:PAHAL_4G357100 transcript:PAN26236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAPLLAVAFLAAAAHAADPFAFFDWDVTYMTASPLGVPQQVIAINKQFPGPVMNVTTNYNVVVNVLNSLDEPLLITWDGIQHRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPSLGMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKNHTHLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPDGIEYETIKVEPGKTYRFRVHNVGVSTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESLWTRVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGSINVSQVYKLRNEPPVIINGKKRTTLSGISYSPPDTPLRLSDLYDKKGVYTLDFPTMPIDGPPVIRTSVINSTYKDFLEIVFQNNDTIVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPNGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSTAAAAKLNNYLLVVLVSLLALALGH >PAN22699 pep chromosome:PHallii_v3.1:4:2386290:2387434:1 gene:PAHAL_4G038300 transcript:PAN22699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDIPIAAPRPRRGAAAAAHCCHAKRKSQSCGATYASSFRHRGFVGCWRCRRDSSCLLAACVPSVAVYALPFCFVLSYYLAARAMLPNLSFFRRGVGLAFRVGMDGPARDGKRGCRASEQAAMARGRGGRLTTGTDSGELGGRGREMDGGRMVTDG >PAN22337 pep chromosome:PHallii_v3.1:4:824280:828006:1 gene:PAHAL_4G012400 transcript:PAN22337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDLDFSNPDTFLCPAIGNDPPTSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDHSHTHTCVHVHTKIVAASPDAAETAESPSENNTSKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPVKNIGLVSTVDQGSFLGGAQVTNSCDFRCNDQMYCNPGMQEAMSAQVLGQGACDIANIQCMGSAKSGSTKLPVCGGVDTVPTGCLPNVEKK >PAN22459 pep chromosome:PHallii_v3.1:4:1341513:1343393:-1 gene:PAHAL_4G020900 transcript:PAN22459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPRGRQEPRRMGNAAMVVTMLVSLCVLTYIKARYCSNPFPKPAEELEVAEVDEDYDSTRYKLEGPIGEEDFDPSRPTCYNTSKRSERCAAVGDIRVDGNHSKIYISPLDREWRTKPYARRHDPVAMDDVREYTLVPFGGANDTAVPPLCTVNHSVPAFLFSNGGFAGNLYHDYTDVLVPLFTSTHHFGGEVRFLLSGMKDWWNDKFTPLFRQLSRYEVIDVDNDREVHCFPRIVIGATFHRAMGIDPSRSPGGVTVADFKRLLRRAFRLERAVASRSGAPRRDRPRLLIISRKSSRRFLNERAMAHAAALARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPARDMDVNYMEYNVSLEESSLRDLYPEDHFYLKHPYDVHKKGWDAIKTVYLDKQNVRLNLTRFTKTLEQARHLLPEP >PVH48512 pep chromosome:PHallii_v3.1:4:51971473:51973776:-1 gene:PAHAL_4G346600 transcript:PVH48512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRNWSLLSSTVVIWGGVATAGLAGIFVFGGKEKFQNYLCREGERLRLQDRAAMGRN >PVH47885 pep chromosome:PHallii_v3.1:4:25572515:25573414:1 gene:PAHAL_4G176900 transcript:PVH47885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLHTNALHWEGFPHLLWESLSLFFYIEPPQYDGVEYREEGVPRCRVKMTIPQHPFLSQWQPIEVDVVGYRLHPMEVAGYPIGLFPAIDSGDPEWNFITAHYGHLLGDSAEETLRGLIRFMNVQHHYQILLRRGLIGIAQGHYRNADRQVTQIVELQALVLEEEIITAREEAILHQEDQINESDAIITQRNTIIEFLQEQIHDLILEVDDAHAYIDELQEQLVPRAVPVAPEGGEEDPEEIEGVSDLDSEHGDPESNPQPDHSSSSSQSSMGNLDDF >PAN26170 pep chromosome:PHallii_v3.1:4:52390253:52391653:1 gene:PAHAL_4G352900 transcript:PAN26170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTKGSSNLYAVIVELSAYLNITKPRGGGPHFFGIQMKPNQTNRRKLTRCRLERGNHDNRAYENGRCLEGHICGLSKIWSSNDTIQTTTILPILESLQRRVSEEGRRSCAIEPLLAGSMYSLSTIDRL >PAN22629 pep chromosome:PHallii_v3.1:4:2037947:2040828:-1 gene:PAHAL_4G032700 transcript:PAN22629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFRGYIAPEYASRGLYSLKTDVFSFGVLALVIISGRKNAILQQQGDTVGNLVRDAWHMWNAGRLHELLDPISSGRHELAEIVRCAHVALLCAQEYPADRPTMSDVVALLNFESVSLLPSPKQQSELSNGGAIGDKLSAHFGQSSRTVDITITSSAPVSTRVRIILEP >PAN22353 pep chromosome:PHallii_v3.1:4:921200:924970:-1 gene:PAHAL_4G013500 transcript:PAN22353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFPDVTKNLHGCSCQEVLLMWRSRSQSCPFCRDSLKRVNSSDPWMFTDCRDVVDMATVTRENIRRLFMYIEKLPLVTPENIFYAYDSHVK >PAN25709 pep chromosome:PHallii_v3.1:4:50248733:50252375:1 gene:PAHAL_4G320300 transcript:PAN25709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAAALAALLCASWAAAAEAQKYNAIFNFGDSITDTGNLCTNGKPSQITFTQPPYGETYFGTPTCRCCDGRVIVDFLSNKFGLPFLPPSKSTSADFKKGANMAITGATAMDAPFFRSLGLSDKIWNNGPISYQLQWFQQISSSVCGQDCKSYLANSLFVFGEFGGNDYNAMLFGNYNTDQASTYTPQIVSTIAAGVEKLVAMGARNVVVPGVLPIGCFPIYLTLYGTSNSGDYDGLGCLKKFNDLSTNHNNQLKSQIASLQTKYPSARIMYADFYAGVYDMVKSPGSYGFSTAFQACCGSGGGKYNYQNSARCGMSGASACSNPAAHLSWDGIHLTEAAYKQITDGWLNGAYCSPAILHS >PAN23495 pep chromosome:PHallii_v3.1:4:6786696:6789008:-1 gene:PAHAL_4G096300 transcript:PAN23495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVRVLNISHVRPVETDGVSPPHQGGHKLSFMDLLQISKTIQRLFFFDGPDLPPFPLVVSALRSSLAATLGAFLPLAGELAFRPGSGDVVIDFSPAAVSRSPGVKFVEAEFAGGADAMRRLARDDEHDAEAFARLVPELEARRLPAPVLAVQVTRPAGGGGAVAVGVSIGHAVADGHAVWQFLSAWSTASREGPGSLAAPGFVRPTFDRAGIRHPKSAELVRTVLSRVAPALPLLRSASSKPEIMQQSRRTFLLRADEIRSLKQHILEQSGAGSRGEPPKPPSTYVAVSSLAWASITRATPAMLDANDAHLMVSADCRSRLRPPLGDGFFGTCVKACYARAGAGDLLGGAGVARAAAAIQRAIRAYLEEPEGGPLSDAEGWVAAYGAVPKERLVTVGSSNRFAAYETDFGWGAPSRVELVSLFAARMVTLLGARDGGVQVSVALDGATMDAFAANFAVPAVRTAAAGAVSVAR >PVH48077 pep chromosome:PHallii_v3.1:4:43185741:43186509:1 gene:PAHAL_4G237800 transcript:PVH48077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAPFQIATESWQANQIRRRSSLFRRCPSPRRHAALAPLWCHLGPASPPAPPACPASPPAPPPGPAVSLASDQRRRNIAPPPKHQEPSSSSSGTTASSSSSATMASNCSYSTQTHAVVV >PVH48095 pep chromosome:PHallii_v3.1:4:44538367:44538918:1 gene:PAHAL_4G247000 transcript:PVH48095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPALAAESCASPTPIPAEEEDTAKREVEGGCGHHDSMGSSYHAEGGRRLHTEMRQQATRH >PAN24494 pep chromosome:PHallii_v3.1:4:41192694:41193059:1 gene:PAHAL_4G225400 transcript:PAN24494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLCRHVVAVRPARAVIARGRHPHQRLPSAGTMLINKYLRSKSPAGGGRDKMTPTSDWASCDRDPYLCLPSCMPVRAKRGPRRHHPGRGVPEPPCHPRRRRRWRRPLRGSRPSSASRTSP >PAN23967 pep chromosome:PHallii_v3.1:4:29583662:29585354:1 gene:PAHAL_4G188800 transcript:PAN23967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHEIKLPYPIIHCAVPAALLATCLLILAVVILPDHREPLLPPVATDDGHGGSNLSCNIFKGEWVPDPGAPRYTTETCPVIHGHYDCARYGRPDLGFVRWRWRPAGCELPRLDAARFLRAARGRSMAFVGDSLARNQMHSLVCLLARAERPSPWTNATRHAYRFGRHGFTVASFWSPFLVRAVEADPDGPTGSGAGLWSLHLDEPDAGWAVRAGEFDYVVVSAGSWFFRPSMFHERGRLVGCNGCLAPNVTDLTLRYPLRKAFRTALRAAAAAAGAPGPGGRRRARTVVVRTLSPSHYENGTWNAAGDCERTRPLARGGWEMNAVEKEMYAIQAGEFAAAAAGREGKGARMLLLDATEAMALRPDAHPSKYRLWQPDRFNVSRDCLHWCLPGAMDACNDMLIHMLLH >PVH48467 pep chromosome:PHallii_v3.1:4:51235804:51241132:1 gene:PAHAL_4G335700 transcript:PVH48467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTIASVKARQIFDSRGNPTVEVDVVLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVDNVNSIIGPAIIGKDPTEQVDIDNFMVQQLDGTSNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIEKAGYTGKVVIGMDVAASEFFSDKDKTYDLNFKEDNNDGSNKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWSTYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAINEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >PAN23459 pep chromosome:PHallii_v3.1:4:6486206:6489925:-1 gene:PAHAL_4G093500 transcript:PAN23459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAMDVSQPSALPAAGSKAAAAAKGSGVGEGLGRYYKQHIHDVDLALLLKINDLRRQEAQRNVLNSRVKFCREELNLLQEPASHVGEVVKVMSKSKVLVKVHPEGKYIVDVDKNIDIAKLTPSTRVALRSGSYMLHVILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVILYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMGSGGGGGDSEVQRTMLELLNQLDGFESTNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPSETSRVDILKIHSRRMNLMRGIDLKKIAAKMNGSSGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDIEKNMSLRKLWK >PAN23737 pep chromosome:PHallii_v3.1:4:8492804:8495068:-1 gene:PAHAL_4G113100 transcript:PAN23737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKAAAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRPNVSKAELKERLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >PVH48411 pep chromosome:PHallii_v3.1:4:50533427:50535305:-1 gene:PAHAL_4G325300 transcript:PVH48411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPGQQHSLPIPVQDPRRSTLNLLGEMAARCFHLDIAARTLVRASRPPPGFPAVLALSNLDLVLGPFHIFLVSVYPAPAAGLDAVLAAVRCAFPAYLSRFFPFAGRVVRDPKTKIPELVRFACGGFALTIGTTHLLADGRAFTVLLSALAEMVRDGGLSREPLFDRSLFKPRSPPSYSASLDAEFSRFTPETMINPLLTAAIRRRLYHIEAADLAALQDAATPPGGGRRASRFVALCAHVWKLLARAVGDADPSCRMAWIVDGRKQVEPSDGLLDRYIGNVVTYTSREASVAELLRAPLHDVAAAVRAAIAGVMTAARFQELADWMEERKAAFRDGGKWTEAVNLGFGSPALVISGLLPFPIDGDLGFGKPRLVVPWLRHGRLGSASVTIVPDPSGDGSWFVGATRVWPRLMEVIESDSLLKPAANLGLATPAGSRL >PVH47681 pep chromosome:PHallii_v3.1:4:8863638:8865647:-1 gene:PAHAL_4G115000 transcript:PVH47681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERHRSSNHARIQQGGSRLHGCRFLPPGPDGSNGRRRPDGWSEQPPGHEQDNYSLLSRAMPPPSPDIASCGSSSEDDPPSPAVARGEQRLLVVQLVPRGVSDGLLGKFADTSAFDFDYDRSGLWSPLVLRHEALCCCWRWW >PVH47680 pep chromosome:PHallii_v3.1:4:8863638:8865646:-1 gene:PAHAL_4G115000 transcript:PVH47680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERHRSSNHARIQQGGSRLHGCRFLPPGPDGSNGRRRPDGWSEQPPGHEQDNYSLLSRAMPPPSPDIASCGSSSEDDPPSPAVARGEQRLLVVQLVPRGVSDGLLGKFADTSAFDFDYDRSGLWSPLVLRHEVLLLAAQSSSSPGHGRRRGGGRPRHRWRRKRRKALCCCWRWW >PVH47551 pep chromosome:PHallii_v3.1:4:5936414:5937814:-1 gene:PAHAL_4G085500 transcript:PVH47551 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family nuclear protein, Control of tillerin [Source: Projected from Oryza sativa (Os06g0610350)] MHALLLLCPPMLLACHHRRLRPPPPPLLRGLAPVKQKLQPGTVEAMLGSLHHHHSSSSSDTDNNNDTKNGSNSSGGVLAAAAAPSARDLVLASADLLQRGDLPAARRAAGVLLSAASPRADAADRLAYHFARALALRADARAAAGRVAPGLVSAAARPASSGAYLAFNQIAPFLRFAHLTANQAILDAVEGARRIHILDLDAAHGVQWPPLLQAIAERADPAAGPPEVRITGAGADRETLLRTGSRLRAFARSIQLPFHFTPLLLSCAATHQQVASGSTTTASSGATSLELHPDETLAVNCIMFLHKLGGQDEVAAFLKWVKAMAPAVVTIAERETIGGGYDRIDDLPQRAAVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIEASLGPAGGRWWRGLERWGAAARAAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSSWQ >PAN23776 pep chromosome:PHallii_v3.1:4:8976093:8978567:1 gene:PAHAL_4G116300 transcript:PAN23776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIVRRSFALSFLAAFFAVLHRAASVAPAAPSSDAASFLRCLAVDLPPQVVYTNASPSYSSVLESSIKNLLFVAPATPTPVAIVAAADASHVQAAVRCGARHGVRVRPRSGGHDYEGLSYRALSAARPFAVVDLAALRAVRVDAGRRTAWVGSGATLGELYYAIANRSARLGFPGGVGPTVGVGGHLSGGGFGLLLRKHGLAADHVVDAVIVDAEGRLLDRAAMGEDLFWAIRGGGGGSFGVVLRWKLRLVRVPATVAVFTVHRPRNQSATALVTRWQRVAPALPRDVFLRVVLQNQDAQFESLYLGTCAGLVATMAGRFPELGVTPRDCIEMTWIESVLYFAFYGTGKPRELLLDRGTRPERYFKAKSDYVSEPVPSHVWESAWSWFLKDGAGLLILDPYGGRMGGVSPLATPFPHRQELFNLQYYGFWFENGTEVAEKHIGWIRGLHREMELYVSKNPRGAYVNYRDLDLGVNGDDDDDGGVSGYEKARAWGEMYFKANFERLAAVKAKVDPHDFFRNEQSIPPLPSSRKGLL >PAN26133 pep chromosome:PHallii_v3.1:4:52137980:52142898:1 gene:PAHAL_4G349800 transcript:PAN26133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFGATSTVGLMAAPTGKNVRLQRRANFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELRKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRKGVVTLEEGRSSENFLYVVEGMQFERGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEEAIRGGYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGGTVIRDEVGLSLDKADKSVLGTAAKVVLTKEATTIVGDGSTQEEVTKRVAQIKNLIEAADQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKYGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDVVVVEIKEPEAAPLANPMDNSGYGY >PAN23810 pep chromosome:PHallii_v3.1:4:9476063:9477275:1 gene:PAHAL_4G120000 transcript:PAN23810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTTSAFAAVLLLVMLAAVQQLAVPVVLADDVSCSGVINDLSLCLDFLQGDAGQPSDRCCTGVKAIYAAADTAAARQATCECLKSAYNMVNADLYATQTLPGACGVPLSYTISPDINCSQIE >PAN22572 pep chromosome:PHallii_v3.1:4:1771645:1772812:-1 gene:PAHAL_4G028300 transcript:PAN22572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSASAMEAEDFGKSWLGLGIGGGDLKRSHGERRSSSAVRLDLLFPQSVKEEAAVGAKAENGARKRLKITDDDDARLSHEPSPSDDGGDGSAGTRKKLRLTKEQSTLLEDTFRAHNILSHAQKHELARQVNLSARQVEVWFQNRRARTKLKQTEVDCEILKRCCESLTGENQRLKHELAQLQRSAAAAAGLYVQLPRRAAATATVCPSCEKVTVTTSGGETSKSSSSYSS >PAN25920 pep chromosome:PHallii_v3.1:4:51147953:51149344:-1 gene:PAHAL_4G334500 transcript:PAN25920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSNGDAAAAGDGEPAPVPRPLAPARKVALITGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHAVPSSPRPPMRLHYADLSDSSSLRRALDAIAPDEVYNLAAQSHVAVSFEIPDYTADVTATGALRLLEAVRLARKPMRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDQPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLKGDSTKARRELKWKPKVGFQQLVEMMVDHDIELAKKEKVLVDAGYRDPKQQP >PVH47415 pep chromosome:PHallii_v3.1:4:3686816:3687750:1 gene:PAHAL_4G056000 transcript:PVH47415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPEQIAGEGRREVTQRSSVGFAATDSSRFSWSWEDFIAGGGGDHRGFLASWALVSKWKWQR >PAN23439 pep chromosome:PHallii_v3.1:4:6362737:6365292:-1 gene:PAHAL_4G091000 transcript:PAN23439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDQTTSSLPSSSERSSSSAPQTEEAREGMESDEEIGRVPEVGLELAGPSTSGRETADPAAGAAAGTSSAAQAASAAARRRGRSPADKEHRRLKRLLRNRVSAQQARERKKAYLSELEVRVKDLEKRSSELEERLSTLQNENQMLRQILKNTTVNRRGPGGGSSAGGDSQ >PVH47751 pep chromosome:PHallii_v3.1:4:14237719:14239066:-1 gene:PAHAL_4G142100 transcript:PVH47751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSISLSGGIPGSSSGKTSGYSFTTGTPSKGLASMLNTIGSNLLSWVWQITCTPSGFVRCTTLSVQPMSPLCRLNQSIPRITSIPPDLSTTKSARNSTPLKLILTREQPNWQLMSPPGVRVNRGVASSTVGILCFSTKLEDINECDAPESNSTIARAELTRYSPSTTP >PAN24387 pep chromosome:PHallii_v3.1:4:37981032:37983922:1 gene:PAHAL_4G213000 transcript:PAN24387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQETDSPSKDTRTERVESYAAKPNSKKWFCCVTASPTQS >PAN26129 pep chromosome:PHallii_v3.1:4:52119343:52120970:1 gene:PAHAL_4G349500 transcript:PAN26129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATRAIAAATARRSGLAAALSSCRRGGARGLSHSSTQLRREEDQSADRHEEAAAVTAAQVEASLNRKNVEVVQGDRSSTLLPDEAVDALGGVGAEADDAWVPDHETGVFVPAEEATGNGSGSGGDGPHEQPGPSVLDQAVFVREDMEDVERPAVDMARADAK >PAN22606 pep chromosome:PHallii_v3.1:4:1945336:1950054:-1 gene:PAHAL_4G030900 transcript:PAN22606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYLRQAAAAATATSSPLAATIRKSSPSSLTHGRLSFSHTHTSLQTTPNHRGNRPGWAVRVLPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPDILEVEQIVDTETGLELSTDNVEKVLDEIRPYLSGTGGGSLELLQIDGYVVKIRIGGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >PVH47545 pep chromosome:PHallii_v3.1:4:5870390:5872162:1 gene:PAHAL_4G084300 transcript:PVH47545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPNSTMLRALFLLVLVCAAHAGGKAKESSSAPAEGGGGSCDGGTCDITKMGATAGGKTDSTKAVQEAWTSACGGTGKQKIMIPKGDFLVGPLNFTGPCKGDVTIQLDGNLLASTDLSQYKANWIEIMRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNMFQCKDIVIKDVTVTAPGDSPNTDGIHMGDSSGVSITNTVIGVGDDCISIGPGTTKVNITGVTCGPGHGISIGSLGRYKDEKDVTDITVKDCTLKKSTNGVRIKAYEDAKSVLTASKIHYENIKMEDAANPIIIDMKYCPNKICTASGGSKVTVKDVSFKNITGTSSTPEAVSLLCTDKIPCSGVTMDNVNIEYSGKNNKTMAVCKNAKGSTTGCLKELACL >PAN22921 pep chromosome:PHallii_v3.1:4:3535158:3539016:1 gene:PAHAL_4G053300 transcript:PAN22921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIGAAAAAAAARRHTTLSSSYAPAFSSFSGIGGGDGGFGRGRGRGLPPSGPPRAPGRPISEDDGADPFSAATPVGRGRGEPVAPSSPNVPSFAAFSGVGRGRGSPPRPTEPEDAPKQPAFTKHFDDAPPRRDPEPPSPEASSSSAPPLPRALPFTGAGRGVPRMQQPPVDKPPEENRFIRRREAAKQAAAGAPSAPGPQQPKLSGEEAVKRALELLGGGGGGRSDEDGGGRGGGGRGFRGRGGRGRGRGRGRTRDDGRSADADDRQAIYLGDNADGERLEKKLGEDKMKILEQAFMEAADNALPHPMEDAYLEACHTNNMIEFEPQYHVNFANPDIDEKPPMSLEEVLQKVKPFIVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPENIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFTRMVSEQYK >PVH47504 pep chromosome:PHallii_v3.1:4:5191487:5191983:1 gene:PAHAL_4G076300 transcript:PVH47504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCSACYHRGDIANYRHCHELDYLVDGILVECEACKEYLPFPTLASHQLENCSFKQTLLKIGPGSRARKNVCDEEKTESPSVGSNSIHGM >PAN22539 pep chromosome:PHallii_v3.1:4:1641414:1645027:-1 gene:PAHAL_4G026000 transcript:PAN22539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASVHKSWRKACGAIKDSATVGLAKVNGGGRERKDLDVAVVKATTHVERPPKERHLAAIFAATSASRPLADVSYCVHALARRLAKTHNWVVALKTLIVIHRTLRDGDAAFREELLSYRRKGHALQMSNFKDDSSPLAWDCSAWVRTYALYLEERLECFRVLRYDIESERLRPAEGNPEGQSRTRTLGKDGLLEHLPALQQLLFRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVDVFFDMTKLDAIKALDIYKRTGNLAKSLSDFYELCRGLELARNFQFPILREPPASFLGTMEEYIREAPRTAPVPNGTIEYRQLDFVPYQEEEEEQTPEPMSEVFDEPVAEEVPPEPEEEPQFADDYDEDEPETLTTADLLGLHEVNPAAAALEESNALALAIVPPSGSNNTPAISFGEISAGSSGWELALVTARSSTSASSQLTESKLAGGFDKLLLDSLYEDAARRQQLAAMDASQQNDPFAMSVGVAPPTGVQMSVMAQQLQQQAMLGMPQQLQFNPFVDAYSAAALASSQGAPFHGSGSLI >PVH47763 pep chromosome:PHallii_v3.1:4:15554298:15554635:-1 gene:PAHAL_4G145100 transcript:PVH47763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLEWRMEEGKHSMSQERGEGTPPYSERDASPYGVKGSMYVVTLSALQRCLEDRPDTAERRPRSGFPTSRGTGDGHLEAHHDL >PVH48067 pep chromosome:PHallii_v3.1:4:42814064:42817410:-1 gene:PAHAL_4G235200 transcript:PVH48067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPVNKRLRGTTGDRSILPKNVEMDGETGDGKIPLTDTKMVVYVPRVQKLKEQLPLPQWLKDYKSDIADSDGWQVEQVARADSYGRKDKYYTHRDYDHIFRSTVEVKKFLDTGEVKGKCLLQKKSSDPDGQSSGSRRRSTKRRMVLPTSDAIGNPQGYGCSTSGDTAPISRNFPDGFV >PAN24875 pep chromosome:PHallii_v3.1:4:45579520:45580351:1 gene:PAHAL_4G258700 transcript:PAN24875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAEMARPFLAACGDGEVSARAAAVAFLAAETGRPLDPVVWGDEKRMKRELVAWAKAVASMAAAGKNAPRRRRRP >PVH47471 pep chromosome:PHallii_v3.1:4:4693066:4693995:-1 gene:PAHAL_4G070300 transcript:PVH47471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSELTRWTTRLGSVGACWPPILPTAAMNSPAQPPLLDSSPLRRAGNRRGAEKSAGPAPPRQRARAAADLLAWLPGWLGARNQPPRPRRIRRGVGGCFRPLPRSDRPAGHEAVA >PAN24934 pep chromosome:PHallii_v3.1:4:45985436:45988101:-1 gene:PAHAL_4G263600 transcript:PAN24934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAVTDELLGTFVPIAVYWLYSGLYIVLDGLGMDDYRLHPKGEEVKNIVSKWTVVRGVLVQQAFQIAVSLLLFTVLGDESGTVRKQPSALVIALQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLISGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLETRKGGGYEARPVKLNQAQQTKAD >PAN25610 pep chromosome:PHallii_v3.1:4:49740750:49741059:-1 gene:PAHAL_4G313600 transcript:PAN25610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRTSKSMAAPKLGTEFTCPFCGHPDAVECRIHRKDRFAEARDSYGTSANALTEPVDVYSEWIDACVDANEGVAGRRCRPRLRDAGVHEGDV >PVH47364 pep chromosome:PHallii_v3.1:4:2795840:2796772:-1 gene:PAHAL_4G043800 transcript:PVH47364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSCAPPAVGALRTGFLLVFDAGSIPVYGSLGSSSLVMGRSQLRIPKFLCGCNIDS >PVH47721 pep chromosome:PHallii_v3.1:4:10946277:10958411:-1 gene:PAHAL_4G129100 transcript:PVH47721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHFAEAHMFWWHIRSPQRVSLPMKPWPTILWLQGGPGQLGIGHGNFLEIGPLDVDLNPRNSTWLQKADLIFVDNPVGVGFSYVDDLNALAKTDLQAAKDMTELLKELVVEEIPTLQSSPLFLVGESYGGKLAAKIGVYVARAIRAGTLKLTLGGVVLGDSWISPDDYALSYPWLLEGVSRLDDNVVGKAIIRWRLRSLWQPWVDLLDLIDSKSGSVNMENFMLDTTVSSVSSNSAARPLLSPSHSETAKNGSNMVSDTVNGFLKKKFKIIPKDFIWQEVSLRVFDALANDFMKPAINELMNCWHME >PAN22215 pep chromosome:PHallii_v3.1:4:187560:192576:1 gene:PAHAL_4G004100 transcript:PAN22215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLSFKRTDSIAESMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLIEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPYVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDENWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGDKPEISMKPLLDYLLSLNYRGEKLMVNDTIDTVNKLQTALLLAEVFVSGLPRYTPFPKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPINMEKFFSRLPSIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIKQQGLNVTPKILVLTRLIPDAKGTKCNVELEPVENTKHSSILRVPFKTEDGKDLRHWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTVAHALEKTKYEDSDVKWRDLDQKYHFSCQFTADMIAMNASDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLATFATNQGGPAEIIVDGVSGFHINPTNGREASKKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSTYGFWKTLNKEERIAKQRYLQMFYNLQFRNLAKTVPRVYEHPPQAPASAGPSTMTVVRPKERKPQTRIQRIMTSLMGHKSSTSD >PAN25681 pep chromosome:PHallii_v3.1:4:50104559:50106565:1 gene:PAHAL_4G318000 transcript:PAN25681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEVLAGGDQNRRVGGTPAGADQRRVGGTPAAAELPGPLRVGGTPAGADQRRVGGTPAAAELPGRVPSRRAPRPAPLPYQAMLGSTAYARMRAEHPAEFAPASVFFTHDPRSAIDRRNSFRVKAALVYEAVTGHHVDDNMPRADSLLLALARECHARIQALTPTGDAGGALPEVPAGGAPPSPNDPGTKGGKSSPPAEVTEEGLDETQKRVVDGVFVVVGFLPKLKEAIARGADRDGVDESFKSRHMQDIVTDVVKLENQLPLRDLLDVAAVAEAAVAATVARGEFKDVGSNARGGEYRLPFNKDSFGDVVQGFCWYYSPFASSKKPAAASPFKDVAADEDMATRTLLDCLHLSVVKPPQGAAVSATGRPARMPTARELRRSGARLQASENGRAEVEFAQPTVWLPALVYDFKLATVARNLLAREYEGQSKPVTRYFQMLNELVEDAADVRILRRAGVIRGGSGGVQEVHRLVKSIDGHATYPSVYLAMDLEIEKVKQYHDKRMTSFLVRNRPGVIWASSVAALSVFAIVAARRNRQG >PAN24864 pep chromosome:PHallii_v3.1:4:45512843:45513805:-1 gene:PAHAL_4G257600 transcript:PAN24864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELKKPAFLPLQIISIVAIVLLSTAPPRCAAFNPRMLFLVKPDPIVLRDHGGALLTGNLTVNLLFYGRFAPAQRAIVADFVRSLSAAPRHPVAAPTVASWWRTTSLYRGGGSRVALGRQVLDERMSLGRGPLSPGNVTALARAAGHHRGAVTAVLTAADVAVAPFCVSRCGIHGRDRGGAHGRARYTYLWAGNPARQCPGQCAWPFHQPLHGPQAPPLVPPNGDVGADGMVISLAALLAGTVTNPYGDGYYQGEDAGAGLEAATACAGIFGSGAYPGYPGKLLTDPATGASYNAIGLGGRKYLLPALWDPTTSQCRTLV >PVH48568 pep chromosome:PHallii_v3.1:4:52890185:52891280:1 gene:PAHAL_4G360300 transcript:PVH48568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFGGLRRRRTTTAGGGFDSLKRLGHISPAVQSHLKHVYLTLCSALAFSALGAYLHIVLNIGGTLTTVGCLAAIAFLISLPPSQDQERNRFALLMSAALLQGASVGPLVDLVLHLDPRILVTAFVGTAIAFGCFSAAAIIAKRREYLYLGGLLSSALSILLWLQFAAAIFGHYYFTFELYFGLLVFLGYMVFDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRVLVIMLKNAQEKSEEEKKRKKRY >PVH47248 pep chromosome:PHallii_v3.1:4:992075:993313:1 gene:PAHAL_4G015000 transcript:PVH47248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRQRGERDGGSTAERRRHLYLVVDDWECGYSIRKVRLPLPCTSDEHTEQRLPKPFWRYEADRQFPQFFTSAFGTKIMGLHHNNSCIVQLVDVRARTVVLGPRTNCPAFPIYFSVGSDKLFAIDAACFELCCLPLVHPDAESDSDGSSPDESESESDSDSDSNDKWSWRQLPEPPFTIILVSVKGEETETVATTFIFDMGKFVWECLGEWMLPFTGRGHFDRKLKALVGLSKDPEAFGCLYACNVPNTGDRHCPAWKCSKEKLFSKHPADRHVSASLVYMENWRKYCLVECVWVEKENACQVKVKEDKADQVLLEKSEGVGGVPQRGRHMHMHDLRVKCRRVRHYEVPNTVSAESIRMDPVAFWL >PAN25793 pep chromosome:PHallii_v3.1:4:50572146:50572343:1 gene:PAHAL_4G326400 transcript:PAN25793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPMVALRAALVGGIAAFAKIGGAMKAAGGVKIGAAAAAVTAAASAAISGKDTSKDTSKAETK >PAN23013 pep chromosome:PHallii_v3.1:4:3949788:3951662:-1 gene:PAHAL_4G060000 transcript:PAN23013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGAEFPTMSHGAPTAAPGANATAPHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDADRDRRAGEAAADGEKGSAAGASRPAAGFLEHVVVIMAGEERPTFLATPAASRAVVELGAVPTAPCLGGGSGSGEEKKAQPQDDGGCAEQTSSQPRVGADDDAGAVSRSRESSSSATALHENLQ >PAN22206 pep chromosome:PHallii_v3.1:4:170412:173796:-1 gene:PAHAL_4G003700 transcript:PAN22206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVHEPLVRRKRKKVLVDYLVQFRWILVIFVVLPISALIYFNIYLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAIEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYIPVKGTLKEIAQAYADSFAPRDGDPAKVPDFVEGMVYTESEGVMMTGVYASKEEAKRKGNKINSVGWWFKPWFYQHAQTALKRGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRCEEFNGAEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >PAN22275 pep chromosome:PHallii_v3.1:4:564843:566001:-1 gene:PAHAL_4G008300 transcript:PAN22275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRAVVVVAMVATAAFAVSVRAEQCGSQAGGAQCPNCLCCSKWGWCGSTSDYCGDGCQSQCSGCSSSSGSPPVAGSGGGVASIISQSLFDQMLLHRNDGACPARGFYTYAAFVAAANAFPGFGTTGSAEARKREVAAFLAQTSHETTGGWATAPDGPYSWGYCFKQENGGAASSYCQPSSQWPCAAGKQYYGRGPMQLSWNYNYGLAGQAIGSDLLGNPDQVAADAAVSFKTAIWFWMTPQSPKPSCHDVVTGQWSPSAADQAAGRLPGYGVTTNIINGGLECGRGADSRVADRIGFYKRYCDMLGVSYGDSLDCYNQSPFNI >PVH47330 pep chromosome:PHallii_v3.1:4:2381931:2382679:1 gene:PAHAL_4G038100 transcript:PVH47330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGTTTAAATADEARQQSREVLEPSRAPCPKPPRPPPQRRRRGSPAMPSSVSRPAAPRAHPPPSSPPSSPLSSLPLPRPCPSGRARRQRPATLLRRRSRELRGAPRAAPGGPREGGCRRLCLLPSPKAVVEESCHEADNDEIGAVKPPKEHAMVTAHQFGWLVFQVCYPKLGCLCWLVL >PVH47905 pep chromosome:PHallii_v3.1:4:27726499:27727651:1 gene:PAHAL_4G183300 transcript:PVH47905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGSQTGEEMAQRKGKRNVQDTVKIFLPLVKLLFELYSDSIDWTDANTTLICSLFAKQVNKGNRLNTHLNSVGYDEVIEDFFNLIGIRLSKRQTKNKWDKLKPDFLVWRKLMRKQTGPGWDRARGVIDTDDEWWKKAKAEIPGCGKFRKKPLQNKDDLSVIFGDIINDQSDHWNPMSTNPIIPPSQEVPGDGDSGNLHEFPDDCDHDSAVGDESDYPQEVSPSPTILLANKINQLAKKPRIGTALVIQEQVTKIAESASSFTSKKLGEVTVQQVMDLVLECGVGYDTDEHYIATELFVKKDQREMFMTLPTNEIRFNWLRRKYNAKYSN >PAN25508 pep chromosome:PHallii_v3.1:4:49357077:49358516:-1 gene:PAHAL_4G307400 transcript:PAN25508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLVQELAAAGLDQLPSRYVRPERERRPGLAGGTLVGNAADMPEPMPVIDLRRLLSCAAEGAQEAGNLRSALQSWGMFLRILYLPLEEKQKCSNLVDGKRFQVEGYGNDQVKAQDQILDWSDRLNLKVEPQDERNLASWPRHPEHFMDVLLEYTSKSKKIKCIVLRAMARLLELDDDYFLNQFSNRPVIVRIDHYLPCPRPDLVLGFKPHSDDGVLATLLVDSDLCALQVLRDGMWYNVPTKPHALLINVGDFMEVMSSGMFRSPVHRVVASAAKERISLAMFYGLDPEHEIKPAAGLLRDDQPALYKEVKTKDYMAGFYQHFARGTRVIESMKI >PAN24861 pep chromosome:PHallii_v3.1:4:45477156:45481221:-1 gene:PAHAL_4G257300 transcript:PAN24861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVNGPKSAAVLYSSLLQSCIGSNAFRQGKSVHHRTIIAPSASPPDLHLSTKLVIFYSHFGDVAAARRVFDGMPHRSVVSWTAMVSSYAKNGRPREALELFALMLRSGARANQFTFGSVASACAGARCARSGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVADASRLFAEMERKDVVSWNALIRGFVEHRHYSDALGLFASMLRDAMVPDHFTFGSALKASGAVSLLSNVELIHTCIIKLGYLGNKIVTASLIDSYAKCRSLSSARVIYDSMCEPDLVSSTALISGYSMDRNHSKDAMKLFCKIHRKGLRIDGVLLSSLLAICANTASIKFGTQVHAYMCKKQPMGDAALDNALVDMYAKAGEFTDARRAFDEMPHRNVISWTSLITACGENGFGEDAVTLFDRMAEDGVKPNDVTFLALLSACSHSGLMNKGIEYFTSMMSKYGINPRVEHYSSAIDLLARGGQLEDAWKLVQKINAEPSSSMFGAMLGACKIHGNVPLGETAAKNLFSMDPESSVNYAVLANIFAESCLWENAQRTRKLLAETSRGKEVGYSVI >PVH48135 pep chromosome:PHallii_v3.1:4:45477155:45481221:-1 gene:PAHAL_4G257300 transcript:PVH48135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVNGPKSAAVLYSSLLQSCIGSNAFRQGKSVHHRTIIAPSASPPDLHLSTKLVIFYSHFGDVAAARRVFDGMPHRSVVSWTAMVSSYAKNGRPREALELFALMLRSGARANQFTFGSVASACAGARCARSGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVADASRLFAEMERKDVVSWNALIRGFVEHRHYSDALGLFASMLRDAMVPDHFTFGSALKASGAVSLLSNVELIHTCIIKLGYLGNKIVTASLIDSYAKCRSLSSARVIYDSMCEPDLVSSTALISGYSMDRNHSKDAMKLFCKIHRKGLRIDGVLLSSLLAICANTASIKFGTQVHAYMCKKQPMGDAALDNALVDMYAKAGEFTDARRAFDEMPHRNVISWTSLITACGENGFGEDAVTLFDRMAEDGVKPNDVTFLALLSACSHSGLMNKGIEYFTSMMSKYGINPRVEHYSSAIDLLARGGQLEDAWKLVQKINAEPSSSMFGAMLGACKIHGNVPLGETAAKNLFSMDPESSVNYAVLANIFAESCLWENAQRTRKLLAETSRGKEVGYSVI >PAN23285 pep chromosome:PHallii_v3.1:4:5626227:5630800:1 gene:PAHAL_4G082000 transcript:PAN23285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAPGTASSLLLSPRRSRGGASSSFRAAAPRFRSPRCVLGSEQLRVVDGGKRAGGVEPRGAVWTPKAPAAEARLAALPREARDSRMKIFSGTANRPLSQEIAAYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIYGQPVILDYLASKTISEDLVVVSPDVGGVVRARAFAKKLFDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACCTHAVFSPPAIERLSGGIFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >PAN23669 pep chromosome:PHallii_v3.1:4:7993023:8000954:-1 gene:PAHAL_4G109100 transcript:PAN23669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSMAAASDRAGPDAGAGEPSLRLRRAPSAEAGDLAGDSSGGRRENGDPHPPPNPQERQQQHEMLYYRASAPAHRRVKESPLSSDAIFRQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLVALMAEKLIRRKLIGEHVVILLHIIITTSVIVYPAVVILKCDSAVLSGFVLMFLASIMWMKLVSYAHTNYDIRVLSKSTEKGAAYGNYVDPENMKDPTIKSLLYFMLAPTLCYQPTYPRTTCIRKGWVIRQLVKCLIFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRLWNMPVHKWIIRHIYFPCIRKGLPRGVAVLISFLVSAVFHEICVAVPCHIFKFWAFFGIMFQIPLVFLTRYLQDKFQNIMVGNMIFWFFFSIVGQPMCVLLYYHDVMNRQAQASR >PAN23836 pep chromosome:PHallii_v3.1:4:9727593:9728846:-1 gene:PAHAL_4G122200 transcript:PAN23836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILISECQLQYFLCVLSLSYKVCVLQSLTGFPIASLICTGRNIPLQAKQIN >PAN24353 pep chromosome:PHallii_v3.1:4:26687162:26690595:-1 gene:PAHAL_4G179500 transcript:PAN24353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDRSQPAEAGNGGGGGAAGEGGGGNVDRVLFKNLVEMVPLVESLMDRRVNPSYSRRASLVYTPAPAKKASDLKSVKSPQSVSAKKRRDPGDAAKKSTPDSNGENGSVVPLSLSGAENKPKDEVAVLREQIDDLQKKLLEKEEALRCAQSSVNEMNAAYATIDELRRQVAEKEALIRSTNSQLHDAKIMLADKQASLEKLEWEVQMSNKKVEDLQGDMSNMEFEISSLMALFEKISENVSGDSYDGSMPSSYELEALQSMTEIDKIEVDKIEQEQITYAEALAAARENPNEERLNLAAEARSRLQVLVL >PVH47980 pep chromosome:PHallii_v3.1:4:36288510:36289421:1 gene:PAHAL_4G206600 transcript:PVH47980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRNAWRLAINVPEP >PAN23138 pep chromosome:PHallii_v3.1:4:4694654:4697769:1 gene:PAHAL_4G070400 transcript:PAN23138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical transmembrane protein 1 [Source:Projected from Arabidopsis thaliana (AT5G20270) UniProtKB/Swiss-Prot;Acc:Q93ZH9] MAMESEEGAALCGHQESAAAAAAVKGGGGGGKRRRKGQRRGGEGGERKKYKLVSYHELPDYMKENEFILNYYRSEWPILNAVLSLFSWHNETINIWTHLLGFMLFFGLTLVHLGQYFPQVADLIGNLSWPISKVAENVSSNIGDVLSGAAMFIQTNPTLASYGMAVTSQTTRWPFFVFLAGAMFCLLSSSACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPHWQVVYLSAISAAGVGTVYALMSPRLSAARYRAHRALLFVGMGLSGVVPAVHAAAVNWHEPRRNVTLAYEGAMAASYLTGTAFYLTRVPERWRPGAFDLAGHSHQIFHALVIAGALAHYGAAIVFLKARDEMGCPA >PAN23475 pep chromosome:PHallii_v3.1:4:6650122:6652675:1 gene:PAHAL_4G095100 transcript:PAN23475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTVTKLSEGPVRPSAATPSEKLPLAWVDRYPTHRGLVESAHIYRNVADMLLPAPAAVRDADDVGDAAATKKAAKEVVNSKSPAAVVRGALADALVHYYPFAGRIVEDVPGRPAVLCSAEGVYFVEAAANCTLADVNFLERPLLLAKEQLVPCPVPELWPVEPHNCLAMIQVTTFTCGGFVVGLRTNHAVADGTGAAQFLNAVGDLARGLPEPRVKPVWARDRFPDPDIKPGPLPELPVLALEYIAFDFPAAYLTKLKSQYAASTGGKICSAFDVVIAKLWQCRTRATEAAPGADVRLCFFASSRHVLKLEPGYYGNAIFPVKVSAPAEVVAGSSVVELVGMVRDAKRRMAEECLSWAEGRTGGRDPFQMTFNYESVYVSDWSKLGFNDVDYGYGTPMSAGPLVNCDLIASAIVMRAPAPLAGTRLLASCVTKEHADDFARRMREDLV >PVH47768 pep chromosome:PHallii_v3.1:4:15586833:15587811:1 gene:PAHAL_4G145700 transcript:PVH47768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASRPVVWYVVVIVRAPLRNKEKSACCTHEGLPVRYWRKVGMTSSPHGPYGLGHTRATMAMTMGSKAVRRSESGKIASIRIVLCNSGT >PAN22807 pep chromosome:PHallii_v3.1:4:2988952:2993556:1 gene:PAHAL_4G046200 transcript:PAN22807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MSGTGQCSSQPQFMTSVGGSNRSNGPGTPLIESIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILVASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKVTNFVLWILAELAVVACDIPEVIGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYTIESAFALTVAFLINISIISVSGAVCGSGNLNPEDQANCSDLDLNKASFLLKNVLGTWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSVIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSVFTSVLTWIIGSFIVVINTYFLITSFVKLLLHSGLSTVSQVFSGIFGFLGMLIYIAAILYLVFRKNRKSTQPLLESDPELSVAHGSTGAGAEGSLGHLPREDISSMQLPQQRAATDLD >PVH47448 pep chromosome:PHallii_v3.1:4:4326711:4327053:1 gene:PAHAL_4G066300 transcript:PVH47448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGERLVHEVGGGEGARLAWHLATEVVASGPGSERHDGATSGHGGALPGWANGPAGRVELLGLPGPSPLDVTNVVGFF >PAN25498 pep chromosome:PHallii_v3.1:4:49318246:49321615:1 gene:PAHAL_4G306600 transcript:PAN25498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAAAVKAGSRPPWLGLGAAVWLQVAGGASSTFALYSHALKVALGADQRRLALLGVACDVGENLGLLPGVLCNRLHPALLLLVGAGACLLGYGAAWLLVSGAAPALPYWLIWFALALAANGGAWLGTAVLVTNMRNFPLSRGAVAGILKGYSGLSAAVYTEIYTGVLRDSPNNLLLFLTLGIPAICLLTMYFVRPCEPSLVETNAEQVHFMFVQMASVLLGIYLVGATILDHVVTLNEVINYSLLAIMVLLIFAPLAIPLKMTLFPRRKNPATDNGHTESLLPSSSESNLNFEDEDSMDIDILLAEGEGAIKPKRRRPRRGEDFRFREAILKADFWLLFAIYFVGVGSGITVLNNLAQIGIAAGAVDTTILLSVFSFCNFFGRLGGGAVSEYLVRARTLPRSVLIVCTQVVMIITYLLFALGHLATLYVSIALLGICYGVQFSVIISTSSELFGLKHFGKIYNFIALANPVGAFLFNTLTGYVYDLEVERQKAGMVDTDIACHGPNCFRLTFYVLAGAACLGTLLSTVLTVRVRPVYQMLYAGGSFSQPRNSGH >PVH48316 pep chromosome:PHallii_v3.1:4:49319172:49321615:1 gene:PAHAL_4G306600 transcript:PVH48316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFQIWFALALAANGGAWLGTAVLVTNMRNFPLSRGAVAGILKGYSGLSAAVYTEIYTGVLRDSPNNLLLFLTLGIPAICLLTMYFVRPCEPSLVETNAEQVHFMFVQMASVLLGIYLVGATILDHVVTLNEVINYSLLAIMVLLIFAPLAIPLKMTLFPRRKNPATDNGHTESLLPSSSESNLNFEDEDSMDIDILLAEGEGAIKPKRRRPRRGEDFRFREAILKADFWLLFAIYFVGVGSGITVLNNLAQIGIAAGAVDTTILLSVFSFCNFFGRLGGGAVSEYLVRARTLPRSVLIVCTQVVMIITYLLFALGHLATLYVSIALLGICYGVQFSVIISTSSELFGLKHFGKIYNFIALANPVGAFLFNTLTGYVYDLEVERQKAGMVDTDIACHGPNCFRLTFYVLAGAACLGTLLSTVLTVRVRPVYQMLYAGGSFSQPRNSGH >PAN22348 pep chromosome:PHallii_v3.1:4:908709:916733:-1 gene:PAHAL_4G013300 transcript:PAN22348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVMVVARNFMDMVAALPASKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVLAPVTAAAMEEWVLNEYAAKHRVSIDKLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPLSVTGRLPASADLEAYALDQWECFLLQLINSSQVEKGTSFSSSMMKTFQRGLLSSRDGEAPKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHKLGAAYSLNTLTDVQRIAIRDLAELGLVKLHQGRKDSWFIPTQLATNLSASLSDSSSSKEGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESIYGAFENGITAEQIISFLKQNAHPRVADKIPAVPENVTDQIRLWESDRNRVEMIPSHLYEDFPSKEWFEQCCDHARDHGYLLWEDSRRMRLIVRGEFHPEMREFLRRQR >PAN23266 pep chromosome:PHallii_v3.1:4:5478249:5480491:1 gene:PAHAL_4G080100 transcript:PAN23266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSRRSTASPHHPCGRAPVCFLLNLQILPPRRRCTSTPLPPKLRIRAQRPGRTTWPDGGCGGHSGKLRQKQRQAHSSSRKAAAGSQQKQNDGDKQCKQHDAAGMSISISLRATSRGGGERRRRRDAGRRFVLRRATGAKRGRCLALRRDAGRRSAGDGGPRARFRRRAGLHRAAMPSCILPRGQVEDGNERRATPGLRFN >PAN23265 pep chromosome:PHallii_v3.1:4:5478249:5480491:1 gene:PAHAL_4G080100 transcript:PAN23265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSRRSTASPHHPCGRAPVCFLLNLQILPPRRRCTSTPLPPKLRIRAQRPGRTTWPDGGCGGHSGKLRQKQRQAHSSSRKAAAGSQQKQNDGDKQCKQHDAAGMSISISLRATSRGGGERRRRRDAGRRFVLRRATGAKRGRCLALRRDAGRRSAGDGGPRARFRRRAGLHRAAMPSCILPRGQVEDGNERRATPGLRFN >PAN23034 pep chromosome:PHallii_v3.1:4:4127206:4128893:-1 gene:PAHAL_4G063300 transcript:PAN23034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTAADPHPSFLADKDAKVFVAGHRGLVGSAVLRRLLALGFTSVVVRTHAELDLTRQADVEAFLAAERPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALRCGSVRKLLFLGSSCIYPKFAPQPITEGALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAVSAMPTNLYGPHDNFHPENSHVLPALIRRFHEAKTTNAPEVVVWGSGSPLREFLHVDDLADAVIFLMDQYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQGMGWKPKIALKEGLVETYKWYVENVVSDEK >PAN25916 pep chromosome:PHallii_v3.1:4:51119858:51121987:1 gene:PAHAL_4G334200 transcript:PAN25916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MAAAAGIAAVVGVLVLLVAGVSGARLPARGAAVRGPALPRGGAPATAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKAKPEYRNLADHAECVKVEYDPRLIHYKQLLDVFWASHDPREVFGQGPDVGNQYRSVIFTNGTIEAGLAALSKEKEQAKDRSSVITTQIQPLGAFHPAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLAAKLNAYAAELCPANTQKRISSKIDEIAKKGWPILREI >PAN24965 pep chromosome:PHallii_v3.1:4:46168327:46170097:1 gene:PAHAL_4G265300 transcript:PAN24965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMARERKPLAVALAVALLLGLCRGDVVQFIFGDSLSDVGNNNYLTKSLARAATPWYGIDFGRGMPNGRFCNGRTVADIVGDKMGLPRAPAFLDPSLDADTIFKNGVNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAFMREKIGAAAADKFFGEGYYVVAMGANDFINNYLLPVYSDSWTYNGDTFVRYMVDTLEAQLRLLHALGARRLTFFGLGPMGCIPLQRYLTSSGGCQESTNKLARSFNAQAGALLARLSSSLPNATFRFGDAYDYFQDIIDRPYMHGFNDSRAPCCTLGRIRPTLTCTPLSTLCKDRSKYVFWDEYHPTDRANELIALETLRKLNITVVNNATSS >PVH48165 pep chromosome:PHallii_v3.1:4:46186528:46186821:1 gene:PAHAL_4G265700 transcript:PVH48165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSRGMSDANLCFGALFSCKLLVFFMDLRAQWRNHDWFTVCNISPFQFVGDEKTRSFLVSLVRQLLYVLSTRLEYECSYEAMGQLATVRPCLVELP >PAN22884 pep chromosome:PHallii_v3.1:4:3697715:3700468:-1 gene:PAHAL_4G056300 transcript:PAN22884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGGCGVAWTGAAGCRCELCGAPAAVHCAADAAFLCAACDAKVHGANFLASRHRRTRLLLPGAEAGCGSVTAEEGEEDEGYASAASSCVSTADSASTATAAAARWARAGRRPRRAAAAGRAEAVLEGWAKRMGLAPGAARRRAAAACRALRACGADAAAARVPPRVAMAAALWWEVSAGGGSCGAGASRDDALRRLEACAHVPARLVVAVATSLLARARRRAAVEEGWDECAWTEPKSNPSRS >PAN24670 pep chromosome:PHallii_v3.1:4:44013588:44014159:1 gene:PAHAL_4G243600 transcript:PAN24670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKMFALFALLALCASASIATHVPGHLPPVMALGAMNPCMQYCMIQHPFVMNTCMQYCMMQQAFAMGSFASQASMMLQQPLALPLQQYWTPRMMPFQQCHCGAISQIIQQQQLPFMFNPMATMIPYVFFQQPFAGIPF >PAN25422 pep chromosome:PHallii_v3.1:4:48792849:48795895:1 gene:PAHAL_4G300100 transcript:PAN25422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRLVLPTPAGDPGGALRRSYLRLVALSSTPRHLDQLLAVSLTSGHYALDPAPATALLLRYASLRAPHAHLLRLFRAFPRPDRFLRNALLRSLPSLRPHLLFPCPDSFSFAFAATSLSSSCSSRGNEAAAAARALQALAVAAGYATDTFVASALAKLYFKLSRGDDARKVFDEVPSPDTILWNTLLAGLPGSEALEAFVQMVQAGRVRPDSTTLASILRAAADVANVAMGRCVHGYGVKCGLAEHEHVVTGLMSLYAKCGDTDCAHYLFDRMKDPDLVAYNALISGYSVNGMVESSTELFKELAASGWRPNSSTLVAVIPVYSPFGNELLARCLHGFVVKARLDADALVSTALTTLYCRLNDMESARSMFDAMSDKTMESWNAMISGYAQNGLTEMAVELFQQMQALNVQPNPITISSTLSACAQLGALSLGKWVHKIISKENLELNVYVMTALIDMYAKCGSLAEARSIFDRMDNKNVVSWNAMISGYGLHGQGAEALKLYQAMLDAHILPTSSTFLSVLYACSHGGLVDEGRTVFRVMTNEYRITPGIEHCTCMVDLLGRAGKLKEAFELISEFPKSAVGAGVWGALLGACMVHKDSDLAKLASQKLFELDPENAGYYVLLSNLYTSKKRYSEAAVVRQEAKSRKLVKTPGCTLIEIGDKPHVFMAGDRVHPQSEAIYSYLEKLTAKMIEAGYQPVTEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >PVH47544 pep chromosome:PHallii_v3.1:4:5825230:5826474:-1 gene:PAHAL_4G084000 transcript:PVH47544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPNNTMLGALFLLVLVCAAHAGGKAKESSSAPAEGGGGSCDGGTCDITKMGATAGGKTDSTKAVQEAWTSACGGTGKQKIMIPKGDFLVGPLNFTGPCKGDVTIQLDGNLLASTDLSQYKANWIEILRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNMFQCKDIVIKDVTVTAPGDSPNTDGIHMGDSSGVSITNTVIGVGDDCISIGPGTTKVNITGVTCGPGHGISIGSLGRYKDEKDVTDITVKDCTLKKSTNGVRIKAYEDAKSVLTASKIHYENIKMEDAANPIIIDMKYCPNKICTASGGSKVTVKDVSFKNITGTSSTPEAVSLLCTDKIPCSGVTMDNVNIEYSGKNNKTMAVCKNAKGSTTGCLKELACL >PVH48458 pep chromosome:PHallii_v3.1:4:51103044:51104613:-1 gene:PAHAL_4G333800 transcript:PVH48458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKAALREAYKKKNLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >PAN25133 pep chromosome:PHallii_v3.1:4:47274945:47276172:1 gene:PAHAL_4G277900 transcript:PAN25133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAAGTTTTTTTEIWQWQCAVCRADWKMLRQVTTSCCPHGGSRCCQGAPPLSAASPPLPPHHHHRRHHHHLHQEEGNRALAADEGSSNAGRKTTTTLNPAPPAVAQACWVPDPYLMVQQLREFEPLNDEVVALRAQLQEYAGEIERSIERDGDGTNWFLALPASVRDVLVMARDAIEAFIAISAAAPAN >PAN23489 pep chromosome:PHallii_v3.1:4:6714147:6716491:1 gene:PAHAL_4G095600 transcript:PAN23489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRPHFLVLTYPLQGHIAPALRLARRLLAVAPDVLVTFSTTEAAHQRLFPAKQEEEGLNGRGDGGGGRLEFIPFSDGTEGGYGGGSDVGAFNAYMASFHAAGPRSVGALVDALAARGRPVSRVVYTLMLPWAADVARGRGVPSALYWIQPVAVFAVYHHYFHGYAGAVAEHYRRGDPSFVVELPGLAPLAVGDLPTFLTESTDPANYFHAVFLTFRDLFDTLDREAPAATVLINSCQELEVGALAAVGPHDVLPIGPVLPAGDEHSIFKQDDAKYMEWLDTKPASSVVYVSFGSLATMAREQLDELLVGLEEGRRPYLLVVRKDNKATLAEAEAEMGERLENGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVAESVASGVPMVGVPKVSEQSMNARLVEREWRTGVRGQVDDGGVLRAAELRRCVEEVMGDGAAAAEVRRRAREWKRVAAEAMGNGGSSYCNLVAFVDGARSSN >PAN22998 pep chromosome:PHallii_v3.1:4:3853343:3855773:-1 gene:PAHAL_4G058700 transcript:PAN22998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRQHLCCLSTLLLLLLLGLASGQVLFQAFNWESWKQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVSAQGYMPGRLYDLDASKYGTAAELRSLIAAFHGKGVQAVADIVINHRCADYKDPRGIYCIFEGGAPDGRLDWGPHMICRDDAQYSDGTGNLDTGAGFAAAPDIDHLNGRVRRELTEWLLWLKSDDIGFDAWRLDFARGYSAAVAGAYINGTAPSFAVAEIWDTMAYGWDGKLEYDQDAHRQALVDWVDEAGGAASPAAVFDFTTKGILNAAVEGELWRLIDPQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPADKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEITALVAVRKRNGITPASELTILEYDGDAYLAEVDGKVIVKIGSRYDVSALIPAGYQVVAHGNDYAVWEKGAGEEVTQA >PAN23440 pep chromosome:PHallii_v3.1:4:6370346:6371370:-1 gene:PAHAL_4G091200 transcript:PAN23440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSATTFRRSGSSGLVWDERFLTEADAEAKAADGTVEEPQPELRHSRSVGSIGMLRRGGASDGDNKKAKEKKQKQKQGHKEESRSNQQVFRTKDVAPDVDPPSPRVSGCILCTIFGGSGSGAGTARRRSSKPRKK >PAN23105 pep chromosome:PHallii_v3.1:4:4450613:4455063:1 gene:PAHAL_4G067600 transcript:PAN23105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEDLKNENVDLESIPIQEVFAVLKSSPHGLTSNDGASRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMDGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIGVGMLIEVIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEPFVKDLDKDTVVLYAARASRTENQDAIDASIVGMLADPREARAGIQEVHFMPFNPVDKRTAITYIDSDGTWHRISKGAPEQIIDLCRLREDLSRRVHAIIAKFADRGLRSLAVARQRVPECNKDAPGSPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPMPDAWRLQEIFATGVVLGTYLALATVLFFWAVRDTSFFTNTFGVRHIGDSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVAAFLAAQLVATLIAVYAEWPFARIKGIGWGWGAVIWLFTIVTFFPLDVFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQPEASGLFNTDNTNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYTV >PAN25448 pep chromosome:PHallii_v3.1:4:48915604:48918541:1 gene:PAHAL_4G302000 transcript:PAN25448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAPAAAERGRQLPWRGTVAVQAALCLALYAAFSLGEPQLFPRCGGGNGVDALGRGARGGGVAFLSVAGGSRAPAEQARLLRQMEAIAKVYEVKFVLDVSQSGENDPLRQNGSMYPLALNIPWYSTMSSHGRILGNFVKKVNMSYDQVLDIIGLDTGALQEPLHDGKISTLYREQTKWLERSLALTSGNWKIVVGYNPLVVCNEAEAPEIMKFYTPFQRIFTKFEVNAYVSTGGLCGYFHRDNSMLYIGHPSHGGDQTGVDGFFLHRVTPLEMESMLINVQGEVVQRSVVHQHGTGAM >PAN26069 pep chromosome:PHallii_v3.1:4:51880889:51882402:-1 gene:PAHAL_4G344900 transcript:PAN26069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDEQESPEAAAGEEYATVMSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRAACLNFADSARLLRVDPATLATPEDIRRAAIELAEASAQQDAAAAVASSSSGVDAAAPMAMAMHHQQDAAPYDYAAMCGNMDFDQPYYYDGMGGAAAVGGNDWQAGWHVDADDDGAGAAGCGSDMTLWSYY >PAN24572 pep chromosome:PHallii_v3.1:4:42805760:42809384:1 gene:PAHAL_4G235100 transcript:PAN24572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPVAPRTPNPLPLKPRLRPIGSSKAAVAVALGLSPKEEGVGSPRCAHTMADAGVMGSPLRALTSADAGEAGSPRRALTAADTRGAGSPRRALTAVDTGGLESPRRALRDDLTAAVAGGLGSPSISLLIRSPTLLRLKLFSMMAKIVRGSESQQAVFVQEQRPLQ >PAN23933 pep chromosome:PHallii_v3.1:4:11924042:11925074:1 gene:PAHAL_4G134300 transcript:PAN23933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGPTVTVSMAKPNAAAAGGGQQPAERKEGGGRCGVLGGGGCGFRMPLHYPRYKKEDYEAMPEWRVDCLLREYGLPADGDLDSKRRFAMGAFLWPDQY >PAN23096 pep chromosome:PHallii_v3.1:4:4349530:4351958:1 gene:PAHAL_4G066600 transcript:PAN23096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASEVRVASRRIVDYLNDGEELGVEGAGAEAPPCTPAAAGQGARSVRPGSVLPRFRWPRLVSLGRKGGAAKGKGKEVVVVEKGDDPPRAGSTSAREPAAATDAKHSDLGVGLSLVFLLAKTSDEFNKMVKVRTEMEALLKEIRDEVRSKDRHGDAPKASNRESTTSSCVTDGNDRSSSAGVEYQAGTSSGVEPASCEKSFQDGGCSARMDVLEEEFHAELGMLKVNYGSETPSFLPEEGEEEHYSEPYDVMAGYGNGVDDDSGEVVEDEEQDDDDGDDDNACYNGVSAVELERRLHELLHERNRDRIEELEAALQRAEKKLVEKEMEVSLWKDTAKLALRQDNELQ >PAN22248 pep chromosome:PHallii_v3.1:4:355581:357296:-1 gene:PAHAL_4G006300 transcript:PAN22248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPSPRLLLLLALALAALLAFLTSTPTAALHHYAASSSSPARALLVPQQPRTQHRLTLRAVREDASSATPANDDDRYPLQDAVLLPDWEVLVLLHPAAPESSSNATCAFPGGAASPARSLGRMPASGRQAYTCAMPRPERRRNKPFRAPRLVTTTTAPSSQSQSQQSRWPRPEMLLRWSGRLAYDAVALPGTGDVLVLAKGVNPRQGVNRPASDVQCVYYRHNATGDGVVASLPAATSAQQVFRCPAPPATAGDLRVTLAVAGGEPIPSMATYSPPTAASGGGSSSAHNKNSKKVVMCACTMVRDVAKFLREWVVYHAAVGVDRFLIYDNGSQDDLEGEVRQLSAAGFDVSTHVWPWPKTQEAGFSYAAAAHRDSCEWMAFVDVDEFIFSPRWAESSRPSKSSMLRSVVAAVEPDVGQVSLGCKDFGPSGQTKHPEEGVTQGYACRRRAEERHKSVVRLDALEPSLMNSIHHFEVRPEFRWERSRQARVNHYKYQAWDEFKVKFRRRVSTYVADWTDPVNHGSKDRTPGLGFEAVEPEGWAHRFCEVEDTLLRDATRRWFGVGFTSRPS >PVH47705 pep chromosome:PHallii_v3.1:4:10016228:10017364:1 gene:PAHAL_4G124000 transcript:PVH47705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIPTTSPKTLSRIPSCTQSCLWVRSPLEFRMAQDSDDSLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN24034 pep chromosome:PHallii_v3.1:4:13023299:13025043:-1 gene:PAHAL_4G138300 transcript:PAN24034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLGWCCSSGSNNWDLHAVVRSACSSGDRGRVTPPPGSNDDSSSWPLQTPSVSEEFSSGLHPPQPQTDQLLDAAASHPPLADPAVDDLCLQAFFASPKLEAPQPSSPRNEALPQRSKADGPPGKPRTSGRAGPSRSKRKSKKSHVNKEVTRVPVGGPPADLWAWRKYGQKPIKGSPYPRGYYRCSTDKDCKARKQVERCRADPATLIVTYTGDHSHPVPLHRNSLAGTTRNKAQAQPVSPYPAKEAPKPAEAAPSASGTDTKSPGSPSVSAGLSPSIPLRSLNLGGQYKDEEDDDAAAPCSRLLLEGTEMEGEEDDVLLYLMPEETAPLGPGNGSGCEDVMLFPKPDEPPPTTSTSRTDGGGGEAAQFMNTGEEKFSILGLSAWKSASGAATSSWGLT >PAN25445 pep chromosome:PHallii_v3.1:4:48891053:48892683:1 gene:PAHAL_4G301800 transcript:PAN25445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAAPSSGAARAVILRLDDLSLPPRYLTVPSHLRVSDLLASLPLPSSSYYLTSGGRPLPPTSRVAALPPSASVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKVDPNETRLSRFTCCALSGEPLAAPAVADRLGNLYNKEALVEALLHKRLPKALSHIRGLRDMIPIHLHPRPDGDAAGEEVRFQCPVTGLEFNGKYQFLALRGCGHVLSVKALKEVKTSACLVCHKEFEEADKMPINGTEEEVAVLRKRMEEERGKVKEKKDKKVGNGLSGSKHAATAMAAAGAEKLENGKKGEAAVAKRFKAADHAPAHANKEVYASIFTSSRKSDFQETYSCRSLPLGRN >PAN25109 pep chromosome:PHallii_v3.1:4:47129050:47130150:1 gene:PAHAL_4G275900 transcript:PAN25109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPAKIKKPQPPAGDAPPPRPPAAAEAAAKKKPTTLLDAYEVECIRRELERLVLKHNLLSTGGGGSAAPPPNARGRRHRCHDDHHHHLHRQRVSSGARRAASATRVSPSPSPSLPAPAAGPKRKGRPAVRLLGRHAVAICSGTAPVAGAPSGVIGRRAVAICSGSGGAAPVGSGRRRPPGAGGGGGYREVEKV >PVH47341 pep chromosome:PHallii_v3.1:4:2561519:2562316:1 gene:PAHAL_4G041500 transcript:PVH47341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTFATPRGREFTLEVWYFATVREVKEAVRAREGVPVGSQRLFLAGRELDDDARDAAHYGVLQGSRVLLLLPDDATPPSAAAAPAAVRVAISAPAIGRGVALDLRAPDTVARLKELLQDRTDGALPAARTALFYGKAEMEDAKALADYDPPADSMMEVCAVVRQPPAAAAAAGGGNGARTNQQRIAVKVKFGARAVALEVGATDVVRDLRKEVERLRLPVRDGGGGYFFVYKQNVMDEDRTLRWHEVKNGDTIEIFNGTVTGGA >PAN23864 pep chromosome:PHallii_v3.1:4:10443448:10445471:-1 gene:PAHAL_4G126000 transcript:PAN23864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRCPHIYGATAPSSCGTVQRSSSMAPERWAPMPPRVGLVICGRGWVLMTMALAAAAHLPGARRSSSGSAAAAAGCDLFQGRWVADKSYPLYDASACPFVPDVFDCRRNGRPDDAYLKFRWSPANCRLPRFDGADFLRRWRGKTVMFVGDSLSMNQWVSLACMLHAAAPSPVRATLTTGEPVSSVRFEDYDLLVVLYHTTFLVDVVQEDVGRVLKLDSMRNASAWLGAHLLVFNTWHWWTYRGASQVWDYVQDGNSTYRDMDRLKAFSKGLSTWARWVDANIDASKTRVFFQGISPSHYMSKQQEGEAGAAARVPATGAGGGSCLKQTRPLQEATDAAGGGTSGTTPEQGVVRGVIGAMASPVALLDITALSQLRIDAHPSVYAGPGRDGMDCTHWCIAGLPDAWNQIMYATLLQRQQG >PVH48242 pep chromosome:PHallii_v3.1:4:48034763:48035521:-1 gene:PAHAL_4G288500 transcript:PVH48242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQRKKSATLMEMKPIRNSLGRNPLSDALHRNPSVVLNGQGPGRHPLRGVSYRDPGTMISEQGSGCRSICGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPIRIRLDSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKTKEVEGSGFKLWYTGTTSGRNGIGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLHVISAYAPQVGLSESSKSQFWEDLDSMVSTEKTSMVMWVRLM >PVH47235 pep chromosome:PHallii_v3.1:4:669937:671986:1 gene:PAHAL_4G010000 transcript:PVH47235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLARVSLPAALRALARPRHGAAGAPLQFDATAIARGSLIGVRGTGTGTGADGALQGDEEAVVPRSVPAHIAYELQKAGHRYLDVRTESEFRAGHPERAVNIPYVFRTDSGTTKNTNFLEQVSRIFGKDDEILVGCQSGRRSLMAATELHSAGFTDVTDIAGGFSSWREKGLPINQ >PVH47435 pep chromosome:PHallii_v3.1:4:4089778:4090193:1 gene:PAHAL_4G062500 transcript:PVH47435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIKVISEAPKFSRPMGRDRAKRLRGSPGVGSSASFTACLEVLQKIQSDRAKYDERQEIASKDEAQEMAARYERKLSLVQEQEKDRVDKIMFMDLDKVQPWVRDFYIREQKKIAGWNDEASGAPPS >PVH47782 pep chromosome:PHallii_v3.1:4:17420453:17420953:1 gene:PAHAL_4G151400 transcript:PVH47782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSASSAISFESRSSREPTPEYDPIAAYQILAPLHWDAEEWDFQSWSEDDESLTDGEDLVPLLGDELEEDDEDDASWGEELSSSEERADSSSTEEDSATGNFLLDESSEDDDKDDEETEDDDSFTNSSSGDDDSDEKSSSDSSDASEVSPAKRRKTSGVYWW >PAN24742 pep chromosome:PHallii_v3.1:4:44765125:44770388:-1 gene:PAHAL_4G248400 transcript:PAN24742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MLPMRSAAAAPCSLAALLLRRLSSHSSSSVYSVSRHVSSGLSSQPSASYLTASPPCPLSISRRTRGFAAWASAPGPAGPAESPATKALEAKIKEQLEADAVTVVDTSGDGRHVCIDVVSKAFEGKSAVNRQRMVYKAIWEELQSTVHAVDQMTTKTPDEAAANK >PAN25948 pep chromosome:PHallii_v3.1:4:51257688:51260896:1 gene:PAHAL_4G336300 transcript:PAN25948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MVSAFFVFCGCVDQASVAVVERWGRFFRLAEPGLHFFNPFAGECVAGSLTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLEELEKVMGDYGYSIEHILMVDIIPDAAVRKAMNEINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGEGSKNTTVFIPHGPGHVRDISEQIRDGMMQASSSNV >PVH48457 pep chromosome:PHallii_v3.1:4:51081123:51081886:1 gene:PAHAL_4G333300 transcript:PVH48457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFFLVFNGHKLHCLLESLDLSWKMEPTFWSNSSEHGITVPGNRHLCSPLVPESCSEHKENPVDDEDHADGYEVFSYEAQRMIPVLLSPVLFLGRVIYSPISSSLRRLQTSAAQPSRARLAHELMLGPGPTGRATHQTRPRLRLGFFLAREAEAEGASQVLNLCPAESNQT >PVH47571 pep chromosome:PHallii_v3.1:4:6315649:6319075:1 gene:PAHAL_4G090200 transcript:PVH47571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACFSKKKIIDDRFGNALPDQNVRFISWRTMRDQDRAKQRHTCPYRRALSVRDKTDGSRISAGTRGRPSASKLAVVLSCSLLSSEPTRGWPAASLYFREPNPSRLTYHLPPCCTCFFSSRSCRPLSGRRPWSILWQNLRALLLFAAAALPAMEVRNRYVATRRHIEGAPTEADFEVREETARWSPDSGEVLVRNLYLSIDPYQLNRMKRSSASHLAVDGILPGQRIAAYAAGEVVASASPEYAAGDVVAGVLGWEDYSLFRPSPAVLMSKVDASGAFPLSHHISVLGTSGMTAYGGLFEVCKPARGEKVFVSAASGSVGSLAGQFAKLAGCYVVGCAGTKAKVDLLKDKLGFDDAFNYKEEPDLKSALKRCFPDGIDIYFENVGGEMLEAALANMNPYGRVALSGVISEYTGAGRRAVPDLLEVIYKRITIRGFFAWDFLPRFAEFNAAIGEWIRQGKVQVLEDVSDGLESVPSAFAALFSGQNVGKKLVKLA >PAN24953 pep chromosome:PHallii_v3.1:4:46122374:46124632:1 gene:PAHAL_4G264600 transcript:PAN24953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWPPRIPAAVSHRCAAAGAKSTAADATDDLVRNHNRSIAALLRRGRFAAARRLFDALPARSVVTWNSLLAALSRRRDVLAARSFFDSMPVRDAVSWNTLLAAYARSPHPDHLAAARGLFDEMPQRDAVTWNTLLGAYARRGLMDEAQRLFNEMPQKNTASWNTMITVFFAVGQVRKALNAFEAMPVRDSASLSAMVSGLTRNGWLHEADELLTKRLRAMDMDKAVDAYNTLIAAYGQARRVTDARRLFDMIPKTQRQHKGHKRRVFERNVVSWNSMMMCYIRIGDVRSARALFDEMPDKDLVSWNTMIAGYTQSSDMEEAEKLFWEVPEPDAVTWNLMIRGFTQKGDVEHARGFFDVMPERSTITWNTMISGYEQNEDYDGTIKLFQRMLEVGERPDHHTFSSALAACASLAMLCLGAQLHQLIEKSFLPDTATSNALITMYSRCGELTNAKAIFNQMRTQKDLVSWNALIGGYEHHGHATEALRLFEEMRSAKVAPSDITFISLLSACGNAGLISKGRVVFHTMVHEYGLAAKIEHYAALVNLIGRHGQLEDALEVIKSMPIAPDRAVWGAFLGACTAKKNEQLAQMAAKALSEIDPESSAPYVLMHNLHAHEGRWGSASVVREDMERLGIHKHPGYSWIDLHDKVHVFISGDTSHPLTQEIFSVLECFYRSCRDWS >PAN22313 pep chromosome:PHallii_v3.1:4:747570:752596:-1 gene:PAHAL_4G011000 transcript:PAN22313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSGAGDYAPYYPPYPSPAAPPPATYPSVPAPASAPPYSPYPTDFAPAPSYPAYPPAQPVDIPHYAPPADAPPPPPPYYTYEPPPLPPSPHNPVPSPYPSLDRAGSYGYGSGSGYGQELYPPRPAGGGGWSDDGVYAYDGGDAPEPYGARGTAPRSGSGSALFDDYGRSIGSATDRGGRGGSAANPKVVRAVPKAETTEDVRGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDVEAKRIRLKSNSYTSNTILDTVTAATVQFKEMGGSSISRSRAIADAAKPAEQQNERRRIFPDLRNLVKPMNEEKDHWVPDEAVTKCTACAADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRVCDRCMAEVTQRLANAREAANRPIVHSHEDLAKKLQEAMDINKRSSSGTRSSDASGKRMREVACPICTVHLQVQVPTSGSETIECGVCQHPFLVSAR >PAN23831 pep chromosome:PHallii_v3.1:4:9662789:9663364:-1 gene:PAHAL_4G121700 transcript:PAN23831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSPAFPYPYYIYAPPPPPPPSPSTGLGLGFGIVGLIAVMLAFKYICKAIPAGTEPLGHQGGSHAAASATHQQRWSPRRPSDDGEQQLQGASLDDRPRLPDPTPSLPAAFAYNRSLQRKVKDTAGEEAAACAVCLGTFEFGDMVRLLPVCLHLYHAECIDQWLRKNSTCPVCRSETDPMMVMDVSQLPPV >PAN24378 pep chromosome:PHallii_v3.1:4:37770889:37771814:-1 gene:PAHAL_4G211800 transcript:PAN24378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDDHRRQAVPDDGRDDVREIPVSDGSSAEHKEWLKEVRGWLVVLATLAASVTYQAGQNPPSGVWQHSSGHLASNPVLHDGKFVRRYLTFYYFNATAFATSLVIIILLLNERFYKSEAKVAALTLTTMVDPMSLVGAYIAGSTRDMANSIYIIVLTCFLFVCVVYIARYVVLTCFLFVCVVYIARYVVQGWLLLTEHMKKRVEAAKERERKEGKQRERDKHAKTRRSRCFSCNCCACCRAFEYDDIESRGLPKTEDN >PAN24829 pep chromosome:PHallii_v3.1:4:40993085:40993806:1 gene:PAHAL_4G224900 transcript:PAN24829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTWRTGSGSDQQEQNNQSTGQPLPMPPPLTPEQFFQLQMQMLATLNNTVQALQQIHAQPPPPPPPQPRDRRADFLRGHPPTFSHAMDPLQADDWLRSGSMTVTEYRDRFLQLARYAPADIARDSDK >PAN25080 pep chromosome:PHallii_v3.1:4:46741614:46747575:1 gene:PAHAL_4G273200 transcript:PAN25080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEVAALSPTSRPVPLLSTAPAHRLRLLPPRFVSGRRFRPSPRHQGFGCVRDGWGGRHSARKNGFFVTSSSSASIEPATQEVGTAVPGEWSGDAIRRRFLEFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTARHQTFFEMLGNFSFGDYFKKEATAWAWELATEEYGLPAERLWISVFEDDDEAFNIWHNEVGVPKEHIKRMGAEDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKRDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASLALVSYAKADDAMKTNLKIIGDHMRAVVYLISDGVLPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNPEGAFLPSLAEVVISLSTQIDPDVESRRKSIIGELQREELRFVQTLERGEKLLDELLDEALLSASNNGNKPSLSGKDVFLLYDTYGFPVEITAEIAGERGVAVDMKGFDIEMENQRKQSQAAHNVVKLSVGNESEIVKSIPDTEFLGYDSLFATAVVKGLLVNGNPVNEASEGSEVEILLDRTPFYAESGGQVGDNGFLYLNVGEDRKQTSVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDASVDAKLRQGAKAHHTATHLLQSALKSVVGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWIGNATHLETKVMALQDAKNAGAIAMFGEKYGEEVRVVEVPGVSLELCGGTHVSNTAEIRGFKIISEQGIASGIRRIEAVAGDAFVDYVCARDNYMRRLCSSLKVKAEDVNGRVDTILEELRATRNEVSSLRSKMAVLKAASLASKATTVEPQNVRVVVVNMGDVDADGLKSAAEYLIGTLQDPAAVILGSSPGDGKVSLVAAFSPAVVKMGLQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPDALEKARAEIVAAVSSSSS >PAN23272 pep chromosome:PHallii_v3.1:4:5577239:5578826:1 gene:PAHAL_4G081100 transcript:PAN23272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNGVSTSTVPPARGGSHAAAPTTTRPKIRIIHIIAPEIIKTDVANFRDLVQRLTGKPACTSTEATTAPPVQEEKEATTKKRPAPATAVTTERSDFAVQQEPSKKRKIKCEVKVEEGGFGDYYDLDRSDLWMDLNPGGFLSFLEEEADVFQGLAAADDFLLPLGSSRLDLVGEMYAS >PVH47978 pep chromosome:PHallii_v3.1:4:36149711:36156237:-1 gene:PAHAL_4G206100 transcript:PVH47978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPVSVRSLIIESSDDEDTHSAAAVATIEKRHVHDEEEQGAGSDSDSSSSSSCATPRRGLCSPSSRYTQQWPQSYRQSIDILSSVQSPNLSFLGTPTLSRLSNSFLAVTDSFRSKTPEIVSNFVKPLLSPTTSDEQQQHEDTRKSSQYLLPSRKPSLQQIPEDQKPLVAGHEVSPYRNCSYTQGVMNGINVLCGVGILSTPYAIKQGGWIGLGILSIFALLAWYTGVLLRHCLDSKEGLETYPDIGHAAFGNTGRIFISIILYVELYACCIEYLILESDNLSKLFPTAHLTIGSLTLNSHVFFAILTTIIVMPTTWLRDLSCLSYISAGGVIASILVVICLSWVGVVDDVGFENKGIVLNLPGIPIALGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTCIGLSTFLYAVAAVMGYKMFGEATESQFTLNLPDNLVVSKVAVWTTVANPITKYALTIIPLAMSLEELLPPNQQKYSNIIMLRSALVVSTLLIALSVPFFGLVMALVGSLFAMLVTYILPCACYLAILKTKVGWHQIAACSFIIVVGVCCACVGTYSSLSGIIQNYT >PAN23752 pep chromosome:PHallii_v3.1:4:8747523:8749160:1 gene:PAHAL_4G114100 transcript:PAN23752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKALLVAVLLVGVASRCSGSRGLQGDHVAEQKYGGGGYGGGGGYGGGGGGGGGGGYGGGGYTPGYSGTGTCDYWKSHPDAIISCIGSLGSILGSLGDVCSAFFGSKLQTLQDALCNTRTDCYGDLLREGAAAYLNSVATQKYAYTTQQVKDCIAVALTSEAAAAAQAAMFKKANYACHY >PAN23202 pep chromosome:PHallii_v3.1:4:5012989:5016030:1 gene:PAHAL_4G074900 transcript:PAN23202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPSASAAAAAAAAEPLLPSALKRGVAIERCASRADDELQWFRSCLRWACMDHSGPAQAALSWLLFLALGVVAPAAAHLLLVLRDSRRPFSAVVQLSLSAAAAAGFLCLSASFRRVGLRRLLYLDKLRTKSDRVRVHYTARLAFSFRLLASLVAPCFAAEAAYKAWWYATSADRAPFFAGNVLGDVLACSIEMASWMYRSAVYLLTCVLFRLICHLQGLRLEDFAGTLLEEVEEGRAGIDRVLREHLDIRKQLKVISHRFRKFIVAALLIATASQFASVLLTTRRDSVDDLLNTGELALCSVVLMSGLIIILSSAAKITHQAQALTGHTTKWHACCTIAPVPDEEGEPGSNQNSMIEQDPSSDSDTESSEETGDEDLLENTKIHLPQAHVISFQKRQALVTYLENNRAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTIGFS >PVH47686 pep chromosome:PHallii_v3.1:4:8985878:8987965:1 gene:PAHAL_4G116400 transcript:PVH47686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQIKYYKCTERNPLQASVRINFAPFASIMARTPRILHLLVALCSLSSSSIAVASASGAADSFLGCLAAAGVPPRLLQTPASPSYDALLRSSVRNLRYVAPGTPRPLAIVAAAEPAHSQATVRCGRRHGVRIRARSGGHDYEGLSYASLDPRERFAVLDLAAFREVRVDAARAEAWAGSGATLGEVYYAVGAASRALAFPAGVCPTVGVGGHLSGGGFGTLMRRYGLAADNVLDAVLVDAEGRLLNRTTMGEDLFWAIRGGGGESFGVVLSWKLRLVPVPETVTVFTVRRSRNQSASDLITKWQEIAPALPRDLILRVVVQSRHAQFEALFLGRCSRLLDHMRAHFPDLGVARADCEEISWIQSTVYFAFYSSSKPLELLLDRIGETGRYVKAKSDYVQEPIPRRVWESTWSWLEKPEAGLLILDPYGGRMASISPSATPFPHRKGNLYNLQYYSSWFENGTAALEKRMSWVRGLYREMEPYVSKNPRTGYVNYRDLDLGTNELQGNVTSYAKARIWGEKYFRGNFERLAAVKSMVDPDDFFRNEQSIPPLPAAKGWSSI >PVH48349 pep chromosome:PHallii_v3.1:4:49713154:49714179:1 gene:PAHAL_4G313200 transcript:PVH48349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLGLAAGLAVAGEVKKQDDGGASSGGHGSRIGPAVVFVLVIVAVVLLVSGLLHLLVRCLRRRGRAREGAEGGADGVGGGGEESALQRQLQQLFHLHDAGLDQDVIDALPVFLYREVVGAGAKEPFDCAVCLCEFAGEDRLRLLPLCGHAFHIDCIDTWLLSNSTCPLCRCALGADAAALLSAFGDGGGWKQEDAVLPVRLGKFKNLSRAAPVPVHDGAGIVTREAGETSSSSLDARRCYSMGSYQYVLAEASLQVSVHRRHGDGHARAGARLRGAGANPAAAGTEGKRIGAGSKGDSFSVSKIWQWPRNGKGKLPVLASDDSPAMNGRLPWQRRSPGDS >PAN24637 pep chromosome:PHallii_v3.1:4:43578571:43580630:1 gene:PAHAL_4G241200 transcript:PAN24637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMDPGGGGEAGAASHYLDLLRAQQQQLQHQQSPLSPSSHVKMERSAPSPDKSPAGNVDPGGDQPSSSALVPPEGGGGSGGQTRKPRGRPPGSKNKPKPPIIITRDSPNALHSHVLEVAAGADIVECVSEYARRRGRGVCVLSGGGSVSNVALRQPGAEPPGSLVAPLRGQFEILSLTGTVLPPPAPPGASSLSVYLAGGQGQVVGGNVVGQLIAAGPVVLMAASFANAVYERLPLEGEEEVAAATAATAAATEPQGEAEAAGEQPQQQEASQSSGVTGGDAGGGGIGHGMSLYDLGGNAAGYQLPGDNFGSWRPPF >PAN23239 pep chromosome:PHallii_v3.1:4:5348831:5350975:-1 gene:PAHAL_4G078200 transcript:PAN23239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLLGPPVIRGARSPPAAADAPASHPFLDLLDAGFNDAPPCTDAAKAGLPPNKTRTENGAATYAASGNPCLDLFFQVVPGTPPDRVRGLVEAAWARDPLTALRLVANLRGVRGTGKSDRDGFYAAALWVHGRHPRTLACNVPALAEFGYLKDFPELLYRLVHGGDVRAVAKARADAEKGRRAAKVRLAQLASRRRRRAVELFQAPPAPRQPTLADYVAAALTTTRRPKSKRDRKAAAVAAVETDEPEQAMEVEQKPEAMEVDQVAAAQEEAADQDAVPSPRKEEVTATATKKNITKKVRKVAKLAVQSLEMYYGDRAYRFLFDCIADFFADLLASDLKQLAPGGKKRKIGLAAKWCPTPGSSFDRSTLLCEAIARRLFPRDSNPNYAGLSEEHYSYQVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKALFKKHDEERFGKYLEDVAAGKAKIAAGALLPHEIAAAAFRGEKDDVSELQWRRMVDDLLKKGSLSNCIAVCDVSGSMSGTPMEVCVALGLLISELSEKPWAGRVITFSQHPEIHMIKGKSLQEKLRFVQRMDWGMTTNFQAVFDRILRTAVDARLPREKMIRTVFVFSDMEFDQASANPWRWETDYEAICRKFRDAGYGDVVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNFVKLFLENDGVVSPEAVMNAAIAGEEYQKLAVFD >PAN26203 pep chromosome:PHallii_v3.1:4:52501378:52503697:-1 gene:PAHAL_4G355400 transcript:PAN26203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATPSLLLSNHSSPSPPHRRRLLRAPGLLLKPRPHNPRTIHRHDNRGVLFSSSFPRLRHHVLRPAAAPAIAPGDHWGNWAFLLSAAAFGTWSEEKTPWGAALSGALVSILAGLAATAAGLISPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRTTGDLLKAFLIGSVATIIGTTVAYLLIPMRSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVTPSVLAAGVAADNLISALYFMALFSLASKIPAEPKMAQASHKDGESEGGGRLSVLNGGLAIALSFVICKAGSAIANRLGLQGGTLPCVTALVVFLATAFPGQLGKLAPAGETMALILMQLFFAVVGANGNVVDAVTKAPSVFAFALLQVSIHLAVVLSVGKLMGLERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGIFGISMATFFGIGFGMFVLRRM >PAN23341 pep chromosome:PHallii_v3.1:4:5915322:5924428:1 gene:PAHAL_4G085300 transcript:PAN23341 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MGEEASLEHTPTWVVSTVCLGIVSVSLAAERFLHYLGKYLKHKEQKALFSALQRLKEELMLLGFISFVLSLSQGFIVNICIPENATDFMLPCKRENHEVAEEGSRVCKKKGDVPLLSVEALHQLHIFIFVLGLVHVVFCATTILLGGAKMRKWKHWETEIHREIGEKLHQAQIERKSTPLSAVLHRNHQGEFVRERTKGFWMELTVVSWITAFLKQFHDSVSKSDYEALRSAFVLIHYPRKPDFDFHKYMIRALEHEFKRVVGISWYMWLFVIIFLLLNINGWHTYFWLAFLPLFLLLIVGAKLEHIITRLAQEAAASLSNETEEVPKIKPSKEHFWFHKPGLVLHLIHFILFQNSFEIGFFFWVLVSEGFGSCMMESKPYSISRLVIGVIIQVICSYITLPLYAIVTHMGGEIKLHGFGSDVHESVHGWLTEAQRRKTFLKKAGAGAGDPDPDSGGEVKLKVTRAAAPDERTAGTSRGMLMTAHPPPDLDEIVTVDGGYHGLRTS >PVH47968 pep chromosome:PHallii_v3.1:4:34452878:34455115:1 gene:PAHAL_4G202500 transcript:PVH47968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNSNLRFYLVFMLSSLVSNYEIQRGRCDEISFQRKKGIISLLGRQHHQRYCKMHNSMLI >PVH48000 pep chromosome:PHallii_v3.1:4:38417667:38418913:-1 gene:PAHAL_4G214000 transcript:PVH48000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRTQTTSPKTSSRVSSCTQSCLWLRPPLEFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRAVR >PAN24619 pep chromosome:PHallii_v3.1:4:43306999:43311643:1 gene:PAHAL_4G238600 transcript:PAN24619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDITCGSLLQKLQLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTTGTIKQQLAAIAPTLEQLTKQKNERKREFVNVQSQIDQICGEIAGTIEVGEQVATPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHDLCTVLGMDFLSTVTEVHPSLDDSIGDDSKSISNDTLSKLDKTVATLNEDKKLRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRLASVDEVTAPGSLALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNIEPSELIADMDSQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEENRGLSFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPASSKKAIGPKLNGSVSNGTPPNRRLSISGQQNGGGHGVRSGGKDSKKDTAKTASPANNNAAAAAPAPAAAKEETASHISGADLVPSTP >PAN24225 pep chromosome:PHallii_v3.1:4:35904313:35905757:1 gene:PAHAL_4G205500 transcript:PAN24225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGADPRGRLSRLGTHGDLSEQVGVPAGAASPPKGRVVRRLGGRGLSLSTSTPQWELDAAQSARDAAAEDTARERARADEAEAWLRELHISSGAWQRRVATTSDCVAELKE >PAN22469 pep chromosome:PHallii_v3.1:4:1374747:1376548:-1 gene:PAHAL_4G021800 transcript:PAN22469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIATEAWALAGCSAASKAAAQELPVQQLPPAAANGAKKAVSFVSSSSGGQGRREAVVVGRRSGLASCVLAALAASFSPLAADRPARAMVLEADDDIELLERVKEDRKKRLEKQGIISSSGTETGYLQDLIYKLSKVGQAIDKDDLPAASSVLGPSPDTQWVQNINAAFSKFSSSPEERSVVDSFNSSLASLFKSVNKLDAESSKSAFVSSATALEKWIALAGLSGQLKGF >PAN22217 pep chromosome:PHallii_v3.1:4:192555:206723:-1 gene:PAHAL_4G004200 transcript:PAN22217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRPRLPGFGEGSQAAEPSGGGRGPGRGFRGRGGSYHQQFPQSGRGAGYYQHGQGAASQPRAAMVSQQWRPAGPAAGYLGHGQAYREVQPPQNYGGGRGGCGSGPSAIAPELRQAMETSHEPDDISSPEAGSPELSPRASTVEVSDQLKGLSVQEKSNTGQEIVQAFPVSHKSYKFPHRPGNGSIGTRCLVKANHFFAELPDKDLHQYDVSITPDVTSRIRSRSVMEELVKLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFHITLLEEDDGSGVKRRQKTYKVVIKFAARADLRRLEQFIAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGIESWRGFYQSIRPTQMGLSLNIDMSATVFFEPLPVIDFVALLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRIAGLTSQATRELTFPVDQGGIVKSVIQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQSQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNVQESVASGFCRELARMCQASGMDFALDPILPPMYAHPDQVERALKARFHDAMNMLGPQRKELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCAKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQRGTICGGMIRELLISFKKSTGQKPQRILFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSLASGARGGGAPSSSSTSRSTRAATGGAVRPLPALKDSVKSVMFYC >PAN22995 pep chromosome:PHallii_v3.1:4:3831985:3837526:-1 gene:PAHAL_4G058400 transcript:PAN22995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRLRPSLLSARPGAASPRDHFLPPFCSIQRNGEARVCFSGQRTQGPSLYHSQKFFDWQSSYSRISRQSTSTSINASGQPLQSEPEAHDSASIWRAISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVQSLSDISPLFLTGLLEAVVASLFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPATGVAIVSVFAAMSFGLGWAVGSQPLFWALFISFVLGTAYSINLPYLRWKRSAIVAALCILAVRAVIVQLAFFLHIQTFVFRRPAVFTRPLMFATGFMTFFSVVIALFKDIPDIEGDRIFGIQSFSVRLGQKKVFWICVGLLEMAYSVAILMGATSTSLWSKTATIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKLFYAEYLLIPLVR >PAN24448 pep chromosome:PHallii_v3.1:4:40206952:40207410:-1 gene:PAHAL_4G221400 transcript:PAN24448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAAPSPHGGAKGSSSLAGLLAGAGFEVEDLERWHEDVREALSRIDAKRGRLQGQIAAASRGRRRAPRRAAAAAGVHGPPPLLPPADDDDDDGAFYARKGAAGSVRRRLRAVAGDAKKERQRLEALWGDLEEALADARERLALQPAGRTA >PAN22582 pep chromosome:PHallii_v3.1:4:1823272:1825246:1 gene:PAHAL_4G029100 transcript:PAN22582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIALAIAAMACLAAVARAGNFYQDTEMTWGGGRGKVVDGGRGLDLTLDRTSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNVTGEPYTLHTNVFAQGQGQREQQFRLWFDPTTAYHTYSIVWNPQHIIFAVDGTPIRDFKNHEARGVAFPKSQPMRLYASLWNADDWATQGGRVKADWSHAPFVASFRGFSADACLWSGGRQQCPVGTMEAAAVGGRSWWRQQLSDMSYRRMRWVQRKFMIYNYCTDAKRFPQGVPAECHLR >PAN23030 pep chromosome:PHallii_v3.1:4:4105858:4111314:-1 gene:PAHAL_4G062900 transcript:PAN23030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPLASWPWASLGSYKYLLYGPLAAKVAHAWRETGSPPLSSWCLHLLVLLALRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDAEWDWDNMVILQTLIAAMVANSPSSPGVAELRAWDPRGWALALLLHVTVSEPLFYWAHRALHRGPLFSRYHAMHHSSAVTQPLTAGFGTPLEALVLTAAMGAPLAGAFAAGAGSVSLVYGHVLLFDYLRCMGYSNVEVVSHKAFAAVPALRYLIYTPTYLSLHHREKDSNFCLFMPLFDALGGTINAKSWELQKEVDQGMNDRVPDFVFLAHVVDVVSSMHVPFAFRSCSSLPFATRLVLLPLWPVAFAFMLLQWFCSKTFTVSFYFLRGRLHQTWSVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVISLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSTERFLKIQREAPAESQQYLVQVTKYQAAQSCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVEGLGSCEYTMERGVVHACHAGGVVHCLEGWGHHEVGAIDVDRIDVVWKAALKHGLTPA >PVH47912 pep chromosome:PHallii_v3.1:4:28442685:28442986:-1 gene:PAHAL_4G185100 transcript:PVH47912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNSLSDPIPITFARTFNIVGSPLICAEEQGCTRAVATLISYPYITCQQ >PAN23589 pep chromosome:PHallii_v3.1:4:7401198:7401491:-1 gene:PAHAL_4G103000 transcript:PAN23589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLSGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN25560 pep chromosome:PHallii_v3.1:4:45947929:45950228:1 gene:PAHAL_4G263200 transcript:PAN25560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTGDAGGGRRPNFPLQLLEKKEEQPCSTSPAAGVGTGAGANGSAGPGELQVRKAPPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSIPAHLRAAGLPGPRFGGARGDPWDRVVGLGFGGAEGPPSATSSASSPLLLSFHSGSVGLDVSPSSTSAAASSDLSRKRRWEQEMQQQQHHQQQQYQQQMAGYTQSQMPGTVWMVPSSNAQAAAAAGGGSESIWTFPQAASGGGAATLYRGVPSGLHFMNFPAPMALLPGGQQLGLGQAAGGSDNGGGSGGGEGHMGILAALNAYRAQAASDAAAAAAQNGAEGGSSQHHPQHGGGERQESMSPSDDS >PVH47522 pep chromosome:PHallii_v3.1:4:5430385:5433561:1 gene:PAHAL_4G079400 transcript:PVH47522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARKTVCVTGAGGFVASWLVKLLLSWGQYVVRGTVRDPGASKNAHLKSLDGAGERLQLLKADLLDYNSVASAVAGCEGVFHVASPVPSGRSSNPEVEVIGPAVTGTANVLKACYEAKVGRVVVVSSVAAVSNNPNWPKGKAFDEDSWSDEEYCRKNEDWYNLSKTLAEREAFAYAEKTGLDVVTICPSLVLGPLMQSTINASSKILLNYLKGDRDTVENRLRNIVDVRDVTDALLLAYEKPEASGRYICSSHPIKVSDMIIILKNLYPTYPYPKDFVEVEGNFVINSEKLQKLGWTFRPIEETLRDCVESYKTFGFLN >PAN24042 pep chromosome:PHallii_v3.1:4:13147097:13151370:1 gene:PAHAL_4G138700 transcript:PAN24042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWCSAGPVAVAAVLLVGIAMPTSFAAAAHAQPPAPPPTSDGTSIDQGIAYVLMLIALVLTYLIHPLDATSPYKLF >PAN25353 pep chromosome:PHallii_v3.1:4:44313996:44318240:-1 gene:PAHAL_4G245100 transcript:PAN25353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQASQLDEALLPQKLAAASESSAAPPVGEEVKRQLRLAVPLVAGSLLQNLIQMVSVMFVGHLGELPLAGASMASSFAAVTGFSLLLGMASALDTLCGQAFGAGQYHLLGIYMQRAMLLLTLASVPLAVAWFYTGRILLLFGQDPAIAAEAGAFARWMIPALFAYGPLQCHVRFLQTQNVVVPVMAAAGATAACHLAVCWALVSGLGMGSRGAALGSAVSYWVNVAVLAVYVRVSPRCTKTWTGFSTEAFRDALGFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPQLETSVLSITLNTANCLFMVPYGLGAAISTRVSNELGAGRPRAARLAVRVVMFLAVSEGLVTGSVLVCVRYIWGRAYSDEEEVVRYVARMMLILALSNFFDGIQCVLSGVARGCGWQKIGAWVNLGAFYIVGVPAAYIIAFVLRAGGMGLWTGIICGIVVQVLLLVLITLRTDWQKEATKAKSRVFDSSLPTDLVAT >PAN22681 pep chromosome:PHallii_v3.1:4:2306356:2311657:1 gene:PAHAL_4G036600 transcript:PAN22681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPHGRGAGGGGGVLGRRAYASLLAAAVVALALLCLFYGAAFAPSIRSAHPRLPVRRLGFRARETGALPAGLALSSIPVCDARHSELIPCLDRALHYQLRLRLNLSLMEHYERHCPPAPRRLNCLVPPPDGYQVPIRWPRSRDEVWKANIPHPHLAAEKSDQRWMIVNGDKINFPGGGTHFHAGADKYIVHLAQMLNFPNGKLNNRGNVRNVLDVGCGVASFGAYLLSHDMLAMSLAPNDVHENQIQFALERGIPATLGVLGTRRLPYPSRSFEMAHCSRCRINWLQRNGILLLEVDRVLRPGGYFVYSSPEAYALDPYNRKIWRLMSDLARRMCWRVASKKNQTVIWAKPLTNGCYMRREPGTLPPMCERDDDPDAAWNVPMKACLTPYSKRVNKVKGSELLPWPLRLTAPPPRLEELGISSNNFSEDNEIWHSRVTQYWKHMKSEIQKDSFRNVMDMSANLGGFAASLMNKDVWVMNIVPFTESGKLKVIYDRGLMGTIHNWCESFSTYPRTYDLLHAWLLLSEIEKQGCSLEDLLIEMDRILRPHGYAIIRDKATVLNYIKKLLPALRWDDWTFEVKPKKDALSSDDERVLIVRKKLWNQTLQDV >PAN22680 pep chromosome:PHallii_v3.1:4:2306356:2311657:1 gene:PAHAL_4G036600 transcript:PAN22680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPHGRGAGGGGGVLGRRAYASLLAAAVVALALLCLFYGAAFAPSIRSAHPRLPVRRLGFRARETGALPAGLALSSIPVCDARHSELIPCLDRALHYQLRLRLNLSLMEHYERHCPPAPRRLNCLVPPPDGYQVPIRWPRSRDEVWKANIPHPHLAAEKSDQRWMIVNGDKINFPGGGTHFHAGADKYIVHLAQMLNFPNGKLNNRGNVRNVLDVGCGVASFGAYLLSHDMLAMSLAPNDVHENQIQFALERGIPATLGVLGTRRLPYPSRSFEMAHCSRCRINWLQRNGILLLEVDRVLRPGGYFVYSSPEAYALDPYNRKIWRLMSDLARRMCWRVASKKNQTVIWAKPLTNGCYMRREPGTLPPMCERDDDPDAAWNVPMKACLTPYSKRVNKVKGSELLPWPLRLTAPPPRLEELGISSNNFSEDNEIWHSRVTQYWKHMKSEIQKDSFRNVMDMSANLGGFAASLMNKDVWVMNIVPFTESGKLKV >PVH48087 pep chromosome:PHallii_v3.1:4:43522146:43522577:1 gene:PAHAL_4G240700 transcript:PVH48087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGTGHGKSKPREIIPVDQPRLYKCACYRKTIKNTQGHGGHMVNQHKTIKKMKRQNEEHMANKRSKLSMELLPPNEADWSKYCTSKVRLDELVFTTVKANDDLPRGVESSGLGTEDNGDLDTDKLDLTLKL >PVH48218 pep chromosome:PHallii_v3.1:4:47397619:47398693:1 gene:PAHAL_4G279900 transcript:PVH48218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSARPRNPRCAPSASRHSQLVQHLQHPVQVPRRQRGREAALVVVVVLLPLPRVRFHCAGARHPEVLPVRRRVIDREREKGEKICAQGSRGYAEFS >PAN25160 pep chromosome:PHallii_v3.1:4:47445187:47446665:-1 gene:PAHAL_4G280300 transcript:PAN25160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAARQLKQLKPLYQHVVNNFVAVLAAPLAVAAAVSAARAGPGALLAGLQALRAAHVLLAALVPAAAAALYLMLRPRPVYLVDYACFRTRPNCRVPFATFLEHAKLVTFVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASRAEVELVIFSAIDDLLAKTGISPGAIDILVVNCSLFAPVPSFTDMIIRRYGMREDVRNVHLSGMGCSAGLISVGLARNFLQVAPRGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRARARFRLARVVRTLTGAGDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDALKANITAIGPLVLPASEQLLFAVSFIARRVLNNRRVKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDQDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIAPARSAEGPWEDSICRYPVDIPEVLKH >PVH47890 pep chromosome:PHallii_v3.1:4:26546452:26546973:-1 gene:PAHAL_4G179100 transcript:PVH47890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKSGPMLAGTRLPFADLFCHFESVTNITHGILGLVTDLVFGTSKTLAVPSYKYARVPDTEHTERTTRLTPQPACCAATYATSSRSLACTGDRESRSPDPRPQRSCTGRGRIRFLESALRDCLNASTSLSSTSLSPWLVFLLVSGARLGVVKHAEVLIVAVIFFTRLFQSA >PAN24538 pep chromosome:PHallii_v3.1:4:42051589:42053079:1 gene:PAHAL_4G231600 transcript:PAN24538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVSVVLLLPLAMLLLVGNSPAEAQLEVTYYSKTCPNVEVIVREEMEKIISAAPSLAGPLIRLHFHDCFVRGCDASVLLNSTEGNLAERDAKPNKSLRGFGSVDRVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGKVSSATEAADQLPPANGDVPLLTKIFASKGLDLKDLVVLSGAHSLGTAHCPSYASRLYNFSSAYSTDPTLDSEYADRLRMRCKSVDDKAMLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLTDATTREYVQRIATGKFDDVFFKDFGESMIKMGNVGVLTGVEGEIRKKCYIVN >PAN22848 pep chromosome:PHallii_v3.1:4:3160215:3162495:1 gene:PAHAL_4G049000 transcript:PAN22848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGAADSPGAAAGGDAPRPSRYESQKRRDWHTFGQYLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHAPGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRPSSSSQQSQQQQAAATPPPKAAPASSPALSDAATDRAADARAHIPEAAVHQHHHFFIPHPHPQFLHGFSLLPGSHPEAVAAGNGSSSGSSAGVGAGSGDEIALAMAAAAEAHAAGCMLPLSVFN >PAN24274 pep chromosome:PHallii_v3.1:4:31036480:31039642:1 gene:PAHAL_4G192900 transcript:PAN24274 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] MAQAPLTPSGTEDEEEPPSMAPHVPREHREQHGKDAPPGFVPPMTAEDVAAVEAVLGYDFADKSLVELALTHGSFYYPYRPGDTYERLEYLGDGVLTCLMSREVFRTYRTLPPGPLTRLRAANVDTEKLARVAVVRGLHRFLRHKAPQLEGQIHIFIEEMCKYPVHSNGLLDPPKVLSDIVESLIGAIYFDSNFDQEEVWRVFRNLADPLINLETLGKHPVSELFEFCQKTRRGVKIVKDEWDKNLKVEVLIDGELVGSATYAQKKEIAQNRAAKAALDKLKETMGQIESEPASADVSDELDIAGNTKMSVKY >PAN26264 pep chromosome:PHallii_v3.1:4:52817981:52819940:-1 gene:PAHAL_4G359200 transcript:PAN26264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLVRVVSRRTVKPPPRPRERIPLTTWDVSMLSADYIQKGLLYAPPPFSTARLLDHLQDALADALVAYYPVAGRFATEQHPGGGCSVYIDCHGQGVDIIHAVADGVAVADAIPPDADVPRLVQSLFPLDGAVNHDGHHLPLFVVQVTELADGVFVAFVYNHALSDGTAFWDFINVWAGISRARLLSPISSGGKDQDHNQNRLRPPLLERWSPDGLPSSRAVVLPYPDLTGLIERLSPPPLRERMLHFSAESLAALKERARQELLVAGDAAGAAAVTRFQALSSLVWRCVTRARRLAPEQPTVCRAAINNRARLRPQLPPEYFGNTIYAISTEAVRAGELLERGHGWAAAAVGRAVAAHTDADIRARVAAWTAKPIVYTLRYFDPSGVMMGSSPRFDMYGCDFGWGEALAARSGRANKFDGKASLYPGREGGGSIDAELVLTPEHMARLEQDQEFWAAVTPDKPCSPPPAAAEAPAMGKN >PVH48090 pep chromosome:PHallii_v3.1:4:44164326:44165258:1 gene:PAHAL_4G244300 transcript:PVH48090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLESGGQPLGQQRASLQLSRPPSFSIATTITIGNGEKISFWNSAWIQSLRTKDLAPSVYTISKKKNRTLQEALTSNAWIMDLNLLHPSFSARLFAEFLQLWKNVQQSGQFSISSAYHAQFIGAISTNLNEIIWSAWAPPKCKFFSWLAVQDRIWTADRLQARGWMHNPACVLCRKVPEIGMHLFSKCRFTRSIWADISTWLAEPSLHPINWKQTASIHEWWSVLPEIQGVPRKGFKSLVILVCWKVWLERNARIFNRTEAPSFVVTTKIGDEASLWTMAGVMHLTRLIGRV >PAN25223 pep chromosome:PHallii_v3.1:4:47752522:47762992:1 gene:PAHAL_4G285300 transcript:PAN25223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESSDHIGASVELVTKDESNKENCDQDMQEVIVQQEEQPVEIVLDQGRKDNIVSPRCRENLGPSLSWLLGARGRFVLTSERPNKKRKLLGADAGLEQLVLLPSLDSDTDSICDVCCLGESDLVSNRMLRCRNCEISVHQKCYGVHVVPDRFWFCLWCSRNMEMPRRLTRSDTCRTLLMPCMLCPKEKGALKPVKRDPGPSTDGGNQEFVHLFCSLWRPEFHVEDMESMEPVTTIVDTQENQSKLVCSLCKVTHGACVRCSHGACRASFHPICARESKLQIEIWGKFGHDNVEMRAFCAKHSAVRGISSISELDSAQVELHDGKLVTRKEQQVRFTRSNKDKFVNDTITSSSYSLNKTHTAEVVTSPSIVGNAENQETRSADLVADQPTADGNLMSNSGDVSGVLRELVDQGKDSVGDLESELGLGSESLEAALEPEATAFSPGLKLKIITRLQNSMHVPSVQVNSITEGSLAPQGTLLRSESKNETIAELQSGQEEGISSIDHHCLENDNANKGDLVQSGLHSCSDPDVNKVYGRRLLNVDGYSCYVHPFIEKRVQDFWGNNLEQTILETDYHEGLCCSTHNEDLAKLGQLADTAAMGQVPEAKSSDILEHSPDDEIEGEIVYLQSRLLNGVVSMKQRYEDLILKVVQNISYELDSVNKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSIAPTSRNATVRKDAENKVTSARQENMARANAGSSRITQLSSLPQAKDLSFSNSKVSEDSNFGIFDLAKFSKKSALPCDICMRCDTILNRIFVCSSCKAAVHLDCYQSLKYPTGPWKCELCQEMPSDSVISRNQSDCNGVKACLVQCGLCHGTSGAFRRTVKGQWVHAFCAEWLLETTFRRGQHNAVDGMEKLHKDKDACSICHRYVGACLKCSTADCQITFHPPCARDAGFYMNTKRIGNMLQHKAYCGRHSIEQRKAYRQQYGPEDVKSMKQMRVELELLRFLCERIVKREKVKKDLVVCEHDILAARRMTSVSSTWTSCYASGPGASSESATTSVNNKSYSGMMQKSDDVTVRSDDVTVDSTVTKKHTVRFSLHNRDTDRNTADSSTSTISYKRKLDDGESLAFKRLPETPATALESEDVEKKPTDKKRRESYQKELVLSSDQALLKKKSPPERYVYTRRSSLSKRKQCSQHVAEGPGG >PVH48533 pep chromosome:PHallii_v3.1:4:52268230:52272527:-1 gene:PAHAL_4G351200 transcript:PVH48533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MAAAAASANVSITCSSSSSSYEDDECATSSWSLSSPHRRPYRRLLHDEAQRLRRARRSQGPGADTPRWVRRTTDQMSRYVEDDRAGHVYGRHVVAAVRAVRATASRPSADMHLAMASFVTKLTFREMCVVLREQRGWRQARDFFAWMKLQMCYEPSVVAYTILLRLYGRVGKIKLAEETFLEMLEVGCEPDAVACGTLLCAYARWGRHKDMMLFYSAVRRRDIVPPISVYNYMISSLQKQKLHGKVIQVWKQMLEAGVLPTQFTYTVVISSYVKEDLLEEAMDIFGEMRRYRFVPEEATYSHLISLSSKHGRGEQALRLFEEMRAQGIVPSNYTCASLLALYYKNEDYSKALALFSEMENNKIVPDEVIYGILIRIYGKLRLYEDAQHTFAEIEKADLLSDEQTYVAMAQVHMNVGHYDRALEVLESMRTRNVKPSHFSYSALLRCYVAMEDMAAAEDSFRALSKYGLPDAFCCNDLLRLYIRLGHLEKARALVLKMRQDDFQLDDDLYMTVMDLYCKSSMLVDSEKLFKEIRRSGKPMKIPTMLSLIEMYARNRTRGIQKEQSLSKAIDETDSSAAGMALKFLLDMPGGLSSVSQLLSKLAREGNTDEAKFIYDQLTEMGIKPDGSAIATLIVQYGQAKQLEQAKELFESASTSFPGGAHVYDAMVDAFCKCGKAEDAYHLFMEMAVQGNNRDPVTVSILVTHLTKHGKFQEVENIIHSCFRDEVQLDTVLYNTFIKSMLESGKLHSAVSIYDRMISAGISRSMQTFNIMISVYGKGGKLDKAVEMFDAAQELGLPIDEKIYTNMLSLYGKAGRHQEASLLFKRMKEDGIKPGKISFNSMINAYATSGLHSQAKNIFQEMQDSCHAPDSLSYLALIRAYTEGKCYTGAEEAIQMMLNSDITPSCPHFSHLISAFLKGGQISDAQRIYNRMKEIGVAPDLACCRTMMRAYFEHGLVEEGISLFETTRGSLKPDSFMLSAAFHLYEHAGRESEAGDVLDAISINGTAFLRNLKVGSKLRSTGRT >PAN22718 pep chromosome:PHallii_v3.1:4:2504291:2504704:-1 gene:PAHAL_4G040200 transcript:PAN22718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQRRQMQQQQQQQAGTAPAPAPVGFEDYLPVMAERLGEEGLMRELASGFRLLMDPARGLITFDSLRRSAPLLGLGAMSDDDLRGMLAEGDFDGDGALSEMEFCVLMLRLSPELMDGPRRWLDDAVAQASQFLFTS >PAN23075 pep chromosome:PHallii_v3.1:4:4235201:4235798:1 gene:PAHAL_4G065000 transcript:PAN23075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPEKMKAWASMADDPLKSGSSASSHPSSPLKRYSPTTLAAGGLIAVGALGYLMFGGKKNGHDQQASRA >PAN24654 pep chromosome:PHallii_v3.1:4:43707860:43708495:-1 gene:PAHAL_4G242200 transcript:PAN24654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQAWEAYYSQLAPRRPSVTKILFDLKTRELASPIFVCLHCTMESQTQQAMASHCRQHVRAGMAKGTVDHIKYYPDHTYDFLCNSPQPKPQAPRSAQQAMPQVPTNRYSQILPYSGSIIMSELARSPWVQLNGHSQHLINIPNMQRHTLVTPIEGSSSSTSGVIMTPNYNEIGYRTTPLPVPPVIDLTLRLGPTPRSISEDSMHGTTFPF >PAN25785 pep chromosome:PHallii_v3.1:4:50546390:50548278:-1 gene:PAHAL_4G325800 transcript:PAN25785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEREGCPAASPPRTQLMDRHPSLDSAGTAADPDRIPAAVFERDDPSEPNKDWSMMSTESVFGLQVAPSSDFTGFFLAHPELMDISTPPRSSMVASPPRTSAVVDADAKAPHVISPPFDSIPELPENTMKGNYSFAFPNLIEDKRNYSRRTPQEEQPPEPAAPMEPAEAAPAPAQAEEEAKSQPSSKPEGGKGGLFSCFPCC >PAN22905 pep chromosome:PHallii_v3.1:4:3450168:3454069:1 gene:PAHAL_4G052300 transcript:PAN22905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRPGWVGGLVEESFFVGCAAHTNRKKNEKNIFCLGCCASICPHCAPAHRHHPLIQVRRYVYNDVVRLDDLERLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHVMVQGGDLSNILYVPGGAPQPPDLGCGFPRFENLRVDGGCDDDPGQVTPDSTLEGPTQHGGCYGGASASGSGGGGGGVPRKKKTGGGGGGFFPQIVLSLGSRRKGAPHRAPLA >PAN24959 pep chromosome:PHallii_v3.1:4:46155810:46160010:1 gene:PAHAL_4G265100 transcript:PAN24959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWHGGFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNRTAELHWLDVPNPPAIQNPQNSSEVISTKLLASNLSITRNLSDKELQSLHSWNHLRDLVSHAHILPDGVEAIKEAGVAWRELNTALAYDDSISSVNGSTQQKDKGKQCPYSIRRMNATRLGDRFALKIPCGLIQGSSITIIGTPGGLLGNFKIELTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTVADDWGSEDRCPSSESDAKDSAKVDDLEKCSGMVGKDQKEILASKLRSNVSTMPPARKKSAEPRKYFPFKRGYLAVAILRVGAHGIHMTVDGKHITSFAFREDMEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVMDLETLKAPPVPIDRFVDLFVGVFSTANNFKRRMAVRRTWMQYDVVRSAKVAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTDVLSAKYVMKTDDDAFVRVDEILSSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILIEGCEDGYVIAHYQEPRDMMCLWDKFQKTKRGTCCKE >PAN24250 pep chromosome:PHallii_v3.1:4:38435640:38438530:1 gene:PAHAL_4G214200 transcript:PAN24250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFTVLAAEAAVAATLLFKTPLRKLAVLALDRLKRGRGPVMVRTVAATVLVVLASSLHSMAQIRGRAEGELDGAGVVGLTPTDQVLLARHLLEASLMGYSLFLALIIDRLHNYVKDIRRLKKNLEAVSKQNKTMLEAATHGKSEESEPDQKDISGAKLDT >PAN22973 pep chromosome:PHallii_v3.1:4:3766958:3768260:1 gene:PAHAL_4G057100 transcript:PAN22973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVELDLSELAVLRPIQTSAGSGGAATARRGNDDLDAADTGCVTPTAVSSLLPRQVGFGVGVGIDVVESVFSTPTSSPCLLRPATVCPPAPRKPARSPAAAAAKRKRCCDRPGLQRPFFPVPQDLSTVFVPRGPADRSPPPRAAKKIRRLFVVG >PVH48062 pep chromosome:PHallii_v3.1:4:42140412:42142177:1 gene:PAHAL_4G232400 transcript:PVH48062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWVILRRFLGVVPKHAEAEHPAAISTALRAPPRVTILGVGPSARPGKVPYLVAAGPSGLLICFSVGEAPIETDDLIVAREFLAPADPSRQPTTGSAERIPRRPGSMPVTCNLRSLGLTPGLFPGDYVITELQVAKRSDRAKLLRFFSAERLWLDTNLFNPLSAVDADREWAPSGVVAHEGKLWFLDLSWGILSCDPSTILPVLRFHDLPPGRYIHEPKPFLHTIRCVSVSNHMLRYVDIARDLDLDGRVAERKVSVWTAIPDPDCGDGDHGIRWLKTYEMGFKEIWNDASYRETQLPAKIPEIVLVHPKHPNVVYFFLRKSLFGVDVPAHRVVGFVMDAHKLVAPGCRRCVLPWDLPASIANGDICNYAQLQFTIMSCGGHCSFSRSMRIISNNSGDERLT >PAN24550 pep chromosome:PHallii_v3.1:4:42140412:42142177:1 gene:PAHAL_4G232400 transcript:PAN24550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWVILRRFLGVVPKHAEAEHPAAISTALRAPPRVTILGVGPSARPGKVPYLVAAGPSGLLICFSVGEAPIETDDLIVAREFLAPADPSRQPTTGSAERIPRRPGSMPVTCNLRSLGLTPGLFPGDYVITELQVAKRSDRAKLLRFFSAERLWLDTNLFNPLSAVDADREWAPSGVVAHEGKLWFLDLSWGILSCDPSTILPVLRFHDLPPGRYIHEPKPFLHTIRCVSVSNHMLRYVDIARDLDLDGRVAERKVSVWTAIPDPDCGDGDHGIRWLKTYEMGFKEIWNDASYRETQLPAKIPEIVLVHPKHPNVVYFFLRKSLFGVDVPAHRVVGFVMDAHKLVAPGCRRCVLPWDLPASIANGLVEAIVPFQDQCGSSATTPGTSD >PVH48444 pep chromosome:PHallii_v3.1:4:50834047:50834505:-1 gene:PAHAL_4G330100 transcript:PVH48444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLFLSFFHRFKEEHDAVEFYKADLAAMTMKQSSVLRIDYLHIKEYSPKPSYALISDSERVNCNSPSVTVKVFKMLYN >PAN22967 pep chromosome:PHallii_v3.1:4:4077335:4079640:-1 gene:PAHAL_4G062200 transcript:PAN22967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGASKKNKKKTNEATQESVENSLVLAISGPTPMYFPPSQGCSTKLSSGKTEKTACGSSQADAPSTGFPLAISSETPPPAPSQPTGKRKREGKAKAKKTKAAVTSKKQSMVPVPPQSPAMCTRCKTPESPAISTRSKRKFWIEYSAIPTFCCFCHES >PAN25473 pep chromosome:PHallii_v3.1:4:45058806:45061840:-1 gene:PAHAL_4G252200 transcript:PAN25473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASAIDSNRALDRLHDSGVIPSKTALGARHADGEFPPKPRDGEIVVFSAFFQRGFGLPPSDFFRGLLAFFGIQLVHLNPNSLLHIAVFVLCEAFIGPKSAAPRVFGGDGIQLKAGADYLSTSMKQSVKGWTKNWFYATNSTPPLPAFSAVPPVPADTWKSDPDRASADQVKDLQTWISCLKAQGLTAQHVAADFIRRRINPLQQRSRPAYEEEAPEAGYLTEDEVRAQMTALFQNFGDWPEGCQLEGYSASNPRTSADAVPRPPSTTEVAPRVTRARSLRPRTAASESSAPNPAAEGGQGASRRAPSPTDQSATQVQADPEDQLTLAARRAIAEGKRPAPDSSRADVCLQSPTGSGEPVRRKKRRYAIGNQPPVTVDAAVLAGAVPASNKLTQAAAEQGPLPASEREGVPHTPSSDSEKTRSDIPAPDPEHVEAATSGRSPQRQMEESASLILPQPAEGGVPPAVDEGVPQTADAGQSSTEGRSGLSLLQDVEAVFFARMADVTDIGWQLVSAAASAINVADTCHHRASKLDDLMSKLEEELATAKAELAEKTSQLAATKVDRDFALKTLDQLKVQADALKKENTVLLDKHEELRIKARADELGAQRAEEAKNQLLRQLGEANQNTARVTRELAGLVSEDYKRRQAEGEVTLTQLGDAVRRVATKLELANSDSKEPLALLGEFPASIDTFAKKKKTEGCQLALAASSSHYPLADFSRVATGFASTMTQEAAEELWFRMEDPAKLLAEKLDVEESDSE >PAN24811 pep chromosome:PHallii_v3.1:4:45278465:45292573:1 gene:PAHAL_4G254200 transcript:PAN24811 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MADMRGRWSWDVPGFEPPQPATTAAAAPTAMPRAPPTAMVLRPSSGAPRAPPGDGVLVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSMPLFEDEGPSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPFVQSALDGYNISIFAYGQSRSGKTHTLEGSSHDRGLYLRSFEELFDLSNSDTTSTAHFNFYFTACELYNDQVRDLLSESSSTVPKVRVGVQESFVELVQEKVENPLEFSGALKTALQNRSVNSPKAMVSHLIITIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSLSALGDAFASLSAKKEPVLSGNSRITQILADSLGSSSKILLIVHVSPSASNLSRTLSTLSFSARARNAELSLGNRDTIKKWKDVANDSRKELHEKEKEVLDLRQEVLGLKHSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHKIEKEQNNQLRDQISQLLKVEQEQKLRMQERDLTIQSLQAKLKTIESQLNEALNASDARSTIGSEATSVISSPKVTESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTGKSGLGSAPQASSPSANKPANAQGREIGRSDSSKSRSPDVFASTASQDKTEISGAIVKSSNELAKTTPAGEYLTSALMDFDPDQFEGFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKSNTGRSRSSSRGSSPGRSPAYHHDYSSRTALVDEHVHGFKVNIKQEKKSKLSSIVLKLRGIEEETWRQHVTGGKLREITEDAKAFSIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDAAGGASGQLELLSTAIMDGWMAGLGTAQPPTTDALGQLLSEYTKRVYTSQLQHLKDIAGTLATEEADDPAHVSKLRSALESVDHKRRKIMQQMRTDTALLTKEEGGSPIRNPPTAAEDARLASLISLDNILKQIKEVMRQSSTRPMRKSKRKSLLESLDDLLAQMPSLLDIDHPCAQKQIMEARKVVESLEEDPDDPAPQSNALGESEVAQWNVLQFNTGTTAPFIIKCGANSSSELVIKADLRVQEPKGGEVIRVVPRPSVLADLSFEEIKGVFEQLPEAVSLLALARTADGTRARYSRLYRTLASKVPALKEIVAEMERGGVFKDVRSS >PVH48488 pep chromosome:PHallii_v3.1:4:51526808:51527234:-1 gene:PAHAL_4G340500 transcript:PVH48488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKSVASPVLVFLTMTLMLVACAQAQAPAPAPSQGSCPPGFKSYLDLTNFLRSAGRGAITFVEPRLVPVVGDIISLVPHTGLKLCVCFKTSVTSSLPIECVSY >PVH47245 pep chromosome:PHallii_v3.1:4:853086:854254:-1 gene:PAHAL_4G013000 transcript:PVH47245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNATSPAHPAVPCTTQKPAGHPQHATRLGATFSQENNKLSEGTSTTTMRTRTDHIESLRRRVILAESEVAEEKENVRRGLEAIRWKDAQLKELRKRSSAMDKDIRRKDAHLKVARKRVKTKERLLQIMYSIWMDKAETDAEMKAQKEVEMQELKVQKEAQVQKVMKENVRLLRIVDKEEAQLQAMSEQCKLLAQQIP >PVH47410 pep chromosome:PHallii_v3.1:4:3626017:3626448:1 gene:PAHAL_4G054900 transcript:PVH47410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVVGGVVGFYKFSYEEGKEIAQGSIKIMFSIDASCQCDVTVVILNIFGARAGVTGERIVHNIRKLYDFNESVIEAYFSAYCDDINQWIVVYEKFDSYFDGESQNAISRICEEADRLSLTDFCREKLRCIQRANHLTVYYLK >PAN22926 pep chromosome:PHallii_v3.1:4:3560518:3562049:1 gene:PAHAL_4G053700 transcript:PAN22926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MAPSTSTSPLSRLLLSLPKPGSARHPRASPAAPCPDGSKSPGGAGLVLRRREAAAAVLSAAVLSRFLLLPAAAEAADGGECPLEVAPSGLAFCDLVVGTGAAAQQGQLIRAHYTGRLEDGTVFDSSYKRGRPLVFRVGVGEVIKGWDQGIVGGEGIPPMLAGGQRTLKLPPALAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAVS >PVH47872 pep chromosome:PHallii_v3.1:4:24786176:24787367:-1 gene:PAHAL_4G174200 transcript:PVH47872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSGLHDVSGAAPLPLLLLASVASSLASLFSVVSSPGAGANPNTTTPSSVRISGLDALVVLADCLAASYVSTADGATAAAAGDCTVCLSAIAEGERVRTLACRHAFHAACLDGWFDQSSLSCPLCRAGPAARDDDARRRSASEDAVSWFARF >PVH48387 pep chromosome:PHallii_v3.1:4:50260281:50262780:-1 gene:PAHAL_4G320500 transcript:PVH48387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQCGGGTASMLSPVVSWQLRTYRDSIRRASVPGGRCRPAVRFVPASSDSAAGEGAYCWSSSASSASSPALDASARCSPVSVSVSFSSDGDLCFSGCLDGAEELRAIALRMVHDGYMKGLIRAFGAAGGSSSAHCGGLGLSPGPEELLLGSWFSELDVEWVLHAREGDKLRPHLEDGCASLLDLMESWIKALKTMVQVLCITQLELRAKWPAAGGVRKAVRYFLLLATGKAAEREQEAALLARFAEASVLRMLDFVDAVADAALIDDDQAAAETLPGMLQVYACVVDDSPAVLALFKEAPGAASTFDAMNGVFLRKRSKLSDAVWSMVEKVRASFMADDCWRVSPAEAGGVHETARLMMNYAMLLWRNEGALNLVLQDQQHRFRMFLSEHDGHCSSSVADLIKNLISSSEKQLEKASNFISDPGLRYIFLMNNCSFISEKVSSLLLPPFEDCKIERSRGSRERLPPMEDCVRQPDRSIRAKIEADSNLDGLIKIQSFMEAYLEASWEPVMSCLYHDIPRGFLNCSGALDEFESEFQRTYTMQRMWKVPNPELRKRLREAVTEKVISGYSKYMSERMARGKTNRRHSSTPLELEELLEELFEG >PVH47832 pep chromosome:PHallii_v3.1:4:20474943:20475293:-1 gene:PAHAL_4G162400 transcript:PVH47832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACGSKRLMPLDRQLHKEVPHGSIERIRALRAQAADLESTDSLRKTPLMKASAWPGPGMALVLIELGADINAYRRGM >PAN22932 pep chromosome:PHallii_v3.1:4:3584590:3588653:1 gene:PAHAL_4G054400 transcript:PAN22932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASAGRLLLAAVSLVLVAASAQAQSEADALHAFRAALRGPDGGPPGELSQWDTGLPCDGDAQRWDGVKRCAGGRVVVLQLENLRLQGAAPDLRLLAPLGGLRSLSLANNSLAGALPDVSALPALRSLYLSRNRLSGDIPDGAFAALRGLQKLDLSNNAFTGPIPSSIATSAKLKDVNLSNNNFSGPVPEGLQHLGAAKVHVQGNNLLCGPPVAPPCSSSSPAASSSSTSGSMKVLMIIAIVVVSIGALLAVAGVFAAVQARRNEPRYAGRTETLGGSPDAAKIKVTSAPAVKIEQSGVDQHGGAVTPAVAGKRGGGRRDDHGKLVFIQEGRARFELEDLLRASAEVLGSGNFGASYKATLLDGPSLVVKRFKEMNGVGREDFAEHMRRLGRLAHPNLLPAVAYLYKKEEKLLVTDYMVNGSLAHVLHGGARSSLPPLDWPKRLKIIKGVARGLAHLYEELPMLMVPHGHLKSSNVLLDAAFEPVLGDYALAPVVTPQHAAQVMVAYKSPECAAQGGRPGRKSDVWSLGILILEALTGKFPANYLRQGRAGTDLAGWVHSVVREEWTGEVFDKDMRGTRSGEGEMVKLLKVGLGCCEPDVSRRWGLEEALARIEELRERDAGDDSSTASSFVSDGETTPAARHGEPQSHST >PVH48371 pep chromosome:PHallii_v3.1:4:49997783:49999077:-1 gene:PAHAL_4G316700 transcript:PVH48371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFPDGIHVRLRSLVRGAYLYADEDGVGVSLSPRRASLNAAWRVHLVQRGDFHYVLLCSAAYGRYLALSPAMEPPPGLRGRRAVQRDYDEEDLHAVMWRAIGAGDAGDGVVVLRHRGFARSLRANGRYRRWHTCVTVDDDIGSFSERSTMMHWMVEEIPTTQAPPVLPTPNTNLGGPGIISLFTWRAQPSVEPLRTIRYVRVNDHGHFNQHGWATFQFYGRSVYLLICRVLYLLDEPNFIGDEGNFSITVCVQAGIYGRRTPLVIDLPRCEEPMDIFVLTTGSPGENFAVFFSCLFSNFYLFLCS >PVH47526 pep chromosome:PHallii_v3.1:4:5488490:5490462:1 gene:PAHAL_4G080400 transcript:PVH47526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKAIAADKKNALPKYQKALILLGLQKYTEALEELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKSAMEKVHLPDELMDDDL >PAN23798 pep chromosome:PHallii_v3.1:4:9277832:9279900:1 gene:PAHAL_4G118700 transcript:PAN23798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGMAGTKIPPLLMGRYELGKLLGRGSFAKVHHARNVETGEEVAIKIMDKDHLARSGAVQRQVMREIDIMRRVRHPHVVRIHEVMATRRSIFVVMEFVGGGSLDAYLVHRAGRGVGEAPARRVFQQLVSALDYCHSLGVYHRDIKPDNILVDVAGNIKVADFGLSALADTAQREALLHTVCGTPMFIAPEVFLRRGYDGARADVWACGVVLFALAAGRYPFNQKDTSLYHMVRRCDYHCPPWFSPGLVRLVRRLLCPDPARRITIPQIKENTWFKKGFKEIPRSLSEPEQRDSDSDSDDDSTVSMASSEDPSSPVARTQQRGCGSRMPTSVSAPSLTTLESTGSAAVQGSPRIRRPRSLNAFDIIASSPSLNLTGLFDEPGEQMRLVSAAPVSKIISKLEEIAGHVSFTARTKEYQVSIEGNGNRGALLVSAKIFELTPELVMVKVCKKAGDTAGYRQFCNNELKPGLRGLVDGLPEGGEPIASNSG >PAN23384 pep chromosome:PHallii_v3.1:4:6084217:6089839:-1 gene:PAHAL_4G087700 transcript:PAN23384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSRSASTLSEAAAENARRVTPMKLLVRVVEARGLPGVHLNGSSDPFVKLKLGKRRAKTAVVKRSLAPAWDEEFSFLVGDVAEELVVSVLNEDKYFSNDLLGLVRLPLSQVMETDDLSLGTQWYQLQPKSKKSKKKCRGEVCLHISLSTRTHVSDESQSVPHPASDDLASSSDSPSEHKVATLSATSSYIDLSVVSNIDRTSHGSFERLPYSIPELPVRSITEQAAPEPGPAADNDTIANPSSVVEVLSRYFFGKPVEAPVHSTTSETESIDQSQEPKVNSSEDRENPEKGTTSESSLDELLKIMESKDQGTEMPANLPGGVLVDESYVAAPTELNSLLFSPNSDFWPAVSELQGTSGFQIEPWKLDSNDSCVQRILSYTKAASKLVKACKATEEQKYLKASGNSFAVFSVVSTPDVPCGNCFKVEILYCITPGPQLPSEEQTSHLTVSWRVNFVQSTMIKGMIENGAKQGMTEGFAQFSEVLSQKLKVAELDDANSNKEKILASLHAQKETGWRLIVRFLGNFTFIFSVAIALYVIAHLHLSKPDVMHGLEYFGLDLPDSIGEVVVCAVLILQGQNIVKVIRRFLSAWKQRGSDHGVKAHGDGWLLTVALIEGTGITATGSSDLFDLYVIFTCNAKRKTSSIKFQTSDPKWNEVFEFDAMDDPPSRMDIAIYDSSGQCIIGHTEVNFLKNNLSDLTDIWLPLDGKCDQASNPKLHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSTQTNAAFRKLFALPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWEDVDDIQVIPATLSIGSPSLMIILRKDRGLEAKHGAKGTDHQGRLKFHFQSFVSFNDAYRIITAIWKMRALGPEQKGEVIEKDEPKEHQPEEGGTLFTHADVKMSEILSSVLSVDVESLMEMFSGGPLEHKVMQKAGCIDYSATEWELVGCNMQQRQTSYKFDKSLSRYGGEATTTQQKYSLVNRDGWAVEEVMSLQGVLLGDYFNLQLKYHMTNVSSKTNTCNMQVLLGIAWLKSTKQQKKVTKNIISNTSNRLKELFAEVEKELTSRNGGS >PVH48063 pep chromosome:PHallii_v3.1:4:42258217:42258705:-1 gene:PAHAL_4G232900 transcript:PVH48063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPIAAYEVCAPLHWDAEEWDFRSQSEDDESLTDGEDLALLLGAKLEEDEDDASWGEDLSSSKERADSFSEEDPMAGTFLFGKSSDETSDDTEEAEDDDGFASDSSRDDDDGSSNSSDSGASVAPPTKRRKTAGVYWW >PAN22904 pep chromosome:PHallii_v3.1:4:3437931:3440674:1 gene:PAHAL_4G052200 transcript:PAN22904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLCRLSRLFPLVSLSPASAAPKLPHLPVAVNPSPAPVLPSSSASPSPALPRLFSSSAGGSSMVVVGSADSFASILSKVQDEKLPAVFYYTAVWCGPCRAIAPLISKLSGQYPNIPVYKVDIDMQGVGIKLGDLKVYSVPTFHFYHKGQKTSEVVGADPKKLEAAMESLHKQQQ >PAN23438 pep chromosome:PHallii_v3.1:4:6358439:6362300:-1 gene:PAHAL_4G090900 transcript:PAN23438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGSPEIVPANSPASDLDQEPESSESGDGDVLPEPLSDKLPVPPGVLSLYRAAVALRALLLAAFFRYRVTHPVRDAPWLWLAALACELWLALAWLLAQLPKLSPTNRVARLGRLASRYGEESLAGVDVLVTAAGAGREPPLATANAVLSALAADYPAGRLACYVSDDGADMLVFEALFAAAGFARRWVPFCRRHGVEPRAPELYFARGVDYLRDRAAPSFVKERRAMKREYEEFKVRINYLAAKARKVPEDGWVMSDGTPWPGNNPRDHPAMIQVLLGHPGDQDAEGNELPRLFYVSREKKPGFQHHRKAGALNALLRVSALLTNGAYVLNLDYDHCVTNSGALREAMCFLMDPVAGNRTCFVQFPLRVGGDDDGGDRHASRDSVFFDIDMKCLDGIQGPVYVGSGCFFNRKALYGFDPALDEDDDAHGNWCCFGNGKGRALRRTMSTVPLLDSEDSDERTGADSARTRRRLRSYHAALERHFGHSPAFIASAFAGQGRSDGGGGGGSDSTAAAACSLLREAIHVVSCAYEERTRWGKDVGWMYGTGGGGVATGFRMHARGWASAYCAPARTAFRTFARPSPSDVLAEASRRAVAAMGVLLSRHCPVWAGAGGRMRLLQRLGYATCVATPLASLPLTAYCALPAACLLTGRSIFPDDVSYYDAVLLILLLSSVAATAALELRWSRVTLRAWWRDQKLWVVTGTSACLAAVFQGILRACAGIDVGFSTSTETAIRSSSDDEGGGGEETSDARRSLRWSNLLIPPASLLLGNLAGVVVAVSYGVDHGYRSWGPVLGKLALAGWVVAHLQGFLRGLLARRDRAPTIAVLWSVLFVSALSLLWVNVDSYSAPPGRSTSQQPVL >PVH47581 pep chromosome:PHallii_v3.1:4:6465150:6465976:1 gene:PAHAL_4G093000 transcript:PVH47581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESGTTREPTPEYDPIAAYEVRAPLHWDTVEWDFDYQSEDDGSLTDGEDLALLLGAELEEEEDDVLWGEDLSFSEEESDPLSSEEDPMAGNFLLDRSSDDTSDSRGGTDDDDAFTSGSGGNDADNDGDDGSSSSGASIAPPSKRRKASDVHWW >PAN23430 pep chromosome:PHallii_v3.1:4:6319307:6320864:1 gene:PAHAL_4G090300 transcript:PAN23430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRTPRLLTLLAFSLAVLLLLAPSTAAGAVMPAKAIDASKSQRLELPESMVGPESVAFDGHGAGPYVSVADGRVLRWGGGGSGWATYAYSPSYAENGCAAPSELPPVARESACGRPLGLRFHRDSGDLYIADAYMGLMRVGPGGGEATVLATEAGGAPLRFTNGVDVDQVTGDVYFTDSSRTYQRSQHQMVTATGDSTGRIMRYDPRTNQVTVLQSGVTYPNGIAISADRTHLVVALTGPCKLLRYWLQGPKAGTSEVFADLPGYPDNVRPDGNGGYWVALHREKFEFPFGVNKHLVAIRIGAEGEKLQEMNGPKNVRPTELVERRDGKIYMGSVELTYVGIIST >PVH47580 pep chromosome:PHallii_v3.1:4:6437209:6437556:1 gene:PAHAL_4G092700 transcript:PVH47580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSLLPPVAGGSITDPSVTRIADSLKFWRPTKTRACGSSSWTITRGARRKRFAISLSDSSAIVCYDTQ >PAN23813 pep chromosome:PHallii_v3.1:4:9514117:9515256:1 gene:PAHAL_4G120200 transcript:PAN23813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLIEDHRSGAEVHSGHELCARKSRELMVELGLPDGLLPLPSLDEVGYNRSTGFVWLRQAAGVTHAFDTIGKQVWYDREVTAFVEPGRMHGLTGVKSKELLIWVTISEIVVSPSGTKVVFRTPAGLGRAFPVTAFQLNPAPEGDKEDEAAAAAN >PVH48252 pep chromosome:PHallii_v3.1:4:48085174:48085671:-1 gene:PAHAL_4G289700 transcript:PVH48252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGYIRLEEIEEYKYVANQTFNMEEDFFKFYNAYALHKGFSVRKDKVRYKPSTKKVTWRGFVCSCEGYRMEKHFKRTDQKRQPRALTRCGCNARLDVQRSASSGIWYVTDFVDVHTHPFAKPEHAFVLPPHRGLNDPQKTEAVELGLGEFRPYRIIGRNGDQP >PAN25578 pep chromosome:PHallii_v3.1:4:49616746:49622000:-1 gene:PAHAL_4G311400 transcript:PAN25578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDSLKGMISAISWVPRGAAKNVPVVAEPPTQEEIDAAIKTMALGGGGGSDAEEDDDAGTMDLDGAEAETEKEELDEAARAKAVAKALAKGTSKVDDVADELQELNMDAYDDEEEGIEIFSSGMGDLYYPSNDMDPYLKNNDDDDDDDDEEIEDKMIKPTDYLIVCAHSDEDIFSLQVNILEETEDGEQNMFVHHDVPLPDFPLCTAWMDFNLKGGDKGNFIAVGTMDPAIEIWDLDMVDEVQPHMVLGGFSKKKKKAKGKKGKKYKKGSHRSSVLGLAWNKEVRNVLASASADTTVKIWDLAVGKCAVTLEHHDDKVQSVAWCPQSPEVLLSGSFDKTVAMNDMKDGGQSCHKWSVEADVESLAWDPHNEHSFVVSLENGMVQAFDKRTVSSSSSGRSTYTLHAHEKAVSSISFSPSTPNFLATGSTDKMVKLWDLSNNQPSCIASLNPKLGAIFSVSFSHDNPFWLACGGSKGKLKVWDTLTEPAVAKKFSRQK >PAN26058 pep chromosome:PHallii_v3.1:4:51762233:51767495:1 gene:PAHAL_4G344100 transcript:PAN26058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAVVVSVVVLLVSVYLLVNYQHPDDANQAYFPKLVVVLGLTVAVLSILMLPADVANRQACRKAVYNGACSLTLPMKTLWLVVYIVDAVLVFLVIPFAMFYYEGDQDKSVGKRLRTALIWVVASAVVCGLVLGILYGLVGKVDFTVRHLSSSIETFPNSFSGFSSGQPCISSLPRQCAASTAPSSSLTTWTMRATFPEYVVALTTIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKARELKKAAEALHQEERSGNKGRKWRKNVKAVEKELLLLEDDMKALEEMYPQGEQAEATWAFTVLGYIGKLIFGVVGLIISIAWVAHIIIYLLIDPPLSSFLNEVFIKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >PAN22386 pep chromosome:PHallii_v3.1:4:1047304:1051002:1 gene:PAHAL_4G016200 transcript:PAN22386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGGEAGDPTPPVPPPAAAGTGGAAKGRSCKGCLFYSSVLRSRARGPVCVGITRALPQVSERMIGEIELEAIQEGRNLSDFKYACVGYSIYLDDKETSMGVHDKKAHAQLPVCVGVELLADRRAPVNKAPSYNKKEAPQPEPQPHRYKPGHAGDDFLTKFQRNAGLVANGVAKNLNKVGTYIKDTMGDMMYPDRKRPK >PVH48123 pep chromosome:PHallii_v3.1:4:45377082:45380819:1 gene:PAHAL_4G255300 transcript:PVH48123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGATNPALVARCRSGVPGDRLLLVVVLGLAVAGRGADPRGRDGSGAAAEAVEADAPLGRGHGAAVRRGGRLLTLGPRRRRGRLHPCRYHGGLRVGRRPPRRGPERDPRRERPRDERRPPVRPPEPRAPGAGAGAAFPVPPRRPRAAQALLARRDHLPAHVLRQPQRESLEVAVEARREGVARGVRPRDERRRRRPPRPRPHRAALAPDPLQLHRLLPPRFLLVLGALVGHADDHLIRGLVLRGHLAGAVRLGRRELLTGVEVAPADGAGDVAGEPLPDAVRVEGVAAPGQQPELLVALELAEADRALERGVLAPDPELLGLRVPHRRERREHGGVEPALLLVPLLLPRQREQRGGRDGRPVRGGAPPAPAHVHGEEADEEEGRDERHQEHDHGRAEARRLVLHAEPSSSAAVVLVPRRRLRRGGPGEHQQQSKRGEQRRRRRMVAGRRHLAVAGGPAGCASWTESLARGARRCI >PAN23823 pep chromosome:PHallii_v3.1:4:9568757:9572917:1 gene:PAHAL_4G120900 transcript:PAN23823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPSPLRLLLLTPILLLAPRASAAGAAVGVSGGGQLWCVAKNNAEDGALQSAIDWACSADGGRADCAAIQQGGACYDPPDLQRHASYAFNDYFLRAGGAASPAACDFSGAAALTALNPSYGSCVFPSSTSPKNGSFTGTTTYGSSSTELSYNSSWKSNSWSWLLHISLSIILLFVTHL >PAN24705 pep chromosome:PHallii_v3.1:4:44492886:44496373:-1 gene:PAHAL_4G246300 transcript:PAN24705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWEPVTFEESLSFVKKVKARDYMLYLSLLDVLNRNDQIPLEAYSELLLLFRHHEDLLAELSKFRPLSCPNNVYTHGSIWMIIFLMPFLLLSLVLAFEKPLKCFLLQ >PAN26108 pep chromosome:PHallii_v3.1:4:52047777:52049971:-1 gene:PAHAL_4G348100 transcript:PAN26108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRILNDALRTMVNADRRGNATALLQPISGVMVSFLNIMKHRGYIKNFEVIDPHRVGKINVELHGRIKDCKALTYRQDLRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFH >PAN26257 pep chromosome:PHallii_v3.1:4:52686701:52692256:-1 gene:PAHAL_4G358000 transcript:PAN26257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAAAEVDHLAAERAAARFDVEEMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWRRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQQKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLVDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVFVRQSIVADASKALSRAVCIAVRYSAIRKQFGSQDGGPETQVLNYKTQQSRLFPLLASAYAFRFVGDWLNWLYMDVTQKLEAKDFSTLQEAHACTAGLKAVTTSVTADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKTVSQLASGKQPVGTMAYMGKVQYLMQCKCAVNTAEDWLNPVAIQEAFEARALRMVVNCAQNVSQASSQEEGFYERSPDLLEAAVAHIQLIIVTKFIEKVQQDIPGDGVKEQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANEQLGKLYAQVRPNAVALVDAFNHTDHYLGSVLGRYDGNVYPALYEEAWKDPLNETVVPEGYHEYLRPLLKQQLKLSSRL >PVH47530 pep chromosome:PHallii_v3.1:4:5529509:5531893:-1 gene:PAHAL_4G081000 transcript:PVH47530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTEYLTSSSGTFAFGFCNFNPSLSPNQFLLAIWFNFDAPESTNKKVVWFLRDPTSNSVVIARKHTILTFTNNGQLFLHDDQKLLWTNAKQFGSDLVLQDSGNLQLLANGGAVLWQSFDYPSDTLLPGQSMTNQPERYLQSKNTDADFSPGRFTLMVQDDGNIVMYMRDPDLPIDARDPYWATGTNEIGMVPTLFFSDSGTLYYNLSRAANGSVMHNLTALRPPDSAERYYQYTALDPDGTVRIYVRSKNNTDGSSHTLWEVLSQFPVDGCSRKTMYSSQGMCGPNSYCTVSTTKEQRVSCECPYNYVFMDEQHQYKGCRPNFVPHSCKGKDRDHWTEFKVFIMPNTAWSNQSAYQKFSVTSTTTEDKCREACLKDCFCIAILIDRSNCMFVGMLTAGKLTPDTNMTVMIKAKRSLSGLRILTHKELYRATNGFKELLGKGGFGEVYKVKKLITSEEYSEKDFENEVQFIGWIHHKNLVRMIGYCKEGAHRMLVFEYMQGGTLADFIFRLERPCWSCLAETAIGIAKGLEYLHEGCKSKIIHCDIKPGNILFDDHHIAKITDLGIAKLLGDQRTQHTVTTIAGTRPYVAPEWFDGGGKVNSKVDVYSFGVVLLEMICCKKAAGDWQPDNQGPSTMFSLRAWAESLIRSGRTELLVQGESEALADMESVETFTRVAIWCLQKDPSIRPTMHKVVQMLEGVIKVDPLPDPPRLPSFSTILPTGSEIHHSSSMVHALQVK >PAN25409 pep chromosome:PHallii_v3.1:4:48731597:48738225:-1 gene:PAHAL_4G299200 transcript:PAN25409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPATPSKSKLRSSPAKPIAASTKPQMDLCTPSKPTPRRKSKSATSPAPVSPATPSTIRRSRRLLETPTKAAPEVPVKATPTPATRGKRAAPSPKTPAQGEPKRQRRLPRKRAYYRKVVYDGGEFEVGDDVYVKRREDAESDAEDPEAEECRVCFRAGGGVMVECDACLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAVERPRPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGTFRAKVRWYIIPEETTAGRQPHNLRRELYRTNDLGDIEMETILRHCSVMCPKDFRDANDGGDDVFYCEYEYDIHWHNFKRLADIDDEPEIKEDPSDEPYNAGKDYNSDTDEDSEYDEEEEPKSCFSARRNQSHELAANSRKGRTYGLQKIGIRKIPEHVRCHQKTELEKAKATLLLATLPKSLPCRDKEMEEISTFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGTLKPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGVSKIGKQAKQPIILLIDELDLLLTRNQSVLYNILDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNFRQLQEIITSRLKGIDAFEEQAIEFASRKVAAMSGDARRALEICRRAAEFADYRIKQSQQSGQTSANKGDGVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKVILVAMVHELYKSGLGEVTFDKLATTVLSWCHVNRELLPGCDTLMKICCKLGESKVILCEEGSKHKLQKLQLNYPSDDVTFALKESPDLPWLSKYL >PVH47600 pep chromosome:PHallii_v3.1:4:6984994:6985910:1 gene:PAHAL_4G099000 transcript:PVH47600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCCSSANPPASPANNPPGTSDNVPPATPPNGHLRHTQRGFVTGGREPRGNKHPIHN >PAN23080 pep chromosome:PHallii_v3.1:4:4266900:4268617:-1 gene:PAHAL_4G065400 transcript:PAN23080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ubiquitin-NEDD8-like protein RUB2 [Source: Projected from Oryza sativa (Os06g0650100)] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGQ >PAN24780 pep chromosome:PHallii_v3.1:4:45005399:45011908:-1 gene:PAHAL_4G251300 transcript:PAN24780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPNFRLYLLLIPVVANSFPPPTPMGDSGIATNPSSPPAAMDPDSEVVFDFMPYLCQYKSGRIHRPGGAPTVPAGTDPATGVVSKDVRAGPASVRIYLPPGATGKIPVVVYFHGGGFVVGSPARPGTHNYLVDLVTRSGAIGVSVYYRLAPEHKLPAAYDDAWAGLRWAATLGGGEEPWLLDHADLSRVFLVGCSAGANIAHNTAVRASAPGALPDGVTLRGLALVHPYFTGREAVGGEAALGPEIREYMDRTWRFVVSETVGLDDPRVCPFVDDAARRASAGIPCERVLVCVAENDFLLRERGLWYHRELKASGYAGELEIFESKGVGHAFHFDLLSSEQGVELQERTVAFIKK >PAN23514 pep chromosome:PHallii_v3.1:4:6921728:6925538:1 gene:PAHAL_4G097900 transcript:PAN23514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT1G22760) UniProtKB/Swiss-Prot;Acc:O64380] MATPPATTTIAAAADGAPVALPAVSMASLYVGDLAGSVDESVLIGVFSQVAPVATVRVCRDTVSGVSLGYGYVNFHSRQDAVRALEALNFAPLNGKNIRLMFSNRDPSLRKSGRANVFVKNLEASIDSKSLYDMFSSFGTILSCKVATDPSTGQSKGYGFVQYESEESAQDAINRLNGMLADDKKIFVGLHMRRQNREVKFTNVYIKNLPSEFTDDDLRQEFAPFGEITSAVVMRHSDGASKCFGFVNFEKPEYAAKAVQKLNGKSMDDKVLYVGRAQKKAERQAELRAKFQQGSYGKVEKPQGINLYLKNIDDSISNEELKKLFEEFGEITSCTVMVDSKGRSKGSGFVSFTAAEAGYSAINGMNGKMVANKPLYVGLHQPKDQRRAMLTAHFAQRSLAMAAAPYAAPQQVYVGHPAPGQIPQQAPVFGFPQHFPGMGPGAPVMMPHSMQRPLHPGQRMGARHGAMSPQMYRQHQMMIHPNANQGVRYMPNARNGAYPATLPQGFPGAAPSLKQDGSSLTNALASASPEDQQQMLGNKLYPLVEQLDPVQAAKVTGMLLEMDKLEILHLLESPEALRAKVSEAMLVLQRSQAGASADPTPAANAPSPDA >PVH47403 pep chromosome:PHallii_v3.1:4:3562062:3565234:1 gene:PAHAL_4G053800 transcript:PVH47403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETARFVSFCHPPWKVQIQSYRTRICSLARSSIGMDDGQVYQEEGDDHDLVLQKQEWIKTQDMLKSKLILEDDFVWSLPSVGSSSGEDARGKLKYIGGTDISFLKEDPSTACAAVVVLDADTLEVVHEEFDVVRLQVPYIPGFLAFREAPILRGLLDKVKINARHFYPQLLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSDVRRRLLLEEDCNNGLILLTGESGTTWGAAMLSCSGSSKPVYISIGHRISLDSATAVVKMCCKYRVPEPTRQADIRSKVFLQKLRRPEQ >PAN25134 pep chromosome:PHallii_v3.1:4:47276025:47282626:-1 gene:PAHAL_4G278000 transcript:PAN25134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLDVPLDDLIKSRNGRGRGRGRGQGGGRGRSDGQRLARGSWRGRGTGTFRGRGLGVPSRRPLGVNTRSSSFAIAKSFNKAKDFVWRHDLFEDSMVAAGLSGIESGTKLYISNLHYGVTREDIQELFSEMGHLKHCAVHYDNNRHPTGSAEVIFTRRSEALAALKRYNNVRLDGKAMKIEVIGADLGISAAAAPRISVVPGARGRGQREVVMMPGGSGFGRGAAGSSSSLPGWKRGGFAQRGGGQVRGGFTQRGGGQVRGRGRGRGRSSFGQGRGRGYVRKGNVEKSAEQLDKELDNYHSGAMNVD >PAN25291 pep chromosome:PHallii_v3.1:4:48133735:48134892:1 gene:PAHAL_4G290600 transcript:PAN25291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRLARRAAVEATGDPIAMRRSKGAPSARRDQRAARPRGTPAPHQPCGARAPLRWRAALARRAATARAGWKRREHPHAYTLDMRGSPPPCTVSGCPGQRCGESPMRRTRPAASSARAAAREGFCTSSGRWCTRPAASSGPAAGDRGAVRPPWCCSRFFFLNGARVDSKC >PAN25753 pep chromosome:PHallii_v3.1:4:50435487:50436940:1 gene:PAHAL_4G323400 transcript:PAN25753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFACDDPGQVRAVDFPSPSEAPPVFRYSKDASTLDIVFPDWSFWGWPEVGIRPWTQMLEEVAQENERVPWPERQPYAFWKGAPARFRIRHELMRCNASNGQEWNARLFSQDWKHAVRNGFKDSSIPKQCLYRYKIYIEGNAWSVSEKYIMACDSPVLFVTTPFQDILSRGLVAGKHYWPINREHVCKSIKFAVDWGNGHPAQARLIGEQGSRFVREEMSIDYVYDYMLHLLTEYSKLLRYKPTVPEKALEICTESMACTARGLHRECMMDSMERHVAGFDPCTLPPPFTEEEAKEIADREAEVLRNVEKMEG >PAN24923 pep chromosome:PHallii_v3.1:4:45833180:45844275:-1 gene:PAHAL_4G262600 transcript:PAN24923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRAMRLRCLLRPPPWREPAANPAAASSGGGAALVRRLSALPRPCGEKRWLCRFYSSKEGVGSAEAAAVGSGGGGVGGSGSSSSSEKEHARLGERDQQEWLSGERFLIGCKRRESPFLTRRDRFRNEFLRRVVPWDKTGVSWNSFPYYVDQNARQLLCECVASHLRHKDVALEYGSGLQSSSGRILLQSLPGTELYRERFVRALANELRASLLVLDSSVLAPYDCGEDCSESEEEDNHAESEDEGSESEVDGEGDEESGQSDDDDSIKSVADLKKLVPCTLEEFAKRVVGLQESSSAAESSGTAESSEEEKRSLQKGDRVKYVGASVVVEADNRIILGKVPTQDGSKNAYTFVSGRTLSNGQRGEVYEINGDQVAVIFDPPEKAADGNKDEADKEQNAKPAVYWVDTQDIEHDHATQAEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEMFDQLTGPLVLICGQNIAEASTAAPKDKEPRTLLFHNLARLSPLSSSLKRLVGDLKGRKPSRSSDITKIFKNRLFIPLPKDDEQLRVFNNQIEEDKKIIISRHNLVELHKVLEEHELSCEDLLHVKSEGVALTKQRAEMVVGWARSHYLSSAVNPSIKGDRLIIPRESLDLAIGRLKEQEASNKKPSENMKMLAKDEYERNFISAVVPPNEIGVRFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAHEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAKNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEQENKGDTGSTKTSLRALKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >PAN26011 pep chromosome:PHallii_v3.1:4:51532892:51533896:1 gene:PAHAL_4G340700 transcript:PAN26011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSGGWRLAVEAGGPIRTRVSNSARVLHRTRTQPPIPKRPLLLPRPRDLGFRAGNESASSGRSPIKRRPRLIRSSELNQAHQIGSPMAASAGRLVPMMAFCEAPFDGTLDGTSAPSSPAGGSAAASTASGGDAATRRRALLVEVAKQLPPTPQRREHAQRGGAVDGMAIFEAIALQWS >PAN24817 pep chromosome:PHallii_v3.1:4:45340320:45342141:1 gene:PAHAL_4G254700 transcript:PAN24817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEARRSSAALVAAAAVVVALLALAPEASRAERFVVGDAARWTWGYNYTDWVIRKGPFFQNDTLVFMYDPPNATVHAHSVYMMRNAADYQSCNLKAAKLVAGVMQGAGSGFEFVLRKRKTHYFVCGERGGIHCTMGQMKFIVKPKSSACRD >PAN24777 pep chromosome:PHallii_v3.1:4:44990474:44995118:1 gene:PAHAL_4G250800 transcript:PAN24777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWVGVPSFSFSTIAQSTSAYWQVRKRKAKRPAGAMDPDGEVLHDFFPFIRQYKSGRVVRFGAADTVPAGTDAARTGVSSKDVVIDPGSGLWARLYLPPLPAAGRQGRLPVIVYYHGGAFVIGSAAHRPTHEYLNGLAADAGALVVSPEYRLAPEHPLPAAHDDSWEALRWVASHAAGEEEEGREPEPWLAEHGDLSRVFLAGVSAGGNIAHNMAVRAGERSLGVPIRGILVIHAYFNSEASSSTTGVLRDKAEALWRFVCPGTPGLDDPLCNPFSAAAGGSAARIAAERVLVCVAEKDSLREWGVWYYESLRASGYRGEVELHESAGEGHVFHYTKPECEQARLLHARVLSFLRHEWVSVPSCWL >PAN24551 pep chromosome:PHallii_v3.1:4:42147657:42149140:1 gene:PAHAL_4G232500 transcript:PAN24551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLLQCVLFCSYCLVALGDREHGFVVVPTSSSSASQSQPSACSSVSHVTSDDPNRASVPLAHRHGPCAPASVASGGEPSLAERLRRDLARRRHIIRKASGRTVTLSDAAGVSIPTSLGAAVDSLEYVVTLGLGTPAVQQTVLIDTGSDLSWVQCKPCDSSACYPQKDPLFDPSASSTYAPVTCGADACKALADGYDAGCTNSTGTPLCQYGIEYGNRDTTVGVYSTETLTLRPGVAVRNFSFGCGLRQRGTFDKYDGLLGLGGAPESLVSQTAGTYGGAFSYCLPPWNSTTGFLALGAPSNNTAGFLFTPLHSSPEGPTFYVVTLAGISVGGKRLDIPPAVFSPGMIIDSGTVITGLPDTAYAALRTAFRSAMSAYPLLPPSEYLDTCYNFTGFSNKTVPTVSLTFDGGVTIDLDNPSGILLEGCLAFVGGSSDDAGIIGNVNQRTFEVLYDSGRGHVGFRPGAC >PAN25173 pep chromosome:PHallii_v3.1:4:47551540:47555717:1 gene:PAHAL_4G281400 transcript:PAN25173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEARKTTGRKKGGLRTMPFIFANEVAEKLAVVGFSTNMLTYLTTQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACIGRFWTIAAASIVYQVGMALLTVSAALPQFRPPPCKPGGAAACQEAAPWQLAVLYASLLLNAVGAGGYRPCIVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLLAVTAVVYVQDNVGWGWGLGVPAVCMGVSVAAFVAGYPMYRRLDPAGSPFTRLAQVVVAAAKKRRLPARDVDAAALYENDDLDAPISMYGKLVHTYQLSFFDRAAVVTDGDLVTPTDASSGKTSPPPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITASSTQHTFSLQQATTMDRRLAPGLSAFQIPAGSMTVFALLAMLLTLFVYDRALVPLARRVTGLDRGISFLHRMGVGFALSVLATLVAGFVERHRRAAAAAAGATDAGTSPLSAYWLVPQYALHGVAEAFTSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTLLVDAVHRWSAGPGGANWLPDNINHGRLDYFYWVVTMLQIMNLVYYAICAKRFTFKPVQLHKEVEEEEEGGKALVELQEKV >PAN24945 pep chromosome:PHallii_v3.1:4:46077849:46081749:-1 gene:PAHAL_4G264200 transcript:PAN24945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSTASRANSRVNYSNEIHDLSTVQSGSAVPTMYYPEKSLADIFPPHLLKKVISEVVATFLLVFVTCGAASIYGEDLKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPITVIGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVIGTLSGAWVYTYIRFEENPAKDGPQRLSSFKLRRMQSQSLAADEFDTV >PAN24679 pep chromosome:PHallii_v3.1:4:44147107:44147632:-1 gene:PAHAL_4G244200 transcript:PAN24679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSIPSARISGFPRAHPHGGVGREAQIRPSSTASAFGIRRGIRGGWMRRLEARIQTGLRHPHLDFGEVFAVGREGLKA >PVH47456 pep chromosome:PHallii_v3.1:4:4358613:4359365:-1 gene:PAHAL_4G066900 transcript:PVH47456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILGREPQTRNGCIGATEDRVKVKFDTTAGSIDGTSKASLLERAHHHCHSPTR >PAN23110 pep chromosome:PHallii_v3.1:4:4485557:4491368:-1 gene:PAHAL_4G068000 transcript:PAN23110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAGAADDGGSVGGSVGGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVSLPDLLARLADAYGGATGPHFAVKYQLPDEGLDALISVSSTEDLDNMVEEYDKLGGASPKLRVFIFPILDAAGGSGAAGEELEGGSFDAGLRYLEAVNGIVRKDSIASLSSTQYSDGGLPPPAPSGGGGPGSPTALSPTSTSSNDAARSNISGAGAAPPPLVDVFSNAAPAPVQVKPQEFAAEGRAPQANPHPHPEVAGHPHPLPEATRYRQPLSQLPPLPPVFMNDHRDAMQGLNQPPPGHGARLEDCNMCLKALPHAHSDSMVNDYGNEVHGGAVPEPGPVFMSLRPEDVARIMMPDRSAQAPMGAYGYTHMHQVPQERVYVPKVEGVTNSVLIDPTGLHQHVYVQQQQVPPQQLPSTYGFSHIPVIPSEKDRVVSPSSAHTDVASSHYQFMTSGQAMAQYPVKPASPNNPLAGEGSLSGNSRHREDGQVYRDNVPPVAPVSVPNYVANVDRMMDSLRVSPNEASGSTEQRKPAMSPDSGLPQNAIPEHSQGLPENNISTRPDTRAKEVHPSNTNTFFDVNEPKVLIQTESMPPPSVASSYLHNVQHVNMSHMPHMMSIGGPYSSYVVATVGPGGVPQSTYGIDLVYPNATVNTVNERRDVLPEVYHQEAPHEVVAPPSTTQVPTPALANHAPNVDQAATNVHALPPRPKRVASRENISPRDPHAHNSLLNCKGPDLNIPAEDVSLQLQSDHKGDDISNPDLLGMEDALATSKAQSSDHQPPLPNEGARAVTNKVDSEVHLNEVAKSRPVDWISGCPGTDGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQEKMRSDFWNEASNLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDRRKRLIIAMDTAFGMEYLHSKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPQVPDSCDPEWRSLMEQCWSTEPSERPSFTEIASRLRSMAASQKVQH >PVH47964 pep chromosome:PHallii_v3.1:4:34320127:34323169:1 gene:PAHAL_4G201800 transcript:PVH47964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFGVLGTRLGLDTGGGGELPPGFRFHPTDEELITYYLLRKAVDGSFCGRAIAEIDLNKCEPWELPDKAKMGEKEWYFYSLRDRKYPTGLRTNRATVAGYWKATGKDREIRSGRTGALVGMKKTLVFYRGRAPKGQKTHWVMHEYRLEGTYAYQYLQNSTRDEWVIARVFQKPGEVPPSRKQRLGLSSAGGESCFSDSTSASIGGGGGGASASSAPRPLLTDASSLFAAAADSGSYCGAASANGNNVVTGRELVPCFSTTTGPQDAPLGIGLPYNPAPLAFEPPPPPAFFPNLLSLQDNLQLPLVLSGGLSAGASTLGPLGGGGLHWPAGMEVKVEGRAAPRMAVGPGQLDGGFGWGF >PVH48305 pep chromosome:PHallii_v3.1:4:49164491:49165128:-1 gene:PAHAL_4G304500 transcript:PVH48305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTMSRVPSCTQSCLWLRPPLDSAWRKTLMILFS >PAN25470 pep chromosome:PHallii_v3.1:4:49125206:49127489:1 gene:PAHAL_4G303900 transcript:PAN25470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQPVTLAQQLAYNEPNTFVAEHRKISDIKYLHPFTNKKTEWLVTVKVMKIDKSWWYNSCKKCLKTAKPHGNTYKCTNHTCNTIGVINPRYKLAITAGDETGDTEFILFGRIAQRLIKRTVDALIADNPPGFIPDEITRLLEKVFTFNVSFTENTISSGNVSFQVNAIVAEIDDGNPLPLMPIGSQSSSLVLSQSAGSSVQATPEKDITFTLTSPATRTKRARSPAALQDTESSSLINMVGPVATSPVITGCSTRETEHSATQKRARATPGKKTAKRLFADEDAKKDDKDYSSAATSETRSVEEDA >PVH48298 pep chromosome:PHallii_v3.1:4:48961826:48962723:1 gene:PAHAL_4G302200 transcript:PVH48298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFALCSLQPISTPRCISIDHICLSGTIFSSAGVSTCLALRMDLSSALV >PAN22391 pep chromosome:PHallii_v3.1:4:1006016:1006330:1 gene:PAHAL_4G015400 transcript:PAN22391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRPDDRRRPPRLEGAVCGGFVAAILPAAGSRARGAPCLSDSIHGLETEPSSETLILTSKIHDHPKCSNHSALLHQRSHPEKDPDGDHLPAIPPAAWYRRSA >PAN24646 pep chromosome:PHallii_v3.1:4:43454741:43459649:-1 gene:PAHAL_4G240300 transcript:PAN24646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAEAAYGGGVQKGALQQHQPGPGALLYPHNGVAVYRKPAIPPFYQQPAASNAAAPTAPTRSSASAEPLKRKRGRPRKYGPADGAQPLAVVSPSQPAPAPADVGTNSGASPMLPPGFSPSPQGGGVVSPRASPAAAPPLSVSNASPAKKRGRPLGSTNKKPQPQAAAPGPGWAGLKPHVFTVQAGEDIASRAMSFSGNGWAVCILTANGAVSNVTLRQGDSSGGTVTYEGRFEILSLAGSYLLSESAGMSSRTGGLSVSLSGPDGRVLGGAVAGPLTAASPVQVVIGSFLADGKLELDPGSSPDKTVFGGFPTASSPSSRGNESSGGHGSPPNPAASFNTGSQPSFPNYPTWK >PAN24561 pep chromosome:PHallii_v3.1:4:42877719:42878092:-1 gene:PAHAL_4G236000 transcript:PAN24561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHPEWVCQPNHPSNAKCRGSKLAWPELVGKKGMEAKAVIQKENPHVSAVVYAPPDAVVTDDYCCNRVRLLMNCDAGCDYDNSTVFQVPMVG >PVH48119 pep chromosome:PHallii_v3.1:4:45320050:45326438:1 gene:PAHAL_4G254500 transcript:PVH48119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARERRAIRRIENAAARQVTFSKRRRGLFKKAEELAVLCDADVALIVFSATGKLSQFANTSMNDIIDKYSTHSKNLGKSHQQPSIDLNVEQSKYSGLNEQLAEASRGLRQMRGEELEGLSVEELHQLERKLEAGLHRVLSTKDQLFMQQISELQQKGAQLEDENSLLKKQVPQVITAGAAVVAADTENVLTEDGQSSESVMTALHSGSSHDNDDGSDISLKLSLP >PVH47431 pep chromosome:PHallii_v3.1:4:4062268:4062621:-1 gene:PAHAL_4G061600 transcript:PVH47431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNNWNEDGGSAPESSGEQGDDDGGGRAPAAAVRPLSLSRGVPRERPADRCLTYMALTWTCPRARSATLRSVICLPSRHRACVPKPDVGLVSLQLSSCNRQPLTTSKGTSTKDRTG >PAN24778 pep chromosome:PHallii_v3.1:4:45000965:45002638:1 gene:PAHAL_4G251100 transcript:PAN24778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALIREQLSLALAGEQQSIRPMDPDSEVQHDFYPIVRQYKSGRVVRFGTANPVPAGTDTAGTGVSSRDVVINSSSGLWARLYLPPLPAGSRDKLPVIMYYDGGAFVIGSTADEPTHEYLNSLAAGARALVVSAEYRRAPEHPLPAAHDDSWEALEWVASHAAAGAGAEPWLAAHGDLSRVFLAGFSAGGNIAHAMAARAGARSLGVPIGGLLLIHPYFIGEAPVGPEATDAALKAWADAFWRFVRPGAAGPDDPLGNPFSEAAGGSAARLAAGRVLVCVAEVDALRGRGVWYYESLRASGYGGEVELHESAGEDHVFHYTKPGCEQARLLHARVLRFLRGECL >PVH47812 pep chromosome:PHallii_v3.1:4:19597958:19598229:-1 gene:PAHAL_4G159000 transcript:PVH47812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADADARVAEALEAQLLALHLAVNHATTTVNARGALLADRLQAIFNRTREIASHRVCQGAAAL >PVH48479 pep chromosome:PHallii_v3.1:4:51387323:51387930:-1 gene:PAHAL_4G338900 transcript:PVH48479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIILFCIVSRLSHFARTTYHCSLLRATSMRSTYTDLNFVLRDYSDYHVQKNRKHLKASKPSAE >PAN22250 pep chromosome:PHallii_v3.1:4:365108:368972:1 gene:PAHAL_4G006500 transcript:PAN22250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCASAIDAFVQRGSRPSPSAAPGMSASRRTSSSATTGKLSTLSTSTFMPSTVSGVSVDDDYQDGQILESPNLKTYTFAELKSATKSFRPETVLGEGGFGKVYKGWVDEKTLNPSKSTTGMVVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYCMDDNELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDGEESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMISGLRALDPSRVSEKVNLVNWAKPLLADRRKLSQVMDPGLEGQYSSKGALLAAQLTLKCLNGDPKSRPSMKEVVEALEQIESIKSRVREPRSSGSSRRGQGQSPRSDSARKNSRGR >PAN24051 pep chromosome:PHallii_v3.1:4:13730200:13731922:1 gene:PAHAL_4G140400 transcript:PAN24051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYWKDHAIGEAARAAAMASKEMDRKFLLLRRYQTWMIILLQIGLIFLLLGYAIISMSLLSLSIGVLNLLYRFSLWVCTTTTGRETRVSAT >PAN22470 pep chromosome:PHallii_v3.1:4:1376686:1379823:-1 gene:PAHAL_4G021900 transcript:PAN22470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRMTKLLLQLQAAADRRHGHGGGLGLGFGPGPGRRHPLTPRHASAAGRFAPACLALCLLALAAAATTLAVALTLHRQGPDPAAGPGGSPRGFSVVINTWRRHALLRRSVAHYAACAGVEAVHVVWSEPRPPPEPLRRGVLINGTRRGTVRFEINEADSLNNRFRPIRGLATDAVFSVDDDLIIPCSTLRFAFDVWQSAPSAMVGFVPRMHWLADPRGSTKEYRYGSWWSVWWTGTYSMVLSKASFFHRQYLDLYTNQMLPSIRNYVNENRNCEDIAMSFLVANATGAPPIWVQGRIFEIGSGGISSLKGHGLQRSRCLNTFAAMYGHMPLVVTTVKAVDSRRSWFW >PVH47214 pep chromosome:PHallii_v3.1:4:305388:313574:-1 gene:PAHAL_4G005400 transcript:PVH47214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGACVCLQCQCTARFTEKPLKRPAKCNSSPSVRRGGGTQGKAIYPPPPARRPAPTPPDLAGRGPSPPATASRPTRPSEPRRPRPPPGEMEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNRTGFLAREDFYNSLKLVTVAQSGRDLTPDIVRSALFGPAAAKIPAPRINISTAPQTNTVPSPSNATQGLGSGQQNPAIRGPQGLPGASSNAQVRPPQPPSANTVPPAQGIVSRPPVGGGPSGLNHTSSTTTNLATDWFSGKRSASPLGATSQAPTRVISPQANLTSAGVPAQNSNPLPGYNSHTPAATTPANTNSTNLNMMPSQPPVNDSKALVPLGNGLSSNSTFGVDPFSATPQAKQDSSLAPNVPNNLPSSTAPASAAGPHHPPRPMQAGPVQGTSSLPSHTSQLPHSQPAPRQQQFNAIPSTPGPVSANIPGGQIPSNPNQSQALWPKITQVDVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWELSDQDKDGMLSFKEFCIAVYLMERHREHRPLPDVLPDGIWAEGTSLPSTGQFAGNPSGPTSHASTGFASRGMQGPNHGMLPSSMKPPSRRPLSLDADDTVKAEQQKPKIPVLEEHLVGQLSKEEQGALDAKFKEASDADKKVQELEKEIQDSREKTEFYRTKMQELILYKSRCENRLNEVSESMSADKREVQSLAAKYDERCKKVGDVASKLTMDEATFREIQEKKLEIYNAIVKLQKGDESDEKLQERANKIQSDLEELVKALNEQCKRYGLRAKPTTLVELPFGWQPGIQETAATWDEEWDRFGDEGFSIIKELTVEVDPSILPKSQPTVEDVKVSTNGTSTEKEDNKGDKSGAAAAEQAIEPEATPSKSKPESAKSPPVTPVKNREDGSTDEADKKQSGTNDVSPRATESISNPGATDSSAYGEHSWAPSFDHGIDNDSLWNFGPKDGENGDSDLFFGPQGLPPIRTGGSSSGSLFVKEQKPSFDSVPGTPMEKPFFDSIPGTPVQKSVFDYSVPSTPMQNSVFDYSVPSTPMQKSLFDSVPGTPVQKSVFDSVPSTPMQRSVFDSVPSTPMQKPFFDSFPSTPMQRSLFDSGPSRAESPTAGSVYGKEQKGFFDSSVPSTPMYNSSFTPRYSEAGDDSFDTMSQYSSFGMHDSNSFGQQDSFSRFDSFRSNTDNGGNDTFARFDSFRSTSDQGGGNSFMRYDSMNSSSDHDRTDTFARFDSMKSSDYNNRGYSFDDDDPFGTGPFKSTEKSSSPTRHGTDAWSAF >PVH48013 pep chromosome:PHallii_v3.1:4:39612467:39613201:1 gene:PAHAL_4G219100 transcript:PVH48013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNGWVDGICHAEPDLPKLLILSLERIGVMEPPEYAYREYTSKGILRCDMMVFVGKSTRYPDMDPWFISTSGFHFPDTYRKATRKALQRLRVIYKHHLQRTPMGFFPPTEGRGRTWIAWMRGLGREQEDLEDTVSHLSIYLTGLDELYREQAAQLKQLIHRAEKATQELEEQQIRAARAEYSLATLQAQMQEYENHRGIGGWIEEEEKPEETHWDKGTQTEDEVMDRCLPIKKRPIKIGEESP >PAN22279 pep chromosome:PHallii_v3.1:4:575452:577485:-1 gene:PAHAL_4G008500 transcript:PAN22279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASVWRGAWIRSRVFSSAPPRAAAAGDVDGGGGLLQPTYCESSTSASGTTTTVTKSSDSAEDRPRAFNSSMVLPTAPVVEENVEELDVGKVIEEGWVRKLEHLKGLVMEFRDGNNLGALEKWLSELDVGWVLHESKIDESAGSIRIWQQFHFFAESWILALQDINESISRCFDVWCSQDRDEGTSKKPLASEFALLVEATMLKMLPFVDAIIAAAARTSDRPEAAAAAEKLQVLIDVSDALSMASEQILSSLFSSSPCVQSTGGTMRENVSTELAKLDEAMWNTMVDMMTGTAAWTAEDNGHPCGSSDIHKVTRSIISYTKVLWANYWSLDRILDDAYLRGEFKHDNESVSHLTNLIMEMVHSTEDKLASKSKSWFADQSLRFLFLINNSYFMLRLVDFPMPVLTRRIDDYINGYLLVSWEPVMKCLCDPATPCCFTRHLPMTEFQSKFHKTYAAQKLWKVPDPAMRKMLRKAIVEKVIPVSTQFLEDSSISTPGVTPKKLEEMLGELFEG >PAN25168 pep chromosome:PHallii_v3.1:4:47529629:47531833:1 gene:PAHAL_4G281100 transcript:PAN25168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATAGSSAKKEAAAAAAMGGKAARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPTSPPGAPPPPAKREPRDEVVPAWFKRKARTPRGGHTKSLGQVLSRRLVVPEAAGGDSPEGRNGEGEVEEEEEQLLYRVPVFDPALAEFCSPPPLEEAAAVAPSCNEDGAVEDPAKPDPAAPAAPQAQLFPDGHANFEPTDAELREFAADMEALLGRGLNDGNEEDSSFYMETLGLLDPVDDDAARVKVEIDGGGACETSGTLACGFELEAEASDEMLDIDFDYGSPDQETPPEDEKAGSNDTSADAQFLQTSLSLTLNYEAIIQSWGSSPWTGGGERPHVKLDDSWPHDFTGMWLVGGMVGHGGEELCAPRLGMMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTTAGGSSVAVAGVA >PVH47936 pep chromosome:PHallii_v3.1:4:30600490:30601119:1 gene:PAHAL_4G192400 transcript:PVH47936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILYSSLV >PAN22347 pep chromosome:PHallii_v3.1:4:896746:902952:1 gene:PAHAL_4G013200 transcript:PAN22347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSADAAAPVSTSAPPLAPLIAAQLSYLLSHSKLPIRVGQIWSGCRNGRHSDRFTLSIPFCLDYVHWDFVYNALSPKVAPDVVFGPDDEGFQPLVDYAEAGNDASCLARWDYRDPRGLVALVHELRELYIEYHKKQVAKVDDARVTFELSTVLSKEGIEVCMVPSADRPDEVKFAVPLLDVDFDFNKLVPGCPWRLPQKIHLQVIFPISRSSSYSSVPSAPRLKLTSTSDLKSLFSVEDVKLPPWSNGMCLAEYLPALEESLNLLVVEASASIGARRRFIEALAPTFGRPIEADPIFCRRATVLSISGVFTFLVHFAIPLQFPKQQPVLTLQSSQHCNADGIPITSPPINDYPWSPRWDQAEMVERIYDFLTDECQNFKKFCSDTVTQQK >PAN24478 pep chromosome:PHallii_v3.1:4:40636973:40641689:-1 gene:PAHAL_4G222900 transcript:PAN24478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLQTYAAFSLLATASAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGSLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHIRIVSFMAFLLIVDCLFLSNSLRSLIEKREASVAIFFSFEYMILATSTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFKIRVADYVRYRKITSNMNERFPDATAEELDASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAASARQHGAQPGVQPAAGTGTPAAEGAAGDNMSGRQAKLEAAAAAASLYGRSFAYPPANILNRSGPPQSKSSTPQSEASSSNQSQNDQELQFQNTSDGFAPLPFNAHGAIGSGTITRDLENSLQRAQENFIKSQIEMLQIQLQMVQRGAAVPATNNENAEHTKND >PVH47678 pep chromosome:PHallii_v3.1:4:8666250:8667884:-1 gene:PAHAL_4G113900 transcript:PVH47678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVATSNTTTTPFAAAAVDEEAATRRVANRIIRALQHQLRLLHRAGAEFFVLGATGNVYTVTLSTAPACTCPDPAVPCKHILFILLRVLGLSLDEACVWRQTLRPCQVARLVATPTHPDVLAGARARERFHQLWSARPAGSKAADGRQDAASSGRPLDGAACPVCLEEMAPAPAPAPQAILTCRTCRNAVHAECFARWKRSRARRAATCVVCRSRWRQPNREQEQEQYMNLAAYMNDVDGDVTMQSADGGLCAG >PVH48249 pep chromosome:PHallii_v3.1:4:48075351:48077368:-1 gene:PAHAL_4G289200 transcript:PVH48249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSGAKCSVWAGLRRAARGPFPCGGCVVASRSESSSSPARPRLRRQGSLVVSLSVPDMLIARRRAEATSYSVETRSAEARGTPVAAAAGRTHRLSAASEVMEDNLPCTSSRARSNHCHGKQIFKTSKLGFQLLDLPEDMLCKILSELPLKEVIRTCVLSSKW >PAN23516 pep chromosome:PHallii_v3.1:4:6937640:6942909:-1 gene:PAHAL_4G098200 transcript:PAN23516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPSSPKAAALLLLLPFLLCAGTATLAQPLSSSQAKALLRVRRLLGYPPALEPLRRAPDPCALPPAPSLAVACEGGQVTALSVRGDRDPDAAWRAALPPTFSADALFTTLARLPALARLSLVGLGAWGPLPGAKLRRLQALQQLNLSSNYFYGAVPGDLARLYSLQSLVLSRNRLNGSVPSLARLQFLEELDLSHNRLGPAFPEVGKAVARLVLADNNFTGKIPAGVSALGQLQYLDVSGNRLQGWIPSSIFALPALRYINLSRNRLAGQLPATTACADALAFVDVSANLLTGARPACMRGNSSARTVLVAGNCFADAKQQRASTYCSPGALAAVLPPPQGNGGGGQGRGKGGEIGMILAIAGSVVGGALLIALVMVVVLRRARMQHPEVSVLPKSPAATPAKKVDGWKAPAKATQKIITPADKRHASQAARVNTLEVPAYRVYTLEEVKEATDNFSSSNLIKTSPHAQHYNGQLQDGSRVLVRCLRLKPKYSPQNLVQYMEIISKLRHRHLVCIIGHCILSDQENPNIASSVYLISESVTNGSLRSHLTEWRKREMLKWPQRVSAAIGVARGIQFLHNVTAPGIVNNDLNIENILLDKTLTSKINDFNLPVISTSKNGKIFSEIPFDVQVDNDIGSAHNMEQGDKQDIYQFGLILLEVITGKPTDSQSEVESLKAQLSEAVTEDPDRLKDMADPAIQGTFAMESLCTVAEIALNCTGGELSDRPSVDDVLWNLQYSMQVQDGWASSESLGLSVKSQA >PVH48179 pep chromosome:PHallii_v3.1:4:46419584:46421016:-1 gene:PAHAL_4G268900 transcript:PVH48179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDADPLVVGRVVGDVLDPFVRTTNLRVRYDARTVSNGCELKPSMVVHQPRVEVGGPDMRTFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPGTTGTWFGREVMCYEPPRPAMGIHRFVLVLFQQLGRQTVYAPGWRQNFSTRDFAELYHLGPPVAAVYFNCQREAGSGGRRMYH >PAN25204 pep chromosome:PHallii_v3.1:4:47666253:47669701:-1 gene:PAHAL_4G283800 transcript:PAN25204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRDDFFSDFMVLRPDKGGFRSLLHLLCSCKVADNDAVDCPVGTEVAERWRRWAIFVSLAAQMLLLSIKRPMAALGRAIEYWMNLLTDNGGGVLGLVRNAMQGKVRTPDRKSTNYRSFIGLIDTRVDLDKKIRPGDSNYHAALGIMASKIAYENELVIKTVVENRWQMTFLEFFNCWNEFSGDYTTQAFMLADKPADAELAVVAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPAEPTEPAAPAAGAEERRYAYYAIRERLRAFLTANPRARFVVTGHSLGGALAVLFPTVLALHGEEALLGRLAGVYTYGQPRVGDAALGRFVAPRLDRPRRRYFRFVYCNDVVPRVPYDDAALLFRHFGLCLYFDSLYRPAAVAEEPNKNFFSPLFVVPKYANAAWELVRGLLIGHVAGGEYAEGWVMRAARALGLVIPGLPPHAPQDYVNATRLRAAALQLLLGDQ >PAN22440 pep chromosome:PHallii_v3.1:4:1297274:1297603:-1 gene:PAHAL_4G020100 transcript:PAN22440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKVDAATTNRGGGKAGQADRLGQDKGGHAKLACPLCRTPAPDIKSMQIHHEARHPKLPFEPEKLLNLHSSAPAAGEATSSSKPKPGVRGSLKK >PAN25002 pep chromosome:PHallii_v3.1:4:46277231:46282163:1 gene:PAHAL_4G266900 transcript:PAN25002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAACAAGRGLPSSSSPPRAGGRPGSVAFAAPLGTRAVQTKAVLSSDGAPGMSNSPHVVCFGELLIDFVPTVNGVSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKQNNVNTQGLIFDAHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELELDLIRKAKIFHHGSISLITEPCKTAHIAAAKAARDAGVLVSYDPNLRLPLWSSAEDARHGILSIWETADVIKISEEEVSFLTNGEDPYDDAVVKKLIHSNLKLLLVTEGPDGCRYYSKDFSGRVGGLKVTAVDTTGAGDAFVAGVLSQLATDFSLLQDEGRLRDALKFANVCGALTVTERGAIPALPTRQQVLDSLTSVVA >PAN23311 pep chromosome:PHallii_v3.1:4:5718389:5722895:1 gene:PAHAL_4G083100 transcript:PAN23311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARGLRRLAAAICLARRSASAVAALPAAGAARFHDYDAAVTECIERRALRVGRQVHARMVAAGYRPALYLATRLVIMYARCGALGDARNVLDGMPERNVVSWTAMISGYSQNERPAEALELFITMLRAGCEPNEFTLASVLTSCTGSQGIHQVKQVHAFAVKTNFELHMFVGSALLDMYAKSENVQEARRVFHMLPARDVVSYTAIISGYTHLGLDEEALDLFRQLYNEGMQCNQVTFTALLNALSGLASLDYGKQVHGLILRKELPFFMALQNSLIDMYSKCGKLLYSRRVFDNMPERSVVSWNAILMGYGRHGLAHEVAQLFRSMPEEVNPDSVTLLAVLSGYSHGGLVDEGLDMFDLIVKEQRTLLNIEHYGCVIDLLGRSGRLQKALNLIQKMPFEPTRAIWGSLLGACRVHVNIPVGELVARKLLDIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLKNTVIKEPGRSWMILDKVIHTFHSSERFHPRKEDINAKVKEIYVDIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMSTPSGLPIRVMKNLRICVDCHNFAKVVSKVYGREISLRDRNRFHLITEGACTCGDYW >PAN25147 pep chromosome:PHallii_v3.1:4:47338462:47339900:1 gene:PAHAL_4G279300 transcript:PAN25147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSFNGEWSASEIETVKSHIAGNNTNTYANNMNKKHTDIMDELQAIFPLKEKHQVTNLYVELMMEMMHRMQGGNQHVVTNNNIMIGNFGTPLEDPSMGNMEVLCDPLMEEMEAIRKGVEVPQRHPMPQKEKQHAVRFWTKEEHRKFLKGLDAYGRGSWKNISRHFLPNKTPVQICSHAQKYFRRLQNPNKKQRYSINDVGLYDTELGVQNNASGWEGHTITGGAYNPNHYGSGDQPAAMNNLSQVTSPLMHITGQASSSQTATLANGSRQQMGASSSSVVPLMEGAGSHMGWTDDQQGDFFANQWIMNMHMN >PAN23856 pep chromosome:PHallii_v3.1:4:10153503:10154392:1 gene:PAHAL_4G124800 transcript:PAN23856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLCFCICVVLVIASSPVQLSDSNPSMGRRWLQDVVIVASGPAGNTTTSGPAWPQEPAADIPCDGSKRLSPGGPNPQHH >PVH47215 pep chromosome:PHallii_v3.1:4:317920:318378:1 gene:PAHAL_4G005500 transcript:PVH47215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTLSLRLLSIKMPTPFLPEVIPVYQSLKPEPSTSFTFWPFHLVSCTHRIFTRLLITTSTSSLNLTVRDPTFQLPKRILVSSTSFLILRTRRERCEDACSFFTTPGRRCSAPQRLRQPDPCSLIIVPGSRYDAPLSG >PAN25040 pep chromosome:PHallii_v3.1:4:46517895:46521387:-1 gene:PAHAL_4G270100 transcript:PAN25040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSMRETTAAMQCCGDDDALLLCGEDAGELEQRDGDSGRQVCWAAAGGFRKQLVPDEERCPDVSVTWWEAACPPAPGCPGRPRSDGRPAGWAESVSWILKARSYHGFQPATAYLAVSYMDRFLSSSSLPDYGWAFQLLSVACLSLAAKMEETSVPPLLELQIESTGYIFEPGTVQRMELFVLAELDWRLRSLTPFAFINRFACKADSLGRCTRSLVLRACQITINAIHEAEFLNHCPATMAAASVLSAVTEIPGMSCVSISPETAASWCPGLTEEGIRSCYQLLQQLVPMVTTTRRKILASELLRSMPSPVSSASPSKRHKINGRFREE >PVH48336 pep chromosome:PHallii_v3.1:4:49584682:49591975:1 gene:PAHAL_4G310900 transcript:PVH48336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGRESLVRLIGRRRRSPLPASLAAVLSPSSPFPAASTAQADDGGGSGEAAGAEAGPSSGGSGGVGVGAEWVSCPVCGESIRGSDYCVNTHLDICLTRGTKRKLTQSTLLNFRFSRKVSAEPASNNLKNEIKTESEKQIDEDLSRDQTFFSLDIDIEGSKAGASISSPGCLNGSLGISKMISTYVPSNTLLPNVKDAVSNVPVEHCSSSMFPTVATSRSIDACADLNSSTIIAVDTVIVGRRFHEDIELREDAGITFQRDPQNAKDSDAIKVLYAASECEEMLGYLPRELAKVLAPLMDRQYVECEGYVVGLPEQQLGNVPIQITVQKYQRNDDPKYLQSLWEKFISTIKSGNFQRPTSARYQKNFNLMISDVIANHTHVFSDIEKSFLASFKSLSDDGQCLFVRIYTRKGPWFRKSTILYREILDLEHAAMELKLAGYIDMLSCTVDPSEYDMKEILDVLSVPEMKEILKELQKDNTTCTRRHELVCTLLSLYHNGTCASLPKRILNLTGTCIRISKMADELLWRIQRLFFLNGDQDLSSFLLVEFGVVKFPDYACSISHRLFQERSDLLEYEEAIRVAQVMDESLDNNNMDLVTRCIDLSENRLCAMSKQENATSPEHSPSFFSCFSSSWVYSKILTLGVSVYERDRRYEDAIRILKILLSKIACDRRRGYWTLRLSVDLEHMGRPNESLSIAEGGVIDPWVRAGSKFALQRRVLRLSKPPRRWKIPSYADYVKRNIKEVNIEGRPLNCETGAKNLFYGYDGELCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWGVMFSDIQDVFQSKFQMAPLDLETDDFYKSRKDLAESQLKKIQDGMAEEMLISSWELHQGTSCHGVNWDRHSLTDLRAVVACIGGHRLALLLRHLAIDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVAKRR >PAN26063 pep chromosome:PHallii_v3.1:4:51853033:51856290:1 gene:PAHAL_4G344500 transcript:PAN26063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSFSSSRHQMSTAQRFDILPCGFSKRSNRSDGGGAPRVAAGDARNGGGGATCSFRAHPAPPVTQAVSWGAKPEPGGNGAGGVWERSSRAVKRAHEEGAGEEYGGPVVRAKRTKMGGDGDEVWFHQPIAGTVQAAGGGEGEEPEEEKVFLVPSAAAFPHAGPSLAAAKKEEFSKSPSNSPASSGGTDGGSSAVPPPEQHARNGVPAPGAAGREAMELVVALTACADSLAARNHDAANYYLARLGEMASPAGPTPMHRVAAYFAEALALRVVRTWPHLFDVTPPRELTDGAVGDDDATALRILNAITPIPRFLHFTLNERMLRAFDGHDRVHVIDFDIKQGLQWPGLLQSLATRAIPPAHVRITGVGESRQELQETGARLGRVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLTAHRLLRDDTGAALADFLGLARSTGAAILLLGEHEDALNSGRWEARFARALRYYAAAFDAVDAAGLSDASPARVKAEEMFAREIRNAVAFEDADRFERHESFAGWQRRMEEGGFQNAGIGDREATQARMIARMFAPGNYSVQAQGDGEGLTLRWLDQPMYTVSAWTPVGDGGGGGSTVSASVSTTASHSQQS >PAN25563 pep chromosome:PHallii_v3.1:4:46108991:46109481:1 gene:PAHAL_4G264400 transcript:PAN25563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSTPSPAAALATSTPSRTSLPTPAGAGTLQAAVTESPARPLHLRLRPASPNPSPFHFSPSPPFPPPLPPFPTLAALTYTVFPSSSSSLLRACLSALDYTVDSDCLHVHPSAPRCRKCIPCKKSLRPARCCPITLTSNSIASRNLEEKLRL >PVH48146 pep chromosome:PHallii_v3.1:4:45791742:45793883:1 gene:PAHAL_4G261700 transcript:PVH48146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRELRQRIAPLLLHSVSLAPGPHTSSPLVSLRSYLSTTAPTISPKPFAVEDYLVATCGLSPARALKASKKISHLKSPSKPDAVLAFLTGLGVPRADVATLVAADPNFLCASVERTLAPRVAELCNLGLSRSEVARIIPVALYSFRRCSLGRTVSFWLPVFGSFDKLLQGLRNNAGIFTVDFESVAKPNLDFLQQCGLSACEIVGVNKYSSRLLTMKPECLREAAERVEELGIKRNSPMFRHAFCLVAFVSQEDVAKKIGLFKKIGFSQHHALEIVRKAPLVLGASENRIRRVVDFLTRDVGLEAHYIAQRPALIMYSLERRLLPRHHLLSVLRSKGFCNLELNYYTASMVEKTFMQKFVTPYKDNVPGLAEDYTSRRSCMSRLLVKEP >PVH47866 pep chromosome:PHallii_v3.1:4:24557253:24557495:1 gene:PAHAL_4G173300 transcript:PVH47866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHYTAYYIRCCWKRGLQHSQFIPRFNIVLPIPPVDLLSLACWFKQWRS >PAN22569 pep chromosome:PHallii_v3.1:4:1762798:1763124:1 gene:PAHAL_4G028000 transcript:PAN22569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVIIYFLKLVSGDSGRKQIQSVSRCSSPMWENNVETRNPELFSYECCELWIRVFVYFVGYLIAVDDGTGPAFASFAMFSMMFTTMVILVNCKSAKILHPSEQEQED >PAN23106 pep chromosome:PHallii_v3.1:4:4458486:4462226:-1 gene:PAHAL_4G067700 transcript:PAN23106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAPPPLPFRCSCVLLVLLVLAFRPWSASGRASPSSPLASPVAEHVRAATAVDAAAGAANGTTSFAPAAPAPPPVVIIVERRHHFHRELVIASALASVAIVAIILSTFYAWVLWRRSRRLPNGKVYRSSDTARGIMLVPILSKFSSFKTSRKGLVAMIEYPVLEAATGKFSESNVLGVGGFGCVYKAVFDGGVTAAVKRLEGGGPECEKEFENELDLLGRIRHPNIVSLLGFCVHEGNHYIVYELMEKGSLETQLHGPSHGSALSWHIRMKIALDMARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNIDKGSMNLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSQTQCQSIVTWAMPQLTDRSKLPNIVDPVIRDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVAEPPSPNLKHSPC >PAN24405 pep chromosome:PHallii_v3.1:4:39267949:39270024:-1 gene:PAHAL_4G217600 transcript:PAN24405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATQSPGALNGGGATPHVLFIPSAGIGHLTPVFRVIAGFSSQGIDVSVVTVLPTVSAAEAAYFDGLFTDYPRVRRVDMHLLPLDAAEFAATEDPFFLRWEALRRSVHQLGPIVAAAAPPVTAIITDITLTSCVVPIARELRVPCHVLFPTAATMLALNAHYPIYLDQLGGGPVGDVDVPGVFRVPRSSLPPALLDVNKLFTKQFVDNGREIARADGVLVNTFDYVEPAPLAALRSGKIVPGYPPVYTIGPLKPHAAATRAGDKAGTGDGVLDEWLGRQPARSVVYVAFGNRSAARLDQIREIGAGLEASGYPFLWVLKTTKVDREDAAEPADVLGGAFLERVRGRGLVTKGWVEQEALLKHPAVGMFLSHGGWNSALEASSAGVPVLVWPQLGDHRVNAMAAARAGIGAWAEDWGWDGEERLVTGEEIAEKVKEVMADEKLRASVAVAREEAARAVAEGGTSYRNMHEFMAKLKGA >PVH48030 pep chromosome:PHallii_v3.1:4:40785367:40786125:1 gene:PAHAL_4G223900 transcript:PVH48030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGRPISFLSRTLGPKASATSTYEKEAMAILEALNKWKHYFASTSIIIKTDQQSLKYIQDQRLVEGVQHKLLVKLLGYNYRMEYKENKVAYALSRVSHSAHTMAISAVVPVWMEQVSISYEEDNQCSELLAKLTIDPTAVPNYSFHGGIIRNKGKIWIGNTGTLRQQLLDSFHKSALGGHSGERATYKRMKLLFHWPQMQQQVKLYVKNCPICQKNKSENVPYPGLLSPLPVPEMAWSHVSMDFVEGLPKS >PVH47903 pep chromosome:PHallii_v3.1:4:27466884:27471759:-1 gene:PAHAL_4G182500 transcript:PVH47903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKKHLSGAQKRKKRKQDNQLAESQRGAIYKFFGTSSNVGANKVQGQEPDHEQKEPDQNLHPSSDHENPNGDERDGSSLSIYDPRIWDNLDNSKRDILIEKGLVKELNLEFPKDAIGRYFSYAYCSRNLTNGESVDRKWLVYSKHVDKVYCFCCKLFKSNKSKSLLASDGVRDWQHLSMRLKEHESSVEHLTNMNTWNELRLRLSRNQTIDDEMQREITKEKERWRQVLVRIASAEKFLAKHNLAFRGSNEKLYQDNNGNFLGTIEMIAEFDRMMQEHIRRIHSNEIHHHYLGHNIQNELISILADAVKEHILKIIKDANHIPKVEEFFLGFLKVDVLIDTLGNLDSNVDDVRVKASSRSSRRRAADRPREVEVPHGGRQHGLLVNGKMPSSSPVDLQCALLPGAPAVGVLPAAHQAVYPRCLVAPDLTNGSNMKGHNKGVHNRLLQINPKALYMPCACHSLYLTLYDMAKSYTKAISFFGDAMGVSDVQSLVSALENFEFLVGIVIWHDILFTINTVSKKLQSKIVCMDATLKQIEGVISYFQKYRDEGFKSSIEVAKGTASDMDIEPKFPTKCQGKRKKHFDEINDQDEEIQLLAMESFRVNYFLIIVDAAIASLTSRFEQLKIFEKVFGFLFSSKHLKSLHDNDLRRHCTHFAEVFSDGNSSDIDLDDFFSELKVLQATLPNGIMSAPEILRFVSNVDCYPNISVAYRILLTAPVTAASAERSFSKLKLLKNYLRSTMLQQRLNGLAICSIEKDILDNINLDSVINDFAPRNARRSFFVKE >PAN25585 pep chromosome:PHallii_v3.1:4:49655386:49656659:1 gene:PAHAL_4G311900 transcript:PAN25585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAASIRVLLLLAAVLLPGTLAWSNCPPPAPGGGGGHGPGRPWYPAPGSGSGSPTRPSPGSGSGGGHGSPPHHGKPPKHHGKPPSNCPPCNPPYSPPTPRPSPPYVPPYTPPTPRPSPPYVPPYTPPTPRPSPPYVPPYTPPTPRPSPPYVPPYTPPTPRPSPPYVPPTPPYIPPYVPPSPPYIPPTPPYVPPTPPYVPPTPPYVPPTPPTPPAVRTCPIDALKLNACVDVLSGLIHLVIGREAKSKCCPLVQGVADLDAALCLCTTIRARLLNINIYLPVALELLITCGKHAPPGFKCPPLYD >PVH48240 pep chromosome:PHallii_v3.1:4:48020471:48021337:1 gene:PAHAL_4G288100 transcript:PVH48240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGARRQGVGPVRRRGTAGARHWEQAPASAGKGGVTKVRSRTPRRAVGPASRGERGLGNTGGVRRRRRRRRGGAR >PAN23258 pep chromosome:PHallii_v3.1:4:5440943:5443268:1 gene:PAHAL_4G079700 transcript:PAN23258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGAAGRTRTAAVCVTGAGGFVGSWLVQRLLAGGRYMVHGTVRDPGGAKNAHLAALGGAAERLRLFRADVLDYGAVAAAVAGCDGVFHVASPVPSHAIADPEVELLAPAVTGTANVLKACSEAKVRRVVVVSSLSAVMVNPAWPQSQVMDEACWSDVEFCRSTQNWYCLSKTLSELEAFDHAERSGLDVVSLCPSLVIGPLLQPTLNASSSVIVDCLKGDREVKLKLRNFVDVRDVADALLLVYETPEASGRYICDAYASQMSDVVEMLKSWYPTYKNATKFVRVSDEPLFSSKKLEALGWKFRPFEETLRDSVESFRAAGVLD >PAN22383 pep chromosome:PHallii_v3.1:4:1037917:1042190:1 gene:PAHAL_4G016000 transcript:PAN22383 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] MASTAGYLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRHVDNLDVVDRLIDDAEAQYRNFQHPDPYIVPWAPGGTKFTRNPPPPQGIEIVYNYGKED >PVH48392 pep chromosome:PHallii_v3.1:4:50377503:50380737:1 gene:PAHAL_4G322000 transcript:PVH48392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGESSSPAGNQRESERSISQLTRSSKSMYLHFFDADSYETSSRSSSSWLHPIVPLATLSGCSTSFQTQLISRLSMRSRIGLRAVRHAAVSRSRQCKILTSLIVGRSAVASLLIPDDVTRSQPWMANLASLPHPRPIAARLASVRSRQLLMQSDSSEPHTATPSRCNHDALHKPWKPPRVKNLIRGHLRASASIAGAASSTLCRRSSVKSSSAGSAAKKETNPATVMAASSRWLVDADSNGSRSCKTVSLGHLVAMARSASSPTKSTYRGLNTQGATSFRRLGQDPAMAPSSVAWNPSVAPARPRSSSVSAAAAAGCAASAGPMSSVQQ >PVH48118 pep chromosome:PHallii_v3.1:4:45238869:45239321:1 gene:PAHAL_4G254100 transcript:PVH48118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSFRPAATNPEWLHADRSATNVTASGLTSCCRRFRAPSTMSRNAATASSPRPFMPSPAITVFQQKRFGWQGMVRKTSSARSTLPHLKYMSMRALLSTASTRVPCCRVWRWICRPRAKSPTWVHALTTVAMVTVVGLGLCSSSSCCCC >PVH47971 pep chromosome:PHallii_v3.1:4:34971094:34971735:-1 gene:PAHAL_4G203800 transcript:PVH47971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRLRPSRHGVAGRTPRRCRTRGEGAVRAQGGAAGGAAAPCAGAHRGASGGGRGVPAGGAARVGQGSRVRAQRGGARVRGEGAGTWAALCGQSDGARDGAGAAAVGAWEQSVRVRRGVARRGSGGRRGAARGHARCVRASRRGRGEAGRRSSGARRGKQEGKRKGGKEEKRKEGGKKKKGKGKEKKRKKRNRERKRKEGEGGKECRRRSRR >PAN24536 pep chromosome:PHallii_v3.1:4:42040321:42041788:-1 gene:PAHAL_4G231400 transcript:PAN24536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKPCALLVFPVALLLLAAGGSPAAAQLEVGYYSKTCPNAEAIVREEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEGNLAERDAKPNKSLRGFGSVDRVKAKLEAACPNTVSCADVLTLMARDAVMLAKGPSWPVALGRRDGSVSSATEAADQLPPANGDVPLLTKIFVSKGLDLKDLVVLSGAHTLGTAHCPSYAGRLYNFSSAYSADPTLDSEYADRLRTRCKSVDDKAMLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLTDATTRDYVQRIATGKFDDVFFKDFGESMIKMGNVGVLTGAQGEIRKKCYIVN >PVH47697 pep chromosome:PHallii_v3.1:4:9729441:9730292:-1 gene:PAHAL_4G122300 transcript:PVH47697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSLRHPPWRTGCRIPPRRSRPPPARYRICPSCSRPPPTVATILLASACGCWSVGRGRWREDEGGSPPQHRPPRGST >PAN23622 pep chromosome:PHallii_v3.1:4:7587900:7591635:1 gene:PAHAL_4G105000 transcript:PAN23622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHSGPGNKNAFKALIAAEYSGVKVELTKNFEMGVTNKTPEFLKMNPLGKVPVLETPEGAVFESNAIARYVARLNDGNPLFGTSRIEQAHVEQWMDFAATEVDPGVAWYLYPRLGYIPYSQTTEETAIASLKRALGSLNTHLASKTFLVGHSVTLADIVLTCNLYHGFARVLTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQAESVPPVQKKAAAPKEPKAKDVKKEAPKEAPKPKAVEAPAEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQRERVSAMIEDQEPFEGEALLDAKCFK >PAN23227 pep chromosome:PHallii_v3.1:4:5256007:5256644:-1 gene:PAHAL_4G077100 transcript:PAN23227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSCFGSGGYDADEPGYEQLRRSSRKVRPSDEDGLWYVGERDVDMKASAFIAKFHASTKFVET >PVH47679 pep chromosome:PHallii_v3.1:4:8777211:8778459:1 gene:PAHAL_4G114500 transcript:PVH47679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYDGYWSVVGAAEDENNSLGLGTCNGDWRITMCCEPPANANRMWPSVVGRFAFGGCRESISWAMKAVVADRYLSWDSLLLVEKK >PAN25713 pep chromosome:PHallii_v3.1:4:50268528:50270537:-1 gene:PAHAL_4G320700 transcript:PAN25713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLHPNPRHGRPLYKQRSPASLLTSRAIAELRALAYTPAMAARLVVAFLAVSSGFLAVSGQKFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKYGLPLLKPSKQGGADFKKGANMAIIGATTMDSNFFQSLGIADKIWNNGPLNTQIQWFKQLMPSICGSTQACKSYLSKSLFVLGEFGGNDYNAQIFGGYSPEQASGQSGTIVDAIGKGVEQLIALGATYVVVPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSARHNQLLQSKVSSLQGRYPGARIMYADFYSHVYDMVRSPGSYGFSTNLRACCGAGGGKYNYQNGARCGMAGASACGNPASSLSWDGIHLTEAAYKKIADGWVSGAYCHPAIGA >PVH47221 pep chromosome:PHallii_v3.1:4:407705:408016:-1 gene:PAHAL_4G007100 transcript:PVH47221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFDRRVIGCVRAGAHADSGEASPPAMARRASSPSPEVVVVPGQGRCPLIPVPALVGACRRPPGLPACAAQCIVYHYRGGYCDLLPNGRPGDCFCTNCLGSGA >PVH48386 pep chromosome:PHallii_v3.1:4:50228073:50229149:-1 gene:PAHAL_4G319900 transcript:PVH48386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVPRIGNNSKLANYSWESPKEHSICNPAGDLTINRISKAPSHPRRRLRRSQVQWRQPGTRRRRSWPPPRWRSSPSSPRRGTTMPARRRSRPSASACRTWSGRRPRRRPTAARASATSGTWAAAAWRRALCACVLSEVQAAGEMEPRRAAGLAAACKVPVGFVPTKPDFNCSAPEPGSDPGSRPTKSSARMRCLAQAAFLGLDPNKAYVQTEIVSRQ >PVH47996 pep chromosome:PHallii_v3.1:4:37871816:37872895:1 gene:PAHAL_4G212500 transcript:PVH47996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKATATGPPATASTSATSSTSSTTTTTRCWWSPATTTSSAAPPGRRRGSTAGTPGSASTLDHNGFFYFISGAPGHCQAGQRMMMRVLAQGRQEGGSDPAPPAEAPDAMAPGSEDEGGSYEPLPGSGGSKPGHGGGGSGLGSGSTSTLPPRGMAGTGGNETSGAAPACAPSSFGGYYRHVVGAVVLDAMLLFLAA >PVH48391 pep chromosome:PHallii_v3.1:4:50380470:50381096:1 gene:PAHAL_4G322100 transcript:PVH48391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFCCATSSSVECGAAASAARAAAERNGWPHGDTSERSSRSSAGKQKPGPSRRNVGDRGSPRSDSAARVDRSAARSRWHASAARCRDRGSVRKPRMCSSSGSGRSRIGDGKPAAASSAMRRGDGVGWDACAAASGKVVEPFFFFPLRAGRQLGAGGEGGCGLWVKGVGTMDTKRAAQRSDILDSALSLSLSLSLSLSLSLSLLFVFF >PAN25337 pep chromosome:PHallii_v3.1:4:48348329:48351792:-1 gene:PAHAL_4G293700 transcript:PAN25337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVVKRISPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEDDMKLVMEMKAEAMKTITQAGDPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMVDCLNRFHVAVPKPRDNKERPVCIPPAVLEARANDAAKQKKQLEKDLEQENGGAGVYSASLKKHYMLANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEQAAQDAFEIDGHELTDEQKEILSQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTNRMGRQLSSMGVDPSAAIARSQSRGRKRERSLSRAAANADAMEVDGQQSNKKLRLGSRSRSRSRAPEEVVPGEGFKDSEQKKKAIKKAKDATKKRNKDARRGEADRVIPTLKPKHLFSGKRTLGKTSRR >PAN24805 pep chromosome:PHallii_v3.1:4:45227089:45228969:-1 gene:PAHAL_4G253800 transcript:PAN24805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQKAIPLMAPFKMGPFELSHRVVLAPMTRCRADGYVPRPHTAVYYSQRATRGGLLIAEGTGVSATAQGFPGSPGVWTPEQVAAWRPVVDAVHRKGALFFCQIAHVGRVSTNDFQPDGQSPISSTDKQVSPDAESGMVYSKPRRLRSDEISGVVDDFRRAARNAIEAGFDGVEIHGAHGFLFEQFMKDGANDRTDEYGGSLENRCRFTVEVVDAVVGEVGAHRVGIRLSPFADYMDCVDSDPVALGHYMIQQLNRHEGFLYCHMVEPRMAIVDGPRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVEEGYTDLIAFGRLFLANPDLPRRFELDAPLNKYDRSTFYTQDPVIGYTDYPFLEDDVQQQQVKYSEA >PAN23283 pep chromosome:PHallii_v3.1:4:5597432:5601176:-1 gene:PAHAL_4G081700 transcript:PAN23283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAMPEAEAVTPPPQQAEEARAVDDRRLLRSQYLAVKSLISDEKDDMANADSEKFRSIINKVESLHQYVHKPREQIADAEALLDLAASLVTSVRSHSVLGITPSDFVVGLLNKFGKLGGPDDEHASLDWARVGRAASHVFMAAPGCATMVGPMKTEVKPRRVCIRKKRTARPRGSSCPEQLADPTEKTKSDTDKNMSAIFNLLRRKKNARLEHLILNRTSFGQTVENIFALSFLVKDGRVEINVNDEGHHIVYPRNAPGASNIASGKVVYNHFVFRFDFKDWKLMKGVVPEGEELMPHRSSQDAPGTAGNSHPELELSRPTQSAPIRKRCRNRGLVLQDEMVAKGAQEVMADRTVATGTQQVVEDEVAAATGAKEAMEDETGGATGVKEVMDDKMVAKYSKEINLTHKSRRLFQED >PAN25122 pep chromosome:PHallii_v3.1:4:47181590:47185342:-1 gene:PAHAL_4G277000 transcript:PAN25122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAADTGSNDGGATTGQSSSSSSSMADVYKGELTPLQRHAAFFDRDKDGVIYPSETYKGFRAIGCGVALSAASAVFVNAALGPNTKPENQKTPPFKFPIYVKNIHKGKHGSDSGVYDANGRFVSEKFEEIFKKYAHTKPDALTGKELQEMLQANREPKDFKGWLGGFTEWKVLYSLCKDKDGFLHKDTVRAVYDGSLFERLEQERKAKKESTKKK >PAN25036 pep chromosome:PHallii_v3.1:4:42101616:42103133:1 gene:PAHAL_4G231900 transcript:PAN25036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFRWACKADLPSPRGPGNQQDLRCEARSRNQLPKLNDHPALDFPPNQPGHPTALPLASTALSLPPSRSSLARCRQPSPTNPPMAAPWVILGRVLRVGFVPGDVEAEVEEEHEAQAHHAAAADAHGQAQAAQPEHAAAVHAEEAVAQAEQAAAAAEPDFTLPVALPPRVTVVSAGRGAHPDPENPDKYPYIVAAGPLSILAHFAGAPFRGTYFDDYPHETHLVLVRAFVTAGGGLTTASAVRVPDRAGRAPVLRNVGSVGLYSDDEGDYRIVELQLHKGSERASLVCFNPAKLANRAYADWYVKDVEHPMAEENREFAPHGAVTLDSTIWWFDLSWGAFSCDLDEGVPDLLFHHVPDGRALAEATPDIHTRRCVTVSRNKVRYVEIITAGGAATLCMWTRLIGPDGWTWYVKYAMNFENVWDDDSYKETGLPRDVPVLAAVCPSNPGLVYFALEQRLFGVDVPAHRVVHNEAYELVNIPGPPQPSSSRYVLAWNLPPEIAQGKT >PVH48317 pep chromosome:PHallii_v3.1:4:49322072:49323145:-1 gene:PAHAL_4G306700 transcript:PVH48317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYAWLCRAGLHPDVALDYALLFARNELGADDVRHLDHEVLTSMGVAVAKHRIEILKLARKESSSRAAVTALPWRATRLLAAAVRRSARSALGRLRASVSSAASRGLDRARGSGRDRAAAAVHALATPGRAPLPARHRGGRAARGWGWGAIVASPVAAARGGKPPLPLPMVLAQVSRPVVLTSSCAATVKALPAPPGPVASVVAADDGHGEEESDGDGEGEMDGGEEMRWESMFQDLKPT >PVH47206 pep chromosome:PHallii_v3.1:4:159004:159285:-1 gene:PAHAL_4G003300 transcript:PVH47206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGERRKWALPLSPPAPAPILPSRSIESNRNPFRLEATTPSRGIPKSRG >PAN22692 pep chromosome:PHallii_v3.1:4:2349606:2352730:-1 gene:PAHAL_4G037700 transcript:PAN22692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRMAVAAAVAVLCVLAPPAAAQTSRTPDCAAKLSSCAPYINTTGTPPDTCCGPIKDAVENDLKCLCGLYATPEIFKAFNINVTQALGVSKRCGLSDTTEACKSSPSGGGRNSGHRTLSVGFPGLISLFLALWSVLA >PAN25632 pep chromosome:PHallii_v3.1:4:49843136:49846803:1 gene:PAHAL_4G314700 transcript:PAN25632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT4G11240) UniProtKB/TrEMBL;Acc:A0A178V1Q1] MEAAAVDDMIRRLLEARGGRTPRNAQVTDAEIRRLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKIFTECFNCLPVAALIDDKILCMHGGLSPELKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEIEGWGENDRGVSYTFGADKVAEFLQKHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNMSKPGTPPRKIKISVARI >PAN25302 pep chromosome:PHallii_v3.1:4:48198665:48199696:-1 gene:PAHAL_4G291100 transcript:PAN25302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAGVPSVHGRLCLPRFRLVLQMFAIRSIGSSHLLWLPSKLQRQGAEQGYFELELNPPLPPPSSRSPMADRVRMAIVLGVGGAAAGGPEAVRLLLAVAGRSAAADVATSAFLICAFTALVLGNLLLARFLRDARRNAEAHAPAPGTERFAKMTAAAALAAMFVVTACLLALPSIPAPGGAARSCLA >PVH48341 pep chromosome:PHallii_v3.1:4:49623744:49624679:-1 gene:PAHAL_4G311500 transcript:PVH48341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGHPGVKLKRDGQSTARPDRQAGSSSRTDSTAPTPPPPVAPVSTDHVSFLHFIHLPPPPGAAAVSITSTQMASPCFHGFLFVPFHLFFFFSLSPLLWRRCTMHPACLQLLCSGAAIVLGIVSTPPDLRVVSAFDAMEQTERGGAPGRRGEERENARTQELTKKRRRSCPDHRHKRKAKGTAG >PVH48216 pep chromosome:PHallii_v3.1:4:47350027:47351207:1 gene:PAHAL_4G279500 transcript:PVH48216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQPPTSSPAPPAQNEPVATTVESVEAAVLKESHSDEEGALVAAGRRRKGIPGRSKLSNFSPKEDVFLVKSWLEISCDPIINTGQKKWGFWARITGHRWDTIKAESSKFAGYMANVLRDNPSGMSDADKTASALANFADIEQYPYIYMHCWDLLKDEPKWMELNIRGARPGDDDAIAEHIPPGAIDIDHDLETPSSQCSGSKRPMGRDGAKRAAKKSASSSPSESSKYASKLQDLSIQKISIWEEENVKKGSRYEQRVAIESQRYEEVCQHNKHMVSIEEEKLQIMRKKADREQTHEEERILGIDLDKCNPRLRKYYEKKQQEILRNIGANEYDN >PAN24377 pep chromosome:PHallii_v3.1:4:37766628:37767839:-1 gene:PAHAL_4G211700 transcript:PAN24377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPINVVDEAQQGRPISVTDVAPGDTTLVATGQRGIPVLIAPLQSQSDGRARGATAQEMSMGFHGFLLLEHKDDDNPEAQRKKWFKEMRGWLMVLATVAASVTYQAGLNPPGGFWQDGRHAGNPVLHDRHWSRYMIFYYLNATAFVTSLVIMVLLMSERFYHTEAKVVALMLTTFIDLISLIGAYIAGTTRFFSSCIYIIVIACVAFAGVIYIGEVMAEICRFFMRRMPCMSRMVQSKWFPVPAEVVKSLQPHEERISQTQRTARSNQRGGCSACCASAPRAEG >PVH47216 pep chromosome:PHallii_v3.1:4:333737:335061:1 gene:PAHAL_4G005900 transcript:PVH47216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQLMDLPGPRWKKGKDGKNFAALAATNPMSTIVAELQASLRDAETVAILSGDGKYAILAVGAHQAALLNRAAFGRAVDNTGDEKLWFQLGPEEMFFLCHALRCITVESENKKQMGEGELWDLLTSTSEPFPEMYKAYEHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVIVIPEGKMFGARCGRMKVWPDLLCALRASGSVAKTLLFLTISTMNCEVRSSDCLEQLIVHERMINRWIPQQCREQQDKPRREEAHRDEQRQKQCREEAIREEQEDTREGVVFSYWGVILSFTILSSLLVYKLKL >PAN25769 pep chromosome:PHallii_v3.1:4:50492271:50494718:-1 gene:PAHAL_4G324600 transcript:PAN25769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCEIEGQQLTSAAIVGHDGSIWAQSPNFPQYKPEEIAAIMKDFDDPGTLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGITVKKTTLALIIGIYDEPMTPGQCNMIVERLGDYLVEQGF >PVH47720 pep chromosome:PHallii_v3.1:4:10935586:10936053:-1 gene:PAHAL_4G129000 transcript:PVH47720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHELERSRSRFKEYSPTGPRDGHRGPRGQCRPEQILKQRLEAETEVEADPMAAGAKALAAAEAEALAATEARLPAYLAADSDDSEDDEDFTPTIPVQRAAHNHEAGPSRVEVVTERHDLSPPPPPPITAAQVTVPDTLASILQTLIEQQCHSDER >PAN24891 pep chromosome:PHallii_v3.1:4:45673450:45675333:-1 gene:PAHAL_4G259700 transcript:PAN24891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWPNPALPLLLVAALLAFEDWLSTPSCSGGPPRHGPGDLRAMMVADLMLFGSDATYADRLFRDHVMYKFFANSIQTLKPDMIVVLGDISAKGSELTERKWISVIEQFEGMLGQHSSLPLLTVLGDKDVGNCANLEGKFVHRRAKHLPGLDSCGCGAFEISNVSFVSLNAVALLCGNNNLRFGVEKFMERESHHFQSLNEAECYPLGCEKREGSTDISWRRNSMDSGSGPVILLHFPLHRFDAEVTGVPTSAEAIVSDHSSVFSSSKQRGIYDRLHTLPANSTQYILQALKPRIIFNAHTGSFSDFLHADGTREVTVPAMTWKTRGVPGFVITTFDTKGTVTLSCCLLAKEWHVIMGYLAFLCLTALAVKLSHQLE >PVH47477 pep chromosome:PHallii_v3.1:4:4813158:4813561:1 gene:PAHAL_4G072200 transcript:PVH47477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHCAVACHGDCSASTFVPVMYNPAVFSSSTSSSTRTARRGRRRAAPLLVPKPKPKPVDAEDSSERGYAGWVLCAIGLAGLVVAAVILVILFI >PAN25165 pep chromosome:PHallii_v3.1:4:47506740:47508456:-1 gene:PAHAL_4G280800 transcript:PAN25165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSYIRHLRSISQHAVNNITVVAIAILLSTIFILVAAPARLGFAEHIIFHLHATRPIYVLLVALLLLPSAAAAFFLVNRSRSVYLVDYACFKPISECRVPLAMYTEYMTHFMPFLDDRSIRFITRVLDNSGLGEETCLPPSIRCIPPSFGFSHARAEAELVVFSTIDDLFRKTCISPAAIDALVVNCSLFSPTPSYSDMIINRYKLRSDIRSVHLSGMGCSAGLVSVGLARNLLQVTPHAAYALVVSTETISSFLYKGRKREMHLPTVLFRMGGAAALLSNSRSKARFRLKHLLRTITSTESAYRSVILDEDEEGNLGVNLSKDIIGVSGDALRSGISSIGPVILPASEKLMFFLSWMARKVLGGKVQPYVPNFCKAVEHFCIHPGGPAVINAVQKNLRLSETLAEPSRMTLHRFGNTSSSSLWYELAYIEAKGKMQRRDRVLMIGFGSGYKCNVAVWECIQPSCSADGPWSQCIHRYPMKA >PVH47970 pep chromosome:PHallii_v3.1:4:34769708:34770478:1 gene:PAHAL_4G203200 transcript:PVH47970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMIGETRLLILRPFTVVVVENLMAVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIATQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVDPTSNWADQFIGSGGSIQPGDGGGQT >PVH47317 pep chromosome:PHallii_v3.1:4:2177028:2179416:-1 gene:PAHAL_4G034500 transcript:PVH47317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFSAHLILVSVQTYLATAGCPGSWYGVILKDFRFAFHLGSLVVTDIWQRRRILYTVSGAAFSRSGQEELLTALGLFSWKPRRRGLLSWASSWAVARKKGSGSVKRKEFLDSLTRRRFRFTATCFVFPRSV >PVH47672 pep chromosome:PHallii_v3.1:4:8365388:8365898:-1 gene:PAHAL_4G112300 transcript:PVH47672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLAASRCCSASSPWPRRPPRGAGRSWRASPPISPPRSRSSACPTCPRRPAASRSSPPSTSAAASPTSARRRPAAACLRPPQHPPPPRALHRLRGAAHQGRPPRRRLPRICSTAQARLEI >PAN22726 pep chromosome:PHallii_v3.1:4:2530945:2532805:-1 gene:PAHAL_4G040800 transcript:PAN22726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCEPWMLLLGARSYPGAVILTALGSHTPSIEASSSSPRSAATPSVEASSCCSGVEPSVELSLSLSRRAARAPLDAICLPPSPLSLACPASASLGYNLAWPRRWQQFHLAVEPRGARRRTPLPRLAIEERGHLGWCALGPRVELSAAVG >PAN24820 pep chromosome:PHallii_v3.1:4:45352661:45355083:1 gene:PAHAL_4G255000 transcript:PAN24820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRFDFAASMLLCSEDSTTVFDLEEESEEISWVLRPPSRHADASSGFLLIDFPLQSESFIDELLEREEGHLPMEGYAQRLLQQPGGLDLVAFRSAAIDWIWKVHEHYKFGPLTVVLSVNYLDRFLSLCDFPLGRAWVTQLLAVAVLSLAAKMEETIVLNPLDLQVVDAEYVFDPTTVHRMEHVVLNTLSWRMQAVTACSFIDYYLHKFSDGDAVSEIILARSIQLILSTSKAAEFMAFRPSEIAASVALVALGKYDSSVLESVVTCCKQLRKERILGCYEVIQEKIGMGDIILKSIGSSAFPEQHRPIGVLDVAACESQKSDGVSVGIALVHDEAPSASKRRRICR >PAN26153 pep chromosome:PHallii_v3.1:4:52279436:52282911:1 gene:PAHAL_4G351400 transcript:PAN26153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRVGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKAEGNLPLYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFGIKDDQGDSYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKTARSNSQN >PAN22786 pep chromosome:PHallii_v3.1:4:2875216:2876335:-1 gene:PAHAL_4G044800 transcript:PAN22786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRCTMGSVLPRQRSSDQQHAGGGHQGLAPDDFRDVYGGPPRTVLLRSFGGEAADYHSPAGHQYMNYGGAEAFCRRPYADGRAAAVPTEQGFFDDIFGARRRHVRSRSRSKSKSSSAVSSDELPSGFCRPVATGSRADATLSSFTSRLRPVTIPSRRYDSSPPSSTSTIGEYQSSFTCSTAAYPAARYYYGEAKAGRSNHSRAADGSAAAHRRRHQRGSSNFCCFTSNPETSSNAPSFRQTGGARSPAAETTITDYSGADYGYYYSPPSATSSSLFTNPLARTPRRLEEVVMEVRERAPLLMDDGDDIDSVGAAAVDEAIAWAKERFWSQAR >PVH47269 pep chromosome:PHallii_v3.1:4:1405438:1407594:-1 gene:PAHAL_4G022400 transcript:PVH47269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPLALGGWRGLRRLLPLLLLLLAAALAPPAASQPATPRLQAAYAALQSWKRTAIFSDPFNFTANWVGPNVCAYNGVFCAPHPAGGGLAVAGIDLNHADIAGYLPDDLPRGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDLSNNRFVGPFPEVLLTLPALRYLDLRFNDIEGPIPPALFDRPLDAIILNNNRLTRPIPANLGNSPASVVVLAHNRLGGCIPPSIGRMAATLNEIVLIDDELSGCIPPQVGLLSQVTVFDVSGNHLQGPLPGSVAGMSAVQQLNVAGNLLRGPVPPAVCGLQRTLRNFTYEDNFFNSRPGCAAATADGRWNCIPGAPAQRPPAQCAAAGVPFDCSTAQCQAPPPASGPPGGGAQHGGSSAPPSPPGSGSGSPSQPVPPPGSNTPSYPSPPGSSTTPPGGSTTPSYPTPPGSSTSPPGGSTTPSNPPPPGSSTTPPGSSTSPPGSSTTPSYPSPPGGSSTTPPSGGGSPNPSMPPSSGPSHGGSPPSSSYQPPSSGWSPSGQPVGAPPTEHPGGVWPPHSPSVPGAPGSPSTPTTPGTPGSTYPPSTPGAPGSPTTPGTPGSTFPPTTPGAPGSPSTPTTPGTPGSTFPPTTPGAPGSPSTPGYHPPSPGTPSSPGNQHCPPSAPGGGGGGLPFPPVHGVAYSSPPPPPSDPGKMPFPPVHGVAYSSPPPPLPPLNVHGVSYASPPPPTTPYKNNN >PAN26166 pep chromosome:PHallii_v3.1:4:52379081:52381912:-1 gene:PAHAL_4G352600 transcript:PAN26166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILGALHWWDEWQLRVLVLGSLGVQWFLLFAAPMRKYTIPRWFRTFIWLAYIGSDALAIYALATLFNRHASSSTSSCANGGWSRALEVLWAPVLLIHLGGQEEITAYNIEDNELWTRHTVTLVSQVAVAVYAFCKSWPSSGDRRLLASAILLFVVGILSFSEKPWALRRASINRLAAVSSRVLGRGRRRKVTRCQLILNELEELVKRCWRRTPSSSKQDQVLSDGDKVHMILSDMSLLAASSHLTNRSRKADSMRYIEVEGDADEAAAAPTGLEVSACAPGDGDAVHKEDQVLRPLSPRAEMGPELKRWLRRAFGLIYTRVNVATTPTYLAYHMLLVPSLHVAAITLFATSDKHGYDRRDVRVTYTILVVTAALDVLAETIRQLLYKLMSAAGVPALCETLPQYNVLTSARRRTQPATGWLLKCAARLGWEEHLLLVCRRDESHLYGSVAGFVRTGLVGASATTASGKVQVKGLDLGSYRSFTAGNWALSVELQERCGPMVRSTLRESFDESVLIWHIATDLCFRRNPPPAAADAAGKLEECTRAISNYMAHLLNFRPDMLMTGSRRHLLTEALEDLESILLRPDLDDTALLEAIQKAGESKQHKYLLIQDACKLSDELMEVRPEETRWELMYRAWLGMLCYSASMCRGYLHAKSLGEGGEFLSFVWLVLSLKGAPAKTLADKLQMPEPDAQESAAGEETATATTMPSTDDLESLVDKPGKNR >PAN24648 pep chromosome:PHallii_v3.1:4:43615730:43616768:-1 gene:PAHAL_4G241600 transcript:PAN24648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQTKCSSYTRLGLRRWPRPARGFRLSPTRISVRRLRARLSTLLGLLGRYVRNLRLLTRGRVAAGSSSPPAGATGGSRRFLVGGQKPSAVAGKGAHQVGGNGNGSKAPRRPPCMRSNSFYARAVAECLEFIKGSNVPPASPSPLAPHGTPRRGSRC >PAN25666 pep chromosome:PHallii_v3.1:4:50036509:50038284:1 gene:PAHAL_4G317300 transcript:PAN25666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPDRAHVRLRSPAHGTYLYADEDGVGVSLRSRRASLSTVWAVHRVERSGNSFVLLHSAAYGRYLASSPEYINYAPDGVDGVVQCCYFNDLDQQDILWEAVGYRGDALFLHNPENRRWSRLWAVEAVPARVGPPLLPPPTPNPMLLRRMILYMKADEYGNIDYESTKLLVFEGHSVSRLRDELAFLLEEWHAVRITMCVWAGSHGRLTPLVVDLPLNNQTVEIVVYESWSRAAQGLQYPNVDAP >PVH47601 pep chromosome:PHallii_v3.1:4:7012296:7023328:-1 gene:PAHAL_4G099300 transcript:PVH47601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFRIPCLNLVLYLFMLVCSLALVISSQNETDKERQALLCFKSRLSDPAGALSSWSNPYLDFCNWHGVSCDGAQSPHHVTSLDLSSEGLTDSIPSCIANLTSLKRLQLSNNSFHGSIPPELGLLTQLTYLNLSMNSLNGGIPSAISSCTQLRVLDLSNNSLEGDILPTLSQCKNIQMINLSNNKLQGSIPAAVGMLQKLRTLILAGNSLSGSIPPSLCSSSLVHVDLGRNFLSGGIPESLVNSSLQVLRLMSNRLTGKLPEALFNSSSLTTICLQQNIFVGYIPPVASISSPLKYLSLQENNLSGSIPVSLGNLSSLVFLSLQQNNLIESIPESLGNIRKLQVLRLTANKLSGLVPPSVFNISSLKFLGLGSNLLSGRLPSNIGNTLPNIQTLVLISNRFYGTIPDSLLNASHLEKIYMAFNMLTSFVPSFGSLPNLEVLDVGGNMLESGDWSFLSSLSNCTRLTRLMLDSNNLQGNLPLSIGNLPNSIEHLWIRDNKISGPIPLEIANLTNLVELYMGYNLLNGSMPPTILQLHDLVRLGISRNKLSGHLPHDIGRLSQLNELRLEQNNLTGSIPASIGNCTQLQKLNLSHNSLAGSIPSNLLQISSLSIYLDLSYNHLSGEIPEEVGNLINLNLLNISNNMLSSNIPSTLGQCVLLESLQMQNNFFEGSIPQSFINLVGIKELDLSENNLSGTVPGFLTSLSRLRTLNLSFNNFDGVVPMGGIFSIVGAVSIQGNDRLCTNHPALGLPLCARLVGLKGRHRSLVPRIVLPIVSAIVITLSCVVIVLKRKKKQSVPQIIRRPNMDMKRITFQDIIIATNQFSSANLVGSGSFGTVYKGCLELEDSIVAIKIFNLEIFGADKSFTAECGTLKNIRHRNLVKVITLCSSVDLTGKDFKALVFKYMPNGNLETWLHPEVKEQGHIKTISLSQRINVALDVAFALDYLHNHCACPLIHCDLKPSNVLLDLDMTACVGDFGLARFLCTGGGNGHHNSSASLAYLKGSIGYIPPEYGLSVEISAMGDVYSFGVLLLEMITGRRPTDQNFKDGITLHEYVYRAFPNNIYGILDPVLLQDDEMDATDAMENCIIPLVRVGLSCSMASPKARFEMGKVCTEILAIKDSFSIL >PVH48501 pep chromosome:PHallii_v3.1:4:51714882:51717214:-1 gene:PAHAL_4G343200 transcript:PVH48501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLRYLAGTAGPSGFGSRATAEEATAGCGDLRHVTAIITGATSGIGAETARVLAKRGARLVLPARSLKAAEDARARLRAECPGADVVVLPLDLSSLASVRRFVARFLALGLPLNLLVNNAGKYADRFSVSEDGVEMTFATNYLGHFLLTRLLLEKMAETARATGVEGRIINVSSTIHSWFAGDDAVGYLDRVTRRKIPYDPTKAYALSKLANVLHTRALAERLREMNANVTANCVHPGIVRTRLIRDRDGLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGASSEEAAKLWSFSENITAEKVQKMSVHVSAGGFRLQVQSSNADRGMALA >PAN25955 pep chromosome:PHallii_v3.1:4:51282042:51286497:1 gene:PAHAL_4G336600 transcript:PAN25955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLARRLAASLLRSRGGRALHTGPPSDPAVLACRLASRAVVRFAGPEAARFLHSLLTNDLLSAFAAGGASSPQRYAPTPNAPARGPAGPAYAALLTPQGRFLYDLFLYRTPPRSQMLDRTGSAPETGEKPAQEEGEPAEVLADVDAAEVDELVACFKRYRLRSKVEIDNVSENFACWQRFGRNVVHTEPSTQEPEAQSIGWGQGIDHAGESAAQGNGHGWQWLKDPRLDYLGYRGIFPADTIPPLVESDKEADERHYQLWRIENGIAEGSTEIPKGEAIPLEYNLAALNAISFEKGCYIGQELIARTHHRGVIRKRLMPMKFVDEKGEELDQAVAPGSEVVNEASGKKIGTVNTALGSRGMGLLRLEEALKQGSFLCISDNKGVRVQPIKPDWWPAEWTQMVDQQSAVA >PAN25935 pep chromosome:PHallii_v3.1:4:51221810:51225021:-1 gene:PAHAL_4G335400 transcript:PAN25935 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MALSPAAPANSSCFHARAAANQTSSLSVGTRVFVGLRAQTKLGSSESSCPNVSAGFYTAVNRRISLGLSNKKATRARISMMPIGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTGHSVEKIHEDLSRVKRFDAEGALEYGVIDRIVRPSRIKKEGSTAQRKDMRNLGLG >PAN22856 pep chromosome:PHallii_v3.1:4:3197433:3198280:-1 gene:PAHAL_4G049400 transcript:PAN22856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELMRRLSFSDRVSDGSGGVPRGCVPVLVCDGGGGEGERFVVRVEALRHPSFAALLEMAAQEFGYKQEGVLRVPCDVRHFKDVLAAVSVSVSSPRSRN >PAN25201 pep chromosome:PHallii_v3.1:4:47642775:47644894:-1 gene:PAHAL_4G283500 transcript:PAN25201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGQAAPGGRGDAGGRAHFVFVPLMAQGHLIPAVDTVLLLATHGAVCTIAGTPATAARVRPTVDSARRSGLQVRLVEFPLDYAAAGMPDGADNADNVPAEHMRSYFGAMARLREPIESYLRARAPFPTCVVSDFCHPWTTVLAANLGVPRLSFFSMCAFCLLCQHNVERFKAFEGVAADDEPVVVPGLEKKVAVTRAQAPGFFRGSAAWEVFADYIERARAEADGVIMNTFEEMEPEYVAGYAAARKMKVWAIGPVSLYHQQRAATLAARGYATAAIDAGECLRWLDGKEPGSVVYVSFGSIARAGGKQAVELGLGLEASGHPFIWVVRNAHEYDAAARAFLDELEARVAGRGLLVRGWAPQVLILSHAAVGGFVTHCGWNSTLEAVAAGLPVVTWPHFTDQFLNEKMAVEVLGIGVSVGVTEPLMYRAVEKEIVVGRGVVEAAVRSVMGGGEEAEERRRRARALAAKARVAMQKGGSSHGNLLDLVKRFKAVS >PAN23089 pep chromosome:PHallii_v3.1:4:4318783:4326924:-1 gene:PAHAL_4G066200 transcript:PAN23089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSAISDVALERSDNDPARYHDGYSKLRTWAYSSLDQYKHELLRILYPVFIHCFMDLVAEGHMQEARSFFHTFREDHEVMHSRDLQKLEGILSPSHLEEMELARSLRQNKFKIKLCEYSYELLLQYLQKTQALVVLGVINERITFEVSPGQPSLISDDADVVALIGTSKDLAKQINQKEVHWGLLEDSVEERMEKALAESDKIEAESKDADAEDNKKRNAEGGKQGASLKKTKKDKLAGATGKNVRTETSMVSVAPRVKPELPLPTTPIEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPAKTSSSLGENGSSQGERMSTVDEGKRPYTLFQGHSGPVYSVAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSTGRCVSPLLGHSSCVWTLAFSCEGALLASGSADCTVKLWDVTSSTKALKTEDTKGGSGSRLRLLKALPTKSTPVYSLRFSRRNLLFASGALSLSSS >PAN25107 pep chromosome:PHallii_v3.1:4:47102843:47109045:-1 gene:PAHAL_4G275700 transcript:PAN25107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGEDGNAALHRAPSRRRGPVRASLDADEFIALIHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELQKMDEKLKLTESLLESKNLEVKRINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEISKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDLQNKNQELIKQIEICHEENKILDKLHRQKIAEVEKLSQTVRDLEEAVLQGGANANVVRDYQRRFQEMSEEKRTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGLPSGSSRPPTEGKSFSNGPSRRLSLGGADNMSKLSPNGLLSRRLPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGSRSLDRGKVHGNGAHLLNRSTDAVRDKESNDSWKGNADERTDESADSNADEKSNDTTNNNSGETVSGFLYDMLQKEVISLRKSCHEKDQSLKDKDDAIEFLSKKVDTLNKAMEVEAKKVRREVAAMEKEVAAMRANKEQEIRAKRLGTKSPGSSQLLPGRNATRSGSMRNFQ >PVH48418 pep chromosome:PHallii_v3.1:4:50551910:50555095:-1 gene:PAHAL_4G326000 transcript:PVH48418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREEVGRRGIPSLLKPSSSSSDGGAGREEHIASDITQLIGWTPLIELKRIASKDGVDARIVGKMEAYQPLCSVKDRCALRMIEDAEEKGLISPGVTTLIEPTSGNMGLGLVLIAIHKGYRFIAVMPAQYSLDKQILLRYMGAELYLTDPTLGFPGMYNKVEQLQKELPNVHVLNQATNKANSEAHFRLTGPEIWKDTAGKVDIFVAASGTGGTVSGVGKYLKMQNRGIKIVCVEPAESPVISGGAPGKHKIQGAGPGFLPDVLDTSVIDETVTVTTEEAMANARRLAKEEGLLVGISSGANLAACLKVASREENKGKMIVTVFPSGGERYMNSDLFADVREECTAMTF >PAN24475 pep chromosome:PHallii_v3.1:4:40562937:40564008:-1 gene:PAHAL_4G222700 transcript:PAN24475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVPLAAPPAFAAPGSPYEALRVGRAATQVEIKAAYRTMAKRLHPDASRASGAAAAFLEIRRAYETLSDPDARARYDRSLGPSHHRPGQGVGGVRVRRWETDQCW >PVH47577 pep chromosome:PHallii_v3.1:4:6384209:6389251:1 gene:PAHAL_4G091500 transcript:PVH47577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFSTSPQTGVVNGHQTHLRSGVCSLWIVNFTASSSFIVSMGVVHSGRKGSGSNTRTIVSTSGTLSTGYTSTTTASTTTTSTTASSGFHPSSALIEEQLTKTAKREVPAEVNTEEEERFDGLVREFFGAPAANCSVNGGAIQVLVRWFRELGIPWVLHLADGAAAGELERTFPSQGRPYAVSPWIRVLTKIMGTSHSARLLFPDRSSQLTRFTQEAISKMLPFVDVIVATSDEAFLSKWEDAPYKKLKTLLNVRDALCQALSKIQSPSMPETSEEVQRIQSEMVTLLSAKEGKVDEAIWNTMEEIRRRILEPMDRGINSSGTHTPRVSPIIYNVTRSVISYVLFLRTNYSSVAPILYEAASLGKIVTEIGNTNPLSSLAVEMISCVEEKVDKKSQSFLDQSIRFLFLANNSYLIRELLHHSISESVPESHMQALTNKVEGCIRDYIQVSWAPLLSCLNYTTPSRLGRDSPLTKFEREFQKTYNTQKLWPVRNPALRRKLREAIAEKVISGFTKYLVDNNITARKRKVTPKKMEEMLQELFEGLRFSGNL >PVH48528 pep chromosome:PHallii_v3.1:4:52178294:52180652:-1 gene:PAHAL_4G350200 transcript:PVH48528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASHVHQNPREEGGCETAADSCGTHRPPCPPMAGRRRGRGRPRQAWPPLPPRPEEPSPLEPTNNLSLLHQIIAILSSFHDLLAFIGTCRSWRAALSSLPPAFSFNFPPLHLRPDIGDPHPHRSSVKNNLLSYCKWQLVDPAKRKFHRLAPRYLRVRRHMRYLGCSYGYLIFSDLEQCLLVDVYSGATVRPPRLKSSGNHEIYHGILMAPINSPDSHLLLCSGSSMFQWQVGTSSWVEHSLDCGRILQIVLFKGEMFAMDFHDRLHRIRLAAHQITMQEVPVARGEDVVAGLNAWGQDMVAGLKIKPWLVVCGDALLLVELSVSRNAFFGYSATFKAFHLNFSAEPAKWVKVDNLGNNALFVSFDRRNPTFSCMNPERWGGKSNCIYGASGSADSDEAWSAVELGQLLPCTCTAMACSYRPKPIPEPNVHSSRLQSLWVLPSLVYGGGGQ >PAN22484 pep chromosome:PHallii_v3.1:4:1414158:1418232:-1 gene:PAHAL_4G022700 transcript:PAN22484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPLARARRGFSPQPPARPSSSPSSCRLQLEQDVQELQKALREETALHSVLEGALERAAVTLADMAYLPTNAQELISNICILETAVTKLEEEMVSLHFQLIQERNERRLVEYRLKQLPPSACSCHSGKLEPEDATGEKCNQGEKVYPRAVLHEQVMKLQRQISVKSLVNPNQLSEDIVRCMRNIFISLSDSCRDSSGNSSMENQQSVPSPSGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKARDKLSWADIGTYSAAAEVSWMSVGKKQLEYAAESLRKFRLFIEQLAEINPVHLNDDARLAFWINLYNALMMHAYLAYGVPRSDMKLFSLMQKAAYTIGGQSFSAAFIEYVILKTKPPSHRPQMALLLALQKMKVPEEQKKFCIEAPEPLLTFALSCGMYSSPAVKIYTADNVREELQDAQRDFIRASAGVSRKGKLLVPKMLHCFARGFVDDSSFPIWISHFLPQQQATFVDHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDTGSLN >PVH47783 pep chromosome:PHallii_v3.1:4:17491767:17492212:1 gene:PAHAL_4G152100 transcript:PVH47783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSPSGMPRMRPLLLLLMILFLAHIDALVHQLTLVAPLMWKQSPTTTTKSLTRMRMKTPLMMAPKMMMSRPLCSFHSLFGT >PAN22184 pep chromosome:PHallii_v3.1:4:152213:154417:-1 gene:PAHAL_4G003100 transcript:PAN22184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRPQPRGRWPSLRVAASTAAAHGLLGAAAPAPPAGRHRPTCVPREQRGQRRRWWKGDSTSAFHPREQRCAAESWLNASRTGHSRARNFGECSSTYP >PAN22397 pep chromosome:PHallii_v3.1:4:1120081:1120808:-1 gene:PAHAL_4G017100 transcript:PAN22397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVIPPNGSLLSHSVTGVSQGNYGMASLVPVTIPSDENDRLSDSPPIIGVWRTCLPEITQRL >PAN22513 pep chromosome:PHallii_v3.1:4:1515631:1523818:1 gene:PAHAL_4G024300 transcript:PAN22513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACANLCYFCPGLTTRSRMPVKRYKKILAEIFPRTQDEEPNERRIGKLCEYASKNPLRMPKITVYLEQRIYRDLRSEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRRDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCEIAQEVGEDERARALRAAALQSLSAMIWFMGELSHISSEFDNVVQVVLENYTPQKMQNDGQSTNDADNQLMQGDQNAGHPPSPFVIPMLPSWENIVDVKGGVKLQEEDARDPKFWSRICVHNMARLSREATTFRRILECLFRYFGNNNSWLSENGLALCVLLDMQLLVESTGQNMHLMLSLLIKHIEHKAVVKQPDMQLSLVEVATTLAEQSSAMASAATIGAISDLVRHLKRTFHITLGSKDLELVKWNEKFRKAIDECLVQLSKKVTDAGPVLDMMAVMLENIASTAVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEALFHQLLLTMIHPDHEARIAAHRIFAIVLVPSSVSPSIQASPSGQAKKHDMQRTLSRAVSVFSSSAAIFEKLKKDKHSDSSLVESKDNSLHNIGEGTGQHKTQNLPASQSRRRSMKMPNFSMKRGPSMAIRAPSVSIRAPSISLRGPSMSSRASSMSVKEDQSSSSEETESVLVKLSARQITLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGSKASTFEALTQSFQVAFALRGYSLTEADSLPPSQRRSLFTLSTTMIIFSSRAYNVLPLIPICKQMINDRAVDPFLRLVDESKLMAVKDSPDDPSKIYGSPEDNANALKSLSEIELSESQSRECIVSTIMNNIANMMDAELHNVRSQLLTDFSPDDMCPTSTQFFEAHIDNPSSESQETDHHHEEAMLIDLGNDHDVFGEASESTEACASSVPASDLLSIDQLLETVGADAAPQAGVAPLSADMAFKDMTSHCEALTIGKQQKMSAFMSFQQSVQASGLPSSQPHDMELALFQDQQLPQTTARSTNPFADENLQSYPQAMNAPNSENPHPQPGQDFQQEFLKLPAASPYDNFLRAAGC >PVH48121 pep chromosome:PHallii_v3.1:4:45342142:45344486:-1 gene:PAHAL_4G254800 transcript:PVH48121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPTAPTKPALPWISPLQYRSPARGAPLSPSPPPPPPAPSPPPPRYLHHPELARLIAASTSAQRALDLFNAASSQRGFSHTPATFSALLVRLARARLPRAAAAVLRRAASAPCRFLEPQLLPLARLLPPDHALALLRLMPALLGKTRVSHKALAVCLDRLVSSRGCPGVLDELLADLSDPRNKYLPRPNTCVYNILIKNYVKSGELETAFKVLDEMREYTCADVKPNLVTYSTLISGLCRGGKMKEAFEMFEDMIEKDRIVPDQLLYNVIIDGFCRLGQVEKAHAIFGFMRKNECEPNAFNYATLINGHCKKGDIEAARVVFEEMRSAGVEPDAVSYTALIGCLCRHGSVDEGINLVLEMKEKGCKADVVTYNLVIEGLCKDGRTVEAMDLLESVPLEGVQLNVASYRIVMNCLCSRGEMDKAVGLLGLMLGRGFVPHYAASNNMLIGLCDAGRVADATMALYGLADVGFMPEASCWERLVNAVCRERKQRRSTELLDVLIGVG >PAN22417 pep chromosome:PHallii_v3.1:4:1191982:1194192:1 gene:PAHAL_4G018600 transcript:PAN22417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSGGGGKMSAVDAILAEAADLIALEQIAKLNTAHLADDSALPSSLESRFRKLKSLPAAPAAPVKTLGRSATAPHPTLPDPPRPNPAPEQPPAPTPPGQEEHPPSPAVEGERPPGGAAEAAKKKGDTSPPQELRPTATVPAVRDDEDLERLFGSGRRGRPTLRERNRGRDDDGSPSPPPPRQACCFGFSPRKPLQRTPTKGKKVHGSGNGDVLGIDSGEWGDENRRMVTELKEQQRKLKKALEEQVKVSRETAKMASWVKQASARMTHTTAIDDLLSDCEDEDELK >PVH48161 pep chromosome:PHallii_v3.1:4:46131778:46132743:1 gene:PAHAL_4G264900 transcript:PVH48161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTDAYLTIICQLLAEQVRKGNRPNTHLNTLGYTEVSDRFFQMTGIELTKTQIKNNAKGIIVMDNEWWRKTKKCEEQLREMFGDISSDETDHWNPMSSNPVVPENNVEPFTVDGINDVANEEEHEDIIHDWAYQEEEEEDVQEVTPTSENAKKRPRVVLEIPKKVKSSTALIIQEKISTIAESAASFTSRKEAEVSIKEVMQHVLECGADYGSNEHDIATQLFVKKDQREIFLTLPTNKIRFDWLTRRYNDKYRSV >PAN24700 pep chromosome:PHallii_v3.1:4:44462349:44469769:1 gene:PAHAL_4G245900 transcript:PAN24700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MAWGQGARKNILGLLFRAQQQAARGYSSSTFETRLLGTPVPQNDMFHRRFSSQVSSLEHMNLIKQLRERTSAPIKDVKASLVSCNWDIEAAQKDLRKRGVVLAAKKSSRTAVEGLLAIAQDEKRAVVIELNCETDFVARNDVFQYLASSVAKMALSAQGPGQLFLPFDPEYLENMSINLDHPKLSGETTVQSAVTEVAAMVGENVKLRRGFILSTTAHGVVSSYLHTCPQPGLGRIAGLVTLEAEDSSASLDALKAVGSSIAMHIVATKPLFLSKELVSPAAIENEREILRTQAESSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYVLSDSTNIKTVLNDLSKEVGSKVTIGNFFRMQVGEGIERPEAADESEPVPHSA >PAN24132 pep chromosome:PHallii_v3.1:4:30517075:30549741:1 gene:PAHAL_4G191400 transcript:PAN24132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRAWRASNLLGFAASRAVTAASAARPLRLRCCSAAAPTTNQKQPPPPPLPQDRRRRSTSTSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQEEYTHLENGEVCEEAAVSIAGRIVARRAFGKLVFMTVRDDTGTIQLYCEKDNLTEEQFEQLKAFIDIGDILGASGSIKKTEKGELSLYMKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITYHNSLQRDLYLRIATELHLKRMLVGGLERVYEIGRIFRNEGISTRHNPEFTTIEIYEAYSDYESMMNMAEEIVVRCAMATHGKLKVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGQDVESAKSAARGLPGIKVGSSESTSLQSCSSVGHVLNKVFETFVESTLVQPTFVLDYPVEISPLAKPHRSYAGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHNAKRAARAKVKSTEDQGDEDDYSYEVCLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >PAN24131 pep chromosome:PHallii_v3.1:4:30516896:30548693:1 gene:PAHAL_4G191400 transcript:PAN24131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRAWRASNLLGFAASRAVTAASAARPLRLRCCSAAAPTTNQKQPPPPPLPQDRRRRSTSTSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQEEYTHLENGEVCEEAAVSIAGRIVARRAFGKLVFMTVRDDTGTIQLYCEKDNLTEEQFEQLKAFIDIGDILGASGSIKKTEKGELSLYMKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITYHNSLQRDLYLRIATELHLKRMLVGGLERVYEIGRIFRNEGISTRHNPEFTTIEIYEAYSDYESMMNMAEEIVVRCAMATHGKLKVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGQDVESAKSAARGLPGIKVGSSESTSLQSCSSVGHVLNKVFETFVESTLVQPTFVLDYPVEISPLAKPHRSYAGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHNAKRAARAKVKSTEDQGDEDDYSYEVCLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >PVH47711 pep chromosome:PHallii_v3.1:4:10464473:10465477:1 gene:PAHAL_4G126200 transcript:PVH47711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPNVVSLVWLAGCSLATAAAVGASGSLVRLAASPRPPRWVLLLTPAIVTQMLDCGSYDYEGLSNSSVLPPRCQRPPLRLASSPLFFACCSAAARRPESCPHSRAKSATIPSHSSPAEQARAGGASEHISMEPWRSPAEDHAGWTRLVPLWLRPGRGNQAHGAAQPALLGSTNPNEPRIHASFDGGSGPAPARCSSPNRQAPRMR >PVH47378 pep chromosome:PHallii_v3.1:4:3074489:3074824:1 gene:PAHAL_4G047500 transcript:PVH47378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYMHQLDEPAEVIGAPAGPPGGALNYLQRRFLRAGRMLVAGGFVVVTHATVGGQAAANAAHALVGFALLLLGVSLIMLSPVATKFRPGAAQVGAAIADSALLYLFPPAGN >PVH47862 pep chromosome:PHallii_v3.1:4:23153388:23153948:1 gene:PAHAL_4G170100 transcript:PVH47862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDSAGHFHTNALHWEGFPHLLWESLSLFLYTEPPQYDGVEYQEEGVRRCRVRMTIPQHPFRSQRQPIEVDMVGYRLADTIETAALKAIYLFCNQHPMDVAGQPIGLLPAIDPSDPEWNLRVALDSHRLGSSMEETLRGTIRFMNVQHHYQLLLCRGMGQLTSIVQGHFRNANRQVTQI >PVH47455 pep chromosome:PHallii_v3.1:4:4356211:4357720:1 gene:PAHAL_4G066800 transcript:PVH47455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAVLYHACMPPICHHRRLLPLAAAGDHHTDTRGPWGKNGGDEEGRRGWRRPGSRAAARLGSLLPRGHPSPRSNAPRRIAGPWAGDGAAAAGVGVGDRGGGVRRRVLVGGVAGQRGI >PAN23971 pep chromosome:PHallii_v3.1:4:18931214:18932471:-1 gene:PAHAL_4G157400 transcript:PAN23971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTRKSCCLADDRFFVEDGDRERSLSLISTLHRDANNDGAARFFLKIDSRVRLGVGLHLRRLPVPAAVPVRRPTFTSSSSKFLPFLAMLPPTYTPSPPLLRSFHSTERVALERSRGSKKQSSRSRVVLRCATMVKPEGKKTKGKQVIEIRRIENKERRQVTFSKRKAGVLKKASELSLLCGAHAAVVIFSKKQELPQGGGEAGRASGGGNVLAMGTPSVDHVLRRFAPLPGDAYLPALEDVGGAAERATVEATVRQTEETKARVAAEAARMSAIGAMVLTAVPAGRERFWWEADVEALGEAELPEFARALQRLRDYVRRHAGKLQPSAAPAGTDGAGQPQASLNV >PVH47668 pep chromosome:PHallii_v3.1:4:8284306:8284596:1 gene:PAHAL_4G112000 transcript:PVH47668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFGWPPLCLIPGSTTASICLNRFCINNGCLPSSFIQWWNLDSYTLDNLYSDGYSFDAPFMLLPMPY >PVH47539 pep chromosome:PHallii_v3.1:4:5753446:5754224:1 gene:PAHAL_4G083600 transcript:PVH47539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKRILLAALVAAALATAVLASEAAAGGAAAAGAPEASEASSEAAGAPAGAAAGGAAGAAGPTASSGAPALAAAPAAILVSLLAYYLH >PVH48221 pep chromosome:PHallii_v3.1:4:47567285:47569106:-1 gene:PAHAL_4G282100 transcript:PVH48221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAYSVALLGGARLPAAPRSASLLPRRSACQLRLQVADAPRLSLLRVKAASEDTSASGDELIEELKAKWDAVEDKPTVLLYGGGAIVALWLTSVVVGAINAVPLLPKIMELVGLGYTGWFVYRYLLFKESRKELASDIETLKKKITGTE >PAN25381 pep chromosome:PHallii_v3.1:4:48584156:48586457:1 gene:PAHAL_4G297100 transcript:PAN25381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGVGLVAAALAAVAATWLWAALVRLVWRPYAAARAFARQGIRGLPYRPFDGHGKEVKAMLAAASGETLDRGSHDFIPRVMPHYRAWMSLYGKVFMSWSGSTPSLCVGKFEMVKRILSDRTGLYAKVEPGPVILALLGKGLVLAGGEDWVRHRRVVHPAFAMDKLKMMTGAMAACAEEVIQAWEVRAAAAAGGEVTVEVGQQFAELTADVISHTAFGSSYRQGKEVFLAQRELQVIAFTSIYNPSRVPGMQYAPTKSNVRRWQLERKVRDTLMAIIDERLAASKEAAATGGYGSDLLGLMLEANAGEDGKRVMTMDEIIDECKTFFFAGHETTSHLLTWAMFLLGTHPEWQQRLREEVLRECGGAEAPISADALSKLKLVTMVLYETLRLYGAVTLTGRRATADADLCGVKVPKGTMVLIPFAILHRDEEVWGADAGEFNPLRFRDGVGRATAHPNALLSFSMGPRSCIGQDFAMLEAKATLALILRRFAFEVAPEYVHAPADFLTLQPLQGLPVVLKLLDP >PAN25978 pep chromosome:PHallii_v3.1:4:51377925:51381095:1 gene:PAHAL_4G338600 transcript:PAN25978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLVLAAALAVLAPALAAPGAPALGINYGQVADNLPPPQAAAVLLRALNATRVKLYDADSRVLSAFAGSGADFTVGLPDRLVPRLAADPSAASAWVRANILPHLPATSITAVTVGNEVLTGTDTAMLRSLLPAMEALHAALAACNLTSRVAVTTAHSLAVLSSSFPPSAAAFRRDVLPYMSPLLGFLAKTGAPFLINAYPYFAYKADPGRVDLSYVLFEPNAGVSDAATGLRYDNMLHAQVDAVRAAICRANYGKAVEIRVSETGWPSQGDEDEAGATPENAARYNGNLMRLVAQGKGTPAAPSEPMQVYVFALFNEDQKPGPASERHYGLFKPDGTPAYDVGVKAPTISGWKGNGSRSGGTGLVVAQGPGGADGVGPGTGYYTVSAAANKVKRRRWCVESLLVAAVVAMASRLSWS >PAN23559 pep chromosome:PHallii_v3.1:4:7260635:7262035:1 gene:PAHAL_4G101400 transcript:PAN23559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKNKGLVLLDFWVSPFGQRCRIALAEKGIPYEYSEQELLGTKSDLLLRSNPVHKKIPVLLHDGRPVCESLVILNYLDEVYSGKPLLPSDPYARAGARFWAAYSDKVYELGTRLWKLGGDARAKARAELLQVLANLDGELGDRAFFGGAEFGFVDVAVVPFVPWLPSFERYGEFSVEEAAPRLAAWARRCAGRESVAGSLHPPEKVDEFITMLKKHYGIE >PAN24837 pep chromosome:PHallii_v3.1:4:45414391:45416204:1 gene:PAHAL_4G256000 transcript:PAN24837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLEAVVFPPDHPPRVPCCRSCGTSCVLSGYGVAAAGEFEGIIERGVVVQEDGVPAVVTPPHGSACCAWAGGAATSSWAGPVPSTTLATSSPRRPTVYQPAVTRRPRRRRGAIAKAARRSVVEAESHRQNHIAVERNRRRQMNEYLAALRALMPPSYARRGDQASIVGGAIDFVKELEHHLQSLQAQKRHHAAASHGSEGFPGFFTFPQYSTAAAAANDVDDDSSGGEGRPTTRPGAVADVEAAVSEGHATVKVLAPRRRRMLLRLLLGMQRRGLAALHLNASTTADQMVLYSCTLKMGDGWQLSSAADVAAAVHDIVAGIDTTEERPIYPTN >PAN22578 pep chromosome:PHallii_v3.1:4:1791749:1793254:-1 gene:PAHAL_4G028600 transcript:PAN22578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAVSWGSLGSLVATAMVVRAAVRDVLPPEAHGALRALLARAAAALAQPTDAILVHEVDANGVPNELYDAAQLYLGARCLASAPALHLHKAHGAPEAVASLPDDHAARDTFRGVRLQWTSRSAEAPGGGGAACYAPFRCPRGGFGAPGGAGWQQRCLRLEFPRRHRDVVRGAYIPHVLAVAAALRLKMRERKLYTNNPMYGGGGGMYDHQMLWSSHPFAHPSTFDTLAVDPALRDGVRADLLRFVRRREHYARAGRAWKRGYLLHGPPGTGKTSLIAAIANLLEFDIYDLELTAVGSNSDLRLLLASTRPKSLIVVEDIDCSLGLFDRTRTSSPGQDDEFDDPAGTPRPLRMSPYPPRGRERISLSGVLNFVDGLWSSCVGERLIVFTTNHVDRLDPALLRPGRMDRKIELGYCKAHALRVLARNYLGDDDRGPADDCRYEKLIGEAERLLEEVHLTPADVAEVFMGCDGDGAPAALQKLVDDLNSKRIAQKCAVSNNDG >PVH48141 pep chromosome:PHallii_v3.1:4:45700250:45700891:1 gene:PAHAL_4G260300 transcript:PVH48141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSTRFKPGLALAPLPWRESESVPARGESIVNNASKVLQLAGLHRLPACCALL >PVH48109 pep chromosome:PHallii_v3.1:4:45082157:45082669:-1 gene:PAHAL_4G252300 transcript:PVH48109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSMPATPHLPPMLHMASTSHMPSMPHMQPMASMPFMASMPPMPWGFPPQLLQSQMPLGFTPLSTYVPRSPGAASGSQENPSSEASYMEQLFGTTVAPESG >PAN22400 pep chromosome:PHallii_v3.1:4:1061468:1063231:-1 gene:PAHAL_4G016400 transcript:PAN22400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRHLCCLPGPAPPPGAGVQAGPVAGIELVHAGVQLGVVEAERRAWYNLLMGKVDDIAAAGVTRVWLPPPSHSVSTQGYMPGRLYDLDASKYGTAAELKSLIATFHGKGVQAVADIVINHRCADYKDSRGMVFEGGTPDGCLERGPHMICRDDTQYSEGTGNLDTGADFAAAPDIDHLNDRVQRELTEWLLWLNPTSIGFDAWRLDFARGYSAEVARVYIDGTAPSFAVAEIWNGMVPGEDGKPAYDQDPHRQALVDSVDKVGGAASPATVFDFTTKGILNAAVEGELWRLVDAQGKAPCVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGNPCIDEIAALVAVRKRNGVKPTSELTILEHDGDAYVAEINGKVIVKIGSRFDVGHLIPAAGFEVAAHGSDYVVCERAGSEQVTRA >PAN24143 pep chromosome:PHallii_v3.1:4:14727305:14728758:-1 gene:PAHAL_4G142900 transcript:PAN24143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSLEVENLQLRHPPKQPAQTTIQVARSSPPPAPEYALARWTSWRTLFPRSNAASTKRTARRKTSIDFSLKRKPGTTTHAGDLTGGTAIAIYTSRHSTRSPSSPVSQRPPEGRGSRRDWRRRRYALLSSPFNSRRRVERKRGDAALFAGAARWDL >PAN25319 pep chromosome:PHallii_v3.1:4:48262818:48267225:1 gene:PAHAL_4G292400 transcript:PAN25319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNKVVSNSGDACSVLPSKATSLNPNAAEFVPSFIKPSLGSSTVPDVAKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSSFEKIEQGPEDLSLAGLSLNAPPFYGTTSSRFSREHQELSSPATKGLELEHTNLLYEDNYLGSSNWEQNYIGDLHIANGNQDLHYDSESAAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIEILAQLEMQVDPTPNHAMNLTPRAPNFSTGDFPALPTAEDQNGFSKGNVDVLGIFNGRGSSTISGGAGDFVSAVRKLASQNSGHWKFKKGPEYGNGVSSHSVPKQYSTGTKQSSGNKFQSVSSARVAPWLETGDAVANMYSESRGEARDFARVRNACFEQARQAYLVGNKALAKELSMKGQAYNAQMKAAHEKAREAIYRQRNPVSQRGGDGLIDLHGLHVSEAIHILKVELSAMKMSARAAGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGLHYTQPQPGLLRVMVY >PVH47941 pep chromosome:PHallii_v3.1:4:31725714:31727361:-1 gene:PAHAL_4G193900 transcript:PVH47941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVSNKRPVILPPPEPYDLLLPGRPIVHCFLRSVHLHPEAPSSSKIPSSYTQQQNRSLSVLPSSYTKQQKAAKLIPLHPVFSSLPLPWLCRASAGLSHYILSRGGTARCTGLRLRIEPACGVASHAGTRFRIRRRADLRAVRGRATTSAGVRICELCGAKTRRLLACTICELCEPGGAEHLCVVPSWTRARGS >PVH48260 pep chromosome:PHallii_v3.1:4:48209576:48210779:-1 gene:PAHAL_4G291300 transcript:PVH48260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAMGHWPREQHPTPFPKPTLFKAVRCQLAHCLAYNTTASDIEEARFIQKLSPLLQTSVFFTSSSLESKTEKPLRHSFLQWLPPPRSPSSSASRPSSSASDPSKPPPRSGPRRAPSPAPRRAPCSRPCWPPSRSLCCSSLRTCARSAERARPQLVPVHPGWTVSRTRRSRQRRRLCSPEPWWVSSPTDTSWWVSSGAWLNEQQETNSPPAGPSSCDL >PVH47725 pep chromosome:PHallii_v3.1:4:11121529:11122310:-1 gene:PAHAL_4G130100 transcript:PVH47725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFESCNGASKNKKFLSESIFSVLLTRAALFYFFKFLFVVSKVTISNSLFFLLFYYIDALSHCFFFMM >PVH47792 pep chromosome:PHallii_v3.1:4:17896773:17897072:1 gene:PAHAL_4G153700 transcript:PVH47792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNQGWSEECLQPRTKGMHIIKEMDMLAAKMDLLLKRLDERVKFKEHMNNYTQAINAPFACEVCRSGGHSGNNCPKTCEEVAFTNTNNGYHPQGVKG >PAN25303 pep chromosome:PHallii_v3.1:4:48204472:48206219:-1 gene:PAHAL_4G291200 transcript:PAN25303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRIALVIVAAFSAAFGGLDSLEEFLASRGQTPPSHGAAAAAGAFLLATVATFALASALLLAHVRALGAHAHATGASGAENGFATGRLAAATLAAAAAVLGVGAALRLVA >PAN22802 pep chromosome:PHallii_v3.1:4:2952630:2953195:1 gene:PAHAL_4G045900 transcript:PAN22802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRQLPPASKSRRQRSPLAPAPASWASTVCNLWIWEDVLNQCVCDVAGLLTAQSAEMPSMSNMQAKHMGGRSEPVCTRHAESLKEEVQHISDMAVSSG >PAN23186 pep chromosome:PHallii_v3.1:4:4920911:4922302:1 gene:PAHAL_4G073600 transcript:PAN23186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAECDRIRGPWSPEEDDALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAIVRAHARLGNRWAAIARLLPGRTDNAVKNHWNCSLKRKLAAAASGAGAVPDAAEVEARPCKRLSLSPDSPSSGSDRSDLSHGAGSGSGSGSGQVYRPVPRSGGFEPADCAMSRPAEDDDPLTSLSLSLPGTDQRFHHDRAHSQFQELPASPPPPSPSPPPPPPAPSAYPFSPDFMAAMQELIRAEVQRYMAGAGVRAGCGPAGGAELCMPQLVEGVMRAAAERVGAVGRIQ >PVH47549 pep chromosome:PHallii_v3.1:4:5908284:5911172:-1 gene:PAHAL_4G085100 transcript:PVH47549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTRRAALLPPPPLAPPAGRPHSSQPLDGVPMAAASCRPSHGSFCLLLLLVAVGAAAALGAAGSSSHVQLSVESLLPAAGSSCPTPKEQQHGAATGTRMPVVHQHGPCSPLSGKREKAPSHTEILAADQRRVEYIHRRVSETTGRVRPKRAAAPVHLQPRAPSTPTPASPSSYAKSANLPASSGRALGTGNYVVTIGLGTPSERFTVVFDTGSDTTWVQCQPCVAYCYRQKEPLFRPAKSSTYANISCTSSYCDDLYTSGCDGGHCLYAVQYGDGSLTVGFYAQDTLKLAYDVVKEFRFGCGEKNRGLFGRSAGLMGLGRGKTSLTVQAYDKYGGVFAYCLPATTSGTTGFLDFGPGAPAANARLTPMLTDNGPTFYYVGVTGIKVGGHLLPIPESVFSAAGALVDSGTVITRLPPSAYEPLSSAFARGMDALGYEKAPAFSILDTCYDLTGHQGSIALPAVSLVFKGGACLDVDASGILYVADVSQACLAFAANDDDADVAIIGNTQQKTYGVLYDLGRKIVGFAPGAC >PVH47743 pep chromosome:PHallii_v3.1:4:12991496:12992449:-1 gene:PAHAL_4G138200 transcript:PVH47743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKDLTLAHVKSHLQMYRTVKGTDRSCVAGLGQQARGMAFLRRGAGEVDGFDVFNSSSSVNTTTTCVHFHSFVEISGYSGLFDDHVHVFHNSAYGYVK >PAN25270 pep chromosome:PHallii_v3.1:4:48026842:48028633:-1 gene:PAHAL_4G288200 transcript:PAN25270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSGHGGGGGRRLFTASQWQELEHQALIYKYMASGAPVPHDLVLPLRLATGVDTAPSLAFPPQTSPSLGYWGCYGAGAPFGRKAEDPEPGRCRRTDGKKWRCSREAHGDSKYCEKHIHRGKSRSRKPVEAVASSATSPAAAGYRPSALSISPPRAPDAAPGFGHHHHQQPHHGASSARAPVHQAAAGAPLQLHLDASLHAASPPPSYHRYAHAYYAPPPSLFPGGYGHSQSKELQDAELRRRHYLALGADLSLDKPPAAGGGGGGQDSAAATEKPLRRFFDEWPREGGDARPWTVGAEDATQLSISIPAASPADLAAARYHNGE >PAN23722 pep chromosome:PHallii_v3.1:4:8388233:8392893:-1 gene:PAHAL_4G112400 transcript:PAN23722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSSTACCRFPVLLVLLLFLLLAGEGRSQPAAGDRDTLLAVKKDWGDPPQLKSWDPAAAPDHCNWTGVTCATGGGGAVTGITLSRLHLTGSVPESVCALKSLARLDLSYNNLTGAFPGAALYACAALRFLDLSNNQLSGPLPRGIDGLSPAMEHLNLSTNAFAGEVPPAVARLAALKSLLLDTNRFEGAYPAAGISRLGGLEVLTLADNAFAPAPVPAEFASLTNLSYLWMDRMNLTGEIPKAFSSLTELTVFSLASNQLTGSIPAWVLQHGKLQNIYLFDNSLSGELPRNVTAANLVELDLSSNQLTGEIPEDFGKLKNLGLLFLYKNQLTGTIPASIGLLTQLKDVRLFNNRLSGELPPELGQYSPLGNLEVSNNNLSGPLREALCANGKLFDIVAFNNSFSGEFPAKLGDCVTINNLMLYNNRFSGDFPARIWSFPKLNMVMIQNNSFTGTLPSEISSNISRIEMGYNMFSGSFPVLATGLKVFHAENNRLAGELPSDMSKLSNLTDLIAPGNRITGSIPTSIKLLQKLNTLNLSANRISGAIPPGSIGTLPALTTLDLSDNLLTGGIPSDISNLINALNLSSNQLTGEVPVLLQIAAYDRSFLGNPGLCAKAGSGTNLPTCRGGGRGAHDELSKGLIILFAMLAGIVLVGSIGIAWLLFRRRKESHEVTDWKMTAFTQLNFTESDVLSNIREENVIGSGGSGKVYRIHLGRDEEGAGRMVAVKRIWNSRKVDEKLDKEFESEVKVLGSIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHRDREGAPAPLDWPTRLAIAIDAAKGLSYMHHDCSQPIVHRDVKSSNILLDPDFQAKIADFGLARILVKSGEPESVSAIGGTFGYMAPEYGYRPKVNEKVDVYSFGVVLLELTTGKVANDSGADMCLAEWAWRRYQKGAPFDDVVDEAIPEPAYMQDILSVFTMGVICTGENPLTRPSMKEVLHQLIRCEQIAAEAEACQADYEGGGAPLLESKKKGSRRRSMSDSGRWNDGDDEDSGNFVVHVV >PVH48378 pep chromosome:PHallii_v3.1:4:50068644:50070419:1 gene:PAHAL_4G317700 transcript:PVH48378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPDRAHVRLRSPAHGTYLYADEDGVGVSLRSRRASLSTVWAVHRVERSGNSFVLLHSAAYGRYLASSPEYINYAPDGVDGVVQCCYFNDLDQQDILWEAVGYRGDALFLHNPENRRWSRLWAVEAVPARVGPPLLPPPTPNPMLLRRMILYMKADEYGNIDYESTKLLVFEGHSVSRLRDELAFLLEEWHAVRITMCVWAGSHGRLTPLVVDLPLNNQTVEIVVYESWSRAAQGLQYPNVDAP >PAN22985 pep chromosome:PHallii_v3.1:4:3789104:3791158:-1 gene:PAHAL_4G057900 transcript:PAN22985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) UniProtKB/Swiss-Prot;Acc:F4K5K4] MPRLPWRLAGPLLAAFVSVPFILPLALPLLLRSASASPRALSLHRLSWLPHPLTQTAPPPRAPSPPRPTEPPQTPTRTPPLPPPSPSPPPPETTAEDDDMAGETESGWCDVYDGGWVREEEARPLYAPGTCPFVDEAYSCAANGRPDDGYTRWRWAPRHCSLPRFNATDFLTRLRGKRLMLVGDSMNRNQFESLLCILREALPDKSRMFETHGYRISKGRGYFVFKFADYDCTVEFVRSHFLVREGVRFNRQKNSNPILQIDRIDKTASRWKKADVLVFNTGHWWTHGKTARGKNYYKEGDTLYPQFDSTEAYRRALKTWARWIDKNMDPTASVVFYRGYSTAHFRGGDWDSGGSCNGETEPAFKGAIIDSYPLKMRIVEKAIGGMRFPVRLLNVTRLTNFRRDGHPSVYGKAGDRKKVSRRKQDCSHWCLPGVPDAWNELIYASLVLEPNPITWKYR >PVH48235 pep chromosome:PHallii_v3.1:4:47884021:47884582:-1 gene:PAHAL_4G286700 transcript:PVH48235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPHLAGNNNNDDDGGKKPPRRLRCEVLCRILRAWFCCDWSEGEPKRNRAAVSMV >PAN22765 pep chromosome:PHallii_v3.1:4:2713117:2713610:1 gene:PAHAL_4G043100 transcript:PAN22765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNRVAAAAVWCMVVMLSVSGQQRVAGFRGFCGCFGSCYPGCREGNPAWLCTIKCVESCTVPIAAFGAGDCSKICLASICGAAETGTTDGGAAAACVDDCTVNRNSSTTIHGTRLQYAKPHLSHSSWPK >PVH48178 pep chromosome:PHallii_v3.1:4:46418376:46419399:1 gene:PAHAL_4G268800 transcript:PVH48178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDADPLVVGRVVGDVLDPFVRTTNLRVRYDARTVSNGCELKPSMVVHQPRVEVGGPDMRTFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPGTTGTWFGREVMC >PVH47267 pep chromosome:PHallii_v3.1:4:1370938:1374748:1 gene:PAHAL_4G021700 transcript:PVH47267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEELLHKVQALIERCLQVYMNQKEVIDALSQQAKIDPGITELVWRQLEQQNPLFFKAYYMRLMLKNQIMVFNKLLEGQLQIMSKEFPSGIPSMSLPNGSSSDPLKQNSCFLPETAPGSAMPDGIMHNGSSSGIINGTPSGDQLLNASKDMHGLHSGIDASTSLQSDQNATAVLFGADNGTSATIKTESGYSSNADFAFCGNTFLESCQSIGDASGGGSFSSSELNGQPLNDSILDMESSSFSFLNQIPQSFIFSDLAEDFSQSAEMTTFLTSETNNFSDSTGGDHTG >PVH47520 pep chromosome:PHallii_v3.1:4:5402728:5403175:-1 gene:PAHAL_4G078900 transcript:PVH47520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPGRAVFFLVLALVLLGDLRCCSCSQVRTTALLDCLNDSQLFCACLHELPLLLRCSNGVTLSKWMSTSGVCGLHGQEVAGRQ >PVH47313 pep chromosome:PHallii_v3.1:4:2128279:2129205:1 gene:PAHAL_4G034000 transcript:PVH47313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQPLMASSPGRGSAACSDSSSRSVSPRASTPPNAMASKSWTYELLEAMDAGAGSSDRPSSALSLQRCAAPRSIGAPAARWARSSSQLHCI >PAN24939 pep chromosome:PHallii_v3.1:4:46001454:46006020:-1 gene:PAHAL_4G263900 transcript:PAN24939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAGSAPASTPGLIKTSKFKKRKVKANREKAAAAAATVDQVASVGAGTAGGDASASAVLPQPSHVAEASPVAQMPESATIAEASTVTQTPKPATDAEGSGPAPKPATAEASASAARPKPKPADADAATAPAASQGKGVGADNGGGDGRMKSRKERARNGKGKEVEEDAGRNRKGKKAVGKKEERGDNKGAGFIFMCNAKTKQECYQNRLFGLPSGKIGMVKKIRPGAKLFLYDFDLKLLYGVYKAASNGGLNLVQEAFNGKFPAQVKFKIEKDCLPLPESSIKQAIKENYSARSKFDPELTSRQVHRLLVLFKPVNVPQSAPKNHREERRHYEERRQPYHYEERRPSLPIEAVRQPRFDEERRPAVIHVPLEDPYRAPRFAPLPVEPQLGHSLASGQGDHHRYYQSELAPEPRHIPLALEPRHVPLSLEHHHVPSMPELRHVPAAYYHNLAPSSDSYYRSLHNLVPERYADRTVADITTRDPIIPRDHTRLPGEISARADRLEDLYRTGGIAARGAHVEELYPPGEIAARADRVGISTRADRLEDLYRSDRLVTRAVDPLPRSTYHTAAYGTHPAYAETSTRPVSARVNGPGVPVSSLYSFSGAPEYR >PVH48124 pep chromosome:PHallii_v3.1:4:45389355:45391966:1 gene:PAHAL_4G255500 transcript:PVH48124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAATAGDRRRRSRAPAGGTAAGNDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEAGAAEVVESKGKLWLTTGVTRGGKLCYNVEEIGFLVERGALIFLNDKDETIGTEAIYEKIVGGKYGCFWDAFQAYKHLKSLGYIVGRYGVPWTMKNSGTCDTTVPPSVVHTDQSFNRVDGTCSNITKLLKEMHIDEISPSFEVYLPNSKFKKSSPGAPSFLLCLLRNKPPSRIELEMVENNFGGIPLKYCHVDNGRVSFLSFDKVALPRLP >PAN22247 pep chromosome:PHallii_v3.1:4:335162:337865:-1 gene:PAHAL_4G006000 transcript:PAN22247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLCGLLVLSLSFQPSPYKYKATPKENHQLSTKIVAKRASYFQPTKELKPPEAMCIAAWIWQAHPVHQVLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRYLQSNKSPLDVATEVAEEADEYNGFNLILADLTTNIMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFRELLMKHGDDEVEVKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAALSVSYDGEASLYEKYLESGIWKDHTVNYQIE >PVH47222 pep chromosome:PHallii_v3.1:4:421341:423388:-1 gene:PAHAL_4G007200 transcript:PVH47222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRYGIHAVTLWFTMSSGPDSVQQAAGGEDSVQQPTDDRASSGWPARSHSGASTSGAGRKKRSQTRWPLDVKSCGRLNSEAAPEDPSILRIFENNIQPYVEYPIELHDKATKHAMKIISKAWRSYKNKLLKCWKKKENPFDKYADLTKKAWDELVEKWNAPEFQQSSEYFRGLRARNELDHHLGSAGYAGKQRKWEQEDEMLAERGIENPYESFEGRLAPFMRARSKLTEDGNINFYSTSAEEVAQKALIESSQGSNEGVREFDALTRALGTREQRGRVRGVSSQLTWKEGFPEHKGRYRKRTRDSSAKVDIDEIKKQVKMEMYGELKTDIESQVTVKMFGKLKTIFESQGLSFPDLLGSTMSEERRDSFACTAAVEPDTIDGLARPTRCSLLVQLVGDSSFMEVGNGLVYPGMSQLEGVQVRADCVVVKIDYVHEFAKNIKLEVPPDDMTTTLRDAVARRVQWRRAGIHIDPADADSMPPTLSEPCPQLPDTREALSDPHPPVPTQPQVTPPPPVPTEPAYRSQEAKQG >PAN25996 pep chromosome:PHallii_v3.1:4:51633683:51640623:-1 gene:PAHAL_4G342000 transcript:PAN25996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPWWSAAAAAVLVLAAVAAEVEAGGGGEGDGRALMAVKAGFGNAANTLVDWDGGRDHCAWRGVACDSASFAVVGLNLSNLNLGGEISPAIGELKSLQFVDLKLNKLTGQIPDEIGDCVSLKYLDLSGNLLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKTLDLAQNKLTGDIPRLIYWNEVMQYLGLRGNSLTGTLTPDMCQLTGLWYFDVRGNNLTGTIPEGIGNCTSFEILDISYNQISGEIPYNIGYLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGHIPPELGNMSKLSYLQLNDNELEGTIPAELGKLTELFELNLANNNLEGHIPANISSCSALNKFNVYGNKLNGSIPGGFQKLESLTYLNLSSNNFKGQIPSELGHIVNLDTLDLSYNEFSGPVPPTIGDLEHLLELNLSKNHLMGSIPAEFGNLRSVQVIDISSNNLSGYLPEELGQLQNLDSLILSNNNLVGEIPAQLANCFSLVTLNLSYNNFSGHVPSAKNFSKFPMDSSFVGNPMLHVYCQDSICGRSHGTKVNISRTAVACIILGFIILLCIMLLAIYKTNKPLPPEKGSDKPVQGPPKLVVLQMDMASHTYEEIMRLTENFSEKYIIGYGASSTVYKCDLKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGVVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPADRPTMHEVARVLLSLLPAPAVKPPTTKGAAGDYTRFLATTTADMKHDVSDDIGDNSSSDEQWFVRFGEVISKHTMS >PAN25997 pep chromosome:PHallii_v3.1:4:51633465:51640623:-1 gene:PAHAL_4G342000 transcript:PAN25997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPWWSAAAAAVLVLAAVAAEVEAGGGGEGDGRALMAVKAGFGNAANTLVDWDGGRDHCAWRGVACDSASFAVVGLNLSNLNLGGEISPAIGELKSLQFVDLKLNKLTGQIPDEIGDCVSLKYLDLSGNLLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKTLDLAQNKLTGDIPRLIYWNEVMQYLGLRGNSLTGTLTPDMCQLTGLWYFDVRGNNLTGTIPEGIGNCTSFEILDISYNQISGEIPYNIGYLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGHIPPELGNMSKLSYLQLNDNELEGTIPAELGKLTELFELNLANNNLEGHIPANISSCSALNKFNVYGNKLNGSIPGGFQKLESLTYLNLSSNNFKGQIPSELGHIVNLDTLDLSYNEFSGPVPPTIGDLEHLLELNLSKNHLMGSIPAEFGNLRSVQVIDISSNNLSGYLPEELGQLQNLDSLILSNNNLVGEIPAQLANCFSLVTLNLSYNNFSGHVPSAKNFSKFPMDSFVGNPMLHVYCQDSICGRSHGTKVNISRTAVACIILGFIILLCIMLLAIYKTNKPLPPEKGSDKPVQGPPKLVVLQMDMASHTYEEIMRLTENFSEKYIIGYGASSTVYKCDLKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGVVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPADRPTMHEVARVLLSLLPAPAVKPPTTKGAAGDYTRFLATTTADMKHDVSDDIGDNSSSDEQWFVRFGEVISKHTMS >PVH48002 pep chromosome:PHallii_v3.1:4:38578318:38579518:1 gene:PAHAL_4G214700 transcript:PVH48002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAIASWSIKPSPRNAPAVVGTHLQNPNLTTQAAAARGRMSRKKLRVAR >PAN25140 pep chromosome:PHallii_v3.1:4:47308556:47312618:-1 gene:PAHAL_4G278600 transcript:PAN25140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVSTPAKAIAVAVVVLVLLAASPAALALHDYGDALHKSILFFEGQRSGRLPPDQRLRWRQDSGIHDGAEAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAREEAAAREAVRWATDYLMKATATPGTVYVQVGDASRDHACWERPEDMDTPRTVYKVDAAHPGSDVAAETAAALAAGSIVFRDTDPAYSERLLDRAVAVFAFADAHRGAYSGSLRAAVCPCYCDYSGYQDELLWGAAWLHRASRRREYREYIKRNEVALGASDAINEFGWDNKHAGINVLISKEVLMGKDEYFRSFRENADDFICGLLPGISSGSGHPQIDYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHAGARVSCGGGGASAASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGPRYPLRIHHRASSLPSVAAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPSNSTDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPDLEAAQLGRD >PAN22684 pep chromosome:PHallii_v3.1:4:2321425:2325609:1 gene:PAHAL_4G036800 transcript:PAN22684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDTLLNSKFYNKCKHAFKCIRTRMAPIRRKKHAMIRFLKKDVADLLANGLDTHAFGRIDGLIVELNHVSCYDMIVGFCDYIGKQLGSLQKQRECPPETREAVSTLIFAAARFPDLPELCDLRLIFTERYGNFVEPFVSLEFVRKLDSTEFTNEEKLQVMQSIAEEFSVSFDAKELKLKLWTMPETEHDILEKGSRKPAELAMPLSNKQKCNDDGPYESKNKDMLEKGLGKPAELAMPLFDKQECDQDGPHERQIKDMLEKGSWKQAEQAMPLSNKQKCSKDSPYGKQDKDMLENGSIKPAELSVPLSNKQKGNEDASCERKYGAKPACRTEKVKIKLNRKDIQATTDGIGLIDENSRKQQSDKSDKEHLQKSVSPVDINRRDTQKDVKKLNRRDGRPSEKELMEAVELDLNGLPKKGFGAVKFPETESNKTVHVNARPKEVVKEHCVEKENEEVIRRHHPSRPGVAPRLENQGRPVSPLNGITRNKGPPYAKMNGPNMKNSTEKQANNGFLYDKPQYFADLGNLVQKGQGVTERATTMLPPYVKPKSNKQLVNGDLEKRTPSGYRKHISGETDHLDEKDVNRPVSVRRRSAKPPAPDVPNNQKKATGQTPSSHRSHSSRQNDFKYDLDPKGNGTADVVGGERTTSSSPKHTGVRNGALNHNNDYDRFMQRQQPEADDTAIDFGNLLPRNANGHRRHKNRCNGNLDEEERMMDKLLMHYSKKGLDQTNKADNDPEAQIDSQKKLSLHPPGRAISLPPESIGPGEEVKVPARSTSLQPDAPRSVRVHPKMPDFDELAARVNALRKV >PVH47777 pep chromosome:PHallii_v3.1:4:17193049:17194060:1 gene:PAHAL_4G150300 transcript:PVH47777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEGLMRNLRLSAVEKKGLKIGSKGKATEGSGGHVQVLGKVMSEKLIHAETVEQALGKELLVVADVDRKKTLDEIEFVYVPIWIRIMNLPIGLRNKEAGMTIEKEIGELMLVDMEDGDVPIKRFLRVHVWLDIRKPLMRGVTVDDDYGNPDRWCPLVYEYLPNFCYICGIIGHTERTCSIHLQEGEAWQFDKSLHFIPPRGRTDGEALRRVEKGRSAGWRPSSNAGHGRSEGSGGRWRSNGSHSDAPSWKKSNEEGGRVVGRKDGEKDEVTSPLKAKDENVSLIEEQGKGAIFF >PVH47699 pep chromosome:PHallii_v3.1:4:9806989:9807335:1 gene:PAHAL_4G122700 transcript:PVH47699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGFLLVLNQYAGPRAGAAAREKPWASDPVATHRYFHAGSRNQLHLPHAPSAFALLRHPLAGQSQIHLLLLLLRSSVLHPKPQSHSPRIWPAIGSCTKGGPSLALW >PAN25210 pep chromosome:PHallii_v3.1:4:47688052:47697070:-1 gene:PAHAL_4G284300 transcript:PAN25210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLIRRRLLLAVVVLSGLPRPAHPFTELESDQVARFQEYLRIRTAHPSPDYAGAAAFLLPYAASLGLRTATLHFTPCRTKPLLLLTWPGSDPSLPSVLLNSHIDSVPAEAERWSHPPFAAHRDPATGRVYARGAQDDKCLPVQYLEAIRGLRAAGFAPARTVHISLVPDEEIGGVDGFEKFAQSEEFRALNVGFMLDEGQASPTDVFRVFYADRLVWRLIVKAAGAPGHGSRMFDGAAMDNLMDCLEAVAGFREAQFGMVKAGERGPGEVVSVNPVYMKAGIPSPTGFVMNMQPSEAEVGFDLRLPPTADIEQIKRRLKEEWAPAHKNLTYELIRKGPVTDVAGRPIFTATDESNPWWSVFEQAITSAGGKLSKPEILSSTTDSRFVRQLGIPALGFSPMSNTPILLHDNNEFLEDKVFLRGIKVYEHVIRALSSFKA >PVH47249 pep chromosome:PHallii_v3.1:4:1002919:1003434:1 gene:PAHAL_4G015200 transcript:PVH47249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGCGMVKRLHEMQIGVMMGGHDCMTDQWPVAHIPMASSCGGSSLPLFPWQ >PAN24714 pep chromosome:PHallii_v3.1:4:40150085:40151511:-1 gene:PAHAL_4G220800 transcript:PAN24714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAWPTGAYAPSTSPSVFDLLPQLSAEEKARFPLPGLDALPLKEDSVYFKGRPWVHINFWARSCSSKNIKRFFAEVHYEPPTDGRPFSLLPVVEACIILDESSSQYRSSCAFCRSDLDILHPVGDHDFVCGTGKDKDWMIEELFGMRLIRRGDLASSNSEVEEERTEA >PAN23251 pep chromosome:PHallii_v3.1:4:5407531:5407899:1 gene:PAHAL_4G079000 transcript:PAN23251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRQASCHGRKPAGGMRRGRWGRRVRGGGGGVRLGLLLRLRVRLSGVVGLLLRSVEELRCRPGGRACRWSSAPKAPVPAQCRHGRRPPEWDESSFYAEAIADCLEFIKSRSSYCPVNGGRV >PAN23550 pep chromosome:PHallii_v3.1:4:7116360:7123676:1 gene:PAHAL_4G100600 transcript:PAN23550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAARGAERWEGYVDWRNRPATRGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMGFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYTIYIVSAFIEFMGLVILTVQARTPHLMPPPCSKSAGGAPPCAPVSGAKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHAPRGRKARSTFFNYFVFCLSCGALVAVTFAVWVEDNRGWQWGFGISTIAILLSVPVFAAGSGLYRNKVPTGSPLTTIAKVLLAVALARRGGAQSASNGAVIDRAPSPTGSTDMKDYCKPGEMMEIADAAAAAADQAEPSRELAFLNRAVRCQEEQPQQNGRLACTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGRLTVPPASLPVFPVTFIILLAPVYDHVIVPFARRVTGTEMGISHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAADSGMLDSPKPLPISFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPSRMRSLATSLSWASLALGYYLSSVLVSIVNSATGRGGHRPWLQGASLNHYHLERFYWVMCVLSTLNYLFFLFWAIRYKYRNAGVIKG >PVH48098 pep chromosome:PHallii_v3.1:4:44614415:44614831:-1 gene:PAHAL_4G247800 transcript:PVH48098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHLHSPLPSLPRLGPQPLRRPNGGRAPSSPQATTSSDSDPTPSWLPPLRASPRRLPPPVARPRPRPRPPPPWLRPSRAKLLPSPPGGSLPRPPARAAPARGSERRSPAAARARGFCPQRRAELSRGRLRAAHARPA >PAN25463 pep chromosome:PHallii_v3.1:4:49030727:49031776:-1 gene:PAHAL_4G303100 transcript:PAN25463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPQDAAAADAGHRQAASSFLSPSLVAKLHRFNLASVQAAQARGGKADAASATSARPAPGAVLPRIAAVPAGNAGMGIAPSPSAAAAGDWSGGFLEEQYVDQMIEELLDSNFSMEISY >PVH48440 pep chromosome:PHallii_v3.1:4:50751317:50751760:-1 gene:PAHAL_4G329200 transcript:PVH48440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVEAVYGLESQTIERCPCRYAVATLVPGPLLPRRGRPAAAFPAKPGARARGSRRDARPPPLRPRARYKTTPRRHPDRQTAPALPFPHLVDARSPGRAQHPWEELARSSRDLSPAPAVTSRSSRRTSPPIPRGSPLAAEPRPVNNY >PAN26266 pep chromosome:PHallii_v3.1:4:52823577:52825156:-1 gene:PAHAL_4G359300 transcript:PAN26266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSACQSCATHDAIVFCLLCGARLCLPCDAALHGATAAAGLHPRARLCDRCNVAPAALRCDDAGAAVTLCAGCAGRGPPAGALVTQYTGCPAPKDLVRIISTEVPQQQDALEVWLAGNLPYHLEDGEDDAQLMEGWDVAEETAKLEKMLNDLSSSSLVASCQLQSSLVQPWQSNDASFPFCTMPLPENIQPPQKPQQDDNAIVKKRQERERAKLRYTEKKSNRRFCKQIMYASRKARADTRKRVKGRFAKASTSHDPHNEITLVHEDPSNTEKGETGS >PAN22671 pep chromosome:PHallii_v3.1:4:2259647:2263167:-1 gene:PAHAL_4G035900 transcript:PAN22671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAPADPKAEAAKMDLLEDDDEFEEFEIDQEWDDKEESNEAVQQWEDDWDDDDVNDDFSQQLRKELEGPQKS >PAN24402 pep chromosome:PHallii_v3.1:4:39280622:39283110:-1 gene:PAHAL_4G217800 transcript:PAN24402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISGARPHVVLLPSAGMGHLVPFGRLAVALSGGRGCDVSVAAVLPTVSSAEARHLEALFAAAGTAVRRLDFRLAPFDASEFPGADPFFLRFEAMRRSAPLLGLLLAAAGASALVTDIALASVVLPVARERGVPCYVLFTASAAMLAFCAYFPAHLDASAADGRGAVVGDVDVPGVYRVPKSSVPQALHDPKHLFTQQFVANGRGLVDADGILVNTFDAFEPEAITALREGSVASGFPPVFAVGPLLPVRFPPQEPAEDPAGYMQWLDAQPARSVVYVSFGSRKAISPDQLRELAAGLEASGHRFLWVVKSTVVDRDEAAELGDLLGDEGFLGRVQGRALVTKGWVEQEEILQHGSVGLFVSHCGWNSLTEAAASGVPVLAWPRFGDQRINAAVVARSRLGAWEERWSWDGEEGLVTGKEVAEKIKAMMADEAVAKKAATVGEAAAAATAKGGTSYWSLAEFVGRCRDAGGRHE >PVH48237 pep chromosome:PHallii_v3.1:4:47925701:47935538:-1 gene:PAHAL_4G287100 transcript:PVH48237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRERLFKQTTTDTATARGRSRNEAGPAWRGRRRIPVARDPDHSASVLLLASEPVNQFTTTRTKPHPNNSNPPPLPPPGHHQSTTAAARRERAKEIARAHLYPLPEHDSARATPPRNATETASQPAARESPDRRQHSSRGSQSNRGGGDEGGGGERGECGRRDPGTRAAICDGGPAGMGEAMDCRGVDLSGAEIRGDLEGRNPPIFLPRQPAASPLVALDIGGTLIKLVYTASCEGGGSGAELRFAKFERRRLQECFDFIRAKELLGCNGTRSGKENVPLKATGGGAYKFSDDFREKLGVCLDKLDEMDSVVSGANFLLQNIPGAAFTHMNGQRNPVDVSPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKRLTDYKPEDLASTLLSAFTYNIAQIAFLVASLLGLRRVFFGGSYIRGHKSTMENISFAIDFWSQSQMQAVFLRHEGYLGALGALMSYGDLSGENLTLEESKEKEPHHESAAPVDGILSDEENDSNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLELSQRGNNLSVDLTVGDIYGEEGYPKIGLPASTTAASFGKVNSSKLSDYKIEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDSLAAHEVIREVLLGAPYTGQFPSLPVTEQQENGENNTLEGEIESLRNSNAALKAEVERLQSENAELRAKLRGATL >PVH48424 pep chromosome:PHallii_v3.1:4:50629435:50630452:-1 gene:PAHAL_4G327500 transcript:PVH48424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASVAVLPADRHASAFRRFPPGCGRHTNAAARLPPGYPLKATAASKPPLPNPYPARAVDKTAPPQPRRTLPAASSSGAAGPVRGVGSGRKAAAAVTARRVSAVRRYPPGCGRGVAAPKPPASMGEGQGEASAGKTAAVVCDGYAKARPGDLEVALRASALDDAEFNSNGGMQNEGGGDAGAQEGVGGKPWVVTGLMAVPFLPWAQYGRRS >PAN24731 pep chromosome:PHallii_v3.1:4:44616898:44620655:-1 gene:PAHAL_4G247900 transcript:PAN24731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWRAAARQLVDRALGARAAHTSAGSKKIVGVFYKAGEYADKNPNFVGCVEGALGIRNWLESQGHHYIVTDDKEGPNSELEKHIEDMHVLITTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELLRILILVRNFLPGYQQVVQGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQIDPELEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIVVNNARGAIMDTQAVADACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAEGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >PVH48451 pep chromosome:PHallii_v3.1:4:51007035:51010565:1 gene:PAHAL_4G332100 transcript:PVH48451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20070) UniProtKB/Swiss-Prot;Acc:Q94A82] MAIHLRAHAFAANPLRGVSASTTAVSPSAAAEALRSLLEPSSLAAAADAASPQPPHLSKILPFRRGRPLARSPEPPAAAAAPAAPAWRLAWLPPSRVPGLAPDAFVFLGAHAEGDGKEAAAYWAVDVSDGEGPRVDGGSGNGDGSAFVDLRTLMVATDWSDKDAMGDLAIAGHARALLEWHNTAKFCGACGAKAVPTEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGQVIYHSSQPWPVGPNTMPCQLMVGFFAYAKSLEIHVDKQELEDAQWHSREDIKKALTFAEYEKAQRTSALKVNQMCKGAEKGQSITEDLKVGSGEPVPMFVPGPFAIAHHLISAWAFEGAPKLPSSFSNL >PAN23382 pep chromosome:PHallii_v3.1:4:6081751:6082504:-1 gene:PAHAL_4G087600 transcript:PAN23382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLQARRPASIEKIALPDKKKALFHAGASPSPGHHHHHGGGASSSHEAPAVSITTPRTAAAKQLHLPGSPRACLCSPTMHAGSFRCRLHRGIGGSVGSGLHEMSKKPGGV >PVH48015 pep chromosome:PHallii_v3.1:4:40124805:40126274:1 gene:PAHAL_4G220400 transcript:PVH48015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALLHKVLAMEDEGPLDVQTKAWAREVSEMAYDVDCIDAFEHRRCLALAGATSGHGGGIRDFSRCTRFLRTLRSWHQFASQIDTLKARAIEADDRRERYRLDDFACSSTSYSSGVDPWLCALFTDEP >PVH47578 pep chromosome:PHallii_v3.1:4:6391884:6392923:-1 gene:PAHAL_4G091800 transcript:PVH47578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPFDQLLRWFDEAVTAGLREPNAMVLTTVNKEGKPSSRMVLLKGVDKQRFVWYTSRKAHDLSENPNGALLFYWNEMNSQVRVEGSVEKVPEEE >PVH47363 pep chromosome:PHallii_v3.1:4:2731646:2738815:1 gene:PAHAL_4G043400 transcript:PVH47363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNKIPSLRSSGIYKWTSLSECHHTICATERTPQVPVSEGTITGRRRMEGKRVAAAAVWCMLVMLSVSGQQRVAGFRGFCGCFGSCYPGCREGNPAWLCTIKCVESCTVPIAAFGAGDCSKICLASICGAAETGTTDGGAAAACVDDCTVNRNSSTTIHGTRLQYAKPHLSHSSWPK >PAN24174 pep chromosome:PHallii_v3.1:4:25075447:25078042:1 gene:PAHAL_4G174800 transcript:PAN24174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSSARGEHRRVGNVALLALMLCSVVALSLIRGRFAPIVTSAGDAIKSEDAAAAVSKAAVNIDTGDGADEAAAEAAAEEKDKEEAQPKPAAAAAKPVCYETSRRSDTCEAAGDVRVMGSSQTVYVDTLDREWKTKPYCRKHDNFALSHVKEWTLKPLPSGAAPRCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHPFGGEVQFLVSSYKSWWVNRYIQIFQQMSRHEVVDIDADDEVRCYPNVVVGPTFHRELGVDASKTPSGYSTADFRKMLRDAFGLERATATPSGDRWDIRRRPRLLIISRRPSRGRAFMNERAMADMAASLGFDVRIGEPDTSTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVVVQVVPYGRLEWLARNTFAEPSAGMEVHYLEYAVQLDETTLSEQYPSDHPVLRDPMAIHKQGWNALKTTYLDKQNVRPHLGRLKNTFLQALKMLPHGRDD >PVH47828 pep chromosome:PHallii_v3.1:4:20463040:20465168:1 gene:PAHAL_4G162100 transcript:PVH47828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVFTPSLEGMKHVKSESGVILTKPFLEVCKHILPVLEKFGSAMAIVKTDIGGNITRLETKYASDPTKYEQLHSLVKVEVSAKTAKSSSSCTNGLLWLTRTMDFLVALFHNLVQHPDWQMSQACNDAYSKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGNINADIEKFCSTFSPLLAENHKFLASVGMDDLKAS >PAN23896 pep chromosome:PHallii_v3.1:4:11077134:11080248:1 gene:PAHAL_4G129700 transcript:PAN23896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFIIHSWGGHWARQSRSVVRLVVYLRLYIGKYRLGLFHRLTPLQARHWARHHDFRTCLDQPETAITEFRCIFSQILLHPIYMLCDSCNSWKDMALGLHSSAPKARLFISTCKRLPLLHRTTVHR >PAN22650 pep chromosome:PHallii_v3.1:4:2146693:2152572:1 gene:PAHAL_4G034100 transcript:PAN22650 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase [Source:Projected from Arabidopsis thaliana (AT1G44350) UniProtKB/TrEMBL;Acc:Q0WNN8] MAVVERRRGRALRAVLLLLATLPAATLAPAVGGSAAFLLEEDLTILGAVGSAAKVGGGKAQGSANASGTRPGGGGRYYLGWKEEIAALAGRPELAAWLRGVRRRIHERPELAYEEVETSRLVRDELAAMGVGFRHPLARTGVVATLGTGRPPVVALRADMDALPIQEAVEWEHKSRNPGKMHACGHDAHVAMLLGAARILKAREHHLKGTVKLLFQPAEESGCGAKRMIEDGALEGVEAIFAVHVSHQHPTSVIGSRTGALLAGCGFFKAVIRGDARGQRRRSADPVLAASSTIISLQSLVSREADPLDSQVVSVAVVNGSAGAGGAAEPLMVLGGTFRAFSNASFYQLRRRIEEVIAAQSRVHGCAAAVDFFEDESFYPPTVNDARMYGHVRRVASELLGAGGYRDVPAMMGAEDFSFYSQAVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAVHAAIAERFLADHASLTGSPSSDDLVEQEL >PVH47643 pep chromosome:PHallii_v3.1:4:7609814:7613905:-1 gene:PAHAL_4G105600 transcript:PVH47643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCERGEIEVGLSDTSAAAAVAGHVQQSLMEELMQLAPAVPSSSSSSLPSISIGSPEYSTLIRSMADAAEPSSQERYPHPLPLHPAAVQLPGLLPPVYGQPPFLGSEAEDAAIAEAMLAIISSAPLPPPASPNVPPGPSPPWLVRHRWSQRRRAGAFRAYSAALSPRARPRPGAPGQRMVKTAIALMLSVHVAMRERELAAARQQEDAAAAQPPPAPPQQHTSSQLHHMISERRRRERLNESFQTLRALLPPGSKKDKATVLANTTEYMHKLIADVSNLEKKNRQLEAQLGLPLETQQAGSDDDSSERVQVDVTTGASTSAGGQAQVVSIRVMVRAECDLSEVVFAMLAGIKKTGRFAVVTVDARQRSNRRAQVSITLRVAGGDDDEPDETSLKEAVAKAVEDAVARPPSPPPPQWSP >PAN22556 pep chromosome:PHallii_v3.1:4:1619360:1620817:1 gene:PAHAL_4G025500 transcript:PAN22556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFSSPASASLRPCAGVRVIHTNGYVEDFLGPGVVTVAHVTGCYGDASAGKGPAASSPSPPRYVLCSSAHLLQPGRGPFRPDDPLQPGTVYFLLPHSVFQSESSAVDLACLMNRLTALARKGGATAAPAPNPVEALFTAAAPAPAPPRGAKEPAAAPARPAPWRPRLDRIDESIGRASMRSVSSRSACSEA >PVH48427 pep chromosome:PHallii_v3.1:4:50659622:50660727:-1 gene:PAHAL_4G328000 transcript:PVH48427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPCVVLIDYSNRLCRSCDMALCVFSFRPPRIGRIFSVVIAQRLVPLPYRPDCNRAHMTVAQYFCSYCRGICYPMDKASSNAWRCRYLIQFFSDVEQRRRERILTSHGPVSNRFLTTRG >PVH47310 pep chromosome:PHallii_v3.1:4:2109596:2113332:1 gene:PAHAL_4G033500 transcript:PVH47310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWQRPMQLNRQVIVSGVVLLISLLFLCDRAGACAAEEREALLSFLADLSPRPGDGIAASWRGSPDCCAWEGVSCGGDGAVTRVWLPRRGLGGTISPAVANLTALTHLNLSGNGLDGAFPSALLSLPSAAVVDVSYNRLSSSLPDLPPPGGAGGRALPLQVLDVSSNFLAGQFPSVIWEHTPSLVSLNASNNSLEGLIPSFCVSCPALAVLDLSVNAFGGGIPPGFANCSQLRVLNVGRNNLTGEFPDDIFDVKPLQRLLVPSNKIQGMLDPERIAKLSNLVALDLGYNAFTGELPESISQLPQLEELRLAHNNMTGTLPPALSNWTGLRCLDLRSNSFVGDLDAVDFSGLGNLSVFDVASNNFTGTMPPSIYSCTSLKALRVGNNQMRGQVAPEIGDLHQLQFLSLTINSFTNISGMFWNLRGCENLTALLVSYNFYGEALPDAGWVGDHVRNVRLLVMENCELTGQIPSWLSKLQDLNILNLAENRLTGPIPSWIGSMKKLYYVDLSGNQLSGEIPPSLTELPLLTSEQAMADFRPGHMPLTFTLTPNNGAASRQGRGYYQMSGVATTLNFSNNYLTGTIPREIGQLVTLQVLDVSSNYLSGGIPPELCNLAKLQVLILRRNNLTGPIPQALNQLNFLAVFIVSYNNLEGAIPTGGQFDAFPPWSFRDNPKLCGPAIAVSCAVPLAAGESSSSKLVSRRVLVAIVLGVCFGVVALVVLLGCVVIAVKRVKSKGSVSDGGKFADASLFDSMTELNGDDSKETILFMSEAGGDAAKSITFLDILKATNNFSQASIIGSGGFGLVYLAELEDGTRLAVKKLNGDMCLVEREFRAEVEALSSATARHDNLVPLQGFCIRGGLRLLLYPYMANGSLHDWLHDRPGGADALRWRDRLRIARGASRGVLHIHEHCMPRIVHRDIKSSNILLDASGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPVEVLPTQRQRWELVGWVTQMRALGRHAEVLDHRLRGRGDEAQMLYVLDLACLCVDAAPFSRPAIQDVVSWLENVDTIGGKSSEDVKISDSQS >PVH47414 pep chromosome:PHallii_v3.1:4:3673769:3675891:-1 gene:PAHAL_4G055600 transcript:PVH47414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYDEVEIEDMEWNAELKAYTYPCPCGDLFQITLEDLRLGEEIARCPSCSLFLTVVYNAEDFADAKEPPHKPGPSPVAVA >PAN24920 pep chromosome:PHallii_v3.1:4:45823968:45826652:-1 gene:PAHAL_4G262400 transcript:PAN24920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPALLGHATTLTLPTTGASARLLRPGARQLLVRGISRAAAATPHAAASPGIACSRHSSVAAAPSTSPRHAGGACDLVALTLTASAVAVSACLIFFAAIRSMLACKREAEFLEKYFDSARKKLPESMASLRLVGREFGDLAADLSDLSQEMTKGVRSSMSIVHTADAQLHQPTPSALPGTARRMYNQKKVAEEPLLASTLRDLRELIKGIRSGLGATAGMADLFMWASNFFGSKRSKKRS >PAN22852 pep chromosome:PHallii_v3.1:4:3181380:3184052:1 gene:PAHAL_4G049100 transcript:PAN22852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSELQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEEEYEEEEEEDEVAA >PAN22850 pep chromosome:PHallii_v3.1:4:3325572:3326944:1 gene:PAHAL_4G050700 transcript:PAN22850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDVKLIILGMALRFLLCINHPCVREHLPQATQTLSRSKAEATSSLELAAMTMSHRRLFPMLLLLCISLLSILVVPSVSSSLLSFDIHFASWGYDPQEVLFHQTSPRNLSSHGSRERDVYTGHHDKVVLRRKKKKNFVPRTCDCSRFDGLMYARPAPLRDTATGEVASFKMTLCLRINRGEAGSSSSNGGVRTGLFLFLVPYPWNRGDTAIEVGLDSSCTGMDEPSLGSDPVVCAHVHYDAAEELLKTNIRVGDRSCLCMRRIDRGRMPNEAAVGFASTTAGDPIKLENVLTWAFHSTLEPKKKQDPPGLRPGAATGAESESSLGEQQVRLDPWNRNAELNFRYRRNWQQNWQLTCSISVSLSYGNANEGMD >PAN24436 pep chromosome:PHallii_v3.1:4:40157364:40160907:1 gene:PAHAL_4G220900 transcript:PAN24436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPRGGAGAGKGKDEAAFGLPWSEMFRSASRLRPKQEPDDAPAKKPPPRALKPALKEGKPKAAAAAGGDIGGLSLEPDARLALYIAMAHAGLATALLLLYGLYMLLADFLRPLQWALLCSVPLRETQRALVAFWEPPLRGGLSVAVLALPLAALRSSGATLADARAALLRRPLPHSPAFPRLLRWLVSSFFFLVLFERLGPAAALLLLVLALAFFAATPKLTRAASSRISGRRPSSRGLLLTGGILRHLKTLVAVGLMLGMIAGFITGSIFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKWLDDNDIPGLIDQYSAKIYDTVWEQVDQLAVQYNLTDFTSGFRHFLISQSVDPKSKALISSRPHPYSMKLQSIAARVKKREWVEIYRELDSFFRELLITREDLVIKAKELALQGTEIAKRLLSSSTSVLGGSANLMLSIALHIVSGAAEVVNFLSQLMVFLWVLYYLITVEGGGATEQIIDLLPVSKQVKDRCVEVIDHAISSVLLATAKIAIFQGGLTWLLFKFFKVHFVYASTVLGFISALVPILPFWLSSIFAAGQLLMEGRYVLALVVTVIHLTLMDYGTTTILEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADAEETSS >PVH47913 pep chromosome:PHallii_v3.1:4:28444128:28446281:-1 gene:PAHAL_4G185200 transcript:PVH47913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSPPPSPNAASLTPRAAAGLLARCTSRAAAAALHARLLRCSRAFFRSPYLANCLGAAYSRLGAAPSAIALLRAIARPNVFTSNILLSGNLGSGLLEDARRLFDGMPQRDAVTYNAMLSGYVGAALPNEALRLFCSMREHGVRPTGFTFSIVSSAVASAHHSQQLHAAAVRHGLAHLDAVVSNALIDMYRRIGLFQYAAHVFSCMEEPDVTSWNSVMSAYKDQALSGTVFDCFRSMRSKGFSVDGFSVSTVLSTCSDVKDFAKEECQHGTQNFAMH >PVH47888 pep chromosome:PHallii_v3.1:4:26349793:26350219:-1 gene:PAHAL_4G178700 transcript:PVH47888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGASGRSDGNAAAAAILIAVLLGCFAISAQYLAGKIGEVVAAAGCPGDERPSSAMAQPVCYKACGNGRCDFCCASPYTPTFCWETEAKCKQECHPPIRRVVFPHHKLRQH >PAN26013 pep chromosome:PHallii_v3.1:4:51522181:51523079:1 gene:PAHAL_4G340400 transcript:PAN26013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHSIMELRKLNGLSCSSHLASVHPCWAWKKWNAYLVLEMRLIYISLMDKRVWCCVDKAIITGLTVKCSRYSFAFLIWNVCTLEYLIGCFHYLKVSLRRSGMTSSANMSCNTTHRQQIRSSKLVDSTPKSLSKSQRKSFDSLFVLVVWHLWKERNSSVFNNASSPHDRGKIWSGS >PAN23141 pep chromosome:PHallii_v3.1:4:4702833:4704534:-1 gene:PAHAL_4G070600 transcript:PAN23141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGKKLPPFLFTLLLLLSTAVTPILSLPAADHEVDCDCDKPKAPKPSHPPKTKPSYPSPKPKNPKPPKGPSYPSPVTRPPKGRPSYAPPVTRPRPPVVGPPKGRPSYAPPVTRPRPPVVGPPKGPVTRPPVVGPPVTRPPVTRPPVVGPPVTYPPITGPPTTPPVVGPPVTYPPITGPPTTPPVVGPPVTYPPITGPPTTPPVVGPPVTYPPITGPPVTVPPITGPPSTTPPVTGPPVTYPPGGGGSSSTPCPPPPPATPTPSSPTCPADSLKLGACVDLLGGLVHVGLGDPVVNKCCPLLEGLVELEAAVCLCTTIKLKLLNINIYLPLALQLLLTCGKTPPPGYTCTV >PVH48199 pep chromosome:PHallii_v3.1:4:47051116:47051873:1 gene:PAHAL_4G275200 transcript:PVH48199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRAQLSDGHATRDHRQILRSELSTRAAGARPTHQMHAPSSSATVLRRAAVVGAVGLARRPRPSQLLQVQPPERLDDEHAAARQRPGPRGRPPVAAAAQGPRLRAGRRHEQHHRHRRHEHRQNEPRPPHGDGHG >PVH47869 pep chromosome:PHallii_v3.1:4:24645833:24646102:-1 gene:PAHAL_4G173700 transcript:PVH47869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASRPVVWYVVVIVRAPLRNKEKGACRTHEGLPVRYWRKVGMTSSPHGPYRLGHTRATMAMTMGSKAVRRSESGKIASVRIVLCNSGT >PAN23040 pep chromosome:PHallii_v3.1:4:4166854:4169173:-1 gene:PAHAL_4G063700 transcript:PAN23040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAACRRAVSYTLLGPPAESLRAAARATAPAIGDMFPDLLDANFNKANPQPAKARTENASPTFVTSGDPCLDFFFHVVPGTPASSIISLLADAWSAEPVTALRLACNLRGVRGTGKSDREGFYAAALWMHGCHPATLALNARPIAEFGYLKDLPEILHRIIHGGVSTRTPGKKARLAASGGGFVARGGVGFRGARGGCHRFFGSRQAHSTRCTGKKPRRFGTREERVAAANERDRKIAADAAVERRKRRAEASARAVDRYSRDPTYRQLHDCTADLFADLLAEDMKKLADGKVNDISLAAKWCPSVDSCYDRSTLICEAIARRLFSKGSSSELPEDLEDEYYAYRVRKLLHKAALVPLRRALKLPEIFISANAWGDVVYPRVASVAMNNYKEFFLKHDAERFGLYLADVKSGKVKIAAGALLPHEMLQSFGDEVAALQWERMVSDVRGLGKLNNCIAVCDVSGSMYGLPMDVCIALGLLISELSEEPWHHRVITFSARPEIHQITGNTLWEKSGFIRHMHWGYNTNFQAVFDKLLSVAVAGKLPPERMVKKVFVFSDMEFDQASSNPWETDYEAITRKFTEAGYGEAIPEIVFWNLRDSRSVPVTSEQKGVALVSGYSKNMIKLFLDGEEVVPDKISTPREVMDKAISWPEYEKLIVFD >PVH47804 pep chromosome:PHallii_v3.1:4:18673368:18673582:1 gene:PAHAL_4G156500 transcript:PVH47804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGLGSCMLKRLPIREDLKVLLYQSQEADNTFITLDGTLPYNSTRYGQ >PAN25094 pep chromosome:PHallii_v3.1:4:47036375:47036623:-1 gene:PAHAL_4G275000 transcript:PAN25094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGIAAAPAMIILMIMAAFLAVSGTARPLTGHVWAPSVQEAAAASGGDGAMQFLRQLYVQQLRAGPSCGTNSSNGGCPQHP >PVH47543 pep chromosome:PHallii_v3.1:4:5821783:5823675:-1 gene:PAHAL_4G083900 transcript:PVH47543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLGALLLLLAMAAAWLAADVAGAVGPVFNVTDFGAVADGKADDSRAFLRAWMKACATPGRPAVVVPRGGSYLLHPLVFRGPCRGYMEVRVAGVLRAPAGLGAFRDCREWVHFSNVDGLLVTGGGTFDGRGATAWALNECPRKRDCKLLPTSIKLGRVRNATITDVTSLDSKFFHVTVAGSHGVRIHRVSIRAPRDSPNTDGVHIQGSSDVRVTDSAVATGDDCVSVGPGTSDVLVSGVTCGPGHGISVGSLGRYPGEEDVRRLRVANCTIAGTSNGVRIKTWRGGSRPTAVSGLVFEDIVMRKVRNPIIIDQEYCPYASCRRESEQRPSAVRISDVKFRNIRGVSATQVAVKLSCSEASPCRGLELRDIDLRYVRRGVATQSQCAHVAGGVVGGTLVPPSCI >PVH48156 pep chromosome:PHallii_v3.1:4:45977907:45979441:1 gene:PAHAL_4G263400 transcript:PVH48156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPQKKKKRATRSERDDNLNRGNKRRATKIKRDEDLNGGKKMRATKREGCRSH >PAN23305 pep chromosome:PHallii_v3.1:4:5940655:5945866:-1 gene:PAHAL_4G085600 transcript:PAN23305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPLVPSSCPVQQLAVGPAIHHAYIAFWRQKIGRQVQGKLTRWKEYVHVERSKILGCFAPCLSLVFKIQNLVDFIFIDNASGQCKVPSGDLYEVLFVSSIVLQVSINMVGLCHSLHGHDMSSLSIFQTRQRELEARDRICCCLTQRHMSLLVGNDGVQVDVMIDCLIWGLRHSIQGRPVSCCLTFTAITPGCCNIPFVC >PAN23367 pep chromosome:PHallii_v3.1:4:6271653:6273869:1 gene:PAHAL_4G089600 transcript:PAN23367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARDLGEATGLSSAAGGGQLRALVRRGVLKEVQDVRNRRRKLYMAAEFSPSDEVSGGAWYHEGRVDTAAIAAARRRCLAQVKRLGAATADMIHAGIARDEPGAGYAMDRVMDILRTMVLGRSLEEVRSTGEGEFAAVRRGVMCYRGPEKKQPGGMMEEIPCGVCPMINDCSPEGVISPTTCVYYLKWLPMDL >PAN24161 pep chromosome:PHallii_v3.1:4:14266072:14267167:1 gene:PAHAL_4G142400 transcript:PAN24161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRIPCWFVPLILSVTILASTAAYGGHHHLSVSYYDKSCPSAQSIVQAVMASRVAADQAIAPAVLRLFFHDCFVNGCDASVLLDDATPFVESEKDAKPNDSLRGFDVIDEIKSHLEHSCPATVSCADILALASRDAVALLGGPTWNVQLGRKDSRGADRDAAENDLPSPHENVTSLITKFAEYGLDARDMAALSGAHTVGTARCLHYKDRVYGNDGEGGADMDPSFAELRRRTCQAGDDAAVPFDEQTPMRFDNAYYKDLVARRGLLTSDQALYGCGGPLDHLVEMYSKDGKAFVKDFARAMVKMGSIPPPPGMPVEVRLKCSMVNY >PVH48072 pep chromosome:PHallii_v3.1:4:42884535:42885222:-1 gene:PAHAL_4G236200 transcript:PVH48072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVACAVLVAAASATVALAADAPAPAPTSASSAAFPAVGAVLGASVLSFFAYYLQ >PVH47684 pep chromosome:PHallii_v3.1:4:8959704:8960846:1 gene:PAHAL_4G116000 transcript:PVH47684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLFWAIRGGGGGSFGIVLSWKVRLVRVPLRVTAFTIQKTVAQGAIDAVTKWQTLAPALPDALTIRVVIQNQQARFQSLYLGTCDQLLPVMSSRFPELGMTRADCREMTWLQSALYINSGSTGQPVEALLNRTTSLSTFTKNKSDYVKQAITRESWQKIFPWFNGPSAGLIILEPHGGQVSRIADGDTPYPHRSGVLYNIQHIAFWSGDGGTAATTWINGFYSFMGQFVTKNPRAAYVNYRDLDIGQNAVVGGVTSYDSGRVWGEKYFGAANFKRLAITKGKMDPGDYFRNEQSVPPLVQTPKQVSHTSSRHENCCL >PVH47418 pep chromosome:PHallii_v3.1:4:3719304:3721381:-1 gene:PAHAL_4G056700 transcript:PVH47418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIRQLAKELKNLDESPPEGINVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVDKSSSASSENTPSIPPAVSTSTSSRAFGTNLQDQNPTVSDPVVGAAAARKKDGPMASKAPLDKKKMDARKKSLKRL >PAN26051 pep chromosome:PHallii_v3.1:4:51728967:51730730:1 gene:PAHAL_4G343500 transcript:PAN26051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWRFLPKNGVAAGAGEDAYFSGGVALEVTVLSADSLRLPPSYSPLPRRLRPYVTVSSDAPASTCSTAVAAGGAPSGEHSWGDTLVVPVGAEFLEGRADVRVAVLSEATCRLVGATPLGWCGIPAGDVLDGLRPPRALRRLSYSLRCPRRGGAPPAWGHGVVHLAVRVLGLSGDDARTGAPAPAAATTAPVQQGWCRVAMGIPVSGASSAAASAVVGMPLSWGAASR >PAN22678 pep chromosome:PHallii_v3.1:4:2288445:2290196:-1 gene:PAHAL_4G036400 transcript:PAN22678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLSGAKLLVVHPSSNKSPGGAGSPGAVLGARRRVCAAVFLACFACVSLATTLLSAARDPGAAGASGRASAAFAVPARGGGGGGGAAAVGTGEGLPGYVFDALVQYSSAGGNSTASMPGPDVRAIAAVLKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVAYTTTVREFPDLLDAARTARAAECRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPRGYTASSPGRMSAIFTAGVLARTRAGEGATTDVLVHDYEREVERACSREFLCEENRVAETSTRSLAHFVVRGGSAVRRDAFCSGAVVAAAH >PVH48125 pep chromosome:PHallii_v3.1:4:45392072:45395220:-1 gene:PAHAL_4G255600 transcript:PVH48125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRPRPEAGSTAEGDEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVGRDLKLLLGAGLAEVLNAVFPVLACRKPANTVLVPTKQTKKKPGANHSHHERLLGVARLLSQMAEPVMKAATQITFLLARSFFIDLCTAVLSLLARIRVLVQQMLLDVVSLYNKVTDLTDRKQAVKISIGGVQAFREYYPSTNDACTILDCVWVKDKFVLHEKMKGSCQETQVEDQKSFGPESSIQYETLALISEDTPNFEETNQTAKQAGAAAADQPDKMNHCSDAGGSQSGRQLENESGACSVPDTLSTRMHSVPHLNLKHETRKRVAFVAVGNPKVPGAASETKSSEVNKKQRLDMISQTSVESGL >PVH48137 pep chromosome:PHallii_v3.1:4:45528829:45529909:1 gene:PAHAL_4G257900 transcript:PVH48137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKFLVNGALLVISSLARPSLCQQRRELELVDTPTGDQLTCHGGAVLTGDIPVSIVWYGSFSPEKKAIVVDFVESLTSKPALATPSVAQWWSTIHKVYLSNANAAGGGGGDTSVLLASQAADEQYSLGRSLTLGQVSQLAAGAAPGKGALVLVLTGADVVVEGFGSVRCGLHGADAGAGYAYAWAGDAERQCPGQCAWQFARPSYGPQDKPLAAPNGDVGVDGMMVTLASMVAGAVTNPFRDAYYQGEKDAALEACTACAGVYGSGSYPGYAGDVLVDKATGGSYNAIGAGGHKYLLPAVYDAAKPGCSTLV >PVH47272 pep chromosome:PHallii_v3.1:4:1487537:1488409:1 gene:PAHAL_4G023800 transcript:PVH47272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFAVERNSNKRLLFDVTTQKIRGVSSSVFPNATCAFENGGWLLMVQPKPLDFKEQAVFLVHQGTSRRLDLPAFGSSSNGLFVFYVNSHGTPLVVARRVEGGAAAAPIARAGFHRRRGPAGDAGGLPGRQRGHPGLRCHRDVTRRRRTPAVRPDSGFGQYARSLVAADGELLLVPRPRTMENAFRFFKLDMEALEWSPLERRELDDTSWFLCKGQSYRARDAGKRRVYTFSGPKQCGGGGSTAEGSAARTLGQGTSL >PAN26032 pep chromosome:PHallii_v3.1:4:51680003:51689873:-1 gene:PAHAL_4G342700 transcript:PAN26032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGSAAEYSEVKAVIGSNEEKKGQRSKKDKGQRKRKKDKAKGHGGDGDRSIESDDKNHSVEMEHAEVSAKMAEKPCSEHAEVIMSKRDAKKDRKKKKRNKEVDTISQKQIPDANDGSVGSEYVEMNKGEGEHDSTSKKGKRKHRDGETSSNGSCDQIVSGGDKKRKWKEPSVTLEEGNDVDVSKMGQNTEGKKKRRKERDNIAVDLSQNTPAGDGKNCNEEKKTSKDDNDGGKSRKVNMARRKDKGKRVSFTDDVEVFNIDGDGADEEGDGSGDSGLVHGKRFTPEEDAKLMEAIEKYAQMKQLGEKGLEMIRASMKHPELRGCWAEIATSLPHRPQMAVYKRARILLYRSAERKWTQEEYEIVRRFVEKNGTTWKELATDLGKSEIHVKDTWRRMKPKNLKKGSWTQDEYQNLFDLVNLDLRVKAHQKIAPSHRQLRDNISWEAISEKLTTRSNKDCCLKWYQQLASPLVKEGIWADTDDYLLMEALQKVDAVCVEDVDWERLLDHRSGELCRQRWNQMVRMIGGHREKPFIEQVEVLARRYCQEMLDYRKAESADLSPDELTGGTD >PAN23230 pep chromosome:PHallii_v3.1:4:5292693:5297736:1 gene:PAHAL_4G077400 transcript:PAN23230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, Salt stress response, Alkali toleranc [Source: Projected from Oryza sativa (Os06g0625900)] MDPEAPAPPPGTPPDDEEEKRGGRKGVPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHTESNEEILGVLSFVFWTLTLVPLLKYVCVVLRADDHGEGGTFALYSLLCRHARAALLPPGRSAAGDDDQFFDAAGAKKAPAENGNAVTLGGRGGGAAASVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKEHHKYVELPIACVILVCLFALQHYGTHRVGFIFAPIVITWLLCISMIGVYNIIHWEPTVYRALSPYYMYKFLRKTQRGGWMSLGGILLCVTGSEAMFADLGHFNQLSIQIAFTCMVYPALILAYMGQAAYLCRHHNMESDYRIGFYVSVPEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTSLGCFPRVKIVHTSAKIHGQIYIPEINWILMILCLAVTIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHRSIFLAIGFIVFFGTIEALYFSAALIKFREGAWVPIVLAFIFMLIMCIWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFVTNLPAFHQVLIFMCIKNVPIPHVRPEERFLVGRIGPKEYRIYRCIVRYGYHDFHKDDMEFEKELVCSIAEFIRSGSSKINGMSEDFDKDEEQRMSVVRSGSIRMLEEDGSVENTVGPSHAREIQSPAPVPAPATGVKKRVRFVLPAASPKPNAGVQEELQELSDAREAGMAFILGHSHVKAKSGSSFLRRFVINFCYDFLRRNSRGPNYAVTIPHASTLEVGMMYYV >PAN26102 pep chromosome:PHallii_v3.1:4:52014605:52016967:1 gene:PAHAL_4G347700 transcript:PAN26102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISSSPLLRRAPGAASAIAKPRAPPGPPSFLAATPCRGSVRGAGLPLRPLLARHRPRPTQSSAAAAPSPAGGQADAASSARRRFFQKVTSAAAVTLLSAVILTLVQPSWAPPALAHYHPVAKAGTTLFKSELLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGVGHDAGQVMFGLLFLSLRDRLHIEVIQTWSTRVVALTLLVIGALGIREAGEVPTPCVALENGECDVSGVVDHHHGHRHPAEATLPGGKMKINFATFATGIVHGLQPDALMMVLPALALPSRAAGAAFLGMFLVGTVVSMGSYTVFIGSCTEALKDRVPRITEKLTWAASLLAISMGLAILVSQSFERLPLDREPLL >PAN26103 pep chromosome:PHallii_v3.1:4:52014727:52016541:1 gene:PAHAL_4G347700 transcript:PAN26103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISSSPLLRRAPGAASAIAKPRAPPGPPSFLAATPCRGSVRGAGLPLRPLLARHRPRPTQSSAAAAPSPAGGQADAASSARRRFFQKVTSAAAVTLLSAVILTLVQPSWAPPALAHYHPVAKAGTTLFKSELLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGVGHDAGQVMFGLLFLSLRDRLHIEVIQTWSTRVVALTLLVIGALGIREAGEVPTPCVALENGECDVSGVVDHHHGHRHPAEATLPGGKMKINFATFATGIVHGLQPDALMMVLPALALPSRAAGAAFLGMFLVGTVVSMGSYTVFIGSCTEALKDRVPRITEKLTWAASLLAISMGLAILVSQSFGITLY >PAN24766 pep chromosome:PHallii_v3.1:4:44948781:44949513:-1 gene:PAHAL_4G250000 transcript:PAN24766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMRYRALPQGEPTVEEFRAWLAQFDADRDGRISRDELQQALRSLNVWFAWWKARDGVRAADANGDGGVAGDDEVARLFAFAQRHLNVKITQLGYY >PVH47547 pep chromosome:PHallii_v3.1:4:5895115:5895910:1 gene:PAHAL_4G084600 transcript:PVH47547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYHGFYHLFPAVVIWQAYLLLVSVKLKNALPPIPSPSYRNFGTE >PVH48459 pep chromosome:PHallii_v3.1:4:51116549:51119295:1 gene:PAHAL_4G334100 transcript:PVH48459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAALRRLRSSPRLRLAAAGAYRSASASAVAVGLERMEDPSRRIVELAAEGRVWDARRLFDGMPDRDVVAWTAMVSAYARRGMLRDARALFDRPDARRNVVTWTALLSGYARARHVDEAEALFERMPQRNVVSWNTMLEAYVAAGRVDDARALFDRMPVRDAGSWNILLAGLVRSGSVDNARELFARMPDRDVMAYTTMVTGIARYGNVDEARVLFDVMPNRNVVSWNAMISGYLSNDRIDEALDLFMKMHRRDVASWNIMINGFIQRKDLRRARELFDEAPERNVVTWTTMMNGYLQGMQSEMALGFFNGMLTAGIRPNKVTFLGALDACSNLAALCEGQQVHQMICKTAVQFDTFVESALMNVYSKCGEIGLARKLFDLSREKDLISWNGIIAAYAHHGVGIEAILLYDKMQENGYKPNDVTYVVLLSACSHSGLVDEGLKIFESMVKDRSVAVRDEHYTSLIDLCSRAGRLDDAKRLIHCLKIKPASGSVWSALLGGCNAHGNVSIGNLAARSLLEAEPDNAGTYTLLSNIYASAGKWKEAAQIRSEMNNRGLKKQPGCSWIEVANKVHVFVSRDKSHSESDLINGLVQDIHHMMRIAGTVPTDNMLVDEEVVAI >PAN24898 pep chromosome:PHallii_v3.1:4:45693698:45696477:1 gene:PAHAL_4G260200 transcript:PAN24898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKRIENNVNRQVTFSKRRNGLIKKAYELSVLCDIDIALILFSPSDKLNHFSGRRSIEDVITKYINLPEHDRGGIVRNREYLIKTLTQLKRESDIAEQLTPNKGPVDSNIEELQHEIRKYQHQVQALEERLRMFEPDLVALASMNEVEATEKFLMETLTRVEERKKYLLCNHMGPFDPSPADMQQVFGLLPAPPQQHEQQGDMGVSAFGVGGDVVSWFADAMPGAGPSIFAGPDPILAFRDQAIFDSLRRDAGVDPGMAAMCHVDQHGGGPSDDWQQAYTSAELLSALIPSTPFPLDDQVAARDDELDKTSTTALQPRFSVHELEAVIQDSMAPVLAPPMVPPPPHVHEQVEASAGSCSNVPPPGGDSAAAAAQEQQHGLPDGAVNIG >PAN25258 pep chromosome:PHallii_v3.1:4:47945153:47946881:-1 gene:PAHAL_4G287200 transcript:PAN25258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGYYSPYYQPAPYYFNYLQQQRLRGGGAQPSVYAFILLATVSLIAATTLYGWCESAVGSLLDRLRRLLILSPLLLIVAVQLWVAAGGERGVGGGGGGGGIMCVLAEMVAAGDPRPQYGYGGGGAGSSPWGVAVALVLVLFLVSHHSSFQERWFPLFGQ >PVH47864 pep chromosome:PHallii_v3.1:4:23776822:23780124:-1 gene:PAHAL_4G171500 transcript:PVH47864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHWRARSCNKQRRGIAGVLEANFIRPTHDKQDFETTGLFQRLETRLKDMATEYWTYHCHRVGYTQIAKKPPPAHYISTTADNDVHNLVTQAATNTCEYDSRARASVALHLCSSGYSMQYPLRVDLDALTDQMDYAYPSTSINVGTTSYISQNAPQQSQTELRKRRKYCSKIFWRAQKRRNTNVYSDEPGSDNGTERKGSELYLIRTPC >PVH48274 pep chromosome:PHallii_v3.1:4:48551083:48552966:1 gene:PAHAL_4G296600 transcript:PVH48274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >PAN23386 pep chromosome:PHallii_v3.1:4:6097312:6104059:1 gene:PAHAL_4G087900 transcript:PAN23386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSACDDAVEQLAALLDQVEAPLKKTFENVHQGYPTETLVRFLKAREWHVNKAQKMLVDSLNWRIQNEIDSILEKPIIPVDLYRSIRDTQLVGLSGYSKEGIPVFAVGVGLSTYDKASVNYYVQSHIQINEYRDRFILPTVTKKYGKPITTCIKVLDMTGLKLSALNQMKIVTAISTVDDLNYPEKTEMYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLRGCGKDELLKIMDYSSLPHFCRWEGSGASKHASNDPDDCFSLDHPFHKELYNFIQEQALNLELIKQGSLHVKIPEQDPEDAKIVEVIEAEFHKLGVEKKSANGVDKD >PVH47640 pep chromosome:PHallii_v3.1:4:7602132:7602900:1 gene:PAHAL_4G105300 transcript:PVH47640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVTFHTVVFSVSWPLGFPVKVTAKWLELEKTSTETLDDVDASGSFQLPPLVHLPHVSRWKKDGLRGDARTSHMRRCRQRVKWKY >PVH47732 pep chromosome:PHallii_v3.1:4:11767115:11767993:1 gene:PAHAL_4G133700 transcript:PVH47732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRMPETRSLCRSRWSTSAPPRRDAAALYVSLIANYSTTVQEMHPMGARRFAFINVGLVGCVPGARVLSPAGACWDDLNHFTGGFNDALRCRLAGLVYSLAGSFGFTRNVLADPRASEYADVAGACCGAGAAGWPRRRSAPPTPPRSAPTATGMSSGTARTCPSGPHLSSPGHSTMGRLGTPPLPSTSCNWPGPVS >PAN22169 pep chromosome:PHallii_v3.1:4:139983:141278:-1 gene:PAHAL_4G002700 transcript:PAN22169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESSYLPGPARPSLARKEGPHIFILFRPPRLLGWFGRIGSGALTAPPPPPPPPFPPSCSAGIFPLPPISFFLHSFTSLGFPSLRTRKIGTSQQIAPFPSHPYKYRISPSSPRPSTRRLLRHGGPLLPPCLPWSKEADSTWSYRQLPGRARLSNSLFGSQPVSNSILILPAPGQRCRQINNVHKFLLGM >PVH48362 pep chromosome:PHallii_v3.1:4:49920909:49925460:1 gene:PAHAL_4G315800 transcript:PVH48362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAGAAGGGGSSSSLKPTIGRWWRVHVHSHRAPADRAPPFAPPNAIKVPSPGSNKQALLREMSKTLESVSSNLLERFIDTAYRFSEQPALNQGNFRPVNQIGEVVLLNDLSGEVPEDFPEGVYIRNGPNPLHPTQTIADSIFGSTSYMYYEGHGMLHAVYFNKSSLGEWNISYRNKYVESDTFQLEGEKNEVAFVPSADGEPYATLVAFVLNILRFGKAVKDSANTNVFEHAGRAFAVTENHLPYEIDINNLNTIAPYSINGAWDQPFTSHPKKIHGSGDLVIMGTNTEKPHYVLGVISSDGEKLLHKVDLKFEEGKLIHDIGVTTKFIENDMNGKSRIGVMPRFGDAESIIWFDVENHCSYHLFNCFEDGNEVIPIKSKMHANRYHRDKREGCRILGSIIPSDRHRADKSKWYGRAFLRPDKDLEDFDPSLDGILFSRPHEWSENVAMDFPVINDKFIGIRNKYGYAQVVDSLATSKTGLFKFKMIAKLHFDKPDKESKQFISVEYHTLQEKQFCSGVQFVAKENGTDEDGGWIATYVHDEGTNISQVYIIDAKRFSEEPVAKITLPQRVPYGFHGNFFYK >PAN24223 pep chromosome:PHallii_v3.1:4:35866533:35875557:1 gene:PAHAL_4G205400 transcript:PAN24223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASLAATAPCPPALKASPPALISLRPVSRRCKSLAVKTKATENDQSAKKPQKVNSILCQDCEGNGAIVCTQCEGSGVNSADHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFLSTFDETAE >PAN25652 pep chromosome:PHallii_v3.1:4:49906755:49912015:1 gene:PAHAL_4G315600 transcript:PAN25652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTSSCMYKTLERYRSCNFASEASSAPIEAELSNYQEYLKLKTRIEFLQTTQRNLLGEDLGPLSIKELEQLENQIEISLKHIRSSKNQQMLDQLFELKCKEQQLQDSNKDLRRKIQEISEENVLHLTCQDLGPSGSNAIPNSKITDASQELLRIAVCDPSLHIGYQAYIDHLSKE >PAN25651 pep chromosome:PHallii_v3.1:4:49906755:49912015:1 gene:PAHAL_4G315600 transcript:PAN25651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTSSCMYKTLERYRSCNFASEASSAPIEAELSNYQEYLKLKTRIEFLQTTQRNLLGEDLGPLSIKELEQLENQIEISLKHIRSSKNQQMLDQLFELKCKEQQLQDSNKDLRRKVPSLHRPPEQGISCFISAPDKVSSIYWI >PVH47997 pep chromosome:PHallii_v3.1:4:37981181:37981817:-1 gene:PAHAL_4G213100 transcript:PVH47997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFYLCIYATTAKFQSWTTKWFCFSYSKTLQYSLSAALIQSKNEGPPPIQRNGTPPPYTYVPPTLAGAMDHLNPNTTTREKTPSHQQILSHPAQAFPPSPTPPLELHIPTNRTNKSPLQSICAEIHHTARSPRSRQETPTPEANITGRGGKKRERKDTRTRRSQSRAAALTAMDGPRRAEVPIRRGK >PVH48085 pep chromosome:PHallii_v3.1:4:43383491:43384045:-1 gene:PAHAL_4G239300 transcript:PVH48085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTGFGHHGLPLVQGSSSSATNHSLAVAAPYPSFLPFIHPLSNQVIGGPSCLDLHGPTPTMAIWSAVPKIQAAQVPAAQLCWGMAPFHPCTFMPLPCNATVGVAPGLRVAACSVNQLGPVRADLTTLQLGSGGGNGAQKRTLLPLLEDGRRQRKRAAAVLDGGDEGGLQEGQDMDGLDLELRL >PAN23768 pep chromosome:PHallii_v3.1:4:8920631:8922493:1 gene:PAHAL_4G115500 transcript:PAN23768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPHQAAAAVAVAAERTMEIYDACWFRRLVLLPPPAPAAAAPEREADRPPAGPVPSSSPTAPAGGGLRHRRTRSDEATAAAFQGLEPLRLPDSGNRARLDTILSGKDGLVAAAPQPPPERRRPEARRPAGSGRRRRRRGRSMSELEFEEVKGLQDLGFTFSDAEVDAELASIVPGLRRKRSEEENRAAASAPAAPRDAPSSSALPVEAAAPAAQAPRRPYLSEAWDDEEEEAEVRRALRNWRIPPPGDGNDLKEHLRMWAHTVASAVR >PVH47801 pep chromosome:PHallii_v3.1:4:18277591:18278055:-1 gene:PAHAL_4G154600 transcript:PVH47801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDDIVLAQRRNKGVRIIKQKMTQGEGKYKCFREDPEGVLWFNERIVVPKDHKLRKQIMDEAHLSKFSMHPSSTKMYQDLKQNFWWTRMKREIANYVSECDICQRVKASHLKTAGILQPLPIPSWKWEDISMDFIVGLPNTSLRHDSIWVIVD >PAN23309 pep chromosome:PHallii_v3.1:4:5714656:5715132:1 gene:PAHAL_4G082900 transcript:PAN23309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRRFLNLIVEKRIPGAKSLRCIDMTRQHFFNTRTTQAAALKMERIWLPSPSFNFRATTSSLKEKQMHCFPVGDRRVVCADHSGNCFLVDAEMRRVVMMPHLHKLKPLPLSLFAPSTDAGDHNDGGGSLFVMESAPEPEAGCNGQLSHQFEAFASP >PAN25058 pep chromosome:PHallii_v3.1:4:46603036:46605634:-1 gene:PAHAL_4G271500 transcript:PAN25058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLVAYSGAGLGLLALAALESLPLRAPPPLRLHLRRLASPLHLRHLLAAALSALCLLSALVSAHHLSLPTLAASALFLLYSLAPFAPLAAPLPLPLLDLLLAAAFAQELLLFAHRRQSTAAGIENRYFDLLLVPIALCLGATLLAAHRPGEAAPRLARAAGLALQGTWMVQMGFSFFTSAIAQGCALHAASRADYTIKCRTHEDYHRARSVATLQFNGHLALLVIAGAATYAAILSRANRPPCGYRILGKEVQMEGMPIMSQFTLDSDEEKEDEGITTTAAPVANGVESHDEIPLHAPDSK >PVH47303 pep chromosome:PHallii_v3.1:4:2020582:2020979:1 gene:PAHAL_4G032600 transcript:PVH47303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPPHSRSTVDRGAGMWNPSAATLPFPQYAQGRFDLEAHHSNGEIDQSGVGLMRRRVLPPTSSHGQLADDLFDHEQEDVEEACTVSIDND >PVH47387 pep chromosome:PHallii_v3.1:4:3153811:3156502:-1 gene:PAHAL_4G048900 transcript:PVH47387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDIYSTAATCCAPRVPVVDQPSAAVCPCRTPSAAPAPPNPPRLTLLHLRPCAGWTSEEDDNDDDYIAGAEQKVRKKSRGGVEEPQQQKVQKDKSQLSSGGRKRTLKDELEEKPEKKKLTHRIHEKRKKEVKTLLETPLEEIDPMKLSAAHHRLLQEARERVHAKEIPSGPSFNTSSRLEDLDDLYYSHEEARNFDNDRTENHVQNVSKLNYHSYMHIQTRANGQNLILTCFTRFFGNLVVILQ >PAN25287 pep chromosome:PHallii_v3.1:4:48123427:48124604:-1 gene:PAHAL_4G290400 transcript:PAN25287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPLRVAAVALCASTIAVHLSSVLDPSATTAATPVEASPPLDGAVLQVLLPFIVMEALFAAGPFVYRHALHGAGAGNRRLTELVAFILCVVVGFLEFFLFVQPAGGAVDGGAQARALGLAALRALPASATATFFLGVALVHAHVGGGDGPLPEPAVRFLTEMTLEAAAALIGIMAMVIYTL >PVH48273 pep chromosome:PHallii_v3.1:4:48514589:48515016:-1 gene:PAHAL_4G296000 transcript:PVH48273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDQTKTGHSLLSLANHPHHQDQDARNVQTVQQEPERPFLTERRVRMCLTKCTTAIFLFLKKGTRAIQV >PAN25327 pep chromosome:PHallii_v3.1:4:48291822:48294461:1 gene:PAHAL_4G292900 transcript:PAN25327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSTEEKKTAAEIVAALDLQRHPDGGFYLETFRDPSIFLPTSVLPLRYKVDRAVSSAIYFLLPAGEIARLHRIPCAETWHYYLGEPLTVFEVHDDGQIKITVVGPDLRQGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKAPGRDPAVHYSFVGVTCAPAFQFEDNELAAREDMKALAPKAEAFINYLVPS >PAN23188 pep chromosome:PHallii_v3.1:4:4925301:4925982:-1 gene:PAHAL_4G073700 transcript:PAN23188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLVRARSPAAAAALLLAAACLVLAATVADARPLMREELPSSSVVVESPPAGGIQTVVGAAEHDGAGARKFAMSIDMLRGVKDSGPSPGAGH >PAN22719 pep chromosome:PHallii_v3.1:4:2506983:2511659:-1 gene:PAHAL_4G040300 transcript:PAN22719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MGVLTLVHILISFTVCAEALRRADFPPGFVFGTASSAYQYEGAVNEGQRGPTIWDTITRRPGRVIDFSNADVAVDHYHRYKEDVDLMKDIGMDAYRFSISWSRIFPNGTGEPNVEGLNYYNSLIDALLDKGIQPYVTLFHWDLPQALEDRYGGWLHSQIVDDFVHYASTCFKEFGDRVKHWITFNEPHNFAIEGYDLGIQAPGRCSILAHMFCREGESSTEPYIVAHNILLAHTGAFHTYKQRFKNEQGGLIGIALDSKWYEPLSDVDEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSTQASKLVSGSLDFVGINHYTTLYARNDRMRIRKLIMNDASTDAAVIPTAYRHGKKIGETAASRWLHIVPWGMFKLMKHIKEKYGNPPVIVTENGMDESNLPFSRLESVLQDYKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYVDYNNNLTRIPKASVEWFSQVLAQTQKTAIM >PAN26114 pep chromosome:PHallii_v3.1:4:52070774:52072918:1 gene:PAHAL_4G348600 transcript:PAN26114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQEEFEEHAEKAKTLPDSTTNENKLILYGLYKQATVGDVNTGRPGIFNLKDRAKWDAWKAVEGKSKEEAMTDYITKVKQLLEEAAASSS >PAN23031 pep chromosome:PHallii_v3.1:4:4121545:4123368:-1 gene:PAHAL_4G063100 transcript:PAN23031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRVLALAVLVVLVSVGVANCRPVRSNLGLGIGAGGGLGIGLDLGLGGATSASSSGQGSGYGAWSGPNGASYTASGRGSGTGSGFGYGSGSGSAYGGAGNGGSASGCGSGTSSCSGSGSGSGFGSGSVGLGTSINVGVGVGANGGTNGGADCNTGSGSNYGSGAGSGSGSASSGGSYSSRGRGSSNVGLGSGSGVGLGGSSGLSGGSNVGPSGGCSNCGYGSGSGSRTVGGSYSGSAGGSGSSANSGSGSGMSTGSGFGAAGSGSSSMAGSSSSSGSAGMSYGSSGSGSSTWSGASSGSNSNSGSVSGANSDTGSSSSSFSVSGSGSNSMSSSGSNAASGSSSWSGSGSSAGSNAASGNVAQAGAGSSSESGSFSGANAGSNSNSGSWSNSNSGASSTTLSGSGPDSGANSNAGSSSSSWSGSGTNSGSFSGAGSSSWSSSSSGSTSSSGYGFGQGFGAGQGFGGGFGPGARPGGLGMGGGGGFGAGYRFGAGAGGGNADWVKKHH >PAN22706 pep chromosome:PHallii_v3.1:4:2413683:2414119:1 gene:PAHAL_4G038700 transcript:PAN22706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRPRRQPHGELGTAPRLAAGGSGCRVAWLGGCRCSSLGYFKRQIEAGRTTRSEQRGGGGRRHARSERAGGGRSWAVAVRAGRHGWWKTQPKSRASASPRQALPVVLTGGDGWEVGCFLRVVVG >PAN26115 pep chromosome:PHallii_v3.1:4:52073135:52075428:1 gene:PAHAL_4G348700 transcript:PAN26115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRVAALLLLLPLAAASEEAAAAPPVEAAAVSEAALLDRHAAQLARLEELAESLDRSVRALEAALARSADPDPPPPGAASTAAVAVGDRRAPQGVAVTKRRPVWSERFHFAAAARLGEGAYAAAAAALPYEDADGLTKYFAVGDSRGRVFVFSAAGDALLELEAAASGESQVTALLAYLSPRRTDCLLFTGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGIDAAPVVHLEAHHAGRARYVLACDAGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGTRVKAYSFDPSERFKAYGFTEAGDLVHVLLLGDVSSLKCRVRAVKKSEIDSPVAVQTIKGYLLVASHDKILVYNTSSQYYGRVGAPRPLFATTIKDIKSVFAGSGGVLPSAPAGKPVIAADREKLVILGLGDGHVAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGSLLNHPTSDRAFADSTTRTSDRGYVDGTARASDRSYVDAATRTTDRGYADATRAVDLRGGALRSTPRRYVSPTRYAGASGIQYRPTSAEPGLRGTPELKYRGPGMEPPGFPKKRDTLFSNNQAVVDDHVD >PVH48041 pep chromosome:PHallii_v3.1:4:41200671:41200988:1 gene:PAHAL_4G225600 transcript:PVH48041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPLPRAAPPPAPLRRMRRRHLLSQSLRSLRRCLLSQSPTPPAAPPIPIPHTAGRASSDQAARLLLPSTPPTSSFTAYPFRRGRTKALARGLGECGRRHIRRFG >PAN24733 pep chromosome:PHallii_v3.1:4:44667365:44678293:1 gene:PAHAL_4G248000 transcript:PAN24733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAPLSRRFPTNPNEYKLYEEIGEGVSATVYRALCVPVDIMVAIKVLDLEKCNNDLDGIRREVQTMSLLDHPNLLRAYCSFTNGHQLWVVMPYMAAGSALHIMKTSFPEGFDEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPPSEKLLKHSFFKHARSAEYLARSILDGLPPLGERFRELKSKEAELLLNNKLGQESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSSNGTCHLDVRDTKVKDDSQDAYNDPKHIYQERVNHVASERPEEDEIQEVEELNDALSSSFPSRPLEALKSCFDVCGADDPGTTASDSRLQPSVGSVPSLPFPKLEHCKSANCNGESLERSVSVPMNLGNSGYHKHSSGSLIPEQVLSPYMNADLERDEFRQKNPSIRNRSGPLLFRQMKDSRTHLSVAPEEPSEGKIIRRRGRFQVTSDSISQKAATSACSSSSSRSNLPIGATRSNLKSSAILPTLQLLMQQNTMQKEVLSRLISSIEETSDDSEASTSVSYQSSGGPVREKELQSYVVQLQRSITELTDEVQRLKLRNNQLEQQISALSKNDERSQTEDDQQ >PVH47246 pep chromosome:PHallii_v3.1:4:952179:953014:-1 gene:PAHAL_4G014400 transcript:PVH47246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGDIIDDLRKSTTTSTTSSEPDANSKP >PAN23290 pep chromosome:PHallii_v3.1:4:5637925:5645019:-1 gene:PAHAL_4G082200 transcript:PAN23290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPLDASPSAPSAAAAADGVFSSSPSSAAPAPALRPRREAFEHGLLPIPKLIFPEGTLAQTLAQLKERLAPGGPRVGAAALAEALQIPAEQAALALGTLAAVLPAEDPALGEDGAGEADLRDLLIFLYIQSYKRLVPRGHKDSPAVADVWPSTSAFDGCLSALSPIQFIRCNSRRFMPSQADEEAHQLSYLQKHMANILKLLADSVDGEGDDSMVLTMETFEHLGFLLQLSEGTYLSQGASFFANSDPDMPAAPVPASLVHDWILQHIASTLEFMAEKSSAKENGQQNASDPDVTMSDAVTNTRIHSSSPTGTSAPNNPGYYRNTTFVEGLSKTSVVKQASDMKGHSIKVLNCHDSVIYILAPLKYATVYGCSDTTIVLGAIGKVVKVEHCERVQIIAASKRICIANCRECTFYLGVNNQPLIVGDNHKLQVAPFNTYYPQLGEHLAQVGVDPNVNKWDKPFVLGVVDPHDSFSHPAGVSDVQAESATCLDPDLFMNFLIPSWFEPQGPTKYNPFTLPEVYWASQRKKHASLEDIQKNIRELEIDDNRKKELACALHAQFKDWLYASGNIRQLYCLQGE >PAN23193 pep chromosome:PHallii_v3.1:4:4955306:4958835:1 gene:PAHAL_4G074100 transcript:PAN23193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIGSGMYVSGPAPDRRRERRLSSGSAATPPYTGGDVARSGELGRMFDIAASQAPSPASSRRSSGPLPRPSPSPASGPLSQLSHPGLLVGPSPSPSQAPSPGRGSSRKGSWRRGGAGKEAEAAGGSAAARGSARLGVPFACYVLVAVAAAAALGAGAFCLVSWRRWEVLAAAGGAVAAVGAAFAWNAWRKGAEAERFFRRFPDTVFDGHGDMPVGELVKITGQVTCGRHPLAAYFHDGAARCVFTSVQLFKRRRWAGCCCRRWWQLRHSEARVANFYISDRNSGKRFYVRAGEGAKITPMIKLRTISFDGDGKGASLDLKNWMESNGLSCDGAVRAKEGFIREGDTTSVIGVLKKHHGCDIVDAPAGVVTTGCQPMRCMFPVLIEGLILTGNEDPDEAVYMV >PAN23592 pep chromosome:PHallii_v3.1:4:7417729:7419480:1 gene:PAHAL_4G103200 transcript:PAN23592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNYYPKYFLYVLFFLGSWLLCLLHFRQPLFHLPAGGTAVVLPFSRKASFFSTPAPVADDRPSTAPSSCDGRYVHMVDLPPQFDVCAEGSPAFESEHSICQLMSNAGIGPVLLPAGDRSDGDDADIVPNTGWYNTNQYALEVIFHHRMRLYDCLTDDPAAATAVYVPYYAAMELQPHTCGLFNATVRDGATEQLLRWLSSRPAWAVHGGRDHFMVASKTSWMFRRVPAAGGDDGTGCGNSFMVKPESRNMTVLTYETVIWEQPQRDFAVPYPSYFHPSSAGEVAEWQARVRAAQRPWLFSFAGARRPGTLAIRDRIFDACDAAMPRRSCGKLDCGGPEGSITCRSPRKLMSLFTSSRFCLQPLGDSFMRRSSVDAVMAGCIPVFFHEASTFEKQYYWHERDPDRGRGARSNNDHRYYVLIDQDDVLQGKVGIEEALSRYTDGEVAAMREEVIKMIPRFLYKDPRVRFAGDMRDAFDITIDEVIARIRKIKNGENSGGKDYSDGVEVANGS >PAN23552 pep chromosome:PHallii_v3.1:4:7131160:7134741:-1 gene:PAHAL_4G100700 transcript:PAN23552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLGTLSSSVLLATVLLLSFLLSFLVLASSLDTRAPPNNDLQTLLCLKAHLSDTAGQLASWKNDSLQFCSWAGVRCSKRRTSRVVALDLGSFELNGQIPACIANLTFLARIHFPNNQLSGPIPRELGQLNRLQYLNLSSNHLSGVIPDTLSSCSRLQIIDLGGNSLQGVIPPNLSQCLNLEELVLQDNSLNGGIPEGLGMLQNLSVLHLAGNSLMGKVPLSLGCKSSLTAVVLTNNSLTGPIPTCLANSSSLQVLDLTNNHLDGEIPHALLNSRSLKKISLGLNKFVGSIPALSHVDSPLEHLILSSNNLSGAIPSSLGNLSSLLRLLLAYNNLEGSIPMSIGKIPNLQALDLTHNYLSGTVPTSLYNMSTLTYLGIGANNLEGEIPHNIGFTLPSIKKIFFLENQFHGQIPASLANATNLMVIDLRYNSFHGIVPSLGSLPNLQELNLGMNQLEAGDWSFLSSLANCTRLVKLSLLENKIQGTLPSSIGGLASSLNVLLLAGNNISGTIPPEIGHLTNVTVLYLGINQFIGSLPDTLGNLSRLVALSLPQNKLSGKIPVSISNLSQLNELYLQENDFSGPIPEALGYCKNLAMLNLSWNSLDGRIPKKLFTLSSLSIGMDLSHNRLSGQIPLEIGGLINLGLLSISNNQLSGQIPSTLGQCVHLESLHMEGNLLEGKIPKSFSDMRGIIELDLSRNNLSGEIPERFESFSSLNLLNLSFNNLEGPVPTGGIFQNKSMVYIQGNKKLCGSSPLLKLPLCDAKTSRKNHTAKILKVLGLSALSLLLLSCLAIILLKKKKVERAAQPSFKELKKLSYADIVKATNGFSSSNLVGSGKSGSVYRARFEFEERTVAVKVFKLDQLGAPKSFLAECEALRNTRHRNLVRVITACSTIDSSGHQFKALILEYMPNGSLESWLYPTKLNKHGLKSPLSLGSRITIAMDIASALDYLHNSCVPSVAHCDLKPSNVLLDDVMGARLADFGLAKFLHSFSHSCHQSSTSLLGPRGSIGYIAPEYGFGSKLSTEGDVYSYGIIILEMLTGKRPTDEMFTDGLNLHKFVEKAFPQKITEVLDPCIVPSSEDGDVHDNLKHGNNATNGVKSCIVHLVKLGLSCSTETPKDRPTMQDVYAEVITIKEAFAALHG >PAN22611 pep chromosome:PHallii_v3.1:4:1956181:1959002:1 gene:PAHAL_4G031300 transcript:PAN22611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAFLLALSLLALHFCCCHGKGSGGDGSGVTAIYSLGDSITDTGNLAKEAPPGMFETIKHLPYGVTMGTATGRCSDGLLMIDFLAKDLGLPFLNPYLGKNKSFDHGVNFAVAGATAVDPADQFNLTVPMPFASNSLKVQLRWFKDFMKSSFGTDEEIRKRLRSSLVLVGEIGGNDYNYAFFEYKPVAEVEKLIPGVVKTIIDAAKEVLDMGARRVIVPGNFPIGCVPGYLAMNAASSEPADYDAAGCLRDLNHFAAKHNARLQRAVEGLQAARPGASVAYADYYNSFLALLHNASSLGFDAASTRKACCGAGGGEYNFDWRRMCGFEGATACADPSAYVSWDGIHMTQAAYRAMSRLIYHGKYLQPQILSFPEKYGQTET >PAN23916 pep chromosome:PHallii_v3.1:4:11614705:11617925:-1 gene:PAHAL_4G132400 transcript:PAN23916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIPEALLAEIVKRLTSPCDLKSLSLVSKRLYAIVGELRDAIYIGCGVSPVTGALESLCSRFPNLCKVEFNYSGRTHNHGMQLDNHGLEVFSSCCPSLTDLTLSFCSYIDDSGLGFLACFKKLMSVRLNTLPAITSFGLLLVAVGCKNLSALHLIACKKVGIVEWLEYLGRVGSLEELVVKHCEMISQFDLLKFGSGWMALRKFEFQINGLFINLYDPRDPSCMAHYQYRYDFSCESLEDLTLARIATEKEIGLRCLLRKCKALKNLSLHYVHGLHDNDIVTLSQNCNNLTSISLRLTPQFNEGSVFRTSLTDDSLKALALRCRMLQSFELICWACDCDWPEIGFTQEGLVMLIQSCPIRDLVLSGAHIFDDEGMKAVSSAQFLESLELMHCVKVTNAGMRLLSRCPRLINLTLRQCDGFTDAGVTEVARARNLESLIIEGCSRVALNAVQGAAKSIHYKEDYPGLLNLGRV >PAN25637 pep chromosome:PHallii_v3.1:4:49859168:49863372:1 gene:PAHAL_4G314900 transcript:PAN25637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRRPPGMAVARLRCAAAIGVATAAPAAAARPLRREPAFLPVHVPGAARLRLSPCRIPPPRAAAAAMSSPARAEHEAGAWYAVPGLSLRDHRFAVPLDHSSPDSGAAITVFAREVVAAGKEDAALPYLLYLQGGPGFESPRPMEAGGWLKKACEDHRVVLLDQRGTGLSTPLTPSSLSQITSPAKQVEYLKHFRADNIVKDAEFIRLRLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGEPCTAQTVYRACFKQVQLQNEKYYKRYPQDIQVVHDVIRCLSESEGGGVVLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLLERVWDPVLVPGAKKSISYYFLKEFEMWLGFDQNPLYALLHESIYCQGSSSKWSAEKVRSEYGSLFDPIKATEEGRPVYFTGEMVFPCMFDEIHALRDLKEAAHLLAEKEDWPQLYDVSKLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGIRDGGPHVFEHLMGLLNGKKPLF >PAN24547 pep chromosome:PHallii_v3.1:4:42130547:42133557:1 gene:PAHAL_4G232200 transcript:PAN24547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEMEEEEQQQPPRPWVILGRIPRVVPGDAQEAAGDEDAELEADAERAADFSFPVVLPPRVTVMNAFPTAHPDPNNPDKYPYILGVSSDYILLNFGVRPFCGVCFDNRPFQSNLIVVRHFDASGVEQGRPSTGAAERIQLRDGKFAVTSNLESIGILATPNGVQHYIIAELMVDKGCHTVMLVYIFSDSDKWYQEVIPNPLPDVNREWVPSGVVAHGEMLWWFDLSWGIISFDPDDGLDVPLLLFHPLPEDSALEMTVPGIHDHRCITESGGELLYVEIIPEGEFGPTVCMWTRTSAGGGNNATIGWDVEHLVTFEEIWNDDTYEETGLPRKVPVLAAVSPSNSDLVYFVLEERLFGVDLLTCTVSEFVDEDYDLVTPWPALPSCRYVLPWYLPQALAMDPGDLDSADAEQLAEDVQDGLVIDVEEMQEDEIHEEEQMAVDIDDILKEDFLDHGVEQVLAEVHAANAQAQAQQPSTDDEELEEEELDDEEQQPEEIDEEEQQPEEIDLEELPAEESHTDEPSSEEMLEMDLDPGTVSRLRADVTEHFKDKEKDHPGPSQDPGDDAGDGAF >PVH47843 pep chromosome:PHallii_v3.1:4:20583377:20584590:1 gene:PAHAL_4G163400 transcript:PVH47843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAHGGGSAGGGSRQGLRVGLGTGLPCARAASALGRLQVCGLASDARALLGGRMRLRPASAPGRAARGGSGRAWWPAHVGRAAMPGEDVTRADLSCSPLTTLCLLVKHHHLIPPSIE >PAN25767 pep chromosome:PHallii_v3.1:4:47140800:47141165:1 gene:PAHAL_4G276100 transcript:PAN25767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCDGVMDFDGEKRSSAPAGGAGSVGGGEGYDYLSSLPDELLLHILLRLPVAAAARTSTLSRRWRELFADIHVVFLALPAARSRARIWGHPRRRRGHRPCIFPNPPRRPRPGRRGYLTIGR >PVH48558 pep chromosome:PHallii_v3.1:4:52649630:52654272:1 gene:PAHAL_4G357600 transcript:PVH48558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDRSLDDVEWATTTTCLFLFPFATSPVSSVFPIPSLALALSLLRRRRLLGGLGILPRLQISAACGFTPYPNSRALMAKEQDGSPKLRHPEFQRMRVTLTIGIIGLCVTSYILGAWQGTSNSIKPSFISTKTRCDNLARSSDAHLDFQAHHQVSFNKSSLAPEKFPPCQLKYSEYTPCQDPRRARKFPKNMMQYRERHCPKKEDMLRCLIPAPPGYKNPFEWPKSRDYAWYNNIPHRELSIEKAVQNWIQVEGDLLRFPGGGTMFPHGADAYIDDINALIPLNDGNIRTALDTGCGVASWGAYLMKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVIGTERIPYPARAFDMAHCSRCLIPWNKLDGIYLIEVDRVLRPGGYWILSGPPIHWKRHFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHIECVDSRKVYDAPQICKGNDVDSAWYKKMETCISPLPDVKSEDEVAGGALEQWPKRASAVPPRITRGSVPGLTPEKFQEDSKLWSERVDHYKKLIPPLGKRRYRNVMDMNAGMGGLAAALMKYPLWVMNVVPSGSPHDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADNIFSSYQDRCDITYILLEMDRILRPEGTVIIRDTVEVLGKVQAITEGMRWKSQIMDHESGPFHPDKILVAVKTYWTGKPAQKQ >PAN26231 pep chromosome:PHallii_v3.1:4:52579909:52580489:1 gene:PAHAL_4G356600 transcript:PAN26231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSSRHTPLPRPGRPLAGRAAVRGPAQGRPRRAHASLPVPSPGRADVRRPGSRPPASAPRTVDLTATAPEGAARRGLSRCSCSCARLAVPPLTGARPGRKPPSGSAAPSQHRSRSHPPS >PAN23019 pep chromosome:PHallii_v3.1:4:4054852:4058042:1 gene:PAHAL_4G061500 transcript:PAN23019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRRAALCLALCLLVLPRPPAAAAQITNLTAGTALAPPGYITSPSGVFAFGFRALDSDPTQFILATWFRLDGGAANGSSGNSSSPTPPQNVVWFAKKADTGATPLATARSALSVTPDGQLALMDGANGSSSVLWSPPANSSVKRASVLELLDSGDLRLIADGGGVLWQSFAHPRDTLLLGQSVAWDAGATGKLVSKRADAEFTTGRFSLGVQADGNVVLYVDLRDGNDPDNSYWQAHTNGGGGGTVVSFDEPGRLYYTLRNGTVQNLVPPMPNSTLGKYYQFARMNPDGIVRVYARPKNGGGNASWTVSGAFPSNGCSRRTSGLQGLCGPGSYCVETKDRLSCECPSGYTYIDPQHRDTGCAPEFAPQSCGAEDGDPAAFALVEVPNTTWETSLHYEELSPATADQCRDYCLNDCFCAAALITGGTKCIEMAALTNGRQANDPATKALIKVRTTKTPAASSPGTRTILHYRIIAGCLAFLSLATIGGLLAQHHLNRKNTARQRLLGVRAFSWKELYRATNGFEKLLGRGSFGEVYQGVLKSPGMTTQPIAVKRLVASNEYSEREFANEVQSLGQIHHRNLVRMVGYCKEGRHRMLVLEFMPGGSLRGALFKPGARPPWRWRAEAALGIARGIEYLHDGCASPIIHCDIKPDNILLDGECAPRITDFGISKLLGGERVHATVTNVRGTRGYIAPEWLRGEARVDTKADVYSFGVVLLEMICCRRCQEPVPDHRGAGDETVTLFGWAGQLVGARRTELMLRGDDDDAAAAEEDLARVERYARVALWCMEPNPALRPTMHQVARTLEGAVDAEAPPDPPGCYLESSPLIPIADKKQ >PVH48325 pep chromosome:PHallii_v3.1:4:49419913:49420339:-1 gene:PAHAL_4G308500 transcript:PVH48325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKQVPRKQTNKQHENPRTRRNKQGESLCTHSTDTGQRKKPEQESRDSTASFRNMPSV >PAN26211 pep chromosome:PHallii_v3.1:4:52525502:52527993:-1 gene:PAHAL_4G355900 transcript:PAN26211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative septum site-determining protein minD homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24020) UniProtKB/Swiss-Prot;Acc:Q9MBA2] MAFLPLPPPAPARSAATPAVSARHHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLPAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALQDLHLLCLSKPRSKLPLAFGSKTLTWVADALRRAPNPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKGGFFSFFSSAYGEMTQMARRCA >PAN25389 pep chromosome:PHallii_v3.1:4:44524181:44525805:1 gene:PAHAL_4G246700 transcript:PAN25389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGGETSYITTSRLQQKALLETKPVLEKAMRQVCSALLPPNLVVCDLGCGSGDNTLIFLSEVIKASRGHNMVGIQFFLNDLRGNDFNHIFQSVEQFNNSLPDGLDGNKRNIYIAKATSPPCVAQLYQEQFQKDMMLFLKLRYDELGIGGKMVLTFLGRKEEGIYSDSLNYLCELLAQSLQSLVDKGLMEEDKLNSFNLPIYGASTDEVKAAVKQTGLFDINEIKLFESNWDPYSGVNVAKFLRAVIETLFVSHFGESILDALFKEFASKVAGYPEREKTKYTVIVLSLQRR >PAN22667 pep chromosome:PHallii_v3.1:4:2242687:2244425:-1 gene:PAHAL_4G035600 transcript:PAN22667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPRCPVPAAALLALTVALAASVAVAAPLERADEEVRRLYEAWRSEHRRPRCNCSKAGEEDRLRLEVFRANLRYIDAHNAEADAGLHTFRLGLTPFADLTLEEFVRRALGFRNATAPRVASTRYLPRAGDDLPDAVDWRLQGAVTEVKNQRQCGGCWAFSAVAAMEGINKIVTGNLVSLSEQELIDCDSQDSGCNGGDMGNAFEFVINNGGIDTEADYPFIGKDGTCDAIRENKKVVSIDSYEMVPPNNEKALQKAVANQPVSVAINANSPAFQHYTSGIFNGVCGLQLDHGVTAVGYGSEGGRDFWIVKNSWGPGWGEGGYIRMARNVFLPTGKCGIAMDASYPVKNGPNNPMAKAGISKMALA >PAN24854 pep chromosome:PHallii_v3.1:4:45458651:45459762:-1 gene:PAHAL_4G257000 transcript:PAN24854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAVVNGAPMAGHLKELPAAPSAFEKPVASALCLLNTKGGGGDDTSEKPSGKDTVDGRLALDLSVPKRFSTGALDLFGEPSKLLQLLALTEDGGAASPTGLSGHGWWVSKEDDDAVQLKVAMPGLGKEHVKVSAEKNILVIKGEGDKDPEDRKGPARYTRRFQLPAEAFKMDQIKAEMNNGVLKVTVPKIKAEERKDVFQIKVE >PAN23120 pep chromosome:PHallii_v3.1:4:4621546:4625510:1 gene:PAHAL_4G069100 transcript:PAN23120 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] MGFAGRLSALLLLLVAGAVASDQIFTASGVPFGRSSREPRYRVEFHPVDSPYQPENGQESVPMASHEGKHYTCFLPVEETKTMKSILPQNATNVIIESDRRIKPKEPDELLEPLKDQCFYRHEGWWSYEFCYHGKIRQVHVEGDKVIQEYVLGEYDDDATTAYHENSTSEFADDDHHVKDISRRYHVHLYTNGTVCDLTDIPRETEVRFVCSEPTVLISSIKEISSCKYVVTIQSPMLCKNPLFHQEKRTLSIHCNELAAETESTVTVDDDSLPKEAQISIIPGQDEVHGFIAYAT >PAN26074 pep chromosome:PHallii_v3.1:4:51903239:51904555:1 gene:PAHAL_4G345300 transcript:PAN26074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLVGSERRVLISGYGLPTPAPPAPPESLLGRLDQIDLRLRQLEEQRRPAPADDGAVVRRAPPQHHHTKSLPSALQHVQVRGTLMDRLNLLESRIRQLSCELDLDLGGKAGAGSCAAAAQLGLGSSSSVAPPPVEDPAWSDTAPMSEPCRDPAAVMMPAPASKPAAAPDGSWSAVEILQRGARQLHRNKTNQPNKVKNVKEAKCACQKEKRKTERGRTSRRWFTVGC >PAN25306 pep chromosome:PHallii_v3.1:4:48224358:48225527:-1 gene:PAHAL_4G291500 transcript:PAN25306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAAAGEFALAVALGCAYILAPILDSLEGISPRSAALDAAVAAALVTLPVTYLLGVVLVYLHVTPAPPVAPGAARRLAALLACAAASASLGAFMSLSLSGAGGSPPGCGQ >PVH47651 pep chromosome:PHallii_v3.1:4:7850452:7853697:-1 gene:PAHAL_4G107600 transcript:PVH47651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPIQSPRSYFSAIGSQTDGPRKPLRTVGDGIRDPSADLPLLARSRSAHRGDTEETKRQGQQPATTAAGSRSDGMAAKAISSPVPVEWYPSLAVVMVSVGLMLTASFFIYEATSSRRSRSLAKEIATAAVASVFLGFGSLFVLLASGVYV >PVH48028 pep chromosome:PHallii_v3.1:4:40687583:40692186:-1 gene:PAHAL_4G223400 transcript:PVH48028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQIWGSAAPATARRWLVPPSARILRFAPLAPSAVPASLRRRAFDGLAAGAAYKPLTTMCTKADYSTPVDSITATELAIEEPAIVSPANEEINTAQEVASQHKCAKIHDFCLGIPFGGFLFSMGLIGFLFWRTPASLTFGVAPGLAVLALGVLSLKVWRSGKSSLPFILAQAGVAAAVAWKHCQAYTTTKKLLPWGFYAALSVAMICFYSYVLLAGGNPPPKKAKAAA >PVH48253 pep chromosome:PHallii_v3.1:4:48082872:48085489:-1 gene:PAHAL_4G289600 transcript:PVH48253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRFRGAKLSDFRKFIYYAMEEGEFDRLWIDFRATHNIKEDNLWVNMMYELRRKWAATFTRGRHFLGMQSNQRSESLNSRLHNHLDRKMSLVDLVEHYEFCKSRIRRNEIELDAKALVSTPFTKISADELEKSVAQTFTPIIFRRVVIQIRKGNNWSVREVIFDNGSLRYEVALEGNRQRFFHVACTFGSSLIDTRCHCRKMECEGITCAHIFCVLKYARIGSIPPCCLSLRWTMNPNRDAYQHACVDCTNGSFPCTSQQGQSSFIQSFEEPTGYR >PVH47197 pep chromosome:PHallii_v3.1:4:31810:33916:-1 gene:PAHAL_4G000500 transcript:PVH47197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRAPAAGETADASIRSTRTWSSSSSAGRGAPSLSGISNGSEQQEGSAGGTNEEPLPLVVGVAVGKEVKQCKANLMWVLSNLDALIAGDKQTKRKATVVLLHVHRPAKTIPFMGANFPAEQLHESEVSAFRQAETQAMNLICAKVKVHAVCKVETAVAGDGDVAQGILRLVAQNGIRRLVVGAAADKRYSSKMTAPSVQQHAHPLCAIWFLCKGNLICTRPAAAESQAQHAPAAAATYTTRRGSLRRNDGEEPPPPPPPSVVHRIWVDNQQQEQDIQSIFAEAEKLKREQQVVAALEAQVVSSKRVIQDLQEKLSEAHCLLFSLEHEQEELRRQRDAALREAAALRDRLRHLEDKSRPAFIDLSYDDLLEATRNLDEALRLGQPGGYGAVYRAVVLRRGDKDKLEVAVKGQGGSRFRQQVEELSKLRHPNVVPLLGACSAPEASALVYEYLPGGSLEERLAGSSKEALLWPERTRIAAEVRAALVFLHRNNMVHGDLKPANVLLGLGLTTSKLADVGLCRLLEADATAVLMRCTVAYMDPEFLASGELRPSSDAYAFGVLLLRLLTGLPAMGLARQVQAALVEGRVTEILDASAGDWPYTLEQAEQLAHLAVRCCEMTSDNRPDLAGEMDQTLECFQLQ >PAN25883 pep chromosome:PHallii_v3.1:4:50944262:50945377:1 gene:PAHAL_4G331500 transcript:PAN25883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSRASLDQKLALAKRCSREATLAGAKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEDAPEHLKNTSFQGAGRPHPAFFRP >PAN26023 pep chromosome:PHallii_v3.1:4:51478495:51483250:-1 gene:PAHAL_4G339900 transcript:PAN26023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIATCVWQEKAAAADMGGGGGGVGAGKRKRKGEWAALAAAAGGALNCAVSFVVFSALDVLDVVLCLVYKLVDYAVEAEWKACYCAAAARGDGAAGPRVLAPPGPKVVRLSASSAKIQLEDVSDTLYVRPSLLADATKKAGPAPPALTVSPAIAEMIRGKIDRPPRPSPPRQAPCWSDCDCKVCHAWSAAPRSATHLYVHVQAPPAPVPGEAAEDVVFIHGFISSSVFWTETVFPAFSAAARGRYRMFAVDLLGFGRSPKPAESLYTLREHVEMIERSVLQRYRLGSFHVVAHSLGSVLALALAVKYPAAVKSLTLLAPPYFPVPESEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRVWDRLFRILTRNRVRTFLIEAFMCHTHNAAWHTLHNIICGSAARMDAYLDVLSSQLSCKVAVFHGRDDELLPVECALAVGARVPRARITVYDRKDHITIIVGQEELFAAELEAIWRSAAAD >PAN25128 pep chromosome:PHallii_v3.1:4:47236506:47237909:1 gene:PAHAL_4G277500 transcript:PAN25128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMVARQGRELQRYSDSTGGRIVVGCIPYRLRAGGEVEVLVISSQKKGPAGGVLIPKGGWELDESMDEAARREAAEEAGVVGETGAPLGRWCYRSRSYDATYEGFVLPLRVTAELERWPEMGARRREWVSPAEAVARCPHAWMREALQRFAGTVVEADANATTALLGSAL >PVH48211 pep chromosome:PHallii_v3.1:4:47142515:47148302:1 gene:PAHAL_4G276200 transcript:PVH48211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGSPRFNMSSSPKVRRYMPTTNASKKKPSPKCSGAKKSGGSPRVKQRADWNPALERSLVDILHEYKDSGYRGDNGWNSEGWNKMVKEFHLMNKYVSYTKAQIQEKEGQLKRDYKMLKAAKQQSGSSWNEKRNMIEGPPAMWTNLIVTFPKIKKFNNNKATFPLFDALGELYDGHLAEGIYNVTSLETPQEEEPPEQLQHPEDEPQGFDDNVVYEVNDEGGDGTERNEEGLQEMADTLSRDEKNDAPAIERSGQQRPAASRNKQEKELKRPRKNENIVGMMGAYLEMRTKQAEAEAADRAKEMEERERETREREARERDAAQASDFSIRRCISVLNTMEVTKEEKAKAYAIFIKSKENREAFICACEVDQESALIWLGSEMA >PAN24806 pep chromosome:PHallii_v3.1:4:45230382:45231991:-1 gene:PAHAL_4G253900 transcript:PAN24806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQEAAKEVISLMTPYKMGQFQLSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATKGGLLIAEATGVSATAQGYPETPGIWTPEQVEAWKPIVDAVHRKGGIFFCQIWHVGRVSTNELQPDGQSPISSTDKQVSPDAESGMVYSKPRRLRTEEIPGIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAIVGEVGAHRVGIRLSPFVDFMDCVDSDPVALGNYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVAEGYADLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYIQDPVVGYTDYPFLEDGSKNKESATQA >PAN22159 pep chromosome:PHallii_v3.1:4:69791:72313:1 gene:PAHAL_4G001400 transcript:PAN22159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRANIPTSNSALIAIIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTAREDIAIVLISQFIANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >PAN26073 pep chromosome:PHallii_v3.1:4:51895433:51898014:-1 gene:PAHAL_4G345200 transcript:PAN26073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGATPKARKGAPAKLGSVKEAAPAVAPASAGSKVPAEEVWEVRPGGMLVQKRGGGLPDDEPSPNVKPVPTIRVKVKHAGVTHEIYISSEASFGELKKLVAAKTGLHPDDQKVLYKDKERDSKAFLDMAGVKDRSKLVVVEDPEAKARRLIEERRNGHLEKAAKAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAHRRMQVKRVQKYVETLDAVAAKNAAIIRKSGEKAAVKHQPPAQHQQQQPRQQPPPQHQYNHHQQQQQPGAAAGQTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPTNRLDWMLF >PAN23644 pep chromosome:PHallii_v3.1:4:7813597:7818782:-1 gene:PAHAL_4G107300 transcript:PAN23644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLLAAVCCALLACAARPHCAAEAKARHFKWEISNMFWSPDCEEKVVIGINGQFPGPTIRARAGDTIHVELKNALHTEGVVIHWHGIRQIGTPWADGTAAISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVAEGEEEPFKYDGELNLLLSDWYHESIHTQMVALSSKPFRWIGEPQSLLINGRGQFNCSMAAAHTPGATQCAAVNRQCAPVVLPVQPNKTYRLRMASTTSLASLNLAIGNHKLTVVEADGNYVDPFAVDDIDIYSGDSYSVLLTTDQDPSSNYWVSVGVRGRQPKTAPALAVLNYRPNRASKLPALAPPATPAWDDYEHSKVFTYRIHARAGTPPPPATADRRIELLNTQNRMDGHTRWSINNVSMVLPATPYLGSLKLGLKSTLTAARPAETFSRGYDVRQPPANPNTTAGDNVYVLAHNTTVDVVLQNANALAHNVSEVHPWHLHGHDFWVLGYGEGAYRGDAADEARLNLRDPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEAVDRVGKVPKEAVSCGATASALMNGDHL >PAN24466 pep chromosome:PHallii_v3.1:4:40439812:40441462:-1 gene:PAHAL_4G222000 transcript:PAN24466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPVWFISLACLGALYVVALCARPLAYLALCLRRSKDLHRYGSWAIVTGPTSGLGRSMAMELARRGLNLVLLDLDAANLQETSQAIEVCHAVKIKTVVLDLALVTTPQGDEAIRRLREAIAGLDVGLLVNNAAVNTPGAVYLHEADIERFVRMIRVNLWGLTEVTAAVLPRMLARGRGAVVNVGSGSTVAVPSFPLYTVYSSTKKYVARFSRCLYVEYRSKGIDVQYQVPFYVHTRMLSSAVKAKLRPWFVATADEYTRTAARWIGSGPLCVPGAAQKLQWCLTGFVPDWAHDWYRIRLHLQHRAVTRGARRAVIPDGGSSSRGQLIAIGNSSSGGPN >PVH47701 pep chromosome:PHallii_v3.1:4:9894323:9894808:1 gene:PAHAL_4G123200 transcript:PVH47701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLPPLCVTCVVLYLAGVPWTTTAQVAAALLVFVAVIGLCDRLRQRRSPWQQQPGAESMADAPQQEAVLGLGASAIASLPMYKYRKKRGGTGDECSVCLAEVKPKETVKKLPACTHLFHEGCIDVWLRSHRTCPVCRTPVNAVAAATPTSLEVVVHTQAN >PAN24819 pep chromosome:PHallii_v3.1:4:45344573:45347260:-1 gene:PAHAL_4G254900 transcript:PAN24819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVCTTNAAAGTRAGHGLPVPRGGPPPPSAPAVLRRRTLPTRGLSLRCACADWSEPAFVTVAEKLDAAAARKARAFAGAGGDEEKGQVEAFNGVSSGAVEEHPVAVPFAPFEQSLVAVDSVVGDNDALSQALGSKLGFKEISTYAIYGTGAFFAGWFLSAVVSVLDSIPLLPKILEIVGLGYTIWFGTRYLLFKENRDELLVKVEDLKRRIFGSCDE >PVH48368 pep chromosome:PHallii_v3.1:4:49944109:49946014:-1 gene:PAHAL_4G316200 transcript:PVH48368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQFPDGAHVRLRSRVHGGYLHADEDGAGVSLRWLRRRSANAAWRVQRILHDGTTCVLLHSAAYGRYLAASPDPAPPGHRGHRVVQGDYGEEGVDPVLWKPVGSGHAGYVLLRHVSYRLLRANGRYRLWHAGVSVDDFDNQSTMMHWKVESIPPRPGPPLLIPPTPINREGFRGLFLLHEPVVLQRTIRYVQADHQGNFNLNPNGWATFQFHGRSVFNLRWEVATHVGLALFFFRVIVCVRAGRYGQPTPLFIDLPRNEETLDVVAVIADTPAAEALRYPNVDAHWR >PVH47876 pep chromosome:PHallii_v3.1:4:25114431:25115461:1 gene:PAHAL_4G175100 transcript:PVH47876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLFWCACTIFISVDYLMVKKKEASIERDLKKEERCNKSFALQEERIKLERGKFKFEREQEEDKIISLDLSTMTYEQ >PAN24974 pep chromosome:PHallii_v3.1:4:46210278:46213432:-1 gene:PAHAL_4G266300 transcript:PAN24974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYYESSRGGAAEEADDFDEFDPTPYGGGYDLFVTYGRPLPPSEETCHPCSEPSTSYDAPHYSASEPSPYGHHAKAKPSYGFRPQQEQHPSYGGGYGSRPEPAAEEGGGYGSGYGSGYGRKNPEEESYGSGYGRKPQTEESYGAAGYGAGYGGQARPEQGYGSAVYGSGYGADPPAESYGSGYGRKPQVEESYGSEYGSGYGRKPQAEEGYGSGYGSRPQGGEEYGSGGYGRKTQEESYGSSGYGYGRKNEEEGYGGSGYGYGKKASEDEGVYGSAGYGKPKPYGKEYQSGGYERPSYGGGEEYQGSYGRKKNDDDSDDEKKQRYEKHHHHHRRHNYDD >PVH47710 pep chromosome:PHallii_v3.1:4:10459852:10460658:-1 gene:PAHAL_4G126100 transcript:PVH47710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRDATVTVDKHRVDPEVKRKDAGTGDSAAPAAAAAPPSNLLPLPAPPSQSRVAIILGPSDPKHLIYAGSKSKPIKAAPAASGKNRRPPVSPTAPTANCGFARSPFG >PAN23852 pep chromosome:PHallii_v3.1:4:10051254:10052881:-1 gene:PAHAL_4G124400 transcript:PAN23852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLACMRRTHPLSCLRLPLNPTSTTGINDSLTGCQRHVARTISRQAHRNRTFFAAAAAAAMSSPAADPSVDGPPAPNTSSSNFTLLYIIIAVLVAVILYMAIRYGRSVLAEWRQLQAGGHGATSSGTTGLGLSVDDIAALPTFTYRAWAASASPSPLGGRRRSGSKGRAVECVVCLQELEDGDVVRVLPPCRHFFHGRCIDAWLCAHSSCPVCRAHLEPERARLMEGLVSPPLPQLRRCGVSPERPTASRVLADILARSPLRSSCSTSGSKEMIVSKSPSPRPHFGSRSPSPTPPVYAGVCDRCSNSSPPGVSEIVVVPSKSPSPMRFSTSRQLSAVDIGTLESIEVITPASPSPVLIREDGGGSLSKSKSPSPSPH >PAN25976 pep chromosome:PHallii_v3.1:4:51371447:51376669:-1 gene:PAHAL_4G338500 transcript:PAN25976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALATSQLATTHAGFGLGGDTSMFRRGVQGLRGPRASAPGTLSVRTSARAAPRQQSRRAQRGGGRFPSLVVCAAAGMNIVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVSEIKMGDRYETVRFFHCYKRGVDRVFIDHPSFLERVWGKTGEKIYGPDAGVDYKDNQLRFSLLCQAALEAPRILSLNNNPYFSGPYGEDVVFVCNDWHTGPLSSYLKSNYQSNGIYRNAKTAFCIHNISYQGRFAFSDYPELNLPERFRSSFDFIDGYEKPVEGRKINWMKGGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYIATKYDVSTAIAAKALNKEALQAAAGLPVDRKIPLVAFVGRLEEQKGPDVMAAAIPQLMEEDVQIVLLGTGKKKFERMLMSAEEKYPDKVRAVVKFNAALAHHIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCVCASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPADVQKVATTLKRAIRVVGTPVYEEMVRNCMIQDLSWKGPAKNWENVLLSLGVAGSQPGIEGEEIAPLAKENVAAP >PAN23665 pep chromosome:PHallii_v3.1:4:7948734:7952070:1 gene:PAHAL_4G108700 transcript:PAN23665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGAAGTGSWLPCATTVASCAVGVFFLLYFYAPHWCVRGVPGPPALPVLGHLPLLARHGPDVLCLLAKKYGPIFRFHLGRQPLVIVADPKLCREVGVRQFKSIPNRSLPAPIAGSPLHQKGLFFTRDERWSEMRNTIISLYQPSHLAGLISTMQRCIERAADAISAAAQDHGDVDFSDLSLKLATDVIGQAAFGVDFGLTASGRPGEAAEFVREHVHSTTSLKMDLSAPLSVALGLVAPALQGPARRLLRRVPGTADWRVARTNERLRARVDEIVAARARDRERGRDGEGTRRDFLSAVLDARDRSTALRELLTPDHVSALTYEHLLAGSATTAFTLSSAMYLVAGHPEVEAKLLAEVDAFGPSGSVPTAKDLQHRFPYLDQVVKEAMRYYTVSPLIARVTSQQVELGGYTLPKGTWLWMAPGVLSRDAASFPDPGAFRPERFDLASDEQRRRHPCAHIPFGVGPRACVGQRFALQEVKLSMVHLYQRFVFRRSPRMELPPELQFGIVRSFRHGVKLVAIQRRAGTA >PAN25452 pep chromosome:PHallii_v3.1:4:48963259:48965327:-1 gene:PAHAL_4G302300 transcript:PAN25452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPCLSIEDAQFGGYDSSSSGSSSPVSSRSGPSESDGSSSSNADEFCPDPYPSETDSPSSSSSFVRDRMDRTNLLTEASACVLDDIDRHRQRMLALLPAFSSPAGAGARAESLSRWLSGFCVGWVLDMDASGSRGGAERLPRREVGRRVRAWAQALGTMERVFRLRHRELTVKQVEALGELAAASAGAMLRLARAVAALESSPSKLLAALDVYVPVSEAFPVLGRMFSWGPSHPVSAAAGGTLAALVDAARSCCRDLRAFVRSHYPWRMPQGGEVHPCVGFWMGYFRCMLRNRISLCFVLGGSGDGEDAPPPLAPGAEGGFGLVTELVSCLEAVIEEKSTALAFPGLRQVFMLNNTFAIVRRAVRSDLKLFLPPGWVRAREERMAGYIKGYMDASWAPIVARLDDAGGTKPSAVLRRRTTNRLSAFYSALENACSAQKCWKVPNPVLRGILRKTVSATVVPAYRRHLEVHPEVDVAVGRSAEELEQQLSDLFEG >PAN22878 pep chromosome:PHallii_v3.1:4:3303267:3306800:1 gene:PAHAL_4G050500 transcript:PAN22878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSMSLAAKGVLPFSVLTSSGVTQRPVSVTASLEHKTSDARRKFLKLALGNLGVGLPTLLGAKKALADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGGNKKFDPDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMEGGAQSGDVIMRMMARNSMSEKLAEDIDSAVKRLSDEAYEIALSHIRNNREAIDKIVEVLIEKETLNGDEFRAILSEFVEIPVENRVPPATPAAALPA >PAN24159 pep chromosome:PHallii_v3.1:4:14015034:14017603:1 gene:PAHAL_4G141800 transcript:PAN24159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTCRHHCSWLNLNEIYDEFLNPAIKHVVIVSEYSKMSNLYMCLGQLQVFHHSPRLSYPTTQRLDWCYRGGLSRATNKSIFQANNWVDHERTTTKLILHLHLLNPFHLVVFLYGCFLME >PVH48384 pep chromosome:PHallii_v3.1:4:50173395:50175279:1 gene:PAHAL_4G318900 transcript:PVH48384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKWPVPVTAHAGPDATECVAATSPLAANVTHRAVLALNPFPAAGDDRFLNSTRTGAPSARSETRASWPANASYARRPTTGAASAYPRGAAKSAAPAVVPPSPAKRSKTMATRRANGAGTTRGGMANTTPDEPAAALTPSAQSALLRMRSAEAWTPASERFAGRGTSTRCPAPRSSSSARSRTAASTAEMFAARLWAAQGRRKSAAAAATTTTCTITDGNKDVAAIDAPQARLVFLDG >PVH47649 pep chromosome:PHallii_v3.1:4:7783896:7786896:-1 gene:PAHAL_4G107100 transcript:PVH47649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPAWPYLSPVPLAPSRKPRLSLRSISNPRKKAAAAVQPATPHLHQRRYSQRPLTFTSGGTTSDPSPPPSDWQASHSTHGWLPCVLRRQGRRAAPPQQPGRRGGRGLRHADAAPPHASAAHARRLRLHTLVARHHAAPNRCGLARRHAALHEHREQPARLLLQWGRRLLLRHLILVLHGSRLRRDPQPERLFPRNLALPWLELRLQVFYHAPRLAGTSSHQVKGGTMFPMVEFIVHKHG >PAN24350 pep chromosome:PHallii_v3.1:4:26739513:26739935:1 gene:PAHAL_4G179900 transcript:PAN24350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSYLLRSNSHSDCEVADPQRRAGGWRRAGAGALGASQVVGPCGWNPPTGGCYRPSAASEVSGEGGERRWPRRQVVRPLRSLRSS >PAN24946 pep chromosome:PHallii_v3.1:4:41718630:41721059:-1 gene:PAHAL_4G229900 transcript:PAN24946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTSMKLILSVVPILVVGFIPELSTGNDHGQFVYAGFTNSNLTLDGAARITSTGVIELTNDTARIKGHAVYPSPLRFRLSPDGMVQSFSTSFVFGILSSFGDIRGHGFAFFIGPSNDFTEAFPLQFLGLINSTNNGSSINQIFAIELDTILNTEFGDIDNNHVGIDINSLNSLRSHPAGFYNNGKNGTFTNLSLIGSGPIQTWVEYDGNTTQISVTLAPLGMEKPVRALLSLTFNLSTVLKEQSYIGFSSSTGLSTGHHCVLGWSFGMNSPAPTIDSTKLPKLPYLGPRPPSKLLEIILPIASAVLVLAIGTIAVILVRRHLRYKEVHEDWEVEYGPHRFAYKDLYFATKGFSSKNLIGVGGFGRVYKGVLSTSKSEVAVKRVSYNSKQGIKQFVAEVVSMGHLQHKNVVKLFGYCRRKGELLLVYEYMENGSLDKYLYGEERRSTLDWVQRFKIIKAIASGLLYLHEEWDKVVIHRDVKPNNVLLDKEMNGRLGDFGLAKLYDHGTDPQTTHVVGTIGYLAPELVHRGKATTLTDVFAFGIFILEVTCGRKAITEDTHNRQVMLIDWVIQNWNKDSLLDSVDTKLQGNYDIDEAFLALKLGLLCSHPFPDARPKMRQVLQYLEGDVPLPELLPAHFSFHMLALMKNEGRFGSSIVSLYPSPTMMDVGSISFSLDGR >PAN23423 pep chromosome:PHallii_v3.1:4:6274424:6278984:1 gene:PAHAL_4G089700 transcript:PAN23423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKRPAPPPEEAPPASKPTPDAIPPEPTKSAPDPAPPTISAAVLAKLPSMERQVYTLIFEAGSKGMWMLDVRKQLAISPNVATKVVRALVSHKLVKEVSDVRHRSRKIFMATDFQPSDEITGGTWYHDGRLDTDAVSAVRRRCQAQVEKLGAATAQMIHHGILRDDPRAGYTIDKIRDILKTMVLDKVLEEVKSTGEGEFTAVRSGTMCYRLAGPAQGGMMEGIPCGVCPRIDECSPDGVISPSTCVYYKKWLQMDF >PAN23509 pep chromosome:PHallii_v3.1:4:6857385:6859387:-1 gene:PAHAL_4G097200 transcript:PAN23509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTATAAHHHHAANGTPAAGRDHIVIFPFMAKGHMLPLLHFAAALAAHHRDLRVSLLTTPANRAFAAGRLPPSVRLVELPFPSLPPLPAGVESTDALPCPSLYPTFLRATALLRDAFAGFLASLPSPPLALVSDFFLGFTRRAAADAGVRRVVFHGMSCFSMAICKALIVNSAAASVGPAGAPFHVPGMPEHVAITADEVPDAVAKLADPGDPVTRFLIDDVGFSDVLSWGVLVNSVAALDEDYVAPLESFYQPGARAWLVGPLFLAAGDTSELEKEKDPEGCLAWLDERAAQPGSVIYVSFGTQAHITDAQLDEIAHGLVQSGHRFLWVVRSDTWSPPVDVAPNGRIVRRWVPQRSILAHEAVGGFVSHCGWNSVIESLAAGKPVLAWPMIAEQHLNARHVADVVGAGVRIRTKAGGMAAADVVGRAEVEEKVRQLMDADGEAGKKMRARAAWAQRAAKSAVSDGGASRVALRKLVDELQRTYGDIVSEGKM >PAN24600 pep chromosome:PHallii_v3.1:4:43213734:43214825:-1 gene:PAHAL_4G237900 transcript:PAN24600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSVVLLCFVLASLLLVIQSVAYARELTEANGPEGKSVKRAGGPGHMDAKLLLGGFKHGSGYGKEGGYGGGYGNNGGYGGGNDPPSYGGGYGPGYGGGYGPGFDGGYKHHFHGGGGYGPGYGGGYGPGYGGGNSCPPCGAPSYGGGYGGPGYGGGNGGGNGGGYGSGSGYGGGGGYGGGYGGSSSP >PVH47572 pep chromosome:PHallii_v3.1:4:6369628:6370320:1 gene:PAHAL_4G091100 transcript:PVH47572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAIMCTPSKPTEIIGPSPQFPTKPPHKSQGRPTQAPSTWAPTPTRPNSLRTQTQSGHPLPAGKGTRRHTSQARSHTHMEAIKMVSKAQGPAPEHKGKKERVPPSQEQQSRISPAAACTSRKI >PAN23534 pep chromosome:PHallii_v3.1:4:7042485:7046794:-1 gene:PAHAL_4G099900 transcript:PAN23534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPSQILPLLVLPLVSLFAPATAAAFSGLDAFLASAAARDPSAGNDTFAALPAGLRRALSAPTPLLPSRLLSLTAAVPIHVRLAGASFPASSGRSLPSLVNAAVSSAPFLSSRRPHRLAVSHTLQLDVTGPAAASKLANAAGNAVRAHLDKSPAPFHTNALSGVPYSLVDDLVAGDYRALAGSGPAEAIYIYLLDLGPQPRQYAYTAAASGTDASSPGYSRCLGPVWTGKDRYIWIDLGAGPVNYGPSLSGDGVLPRGEFHPLATLHGRPKSEKALLADLASLVLSAYKSLLVPSLRIPVHYENSLLIRFVHIHGDRKEPEGLDFRVIEQSIRDGNLSYSGQSLKFDLHTVRYSECPICSFAIARSTNSFTSRFLFENYTLIVNEYLDSKRLRQVLSDSSDEIHRRAGIHDNDEHDKVVTVFVFDLDYDKLLPLDRYHQAVAFGDMVVAVRTRSSQTVSDYTCNGRHVLTMTRNLERPIIGSVLQSMWGVSPTHQSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSTIYVLESMAAHGGENILLRKKRHVEFIQRWNLLTYKLDKVVSAMSRLDYEKAMYLLRSSDHDLYELHSLVYQASQELEATLVCFKDPPFPWVSVSMSGVFVFGFFYVYSKRDKLFRSKRKQF >PAN22918 pep chromosome:PHallii_v3.1:4:3516119:3519993:-1 gene:PAHAL_4G053100 transcript:PAN22918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAAGTSNGGSVDAAAAGAGRRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAARHVVEIIDRYDEACVPVNMTDNKLAYIQNETISKECIRNLTVTKDMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDKRKANQTSACEPEKTTANGQPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKDVFPSNFQNGALKGGATLDPSIPLSEQEDLIVWMRTAALPTFRKLYGRIYFDLKENDTITVRLRNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYFIKPRKLGDHNYLSWNRHPAGR >PVH47386 pep chromosome:PHallii_v3.1:4:3145475:3146611:-1 gene:PAHAL_4G048800 transcript:PVH47386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQSSSQIVMVLAAGIFMPMAIEHSNKRQQTMHLPTLLCTFLTFFCGLSLRGLVGECFCPIPGPRRYVAIKSLVHACALLLVSLSFSLSLMMRMNVVATSATVAAAAAFVAHRLWQCAATGLRDDVDAYRNCEEQLQQLLDLSTSVTSTLFGGWFGMAFFYFRNYPEQARDARFVPSEYLTFFTSVAASLMLLKAVRKRARGPQQVTELVALLYALVAGVAATALVIAASKVRGYAALALAPEAVALAAWCARRLDGRPGRRLRLLPLRVQDLLNYSGVHGEPPGHGFVSVSLPLLLGVLTYRAKDIERALSTLYDEAFVLVTAAAALAALGWRLLTQPPMLTRYPEAQAAATVLAFSTYSLLVLSVLTFLGVILGL >PVH47928 pep chromosome:PHallii_v3.1:4:29590819:29592272:1 gene:PAHAL_4G188900 transcript:PVH47928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYARMTNATEILSVVLLIAGVSLMLVVHILVVFWALRRGLGSRGTSHTTTDEERGAGGGGGLSAGELGALPCHVFKQAEAADGAEGGDCAVCLEAFEPGDRCRRLPRCDHSFHAECVDSWLRKSGACPVCRADVVDRPPKG >PVH47446 pep chromosome:PHallii_v3.1:4:4290043:4296580:-1 gene:PAHAL_4G065900 transcript:PVH47446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHGMEGEFEEYLLPCLAGSERACDLLPWELNRGGADSTLRHCEDLTEQRLLQLHHLSPSLPFSWCSSSSPMGTVATTHALFVLFLAEALLGLEFLEFSCGHGDAGGCAAVELPVGSKMGHGGHHVMIHIWQRQWTHLAFARSGLLQETCRESREEPANLYRVADEDGWIWAS >PAN25483 pep chromosome:PHallii_v3.1:4:49268339:49268980:1 gene:PAHAL_4G305400 transcript:PAN25483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRFVVCLLVVVVFLACCCAAPACASSRPLDAAAAGDARGLPAAAAGFATVSRRRVPGRRNPSHNRRLLGTRTTSLPPPPLPNKMRATAMPAPPPPII >PVH48489 pep chromosome:PHallii_v3.1:4:51528082:51530486:-1 gene:PAHAL_4G340600 transcript:PVH48489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHLASGMPPTSFMIDKSPYTIAAHATNIPEDTILYSNKLVPSTDDNTGAALAPSMEHADGIALAQSSDNTNIEPSTAVNFLVTPTRRSSSHLIRSSFIPEVEASLKPAVGMTFDTLADVEKFYKDYAHDHAGFSVRIGQHRKEDKEILTKYFYCSREGYRKNNDKKDDDQSGLKGKKRKTHNVMETRCGCQAHIYVTRGRDKKYKIASMVEQHNHGLVSPNHRHLLRSNRRVTDRVKGTLFNCHKASIGTSLAYRFLHVSDGGFQNVGCTLRDLQNYYRDLRTKIKDADAQMFVSQLERKKEVNSAFFYNFEVDEQGRLMRVFWVDATSRKNYSVFGDAISVDATYTTNQYNMKFVPFTGFNHHMQCVFLGAAFLANEKIDSYVWLFKTFLEAMGGRAPHLIVIDECAIMKAAIGQILSETTHRLCMWHIMEKVHENISPSLRADEDFWNKLHTCVWDSETIEEFESRWNSMIVEFQLVGNKWFGTRFLIRESWIPVYFINIPLAGILRTTSRSESANSFFNRFIHRKLSFVEFWLRFDTALEYQRQEELKQDHKSLHTTPKLMTPWAMEKQCSMIYTHEIFDKFQKQIVASRDYCFIQEIIERDEIKLVTIGSTSKKERLVHFNESGMIGRCTCKLFESHGIPCRHIMQVLRSEKLIELPETVIFDDEGNLLEDVPADPVEVGPRKKVSNARNKFEDLIQKAKSSDEGMDFLTSSLACQI >PVH48490 pep chromosome:PHallii_v3.1:4:51528082:51530486:-1 gene:PAHAL_4G340600 transcript:PVH48490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHLASGMPPTSFMIDKSPYTIAAHATNIPEDTILYSNKLVPSTDDNTGAALAPSMEHADGIALAQSSDNTNIEPSTAVNFLVTPTRRSSSHLIRSSFIPEVEASLKPAVGMTFDTLADVEKFYKDYAHDHAGFSVRIGQHRKEDKEILTKYFYCSREGYRKNNDKKDDDQSGLKGKKRKTHNVMETRCGCQAHIYVTRGRDKKYKIASMVEQHNHGLVSPNHRHLLRSNRRVTDRVKGAAFLANEKIDSYVWLFKTFLEAMGGRAPHLIVIDECAIMKAAIGQILSETTHRLCMWHIMEKVHENISPSLRADEDFWNKLHTCVWDSETIEEFESRWNSMIVEFQLVGNKWFGTRFLIRESWIPVYFINIPLAGILRTTSRSESANSFFNRFIHRKLSFVEFWLRFDTALEYQRQEELKQDHKSLHTTPKLMTPWAMEKQCSMIYTHEIFDKFQKQIVASRDYCFIQEIIERDEIKLVTIGSTSKKERLVHFNESGMIGRCTCKLFESHGIPCRHIMQVLRSEKLIELPETVIFDDEGNLLEDVPADPVEVGPRKKVSNARNKFEDLIQKAKSSDEGMDFLTSSLACQI >PVH47911 pep chromosome:PHallii_v3.1:4:28439525:28440143:-1 gene:PAHAL_4G185000 transcript:PVH47911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGNPIKYGPVKENPLIGHNAPSVQNFLKGKRTLNIKTTSKKKKQKRLMESSITLPQPSPMINTLENPS >PVH48531 pep chromosome:PHallii_v3.1:4:52209038:52211580:-1 gene:PAHAL_4G350800 transcript:PVH48531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYWGDTLLVGKPENKEATEKELNIPCCCDEAAMEVMWGVENLLHILVPNEETELREEDRKLRSQGLHMFLQSLRYNIEKELVNGQIAETACFIYHCIEINKEQLKCLGRIDYIKKKGIDTQGWDALNMLQLFRSCVWMSLHPKLVRLIVLLISCNNVVLLPWC >PVH48134 pep chromosome:PHallii_v3.1:4:45454580:45455332:1 gene:PAHAL_4G256900 transcript:PVH48134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCDVEVSEWESGRHSTLRPFLAGVSRGAHFLLSPALSLFHVGFRRRFAACNKWSDNWWDIWPAVLVLTATLAWALWMAPKMKYDTKPARGSGAGDLRQHYYHLRSKPE >PAN22931 pep chromosome:PHallii_v3.1:4:3579522:3580055:-1 gene:PAHAL_4G054300 transcript:PAN22931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAMVTLISSDDARFEVTEAAATMSQTIRHMIEDGCTDGGIPLPNVPARTLAKVLEYCNKHAAATAAESSAAGGAAAGSDSGSSSSADSAGKDDGVDLASFDKAFIEVDTDTLYDLLLAANYLDVKTLLDLCCQKVADMIRGKTPEQIRQTFGIKNDFSPEEEEKIRKENQWAFE >PVH47379 pep chromosome:PHallii_v3.1:4:3091696:3092034:1 gene:PAHAL_4G047800 transcript:PVH47379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGGEPLPDHHRPVQHIIGHAEDPGHHWHHLLDAARALMLLGAAAAVSTLGSPRGADAGKVFLALVTWLLGVCLLSFVPLAGRFPRAGRLAGAAMASAGAVLRHLFTPWN >PVH47286 pep chromosome:PHallii_v3.1:4:1764662:1766741:1 gene:PAHAL_4G028100 transcript:PVH47286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFLFLLSHSWHLKLRRAALVISPVGHVLVAAREAIYAPLVLFSWLSAEERRAGGRKQRHGALRFLDSTAGGGGDRRRQGRRISRSAKIASGELAPPPAGSGCPTAKHLALASAKSARPRRMDQAAMPPLAGSSPDLVARGGLLSSPARQSFEKVGMRRIIQQATFLLFFSICSLRYRFKL >PVH47613 pep chromosome:PHallii_v3.1:4:7320220:7321555:1 gene:PAHAL_4G102000 transcript:PVH47613 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit c, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00140) UniProtKB/TrEMBL;Acc:A0A1B1W4S3] MADRDPPQEGSKIRLDPYWAIASIGLGVGQGTAAGQAVEGIARQPQAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFA >PAN24788 pep chromosome:PHallii_v3.1:4:45045139:45049282:1 gene:PAHAL_4G252100 transcript:PAN24788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDSEKKDKERCYYRSICAGLMGVSPLVEAFTTNILKPLTEAMKFAEELLSLCDASQHLSSRHHVKVGICPKSKLAGALSEKKNSRKTKKKLLAEIVKLFLEASLDGKLLTRMKDRESFITFGMRKVLKDIASRLARRFKKYSGKITCHLSDVIEKVHSRLARELLKAHCKEDVVSGKPPIITRPSKDLVVECPQTRDLMVDIDQCWRYPSPQPEVIIARPIAVKYFRPLDQVLGDIQMKFSHVILPDNLRKPSMKPNFVSFLNSLSQNLIHQLLVTVCREGHEVRGVCWHGAFELSDIWLSEGHLTVNPYLAYSQYTEEGGRKDYKKLHQLISSAFHDPVIQAHPCLLDKLLGLLDTAPGVKRRDHDFITYLINHPCLLSYAERLKIIFVLERMVRKLKTPYRDNLEQVLCRNYGWSSMIVDVAELKDAYYHDAVQDAAGNLISVYGKGLDQAFSFARNFLNHTHAQVQSLKEAEAAVCVALPFMLHNVLLLLMLTFVGPGTWDLMGVISVKNADRTEVQFTWDWASTNPYKKPRLH >PAN24560 pep chromosome:PHallii_v3.1:4:42878900:42881101:-1 gene:PAHAL_4G236100 transcript:PAN24560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKQRPGGARKDEVITREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKLVEDDE >PVH48268 pep chromosome:PHallii_v3.1:4:48358315:48360011:-1 gene:PAHAL_4G293900 transcript:PVH48268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLEHPFEAVAFRLYSLPEASAATGAAAWTCLAAVLAAAAAAGLWRLRASAPTAVATAAAKPLGLDPCPKEEAPTAPLTASERWSEPPAAPSPKERYTAYYRDTCRVGCCDEDGGDDDDDREDDAEEHDDDDCGVGAYRTPSETTNSDPFGWEEPVVRSLPLSPTAAEVGLGPGRYRSPRALGGSVVQLWDQVVGGGLLTPTASPRRRGRVVATAPGF >PVH47696 pep chromosome:PHallii_v3.1:4:9549693:9556572:-1 gene:PAHAL_4G120700 transcript:PVH47696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSADPLTSPDPDMPPRKPLAGGGGKLKKPLTEKQRAAAEQRLAHLRAHLLLRPLDSPAAGAPALPPPHEAALRALGLLGFARLDLHSDAPRPDLVASLVAFYDPVCKRSFVRGVRVAVSRHDLARALSLPPKPASAAAAPPDVDPAAVAPAVMQLLQDYVLLPFQGDDMCILPQEVAAAEQAVREGSAHRVDWAGLIWGLVEKELLELPKRDDGVCYFGPHLQRLIWAQKPNLFEPLDGGERGEAVPEASVDVEMDEEDGDADIDVKAKSLVELEVVDADADADMDAGGKSLEESVSGNADVRSGGLDELEPGDAVTRNNVLEKMGLGDADAKNNIEELEVVDEDARSKSLDKSEAVDEDVRSKSVDEPEAVDEDVRSKSLDELEDADEGVKDKSLDESGTLDGHANSTNLDGLCLEFGAVEAVLAEAMPDVKEDAGNAEPAGADDVAVAAEEDSEEPLVDAGVVTQEEVVAVAEEVGDDEGEDEENDAMGLSLGFNSTNGYDSMDVEEETHVENLDEGVSDNEEAEESEDDAYEEDNGGEDMNWRIGDDNGDEGMTHSLQRCNTFGGMEFENLNKGEAEMRDELGFDDFSARGSLERMTSSNLLQAMNSIPSSYNITDNVHGLSGEFLSMGADAHKSGVDLEPGSSYLFGNNGKRHIGDIDGYNGNMQAQEQFPQCNQQKRMRHSNSSSISPASGFFNANFSVPIQNLMVEASRLYEQKEQELQSLQFEKQHWSDMLQQKEALIQSLNSARFEQQNKYQAELRRFEHDLNVMAQLVTSYKKALKHTRASFDEYRKKFPCNKPLYGDVTGGGGLVLSVKELERIRFEEEQRKLAAANEVIDKFQHEWFLKLDEWSLSVNSLWSRMEGLYKEIDLLKESRRARFATPATEE >PAN25544 pep chromosome:PHallii_v3.1:4:49509812:49515767:1 gene:PAHAL_4G309800 transcript:PAN25544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPSVAREVAEIAAEPDRAAAYAWLLHLQRGCADDPSAAADLAAELPSPLLPLLLRDAADPDEAVAAPALKCLGFALYHPVLVSTISAQMAQAVLDTLVQLIMNTRMKSVCNLGIWCVSVQQLEPSIIEDRAYPVVTAIVHALDNPFGSLSTTFEAAQAIMKLACQSHKRMRELSSLWVPPIYRRLLSADKPERDMAERCLIKVSCVILPPQPLLSKAVALDLERKLLCRMMSMLDDPSKKVQAVRSWGWIISLLGTDAVNNRPLLNKLLKVPEQMFIDLDTQVQIATMVSWRNLVDAFFPSQASESVGQETVVAPLEPREHATAQVKRTRLIMVPLCRVLSRSRNIVLSSSCLSTWNYLLHRLGNLINHLPILEAAFGPILKIIFSFGINDQNKPLWSFCMSLFHDFSSSKSRHREDLCTPVNQNLVAQSCMHLKALLNAQHIKWLPWEIGCFHFQIDILGTILNPELFQDMIPEKMLIVMDSATEIFRFVLVGVQIELKEKGSCEQVRLCITDLCRFVKTKLFLDHVGKHSGNKCAMLLDFGLQFVKVIVGELDHSLLNSEKIEICLDIKYIKENQSAECSPKVSFPRIRPLSYMEMVSPAVYATALSLSMVAQYTGELSHGDTEKLAFILSSSDILKSLHAVVSFMYMQIMCPMFNRQRLKWLMVWNIVAKHLNEKLISYLNTSFRASSCNVLHQFFCYPFFSFLYPGGLSVLWNAENSSCAPVMQDLEVELAVEVYRSLCTSSCNSKAASKVFFEGFYDYLVNIIDEHMALNIQHCPEKFENTAILSVLGEVVIGLLENDQILAYANQELNETNEDFTGCRLPNLLLSCLNLANRFMRFSIFGFKANPTGQHQVTSRFFQSLSNFVGHAILKKHILLLFEIIGDQLTEWFSLSATLYCEMQQGKIIYQLEKLWLKILECLNMSQLISDGPFRQKQRLLQVALNHPHHVISAATASACRAEANIKISLHSGCLGPKLDGLLMDRRKDHNSSSSTDKAIAREEIDTSSRLALPTSKKRTKHTDRDAGSLKISAGLGRKRLKIMKYSTKPKELNKNTARVGGISSRIDSVFSPRCVESKECRKPELILEMLKRKRTFSN >PAN22737 pep chromosome:PHallii_v3.1:4:2577526:2579680:-1 gene:PAHAL_4G042000 transcript:PAN22737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAARCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >PAN24657 pep chromosome:PHallii_v3.1:4:43786858:43788129:-1 gene:PAHAL_4G242600 transcript:PAN24657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSDEALAIVVPILVYWVYSGMYMALGQSMDKYRLHPRREEDSKNLVSKREVVMGVLLQQLVQAAVAAVVFALTGDSSSSSTTAQDMRGGSPSSFLKLARQFAVAMAVLDGWQYAWHRYMHLNRFLYRHVHSWHHRLVVPYAFGAQYNHPAEGLLLDTLGGALAFLASGMSPRASIFFFSLCTVKGVDDHCGLWLPGNVFHLCFWNNTAYHDVHHQLRGSRFNFSLPFFVTWDKVFGTHMPYVLEERPAGGLQARPLMARAARSNGHEN >PAN25329 pep chromosome:PHallii_v3.1:4:48298150:48298806:-1 gene:PAHAL_4G293100 transcript:PAN25329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSNAAPPERSSPPPGERRRSYKGVRLRKWGRWVSEIRLPNSRERIWLGSYDAPEKAARAFDAAYLCLRGPGGAGGLNFPGSPPDVGRTSDPEEVYAVAVSHANRAAAVDAAAPWDDATEQPSEPHDGGAPVHAAAVPPAPAAAPLQVPARSSDWAQLLMADLPPLFSPTYAENHGYLPVSPTAADVNMDEIGSGSCPGLWSFDPSGAPVTDHVDI >PVH48534 pep chromosome:PHallii_v3.1:4:52283094:52286345:1 gene:PAHAL_4G351500 transcript:PVH48534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPCLPLLRCPQLLLPRPQPAVCRQLAIASSPGLRSTVAFSVSGASARDPPRRAAVSGRAVREEEGQQWGHAGDDGGEDLGEALDRTRQLVECAMFAAVAGLAYFLSNSLGIENYFSCFFPLPIVISSLRWGLEAGRKTVVATVLLLFTLSGPVKASTYLLMHGVVGLIMGTVWRFVHWCMAASDNILSSSVIRLETNWIVSIILCSIVRALGACGYVLVSSFLIRENILALITVNIHASLTYILAAAGVNTIPSMDAIYVLFGTLLLLNCGFFVFLLHVLYTVFLTRLGIKPALRPPRWLDRAM >PVH47753 pep chromosome:PHallii_v3.1:4:14245667:14249905:-1 gene:PAHAL_4G142300 transcript:PVH47753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWYFPKMKKNTKSTLRIVLQKLRENQLYAKLSKCGFWLKEVSFLGHVITDGGDAVDPSKYQDVLNWNAPKTVSEIRSFLGLTDYYRRFIEGFSKIVKPLTSLLEKGKEIEWGVACQTCFEELKTRLKTRLIIAPMMIMPDIHKGFDVYCDASRLGLGCVLMQDGKVVAYASRQLSKHEQNYPTHDLELATVVHALKIWRHYVIRNKCQIFTDHKSLKYILTQRGLNPRQCRWLELAKDYDLDIQYHPGKANIVADALSRKSQANMAIARLIPQELCWEMERLNLGIIYHTEAGTMEIEPTLEQEIRKGQLTDAKIKEIKTLIGLRKALDSTEDEQGTIWFRKRICVPDIDHLRELILKEAHDLAYSIHPDSTKMYQDFKDKYWWYGFKRDVATHLALCDICQKVKAEHQRPAGLLQPLKVPE >PVH47770 pep chromosome:PHallii_v3.1:4:15838104:15839390:1 gene:PAHAL_4G146400 transcript:PVH47770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSFLPPFLMGKWENDAKKAEKLKEAVNQRPKFRRPFGKIYQAINADTLRAQNMETWLYFNQDSCSMGASLNLLSC >PAN23880 pep chromosome:PHallii_v3.1:4:10851477:10853402:-1 gene:PAHAL_4G128300 transcript:PAN23880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSVLSKSTTSPSPAHLHRLLPASSYHTTTPLRLAPISAPSPLPLPPPAQSPSFLSPCPSPSHNHYATTLRSCVLSRAVRPGRQLHARLLVSGLGLDTALATRLVDLYASCGHVSHARRLFDGMHQQRNVFLWNVLIRSYARDGPHEAAIEMYRAMLAHGGVEPDNFTYPPVLKACATLLDLGAGREVHERVAHTQWAADVFVRAGIIDMYAKCGCVDEARAVFDGTTVRDAVLWNSMIAACGQNGRPAEALALCRDMAAEGVGPTIATLVSAISAAADAAALPRGRELHGYGWRRAFGLQDKLKTSLLDMYAKSGLVRVARVVFEQLVHRDLISWNSMICGYGMHGHADEALALFSKMRSVGQVMPDNITFVGVLSACNHGGMVNEAKEFFDLMVNVYSIKPTVQHYTCLVDVLGHSGKFKEALDLINGMLVEPDSGIWGALLNGCKIHKNVELAELALQKLIELEPEDAGNYVLLSNIYAQSGEWEQAARMRKLMTGRGLKKIIACSWIELKGKSHGFLVGDASHPRSDEIYEELERLEGLISQAGYVPDTAPVFHNVEDDEKRNMVRGHSERLAIAFGLISTPPGTKLLVTKNLRVCEDCHVVIKLISQIVQREIIIRDVNRYHHFVNGECSCKDHW >PAN23385 pep chromosome:PHallii_v3.1:4:6090351:6095000:-1 gene:PAHAL_4G087800 transcript:PAN23385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEEDARRRAAVAEYRKKLLSCRELEARAKTARENQKNAKKNLEKTEEDLKALQSVGQIIGEVLRPLDKERFIVKASSGPRYVVACRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNELGRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIQEDFMKAVRKLNEAKKLESSAHYSADFGKE >PVH47774 pep chromosome:PHallii_v3.1:4:16485431:16486291:1 gene:PAHAL_4G148500 transcript:PVH47774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPSLLINALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFLPAIRTLVWEARVRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVNSEAVAQESLRQARDQRMQEWTQSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPENPESSAAAVEWDAAAQPLTDGNPENGEQGLLPPPAPEEGMPHE >PAN25376 pep chromosome:PHallii_v3.1:4:48553577:48555744:-1 gene:PAHAL_4G296700 transcript:PAN25376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAASSPAPHVAVVAFPFSSHAAVLLTFARALAAAAAPAGATLSFLSTAGSIAQLRGAAGADLPGNLRFVEVPDGAGAPAAKGAAPVPVPRQMELFMAAAEAGGVKAGLEAAGAAAGGARVSCVVGDAFVWPAADAAAAAGAPWVPVWTAASCALLAHLRTDALRADVGDQAASRADELLVSHPGLGSYRVVDLPDGVISGDFDYVISRLVHRMGQLLPRAAAAAVALNTFPGLDPPDVTAALAETLPNCLPLGPYHFLLPKDTTDAAAPAAGDPHGCLAWLDAHPARSVAYVSFGTVASPRPDELRELAAGLESSGAPFLWSLREDSWPLLPAGFLARAAAAGAGSGLVVPWAPQVAVLRHASVGAFVTHAGWASVLEGVSSGVPMACRPFFGDQRMNARSVASVWGFGAAFEGATITRAGVAAAVGALLRGEEGARMRARAQELQAAVAAAFAPGGACRRNFDEFVEIVCRV >PAN25156 pep chromosome:PHallii_v3.1:4:47412387:47412928:-1 gene:PAHAL_4G280100 transcript:PAN25156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLASTANKMHRMMQSSSTSASVRSTCSKHTTTCAICGEWL >PAN22622 pep chromosome:PHallii_v3.1:4:2002334:2005757:1 gene:PAHAL_4G032200 transcript:PAN22622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQAALAEGNKPASTPMLYSCALCGKEYRSSQAHAQHLNSRSHLMRASQEPNASIAGITIVKPLPERVPRRAPSALEEDENEDEEEEWVEVDPNEMELADESTSNMQVDEQSSKSDDVMDDLEELDISLCFMCDLKHDTIEDCMVHMHKKHGFFIPDSEYLKDPNGLLTYVGLKVKRDFICLYCNDRCQPFLSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDVEGKQLVAADDANSNFELGSGGSELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNRTGVDTMRTKIGMKSNVIRNLPKNCPY >PVH47986 pep chromosome:PHallii_v3.1:4:37007952:37008873:-1 gene:PAHAL_4G208500 transcript:PVH47986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPAGHLHTNALHWEGFPRLLWESLRSLLYTEPPQFDVVEYQEKGVRRCRVRMTIPQHPFRSQWQPIEVNVVGHRIVDTIEGATLEAIYLFCNQHPREVAGQPIGLFSTTDPNDPKWNLRIVPKGHRLEGSTEEALQGTMRFMNVQHHYQLLLRHVSRKVIRNADRQVTQTAQLQALVAEKDEIIAAREETIPHREDQINESDAIITQHNRIIEFLQEQIHDLILEVDDAQAQINELQQQLAPPVVPAPEEEEDPEEIEGVSEIDSKHGDPGISPHHSSSGSQSSVGNFDDF >PAN25458 pep chromosome:PHallii_v3.1:4:44862844:44865501:-1 gene:PAHAL_4G249000 transcript:PAN25458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSIQRVDHQYIYTTIQMRSTTTVPCICVRGGNLSDRSAFTTQDMIKEMKRRSTTNASCANATFVHLALLLLVAASPSFLQHCLARRESHHRPPPAPVMPPPPPPSAATFSVLDYGAAGDGATDDTKAFADAWSAACAGGAPTVLVPASYVFLVGPITFTGDSCEPNMVFQVDGTILAHTGSTAWRSGVLTQWLEFKNVRGLTIQGRGTVDGQGSHWWSGGSVAGDAEMELDSDRAETSNRPTAVKVFQGASVTVAGITIRNSPRFHLTFDTCRAVEVHDVTVSSPGDSPNTDGIHLAGSVGVSIHHSTIACGDDCISIQDGCSDVFIRSVHCGPGHGISIGGLGKGGASAAVSDVTVQDVTLKQTMTGVRIKTWQGGSGSVRNVRFSGVRVSAVKTPVVIDQYYCDHTTCANQTSAVAVAGVAYQGVAGTYTERPVYLACSDAAPCSGVHLADFQLAPVEDGGGDHPHGPFCWKAYGDQVKPVEPPVDCLLAGAP >PVH48306 pep chromosome:PHallii_v3.1:4:49168613:49174550:-1 gene:PAHAL_4G304600 transcript:PVH48306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLLEQVLTALALWSLVISTREAAACIPGERDALVAFNASINDPHGRLSSWQGENCCDWSGIICRKETGHVVQLDLGGYALQGEISPSLAGLTNLVYLNLSQSDFGGASIPEFIGSFKLLRYLDLSSAHFGGPVPPRLGNLTRLQYLNLSDSLMITVNNFHWVSKLTSLRYLDLSWLYLATASDWLQALNMLPLLQELHLNDASLPATNLNCLPQVNFTTIKILDLKSNSNMNSSLPSWIWNLSSLSELDLSSCGLSGRIPDELGKLTSLRFLSLADNKLKGGIPGSASSFCNLVHIDLSGNLLSGNITEIVKGLLPCMNRLQILKLANNKLKGYLSGWLEQMASLRILDLSKNSLSGDVPASMGKLSNLTHLDISFNSFGGKLSEVHFVNLSRLDTLILSSNSFKIAMKEGWVPPFQLRELGMHACLVGPQFPTWLQSQSRIEMIDLGCAGISDVLPGWIWNFSSSVTSLNISTNNITGMLPASLEQLKMLTSLNLRYNRLEGSIPDLPTSVQLLDLSYNHLSGSLPHSFGGNELYYLLLSNNSLIGAIPEDLCNMVLMEVIDLSRNHLSGEVPNCWNKNSNLYIIDFSSNNFWGEIPSAIGSLDSLITLHLGKNNFSGTLPTSLQSSNRLVLLDLGENNLSGNIPKWIGDSLQALQFLNLRSNQFSGEIPTQLSQLNVLQCLDLSNNKLSGPVPHFLGNFTAMHHNPEWSITTPFIAFMVYGVGGAYFSVYTDTLQMTYKGYTFTFTRPEYLKGIDLSANQLTGEIPSELGFLTGLASLNLSRNCIGGSIPDELRRMTCLHSLDLSWNGLSGPIPQSLTSLEGLSHLNLSYNYLSGNIPLESQFATFVKDSYLGNANLCGPPVSRICLPNSSKHRHHKLPHHFDTMTYLYMLLGFASGFSIVLVILISSAAARKAYFEFTDDLLRSTSCKLQQR >PVH48385 pep chromosome:PHallii_v3.1:4:50182985:50183647:1 gene:PAHAL_4G319200 transcript:PVH48385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISQSCEQLKQDNSEAVHTTSQSGHRALPILRGDVAESANQLGHNGCFVGKDQSLIAQNLQDEL >PVH47213 pep chromosome:PHallii_v3.1:4:253748:254143:-1 gene:PAHAL_4G004900 transcript:PVH47213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKVIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRDIPRLTWNETVKRDLKERNIAKELAMDMSAWRLAINVAES >PAN25880 pep chromosome:PHallii_v3.1:4:50929643:50933654:-1 gene:PAHAL_4G331300 transcript:PAN25880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLCAGKKKLLLLMAASLILQHSSPAAADGAGAGNSSIAKPGCPYKYNVPILNTSGTPLLEINLTFGEARIQNNIPQACNITKLDMLMGAPVPVQRFFMVSRTRNIFTAVGCSTVALIAGDIETPIGENGGFSFYTISACGSFCAEDTIDSTSTDCSGRGCCQTAIPRNLKSFFPVFLNNSLIRAQNFSPCSYAFIAETGWFSFDPSYVTSQNLQNQFGFGPPLVLDWVVGNGSCEAASWKTGPSYPCADANSECVDVPSGPGYRCNCSTGYEGNPYLAGGCRAGTQSKDPKSSPCTPIPDTNKQPQVKVVIGISICFLFLIVCIFSLLIEYQKRKLAKEKEKFFRQNGGHILYQKILSRKIDTVIIFTIEDLKKATDNFDRSKELGTGGRGTVYKGVLGDNKVVAVKRSKIMNLTQTEEFVQEIIILSQINHKNNVIRLLGCCLEVEVPILVYEFIPNGTLFQLIHENNGGPPVSLEDRLRIAQESAEALEYLHLSINQPIVHGDVKSLNILLDNNYKAKVIDFGASRMLPRDAVQFMTMVQGTLGYLDPEYLQERKLTEKSDVYSFGVVLLELIARKTAIYFERPEEGKNLASSFLEAMKGNRVDELFQEVVELASWCLCLKGDERPSMIQVADKLKAVRSTWRDILLQKHEESQRLAERLGADSVCDLSPSMYWTAGMLGVDIETPHVDHAGTIATSRIG >PVH47288 pep chromosome:PHallii_v3.1:4:1815553:1821748:-1 gene:PAHAL_4G029000 transcript:PVH47288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRPRKTTKNHQLYTARFLTILLLSVTRGHGSAVPRRQRHDPACRMCKCEWMGIDAPEHESSGKVFILMCFLFFLEEPRQPNIPTRSPELALNPFKSVFFFETRCQGFAYKICEERIIIREKSKRCGSGITGRVLGFFPYVGYIVFVLAAENQRARPSCSPERFSLCQTVHELLPGSWATFVHGPSLLPSNLSALKLDWWAASIVVLVACKRKAQARCSSRKPKEEHVGGIHAETLLKSRLLVTSHTARVASRDETIPSGSVAFIQAACGCYRHRML >PAN23830 pep chromosome:PHallii_v3.1:4:9656415:9656957:-1 gene:PAHAL_4G121600 transcript:PAN23830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPYPPGTTISQSPPPPAPPSNGNYSMIAGLTIAFGIVTFVLSYACRLFRQDHAGAEATEAAADLGAARSGATTYTVPPEPWDDGDEQRRRRVSPTAGLPSFTYDRSVMHNVTSRGEEAATCSVCLGAFQTGETVRLLPVCLHLYHAECIDPWLDAHSTCPICRSGTDPVTAGRLHLPV >PAN25459 pep chromosome:PHallii_v3.1:4:49000180:49018457:1 gene:PAHAL_4G302800 transcript:PAN25459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPRGGGDEPPPPPPSASAGNEPVTPTSAHVSGLNRRGSRGAVATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLAKRVKKSDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIIRLHKDVQEKKDIYAPFNILPLDAASASQSIMQLEEIKAAVAALRNTRGLTWPPTFEPQRQKGGDLDLLDWLRSMFGFQRDSVRNQREHLILFLANVHIRLEPKPEPLSKLDDRAVDVVMTKLFGNYKKWCKFLSRKHSLRFPQGAQPQEIQQRKILYLGLYLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIRKEAGKSQHGKTPHSAWSNYDDLNEYFWTPDCFSLGWPMRDDGDFFKSVHDSKPVTMAGSSPQKVSTKSTGKTNFVETRTFWHIFRSFDRMWTFYILALQAMLIFAWNDYSVTQILQKDLLYSLSSVFVTAAFLQFLQSILDFILNFPGSHRCKFIDVLRNILKIIVSAVWAVILPFFYISTAAKVNLPLKNLEKWFRYVKGVPTLYMLAVAVYLIPNVLSAALFLFPMFRRWIESSDWIIVRLLLWWSQKRIYVGRGMHESQVSLFKYTFFWILLLCSKFSFSYFVQIQPLIKPTKDVMGVHNIRYEWHEFFPNASYNIGAILSLWAPVLLVYLMDTQIWYAIYSTIFGGMTGALGRLGEIRTLGMLRSRFHSLPGAFNTYLVPSDKSRNRRFSLAKRFAEVSPSKRTEAAKFAQLWNEVICSFREEDLISDREMDLLGVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNVVVVGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVFCKKFVELISTLKERDSSKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKERRQLFAGSGTKPAIVFPPPVSAQWEEQIKRLHLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKSDLDLENEDGVSIIFYLQKIYPDEWNNFMERINCKRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAVADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVRFAENYRMYSRSHFVKGLELMLLLVVYQLYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPSNKAWESWWEEEQEHLQSTGLFGRFWEIVLSLRFFIFQYGIIYHLNISAGNKSISVYGLSWLVIIVVVLVLKVVSMGRKKFSADYQLMFRLLKLSLFIGSVGTLAVLFTILHLTVGDIFASFLAFAPTGWAILQISQASKPVIKACGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQR >PVH48532 pep chromosome:PHallii_v3.1:4:52259834:52260246:1 gene:PAHAL_4G351000 transcript:PVH48532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGSVAPCAQGARHWSLAPGGAPEPRLRAAACRTAGRPQRVARSCLVRSGGPRRRPLVA >PAN26132 pep chromosome:PHallii_v3.1:4:48824073:48824385:1 gene:PAHAL_4G300800 transcript:PAN26132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCSCCCRCLELLCSVLLPPLGVCLRHGCCSMEFWISVLLTILGYLPGVLYAVYVICSVDPHRRHDPDDYVYVA >PVH47815 pep chromosome:PHallii_v3.1:4:19718710:19719887:1 gene:PAHAL_4G159600 transcript:PVH47815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVRPGGEVLDTFPYFVFGVLHLISSAVLGFGGIYHVLLGPETLEESFPFFGYVWKDRNKMTTILVIHLILLFILVAYMILGPGGDVRKITNSTLSPGVIFGYLLKSPFEGEGWIVSVDDLEDIIGGHVWLGSICVLGGIWHILTKPFAWARRAFFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQRPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSTEYMTHAPLGSLNSMGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPILYMTPLN >PAN22791 pep chromosome:PHallii_v3.1:4:2901222:2907248:-1 gene:PAHAL_4G045100 transcript:PAN22791 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MEDGDLSFDFEGGLDSAPAVGGPVPSSADPGAGGGGGGDGPGMHGRGRGRGSYRQTVCRHWLRGLCMKGDACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPSCRYKHVKLPGPPPPVEEVLQKILQMRSFNRYGQNRNNNYNQQGERPQYPQGSGLPNQNVAENATATAPPTGGQQAQMANQQPPQQQHKPNTNDQVQGASNGHQTTRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESTENVILIFSINRTRHFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSMQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLIAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEEEGSGQESQGRGRGRGMMWPPQMPMTRGPMMGGRFPPNMIGDGFGFGGGFGMPDPFGMPRGFPPFGGPRFPGDFARGPMPGMAFPGRPPQPFPLGLDMMMGPGRGPMMGGMGMGGPGRPNRPMVMAPFMPPPPPNNRAGKREQRRPGGDRGDRFETASDQGSRGHENTGNSGAEGARSQPGDRYGRNALRDDDSESEEEAAPRRSRKR >PVH47858 pep chromosome:PHallii_v3.1:4:22953656:22953962:-1 gene:PAHAL_4G169100 transcript:PVH47858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRYNYYAYGQRSLEDRESWASIKYWRQARQLVHSHSIAMMSPRFTHPIFASISYQSASEQDDPAQHYYALLQALSTIL >PVH48215 pep chromosome:PHallii_v3.1:4:47343543:47344601:1 gene:PAHAL_4G279400 transcript:PVH48215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPRRCSERCETLGPPALSPLPPPLLLRRLRGGAPLATDMPPLLLPRILSISDVRHAAPIPSKSPPPRRIPTSTAAAPQIRRAAPWESS >PAN23833 pep chromosome:PHallii_v3.1:4:9670348:9671130:-1 gene:PAHAL_4G121900 transcript:PAN23833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPTPTMPERQQRQTTGTIIFSYTCVGLTGSALVAVLVFYCYNHSRRRAPVSAAGAGVEGNPGAGDHHHVGVDVTKLPEYAYTQSSRRRGNGGDGAQCSVCLGAVQPGEMVRRLPMCKHLYHVECIDLWLASHATCPICRSDVEPAADGQAEPTTTQPPQALPPV >PAN24597 pep chromosome:PHallii_v3.1:4:43155843:43156823:1 gene:PAHAL_4G237600 transcript:PAN24597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSLLLFGVLLASLLLVTKDVAAARNHFVEANESEGKNMKLNSEAKLNDEKGAHGYYGGGYGGGYGGGSGGGYGGYVPGRGWYGGGYGYPGFSGGYGGGGGGGGYGGGSGGGYGSGGGYGGGYGGGDGGRGGYSGAGYYGGGGGGWH >PAN25682 pep chromosome:PHallii_v3.1:4:50108318:50109232:-1 gene:PAHAL_4G318100 transcript:PAN25682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQERVAEPTTIRKLVRVFWDDRDATDSSGDEAGAGAVRKFVREIRVVEHRAPCKVITPAPAPAGRVAAGGGKRKAPGVPAAEPRYRGVRKRPWGKYAAEIRDPYKGERIWLGTFDTAEDAARKYDSEARRLRGPSATTNFPEAPPTPVLARPSPHAIPAARFGAADISSAEESSDESQLVGSPVSVLLRAMPGETDDAAGPPALKPTTDAADPTAEDETSPISADALLPQLDEEAFPFAGIITAPFGDPTLGVMFEDLAAPRLDHLADDDLGDLPLWPGADGCRFSDIGDGDFFAAE >PAN23707 pep chromosome:PHallii_v3.1:4:8244235:8248472:1 gene:PAHAL_4G111300 transcript:PAN23707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVSGSAAVGDAAGAATYPVMLNVYDLTPINNYLHWCGLGIFHSAVEVHGSEYSFGAHDYPSSGVFEVEPKNCPGFIYRCTIFIGRTSLSPMEFREFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLARLGAFCNCLLPESMRLESTETKNIADCRFSDGSHTTSNDNFDEDDLEDKHLLPTSSAGEDAIVKEVHR >PAN25022 pep chromosome:PHallii_v3.1:4:46383296:46384687:-1 gene:PAHAL_4G268400 transcript:PAN25022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGSAADVVVVVAAHGRPAAQEILAAMEERMHKTTERIESHVAMMQARIHRFPRGLRGIGGEDGRYIVPSVVAIGPYHHGLPHLQEMEEVKHAAAHRFCRDAGRPTEEVYERILSLAGDARHCYAPEDGAVARLGDAELAVMLFLDGCFLLQYMGKSDDEPMFAGCNLSSGQAILKDMMLLENQIPWLVLDALTEFLPLDVRQFVADVGEKFFPKEKVTGWMWIRRFQMFLTKRRRRCVPAKTESRGQSTISYRPAHLLGLLRFSQLQSMPGNERAYQAGSSSLLSSSAVELAQIGVKLSASPATWLGDMSLGKKLVLGELCLSPLFLNDVTACWLVNMAALEARTAWDSDGFVVSSYLSVVAMLMDRKEDVHELRSKGVLRSLFSNTQTLAFFKGLGQHLRLGGRYVVVLEQIESYKRNRPVRIAVHRFLYKNYKIIAAVLSIAGVIIGIFKALLALKTG >PVH48367 pep chromosome:PHallii_v3.1:4:49936720:49940336:1 gene:PAHAL_4G316000 transcript:PVH48367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYEGHGMLHVVYFNKSGLGEWRISYRNKFVDSDTFQLEREKNEVAFVPFADGQLNATLAASVLNILRFGKAVKDSANTNVFEHAGRAFAVSENHLPYEIDINNLNTLGPYSISGAWSQPFTSHPKKIQGSGDLVIMGTNIEKPHYVLGVISSDGERLLHKVDLKFEEGKFIHDIGVTTRYNIIMDYPLRFGISRTLLQKPFIENDMNGKSRIGVMPRFGDAESIIWFDVENHCSYHLFNCFEGGNEVVVRGCRILGSVIHSDRHRVDKSKWYGRAFLQPDKDSKDFDPSLDGILFSRPYEWKLNLESGTTNEGYITSKKVAMDFPVINDKFIGIRNYMGMLKLLTH >PAN23147 pep chromosome:PHallii_v3.1:4:4733133:4736633:1 gene:PAHAL_4G071200 transcript:PAN23147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVCGKRSSSIFADELLPPSPPSPHHHHPAAKRSRRSPPHRGRREALLLQLIPLFPDMDPQLLEKALEASGDDLDSAIKSLNELRLESTGFKSENGQHTVIQPSVEGIPNGGVDTATEHPPAADNYQTSNNGSEWVELFVREMTNASDIDDARARASRALEALEKSIVERAGAEASQNLHKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSHEVQSLKQLVLQYQEQVRTLEINNYALTMHLKQAQQNNSIPGRFNPDVF >PAN23377 pep chromosome:PHallii_v3.1:4:6056664:6060800:-1 gene:PAHAL_4G087300 transcript:PAN23377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVFGRVFGKSKQQSQATALASLDKLNETLEMLEKKENLLVKKANLEVEKAKNFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPMGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTTAPVRVPTAQQSSRPSAQTSKAEDDELAALQAEMAM >PVH47423 pep chromosome:PHallii_v3.1:4:3781735:3782046:1 gene:PAHAL_4G057600 transcript:PVH47423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWNGLSSFYIIPYIILVLKSNRLHLYHFLSLFGDVGTIMCLGPSCLVLPILKYTFLFIVCTFISTIRDMIGHATCTQCSSLMKMGEDQFCGKVTLYTGRLLT >PAN25420 pep chromosome:PHallii_v3.1:4:48783199:48786491:-1 gene:PAHAL_4G299900 transcript:PAN25420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMLLTGISSPNLLVIPTMPRFLALITIAIAVVCSNHASAVDPQASDHTALLSFKSCVWGNLSDWGSPKMCNWSGVTCDSRGRVIYLLLSNSNLTGVISPAIGNLSALRRLDLQSNQLSGSIPPELGMLSQLEELNLNINLLNGPIPEALGLLKSIAYLSMYGNNLTGSIPEAAVCNCSSLTHINLRTNSLTGEIPFSARCRLPYIKKIILYENRLVGAIPSSISNFTSLDWVLLQSNFLGGELPSQMFNTMPSLKYLYLSNNNFSSDGGNTNLEPFLASLVNCTSLEELGVDSNSIGGKIPPMFGNLSTNLTKIYLYDNKITGAIPHAIGNLPLLTDLCLEDNMLEGPIPSEIFQPRWLTRLVLANNQINGEIPKSIGLAQHLNIIHMSYNGLQGTIPGTLSNLTKLGYLVLDHNQLSGAIPPGLSCNMILDLSYNKLTGQIPTGVAGLSSLQLYLNLSNNLLEGPLPLEFGNMDKIQALDLSANKLSGAIPAQIKGCAGVEYVNLSRNFLQGTLPPSIGALPSSLHVLDVSFNRLTGMIPQSLQASPVLWFANFSYNNFTGEVSSEGAFANLTGDSFLGNPGLCGSMLRMVSCRGKHGHLLYIAIIVVVAIAAGLLAMVCIVDHDLMNSRLRLTPPSIQLSHFRKGPDNAVGQKEGEHPRISYRELVDATDGFSEVNLIGKGGYGQVYRGVLHGGTEIAVKVLHQDQVGGEVIAGSFERECRVLRSIRHRNLIRVITACSTPDFKAVVLPFMPNGSLDGLVHGPPRGGGKPEGPRRLDLDLLLSVASDVAEGVAYLHHHAPVKVVHCDLKPSNVLLDADMTAVVSDFGISKLVAADARGPEMGEASMSVCNSITRLLQGSVGYIAPEYGLGGRPSTQGDVYSFGVMLLEMISGKRPTDVIAEEGHSLPEWARNRRLQHDVDAVVERSLPRDPPSVLPFGPPGREMEAIVVTELLELGIACSQLAPSMRPAMDDVAHEIACLRDGTWRKYRATDLKAIDQIKSNKH >PAN22510 pep chromosome:PHallii_v3.1:4:1495297:1499705:-1 gene:PAHAL_4G024000 transcript:PAN22510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKMALVKQRTALLDSGGSDSDKDDDYHPMDDELSVVVAHQPVAIPCGDTDDDSSEVIPIKMVRPRRVRRNRRKLPGVPQTDDAKHISKDIHITTVVRNF >PVH47856 pep chromosome:PHallii_v3.1:4:22641237:22642949:1 gene:PAHAL_4G168500 transcript:PVH47856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIPNVTFKVEKWNPNAGAKSKLDTSWFRIIGIPLEKRSEKIASYVGSLVGIRLEVDKGNLRRWDYVRVRIGCRDVKKLPASVEGLLDLHFYEFTFKREVPQAGVTNASGTTWTRIDDRSNEDNPSPKEPKRSDGGDSQSRKNSDQGANTSDIGKQVRLLRIWRLIKKRMSRTISGREYVIDRNQEEVQESSQPRDKKVQNAKPDSKVMGQEHELETIEEESEDQGLCFDDLISPGGEHFNFGSFQQIEVKQLSSVKLHENTSTMINEYGTNMFKSKFDPLTVIEAKNDLIFGKSSTQTKQGGVETKSPSQETREPPVVWSSQEEQWSNIQESEIDYGELGLTQTQEGMQSEQVTDQNMDLDGKVEENSNKAEAEDTIEESREELKNMGQSSRIKNQGMDGLKASEKAERLKRKHNLEGNTLDTQELIYRANLMGVNTDNLSLETFDILRELENARSNLFKRNTELSTEPTDEPQINLPSEEIKYIDWKSDSLNKEGFQMSVSKREIPQPSDGGFSLKRDVPMISSRYNLRKCAAKNKVN >PVH47534 pep chromosome:PHallii_v3.1:4:5622658:5624862:1 gene:PAHAL_4G081900 transcript:PVH47534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQSVEEAWIFWEAYASRTGFEVRKRYTNKRKFDGKARSCRYVCAKEGHRKEDKRDHLTKCPRAETRCGCEVRMSLALDQELGYYKVTDLVLEHNHILHTPETFHLMVSQRKISKLQAFEIEAAHNSGISPKDAHELASIQVGGSFNLSYTCRDQRNYLRTKRQSEMAHGEAGSMLKYFEDKTKENPSFQYVLQKDCDGQIANIFWADAKMVIDYAHFGDVITFDTTFGTNKESRPFGVFVGFNHFREMVIFGASLMYDETFASFHWLFDTFLKAHNGKQPKTVFTDQDSAMGKAVEQVFTEAWHGLCTFHISQNALKHLHEKEILKDFSACMFEYADQTTFEDAFNTIRSKVEKQTWLDSIYKLKEKWAACFMKDIFTLGMRSTQLSESLNSDLKEYLKSNLDIIRFLKQFERVVQGKRNKELDSTFDSRKKFPRIKMRTSMLLEASKLYTPIIFEVFQDEYERSMRACSRLLDEPNKYRVTIENLDQKPTFEECEVIGNPLEQSVICTCSQFSRIGILCGHALKVLDSMNIKTLPTQYILKRWTREARHGTIQDNHGRNITENPMLDSMLRSRLLSHKFHSLTDQVAGSLDCCLLIDSTLDILIKQVEEKMHACRITLEDPCAGHITNTNVEVSNDLMGIRLKKKEVRTSTSRRKRTWLDKKRMPRKKNESNMLTALVSKNDSLTAQITSDSCSRYNNTSVEEYGVISSFTQLVTVILLYLNVQFDVSELF >PAN22494 pep chromosome:PHallii_v3.1:4:1436283:1438251:1 gene:PAHAL_4G023000 transcript:PAN22494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSLPPLIRKMHRWILPSCGDIRSSRTSSSRRRVAEAPPTSPPKLRKVVSEGTLAVPKDVEEFRTMSAYGFLKLFTYEDLRLATGDFDPGQIVGEGGFGVVYRGFIDDAVCKGFAPTEVAVKELNPEGLQGDREWLTEVSYLGQYSHPNLVELIGYCCEDDHRLLVYEFMAKSSLEHHLFRRSCSLSWTMRVAIALDVARGLSFLHGAERPIIYRDFKTSNILLDADFNAKLSDFGLAKAGPMGGATHVSTRVMGTYGYAAPEYMATGHLTVMSDVYGFGVVLLEMLVGRRAVEPSRAGGREGSLVDWARPILIRPKKLDRILDRRMGGPPARILGRVPRLAYDCLSQNPKVRPSMSRVVVTLEAVLAAGAEEEGEEEGAAAAEA >PVH47809 pep chromosome:PHallii_v3.1:4:19362330:19363211:-1 gene:PAHAL_4G158300 transcript:PVH47809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNRVIAYASRALRTHEQNYATHDLQLAAVVHALKIWRHHLMGTKCHIYTDHKSLKYIFTQADLNMRQRRWLELIKDYDLDVHYHQGKANVVADALSRKAHCSCLSVETFNETLCWEMRKLNLEIIPQGSLNHLSIEATLWNNIVLAQQRNKGVRIIKQKLAQGEGKYKCFRIDHEGILWFNERIVVPKDHKLRKQILDEAHLSKFSMHPGSTKMYQDLKQNFWWTRMKREIAKYVSECDICQRVKASHLKTAGILQPLPIPSWKWEDISMDFIVGLPNISQRHDSIWVIVD >PVH48483 pep chromosome:PHallii_v3.1:4:51412526:51416195:1 gene:PAHAL_4G339300 transcript:PVH48483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLTKNLNHRVLTQSQKICGERTMKWSMLVQMMSRKSPPKPKRVSNKKKEVVTAEPFRQLLMLEAPPPPSPSFNSPGALTRGQKRALMEEGSPAPTNSSGHQNIAVHKDKKCKRGNQKKDGSN >PAN24848 pep chromosome:PHallii_v3.1:4:45434379:45435369:1 gene:PAHAL_4G256500 transcript:PAN24848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVAGRSREADPARCRRHPKHRHAAGVCPFCLRDRLSRLSAAAAASGSARAGANASPSSASASTPCSSWEETVALSSAQAPRPRRGSLGLLLRQEGREAAALAAGRRAEQDDHHQQEEQERTTAKRGSNFWARLQQQLHHGGWHRKDGCSAVAEKQSAAAAPRRRAPVV >PAN22316 pep chromosome:PHallii_v3.1:4:766041:766690:-1 gene:PAHAL_4G011200 transcript:PAN22316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSMGRGTVALVARALAAALLLWPWPQRAGAAEYVVGDVAFGWDSGVNYAAWAREHAFAVGDVLVFQYVSSQHNVYEVSEGTYWSCDTGGNGVRVKYTSGYDRVVLAEARTYWFICDFPDHCLGGMKVAVNVSAAASPSPDVPRPSADGSNSNAASLAGEGRRGWVAWGLALGAAVLMN >PAN26207 pep chromosome:PHallii_v3.1:4:52510549:52513291:1 gene:PAHAL_4G355600 transcript:PAN26207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVLNASPLLQLLRSVCVLLLAASATVAGRHHSAPAASRNGQSTYLAPNCRAHTASLADFGGVGDGTTSNTAAFRSAVDHLSQYSGEGGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQDISEWPIIDPLPSYGRGRDKIGGRYASLIGGSNLTDVVITGNNGTIDGQGALWWSKFHSNKLKYTRGYLIEVLWSDTVFISNVTLLNSPAWNIHPVYSSNIVVQGVTILAPTHSPNTDGINPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSQHIVVRRLTCVSPTSAVIALGSEMSGGIRDVRAEDIAAVNSESGVRIKTAVGRGAYVRDVFVRRMTLQTMKWVFWMTGNYKSHPDDKFDPSAVPVVEGISYQDVVATGVYKAAARLEGIQGAPFRGICLANVTAELDKSRKYPWTCTDVEGVSANVTPAPCEALQGAPHDGDGACPFPTDTLPIDQLTLQQCAYDVPSSPGGGGN >PAN25970 pep chromosome:PHallii_v3.1:4:51352157:51353459:1 gene:PAHAL_4G337900 transcript:PAN25970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCAAGVGVGAIVWLYYGLCTSKTLGSREKQDSSGQIKEPAGVGYATFNSDHRLSVGKGSSLPGIGAAT >PAN25502 pep chromosome:PHallii_v3.1:4:49330146:49335019:-1 gene:PAHAL_4G306900 transcript:PAN25502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSALATEVLIPVAGIIGIAFAVVQWVLVSRVKLSPAAAAAGGSKNGYGDYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKGKTCKPALFTALFSTASFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLIATDFSEIKAVKEIEPALKKQLVISTALMTVGIAIISWLALPAKFTIFNFGTQKEVSNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKYL >PVH47891 pep chromosome:PHallii_v3.1:4:26607843:26610085:1 gene:PAHAL_4G179200 transcript:PVH47891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSGKPAFRWIHHVMERTGTGIACVKLGGVHVIAISCPSIAREVLKRQDTNFASRPLTLASETFSRGYMNAIMSPYGDQWRKMRRVLTSEIVCPSLHKWLLDKRADEADNITRYVYNLAGTGSGGAVDVRHVARHYCGNVVRRLVFGRRYFGEPRPDGGPGPLEVQHVDAVFASLGLLYAFCVSDYLPWLLGLDLDGHEKMVREANEMLTRLPDAFVDERWRQWKSGEKQGLVEDFLDVLITLEDAEAKPVLTIEEVKAQSQDITFAAMDNPSNAVEWALAEMVNSPEMLKKAVEEIDGVVGRDRLVQESDIPRLNYLKACIREAFRLHPVVPFNVPHVALADTTVAGYHIPKGSHVILSCIGLGRNPAVWDDPLRFNPDRHIPTDPMADVTLTENDLRFISFSTGRRGCITASLGTAMSVMLFGRLLQGFSWSKPAGMVAVDLSESRHDIFMAKPLVLHAEPRLPAHLYSATSM >PAN25037 pep chromosome:PHallii_v3.1:4:46479682:46481775:-1 gene:PAHAL_4G269800 transcript:PAN25037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNFLQKYQLQNHQLVLPKKQFITYAVYALIAIAFLHFLLFYPAPASEKPVVVAQVQEEVAAAVSARVNAREQLLPPHPPPHRRDVALGNPQAVEEVPPPPPLPCDYSDGEWVPDARPPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPRRCDLPAFSPEAFLRWLRNKHLAFVGDSLARNQAESLLCLLASRSPPELVHRDGEENRFRRFAFREFNATVSVFWSPFLVRAAEKAERAGVRHNHVFLDAFDERWMSQLGTLDAAVLSVGHWFLLPGVYHDGGRVVACHDCTDLNRTETDFFGAFRDAVHRTLAEVARRHGGGGGAGEEKVVALTTFSPAHFEGDWDRAGSCPKKRPYRAGENGLGYTESEMRMTVVEAVRAAAGSGSGSGLRFAALDVTALANLRPDGHPGPYMHKDPFGGGEAGDRRVPNDCVHWCMPGPVDTFNEILLQTVLR >PAN22652 pep chromosome:PHallii_v3.1:4:2174692:2175447:-1 gene:PAHAL_4G034400 transcript:PAN22652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRAVAVAAAAVLLLAAAGGASAATLALYNRCGETVWPGIQPSAGKELLARGGMQLAPGHAASIRLPAGWSGRVWGRQGCKFDAAGRGRCATGDCGGTLYCNGAGGAPPATLAEITLASAPAAQDFYDVSLVDGYNIPIAMTPFHGSGANCVPAGCVSDLNRVCPQGLAVRGGDGNRVVGCRSACAAYGAPQYCCTGQFGSPQQCKPTAYSRLFKSACPKAYSYAYDDPTSILTCTAGASYVVTFCPHHR >PVH47437 pep chromosome:PHallii_v3.1:4:4115406:4115831:-1 gene:PAHAL_4G063000 transcript:PVH47437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGQEQPVTSTCARVKTVAGDEGKGTEGSAACRATRNIQPPTAHETREAACRRLARRRRANPPARAGRAARVFIGRPVAVAPGPGGRDAVAAGRRQAGRQWARGGDGTGRGRSAAAGARAEPLTTARRRSVAGGRTASCT >PAN24598 pep chromosome:PHallii_v3.1:4:43172209:43173432:-1 gene:PAHAL_4G237700 transcript:PAN24598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTHILIPSEFSSSTRGLEMAVKFVVLLGFVLTFLLVSQDLAYARELAETNESEGKNGKPGEAPGLKDEKWGGGYNGGYGNGGGYGGGYGGGYGPRYGGGYHHGHGGGYGPGYGGGYGSGHGGGYGGPGYGQPGYGGGYGGGYGGGYGGGYGGGGGYGGGGGYGGGYGGGGGGNPRDGHHGGLN >PAN26276 pep chromosome:PHallii_v3.1:4:52874395:52880481:1 gene:PAHAL_4G360100 transcript:PAN26276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVEESKRSLTVAPFECAWGEELRFGKPGRGCVAFEASAQNDVTLVFRQQPGSQHYHYKMDSSRHYTVILGSHRNKRLRIEVDGSTVVDVVALGLCCSSSFQAYWISIYDGLISIGRGRHPNTNLLFQWLDPDPNPNVQYVGLSSWDKHVGYRNISILPSAPQNSILWSHYVHSEQRLCCGKRATRDDSESDQRLLADFLESWDFSDAIFVVGTERKVVPAHKVVLCASGDFPFELVDGATIELPSVSYPVLHSLLEYIYTGSTQIAEWLLSSLLELSSHFKVKPLVKCCEEIIISLEVDKNFSASGKLLKLSSSGFQDHKFGSSPLKGPINSQKIGQFLANGKYSDINIYVNGHGLVAKGHKLILSLWSMPLAKMFTNGMKESSASDVFFKDVPPEAFFLLLQFMYHGELKVDTQDITSVLVQLLLLSDQFAITVLQFECCKQIMECLSEDTVCSVLQAVSSIPSCKLLEEVCKRNFATHFDYCTTACTDFVLLDEATFKDILQHGDMTVTLEERVLDAILTWCMGTCETFYWTSVDKLLRTSTPEQLFGKRLSAVGTLLPFVRFPLMQLPMLKRMERSNLANRIQAFRQLVAEAIKFSHAGQWTPTSYECERFQHRRSSYKELQYISDGDSNGVIYYAGTSFGKHQWMNPVLAKNITVVASSPNSRHTDPKALVSKNYQGTCFAGPCIEDGKKLSWWMVDIGQDHQLMCNCYTVRQDGSTTFMRSWVLQGSMDGRNWTSLRVHDGDATICHPGQFASWPIVGPPALLPFRFFRVALTGPAAGSVSNAWNLCICFLELYGYFR >PAN24171 pep chromosome:PHallii_v3.1:4:25121316:25121712:1 gene:PAHAL_4G175200 transcript:PAN24171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNSEFLSTSTNITRAQSHNLSYNQSCENPRNFPQIHDLICQIKVFLWQRTPKAMLLICLILEELKLWGKRSTHRTRPLLPLCLISPPSFHVVAADCSSFVCFLPHFDNPCHASHRLLWLSPPSPP >PAN32218 pep chromosome:PHallii_v3.1:5:55067045:55069320:1 gene:PAHAL_5G472300 transcript:PAN32218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRVQLRRFQDRLSRQVRFFKRRTGLFKKAFELSLLCDAEVVLLVFSPAGKLYEYSSSASFILALDCARQMKRS >PVH39294 pep chromosome:PHallii_v3.1:5:55067045:55069320:1 gene:PAHAL_5G472300 transcript:PVH39294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRVQLRRFQDRLSRQVRFFKRRTGLFKKAFELSLLCDAEVVLLVFSPAGKLYEYSSSASFILALDCARQMKRS >PVH39293 pep chromosome:PHallii_v3.1:5:55067045:55069320:1 gene:PAHAL_5G472300 transcript:PVH39293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRVQLRRFQDRLSRQVRFFKRRTGLFKKAFELSLLCDAEVVLLVFSPAGKLYEYSSSASFILALDCARQMKRS >PVH39296 pep chromosome:PHallii_v3.1:5:55067045:55074682:1 gene:PAHAL_5G472300 transcript:PVH39296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRVQLRRFQDRLSRQVRFFKRRTGLFKKAFELSLLCDAEVVLLVFSPAGKLYEYSSSASIEHTYDGYQQFARARRNVNEASQNRNNNQDDASSDLKSRFREITTWSLQNNAKASDADELGKLEDLLRNALRDTKSKK >PVH39295 pep chromosome:PHallii_v3.1:5:55067045:55074221:1 gene:PAHAL_5G472300 transcript:PVH39295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRVQLRRFQDRLSRQVRFFKRRTGLFKKAFELSLLCDAEVVLLVFSPAGKLYEYSSSASIEHTYDGYQQFARARRNVNEASQNRNNVKSQYGFSNP >PAN31996 pep chromosome:PHallii_v3.1:5:53978802:53980351:1 gene:PAHAL_5G455700 transcript:PAN31996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQPKRVSTPENGHGGKRPRGLAAPDGGVKQERREQGQAEASQGGEGEGAVVAVQTMEEPQINIRISVARLHCHACLLPLKPPTFKCEAGHIVCSTCRGGHGQVCDRAATHTAWVELDDIVRDAKVPCAYSEYGCTSWVVYHEAADHHRSCRCGPCFCPNRDCELLTSPARLAEHLGTHHAWPVTKIAYGKPCKVAVPGPQGGQVLVGETDGCVFLVSPCALGAATAVSLVCVRARGDAAAGAPQFRCKLWVEVEGNKENLALVTSMVASSDLSGGFVAADQGMFLAVPPELLHDGSGEAPALMVRIDRAAAATGVARSPSATPPLRLAKRLQ >PVH39132 pep chromosome:PHallii_v3.1:5:53145438:53148647:-1 gene:PAHAL_5G443200 transcript:PVH39132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGPRFLRWMFTDVAVVVGGVRMEREDVLVVTNLAGERLPTADPSGSVVIEKFLRANNCVIVAKKDFSKLIVYFGSPWTENAQYKISQAKQRHVLILVYRGDKMSALKHVNALLGGEVSFFSDDFERFTGSKVCVATDGKQITNHSRRCFVVGLDTVDRFIN >PVH38402 pep chromosome:PHallii_v3.1:5:15952134:15952511:1 gene:PAHAL_5G251600 transcript:PVH38402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSKVSIAVVACARRFTRRLRRGGSSCLGRQLVAADGGGAAGDRGDGGGGGREQGALWRRAILMGQRCEPLDFPGAFHYDSSGRRVESPRCGSRKAAAAGALFCRSSDAVDGAVTAAKKAS >PVH39150 pep chromosome:PHallii_v3.1:5:53179531:53186968:1 gene:PAHAL_5G443700 transcript:PVH39150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRVNRVAKGKRGYPTFSFKTST >PVH39143 pep chromosome:PHallii_v3.1:5:53180152:53187115:1 gene:PAHAL_5G443700 transcript:PVH39143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALV >PVH39140 pep chromosome:PHallii_v3.1:5:53181343:53184118:1 gene:PAHAL_5G443700 transcript:PVH39140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALGEWF >PVH39145 pep chromosome:PHallii_v3.1:5:53180157:53182981:1 gene:PAHAL_5G443700 transcript:PVH39145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRVYRPFSIHFPLNLLHITYVSIML >PVH39151 pep chromosome:PHallii_v3.1:5:53179531:53187115:1 gene:PAHAL_5G443700 transcript:PVH39151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALVNRVAKGKRGYPTFSFKTST >PAN31794 pep chromosome:PHallii_v3.1:5:53180157:53182981:1 gene:PAHAL_5G443700 transcript:PAN31794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRVYRPFSIHFPLNLLHITYVSIML >PAN31787 pep chromosome:PHallii_v3.1:5:53181259:53186968:1 gene:PAHAL_5G443700 transcript:PAN31787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALGEWF >PVH39147 pep chromosome:PHallii_v3.1:5:53180157:53186968:1 gene:PAHAL_5G443700 transcript:PVH39147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALL >PAN31796 pep chromosome:PHallii_v3.1:5:53181343:53183386:1 gene:PAHAL_5G443700 transcript:PAN31796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALV >PVH39146 pep chromosome:PHallii_v3.1:5:53179531:53187225:1 gene:PAHAL_5G443700 transcript:PVH39146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALVNRVAKGKRGYPTFSFKTST >PVH39144 pep chromosome:PHallii_v3.1:5:53180152:53187234:1 gene:PAHAL_5G443700 transcript:PVH39144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRL >PVH39153 pep chromosome:PHallii_v3.1:5:53180152:53187224:1 gene:PAHAL_5G443700 transcript:PVH39153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRGEWF >PVH39148 pep chromosome:PHallii_v3.1:5:53180157:53186968:1 gene:PAHAL_5G443700 transcript:PVH39148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALV >PAN31792 pep chromosome:PHallii_v3.1:5:53181343:53183386:1 gene:PAHAL_5G443700 transcript:PAN31792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALV >PAN31790 pep chromosome:PHallii_v3.1:5:53180157:53186968:1 gene:PAHAL_5G443700 transcript:PAN31790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALVNRVAKGKRGYPTFSFKTST >PVH39149 pep chromosome:PHallii_v3.1:5:53180157:53186968:1 gene:PAHAL_5G443700 transcript:PVH39149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRVNRVAKGKRGYPTFSFKTST >PAN31788 pep chromosome:PHallii_v3.1:5:53180157:53186968:1 gene:PAHAL_5G443700 transcript:PAN31788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALVNRVAKGKRGYPTFSFKTST >PVH39141 pep chromosome:PHallii_v3.1:5:53180152:53187224:1 gene:PAHAL_5G443700 transcript:PVH39141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALV >PAN31791 pep chromosome:PHallii_v3.1:5:53181343:53183386:1 gene:PAHAL_5G443700 transcript:PAN31791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALV >PVH39142 pep chromosome:PHallii_v3.1:5:53179531:53187224:1 gene:PAHAL_5G443700 transcript:PVH39142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALGEWF >PVH39152 pep chromosome:PHallii_v3.1:5:53179531:53186968:1 gene:PAHAL_5G443700 transcript:PVH39152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTIYISLRRCPFPRSGEGMDVNRSSSSSTAAEGRRSSASNSSGGGASAAGLERKEIERKRRQHMKSLCVKLASLIPEEYYSSKDTMTQQDSLDEAATYIKKLKERVDELQQKRSSAQLLAGMRGGGGGASTSATTTTSSGVGSEEADEEAMAAPPVVEVRHHHDGSSLDVVLVSSVARPFKLHEVVTVLEEEGAEIINANFSVVGRKIFYTIHSRAFSSRIGIEVSRVSERLRALL >PAN31844 pep chromosome:PHallii_v3.1:5:53420409:53423475:-1 gene:PAHAL_5G447200 transcript:PAN31844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLAAITSSAANPAAGGGPVAHPIVLTPGAAPPPPTSSALPTPIPPSAWTLAPADPTLPKAASFLAASLTSCSSLPRLRTLLNSFFAALSQSLSLPPPPPALPAATRALAPYFPAALASLVASKAASFAEFDVIFALVESRRLPHPPADLISTLSDNNRADLVCSVLRQAADLRSSEILAALCFFLSPGTNKAYDAMMRVKGRWKDAAVLAVQKCREKGPGKKMKVDAAARQAALLLMMGHDGFSSPEVCLHYLFASGNVDSVVLGAAVAELDGGEVVRLMRYLNKWIGKYQRFPEAQACPEAVGMLGLEQCDSVPSFGAVIRALGVLLDNHFSHLVLSTEVREELMAAEVMVRQLAVEAESSGPILDLLRRLQHDK >PAN31843 pep chromosome:PHallii_v3.1:5:53419215:53423475:-1 gene:PAHAL_5G447200 transcript:PAN31843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLAAITSSAANPAAGGGPVAHPIVLTPGAAPPPPTSSALPTPIPPSAWTLAPADPTLPKAASFLAASLTSCSSLPRLRTLLNSFFAALSQSLSLPPPPPALPAATRALAPYFPAALASLVASKAASFAEFDVIFALVESRRLPHPPADLISTLSDNNRADLVCSVLRQAADLRSSEILAALCFFLSPGTNKAYDAMMRVKGRWKDAAVLAVQKCREKGPGKKMKVDAAARQAALLLMMGHDGFSSPEVCLHYLFASGNVDSVVLGAAVAELDGGEVVRLMRYLNKWIGKYQRFPEAQACPEAVGMLGLEQCDSVPSFGAVIRALGVLLDNHFSHLVLSTEVREELMAAEVMVRQLAVEAESSGPILDLLRRLQHDK >PAN31690 pep chromosome:PHallii_v3.1:5:52743939:52747566:-1 gene:PAHAL_5G437600 transcript:PAN31690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At2g01510 [Source:Projected from Arabidopsis thaliana (AT3G02010) UniProtKB/Swiss-Prot;Acc:Q9S7F4] MRPFKPAAAAAAGSIASRLAGASTPLDARMVKTGLDPLTCRHNLLFNSLISSGRVASAREVFDQMPEKTVRSLNRMLWGYFRSGDLAAAWDLFGTATRRNAVTWTIMMGVAATGCGSNAVSVFRDMLREGEAPDHVAISTVLNMPGCDVASLHPFVTKLGLDTSFVVCNTLIDAYCKQGFIAAGRRVFLEMPERDTVSYNAMMMGCSKEGLHREALGLFAEMQREGIDTSQFTFSSMLTVATGMVDLHLGRQIHGLVVRAGSAHNVFVNNSLLDFYSKCDCLGDLEQLFLEMPDRDNVSYNVMISAYAWNRCAGMVLQLFREMQAIGFDRRALPYASLLSVAGSLPDIEIGKQIHAQLVLLGLASEDLVGNALIDMYSKCGMLDAAKTSFANKSEKTAISWTALITGCVQNGQHEKALQLFCDMRRIGLRPDRATCSSIMKASSSLAMIGLGRQLHSYLTKSGHMSSVFSGSALLDMYAKCGCLDEAVRTFDEMPEKNSITWNAVISAYAHYGQAKNAIRMFESMLHCGLYPDSVTFLSVIAACGHNGFAEECMKYFDLMKYYYSMSPWMEHYSCVIDTLGRAGYFDKVQKVLDEMPFEAGPIIWSSILHSCRIHGNKCLAKMAAEKLFSMTPTDATPYVILSNIYAKAGHWEDAARVKKIMRDRGVRKESGNSWVEIKQKIYSFSSNDQTNPMIAEMKEELERLYKEMDKLGYEPDTSCALHLVDDELKLESLKYHSERLAIAFALINTPPGTPIRVMKNLSACQDCHAAIKMISKIVNRDIIVRDSRRFHHFKDGVCSCGDYW >PAN28730 pep chromosome:PHallii_v3.1:5:10561962:10568256:-1 gene:PAHAL_5G175100 transcript:PAN28730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRGAVGGGRRWGDAEAEAEAEGDAAEEGRRPEEGGEVSLREWLDRPGRAVEAAECVHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSCSGSDASEDADPDASPPRRRDGAARGEERAGKTFPLKSVLEMELNWYTSPEEADDSAGSGSTFASDVYRLGVLLFELFCTFETIEEKMRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSEVLQSDFLNQSRNNLEEREAALRLREEIEEQELLLDFLQQLQKRKQNIADNLQDTVAFLSSDINEVLHQQSALGGQCVNFSSDLDKEVCSGTVEDQSDCGSRKRFRPELQGVDMEEQNHSAEECSRTVPSSELIQESVLSKSSRLMQNFKKLETAYFLTRSKLVKQVGNQVSSCHQVSKRATGSAVGTEGSSIDNFPLEKQYGRRQRGWVNSFLEGLCKYLSFSKLKVRAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYDMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVDMREHERRVWSVDFSIVDPTKLVSGSDDGSVKLWDMNQAGSIGTIRTRANVCSVQFQPDTARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYLDASTIVSASTDNSLKLWDLSMSPGRIIDSPIQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKEFPMPVLAYKFTVSDPISGQDIDDPTQFISCVCWRGQSSTLLSTNSSGNIKILEMD >PVH38087 pep chromosome:PHallii_v3.1:5:10564129:10567269:-1 gene:PAHAL_5G175100 transcript:PVH38087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRGAVGGGRRWGDAEAEAEAEGDAAEEGRRPEEGGEVSLREWLDRPGRAVEAAECVHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSCSGSDASEDADPDASPPRRRDGAARGEERAGKTFPLKSVLEMELNWYTSPEEADDSAGSGSTFASDVYRLGVLLFELFCTFETIEEKMRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSEVLQSDFLNQSRNNLEEREAALRLREEIEEQELLLDFLQQLQKRKQNIADNLQDTVAFLSSDINEVLHQQSALGGQCVNFSSDLDKEVCSGTVEDQSDCGSRKRFRPELQGVDMEEQNHSAEECSRTVPSSELIQESVLSKSSRLMQNFKKLETAYFLTRSKLVKQVGNQVSSCHQVSKRATGSAVGTEGSSIDNFPLEKQYGRRQRGWVNSFLEGLCKYLSFSKLKVRAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYDMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVDMREHERRVWSVDFSIVDPTKLVSGSDDGSVKLWDMNQAILFLHLLLGVLALSEQGQMCALCNFNLILLAPLPSAQQITKFTAMISVTYELLIVHWLGTQKQ >PVH38577 pep chromosome:PHallii_v3.1:5:21871852:21874550:1 gene:PAHAL_5G293800 transcript:PVH38577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAEPRADLPPPRRCLPPPPLLRSHRPTPTGERKIGRELAIQLLPRHPTHDAGSSRALAASPRSDIPAAPPACGLPADVPYLPLYFIWQVLAASPPVQSRHHGAAPKPAGFSGQIRPSPPLVSTPLSSPPSPLPPSHVNCCPGTLEIGADCSSCSPYLPLRHPRRPALPQSRLPTIPPRRRCVVDPQPRHRCSPTTHRSTTPPLRRRPQRRHGAAVVPSPSRRPAVSPLGDQGLM >PVH38578 pep chromosome:PHallii_v3.1:5:21871851:21874553:1 gene:PAHAL_5G293800 transcript:PVH38578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAEPRADLPPPRRCLPPPPLLRSHRPTPTGERKIGRELAIQLLPRHPTHDAGSSRALAASPRSDIPAAPPACGLPADVPYLPLYFIWQVLAASPPVQSRHHGAAPKPAGFSGQIRPSPPLVSTPLSSPPSPLPPSHVNCCPGTLEIGADCSSCSPYLPLRHPRRPALPQSRLPTIPPRRRCVVDPQPRHRCSPTTHRSTTPPLRRRPQRRHGAAVVPSPSRRPAVSPLGDQGLM >PAN28543 pep chromosome:PHallii_v3.1:5:9674552:9678948:1 gene:PAHAL_5G160800 transcript:PAN28543 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP5A [Source:Projected from Arabidopsis thaliana (AT1G53140) UniProtKB/TrEMBL;Acc:A0A178WBH9] MAASALSSPAVSRTPNPKSAAAPPQSPSTRRAVADAASAAAAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALEPRCRFQEEDSEEYGSPMVVASAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKRGEPESTPDDILSMVKSLATPPHRLVLFLQQSSVEWCSSIWLDTLKEIDPTFRRTMIVISKFDNRLKEFTERWEVDTFLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFSEEKYGSHIGFSCLRKYLESELQKRYKEAAPATLALLEQRCSEVSMELTRLDSKLQATSDVSQLRRSAMLHAASICTHLRALLDGAADPAPEIWGKTTEEEQMHSGIGSWPGINMSIKPANSTLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIAREAARSWLAPLIDTACDRLAFVLQSLFDLAMERNCNKDSQYQNVEDMDGYIGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYENDPLSGIGSVANSMNRFNHFTGVTSFDLSDSGSALEEAQENMPPKDQQHMTPPTKGNESKDVLRESQLTVPETPSPDLPSDIHGGKKKDNGIPNDGGPRKRHARMAAYTNRNHHNNSIIGADDIGSKSGSSYSTICAISARYFGKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDKFMDMFVAPGAVDTIQNERQSLLKRQKILLSCLNEFKNISRAL >PVH38913 pep chromosome:PHallii_v3.1:5:48417603:48418683:1 gene:PAHAL_5G388000 transcript:PVH38913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSLCQQQGRDGAVIDKTNCQAGMTIAKKKGVWAVTRLNLEHNHNLLAPALAKLLRSHRFFTEQEKAMIRSFIDVNVPNRKILAFLSFLRGGMKNTNLVKTNISNYKTRVTRECGENNISHVVKYLKQK >PVH38332 pep chromosome:PHallii_v3.1:5:14498181:14498918:-1 gene:PAHAL_5G232400 transcript:PVH38332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAAPLRRLRVLYKHHLQRTPMGFFPPAERSGRTWIARMRRLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKSTQTENEMDQFLPIKKRSIRTEEESP >PAN32600 pep chromosome:PHallii_v3.1:5:56617851:56621034:-1 gene:PAHAL_5G499100 transcript:PAN32600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASKTGGLMESPHNDSMKFSARPPNHSGKMKLQLFPIDETIQKIMQQEKHNPYLELILAPRKKISSVVQHLNTKWGSSLCAKGELMLFPNDARVDMIANSAKWTLKDSCTAADVHVAVGSPSTFRLRYGWFGPNLKQQWSGPSLSSVQSADNTIGIKPPDLVFSEQKHMAGSGEFPSNFVTPSIVDNTIAVQPVDNQSKVAPLSWLDSISNISFGALLSEAAPSQDSKQLPSQNNLSFQQIPATCDSFDAAIASLIARQQASNQPKVSNPSLWEAEETCHAFAFQNQASRRTSSSVPGNSGSASVLGAIPEAGTDDDQQCSTKGKERKATTEPSVLGSDRNANPEISMHESTGDPEHGSSFSGSFSGTDSLGLSGLLANSLDAFQNFSVS >PAN32598 pep chromosome:PHallii_v3.1:5:56618274:56620106:-1 gene:PAHAL_5G499100 transcript:PAN32598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHKQQLAPGTGANTKSCCNKLPSLKVGAHINKSPGNIAAKRRKGAGFSPKSYTESMIASKTGGLMESPHNDSMKFSARPPNHSGKMKLQLFPIDETIQKIMQQEKHNPYLELILAPRKKISSVVQHLNTKWGSSLCAKGELMLFPNDARVDMIANSAKWTLKDSCTAADVHVAVGSPSTFRLRYGWFGPNLKQQWSGPSLSSVQSADNTIGIKPPDLVFSEQKHMAGSGEFPSNFVTPSIVDNTIAVQPVDNQSKVAPLSWLDSISNISFGALLSEAAPSQDSKQLPSQNNLSFQQIPATCDSFDAAIASLIARQQASNQPKVSNPSLWEAEETCHAFAFQNQASRRTSSSVPGNSGSASVLGAIPEAGTDDDQQCSTKGKERKATTEPSVLGSDRNANPEISMVPIKTPSKHCYV >PAN32599 pep chromosome:PHallii_v3.1:5:56617851:56621035:-1 gene:PAHAL_5G499100 transcript:PAN32599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHKQQLAPGTGANTKSCCNKLPSLKVGAHINKSPGNIAAKRRKGAGFSPKSYTESMIASKTGGLMESPHNDSMKFSARPPNHSGKMKLQLFPIDETIQKIMQQEKHNPYLELILAPRKKISSVVQHLNTKWGSSLCAKGELMLFPNDARVDMIANSAKWTLKDSCTAADVHVAVGSPSTFRLRYGWFGPNLKQQWSGPSLSSVQSADNTIGIKPPDLVFSEQKHMAGSGEFPSNFVTPSIVDNTIAVQPVDNQSKVAPLSWLDSISNISFGALLSEAAPSQDSKQLPSQNNLSFQQIPATCDSFDAAIASLIARQQASNQPKVSNPSLWEAEETCHAFAFQNQASRRTSSSVPGNSGSASVLGAIPEAGTDDDQQCSTKGKERKATTEPSVLGSDRNANPEISMHESTGDPEHGSSFSGSFSGTDSLGLSGLLANSLDAFQNFSVS >PAN30018 pep chromosome:PHallii_v3.1:5:17265399:17284715:-1 gene:PAHAL_5G267300 transcript:PAN30018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSYAAVKCLNTCPSSRKRFSFKTFSQRVEEIDIDVYRSLHAVKAEPSSGSSFFIDALVEWRELNTAEDFISFYEEMIPLVQTLPQIVLHREKLFSALLLRVNMSARLSLEPILMLITALSRDILEDFLPFLGRHANAMLALLNDGGDRDPEILEQVFTSWSYIMMYLQKYLVKDIVQVLRTTSALRYFPKDYVRAFMAESVSFLLRNAPNNQLAQGLMKLLLEAAKKSSPVRTDGVISLLWHVMKGTSTKLHSRAGKVLKFLLSKSTFTTIHDKFPDGSSTIHEVMTGLIQRLCDEVDPKELPLIYTCLFEEINNCLKDGCLEHLKCLIDFLAFALQKKQNNVFDKVKMIKLLELLVSRYVLPGSNLVEASSSEVLGSILDFLLCVLDVPIFSSNLSIVSPFYAPVFELTNLSVIVFVKKLLVKGPQIIQHFESQILSAMGNFLASSPEDVLFILLHFFKESKKQIMLHSTDANHLDSVEKVCKFFESKFSVWIELLNDTVKNGNRSSNQVSEEEAAILWGSICCYPNINGGHQDSLSLLKKLICNFDRLIEVGEENMNGLPKTTWRSLLGAALSSYHELLVVNANRNSELSFFISLAKSHSTCPQVLSAVAEYLDSLQGVASLEVTEEFDPQKLLDLLSIFAVNLSSPNKDVRILTLRILSYFVKMDQRLGTNEERPHKRQKIEDSGEETVAKYANVLDTLLTVESTPISVSTSRKIAIFISRIQMSLSSKMVHDDYIFSLLHGIIGILYNRFSELWPPTLDCLAVLVRKHKELVWSQFVQFVAIHQSKGLTVKNQEKLEPASQPQSIFDCFSLYLAMDFDCTPVETMATLLLQSLQRIPDVAESRSRHLVPLFLKFMGYDDGSIFSADSYMPEKCKGKQWKAILKEWLNLLKLMHNARSLYQSKVLHEVLTKRVLDDSDPDIQAKALDCLLNWKDEFLIPYSQNLKNLIDIKTLREEMTTWTVSHDSLSILKDHRSSVVPLVIRVLAPKVRKLKLLGSRKHAGVNHRKAILRFLLQFDSNELQLFFSLLLKSLIPNSLQLKIFGSQSDNLLGNVSDIVGASTEICIENFTLKKSNGFLHLVEEIFGTFDMAHISPFLNVLLTIVARLLESCMRNLRSDSAGKYHCNQSNGHDNDCLTNMEVGNSADTDECRKEIHAVDHMEASVSIKQLKDLRSLCIKIVSSALSHYEGHDFGENFWNIFFSSVKPLINCFTQEASSSEKPSSLFACFMAMSRSPTLAPLLGSNNLVPAIFSILTVKTASGSITSYALEFIENLLRLDIDLEQQNDHSVKKILVPHMDVLIHSLHDFVNHRKELNRKSGTWLGQRELRLFKLLLSYITDPSAAENFIDLILPFFSKKDLNSDECLEALRVVRGIVPNLRCKVSAKVLNALNPLLATVGLEQRLCICDIYDGLSLHESSMSFLAGFIRDLNAVSTSELGELDYDTRLNAYDKVKPQLFLGLTEEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASVMNNDESKYSIETADDKSGENNTRNICTMSYIEKILEKTYLHNMGVAMTKDVSIQKEWIILLREMVFNFNHLASLSSFRPLCKEDMEEDFFHNVTHLQAGKRSKALSLFRQGIKENNFSEDITMRVFVPLFFNMFSDVKAGKGEQVRDVCLDTLSAVAAKVQWEHYRTILTRCFRELNLKPDKQKIILRLICSVLDAFHFMKPANDVLGNSDATGEDTDSSITFSLTKVSSDKQDYLRKVVFPQVQKLLGADPEKVNVNINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGFELHVLGYTLHYLLSKNITADMNGRLDYCLEDLLAVVDSDLFGDVAEQKEVEKIASKMKETKKRMSFETLKLIAQSITFSQHSLKKLISPVSSHLQKQLTPKLKTKLEMMLHNIALGIECNPSTETSNLFTIVYWLIKDPTTGSESESKENTQSGPGQDSSVGMNFPGLGESGSQNSYVLTKFALDLLRNRLKSIKLDKEDEQLLKMLDPFVDLLGECLNSKYESVLSVAFRCLALLVKLPLPSLRDNANIIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDNQLQMLVHTPMFVDLQTNPSPVALSLLKAIVRRKLVCHEIYDIVVKIGELMVTTLTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLANLSYEHPSGREAVLEMLHDILTRFPQRIVDDQGQTFFLHLVVALANEQHQNVSSMILRAIQKLFGRIGDQGKNSIFEYSLSWYTGEKQSLWSPSAQVIGLVIDDHTLRMGKHLKSILAVAKTIMESSAIASGILQSGLSDECVPPLWKEAYDSVAMMERLLLRFPELYFEQHMEEIWIIVCKLLIHPHSMLRSISSSLVASYFATVEKRKREQKLVATSWLLVQPSRLFIIAVSFLKQLRTELSDTTANNLIVQNLAYSICNLHMSIRQSTSTHQFWSNISSSDHGAFLEGFELLGATKAKNMFLLCTSTTTDVSVSSLDSSEEPTSLLVSSILKRMGKIAMQMQDTQTKIVFNCFRMISSALGSEESLTYADHLLAPLYKVSEGLAGKVVSDEVKQLAEGVQGKLRDLLGPEKFAEVYRSVHKGLKQKRDSRKRAQKIIAAVDPERHAKRKKRIADKHREHKRRKIMAMKMGRWMR >PAN30017 pep chromosome:PHallii_v3.1:5:17265399:17284708:-1 gene:PAHAL_5G267300 transcript:PAN30017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSYAAVKCLNTCPSSRKRFSFKTFSQRVEEIDIDVYRSLHAVKAEPSSGSSFFIDALVEWRELNTAEDFISFYEEMIPLVQTLPQIVLHREKLFSALLLRVNMSARLSLEPILMLITALSRDILEDFLPFLGRHANAMLALLNDGGDRDPEILEQVFTSWSYIMMYLQKYLVKDIVQVLRTTSALRYFPKDYVRAFMAESVSFLLRNAPNNQLAQGLMKLLLEAAKKSSPVRTDGVISLLWHVMKGTSTKLHSRAGKVLKFLLSKSTFTTIHDKFPDGSSTIHEVMTGLIQRLCDEVDPKELPLIYTCLFEEINNCLKDGCLEHLKCLIDFLAFALQKKQNNVFDKVKMIKLLELLVSRYVLPGSNLVEASSSEVLGSILDFLLCVLDVPIFSSNLSIVSPFYAPVFELTNLSVIVFVKKLLVKGPQIIQHFESQILSAMGNFLASSPEDVLFILLHFFKESKKQIMLHSTDANHLDSVEKVCKFFESKFSVWIELLNDTVKNGNRSSNQVSEEEAAILWGSICCYPNINGGHQDSLSLLKKLICNFDRLIEVGEENMNGLPKTTWRSLLGAALSSYHELLVVNANRNSELSFFISLAKSHSTCPQVLSAVAEYLDSLQGVASLEVTEEFDPQKLLDLLSIFAVNLSSPNKDVRILTLRILSYFVKMDQRLGTNEERPHKRQKIEDSGEETVAKYANVLDTLLTVESTPISVSTSRKIAIFISRIQMSLSSKMVHDDYIFSLLHGIIGILYNRFSELWPPTLDCLAVLVRKHKELVWSQFVQFVAIHQSKGLTVKNQEKLEPASQPQSIFDCFSLYLAMDFDCTPVETMATLLLQSLQRIPDVAESRSRHLVPLFLKFMGYDDGSIFSADSYMPEKCKGKQWKAILKEWLNLLKLMHNARSLYQSKVLHEVLTKRVLDDSDPDIQAKALDCLLNWKDEFLIPYSQNLKNLIDIKTLREEMTTWTVSHDSLSILKDHRSSVVPLVIRVLAPKVRKLKLLGSRKHAGVNHRKAILRFLLQFDSNELQLFFSLLLKSLIPNSLQLKIFGSQSDNLLGNVSDIVGASTEICIENFTLKKSNGFLHLVEEIFGTFDMAHISPFLNVLLTIVARLLESCMRNLRSDSAGKYHCNQSNGHDNDCLTNMEVGNSADTDECRKEIHAVDHMEASVSIKQLKDLRSLCIKIVSSALSHYEGHDFGENFWNIFFSSVKPLINCFTQEASSSEKPSSLFACFMAMSRSPTLAPLLGSNNLVPAIFSILTVKTASGSITSYALEFIENLLRLDIDLEQQNDHSVKKILVPHMDVLIHSLHDFVNHRKELNRKSGTWLGQRELRLFKLLLSYITDPSAAENFIDLILPFFSKKDLNSDECLEALRVVRGIVPNLRCKVSAKVLNALNPLLATVGLEQRLCICDIYDGLSLHESSMSFLAGFIRDLNAVSTSELGELDYDTRLNAYDKVKPQLFLGLTEEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASVMNNDESKYSIETADDKSGENNTRNICTMSYIEKILEKTYLHNMGVAMTKDVSIQKEWIILLREMVFNFNHLASLSSFRPLCKEDMEEDFFHNVTHLQAGKRSKALSLFRQGIKENNFSEDITMRVFVPLFFNMFSDVKAGKGEQVRDVCLDTLSAVAAKVQWEHYRTILTRCFRELNLKPDKQKIILRLICSVLDAFHFMKPANDVLGNSDATGEDTDSSITFSLTKVSSDKQDYLRKVVFPQVQKLLGADPEKVNVNINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGFELHVLGYTLHYLLSKNITADMNGRLDYCLEDLLAVVDSDLFGDVAEQKEVEKIASKMKETKKRMSFETLKLIAQSITFSQHSLKKLISPVSSHLQKQLTPKLKTKLEMMLHNIALGIECNPSTETSNLFTIVYWLIKDPTTGSESESKENTQSGPGQDSSVGMNFPGLGESGSQNSYVLTKFALDLLRNRLKSIKLDKEDEQLLKMLDPFVDLLGECLNSKYESVLSVAFRCLALLVKLPLPSLRDNANIIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDNQLQMLVHTPMFVDLQTNPSPVALSLLKAIVRRKLVCHEIYDIVVKIGELMVTTLTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLANLSYEHPSGREAVLEMLHDILTRFPQRIVDDQGQTFFLHLVVALANEQHQNVSSMILRAIQKLFGRIGDQGKNSIFEYSLSWYTGEKQSLWSPSAQVIGLVIDDHTLRMGKHLKSILAVAKTIMESSAIASGILQSGLSDECVPPLWKEAYDSVAMMERLLLRFPELYFEQHMEEIWIIVCKLLIHPHSMLRSISSSLVASYFATVEKRKREQKLVATSWLLVQPSRLFIIAVSFLKQLRTELSDTTANNLIVQNLAYSICNLHMSIRQSTSTHQFWSNISSSDHGAFLEGFELLGATKAKNMFLLCTSTTTDVSVSSLDSSEEPTSLLVSSILKRMGKIAMQMQDTQTKIVFNCFRMISSALGSEESLTYADHLLAPLYKVSEGLAGKVVSDEVKQLAEGVQGKLRDLLGPEKFAEVYRSVHKGLKQKRDSRKRAQKIIAAVDPERHAKRKKRIADKHREHKRRKIMAMKMGRWMR >PAN33078 pep chromosome:PHallii_v3.1:5:59102429:59104612:-1 gene:PAHAL_5G535000 transcript:PAN33078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVWKTKVLPGLNKIFDKDGKKAAAAEFFKSFNKEEIGKEIEDKKAELEPKVAEAYEASPPQVKALFKDKKPVKISKKNSAAATKFLDELAKIEFPGAKLVSDAVAKSGTTPLSPAITFVLDKVAPFIPKEEPKAEPEAAAAAEATSREVAVEEKKEEAEPAAAATEEAAAPAPAEAVEEKKEEEKPAEAAAAPPAEEEKK >PAN30683 pep chromosome:PHallii_v3.1:5:30996364:30997946:1 gene:PAHAL_5G322500 transcript:PAN30683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLRWKDKYVKEGLSCSSSASTSVVVASGRAIDRHSPRLRDPHRRLPPSLPRPPGSPYYNSAASTTKDSSSSLKQHKQLHHHHDDGKDKRKKKSSAEGAAAGGGGSSTPSEHKKNKKKQAVAQLQQVSPASSSRFLLNSSRLMMQSDDDITVVDSMPPLPSSLRPAFIEDEITVADSLPPLPSPRPAFIDEDMFPGRGDGTSRPFVPAWPQLEAPPVELFAEASAGASSSSSSLSSSDTRARAAAGDKTAMMRSCSTRTGQHQVVVLRVSLHCKGCAGKVKKHISKMEGVSSFDIDIATKKVTVVGDVTPLGVLNSISKVKSAQFWPDHSLSSLSTPPRASASF >PVH39315 pep chromosome:PHallii_v3.1:5:55341260:55341677:1 gene:PAHAL_5G476200 transcript:PVH39315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLAPSTTELANFHTSLRSLVQLPNSQVPGVFVSPPESNFLVSWDCKLATAQLLSPSIFSPSDQTISLLLGIRNSVYSRWRLQQISLLSVQIIVTCRINYHSVILYCICFNP >PVH38881 pep chromosome:PHallii_v3.1:5:46586304:46587185:1 gene:PAHAL_5G376500 transcript:PVH38881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDDYNRIVCELFAEQVRRENRPNTHLNTLGYTEVSGRFYQMTGIELSKTQIKNKWDRLKNGWSIWQKLLRNQTGTGWDNTREVINMDNEWWKKMKVDVPGSGKFNKKARQNEDFLREMFGDISNDETDHWNPMSDNPVIPESQKDTENIDGAGEEEEEDNVFHDWSYREEEDEEVQEVLKKKKSSTALIIQEQITKIADSAESFTSKMQAEVVSIKEVMDVVLDCGAQYGSNEHDIATQLFVKKE >PVH39021 pep chromosome:PHallii_v3.1:5:51616996:51617703:-1 gene:PAHAL_5G419900 transcript:PVH39021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIALLLSELLGGDSAGVLAAERYITGGGRPSPRELLRPAVTEASAAPAAKQNERRGEERDDESFEDLAASRIEVDVMLASGLSLGHGHGTRRLLC >PAN28166 pep chromosome:PHallii_v3.1:5:8104235:8110916:-1 gene:PAHAL_5G135600 transcript:PAN28166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKMSAAAAPSRSWSNVGGSVIPELRAKHKMELENLTLTKQPLRTSHFFLLALLQYLKRLATYILSKSGLFVLLLVLVVTPGILLVVSDGLHKKHVQEFINYAKFVLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKMAPYDTIQLKVGPSWLDKKCSEFGPPVYPASAHSVRIPVFDLLPQIQLEAVLWGIGTALGELPPYFISRAARLSGSKSKAVKELDAATSKEDGRVASTVNRTKRWLLSHSQHLNFFSILILASVPNPLFDLAGIMCGQFGVPFWEFFFATLIGKAIIKTHIQTLFIVSLCNNQLLYLIEKELIWIFGHIPGFSATLPSVIAKLHAAKDKYLSPPAAVSSSSQMEDKQWNLSFTFVWNSIVWLVLLNFFVKIITSTAQDYLKKQQDMEMELVSDSPIPDHSRTN >PAN28165 pep chromosome:PHallii_v3.1:5:8103775:8111178:-1 gene:PAHAL_5G135600 transcript:PAN28165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKMSAAAAPSRSWSNVGGSVIPELRAKHKMELENLTLTKQPLRTSHFFLLALLQYLKRLATYILSKSGLFVLLLVLVVTPGILLVVSDGLHKKEFINYAKFVLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKMAPYDTIQLKVGPSWLDKKCSEFGPPVYPASAHSVRIPVFDLLPQIQLEAVLWGIGTALGELPPYFISRAARLSGSKSKAVKELDAATSKEDGRVASTVNRTKRWLLSHSQHLNFFSILILASVPNPLFDLAGIMCGQFGVPFWEFFFATLIGKAIIKTHIQTLFIVSLCNNQLLYLIEKELIWIFGHIPGFSATLPSVIAKLHAAKDKYLSPPAAVSSSSQMEDKQWNLSFTFVWNSIVWLVLLNFFVKIITSTAQDYLKKQQDMEMELVSDSPIPDHSRTN >PAN32694 pep chromosome:PHallii_v3.1:5:57006747:57014202:-1 gene:PAHAL_5G505200 transcript:PAN32694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRKKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAMAATTVQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQHSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGVQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQHQHQQPQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIPQSQETEWPYHYWPPDNQDHHG >PAN32700 pep chromosome:PHallii_v3.1:5:57006747:57014783:-1 gene:PAHAL_5G505200 transcript:PAN32700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRKKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAMAATTVQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQHSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGVQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQHQHQQPQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIPQSQETEWPYHYWPPDNQDHHG >PAN32690 pep chromosome:PHallii_v3.1:5:57006747:57014764:-1 gene:PAHAL_5G505200 transcript:PAN32690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPKDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRKKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAMAATTVQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQHSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGVQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQHQHQQPQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIPQSQETEWPYHYWPPDNQDHHG >PAN32696 pep chromosome:PHallii_v3.1:5:57006747:57014764:-1 gene:PAHAL_5G505200 transcript:PAN32696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRKKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAMAATTVQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQHSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGVQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQHQHQQPQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIPQSQETEWPYHYWPPDNQDHHG >PAN32693 pep chromosome:PHallii_v3.1:5:57009073:57014058:-1 gene:PAHAL_5G505200 transcript:PAN32693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRKKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAMAATTVQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQHSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGVQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQNMQQQHQHQQPQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIPQSQETEWPYHYWPPDNQDHHG >PAN32692 pep chromosome:PHallii_v3.1:5:57009073:57014058:-1 gene:PAHAL_5G505200 transcript:PAN32692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPKDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRKKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAMAATTVQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQHSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGVQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQNMQQQHQHQQPQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIPQSQETEWPYHYWPPDNQDHHG >PAN32697 pep chromosome:PHallii_v3.1:5:57009073:57014058:-1 gene:PAHAL_5G505200 transcript:PAN32697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRKKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAMAATTVQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQHSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGVQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQNMQQQHQHQQPQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIPQSQETEWPYHYWPPDNQDHHG >PAN32695 pep chromosome:PHallii_v3.1:5:57006747:57014784:-1 gene:PAHAL_5G505200 transcript:PAN32695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRKKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAMAATTVQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQHSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGVQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQNMQQQHQHQQPQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIPQSQETEWPYHYWPPDNQDHHG >PVH38244 pep chromosome:PHallii_v3.1:5:12692093:12692369:-1 gene:PAHAL_5G208100 transcript:PVH38244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRLSYILTLTISYHIVTLSDFKYMFSVICGVRTCRYTHDALLSACWNNVDQSASSSTVICNKHVRLSSNIIKLAAF >PAN31620 pep chromosome:PHallii_v3.1:5:52457783:52458649:1 gene:PAHAL_5G431800 transcript:PAN31620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLFLRVIVSGNDERLAGEQEAAAQEERLETRTFPPARPAAARDRRDAAAVEEEERWLVACLEWPRVDRKSAWMQLV >PAN29546 pep chromosome:PHallii_v3.1:5:14576958:14583149:1 gene:PAHAL_5G233200 transcript:PAN29546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVMDSSTHANGVKHKMSPQNVVAVSLNSANSASVVDQQPHIPTCPHISENICEEESFNKRSSTHSMSSEEAPSPSGVEEKMSPPNVVAVSLKSASIIDQPPLHIPTCPPISENICEEESFNKRSSTHSVSSEEAPSPDYPFLRISDISLPAAPIKVQPPPMPSFKLLNKKGNKEHGDADVNPNSAAAAMKEAMEFAEARLKAAKDLMETKGDSFKFRKRPAHHRSAKSTEIKECKASDEVHLFEEDLNIRRLGKEENQNTDIASLDKDRGAGAFKPGHGDHGKKGVISPGKPHEMIQNGSELEQLGKWTSDAEFYELVSNDQRCRPIEAACHVNNDLLTNSFTKLDQSEKEKAEGFAGEPKRSRKLWSSNNTTDLRMDHVKQGKDGVASMEAEQKAPRLPEVPFCAERVAYQEPTEGDNCVLTNSSAKLDQSDKEKAGGFAGEPKRSRKLWGSNSTTGLRTEPVIQGKDGIPSVEAEQKAPRLPEVPFCDARVTYQQPTKGDNSLVTDSSAKFDQVDKEKAGGFAGEPKRSRKLWGSNNTTGMRMEPVNQGKYGIASVEAEQKAPWSSEVPFCDERVTYQEPTNSHLKQCPGVGDSQGRSNDGLFEILCMNSLPTEVRADPEISSSFLEPCLPGGHANDDENYSDGRAQETPLVGNSNHDDNNKEGLELPYTDELPCTLARNHVLQELPNVPNTDEINEGLVKISKLEESPKLHEIFEKEKLFGFVDEACLSNENERADEVASESLIHEEMTKYGIEEKADVHEYFQEGDVDQVAESPEEEGYVTSGSGIANDSEYDEAEVDVFVGDSKLMESNVRTCSNCDKDPYQFQESHGSWGPLDLENNMDRVEDIISHGEEKEAQKSSPENVDKILVEEVINRDSREGQKSMETGVYKRPNGVSAEVNIRSDIDDNPFDSVNEFITDDGSDYAMKMGTLSNNLQSSFSEAYSGMKHSSQNTESVSAKKADVLKNPEVNCREADREIPTEILTTLEEGQNTGSEMEERDKAAEDTASETVLKSREEKLDLQRTKARNDVKETEGEIEKEVLITLDKDKEKECKLEKEKEQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKADRIALERITSARQRASTEAYEKEEKATAQAALEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKESFKAPNQDNQHEAQFQKTASNNHGKSTDIEVVEVESALRHKAKLERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKVQQRGATIRQKYICEKVFDLLKEAWNKYNSEER >PAN29544 pep chromosome:PHallii_v3.1:5:14576958:14583149:1 gene:PAHAL_5G233200 transcript:PAN29544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVMDSSTHANGVKHKMSPQNVVAVSLNSANSASVVDQQPHIPTCPHISENICEEESFNKRSSTHSMSSEEAPSPSGVEEKMSPPNVVAVSLKSASIIDQPPLHIPTCPPISENICEEESFNKRSSTHSVSSEEAPSPDYPFLRISDISLPAAPIKVQPPPMPSFKLLNKKGNKEHGDADVNPNSAAAAMKEAMEFAEARLKAAKDLMETKGDSFKFRKRPAHHRSAKSTEIKECKASDEVHLFEEDLNIRRLGKEENQNTDIASLDKDRGAGAFKPGHGDHGKKGVISPGKPHEMIQNGSELEQLGKWTSDAEFYELVSNDQRCRPIEAACHVNNDLLTNSFTKLDQSEKEKAEGFAGEPKRSRKLWSSNNTTDLRMDHVKQGKDGVASMEAEQKAPRLPEVPFCAERVAYQEPTEGDNCVLTNSSAKLDQSDKEKAGGFAGEPKRSRKLWGSNSTTGLRTEPVIQGKDGIPSVEAEQKAPRLPEVPFCDARVTYQQPTKGDNSLVTDSSAKFDQVDKEKAGGFAGEPKRSRKLWGSNNTTGMRMEPVNQGKYGIASVEAEQKAPWSSEVPFCDERVTYQEPTNSHLKQCPGVGDSQGRSNDGLFEILCMNSLPTEVRADPEISSSFLEPCLPGGHANDDENYSDGRAQETPLVGNSNHDDNNKEGLELPYTDELPCTLARNHVLQELPNVPNTDEINEGLVKISKLEESPKLHEIFEKEKLFGFVDEACLSNENERADEVASESLIHEEMTKYGIEEKADVHEYFQEGDVDQVAESPEEEGYVTSGSGIANDSEYDEAEVDVFVGDSKLMESNVRTCSNCDKDPYQFQESHGSWGPLDLENNMDRVEDIISHGEEKEAQKSSPENVDKILVEEVINRDSREGQKSMETGVYKRPNGVSAEVNIRSDIDDNPFDSVNEFITDDGSDYAMKMGTLSNNLQSSFSEAYSGMKHSSQNTESVSAKKADVLKNPEVNCREADREIPTEILTTLEEGQNTGSEMEERDKAAEDTASETVLKSREEKLDLQRTKARNDVKETEGEIEKEVLITLDKDKEKECKLEKEKEQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKADRIALERITSARQRASTEAYEKEEKATAQAALEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKESFKAPNQDNQHEAQFQKTASNNHGKSTDIEVVEVESALRHKAKLERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKVQQRGATIRQKYICEKVFDLLKEAWNKYNSEER >PAN29542 pep chromosome:PHallii_v3.1:5:14575868:14583149:1 gene:PAHAL_5G233200 transcript:PAN29542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELATALPPRRPHRERRHRRKASDAAAAALAAQAASSYGDVFGGPPRFAPPPAFAAGAGAGAAPADYVEVFGGVAASCSIPYLDLPPAVADGAGAGAGAYGEIFGRFDFGDFAAPYEEMLPGAECLAEEIASPSGSSRSSIRKESGQLDAEPSIIYQQYADTGCNQHFDEEQVYPVSFPPDGEQRFNMSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVMDSSTHANGVKHKMSPQNVVAVSLNSANSASVVDQQPHIPTCPHISENICEEESFNKRSSTHSMSSEEAPSPSGVEEKMSPPNVVAVSLKSASIIDQPPLHIPTCPPISENICEEESFNKRSSTHSVSSEEAPSPDYPFLRISDISLPAAPIKVQPPPMPSFKLLNKKGNKEHGDADVNPNSAAAAMKEAMEFAEARLKAAKDLMETKGDSFKFRKRPAHHRSAKSTEIKECKASDEVHLFEEDLNIRRLGKEENQNTDIASLDKDRGAGAFKPGHGDHGKKGVISPGKPHEMIQNGSELEQLGKWTSDAEFYELVSNDQRCRPIEAACHVNNDLLTNSFTKLDQSEKEKAEGFAGEPKRSRKLWSSNNTTDLRMDHVKQGKDGVASMEAEQKAPRLPEVPFCAERVAYQEPTEGDNCVLTNSSAKLDQSDKEKAGGFAGEPKRSRKLWGSNSTTGLRTEPVIQGKDGIPSVEAEQKAPRLPEVPFCDARVTYQQPTKGDNSLVTDSSAKFDQVDKEKAGGFAGEPKRSRKLWGSNNTTGMRMEPVNQGKYGIASVEAEQKAPWSSEVPFCDERVTYQEPTNSHLKQCPGVGDSQGRSNDGLFEILCMNSLPTEVRADPEISSSFLEPCLPGGHANDDENYSDGRAQETPLVGNSNHDDNNKEGLELPYTDELPCTLARNHVLQELPNVPNTDEINEGLVKISKLEESPKLHEIFEKEKLFGFVDEACLSNENERADEVASESLIHEEMTKYGIEEKADVHEYFQEGDVDQVAESPEEEGYVTSGSGIANDSEYDEAEVDVFVGDSKLMESNVRTCSNCDKDPYQFQESHGSWGPLDLENNMDRVEDIISHGEEKEAQKSSPENVDKILVEEVINRDSREGQKSMETGVYKRPNGVSAEVNIRSDIDDNPFDSVNEFITDDGSDYAMKMGTLSNNLQSSFSEAYSGMKHSSQNTESVSAKKADVLKNPEVNCREADREIPTEILTTLEEGQNTGSEMEERDKAAEDTASETVLKSREEKLDLQRTKARNDVKETEGEIEKEVLITLDKDKEKECKLEKEKEQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKADRIALERITSARQRASTEAYEKEEKATAQAALEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKESFKAPNQDNQHEAQFQKTASNNHGKSTDIEVVEVESALRHKAKLERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKVQQRGATIRQKYICEKVFDLLKEAWNKYNSEER >PAN29545 pep chromosome:PHallii_v3.1:5:14577028:14583149:1 gene:PAHAL_5G233200 transcript:PAN29545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVMDSSTHANGVKHKMSPQNVVAVSLNSANSASVVDQQPHIPTCPHISENICEEESFNKRSSTHSMSSEEAPSPSGVEEKMSPPNVVAVSLKSASIIDQPPLHIPTCPPISENICEEESFNKRSSTHSVSSEEAPSPDYPFLRISDISLPAAPIKVQPPPMPSFKLLNKKGNKEHGDADVNPNSAAAAMKEAMEFAEARLKAAKDLMETKGDSFKFRKRPAHHRSAKSTEIKECKASDEVHLFEEDLNIRRLGKEENQNTDIASLDKDRGAGAFKPGHGDHGKKGVISPGKPHEMIQNGSELEQLGKWTSDAEFYELVSNDQRCRPIEAACHVNNDLLTNSFTKLDQSEKEKAEGFAGEPKRSRKLWSSNNTTDLRMDHVKQGKDGVASMEAEQKAPRLPEVPFCAERVAYQEPTEGDNCVLTNSSAKLDQSDKEKAGGFAGEPKRSRKLWGSNSTTGLRTEPVIQGKDGIPSVEAEQKAPRLPEVPFCDARVTYQQPTKGDNSLVTDSSAKFDQVDKEKAGGFAGEPKRSRKLWGSNNTTGMRMEPVNQGKYGIASVEAEQKAPWSSEVPFCDERVTYQEPTNSHLKQCPGVGDSQGRSNDGLFEILCMNSLPTEVRADPEISSSFLEPCLPGGHANDDENYSDGRAQETPLVGNSNHDDNNKEGLELPYTDELPCTLARNHVLQELPNVPNTDEINEGLVKISKLEESPKLHEIFEKEKLFGFVDEACLSNENERADEVASESLIHEEMTKYGIEEKADVHEYFQEGDVDQVAESPEEEGYVTSGSGIANDSEYDEAEVDVFVGDSKLMESNVRTCSNCDKDPYQFQESHGSWGPLDLENNMDRVEDIISHGEEKEAQKSSPENVDKILVEEVINRDSREGQKSMETGVYKRPNGVSAEVNIRSDIDDNPFDSVNEFITDDGSDYAMKMGTLSNNLQSSFSEAYSGMKHSSQNTESVSAKKADVLKNPEVNCREADREIPTEILTTLEEGQNTGSEMEERDKAAEDTASETVLKSREEKLDLQRTKARNDVKETEGEIEKEVLITLDKDKEKECKLEKEKEQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKADRIALERITSARQRASTEAYEKEEKATAQAALEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKESFKAPNQDNQHEAQFQKTASNNHGKSTDIEVVEVESALRHKAKLERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKVQQRGATIRQKYICEKVFDLLKEAWNKYNSEER >PAN29547 pep chromosome:PHallii_v3.1:5:14577028:14583149:1 gene:PAHAL_5G233200 transcript:PAN29547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVMDSSTHANGVKHKMSPQNVVAVSLNSANSASVVDQQPHIPTCPHISENICEEESFNKRSSTHSMSSEEAPSPSGVEEKMSPPNVVAVSLKSASIIDQPPLHIPTCPPISENICEEESFNKRSSTHSVSSEEAPSPDYPFLRISDISLPAAPIKVQPPPMPSFKLLNKKGNKEHGDADVNPNSAAAAMKEAMEFAEARLKAAKDLMETKGDSFKFRKRPAHHRSAKSTEIKECKASDEVHLFEEDLNIRRLGKEENQNTDIASLDKDRGAGAFKPGHGDHGKKGVISPGKPHEMIQNGSELEQLGKWTSDAEFYELVSNDQRCRPIEAACHVNNDLLTNSFTKLDQSEKEKAEGFAGEPKRSRKLWSSNNTTDLRMDHVKQGKDGVASMEAEQKAPRLPEVPFCAERVAYQEPTEGDNCVLTNSSAKLDQSDKEKAGGFAGEPKRSRKLWGSNSTTGLRTEPVIQGKDGIPSVEAEQKAPRLPEVPFCDARVTYQQPTKGDNSLVTDSSAKFDQVDKEKAGGFAGEPKRSRKLWGSNNTTGMRMEPVNQGKYGIASVEAEQKAPWSSEVPFCDERVTYQEPTNSHLKQCPGVGDSQGRSNDGLFEILCMNSLPTEVRADPEISSSFLEPCLPGGHANDDENYSDGRAQETPLVGNSNHDDNNKEGLELPYTDELPCTLARNHVLQELPNVPNTDEINEGLVKISKLEESPKLHEIFEKEKLFGFVDEACLSNENERADEVASESLIHEEMTKYGIEEKADVHEYFQEGDVDQVAESPEEEGYVTSGSGIANDSEYDEAEVDVFVGDSKLMESNVRTCSNCDKDPYQFQESHGSWGPLDLENNMDRVEDIISHGEEKEAQKSSPENVDKILVEEVINRDSREGQKSMETGVYKRPNGVSAEVNIRSDIDDNPFDSVNEFITDDGSDYAMKMGTLSNNLQSSFSEAYSGMKHSSQNTESVSAKKADVLKNPEVNCREADREIPTEILTTLEEGQNTGSEMEERDKAAEDTASETVLKSREEKLDLQRTKARNDVKETEGEIEKEVLITLDKDKEKECKLEKEKEQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKADRIALERITSARQRASTEAYEKEEKATAQAALEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKESFKAPNQDNQHEAQFQKTASNNHGKSTDIEVVEVESALRHKAKLERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKVQQRGATIRQKYICEKVFDLLKEAWNKYNSEER >PAN29543 pep chromosome:PHallii_v3.1:5:14575718:14583149:1 gene:PAHAL_5G233200 transcript:PAN29543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELATALPPRRPHRERRHRRKASDAAAAALAAQAASSYGDVFGGPPRFAPPPAFAAGAGAGAAPADYVEVFGGVAASCSIPYLDLPPAVADGAGAGAGAYGEIFGRFDFGDFAAPYEEMLPGAECLAEEIASPSGSSRSSIRKESGQLDAEPSIIYQQYADTGCNQHFDEEQVYPVSFPPDGEQRFNMSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVMDSSTHANGVKHKMSPQNVVAVSLNSANSASVVDQQPHIPTCPHISENICEEESFNKRSSTHSMSSEEAPSPSGVEEKMSPPNVVAVSLKSASIIDQPPLHIPTCPPISENICEEESFNKRSSTHSVSSEEAPSPDYPFLRISDISLPAAPIKVQPPPMPSFKLLNKKGNKEHGDADVNPNSAAAAMKEAMEFAEARLKAAKDLMETKGDSFKFRKRPAHHRSAKSTEIKECKASDEVHLFEEDLNIRRLGKEENQNTDIASLDKDRGAGAFKPGHGDHGKKGVISPGKPHEMIQNGSELEQLGKWTSDAEFYELVSNDQRCRPIEAACHVNNDLLTNSFTKLDQSEKEKAEGFAGEPKRSRKLWSSNNTTDLRMDHVKQGKDGVASMEAEQKAPRLPEVPFCAERVAYQEPTEGDNCVLTNSSAKLDQSDKEKAGGFAGEPKRSRKLWGSNSTTGLRTEPVIQGKDGIPSVEAEQKAPRLPEVPFCDARVTYQQPTKGDNSLVTDSSAKFDQVDKEKAGGFAGEPKRSRKLWGSNNTTGMRMEPVNQGKYGIASVEAEQKAPWSSEVPFCDERVTYQEPTNSHLKQCPGVGDSQGRSNDGLFEILCMNSLPTEVRADPEISSSFLEPCLPGGHANDDENYSDGRAQETPLVGNSNHDDNNKEGLELPYTDELPCTLARNHVLQELPNVPNTDEINEGLVKISKLEESPKLHEIFEKEKLFGFVDEACLSNENERADEVASESLIHEEMTKYGIEEKADVHEYFQEGDVDQVAESPEEEGYVTSGSGIANDSEYDEAEVDVFVGDSKLMESNVRTCSNCDKDPYQFQESHGSWGPLDLENNMDRVEDIISHGEEKEAQKSSPENVDKILVEEVINRDSREGQKSMETGVYKRPNGVSAEVNIRSDIDDNPFDSVNEFITDDGSDYAMKMGTLSNNLQSSFSEAYSGMKHSSQNTESVSAKKADVLKNPEVNCREADREIPTEILTTLEEGQNTGSEMEERDKAAEDTASETVLKSREEKLDLQRTKARNDVKETEGEIEKEVLITLDKDKEKECKLEKEKEQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKADRIALERITSARQRASTEAYEKEEKATAQAALEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKESFKAPNQDNQHEAQFQKTASNNHGKSTDIEVVEVESALRHKAKLERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKVQQRGATIRQKYICEKVFDLLKEAWNKYNSEER >PAN29548 pep chromosome:PHallii_v3.1:5:14577115:14583149:1 gene:PAHAL_5G233200 transcript:PAN29548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVMDSSTHANGVKHKMSPQNVVAVSLNSANSASVVDQQPHIPTCPHISENICEEESFNKRSSTHSMSSEEAPSPSGVEEKMSPPNVVAVSLKSASIIDQPPLHIPTCPPISENICEEESFNKRSSTHSVSSEEAPSPDYPFLRISDISLPAAPIKVQPPPMPSFKLLNKKGNKEHGDADVNPNSAAAAMKEAMEFAEARLKAAKDLMETKGDSFKFRKRPAHHRSAKSTEIKECKASDEVHLFEEDLNIRRLGKEENQNTDIASLDKDRGAGAFKPGHGDHGKKGVISPGKPHEMIQNGSELEQLGKWTSDAEFYELVSNDQRCRPIEAACHVNNDLLTNSFTKLDQSEKEKAEGFAGEPKRSRKLWSSNNTTDLRMDHVKQGKDGVASMEAEQKAPRLPEVPFCAERVAYQEPTEGDNCVLTNSSAKLDQSDKEKAGGFAGEPKRSRKLWGSNSTTGLRTEPVIQGKDGIPSVEAEQKAPRLPEVPFCDARVTYQQPTKGDNSLVTDSSAKFDQVDKEKAGGFAGEPKRSRKLWGSNNTTGMRMEPVNQGKYGIASVEAEQKAPWSSEVPFCDERVTYQEPTNSHLKQCPGVGDSQGRSNDGLFEILCMNSLPTEVRADPEISSSFLEPCLPGGHANDDENYSDGRAQETPLVGNSNHDDNNKEGLELPYTDELPCTLARNHVLQELPNVPNTDEINEGLVKISKLEESPKLHEIFEKEKLFGFVDEACLSNENERADEVASESLIHEEMTKYGIEEKADVHEYFQEGDVDQVAESPEEEGYVTSGSGIANDSEYDEAEVDVFVGDSKLMESNVRTCSNCDKDPYQFQESHGSWGPLDLENNMDRVEDIISHGEEKEAQKSSPENVDKILVEEVINRDSREGQKSMETGVYKRPNGVSAEVNIRSDIDDNPFDSVNEFITDDGSDYAMKMGTLSNNLQSSFSEAYSGMKHSSQNTESVSAKKADVLKNPEVNCREADREIPTEILTTLEEGQNTGSEMEERDKAAEDTASETVLKSREEKLDLQRTKARNDVKETEGEIEKEVLITLDKDKEKECKLEKEKEQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKADRIALERITSARQRASTEAYEKEEKATAQAALEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKESFKAPNQDNQHEAQFQKTASNNHGKSTDIEVVEVESALRHKAKLERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKVQQRGATIRQKYICEKVFDLLKEAWNKYNSEER >PAN31932 pep chromosome:PHallii_v3.1:5:53699660:53702816:-1 gene:PAHAL_5G451000 transcript:PAN31932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGDKAGRGGRLLPQQQPLRLESQRFRLLSLVGGCFVFCLVFLLSSRPDATAFGTVSPRAALDGARRPAAVKTLRTSSSGFGGDFHVDILPQQQRQRLRQSVEQSAGDKTATEWVRDTVIVEERSDAEASEAAAEPEEAGRDGDGNAAAAASNPDDQPAPGAEEKEVRDDAVVTTAAAAAATTTTAQPAAEITATAPDLPEGKARAAGGGQSKLQEQPARQRQEELHEPARSGGGDHHQQQRPPLCDFSDFRSDICDMAGDIRLDANASAFVVVDPATGAGSGQWHRVRPYPRKGDETCMGRITEVTVRSTGDAPGAPRCTRSHAGPAVVFSIGGYTGNIFHDFSDVLVPLYNTAQRYRGDVQLVMANVASWWLVKYDKLLHELSRHAPLDLAKAGAAGEVHCFPRAVVSLRAHKELIIERERSADGLATPDFTRFVRRALSLPRDAPTRLGDGTGLKPRLLIISRHRTRLLLNLDAVVRAAEEVGFEAVVNESDVGNDIAQIVPWGGLQWMARADYGDPAEAMGLRYIQYEVGVGESTLKDKFPSGHKIFTDPTSLHKKGFMFIRQTLMDGQDIAVDVGRFREVLLQVLNNLSQ >PAN31931 pep chromosome:PHallii_v3.1:5:53699517:53702783:-1 gene:PAHAL_5G451000 transcript:PAN31931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGDKAGRGGRLLPQQQPLRLESQRFRLLSLVGGCFVFCLVFLLSSRPDATAFGTVSPRAALDGARRPAAVKTLRTSSSGFGGDFHVDILPQQQRQRLRQSVEQSAGDKTATEWVRDTVIVEERSDAEASEAAAEPEEAGRDGDGNAAAAASNPDDQPAPGAEEKEVRDDAVVTTAAAAAATTTTAQPAAEITATAPDLPEGKARAAGGGQSKLQEQPARQRQEELHEPARSGGGDHHQQQRPPLCDFSDFRSDICDMAGDIRLDANASAFVVVDPATGAGSGQWHRVRPYPRKGDETCMGRITEVTVRSTGDAPGAPRCTRSHAGPAVVFSIGGYTGNIFHDFSDVLVPLYNTAQRYRGDVQLVMANVASWWLVKYDKLLHELSRHAPLDLAKAGAAGEVHCFPRAVVSLRAHKELIIERERSADGLATPDFTRFVRRALSLPRDAPTRLGDGTGLKPRLLIISRHRTRLLLNLDAVVRAAEEVGFEAVVNESDVGNDIAQVGALINSCDALVGVHGAGLTNMMFLPPGATLVQIVPWGGLQWMARADYGDPAEAMGLRYIQYEVGVGESTLKDKFPSGHKIFTDPTSLHKKGFMFIRQTLMDGQDIAVDVGRFREVLLQVLNNLSQ >PAN32684 pep chromosome:PHallii_v3.1:5:56981110:56984930:-1 gene:PAHAL_5G504900 transcript:PAN32684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAEAVAMAEQVVADLREKCETPPALLREVASAMAHEMGAGLEKEGGSRVKMLLSYVDKLPTGGEEGSFYGLDLGGTNFRVLRVQLGGNEKHVVNRESREVSIPPELMSGSSSELFGFIASELAKFVAHEEKCAKISNGKKRELGFTFSFPVKQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMEKQGLDMHVAALINDAVGTLAGARYYDKDVVAGVIFGTGTNAAYVEKANTIPKWEGELPNSGDMVINMEWGNFCSSHLPVTEYDQELDEESLNPGEQIYEKLMSGMYLGEIVRRVLLKISLDSSLFGNIDHAKLKTHFLLRTPHISAMHHDETPDLKIVAEKLENLEITGTSLETRKLVVEICDIVARRAARLAAAGLAGILKKLGRDCNAQEQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVSVKHADDGSGIGAALIAASQSQYRNVE >PAN27328 pep chromosome:PHallii_v3.1:5:4519869:4523803:1 gene:PAHAL_5G073200 transcript:PAN27328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLLPRAASVLDAAAAAPLLLSSPRMPALHLACSSFLAARPGANRSRTRSPQWLHCDGARRGICSAEAARRGGDTEEGENGRAVPERRQRGRSDALAGSGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEEMKGTDTSKLPKRRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPERYAYTFQNYVFVTRVMQEKESASGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGGSGVLSVSQLPVHLEGSLPADIQDRVFYLEGDHMHSSIQKDIEAKRQYARQVAEFFEFVKTKKESSTAETIDGDNKSINKQIMLPSRGGLWVPGNSPLPESALKSFDFRRTMSSFHST >PAN27307 pep chromosome:PHallii_v3.1:5:4519869:4523803:1 gene:PAHAL_5G073200 transcript:PAN27307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLLPRAASVLDAAAAAPLLLSSPRMPALHLACSSFLAARPGANRSRTRSPQWLHCDGARRGICSAEAARRGGDTEEGENGRAVPERRQRGRSDALAGSGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEEMKGTDTSKLPKRRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPERYAYTFQNYVFVTRVMQEKESASGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGGSGVLSVSQLPVHLEGSLPADIQDRVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKTKKESSTAETIDGDNKSINKQIMLPSRGGLWVPGNSPLPESALKSFDFRRTMSSFHST >PAN27309 pep chromosome:PHallii_v3.1:5:4520676:4523840:1 gene:PAHAL_5G073200 transcript:PAN27309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFLQNSVGIIHKNHAESITLFIKESVDEEMKGTDTSKLPKRRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPERYAYTFQNYVFVTRVMQEKESASGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGGSGVLSVSQLPVHLEGSLPADIQDRVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKTKKESSTAETIDGDNKSINKQIMLPSRGGLWVPGNSPLPESALKSFDFRRTMSSFHST >PAN27207 pep chromosome:PHallii_v3.1:5:4096014:4111031:1 gene:PAHAL_5G066400 transcript:PAN27207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRKRQDNETAEECCFTCKDGGDDLHVCAFKNCLKVYHPRCVGKEDGFLTSGEQFICGSHICVDCRRSSDYQCLCCPLYSVCHACLGKVEFVQLRKQNKGFCSICLNRVILIEKNAVADPDVVETDHIDAEISEILFKDYWEVIKDREHLTLVDLEEVSVYLNRRLNCKEGVNSEKIPDDGHKSDENILADNDTNDQTIPFDSKCKQNKVNTSQKNKSNKKTYVGWGSAQLIEFLSSFGKDTAKPLDELEIVGVVKGYIKQKNLYKDDKKLCFLCDDKLQPLFTRRKVKCKNIPRFLAVHLASNAVSEHEISYGSEDDDTPVMKKKPRNSLQPKIAKRVPEQSKKCFASLVQNNINLIYLRRTLVVSLLSHLDTFERKVVGCFVRVKIAYKVQCYKNSTKAFMLGRVTGIKKCSEVYKINDTQTNILLCVAGLWNDVNISSLSDEDFEEDECSDLISLVKEGLLERATIAEFEEKVAAVHTDIVNHWIERELVRLERNIDRAHMKGLRVELEELMHQKELLSTPAERQRRLEEVPEIIPDTEYEEKENELGVAASNSSRENRGAAQQVANPSNDLEEEPLKGAAKDVVECSEVLEEKLPEGARDQVTDSLNVLNEESSEGGIHQIVDSLNVCNGEPHIERIEGATEQIPDSLNILNKGSSEVTSKQGDATREAPSEDDNATQAMDVDQEDSDHSRQVVKTEVEVINLESDEDEDLPTVQDKAEGKAMHPPRAMNSGNIHIAQSVSASPATLHAQGGMNGVVPPEPAPATMNGVPQSELLRPALATVNGVVPPEQHEPAPATMNGILQPELRQPASATTNGAVSPEQHEPALAPMNGVLHPEQRRPEPVRAAENRVSPQALLWHYIDPQGDARGPFALLHLLRWKQNGFFSEGFRVWRTGQAAEQAILLNDAFRMHM >PAN27206 pep chromosome:PHallii_v3.1:5:4096014:4111157:1 gene:PAHAL_5G066400 transcript:PAN27206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRKRQDNETAEECCFTCKDGGDDLHVCAFKNCLKVYHPRCVGKEDGFLTSGEQFICGSHICVDCRRSSDYQCLCCPLYSVCHACLGKVEFVQLRKQNKGFCSICLNRVILIEKNAVADPDVVETDHIDAEISEILFKDYWEVIKDREHLTLVDLEEVSVYLNRRLNCKEGVNSEKIPDDGHKSDENILADNDTNDQTIPFDSKCKQNKVNTSQKNKSNKKTYVGWGSAQLIEFLSSFGKDTAKPLDELEIVGVVKGYIKQKNLYKDDKKLCFLCDDKLQPLFTRRKVKCKNIPRFLAVHLASNAVSEHEISYGSEDDDTPVMKKKPRNSLQPKIAKRVPEQSKKCFASLVQNNINLIYLRRTLVVSLLSHLDTFERKVVGCFVRVKIAYKVQCYKNSTKAFMLGRVTGIKKCSEVYKINDTQTNILLCVAGLWNDVNISSLSDEDFEEDECSDLISLVKEGLLERATIAEFEEKVAAVHTDIVNHWIERELVRLERNIDRAHMKGLRVELEELMHQKELLSTPAERQRRLEEVPEIIPDTEYEEKENELGVAASNSSRENRGAAQQVANPSNDLEEEPLKGAAKDVVECSEVLEEKLPEGARDQVTDSLNVLNEESSEGGIHQIVDSLNVCNGEPHIERIEGATEQIPDSLNILNKGSSEVTSKQGDATREAPSEACFSGATLDPALQSQMNDTQDDNATQAMDVDQEDSDHSRQVVKTEVEVINLESDEDEDLPTVQDKAEGKAMHPPRAMNSGNIHIAQSVSASPATLHAQGGMNGVVPPEPAPATMNGVPQSELLRPALATVNGVVPPEQHEPAPATMNGILQPELRQPASATTNGAVSPEQHEPALAPMNGVLHPEQRRPEPVRAAENRVSPQALLWHYIDPQGDARGPFALLHLLRWKQNGFFSEGFRVWRTGQAAEQAILLNDAFRMHM >PVH39097 pep chromosome:PHallii_v3.1:5:52488451:52489152:-1 gene:PAHAL_5G432200 transcript:PVH39097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEFRLEGSSRLPYPTSSSTSTTTIKSSNSKDDWVVCHVFHKTAGRKRTPALPQYNLDTTGCGIGERSTPMAMPLQFPMLPDFTMDPQVSYYSTTSAISSLVSPMMPPMPDMGGIGLQMNNTLFRNSKTIVPPMSYHQLGMGVASTDGFMAAPNSGPLSMVSQNGNGMNPDQTNAIEISSMVPAALEYVANMDMGSIWKY >PVH39095 pep chromosome:PHallii_v3.1:5:52488166:52489864:-1 gene:PAHAL_5G432200 transcript:PVH39095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKCTKGTSLVPPWERSILIRASHGNCLDDWVVCHVFHKTAGRKRTPALPQYNLDTTGCGIGERSTPMAMPLQFPMLPDFTMDPQVSYYSTTSAISSLVSPMMPPMPDMGGIGLQMNNTLFRNSKTIVPPMSYHQLGMGVASTDGFMAAPNSGPLSMVSQNGNGMNPDQTNAIEISSMVPAALEYVANMDMGSIWKY >PVH39096 pep chromosome:PHallii_v3.1:5:52488451:52489152:-1 gene:PAHAL_5G432200 transcript:PVH39096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEFRLEGSSRLPYPTSSSTSTTTIKSSNSKDDWVVCHVFHKTAGRKRTPALPQYNLDTTGCGIGERSTPMAMPLQFPMLPDFTMDPQVSYYSTTSAISSLVSPMMPPMPDMGGIGLQMNNTLFRNSKTIVPPMSYHQLGMGVASTDGFMAAPNSGPLSMVSQNGNGMNPDQTNAIEISSMVPAALEYVANMDMGSIWKY >PAN31471 pep chromosome:PHallii_v3.1:5:51791840:51795446:1 gene:PAHAL_5G421900 transcript:PAN31471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKDPGIKLFGRVIPLAPEPALGTTETEEPHCHDRPPEELQSRALEEEEDAAAADEDQHNEKEDGEMKVDMPQEKDNEMKVDTPQEKADEMKIDTPQEKGNEMKVDMPQEKGTEMKFDASQKEQDGEMKVDAQQEKKDEQMKVDASPMTENIQPGTLPTSDHNKEDLGQVNSTEDKVASDPKGESEKTSNEESGQDKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNASLHYRQLLMAPDCMLGSRVDISKSVLPEALVSPPSAPIQSTSRNETVLKFGPEVPLCESMASALNIDEQNVKNPGSAPRGENREDNSCASSVTSYNGLPENMVHVDKNGAPVYCNGVAPLPQYYLGTPFMYPWSVGWNNLPVMVPGKNMPEPASASESCSTSSAPWMTSPMMPASRLPRPAFPYPLVPPALWGCLSGWPATTWNIPWIRTNGCVSPSSSSNSSCSGNGSPTLGKHSRDSNPLKEEKKEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGTFKPFQSKVESKGQKSDTAQVLQANPAALSRSQSFQESS >PAN31472 pep chromosome:PHallii_v3.1:5:51792919:51795456:1 gene:PAHAL_5G421900 transcript:PAN31472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDMPQEKDNEMKVDTPQEKADEMKIDTPQEKGNEMKVDMPQEKGTEMKFDASQKEQDGEMKVDAQQEKKDEQMKVDASPMTENIQPGTLPTSDHNKEDLGQVNSTEDKVASDPKGESEKTSNEESGQDKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNASLHYRQLLMAPDCMLGSRVDISKSVLPEALVSPPSAPIQSTSRNETVLKFGPEVPLCESMASALNIDEQNVKNPGSAPRGENREDNSCASSVTSYNGLPENMVHVDKNGAPVYCNGVAPLPQYYLGTPFMYPWSVGWNNLPVMVPGKNMPEPASASESCSTSSAPWMTSPMMPASRLPRPAFPYPLVPPALWGCLSGWPATTWNIPWIRTNGCVSPSSSSNSSCSGNGSPTLGKHSRDSNPLKEEKKEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGTFKPFQSKVESKGQKSDTAQVLQANPAALSRSQSFQESS >PAN28907 pep chromosome:PHallii_v3.1:5:11188613:11190038:-1 gene:PAHAL_5G185800 transcript:PAN28907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGDAFAVAANPWSLVRGYFSPATLFLLLNMVIGTIALTSRSRRRHLDEDHDHHYQYQQQHCGDRHQYAPAPPPAPLARTSSVMERLRSLGLYRFRSGDFPPEYNHHLPAGADDDSTSGGAREAQAQYARSRSEPAARPPPPRVRRATDKNEEAAEAVVGAAKTTKSSSEVKKLERAPAQAQVRRAPRAPARRAQLAVREEEEDDNAAVSVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGL >PAN31732 pep chromosome:PHallii_v3.1:5:52900133:52901844:1 gene:PAHAL_5G440300 transcript:PAN31732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31724 pep chromosome:PHallii_v3.1:5:52899807:52901881:1 gene:PAHAL_5G440300 transcript:PAN31724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31725 pep chromosome:PHallii_v3.1:5:52900133:52901844:1 gene:PAHAL_5G440300 transcript:PAN31725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31726 pep chromosome:PHallii_v3.1:5:52899811:52901461:1 gene:PAHAL_5G440300 transcript:PAN31726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31727 pep chromosome:PHallii_v3.1:5:52899814:52901423:1 gene:PAHAL_5G440300 transcript:PAN31727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31723 pep chromosome:PHallii_v3.1:5:52899811:52901881:1 gene:PAHAL_5G440300 transcript:PAN31723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31731 pep chromosome:PHallii_v3.1:5:52899814:52901461:1 gene:PAHAL_5G440300 transcript:PAN31731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31722 pep chromosome:PHallii_v3.1:5:52900133:52901445:1 gene:PAHAL_5G440300 transcript:PAN31722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31729 pep chromosome:PHallii_v3.1:5:52899811:52901881:1 gene:PAHAL_5G440300 transcript:PAN31729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31733 pep chromosome:PHallii_v3.1:5:52899807:52901461:1 gene:PAHAL_5G440300 transcript:PAN31733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31730 pep chromosome:PHallii_v3.1:5:52899810:52901461:1 gene:PAHAL_5G440300 transcript:PAN31730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PAN31728 pep chromosome:PHallii_v3.1:5:52899813:52901844:1 gene:PAHAL_5G440300 transcript:PAN31728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHFKKRPKLSWHDRWCIFKEATRLYGASWVRDIGPDLRPNDYKKAAEDDEEEPSNGRPGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKAAEGDGGRHQPQQGEEATKKQPPPPPPSS >PVH37619 pep chromosome:PHallii_v3.1:5:3021660:3025891:1 gene:PAHAL_5G047800 transcript:PVH37619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDLRFADPSSYHDRRSDLAVAPMVAPPVPMPAANTYAAAYPPVPAASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGGGGGYGGGRGGRGRDGLDTLALPKPDFRSLIPFEKNFYVESPSVQAMSDAEVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDLLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSPDLKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMIIYLNIRIRY >PAN26928 pep chromosome:PHallii_v3.1:5:3021660:3025891:1 gene:PAHAL_5G047800 transcript:PAN26928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDLRFADPSSYHDRRSDLAVAPMVAPPVPMPAANTYAAAYPPVPAASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGGGGGYGGGRGGRGRDGLDTLALPKPDFRSLIPFEKNFYVESPSVQAMSDAEVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDLLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSPDLKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMIIYLNIRIRY >PVH37618 pep chromosome:PHallii_v3.1:5:3021853:3023891:1 gene:PAHAL_5G047800 transcript:PVH37618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDLRFADPSSYHDRRSDLAVAPMVAPPVPMPAANTYAAAYPPVPAASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGGGGGYGGGRGGRGRDGLDTLALPKPDFRSLIPFEKNFYVESPSVQAMSDAEVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDLLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSPDLKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMIIYLNIRIRY >PAN26927 pep chromosome:PHallii_v3.1:5:3021660:3025908:1 gene:PAHAL_5G047800 transcript:PAN26927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDLRFADPSSYHDRRSDLAVAPMVAPPVPMPAANTYAAAYPPVPAASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGGGGGYGGGRGGRGRDGLDTLALPKPDFRSLIPFEKNFYVESPSVQAMSDAEVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDLLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSPDLKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINYDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHANAKFSRNLVKILREAGQVVNPALESMSKSASSMGGGNFRSRGRGGFGNRGHISGSNTFPLGGRRAY >PAN30435 pep chromosome:PHallii_v3.1:5:19737441:19748830:-1 gene:PAHAL_5G281800 transcript:PAN30435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGEGSQGIKKVHFGDSFSQVPLITYKRRITYKRRRLQKLQPQQSEPQQQVEPQPEPELEPEHKAGDVPAQESKDTFWKSRDMGWKYGIMIDENRQHWKCMYCGLIRYGGGVSRLKRHLAGDLDVKMCPKVPADVVEEIREHLRKKRERRRKRTAQNGGNSLKTKSSSDDANVEEDLLPSDSVLPAGMSSNVLEEVTNQKSMVHQDPTYPRVPILRARDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLIDDSCPNVPKEISKKVSNFIEEKRATRLLLNNYIFSVDEDEVSDAQVQGDRTVKYENDQQPSRNATHVSSLDEYANEMAAGSNQCGEGSSGQPVEHCDQPEELWALDDGSMDQVINSKNKILDENADNSQKTKMEAGSSQFGEDSSGEPVEHCDQPEEQWALDHGRTDQVINSKNKILDKNIDNSQKTKISKPHRKSEFNTRKHIIIVDGVARHWRCKYCGMDGYGKKFRLHYHLAGAFRHPKCPNVPREVFAKARQHVLSKRRVKTNKAEQKIPSRPHIVGQFGEERKNNGPLCGIGNQSQLSVKNESSKVHNYPARLRSSAWEHSLIYEKEKEHWKCKWCSVEGYHGVSRLKWHLVGWQNLPQCPDVPKDVAKKIRDQMMSKEKNKAIRSGSFVGNGSCDVLCSSKSSQLDQDHLTAAMHDRCSSLAFGKANSESEACKMSSNTTLLSQESANPLVCHKQQRKEVATPPEPGRGQGQRMQWQSQHKPIMEEGLHRNGLFGNTNNSEEQRSDCGTSECWRYVLDGLLRLHLPDVQGDAGIVTCIRDALLYGSAEFGTVAGNVGMDSDKTVTANTARCQNVLRNILRSENFALLCSVLCRTVHQDEERTRYFDFGVIDSRLKNGNYGHEPELFMHDLKLLWEDLKMACQDIIHLANNLSSLTEDSYEKLVGRERGSTDGEPNGAIVTSSEPQSLVQSDALVPSTSQDNQLDQPGPSDLSDVHSTCNQCGKEARGGRIIKCSRCMLSCHISCIEPHDPSISTGSWCCKNCSTTCIEPIEGDMVLANYSPNCLHGNCVVCDRLEVCSSPKCEDAPNDNSRAMVISSVNSVDDPEQTEIGTGGSCKICGKPEEDGKRFLICGHIHCLYKYYHIRCLKSKQIAGNVQRDKPCWYCPSCLCRVCFSDKDDDLTILCDSCDEAYHLYCITPRRTSIPKGRWYCSSCSVERAKEGMRKYERRTLKLHQKDNAGQQNRNYEGLDLLVSAVEQLSADEQLVTSN >PAN30440 pep chromosome:PHallii_v3.1:5:19739026:19748211:-1 gene:PAHAL_5G281800 transcript:PAN30440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGEGSQGIKKVHFGDSFSQVPLITYKRRITYKRRRLQKLQPQQSEPQQQVEPQPEPELEPEHKAGDVPAQESKDTFWKSRDMGWKYGIMIDENRQHWKCMYCGLIRYGGGVSRLKRHLAGDLDVKMCPKVPADVVEEIREHLRKKRERRRKRTAQNGGNSLKTKSSSDDANVEEDLLPSDSVLPAGMSSNVLEEVTNQKSMVHQDPTYPRVPILRARDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLIDDSCPNVPKEISKKVSNFIEEKRATRLLLNNYIFSVDEDEVSDAQVQGDRTVKYENDQQPSRNATHVSSLDEYANEMAAGSNQCGEGSSGQPVEHCDQPEELWALDDGSMDQVINSKNKILDENADNSQKTKMEAGSSQFGEDSSGEPVEHCDQPEEQWALDHGRTDQVINSKNKILDKNIDNSQKTKISKPHRKSEFNTRKHIIIVDGVARHWRCKYCGMDGYGKKFRLHYHLAGAFRHPKCPNVPREVFAKARQHVLSKRRVKTNKAEQKIPSRPHIVGQFGEERKNNGPLCGIGNQSQLSVKNESSKVHNYPARLRSSAWEHSLIYEKEKEHWKCKWCSVEGYHGVSRLKWHLVGWQNLPQCPDVPKDVAKKIRDQMMSKEKNKAIRSGSFVGNGSCDVLCSSKSSQLDQDHLTAAMHDRCSSLAFGKANSESEACKMSSNTTLLSQESANPLVCHKQQRKEVATPPEPGRGQGQRMQWQSQHKPIMEEGLHRNGLFGNTNNSEEQRSDCGTSECWRYVLDGLLRLHLPDVQGDAGIVTCIRDALLYGSAEFGTVAGNVGMDSDKTVTANTARCQNVLRNILRSENFALLCSVLCRTVHQDEERTRYFDFGVIDSRLKNGNYGHEPELFMHDLKLLWEDLKMACQDIIHLANNLSSLTEDSYEKLVGRERGSTDGEPNYYATSIAGSYCDQFRTPELSSIRCVGTLNLTGQPIGSTRTFRSL >PAN30436 pep chromosome:PHallii_v3.1:5:19739026:19748211:-1 gene:PAHAL_5G281800 transcript:PAN30436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGEGSQGIKKVHFGDSFSQVPLITYKRRITYKRRRLQKLQPQQSEPQQQVEPQPEPELEPEHKAGDVPAQEVPILRARDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLIDDSCPNVPKEISKKVSNFIEEKRATRLLLNNYIFSVDEDEVSDAQVQGDRTVKYENDQQPSRNATHVSSLDEYANEMAAGSNQCGEGSSGQPVEHCDQPEELWALDDGSMDQVINSKNKILDENADNSQKTKMEAGSSQFGEDSSGEPVEHCDQPEEQWALDHGRTDQVINSKNKILDKNIDNSQKTKISKPHRKSEFNTRKHIIIVDGVARHWRCKYCGMDGYGKKFRLHYHLAGAFRHPKCPNVPREVFAKARQHVLSKRRVKTNKAEQKIPSRPHIVGQFGEERKNNGPLCGIGNQSQLSVKNESSKVHNYPARLRSSAWEHSLIYEKEKEHWKCKWCSVEGYHGVSRLKWHLVGWQNLPQCPDVPKDVAKKIRDQMMSKEKNKAIRSGSFVGNGSCDVLCSSKSSQLDQDHLTAAMHDRCSSLAFGKANSESEACKMSSNTTLLSQESANPLVCHKQQRKEVATPPEPGRGQGQRMQWQSQHKPIMEEGLHRNGLFGNTNNSEEQRSDCGTSECWRYVLDGLLRLHLPDVQGDAGIVTCIRDALLYGSAEFGTVAGNVGMDSDKTVTANTARCQNVLRNILRSENFALLCSVLCRTVHQDEERTRYFDFGVIDSRLKNGNYGHEPELFMHDLKLLWEDLKMACQDIIHLANNLSSLTEDSYEKLVGRERGSTDGEPNYYATSIAGSYCDQFRTPELSSIRCVGTLNLTGQPIGSTRTFRSL >PAN30432 pep chromosome:PHallii_v3.1:5:19737441:19748830:-1 gene:PAHAL_5G281800 transcript:PAN30432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGEGSQGIKKVHFGDSFSQVPLITYKRRITYKRRRLQKLQPQQSEPQQQVEPQPEPELEPEHKAGDVPAQEVPILRARDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLIDDSCPNVPKEISKKVSNFIEEKRATRLLLNNYIFSVDEDEVSDAQVQGDRTVKYENDQQPSRNATHVSSLDEYANEMAAGSNQCGEGSSGQPVEHCDQPEELWALDDGSMDQVINSKNKILDENADNSQKTKMEAGSSQFGEDSSGEPVEHCDQPEEQWALDHGRTDQVINSKNKILDKNIDNSQKTKISKPHRKSEFNTRKHIIIVDGVARHWRCKYCGMDGYGKKFRLHYHLAGAFRHPKCPNVPREVFAKARQHVLSKRRVKTNKAEQKIPSRPHIVGQFGEERKNNGPLCGIGNQSQLSVKNESSKVHNYPARLRSSAWEHSLIYEKEKEHWKCKWCSVEGYHGVSRLKWHLVGWQNLPQCPDVPKDVAKKIRDQMMSKEKNKAIRSGSFVGNGSCDVLCSSKSSQLDQDHLTAAMHDRCSSLAFGKANSESEACKMSSNTTLLSQESANPLVCHKQQRKEVATPPEPGRGQGQRMQWQSQHKPIMEEGLHRNGLFGNTNNSEEQRSDCGTSECWRYVLDGLLRLHLPDVQGDAGIVTCIRDALLYGSAEFGTVAGNVGMDSDKTVTANTARCQNVLRNILRSENFALLCSVLCRTVHQDEERTRYFDFGVIDSRLKNGNYGHEPELFMHDLKLLWEDLKMACQDIIHLANNLSSLTEDSYEKLVGRERGSTDGEPNGAIVTSSEPQSLVQSDALVPSTSQDNQLDQPGPSDLSDVHSTCNQCGKEARGGRIIKCSRCMLSCHISCIEPHDPSISTGSWCCKNCSTTCIEPIEGDMVLANYSPNCLHGNCVVCDRLEVCSSPKCEDAPNDNSRAMVISSVNSVDDPEQTEIGTGGSCKICGKPEEDGKRFLICGHIHCLYKYYHIRCLKSKQIAGNVQRDKPCWYCPSCLCRVCFSDKDDDLTILCDSCDEAYHLYCITPRRTSIPKGRWYCSSCSVERAKEGMRKYERRTLKLHQKDNAGQQNRNYEGLDLLVSAVEQLSADEQLVTSN >PVH39316 pep chromosome:PHallii_v3.1:5:55345685:55347925:1 gene:PAHAL_5G476300 transcript:PVH39316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAFSDFGPLTERRRVEKQRQQRRRVMFAAAGASVVLILIVMGGAAVAYNASVQDEESDDSSSSSSSSLSSPSGGGGSGSSLISVSKSVKVVCAQTDHRDACEKSLSKAANASASSPKDIVRASVAVIGDAVGKAFDRSALATSDNPRVKAAVADCKEIYQDAKADLARTLRGIDAGGMDEVTKRGYELRVWLSAVIAHMETCIDGFPDGDLKKNMTATMESGKELTSNALAIIEKASSFLAALHITAASHRRLFSIREGEDVEKQPKVNHSGTFLGERGDDSPAPDSYRRLFSIREGEDVEKQPKVNHSGTFLGERDDSPAPDSYRRRLLGVEEDTAPWVNAPERRLLKGNNFQSRLTPNVVVAKDGSGKFKTINEALNAMPAKYTGRYLIYVKQGVYEEYVTITRAMENVTMYGDGAMKTIITGSRNFADGLTTYKTSTFNEQGDGFIGIALGFRNTAGAAKHQAVALLVQSDRSIFLNCRMDAYQDTLYAHSKAQFYRNCVISGTIDFVFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADGRESTGFVFQYCRFTAEAALRDASRPAIRSYLARPWREFSRTLIMESEIPAFIDKAGYLPWNGDFGLKTLWYAEYANRGPGADTAGRVTWPGYKKVISKDEAAKFTVQSFLHAEPWLKPAGAPVKYGFWA >PAN27611 pep chromosome:PHallii_v3.1:5:5691354:5698271:-1 gene:PAHAL_5G092400 transcript:PAN27611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTGVKHIMLVSTFARDPLPLGARLARAWLMTALPLRAQPLRASGLLCFLNISLLSDSLLPCFSFPGGYDWASGGAICPVFAQPFAGDERILQDFSMNDSVHDNIVLDKCVKDILALIKPAEDDRSKRLSTIQELENCIHSLASLTGAAVKPFGSFVSDLYSKSGDLDLSVQFGNGSNLPINKKKKQNVLREVRRALRGFAGYVQFIPHARVPVLQYVSNRYGISCDISINNFAGRIKSKIFYWVNTLDERFGDMVLLIKEWAKAQNINDPKSGSLNSYSLCLLVLFHFQTLEPPILPPLKEIYEGNIAEDITEGAFYNEQHLDELCVTNIERFRLQNQRQRNESSLCHLLGIFFQKFAHISALPGNVISTYSGQIERIQDNPSWMTKSYHLFVEDPVERPDNAARAVSMKGLGRIASAFNDACRKFDSLEHIDRNELLALLCTPGVGSKLGGRVLANSYAKIPQRNNQHTRTGGRTERDQRLQVSRFTGTRTVHKNPQVDTTMHQAAVQFRNHGHVTSVRQAAAAHLNRSQQNYTTVHQMAPYQNHNLPQVYSIRPQMAVPYQTHYQQVCAAGFQTEGPYQSHNQVYGTGFHTPRPYQSHNQVYQAGFQPAGTYRNQSQQGLTPGLQTSGPNQNQQRRKGYTSNHQANRHAVTTARYEPVRGQFNNGSIQDSRYQASNSAASQR >PAN28009 pep chromosome:PHallii_v3.1:5:7477069:7481193:-1 gene:PAHAL_5G124600 transcript:PAN28009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSNVKSAGVAQIDGRPVLQPAGNRVAPPEGARPLKKSLHKSLSMPASFDNNAAATCAAARHAPESTTRAAPAASLLPPATPASVTARATKAAAAAASEKSRAKARKPGAVLPVVTFAALDAFEPAGSIAAAQREHAALAQAQRKMRIAHYGRTASFSRVEGRVSATATASATEPAVPASPTGLEEKRCSFITPYSDPLYVAYHDEEWGVPVHDDELLFEMLTLSGVQVGADWTSILKKRHVYREAFSGFNVDAVANYTEKQMASLSADFGLDLGTVRGTVNNACRTLEVRRDFGSLDKYVWAFVNNKPLSPGYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMEAVGLTNDHLVSCPRHRACSAAAAAGRAN >PAN28996 pep chromosome:PHallii_v3.1:5:11608819:11615216:-1 gene:PAHAL_5G192400 transcript:PAN28996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDENALITLKKGSKLIKYSRKGKPKIRTFRLSSDETTLIWYSHKREKFLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSSLEGLISSCRKSSVDEHKDRVSFSDEVSYYQDSHSYDSTLDIASSISRSFHTAGYCKTDSFSLRRSDAGSDRANMIRTSGADSTRLSISSAPSSSSQGSGTDDIESLGDVYVWGEVWTDVAPSDGNTSSSCSKVDVLIPKPLESDVVLDVNQIVCGSRHVALTTRQGEVFTWGEEVGGRLGHGTDADISRPKLVESISVTIVDFISCGEFHTCAISASGDLFNWGDGSYHAGLLGYDTGASHWLPKRVSGPLEGLQVLSVACGSWHSALITSSGKLHTFGDGTFGVLGHGNRESVAYPKEVEALNGFKTVKVACGVWHSAAIVEATVQTGMNVVSKKLYTWGDGDKNRLGHGDKEARLIPTCVQALLEHNFHQLACGQNMTVALATSGHVYTMGSADNGQLGNPKSDGKQPCLVKDKLGNELVEEISCGAFHVAVLTSRSEVYTWGMGANGRLGHGDVNDKKTPTIVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKNADTNSNNVSKRNAPTRRSIDSREKPEIRPSKLVATPSAEPVKYMEVKSAKSDAKAAESIMKASQASAMLQLGFAAQFGALQPMGMSPALAMSPAMPAFSLAPPSPSPYTKKTKSPPAAAIPQSSKVDFDHLQKSNELLNQELLKLQSQVDDLKQKCEAQHEQLQKSDKKAKSVASMVAEESTKRNAAVEFVKFLDNELKGIVDKLPSDAVDSIKALQVQTHSLLKEQSSHPSEIVNTMERDHLHLSSGGSARYDLASHKSGGVGYLTMSQDGRPASGSAISITSDSPSHRFMENSAKAHGDFAPKHGTHGEVQLIEQFEPGVYVTLIQLKDGTKVFKRVRFSKRRFAENQAEEWWRENQERVFRKYSHPTQSAHGNTTSSHEDEHHP >PAN29771 pep chromosome:PHallii_v3.1:5:15626387:15627493:1 gene:PAHAL_5G246900 transcript:PAN29771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDKEQRPSCNCKKTTCLKRYCQCFQGHFFCSHACNCKGCWNSEHRRTFVEEHAELRLKTKPGASQSKDSALAGEQRVHGKGCTCNKSGCKKNYCECFKKQVACTTRCKCQGCENSYGTGGEGLQGNGDPGGPSGQPDGAPDGSDGSPGGSGESAVVIDEELLRPTEAGVAENVVAIDDPLDPSTYSWWHLLPPELSTPKESGACAGNPDT >PAN27077 pep chromosome:PHallii_v3.1:5:3636088:3638852:-1 gene:PAHAL_5G057400 transcript:PAN27077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEQANRAKEEEDAKNAKAEVTA >PAN32650 pep chromosome:PHallii_v3.1:5:56804597:56808091:1 gene:PAHAL_5G502600 transcript:PAN32650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEAIGGLPELEGSRSESWVGGAIRRRRQRRPRHGAGAAPQAMATPVAAVQRLFEACREVFNDAGPGAVPPPAGIERVKAVLDSITAEDVGLTPNMSYFRRVDPHGTPKITYLHLYKCEAFSIGIFCLPSRGVIPLHNHPGMTVFSKLLFGTMHVKSYDWAAAQQDIPDAQLQGPRLAKVKSDGILTAPHETSVLYPEDGGNMHCFTAQNACAVLDVLGPPYDDGSGRHCQYYNVSSSAISVGGSMLMPGGDSYEWLEESEPPQDFYLVGSTYMGPRILDQ >PAN29852 pep chromosome:PHallii_v3.1:5:16115697:16119735:1 gene:PAHAL_5G254100 transcript:PAN29852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKNQFDLLVDVDNDDPSHLIAAAQKKSAASPKPAPAAPAKLPTKPPPPAQAVKESRNYGAPARDEAGRGGPGRGRGGFRGGRTGPRREFGEDDANGVEGGYGRGGFGENGYPQREDGEGKTAERGRGPRQPYRGGGRRGGYNDVEAGDESGRPPRRAYERHSGTGRGYAMKREGAGRGNWGTVTDEALAQETLEAVNTEGTPAAAKDESKLEEVPQSEVEKGKEGEPTEEEEPEDKEMTLEEYEKVLEEKRKALLGLKTEERKVEVDKELQSMQPLSVKKGTDGIFIKLGSDKDKKKESAERDERAKKSVSINEFLKPAEGERYYTPGGRGRGRGRGHGGFRGGYSPREPAASAPAIQDQSQFPSLAGK >PVH37524 pep chromosome:PHallii_v3.1:5:1467282:1469641:1 gene:PAHAL_5G022900 transcript:PVH37524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRRSLELPPADPDRARLHQLGYKQELRRGLSVLSNFALSFSIISVMMGVTITFNTGLRYGGPVSMTLGWFAVTLFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWAATTSIDFSLAQLVQVIVLLGTGGQNGGGYMASKYVVLAIYGAILVVHGLINSLPIQYMAWFGQLGAFWNAAGILVLVILIPAVAKERASARFIFTHFNVDDATGIQDKAYILAVGLLMSQYSVIGYDASAHMTEETKNADWSGPMGIITSVALSSVFGWIYLVALTSIMTEDIRSLLDPGNDAGGYAVAQALYTAFHRRFGTGFGGLVCLGIVAIATFLCGCACITSNSRMGYAFSRDRAMPFSRFWYRVNKQEVPFNVVWLSVAVAFVMALTVHWGARWHSRPWSPSPPSGSTSPTRCPSSSV >PVH37525 pep chromosome:PHallii_v3.1:5:1467166:1470012:1 gene:PAHAL_5G022900 transcript:PVH37525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRRSLELPPADPDRARLHQLGYKQELRRGLSVLSNFALSFSIISVMMGVTITFNTGLRYGGPVSMTLGWFAVTLFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWAATTSIDFSLAQLVQVIVLLGTGGQNGGGYMASKYVVLAIYGAILVVHGLINSLPIQYMAWFGQLGAFWNAAGILVLVILIPAVAKERASARFIFTHFNVDDATGIQDKAYILAVGLLMSQYSVIGYDASAHMTEETKNADWSGPMGIITSVALSSVFGWIYLVALTSIMTEDIRSLLDPGNDAGGYAVAQALYTAFHRRFGTGFGGLVCLGIVAIATFLCGCACITSNSRMGYAFSRDRAMPFSRFWYRVNKQEVPFNVVWLSVAVAFVMALTSLGSQVAFQAMVSIATVGQYIAYALPIVFRVTTARRSFVPGPFHLGKYGLLVGWVAVTWVALVTVLFSLPVAYPVAEDNFNYTAALVGGVLLLSVGAWVLHARFWFQGPITNVDL >PAN26326 pep chromosome:PHallii_v3.1:5:216053:220333:-1 gene:PAHAL_5G002600 transcript:PAN26326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYEHSFAVSECPLCRLHQNMVAQKRLGYGSRGRQIPAMPYVPNSVRGKRTRRRNKNEMCSFDLLATVARTLLTDQDSSSNVANTSGAAKSYARNRKSVKEKYFDEILPLKSVAVKKDCCGGCVVSSGDVSALPRQANDGLEENSSTRNEAESVLESLTVKSNMLVRDSSVRCTRPCEISHGLGIIREHGAFGVRHPGSSSSAEAVQAHQAEPIRRQADGHAAALDSLFDSVDLDGRPPALASSDSSSRVLLCSHDKEHKALCKIEVHHTADRDNDENSSGCTHPSATGNEGYKPHYLGNHRIRKLLASKVRKTARKTCGGMSNKGSKLNFCGKKIPATCQKVQRTNFKKTKLARGTTKGMLTGASGTSFTTKGRDKSCGSEDYQVKLRIKSFNIPELFVEIPETATIGSLKRTVMDVVTSIMEGGLRVGVLLQGKSIQDDSKTLHQAGICHGEKLNNIDFTLECDRQQDSPSGVIIPEEMDFASADIVEPLARMKYEEPFPETEGGCGNQQPMKPYLNGSLAGHVHHPVEMASQDTSASLQAIIPVAASDLNALAIVPICKTRQSEIGQRRTRMPFSVGEVEVLVEAVEQLGTGRWRDIKMRGFDSADHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLSAQAYWSQQQAKLHGKALVPEICLA >PAN26328 pep chromosome:PHallii_v3.1:5:216053:221245:-1 gene:PAHAL_5G002600 transcript:PAN26328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYEHSFAVSECPLCRLHQNMVAQKRLGYGSRGRQIPAMPYVPNSVRGKRTRRRNKNEMCSFDLLATVARTLLTDQDSSSNVANTSGAAKSYARNRKSVKEKYFDEILPLKSVAVKKDCCGGCVVSSGDVSALPRQANDGLEENSSTRNEAESVLESLTVKSNMLVRDSSVRCTRPCEISHGLGIIREHGAFGVRHPGSSSSAEAVQAHQAEPIRRQADGHAAALDSLFDSVDLDGRPPALASSDSSSRVLLCSHDKEHKALCKIEVHHTADRDNDENSSGCTHPSATGNEGYKPHYLGNHRIRKLLASKVRKTARKTCGGMSNKGSKLNFCGKKIPATCQKVQRTNFKKTKLARGTTKGMLTGASGTSFTTKGRDKSCGSEDYQVKLRIKSFNIPELFVEIPETATIGSLKRTVMDVVTSIMEGGLRVGVLLQGKSIQDDSKTLHQAGICHGEKLNNIDFTLECDRQQDSPSGVIIPEEMDFASADIVEPLARMKYEEPFPETEGGCGNQQPMKPYLNGSLAGHVHHPVEMASQDTSASLQAIIPVAASDLNALAIVPICKTRQSEIGQRRTRMPFSVGEVEVLVEAVEQLGTGRWRDIKMRGFDSADHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLSAQAYWSQQQAKLHGKALVPEICLA >PAN26327 pep chromosome:PHallii_v3.1:5:216355:219840:-1 gene:PAHAL_5G002600 transcript:PAN26327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYEHSFAVSECPLCRLHQNMVAQKRLGYGSRGRQIPAMPYVPNSVRGKRTRRRNKNEMCSFDLLATVARTLLTDQDSSSNVANTSGAAKSYARNRKSVKEKYFDEILPLKSVAVKKDCCGGCVVSSGDVSALPRQANDGLEENSSTRNEAESVLESLTVKSNMLVRDSSVRCTRPCEISHGLGIIREHGAFGVRHPGSSSSAEAVQAHQAEPIRRQADGHAAALDSLFDSVDLDGRPPALASSDSSSRVLLCSHDKEHKALCKIEVHHTADRDNDENSSGCTHPSATGNEGYKPHYLGNHRIRKLLASKVRKTARKTCGGMSNKGSKLNFCGKKIPATCQKVQRTNFKKTKLARGTTKGMLTGASGTSFTTKGRDKSCGSEDYQVKLRIKSFNIPELFVEIPETATIGSLKRTVMDVVTSIMEGGLRVGVLLQGKSIQDDSKTLHQAGICHGEKLNNIDFTLECDRQQDSPSGVIIPEEMDFASADIVEPLARMKYEEPFPETEGGCGNQQPMKPYLNGSLAGHVHHPVEMASQDTSASLQAIIPVAASDLNALAIVPICKTRQSEIGQRRTRMPFSVGEVEVLVEAVEQLGTGRWRDIKMRGFDSADHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLSAQAYWSQQQAKLHGKALVPEICLA >PAN27842 pep chromosome:PHallii_v3.1:5:6768550:6771756:-1 gene:PAHAL_5G112300 transcript:PAN27842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHTVIAFVAVSLLFASIPHAKSADLNSDKQALLAFAASLPHGRKLNWSSTTPVCTSWVGVTCTPDKSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTVDLPPDVGSIPALHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQKFPASSFLGNAFLCGFPLEPCPGTAPSPSPVSPPSPSKTKKSLWKKIKTIVIIALAAVGGVLLLILILVLLICIFKRKRHTEPTPASSKGKAVAGGRAENPKEDYSSGVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKEFEQQMEIIGRVGQHQNVVPLRAYYYSKDEKLLVFDYVPSGSLAAVLHGNKSAGRAPLDWETRVKISLDVASGIAHLHAEGGGKFIHGNIKASNVLLSQNQDGCVSEFGLAQLMTTPQAPPRLVGYRAPEVLETKKPTQKSDIYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNVEDEMVQMLQVAMACVAIAPDQRPKMEEVIRRITEIRNSYSSGTRTPLEDKPETAQAP >PVH37881 pep chromosome:PHallii_v3.1:5:6768553:6774069:-1 gene:PAHAL_5G112300 transcript:PVH37881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHTVIAFVAVSLLFASIPHAKSADLNSDKQALLAFAASLPHGRKLNWSSTTPVCTSWVGVTCTPDKSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTVDLPPDVGSIPALHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQKFPASSFLGNAFLCGFPLEPCPGTAPSPSPVSPPSPSKTKKSLWKKIKTIVIIALAAVGGVLLLILILVLLICIFKRKRHTEPTPASSKGKAVAGGRAENPKEDYSSGVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKEFEQQMEIIGRVGQHQNVVPLRAYYYSKDEKLLVFDYVPSGSLAAVLHGNKSAGRAPLDWETRVKISLDVASGIAHLHAEGGGKFIHGNIKASNVLLSQNQDGCVSEFGLAQLMTTPQAPPRLVGYRAPEVLETKKPTQKSDIYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNVEDEMVQMLQVAMACVAIAPDQRPKMEEVIRRITEIRNSYSSGTRTPLEDKPETAQAP >PAN27063 pep chromosome:PHallii_v3.1:5:3565008:3570346:-1 gene:PAHAL_5G056300 transcript:PAN27063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQWTIYMDLDGSNARIANYFDVIAGTSTGGLITAMLATPSLSNAKQPCYEAKDIVPFYLKHCPHIFPCRTGFFGWLCKIVRIIKLIIGPKYNGKYLHKIINDLLGETRVKETLTNIVIPTYDVKCVKPTIFSTFKARSNTLMDARLADVCIGTSAAPTVLPAHYFETVDYHTGATRSFNIIDGGLVANNPTLVAMSEITKQIRLRSKEFPETKPLDYHRYLVISLGTGLPEQDIKFDALHVAKWGIFQWLGRHYTMPLLHMFLHASSDMTDSHVANLFKSIECSDQLLRIQDHNIPIASVSADLTTEKNLEGLVTIGENLLHRPLSKDDCKINHVRPVPKDSCTLTYADLLTRFAKLLSDERKLRLQNIELDAEGLGEP >PAN27066 pep chromosome:PHallii_v3.1:5:3564723:3569179:-1 gene:PAHAL_5G056300 transcript:PAN27066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNYACPPPRKGNMITILSIDGGGVKGIIPATFLSFLESKLQDLDGSNARIANYFDVIAGTSTGGLITAMLATPSLSNAKQPCYEAKDIVPFYLKHCPHIFPCRTGFFGWLCKIVRIIKLIIGPKYNGKYLHKIINDLLGETRVKETLTNIVIPTYDVKCVKPTIFSTFKARSNTLMDARLADVCIGTSAAPTVLPAHYFETVDYHTGATRSFNIIDGGLVANNPTLVAMSEITKQIRLRSKEFPETKPLDYHRYLVISLGTGLPEQDIKFDALHVAKWGIFQWLGRHYTMPLLHMFLHASSDMTDSHVANLFKSIECSDQLLRIQDHNIPIASVSADLTTEKNLEGLVTIGENLLHRPLSKDDCKINHVRPVPKDSCTLTYADLLTRFAKLLSDERKLRLQNIELDAEGLGEP >PVH37679 pep chromosome:PHallii_v3.1:5:3565652:3569138:-1 gene:PAHAL_5G056300 transcript:PVH37679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNYACPPPRKGNMITILSIDGGGVKGIIPATFLSFLESKLQDLDGSNARIANYFDVIAGTSTGGLITAMLATPSLSNAKQPCYEAKDIVPFYLKHCPHIFPCRTGFFGWLCKIVRIIKLIIGPKYNGKYLHKIINDLLGETRVKETLTNIVIPTYDVKCVKPTIFSTFKARSNTLMDARLADVCIGTSAAPTVLPAHYFETVDYHTGATRSFNIIDGGLVANNPLTDSGGNE >PAN27064 pep chromosome:PHallii_v3.1:5:3564720:3570832:-1 gene:PAHAL_5G056300 transcript:PAN27064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQWTIYMDLDGSNARIANYFDVIAGTSTGGLITAMLATPSLSNAKQPCYEAKDIVPFYLKHCPHIFPCRTGFFGWLCKIVRIIKLIIGPKYNGKYLHKIINDLLGETRVKETLTNIVIPTYDVKCVKPTIFSTFKARSNTLMDARLADVCIGTSAAPTVLPAHYFETVDYHTGATRSFNIIDGGLVANNPDHNIPIASVSADLTTEKNLEGLVTIGENLLHRPLSKDDCKINHVRPVPKDSCTLTYADLLTRFAKLLSDERKLRLQNIELDAEGLGEP >PAN27065 pep chromosome:PHallii_v3.1:5:3564724:3569178:-1 gene:PAHAL_5G056300 transcript:PAN27065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNYACPPPRKGNMITILSIDGGGVKGIIPATFLSFLESKLQDLDGSNARIANYFDVIAGTSTGGLITAMLATPSLSNAKQPCYEAKDIVPFYLKHCPHIFPCRTGFFGWLCKIVRIIKLIIGPKYNGKYLHKIINDLLGETRVKETLTNIVIPTYDVKCVKPTIFSTFKARSNTLMDARLADVCIGTSAAPTVLPAHYFETVDYHTGATRSFNIIDGGLVANNPDHNIPIASVSADLTTEKNLEGLVTIGENLLHRPLSKDDCKINHVRPVPKDSCTLTYADLLTRFAKLLSDERKLRLQNIELDAEGLGEP >PVH38611 pep chromosome:PHallii_v3.1:5:23762144:23763189:-1 gene:PAHAL_5G301800 transcript:PVH38611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSYSIRPRYKKYNKNGPGA >PAN26906 pep chromosome:PHallii_v3.1:5:2938304:2939593:1 gene:PAHAL_5G046200 transcript:PAN26906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRKIQMALIDKAGSRTRTFKGRKEGLKKKARELSVLCGVDVAVVCAGPGGGAPDVWEFGSAGVLGRYRRLPADKRAKHTHLNYLNVELGKVRKEKAKLAKERQEGPKKLASPGAALLKGMNPEELLGSIDAALLATAQRRKALGMPDGGQLGQGAPLVGDGLDDDMEAWINELTWHGVEQNPLDASMTMQPAASGGPYINGGSLDMGGNQYLQQMGGNGENGHGQQPWDAYHLHNTVVSCPDYGFHYTDSNCSYSGMAGCPQMPVPSNAIAYDGCWFDQAMWGAHESPRDAVVPAEYYHPSLDITCNPAYMPPEHSGMGAGDYFTGVSAIGLDGGGFMDASGHGYGTQCLADYFQCPDATQQYGDEPLHYLSDVADGILGCSSGTSELFAHSHSSSGALQFSSEKFQSDVRDQDSGVQKYWVMEGFN >PAN27202 pep chromosome:PHallii_v3.1:5:4085203:4088303:1 gene:PAHAL_5G066000 transcript:PAN27202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPQLPPSPVLSSHFSPPAAPGASPWRRRRLLHRGRAFQPPLSSLREPNKATLRKASPNVPFRLGGGGSGNPKDRRPAADEEEEEEAKGGGGSGAVTGTLLAGALLVGVVGGFGAAGYVYKDQINSFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSVSGTLAAAAAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLQGNGQLWTLGVGLLFTAVAAAYVTRLAKDAVKEIDD >PAN32784 pep chromosome:PHallii_v3.1:5:57539927:57540908:1 gene:PAHAL_5G512400 transcript:PAN32784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKCGNCDCADRSQCTKGSSYGVVVVDTESRVERREEVVAAGENDGKCNCGTSCSCGSSCNCGH >PVH39207 pep chromosome:PHallii_v3.1:5:53883594:53886996:1 gene:PAHAL_5G454200 transcript:PVH39207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGSIYRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PVH39206 pep chromosome:PHallii_v3.1:5:53883594:53886996:1 gene:PAHAL_5G454200 transcript:PVH39206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PAN31973 pep chromosome:PHallii_v3.1:5:53883594:53886996:1 gene:PAHAL_5G454200 transcript:PAN31973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PVH39208 pep chromosome:PHallii_v3.1:5:53883594:53886996:1 gene:PAHAL_5G454200 transcript:PVH39208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGSIYRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PAN27809 pep chromosome:PHallii_v3.1:5:6591790:6594929:1 gene:PAHAL_5G109400 transcript:PAN27809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRTVLTSAPSPPGCCPSSSSSSSSVAFVPPSPSDGELLRSLHRLARDLSAAAAAETPAPFLRAALASITRRSKLLAAALDDLVACAAAGDLPRSASLCLREVLLVLQRFKALAADCAARSRMRLLLQSDEIEEEVRELHQDLATLLDLLPVAELGLAEDVVDLLALASRQCRRFAPAAGAEQALKARVLSLIQGIEREIVPERERLEEILEEVGISDAASCGDEIESLEREIGDRASERWTDAMIALVGLLRYAKCVLFSATPRPSDSKPNPEVEEEAEPPAPPPDFRCPITLDIMREPVVVASGQTYDRESIARWFDSGKSTCPKTGQVLTNLELVPNKALRNLIAKWCRGNGVAVESSEASKSEPAQAVSANKAALEAARMTATFLVKKLSISFSPDAANRVVHEIRLLSKSGNDCRAFVGEAGAVPMLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHAEGAVEAVTHIMSSGATWRAKENAAAAVLSLASVHTYRRRLGRNLSIVEKLVHLVRTGPTSTKKDALAALLSLAGERENVGKLVDAGVAQVALSAVSEEETAAAVLAALAKRGGAEAIVGMEGAVARLVAEMRRGTEWGRENATAALVLLCRRLGARAVTQVMAVPGVEWAIWELMGTGTERARRKAASLGRICRRWAAASAADGERGNGCPAGASVVPPAMMAS >PAN26319 pep chromosome:PHallii_v3.1:5:151842:157316:-1 gene:PAHAL_5G002100 transcript:PAN26319 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta [Source:Projected from Arabidopsis thaliana (AT3G55480) UniProtKB/TrEMBL;Acc:F4IWW1] MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPALLDSRFDADKVDALKRLLALIAQGVDVANLFPQVVKNVASQSLEVKKLVYLYLLHYADKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCSRDPSAYVRKCAAYALCKLYDLLPDQAMALEEIVDTLFNDSSPGVVGAAAVAFKSACPNCLALLSKHFQRLCETLPDIEEWTQIILIEILLRYVIARHGLVKDSLLSMEIQGIMGSDPITSMATQPTDSIANGGSGGGSISNIMLFRHYIEEYSGFADKEGNSSRFSSVTTNSNDNVAILLKCTSPLLWSRNSGVILAAASVHWIMAPVGDVKRVVGPILSTLRSSPDAAYVMLGNILVFAKTMPSLFAPFYEDFFINASDPYQTKALKLEILTTIATEPSIPAIFEEFQDYIKDPDRKFVADTVAAIALCAQKLPSIATACLEGLLALVFYESSISNSVHIDGEDAVLVQAILSIKAIVKMDPVSHEKVIVRLVRSMDKIKEPAAQSLIIWMFGEYNFMGDLIPKIVPAVLKYLAWSFTADVVETKLQILNAFAKVVVHCLEENTEEFKRIIAYVIELATYDLNYDVRDRARLLSRLLPCYTTHLGSSYQPQNGDIYKELADHIFGGKLQPTSPSASNYRIYLPGSLSQVVLHAAPGYEPLPKPQSMELSHNKIMEPTRGIAKPSGSNNSDAESGYSTYESSSVYDSDGEGDGLSDGDTNGSLHHQDNQDAPLVHIYDASIQEGQTCQNADENLADLISTDLTELMSKSALESWLDEAPAEPLAQSSSQASSARVAFTNLSFERKPKWHTLLDSSDSNGLSVLYAFSSEVSPRSRFLVCVDLYLENVSTQQLTDITIKSEEASNSMDSIGQTSEGSVSVPTIVPVEGIHSLAPQQTAKMVLEVHFHHHLLPLKLYVLCNGKTHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTLKDHLEKLEHSDKNLQVAQSVASKILSNANVQLVSMDMPVTFNVDDASGLCWRFSSEIPSTSKPCLLTILAEGHASGPLYLTAKVNSEDTVFALNLLNRIVAIME >PVH37440 pep chromosome:PHallii_v3.1:5:151255:157446:-1 gene:PAHAL_5G002100 transcript:PVH37440 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta [Source:Projected from Arabidopsis thaliana (AT3G55480) UniProtKB/TrEMBL;Acc:F4IWW1] MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPALLDSRFDADKVDALKRLLALIAQGVDVANLFPQVVKNVASQSLEVKKLVYLYLLHYADKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCSRDPSAYVRKCAAYALCKLYDLLPDQAMALEEIVDTLFNDSSPGVVGAAAVAFKSACPNCLALLSKHFQRLCETLPDIEEWTQIILIEILLRYVIARHGLVKDSLLSMEIQGIMGSDPITSMATQPTDSIANGGSGGGSISNIMLFRHYIEEYSGFADKEGNSSRFSSVTTNSNDNVAILLKCTSPLLWSRNSGVILAAASVHWIMAPVGDVKRVVGPILSTLRSSPDAAYVMLGNILVFAKTMPSLFAPFYEDFFINASDPYQTKALKLEILTTIATEPSIPAIFEEFQDYIKDPDRKFVADTVAAIALCAQKLPSIATACLEGLLALVFYESSISNSVHIDGEDAVLVQAILSIKAIVKMDPVSHEKVIVRLVRSMDKIKEPAAQSLIIWMFGEYNFMGDLIPKIVPAVLKYLAWSFTADVVETKLQILNAFAKVVVHCLEENTEEFKRIIAYVIELATYDLNYDVRDRARLLSRLLPCYTTHLGSSYQPQNGDIYKELADHIFGGKLQPTSPSASNYRIYLPGSLSQVVLHAAPGYEPLPKPQSMELSHNKIMEPTRGIAKPSGSNNSDAESGYSTYESSSVYDSDGEGDGLSDGDTNGSLHHQDNQDAPLVHIYDASIQEGQTCQNADENLADLISTDLTELMSKSALESWLDEAPAEPLAQSSSQASSARVAFTNLSFERKPKWHTLLDSSDSNGLSVLYAFSSEVSPRSRFLVCVDLYLENVSTQQLTDITIKSEEASNSMDSIGQTSEGSVRCTLKDHLEKLEHSDKNLQVAQSVASKILSNANVQLVSMDMPVTFNVDDASGLCWRFSSEIPSTSKPCLLTILAEGHASGPLYLTAKVNSEDTVFALNLLNRIVAIME >PAN30306 pep chromosome:PHallii_v3.1:5:46747072:46750948:-1 gene:PAHAL_5G377400 transcript:PAN30306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEFPSPGCSSLGYGAASELGPLLVNGNGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTTSDLDNIDDRFVAKDTYTFLVNWFSRFPQYKSHDFYISGESYAGHYVPQLAEVVDDHNKNLEANQQINLKGFIVGNAETNDYYDYTGIVEFAWSHSVISDKFYERVKNVCDFRLSPTTNECSHVMNLLFKIYHEIDIYNVYAPRCNIDGSAFSSSFNSSVEKEAKNKSKRLRMYSGYDPCYSNYIEAYLNRMDVQKSLHANISGWIKDRRWSLCSDPIFDNYDMEVFSVLPIYSKLVKPGIRIWVYSGDVDGRVPFIGSRYWVEALGLPIKSQWQPWYLENQVAGRYVEYEGLTMATVRGAGHTVPQDKPAEALVLINSFLSDRKLPTKDI >PAN30307 pep chromosome:PHallii_v3.1:5:46746926:46751342:-1 gene:PAHAL_5G377400 transcript:PAN30307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAVRHPLFTILIALSLLPAIAEQDDEQEGDRVGFLPGQPSRPAVSQFSGYVTVNENNGRALFYWFFEAQTLPAQKPLLLWLNGGPGCSSLGYGAASELGPLLVNGNGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTTSDLDNIDDRFVAKDTYTFLVNWFSRFPQYKSHDFYISGESYAGHYVPQLAEVVDDHNKNLEANQQINLKGFIVGNAETNDYYDYTGIVEFAWSHSVISDKFYERVKNVCDFRLSPTTNECSHVMNLLFKIYHEIDIYNVYAPRCNIDGSAFSSSFNSSVEKEAKNKSKRLRMYSGYDPCYSNYIEAYLNRMDVQKSLHANISGWIKDRRWSLCSDPIFDNYDMEVFSVLPIYSKLVKPGIRIWVYSGDVDGRVPFIGSRYWVEALGLPIKSQWQPWYLENQVAGRYVEYEGLTMATVRGAGHTVPQDKPAEALVLINSFLSDRKLPTKDI >PAN32565 pep chromosome:PHallii_v3.1:5:56451424:56451735:1 gene:PAHAL_5G496800 transcript:PAN32565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPEMGYPRRANGDGGNLLVPAARQLLDSGRAFTMLGALVLTWRRLARCNAEHAPAGFLLWLLGAGLATLSLVAARFPGLAAAGAALAGALRRYLLGGL >PVH37978 pep chromosome:PHallii_v3.1:5:8495672:8496301:1 gene:PAHAL_5G142000 transcript:PVH37978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLLPEDDDDRRDLLERSPCAKCVVFTTSVALLPTLVLLVIWNIIFGFVDPEFWVKVPGVEGLDRSVDAAAAPTFNITLRVNNEGNWYRKICGKGDRVDVSYEGVPLAHGELPDFCVPPGVVGSVPFVATSEGLGLPGELYERMERQRRRRGERVSLVVRVRMHGLTGSGDWPLLLWCTAVLHGRPPGPFVCPILGQPPQFVPLPST >PAN31666 pep chromosome:PHallii_v3.1:5:52630910:52635558:1 gene:PAHAL_5G435600 transcript:PAN31666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYLVVHVGDANVPSSSSTTSGTSYYVELRFNGQSARTEMKENARWNHIIRFPRREQQQGDIDDGDEGHHASGSGGLNLEAAVYSIDEATNSESLLGKAVVGEKDFDSHSSKAVFFPHELVKSSSSDHMAGHNLGRLVNGKLTLKVFHSPADDKALLFEIEDDNRDRQAEDVGVDKVKKKAFRFLFSIKNHLYSAAYNKTSSAVGNTSLLQPAAVDVVPKEINPSFECGKVVERMQFLFVLVVKARELPDVDAYGRLDPFVEVNFGAHNKGFTKCLKSDGSPEWNKTFAFSLQSGKAPPSSGVDVFVKDGDLVRDELVGKLYFYLKDIPVRHPDDAQPEPTWHPLLDEGGKATLGKASLLLAIWIGSQADEAYRHAWESPFGPKVYENPRLWCLRVTIVEVQGVVACDEDDAGSATARSLRDKLFCTARLGEQVRKTKLASKTMQTTSSGSYEWMEDLTFIAAQPFFESNLQVDVVAAASNNNSGTEELQQGEVIGKLSIPLAWIEKRDAAAYDFDRLATPTPQWFDLKNPSPARPHTYLGGSSVDEGVVGGSGSVSHMRIRLRSLLDGGYHIGHDPQGHMDDTRPAERQLWRPPITRVHLGILRATGLQSIVDGNTTRRGRRSTALNPYCVAKYGDKWVRTRTILESSDPVFNEEYTWDVYDIATVLKVGIFDHCSRKASSAHHVVGKVRIHLSWLETGRVYAHAYPLVTLSRSAGIIRTGELHLAVKISSPPSTMNMLRMYALPTLPRMHYAQPLEEEQYDFFSATETAEEGNKLWLHAANILALRLDRMEPPLRSEVVAYLCNAENCNNSSWSLRRSKANFFRLMQVLSPLIGAERWFGGVISWKNPLTTLLIHGILVLALWFLDLVLPLVLLYLVLLGVWNYRFRPRRPPYFSMLLSYLNIVHPDELDEEFDTVKTSLSDDDGLLRMRYDRLRAVASRIQTVMGDVATLFESSSGVFCTIQEASCGNGRVLHHEASKASSSSWYQNNAVHYHQLLSTIT >PAN31665 pep chromosome:PHallii_v3.1:5:52630910:52635574:1 gene:PAHAL_5G435600 transcript:PAN31665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYLVVHVGDANVPSSSSTTSGTSYYVELRFNGQSARTEMKENARWNHIIRFPRREQQQGDIDDGDEGHHASGSGGLNLEAAVYSIDEATNSESLLGKAVVGEKDFDSHSSKAVFFPHELVKSSSSDHMAGHNLGRLVNGKLTLKVFHSPADDKALLFEIEDDNRDRQAEDVGVDKVKKKAFRFLFSIKNHLYSAAYNKTSSAVGNTSLLQPAAVDVVPKEINPSFECGKVVERMQFLFVLVVKARELPDVDAYGRLDPFVEVNFGAHNKGFTKCLKSDGSPEWNKTFAFSLQSGKAPPSSGVDVFVKDGDLVRDELVGKLYFYLKDIPVRHPDDAQPEPTWHPLLDEGGKATLGKASLLLAIWIGSQADEAYRHAWESPFGPKVYENPRLWCLRVTIVEVQGVVACDEDDAGSATARSLRDKLFCTARLGEQVRKTKLASKTMQTTSSGSYEWMEDLTFIAAQPFFESNLQVDVVAAASNNNSGTEELQQGEVIGKLSIPLAWIEKRDAAAYDFDRLATPTPQWFDLKNPSPARPHTYLGGSSVDEGVVGGSGSVSHMRIRLRSLLDGGYHIGHDPQGHMDDTRPAERQLWRPPITRVHLGILRATGLQSIVDGNTTRRGRRSTALNPYCVAKYGDKWVRTRTILESSDPVFNEEYTWDVYDIATVLKVGIFDHCSRKASSAHHVVGKVRIHLSWLETGRVYAHAYPLVTLSRSAGIIRTGELHLAVKISSPPSTMNMLRMYALPTLPRMHYAQPLEEEQYDFFSATETAEEGNKLWLHAANILALRLDRMEPPLRSEVVAYLCNAENCNNSSWSLRRSKANFFRLMQVLSPLIGAERWFGGVISWKNPLTTLLIHGILVLALWFLDLVLPLVLLYLVLLGVWNYRFRPRRPPYFSMLLSYLNIVHPDELDEEFDTVKTSLSDDDGLLRMSSSGVFCTIQEASCGNGRVLHHEASKASSSSWYQNNAVHYHQLLSTIT >PAN31664 pep chromosome:PHallii_v3.1:5:52630910:52635556:1 gene:PAHAL_5G435600 transcript:PAN31664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYLVVHVGDANVPSSSSTTSGTSYYVELRFNGQSARTEMKENARWNHIIRFPRREQQQGDIDDGDEGHHASGSGGLNLEAAVYSIDEATNSESLLGKAVVGEKDFDSHSSKAVFFPHELVKSSSSDHMAGHNLGRLVNGKLTLKVFHSPADDKALLFEIEDDNRDRQAEDVGVDKVKKKAFRFLFSIKNHLYSAAYNKTSSAVGNTSLLQPAAVDVVPKEINPSFECGKVVERMQFLFVLVVKARELPDVDAYGRLDPFVEVNFGAHNKGFTKCLKSDGSPEWNKTFAFSLQSGKAPPSSGVDVFVKDGDLVRDELVGKLYFYLKDIPVRHPDDAQPEPTWHPLLDEGGKATLGKASLLLAIWIGSQADEAYRHAWESPFGPKVYENPRLWCLRVTIVEVQGVVACDEDDAGSATARSLRDKLFCTARLGEQVRKTKLASKTMQTTSSGSYEWMEDLTFIAAQPFFESNLQVDVVAAASNNNSGTEELQQGEVIGKLSIPLAWIEKRDAAAYDFDRLATPTPQWFDLKNPSPARPHTYLGGSSVDEGVVGGSGSVSHMRIRLRSLLDGGYHIGHDPQGHMDDTRPAERQLWRPPITRVHLGILRATGLQSIVDGNTTRRGRRSTALNPYCVAKYGDKWVRTRTILESSDPVFNEEYTWDVYDIATVLKVGIFDHCSRKASSAHHVVGKVRIHLSWLETGRVYAHAYPLVTLSRSAGIIRTGELHLAVKISSPPSTMNMLRMYALPTLPRMHYAQPLEEEQYDFFSATETAEEGNKLWLHAANILALRLDRMEPPLRSEVVAYLCNAENCNNSSWSLRRSKANFFRLMQVLSPLIGAERWFGGVISWKNPLTTLLIHGILVLALWFLDLVLPLVLLYLVLLGVWNYRFRPRRPPYFSMLLSYLNIVHPDELDEEFDTVKTSLSDDDGLLRMRYDRLRAVASRIQTVMGDVATLFERFQSLLTWRDPRVTAIFMLFLLLAAAVAYFVPYKKLLVAMAGFYIMRHPRLRRRRGTKTTPSIITNFFLRSPSKRDELM >PAN30063 pep chromosome:PHallii_v3.1:5:17577095:17578044:-1 gene:PAHAL_5G269500 transcript:PAN30063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACSSIRRAKVENHGVDAALMDEVKRFVYAHYEEHLEARFHASDLAKNLPAGGGDEEPSDKVDWESTYFIQHRPRNNAADFPEITPPTRETLDAYIAQMVSLAERLGECMGLNLGLPAGHVATTFAPPFVGTKFAMYPPCPRPGRVWGLRAHTDAGGIILLLQDDAVGGLEFLRGGAEWVPVGPTRSGRLFVNIGDQIEVISGGAYRSVVHRVAAGSEGRRLSVATFYNPGPDAVVAPATRGDAAALAYPGPYRFGDYLEYYQGTKFGDKDARFQAVKRLLG >PAN29996 pep chromosome:PHallii_v3.1:5:17576630:17578328:-1 gene:PAHAL_5G269500 transcript:PAN29996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPTEIPVIDLAGLTAGGEERSRTMAQLHEACKDWGFFWVENHGVDAALMDEVKRFVYAHYEEHLEARFHASDLAKNLPAGGGDEEPSDKVDWESTYFIQHRPRNNAADFPEITPPTRETLDAYIAQMVSLAERLGECMGLNLGLPAGHVATTFAPPFVGTKFAMYPPCPRPGRVWGLRAHTDAGGIILLLQDDAVGGLEFLRGGAEWVPVGPTRSGRLFVNIGDQIEVISGGAYRSVVHRVAAGSEGRRLSVATFYNPGPDAVVAPATRGDAAALAYPGPYRFGDYLEYYQGTKFGDKDARFQAVKRLLG >PAN27835 pep chromosome:PHallii_v3.1:5:6743440:6753007:1 gene:PAHAL_5G111800 transcript:PAN27835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGISKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVMPLSEKVKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEASGSPMLQFEWLVGTQYKPMELTKSDWASIRKSPPWAIDSWGLGCLIFELFSGAKLARTEDLRNTASIPKSLLPDYQRLLNSTPSRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASSLEFGSAAAPALTVLLKMGSWLPADQFSIKVLPTIVKLFASNDRAIRACLLQHIDKFGESLSAQTVDEQVFPHVATGFSDTTVSIRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEDPGIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNIVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTTGDTMVAESTGVQLKPGNAGLLGWAMSSITQKGKPSDHGSISTANASNSQVSATSVATPGTQASTVGYAPSTSSSFDQAAPASARSSVDGWGELEDGNIQEENGSDKEGWDDVDPFDDKPSPSLLSNIQAAQKRPVVQPKQAVANSAKSHQLKAQKSEDDPLWGPIAAAPPKSTSKSADIKPSTSNNDEDDLWGAIAAPAPKSSGKPLKAAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQKIGRTSSTGM >PAN27836 pep chromosome:PHallii_v3.1:5:6746847:6753007:1 gene:PAHAL_5G111800 transcript:PAN27836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIAGCLIFELFSGAKLARTEDLRNTASIPKSLLPDYQRLLNSTPSRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASSLEFGSAAAPALTVLLKMGSWLPADQFSIKVLPTIVKLFASNDRAIRACLLQHIDKFGESLSAQTVDEQVFPHVATGFSDTTVSIRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEDPGIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNIVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTTGDTMVAESTGVQLKPGNAGLLGWAMSSITQKGKPSDHGSISTANASNSQVSATSVATPGTQASTVGYAPSTSSSFDQAAPASARSSVDGWGELEDGNIQEENGSDKEGWDDVDPFDDKPSPSLLSNIQAAQKRPVVQPKQAVANSAKSHQLKAQKSEDDPLWGPIAAAPPKSTSKSADIKPSTSNNDEDDLWGAIAAPAPKSSGKPLKAAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQKIGRTSSTGM >PAN27831 pep chromosome:PHallii_v3.1:5:6666427:6670770:1 gene:PAHAL_5G110700 transcript:PAN27831 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIK [Source:Projected from Arabidopsis thaliana (AT3G08760) UniProtKB/TrEMBL;Acc:A0A384KX76] MMGCFTVLRSKKKKGPLDNPFAPSKKSVDARESTSSRLPEPEVHVPSLQSAPPSFRDRAKISQSANKVYNSRARVLSAPSTLIVVDQFGFPYAEYGDQDDSRDKEGSTKGHRFSNPLPLPLPSPEGHSLRNFGSFKASNVSGPLEMSGPLPLPPKKCDGLRIFSYEEVSSACQWFSSDQCVSETLGSTSYKATFRDDFSDTKTTEATVARLLPSTQQSLKEFKAQVNTLASLQHPNLCKLIGYYAREDSNGRMLVYARLHHGSLDKLLFGRMDGRFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTSNIQIDKDFTAKLSGYGCVGFSTEEEISNAPVSAANLSVETLEKGLLTPKSIVWSFGVVLLELITGRKNLDARSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHKDPSERPTMRDVVEALAGVQEIKVPCRYPLQEPSAAPRKIMLKSTSLNGIVPQHPIITFSPSPPSHNQHLISPRSSTSALLHPRMCSPTLDDPRVSSTKKTPPPIMRRSSVEGF >PAN27823 pep chromosome:PHallii_v3.1:5:6666427:6670771:1 gene:PAHAL_5G110700 transcript:PAN27823 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIK [Source:Projected from Arabidopsis thaliana (AT3G08760) UniProtKB/TrEMBL;Acc:A0A384KX76] MMGCFTVLRSKKKKGPLDNPFAPSKKSVDARESTSSRLPEPEVHVPSLQSAPPSFRDRAKISQSANKVYNSRARVLSAPSTLIVVDQFGFPYAEYGDQDDSRDKEGSTKGHRFSNPLPLPLPSPEGHSLRNFGSFKASNVSGPLEMSGPLPLPPKKCDGLRIFSYEEVSSACQWFSSDQCVSETLGSTSYKATFRDDFSDTKTTEATVARLLPSTQSLKEFKAQVNTLASLQHPNLCKLIGYYAREDSNGRMLVYARLHHGSLDKLLFGRMDGRFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTSNIQIDKDFTAKLSGYGCVGFSTEEEISNAPVSAANLSVETLEKGLLTPKSIVWSFGVVLLELITGRKNLDARSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHKDPSERPTMRDVVEALAGVQEIKVPCRYPLQEPSAAPRKIMLKSTSLNGIVPQHPIITFSPSPPSHNQHLISPRSSTSALLHPRMCSPTLDDPRVSSTKKTPPPIMRRSSVEGF >PAN28442 pep chromosome:PHallii_v3.1:5:9197025:9201515:1 gene:PAHAL_5G152900 transcript:PAN28442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHQVSAVIFDLDGTILDTERATRDVLNEFLAAYGKVPDPEKEEKRLGQMYLESTTGIIRDYGLPLTVEEYSKAMHPLYLKRWQKANPLPGVKRLVKHLYKNRVPLAIASNSIRRNIDHKVPKLEDWGECFSVILGGDQVPNGKPSPDIFLESAKRLGINPSSCLVIEDSVVGVKGAKASGAKAVAVPSLQSQRKHYNIADIILYSLLDFDPELWGLPPFEDRIQGVLPIDPLISTAQIGDRILNNLHRVVSDERTYDCIPDQISGIYLGWAKSKVHGFSKVVIGTGWDFSQQTVERVMVVEFLDYSGKIETEPVKLLVIGYIRKLQSTDDILQALSITDEDRSIARDALDLPTFSEYAHDLHFS >PAN32639 pep chromosome:PHallii_v3.1:5:56763885:56768212:1 gene:PAHAL_5G502100 transcript:PAN32639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRNVRQLASNNNAVIQVDMGNGGAGSALILTVAAVGAVSYCYMWWKGITFSSLMYVTKRNMANAVASMTKHLEQVQGSLAAAKKHLSQSIQHVDDKLEQQKEISGQIKDQVTGAKLKIKNIGSDMDKIKNMVIGLDEKMDSIEAKQNYSCAAVDYLCKFIEQRGEKLPERVEGLQRTVRRIGYNSSELTGLGFGQLLATESARPGAGRMLRSTCLSSARLILP >PAN32638 pep chromosome:PHallii_v3.1:5:56763885:56768212:1 gene:PAHAL_5G502100 transcript:PAN32638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAQVGLRTALLIGVGVVGANITKVAAILTEGSVSEKGADAAGSGEAVADAAAEALRSRIAMLTRNVRQLASNNNAVIQVDMGNGGAGSALILTVAAVGAVSYCYMWWKGITFSSLMYVTKRNMANAVASMTKHLEQVQGSLAAAKKHLSQSIQHVDDKLEQQKEISGQIKDQVTGAKLKIKNIGSDMDKIKNMVIGLDEKMDSIEAKQNYSCAAVDYLCKFIEQRGEKLPERVEGLQRTVRRIGYNSSELTGLGFGQLLATESARPGAGRMLRSTCLSSARLILP >PAN26557 pep chromosome:PHallii_v3.1:5:1301434:1302193:1 gene:PAHAL_5G019600 transcript:PAN26557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVKLIGAFGSPAVHRAEVALRLKGVPYEFIHEDLANKSELLLKHNPIHKKVPVLLHGDDRAVCESLVIIEYIDESIDGPPLLPTTPNDRAAARFWAHFMDQKCWKPLWLALWTEGDLQKGFVKETKENLVLLEAQLDGNKFFGGDSVGYLDIVLSALAHWVGVFEEVASVSLLGDEYPALRRTRRANDYTSDEAVNLCLPNRERIAGYITAKDSFKLMAKAMVQQQ >PVH37678 pep chromosome:PHallii_v3.1:5:3542716:3547294:1 gene:PAHAL_5G056000 transcript:PVH37678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRWICCNCQVDKSDQRENGHIKATTDNADRMTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PVH37675 pep chromosome:PHallii_v3.1:5:3542749:3547294:1 gene:PAHAL_5G056000 transcript:PVH37675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PVH37672 pep chromosome:PHallii_v3.1:5:3542749:3547294:1 gene:PAHAL_5G056000 transcript:PVH37672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PVH37677 pep chromosome:PHallii_v3.1:5:3542749:3547294:1 gene:PAHAL_5G056000 transcript:PVH37677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PAN27053 pep chromosome:PHallii_v3.1:5:3542716:3547294:1 gene:PAHAL_5G056000 transcript:PAN27053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRWICCNCQVDKSDQRENGHIKATTDNADRMTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PVH37673 pep chromosome:PHallii_v3.1:5:3542741:3547294:1 gene:PAHAL_5G056000 transcript:PVH37673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PVH37674 pep chromosome:PHallii_v3.1:5:3542725:3547294:1 gene:PAHAL_5G056000 transcript:PVH37674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PVH37676 pep chromosome:PHallii_v3.1:5:3542749:3547294:1 gene:PAHAL_5G056000 transcript:PVH37676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRWICCNCQVDKSDQRENGHIKATTDNADRMTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PVH37671 pep chromosome:PHallii_v3.1:5:3542749:3547294:1 gene:PAHAL_5G056000 transcript:PVH37671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRWICCNCQVDKSDQRENGHIKATTDNADRMTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PVH37670 pep chromosome:PHallii_v3.1:5:3542749:3547294:1 gene:PAHAL_5G056000 transcript:PVH37670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRWICCNCQVDKSDQRENGHIKATTDNADRMTKGLKDSATGKVEPQKAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEATNEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAFEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLEVLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVQQCVDPRLMGEYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSALLVSKQTQTPAPPLAVDS >PAN32366 pep chromosome:PHallii_v3.1:5:55610540:55613479:1 gene:PAHAL_5G482100 transcript:PAN32366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVRTQKANAYFKRFQVQFKRRRDGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIVSASVAGDMVLAAAYSHELPRYGLEVGLKNYAAAYCTGLLLARRVLKLRDLDQEYEGNVEATGEDFSVEPADGRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHHKYIYGGYVADYMRTLAEEESEKYQSHFSEYIKKGIKADDVETLYKKVHAAIRADPSVAKSTKESPKEHKRYNPKKLTHEERRSRLVERLNALNSSGGDNGQDEDK >PAN28110 pep chromosome:PHallii_v3.1:5:7861384:7865850:-1 gene:PAHAL_5G131800 transcript:PAN28110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGRERDRTVAVVVEDTSAATKDAAAVSTKPAKRYPLALWVAILGLIMLVGVYIFSLSLKQNGMLFGLMQANMIEKEREKPCHDPRIPDAEIPYVHYPTPNTYDREECVCTGVRFFAILSMQRSGSGWVETLLNSHPNISSNGEIFSVKERRSNITAITKTLDKLYNLDWYSSAAKNECTAAVGLKWMLNQGLMKNHQEMVRYFNRRGVSAIFLLRRNLLQRYVSILANAHDSAMKQLNGTHKAHVHSKDEAEILAQYKPTIDRKMLITELKRSDKLASDALVNFKNTRHIVLYYEDVVRNRTKLMDVLDFLRMPKRKLSSRHVKIHTKQLCDHIDNWADVNNALMGTRFESFLNGSSRRRS >PAN28111 pep chromosome:PHallii_v3.1:5:7863272:7865218:-1 gene:PAHAL_5G131800 transcript:PAN28111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQHPLNLFNCQDAAAVSTKPAKRYPLALWVAILGLIMLVGVYIFSLSLKQNGMLFGLMQANMIEKEREKPCHDPRIPDAEIPYVHYPTPNTYDREECVCTGVRFFAILSMQRSGSGWVETLLNSHPNISSNGEIFSVKERRSNITAITKTLDKLYNLDWYSSAAKNECTAAVGLKWMLNQGLMKNHQEMVRYFNRRGVSAIFLLRRNLLQRYVSILANAHDSAMKQLNGTHKAHVHSKDEAEILAQYKPTIDRKMLITELKRSDKLASDALVNFKNTRHIVLYYEDVVRNRTKLMDVLDFLRMPKRKLSSRHVKIHTKQLCDHIDNWADVNNALMGTRFESFLNGSSRRRS >PVH38925 pep chromosome:PHallii_v3.1:5:48755328:48758884:-1 gene:PAHAL_5G391300 transcript:PVH38925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKALEVTVNSGGVVFFALFSSSGNSELAKEAAAVIKFSSSKMATQAERLGYEFARLLGVQTPQARAVYNSSPEWQGIKHAAENARAVAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFNSREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSSPHVDRLQDCKSTTESSNQLITKILLREKRSHSVSGRFDSMELDPMSKKVEAFKNERENTESTNGTFCIVAIDTGVPRRPPAGRRTKDHERYPKVVELILNSSDYSASILYEISGGKLGHPGPDEVTSTDSCLSLSDEDNAVAIHEFRGSFRAALRDLEGFHLFLLQLYQKLDGLLRLFLSIITKSSEESDNNDSVLLDFPSPGASYSTPSKQLNNELPSDSEMLKSTTKYLSAGSRGSTDSASPLSRDSWSNKYFKGSAEAPRNFRMTMKLRDFYKNPKVDPELLKEIEQWNEVLKTDVIKFCQENNFHSGFFDGTENNMVADAYELKVRLEHIIERIALISDAANTERPSLVVTNLFIGGALAARSKYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDFIDHVNHVGGKVLVHCFEGKSRSATVVLAFLMLRMGFTLAKAWNLLKKVHRRAQPNDGFAKALLALDKKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTMEIQKSIESLRISRGGSLSPSQKLTKAFAGELSF >PVH38927 pep chromosome:PHallii_v3.1:5:48755056:48761765:-1 gene:PAHAL_5G391300 transcript:PVH38927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDREATQPEEAAEARGQEQPSSIPPKENEDTDLKLSSRVVSLLFGGDISTPAQTFEKWLSLVRKRSGAFRPSGFPHRGSRIEVMPSGSFSLFGSGDLSEHLVREEPVGKDLLTCDQPAEISLWERLGNASTLDIESSEFSWDVLSSLHHTEHSSGSELSEDEMNKALEVTVNSGGVVFFALFSSSGNSELAKEAAAVIKFSSSKMATQAERLGYEFARLLGVQTPQARAVYNSSPEWQGIKHAAENARAVAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFNSREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSSPHVDRLQDCKSTTESSNQLITKILLREKRSHSVSGRFDSMELDPMSKKVEAFKNERENTESTNGTFCIVAIDTGVPRRPPAGRRTKDHERYPKVVELILNSSDYSASILYEISGGKLGHPGPDEVTSTDSCLSLSDEDNAVAIHEFRGSFRAALRDLEGFHLFLLQLYQKLDGLLRLFLSIITKSSEESDNNDSVLLDFPSPGASYSTPSKQLNNELPSDSEMLKSTTKYLSAGSRGSTDSASPLSRDSWSNKYFKGSAEAPRNFRMTMKLRDFYKNPKVDPELLKEIEQWNEVLKTDVIKFCQENNFHSGFFDGTENNMVADAYELKVRLEHIIERIALISDAANTERPSLVVTNLFIDK >PAN31048 pep chromosome:PHallii_v3.1:5:48755328:48761447:-1 gene:PAHAL_5G391300 transcript:PAN31048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDREATQPEEAAEARGQEQPSSIPPKENEDTDLKLSSRVVSLLFGGDISTPAQTFEKWLSLVRKRSGAFRPSGFPHRGSRIEVMPSGSFSLFGSGDLSEHLVREEPVGKDLLTCDQPAEISLWERLGNASTLDIESSEFSWDVLSSLHHTEHSSGSELSEDEMNKALEVTVNSGGVVFFALFSSSGNSELAKEAAAVIKFSSSKMATQAERLGYEFARLLGVQTPQARAVYNSSPEWQGIKHAAENARAVAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFNSREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSSPHVDRLQDCKSTTESSNQLITKILLREKRSHSVSGRFDSMELDPMSKKVEAFKNERENTESTNGTFCIVAIDTGVPRRPPAGRRTKDHERYPKVVELILNSSDYSASILYEISGGKLGHPGPDEVTSTDSCLSLSDEDNAVAIHEFRGSFRAALRDLEGFHLFLLQLYQKLDGLLRLFLSIITKSSEESDNNDSVLLDFPSPGASYSTPSKQLNNELPSDSEMLKSTTKYLSAGSRGSTDSASPLSRDSWSNKYFKGSAEAPRNFRMTMKLRDFYKNPKVDPELLKEIEQWNEVLKTDVIKFCQENNFHSGFFDGTENNMVADAYELKVRLEHIIERIALISDAANTERPSLVVTNLFIGGALAARSKYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDFIDHVNHVGGKVLVHCFEGKSRSATVVLAFLMLRMGFTLAKAWNLLKKVHRRAQPNDGFAKALLALDKKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTMEIQKSIESLRISRGGSLSPSQKLTKAFAGELSF >PVH38926 pep chromosome:PHallii_v3.1:5:48755056:48761765:-1 gene:PAHAL_5G391300 transcript:PVH38926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKALEVTVNSGGVVFFALFSSSGNSELAKEAAAVIKFSSSKMATQAERLGYEFARLLGVQTPQARAVYNSSPEWQGIKHAAENARAVAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFNSREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSSPHVDRLQDCKSTTESSNQLITKILLREKRSHSVSGRFDSMELDPMSKKVEAFKNERENTESTNGTFCIVAIDTGVPRRPPAGRRTKDHERYPKVVELILNSSDYSASILYEISGGKLGHPGPDEVTSTDSCLSLSDEDNAVAIHEFRGSFRAALRDLEGFHLFLLQLYQKLDGLLRLFLSIITKSSEESDNNDSVLLDFPSPGASYSTPSKQLNNELPSDSEMLKSTTKYLSAGSRGSTDSASPLSRDSWSNKYFKGSAEAPRNFRMTMKLRDFYKNPKVDPELLKEIEQWNEVLKTDVIKFCQENNFHSGFFDGTENNMVADAYELKVRLEHIIERIALISDAANTERPSLVVTNLFIDK >PAN27384 pep chromosome:PHallii_v3.1:5:4783141:4785676:-1 gene:PAHAL_5G077200 transcript:PAN27384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNHGDTIPLHPSSAQSDMDEIESLIHAAPSSATVLPARPPSPPRASIPVSSSPAPAPVPSKPPLPAASIPISVSPVPPASASVSVPIGADGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIVVVTITLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >PAN29027 pep chromosome:PHallii_v3.1:5:11776616:11780980:1 gene:PAHAL_5G194800 transcript:PAN29027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERYRQQEKSLVSDFEKLYPGLFHRMVENDTHGSLVQWVNRSSPRRFGKVLDGEIAMCRQVAQQTGVLLDPMYTLAAWEQAVDLCRRDSEAKVVMIHTGGTLGLFGLAQRYPPQFAADEQS >PVH38187 pep chromosome:PHallii_v3.1:5:11776616:11780980:1 gene:PAHAL_5G194800 transcript:PVH38187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERYRQQEKSLVSDFEKLYPGLFHRMVENDTHGSLVQWVNRSSPRSGILIVIEDTRPPSL >PVH38186 pep chromosome:PHallii_v3.1:5:11776617:11780980:1 gene:PAHAL_5G194800 transcript:PVH38186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGATSVEGYCCHAC >PVH38191 pep chromosome:PHallii_v3.1:5:11776713:11779300:1 gene:PAHAL_5G194800 transcript:PVH38191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERYRQQEKSLVSDFEKLYPGLFHRMVENDTHGSLVQWVNRSSPRSGILIVIEDTRPPSL >PVH38198 pep chromosome:PHallii_v3.1:5:11776617:11780980:1 gene:PAHAL_5G194800 transcript:PVH38198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERMVENDTHGSLVQWVNRSSPRSGILIVIEDTRPPSL >PVH38192 pep chromosome:PHallii_v3.1:5:11776616:11780980:1 gene:PAHAL_5G194800 transcript:PVH38192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERMVENDTHGSLVQWVNRSSPRRFGKVLDGEIAMCRQVAQQTGVLLDPMYTLAAWEQAVDLCRRDSEAKVVMIHTGGTLGLFGLAQRYPPQFAADEQS >PVH38184 pep chromosome:PHallii_v3.1:5:11776617:11780980:1 gene:PAHAL_5G194800 transcript:PVH38184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERYRQQEKSLVSDFEKLYPGLFHRMVENDTHGSLVQWVNRSSPRSGILIVIEDTRPPSL >PVH38197 pep chromosome:PHallii_v3.1:5:11776617:11780980:1 gene:PAHAL_5G194800 transcript:PVH38197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGATSVEGYCCHAC >PVH38196 pep chromosome:PHallii_v3.1:5:11776616:11780980:1 gene:PAHAL_5G194800 transcript:PVH38196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERYRQQEKSLVSDFEKLYPGLFHRMVENDTHGSLVQWVNRSSPRRFGKVLDGEIAMCRQVAQQTGVLLDPMYTLAAWEQAVDLCRRDSEAKVVMIHTGGTLGLFGLAQRYPPQFAADEQS >PVH38189 pep chromosome:PHallii_v3.1:5:11776616:11780980:1 gene:PAHAL_5G194800 transcript:PVH38189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGATSVEGYCCHAC >PVH38194 pep chromosome:PHallii_v3.1:5:11776617:11780980:1 gene:PAHAL_5G194800 transcript:PVH38194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGATSVEGYCCHAC >PVH38195 pep chromosome:PHallii_v3.1:5:11776617:11780980:1 gene:PAHAL_5G194800 transcript:PVH38195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERMVENDTHGSLVQWVNRSSPRSGILIVIEDTRPPSL >PVH38193 pep chromosome:PHallii_v3.1:5:11776616:11780980:1 gene:PAHAL_5G194800 transcript:PVH38193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGATSVEGYCCHAC >PVH38188 pep chromosome:PHallii_v3.1:5:11776713:11779054:1 gene:PAHAL_5G194800 transcript:PVH38188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERYRQQEKSLVSDFEKLYPGLFHRMVENDTHGSLVQWVNRSSPRRRIVEEDWNFVAGVVQLHLSHQLNYVQF >PVH38190 pep chromosome:PHallii_v3.1:5:11776617:11780980:1 gene:PAHAL_5G194800 transcript:PVH38190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGATSVEGYCCHAC >PVH38185 pep chromosome:PHallii_v3.1:5:11776713:11779054:1 gene:PAHAL_5G194800 transcript:PVH38185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPPLAAGGRTVANLLSATEWMLPSPASQVHTISVLPSQSPTPRHELAFSNLTTSLGNGGGKGVEAGSHRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCAEWGIRSHILLRGEQLDVPTGYNLISLMFGNVTYASRSLYAQRDEMLYEHATRVAGSNGTVMWADDIIGEDLVVDEDTTYGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGTTLFGQDEKVHIVVDSGTGTTAVGLALGAVCLGLQWRVTAVMLADTLERYRQQEKSLVSDFEKLYPGLFHRMVENDTHGSLVQWVNRSSPRRRIVEEDWNFVAGVVQLHLSHQLNYVQF >PVH39082 pep chromosome:PHallii_v3.1:5:52269901:52270612:1 gene:PAHAL_5G429300 transcript:PVH39082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECPPQNFCSVLFVGSTPLSVCCPLWFWIDCCSCDFVCVLREFSFFSSQSRCVIFSSRAFDLVFLALIWAMT >PAN32534 pep chromosome:PHallii_v3.1:5:56294705:56298014:1 gene:PAHAL_5G494900 transcript:PAN32534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSDLTLDYKPANGNGGGGGAYAVIPKQQEPLVDGHHLTTEQTTQKLREFLARLEEERLKIDAFKRELPLCMHLLNHAMEAYRQQLEAYQLGSLQGAPARPLVLEEFIPLKNIGIDAAGDKMGNPPSEKASWMESAQLWNGPGAAVAAADTAAKGPQTPKESSEHPLPIDTLGALDAAAGQRNGGAFLPFGKDKVAAEGAALPELALAPSEKDAAEAERKPYLDAAGANGGLGARRDLQNGAKPASNAPDGQAPPPPPQTHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPTPPAPATAAPQLVVLGGIWVPPEYATQAAGQAIYGAHPATQPHYTAAVAAAQEYYPPPAAVHHLQHHPAAMVHRAAAPPPAAAYKAAMAGSPPESSEGRGSAGGGSVGVGGGRERSESIEEEEGEDREDDDDDDDDVPAAKADGEESAGAAATKY >PVH38562 pep chromosome:PHallii_v3.1:5:21136027:21138596:-1 gene:PAHAL_5G289500 transcript:PVH38562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRRVPPDLVSHTILIDGLCKAWRLKDARRMFDRMVQSGLCPDAVAYSVLITGYCNEGRLREARSLLMEMVGSGLSAVAFALRVVIEAHVKFGKLLTCLNMVAPLRKYGVVIPSQSYSCLIGALCNEMRPNAARGLLQWMIEDGHSPRLQMYNMIVDCFCQCDNPKEALDVKVEMMSREVKPDYDTYRSLITCLCRLGRSLDGQSVMVEMIESGSRPNEAICAALVCGFCKEGDLGRAELIVKSFVLDFQIHCNESYNELMRTYCETRSTGESLALQDRMLELGFVPNSETCRSIIYGLSKGAG >PVH39131 pep chromosome:PHallii_v3.1:5:53133322:53136414:1 gene:PAHAL_5G443100 transcript:PVH39131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMCEGRKRTDMYSAQVSRPQEKDFGPPVSQVSPASFEEKMTVVKPSESGLADFNTPSDYASLDMQLAQYFKKSASGIIVPVLFGFLPLSSCNGTKAAIAFSVFAVLSLLFTVVPLLFAGKMQKQPAGDSRTNTTDAQRRQLDNHKRRIEMLCYAAYASNILLMCTAVCLAAVVNKKYSALASPLLLVFFVMFAIFIECGHRNKFTWGALEYESQQSDLKFFFDLSSEVAQNALTGLSGSLLGSMKNAGCLQGNSFRTTEGFILYAVVMGLFLMLVCTIPPALEFSSTREKVVNRFLKWTAYFSLALISLAGLFAAATVVQTYVVFATVLIVAVGAFWFYMVHCSEPSENVPLCWPDAKGRHAAGERSLMWLGIHSVMFGTLMASYSAVLSGQRLSALYKAGVFFIFAVHLTNFSRMVLVREVQDKGNEAWVVNVTGIAMVVLMLLAVLLLILLAMLQPEQLRSTFKVA >PVH39130 pep chromosome:PHallii_v3.1:5:53130804:53136414:1 gene:PAHAL_5G443100 transcript:PVH39130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAQVSRPQEKDFGPPVSQVSPASFEEKMTVVKPSESGLADFNTPSDYASLDMQLAQYFKKSASGIIVPVLFGFLPLSSCNGTKAAIAFSVFAVLSLLFTVVPLLFAGKMQKQPAGDSRTNTTDAQRRQLDNHKRRIEMLCYAAYASNILLMCTAVCLAAVVNKKYSALASPLLLVFFVMFAIFIECGHRNKFTWGALEYESQQSDLKFFFDLSSEVAQNALTGLSGSLLGSMKNAGCLQGNSFRTTEGFILYAVVMGLFLMLVCTIPPALEFSSTREKVVNRFLKWTAYFSLALISLAGLFAAATVVQTYVVFATVLIVAVGAFWFYMVHCSEPSENVPLCWPDAKGRHAAGERSLMWLGIHSVMFGTLMASYSAVLSGQRLSALYKAGVFFIFAVHLTNFSRMVLVREVQDKGNEAWVVNVTGIAMVVLMLLAVLLLILLAMLQPEQLRSTFKVA >PAN29133 pep chromosome:PHallii_v3.1:5:12434135:12441404:1 gene:PAHAL_5G204500 transcript:PAN29133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSENALIPGSATPLFSTEDAIEANRFMKGIEAADGDSIGGIDMAKFIAKQIEELGAEVCYHKFLPHSKHFHPLKFFTSMSNDMAIQPNGTDTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYAAVSAWLNLYHNPVFPSHSVILDTKIHGADHIYDGNAEKAEFEAFKRAGTMAAALIFKVGETRRYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIADFFHTLGTVLRKINPDWKLDIAVPDYVEGTANLASSIYKQAIGVPTGSHGAFRDYQVDAVSLEFTPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMKKLAEKSIGDSKTNGSADFLQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPIQSSVIWAMLSIVILIALYVMSGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIMIPMCLFSRPLKARSGMNFLPRAVLLASNITLAVVGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCSQSESKMKQE >PAN29132 pep chromosome:PHallii_v3.1:5:12434007:12441404:1 gene:PAHAL_5G204500 transcript:PAN29132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSENALIPGSATPLFSTEDAIEANRFMKGIEAADGDSIGGIDMAKFIAKQIEELGAEVCYHKFLPHSKHFHPLKFFTSMSNDMAIQPNGTDTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYAAVSAWLNLYHNPVFPSHSVILDTKIHGADHIYDGNAEKAEFEAFKRAGTMAAALIFKVGETRRYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIADFFHTLGTVLRKINPDWKLDIAVPDYVEGTANLASSIYKQAIGVPTGSHGAFRDYQVDAVSLEFTPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMKKLAEKSIGDSKTNGSADFLQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPIQSSVIWAMLSIVILIALYVMSGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIMIPMCLFSRPLKARSGMNFLPRAVLLASNITLAVVGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCSQSESKMKQE >PAN29134 pep chromosome:PHallii_v3.1:5:12434135:12441404:1 gene:PAHAL_5G204500 transcript:PAN29134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSENALIPGSATPLFSTEDAIEANRFMKGIEAADGDSIGGIDMAKFIAKQIEELGAEVCYHKFLPHSKHFHPLKFFTSMSNDMAIQPNGTDTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYAAVSAWLNLYHNPVFPSHSVILDTKIHGADHIYDGNAEKAEFEAFKRAGTMAAALIFKVGETRRYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIADFFHTLGTVLRKINPDWKLDIAVPDYVEGTANLASSIYKQAIGVPTGSHGAFRDYQVDAVSLEFTPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMKKLAEKSIGDSKTNGSADFLQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPIQSSVIWAMLSIVILIALYVMSGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIMIPMCLFSRPLKARSGMNFLPRAVLLASNITLAVVGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCSQSESKMKQE >PAN29185 pep chromosome:PHallii_v3.1:5:12436968:12441404:1 gene:PAHAL_5G204500 transcript:PAN29185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIEAADGDSIGGIDMAKFIAKQIEELGAEVCYHKFLPHSKHFHPLKFFTSMSNDMAIQPNGTDTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYAAVSAWLNLYHNPVFPSHSVILDTKIHGADHIYDGNAEKAEFEAFKRAGTMAAALIFKVGETRRYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIADFFHTLGTVLRKINPDWKLDIAVPDYVEGTANLASSIYKQAIGVPTGSHGAFRDYQVDAVSLEFTPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMKKLAEKSIGDSKTNGSADFLQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPIQSSVIWAMLSIVILIALYVMSGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIMIPMCLFSRPLKARSGMNFLPRAVLLASNITLAVVGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCSQSESKMKQE >PAN29130 pep chromosome:PHallii_v3.1:5:12434135:12441404:1 gene:PAHAL_5G204500 transcript:PAN29130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSENALIPGSATPLFSTEDAIEANRFMKGIEAADGDSIGGIDMAKFIAKQIEELGAEVCYHKFLPHSKHFHPLKFFTSMSNDMAIQPNGTDTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYAAVSAWLNLYHNPVFPSHSVILDTKIHGADHIYDGNAEKAEFEAFKRAGTMAAALIFKVGETRRYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIADFFHTLGTVLRKINPDWKLDIAVPDYVEGTANLASSIYKQAIGVPTGSHGAFRDYQVDAVSLEFTPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMKKLAEKSIGDSKTNGSADFLQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPIQSSVIWAMLSIVILIALYVMSGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIMIPMCLFSRPLKARSGMNFLPRAVLLASNITLAVVGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCSQSESKMKQE >PAN29131 pep chromosome:PHallii_v3.1:5:12434007:12441404:1 gene:PAHAL_5G204500 transcript:PAN29131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSENALIPGSATPLFSTEDAIEANRFMKGIEAADGDSIGGIDMAKFIAKQIEELGAEVCYHKFLPHSKHFHPLKFFTSMSNDMAIQPNGTDTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYAAVSAWLNLYHNPVFPSHSVILDTKIHGADHIYDGNAEKAEFEAFKRAGTMAAALIFKVGETRRYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIADFFHTLGTVLRKINPDWKLDIAVPDYVEGTANLASSIYKQAIGVPTGSHGAFRDYQVDAVSLEFTPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMKKLAEKSIGDSKTNGSADFLQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPIQSSVIWAMLSIVILIALYVMSGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIMIPMCLFSRPLKARSGMNFLPRAVLLASNITLAVVGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCSQSESKMKQE >PAN27459 pep chromosome:PHallii_v3.1:5:5064750:5066130:-1 gene:PAHAL_5G082400 transcript:PAN27459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVTLSKVTLVFAVALLGWAYQATRPPPPAILGASGGLPITSPRVRLKDGRHLAYMEAGVHKENARYKVIFVHGFASTKESGFPVSQELVEELGIYMLFFDRAGYGDSDANPKRCLKSDATDVEELADALQLGDKFYVVGCSMGGYVAWSCLHYIPHRLAGVSLVVPAVNYWWPLPDDVRRSAYGKLDARDRRTFWIAHHAPSLLCTWLTQTWFPTSPIVRGERGAFTAKDWEILTELWKRESGQLDRAKATRQGTYESLCRDATILFGSWEFDPTEMRDPFPDGEGVVSIWQGYEDRIVQVEIQRHAARRLPWVRYHEHPEAGHALPDMDGVGDEIARELVLGVGEAPPQSEPRRGS >PAN27458 pep chromosome:PHallii_v3.1:5:5064750:5066130:-1 gene:PAHAL_5G082400 transcript:PAN27458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVTLSKVTLVFAVALLGWAYQATRPPPPAILGASGGLPITSPRVRLKDGRHLAYMEAGVHKENARYKVIFVHGFASTKESGFPVSQELVEELGIYMLFFDRAGYGDSDANPKRCLKSDATDVEELADALQLGDKFYVVGCSMGGYVAWSCLHYIPHRLAGVSLVVPAVNYWWPLPDDVRRSAYGKLDARDRRTFWIAHHAPSLLCTWLTQTWFPTSPIVRGERGAFTAKDWEILTELWKRESGQLDRAKATRQGTYESLCRDATILFGSWEFDPTEMRDPFPDGEGVVSIWQGYEDRIVQVEIQRHAARRLPWVRYHEHPEAGHALPDMDGVGDEIARELVLGVGEAPPQSEPRRGS >PAN27460 pep chromosome:PHallii_v3.1:5:5064245:5067034:-1 gene:PAHAL_5G082400 transcript:PAN27460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVTLSKVTLVFAVALLGWAYQATRPPPPAILGASGGLPITSPRVRLKDGRHLAYMEAGVHKENARYKVIFVHGFASTKESGFPVSQELVEELGIYMLFFDRAGYGDSDANPKRCLKSDATDVEELADALQLGDKFYVVGCSMGGYVAWSCLHYIPHRLAGVSLVVPAVNYWWPLPDDVRRSAYGKLDARDRRTFWIAHHAPSLLCTWLTQTWFPTSPIVRGERGAFTAKDWEILTELWKRESGQLDRAKATRQGTYESLCRDATILFGSWEFDPTEMRDPFPDGEGVVSIWQGYEDRIVQVEIQRHAARRLPWVRYHEHPEAGHALPDMDGVGDEIARELVLGVGEAPPQSEPRRGS >PAN29021 pep chromosome:PHallii_v3.1:5:11750926:11752617:-1 gene:PAHAL_5G194200 transcript:PAN29021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVAPLPVAPPLRPPDALAGWRRRPGAGPPPRFTVVLAASSGFGGERAPPTFGRLREELLQLHAEADLTQSKANSARLRLVRLTEAAENLKKRAATSVQMGKENEAVDLLVQKKKLTKALENIKERIGVLDKLSAKISEAISIKQNMLIEYALRPGMSNGEISDDKIRVFSSTVNDIVNGAESTNTHPKSVDKESFELRNEAHASMAGHHEQSAFQIADGFSSLDDPDPANSIKNPSAYDGFLEHIDLQMKSLEYEIEQFISSQSVEEVGSEEQRNDKWQRLSDIHMLVKETRERIARILDMTVNETESGDLR >PAN29020 pep chromosome:PHallii_v3.1:5:11750926:11752565:-1 gene:PAHAL_5G194200 transcript:PAN29020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWPDGGGAQGRVLLRGSPSSWRRLAGSAANGPRRRSGGCGRSSSSSTPRPTSPSPKAISIKQNMLIEYALRPGMSNGEISDDKIRVFSSTVNDIVNGAESTNTHPKSVDKESFELRNEAHASMAGHHEQSAFQIADGFSSLDDPDPANSIKNPSAYDGFLEHIDLQMKSLEYEIEQFISSQSVEEVGSEEQRNDKWQRLSDIHMLVKETRERIARILDMTVNETESGDLR >PAN29022 pep chromosome:PHallii_v3.1:5:11747428:11752768:-1 gene:PAHAL_5G194200 transcript:PAN29022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVAPLPVAPPLRPPDALAGWRRRPGAGPPPRFTVVLAASSGFGGERAPPTFGRLREELLQLHAEADLTQSKGMSNGEISDDKIRVFSSTVNDIVNGAESTNTHPKSVDKESFELRNEAHASMAGHHEQSAFQIADGFSSLDDPDPANSIKNPSAYDGFLEHIDLQMKSLEYEIEQFISSQSVEEVGSEEQRNDKWQRLSDIHMLVKETRERIARILDMTVNETESGDLR >PAN29019 pep chromosome:PHallii_v3.1:5:11747424:11752181:-1 gene:PAHAL_5G194200 transcript:PAN29019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKENEAVDLLVQKKKLTKALENIKERIGVLDKLSAKISEAISIKQNMLIEYALRPGMSNGEISDDKIRVFSSTVNDIVNGAESTNTHPKSVDKESFELRNEAHASMAGHHEQSAFQIADGFSSLDDPDPANSIKNPSAYDGFLEHIDLQMKSLEYEIEQFISSQSVEEVGSEEQRNDKWQRLSDIHMLVKETRERIARILDMTVNETESGDLR >PAN32869 pep chromosome:PHallii_v3.1:5:57925792:57927867:1 gene:PAHAL_5G517800 transcript:PAN32869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPSTLHAAAATGASAAPLALHRCCRGGSGAPRTRAPAVRAQGAAREPDPRAVPVEGGGPPALPKAALRVGAGVALALALGGASWTARGGSAAGPDLQPAMVCALNAVTDGASRASAERTGAANMKTSVEALSDSLFRREDSPRDRATLMDLVFEQVTKEHITDRGKLTSLLQKEFSASRDSERKLDLGLLLTDVLINQRDWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVEGMLSPDTATTDDIEKTTKNAMDAWKEFKNKSELSKGSADSTA >PAN31409 pep chromosome:PHallii_v3.1:5:51460759:51465555:1 gene:PAHAL_5G417400 transcript:PAN31409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASTRVCSRLASPHASSPAAAAAAAAAAAALASSPVLGSGMSGLERQPAPTVAGFGDTGRSRGADDPPPRSCSSSGESREGYQWNILPYQRQPMPHQRKVTAGLNHHVSGASCSSLYQQSYRYFSSSSDQERIQAGNKLILDLPRCVKIVEVGPRDGLQNEKDTVPTPVKVELIRRLAASGLSVVEATSFVSPKWVPQLADAKDVMEAVRNIEGVRLPVLTPNIKGFEAAIAAGAKEIAVFASASEGFSKSNINCTIKESLARYNDVALAAKEKEIPVRGYVSCVVGCPVDGPVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAAMSVVPVEKLAVHFHDTYGQSLSNILISLQMGINVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIRTGVDLGKVMAAGEFICKHLGRQSGSKAATALSKVTAKASKL >PVH37491 pep chromosome:PHallii_v3.1:5:1139859:1140185:-1 gene:PAHAL_5G016800 transcript:PVH37491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWGRVRTFMTRPCCVSSQPCLFVGIDYRCSSSCFLHGLRRVTTIWYVRTNISMRNLLGGFTEELVISRVTSHLVYDICWRIILFWSRKHVRHWWPGLRRQLPFVTS >PAN29360 pep chromosome:PHallii_v3.1:5:13509661:13514804:1 gene:PAHAL_5G220600 transcript:PAN29360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDEAVVTQNSVKAPSPPKDQPAIYPCVDWSAMQAYYGPGVLPPTFFNPGIASGHVPPPFMWGPQKMPPAAFGKPYAAIYPHGGGFLHPFMPLMVNPLSAEPAKSVNSKDNSSSKKLKEIDGAAVSTDSGNSEKTSGDYSLEGSSDGNNQKVSGTPKKRSLDDRTTSGAETCRASAPNEKPGEPGRLATLSNVRVTDTAIKPCVSTGSDFRVSVAPSTEWQTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRSEINKLTESSQKLRMENSALMEKLADSASEEASADQQAAAAPPPARVVKNFLSMMDGAGASRGGGGLRAEHGAPRLRQLLGSGPLAADAVAAS >PVH38293 pep chromosome:PHallii_v3.1:5:13509661:13514804:1 gene:PAHAL_5G220600 transcript:PVH38293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDEAVVTQNSVKAPSPPKDQPAIYPCVDWSAMQAYYGPGVLPPTFFNPGIASGHVPPPFMWGPQMPPAAFGKPYAAIYPHGGGFLHPFMPLMVNPLSAEPAKSVNSKDNSSSKKLKEIDGAAVSTDSGNSEKTSGDYSLEGSSDGNNQKVSGTPKKRSLDDRTTSGAETCRASAPNEKPGEPGRLATLSNVRVTDTAIKPCVSTGSDFRVSVAPSTEWQTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRSEINKLTESSQKLRMENSALMEKLADSASEEASADQQAAAAPPPARVVKNFLSMMDGAGASRGGGGLRAEHGAPRLRQLLGSGPLAADAVAAS >PAN28350 pep chromosome:PHallii_v3.1:5:8837994:8841638:1 gene:PAHAL_5G147100 transcript:PAN28350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRDLAIASISAAAGAVAAAAALRFLSCCRTSSVRPQNLSLAANGSASERPLGQSPFNPAKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSANGDPLETKFPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSAHVYVASHKLLSMAGVKVRKHQPQMTQIPIKFQEP >PAN32641 pep chromosome:PHallii_v3.1:5:56768347:56774671:-1 gene:PAHAL_5G502200 transcript:PAN32641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGGDGEKAAPALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPANALVHRPVLIKYVLSTKIKNPAQLDAALSFLSNIGPDSLDIKKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIKEQRYHINVGTLCGQVRKRHPWGDAKAIKEEIDKRLAEILGPKTEADNIKPVKKKKEKPAKVEEKKVAVATSAPPSEEELNPYTIFPQPEENFKVHTEIFFSSGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRTMVVLRPLKVVITNLEEGKVLDLDGKMWPDASDTDASSHYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGDSPDDIVEIRAEYDPLKTSKLKGVLHWVAEPTPGVEPLKVEVRLFEKLFMSENPGELEDWLGDLNPNSKEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSFGKAGPK >PAN30756 pep chromosome:PHallii_v3.1:5:38619797:38623709:-1 gene:PAHAL_5G349800 transcript:PAN30756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGDCRPCFLVGVGGDIHGQFVDLLRLFDLGGYPPASTYIFLGDYVDRGKQSLETICLLLAYKLKYPDNIYLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKVLCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLLWSDPSPDTEGWGESDRGVSCTFGADKLVEFLEKNDLDLVCRAHQVVEDGYEFFAERRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPKETGAPHSRKPLPNKTPKGENV >PAN30755 pep chromosome:PHallii_v3.1:5:38619797:38624599:-1 gene:PAHAL_5G349800 transcript:PAN30755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARASTGAMDAAAVDEVLRRLVEGGRGGRQVQLSEAEIRQLCVEAKQVLLAQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPASTYIFLGDYVDRGKQSLETICLLLAYKLKYPDNIYLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKVLCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLLWSDPSPDTEGWGESDRGVSCTFGADKLVEFLEKNDLDLVCRAHQVVEDGYEFFAERRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPKETGAPHSRKPLPNKTPKGENV >PAN26475 pep chromosome:PHallii_v3.1:5:996146:997558:-1 gene:PAHAL_5G014800 transcript:PAN26475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDNAEAKQVGHQRQSSQKGEKGEPGIPKLSDTKKLIEFMESHYDEFVARVQSFDEFYHAIYELIEMFCEERGQLQYRIPEKRILEEAYNKHHTSEGEVKKEEFLAMSKEVIKVESFTSGKATVEFAMFLFGAPACAFLAKRILPGLGWLSDDVVIPLATSGSVAYLIKSKRL >PAN26642 pep chromosome:PHallii_v3.1:5:1815858:1820869:1 gene:PAHAL_5G028000 transcript:PAN26642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAARECAGSFSSVSSNNTASREVEEEFLQHQQRQFHIKPLLGSPPEMGTDDGDLQRVTGPSEPPPAAPTVKKKRSLPGTPDPSAEVIALSPRTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRGGGADAAGGGPPRKRVYVCPEASCVHHNPGRALGDLTGIKKHYCRKHGEKKWKCERCAKRYAVHSDWKAHAKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLAQPMNMATVASALQGQAHRLAFPQSHQEADDLDAAEDEDADDFALDTKSPQLRMLPAAMPDDAAAPLLPPLSMAGCMLSSLAAARPAPSPSTAFFTGARIGLDGPSDPNRSMGGGFSPAGSATASMSATALLQKAAEMGATTGGGYGAGFATVGFGPMLGGPNHVPTMSPFGPLKAPTMDPPYDGLPLGPAQLVGLDAGRLLPGQLYCGSNGGGAHGGGVGSMTRAIGSLMHGGQQQQTTEHRRPDDLRVVDYLGVDDQRSFSGGGVSPFGPHIGPWA >PVH39392 pep chromosome:PHallii_v3.1:5:56121333:56127314:1 gene:PAHAL_5G491600 transcript:PVH39392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASTAAAAAMAASGEGPGPLLFDVSFLRQPLFIHGVGAGAHVILALAVAGRLLLRGGGRAAAKDGGGASPRRGVRGAFRCYGAAACATWALAAFDALLAAYACYLGAGAGWSRDAAVELADAAARAVAWLLLAAYIQFGFGRRREERFPAPLRLWWALFLLLSVLAAAAHVATTLGGLSVPARSWALDAVSVVAAAVLLCAGFLGGREGGGSAAEEPLLNDAHGTATAVESGHSAAEASKFTGAGFLSMLTFSWMGPLLAVGHKKTLCLDDVPGLDPGDSVAGLLPTFEANLEAVAGDVSGSRRKAVTAFKLTKALVRTVSWHVAVTAFYTLVYNVATYVGPYLIDSLVQYLNGDERYASKGQLLALAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLALSSQSRQSRTSGEMINIISVDADRVGIFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKINELRKTETNWLKKYLYTSTLVTFVFWGAPTFVAVVTFGACMLMGIPLESGKVLSALATFRVLQEPIYSLPDTISMVIQTKVSLDRIASFLCLEELPTDAVQRLPSGSSDVAIEVRNGCFSWEASPQLPTLKDLNFQARQGMLIAVCGTVGSGKSSLLSCILGEIPKLSGEVKICGTTAYVSQSAWIQSGKIQDNILFGKEMDNEKYERVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQEADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKDGRVAQAGKYNDILGSGEEFMELVGAHKDALTALDEIDAADGSNETSSRGTAKLTKSLSSAEKKDKQNEGNNQSGQLVQEEEREKGKVGFWVYWKYLTLAYKGALVPFVLLAQILFQVLQIGSNYWMAWAAPVSKDVEPPVSMSTLIYVYITLAVGSSFCVLLRALFLVTASYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFASIQLVGIIAVMSQVAWQVFVVFIPVVAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFSKENQFVTANSHLMDAYSRPKFYNAGAREWLCFRLDVLSSLTFAFSLIFLINLPPGIIDPGIAGLAVTYGLNLNTLQAWVVWNMCNLENKIISVERMLQYISIPEEPPLSMSEDKLAHDWPTQGEIQLRDLHVKYAPQLPFVLKGLTVTFPGGLKTGIVGRTGSGKSTLIQALFRFAISHMGLLRLQLRATGPACLLAHSSNLGPVCFCRYLTNFHVFPEKN >PAN26515 pep chromosome:PHallii_v3.1:5:1233450:1235184:1 gene:PAHAL_5G018000 transcript:PAN26515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAKIGRFFDAVGSFFSGGESIPWCDRDVIAGCEREVAEAATEEHEKQSIMRLSWALVHSTNQDDVLRGIGMLQASLLGATTSPLQAREKLYLLAVGHYRNGDYPRSRQFLDQCLEIQPDCRQALALKKIAEDKIAKDGVIGIGIATTAIGVLIGIAAAVARKN >PAN26516 pep chromosome:PHallii_v3.1:5:1233450:1235184:1 gene:PAHAL_5G018000 transcript:PAN26516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAKIGRFFDAVGSFFSGGESIPWCDRDVIAGCEREVAEAATEEHEKQSIMRLSWALVHSTNQDDVLRGIGMLQASLLGATTSPLQAREKLYLLAVGHYRNGDYPRSRQFLDQCLETGPGSEEDSGR >PVH37498 pep chromosome:PHallii_v3.1:5:1233450:1235072:1 gene:PAHAL_5G018000 transcript:PVH37498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAKIGRFFDAVGSFFSGGESIPWCDRDVIAGCEREVAEAATEEHEKQSIMRLSWALVHSTNQDDVLRGIGMLQASLLGATTSPLQAREKLYLLAVGHYRNGDYPRSRQFLDQCLEVVRFIHNISFSCCRHNNGGHCSDPHLIQKQRSGQPELFDGNW >PAN32856 pep chromosome:PHallii_v3.1:5:57844269:57846503:1 gene:PAHAL_5G516800 transcript:PAN32856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRLAPFTLLVGLPASRRTLKLARCIVRRARSKSSAAAKAAGEVSGRASSVAEERRNVDAHLRQVAPEKVSVDERLVDYETLLVARLLDILQGLHGGEFRQVVEECLRLSGEYHGDGDPARLEEPGALLTSLDVGDAIMVASSFSHMLNLANIAEEVQMAYRNKAETGRRRRGGFADEASASTESDIDETLQRLVGGLGRTPREVFDALRGQTIDIVLTAHPTQSVRRSLLQKHARLINDPARDCP >PAN31011 pep chromosome:PHallii_v3.1:5:48440218:48443173:1 gene:PAHAL_5G388500 transcript:PAN31011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQMLAISYLLDCNPRLRLHNKIRQHKTKLIVGTKKFNALAKVNITESRWQCKGPGDEEEVYFGVRLDLGLIYLYVKLLPESRALCRLICLCLALEDAISKMLDDKMHDDSNAPANSQCLLVHQPKLTSWVDCCHLSPANYQCLFLLVVFVGF >PAN31009 pep chromosome:PHallii_v3.1:5:48440218:48442226:1 gene:PAHAL_5G388500 transcript:PAN31009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQMLAISYLLDCNPRLRLHNKIRQHKTKLIVGTKKFNALAKVNITESRWQCKGPGDEEEVYFGVRLDLGLIYLYVKLLPESRALCRLICLCLALEDAISKMLDDKVDALDK >PAN31012 pep chromosome:PHallii_v3.1:5:48440218:48443173:1 gene:PAHAL_5G388500 transcript:PAN31012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNITESRWQCKGPGDEEEVYFGVRLDLGLIYLYVKLLPESRALCRLICLCLALEDAISKMLDDKMHDDSNAPANSQCLLVHQPKLTSWVDCCHLSPANYQCLFLLVVFVGF >PAN29006 pep chromosome:PHallii_v3.1:5:11698680:11702895:-1 gene:PAHAL_5G193500 transcript:PAN29006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDGWSRDEVPTIDAHCFLAMYLDVRNRQPPSEKAHQIIARTALFVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVEHPQLLKDGADAVDMSKGKKNEGEHASSGGALSLLGTAYDSGDEDEGTHPPGSKGIDPGNTMTPDAQGPVKPASTIPDNKDQSALSEAAAASANQSALSEAAAASAKSKPILMKKNPMITGNIIITAPRDEVKDTITASTTAKSQNINSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDRATGRFPFLLSSNPYHSYYLKFLQETQESKSHCRSPDHKDRRDSSDWRDRRSPSEHDGRRSSRQRDDRRSSHERDDRRSSHERDDRRISRERDDRSSRERHDRRSSRERDDRRSSHDTNDSSYSKEGTRSNAWPTTGMISGSSDKSSLEPSKKQLYDQKGKGIFHPVSGVKKDPPRKVTVDEAAAIVMAATRGLGAANDSLNTIKGRKGDVDIHGSNDHSSSFGSFSSLLDQDALSKRISNSEADTSLTRSGQPKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKSGGNKMNDMAAVSDPADEPSEATPADMKASERDRQPEAKEREGSSAPIEHDGSNVTKQEKDSDDEQNIVRKYRKKHHQKLDEDNDESEESYKPSRKRHRSEHSRAHSKDVHKHKHKSHSKGRESRHRRHRHSSSEDEHEHRSSKSRHRHRDDGRHSDDEEHSRSHRHRREHRSSSKRKHEEEQDQSEQTQGRLEVSPSTSGAKFESDKPPGDTSQSSQGATEVPGELRAKIRAMLLETL >PVH38176 pep chromosome:PHallii_v3.1:5:11698680:11702895:-1 gene:PAHAL_5G193500 transcript:PVH38176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDGWSRDEVPTIDAHCFLAMYLDVRNRQPPSEKAHQIIARTALFVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVEHPQLLKDGADAVDMSKGKKNEGEHASSGGALSLLGTAYDSGDEDEGTHPPGSKGIDPGNTMTPDAQGPVKPASTIPDNKDQSALSEAAAASANQSALSEAAAASAKSKPILMKKNPMITGNIIITAPRDEVKDTITASTTAKSQNINSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDRATGRFPFLLSSNPYHSYYLKFLQETQESKSHCRSPDHKDRRDSSDWRDRRSPSEHDGRRSSRQRDDRRSSHERDDRRSSHERDDRRISRERDDRSSRERHDRRSSRERDDRRSSHDTNDSSYSKEGTRSNAWPTTGMISGSSDKSSLEPSKKQLYDQKGKGIFHPVSGVKKDPPRKVTVDEAAAIVMAATRGLGAANDSLNTIKGRKGDVDIHGSNDHSSSFGSFSSLLDQDALSKRISNSEADTSLTRSGQPKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKSGGNKMNDMAAVSDPADEPSEATPADMKASERDRQPEAKEREGSSAPIEHDGSNVTKQEKDSDDEQNIVRKYRKKHHQKLDEDNDESEESYKPSRKRHRSEHSRAHSKDVHKHKHKSHSKGRESRHRRHRHSSSEDEHEHRSSKSRHRHRDDGRHSDDEEHSRSHRHRREHRSSSKRKHEEEQDQSEQTQGRLEVSPSTSGAKFESDKPPGDTSQSSQGATEVPGELRAKIRAMLLETL >PVH38173 pep chromosome:PHallii_v3.1:5:11698680:11702829:-1 gene:PAHAL_5G193500 transcript:PVH38173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDVRNRQPPSEKAHQIIARTALFVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVEHPQLLKDGADAVDMSKGKKNEGEHASSGGALSLLGTAYDSGDEDEGTHPPGSKGIDPGNTMTPDAQGPVKPASTIPDNKDQSALSEAAAASANQSALSEAAAASAKSKPILMKKNPMITGNIIITAPRDEVKDTITASTTAKSQNINSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDRATGRFPFLLSSNPYHSYYLKFLQETQESKSHCRSPDHKDRRDSSDWRDRRSPSEHDGRRSSRQRDDRRSSHERDDRRSSHERDDRRISRERDDRSSRERHDRRSSRERDDRRSSHDTNDSSYSKEGTRSNAWPTTGMISGSSDKSSLEPSKKQLYDQKGKGIFHPVSGVKKDPPRKVTVDEAAAIVMAATRGLGAANDSLNTIKGRKGDVDIHGSNDHSSSFGSFSSLLDQDALSKRISNSEADTSLTRSGQPKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKSGGNKMNDMAAVSDPADEPSEATPADMKASERDRQPEAKEREGSSAPIEHDGSNVTKQEKDSDDEQNIVRKYRKKHHQKLDEDNDESEESYKPSRKRHRSEHSRAHSKDVHKHKHKSHSKGRESRHRRHRHSSSEDEHEHRSSKSRHRHRDDGRHSDDEEHSRSHRHRREHRSSSKRKHEEEQDQSEQTQGRLEVSPSTSGAKFESDKPPGDTSQSSQGATEVPGELRAKIRAMLLETL >PVH38172 pep chromosome:PHallii_v3.1:5:11698524:11704625:-1 gene:PAHAL_5G193500 transcript:PVH38172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHNLHSYFRYLVEHPQLLKDGADAVDMSKGKKNEGEHASSGGALSLLGTAYDSGDEDEGTHPPGSKGIDPGNTMTPDAQGPVKPASTIPDNKDQSALSEAAAASANQSALSEAAAASAKSKPILMKKNPMITGNIIITAPRDEVKDTITASTTAKSQNINSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDRATGRFPFLLSSNPYHSYYLKFLQETQESKSHCRSPDHKDRRDSSDWRDRRSPSEHDGRRSSRQRDDRRSSHERDDRRSSHERDDRRISRERDDRSSRERHDRRSSRERDDRRSSHDTNDSSYSKEGTRSNAWPTTGMISGSSDKSSLEPSKKQLYDQKGKGIFHPVSGVKKDPPRKVTVDEAAAIVMAATRGLGAANDSLNTIKGRKGDVDIHGSNDHSSSFGSFSSLLDQDALSKRISNSEADTSLTRSGQPKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKSGGNKMNDMAAVSDPADEPSEATPADMKASERDRQPEAKEREGSSAPIEHDGSNVTKQEKDSDDEQNIVRKYRKKHHQKLDEDNDESEESYKPSRKRHRSEHSRAHSKDVHKHKHKSHSKGRESRHRRHRHSSSEDEHEHRSSKSRHRHRDDGRHSDDEEHSRSHRHRREHRSSSKRKHEEEQDQSEQTQGRLEVSPSTSGAKFESDKPPGDTSQSSQGATEVPGELRAKIRAMLLETL >PAN29008 pep chromosome:PHallii_v3.1:5:11698680:11702829:-1 gene:PAHAL_5G193500 transcript:PAN29008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDVRNRQPPSEKAHQIIARTALFVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVEHPQLLKDGADAVDMSKGKKNEGEHASSGGALSLLGTAYDSGDEDEGTHPPGSKGIDPGNTMTPDAQGPVKPASTIPDNKDQSALSEAAAASANQSALSEAAAASAKSKPILMKKNPMITGNIIITAPRDEVKDTITASTTAKSQNINSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDRATGRFPFLLSSNPYHSYYLKFLQETQESKSHCRSPDHKDRRDSSDWRDRRSPSEHDGRRSSRQRDDRRSSHERDDRRSSHERDDRRISRERDDRSSRERHDRRSSRERDDRRSSHDTNDSSYSKEGTRSNAWPTTGMISGSSDKSSLEPSKKQLYDQKGKGIFHPVSGVKKDPPRKVTVDEAAAIVMAATRGLGAANDSLNTIKGRKGDVDIHGSNDHSSSFGSFSSLLDQDALSKRISNSEADTSLTRSGQPKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKSGGNKMNDMAAVSDPADEPSEATPADMKASERDRQPEAKEREGSSAPIEHDGSNVTKQEKDSDDEQNIVRKYRKKHHQKLDEDNDESEESYKPSRKRHRSEHSRAHSKDVHKHKHKSHSKGRESRHRRHRHSSSEDEHEHRSSKSRHRHRDDGRHSDDEEHSRSHRHRREHRSSSKRKHEEEQDQSEQTQGRLEVSPSTSGAKFESDKPPGDTSQSSQGATEVPGELRAKIRAMLLETL >PVH38174 pep chromosome:PHallii_v3.1:5:11698499:11704085:-1 gene:PAHAL_5G193500 transcript:PVH38174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHNLHSYFRYLVEHPQLLKDGADAVDMSKGKKNEGEHASSGGALSLLGTAYDSGDEDEGTHPPGSKGIDPGNTMTPDAQGPVKPASTIPDNKDQSALSEAAAASANQSALSEAAAASAKSKPILMKKNPMITGNIIITAPRDEVKDTITASTTAKSQNINSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDRATGRFPFLLSSNPYHSYYLKFLQETQESKSHCRSPDHKDRRDSSDWRDRRSPSEHDGRRSSRQRDDRRSSHERDDRRSSHERDDRRISRERDDRSSRERHDRRSSRERDDRRSSHDTNDSSYSKEGTRSNAWPTTGMISGSSDKSSLEPSKKQLYDQKGKGIFHPVSGVKKDPPRKVTVDEAAAIVMAATRGLGAANDSLNTIKGRKGDVDIHGSNDHSSSFGSFSSLLDQDALSKRISNSEADTSLTRSGQPKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKSGGNKMNDMAAVSDPADEPSEATPADMKASERDRQPEAKEREGSSAPIEHDGSNVTKQEKDSDDEQNIVRKYRKKHHQKLDEDNDESEESYKPSRKRHRSEHSRAHSKDVHKHKHKSHSKGRESRHRRHRHSSSEDEHEHRSSKSRHRHRDDGRHSDDEEHSRSHRHRREHRSSSKRKHEEEQDQSEQTQGRLEVSPSTSGAKFESDKPPGDTSQSSQGATEVPGELRAKIRAMLLETL >PAN29007 pep chromosome:PHallii_v3.1:5:11698506:11704625:-1 gene:PAHAL_5G193500 transcript:PAN29007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEIVGRHALLFDDDATAEVVNSGGSLVPWAAVGAADHLLDRHDVRHLLDRVPPRPRRAYSRAILSVPSSDGVSEAELDRERYHDLPAADGGGEDEGSGDAALSGNGTNIRQTDYSSVPFSYGSSAGSEDPYSSGSYYRPSFYVPESLLNKLPPSEKAHQIIARTALFVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVEHPQLLKDGADAVDMSKGKKNEGEHASSGGALSLLGTAYDSGDEDEGTHPPGSKGIDPGNTMTPDAQGPVKPASTIPDNKDQSALSEAAAASANQSALSEAAAASAKSKPILMKKNPMITGNIIITAPRDEVKDTITASTTAKSQNINSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDRATGRFPFLLSSNPYHSYYLKFLQETQESKSHCRSPDHKDRRDSSDWRDRRSPSEHDGRRSSRQRDDRRSSHERDDRRSSHERDDRRISRERDDRSSRERHDRRSSRERDDRRSSHDTNDSSYSKEGTRSNAWPTTGMISGSSDKSSLEPSKKQLYDQKGKGIFHPVSGVKKDPPRKVTVDEAAAIVMAATRGLGAANDSLNTIKGRKGDVDIHGSNDHSSSFGSFSSLLDQDALSKRISNSEADTSLTRSGQPKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKSGGNKMNDMAAVSDPADEPSEATPADMKASERDRQPEAKEREGSSAPIEHDGSNVTKQEKDSDDEQNIVRKYRKKHHQKLDEDNDESEESYKPSRKRHRSEHSRAHSKDVHKHKHKSHSKGRESRHRRHRHSSSEDEHEHRSSKSRHRHRDDGRHSDDEEHSRSHRHRREHRSSSKRKHEEEQDQSEQTQGRLEVSPSTSGAKFESDKPPGDTSQSSQGATEVPGELRAKIRAMLLETL >PVH38175 pep chromosome:PHallii_v3.1:5:11698524:11702943:-1 gene:PAHAL_5G193500 transcript:PVH38175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDGWSRDEVPTIDAHCFLAMYLDPPSEKAHQIIARTALFVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVEHPQLLKDGADAVDMSKGKKNEGEHASSGGALSLLGTAYDSGDEDEGTHPPGSKGIDPGNTMTPDAQGPVKPASTIPDNKDQSALSEAAAASANQSALSEAAAASAKSKPILMKKNPMITGNIIITAPRDEVKDTITASTTAKSQNINSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDRATGRFPFLLSSNPYHSYYLKFLQETQESKSHCRSPDHKDRRDSSDWRDRRSPSEHDGRRSSRQRDDRRSSHERDDRRSSHERDDRRISRERDDRSSRERHDRRSSRERDDRRSSHDTNDSSYSKEGTRSNAWPTTGMISGSSDKSSLEPSKKQLYDQKGKGIFHPVSGVKKDPPRKVTVDEAAAIVMAATRGLGAANDSLNTIKGRKGDVDIHGSNDHSSSFGSFSSLLDQDALSKRISNSEADTSLTRSGQPKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKSGGNKMNDMAAVSDPADEPSEATPADMKASERDRQPEAKEREGSSAPIEHDGSNVTKQEKDSDDEQNIVRKYRKKHHQKLDEDNDESEESYKPSRKRHRSEHSRAHSKDVHKHKHKSHSKGRESRHRRHRHSSSEDEHEHRSSKSRHRHRDDGRHSDDEEHSRSHRHRREHRSSSKRKHEEEQDQSEQTQGRLEVSPSTSGAKFESDKPPGDTSQSSQGATEVPGELRAKIRAMLLETL >PVH37886 pep chromosome:PHallii_v3.1:5:6846509:6848740:-1 gene:PAHAL_5G113700 transcript:PVH37886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLSLLAGKAASSGGSVAGCTSSSQKVKNIIADTSVATAHSAASSSAGSESMTCTSSKGLIQNVEIPLKTIFLMCSSPLAFITLSSPKCTLARFFKSTFSCSVGCHVPGSYQLEMQRYHVMFVLISLWQRLVL >PVH38862 pep chromosome:PHallii_v3.1:5:44930476:44930826:-1 gene:PAHAL_5G371600 transcript:PVH38862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYISGARSLPDEQVRIASTKMDGIGPKKAIQLRYQLGISGNIKMNELTKYQIDQIEKMIAQDHVVHWELKRGERADIERLISISRYRGIRHQDGSPLRGQRTHTNARTARKQIRK >PAN27736 pep chromosome:PHallii_v3.1:5:6250221:6265694:1 gene:PAHAL_5G102800 transcript:PAN27736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVYSPTAAAAAQQQQRGKAASQAWRAVVGWIGFVLQVLLQILRGTPSCAQLLSFVGFRYPLLSGPAASEPSPEVAFMPLRSEIPADVAPAPEAPPEPLGRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISSDKDVEGKQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFRLRLYRPSTVTTEYREHVKDLSCVSKDFCRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMTVIFPLLKHLSLQKDVRPVLHERFHMPEWFQKHGIPQTNQAV >PAN27745 pep chromosome:PHallii_v3.1:5:6250221:6265458:1 gene:PAHAL_5G102800 transcript:PAN27745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVYSPTAAAAAQQQQRGKAASQAWRAVVGWIGFVLQVLLQILRGTPSCAQLLSFVGFRYPLLSGPAASEPSPEVAFMPLRSEIPADVAPAPEAPPEPLGRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISSDKDVEGKQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFRLRLYRPSTVTTEYREHVKDLSCVSKDFCRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMTVIFPLLKHLSLQKDVRPVLHERFHMPEWFQKHGIPQTNQAV >PAN31282 pep chromosome:PHallii_v3.1:5:50669603:50669908:1 gene:PAHAL_5G409100 transcript:PAN31282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVRRSARLASKPAMPALKKAQLNMCHQLGLLDDERAPIEQVLIGYINMYSGPLPQHIIAALSTFFGIHDELATQLDEAMMELAGVGINDVQEVINDIDA >PAN28069 pep chromosome:PHallii_v3.1:5:7719024:7721098:-1 gene:PAHAL_5G129400 transcript:PAN28069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRTLMRCALLACMVAAWASSTASAFVFKAGGTGEWRVPAGASSGNATNAYNAWAQRNRFRVGDAIAFTYTAGNDSVLLVDKRSYDACDTAAPIDTFSDGSTVFTFTRSGPYYFISGSKDNCNRGEKLIVVVMAERSAVGNATEPGAGLAPSPNGPYSIYSPPPPFGIDISPAAYPPPPNAAAPKVAGVAGTAALAIGALFYALV >PAN32975 pep chromosome:PHallii_v3.1:5:58304967:58310440:1 gene:PAHAL_5G524900 transcript:PAN32975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKKAEFSGEKVIAEFERLSRDAAAAQREALRRILAGNAAAEYLQGRGLAGRTDPDSFRACVPLATHADFEPYIARIADGDTSAVLTATPVTAISLSSGTTQGKRKYLLFNDEIFKSAMQTYQTSFAFRNRAFPVEDGKSLQFIYASGQFTTKGGLTATTATTNLYRNKDFITTMRYMQSDSCSPKEVLFSPDFTESLYCHLLCGLLFAGEVRTVSATFAYSLVLAFQTFERVWEELCADIRHGVPSPTRVTSPAVRQAVSALLAPNPALADEVASKCRGLVNWYGVMPALWPKAKYVSSITTGSMEHYVKKLRHYAGGLPLVGLDYGATEGMIGANVEPREPPESTTFAVLPNNAYFEFIPLKSCAAGVDDADPCYTEADPVGLTDVAAGEHYEVVMTTFTGLYRYRLGDVVKVTGFYNSIPKLKVVCRRNLMLSINVDKNSEHDLQLAVDSASKILAAGALEIVDYTSHADVSRDPGHYVVFVELNAEATADQVLQRCCDELDRAFTDPGYVGSRKVSAIGPLELRVLRRGAFQEVLRHYLSLGSAVNQFKLPRCVTQSNSRVLRILAANTMKVFFSAA >PAN27667 pep chromosome:PHallii_v3.1:5:5947595:5948262:1 gene:PAHAL_5G096700 transcript:PAN27667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKAEKKPKAEKRVPGSGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >PVH38018 pep chromosome:PHallii_v3.1:5:9028531:9031422:1 gene:PAHAL_5G150600 transcript:PVH38018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELAKKSPRCPGCGAAAASTEEQQLRLENAMLRAEIERLLGTLGNPAADKLAAPASPSRSARAIQPIGSGSGSVADGCGGVVGLSGHDRTRILELAGRALCELTTMCSSGEPLWVRSVETGRDVLNYDEHVRLFQCGDDPAGDQRAGWSVEVSRETGVVYLDTTQLVNAFMDVNQWMELFPTMISKAVTLSVIRAGENDDKDGVVQLMFAEVQTLTPLVPTREVHFLRHCKKLTADKWAVVDVSLDDVEPDAQTSSTACKCLKKPSGCVIEEQTNGRCKVTWVEHATCRNAAVPSVYRPAAASGLAFGARRWVAALRLQCERMVFSMATNIPTRDSTGVATLAGRRSVLKLAHRMASSLCRVIGGSRDLAWSGVASNRGGGGAGHGVRVTSRRNVGDPGEPQGLIACAVLSAWLPVNPAALFDFLRDESRRHEWDVMLLPGRPVRSCVSVAKGKDRGNCVTAYVGFRSSLTERNQAIMSCQYVSHAAALRMSGRDITRGRSGRRVDPPGQQHQPLRVDRGVRGRRRRGPAAGDRRARLERRGRPAVRLRGDAGRAGVQARGVHVVPEGGGGQVSGRGRRGAGHRGVPGAGQPVAAGRGGDRGGPGGVRAGQHQESAAVRGSLIYPRRGTGIESNRTQRAKRNMPLPPVVACMHVGCNNLFGLVYST >PVH38016 pep chromosome:PHallii_v3.1:5:9027191:9031422:1 gene:PAHAL_5G150600 transcript:PVH38016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRPPPRTQDFFPAPALSLSLAGGFGRNEPAASGGEEVEFGDEGGGGIRLRPGEAAEISSENTAAGSQSGGAWSGGEEAAGHGDDGGDNKRRKSYHRHNAEQIKAMEAVFKESPHPDEKQRQQLSQELGLSTRQVKFWFQNRRTQIKATQERHENALLKSELEKLQEENRAMRELAKKSPRCPGCGAAAASTEEQQLRLENAMLRAEIERLLGTLGNPAADKLAAPASPSRSARAIQPIGSGSGSVADGCGGVVGLSGHDRTRILELAGRALCELTTMCSSGEPLWVRSVETGRDVLNYDEHVRLFQCGDDPAGDQRAGWSVEVSRETGVVYLDTTQLVNAFMDVNQWMELFPTMISKAVTLSVIRAGENDDKDGVVQLMFAEVQTLTPLVPTREVHFLRHCKKLTADKWAVVDVSLDDVEPDAQTSSTACKCLKKPSGCVIEEQTNGRCKVTWVEHATCRNAAVPSVYRPAAASGLAFGARRWVAALRLQCERMVFSMATNIPTRDSTGVATLAGRRSVLKLAHRMASSLCRVIGGSRDLAWSGVASNRGGGGAGHGVRVTSRRNVGDPGEPQGLIACAVLSAWLPVNPAALFDFLRDESRRHEWDVMLLPGRPVRSCVSVAKGKDRGNCVTAYAATSPAGDQDGEWILQDSSTSPCESTVAYAAVDAAALRPVIDGHDSSGVAVLPCGFAVMPDGLESRPAVFTSCRKEEEDRSAAEAGGALVTVAFQALASPSPPDAAETVAGLAACALGNIKRALRCGGR >PVH38017 pep chromosome:PHallii_v3.1:5:9027638:9031032:1 gene:PAHAL_5G150600 transcript:PVH38017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACREGAEETRRDLVLLPDMPFCWFAVRVQAGGFGRNEPAASGGEEVEFGDEGGGGIRLRPGEAAEISSENTAAGSQSGGAWSGGEEAAGHGDDGGDNKRRKSYHRHNAEQIKAMEAVFKESPHPDEKQRQQLSQELGLSTRQVKFWFQNRRTQIKATQERHENALLKSELEKLQEENRAMRELAKKSPRCPGCGAAAASTEEQQLRLENAMLRAEIERLLGTLGNPAADKLAAPASPSRSARAIQPIGSGSGSVADGCGGVVGLSGHDRTRILELAGRALCELTTMCSSGEPLWVRSVETGRDVLNYDEHVRLFQCGDDPAGDQRAGWSVEVSRETGVVYLDTTQLVNAFMDVNQWMELFPTMISKAVTLSVIRAGENDDKDGVVQLMFAEVQTLTPLVPTREVHFLRHCKKLTADKWAVVDVSLDDVEPDAQTSSTACKCLKKPSGCVIEEQTNGRCKVTWVEHATCRNAAVPSVYRPAAASGLAFGARRWVAALRLQCERMVFSMATNIPTRDSTGVATLAGRRSVLKLAHRMASSLCRVIGGSRDLAWSGVASNRGGGGAGHGVRVTSRRNVGDPGEPQGLIACAVLSAWLPVNPAALFDFLRDESRRHEWDVMLLPGRPVRSCVSVAKGKDRGNCVTAYAATSPAGDQDGEWILQDSSTSPCESTVAYAAVDAAALRPVIDGHDSSGVAVLPCGFAVMPDGLESRPAVFTSCRKEEEDRSAAEAGGALVTVAFQALASPSPPDAAETVAGLAACALGNIKRALRCGGR >PAN27325 pep chromosome:PHallii_v3.1:5:4576914:4578503:-1 gene:PAHAL_5G074100 transcript:PAN27325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTGHLGMSTAAAAASANAWTGTEMVLPLAGALIADSRLGRYRAVLVAGVLYLLSLGMLTVSSTLRAPEPRAASSPSFAQLAFFYVALYLLAVARGFHRPCAEALGADQFTPSDGEDPSSFASRSSYFNWFHFSLSCGYGLATTGLTYVEDNVSWTVGFGACWAMMVLYLAVFLLGTPTYRAERHVGDVRFVEAVRAWAARVFRRKDAAGTERLLAGEPEDGKGKGFVAKLLPIWATSLIFAAILAQVSTLFTKQGSTLDRLLGMGTGIVVPPAALQFFLSFSMVTTLPIYDRLFVPFARRVTGHHAGLTTLQRIGAGMATAGVAMVVAALVEARRLRVAREAGLVDKPDAALPMSLWWMLPQYVLLGVAIVLGEIGLQEFFYDQVPDALRSVGLALCMSIFGVGNYVSGMLVSATDWATRSTGESWFSDDLNRAHLDYFYWLLAGLAALEVALFLHLANRYVYRSKDEL >PAN27326 pep chromosome:PHallii_v3.1:5:4576914:4578718:-1 gene:PAHAL_5G074100 transcript:PAN27326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGELAPRPWPSVGSGSADGRGGWCAARFLLAISFLELVGFCGVQSNLIMYLTGHLGMSTAAAAASANAWTGTEMVLPLAGALIADSRLGRYRAVLVAGVLYLLSLGMLTVSSTLRAPEPRAASSPSFAQLAFFYVALYLLAVARGFHRPCAEALGADQFTPSDGEDPSSFASRSSYFNWFHFSLSCGYGLATTGLTYVEDNVSWTVGFGACWAMMVLYLAVFLLGTPTYRAERHVGDVRFVEAVRAWAARVFRRKDAAGTERLLAGEPEDGKGKGFVAKLLPIWATSLIFAAILAQVSTLFTKQGSTLDRLLGMGTGIVVPPAALQFFLSFSMVTTLPIYDRLFVPFARRVTGHHAGLTTLQRIGAGMATAGVAMVVAALVEARRLRVAREAGLVDKPDAALPMSLWWMLPQYVLLGVAIVLGEIGLQEFFYDQVPDALRSVGLALCMSIFGVGNYVSGMLVSATDWATRSTGESWFSDDLNRAHLDYFYWLLAGLAALEVALFLHLANRYVYRSKDEL >PAN27324 pep chromosome:PHallii_v3.1:5:4576655:4578994:-1 gene:PAHAL_5G074100 transcript:PAN27324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTGHLGMSTAAAAASANAWTGTEMVLPLAGALIADSRLGRYRAVLVAGVLYLLSLGMLTVSSTLRAPEPRAASSPSFAQLAFFYVALYLLAVARGFHRPCAEALGADQFTPSDGEDPSSFASRSSYFNWFHFSLSCGYGLATTGLTYVEDNVSWTVGFGACWAMMVLYLAVFLLGTPTYRAERHVGDVRFVEAVRAWAARVFRRKDAAGTERLLAGEPEDGKGKGFVAKLLPIWATSLIFAAILAQVSTLFTKQGSTLDRLLGMGTGIVVPPAALQFFLSFSMVTTLPIYDRLFVPFARRVTGHHAGLTTLQRIGAGMATAGVAMVVAALVEARRLRVAREAGLVDKPDAALPMSLWWMLPQYVLLGVAIVLGEIGLQEFFYDQVPDALRSVGLALCMSIFGVGNYVSGMLVSATDWATRSTGESWFSDDLNRAHLDYFYWLLAGLAALEVALFLHLANRYVYRSKDEL >PVH38679 pep chromosome:PHallii_v3.1:5:32064118:32065542:1 gene:PAHAL_5G325500 transcript:PVH38679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVENYDRSARRQLDFAFGINMAGVTSHRQGKNGEADPSDRPQKEKKEYVTERQVRYVRNQRPTSSDLLRKYEYQYQQCLQRESEEEEYEHRTGKRLRKHEDTRNHWYCPFLRYCWNSGMARLPTIRDCPECRPVKPDARDSVFQRLGPAPTRQDWVRSSRREDEEEDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKEAHTSRKEWRPKSTKADKKVSADTHMVFVLPIEFHARTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGHPVNKMLVDTGAAVNIMPYSVLRLLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPTSFFVINSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEILHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PVH38433 pep chromosome:PHallii_v3.1:5:16337046:16337548:-1 gene:PAHAL_5G256700 transcript:PVH38433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFKLVKYTPRIKKKKKNGLRKLGRKVPTDRLLKFEWVLKAQKGIQMSVLKAQKVLDEIRWRYYKETVTILNLMPYRASYPILKLVYSVAANATHYRDFDKASLFITKAEVSTSTIRKKFRPRAQGHQTKILIPNKKEIE >PAN31232 pep chromosome:PHallii_v3.1:5:50326543:50327734:1 gene:PAHAL_5G406200 transcript:PAN31232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIHLYMAAACAVVLALAAPTLAGDPDMLQDVCVADLASPIKLNGFPCKANVTADDFFFPGLRNPGNTNNAAGSVVTAANVEKFPGVNTLGVSIARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKIICKGDVFVFPRGLVHFQQNRGHGPAAVVAAFNSQLQGTQAIAMTLFGATPPVSSDILAKAFRIGNGEVDAIKAKFAPK >PVH38454 pep chromosome:PHallii_v3.1:5:16933530:16936712:-1 gene:PAHAL_5G262500 transcript:PVH38454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGPLNILFIPSPFIENADCESWAVTSLPVVFVSGEQSGGGKRGMGYLWRVRLSSFAAGAAAASGAGFFLLYKDHLLARATIARQVEEIKETSEKHYEALNKRISALESRKEPGAIKEASD >PVH38438 pep chromosome:PHallii_v3.1:5:16505600:16507287:1 gene:PAHAL_5G257800 transcript:PVH38438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEEEEALEDLILLPYEAFFSRPLACLPVPAVTVDGTLSAADGEADDGVDRISALPDDLRRRIVSRLPVKDAHLDPTDPARVTAVDRVLVGHPGPFDTVHLALFFFDEHERELGRWSRLLADRGVRDLALVSLPGPTDLVRLPADILRCAELEHLYLGCWMFPETADLPDGTGVFPHLRKLAIVCTLFEDCDLDHMLASSPVLEILALFVSMGKAKHVRLRGQKLQCVLFLESTAFELAVVDAPRLERLIMWERSAPADGDGSPIDVKITEGASALKVLGYLEMGAHKLQLGNTVIKEIQMLVNFLRCFPNIETLHVESARADEPTGNNYIEFFEELCPIECVRSHIKMVVLHEIYGDLSEVTFIKYMTQRANELKKMALVLSDKRRTTVGEMINVVKTLAIPPWASETCMVLLMAPKAEHGLNFHRASELSIEDPFHEHGQELFRFIKEGE >PAN27332 pep chromosome:PHallii_v3.1:5:4601998:4603936:-1 gene:PAHAL_5G074500 transcript:PAN27332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTLLLPCSDGAVAGAVDYRGRPASRSGTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLMACVADAWLGRYRTIVLASLLFVVSMGMLTVSTLPVFNHDGCSYHSKSLACSPSPVQVAVFYVSLYLVALAEAGHKPCAQAFGADQFDQHDPKESVSRSSFFNWWYFGMCSGTAVTTMVSSYIQDNVGWGLGFGIPCLVMVFALLAFLLGTRSYRYYTSTESSPFARLARAFVALIRGTKSSQCDSSLATDDDAHREEVKGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGSTLRVPPAALQTFISLTIMAFIPIYDRAFVPAARRFTRLSSGITMLQRIGTGLVLAMVAMVVAALVEMRRLGVARDAGLVDQPKAALPMTLWWMLPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFLSSFLISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAGLCAVELAAFVVVSRVYVYKKRASHDNGDVM >PAN27333 pep chromosome:PHallii_v3.1:5:4601584:4604321:-1 gene:PAHAL_5G074500 transcript:PAN27333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTLLLPCSDGAVAGAVDYRGRPASRSGTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLMACVADAWLGRYRTIVLASLLFVVSMGMLTVSTLPVFNHDGCSYHSKSLACSPSPVQVAVFYVSLYLVALAEAGHKPCAQAFGADQFDQHDPKESVSRSSFFNWWYFGMCSGTAVTTMVSSYIQDNVGWGLGFGIPCLVMVFALLAFLLGTRSYRYYTSTESSPFARLARAFVALIRGTKSSQCDSLATDDDAHREEVKGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGSTLRVPPAALQTFISLTIMAFIPIYDRAFVPAARRFTRLSSGITMLQRIGTGLVLAMVAMVVAALVEMRRLGVARDAGLVDQPKAALPMTLWWMLPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFLSSFLISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAGLCAVELAAFVVVSRVYVYKKRASHDNGDVM >PVH39406 pep chromosome:PHallii_v3.1:5:56246357:56247258:1 gene:PAHAL_5G493900 transcript:PVH39406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYTWRLLLFFFFGFLSANRSVASACRARRRRGGRRWRSGTWRRGSSPSPSSASAAAAPCPPSARRTSAPPRGRTAAGADAPPPPPRPAAPRCSPAGRTTLAAAPTTWRPRRRRRRRSRTTLPSAQSFLSPFGLRLRCELRVGWEFGAGCAPVKVVVGIIKPARKKSGGRRSGGGGGKQTSG >PVH39405 pep chromosome:PHallii_v3.1:5:56245713:56247258:1 gene:PAHAL_5G493900 transcript:PVH39405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYTWRLLLFFFFGFLSANRSVASACRARRRRGGRRWRSGTWRRGSSPSPSSASAAAAPCPPSARRTSAPPRGRTAAGADAPPPPPRRSRTTLPSAQSFLSPFGLRLRCELRVGWEFGAGCAPVKVVVGIIKPARKKSGGRRSGGGGGKQTSG >PVH38976 pep chromosome:PHallii_v3.1:5:50337058:50344549:1 gene:PAHAL_5G406400 transcript:PVH38976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MGAGMSRGRRRGFGLEASRGMLPLLALQVLMEYGRAGASRPPVTAALLAANTLIYLRPGALHEILPSLARVSFNPQLIIEYGDWVRFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSAEFASMVAALLAMSQGMTLLMSKGLIFLGDYTAYYDQYAVGFSGVLFAMKVVLNAWSDDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLGGILAGLVYLWLKRSFNGPDPFTLLVTSITKVVTWPLKFAQKLLRSASSQGRMTGRGRVGRRASARETPRGLWSCSTCTYDNSIATDICEMCSTAREDHSFSQRQNHQAGGSGELSVDEIRRRRLQRFDR >PAN31235 pep chromosome:PHallii_v3.1:5:50337058:50344549:1 gene:PAHAL_5G406400 transcript:PAN31235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MGAGMSRGRRRGFGLEASRGMLPLLALQVLMEYGRAGASRPPVTAALLAANTLIYLRPGALHEILPSLARVSFNPQLIIEYGDWVRFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSAEFASMVAALLAMSQGMTLLMSKGLIFLGDYTAYYDQYAVGFSGVLFAMKVVLNAWSDDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLGGILAGLVYLWLKRSFNGPDPFTLLVTSITKVVTWPLKFAQKLLRSASSQGRMTGRGRVGRRASARETPRGLWSCSTCTYDNSIATDICEMCSTAREDHSFSQRQNHQAGGSGELSVDEIRRRRLQRFDR >PAN31234 pep chromosome:PHallii_v3.1:5:50337058:50339554:1 gene:PAHAL_5G406400 transcript:PAN31234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MGAGMSRGRRRGFGLEASRGMLPLLALQVLMEYGRAGASRPPVTAALLAANTLIYLRPGALHEILPSLARVSFNPQLIIEYGDWVRFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSAEFASMVAALLAMSQGMTLLMSKGLIFLGDYTAYYDQYAVGFSGVLFAMKVVLNAWSDDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLGGILAGLVYLWLKRSFNGPDPFTLLVTSITKVVTWPLKFAQKLLRSASSQGRMTGRGRVGRRASARETPRGLWSCSTCTYDNSIATDICEMCSTAREDHSFSQRQNHQAGGSGELSVDEIRRRRLQRFDR >PVH38975 pep chromosome:PHallii_v3.1:5:50337058:50343479:1 gene:PAHAL_5G406400 transcript:PVH38975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MGAGMSRGRRRGFGLEASRGMLPLLALQVLMEYGRAGASRPPVTAALLAANTLIYLRPGALHEILPSLARVSFNPQLIIEYGDWVRFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSAEFASMVAALLAMSQGMTLLMSKGLIFLGDYTAYYDQYAVGFSGVLFAMKVVLNAWSDDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLGGILAGLVYLWLKRSFNGPDPFTLLVTSITKVVTWPLKFAQKLLRSASSQGRMTGRGRVGRRASARETPRGLWSCSTCTYDNSIATDICEMCSTAREDHSFSQRQNHQAGGSGELSVDEIRRRRLQRFDR >PAN26896 pep chromosome:PHallii_v3.1:5:2907494:2909269:1 gene:PAHAL_5G045600 transcript:PAN26896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLLAVALPTLLSRLRACRSASHALQCHALLLTSGHLAASPLRLSNLLLLALASVSAPAAHAHADVVFARLPEPAARDPFPWNTIVRLHASVRPRTALLYFARMRRCAVQPDAYTFPAVLKACGCAPGCRVGLLVHAEAVRRGLDADLFTVNALISFYCRVQDCRSGRKVFDEASGFSRDLVSWNSMVSGYVGCGEMELAQELFDEMPQRDTFSWATMIDGYGKQAGGVDRAREMFDQIPERDLVCWNSMIDGYARHGRIDEARSLFEEMPQRNVISWSVLIDGYVRCGEAKEALEHFQSMLRCGVRTDRVAAVGAVAACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYMKCGRLDLAMSIFESMAERSVITWNVMIVGLGTHGYGLEAVTLFHRMEDERAPMDNLSILAVLTACTHAGLVSEGLGIFHRMKKDFRIDPKVEHYGALVDLLGRAGRLDQARHTIETMPVEPTPELWGSLLAACRSHRCVELAELSVERLADLGADDSGVYVLLSNIYADEGMWGDVMRIRKWMSDEGMKKDTGRSVIEVDGEIHEFVNGGSSHLCKDEMYLMLRNLSNMAASI >PVH37871 pep chromosome:PHallii_v3.1:5:6568255:6569123:1 gene:PAHAL_5G108700 transcript:PVH37871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPVRRGGDGHHVVARFPQAPYRVLLESGIEIGKFSREQKDYVLTTKKGADEPCLCW >PAN32222 pep chromosome:PHallii_v3.1:5:55090051:55095703:1 gene:PAHAL_5G472700 transcript:PAN32222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRGETAVVPIDAASGERGGQERPKGERHRSHGPGHHCRHGPHRSRPPPPPPPVFRPFRRWFPFLVPLFIVANVALFVLTMYVNDCPAHARAAGAAIGGSVGESATAQGCWLAPELGRFAFQSFKENPLVGPSSATLLKMGALETSKVTKDHEGWRLITCIWLHAGVIHILANMLSLVLIGIRLEKEFGFMRIGTLYVISGVGGSLLSSLFMVSNISVGASGALFGLLGSMLSELITNWTIYENKIAALMTLVMIIVINLAVGILPHVDNFAHLGGFTSGFFLGFVLLMRPQFGYINQKNSPLGYPMGVTKRKFKIYQIILFVIALVILISGFTVGLVLLFQGFNASEHCSWCHYLSCVPTSKWSCKAPSNYCMSSQLGNQLNLTCQSTGKAATYVLSNPNNTEAIKNLCVGLCS >PAN32223 pep chromosome:PHallii_v3.1:5:55089641:55093638:1 gene:PAHAL_5G472700 transcript:PAN32223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRGETAVVPIDAASGERGGQERPKGERHRSHGPGHHCRHGPHRSRPPPPPPPVFRPFRRWFPFLVPLFIVANVALFVLTMYVNDCPAHARAAGAAIGGSVGESATAQGCWLAPELGRFAFQSFKENPLVGPSSATLLKMGALETSKVTKDHEGWRLITCIWLHAGVIHILANMLSLVLIGIRLEKEFGFMRIGTLYVISGVGGSLLSSLFMVSNISVGASGALFGLLGSMLSELITNWTIYENKIAALMTLVMIIVINLAVGILPHVDNFAHLGGFTSGFFLGFVLLMRPQFGYINQKNSPLGYPMGVTKRKFKIYQIILFVIALVILISGFTVGLVLLFQGFNASEHCSWCHYLSCVPTSKWSCKAPSNYCMSSQLGNQLNLTCQSTGKAATYVLSNPNNTEAIKNLCVGLCS >PAN27376 pep chromosome:PHallii_v3.1:5:4746680:4757591:1 gene:PAHAL_5G076700 transcript:PAN27376 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MEDASGDAGGSGRRTRTRGAEAVARRTALERLRAIRDGSARAAAAVQVKVDAPIYDTVAEEDYAALVARRRKEAGEFIIDDDGLGYAEDGREEDWTHRALPSSSDEGSDGEDGARRKRKQQRPPQAKRPPQQSAAAASLSAAATMMGKQRISSMFTSSVFKKPCRDPAKGSALAVDSIVDDVLAEFAPDENDREERRRRIGRVCAPQAPPPMIARINSEKVVVDAETVVRPDSGFETDGVSDHGNDMVVELKPDVEMDTKLEEAPGSSAQLVVENKSSEELKQDANGEVKVEKVHRLNAKIKAEQNRNGGMTSATAGWMKVCGDGENAGGERGVAVDGNANVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVIVKNIQRCIYAIPNRSVFPRESISGLEKKSTNSDFLPSLRATLHELASGLKSEIADKLSDLNVSNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPVLPADLRGEHFHALLGTNNSALELFLIKRKIKGPSWLSVSKFVTRPSTQRVSWCKFEVAVDCPKDISVLTTSTSLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRPEDWQKRGMISHFTVMRKLEGSIFPIGLAKEASDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRREVSPHDIPPMFQSSGELLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFARNKEMNSTKRKMNADTEGANADDGTADPSVDEEVHNGDQGKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGNVPSLPASKATGVLPELLRSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKTIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVVESIHSSLVQVQEQMRSGQIELEKYVITKSLTKAPQDYPDAKNQPHVQVALRLRQNGYSGCSAGDTVPYIICSQQDSENTHSVAIAQRARHPDELKRDPDKYMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESSNQDTSTMLLSVIDDEDERYRGCEPLRLSCPSCSGTFDCPPVSSLITSASATSVSDSDEAKDATANFWRRMRCPRCPDNVDDSRISAPVLANQMKRQADNFINTYYKGLLMCDDEGCKYWTHSVNLRVMGDSERGTICPNYPRCNGRLVRQYTEVDLYRQLSYFCYVLDATRCLIKLDQKARLHFEKEFAAVGQTINLALMEIQKIRDRCAFGWVQLKDLAVSI >PAN33045 pep chromosome:PHallii_v3.1:5:59285319:59287687:-1 gene:PAHAL_5G537500 transcript:PAN33045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRILNDALRTMVNADRRGNATALLQPISGVMVSFLNIMKHRGYIKNFEVVDPHRVGKINVELHGRIKDCKALTYRQDLRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFH >PVH38947 pep chromosome:PHallii_v3.1:5:49200505:49201365:1 gene:PAHAL_5G395200 transcript:PVH38947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWPVGQPPPPLTLGDLFRPYYIAPYPCNGCPKSPFPRDIYTIALQPPPPRQPLAMAPQAPSTPPLPAGMRRRSGICGGGGVRGVRMGCFGDPEMKQRRRVAGYKAYAVEGKVKASIRRGIRWFKRKISGILSF >PVH38739 pep chromosome:PHallii_v3.1:5:36914280:36922624:1 gene:PAHAL_5G340500 transcript:PVH38739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKVYGWAVSPWMARALVCLEEAGAEYEVVPMSRCRGDHRRPEHLARNPFGEIPILEDGDLTLYQSRAIATYVLRKYKPELLKEGDLEGSAVVDVWLEVEAHHMEPTRLSIILLEYLGLARLSACRYLGGDNVIPANFCHFGFMRYLMATEYAGVVDAYPHVKAWWDALLARPSVRKVIAGMPPDFGYASGNIP >PAN29474 pep chromosome:PHallii_v3.1:5:14057537:14057860:1 gene:PAHAL_5G227600 transcript:PAN29474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIHPPQCWERKEGWGGAASAASVVHPYFAAVESVCVYSLSAHGNWSQQCARSGTVAPP >PAN31672 pep chromosome:PHallii_v3.1:5:52661381:52665256:1 gene:PAHAL_5G436000 transcript:PAN31672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHKEEDALRGRAVKNQKAIWDKTLEMRFLLQKAFSTSNKLPQEPIKTRFCNHDKEIEQAYEDLLNSSKQTLASMTELQEALLESNQVAKDANEIPSASNGENDEWSEVQRLQTRIKTFRNTEIDKWQRKIQVTTGAAALKGKLHAFNQNISDQVAGYMRDPSRMINRMYLTKSAVGVFGEDAGEPGEAEEGRIVEGDPELIDDSEFYQQLLKEFLESCDRGSSDSAFYALRKQQVKKRKLVDRRASKSRKIRYHVHEKITNFMAPVPMALPPMAPKLFENLFGTSN >PAN31671 pep chromosome:PHallii_v3.1:5:52661381:52665256:1 gene:PAHAL_5G436000 transcript:PAN31671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHKEEDALRGRAVKNQKAIWDKTLEMRFLLQKAFSTSNKLPQEPIKTRFCNHDKEIEQAYEDLLNSSKQTLASMTELQEALLESNQVAKDANEIPSASNGENDEWSEVQRLQTRIKTFRNTEIDKWQRKIQVTTGAAALKGKLHAFNQNISDQVAGYMRDPSRMINRMYLTKSAVGVFGEDAGEPGEAEEGRIVEGDPELIDDSEFYQQLLKEFLESCDRGSSDSAFYALRKQQVKKRKLVDRRASKSRKIRYHVHEKITNFMAPVPMALPPMAPKLFENLFGTSN >PVH39170 pep chromosome:PHallii_v3.1:5:53455433:53455819:1 gene:PAHAL_5G447900 transcript:PVH39170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRCSPFLPSCCMFAATIALAVVVSCSVVVDCSEVKAALPDGGRGGWAPPAPRTGTVHHMCPDPDPECGHPGGGAPPPPPPPHRKIARSG >PAN29535 pep chromosome:PHallii_v3.1:5:14555530:14557540:-1 gene:PAHAL_5G232900 transcript:PAN29535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGITKALRLHGRQLRHAVQQHMNKGIFSWATLISRIQSESPTVIIPHMGLENITVSEILKAKGEAEAGAVYWCDASNLVHEAVKHMTAHNVGALVVLKSGDMKQLAGIVTERDFARKILLPGRPSEETRVEDIMTEEDKLITVSSRTNILRAMEVMTDKHIRHVPVFDEKVVGMISVGDVVRAIVDQQHQEVRQLKKYIRGDYY >PAN29534 pep chromosome:PHallii_v3.1:5:14555690:14557029:-1 gene:PAHAL_5G232900 transcript:PAN29534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGITKALRLHGRQLRHAVQQHMNKGIFSWATLISRIQSESPTVIIPHMGLENITVSEILKAKGEAEAGAVYWCDASNLVHEAVKHMTAHNVGALVVLKSGDMKQLAGIVTERDFARKILLPGRPSEETRVEDIMTEEDKLITVSSRTNILRAMEVMTDKHIRHVPVFDEKVVGMISVGDVVRAIVDQQHQEVRQLKKYIRGDYY >PVH39364 pep chromosome:PHallii_v3.1:5:55787842:55791151:1 gene:PAHAL_5G485800 transcript:PVH39364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHPSATSPSSKLTQSPSRHSPLATEWHLPSLSSCAATPLGFHSQVKELKLSQLLYQPRMHKAECLIKHGKTKDGSSTRSLDYLTMEQLAQGISDGMYTYKHRCEGGVDIHDIVVKKSRFRILLYYVGTICLLMTVCRILLSKETLGLGSLWSISFAGVIAKWLQCDPVKKESLVIMPTFGVQLEQHFWSGRVHRKFVPTGKILRPVLNECVTPFTCYWSLALLLRDEYGLMLVFKLR >PVH39361 pep chromosome:PHallii_v3.1:5:55787842:55791151:1 gene:PAHAL_5G485800 transcript:PVH39361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHPSATSPSSKLTQSPSRHSPLATEWHLPSLSSCAATPLGFHSQVKELKLSQLLYQPRMHKAECLIKHGKTKDGSSTRSLDYLTMEQLAQGISDGMYTYKHRCEGGVDIHDIVVKKSRFRILLYYVGTICLLMTVCRILLSKETLGLGSLWSISFAGVIAKWLQCDPVKKVEEFIANLCPLARF >PVH39365 pep chromosome:PHallii_v3.1:5:55787842:55790044:1 gene:PAHAL_5G485800 transcript:PVH39365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHPSATSPSSKLTQSPSRHSPLATEWHLPSLSSCAATPLGFHSQVKELKLSQLLYQPRMHKAECLIKHGKTKDGSSTRSLDYLTMEQLAQGISDGMYTYKHRCEGGVDIHDIVVKKSRFRILLYYVGTICLLMTVCRILLSKETLGLGSLWSISFAGVIAKWLQCDPVKKV >PVH39363 pep chromosome:PHallii_v3.1:5:55787842:55790044:1 gene:PAHAL_5G485800 transcript:PVH39363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHPSATSPSSKLTQSPSRHSPLATEWHLPSLSSCAATPLGFHSQVKELKLSQLLYQPRMHKAECLIKHGKTKDGSSTRSLDYLTMEQLAQGISDGMYTYKHRCEGGVDIHDIVVKKSRFRILLYYVGTICLLMTVCRILLSKETLGLGSLWSISFAGVIAKWLQCDPVKKV >PVH39362 pep chromosome:PHallii_v3.1:5:55787842:55791151:1 gene:PAHAL_5G485800 transcript:PVH39362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHPSATSPSSKLTQSPSRHSPLATEWHLPSLSSCAATPLGFHSQVKELKLSQLLYQPRMHKAECLIKHGKTKDGSSTRSLDYLTMEQLAQGISDGMYTYKHRCEGGVDIHDIVVKKSRFRILLYYVGTICLLMTVCRILLSKETLGLGSLWSISFAGVIAKWLQCDPVKKESLVIMPTFGVQLEQHFWSGRVHRKFVPTGKILRPVLNECVTPFTCYWSLALLLRDEYGLMLVFKNLNPPAKMIVPIWKALCAFVDSNTLNASVLPQLHDPHKHAELTEAVCTPDCSLNI >PAN29169 pep chromosome:PHallii_v3.1:5:12536542:12539159:-1 gene:PAHAL_5G206000 transcript:PAN29169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPFEAAVEEQDSPPESPAPPEEEAGAAGPAEDPEDYDGGGPRAPPPRQPAAPASLAAAAAKAKGRVQREQQEDEDDEEDQMEVDLEKLPTSTGDPDKLAKMNAILSQFTEEQMNRYESFRRSGFQKSNMKRLLTSITGSQKISIPTSIVVSGIAKMFVGELIETARIVMTERKDSGPIRPCHIREAYRRLKLEGKIPRRSVPRLFR >PAN28964 pep chromosome:PHallii_v3.1:5:11449298:11452758:-1 gene:PAHAL_5G190200 transcript:PAN28964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASPALRLRPRAAYPSAPASSACPRCRFGSLAYSCSKFARPFPIQRVNGSTTQHLGQVLSRAGNHDGRFHIKPPIFFAATDKQEPVVSLTSDTLVSQETESDAKVAPASESSSYFTGRGAGKPGFISFQGRSFQKTTVESVPHPGKEASRLVWFIGPTILVSFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVFLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTETTNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >PAN28965 pep chromosome:PHallii_v3.1:5:11449742:11452587:-1 gene:PAHAL_5G190200 transcript:PAN28965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASPALRLRPRAAYPSAPASSACPRCRFGSLAYSCSKFARPFPIQRVNGSTTQHLGTLKGQVLSRAGNHDGRFHIKPPIFFAATDKQEPVVSLTSDTLVSQETESDAKVAPASESSSYFTGRGAGKPGFISFQGRSFQKTTVESVPHPGKEASRLVWFIGPTILVSFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVFLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTETTNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >PVH38534 pep chromosome:PHallii_v3.1:5:19675647:19676681:-1 gene:PAHAL_5G281100 transcript:PVH38534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGRSAAAAAAAKKKRRKKNGSGCSTGASRPPRAVVPFDQVRGGISDALRERLAALGATAPSYVVEKEPLEMSDVHRNQARLLFSCKGEAALQRCPLTACFTEQETRFVWEEDDRSRRPRKKIAGLLVTALDRGGRSYNLVCRYLTCNFSYRFKTEWKKFVENNGLSKGMRVELWAFRSRQLPNRYERVGSDDRVPVAVRKEIGHPDGSLGLVVLHYDDDERDPEHGDDEHDEAMPVQETETETGTTGQMKSEAAAAPEEKLLTSGGAACAEPTMTREEMVARFGLQMFLAAVGLIMLKRRHSETQTSKKRDHEEDEQHKLQCSRKNVKEATDEVTKSSENL >PAN27114 pep chromosome:PHallii_v3.1:5:3774709:3778318:1 gene:PAHAL_5G059900 transcript:PAN27114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVKIPIIDDLTGANKHAHLKGNVVLMRKNVLDVNSIAGSLIDGISEFLGRGVTCQLISSTVGDPNNGNRGKVGTEASLEQWLLNPPPLLAGENQFHVTFDWDVEKHGIPGAIIVKNNHASEFFLKTITIDDVPGRGTIVFVANSWVYPQYKYRYNRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQLGPYQAHDRVYRYDVYNDLGSPDEGNPRPTLGGSRDHPYPRRGRTGRKPTQSDPSSESRLTLLDDDVYVPRDERFGHIKSADFLGYSIKALVDGIVPALKGYIGIEFNSFSDIIRLYEGGIKVPDVPALEEIRKQFPLQLIKDLMPVGGDFLLKLPVPKIIKEDKRTWMTDDEFAREILAGVNPMIIKRLTEFPPKSTLDPSKYGDHTSTITAAHIERSLEGLTVQQALESNRLYILDHHDHYMPFLVEVNSLPDNFIYASRTLLFLRGDGTLAPVAIELSLPELRGGITAAKSTVYTPASSGAEAWVWRLAKAYVNVNDYCWHQGISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINALARQKLINAGGIFELTVFPRKYALEISSKVYGSWSFADQALPNDLIKRGMAVEDPSSPYKVRLLLEDYPYASDGLAIWHAIEQWVAEYLAIYYPDDGVLQADVELQAWWKEAREVGHADLKDEPWWPKMQTVAELTRACTTIIWIASALHAAVNFGQYPYCGYHPNRPSVSRRPMPVPGTEAYAELERDPERFFVRSITCQFEAVVGITLLEILSSHSSDEVYLGQRDTPEWTSDARAKEAFKRFGARLAEIEKRVEAMNADPRLKNRNSPAMFPYTLLFPNVSDHENSGVTARGIPNSISI >PAN28173 pep chromosome:PHallii_v3.1:5:8090615:8091385:1 gene:PAHAL_5G135400 transcript:PAN28173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQRTHAIPSRPRAPRPPLGAPPRPRPPLHVTASRDQSCHPPPTNIPPPFFLPSSSHLPPQRAPPPENIAESSSAPAPLASSLYTWSSRGDRCPPLASRLGLQSMCHPGLPPGCAARRRERQAGASPLPSAPTRARQAQPHHYCVCSPTAHRGSFRCRWHRVGYEWGRWRPLAREGAGTTARAGADQAIHERMAAA >PAN30196 pep chromosome:PHallii_v3.1:5:33728057:33729467:-1 gene:PAHAL_5G332300 transcript:PAN30196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEANLPNLHPQPPWIKVSGATGSVLVFGPGRRRGRQAPPCRGGRPPRLAAVVPCGWRYPACPLHKLCAVPSTSRLGRPAQLPELKLSLRWRWRKGPGRTAGEKSELGSIAGRRLPKPAQWPELFHDLSRQRMIQRSTIIQATWPYRIAWFGLRIRA >PVH39419 pep chromosome:PHallii_v3.1:5:56470922:56475182:1 gene:PAHAL_5G497100 transcript:PVH39419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) UniProtKB/TrEMBL;Acc:F4J6K6] MNPTRPAQASFRDRTNEFRAAVESARRHAVPSSSSVAAAASSSGSGGAGPLDGSIAATSARSEFNNRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNTAVVDLQALCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAGKDASNPFIRQRPLVARDPSESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSQPFMQQQQLAVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >PAN32562 pep chromosome:PHallii_v3.1:5:56426008:56429692:1 gene:PAHAL_5G496400 transcript:PAN32562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTGNLSHHDHTIDIPRNDVTSPSASHQDDLDSLDEFHDTRSAPDEVPAVPESSSVTYDVSDSQNASSARRDRGHRQQNPLNSGFWISIELIVNLTQIIAAISVLSVSRNEHPHAPLFVWLLGYTIGCIAILPHLYWRYVQRNRQNTEQELLTQSSSERNISETNSFATASSHASEAVDGANRTGVSRSNLPLASPRFYALVACFKLLLDCFFAVWFVVGNVWIFGSRSSAQDAPNLYRICIVFLAFGFIGYALPFILCTMICCCLPCIISILGVHEDLDPNRGATTDTINALVAYKFKSKRVHDGDVGEDGGGVLAAGTDKERTISAEDAICCICLSKFSNNEDLRELPCAHVFHMECIDKWLQINALCPLCKAEIGGSKNIAETGSEGPRDDNRVGNDVESQR >PAN32561 pep chromosome:PHallii_v3.1:5:56426461:56429692:1 gene:PAHAL_5G496400 transcript:PAN32561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTGNLSHHDHTIDIPRNDVTSPSASHQDDLDSLDEFHDTRSAPDEVPAVPESSSVTYDVSDSQNASSARRDRGHRQQNPLNSGFWISIELIVNLTQIIAAISVLSVSRNEHPHAPLFVWLLGYTIGCIAILPHLYWRYVQRNRQNTEQELLTQSSSERNISETNSFATASSHASEAVDGANRTGVSRSNLPLASPRFYALVACFKLLLDCFFAVWFVVGNVWIFGSRSSAQDAPNLYRICIVFLAFGFIGYALPFILCTMICCCLPCIISILGVHEDLDPNRGATTDTINALVAYKFKSKRVHDGDVGEDGGGVLAAGTDKERTISAEDAICCICLSKFSNNEDLRELPCAHVFHMECIDKWLQINALCPLCKAEIGGSKNIAETGSEGPRDDNRVGNDVESQR >PAN32560 pep chromosome:PHallii_v3.1:5:56426461:56429698:1 gene:PAHAL_5G496400 transcript:PAN32560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTGNLSHHDHTIDIPRNDVTSPSASHQDDLDSLDEFHDTRSAPDEVPAVPESSSVTYDVSDSQNASSARRDRGHRQQNPLNSGFWISIELIVNLTQIIAAISVLSVSRNEHPHAPLFVWLLGYTIGCIAILPHLYWRYVQRNRQNTEQELLTQSSSERNISETNSFATASSHASEAVDGANRTGVSRSNLPLASPRFYALVACFKLLLDCFFAVWFVVGNVWIFGSRSSAQDAPNLYRICIVFLAFGFIGYALPFILCTMICCCLPCIISILGVHEDLDPNRGATTDTINALVAYKFKSKRVHDGDVGEDGGGVLAAGTDKERTISAEDAICCICLSKFSNNEDLRELPCAHVFHMECIDKWLQINALCPLCKAEIGGSKNIAETGSEGPRDDNRVGNDVESQR >PAN32559 pep chromosome:PHallii_v3.1:5:56425975:56429718:1 gene:PAHAL_5G496400 transcript:PAN32559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTGNLSHHDHTIDIPRNDVTSPSASHQDDLDSLDEFHDTRSAPDEVPAVPESSSVTYDVSDSQNASSARRDRGHRQQNPLNSGFWISIELIVNLTQIIAAISVLSVSRNEHPHAPLFVWLLGYTIGCIAILPHLYWRYVQRNRQNTEQELLTQSSSERNISETNSFATASSHASEAVDGANRTGVSRSNLPLASPRFYALVACFKLLLDCFFAVWFVVGNVWIFGSRSSAQDAPNLYRICIVFLAFGFIGYALPFILCTMICCCLPCIISILGVHEDLDPNRGATTDTINALVAYKFKSKRVHDGDVGEDGGGVLAAGTDKERTISAEDAICCICLSKFSNNEDLRELPCAHVFHMECIDKWLQINALCPLCKAEIGGSKNIAETGSEGPRDDNRVGNDVESQR >PAN26614 pep chromosome:PHallii_v3.1:5:1640269:1641859:1 gene:PAHAL_5G025800 transcript:PAN26614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPRPTPLILLATSLLVLALPEPASCAYPVLIRVAKDPATSLYTIPTRDGHNHVIDLAGPLLWSTCASDHLPAAFSCNDTECRHANAYRAPSCRIAGQPCKKQCKAYPYNPITGQCAAANLVHTRFIANTTDGKNPLQQVSVRAVAACAPRNILASLPRDVTGVAGLSASGLALPAQVAASHRVSRKFMLCLPRRGEGVAIFGGGALFLLPESSMGDLTTTLAFTPLRSRKDNPLYYIPVQGIAVNQVQVPFPANALTAGGVVLCTRVAYTALRSDVYRPVVDAFDRALARNDAKVPAVAPFELCYRSSMLRNRLLGYAVPDIALVLEGGKSWTFVSSNSMVDVNSQTACLAFVEMKGVKAGDPSAVAAVVGGFQMENHLLQFDLEKQQLGFAKVPFSSACSNFNFTKTQ >PAN26628 pep chromosome:PHallii_v3.1:5:1762889:1768208:1 gene:PAHAL_5G027400 transcript:PAN26628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFLLISLGAEFQRCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVSALHGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWETKRDALERTGNLVIEQLTDALSAKASFQDLPNDLADVCVDQCVEKLASSYDPKFGGFGSAPKFPRPVEDYIMLYRFRKLMEAGKESEAQNIKKMVTHTLDCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIVNVYLDTFLITRDEYYSTVACDILDYLRRDMIGKEGEIFSAEDADSAEYEGAPRKKEGAFYVWTSKEIEDALGENAELFKNHYYVKSSGNCDLSPMSDPHNEFNGKNVLIERKPASLMASKFGKSLDEYSQVLGACRQKLFDIRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSGQTATRFNFPVAGSNPVEYLEVAEKAANFIKVKLYDASSKRLHHSYRNGPSKAPGFLDDYAFLINGLLDLYEFGGRIEWLLWAIQLQVTQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSILDAAKSTGYKRNVEHLLAVFETRLRQLSIALPLMCCAADMLSVPSRKQVVLVGKKGSAEFQDMVAATFSSYDPNRTVIQIDPRNTQEMEFWDSNNTNIAQMARSSPPGNQAVAHVCHDFKCSPPVTSPEALRELLNKTLAAASTAA >PAN26629 pep chromosome:PHallii_v3.1:5:1761849:1768370:1 gene:PAHAL_5G027400 transcript:PAN26629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTLLLPHRLTAAAATSPTRSPLRVLAAAAGMSSSASSSSPHGGRKPNRLAAEHSPYLLQHAHNPVDWYPWGDEAFEKARAKDVPIFLSVGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVSALHGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWETKRDALERTGNLVIEQLTDALSAKASFQDLPNDLADVCVDQCVEKLASSYDPKFGGFGSAPKFPRPVEDYIMLYRFRKLMEAGKESEAQNIKKMVTHTLDCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIVNVYLDTFLITRDEYYSTVACDILDYLRRDMIGKEGEIFSAEDADSAEYEGAPRKKEGAFYVWTSKEIEDALGENAELFKNHYYVKSSGNCDLSPMSDPHNEFNGKNVLIERKPASLMASKFGKSLDEYSQVLGACRQKLFDIRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSGQTATRFNFPVAGSNPVEYLEVAEKAANFIKVKLYDASSKRLHHSYRNGPSKAPGFLDDYAFLINGLLDLYEFGGRIEWLLWAIQLQVTQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSILDAAKSTGYKRNVEHLLAVFETRLRQLSIALPLMCCAADMLSVPSRKQVVLVGKKGSAEFQDMVAATFSSYDPNRTVIQIDPRNTQEMEFWDSNNTNIAQMARSSPPGNQAVAHVCHDFKCSPPVTSPEALRELLNKTLAAASTAA >PVH37549 pep chromosome:PHallii_v3.1:5:1761849:1768370:1 gene:PAHAL_5G027400 transcript:PVH37549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYVSALHGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWETKRDALERTGNLVIEQLTDALSAKASFQDLPNDLADVCVDQCVEKLASSYDPKFGGFGSAPKFPRPVEDYIMLYRFRKLMEAGKESEAQNIKKMVTHTLDCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIVNVYLDTFLITRDEYYSTVACDILDYLRRDMIGKEGEIFSAEDADSAEYEGAPRKKEGAFYVWTSKEIEDALGENAELFKNHYYVKSSGNCDLSPMSDPHNEFNGKNVLIERKPASLMASKFGKSLDEYSQVLGACRQKLFDIRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSGQTATRFNFPVAGSNPVEYLEVAEKAANFIKVKLYDASSKRLHHSYRNGPSKAPGFLDDYAFLINGLLDLYEFGGRIEWLLWAIQLQVTQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSILDAAKSTGYKRNVEHLLAVFETRLRQLSIALPLMCCAADMLSVPSRKQVVLVGKKGSAEFQDMVAATFSSYDPNRTVIQIDPRNTQEMEFWDSNNTNIAQMARSSPPGNQAVAHVCHDFKCSPPVTSPEALRELLNKTLAAASTAA >PAN27055 pep chromosome:PHallii_v3.1:5:3547475:3553471:-1 gene:PAHAL_5G056100 transcript:PAN27055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVECSVCHAKVPVPAAVSKAYDNHRSTVSSRQRALNVLLVSGDCILAGLQPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLKVGDKPLLTVSTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPASVKMLSNLKVLVIAVLLKIIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDVVPSSKVEMADVHDHSRVKESVCVNVTDSVASEAKHRHGSDERQPLLPV >PAN27059 pep chromosome:PHallii_v3.1:5:3547503:3553471:-1 gene:PAHAL_5G056100 transcript:PAN27059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVECSVCHAKVPVPAAVSKAYDNHRSTVSSRQRALNVLLVSGDCILAGLQPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLKVGDKPLLTVSTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPASVKMLSNLKVLVIAVLLKIIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDVVPSSKVEMADVHDHSRVKESVCVNVTDSVASEAKHRHGSDERQPLLPV >PAN27054 pep chromosome:PHallii_v3.1:5:3547503:3553471:-1 gene:PAHAL_5G056100 transcript:PAN27054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVECSVCHAKVPVPAAVSKAYDNHRSTVSSRQRALNVLLVSGDCILAGLQPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLKVGDKPLLTVSTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPASVKMLSNLKVLVIAVLLKIIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDVVPSSKVEMADVHDHRVKESVCVNVTDSVASEAKHRHGSDERQPLLPV >PAN27060 pep chromosome:PHallii_v3.1:5:3548200:3552918:-1 gene:PAHAL_5G056100 transcript:PAN27060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVECSVCHAKVPVPAAVSKAYDNHRSTVSSRQRALNVLLVSGDCILAGLQPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLKVGDKPLLTVSTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPASVKMLSNLKVLVIAVLLKIIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDVVPSSKVEMADVHDHRVKESVCVNVTDSVASEAKHRHGSDERQPLLPV >PAN33007 pep chromosome:PHallii_v3.1:5:58562473:58562688:-1 gene:PAHAL_5G528900 transcript:PAN33007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSLTSRLSTVEMVYTPVPFAMEASLAVNILKGTSHRFTGEVTALTTGNDENEIVMYDSEVPGTRTMLGL >PAN28574 pep chromosome:PHallii_v3.1:5:9690807:9696655:-1 gene:PAHAL_5G161000 transcript:PAN28574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAALTLASPLRRLLRAAHPRGVIPAPYYFITRGRCTAAIAVAAAARDSAIKGSVDRNAAEEVRNILDMAERASKRRDVFHTNFLTPPIIQEAMLAIEKLADIKAVAQGGYPQAERCRISVGHPDSMTSNPDVVAALSISGNFRLEPFSHGDFLGAILGTGITREKVGDILLQGERGAQVLVDPELVDYLISTLEKVGKVGVSCAQIPLLALEYEPPRTKSFKTVESSLRVDALASAGFKISRTKLASLISAGDVRVNWMPVLKNGVTLKSGDVVSVSGMGRLKIGEIVTTRKGKYAVELIQYL >PVH38800 pep chromosome:PHallii_v3.1:5:39716142:39720201:-1 gene:PAHAL_5G355000 transcript:PVH38800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKIEEENKVKINRFLFLSPPFPRKAARSVALARAPDPSLGFPPPPAMGALTDSRKRLTADHRLPIPSFPPPSPPPPSKRPKLAPFPSSLDPSTSASPPPNPSPQIIHAVAAASTSNTPGPSSSSTPASSPLPNRRRLPPPPPFKRPIHGPQRVLRAFRFDGPARPHAAGPSWSSPSSPPPRSLGLEQYVELVNSVSHSAPPTPNAIPDAAREKEAVPLEVVAIEEDGDERKQQDDEEEVVRGSVVVRRVPLYKELYEAASRKRDAKLKTLEFEVRLAEEGRLGLERLAEVLPRISPKKEEVPEPFVPLTDEDEEIVREALHGRNSRERLAVHEPSNIVITREILQCLNNQEWLNDEVINLYLDLLKERELRQPSKFLRCHFFNTFFYKKLISGGYDYKAVRRWTTKRKLGYSLIECDKIFVPIHKEVHWCLAVINIKNKKFQYLDSLGSMDMKVLRILARYFVDEVKDKNGQQIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRNMDLIFGQKHMQYFRKRTAKEILNLRAE >PAN31317 pep chromosome:PHallii_v3.1:5:50931429:50933812:-1 gene:PAHAL_5G411600 transcript:PAN31317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQEGAGRYWCHMCAVAVRPAEGEPEMKCPHCHSGFLEEMETARSAAAADGGEGGGALTEVYPGADRPSSIWAHAILSTVDSSVRRRRSRRQQEPGGFLHDWDEHDFTRRRRRVTAFLRLLHELRERQLQRLEAAAGVAIEGDQLTPFGRSLFIGAAGGGGEHGMALGDYFLGTGLDALVQQLTEGDAGRQGTPPAKKEAVEAMPTVEIAGGDGDDAASCPVCLEDYAPGERAREMPCRHRFHANCIVPWLEMHSSCPVCRFQLPADDDNKSSCGSGPNGGGYVSVDADHEGNDNGGGDGGAGSAGNAEPERISAAVAEAEENSRRLPATIQWLNSVFSPSGGSSSSSQHWED >PAN31316 pep chromosome:PHallii_v3.1:5:50931472:50933812:-1 gene:PAHAL_5G411600 transcript:PAN31316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQEGAGRYWCHMCAVAVRPAEGEPEMKCPHCHSGFLEEMETARSAAAADGGEGGGALTEVYPGADRPSSIWAHAILSTVDSSVRRRRSRRQQEPGGFLHDWDEHDFTRRRRRVTAFLRLLHELRERQLQRLEAAAGVAIEGDQLTPFGRSLFIGAAGGGGEHGMALGDYFLGTGLDALVQQLTEGDAGRQGTPPAKKEAVEAMPTVEIAGGDGDDAASCPVCLEDYAPGERAREMPCRHRFHANCIVPWLEMHSSCPVCRFQLPADDDNKSSCGSGPNGGGYVSVDADHEGNDNGGGDGGAGSAGNAEPERISAAVAEAEENSRRLPATIQWLNSVFSPSGGSSSSSQHWED >PAN31449 pep chromosome:PHallii_v3.1:5:51374022:51376814:1 gene:PAHAL_5G416100 transcript:PAN31449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQARGGEMVRRKLLVVGRCPIYQSKPPTPPPPRPRPDPNPSADPRAPMNFFSSVFSAPTEEEGEGQREGEEQEGNQEPAAEQSGGGWIFGGLIDTLKEEIEEQRRVNESAAAEEDEEEAQPGAEGEAGSGGGWIFGGLIKTLAEEIEAQRKEQEAIAAAAGEGEGERERGAEADAAATPADEEGEGSGGGWSFGGLIKTLAEEIEAQRDEQGSAAEAADEEGERGADVEAAAADGEEGPDGGWSFEGLVKTFASRSETVLGGYRRDLQDLGSGLRLETAALRAAAARAASALPGALEAGASAASDRLESVGQAVDDLGAAAAGLLSHANEALRSAEADGEDGDGAPRPSDASASGASWRASLPSKKYTRFEAQVLALRADPATFTEEPEDSEGFARWNSSFSIDEMRGQIEGVLRESPGLESFVERLVPSVVDYETFWSRYFFAVDKLRQAEDVRTKLVSRAMSKEEDEELSWDVDDDDEETNSSDHKEGTNSMVDKKEEQTAEPINHETEGSGKQAVVENDSTKDKEVALAAAKDGNGESSVETLTPKSSDGAGQDEKTEAGDSSKESDFSVVSQPSVQEEDLSWEEIEDVGDQDEKKGASPQSSSVNKVEDLRKRLTSMEDDEDLSWDVDE >PVH37601 pep chromosome:PHallii_v3.1:5:2609943:2616873:-1 gene:PAHAL_5G041100 transcript:PVH37601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRPLIPICFSTLPASPPHRRRAMPPPAGLLPWPSPSTTRLTTPTPTRPPSRTTRVRPPPPPPPYTRVRLPPPPPPPTTPPPPRLEPAAPKPTAASTPLPPDTASTTSSSSTCLDCVHFGKCSGCTHEVDLDKPPVLQEVASFFKGHGIGDFTFSRGRLSQWRCRAKLAVRGTPENPLIGLYQEGTHVVTDIPECRAHHPSINAAVKLLRQGISELNIQPYDEDAGTGELRYVQMAVTTYNTSIPVDKRYEQGRVQVSLVWNSRDERSQNAEKLTLLIEFLWRNGGPKGSVHLIHSMWANFQTSTSNIIFGHKWRHLKGERDLWERYGGVDISLDPCSFGQANTLSFNSLLHKLNKYVPRGSTVVDLYSGAGVIGLSVAASRKCRSVKCVEINKQSKMSFETSASRLPANLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVISALQKVALSERKAYKAKSSLAKVKDEKRPWILRAREAAVHVDNTATEESTETWPETLIYISCGWESFKKDCKSLISSKAWQLENAHAFNFFPGTESIEILAIFKRESEAGQKKKKKAKKKAK >PAN28270 pep chromosome:PHallii_v3.1:5:8470233:8471189:-1 gene:PAHAL_5G141100 transcript:PAN28270 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 20 [Source:Projected from Arabidopsis thaliana (AT3G03760) UniProtKB/Swiss-Prot;Acc:Q9SRV3] MSRAMQPPGKRAGAAAAPAAAAGPAHAQAQAAEEAPPGSPGAPCGACKFLRRRCVPGCVFAPHFGGGGVREHRAGAGAGAAQFAAVHKVFGASNVAKMLSRVPVALRRDAASTVCYEAQARIADPVYGCVGTILALQHQVALVQAELSIAQTELLNRRLALATVNPAYSAASPTSQMVNCGSLSQAVDFIDVEPAVRGLPPPLLPSQQPQRGEQDGGGSPTMDVFSHNVLGK >PAN31319 pep chromosome:PHallii_v3.1:5:50938978:50943256:1 gene:PAHAL_5G411800 transcript:PAN31319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAASPAPEPAAEEPLSASSVLDSLGAEVLAVMSPVSICMALVVLLISLLSPPSSGSAGGSPPPVTAATLVYLESPSDTPAQKLLGALLDAAVFVALVAAVTFVLVALYYYRCTGFLKNYMRFSAFFVIFSMGGAIAAAVLRRLAAPLDAPTAFLLLFNGAAVGVLSVFASAVPILVRQGYMVALAVIVAAWLSRLPEWTTWIMLVALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPATSSSSYASAMGSVEMQTMTDSGRAGGSRYDRVEQDEYASRAVVEMRDLGRGRSSIGEINRSRGSVLQMDNLEREVPVTSAELPSNQGGSSQHAVIQIEQHEEEETAPLVSAASTNNAASDEEHRQSSSSEPPLDFEMFESTRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICRHALPALPISIMLGVTFYFLTRLLMEPFVVGASTNLVMF >PAN30265 pep chromosome:PHallii_v3.1:5:39897964:39903762:-1 gene:PAHAL_5G355800 transcript:PAN30265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTARNEASPHIGRLLLRHATVNDSGELCPFYSSVLRLCLRPFSLPPPPHRAEERRLGWLRVLSSARNLSQNSINVALSLRYSFEEVKMLWRTVRSMDVMMHSSSFLLPKLHRPANKSVKNYALVVLNQHLPRYMPRLWDHANLRICADGGANRIFDEMFQLTNDEDEKSTRNRYIPEIIEGDMDSIRPEVKLFYSSQGSKISDKSHNQETTDLHKCISRIHHSTPEHEKHNLCVLVTGALGGRFDHEAANINVLYLFSEMRIVLLSDDCLIRLLPKTHHHELYIESSIEGPHCGLFPVGAPSTNTTTTGLKWNLSESKMRFGSMISTSNIVQSEKVTVQSDADLLWTISLRNLA >PAN27440 pep chromosome:PHallii_v3.1:5:5010137:5011590:-1 gene:PAHAL_5G081400 transcript:PAN27440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFFRAMLLVTALALAGLAAGARAGDIAIYWGQNGNEGTLAETCATGNYKFVNVAFLTTFGKGRTPVLNLAGHCNPATNGCTGVGADIKSCQSRGIKVLLSIGGGVGSYNMSSPADARQVAAYLWNNYLGGASMSRPLGDAVLDGIDFDIESGGSLYWDDLARSLKSFSRRGRRPVYLAAAPQCPFPDASLGTALSTGLFDYVWVQFYNNPPCQYSASAGVGGLARAWAQWTSIRAGRVFLGLPAAPQAAGSGFVPTSDLVSQVLPVVRNATKYGGIMLWSRFYDGLTGYSDAVKSQV >PAN30086 pep chromosome:PHallii_v3.1:5:18016468:18019823:-1 gene:PAHAL_5G272400 transcript:PAN30086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALATSPLAPPPAPLAAAAVLTRRRSHLDSASYRTLSRLFSHCLHLQPSSREGTAPTEGEPAVANPTGGDSGDSAQVPGGADFDRLKDVEKEAEDAGGPSLQETVSPAREQPAASNPTCDPCESEAPQRSHDDVDEVVAVESTCGNTGAVVEESGIGAGMVVVEDDALKLVKACLETTDVDESVEGALGNDDGQLLLDAMMTNFTGLIDDVGAGVIPVQTCVVSGVELQDSKASEDLKQSGGGIEDGEPVRNLDRKMNEDGGFEEGEIEGEFQDLDSEESGDSELVDDDNAEDEKLGGYSVSRGSGACDHGIQSVNLHSKPGIIGNGHLTLNKDANVRGDEQISVTRAQAVSYDEVVDWNVTPLPDNVATNPGKKTKRTLTEERKAKKTENKRKKRAQQRIADGVKRPKLQHVTKPKKPCHFYDHGKCQQGSKCKFSHDFTPTTKSKPCKHFACGSCLKGDDCPYDHELSKYDCHNYKNNGMCIRGDRCKFSHVMRTTEGTPTQDAKPSDASLAYEKTNRREHVSSQKTPTVHNGEPVTSASTKQQCSILKNLAGFSINSQNISDRIPKGVQFLPFDKSGSNLSSPHLDALSIEKPRNANATQHQYFGGHGAEKQKISKQNGQESLLDEKNSSNEATMLPFSDPPKASLPTNSAATSVHTQPEISEASRILQEFLFGAGS >PVH38500 pep chromosome:PHallii_v3.1:5:18016315:18020005:-1 gene:PAHAL_5G272400 transcript:PVH38500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALATSPLAPPPAPLAAAAVLTRRRSHLDSASYRTLSRLFSHCLHLQPSSREGTAPTEGEPAVANPTGGDSGDSAQVPGGADFDRLKDVEKEAEDAGGPSLQETVSPAREQPAASNPTCDPCESEAPQRSHDDVDEVVAVESTCGNTGAVVEESGIGAGMVVVEDDALKLVKACLETTDVDESVEGALGNDDGQLLLDAMMTNFTGLIDDVGAGVIPVQTCVVSGVELQDSKASEDLKQSGGGIEDGEPVRNLDRKMNEDGGFEEGEIEGEFQDLDSEESGDSELVDDDNAEDEKLGGYSVSRGSGACDHGIQSVNLHSKPGIIGNGHLTLNKDANVRGDEQISVTRAQAVSYDEVVDWNVTPLPDNVATNPGKKTKRTLTEERKAKKTENKRKKRAQQRIADGVKRPKLQHVTKPKKPCHFYDHGKCQQGSKCKFSHDFTPTTKSKPCKHFACGSCLKGDDCPYDHELSKYDCHNYKNNGMCIRGDRCKFSHVMRTTEGTPTQDAKPSDASLAYEKTNRREHVSSQKTPTVHNGEPVTSASTKQQCSILKNLAGFSINSQNISDRIPKGVQFLPFDKSGSNLSSPHLDALSIEKPRNANATQHQYFGGHGAEKQKISKQNGQESLLDEKNSSNEATMLPFSDPPKASLPTNSAATSVHTQPEISEASRILQEFLFGAGS >PVH38499 pep chromosome:PHallii_v3.1:5:18014149:18020005:-1 gene:PAHAL_5G272400 transcript:PVH38499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALATSPLAPPPAPLAAAAVLTRRRSHLDSASYRTLSRLFSHCLHLQPSSREGTAPTEGEPAVANPTGGDSGDSAQVPGGADFDRLKDVEKEAEDAGGPSLQETVSPAREQPAASNPTCDPCESEAPQRSHDDVDEVVAVESTCGNTGAVVEESGIGAGMVVVEDDALKLVKACLETTDVDESVEGALGNDDGQLLLDAMMTNFTGLIDDVGAGVIPVQTCVVSGVELQDSKASEDLKQSGGGIEDGEPVRNLDRKMNEDGGFEEGEIEGEFQDLDSEESGDSELVDDDNAEDEKLGGYSVSRGSGACDHGIQSVNLHSKPGIIGNGHLTLNKDANVRGDEQISVTRAQAVSYDEVVDWNVTPLPDNVATNPGKKTKRTLTEERKAKKTENKRKKRAQQRIADGVKRPKLQHVTKPKKPCHFYDHGKCQQGSKCKFSHDFTPTTKSKPCKHFACGSCLKGDDCPYDHELSKYDCHNYKNNGMCIRGDRCKFSHVMRTTEGTPTQDAKPSDASLAYEKTNRREHFLPFDKSGSNLSSPHLDALSIEKPRNANATQHQYFGGHGAEKQKISKQNGQESLLDEKNSSNEATMLPFSDPPKASLPTNSAATSVHTQPEISEASRILQEFLFGAGS >PVH38498 pep chromosome:PHallii_v3.1:5:18016468:18019823:-1 gene:PAHAL_5G272400 transcript:PVH38498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALATSPLAPPPAPLAAAAVLTRRRSHLDSASYRTLSRLFSHCLHLQPSSREGTAPTEGEPAVANPTGGDSGDSAQVPGGADFDRLKDVEKEAEDAGGPSLQETVSPAREQPAASNPTCDPCESEAPQRSHDDVDEVVAVESTCGNTGAVVEESGIGAGMVVVEDDALKLVKACLETTDVDESVEGALGNDDGQLLLDAMMTNFTGLIDDVGAGVIPVQTCVVSGVELQDSKASEDLKQSGGGIEDGEPVRNLDRKMNEDGGFEEGEIEGEFQDLDSEESGDSELVDDDNAEDEKLGGYSVSRGSGACDHGIQSVNLHSKPGIIGNGHLTLNKDANVRGDEQISVTRAQAVSYDEVVDWNVTPLPDNVATNPGKKTKRTLTEERKAKKTENKRKKRAQQRIADGVKRPKLQHVTKPKKPCHFYDHGKCQQGSKCKFSHDFTPTTKSKPCKHFACGSCLKGDDCPYDHELSKYDCHNYKNNGMCIRGDRCKFSHVMRTTEGTPTQDAKPSDASLAYEKTNRREHVSSQKTPTVHNGEPVTSASTKQQCSILKNLAGFSINSQNISDRIPKGVQFLPFDKSGSNLSSPHLDALSIEKPRNANATQHQYFGGHGAEKQKISKQNGQESLLDEKNSSNEATMLPFSDPPKASLPTNSAATSVHTQPEISEASRILQEFLFGAGS >PVH39590 pep chromosome:PHallii_v3.1:5:59498411:59499550:-1 gene:PAHAL_5G540300 transcript:PVH39590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLKPVALLLLLLNLCLYTILAIIGGWALNVSIERGFIIGPELRLPAHFHPIFFPIGNFATGFFVLFSLVAGVVGIASAMVGFNHLRFWNYHSLQPAAALGLLAWALTVLAMGLACQEISFDRRNAKLGTMEAFTIVLTVTQFFYVLAIHGGSHGPVPVERHAGNLP >PVH39589 pep chromosome:PHallii_v3.1:5:59498410:59499550:-1 gene:PAHAL_5G540300 transcript:PVH39589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLKPVALLLLLLNLCLYTILAIIGGWALNVSIERGFIIGPELRLPAHFHPIFFPIGNFATGFFVLFSLVAGVVGIASAMVGFNHLRFWNYHSLQPAAALGLLAWALTVLAMGLACQEISFDRRNAKLFFYVLAIHGGSHGPVPVERHAGNLP >PAN28158 pep chromosome:PHallii_v3.1:5:8075054:8086029:1 gene:PAHAL_5G135200 transcript:PAN28158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPAVGVDGFEAAGGAGAVGAEDNLSMTLGDFMAFLETEPAPPEEGGEEDEEQQQQPGVNQGCLEMPANTNGSEHLFQSQEEMLENVEFWSNYSQPNEGQQTSDHTEAGSYGFSINDLQNQSCLENQHFPRDTSNHANFEETSGPPYEDLSNGSYLGQQTMYSDQTELQVENNMEDMEMQMNTYFSGGISTEQSALSEIQWESTDEMLGNTGQDGGHFTLMGMFSLTHNTDIPDIPCTELNMGETTESIRNANSSCLTMQEEHLQGECGEYPHPDYISVDMVGERSVHDLPHDFSQNNEQYEMEQFPQDICESGSMQMGSPDQYCDDTSLSDIYMDVSSPESISCEQNQSEDVCFKSESSTDSSPVPSSRNSTTEDADKYLGHTSKQLLDSKFIPFNNQHPLKNAGYQKPPVLHKQYDYRRDNYSIQGNSSRGSFSKDGSGASDLCIVEGNRNLAPDHLLPIQGRFHHNIQQPMYGNPILPTFGGMRYKPHDERITLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKETSSPHCSGGILADDQGLGKTVSTISLILTERPPVPQSSTIKKEPCEAVTLDDDDEDDCAEPHSKKQMQTCNPAVTSNTVKQENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLIYHGSNRTKDPEELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVSSSGSKKRKPSSKKTKNKSAAESSLPEKPLAKVAWFRVVLDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCTMIKIPISRNPTNGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKNVSLKTVDFTSEERNFYNTLEVESREQFKEYAAAGTVRQNYVNILLMLLRLRQACDHPHLVRGHESTSNWMSSLEMAKKLPMERQQELLICLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSICPVSNCRVRLNTTSLFSRSTLECSLSRLTCDFKSNNTCMEMVHAEKRPGIDSSYASSKVRAALDILLSLPKICPTQMNDSKNSIGLASETSDGMGSSEQTDTKLTEKAIVFSQWTRMLDLLEVHLKASHVMYRRLDGTMSVAARDKAVKDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDRSGSRQTRLTVEDLNYLFMV >PAN28160 pep chromosome:PHallii_v3.1:5:8075054:8086029:1 gene:PAHAL_5G135200 transcript:PAN28160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPAVGVDGFEAAGGAGAVGAEDNLSMTLGDFMAFLETEPAPPEEGGEEDEEQQQQPGVNQGCLEMPANTNGSEHLFQSQEEMLENVEFWSNYSQPNEGQQTSDHTEAGSYGFSINGEEWKDLQNQSCLENQHFPRDTSNHANFEETSGPPYEDLSNGSYLGQQTMYSDQTELQVENNMEDMEMQMNTYFSGGISTEQSALSEIQWESTDEMLGNTGQDGGHFTLMGMFSLTHNTDIPDIPCTELNMGETTESIRNANSSCLTMQEEHLQGECGEYPHPDYISVDMVGERSVHDLPHDFSQNNEQYEMEQFPQDICESGSMQMGSPDQYCDDTSLSDIYMDVSSPESISCEQNQSEDVCFKSESSTDSSPVPSSRNSTTEDADKYLGHTSKQLLDSKFIPFNNQHPLKNAGYQKPPVLHKQYDYRRDNYSIQGNSSRGSFSKDGSGASDLCIVEGNRNLAPDHLLPIQGRFHHNIQQPMYGNPILPTFGGMRYKPHDERITLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKETSSPHCSGGILADDQGLGKTVSTISLILTERPPVPQSSTIKKEPCEAVTLDDDDEDDCAEPHSKKQMQTCNPAVTSNTVKQENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLIYHGSNRTKDPEELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVSSSGSKKRKPSSKKTKNKSAAESSLPEKPLAKVAWFRVVLDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCTMIKIPISRNPTNGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKNVSLKTVDFTSEERNFYNTLEVESREQFKEYAAAGTVRQNYVNILLMLLRLRQACDHPHLVRGHESTSNWMSSLEMAKKLPMERQQELLICLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSICPVSNCRVRLNTTSLFSRSTLECSLSRLTCDFKSNNTCMEMVHAEKRPGIDSSYASSKVRAALDILLSLPKICPTQMNDSKNSIGLASETSDGMGSSEQTDTKLTEKAIVFSQWTRMLDLLEVHLKASHVMYRRLDGTMSVAARDKAVKDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDRSGSRQTRLTVEDLNYLFMV >PAN28159 pep chromosome:PHallii_v3.1:5:8075054:8086029:1 gene:PAHAL_5G135200 transcript:PAN28159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPAVGVDGFEAAGGAGAVGAEDNLSMTLGDFMAFLETEPAPPEEGGEEDEEQQQQPGVNQGCLEMPANTNGSEHLFQSQEAEMLENVEFWSNYSQPNEGQQTSDHTEAGSYGFSINDLQNQSCLENQHFPRDTSNHANFEETSGPPYEDLSNGSYLGQQTMYSDQTELQVENNMEDMEMQMNTYFSGGISTEQSALSEIQWESTDEMLGNTGQDGGHFTLMGMFSLTHNTDIPDIPCTELNMGETTESIRNANSSCLTMQEEHLQGECGEYPHPDYISVDMVGERSVHDLPHDFSQNNEQYEMEQFPQDICESGSMQMGSPDQYCDDTSLSDIYMDVSSPESISCEQNQSEDVCFKSESSTDSSPVPSSRNSTTEDADKYLGHTSKQLLDSKFIPFNNQHPLKNAGYQKPPVLHKQYDYRRDNYSIQGNSSRGSFSKDGSGASDLCIVEGNRNLAPDHLLPIQGRFHHNIQQPMYGNPILPTFGGMRYKPHDERITLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKETSSPHCSGGILADDQGLGKTVSTISLILTERPPVPQSSTIKKEPCEAVTLDDDDEDDCAEPHSKKQMQTCNPAVTSNTVKQENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLIYHGSNRTKDPEELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVSSSGSKKRKPSSKKTKNKSAAESSLPEKPLAKVAWFRVVLDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCTMIKIPISRNPTNGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKNVSLKTVDFTSEERNFYNTLEVESREQFKEYAAAGTVRQNYVNILLMLLRLRQACDHPHLVRGHESTSNWMSSLEMAKKLPMERQQELLICLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSICPVSNCRVRLNTTSLFSRSTLECSLSRLTCDFKSNNTCMEMVHAEKRPGIDSSYASSKVRAALDILLSLPKICPTQMNDSKNSIGLASETSDGMGSSEQTDTKLTEKAIVFSQWTRMLDLLEVHLKASHVMYRRLDGTMSVAARDKAVKDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDRSGSRQTRLTVEDLNYLFMV >PAN29914 pep chromosome:PHallii_v3.1:5:16675608:16676210:-1 gene:PAHAL_5G259500 transcript:PAN29914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGFSFKRVSRWFPRSSASGGLQEEDEDSSERSGLLRSHLDRQIVPVTDPDDTTKALAVRKEPKTVALKVSMHCHGCARKVEKQVSKLQGVVSFKVELESKKVTVVGDVSPTDVLESICKVMKHAELLVA >PAN29915 pep chromosome:PHallii_v3.1:5:16674886:16676675:-1 gene:PAHAL_5G259500 transcript:PAN29915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGFSFKRVSRWFPRSSASGGLQEEDEDSSERSGLLRSHLDRQIVPVTDPDDTTKALAVRKEPKVSMHCHGCARKVEKQVSKLQGVVSFKVELESKKVTVVGDVSPTDVLESICKVMKHAELLVA >PAN33134 pep chromosome:PHallii_v3.1:5:59467112:59468689:-1 gene:PAHAL_5G539900 transcript:PAN33134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSSSLVALYVTICSLFFIASKMLISFLLYKKWARKKRIIDNSLAGGKMVIFRSAGGKTLQSLSPKSFLGMLMGLSSKDVIGAGGYGTVYRLRLGLGGDNNKAAAAFAVKRLNRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDALLHEHDHQHQARLLDWPARYRIALGVARGLSYLHHDCIPHVIHRDIKSSNILLDHDMEARLSDFGLATLMRPNASHVTTVVAGTFGYLAPEYFDTGRATTKGDVYSYGVVLLELLTGKRPTDESFLEKGTRLVTWVKETMEEKREEHAIDEALLHQGGVLLLPADEVKLIFAVADKCLDSDPGKRPTMAQVVKMLEQGKPH >PVH38333 pep chromosome:PHallii_v3.1:5:14570137:14572684:1 gene:PAHAL_5G233100 transcript:PVH38333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-11 [Source:Projected from Arabidopsis thaliana (AT5G03260) UniProtKB/Swiss-Prot;Acc:Q8VZA1] MSNVSRLCHAKSMVTVNGSFPGPTVYAREGDRVVVTVTNRAAHNVTIHWHGLKQRRNGWADGPAYVTQCPIRPGGSYVYDFNVTGQRGTLWWHAHIAWLRATVHGAIVVLPARGVPYPFPKPDSEAEIILGEWWHADVEAVEEQGRMLGMAPNTSDAHTINGKPGPLFPCSEKHTYALEVQWGRTYLLRIVNAAVNDELFFSIAGHIMTVVEIDATYTKPLTASTIHLSPGQTTNVLVRADRRPGRYFMAVKPFNDVPVPADNKTATAILQYAGVPVSVLPAAPQLMPDANGTGFVAAFHDRLRSLNSARYPAAVPLAVDRHLLYTIGLNIDPCASCPNGSRLAASLNNITFVMPRVALLQAHYGGLRGVFAADFPDRPPARFNYTGAPLTAGLGTSPGTRLSRVAYNASVELVLQDTSLLSVESHPFHLHGYNFFVVGRGAGNFDPARDPAKYNLVDPPERNTVGVPAGGWAAIRFRADNPGIWFLHCHLEVHTSWGLKMAFLVEDGDGPDKSVVPPPKDLPEC >PAN29540 pep chromosome:PHallii_v3.1:5:14570137:14572684:1 gene:PAHAL_5G233100 transcript:PAN29540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-11 [Source:Projected from Arabidopsis thaliana (AT5G03260) UniProtKB/Swiss-Prot;Acc:Q8VZA1] MASSRHRRLPLLLSAALVLALSVLPAAKADVKRYQFDVVMSNVSRLCHAKSMVTVNGSFPGPTVYAREGDRVVVTVTNRAAHNVTIHWHGLKQRRNGWADGPAYVTQCPIRPGGSYVYDFNVTGQRGTLWWHAHIAWLRATVHGAIVVLPARGVPYPFPKPDSEAEIILGEWWHADVEAVEEQGRMLGMAPNTSDAHTINGKPGPLFPCSEKHTYALEVQWGRTYLLRIVNAAVNDELFFSIAGHIMTVVEIDATYTKPLTASTIHLSPGQTTNVLVRADRRPGRYFMAVKPFNDVPVPADNKTATAILQYAGVPVSVLPAAPQLMPDANGTGFVAAFHDRLRSLNSARYPAAVPLAVDRHLLYTIGLNIDPCASCPNGSRLAASLNNITFVMPRVALLQAHYGGLRGVFAADFPDRPPARFNYTGAPLTAGLGTSPGTRLSRVAYNASVELVLQDTSLLSVESHPFHLHGYNFFVVGRGAGNFDPARDPAKYNLVDPPERNTVGVPAGGWAAIRFRADNPGIWFLHCHLEVHTSWGLKMAFLVEDGDGPDKSVVPPPKDLPEC >PAN28974 pep chromosome:PHallii_v3.1:5:11517294:11523364:-1 gene:PAHAL_5G190900 transcript:PAN28974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSSSSSSTSSGVAGGGGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMVLTTAVVATSPSERPAWPLRLWVAAYNVGNVLSLPLLYWRHRHSSAAAAGRGDALSDDLEMRGAGDALRSSSFLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPMVGYALGYNMNSASVGRGASDEQLAALPRWRFKEPDVPRDRDHDDQVRKSSDSGDARYVMATAELVAASGSGGADSSSTGAFMETYGKLKKELLEDHASEFTDESSLQWIDRMMDYNVPGGKCNRGLSVVDSYKILKGVDVLGHEDAFLACTLGWCVEWLQAYVLVHDDIMDNSQTRRGKPCWFRVPQVGLIAVNDGIILRSHISRILQRHFKGKPYYIDLIDLFNEAEFKMSLGQLLDLITTHEDEKDLRKCNVANHRCISQYKTAYYSCYLPVACALLVAGENLDNFRDVKNILFEIGIYYQIQDDYLDCFGDPEITGKIGTDIQEYKCSWLVVQALEHADENQKRILFENYGKSDPVCVAKVKDLYKELNLEEVFREYESESYNKLIVDIKAQPNKAVQNVLKSFLHKIYKRDK >PAN27916 pep chromosome:PHallii_v3.1:5:7092507:7096508:1 gene:PAHAL_5G117700 transcript:PAN27916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQFHSLAHARPASASASARRLLSAAGALVLLSAAYFLLLSPSSPRPTAAVLASPSPATTSFLASLDSFLAAPHASDSAAAPGDLDAAIRAQEEARLHGDPAWPAPAAGPLRVYVYEMPSKFTYDMLRLFRDSYRKTDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESRRLLKSVVRVQRQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDHCDSKCVSETQSKRSILLFFRGRLKRNAGGKIRSKLVEELKSAEDIVIEEGSAGAQGKAAAQDGMRKSLFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYREIALFVSSSDALQPGWLVKHLRRMDAKRIREMQSNLVKFSRHFLYSSPARPLGPEDLTWRMIAGKLLNIKLHIRRSQRVVRESRSICTCECRVGNTTRML >PAN28657 pep chromosome:PHallii_v3.1:5:10289161:10292316:-1 gene:PAHAL_5G169800 transcript:PAN28657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLQGGDDEPHALPGFPYFSMPSPPAVLDAPPSLSPDDNQHGALAALQQASAAACNSLQLPPLGPDQLATVAPTMILPPMVDWPSLLQQAGLMVGSPPVPGLHLHQQAAAAAQQLDQSGENDCGEAAAGSSGGTGNKEKARISGAGRSSSSGKKKVSRPRFAFQTRSANDILDDGYRWRKYGQKAVKNSAHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQFLSQF >PAN28658 pep chromosome:PHallii_v3.1:5:10290198:10292316:-1 gene:PAHAL_5G169800 transcript:PAN28658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLQGGDDEPHALPGFPYFSMPSPPAVLDAPPSLSPDDNQHGALAALQQASAAACNSLQLPPLGPDQLATVAPTMILPPMVDWPSLLQQAGLMVGSPPVPGLHLHQQAAAAAQQLDQSGENDCGEAAAGSSGGTGNKEKARISGAGRSSSSGKKKVSRPRFAFQTRSANDILDDGYRWRKYGQKAVKNSAHPRSCASIISCSGIKK >PAN28619 pep chromosome:PHallii_v3.1:5:10091028:10098423:-1 gene:PAHAL_5G166400 transcript:PAN28619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIVSRALPLASRSPLRIPPPAPLPGAALLRSAAAAPPLTPAAPAASLLSWRGLTATHEPSLAATPPFAGFLAGIRGLRKIRRGQAAAKRPQPQDSAPPPPPPPPPPKESEIELIARIGVEEDMPDDAEVLNIVEILKLNVPMVMKIALDGLVDSNYSTRDTSITDVGKYDKVEVSVLLCNDDFIQNLSKEWRGEDCTADMLSMSQYIPDLDVPILMLGDIVISVETAARQAEEKGVTLLDEVRVLVVRGILHLLGFHHETSDEAVMELEKEEQLILKSLRWRGKGLTKGAQDPSKLQTVSSDGQVTNSRKRAVTLRFYRPKFKYIFCDMDGTLLNSKSQITARNAEALREARSRGVNIVIATGKARPAVIDALSMADLSGRTGIVSESSPGVFLQGLLVYGSEGRQIYKRNLDQEVCREALLYSLEHKIPLVAFSQDHCYSMFQHPLVDSLHYIYHEPKAKIVPSIDHLLGTADIQKVLFLETPEGISSALRPYWAKAIEGRAHVVQAQPDMLELVPPATSKGNGVQILMNHLSISPDQVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANVIGATNDDDGVAQAIYEYAF >PAN31708 pep chromosome:PHallii_v3.1:5:52837097:52840278:1 gene:PAHAL_5G439200 transcript:PAN31708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASAMELEAPPPVAAAAAKEIKSPEVLSSLQRKKLGAHFLESDERRFSGAARTPLGGGYEPPPPPPSVAGTTPVNIRGEPIADLSRTGGWVAAFFIFGNEMAERMAYFGLSVNMVVFMFKVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTMYLLGLIALTVSASVPALVPSQEGCDKLAMLLGACAPAEPWQMAYLQTALYVTAFGAAGIRPCVSSFGADQFDERSPGYKRRLDRFFNLFYLAVTLGAIAAFTAVVYIQMHRGWAAAFGTLALAMGTSNALFFVGTPLYRHRVPGGSPLTRVAQVLVAAFRKRNAAFDSGDFVGLYEVAGAKSAIRGSAKIDHTDDFRWLDKAALQLEGDLAGGEEEADPWRLCTVTQVEEVKILLRLLPVPACTVMLSVVLTEFLTLSVQQAYTLNTRVAALHLPVTCMPVFPCLAIFLILALYYQTFAPLARRLTGHPHGASQLQRVGLGLFFSILSVAWAGLFERYRRGYAVRHGYLGLFLSPMPDLSAYWLLIQYCLIGVAEVFCLVALLEFLYQEAPDAMRSVGSAYAAVAGGLGCFLASALNTAVDAATRDDRAGRPSWLAQNINVGRFDYLYWLLAVLSTINLLVFVYVAKRYKYRARTDAQATVVNRQ >PAN31709 pep chromosome:PHallii_v3.1:5:52837097:52840332:1 gene:PAHAL_5G439200 transcript:PAN31709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASAMELEAPPPVAAAAAKEIKSPEVLSSLQRKKLGAHFLESDERRFSGAARTPLGGGYEPPPPPPSVAGTTPVNIRGEPIADLSRTGGWVAAFFIFGNEMAERMAYFGLSVNMVVFMFKVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTMYLLGLIALTVSASVPALVPSQEGCDKLAMLLGACAPAEPWQMAYLQTALYVTAFGAAGIRPCVSSFGADQFDERSPGYKRRLDRFFNLFYLAVTLGAIAAFTAVVYIQMHRGWAAAFGTLALAMGTSNALFFVGTPLYRHRVPGGSPLTRVAQVLVAAFRKRNAAFDSGDFVGLYEVAGAKSAIRGSAKIDHTDDFRWLDKAALQLEGDLAGGEEEADPWRLCTVTQVEEVKILLRLLPVPACTVMLSVVLTEFLTLSVQQAYTLNTRVAALHLPVTCMPVFPCLAIFLILALYYQTFAPLARRLTGHPHGASQLQRVGLGLFFSILSVAWAGLFERYRRGYAVRHGYLGLFLSPMPDLSAYWLLIQYCLIGVAEVFCLVALLEFLYQEAPDAMRSVGSAYAAVAGGLGCFLASALNTAVDAATRDDRAGRPSWLAQNINVGRFDYLYWLLAVLSTINLLVFVYVAKRYKYRARTDAQATVVNRQ >PAN27584 pep chromosome:PHallii_v3.1:5:5530229:5531651:1 gene:PAHAL_5G089800 transcript:PAN27584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRIGVAMDFSPSSKKALRWAADNLVRKGDTLVLLHVRHHGRVESKNVLWSHTGSPLIPLEELMEAQVRQRYDMPEDAEVYDTLNALAREKELCVVVKMYWGDPREKVCDAVGELNLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKSK >PAN32689 pep chromosome:PHallii_v3.1:5:56999838:57003087:-1 gene:PAHAL_5G505100 transcript:PAN32689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLLCDIDGQRLSAAAILGHDGAVWAQSDAFPQVKPEEITAIMNDFNEPGSLAPTGLYLGGSKYMVIQGEPGVVIRGKKGPGGITIKKTNLAIIIGIYEEPMAPGQCNMVVERLGDYLVDQGF >PAN32688 pep chromosome:PHallii_v3.1:5:57000387:57002952:-1 gene:PAHAL_5G505100 transcript:PAN32688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLLCDIDGQRLSAAAILGHDGAVWAQSDAFPQVKPEEITAIMNDFNEPGSLAPTGLYLGGSKYMVIQGEPGVVIRGKKGPGGITIKKTNLAIIIGIYEEPMAPGQCNMVVERLGDYLVDQGF >PAN31547 pep chromosome:PHallii_v3.1:5:52111169:52117009:1 gene:PAHAL_5G427000 transcript:PAN31547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYAAAKGGGGGGDASTGLEESMRRLGLGGDGEAGDAKLPERPGEADCAYYLRTGACGYGERCRYNHPRDRDRSAPVNGVERTAGAVEYPERPGQPLCEYYAKNGTCKFGSNCKFDHPREGGFVPVALNSSGFPLRQGEKECSYYMKTGHCKFGGTCKFHHPELGILSETPTMYPPVQPSPVSSPHPYPHLTNWQMGRPPVVPGSFLPGSYPPMMLPPTLMPMHGWNPYISPMNQVAPAGGQQTAQTGPPYGLSHQGPTSAFTYGSHYAQLYSSAGTSSSNIQEYALPERPGQPECEHYMKTGTCKYGAACKYHHPQYFSGPKSNCALSPLGLPLRPGSQPCTYYAQHGFCKFGPTCKFDHPMGTLNYSPSVSSLTDVPVAPYPLSFPVAPMAPYPSSTDLRPQYTLTKESSANPPAVPGTTYGPVGTISKVYAPHTLIRPPNAAAAGMQAS >PAN31852 pep chromosome:PHallii_v3.1:5:53449967:53451323:1 gene:PAHAL_5G447800 transcript:PAN31852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKLPLCLSSLPAMRALVVLAIILAIAIPCAEVQAAAHDDATVAHPDGSRRGRWPPAPFGNPARGYGVPPPPQQLGRRLLHRAVGRSGAGAGRDDVYNY >PAN26821 pep chromosome:PHallii_v3.1:5:2598530:2601054:1 gene:PAHAL_5G040900 transcript:PAN26821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVAHAESKLEEALKIDPSKADALWCLGNAQTSHGFFTPDTGKANEYFVKATECFQKAVDVEPANDLYKKSLDLSSKAPELHLEIHRQMASQAAATQASSASNPRQSRKKKEDTDFWYDVCGWVILSVGIFAWVGMARANIPPPPPPPAR >PAN29439 pep chromosome:PHallii_v3.1:5:13959566:13965886:1 gene:PAHAL_5G226500 transcript:PAN29439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWGSSRVAAACAPWGAGAAMERELSRDGSHYSISSGILPSLGARSNRRVKLRPFIVSPYDRRYRSWETFLIILVVYSAWVSPFEFGFIRNPTGGLAIVDNVVNAFFAVDIILTFFVAYLDRMTYLLEDDPKRIAWRYTTSWFVLDLASTIPTEFARKILPRNLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCSACFYYLLADRYPDPSDTWIGNSMPDFHQRSLWIRYVTSMYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPPRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSISQYLFFNLVQKVYLFEGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSAELIESQNGAEQVAGVAKSGDVVGEIGLLCYRPQLFTVRTKSLCQLLRMNRTAFLSIVQSNVGDGTVIMNNLIQLLKQQKDSSVMVGVLKEIENMLARGHLDLPITLCFAVTREDDLLLHQLLKRGLDPNESDNNGLTALHIAASKGNEQCVRLLLDYGADPNARDYEGKVPLWEALCEKHDAVVELLVESGADLASGDTALYACVAVEDNNTELLKDIIRYGGDINRSTRDGTTPLHRAVCDGNVQMVELLLEHGADIDKQDNNGWSPRDLAEQQGHDDIQVLFKSKSRVAPSRRVSNSRVAPMLIGRFNSEPSILNMDHENAEVRRKVVPQKLLRKRVSFQNSLFGVISSSHAHQDTGRLLSRDLAATGSPSSCRHESLIRVTISCPEKGNTAGKLVLLPRSMKELLELGAKKFGFMPSKVLTIEGAEIDEVELIRDGDHIVLVSDDWVPNVAQIRPDKK >PAN29440 pep chromosome:PHallii_v3.1:5:13959566:13965886:1 gene:PAHAL_5G226500 transcript:PAN29440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSTCFVYGVSVGSALSLLDWRRIDTSITSGFDVQSSYVSHFLQSTVRHASTIFLLIGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPPRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSISQYLFFNLVQKVYLFEGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSAELIESQNGAEQVAGVAKSGDVVGEIGLLCYRPQLFTVRTKSLCQLLRMNRTAFLSIVQSNVGDGTVIMNNLIQLLKQQKDSSVMVGVLKEIENMLARGHLDLPITLCFAVTREDDLLLHQLLKRGLDPNESDNNGLTALHIAASKGNEQCVRLLLDYGADPNARDYEGKVPLWEALCEKHDAVVELLVESGADLASGDTALYACVAVEDNNTELLKDIIRYGGDINRSTRDGTTPLHRAVCDGNVQMVELLLEHGADIDKQDNNGWSPRDLAEQQGHDDIQVLFKSKSRVAPSRRVSNSRVAPMLIGRFNSEPSILNMDHENAEVRRKVVPQKLLRKRVSFQNSLFGVISSSHAHQDTGRLLSRDLAATGSPSSCRHESLIRVTISCPEKGNTAGKLVLLPRSMKELLELGAKKFGFMPSKVLTIEGAEIDEVELIRDGDHIVLVSDDWVPNVAQIRPDKK >PAN32195 pep chromosome:PHallii_v3.1:5:54978735:54978932:-1 gene:PAHAL_5G470400 transcript:PAN32195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLPFLYRAILHLASGGETPLGNPFRNQSPSESPLAPYYVRLAGAADMPAFLASTGRGYYDRG >PAN28097 pep chromosome:PHallii_v3.1:5:7798215:7800688:1 gene:PAHAL_5G130800 transcript:PAN28097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRRRGSAAAAAAAAGPGGCVARAPEEEKAAAAPGKGPPPPTVWFALKRSLHCRSEPSEVHVPRAKAAGPAAGAGHLSSIVTKRAAPRSGCSRSIANLRDVIHGSKRHPGQPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGGCGGLGAVGTAAHDADGGADGVGGVVSSFVGTLRPGTPGPAWAGHGLPYSGSMRAGSVRCTPPRSPNVLLERNGSVAAGHRASCEENAKAGASKGSGGLSCHRCGEQFGKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLSRFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGAGGGSSGSSSLCASDRCAVCRIIRHGFSARKEGKAGVGVFTTSTSGRAFESIEAPPAGDDGEPAAARKALLVCRVIAGRVHKPLENLREFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKP >PVH39547 pep chromosome:PHallii_v3.1:5:58913385:58914541:-1 gene:PAHAL_5G533300 transcript:PVH39547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPAAGFISTKTWRAVRRANRLGGPFVGLVWWCPTPTKWTPCCSVHWGIAGNANEDLQIGDVTIPQHWAHLSLWNWQRHGHGPANELQLEAAGDYTRQFGFLNFSNYTVVGHGSSATNTLNSIWYQPEEIFPASGTTAGAAPARILGPRQQALLRPRREARGPGAAGVPAPPAQGGAGAQGLQRQRVHRRRQLPAVPPGPFRVHPRGDGERRVALVAHQLGVPFLTIRSLSDLAGGGSSLSNEAATFLAIAAQNAVDVMLKFVPLLAAAGENARLLPEDV >PAN26587 pep chromosome:PHallii_v3.1:5:1519325:1520808:-1 gene:PAHAL_5G023800 transcript:PAN26587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGAVASMLALALLLGTFAAIPTGVQSIGVCYGVNGGSLPSAADVVQLYQSNGINLMRIYFPDANALQALSGTGIGLIMDVPNEKLGSLASDPSAAAAWVRDNVQAFPGVSFRYIAVGNEVAGGDTASILPAMRNIDGALADAGLGAVKVSTAVQSGVTQGFPPSEGSFSQGHMGPIAQYLQSTGAPLLANVYPYFSYIGNKGQIDISYALFTSPGTVVQDGSNAYQNLFDALVDTFYSALENAGAGNVGIVVSESGWPSAGGDAATTDNAQTYNQNLINHVGQGTPKRPGAIETYIFAMFNEDQKPGAETERHFGLFNPDKSPAYPIRF >PAN30248 pep chromosome:PHallii_v3.1:5:39664917:39668662:-1 gene:PAHAL_5G354300 transcript:PAN30248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIMLAGKSELSIILCLSTGSETCSKQLPVDVQKIVDTYQLNPFTAKVSMCSATSKEEWEEQCKLWPTSYHPAHETCMLIYSLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PAN30246 pep chromosome:PHallii_v3.1:5:39664596:39670517:-1 gene:PAHAL_5G354300 transcript:PAN30246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIMLAGKSELSIILCLSTGSETCSKQLPVDVQKIVDTYQLNPFTAKVSMCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PAN30242 pep chromosome:PHallii_v3.1:5:39664595:39670517:-1 gene:PAHAL_5G354300 transcript:PAN30242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PAN30237 pep chromosome:PHallii_v3.1:5:39664645:39670253:-1 gene:PAHAL_5G354300 transcript:PAN30237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PAN30255 pep chromosome:PHallii_v3.1:5:39664917:39668262:-1 gene:PAHAL_5G354300 transcript:PAN30255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PVH38791 pep chromosome:PHallii_v3.1:5:39664917:39668262:-1 gene:PAHAL_5G354300 transcript:PVH38791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PAN30252 pep chromosome:PHallii_v3.1:5:39664917:39668262:-1 gene:PAHAL_5G354300 transcript:PAN30252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHETCMLIYSLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PVH38794 pep chromosome:PHallii_v3.1:5:39664645:39670253:-1 gene:PAHAL_5G354300 transcript:PVH38794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHETCMLIYSLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PAN30243 pep chromosome:PHallii_v3.1:5:39664595:39671163:-1 gene:PAHAL_5G354300 transcript:PAN30243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIMLAGKSELSIILCLSTGSETCSKQLPVDVQKIVDTYQLNPFTAKVSMCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PVH38795 pep chromosome:PHallii_v3.1:5:39664917:39668262:-1 gene:PAHAL_5G354300 transcript:PVH38795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHETCMLIYSLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PAN30239 pep chromosome:PHallii_v3.1:5:39664917:39668262:-1 gene:PAHAL_5G354300 transcript:PAN30239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PAN30257 pep chromosome:PHallii_v3.1:5:39664596:39670546:-1 gene:PAHAL_5G354300 transcript:PAN30257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PAN30241 pep chromosome:PHallii_v3.1:5:39664645:39670253:-1 gene:PAHAL_5G354300 transcript:PAN30241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PAN30244 pep chromosome:PHallii_v3.1:5:39664645:39670253:-1 gene:PAHAL_5G354300 transcript:PAN30244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIMLAGKSELSIILCLSTGSETCSKQLPVDVQKIVDTYQLNPFTAKVSMCSATSKEEWEEQCKLWPTSYHPAHETCMLIYSLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PVH38792 pep chromosome:PHallii_v3.1:5:39664645:39670253:-1 gene:PAHAL_5G354300 transcript:PVH38792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PAN30256 pep chromosome:PHallii_v3.1:5:39664917:39668262:-1 gene:PAHAL_5G354300 transcript:PAN30256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PAN30240 pep chromosome:PHallii_v3.1:5:39664917:39668262:-1 gene:PAHAL_5G354300 transcript:PAN30240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAMCAMALVHHRFKRVFYAFPNPVTGALGGVYMLHGKKSLNHHYNVFRISVPEAYLNGLSNCSREC >PVH38793 pep chromosome:PHallii_v3.1:5:39664975:39668262:-1 gene:PAHAL_5G354300 transcript:PVH38793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHETCMLIYSLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PAN30253 pep chromosome:PHallii_v3.1:5:39664645:39670253:-1 gene:PAHAL_5G354300 transcript:PAN30253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PAN30238 pep chromosome:PHallii_v3.1:5:39664595:39671163:-1 gene:PAHAL_5G354300 transcript:PAN30238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHDLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PAN30254 pep chromosome:PHallii_v3.1:5:39664975:39668262:-1 gene:PAHAL_5G354300 transcript:PAN30254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSATSKEEWEEQCKLWPTSYHPAHETCMLIYSLGIVRGFREEELPSIFNCMKIAIQLSKMGNAVIIVDPSSTQIIAKATDQTHQHADDACSLNESTDNDSNLLLPLSFLSKCSRLNMEVSCINPWGWTKQWTTGQKPLPSEGCFAWHPLRHAAMVAIANAAERDRMMFPSTSITKPDSNCNRENYSDKEPAKRLKTDTKMSIDACFCKFLITVTYLVLHKTGNDTYCFLYFFFDKIKFGYQDKEQSINKSCSSDLSETTRPYLSTGFDIYLVWEPCAIYWSTRWSLHVAWEEKSKSSLQRI >PAN33100 pep chromosome:PHallii_v3.1:5:58915371:58921417:-1 gene:PAHAL_5G533400 transcript:PAN33100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGEFKSSLLQQMMWSGGTDSKNMMSSLMPCAEEQEASNKIPPCLSSSSSVLLPQHLLQISSGQLPPEVNSTTTSLATSSDLHDGRESNMPESWSQLLLGRLVGDHERYSAATALLSKRLEEAGPMPQAAAAAYNLYGHGGGEEIQQTSGTNKSQAPEVKNHHSDNSSEGNSTASGSAPKKARVQTSSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETICYIRFLLSQIEALSFPYLGGHGNGNSMQQHTVATEEVNGGGGDDEGSSKDLRSRGLCLVPVSCTSHLAGDDSGASDFWAVAAAPPPPPPLGGIIWR >PAN33101 pep chromosome:PHallii_v3.1:5:58915387:58921395:-1 gene:PAHAL_5G533400 transcript:PAN33101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGEFKSSLLQQMMWSGGTDSKNMMSSLMPCAEEQEASNKIPPCLSSSSSVLLPQHLLQISSGQLPPEVNSTTTSLATSSDLHDGRESNMPESWSQLLLGRLVGDHERYSAATALLSKRLEEAGPMPQAAAAAYNLYGHGGGEEIQQTSGTNKSQVSQQTLLASSPRSCITTSLGSNRLDFSNSTSVQAPEVKNHHSDNSSEGNSTASGSAPKKARVQTSSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETICYIRFLLSQIEALSFPYLGGHGNGNSMQQHTVATEEVNGGGGDDEGSSKDLRSRGLCLVPVSCTSHLAGDDSGASDFWAVAAAPPPPPPLGGIIWR >PAN31404 pep chromosome:PHallii_v3.1:5:51442133:51444395:1 gene:PAHAL_5G417100 transcript:PAN31404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGERSRPTRGLGGGGGVFCNAPLARATQGRWCGCAGKRILFWSSPQQPSSQAALGETIVVESASVPLRLPSRIQWLGGEHPLPCDEAASPWTRYWYKGTTLDAVRALLILCKQSSLRPPNLKVEITWSRKTCDSSM >PAN31405 pep chromosome:PHallii_v3.1:5:51442133:51444395:1 gene:PAHAL_5G417100 transcript:PAN31405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGERSRPTRGLGGGGGVFCNAPLARATQGRWCGCAGKRILFWSSPQQPSSQAALGETIVVESASVPLRLPSRIQWLGGEHPLPCDEAASPWTRYWYKGTTLDAVRALLILCKQSSLRPPNLKVEITWRWVAKLVIHQCEVQALSDPYQWSWKSCANSAA >PAN30876 pep chromosome:PHallii_v3.1:5:44259436:44264120:1 gene:PAHAL_5G369200 transcript:PAN30876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNTSSSSSGGGGAGAGTEQRGLGLVEVQAAAAALRRSEVFHVVKELVGFVLYMHHQIPSVLQSLENEFASLKEEMIKYNTRKREVRCRIKKHEKLMNGISTLLCALQQALDEVSSIEGVVLILGGSLVRPLFVYDITISHGTFDSGSAKDQALTKLAQSVSRKAIRALVSCGAGSLSYTGPSKLFLLVRCPSTLNLPLDFLPKRDFRYSKKVVPLQMHIKCSKAGCQWNNQHHMPIANAPCSTSESSPSDAIWFQCKHTIRGLPGKASLEG >PAN30877 pep chromosome:PHallii_v3.1:5:44259436:44264120:1 gene:PAHAL_5G369200 transcript:PAN30877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNTSSSSSGGGGAGAGTEQRGLGLVEVQAAAAALRRSEVFHVVKELVGFVLYMHHQIPSVLQSLENEFASLKEEMTEMTLQPAELKPSDQIKYNTRKREVRCRIKKHEKLMNGISTLLCALQQALDEVSSIEGVVLILGGSLVRPLFVYDITISHGTFDSGSAKDQALTKLAQSVSRKAIRALVSCGAGSLSYTGPSKLFLLVRCPSTLNLPLDFLPKRDFRYSKKVVPLQMHIKCSKAGCQWNNQHHMPIANAPCSTSESSPSDAIWFQCKHTIRGLPGKASLEG >PAN31071 pep chromosome:PHallii_v3.1:5:49034305:49036023:-1 gene:PAHAL_5G393200 transcript:PAN31071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHASAMAPEAAGADGSRGSDMEAAARSKPRKRKKSRPPPMEAAAQSKPTKRKKKVASSSAAAAGPATPSLPSGGDGTGDGQQQQLAAGDDGQQQRGKQRVVWTEELHDMFLKAYNILGEDAVPKKILALMNVDGITRENVASHLQKYRLSLKQEEKRVPDKGDERPNLQAEASSSQTLLPTTPSPHPPPAQAQEPAPSSSLHPLPPQAPFASLNVSQLQVGHHGQQVLQTPPDCLGSNSTATDLLLGPGFPMAQPIQQRLFTPPPPLEATVATRRKLFKKQQEAGRDNAAASGYSSVLVGPRARATKEEEGQGPPAEPEAAEIAAGPEEGQGRASEPGAAAAGSVSPPGSSIHGQYWKIDDAEVSWDFGFLWYPTAAAARNKP >PVH39546 pep chromosome:PHallii_v3.1:5:58899875:58906353:-1 gene:PAHAL_5G533100 transcript:PVH39546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAPTYSAIIAHTAAFLAELVADPLLRRHLLSAAAASDGAGGQQQHPAATLQALSLVSDALDTAASASPSPSSLRAAERLLQSLPTATPLSCLLLALACAARRRGGAPAAAAVLDLFALDPALARHELAPAAFEALFAPRLLPVMRHFAARRASATAAAAAAAAGNGDEDSRSDEATALSAMRVLSMMSGAQAQEMRALEREYEMVLDANCRAYALYLRKILEAGEASTVSSPPHPPELVFGVGADEDDRGDDEDAPDNDESASSQNGFRYNPMWAETEEQGDLYPRRQGSVKGRRDLMRPPSLYPQRVPPHLIVQQQQRSPPVGRGSPVSRLRAEHSQSPAAPSDDSMEESSSELGAGKEEKRAASPPSSEPRPHADDALLSPDPASSPARGDADPPQPLPTPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLHRGNATCPITRQRLHGAHLPKTNYVLKRLIAAWRDQSQQHPSTPTAPAAGTMDSPAPPFKTSSPSPDTSASHASAPSPTSVIAQATLETAVGELRAAVSCLCTSEDLAESEKSVLKIDRLWREAGAEQAVLAALARPAVINGFVEILFNSVSAQVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLVEAVVLICLLSPTPEQLVEMDMAEALVSTIRRGDEDPLKMCIKPKAASVILLSQVLIEGGTDSSTSPVPRSALLSERFIRSVAASLEAGQVEERLAAMRILLRCIWEDGHCRSSIAEKSSLGAVLDAFHAVGDADKFDIVRFLYELLKLKKRSAAERVLRAIKDGGSFSMMHTLLVHLQSAPPEHSPVVAGLLLQLDLLLEPRKLSMYREEAVDCLIQCLKNADFPRSQLLAAETIMCLPGKFSSSGRPLARSTLLKLARVKERHRQSQDLSVVRADGGEDEMEEEKAASEWERKTAYALVSHEFGLVFEALSECLKSKNAELFTTSLVCATWLVYMLSLLPDTGVLGAARVCLLRQFVIVLRSAKHGSDRVLAMVALRSFMNDREGMHDITTYIKDVLKTLRELKKSSGLAFEMLKLLSDGQESSVDMWNHKEINLVDCSSNGEVTSIVYLKNHIFSGHSDGTLKVWEGSENILRLVHEAQEHTKAITSLSVLHSEEKFYSGSLDRTIRVWQFRDGVLRCVEIHDTRDPVQNLAVANAMACFVPQGAGVKLLSWNGGSKLLNPSKYVRSMALVHGKLFCGCNDSSIQEIDLASGTLGVIQSGNKRILGKANPIYSLQVHDGLLYTGSTPSMDGASVKVWNCANYNLVGSMPSSMEARSLVVSADLIYLGSRNGAVEIWSREKLTRIGTLQAGGPSCRVQCMAVDGDGDVLVVGTSDGRIQAWGLT >PAN28022 pep chromosome:PHallii_v3.1:5:7508510:7511552:-1 gene:PAHAL_5G125500 transcript:PAN28022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMWEDGFCRPRVAECLEDIDGEDPVRKAFIKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPPEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGASPTPPFPLKQPAPPAARPPPQLFNWPGQQPPQLPPGAGASPLFPPGPAAAFHPSAARPMPPFPGGGKDEGHMFHLPPGHHGGKPPHMDEHHQQAMGPGGGEAPDGDLRWPNGLSFFTALTGRADDAKLLFGGPGGGAPDDEKAATDAAQTGHGGAENVEEYLSLESHSNKARRVESAAQSTKFKRSFTLPARMSSSASTSPSVSASTTPAPPQQQQGTMEYRGPHEGGVYSDLMETFLE >PAN28023 pep chromosome:PHallii_v3.1:5:7508195:7512991:-1 gene:PAHAL_5G125500 transcript:PAN28023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGAAGGGGDHARSKEAAGMMALHEALRNVCLSSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFIKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPPEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGASPTPPFPLKQPAPPAARPPPQLFNWPGQQPPQLPPGAGASPLFPPGPAAAFHPSAARPMPPFPGGGKDEGHMFHLPPGHHGGKPPHMDEHHQQAMGPGGGEAPDGDLRWPNGLSFFTALTGRADDAKLLFGGPGGGAPDDEKAATDAAQTGHGGAENVEEYLSLESHSNKARRVESAAQSTKFKRSFTLPARMSSSASTSPSVSASTTPAPPQQQQGTMEYRGPHEGGVYSDLMETFLE >PAN32115 pep chromosome:PHallii_v3.1:5:54635343:54636259:-1 gene:PAHAL_5G465000 transcript:PAN32115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRRGRVFDPFADFWDPFDVFRSVVPAASTGRDTAAFANARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSKEKEDKNDRWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >PAN27675 pep chromosome:PHallii_v3.1:5:5977262:5981176:-1 gene:PAHAL_5G097400 transcript:PAN27675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFFGSFLSESASSQNLFGHPDVERCPFLRNINGATTLSFTSALPVAARGGKGPIFEDGPGFESAFKLFHGRDGIVPLSERSYVPDENHNESINVKTEPALPFNPLAARAATISLSAFGPFGFGFFNGKGKRQNKKPNNLDQSHKKPKTPDQSSMKQKGVNPPSHEAFSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAVVAVRAALARTALVKSLRPQPLPSKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFLAMLRKSVVMPRTAMVFTIAASIVGQTIGSRAERIRLRNLAAKGAVDSTTTAAMYPNKNGNCSNTEGKAWDPLATKMADPAARRASAPTPSMCF >PVH38020 pep chromosome:PHallii_v3.1:5:9058290:9061700:1 gene:PAHAL_5G151000 transcript:PVH38020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRPPPRTQDFFPAPALSLSLAGGFGRNEPAASGGEEVEFGDEGGGGIRLRPGEAAEISSENTAAGSQSGGAWSGGEEAAGHGDDGGDNKRRKSYHRHNAEQIKAMEAVFKESPHPDEKQRQQLSQELGLSTRQVKFWFQNRRTQIKATQERHENALLKSELEKLQEENRAMRELAKKSPRCPGCGAAAASTEEQQLRLENAMLRAEIERLLGTLGNPAADKLAAPASPSRSARAIQPIGSGSGSVADGCGGVVGLSGHDRTRILELAGRALCELTTMCSSGEPLWVRSVETGRDVLNYDEHVRLFQCGDDPAGDQRAGWSVEVSRETGVVHLDTTQLVNAFSSLIEKWSMFAEVQTLTPLIPTREVHFLRHCKKLTADKWAVVDVSLEDVELDAQTSSTACKCLKKPSGCVIEEQTNGRCKVTWVEHATCRNAAVPLVYRPAAASGLAFGARRWVAALRLQCERMVFSMATNIPTRDSTARAPDGIEPVPRHRRIAGPGMERSSGLASNLGGGGGGAGHGVRVTSRRNVGDPGEPQGLIACAVLSAWLPVNPAALFDFLRDESRRHEWDVMLLPGRPVRSCVSVAKGKDRGNCVTAYAGTSPAGDQDGVWILQDSSTSPCESTVAYAAVDAAALRPVIDGHDSSGVAVLPCGFAVMPDGLESRPAVFTSCRKEEEDRAAAEAGGALVTVAFQALASPSPPDAAETVAGLAACALGNIKRALRCEGR >PAN26391 pep chromosome:PHallii_v3.1:5:622042:625584:1 gene:PAHAL_5G009100 transcript:PAN26391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKSPGDSSSSSGGGEQQAVVLANASDVSHFGYFQRPAAREFIVFVARTVALRTPAGRRQSVQHEEYKVHCYNQNGLCAIAFTDDHYPVRSAFSLLNMVLEEYQKTFGESWRTTKEDATQPWQFLNDALTKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCAIL >PAN31079 pep chromosome:PHallii_v3.1:5:49139712:49146880:1 gene:PAHAL_5G394200 transcript:PAN31079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPGVASRAADRFYCPPPRRHLLEKQQKQHQPLTAAPAVVEEPAKPTQDLRRHEPPTPPAPAATNLESFIASTAVRVPARRHPRTGARGRGAGAGGHGEAPYYELADLWDAFGEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYGSRPPPSSERRFNEDSDDDSAPDTSSDVSSASENERFIGITTQCLAENICTDQGFSSDDSESSNQESSPIFQYVEHDAPYGRQPLADMISVFSSKFPDLKTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTAPDGMLTGHPETNNFHNNKIADVPGKITLPLIGLASYKFHGSMWMPNQHHEQQLTTSLLKAADDWLCQRQVDHPDYRFFLSH >PVH38943 pep chromosome:PHallii_v3.1:5:49139791:49146880:1 gene:PAHAL_5G394200 transcript:PVH38943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPGVASRAADRFYCPPPRRHLLEKQQKQHQPLTAAPAVVEEPAKPTQDLRRHEPPTPPAPAATNLESFIASTAVRVPARRHPRVRPLPPAPALLAARVFSCSTTDGVRSGCFSVRLQTGARGRGAGAGGHGEAPYYELADLWDAFGEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYGSRPPPSSERRFNEDSDDDSAPDTSSDVSSASENERFIGITTQCLAENICTDQGFSSDDSESSNQESSPIFQYVEHDAPYGRQPLADMISVFSSKFPDLKTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTAPDGMLTGHPETNNFHNNKIADVPGKITLPLIGLASYKFHGSMWMPNQHHEQQLTTSLLKAADDWLCQRQVDHPDYRFFLSH >PVH38834 pep chromosome:PHallii_v3.1:5:43237627:43246494:1 gene:PAHAL_5G365600 transcript:PVH38834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMLPFKVGDTAESRSFSLGFRGAWFRSKISLMCIRQGHLECLLEYLDFPDEKKTWTRLYKVPPGSRKRKSSESRMIMVRPTFPQWYREHEKPDQLPEANVVVVVSSPWKVGDLIEWWYTDCYWTGKIIELLGDNKVKIALHEEPLGEGGYYDADCKDLRPALDWSLEKGWSVPLSQENGKSWYTAQLIIQSTDSESSSSDQDIEQSCDGEEVQKCMNGPSDVPAEAMGSGAKLSEEVSDEIFINNQRGGKEESPECLNRASNMHQEVTSSKEELPPDQNGHCCITSETNSLIAKRCESLEALSDDQSSPISLKRWKTSSGDISVEGSPDPVDDAIDDAIIEVEKVANKIRRLENLLLSVGSPSKVVKPSWKFLEDASAKHK >PVH38831 pep chromosome:PHallii_v3.1:5:43239936:43245383:1 gene:PAHAL_5G365600 transcript:PVH38831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSLCVLNYSFQISLMCIRQGHLECLLEYLDFPDEKKTWTRLYKVPPGSRKRKSSESRMIMVRPTFPQWYREHEKPDQLPEANVVVVVSSPWKVGDLIEWWYTDCYWTGKIIELLGDNKVKIALHEEPLGEGGYYDADCKDLRPALDWSLEKGWSVPLSQENGKSWYTAQLIIQSTDSESSSSDQDIEQSCDGEEVQKCMNGPSDVPAEAMGSGAKLSEEVSDEIFINNQRGGKEESPECLNRASNMHQEVTSSKEELPPDQNGHCCITSETNSLIAKRCESLEALSDDQSSPISLKRWKTSSGDISVEGSPDPVDDAIDDAIIEVEKVANKIRRLENLLLSVGSPSKVVKPSWKFLEDASAKHK >PVH38833 pep chromosome:PHallii_v3.1:5:43239058:43246604:1 gene:PAHAL_5G365600 transcript:PVH38833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSLCVLNYSFQISLMCIRQGHLECLLEYLDFPDEKKTWTRLYKVPPGSRKRKSSESRMIMVRPTFPQWYREHEKPDQLPEANVVVVVSSPWKVGDLIEWWYTDCYWTGKIIELLGDNKVKIALHEEPLGEGGYYDADCKDLRPALDWSLEKGWSVPLSQENGKSWYTAQLIIQSTDSESSSSDQDIEQSCDGEEVQKCMNGPSDVPAEAMGSGAKLSEEVSDEIFINNQRGGKEESPECLNRASNMHQEVTSSKEELPPDQNGHCCITSETNSLIAKRCESLEALSDDQSSPISLKRWKTSSGDISVEGSPDPVDDAIDDAIIEVEKVANKIRRLENLLLSVGSPSKVVKPSWKFLEDASAKHK >PVH38832 pep chromosome:PHallii_v3.1:5:43237627:43246494:1 gene:PAHAL_5G365600 transcript:PVH38832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMLPFKVGDTAESRSFSLGFRGAWFRSKISLMCIRQGHLECLLEYLDFPDEKKTWTRLYKVPPGSRKRKSSESRMIMVRPTFPQWYREHEKPDQLPEANVVVVVSSPWKVGDLIEWWYTDCYWTGKIIELLGDNKVKIALHEEPLGEGGYYDADCKDLRPALDWSLEKGWSVPLSQENGKSWYTAQLIIQSTDSESSSSDQDIEQSCDGEEVQKCMNGPSDVPAEAMGSGAKLSEEVSDEIFINNQRGGKEESPECLNRASNMHQEVTSSKEELPPDQNGHCCITSETNSLIAKRCESLEALSDDQSSPISLKRWKTSSGDISVEGSPDPVDDAIDDAIIEVEKVANKIRRLENLLLSVGSPSKVVKPSWKFLEDASAKHK >PVH38130 pep chromosome:PHallii_v3.1:5:11126274:11126796:-1 gene:PAHAL_5G184500 transcript:PVH38130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSRRRQYSLKEDLSSLRAFILITFWLSSPIWGLDAPPLSTAFNVTLRAANRRLHDVCYHHGEAAVRYSGFAVPRGRTRAFCVGRKEARDVPVVAWADGLGVPRPLRERVAADARAGAVELEVEVRLFRGDDGSARPTLLSCKVTAGEQSRRA >PAN29795 pep chromosome:PHallii_v3.1:5:15863112:15866380:-1 gene:PAHAL_5G250300 transcript:PAN29795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQ >PAN29801 pep chromosome:PHallii_v3.1:5:15864477:15866333:-1 gene:PAHAL_5G250300 transcript:PAN29801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQVTNLYFKMQEVESAPSPTIYSAVILAVKLVASVHCGKAIHACVVKKGLLLSKSVIQSLLNMYSSFDDGGTTDSLLRLLAECSK >PAN29803 pep chromosome:PHallii_v3.1:5:15864477:15866333:-1 gene:PAHAL_5G250300 transcript:PAN29803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQVTNLYFKMQEVESAPSPTIYSAVILAVKLVASVHCGKAIHACVVKKGLLLSKSVIQSLLNMYSSFDDGGTTDSLLRLLAECSK >PAN29802 pep chromosome:PHallii_v3.1:5:15864477:15866333:-1 gene:PAHAL_5G250300 transcript:PAN29802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQVTNLYFKMQEVESAPSPTIYSAVILAVKLVASVHCGKAIHACVVKKGLLLSKSVIQSLLNMYSSFDDGGTTDSLLRLLAECSK >PAN29794 pep chromosome:PHallii_v3.1:5:15863117:15866380:-1 gene:PAHAL_5G250300 transcript:PAN29794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQ >PAN29798 pep chromosome:PHallii_v3.1:5:15864477:15866333:-1 gene:PAHAL_5G250300 transcript:PAN29798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQVTNLYFKMQEVESAPSPTIYSAVILAVKLVASVHCGKAIHACVVKKGLLLSKSVIQSLLNMYSSFDDGGTTDSLLRLLAECSK >PAN29796 pep chromosome:PHallii_v3.1:5:15864332:15866333:-1 gene:PAHAL_5G250300 transcript:PAN29796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQ >PAN29793 pep chromosome:PHallii_v3.1:5:15864332:15866333:-1 gene:PAHAL_5G250300 transcript:PAN29793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQ >PAN29792 pep chromosome:PHallii_v3.1:5:15863117:15866380:-1 gene:PAHAL_5G250300 transcript:PAN29792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPIPAITSPHPSKPHDAPAPGPRPAASSLHAALGSLSQHAHYGALRDAFALVAHAERQSSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALADAERAFSALPGRDRNAYAWAAVIGLWSRAGLHARALDGFAAMLEAGVPADNFVLPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNGGIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELDVVDGGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEGVFEQMEERDIVTWNLMIAGYFQNGQIDKAFDTCRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAIRNDLHSDRTVACGLIDLYASTGRIEHARKVFNAMSQRDPVLWKVMISTYADRGMNSEALKLLDQMQLEGMSPTAACWDSVISAFIRNGQFEDALDIFNQMLLTKTRPNLRTWSLLISGLAQNGMHQQ >PAN28797 pep chromosome:PHallii_v3.1:5:10770372:10777446:-1 gene:PAHAL_5G178700 transcript:PAN28797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWEMEVDGESSGAQGKTDDPTVLQENYGFKNESCGICGDIVIDRGVLDCCQHWFCYTCIDNWAAITNRCPLCKSEFQHITSTPVYGTIGAIDEDEYSLTSCDDDWYLQEESSTLSFPSYYIDAEAVVCLDDGDCKIRSGLVAAEDDSTLDTSIACDCCDKWYHAICVGFNPEVTSENSWLCPRCVSSEVKHTADVILKQNLSEECVIGSDRTSTDASFSGRVSVSVADEGETALVVSMVSVQSETRSDLSEASLGLKTTHEAFNYSPYSSHSKDAFTHSTAADSSTLRNTDSFSISQNKSSEMNIVRTLYSEPTEMSLQFSPIREPAATILSTEQGNMSDEQLEVPKLVSCPVIGNSKEAKNTGEDNAAQRSNDARPPVIKSPQPSSPDAVQQMKTAQNMQPPPRHDGHESNSMKEEKDLESGSEVSHPAKKAKLEVHEQDTNLIGNAVFSSTHSHTTNSVKDTVDDMSEFLAQHKSVPDIMNIVEGEAYIRDPGRELAKPVGRRAGDKPGLRVKKIFRKEEGKQSSAMVQKLQKEIREVVRDTGTNILEKDGSFDEKLLTAFRAAIGKSADGTAKNTNQLIRTRRSLLQKGKKRENLTKKLYGTSTGRRRSDWHRDWEVEFWKYRCSPGTNPEKIETLQSVLQLLKRSSEMDKESAQGKKGESNNSILSRLYLADASVVPRKDDIRPLSALEGCAPLDKSSQIRANNSKSPNIPAAGIDATKISSPSSTGKISSSSTLNKEASSRRENRNSQPSMDKKNHSSGDVKEDKRKWALEILARKNASSVTSKDQTGDNDALKGNFPLLAQLPVDMRPKLAAGRNNKVPISVRQAQLHRIAEHYLQKANLDVIRRCADTELAIADAVNVEKDIYERSSSKSIYVNLCSQASRQPAKEKSDKDTSTLTKKAELGSDLISQKVTSENTNVSGSDMEDALHRAVVSDLESELGNVIASEQTVHKHTVSFSSAEEALRKAGLFDSPPNSPEREITSVEGECRLEERSKNLQSYHNYGVKNVSSLKDDISSLPNDLDAANCQNLNTVSCQQPQPNSEEQQKLAAQEEAEDVTANKTNAMNLAETDRCSEQCEKSSGPGSEISVDRNMPDRVAGNAETSRDVEKAASSLPNQPREDGLSRDGEVIRNPKNLEPTKEKSSSDKPSLNSKRPKGDKPTHIAEGGDDPKKQAPDPASNNTPDASSSTYKKVEMFVKEHIRPLCKSGVISVDQYRWAVAKTTDKVMSFHHDAKNASFLIKEGDKVKKLALQYVEAAQQKIN >PAN28795 pep chromosome:PHallii_v3.1:5:10770372:10777446:-1 gene:PAHAL_5G178700 transcript:PAN28795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWEMEVDGESSGAQGKTDDPENYGFKNESCGICGDIVIDRGVLDCCQHWFCYTCIDNWAAITNRCPLCKSEFQHITSTPVYGTIGAIDEDEYSLTSCDDDWYLQEESSTLSFPSYYIDAEAVVCLDDGDCKIRSGLVAAEDDSTLDTSIACDCCDKWYHAICVGFNPEVTSENSWLCPRCVSSEVKHTADVILKQNLSEECVIGSDRTSTDASFSGRVSVSVADEGETALVVSMVSVQSETRSDLSEASLGLKTTHEAFNYSPYSSHSKDAFTHSTAADSSTLRNTDSFSISQNKSSEMNIVRTLYSEPTEMSLQFSPIREPAATILSTEQGNMSDEQLEVPKLVSCPVIGNSKEAKNTGEDNAAQRSNDARPPVIKSPQPSSPDAVQQMKTAQNMQPPPRHDGHESNSMKEEKDLESGSEVSHPAKKAKLEVHEQDTNLIGNAVFSSTHSHTTNSVKDTVDDMSEFLAQHKSVPDIMNIVEGEAYIRDPGRELAKPVGRRAGDKPGLRVKKIFRKEEGKQSSAMVQKLQKEIREVVRDTGTNILEKDGSFDEKLLTAFRAAIGKSADGTAKNTNQLIRTRRSLLQKGKKRENLTKKLYGTSTGRRRSDWHRDWEVEFWKYRCSPGTNPEKIETLQSVLQLLKRSSEMDKESAQGKKGESNNSILSRLYLADASVVPRKDDIRPLSALEGCAPLDKSSQIRANNSKSPNIPAAGIDATKISSPSSTGKISSSSTLNKEASSRRENRNSQPSMDKKNHSSGDVKEDKRKWALEILARKNASSVTSKDQTGDNDALKGNFPLLAQLPVDMRPKLAAGRNNKVPISVRQAQLHRIAEHYLQKANLDVIRRCADTELAIADAVNVEKDIYERSSSKSIYVNLCSQASRQPAKEKSDKDTSTLTKKAELGSDLISQKVTSENTNVSGSDMEDALHRAVVSDLESELGNVIASEQTVHKHTVSFSSAEEALRKAGLFDSPPNSPEREITSVEGECRLEERSKNLQSYHNYGVKNVSSLKDDISSLPNDLDAANCQNLNTVSCQQPQPNSEEQQKLAAQEEAEDVTANKTNAMNLAETDRCSEQCEKSSGPGSEISVDRNMPDRVAGNAETSRDVEKAASSLPNQPREDGLSRDGEVIRNPKNLEPTKEKSSSDKPSLNSKRPKGDKPTHIAEGGDDPKKQAPDPASNNTPDASSSTYKKVEMFVKEHIRPLCKSGVISVDQYRWAVAKTTDKVMSFHHDAKNASFLIKEGDKVKKLALQYVEAAQQKIN >PAN28794 pep chromosome:PHallii_v3.1:5:10770137:10777826:-1 gene:PAHAL_5G178700 transcript:PAN28794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWEMEVDGESSGAQGKTDDPENYGFKNESCGICGDIVIDRGVLDCCQHWFCYTCIDNWAAITNRCPLCKSEFQHITSTPVYGTIGAIDEDEYSLTSCDDDWYLQEESSTLSFPSYYIDAEAVVCLDDGDCKIRSGLVAAEDDSTLDTSIACDCCDKWYHAICVGFNPEVTSENSWLCPRCVSSEVKHTADVILKQNLSEECVIGSDRTSTDASFSGRVSVSVADEGETALVVSMVSVQSETRSDLSEASLGLKTTHEAFNYSPYSSHSKDAFTHSTAADSSTLRNTDSFSISQNKSSEMNIVRTLYSEPTEMSLQFSPIREPAATILSTEQGNMSDEQLEVPKLVSCPVIGNSKEAKNTGEDNAAQRSNDARPPVIKSPQPSSPVQQMKTAQNMQPPPRHDGHESNSMKEEKDLESGSEVSHPAKKAKLEVHEQDTNLIGNAVFSSTHSHTTNSVKDTVDDMSEFLAQHKSVPDIMNIVEGEAYIRDPGRELAKPVGRRAGDKPGLRVKKIFRKEEGKQSSAMVQKLQKEIREVVRDTGTNILEKDGSFDEKLLTAFRAAIGKSADGTAKNTNQLIRTRRSLLQKGKKRENLTKKLYGTSTGRRRSDWHRDWEVEFWKYRCSPGTNPEKIETLQSVLQLLKRSSEMDKESAQGKKGESNNSILSRLYLADASVVPRKDDIRPLSALEGCAPLDKSSQIRANNSKSPNIPAAGIDATKISSPSSTGKISSSSTLNKEASSRRENRNSQPSMDKKNHSSGDVKEDKRKWALEILARKNASSVTSKDQTGDNDALKGNFPLLAQLPVDMRPKLAAGRNNKVPISVRQAQLHRIAEHYLQKANLDVIRRCADTELAIADAVNVEKDIYERSSSKSIYVNLCSQASRQPAKEKSDKDTSTLTKKAELGSDLISQKVTSENTNVSGSDMEDALHRAVVSDLESELGNVIASEQTVHKHTVSFSSAEEALRKAGLFDSPPNSPEREITSVEGECRLEERSKNLQSYHNYGVKNVSSLKDDISSLPNDLDAANCQNLNTVSCQQPQPNSEEQQKLAAQEEAEDVTANKTNAMNLAETDRCSEQCEKSSGPGSEISVDRNMPDRVAGNAETSRDVEKAASSLPNQPREDGLSRDGEVIRNPKNLEPTKEKSSSDKPSLNSKRPKGDKPTHIAEGGDDPKKQAPDPASNNTPDASSSTYKKVEMFVKEHIRPLCKSGVISVDQYRWAVAKTTDKVMSFHHDAKNASFLIKEGDKVKKLALQYVEAAQQKIN >PAN28796 pep chromosome:PHallii_v3.1:5:10770137:10777826:-1 gene:PAHAL_5G178700 transcript:PAN28796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWEMEVDGESSGAQGKTDDPTVLQENYGFKNESCGICGDIVIDRGVLDCCQHWFCYTCIDNWAAITNRCPLCKSEFQHITSTPVYGTIGAIDEDEYSLTSCDDDWYLQEESSTLSFPSYYIDAEAVVCLDDGDCKIRSGLVAAEDDSTLDTSIACDCCDKWYHAICVGFNPEVTSENSWLCPRCVSSEVKHTADVILKQNLSEECVIGSDRTSTDASFSGRVSVSVADEGETALVVSMVSVQSETRSDLSEASLGLKTTHEAFNYSPYSSHSKDAFTHSTAADSSTLRNTDSFSISQNKSSEMNIVRTLYSEPTEMSLQFSPIREPAATILSTEQGNMSDEQLEVPKLVSCPVIGNSKEAKNTGEDNAAQRSNDARPPVIKSPQPSSPVQQMKTAQNMQPPPRHDGHESNSMKEEKDLESGSEVSHPAKKAKLEVHEQDTNLIGNAVFSSTHSHTTNSVKDTVDDMSEFLAQHKSVPDIMNIVEGEAYIRDPGRELAKPVGRRAGDKPGLRVKKIFRKEEGKQSSAMVQKLQKEIREVVRDTGTNILEKDGSFDEKLLTAFRAAIGKSADGTAKNTNQLIRTRRSLLQKGKKRENLTKKLYGTSTGRRRSDWHRDWEVEFWKYRCSPGTNPEKIETLQSVLQLLKRSSEMDKESAQGKKGESNNSILSRLYLADASVVPRKDDIRPLSALEGCAPLDKSSQIRANNSKSPNIPAAGIDATKISSPSSTGKISSSSTLNKEASSRRENRNSQPSMDKKNHSSGDVKEDKRKWALEILARKNASSVTSKDQTGDNDALKGNFPLLAQLPVDMRPKLAAGRNNKVPISVRQAQLHRIAEHYLQKANLDVIRRCADTELAIADAVNVEKDIYERSSSKSIYVNLCSQASRQPAKEKSDKDTSTLTKKAELGSDLISQKVTSENTNVSGSDMEDALHRAVVSDLESELGNVIASEQTVHKHTVSFSSAEEALRKAGLFDSPPNSPEREITSVEGECRLEERSKNLQSYHNYGVKNVSSLKDDISSLPNDLDAANCQNLNTVSCQQPQPNSEEQQKLAAQEEAEDVTANKTNAMNLAETDRCSEQCEKSSGPGSEISVDRNMPDRVAGNAETSRDVEKAASSLPNQPREDGLSRDGEVIRNPKNLEPTKEKSSSDKPSLNSKRPKGDKPTHIAEGGDDPKKQAPDPASNNTPDASSSTYKKVEMFVKEHIRPLCKSGVISVDQYRWAVAKTTDKVMSFHHDAKNASFLIKEGDKVKKLALQYVEAAQQKIN >PAN27624 pep chromosome:PHallii_v3.1:5:5744114:5746193:-1 gene:PAHAL_5G093200 transcript:PAN27624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRDEKRKLREARKEDADMERAQEQDRAPVRKHLQRRIEDIPVYTILEDADDRAFYKLLTQRRARIFEVASITILGVPVTPPELPSPAGVTEERLTFHAVKLVGRIRTVLLLLRETDMYFVAFNPSGDPTSTWFTFDDAPIPTFLNQVALPYDGRYGDLTKLEIGYYCVTEIIDVLSRYNSSNVTVKTNERARVLSCTAVMFSETMRLGDVQQFAMRLLHQGERRCVPRLLDKKIHSWGVYGAYGIRHLSGDHILHAIDKTNIRKLSRGCITQRKKNLKSGD >PAN29597 pep chromosome:PHallii_v3.1:5:14839674:14841140:1 gene:PAHAL_5G236900 transcript:PAN29597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH144 [Source:Projected from Arabidopsis thaliana (AT1G29950) UniProtKB/Swiss-Prot;Acc:Q9ASX9] MQRDPTAFVGNPSFAYGYEGDGCAANGPLGGQCNYRVPVSPAIGVPSGMTSPKIRSQLGAFEFQPSKVCPRNFIIFDHTDDKGRIVYHPALVNKLNPTNINAFPCRGEVICRSSDQDDGNLEQHASSFKEDTEEINALLSSESDEDSDEDDVMSTGRSPDPLELGPCESSSPPRFKKMRHFSGNGSDFNGSLENITHEETRKMVTVLRGIIPGGDQLDAPDVLEEAVRYLKFLKVEAEKLGVAGFNA >PAN29031 pep chromosome:PHallii_v3.1:5:11803230:11808198:-1 gene:PAHAL_5G195100 transcript:PAN29031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAVAAANGGPEAEERKAQRSYWEEHSRDLTLEAMMLDSRAAELDKEERPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVLALDFIESVIKKNESINAHYKNTSFICADVTSPDLMIEANSIDLIFSNWLLMYLSDEEIDKLVERMVKWLKVGGYIFFRESCFHQSGDLERKVNPTHYREPRFYTKVFKECQAFNQDGTSFKLSLVTFKCIEAYVDIKKDQNQICWLWKKVNSSEDGGFQSFLDNVQYKASGILRYERIFGDGYVSTGGVETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAEEYDTHVVGIDLSINMILFALERAVGRKCSVEFEVADCTTKTYPDHTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCKSPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKNAGFSHVIAEDRTDQFLGILQKELDKFEKNKDDFLSDFSQGDYDDIVNGWKAKQQRSSAGEQRWGLFIATK >PVH38807 pep chromosome:PHallii_v3.1:5:40568322:40569200:1 gene:PAHAL_5G357600 transcript:PVH38807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFPKIKKFNNNKATFPLFDALGELYDEMRTKQAEAEAADRAKEMEERERETREREAREKDAAQTSDFSIRRCISVLNTMEVTKEEKAKAYAIFIKRKENREAFICACEVDQESALIWLRSEMA >PAN29301 pep chromosome:PHallii_v3.1:5:13149654:13152941:1 gene:PAHAL_5G215500 transcript:PAN29301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPYKNDMTPVSPTNLSKKKKKKSPPAATTEAPFDRVRYCQVGSAPATMASNEAMWGSTSSGGAPACAFPTVPRVARSHAWDWVALLLLVAVDALLNVVEPFHRFVGAGMMADLGYPMKANTVPVWAVPVIAVIAPVVIFIVFYIRRRNVYDLHHAILGILFAVLITGVLTDAIKDAVGRPRPNFFWRCFPDGKAVYDSITTGVICHGDPKVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLLPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKGTPHFLGNCCTEDTLMKNNLQKRRI >PAN29300 pep chromosome:PHallii_v3.1:5:13149654:13152941:1 gene:PAHAL_5G215500 transcript:PAN29300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPYKNDMTPVSPTNLSKKKKKKSPPAATTEAPFDRVRYCQVGSAPATMASNEAMWGSTSSGGAPACAFPTVPRVARSHAWDWVALLLLVAVDALLNVVEPFHRFVGAGMMADLGYPMKANTVPVWAVPVIAVIAPVVIFIVFYIRRRNVYDLHHAILGILFAVLITGVLTDAIKDAVGRPRPNFFWRCFPDGKAVYDSITTGVICHGDPKVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLLPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKGFWPHAHFRFITEQEEESRGQRPAEAGGRTSHELDAMEAGRRDQLL >PVH38269 pep chromosome:PHallii_v3.1:5:13149654:13152941:1 gene:PAHAL_5G215500 transcript:PVH38269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPYKNDMTPVSPTNLSKKKKKKSPPAATTEAPFDRVRYCQVGSAPATMASNEAMWGSTSSGGAPACAFPTVPRVARSHAWDWVALLLLVAVDALLNVVEPFHRFVGAGMMADLGYPMKANTVPVWAVPVIAVIAPVVIFIVFYIRRRNVYDLHHAILAGILFAVLITGVLTDAIKDAVGRPRPNFFWRCFPDGKAVYDSITTGVICHGDPKVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLLPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKGFWPHAHFRFITEQEEESRGQRPAEAGGRTSHELDAMEAGRRDQLL >PVH38268 pep chromosome:PHallii_v3.1:5:13150519:13152312:1 gene:PAHAL_5G215500 transcript:PVH38268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPYKNDMTPVSPTNLSKKKKKKSPPAATTEAPFDRVRYCQVGSAPATMASNEAMWGSTSSGGAPACAFPTVPRVARSHAWDWVALLLLVAVDALLNVVEPFHRFVGAGMMADLGYPMKANTVPVWAVPVIAVIAPVVIFIVFYIRRRNVYDLHHAILAGILFAVLITGVLTDAIKDAVGRPRPNFFWRCFPDGKAVYDSITTGVICHGDPKVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLLPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKGTPHFLGNCCTEDTLMKNNLQKRRI >PAN31747 pep chromosome:PHallii_v3.1:5:52964666:52966160:-1 gene:PAHAL_5G441300 transcript:PAN31747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKSKILVVGATGYLGRHVVVASTRLGHPTFALVRDMAPSDAAKAALLKSFQDAGVTLVKGDLYDQASLVGAVRLADVVISTVGAQQIADQTRLIDAIKEAGNVKRFIPSEFGLDADRSDAVEPARSTFIITKAAIRRAAERAGVPYTLVWTGYFFGYGLPGIGQVLARSPPADKAVVLGGGDTRASFADEGDIGTYTVLAAGDPRAANTTLYVRPPANTLSHNELLALWEGKTGGAFERVYIPEDAVLKQIQEAPTPRDSILLSIGHAVHVKGEHEFEIDPSSGVDATELYPGVRYTTVDDYLNSLL >PAN32144 pep chromosome:PHallii_v3.1:5:54739916:54742921:1 gene:PAHAL_5G467200 transcript:PAN32144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPDLSRVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDIDPSLYESADDAAGALSPEQLEAVRRLHPSDAAADHEKDSIELRLARRCLERNIPYLGICRGSQVLNVACGGSLYQDVEHELGPAAAAVRHINYDDYDGHRHPVRVLPGTPLHEWFADDLAEGEDGGAQQLMVNSYHHQGVRRLAQRFVPMAFAPDGLVEGFYDPDAYNPGEGKFIMGLQFHPERMRKPGSDEFDYPGCARAYQEFVRAVVAYQEKQVAAVVPRSALPASPKLKKEMERRRKVIFRSFSLAKDKYLSGGRAHTKPAEQRDLDAGAEFLESNTASLSVQQEKRLKQMGATVRNASGYLNSLKLSDGREAAARALMAEMTVGQLSDLASFYQTMGRICSEVLDRKLQALHLHE >PAN26677 pep chromosome:PHallii_v3.1:5:1942902:1944380:-1 gene:PAHAL_5G030800 transcript:PAN26677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWDCLHHAHLMTAHECDIYPPGPRLPWRQRSIHLLRPQTVAMAASGRSREERWSLAGKTALVTGGSKGIGRAIVEELAGFGVRVHTCARGDADLQECLRRWGADGRLARVTGTACDVAARADRERLVAAAREELGGRLDILVNNAGQTMFRPATETTAEDYARLMATNLDSCFHLAQLAHPLLVAAASGGGEASSVVNVSSIAGFVSYPALSVYSATKAAMNQLTRSLAVEWANDNVRVNCVAPGGVRTDIASSSGLKLDPEVARKMGEAEMARAPLRRIGEPEDIASIVAFLCMPAASYITGQVICADGGRTIAA >PAN32148 pep chromosome:PHallii_v3.1:5:54748873:54750920:1 gene:PAHAL_5G467400 transcript:PAN32148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMHGHSAPRLFGRERTLHAALGGRRAADIILWRDKRASAAILAAATAAWGLFEVAEFHFLTLVCYAAMIGMLVFFIWSNASSFFNLPVPRVPDPETLLSERATRQAIQGAHRRLSRLVETLYDIACGKDIKMFILTVFSLYIASVIADCFSSLTLLYLVVLGAMTLPALYERYDSEVDHLVARGVHDLRTHFADMDSGVLRKIPRGAGAAAK >PVH38743 pep chromosome:PHallii_v3.1:5:37132955:37135139:1 gene:PAHAL_5G341400 transcript:PVH38743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMVNTRRTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQQIHAQPPPPPPPQPRDRRADFLRGHPPTLSHATDPLQADD >PAN32107 pep chromosome:PHallii_v3.1:5:54600232:54603067:-1 gene:PAHAL_5G463900 transcript:PAN32107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAEEETAHFGMNLVEGHCDSEDGLAGWAPSGSCTLSEHAEDPAPDALPPPLAATADDDDDEEEAVRRARKPSGRYVLAAHRRDEKDGLCRALSRAPRPKVTYRVAGWVGVGDGGAEGSHAVHVEVRVDDHRRVGGGVVVVEPGKWGDIKGSFRVDDDDEPPRSAKVYVHGPPAGVDLKVMDLQVCAVNKIPRLRHLRKKADRVRKRDVILKLSSRAEDGVSSVAGAHIQVIQVQNSFPIGSCITKAGIQNPEYVDFFTKHFDWAVLENELKWYYTEAVQGQVSYADADELIDFCDRHGKPVRGHCIFWAVENAVQPWVRALNSDKLRAAVEARLRGLVSRYAGRFPHYEVNNEMLHGAFFQQRLGDDANAHMFRETARIDPAPALFVNDYNVESANDPNATPEKYVALVTDLQRRGAPVGGIGIQGHVTHPVGDIICDALDKLAVTGLPVWVTELDVSAADEAVRADDLEIVLREAFAHPAVEGVMLWGFMQGHMWRSHGQLVNADGKLTEAGSRFAGLRREWTSHARGKVDASGKFKFRGFHGTYQVFLTTAAGEVKKQTFDVKKGDAPLVLDMNF >PAN33111 pep chromosome:PHallii_v3.1:5:58868414:58871988:-1 gene:PAHAL_5G532700 transcript:PAN33111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAYDREDGLAPAPPPHAADAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPSAEAVAPYCDGDHVFLLLYRELWYRHAHARLSPLTAAHRAESWTNYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTEDELQQLKQFDKAWNVYGVLNYLQALVEKSMITQILEREKEGLEQFTATDGYDYEGGSNVLKVLGYYSMIGLLRIHCLLGDYHTGLKCLAPIDLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVDATREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSTQLKEKYNDKMTKMQRYDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAQYMEVDEATLRSILMTYKHKMHAVDSDGKIVSSADFDFYIVEDVIHVVESKSTKSHGDYFLRQILKFEEMIGELEKVQFD >PVH37566 pep chromosome:PHallii_v3.1:5:2049283:2049595:1 gene:PAHAL_5G032900 transcript:PVH37566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPPAFSTGRTGRAPYQIAPDHRPPDPVKLLQLRPGLGAAHCCAGCCTRGRPWLQQHC >PAN31692 pep chromosome:PHallii_v3.1:5:52747718:52753507:-1 gene:PAHAL_5G437700 transcript:PAN31692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MEAAAELALRLRAAANGRLARYEPLALVAAPLLALLVARWVHAAASAVADRGLVAIAIAAVKLLPGVSGYIAAEKKKVVDKMQSGGTSSKNNRRAELPTIGLGEEVIKDLETLKAKDVDWQGKCSGTVYIAGSESEGHFELINKSYSMFSHTNPLHQDVFKSVANMEAEVVAMTAALLGSKEKSSGGQVCGNMTSGGTESILLAVKTSRDYMRSKKGITKPEMIIAESAHSAYDKAAEYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFSVKGVTSISSDVHKYGLAPKGTSVVLYRNHEIRKHQFVAVTEWTGGLYISPTIAGSRPGGLIAGAWAAMMSLGLNGYLDSTSRIMEVSKKIQRGIEEIPGLFVIGKPDMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHATVYNEFLKDLQDSVNTVKANPGPISGGMAPIYGAAGKMPDRGTVRELLVEFMDSSC >PAN27807 pep chromosome:PHallii_v3.1:5:6573985:6576089:-1 gene:PAHAL_5G109000 transcript:PAN27807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQLQQGLNIEGGPLLHGTGSAEELAKSESERIKTFYQTILSVLVVFIVAALSGYKDIKELYSTTNHKKVHLSNLLVAEGLLLIMTFLCAVALMMFEFFVYQYGRQGRSWYRVVTILVAVTGSMLIAANTVLVIVTNRNNTALSVILAPVLVLVGVAVRAGAWMEEERSATLGSRYDMVMKGTFDMATIGTMASFALQGTVAFGYLKTPDNNQGKGDPPLDLAVCYATSTFSLIMMMICAMPLVLLPVNMLEDLIRVVERLRHVVLAALAVMALVVSVEFLEGFVVLSVCPEAVALVLYYAVEFFSRESRGGSLPWLDFVFRIVAAVGFSLMTGLYGAFLGTDHYSVYLKAAMFILLLAVLSSLSRLAIPLDLPEVGGAGAVEMGIAGIVVAFPAAALVAAIPLVLKVFLDLYLNR >PVH38751 pep chromosome:PHallii_v3.1:5:37656995:37658610:-1 gene:PAHAL_5G344600 transcript:PVH38751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMNGLSVGDSVAEDIIVSPVRLEIASCFRDEMSTQYSPMSEESDDYRYSDTQVNTNGNQTDTTSSPSTSPISSPHRFQRPYTWFSSANPYPLPSCSLAAVVCSQARRGSGTEHEGRIPSSPNDMCHGGDLRRTALLRSVQMRVQSPHPCDLLSSSGHGQDQERGHVHADELDHDQRQAVGVQLDQRSFSYPKSIQDAECKSPPNCGQRSDRDVDFVEDKIRV >PVH38749 pep chromosome:PHallii_v3.1:5:37656379:37660239:-1 gene:PAHAL_5G344600 transcript:PVH38749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMNGLSVGDSVAEDIIVSPVRLEIASCFRDEMSTQYSPMSEESDDYRYSDTQVNTNGNQTDTTSSPSTSPISSPHRFQRPYTWFSSANPYPLPSCSLAAVVCSQARRGSGTEHEGRIPSSPNDMCHGGDLRRTALLRSVQMRVQSPHPCDLLSSSGHGQDQERGHVHADELDHDQRQAVGVQLDQRSFSYPKSIQDAECKSPPNCGQRSDRDVDFVEDKIRV >PVH38750 pep chromosome:PHallii_v3.1:5:37656379:37658824:-1 gene:PAHAL_5G344600 transcript:PVH38750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMNGLSVGDSVAEDIIVSPVRLEIASCFRDEMSTQYSPMSEESDDYRYSDTQVNTNGNQTDTTSSPSTSPISSPHRFQRPYTWFSSANPYPLPSCSLAAVVCSQARRGSGTEHEGRIPSSPNDMCHGGDLRRTALLRSVQMRVQSPHPCDLLSSSGHGQDQERGHVHADELDHDQRQAVGVQLDQRSFSYPKSIQDAECKSPPNCGQRSDRDVDFVEDKIRV >PAN30898 pep chromosome:PHallii_v3.1:5:37656379:37660239:-1 gene:PAHAL_5G344600 transcript:PAN30898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHGGGGGGGQAAMAPAPGGGKRGRGPEDDVYVDNLHFHKRYLTEIMASSMNGLSVGDSVAEDIIVSPVRLEIASCFRDEMSTQYSPMSEESDDYRYSDTQVNTNGNQTDTTSSPSTSPISSPHRFQRPYTWFSSANPYPLPSCSLAAVVCSQARRGSGTEHEGRIPSSPNDMCHGGDLRRTALLRSVQMRVQSPHPCDLLSSSGHGQDQERGHVHADELDHDQRQAVGVQLDQRSFSYPKSIQDAECKSPPNCGQRSDRDVDFVEDKIRV >PAN27850 pep chromosome:PHallii_v3.1:5:6797970:6803749:1 gene:PAHAL_5G112700 transcript:PAN27850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIPKFSYLDLSWNQLEGSIPTNRLASSITTVDLSHNFLNGTVPPNFSGLPDLQFLSVNGNHLNGSVPPAIWRNITFTGNRTLILDFQNNALDTIPVSFDPPEAVTVLLYGNPVCTTSNAARAANLCQPRSVIEAPSGEGRQINSLCKPCPTDKTFEYNPASPIPCFCAAPLGVGFRLKSPGISDFLPYKEAFETDLTSLLELSVYQLYIERYMWETGPRLNTHLKLFPDNTNLFNKTEVERLRQVLAGWRITLSDVFGPYELLNFTLGSYEDEFPTVVSSGLKKSALAGILVGTIVAAIALSVVSTVLIMKRRRKRRTVSRRSLLSRFPVKVDGVKCFTFEEMAAATGDFNISAQVGQGGYGKVYKGNLADGTAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKTERHLSFGQRVHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDIEGTLPAHISTVVKGTPGYLDPEYFLTHKLTERSDVYSLGVVFLELLTGMKPIQHGKNIVREVNLAYQSGDISRIIDSRMSSYPPECVTRFLSLAIKCCRDETEARPYMADIVRELETIRSVLPEGEDILSETTGSGLLTKTMSSSTTTGPLYVSSHMSGSGQVDSGIPSGTVAPR >PVH37884 pep chromosome:PHallii_v3.1:5:6795175:6804344:1 gene:PAHAL_5G112700 transcript:PVH37884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSGTLAPEVGLLSQLKTLDFMWNNLTGQIPREVGKITTLKLITLNGNQLSGSLPDEIGYLVNLNRLQIDENNISGPIPKSFANLTSIKHLHMNNNSLSGQIPSELSRLPSLLHLLVDNNNLSGPLPPKLAETQSLEILQADNNNFSGSSIPTEYSNIQTLLKLSLRNCSLQGAVPDMSGIPKFSYLDLSWNQLEGSIPTNRLASSITTVDLSHNFLNGTVPPNFSGLPDLQFLSVNGNHLNGSVPPAIWRNITFTGNRTLILDFQNNALDTIPVSFDPPEAVTVLLYGNPVCTTSNAARAANLCQPRSVIEAPSGEGRQINSLCKPCPTDKTFEYNPASPIPCFCAAPLGVGFRLKSPGISDFLPYKEAFETDLTSLLELSVYQLYIERYMWETGPRLNTHLKLFPDNTNLFNKTEVERLRQVLAGWRITLSDVFGPYELLNFTLGSYEDEFPTVVSSGLKKSALAGILVGTIVAAIALSVVSTVLIMKRRRKRRTVSRRSLLSRFPVKVDGVKCFTFEEMAAATGDFNISAQVGQGGYGKVYKGNLADGTAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKTERHLSFGQRVHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDIEGTLPAHISTVVKGTPGYLDPEYFLTHKLTERSDVYSLGVVFLELLTGMKPIQHGKNIVREVNLAYQSGDISRIIDSRMSSYPPECVTRFLSLAIKCCRDETEARPYMADIVRELETIRSVLPEGEDILSETTGSGLLTKTMSSSTTTGPLYVSSHMSGSGQVDSGIPSGTVAPR >PAN27846 pep chromosome:PHallii_v3.1:5:6795175:6804344:1 gene:PAHAL_5G112700 transcript:PAN27846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLRVGGILHAVILLLLYTGCVNVARGQRTDPTEVNALKAIKGSLIDPSNKLKNWGRGDPCTSRWTGIFCDKIPSDSYLHVTEIQLFKMNLSGTLAPEVGLLSQLKTLDFMWNNLTGQIPREVGKITTLKLITLNGNQLSGSLPDEIGYLVNLNRLQIDENNISGPIPKSFANLTSIKHLHMNNNSLSGQIPSELSRLPSLLHLLVDNNNLSGPLPPKLAETQSLEILQADNNNFSGSSIPTEYSNIQTLLKLSLRNCSLQGAVPDMSGIPKFSYLDLSWNQLEGSIPTNRLASSITTVDLSHNFLNGTVPPNFSGLPDLQFLSVNGNHLNGSVPPAIWRNITFTGNRTLILDFQNNALDTIPVSFDPPEAVTVLLYGNPVCTTSNAARAANLCQPRSVIEAPSGEGRQINSLCKPCPTDKTFEYNPASPIPCFCAAPLGVGFRLKSPGISDFLPYKEAFETDLTSLLELSVYQLYIERYMWETGPRLNTHLKLFPDNTNLFNKTEVERLRQVLAGWRITLSDVFGPYELLNFTLGSYEDEFPTVVSSGLKKSALAGILVGTIVAAIALSVVSTVLIMKRRRKRRTVSRRSLLSRFPVKVDGVKCFTFEEMAAATGDFNISAQVGQGGYGKVYKGNLADGTAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKTERHLSFGQRVHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDIEGTLPAHISTVVKGTPGYLDPEYFLTHKLTERSDVYSLGVVFLELLTGMKPIQHGKNIVREVNLAYQSGDISRIIDSRMSSYPPECVTRFLSLAIKCCRDETEARPYMADIVRELETIRSVLPEGEDILSETTGSGLLTKTMSSSTTTGPLYVSSHMSGSGQVDSGIPSGTVAPR >PVH39174 pep chromosome:PHallii_v3.1:5:53490102:53494038:1 gene:PAHAL_5G448800 transcript:PVH39174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISGLGPGFLGFGHWIRVLCPELGSIGSLIVFFGFVTFFAYKLRKQRHQEMHEGDEEFGELPGMPTRFTFQQLQEATDQFRHKLGEGGFGSVFVGQYGEERIAVKRLDRAGQGKREFLAEVQIIGSIHHIHLVRLIGFCAEKSHRLLVYEYMPKGSLDRWIYYSHGNDAPFLDWQTRRKVISHIAKGLCYLHEECMKRIAHLDVKPQNILLDENFNAKISDFGLSKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGVVVMEIISGRKNLDTSRSEESIHLITLLEEKVKSDELEDLIDKYSSDMQVHKQEVLEMMRLAMWCLQIDSKRRPQMSDVIKVLEGHMNAESKIDHNFVATSKTMFGIAENTGSSDPPQASHLSGPR >PVH39290 pep chromosome:PHallii_v3.1:5:55065005:55065612:-1 gene:PAHAL_5G472100 transcript:PVH39290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFPCIIPLCFVSSSRWHKRRRLACMAKERSNGARKKRTMTEKELAANCTADRVLEVAACDFCTVADLAKRCRRADIFFTPFLSSPSFSLLLVGGARGP >PVH37830 pep chromosome:PHallii_v3.1:5:6101603:6103933:-1 gene:PAHAL_5G100100 transcript:PVH37830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLQRRSDRVTAAAPDAHAPRTRALLDGISGEAREGEILAVMGASGSGKSTLIDALANRISRDALKGAVTLNGEPLTGNILKSISAYVMQDDLLFPMLTVTETLSFAAEFRLPRVLSPAKKRARVQALIDQLGLRAAANTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLRRIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGTPSALPSYFAEFGFPVPDDENRAEFALDLIREFESSPTGTKPLVDFHRAWQRMHAPSPGSADADQSSLVPTMSLKEAISASISRGKLVSGSDVAGEAASVHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVVTGAILATVFFRLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYVFLRETAYGAYRRASYVLSNAIVSFPPLVVLSLSFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPGYWVWFHYLSLVKYPFEGVLQNEFGRGGECFVRGAQIFDNSPLAALPDAVKARVLASISSALGVGIGADTCVVTGRGVLQQAAVTQLGKWECLLVTVAWGFLFRLFFYFSLVLGSKNKRR >PVH38903 pep chromosome:PHallii_v3.1:5:48305477:48306299:-1 gene:PAHAL_5G386900 transcript:PVH38903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPAGPLFSRAPLSLGRRCSSRNRSSAACRPKLLPLPEVSRAALSRRLPVLPPPLSPALASRCCPSPRWCPLPPALGSTCPGGTSKGIMESVQPVPGVLGPHRETAWAGGGSPVPPPLATASAAPGSKMEIRGRTTSIMRSRFRW >PVH38406 pep chromosome:PHallii_v3.1:5:15990147:15991066:-1 gene:PAHAL_5G252000 transcript:PVH38406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISADAARNVVGIIGNVISFGLFLSPVPTFYRICKAKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVIEAAYLTIFFIYCDNKKRKRALAVLAVEIVFMVIVVVSVLLAAHTHEKRSMIVGILCVIFGAMMYASPLTVMVRERTSIQFCLSLIVRRVVVCLNPSPA >PAN29820 pep chromosome:PHallii_v3.1:5:15989241:15991066:-1 gene:PAHAL_5G252000 transcript:PAN29820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISADAARNVVGIIGNVISFGLFLSPVPTFYRICKAKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVIEAAYLTIFFIYCDNKKRKRALAVLAVEIVFMVIVVVSVLLAAHTHEKRSMIVGILCVIFGAMMYASPLTVMWTPHDLSEDGVFLWCSVKKAIVSTG >PAN29822 pep chromosome:PHallii_v3.1:5:15987833:15991235:-1 gene:PAHAL_5G252000 transcript:PAN29822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISADAARNVVGIIGNVISFGLFLSPVPTFYRICKAKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVIEAAYLTIFFIYCDNKKRKRALAVLAVEIVFMVIVVVSVLLAAHTHEKRSMIVGILCVIFGAMMYASPLTVMGNVIKTKSVEYMPFSLSLVSFLNGVCWTAYALIRFDLYVTIPNALGTFFGMVQLILYFCYYKTTPKKEKNVELPTVVSGNVRGGAGGNVSVTVER >PVH38695 pep chromosome:PHallii_v3.1:5:33022319:33028208:1 gene:PAHAL_5G329400 transcript:PVH38695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLLNQGMLLYLIGDDPKKRPYSRASRALLSDIEHGCLPQDILHDIPCKFQNGSTVCEVRDYQSVFSNGDDYSGHDFPRVNRVHLRLGTECVVKDLSSIADAAWTYHDQLTAESIILNALQPRLNLDPTPCLEMLCNSSAKKIDLGLNNGRQHNKDTSVFMMSINPPKNCKTKEFNVCKGATLCIENAALEGISSGLLDSLSVNCPSTIHVNNAKSAANSDTNNTVQSSSTLPNSSALCDRIQCASDTAPDHLFQSNEQRAQVEILQVDRKTGQPKRVTVLPHKTKKPLNLLHEKHEFKKCSPPNKIGRSTSQNSKGLHKSTSSRNKTVLDLGSPKRLQVEAKVGPTIGKRGMEVQKQVPFSVPPRDPCTSLNTTNPSVDRIPEKVKLLRNRSNERHVAPIVDRKNSDMVDINVRETPIVGLISGACEPVEDMTATKSHGTASKRRASETSIISLNHEIKLEGKRQQNFDTQVNTPCKNRRFEEPAVTGGISSQLDIDLELDKGRQQIEDTPLLNISANSPECCKPNEFNVCKAVAVCSENAALKGMPTAMFNSRPLDYPSSIHVNNTNSIVESDPGSAIRSPCTLTNSCALCDRKQAGSITPDHLLQCNEERAQVTVSQVDRENRKTERVTIVLQNRKKSLKLLNESHGSKNHGPPNRSELSSQNFVRDESTGSSNKDGFHLRSPKGQQAEVNVGQIIGNKDMKVQEKALLSVHSSCHPRMSLNTSNLCVEKIPEKVKSLHIRLTERHEAPVVDLKNYDMADPRGSRTPSVTLFSANSSKIACEPGKDKAATETQLKVLNRKVTGISTISMNQEFNLNGKRPQKFDIRIEPPCENRSLEEPAITGGVNGEPDIEKIISEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVGEIPVMRDETMTCNVPNGATRTWKIRRLTFHPSQYSCCNGSIDKSQYTLCLLDFEPLDHQITVGAIYGDEQCHAEKFVDQFVSLMKRDGYNLRNDEVSNGSSELRQQSQDVSHLGYPIGEDAGYQMFSPSAANSLLSSTDNKVGCTFQNKLPDFHATFPQPLTQQLVLTEQPLTLESPEAFFLNSSHLPGSQQYTGQYLQDQGSSFACNPFATDRHQYPSVQPSQEVSLDQYLQCREDIVGFSASRYIQLHQEALMDQYLQYRHDLPGFIDTYGMRMTARYSQWHQEVPTDQYLRYTHDIPWFSDMYGARMSTSNYGQWRQVCTQMGNVVYQWDLPAFGRQIHNSPWSTLPELQPIRRAPDELQEHGL >PVH38693 pep chromosome:PHallii_v3.1:5:33022047:33028208:1 gene:PAHAL_5G329400 transcript:PVH38693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLLNQGMLLYLIGDDPKKRPYSRASRALLSDIEHGCLPQDILHDIPCKFQNGSTVCEVRDYQSVFSNGDDYSGHDFPRVNRVHLRLGTECVVKDLSSIADAAWTYHDQLTAESIILNALQPRLNLDPTPCLEMLCNSSAKKIDLGLNNGRQHNKDTSVFMMSINPPKNCKTKEFNVCKGATLCIENAALEGISSGLLDSLSVNCPSTIHVNNAKSAANSDTNNTVQSSSTLPNSSALCDRIQCASDTAPDHLFQSNEQRAQVEILQVDRKTGQPKRVTVLPHKTKKPLNLLHEKHEFKKCSPPNKIGRSTSQNSKGLHKSTSSRNKTVLDLGSPKRLQVEAKVGPTIGKRGMEVQKQVPFSVPPRDPCTSLNTTNPSVDRIPEKVKLLRNRSNERHVAPIVDRKNSDMVDINVRETPIVGLISGACEPVEDMTATKSHGTASKRRASETSIISLNHEIKLEGKRQQNFDTQVNTPCKNRRFEEPAVTGGISSQLDIDLELDKGRQQIEDTPLLNISANSPECCKPNEFNVCKAVAVCSENAALKGMPTAMFNSRPLDYPSSIHVNNTNSIVESDPGSAIRSPCTLTNSCALCDRKQAGSITPDHLLQCNEERAQVTVSQVDRENRKTERVTIVLQNRKKSLKLLNESHGSKNHGPPNRSELSSQNFVRDESTGSSNKDGFHLRSPKGQQAEVNVGQIIGNKDMKVQEKALLSVHSSCHPRMSLNTSNLCVEKIPEKVKSLHIRLTERHEAPVVDLKNYDMADPRGSRTPSVTLFSANSSKIACEPGKDKAATETQLKVLNRKVTGISTISMNQEFNLNGKRPQKFDIRIEPPCENRSLEEPAITGGVNGEPDIEKIISEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVGEIPVMRDETMTCNVPNGATRTWKIRRLTFHPSQYSCCNAGSIDKSQYTLCLLDFEPLDHQITVGAIYGDEQVHIATLPTSCHAEKFVDQFVSLMKRDGYNLRNDEVSNGSSELRQQSQDVSHLGYPIGEDAGYQMFSPSAANSLLSSTDNKVGCTFQNKLPDFHATFPQPLTQQLVLTEQPLTLESPEAFFLNSSHLPGSQQYTGQYLQDQGSSFACNPFATDRHQYPSVQPSQEVSLDQYLQCREDIVGFSASRYIQLHQEALMDQYLQYRHDLPGFIDTYGMRMTARYSQWHQEVPTDQYLRYTHDIPWFSDMYGARMSTSNYGQWRQVCTQMGNVVYQWDLPAFGRQIHNSPWSTLPELQPIRRAPDELQEHGL >PVH38698 pep chromosome:PHallii_v3.1:5:33022392:33028208:1 gene:PAHAL_5G329400 transcript:PVH38698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLIGDDPKKRPYSRASRALLSDIEHGCLPQDILHDIPCKFQNGSTVCEVRDYQSVFSNGDDYSGHDFPRVNRVHLRLGTECVVKDLSSIADAAWTYHDQLTAESIILNALQPRLNLDPTPCLEMLCNSSAKKIDLGLNNGRQHNKDTSVFMMSINPPKNCKTKEFNVCKGATLCIENAALEGISSGLLDSLSVNCPSTIHVNNAKSAANSDTNNTVQSSSTLPNSSALCDRIQCASDTAPDHLFQSNEQRAQVEILQVDRKTGQPKRVTVLPHKTKKPLNLLHEKHEFKKCSPPNKIGRSTSQNSKGLHKSTSSRNKTVLDLGSPKRLQVEAKVGPTIGKRGMEVQKQVPFSVPPRDPCTSLNTTNPSVDRIPEKVKLLRNRSNERHVAPIVDRKNSDMVDINVRETPIVGLISGACEPVEDMTATKSHGTASKRRASETSIISLNHEIKLEGKRQQNFDTQVNTPCKNRRFEEPAVTGGISSQLDIDLELDKGRQQIEDTPLLNISANSPECCKPNEFNVCKAVAVCSENAALKGMPTAMFNSRPLDYPSSIHVNNTNSIVESDPGSAIRSPCTLTNSCALCDRKQAGSITPDHLLQCNEERAQVTVSQVDRENRKTERVTIVLQNRKKSLKLLNESHGSKNHGPPNRSELSSQNFVRDESTGSSNKDGFHLRSPKGQQAEVNVGQIIGNKDMKVQEKALLSVHSSCHPRMSLNTSNLCVEKIPEKVKSLHIRLTERHEAPVVDLKNYDMADPRGSRTPSVTLFSANSSKIACEPGKDKAATETQLKVLNRKVTGISTISMNQEFNLNGKRPQKFDIRIEPPCENRSLEEPAITGGVNGEPDIEKIISEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVGEIPVMRDETMTCNVPNGATRTWKIRRLTFHPSQYSCCNGSIDKSQYTLCLLDFEPLDHQITVGAIYGDEQVHIATLPTSCHAEKFVDQFVSLMKRDGYNLRNDEVSNGSSELRQQSQDVSHLGYPIGEDAGYQMFSPSAANSLLSSTDNKVGCTFQNKLPDFHATFPQPLTQQLVLTEQPLTLESPEAFFLNSSHLPGSQQYTGQYLQDQGSSFACNPFATDRHQYPSVQPSQEVSLDQYLQCREDIVGFSASRYIQLHQEALMDQYLQYRHDLPGFIDTYGMRMTARYSQWHQEVPTDQYLRYTHDIPWFSDMYGARMSTSNYGQWRQVCTQMGNVVYQWDLPAFGRQIHNSPWSTLPELQPIRRAPDELQEHGL >PVH38697 pep chromosome:PHallii_v3.1:5:33022392:33028208:1 gene:PAHAL_5G329400 transcript:PVH38697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLIGDDPKKRPYSRASRALLSDIEHGCLPQDILHDIPCKFQNGSTVCEVRDYQSVFSNGDDYSGHDFPRVNRVHLRLGTECVVKDLSSIADAAWTYHDQLTAESIILNALQPRLNLDPTPCLEMLCNSSAKKIDLGLNNGRQHNKDTSVFMMSINPPKNCKTKEFNVCKGATLCIENAALEGISSGLLDSLSVNCPSTIHVNNAKSAANSDTNNTVQSSSTLPNSSALCDRIQCASDTAPDHLFQSNEQRAQVEILQVDRKTGQPKRVTVLPHKTKKPLNLLHEKHEFKKCSPPNKIGRSTSQNSKGLHKSTSSRNKTVLDLGSPKRLQVEAKVGPTIGKRGMEVQKQVPFSVPPRDPCTSLNTTNPSVDRIPEKVKLLRNRSNERHVAPIVDRKNSDMVDINVRETPIVGLISGACEPVEDMTATKSHGTASKRRASETSIISLNHEIKLEGKRQQNFDTQVNTPCKNRRFEEPAVTGGISSQLDIDLELDKGRQQIEDTPLLNISANSPECCKPNEFNVCKAVAVCSENAALKGMPTAMFNSRPLDYPSSIHVNNTNSIVESDPGSAIRSPCTLTNSCALCDRKQAGSITPDHLLQCNEERAQVTVSQVDRENRKTERVTIVLQNRKKSLKLLNESHGSKNHGPPNRSELSSQNFVRDESTGSSNKDGFHLRSPKGQQAEVNVGQIIGNKDMKVQEKALLSVHSSCHPRMSLNTSNLCVEKIPEKVKSLHIRLTERHEAPVVDLKNYDMADPRGSRTPSVTLFSANSSKIACEPGKDKAATETQLKVLNRKVTGISTISMNQEFNLNGKRPQKFDIRIEPPCENRSLEEPAITGGVNGEPDIEKIISEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVGEIPVMRDETMTCNVPNGATRTWKIRRLTFHPSQYSCCNAGSIDKSQYTLCLLDFEPLDHQITVGAIYGDEQVHIATLPTSCHAEKFVDQFVSLMKRDGYNLRNDEVSNGSSELRQQSQDVSHLGYPIGEDAGYQMFSPSAANSLLSSTDNKVGCTFQNKLPDFHATFPQPLTQQLVLTEQPLTLESPEAFFLNSSHLPGSQQYTGQYLQDQGSSFACNPFATDRHQYPSVQPSQEVSLDQYLQCREDIVGFSASRYIQLHQEALMDQYLQYRHDLPGFIDTYGMRMTARYSQWHQEVPTDQYLRYTHDIPWFSDMYGARMSTSNYGQWRQVCTQMGNVVYQWDLPAFGRQIHNSPWSTLPELQPIRRAPDELQEHGL >PVH38696 pep chromosome:PHallii_v3.1:5:33022047:33028208:1 gene:PAHAL_5G329400 transcript:PVH38696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLLNQGMLLYLIGDDPKKRPYSRASRALLSDIEHGCLPQDILHDIPCKFQNGSTVCEVRDYQSVFSNGDDYSGHDFPRVNRVHLRLGTECVVKDLSSIADAAWTYHDQLTAESIILNALQPRLNLDPTPCLEMLCNSSAKKIDLGLNNGRQHNKDTSVFMMSINPPKNCKTKEFNVCKGATLCIENAALEGISSGLLDSLSVNCPSTIHVNNAKSAANSDTNNTVQSSSTLPNSSALCDRIQCASDTAPDHLFQSNEQRAQVEILQVDRKTGQPKRVTVLPHKTKKPLNLLHEKHEFKKCSPPNKIGRSTSQNSKGLHKSTSSRNKTVLDLGSPKRLQVEAKVGPTIGKRGMEVQKQVPFSVPPRDPCTSLNTTNPSVDRIPEKVKLLRNRSNERHVAPIVDRKNSDMVDINVRETPIVGLISGACEPVEDMTATKSHGTASKRRASETSIISLNHEIKLEGKRQQNFDTQVNTPCKNRRFEEPAVTGGISSQLDIDLELDKGRQQIEDTPLLNISANSPECCKPNEFNVCKAVAVCSENAALKGMPTAMFNSRPLDYPSSIHVNNTNSIVESDPGSAIRSPCTLTNSCALCDRKQAGSITPDHLLQCNEERAQVTVSQVDRENRKTERVTIVLQNRKKSLKLLNESHGSKNHGPPNRSELSSQNFVRDESTGSSNKDGFHLRSPKGQQAEVNVGQIIGNKDMKVQEKALLSVHSSCHPRMSLNTSNLCVEKIPEKVKSLHIRLTERHEAPVVDLKNYDMADPRGSRTPSVTLFSANSSKIACEPGKDKAATETQLKVLNRKVTGISTISMNQEFNLNGKRPQKFDIRIEPPCENRSLEEPAITGGVNGEPDIEKIISEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVGEIPVMRDETMTCNVPNGATRTWKIRRLTFHPSQYSCCNGSIDKSQYTLCLLDFEPLDHQITVGAIYGDEQVHIATLPTSCHAEKFVDQFVSLMKRDGYNLRNDEVSNGSSELRQQSQDVSHLGYPIGEDAGYQMFSPSAANSLLSSTDNKVGCTFQNKLPDFHATFPQPLTQQLVLTEQPLTLESPEAFFLNSSHLPGSQQYTGQYLQDQGSSFACNPFATDRHQYPSVQPSQEVSLDQYLQCREDIVGFSASRYIQLHQEALMDQYLQYRHDLPGFIDTYGMRMTARYSQWHQEVPTDQYLRYTHDIPWFSDMYGARMSTSNYGQWRQVCTQMGNVVYQWDLPAFGRQIHNSPWSTLPELQPIRRAPDELQEHGL >PAN30852 pep chromosome:PHallii_v3.1:5:33022319:33028208:1 gene:PAHAL_5G329400 transcript:PAN30852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLLNQGMLLYLIGDDPKKRPYSRASRALLSDIEHGCLPQDILHDIPCKFQNGSTVCEVRDYQSVFSNGDDYSGHDFPRVNRVHLRLGTECVVKDLSSIADAAWTYHDQLTAESIILNALQPRLNLDPTPCLEMLCNSSAKKIDLGLNNGRQHNKDTSVFMMSINPPKNCKTKEFNVCKGATLCIENAALEGISSGLLDSLSVNCPSTIHVNNAKSAANSDTNNTVQSSSTLPNSSALCDRIQCASDTAPDHLFQSNEQRAQVEILQVDRKTGQPKRVTVLPHKTKKPLNLLHEKHEFKKCSPPNKIGRSTSQNSKGLHKSTSSRNKTVLDLGSPKRLQVEAKVGPTIGKRGMEVQKQVPFSVPPRDPCTSLNTTNPSVDRIPEKVKLLRNRSNERHVAPIVDRKNSDMVDINVRETPIVGLISGACEPVEDMTATKSHGTASKRRASETSIISLNHEIKLEGKRQQNFDTQVNTPCKNRRFEEPAVTGGISSQLDIDLELDKGRQQIEDTPLLNISANSPECCKPNEFNVCKAVAVCSENAALKGMPTAMFNSRPLDYPSSIHVNNTNSIVESDPGSAIRSPCTLTNSCALCDRKQAGSITPDHLLQCNEERAQVTVSQVDRENRKTERVTIVLQNRKKSLKLLNESHGSKNHGPPNRSELSSQNFVRDESTGSSNKDGFHLRSPKGQQAEVNVGQIIGNKDMKVQEKALLSVHSSCHPRMSLNTSNLCVEKIPEKVKSLHIRLTERHEAPVVDLKNYDMADPRGSRTPSVTLFSANSSKIACEPGKDKAATETQLKVLNRKVTGISTISMNQEFNLNGKRPQKFDIRIEPPCENRSLEEPAITGGVNGEPDIEKIISEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVGEIPVMRDETMTCNVPNGATRTWKIRRLTFHPSQYSCCNGSIDKSQYTLCLLDFEPLDHQITVGAIYGDEQVHIATLPTSCHAEKFVDQFVSLMKRDGYNLRNDEVSNGSSELRQQSQDVSHLGYPIGEDAGYQMFSPSAANSLLSSTDNKVGCTFQNKLPDFHATFPQPLTQQLVLTEQPLTLESPEAFFLNSSHLPGSQQYTGQYLQDQGSSFACNPFATDRHQYPSVQPSQEVSLDQYLQCREDIVGFSASRYIQLHQEALMDQYLQYRHDLPGFIDTYGMRMTARYSQWHQEVPTDQYLRYTHDIPWFSDMYGARMSTSNYGQWRQVCTQMGNVVYQWDLPAFGRQIHNSPWSTLPELQPIRRAPDELQEHGL >PVH38694 pep chromosome:PHallii_v3.1:5:33022047:33028208:1 gene:PAHAL_5G329400 transcript:PVH38694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSFRLSRRGRRIHPPPPVPLSASIHAADDSRPHAAAFLDVPPPPPDPPCEAAVSRLDAGIAARSELTDRNETIPVESDLEPSFALNLFPDGYSVGEPGKGMLLYLIGDDPKKRPYSRASRALLSDIEHGCLPQDILHDIPCKFQNGSTVCEVRDYQSVFSNGDDYSGHDFPRVNRVHLRLGTECVVKDLSSIADAAWTYHDQLTAESIILNALQPRLNLDPTPCLEMLCNSSAKKIDLGLNNGRQHNKDTSVFMMSINPPKNCKTKEFNVCKGATLCIENAALEGISSGLLDSLSVNCPSTIHVNNAKSAANSDTNNTVQSSSTLPNSSALCDRIQCASDTAPDHLFQSNEQRAQVEILQVDRKTGQPKRVTVLPHKTKKPLNLLHEKHEFKKCSPPNKIGRSTSQNSKGLHKSTSSRNKTVLDLGSPKRLQVEAKVGPTIGKRGMEVQKQVPFSVPPRDPCTSLNTTNPSVDRIPEKVKLLRNRSNERHVAPIVDRKNSDMVDINVRETPIVGLISGACEPVEDMTATKSHGTASKRRASETSIISLNHEIKLEGKRQQNFDTQVNTPCKNRRFEEPAVTGGISSQLDIDLELDKGRQQIEDTPLLNISANSPECCKPNEFNVCKAVAVCSENAALKGMPTAMFNSRPLDYPSSIHVNNTNSIVESDPGSAIRSPCTLTNSCALCDRKQAGSITPDHLLQCNEERAQVTVSQVDRENRKTERVTIVLQNRKKSLKLLNESHGSKNHGPPNRSELSSQNFVRDESTGSSNKDGFHLRSPKGQQAEVNVGQIIGNKDMKVQEKALLSVHSSCHPRMSLNTSNLCVEKIPEKVKSLHIRLTERHEAPVVDLKNYDMADPRGSRTPSVTLFSANSSKIACEPGKDKAATETQLKVLNRKVTGISTISMNQEFNLNGKRPQKFDIRIEPPCENRSLEEPAITGGVNGEPDIEKIISEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVGEIPVMRDETMTCNVPNGATRTWKIRRLTFHPSQYSCCNAGSIDKSQYTLCLLDFEPLDHQITVGAIYGDEQVHIATLPTSCHAEKFVDQFVSLMKRDGYNLRNDEVSNGSSELRQQSQDVSHLGYPIGEDAGYQMFSPSAANSLLSSTDNKVGCTFQNKLPDFHATFPQPLTQQLVLTEQPLTLESPEAFFLNSSHLPGSQQYTGQYLQDQGSSFACNPFATDRHQYPSVQPSQEVSLDQYLQCREDIVGFSASRYIQLHQEALMDQYLQYRHDLPGFIDTYGMRMTARYSQWHQEVPTDQYLRYTHDIPWFSDMYGARMSTSNYGQWRQVCTQMGNVVYQWDLPAFGRQIHNSPWSTLPELQPIRRAPDELQEHGL >PAN30851 pep chromosome:PHallii_v3.1:5:33022047:33028208:1 gene:PAHAL_5G329400 transcript:PAN30851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSFRLSRRGRRIHPPPPVPLSASIHAADDSRPHAAAFLDVPPPPPDPPCEAAVSRLDAGIAARSELTDRNETIPVESDLEPSFALNLFPDGYSVGEPGKGMLLYLIGDDPKKRPYSRASRALLSDIEHGCLPQDILHDIPCKFQNGSTVCEVRDYQSVFSNGDDYSGHDFPRVNRVHLRLGTECVVKDLSSIADAAWTYHDQLTAESIILNALQPRLNLDPTPCLEMLCNSSAKKIDLGLNNGRQHNKDTSVFMMSINPPKNCKTKEFNVCKGATLCIENAALEGISSGLLDSLSVNCPSTIHVNNAKSAANSDTNNTVQSSSTLPNSSALCDRIQCASDTAPDHLFQSNEQRAQVEILQVDRKTGQPKRVTVLPHKTKKPLNLLHEKHEFKKCSPPNKIGRSTSQNSKGLHKSTSSRNKTVLDLGSPKRLQVEAKVGPTIGKRGMEVQKQVPFSVPPRDPCTSLNTTNPSVDRIPEKVKLLRNRSNERHVAPIVDRKNSDMVDINVRETPIVGLISGACEPVEDMTATKSHGTASKRRASETSIISLNHEIKLEGKRQQNFDTQVNTPCKNRRFEEPAVTGGISSQLDIDLELDKGRQQIEDTPLLNISANSPECCKPNEFNVCKAVAVCSENAALKGMPTAMFNSRPLDYPSSIHVNNTNSIVESDPGSAIRSPCTLTNSCALCDRKQAGSITPDHLLQCNEERAQVTVSQVDRENRKTERVTIVLQNRKKSLKLLNESHGSKNHGPPNRSELSSQNFVRDESTGSSNKDGFHLRSPKGQQAEVNVGQIIGNKDMKVQEKALLSVHSSCHPRMSLNTSNLCVEKIPEKVKSLHIRLTERHEAPVVDLKNYDMADPRGSRTPSVTLFSANSSKIACEPGKDKAATETQLKVLNRKVTGISTISMNQEFNLNGKRPQKFDIRIEPPCENRSLEEPAITGGVNGEPDIEKIISEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVGEIPVMRDETMTCNVPNGATRTWKIRRLTFHPSQYSCCNGSIDKSQYTLCLLDFEPLDHQITVGAIYGDEQVHIATLPTSCHAEKFVDQFVSLMKRDGYNLRNDEVSNGSSELRQQSQDVSHLGYPIGEDAGYQMFSPSAANSLLSSTDNKVGCTFQNKLPDFHATFPQPLTQQLVLTEQPLTLESPEAFFLNSSHLPGSQQYTGQYLQDQGSSFACNPFATDRHQYPSVQPSQEVSLDQYLQCREDIVGFSASRYIQLHQEALMDQYLQYRHDLPGFIDTYGMRMTARYSQWHQEVPTDQYLRYTHDIPWFSDMYGARMSTSNYGQWRQVCTQMGNVVYQWDLPAFGRQIHNSPWSTLPELQPIRRAPDELQEHGL >PAN28701 pep chromosome:PHallii_v3.1:5:10471864:10473469:1 gene:PAHAL_5G173300 transcript:PAN28701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDQKPAAAPASGAAEPSPPGRPTAVSSQVLDMGAQMVQALKPVRQMKLHACSFALYAHDLRRQMEVHHFLSRLNQDVVQCAVYDSDKPSARLIGVEYIVSDTIFEGLPPEEQRLWHSHAYEVKAGLWTAVGVPEALQSSEMASLARTYGKFWCTWQVDRGDALPLGAPALMVSPQAAEPGRARGDLVRGRDERYGVDSSAGGLKAARVEMEEPEWINPNADYWRLHGKGFAVDVVQAEMKRHAPFP >PAN26286 pep chromosome:PHallii_v3.1:5:20361:23924:-1 gene:PAHAL_5G000200 transcript:PAN26286 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein P58IPK homolog [Source:Projected from Arabidopsis thaliana (AT5G03160) UniProtKB/Swiss-Prot;Acc:Q9LYW9] MVRAWGRTALPPLLILCLLHLQYSPLVLAQEGQEKDLATLFARASEMMNLRKYDGALGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAESDYNKYLELNPGTATVEKELSQLLQAQNSLQSAYGQFDSGDFSKVLEYINKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSDLKKAYFGLKNLLKKTKSAEDNAAKGKLRLSAEDYKKALAMDPDHTAYNVHLYLGLCKTLVKLGRGKEAISSCTEALNIDSDLVDALIQRGEAKLLSEDWEGAVQDLKEAAQKSPQDMGIREALMKAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDVDEMNMGGGGGGFNPFGGGGQQYTFHFDGGFPGGGFPGGGGFQFNFG >PAN26285 pep chromosome:PHallii_v3.1:5:20080:23121:-1 gene:PAHAL_5G000200 transcript:PAN26285 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein P58IPK homolog [Source:Projected from Arabidopsis thaliana (AT5G03160) UniProtKB/Swiss-Prot;Acc:Q9LYW9] MRHASSSGLRYKEAESDYNKYLELNPGTATVEKELSQLLQAQNSLQSAYGQFDSGDFSKVLEYINKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSDLKKAYFGLKNLLKKTKSAEDNAAKGKLRLSAEDYKKALAMDPDHTAYNVHLYLGLCKTLVKLGRGKEAISSCTEALNIDSDLVDALIQRGEAKLLSEDWEGAVQDLKEAAQKSPQDMGIREALMKAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDVDEMNMGGGGGGFNPFGGGGQQYTFHFDGGFPGGGFPGGGGFQFNFG >PVH37430 pep chromosome:PHallii_v3.1:5:20080:24069:-1 gene:PAHAL_5G000200 transcript:PVH37430 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein P58IPK homolog [Source:Projected from Arabidopsis thaliana (AT5G03160) UniProtKB/Swiss-Prot;Acc:Q9LYW9] MVRAWGRTALPPLLILCLLHLQYSPLVLAQGQEKDLATLFARASEMMNLRKYDGALGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAESDYNKYLELNPGTATVEKELSQLLQAQNSLQSAYGQFDSGDFSKVLEYINKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSDLKKAYFGLKNLLKKTKSAEDNAAKGKLRLSAEDYKKALAMDPDHTAYNVHLYLGLCKTLVKLGRGKEAISSCTEALNIDSDLVDALIQRGEAKLLSEDWEGAVQDLKEAAQKSPQDMGIREALMKAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDVDEMNMGGGGGGFNPFGGGGQQYTFHFDGGFPGGGFPGGGGFQFNFG >PVH37747 pep chromosome:PHallii_v3.1:5:4658144:4658539:1 gene:PAHAL_5G075200 transcript:PVH37747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKVIQHRLRWFGHVQRRPPETPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELATDRSAWRLAINVPEP >PAN32818 pep chromosome:PHallii_v3.1:5:57704958:57709870:-1 gene:PAHAL_5G514500 transcript:PAN32818 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MAGGAVDQSLLDLLPQIHALFSDPFRVISYKWLSRNFSVSSNDAKRLLQEFVNKNGTDLQVIYSVSGWLKNNPQNYCVKLTSGPKLEEARQAFEDSCSVQVYSIQASIPKDTAVLWNPEFVQAEELFNQPFDEENCLRDNRFCGVLNYFVKRTSSGKHVSSLPPKPINSAGAAAQSKPSIAPKKQFVTARQQDLPGLSSPKQGAGNKSEKDNSTVFDKAGNALVVKEPSVSAHANKNKAQNGKVIPGNGGSLSNMWGRASAKPKPPATTNSTAAAIVAATADAQICEKEEADADSSDDEQGIKYKRGSSSASDRKRRAVFDFSDDEEDDNIIRIASPELPKQHALDPVTETAEDTEANPKNSENKDDLPNSVKDCSRGVDSEFTSECKTKSVSTVNHSGITLKEKSSDPPVNDSKQDSTAEPASTSPKRRKVLKTRIDDRGREVTEVVWEGEASAGDKTEKNVTTTAASGATLSSKPQPAANSDKSRAPSKAAGSKKPAKAGTKQGSIMSFFKKV >PAN26803 pep chromosome:PHallii_v3.1:5:2510473:2514290:-1 gene:PAHAL_5G039700 transcript:PAN26803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPDGQEKVIAAAQHIVKSLASSKNAADDMIRILSGFDNRLSLMSDLFPPPPGAAAAAGGPILEADEGTSQGDGEDEPDPDDDAAARAEEEWDAAAEVIERWESPSAGDRTVFGSREDAEEYLAAAACLAGAPGPRAEAALQAAMARLEDEFRHMLIRGASPLAAEDLQASLLRRLSLTVPSFNSSAVDLDCPSFANHAGEGGDEPGGRNSVSDDEISPYLIAPDTVGALRDIADVMLRAGYAPELCQVYGEVRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICCQILASDPSAEEECFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALAEVLPELEGLFSGEAKDFIKEEAEGILVRLGDAVRGTIAEFASAIQGETSRRPLPGGEIHPLTRYVMNYVRLLADYNASLNQLLEYWDADLNMGDNPNMTPLGHCVLMLITHLQSKIDEKSRLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRKRRGQIRQYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKNALKDRFKNFNLAFEELYRTQTSWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRGSARYIKYNPEDLENQVSDFFEGKRPNA >PAN26818 pep chromosome:PHallii_v3.1:5:2508912:2514290:-1 gene:PAHAL_5G039700 transcript:PAN26818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPDGQEKVIAAAQHIVKSLASSKNAADDMIRILSGFDNRLSLMSDLFPPPPGAAAAAGGPILEADEGTSQGDGEDEPDPDDDAAARAEEEWDAAAEVIERWESPSAGDRTVFGSREDAEEYLAAAACLAGAPGPRAEAALQAAMARLEDEFRHMLIRGASPLAAEDLQASLLRRLSLTVPSFNSSAVDLDCPSFANHAGEGGDEPGGRNSVSDDEISPYLIAPDTVGALRDIADVMLRAGYAPELCQVYGEVRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICCQILASDPSAEEECFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALAEVLPELEGLFSGEAKDFIKEEAEGILVRLGDAVRGTIAEFASAIQGETSRRPLPGGEIHPLTRYVMNYVRLLADYNASLNQLLEYWDADLNMGDNPNMTPLGHCVLMLITHLQSKIDEKSRLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRKRRGQIRQYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKNALKDRFKNFNLAFEELYRTQTSWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRGSARYIKYNPEDLENQVSDFFEGKRPNA >PAN28057 pep chromosome:PHallii_v3.1:5:7606704:7610423:-1 gene:PAHAL_5G127100 transcript:PAN28057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGSLVATCEVLQYSFYVDQDNIQTLLLLHAYHAGLYFNRTYPINKTTRKSMGLYSSSRVPASSALLLCFCVLLLAGVHGGSRRLYIVYLGDVKHGHPNDVIASHHDLLSDVLGSKEDSVASLVHNYKHGFSGFAAMLTEEQAKQLAESPEVISVEPSRSYTATTTRSWDFLGLGYQTPGDLLREGRYGEDIIIGVVDSGIWPESRSFSDQGYGPVPSRWRGKCEVGQSWDGNNCSRKIIGARFYSAGIDEEVLKADYLSARDGMSGHGTHTASTAAGSVVEGASFHGLAAGVARGGAPHARIAVYKSLWGPRAQGSSTTILAAIDDAIHDGVDVLSLSIGGGGPDDKDSFGMLHAVQKGIAVVYSAGNDGPRPQTVGNTAPWVITVAASTIDRSFPTVITLGNKQQIAGQSLYYQDKNSARSSFRNLTTGVGDLSCTEEALNGTDLKGKTVMCFPEDGKLALTPLWEFQSALQNVRKAGGSGLVFAQYTTDILGNTANCGGIACVLVDLDTGYQILEYMGSASSPVAKIEPARSFTSKEILAPKVAAFSSRGPSIYNADVIKPDIAAPGANIIAARGNSYMFASGTSMAAPHVSGIVALLKALYPQWSPAALKSAIITTASVTDEHGMPILANGLPRKIADPFDFGGGHINPNRAADPGLIYDIDPNDYNIFSCIMKRSASCNTTVVPGHLLNLPSISVPDLRYPVTVSRTVTNVGEVDAVYHIAIESPVGVRMEVEPSVLVFNAANKVQTFKVKLSPMWMFQGDYTFGSLTWYNDQRTVRIPVAARITIHDFFADVA >PVH38340 pep chromosome:PHallii_v3.1:5:14823534:14823785:1 gene:PAHAL_5G236500 transcript:PVH38340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFEKDFSCHSHLGSIRISLLFPFPPEPFLRNDKESGTLELHYLSAYCLPKILLLQLVGHRVIQISRVFCAFPMLQLPYQFD >PAN29023 pep chromosome:PHallii_v3.1:5:11754002:11755705:-1 gene:PAHAL_5G194500 transcript:PAN29023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKQPAAARQRRGGAGGAAGAGATRASLRRGAWTPEEDELLARAVAREGEGQWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRGPIAADEEDLIVRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIKRGIDPRTHMPLAAAAAASHSHRDSAAAAAPDKTPPPPEQPSSSSGAGVGGNDGGGSDFPAMMGLGAEVFEGLSDPFCALDAAGRGGFDIGCPMVDDGTFSSFLDSLVSENQLVDYFGDHKDAGGGNDQAGA >PVH38600 pep chromosome:PHallii_v3.1:5:23038991:23040874:1 gene:PAHAL_5G299200 transcript:PVH38600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIHGPSALAAGVTHSHAALLHSKPAPKEIIHRRSPFFIRPLASMYASSYSTELLDVLPNRCSVIRDASTSSSDRPSPRCTSSITARPPAWMQKCSNAVRKSGLYSLTLLLPRRRLRSFRLRSDSTTRSCSETGRTSGPKATMLLLRV >PVH37756 pep chromosome:PHallii_v3.1:5:4889975:4893077:1 gene:PAHAL_5G079100 transcript:PVH37756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKFELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWSVAVSVVSDCLPSYTSSDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVVVDGKPHSHGFKVGVEKHSTEVIVKKSGSLLINSGIQGYSLLKTTQSGFEGFVTDRYRLLPDTRERIVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDVKKVLSETFFGPPDVGVYSPSVQNTLYLMAKEVLMRYVTLIWLSPVFSPLNIYTIFF >PAN27411 pep chromosome:PHallii_v3.1:5:4889975:4893889:1 gene:PAHAL_5G079100 transcript:PAN27411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKFELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWSVAVSVVSDCLPSYTSSDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVVVDGKPHSHGFKVGVEKHSTEVIVKKSGSLLINSGIQGYSLLKTTQSGFEGFVTDRYRLLPDTRERIVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDVKKVLSETFFGPPDVGVYSPSVQNTLYLMAKEVLMSLLMMCTCRRMNHMELLKQL >PAN27412 pep chromosome:PHallii_v3.1:5:4889975:4893889:1 gene:PAHAL_5G079100 transcript:PAN27412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKFELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWSVAVSVVSDCLPSYTSSDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVVVDGKPHSHGFKVGVEKHSTEVIVKKSGSLLINSGIQGYSLLKTTQSGFEGFVTDRYRLLPDTRERIVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDVKKVLSETFFGPPDVGVYSPSVQNTLYLMAKEVLMRFPDISSIQLRMPNLHFLPVNLGSKENPLVKFADDVYLPTDEPHGTIEATLSRPMSKL >PAN27630 pep chromosome:PHallii_v3.1:5:5780175:5782909:-1 gene:PAHAL_5G093600 transcript:PAN27630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARHGLRRGHAASACPFIAFAAAVLLALPGLAAGDTHYYTFNVRMTNVTRLCVTKSIPTVNGQFPGPKLTVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPSYITQCPIQGGQSYVYDFTVTGQRGTLWWHAHFSWLRVHLYGPLVILPKRAEGYPFPRPYKEVPILFGEWFNADTEAVINQALQTGGGPNVSDAYTFNGLPGPTYNCSAQDTYKLKVKPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTVQTLVISPGQTMNVLLTTASNPASPAYAMAISPYTNTQGTFDNTTAVAVLEYAPAPAGNLPLPALPLYNDTGAVTNFSRNFRSLASAQYPARVPLAVDRHLLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFFRPRTALLEAHYQRRYAGVLLANFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLRFNTSVELVLQGTSIQGAESHPLHLHGYNFFVVGEGFGNFDPVNDPPRYNLADPVERNTISVPTAGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPNQKMLPPPSDLPKC >PAN29173 pep chromosome:PHallii_v3.1:5:12553314:12559805:-1 gene:PAHAL_5G206200 transcript:PAN29173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) UniProtKB/TrEMBL;Acc:Q9FPE7] MEPKGGPGQKPIEEAMDSLKIDASTKASNVNLPAKKDASSSDAVSCISSGDAASTVKESEMNQEASTGDQGMYYYGYYYPGSYGGWDENGYFVGYNGLEMHPAVVQGDNGSYLCYLPGYENGYASYSPVVPGGVTSADGQYVSKEPYYSTAIPMPDPSTPGIFAQPIAYGPELVPAYSWDPSFVLLDGVQGRPVGVHQTNYAARPKYSSNKHGVASSKAVRSAKAAPETIKGSSSALEPLPNAANSNPLSKGANKASGASITKGYLPSSKFVTHSNNQGKSSVYQNKGINVKESGRSWTNNDKLKTRSKLNGHGDSDISNENSHADDSRHSLSPRGDVVGLSSTGDANNTMPSPVTISKNAYNLPDFVTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYRLAQERMAEKGTKCPVFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFSVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFLQGTEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLTTSFDHTLAKAEISAEIKRQRQLISGTQIDRTKSNEGQGTNVGMVPDTTKKNEEQSD >PAN29171 pep chromosome:PHallii_v3.1:5:12554364:12558443:-1 gene:PAHAL_5G206200 transcript:PAN29171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) UniProtKB/TrEMBL;Acc:Q9FPE7] MDSLKIDASTKASNVNLPAKKDASSSDAVSCISSGDAASTVKESEMNQEASTGDQGMYYYGYYYPGSYGGWDENGYFVGYNGLEMHPAVVQGDNGSYLCYLPGYENGYASYSPVVPGGVTSADGQYVSKEPYYSTAIPMPDPSTPGIFAQPIAYGPELVPAYSWDPSFVLLDGVQGRPVGVHQTNYAARPKYSSNKHGVASSKAVRSAKAAPETIKGSSSALEPLPNAANSNPLSKGANKASGASITKGYLPSSKFVTHSNNQGKSSVYQNKGINVKESGRSWTNNDKLKTRSKLNGHGDSDISNENSHADDSRHSLSPRGDVVGLSSTGDANNTMPSPVTISKNAYNLPDFVTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYRLAQERMAEKGTKCPVFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFSVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFLQGTEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLTTSFDHTLAKAEISAEIKRQRQLISGTQIDRTKSNEGQGTNVGMVPDTTKKNEEQSD >PVH37609 pep chromosome:PHallii_v3.1:5:2812034:2815618:-1 gene:PAHAL_5G044100 transcript:PVH37609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNGGGGPISAEAAAALGEGIRLVFGRWTALQMAVENQWGGRDSRAKADQFGESIHSWFCRSRGPHYFEDLVDMMYDTISDSFNADFEDNSVEEVAEQLLIIHEECLQSNYSSIEKLRNSHVQGNAVSQSRQIAADDDDSDSSDDDDGASMMEDEAAAAPEEMAVDRPRPSRPAPDADGWTVVPPRHGGRSRGRN >PAN26871 pep chromosome:PHallii_v3.1:5:2811524:2815618:-1 gene:PAHAL_5G044100 transcript:PAN26871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNGGGGPISAEAAAALGEGIRLVFGRWTALQMAVENQWGGRDSRAKADQFGESIHSWFCRSRGPHYFEDLVDMMYDTISDSFNADFEDNSVEEVAEQLLIIHEECLQSNYSSIEKLRNSHVQGNAVSQSRQIAADDDDSDSSDDDDGASMMEDEAAAAPEEMAVDRPRPSRPAPDADGWTVVPPRHGGRSRGRN >PVH39196 pep chromosome:PHallii_v3.1:5:53820300:53823874:1 gene:PAHAL_5G453000 transcript:PVH39196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVRVVALGAGIPVHIWIRPSHPWIRLCARASRVPMPEPAAIASTSGPSSPHLTSRRLLDLAGTCSARALLPRGWALMCGIGPERGRWRLDLKRKKSSSTVAWPRGAKETRRVKEGLRETARWWMCREREERLAAREADY >PVH39197 pep chromosome:PHallii_v3.1:5:53820300:53823874:1 gene:PAHAL_5G453000 transcript:PVH39197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVRVVALGAGIPVHIWIRPSHPWIRLCARASRVPMPEPAAIASTSGPSSPHLTSRRLLDLAGTCSARALLPRGWALMCGIGPERGRWRLDLKRKKSSSTVAWPRGAKETRRVKEGLRETARWWMCREREERLAAREADY >PVH39198 pep chromosome:PHallii_v3.1:5:53820300:53823413:1 gene:PAHAL_5G453000 transcript:PVH39198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVRVVALGAGIPVHIWIRPSHPWIRLCARASRVPMPEPAAIASTSGPSSPHLTSRRLLDLAGTCSARALLPRGWALMCGIGPERGRWRLDLKRKKSSSTVAWPRGAKETRRVKEGLRETARWWMCREREERLAAREADY >PAN28754 pep chromosome:PHallii_v3.1:5:10654891:10655220:-1 gene:PAHAL_5G176900 transcript:PAN28754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREGRQHGWVFAVDRSLVDPEGKKSRARAMQVEGAAAATNGGGFVRVPRKPTNHSKPGVGHAYRGLVGKGEAGSGRGRRKFKHDEAKMYHLEIQGAEDAAADAYDLDA >PAN32091 pep chromosome:PHallii_v3.1:5:54458145:54464236:1 gene:PAHAL_5G462700 transcript:PAN32091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLAIVEKRPSPFPGGGCAGGVLFHLLDWHRRLARKRRLFSPRRLLPCSLRSSPRRLPCPGPPPAPPPPASPHQAAGAADGAAPGVVARLMGLESWPAAAAAPRPQKQRKVEASRPDGDDSAVVLVLPTPTSRSLRPPAPAPAPAQTTARSHHGADLPARARIVHAAAAKLLEQGARASSRASARMALAYACSSPQHRREGHSGALLQGSDVGDDFLSSSESLLTPSTSTRVQVQPPVLPAETGCDSAAVLRRHEQRSIDNNAANVEISTSTVVLPRMDFADANISKRSFAMDAKHKESKVRNEVVRTCARVRSSAAAVQTGAERLRKRATPTRPDVSGGANSGSLAESMRQVGCARELVSAGRRVPQSGSGPRREHMGSITKQGNTTRRDGINRSGLASTSRISSSGSGPKRGSRKVGRDTVASNRDGRNAVAFTSRSSTKPVARASPRINELKSGCPSRLAHDTTHVQMPTPDTKYVEASPSVMATSEKDEFSRLLKAKMNELGLSDRNEFTSSDDPSEKLTATMLQELISALTSDMNTSISKSSNYSDASIPLRCNGGSGNIDCIDRSCYIFSNDQSPDFQKCYQNEQDVDSSATSVNNEPNQPSPTSVLEASFSNDASSLGSPVEKNEGKDLFVSTENKMEDLFNLESDIINLAMSIDTRKTDAFFSNTRKTDAEETLNDNDKLSCSQNFLAPDSKFLKSRLRIIGEAAISNAELLLGSSIHPFIIEMLENAMDMYDGGEYSDLAEDKKYQHTNFLFDCIVESLDSKFCNFGKCGYKAWLRLPSSLSKDLLKCQVLEDIGNWRESSGTALRQVSDKEVDQVTARWDASLVEAFDISIAIENDILEALVGEFALDLW >PAN29777 pep chromosome:PHallii_v3.1:5:15793496:15800950:1 gene:PAHAL_5G249600 transcript:PAN29777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATAEIQKVASMRLDSGSRSGSSAWWRAPDAFSRSSSRRGDEDDEEALRWAALERLPTCDRVRRAILPLGAGGEGGEAGAHQMVDVLGLGPAERRALLERLVRVADEDNERFLLKLKERVERVGIDMPTIEVRFEHLKAEAEVRVGTSGLPTVLNSITNKLEEVASALQVRRSRKQAMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGKVTYNGHGMDEFVPERTAAYISQHDLHIGEMTVRETLEFSARCQGVGSRFDMLTELSRREKVGNIKPDADIDAFMKACAVRGQEANVISDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIIKSLRQAIHILGGTALISLLQPAPETYDLFDDIILLSDGQIVYQGPRESVLEFFLALGFKCPERKGVADFLQEVTSRKDQKQYWARHDKPYQYVSVKEFACAFQSFHAGRAVANELAVPFDKRKNHPAALTTSRYGVSARELLKANVDREILLMKRNSFVYIFRTLQMMMVSTMAMTLFFRTKMHRDSVTDGGIYLGALFFAVMMIMFNGLSELALTIIKLPVFFKQRDLLFFPAWAYTIPTWVLKIPISFVEVGGFVFMAYYVIGFDPNVGRFFKQYLLLLAVNQMAASLFRFVGGAARNMIVANVFGSFMLLIFMVLGGYILVRDKVKKWWIWGYWISPLMYAQNAISVNEMLGHSWNKILNSSVSNETLGVQSLRSRGVFPEAKWYWIGLGALLGFVMLFNCLFTLALAYLKPYGKSHPSISEEELKEKYANLNGNALAEDSLALGSTHRATVGITGSGSATAENHSCTTQRGMVLPFAPLSLAFNDIKYFVDMPQEMKTHGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTNGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPMDVDSNTRKMFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVRKIKDGYNPATWMLEVTTVSQEQTLGVDFSDLYKKSELYQRNKALIQELSEPQAGSSDLHFSNRYSQSFFMQCLACLWKQNLSYWRNPAYNAVRLFFTTIIALIFGTVFWDLGGKMGQSQDLFNAMGSMYAAVMFLGVLNATSVQPVVSVERTVFYRERAAGMYSALPYAFRQVTIELPYTLAQATVYGTIVYSMIGFEWTATKFFWYLFFMYFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPVWWRWYCWACPVAWTLYGLVVSQFGDIATPMDDGVPVNVFVENYFGFKHSWLGLVAAMVVAFTVFFAFLFGFAIMKLNFQRR >PAN33094 pep chromosome:PHallii_v3.1:5:58950927:58956548:1 gene:PAHAL_5G533900 transcript:PAN33094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAQGGSGNLAKHKKRKSPVQRWRPISTEAVPQKDAVNETSNSGSRQVVEDRITSSDNLVLDGATNVVIEVTTNDASLSKNNLSLESSSTKVVIEDNLEVSGFNKDLDGSNFSETYSSSIEVDVPLMRFVKGKGGSMQKKIEEDTGVKIIFPSSKDETSVVLEGTSSESIRKATQMIANVLEEAVQSRMLDYSHFISLPLAIHPDLVDKLNYFQSSILGASTCNEESDKDESRSEGSIDEMYHDHKQADGSSVSINLQVQEKSVEVNIDSKGSGSDFGIDKSIFIKPKTFHLTIVMLKLWNKDRIAKASDVLQSISSQVNEALENRPISIQLRGLTCMKGSPAKARVVYAPVLEVGGEGRLARACKVIIDAFVKSGLVLERDARQELKLHATIMNVRHRKSKKRNRWNDSFDARDIFRKYGKEEWGEYHIPEVHLSQRFNFDESGYYHCCSSIPLPVEMQAE >PAN33095 pep chromosome:PHallii_v3.1:5:58950927:58956548:1 gene:PAHAL_5G533900 transcript:PAN33095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFACRSLLARASRLTRPLIPCAAGPPLRYFHQGSVGQNKLASFVMEGAQGGSGNLAKHKKRKSPVQRWRPISTEAVPQKDAVNETSNSGSRQVVEDRITSSDNLVLDGATNVVIEVTTNDASLSKNNLSLESSSTKVVIEDNLEVSGFNKDLDGSNFSETYSSSIEVDVPLMRFVKGKGGSMQKKIEEDTGVKIIFPSSKDETSVVLEGTSSESIRKATQMIANVLEEAVQSRMLDYSHFISLPLAIHPDLVDKLNYFQSSILGASTCNEESDKDESRSEGSIDEMYHDHKQADGSSVSINLQVQEKSVEVNIDSKGSGSDFGIDKSIFIKPKTFHLTIVMLKLWNKDRIAKASDVLQSISSQVNEALENRPISIQLRGLTCMKGSPAKARVVYAPVLEVGGEGRLARACKVIIDAFVKSGLVLERDARQELKLHATIMNVRHRKSKKRNRWNDSFDARDIFRKYGKEEWGEYHIPEVHLSQRFNFDESGYYHCCSSIPLPVEMQAE >PAN27218 pep chromosome:PHallii_v3.1:5:4167061:4174192:-1 gene:PAHAL_5G067300 transcript:PAN27218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPVAATAPAAAAARVPAAAAPAAAAAPPALAPQQPPATAAAVPEAAAACRRQLFTVELRPGETTIVSWKKLLREAGQAAPAPAVAAEPAFPAHAGPSGAAHPAENDPKDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIDPGASANVAPKKRRRKDSASTYPETNQHAPVDYFNIGDVPGKSSGRGTVHPVKQLASSTVGSYGQYHEDNRVVKNKTSGPGGAPKRKSSDFSVVADATEHAKISKDASHAPLELRELEKHKAAVLPVDYAHKSKTSETYDYAYSAYRDKGTSVQLDFQLRKASGENQDPSNRIYRKEKYGTSEYPVMAMGSAVYSTQTVNPVVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPIIDINEVDPNGQAAVKRRLPQEVKQKLAKVARLSANQGKIQEHELMDRLMGIVGHLVQRRTLKRNMKEMVESGLSAKLEKADRFQRIKMEINEMIKTRVAAKSKQDGSADDFQVANDERRALKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAISRSKDRKRLLYNQQKVRNEERMKRKRLAASAKLQEGYPVVMQGGVVPQVAQPPITNPITYPAPDYGQNQGLKSYERVRETSSSAIPDDSIRNAGEMKKKKRKPEYDAVDTQANIPKAPLQHGNEKQKPSKPLDEANAGSQLTQTVLGLPTVLGHNQQPS >PAN27219 pep chromosome:PHallii_v3.1:5:4167306:4173933:-1 gene:PAHAL_5G067300 transcript:PAN27219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPVAATAPAAAAARVPAAAAPAAAAAPPALAPQQPPATAAAVPEAAAACRRQLFTVELRPGETTIVSWKKLLREAGQAAPAPAVAAEPAFPAHAGPSGAAHPAENDPKDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIDPGASANVAPKKRRRKDSASTYPETNQHAPVDYFNIGDVPGKSSGRGTVHPVKQLASSTVGSYGQYHEDNRVVKNKTSGPGGAPKRKSSDFSVVADATEHAKISKDASHAPLELRELEKHKAAVLPVDYAHKSKTSETYDYAYSAYRDKGTSVQLDFQLRKASGENQDPSNRIYRKEKYGTSEYPVMAMGSAVYSTQTVNPVVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPIIDINEVDPNGQAAVKRRLPQEVKQKLAKVARLSANQGKIQEHELMDRLMGIVGHLVQRRTLKRNMKEMVESGLSAKLEKADRFQRIKMEINEMIKTRVAAKSKLLTWYQVNEQQDGSADDFQVANDERRALKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAISRSKDRKRLLYNQQKVRNEERMKRKRLAASAKLQEGYPVVMQGGVVPQVAQPPITNPITYPAPDYGQNQGLKSYERVRETSSSAIPDDSIRNAGEMKKKKRKPEYDAVDTQANIPKAPLQHGNEKQKPSKPLDEANAGSQLTQTVLGLPTVLGHNQQPS >PAN27220 pep chromosome:PHallii_v3.1:5:4167306:4173933:-1 gene:PAHAL_5G067300 transcript:PAN27220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPVAATAPAAAAARVPAAAAPAAAAAPPALAPQQPPATAAAVPEAAAACRRQLFTVELRPGETTIVSWKKLLREAGQAAPAPAVAAEPAFPAHAGPSGAAHPAENDPKDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIDPGASANVAPKKRRRKDSASTYPETNQHAPVDYFNIGDVPGKSSGRGTVHPVKQLASSTVGSYGQYHEDNRVVKNKTSGPGGAPKRKSSDFSVVADATEHAKISKDASHAPLELRELEKHKAAVLPVDYAHKSKTSETYDYAYSAYRDKGTSVQLDFQLRKASGENQDPSNRIYRKEKYGTSEYPVMAMGSAVYSTQTVNPVVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPIIDINEVDPNGQAAVKRRLPQEVKQKLAKVARLSANQGKIQEHELMDRLMGIVGHLVQRRTLKRNMKEMVESGLSAKLEKADRFQRIKMEINEMIKTRVAAKSKVNEQQDGSADDFQVANDERRALKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAISRSKDRKRLLYNQQKVRNEERMKRKRLAASAKLQEGYPVVMQGGVVPQVAQPPITNPITYPAPDYGQNQGLKSYERVRETSSSAIPDDSIRNAGEMKKKKRKPEYDAVDTQANIPKAPLQHGNEKQKPSKPLDEANAGSQLTQTVLGLPTVLGHNQQPS >PAN27800 pep chromosome:PHallii_v3.1:5:6536273:6539753:1 gene:PAHAL_5G108400 transcript:PAN27800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSSAHWLSLVGSIWLQTINGPNADFPVYSSQLKDLKHISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWLVAFVGAAFGLVGYGVQYLFLDSAGLRYWHLFLLTSLAGNGICWINTVCYLLCIRNFGSSSRVAVSLATSYLGLSAKVYTSLADSIPGLANSKAKAYLLLNAVVPMLVTVVVAPSLRVVDLTSAASTDAAFLVMFAITLATGACAVVGSIGSTSNGLSSREHMVSLSVLLATPILIPLALRIRESLNKIRETKRENRIHDLGADDDADAIERVVAIDIADAGSKEGDSAAEKPREEIGGLGLLRKLDFWLYFFSYMFSGTLGLVFLNNLGQIAESRRLAQTSTLVSLSSSFGFFGRLLPSFLDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLYPSDLFLYLSTAVIGTCTGAITSVAVSATGELFGTKNFGVNHNVVVTNIPVGSLCFGYFAAYLYQRGARGSHRCIGAGCYQETFVVWGATCAVGTLLCAVLYARSRSFAGRLPAAAAGGAVRTSCLARLANLVS >PAN30367 pep chromosome:PHallii_v3.1:5:45084562:45087908:-1 gene:PAHAL_5G373200 transcript:PAN30367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G78790) UniProtKB/Swiss-Prot;Acc:Q8L7N3] MDEDATTSNSRAAKTFDPDLIHAIFKLVWRRRAEKSSGGNEDIDVEPAVETSRRNRSTTANASALKVSCELLRIFVTEAIQRSAFIAEAEDATVIEPTHLERVLPQLLLDF >PAN27198 pep chromosome:PHallii_v3.1:5:4071962:4074436:1 gene:PAHAL_5G065700 transcript:PAN27198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRVAADAFGVLTIALFALFAALGLFCIFQSVYFRCRIRRGSSFLPLGYFNGPWVTRIALILITIWWGVGEIVRLSFLKKKLFSSLAWQRNICDVYILSNLGFAEPGIFFAFAFLLHGSLQKRELGTLNQRWNWKTMAYMLVFCIPVFLVQAILVFVGPKFVRDENSELGRRKIAKYFIRTSMAVGDTSVCTYPLFGTIFLGLVDAILMSYVSYVGTRVLSLVINKALRRRVSLLMLSVLCFLPIRVLLLGFSVLPKPGDVAFEGIIFLSFLMMLSCTTVGILLLVYYPVADSLALRDIGHREIAEMVPYDDYYYEGASVVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDAADEIGFSSRSGVQIGSPSGSSPSAAMPMLPLKEVPRY >PVH38457 pep chromosome:PHallii_v3.1:5:16990472:16990941:1 gene:PAHAL_5G263300 transcript:PVH38457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKTLLYRKQTLALSLIYHVHDFYLALSLIYHVHDFYYPPPWVWLDLLSTYVLTLA >PAN29402 pep chromosome:PHallii_v3.1:5:13756784:13763776:-1 gene:PAHAL_5G223500 transcript:PAN29402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFVEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRRLGLAPDVLACRSTEPLEEHVEVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSARENPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLCGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFHVHGCKSARLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVDH >PAN29400 pep chromosome:PHallii_v3.1:5:13756778:13763913:-1 gene:PAHAL_5G223500 transcript:PAN29400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDIESMPFVEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRRLGLAPDVLACRSTEPLEEHVEVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSARENPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLCGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFHVHGCKSARLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVGKDESRRRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCSNLTKTHMNNGKVQIRKLYPTVPVKSQLNSLVNGYYPNGTGIHT >PAN29401 pep chromosome:PHallii_v3.1:5:13756779:13763913:-1 gene:PAHAL_5G223500 transcript:PAN29401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDIESMPFVEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRRLGLAPDVLACRSTEPLEEHVEVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSARENPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLCGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFHVHGCKSARLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVDH >PAN29403 pep chromosome:PHallii_v3.1:5:13757215:13763593:-1 gene:PAHAL_5G223500 transcript:PAN29403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFVEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRRLGLAPDVLACRSTEPLEEHVEVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSARENPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLCGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFHVHGCKSARLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVGKDESRRRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCSNLTKTHMNNGKVQIRKLYPTVPVKSQLNSLVNGYYPNGTGIHT >PAN28464 pep chromosome:PHallii_v3.1:5:9372706:9373348:1 gene:PAHAL_5G154800 transcript:PAN28464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGTAHLLVIALLLLSAAVCSDSARVLRERPAVLLPAGGGGGQGAVAEMTVPGPGQSSRGAAAVGAGAHESKRLSPGGPDPQHH >PVH37665 pep chromosome:PHallii_v3.1:5:3527296:3529193:1 gene:PAHAL_5G055700 transcript:PVH37665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHYLAMKMSMTGQPKVHLWLVFTMLFVTFAVHGEGASSFTPPSLFTSPTYAPVIKVIGKVYCYRCFNEAHPEESHGKKHLEGAMVKVTCQANDQAIVAFGYTKSNGKYSVILKGLPISSNYGADSCKVELHGAPGGSDCNVPIELNLSGLSVYSKSSDEVVLQANQIMAFASKKTFGCSKPHMPPPAHPYNSPPLPNQYPSPPASHKSQPLPYQYSPPPPNHFPPPAYQYPSPPLNNYASPPPYQQSMPPNSYQSPPPLQGVKSPVPSHKYLPPPYYYNSPPPQYQYNNVPPPLAYQYPPPPNIHKSPLLPSSPATPYFYNSPPPYSLVSPPPAQYSPQLPPNAPDHLHPKVPHAKSPPVTSASPQPLYHHNSPPPPIGALSSPPLHSIQSPPPTDQLS >PVH38138 pep chromosome:PHallii_v3.1:5:11215602:11224383:1 gene:PAHAL_5G186000 transcript:PVH38138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGCFRPAPHKTNNSSATGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38137 pep chromosome:PHallii_v3.1:5:11215601:11224379:1 gene:PAHAL_5G186000 transcript:PVH38137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38141 pep chromosome:PHallii_v3.1:5:11215607:11224379:1 gene:PAHAL_5G186000 transcript:PVH38141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGCFRPAPHKTNNSSATGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHAGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38146 pep chromosome:PHallii_v3.1:5:11218086:11224384:1 gene:PAHAL_5G186000 transcript:PVH38146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLWRQQRESIPTRLQIIRPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGCFRPAPHKTNNSSATGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38148 pep chromosome:PHallii_v3.1:5:11218571:11224379:1 gene:PAHAL_5G186000 transcript:PVH38148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHAGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PAN28912 pep chromosome:PHallii_v3.1:5:11215602:11224379:1 gene:PAHAL_5G186000 transcript:PAN28912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38142 pep chromosome:PHallii_v3.1:5:11215607:11224379:1 gene:PAHAL_5G186000 transcript:PVH38142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHAGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38149 pep chromosome:PHallii_v3.1:5:11218543:11224384:1 gene:PAHAL_5G186000 transcript:PVH38149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGCFRPAPHKTNNSSATGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38143 pep chromosome:PHallii_v3.1:5:11215607:11224379:1 gene:PAHAL_5G186000 transcript:PVH38143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGCFRPAPHKTNNSSATGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38140 pep chromosome:PHallii_v3.1:5:11215607:11224379:1 gene:PAHAL_5G186000 transcript:PVH38140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHAGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38139 pep chromosome:PHallii_v3.1:5:11215607:11224379:1 gene:PAHAL_5G186000 transcript:PVH38139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38147 pep chromosome:PHallii_v3.1:5:11218086:11224384:1 gene:PAHAL_5G186000 transcript:PVH38147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLWRQQRESIPTRLQIIRPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PAN28914 pep chromosome:PHallii_v3.1:5:11219107:11224379:1 gene:PAHAL_5G186000 transcript:PAN28914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38136 pep chromosome:PHallii_v3.1:5:11215601:11224379:1 gene:PAHAL_5G186000 transcript:PVH38136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGCFRPAPHKTNNSSATGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38145 pep chromosome:PHallii_v3.1:5:11215607:11224380:1 gene:PAHAL_5G186000 transcript:PVH38145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PAN28913 pep chromosome:PHallii_v3.1:5:11218543:11224384:1 gene:PAHAL_5G186000 transcript:PAN28913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PAN28915 pep chromosome:PHallii_v3.1:5:11218430:11224379:1 gene:PAHAL_5G186000 transcript:PAN28915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38151 pep chromosome:PHallii_v3.1:5:11219107:11224379:1 gene:PAHAL_5G186000 transcript:PVH38151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHAGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38144 pep chromosome:PHallii_v3.1:5:11215607:11224380:1 gene:PAHAL_5G186000 transcript:PVH38144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGGGRGRGRGGVGGLGGCALLAAALVVSALAIRGGGGLKQSHAPAVARKVLLSITSWHPQNNLDNVLHPSQIQDQMLKLVAPQPSRTRTGQETSKVPVASPPVEFPRQRKPPPGGPAASPETAVHPANHGKDHGVPVAVPSKGIHHHSMPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PVH38150 pep chromosome:PHallii_v3.1:5:11218430:11224379:1 gene:PAHAL_5G186000 transcript:PVH38150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNKTHGKTHGPPVVAPAKRKHPHSPANNTYVEGPAVSPSKSPIIHRKRHGIPVAAPPKEHSSHSPPANRRHHKGHGNSGLDHSPTPAPAPVRLPPSKGKGQGNSAYAPRHSHQYHSPSYSPGPALPPIHPPETPAFKKPKALAPAPSQSLLPPPTNSYCTASCQDPQTNSPPGTTCLCVWPIKVELRFDIALYTFFTLVPELAQNIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNATAHSVFEKFWQKKVIINPMHFGKYDVLGVLYQGLPPPPPTTNMNNGLSDINGPKLHPLAADVGNHRERKGKGIIVIIILSSVFAFILCAGAALVVCFKLRSRSHLTEASLVPSKPAGPDSAMVGNRLESRPISASPSFSSSLVAYNGSAKTFSLAEMERTTQGFDQSKIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHAGSDKGAARLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGRSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCHEGSEFNESTSFSQDLHIQDVEIMSRASMDMDIDPALSAELFTSSARYDAVDASGSFRRYSSSGPLRVGSAGHNKERGLSTGSSSEHVGLQRFRIDSE >PAN27973 pep chromosome:PHallii_v3.1:5:7292408:7293439:1 gene:PAHAL_5G121200 transcript:PAN27973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPSHFRRGLYLVPLRIGGSLDRISSRHLMFDTGSDLSWTQCYPCRNCGQGHFAPYIPFKEYVGGSMASGYLASDIFHFSLDGNTNYHFEPEVVFGCATAEKSGILALGTSSLSFLAQVRVDKFYLRFGSQARISGKRIPFWNDKDKYYVHLKRVTYQHGNRLSQQQPVPIYPGDGGPEGSTFEMLVESGTLGIWLPESTFYPLQKKIDTDISLIRVHFDVNPNNGCCYIGTMKDVEEVSVTLGFVGGAEMELFGDSLFFEYNDSEWICLGFTPSNTTVLGIYAQRNTNMGFDLSEREISIDQAGCRS >PVH38642 pep chromosome:PHallii_v3.1:5:26682011:26682538:1 gene:PAHAL_5G311300 transcript:PVH38642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMIAVGPFGGAQGTSHDMTGTSKKLQSVTVYSSKDGAGGHINGISFSYENDQGSTTSVDTWGTAAGSKATFTIPAGAYLANLSGTFDNNVKSLTFVTSDGEPYGPYGDPAAGKGFEIPMHKGAIVGFFAHSGGVLNSLGAYVGAQP >PAN27514 pep chromosome:PHallii_v3.1:5:5239995:5240324:1 gene:PAHAL_5G085000 transcript:PAN27514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRRRSDAIPQLSPRRPQRLPPGSPLVTLAVCARVTIPSHTSCSYPPVRLTRRHRAPAKLRSPCLLHLPPILAPLNVQLVLRVSIVHTPSLRCLRKLGCLPFLVMPSG >PVH38314 pep chromosome:PHallii_v3.1:5:14051663:14053336:-1 gene:PAHAL_5G227500 transcript:PVH38314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALLSPANAGSLRSALELKPFAFGDQRLASPRYLNLACGGGGDDAVDALFRCSSPFSPSFGFSSPSPLAASSVSLSPSSSASLVDDCDDAAAAAADAATGHRLQLARLALQYHEVADRYELCLARLAEAADEAAALRRENAELRVANGDLTRRLALLSGIGKQAAASAIADEVRRLRFVDQKAAKERAPEKLAVLPKSISVRSNDYLKMNQPNQAPAAPAASNRKPRASNATKTSSRAHMGGGGGGKKGEEIVKEQHAAGGTELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTPAERLLLPRP >PVH38315 pep chromosome:PHallii_v3.1:5:14051481:14054002:-1 gene:PAHAL_5G227500 transcript:PVH38315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALLSPANAGSLRSALELKPFAFGDQRLASPRYLNLACGGGGDDAVDALFRCSSPFSPSFGFSSPSPLAASSVSLSPSSSASLVDDCDDAAAAAADAATGHRLQLARLALQYHEVADRYELCLARLAEAADEAAALRRENAELRVANGDLTRRLALLSGIGKQAAASAIADEVRRLRFVDQKAAKERAPEKLAVLPKSISVRSNDYLKMNQPNQAPAAPAASNRKPRASNATKTSSQRAHMGGGGGGKKGEEIVKEQHAAGGTELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTPAERLLLPRP >PVH38313 pep chromosome:PHallii_v3.1:5:14052134:14053298:-1 gene:PAHAL_5G227500 transcript:PVH38313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALLSPANAGSLRSALELKPFAFGDQRLASPRYLNLACGGGGDDAVDALFRCSSPFSPSFGFSSPSPLAASSVSLSPSSSASLVDDCDDAAAAAADAATGHRLQLARLALQYHEVADRYELCLARLAEAADEAAALRRENAELRVANGDLTRRLALLSGIGKQAAASAIADEVRRLRFVDQKAAKERAPEKLAVLPKSISVRSNDYLKMNQPNQAPAAPAASNRKPRASNATKTSSQRAHMGGGGGGKKGEEIVKEQHAAGGTELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTPAERLLLPRP >PAN29453 pep chromosome:PHallii_v3.1:5:14051506:14053872:-1 gene:PAHAL_5G227500 transcript:PAN29453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALLSPANAGSLRSALELKPFAFGDQRLASPRYLNLACGGGGDDAVDALFRCSSPFSPSFGFSSPSPLAASSVSLSPSSSASLVDDCDDAAAAAADAATGHRLQLARLALQYHEVADRYELCLARLAEAADEAAALRRENAELRVANGDLTRRLALLSGIGKQAAASAIADEVRRLRFVDQKAAKERAPEKLAVLPKSISVRSNDYLKMNQPNQAPAAPAASNRKPRASNATKTSSRAHMGGGGGGKKGEEIVKEQHAAGGTELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTPAERLLLPRP >PVH38316 pep chromosome:PHallii_v3.1:5:14051448:14054002:-1 gene:PAHAL_5G227500 transcript:PVH38316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALLSPANAGSLRSALELKPFAFGDQRLASPRYLNLACGGGGDDAVDALFRCSSPFSPSFGFSSPSPLAASSVSLSPSSSASLVDDCDDAAAAAADAATGHRLQLARLALQYHEVADRYELCLARLAEAADEAAALRRENAELRVANGDLTRRLALLSGIGKQAAASAIADEVRRLRFVDQKAAKERAPEKLAVLPKSISVRSNDYLKMNQPNQAPAAPAASNRKPRASNATKTSSRAHMGGGGGGKKGEEIVKEQHAAGGTELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTPAERLLLPRP >PAN26337 pep chromosome:PHallii_v3.1:5:244806:245618:1 gene:PAHAL_5G003200 transcript:PAN26337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAVDDYDLHLLGGGDDERVMEWETGLPGADELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRASAGSGSFQPFLAQHQQQQHPAAAAAEAADSSAATNSSKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHSLVHEPQAQVPAPPMYHHSIPMPMGVAAGGMVPTNNSGAGYGYGYGYGYHHQQQQQEAYHHHHHHHHHRAGDK >PVH37475 pep chromosome:PHallii_v3.1:5:864650:869265:-1 gene:PAHAL_5G012900 transcript:PVH37475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRILSRQNLRKLASFALQNISQRQLILPCPPVLRSTAVSPSKCFSPLYVFGNSWTVRWATYGSVNLVLSDDGKPKFQIEEVEPSKKRRYLTKKRLKLQRKRVKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKKYEVAKAQGPMAKSDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQKADLVCGDDREASLLKMGGLDQDEEDTSGSEYDVNDDDTEDTINISEDAISDHGGLANRE >PVH37474 pep chromosome:PHallii_v3.1:5:864650:869265:-1 gene:PAHAL_5G012900 transcript:PVH37474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRILSRQNLRKLASFALQNISQRQLILPCPPVLRSTAVSPSKCFSPLYVFGNSWTVRWATYGSVNLVLSDDGKPKFQIEEVEPSKKRRYLTKKRLKLQRKRVKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKKYEVAKAQGPMAKSDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQKADLVCGDDREASLLKMGGLDQDEEDTSGSEYDVNDDDTEDTINISEDAISDHGGLANRE >PAN26451 pep chromosome:PHallii_v3.1:5:866287:868845:-1 gene:PAHAL_5G012900 transcript:PAN26451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRILSRQNLRKLASFALQNISQRQLILPCPPVLRSTAVSPSKCFSPLYVFGNSWTVRWATYGSVNLVLSDDGKPKFQIEEVEPSKKRRYLTKKRLKLQRKRVKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKKYEVAKAQGPMAKSDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQKADLVCGDDREASLLKMGGLDQGKDQLPHVATDHFSDFHISDISESDEEDTSGSEYDVNDDDTEDTINISEDAISDHGGLANRE >PAN26452 pep chromosome:PHallii_v3.1:5:864092:869266:-1 gene:PAHAL_5G012900 transcript:PAN26452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRILSRQNLRKLASFALQNISQRQLILPCPPVLRSTAVSPSKCFSPLYVFGNSWTVRWATYGSVNLVLSDDGKPKFQIEEVEPSKKRRYLTKKRLKLQRKRVKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKKYEVAKAQGPMAKSDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQKADLVCGDDREASLLKMGGLDQGKDQLPHVATDHFSDFHISDISESDEEDTSGSEYDVNDDDTEDTINISEDAISDHGGLANRE >PVH37476 pep chromosome:PHallii_v3.1:5:866287:868845:-1 gene:PAHAL_5G012900 transcript:PVH37476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRILSRQNLRKLASFALQNISQRQLILPCPPVLRSTAVSPSKCFSPLYVFGNSWTVRWATYGSVNLVLSDDGKPKFQIEEVEPSKKRRYLTKKRLKLQRKRVKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKKYEVAKAQGPMAKSDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQKADLVCGDDREASLLKMGGLDQGKDQLPHVATDHFSDFHISDISESDEEDTSGSEYDVNDDDTEDTINISEDAISDHGGLANRE >PVH37477 pep chromosome:PHallii_v3.1:5:864650:869266:-1 gene:PAHAL_5G012900 transcript:PVH37477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRILSRQNLRKLASFALQNISQRQLILPCPPVLRSTAVSPSKCFSPLYVFGNSWTVRWATYGSVNLVLSDDGKPKFQIEEVEPSKKRRYLTKKRLKLQRKRVKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKKYEVAKAQGPMAKSDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQKADLVCGDDREASLLKMGGLDQGKDQLPHVATDHFSDFHISDISESDEEDTSGSEYDVNDDDTEDTINISEDAISDHGGLANRE >PAN30311 pep chromosome:PHallii_v3.1:5:46888913:46893247:-1 gene:PAHAL_5G378200 transcript:PAN30311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQPQWISENYANPKTCLFHVLFKAAALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLQADYLLVIGVCLSLSIANIVGFTKCNKDAKKNIQDWTANALLSGSVRSHLQSAFGV >PVH37471 pep chromosome:PHallii_v3.1:5:847182:850472:1 gene:PAHAL_5G012600 transcript:PVH37471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPRPILISRAWCCPPDLIGKAVDTPPATLAVAPRRSLRSPALYAPTGIPSSSSSSPIQSQWMGSLRCAVDLCSTQRYRLFRSVCGARSGPGTVSMEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLGGQASITAIGHISHSQKDYEHGRLFSLHEQIDHKVDFIEQELQHTEQSIVLVGHSIGAYIGLEVFKRLQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSSLLSKGVSLFASFIRSLRPSITRGIVRRFLGPSWSVAAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFVRAKEDKIAFLFGVDDHWGPLSHLEEVNI >PVH37473 pep chromosome:PHallii_v3.1:5:847178:851939:1 gene:PAHAL_5G012600 transcript:PVH37473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPRPILISRAWCCPPDLIGKAVDTPPATLAVAPRRSLRSPALYAPTGIPSSSSSSPIQSQWMGSLRCAVDLCSTQRYRLFRSVCGARSGPGTVSMEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLGGQASITAIGHISHSQKDYEHGRLFSLHEQIDHKVDFIEQELQHTEQSIVLVGHSIGAYIGLEVFKRLQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSSLLSKGVSLFASFIRSLRPSITRGIVRRFLGPSWSVAAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFVRAKEDKIAFLFGVDDHWGPLSHLEEISKRAPGVNLSVETEGHTHGYCCNEPGSFWVAEYIANLIKKQSLISNN >PAN26445 pep chromosome:PHallii_v3.1:5:847178:851939:1 gene:PAHAL_5G012600 transcript:PAN26445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLGGQASITAIGHISHSQKDYEHGRLFSLHEQIDHKVDFIEQELQHTEQSIVLVGHSIGAYIGLEVFKRLQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSLRPSITRGIVRRFLGPSWSVAAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFVRAKEDKIAFLFGVDDHWGPLSHLEEVNI >PVH37472 pep chromosome:PHallii_v3.1:5:847178:851939:1 gene:PAHAL_5G012600 transcript:PVH37472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPRPILISRAWCCPPDLIGKAVDTPPATLAVAPRRSLRSPALYAPTGIPSSSSSSPIQSQWMGSLRCAVDLCSTQRYRLFRSVCGARSGPGTVSMEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLGGQASITAIGHISHSQKDYEHGRLFSLHEQIDHKVDFIEQELQHTEQSIVLVGHSIGAYIGLEVFKRLQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSLRPSITRGIVRRFLGPSWSVAAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFVRAKEDKIAFLFGVDDHWGPLSHLEEISKRAPGVNLSVETEGHTHGYCCNEPGSFWVAEYIANLIKKQSLISNN >PAN26439 pep chromosome:PHallii_v3.1:5:847178:851939:1 gene:PAHAL_5G012600 transcript:PAN26439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLGGQASITAIGHISHSQKDYEHGRLFSLHEQIDHKVDFIEQELQHTEQSIVLVGHSIGAYIGLEVFKRLQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSLRPSITRGIVRRFLGPSWSVAAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFVRAKEDKIAFLFGVDDHWGPLSHLEEISKRAPGVNLSVETEGHTHGYCCNEPGSFWVAEYIANLIKKQSLISNN >PAN26438 pep chromosome:PHallii_v3.1:5:847178:851939:1 gene:PAHAL_5G012600 transcript:PAN26438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLGGQASITAIGHISHSQKDYEHGRLFSLHEQIDHKVDFIEQELQHTEQSIVLVGHSIGAYIGLEVFKRLQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSSLLSKGVSLFASFIRSLRPSITRGIVRRFLGPSWSVAAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFVRAKEDKIAFLFGVDDHWGPLSHLEEISKRAPGVNLSVETEGHTHGYCCNEPGSFWVAEYIANLIKKQSLISNN >PVH37470 pep chromosome:PHallii_v3.1:5:847178:851939:1 gene:PAHAL_5G012600 transcript:PVH37470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPRPILISRAWCCPPDLIGKAVDTPPATLAVAPRRSLRSPALYAPTGIPSSSSSSPIQSQWMGSLRCAVDLCSTQRYRLFRSVCGARSGPGTVSMEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLGGQASITAIGHISHSQKDYEHGRLFSLHEQIDHKVDFIEQELQHTEQSIVLVGHSIGAYIGLEVFKRLQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSLRPSITRGIVRRFLGPSWSVAAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFVRAKEDKIAFLFGVDDHWGPLSHLEEVNI >PAN26440 pep chromosome:PHallii_v3.1:5:847626:850472:1 gene:PAHAL_5G012600 transcript:PAN26440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLGGQASITAIGHISHSQKDYEHGRLFSLHEQIDHKVDFIEQELQHTEQSIVLVGHSIGAYIGLEVFKRLQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSSLLSKGVSLFASFIRSLRPSITRGIVRRFLGPSWSVAAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFVRAKEDKIAFLFGVDDHWGPLSHLEEVNI >PAN27752 pep chromosome:PHallii_v3.1:5:6336714:6338833:1 gene:PAHAL_5G104200 transcript:PAN27752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTAAAATATAMSRTASGGSALPGPPPPTPSNHHAGPSSAAAGGTDAALSAFLHRLLLSSPAPQLRSPLAARSRASPSLPPLVSLEAPDHRAFRDAADVGYFHLTGHGLPSELPSSALAQLSQIDASSRRESNLRALGFSEEEEEEEQDPDGAADDHAVVFDACEGDMDALPAAAEYARRMRDVGMRVVALLSGYPDAGFREEPFAEGRRKARCLVWASKVSTGDTAPPAAGKAKAYPYVVGLHCQWEASGKEAAPASWVMNDGGEWVAVGARDGALLVTIGDIAQVWSNGKLKKVRGMARPTSAPMDTQHDETDRLSLTVLITLSLDNIISPLVPLSNAAGEGEDDRDDEAYGAGADGDGWRFHSFLLEDYAWRVYHQRLQFKDPLVRYRI >PAN31163 pep chromosome:PHallii_v3.1:5:49864243:49869907:-1 gene:PAHAL_5G401600 transcript:PAN31163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGFLWKENGDQAGAARMSGGHKNKSLDWDLNDWRWDANLFLATPAAAAPSECSSRELSRVQGEIDFGVVVDKRRRLSPEEDGSAGCSNNSAVANGDNNHVVSVQRRQSSEEERPRKGASSSTPPCCQVDGCHADLSGARDYHKRHKVCEAHTRTSVVRIKNIEHRFCQQCSRFHLLQEFDEGKKSCRSRLAKHNGRRRKGQQQGVADGNPTNENQSLSSTLFLLLKQLSGLESGSSSEQINHPNYLVNLLKNLAAIASTQAYQDILKNANSNPTSNAEGPPVKKRVQDFDLNDACIEEVESRTDKIVFKLFGKEPKDFPVDLREQILNWLSHCPTDMESYIRPGCVILTVYLRLPNWMWDELDDDPVPWIEKLISMSNDGFWRTGWVYARIQECLTLSYNGSLMLASPWQPVIGDRLQRLCVTPIAVDCSSTAKFCVRGFNIVQPTTKLLCVFGGKYLIQEETQMLPEGTTMQQGPQCLTFSCSFPSTSGRGFIEVEDYDQSSLSVPFVVSDKDVCSEIRMLEHELNLSSFDETSERIGDLMASRSRALHFLQEIGWLLQRSHMQATSEQRQYCTDGFSVARFRWLLSFAIDQEWCAVVKKLLNTMFQGDIDLDVPSPVEFALGENLLVSAVNKRSKPLVQFLLRYRTRNYAPDSGAIDPVQFLFTPDMTGPSNITPLHIAATISDSTSVLDALTDDPQQLGIKAWKNARDDTGFTPEDYAQKRGHISYIQMVQDKIDRRLPKAHVSVAIPSRASAPDTVRKHGSQLKSTDQTTFNVEKSPQSISQPLSCRQCVHQDHQLAYRPRTNRFFSTRPAMLSLVAIAAVCVCVGLIMKSPPHVSSMKPFLWEKIRWGPN >PAN31164 pep chromosome:PHallii_v3.1:5:49864506:49869157:-1 gene:PAHAL_5G401600 transcript:PAN31164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGFLWKENGDQAGAARMSGGHKNKSLDWDLNDWRWDANLFLATPAAAAPSECSSRELSRVQGEIDFGVVVDKRRRLSPEEDGSAGCSNNSAVANGDNNHVVSVQRRQSSEEERPRKGASSSTPPCCQVDGCHADLSGARDYHKRHKVCEAHTRTSVVRIKNIEHRFCQQCSRFHLLQEFDEGKKSCRSRLAKHNGRRRKGQQQGVADGNPTNENQSLSSTLFLLLKQLSGLESGSSSEQINHPNYLVNLLKNLAAIASTQAYQDILKNANSNPTSNAGNNAANGSTMHELIIRSIPVGSESLAEGPPVKKRVQDFDLNDACIEEVESRTDKIVFKLFGKEPKDFPVDLREQILNWLSHCPTDMESYIRPGCVILTVYLRLPNWMWDELDDDPVPWIEKLISMSNDGFWRTGWVYARIQECLTLSYNGSLMLASPWQPVIGDRLQRLCVTPIAVDCSSTAKFCVRGFNIVQPTTKLLCVFGGKYLIQEETQMLPEGTTMQQGPQCLTFSCSFPSTSGRGFIEVEDYDQSSLSVPFVVSDKDVCSEIRMLEHELNLSSFDETSERIGDLMASRSRALHFLQEIGWLLQRSHMQATSEQRQYCTDGFSVARFRWLLSFAIDQEWCAVVKKLLNTMFQGDIDLDVPSPVEFALGENLLVSAVNKRSKPLVQFLLRYRTRNYAPDSGAIDPVQFLFTPDMTGPSNITPLHIAATISDSTSVLDALTDDPQQLGIKAWKNARDDTGFTPEDYAQKRGHISYIQMVQDKIDRRLPKAHVSVAIPSRASAPDTVRKHGSQLKSTDQTTFNVEKSPQSISQPLSCRQCVHQDHQLAYRPRTNRFFSTRPAMLSLVAIAAVCVCVGLIMKSPPHVSSMKPFLWEKIRWGPN >PAN31165 pep chromosome:PHallii_v3.1:5:49864506:49869157:-1 gene:PAHAL_5G401600 transcript:PAN31165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGFLWKENGDQAGAARMSGGHKNKSLDWDLNDWRWDANLFLATPAAAAPSECSSRELSRVQGEIDFGVVVDKRRRLSPEEDGSAGCSNNSAVANGDNNHVVSVQRRQSSEEERPRKGASSSTPPCCQVDGCHADLSGARDYHKRHKVCEAHTRTSVVRIKNIEHRFCQQCSRFHLLQEFDEGKKSCRSRLAKHNGRRRKGQQQGVADGNPTNENQSLSSTLFLLLKQLSGLESGSSSEQINHPNYLVNLLKNLAAIASTQAYQDILKNANSNPTSNAGNNAANGSTMHELIIRSIPVGSESLAEGPPVKKRVQDFDLNDACIEEVESRTDKIVFKLFGKEPKDFPVDLREQILNWLSHCPTDMESYIRPGCVILTVYLRLPNWMWDELDDDPVPWIEKLISMSNDGFWRTGWVYARIQECLTLSYNGSLMLASPWQPVIGDRLQRLCVTPIAVDCSSTAKFCVRGFNIVQPTTKLLCVFGGKYLIQEETQMLPEGTTMQQGPQCLTFSCSFPSTSGRGFIEVEDYDQSSLSVPFVVSDKDVCSEIRMLEHELNLSSFDETSERIGDLMASRSRALHFLQEIGWLLQRSHMQATSEQRQYCTDGFSVARFRWLLSFAIDQEWCAVVKKLLNTMFQGDIDLDVPSPVEFALGENLLVSAVNKRSKPLVQFLLRYRTRNYAPDSGAIDPVQFLFTPDMTGPSNITPLHIAATISDSTSVLDALTDDPQQLGIKAWKNARDDTGFTPEDYAQKRGHISYIQMVQDKIDRRLPKAHVSVAIPSRASAPDTVRKHGSQLKSTDQTTFNVEKSPQSISQPLSCRQCVHQDHQLAYRPRTNRFFSTRPAMLSLVAIAAVCVCVGLIMKSPPHVSSMKPFLWEKIRWGPN >PAN31166 pep chromosome:PHallii_v3.1:5:49864243:49869907:-1 gene:PAHAL_5G401600 transcript:PAN31166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGFLWKENGDQAGAARMSGGHKNKSLDWDLNDWRWDANLFLATPAAAAPSECSSRELSRVQGEIDFGVVVDKRRRLSPEEDGSAGCSNNSAVANGDNNHVVSVQRRQSSEEERPRKGASSSTPPCCQVDGCHADLSGARDYHKRHKVCEAHTRTSVVRIKNIEHRFCQQCSRFHLLQEFDEGKKSCRSRLAKHNGRRRKGQQQGVADGNPTNENQSLSSTLFLLLKQLSGLESGSSSEQINHPNYLVNLLKNLAAIASTQAYQDILKNANSNPTSNAEGPPVKKRVQDFDLNDACIEEVESRTDKIVFKLFGKEPKDFPVDLREQILNWLSHCPTDMESYIRPGCVILTVYLRLPNWMWDELDDDPVPWIEKLISMSNDGFWRTGWVYARIQECLTLSYNGSLMLASPWQPVIGDRLQRLCVTPIAVDCSSTAKFCVRGFNIVQPTTKLLCVFGGKYLIQEETQMLPEGTTMQQGPQCLTFSCSFPSTSGRGFIEVEDYDQSSLSVPFVVSDKDVCSEIRMLEHELNLSSFDETSERIGDLMASRSRALHFLQEIGWLLQRSHMQATSEQRQYCTDGFSVARFRWLLSFAIDQEWCAVVKKLLNTMFQGDIDLDVPSPVEFALGENLLVSAVNKRSKPLVQFLLRYRTRNYAPDSGAIDPVQFLFTPDMTGPSNITPLHIAATISDSTSVLDALTDDPQQLGIKAWKNARDDTGFTPEDYAQKRGHISYIQMVQDKIDRRLPKAHVSVAIPSRASAPDTVRKHGSQLKSTDQTTFNVEKSPQSISQPLSCRQCVHQDHQLAYRPRTNRFFSTRPAMLSLVAIAAVCVCVGLIMKSPPHVSSMKPFLWEKIRWGPN >PVH39476 pep chromosome:PHallii_v3.1:5:57508617:57508941:1 gene:PAHAL_5G512000 transcript:PVH39476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFIAENKIKTLLKRKQDPLSSFTTHPPSYAVRICPKLFPLFVSTQFLRKIQFFHNNK >PAN27905 pep chromosome:PHallii_v3.1:5:7041821:7042719:-1 gene:PAHAL_5G116900 transcript:PAN27905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPARSASAVLLLAAVALAAATADAITFNVINRCKDTLWPAALPGGGARLDPGRTWTVEVPAGTSSARMWARTGCAFDGAGRGSCETGDCGGALECTVSGRPPATLAEYTLGNPDYIDVSLVDGFNVPMSFQCGGRGPSCAADVNARCPAELKVPGGCASACEKFGGDTYCCQGPYKDKCPPTDYSKFFKGLCPDAYSYAKDDRTSTFNCPQGANYDIVLCP >PAN29594 pep chromosome:PHallii_v3.1:5:14828434:14832247:1 gene:PAHAL_5G236600 transcript:PAN29594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRALLALREASPWWALAGAAAAAALLWLVVWTLEWAWWTPRRLDRALRAQGLRGTRYRLFTGDVRENARRNREARTKPLPLGCHDIIPRVLPMVHDLVKENGKLSFSWFGPTPRMMIPYPELVREILSNKFGHFGKPKTTRVGKLISNGLFNHEGEKWAKHRRILNPAFHQEKIKRMLPVFSTCCVEMITRWENSMLHEGSSEIDVWPEFQNLTGDVISRTAFGSSYQEGRRIFQLQEELAERLIQSFQTIFIPGYWFLLTKNNRRMRQIDREICKLLRGIIEKREKAIENGETNSDDLLGLLVESNMRESNGKVNLGMSTEDIIEECKLFYFAGMETTSVLLTWTIIVLSMHPEWQELAREEVLNHFGRARPDFDRLSRLKIVTMILYEVLRLYPPVIFLNRRTYKEMELGGIKYPAGVNLLLPLLFIHHDPTIWGKDASEFNPERFSEGISNATKYQTAFFPFGWGPRICIGQNFALLEAKMALCTILQRFSFELSPSYTHAPYTVITLHPEHGAQVKLKKL >PAN26393 pep chromosome:PHallii_v3.1:5:628664:629556:1 gene:PAHAL_5G009300 transcript:PAN26393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHFLGKAAAAGEAPKVTDWRKEEKHHKHMEQLAQLGAVAAGAYAVHEKHKAKKDPDHAHSHKIKEGVAAAVAIGSAGFAFHEHHEKKDAKKHRRHGHHH >PVH38267 pep chromosome:PHallii_v3.1:5:13128826:13129110:-1 gene:PAHAL_5G214900 transcript:PVH38267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRFDLPTTSCLISSMRRESNKRHSKLAYPSIVVQDDLVDNAMMKTPVAYGVAVWPRGGAR >PAN30132 pep chromosome:PHallii_v3.1:5:19368427:19371495:-1 gene:PAHAL_5G278700 transcript:PAN30132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCEASASLTRKCTAAAASQGEKLKQKAAEIKSGIDGAEALIRKMDLEARNLQPSVRAGLLAKIREYKSDLNNLKGALKRITSGNAQQGAREELLESGMADALGVSADQRSRLLRATERQNQTTDRLRDSHRTMLETEDLGVSILHDLSQQRQSLLRAHDVLDQVDDNITKGRRIIGGMVRRMDRNKWIIGLIIALLVLTILVILYFKFVH >PAN32646 pep chromosome:PHallii_v3.1:5:56797761:56803155:1 gene:PAHAL_5G502500 transcript:PAN32646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPVRTLADLDGDVLAHCAGYLGARDVSSLAMACRPLRAAAYCDAVWYRLFRDQWPFEEVPRGALGLRELYIRRHTEVHQMKFDDPLSAIYHLNPAEATPSRLMLDRNCIWVCQGPVAKKLSVDWPEAEVVETHRNHGARITCMRLFSLSDTPLFRSDTQKNEKALVTSSTDRTIRLCWKGHSRCYKGHSAPVTALADKLLADGEFKVLASGGEDCTVRLWSMSTRAKNHPLIATFHGHEKALSFLSVARHKSSLLVSSSKDSKVKIWDTVAPSSGSSSCVGSTHINSSGPPIAMKCHESLCYMATGSEVTAIDLRTMKKASVLALHNHRILSCEMLPSEWLICTGTKNKALLWDIRKAQELPNTVTEMQSDGPVTLLHLDRYKVVTGVPSDGQVHVWETRTGELLNTLSCGEPARSGGRITVSAMALDGCRMVMAGSSPEGSVLHYRDFLRSSTPIPLAGEEVSRFWRPRQFGENDDENEDEDYY >PAN32647 pep chromosome:PHallii_v3.1:5:56798108:56803155:1 gene:PAHAL_5G502500 transcript:PAN32647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKALMGTIKIWEACACRGNMGTIKGFSNIYCSGGWQQLGIGRDQWPFEEVPRGALGLRELYIRRHTEVHQMKFDDPLSAIYHLNPAEATPSRLMLDRNCIWVCQGPVAKKLSVDWPEAEVVETHRNHGARITCMRLFSLSDTPLFRSDTQKNEKALVTSSTDRTIRLCWKGHSRCYKGHSAPVTALADKLLADGEFKVLASGGEDCTVRLWSMSTRAKNHPLIATFHGHEKALSFLSVARHKSSLLVSSSKDSKVKIWDTVAPSSGSSSCVGSTHINSSGPPIAMKCHESLCYMATGSEVTAIDLRTMKKASVLALHNHRILSCEMLPSEWLICTGTKNKALLWDIRKAQELPNTVTEMQSDGPVTLLHLDRYKVVTGVPSDGQVHVWETRTGELLNTLSCGEPARSGGRITVSAMALDGCRMVMAGSSPEGSVLHYRDFLRSSTPIPLAGEEVSRFWRPRQFGENDDENEDEDYY >PAN32645 pep chromosome:PHallii_v3.1:5:56797761:56803352:1 gene:PAHAL_5G502500 transcript:PAN32645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPVRTLADLDGDVLAHCAGYLGARDVSSLAMACRPLRAAAYCDAVWYRLFRDQWPFEEVPRGALGLRELYIRRHTEVHQMKFDDPLSAIYHLNPAEATPSRLMLDRNCIWVCQGPVAKKLSVDWPEAEVVETHRNHGARITCMRHKSSLLVSSSKDSKVKIWDTVAPSSGSSSCVGSTHINSSGPPIAMKCHESLCYMATGSEVTAIDLRTMKKASVLALHNHRILSCEMLPSEWLICTGTKNKALLWDIRKAQELPNTVTEMQSDGPVTLLHLDRYKVVTGVPSDGQVHVWETRTGELLNTLSCGEPARSGGRITVSAMALDGCRMVMAGSSPEGSVLHYRDFLRSSTPIPLAGEEVSRFWRPRQFGENDDENEDEDYY >PAN32648 pep chromosome:PHallii_v3.1:5:56798108:56803155:1 gene:PAHAL_5G502500 transcript:PAN32648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKALMGTIKIWEACACRGNMGTIKGFSNIYCSGGWQQLGIGRDQWPFEEVPRGALGLRELYIRRHTEVHQMKFDDPLSAIYHLNPAEATPSRLMLDRNCIWVCQGPVAKKLSVDWPEAEVVETHRNHGARITCMRHKSSLLVSSSKDSKVKIWDTVAPSSGSSSCVGSTHINSSGPPIAMKCHESLCYMATGSEVTAIDLRTMKKASVLALHNHRILSCEMLPSEWLICTGTKNKALLWDIRKAQELPNTVTEMQSDGPVTLLHLDRYKVVTGVPSDGQVHVWETRTGELLNTLSCGEPARSGGRITVSAMALDGCRMVMAGSSPEGSVLHYRDFLRSSTPIPLAGEEVSRFWRPRQFGENDDENEDEDYY >PAN26835 pep chromosome:PHallii_v3.1:5:2661347:2664848:-1 gene:PAHAL_5G042000 transcript:PAN26835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPISNDASQKRFFSIAPPAALIFFFVLIFFAGAIVTLDHKENLSILQLHPREAFATEEIRPPATSALRAEPTEEPNLCENQCRPSGSEALPRGIVQDKSNFQMESLGGNPGRKEAVRSSKSLLAIPVGIKQKSGVDKFVSKFPAANFIVMLFHYDGVVDGWRDLKWSDRAIHVAVRDQTKWWFAKRFLHPDLVAEYEYIFLWDEDIEVDSFDPLKYLRIVRREGLEISQPALDRRSQIHHPLTARARNVDVHRRFYKTSGHGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGMDYKLGYCAQGDRRRNVGVVDSQYVLHRGIPTLGDGGKAQVSASTSASATDRLAVRQRSYTELKLFNRRWKEAVAEDGCWTDPYPNPATTG >PAN26295 pep chromosome:PHallii_v3.1:5:87923:97544:1 gene:PAHAL_5G000900 transcript:PAN26295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRGLFGWSPPHVQPLTPVSETSEPPESPSPYAADLGLGGDGAPPPDDDAQPPLDDADDDPDPPPAAVPFKRLFACADRLDWVLMAAGSLAAASHGVALVVYLHLFGRAINSLHGRHTHDLFHNINQHALYFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLVIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGRANGGEVVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSTVNQDGRTLSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHVHAFISSLETGYETQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLTFRNSDANHNSHESPNIQSPPSEQMAEARLPMVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDIFDSFHAEDSKKPQTKAPSFWRLAELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYKIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDDEDNSADILSMRLANDATFVRAAFSNRLSIFIQDTSAIFVALLLGMLLQWRVALVALATLPILIISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGDILTKSFIHGMGIGFAFGFSQFLLFACNALLLWYTAAAVKDGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPEMTVLSNFSLRVNGGQTVAVVGVSGSGKSTIVSLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTVLIAHRAAMMKHVDNIVVLNGGRIVEQGSHDSLVQLNGLYVKLMQPHFSKGFRQRRLI >PVH39217 pep chromosome:PHallii_v3.1:5:54018125:54019165:1 gene:PAHAL_5G456500 transcript:PVH39217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRSGNMATAADSVATPKKARTALRRAPAPKEEPGHEAVAGEEPTTLDVTLLAPDALECPLCSAPFEAAIFQCKNGHAACRGCCDRVRGTCPSCRAPTGAIRCRPLERAIAAMLFPCAFSAAGCERRLRISEKRAHEAAFCQHAPCACPVPGCAYAGLSLHDHIRLAHQGNGDRDAGAAVRFVREATVTLHRSMVFRVLLQVPDSRVFLLANSGNVPSGRSLSLLSLGPRPDGDGVLEFTMVVRAGGETGGALSLSAFGPVPCARRWAGPEHLPAEGFLFVPDAYWSSSGSVSVTVHVGAEVGRGAAHGRSPRNR >PAN26435 pep chromosome:PHallii_v3.1:5:829039:833662:-1 gene:PAHAL_5G012300 transcript:PAN26435 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine/serine-rich 45 [Source:Projected from Arabidopsis thaliana (AT1G16610) TAIR;Acc:AT1G16610] MAKPRRGRSASRSTSGSSSRSPSRSVSSGSVSSRSRSRSRSFSSSSSQSRSRSPPPAKRSSPGARKGRSPSPPPRRGSPSKKVRSPSPPPKKASPPRKASPPAESAVLCIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRLVNLPRGYGYVEFKKRADAEKALLYMDGGQIDGNVVKLRFTLQPRQRAASPTKVPPPPKRDAPPNDKGASSAEKDAQQRPRESSPRKKPASPPRKRSPPNRRVESPRRPPDPSPRRRPDSPPIRRRPDPSPVRRGDTPPRRRPLSPLRRRSPSPPRRHRSPMRPSPRRGRGSPSPRRRSPGPPRRRSPPPRRLRSPPRRPPPPRRYSRSPPPRRPLRSRSRSISPRRGRGPPLRRGRSDSSYSRSPSPPRKGPRRVSRSRSPRRPPRGRSISSDSRSSSSPSPRRR >PAN28780 pep chromosome:PHallii_v3.1:5:10748778:10750742:-1 gene:PAHAL_5G178000 transcript:PAN28780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCYRQQKGVGDYLLQLHRVLLRIQATMEEAEGRHITNKAMLRQLQMLREMMYKGCYLLDTFTYQVLQQHRDNDQVSDHPLSIYCRAKRLCFSTRGMNVSFQVDGVKEVQKMLESLQSIIVDMTEFIVFLKFYPPINREPYSKYLFLENCMFGRQAEMEKIISFLLQPEPPGAESLQVLPITGPARVGKSTLAEHLCYDERVRNHFSTIILCSGDSTAPEGSGAVKKRTHGSHGRSLIITELADDLVIDERQCRNFYSSRNHMPPGSKIIVTSRSENIIKLGTTVAIKLDFLSREAYWYFFKVMAFGSTNPADHPELASIAMEIAAGLERCFVGAHVICGFLRANMQRRFWNKILECQRNNIDRNIRIFGEHPLALIQKKQTAYVWSLSNMSMRVKVLYCQTHSTLNDVPKIALHEVQTSAKARGKLEALVLKSRIPPYHSYSMTCEIEVPRDMTTKKKRPRSYLEI >PAN27922 pep chromosome:PHallii_v3.1:5:7110425:7114411:-1 gene:PAHAL_5G118200 transcript:PAN27922 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit G1 [Source:Projected from Arabidopsis thaliana (AT2G27020) TAIR;Acc:AT2G27020] MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTIVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPNDLLEQAKAAAQAALEEMDAD >PVH37853 pep chromosome:PHallii_v3.1:5:6374786:6376598:-1 gene:PAHAL_5G105200 transcript:PVH37853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGTRTKKQKTAHMPAANQLPPCSPTKFTRKQAARRTRGRPPPRDANQLPPCTPTRLTRQQAAMALPAGRPPPRDANQLPPCTPTRLTRQQAAMASPGGRPPPKDANQLPPCTPTRLTRQQAAMASPGGRPPPKDANQLPPCTPTRLTRQQAAMASPGGRPPPRERLRLPAKTASKANPKTNPISSASRLPSTSPPISTPSGNTEHSTPTPTPILTVFPQVTPTTSVNQSVPVETSPGVQSSRQSNDINDANDQVDADSEGHTIEGEPVGDFVPAPRKEVRKKTIGLGLEKMIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKTEEWIELIKYWCDPKNQVHGLHHCFC >PAN30816 pep chromosome:PHallii_v3.1:5:23340966:23342783:-1 gene:PAHAL_5G300100 transcript:PAN30816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MDRPCLLPFLLLAAAAVLTSAPGGALAKSKLAKKSDDIVNGPLLTDKLKAKRTLIVGPDEEFKTVQSAIDAVPAGNTEWIIVHLRSGVHNGKVVIPKNKPFIFVRGNGKGRTSISHESSSPDNAESAAFTVNADNVIVFGVSFRNTARAGLVNNQEIRSVSAMVAGDKVAFYHCAFYSPHHTLFDSAGRHYYESCYIQGNIDFIFGSAQSMFQCPEIFVKPDRRTEILGSITAHDRKVDDDTSGFVFLKGKVYGVGEVYLGRVTAPDSRVIFADTYLSKTINPAGWTTIGYTGSTDNVMLAEFNCTGPGSDAAKRVPWSRRFTLNEATKYLTIDFINGKEWLLAYYY >PAN30140 pep chromosome:PHallii_v3.1:5:19402773:19402961:-1 gene:PAHAL_5G279500 transcript:PAN30140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGSKALAVVAVLAAAALSVASAADAPAPSPVSAAGAAVPPLAAALVASAAAFLFAAVRH >PAN31051 pep chromosome:PHallii_v3.1:5:48794503:48802088:1 gene:PAHAL_5G391600 transcript:PAN31051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQGNTLRDFLKSNGHVVLQRVNNNYNLRSFTEKEIEHITNGYSTLLGKGAFSEVYRGVLDDQLLVAVKKYKDGTRKEDLAKEVIVHSQINHKNVVRLLGCCTEENALAIVMEFICNGNLADILHRGNANGHVFFPLDRRLSIVIELAEVLSYMHSMYSPILHGDIKPDNILLNEFFAPKISDFGIARLISSDGTQQTQNIIGSIGYLDPLYSQTGILTPKSDVYSFGVLLVEMITRKKAADGNTYLIQNFIEAFKRGKKVRQMFDKEIVHGKKSIKVLDDIAKLANQCLILENRQRPEMVEVADRLRKCMKDVQLRRREEMPESSGSNYPTNPTKKEQPTQVLAISLDELKKITRNFSYDTLIGQGSHAEVFLGELKDSRKCAVKKLDYPEVEELENEFLLKVQSISRLEHNNVVQLLSYCIEGNVRALVYEYSSRGSLHDILHGKKGAVGAQPGQALSWAQRVNIALSSAEGLEFIIEKAEHCITHRIIKSRNILLFDNDVAKVIGDFGVFKSNPADKIDPDNTYDFPHRPDFGGYGAPEYVTKIPYSLRIAFT >PAN27589 pep chromosome:PHallii_v3.1:5:5539069:5540300:1 gene:PAHAL_5G090100 transcript:PAN27589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQARCLCVVAMLLVAGLAGMETAHGAGECGRAPVDQVALKLAPCAAATQNPRAAVPPACCAQVRAIGRNPKCLCAVMLSGTARKAGVKPAVAMTIPKRCAIANRPVGYKCGPYTLP >PAN28252 pep chromosome:PHallii_v3.1:5:8417788:8418878:1 gene:PAHAL_5G140000 transcript:PAN28252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKAAVASLPSLLLIGALVVVMASPGAVAEEGAASAQFPSPDSATPSPTPEPSPKNSRAVRAGSPAVLSLVGALPSAPAPEGPAATPRLHRRRPRRGHSATRAPEGI >PVH38723 pep chromosome:PHallii_v3.1:5:33872301:33885199:-1 gene:PAHAL_5G333800 transcript:PVH38723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVALLVWLCARINVGADHVLEEPLEKPVNQEADAVDDAYVSEDVEPPEQYQEQPSSEQFEQQPGEYEEVPGNVEDYVSGFDDF >PAN32545 pep chromosome:PHallii_v3.1:5:56358598:56360734:-1 gene:PAHAL_5G495600 transcript:PAN32545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSHLKNPLYFDFRAARRVPESHAWPGLHDHPVVDGGGAPGTPDAVPVVDLRDPGAAVAARVARAAEQWGAFLLTGHGVPAELLARVEDRIACMFALPAADKMRAVRGPGDACGYGSPPISSFFSKCMWSEGYTFSPAALRGDLRKLWPKAGDDYASFCDVMEEFHKEMRVLADKLLELFLRALGLTSEQVAAVEEERRIGETMTATMHLNWYPRCPDPRRALGLIAHTDSGFFTFVLQSLVPGLQLFRHGPDRWVAVPAVPGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDLDRISLGYFLGPPPHAKVAPLREAVPPGRTPAYRAVTWPEYMGVRKKAFTTGASALKMVAAAESDDADAAVHQPLVLSS >PVH39579 pep chromosome:PHallii_v3.1:5:59343647:59345978:1 gene:PAHAL_5G538600 transcript:PVH39579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVTTSQVLSDPQKRTIYDQLGEEGLKGQPPPGAGGPGASPFFPGGAHPTSFHFNPRSADDIFKEFFGFSGMGGMRGEPGFQRSMFGNEFFPSRFGGEGSTSMQQPVHKAAPIENRLPVSLADLYKGVTKKMKISRETMDAYGRISHVEEILTIDVKPGWKKGTKITFPEKGNEAPNMKPADIVFIIDEKPHDIFTRDGNDLVMTEKISLVEALTGYTARVTTLDGRSLSLPINSIIHPNYEEVIPGEGMPIPKDPTKKGNLRIKFNIKFPSRLTSDQKTGIKRLLGS >PVH39578 pep chromosome:PHallii_v3.1:5:59342468:59345978:1 gene:PAHAL_5G538600 transcript:PVH39578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKVLGVDRGAGDDDLKKAYRKLAMRWHPDKNSTNKKEAEAKFKQISEAYEVLSDPQKRTIYDQLGEEGLKGQPPPGAGGPGASPFFPGGAHPTSFHFNPRSADDIFKEFFGFSGMGGMRGEPGFQRSMFGNEFFPSRFGGEGSTSMQQPVHKAAPIENRLPVSLADLYKGVTKKMKISRETMDAYGRISHVEEILTIDVKPGWKKGTKITFPEKGNEAPNMKPADIVFIIDEKPHDIFTRDGNDLVMTEKISLVEALTGYTARVTTLDGRSLSLPINSIIHPNYEEVIPGEGMPIPKDPTKKGNLRIKFNIKFPSRLTSDQKTGIKRLLGS >PAN28992 pep chromosome:PHallii_v3.1:5:11594312:11596566:-1 gene:PAHAL_5G192100 transcript:PAN28992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTNSVVWFAIALVFIIAVTAKIAIGRTTFDPICNRPRPPLVNSGSLIKLLLTKGPQAMIHDQHKKLGSVFTVSFFGLKVTFLVGPEASGHFYQGLESEISHGNTFEFLVPIFGKEVGYGADIPTRTEQMHFYNEALKLSKRRSNVDPMVKEVEDYFSKWGEHGVVDLKHEFEKLIMLVSSRCLLGKEVREKMFGEVYMLFRELYNGMHLTSVLFPYAPSPVNRRRDRARIKLSKILIDIVRSRKAASDQVERDVLQNLIDSKYKDGRSTTEEEVTGLIIMLLFGGNHTSSVTSTWTGAYLLSHESCLTAVVEEQKKIIRKYGNHIDYNVLQEMNTLHCCIKETLRMHPVQPINLRKVHKNFTVQTREGNEYEIPRGHTIASPVLYNNSLPHIYKDPDAYDPDRFSLGREEDRVGGTFSYTSFGGGRHRCVGEAYAYMQIKVIWSHLLRNFELKLVTPFPKTNWSKLVPEPKGKVMVSFKRVAAA >PAN32727 pep chromosome:PHallii_v3.1:5:57193559:57196677:-1 gene:PAHAL_5G507700 transcript:PAN32727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKWVKSLIGLKKPEKEDGKDKLQVPSVHGGLRGKGRKWKLWWSSSGDHGSLWRGSRGGSHRSAASEASDDASSVAAPTDPFTAAVATVARAPARDFMAVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTQMDILREAEEGWCDSQGTLEEVRVKLQKRQEGAIKRERAIAYAYSQQVEGAAKCNPPKLTCNGRLNHSGMLLKHQNFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLRSTKNFEDSFGVLGDFSEPNSVKVRKNNVSKRVCAKPPGASHSQAHHQRFKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTSEKTEDNVRTRPNYMSMTESIKAKQKVCSAERTTALKQSEDRKGMNAELKAIQV >PAN33104 pep chromosome:PHallii_v3.1:5:58907800:58912902:1 gene:PAHAL_5G533200 transcript:PAN33104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANGPSPGRLASVYSEVQTSRLHHALQLPSVLSSQFSLVDGPPSSATGNPDEIAKLFPNLFGQPSAALVPGKEAVEGKPLKVGVVLSGGQAPGGHNVICGIFDFLQHRAKGSTMYGFKGGPAGVMKCKYVELNTDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLELDGLVVIGGDDSNTNACLIAEYFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSSGKYYHFVRLMGRAASHITLECALQTHPNIALIGEEVAEKKQTLKNVTDYMTDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDTVDEAGVWKNKLEPESKKLFEFLPPSIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYKGTFIGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLQSGKTGLISSVGNLAAPVAEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASVRDEWGLKNRYISPGPIQFTGSGADAVNHTLLLELGAQA >PAN27722 pep chromosome:PHallii_v3.1:5:6211983:6218057:1 gene:PAHAL_5G101900 transcript:PAN27722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAKARNGSAEHPTRTSSQGSNKAGRTGRMAESPTGLSPKVDRRSAMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSEVSRKRALQEADDARAQATAASAQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADRRQDVVDALATIDELRVKLKASEEAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEVAEASSRIASERCNCSGSEAAELRSELEAAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKASLFDKESELRRALDANKKLQSEARTDSSADALKEQLQGALQENGQLKQELHQYESEKGSAAARTPEADAVEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERSESLEGGKYAGLCEDLDDDAAARKNGNVLRRISGMWKK >PAN27723 pep chromosome:PHallii_v3.1:5:6211803:6218057:1 gene:PAHAL_5G101900 transcript:PAN27723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAKARNGSAEHPTRTSSQGSNKAGRTGRMAESPTGLSPKVDRRSAMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSEVSRKRALQEADDARAQATAASAQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADRRQDVVDALATIDELRVKLKASEEAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEVAEASSRIASERCNCSGSEAAELRSELEAAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKASLFDKESELRRALDANKKLQSEARTDSSADALKEQLQGALQENGQLKQELHQYESEKGSAAARTPEADAVEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERSESLEGGKYAGLCEDLDDDAAARKNGNVLRRISGMWKK >PAN27725 pep chromosome:PHallii_v3.1:5:6214740:6217764:1 gene:PAHAL_5G101900 transcript:PAN27725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNKMHFLKLYSSSNSSRNGSAEHPTRTSSQGSNKAGRTGRMAESPTGLSPKVDRRSAMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSEVSRKRALQEADDARAQATAASAQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADRRQDVVDALATIDELRVKLKASEEAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEVAEASSRIASERCNCSGSEAAELRSELEAAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKASLFDKESELRRALDANKKLQSEARTDSSADALKEQLQGALQENGQLKQELHQYESEKGSAAARTPEADAVEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERSESLEGGKYAGLCEDLDDDAAARKNGNVLRRISGMWKK >PAN27724 pep chromosome:PHallii_v3.1:5:6211803:6218057:1 gene:PAHAL_5G101900 transcript:PAN27724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAKARNGSAEHPTRTSSQGSNKAGRTGRMAESPTGLSPKVDRRSAMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSEVSRKRALQEADDARAQATAASAQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADRRQDVVDALATIDELRVKLKASEEAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEVAEASSRIASERCNCSGSEAAELRSELEAAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKASLFDKESELRRALDANKKLQSEARTDSSADALKEQLQGALQENGQLKQELHQYESEKGSAAARTPEADAVEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERSESLEGGKYAGLCEDLDDDAAARKNGNVLRRISGMWKK >PAN27726 pep chromosome:PHallii_v3.1:5:6214740:6217764:1 gene:PAHAL_5G101900 transcript:PAN27726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNKMHFLKLYSSSNSSRNGSAEHPTRTSSQGSNKAGRTGRMAESPTGLSPKVDRRSAMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSEVSRKRALQEADDARAQATAASAQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADRRQDVVDALATIDELRVKLKASEEAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEVAEASSRIASERCNCSGSEAAELRSELEAAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKASLFDKESELRRALDANKKLQSEARTDSSADALKEQLQGALQENGQLKQELHQYESEKGSAAARTPEADAVEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERSESLEGGKYAGLCEDLDDDAAARKNGNVLRRISGMWKK >PVH38204 pep chromosome:PHallii_v3.1:5:12001434:12009675:1 gene:PAHAL_5G198400 transcript:PVH38204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLHLLLPALLLLLAVADALPPSCSEVVTCAGLVVQYPFRLDSSASRCGYPGLDLVCERNATLILPVKSHRYRVFSINYTAHTVVVSDAAVVDEYAVVGCPRLRVNLTIDYASSWLQLTQSDSNVTFFYNCKKNISRSSAVELTGCRQDGKRSYALPDGWITGAEAYEYECEEVVVAPVFDVHKKAIAGAPGPPPGNGSFGFRELLQGGFELNYDTHSQLCDGCERSGGWCGYRHNQTNGGMNFTCFCDGGPATARCESRREKRTEAAERRHATGSRGLITGDPRSEGVKPKDQL >PVH37952 pep chromosome:PHallii_v3.1:5:7900490:7902401:-1 gene:PAHAL_5G132400 transcript:PVH37952 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH [Source:Projected from Arabidopsis thaliana (AT4G03240) UniProtKB/TrEMBL;Acc:A0A178V3B4] MGQRPGNCSLGFHWILLEPSSRHVTRLRPLRAQHASFPSPCHHRPTPVAVGAQAAAAAMASRKLLLGLTAGRRLRSRTQQLFWAASLPEATTSRSLAAVVAAAQQSGGSPAALLFSSRTISSTRPATQSAGDAPGSSAVDHKLIMPEDEFHKLADETIHDLLEKLEEYGDSIQMDGFDIDYGNQVLTLRLGDLGTYVVNKQTPNRQIWLSSPVSGPSRFDWDASTDGWIYKRTGANLVQLLEKEIGELCGTPVELS >PAN32902 pep chromosome:PHallii_v3.1:5:57946346:57947630:-1 gene:PAHAL_5G518400 transcript:PAN32902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAPSSPPTHLGAQTASILRRPWSSLFLFRISPASRRISTMPSPVRLAFLSSNTAGDILEAWSSPVTEPSRRAAIILSAQSGSPTPTGMPESPLCRGGIHRP >PAN28013 pep chromosome:PHallii_v3.1:5:7484862:7490620:-1 gene:PAHAL_5G124700 transcript:PAN28013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYCCFRKPRQPSNMPVLQINHGELISFQGMLGLLGRPGVGVVVIQGIGGSGKTWAAKALYRAAKTSNLFDEYIWVSLSINCSMRKCFNKIAASLSCKTRDGLSVESTRAIIKEYLTPRKFLLVLDNAHFTEESILEYLGVPDPRQQRLGSKIFVTTRTGRARSVMLPNIEIMPQPLTYEESYDLLREKIGKDISFAHDLISYCYGIPLIIILLAGALYDAPTEETFSELVANAHAALSTKTSFFNTMQCMVKFGYHQLPSDNVRHCLLYCLIFPDDQGISVKELIWYWIMDGLLQEAVGFDEANHIGKEILDVLIKHGMVYLDDNDHVHMHNMIRETVSRFGKDMGYKEQSYQYFSNPIVKLEHLSKYSDRVSLMDTEVEYLRGSPKCLHISSLLLRGNYLLKAISDEFFNQMAGTLQILDLSFTRNEVLPHSISYLIRLRMLLLIGCDHLQEIRYIAPLERLEVLDASGCSSLKSVESGSFDRMVFLKVLDLSATSITSLTSIPVSMELRHINLQGCPFLGSEAPYGVSKDGGIRNLQLGSIEDLAAWMGMLWLPCGLTFQLSDRFGMKVSLDANRDSNSYVYASDTYFLKCLGEDSPLWYNCFQKFQIVISPSMDSETMDTDGQVRNTDSIFENSYFRAKHFTYSTDPTRYLEINGTVGVPSDLDGILCHAELILLKRLVMTTRFSDLIVRSMAAVRELWLENCDHLESLLSADEVQALSTVGNLSSLCKGVEDVTSFSCLKHLLLYCCPKLLYLFPSALHFPNLKTLHIRFCDVLKRVFDSSVLGEDTLPRLQSLQLWELPELTCVCGGVLPSLKNLKVRGCAKLRKIPVSVNENSPFVTTTGEQLWWDSLLWDDETIKRWLLFRNWGPLLPHLATEG >PAN28011 pep chromosome:PHallii_v3.1:5:7484862:7491096:-1 gene:PAHAL_5G124700 transcript:PAN28011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYCCFRKPRQPSNMPVLQINHGELISFQGMLGLLGRPGVGVVVIQGIGGSGKTWAAKALYRAAKTSNLFDEYIWVSLSINCSMRKCFNKIAASLSCKTRDGLSVESTRAIIKEYLTPRKFLLVLDNAHFTEESILEYLGVPDPRQQRLGSKIFVTTRTGRARSVMLPNIEIMPQPLTYEESYDLLREKIGKDISFAHDLISYCYGIPLIIILLAGALYDAPTEETFSELVANAHAALSTKTSFFNTMQCMVKFGYHQLPSDNVRHCLLYCLIFPDDQGISVKELIWYWIMDGLLQEAVGFDEANHIEIRYIAPLERLEVLDASGCSSLKSVESGSFDRMVFLKVLDLSATSITSLTSIPVSMELRHINLQGCPFLGSEAPYGVSKDGGIRNLQLGSIEDLAAWMGMLWLPCGLTFQLSDRFGMKVSLDANRDSNSYVYASDTYFLKCLGEDSPLWYNCFQKFQIVISPSMDSETMDTDGQVRNTDSIFENSYFRAKHFTYSTDPTRYLEINGTVGVPSDLDGILCHAELILLKRLVMTTRFSDLIVRSMAAVRELWLENCDHLESLLSADEVQALSTVGNLSSLCKGVEDVTSFSCLKHLLLYCCPKLLYLFPSALHFPNLKTLHIRFCDVLKRVFDSSVLGEDTLPRLQSLQLWELPELTCVCGGVLPSLKNLKVRGCAKLRKIPVSVNENSPFVTTTGEQLWWDSLLWDDETIKRWLLFRNWGPLLPHLATEG >PAN28014 pep chromosome:PHallii_v3.1:5:7484323:7490620:-1 gene:PAHAL_5G124700 transcript:PAN28014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYCCFRKPRQPSNMPVLQINHGELISFQGMLGLLGRPGVGVVVIQGIGGSGKTWAAKALYRAAKTSNLFDEYIWVSLSINCSMRKCFNKIAASLSCKTRDGLSVESTRAIIKEYLTPRKFLLVLDNAHFTEESILEYLGVPDPRQQRLGSKIFVTTRTGRARSVMLPNIEIMPQPLTYEESYDLLREKIGKDISFAHDLISYCYGIPLIIILLAGALYDAPTEETFSELVANAHAALSTKTSFFNTMQCMVKFGYHQLPSDNVRHCLLYCLIFPDDQGISVKELIWYWIMDGLLQEAVGFDEANHIGKEILDVLIKHGMVYLDDNDHVHMHNMIRETVSRFGKDMGYKEQSYQYFSNPIVKLEHLSKYSDRVSLMDTEVEYLRGSPKCLHISSLLLRGNYLLKAISDEFFNQMAGTLQILDLSFTRNEVLPHSISYLIRLRMLLLIGCDHLQEIRYIAPLERLEVLDASGCSSLKSVESGSFDRMVFLKVLDLSATSITSLTSIPVSMELRHINLQGCPFLGSEAPYGVSKDGGIRNLQLGSIEDLAAWMGMLWLPCGLTFQLSDRFGMKVSLDANRDSNSYVYASDTYFLKCLGEDSPLWYNCFQKFQIVISPSMDSETMDTDGQVRNTDSIFENSYFRAKHFTYSTDPTRYLEINGTVGVPSDLDGILCHAELILLKRLVMTTRFSDLIVRSMAAVRELWLENCDHLESLLSADEVQALSTVGNLSSLCKGVEDVTSFSCLKHLLLYCCPKLLYLFPSALHFPNLKTLHIRFCDVLKRVFDSSVLGEDTLPRLQSLQLWELPELTCVCGGVLPSLKNLKVRGCAKLRKIPVSVNENSPFVTTTGEQLWWDSLLWDDETIKRWLLFRNWGPLLPHLATEG >PAN28030 pep chromosome:PHallii_v3.1:5:7484862:7490724:-1 gene:PAHAL_5G124700 transcript:PAN28030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNGIMASYCCFRKPRQPSNMPVLQINHGELISFQGMLGLLGRPGVGVVVIQGIGGSGKTWAAKALYRAAKTSNLFDEYIWVSLSINCSMRKCFNKIAASLSCKTRDGLSVESTRAIIKEYLTPRKFLLVLDNAHFTEESILEYLGVPDPRQQRLGSKIFVTTRTGRARSVMLPNIEIMPQPLTYEESYDLLREKIGKDISFAHDLISYCYGIPLIIILLAGALYDAPTEETFSELVANAHAALSTKTSFFNTMQCMVKFGYHQLPSDNVRHCLLYCLIFPDDQGISVKELIWYWIMDGLLQEAVGFDEANHIEIRYIAPLERLEVLDASGCSSLKSVESGSFDRMVFLKVLDLSATSITSLTSIPVSMELRHINLQGCPFLGSEAPYGVSKDGGIRNLQLGSIEDLAAWMGMLWLPCGLTFQLSDRFGMKVSLDANRDSNSYVYASDTYFLKCLGEDSPLWYNCFQKFQIVISPSMDSETMDTDGQVRNTDSIFENSYFRAKHFTYSTDPTRYLEINGTVGVPSDLDGILCHAELILLKRLVMTTRFSDLIVRSMAAVRELWLENCDHLESLLSADEVQALSTVGNLSSLCKGVEDVTSFSCLKHLLLYCCPKLLYLFPSALHFPNLKTLHIRFCDVLKRVFDSSVLGEDTLPRLQSLQLWELPELTCVCGGVLPSLKNLKVRGCAKLRKIPVSVNENSPFVTTTGEQLWWDSLLWDDETIKRWLLFRNWGPLLPHLATEG >PAN28012 pep chromosome:PHallii_v3.1:5:7484862:7491097:-1 gene:PAHAL_5G124700 transcript:PAN28012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYCCFRKPRQPSNMPVLQINHGELISFQGMLGLLGRPGVGVVVIQGIGGSGKTWAAKALYRAAKTSNLFDEYIWVSLSINCSMRKCFNKIAASLSCKTRDGLSVESTRAIIKEYLTPRKFLLVLDNAHFTEESILEYLGVPDPRQQRLGSKIFVTTRTGRARSVMLPNIEIMPQPLTYEESYDLLREKIGKDISFAHDLISYCYGIPLIIILLAGALYDAPTEETFSELVANAHAALSTKTSFFNTMQCMVKFGYHQLPSDNVRHCLLYCLIFPDDQGISVKELIWYWIMDGLLQEAVGFDEANHIEIRYIAPLERLEVLDASGCSSLKSVESGSFDRMVFLKVLDLSATSITSLTSIPVSMELRHINLQGCPFLGSEAPYGVSKDGGIRNLQLGSIEDLAAWMGMLWLPCGLTFQLSDRFGMKVSLDANRDSNSYVYASDTYFLKCLGEDSPLWYNCFQKFQIVISPSMDSETMDTDGQVRNTDSIFENSYFRAKHFTYSTDPTRYLEINGTVGVPSDLDGILCHAELILLKRLVMTTRFSDLIVRSMAAVRELWLENCDHLESLLSADEVQALSTVGNLSSLCKGVEDVTSFSCLKHLLLYCCPKLLYLFPSALHFPNLKTLHIRFCDVLKRVFDSSVLGEDTLPRLQSLQLWELPELTCVCGGVLPSLKNLKVRGCAKLRKIPVSVNENSPFVTTTGEQLWWDSLLWDDETIKRWLLFRNWGPLLPHLATEG >PAN28692 pep chromosome:PHallii_v3.1:5:10440502:10442266:-1 gene:PAHAL_5G172800 transcript:PAN28692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESDEHSNGNGGGGHHHHGYEWKFPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGQLQSLAGDPSCDAGPGMARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDAIRAHIDARVLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCASNRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >PAN26806 pep chromosome:PHallii_v3.1:5:2517455:2520956:-1 gene:PAHAL_5G039800 transcript:PAN26806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSRAVALGAAFLLLLVALPSAFLYLTSSAAPSASRAALLNLKPFSARCPPAAAPPLRVFMYDLPPRFHVAMMAANGPNATAGLFPAWPPSAGGIRRQHSVEYWMMASLQDGGGGGGAGSGRRGAVRVRDPDAAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRNMVNASILIVADFGRYTKELASLRKDVVAPYVHVVGSFVDDDAPDPFEARHTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFENSFATGDGIKISTEGMRSSKFCLHPAGDTPSSCRLFDAILKKL >PAN26805 pep chromosome:PHallii_v3.1:5:2518800:2520867:-1 gene:PAHAL_5G039800 transcript:PAN26805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSRAVALGAAFLLLLVALPSAFLYLTSSAAPSASRAALLNLKPFSARCPPAAAPPLRVFMYDLPPRFHVAMMAANGPNATAGLFPAWPPSAGGIRRQHSVEYWMMASLQDGGGGGGAGSGRRGAVRVRDPDAAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRNMVNASILIVADFGRYTKELASLRKDVVAPYVHVVGSFVDDDAPDPFEARHTLLFFRGRTVRKDVWN >PAN26820 pep chromosome:PHallii_v3.1:5:2517454:2520956:-1 gene:PAHAL_5G039800 transcript:PAN26820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSRAVALGAAFLLLLVALPSAFLYLTSSAAPSASRAALLNLKPFSARCPPAAAPPLRVFMYDLPPRFHVAMMAANGPNATAGLFPAWPPSAGGIRRQHSVEYWMMASLQDGGGGGGAGSGRRGAVRVRDPDAAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRNMVNASILIVADFGRYTKELASLRKDVVAPYVHVVGSFVDDDAPDPFEARHTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFENSFATGDGIKISTEGMRSSKFCLHPAGDTPSSCRLFDAILKKL >PAN26804 pep chromosome:PHallii_v3.1:5:2517937:2520867:-1 gene:PAHAL_5G039800 transcript:PAN26804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSRAVALGAAFLLLLVALPSAFLYLTSSAAPSASRAALLNLKPFSARCPPAAAPPLRVFMYDLPPRFHVAMMAANGPNATAGLFPAWPPSAGGIRRQHSVEYWMMASLQDGGGGGGAGSGRRGAVRVRDPDAAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRNMVNASILIVADFGRYTKELASLRKDVVAPYVHVVGSFVDDDAPDPFEARHTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFENSFATGDGIKISTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQISKKKWVEMWLKLKNVSHHYEFQYPPRKGDAVNMIWRQVRHKIPAVNLAIHRNRRLKIPDWWG >PAN31744 pep chromosome:PHallii_v3.1:5:52948395:52951741:1 gene:PAHAL_5G441000 transcript:PAN31744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDSSGAGAGAGGAAAAAAKWRSDASRAFQYYLDRSTPHATGRWLGTLAAAAIYALRVYMVQGFYIVTYGLGIYLLNLLIGFLSPMVDPELDPSAAAEGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFVVAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQKYGGKKSGSSSGSPRD >PAN28450 pep chromosome:PHallii_v3.1:5:9227423:9231956:-1 gene:PAHAL_5G153400 transcript:PAN28450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKGFWESRFGGKKEREPEQNGSANGEANGTAPKRTADLAVYEQFEQQARQTQVRAAAIRDGAADVIQKPLLPPFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARQAMFEELLPATTSKLEVPYKVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEASDELVPEEELPEVGPLKPEDIELALRNTRPSAHLHAHRYEKFNQDYGSQVLCSEQA >PAN28116 pep chromosome:PHallii_v3.1:5:7854247:7860804:1 gene:PAHAL_5G131700 transcript:PAN28116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDATTRGTDAVEAPPPAARLPKRRAMASLRLLCALLCLLRHLAASHAAARDTVTPGSPLTAKETLVSGGDGNFAMGFFTPPGANSTYLGVWYNKVSLRTVVWVANREAPIAGAVEDNPGATLSVSAGGTLAIAAGNGTVVWSVQPAARLANPAAQILDNGNLVLADGVGGAVAWEGFDYPTDTMLPEMKIGIDYVKRKNRTLTSWKSPSDPSPGPVAMVMDTNGDPQVFIWNGGEKVWRSGPWDGVQFTGVPDTATYSGFTFSFINNAQEVTYSFQVHNASIISHLGVVSTGNYGLLQRSTWVESAGTWNLYWYAPKDQCDAVSPCGPNGVCDTNNLPVCSCLRGFTPKTPAAWALRDGRDGCVRSTPLDCRNGTDGFAKVRHAKVPDTERSVVDMSLTLDQCRAACLRNCSCTAYASANVSAGGRGIGDGTGCVMWTTGLTDLRVYPDFGQDLYVRLAAADLELASKSRRAPIIIAVGASVSALVLLLAVAGLLIWSRRKRLRRTAGSSKWSGSRSTGRRYEGSSHDNDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGMEIAVKTLSKTSAQGLDEFKNEVLLIAKLQHRNLVRLLGCSISGQERMLVYEYMANKSLDYFLFEKANVVLDWQVRYHIIEGITRGLLYLHQDSRYRIIHRDLKAANVLLDKEMTPKISDFGMARIFGNEETEINTRKVVGTYGYMSPEYAMDGIFSVKSDVFSYGVLLLEIVSGRRNRGVYSYSNNQSLLGHAWSLWNEEKSIELADERMGGSFNSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLATPDAASLPTPKQPGFAARRVLMETDTSSTKPDCSIFDSATITMLEGR >PAN28316 pep chromosome:PHallii_v3.1:5:8652524:8658093:-1 gene:PAHAL_5G144700 transcript:PAN28316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTTSVLAAKAYKYKAESLVKEYLLADSYVSYTAVLGGILMCKMAYDITSLISSLYYKGYGSLTKIQKLEWNNRGMSTVHAVFITVTSVYLVFFSNLFSDQLDGPVTFRSSNLSNFTLGVSVGYFITDLAMILWAYPSLGGMEYVLHHMLSLISIVYAMYSGEGQLYTYMVLISETTTPGINLRWFLDTAGLKKSKAYLVNGVAMFVAWLVARIILFVYLFYHIYFHYDQVKQMHTFSCILIFAVPTILLVMNTMWFAKILRGLKKTLAKRQ >PVH39001 pep chromosome:PHallii_v3.1:5:51129052:51132225:-1 gene:PAHAL_5G413900 transcript:PVH39001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVEGGTRALPPRAADPVHPKSASPRHGATPPRKRPPRPSPSPLRGRPAVRPLPLKTGSHAPRRPLAPPTKPHAEAHARARLPARKTNMAVAALPFSPRRPFSSPCFLLCFLLGFVAGLFPFAHRHLLLDLRHLPLPEDPPPALVRAAAEADREPPTLIVVTATRARPLQAYHLHRLAHTLRLVPAPLLWLVVERGAATRETAALLRGCGVMYRHLASPDDDARRVPGRTAVERRGGLRQRNAALDHIEQHRIHGLVYFADEDNVYSLDLFQQLRAIRSFGTWPVAMLGVGKSKTLLEGPVCDDGRVVGWHTNERNSRSRRFHVNTSGFAFNSSMLWDADKRAHQAWNYIRLLDTVREGFQGWQMTENLDVIIPL >PVH39002 pep chromosome:PHallii_v3.1:5:51130874:51132225:-1 gene:PAHAL_5G413900 transcript:PVH39002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVEGGTRALPPRAADPVHPKSASPRHGATPPRKRPPRPSPSPLRGRPAVRPLPLKTGSHAPRRPLAPPTKPHAEAHARARLPARKTNMAVAALPFSPRRPFSSPCFLLCFLLGFVAGLFPFAHRHLLLDLRHLPLPEDPPPALVRAAAEADREPPTLIVVTATRARPLQAYHLHRLAHTLRLVPAPLLWLVVERGAATRETAALLRGCGVMYRHLASPDDDARRVPGRTAVERRGGLRQRNAALDHIEQHRIHGLVYFADEDNVYSLDLFQQLRAIRSFGTWPVAMLGVGKSKTLLEGPVCDDGRVVGWHTNERNSRSRRFHVNTSGFAFNSSMLWDADKRAHQAWNYIRLLDTVREGFQVTCYLLCLCPCRKFFIVLI >PVH39003 pep chromosome:PHallii_v3.1:5:51129990:51132225:-1 gene:PAHAL_5G413900 transcript:PVH39003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVEGGTRALPPRAADPVHPKSASPRHGATPPRKRPPRPSPSPLRGRPAVRPLPLKTGSHAPRRPLAPPTKPHAEAHARARLPARKTNMAVAALPFSPRRPFSSPCFLLCFLLGFVAGLFPFAHRHLLLDLRHLPLPEDPPPALVRAAAEADREPPTLIVVTATRARPLQAYHLHRLAHTLRLVPAPLLWLVVERGAATRETAALLRGCGVMYRHLASPDDDARRVPGRTAVERRGGLRQRNAALDHIEQHRIHGLVYFADEDNVYSLDLFQQLRAIRSFGTWPVAMLGVGKSKTLLEGPVCDDGRVVGWHTNERNSRSRRFHVNTSGFAFNSSMLWDADKRAHQAWNYIRLLDTVREGFQETTFIEQLVEDETHMEGIPADCSKIMNFNLRLEDKHLLYPQGWQMTENLDVIIPL >PVH39237 pep chromosome:PHallii_v3.1:5:54397616:54398778:-1 gene:PAHAL_5G461700 transcript:PVH39237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYERAVAGQREGEEEQGGEVPVPGAVAGAVGGMAREAASSEQEAISGTGNAGGHGAAESESDDEEVNKDALTEESVVVAPAGNASRGVDSRSAQNEDHEMPEENPALTHFELRGFWPARRAIIRAMPPSSYRHMPLRSRKRSNPTRLNDMGGAGSGGANAHDPGTYSEAAGGPPPSAGFGSAPATPRSVLPSAMVNASHGARNEVLGSTARLASARDGRRGRKAEFRRSQERHQREGRGGGGGGLVMPPTFSQQEAAPPRALHLRLRGSRGRSPRQGSPEQHCSGQVPHVTGWRARKD >PVH39236 pep chromosome:PHallii_v3.1:5:54397616:54398778:-1 gene:PAHAL_5G461700 transcript:PVH39236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYERAVAGQREGEEEQGGEVPVPGAVAGAVGGMAREAASSEQEAISGTGNAGGHGAAESESDDEEDALTEESVVVAPAGNASRGVDSRSAQNEDHEMPEENPALTHFELRGFWPARRAIIRAMPPSSYRHMPLRSRKRSNPTRLNDMGGAGSGGANAHDPGTYSEAAGGPPPSAGFGSAPATPRSVLPSAMVNASHGARNEVLGSTARLASARDGRRGRKAEFRRSQERHQREGRGGGGGGLVMPPTFSQQEAAPPRALHLRLRGSRGRSPRQGSPEQHCSGQVPHVTGWRARKD >PVH39238 pep chromosome:PHallii_v3.1:5:54397330:54398853:-1 gene:PAHAL_5G461700 transcript:PVH39238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYERAVAGQREGEEEQGGEVPVPGAVAGAVGGMAREAASSEQEAISGTGNAGGHGAAESESDDEEVNKDALTEESVVVAPAGNASRGVDSRSAQNEDHEMPEENPALTHFELRGFWPARRAIIRAMPPSSYRHMPLRSRKRSNPTRLNDMGGAGSGGANAHDPGTYSEAAGGPPPSAGFGSAPATPRSVLPSAMVNASHGARNEVLGSTARLEMDAAVAKPSSGDPKSGTNAKDAAAAAAASSCHLPSRSRKQRRPEHFTSDSEEAVAAARAKAHRSNTALDRFLTSQVGGPEKTDGSARILAVVAILGASLALSAASCLLFYIASQQTDPRPSDSRKKK >PAN32079 pep chromosome:PHallii_v3.1:5:54397330:54398853:-1 gene:PAHAL_5G461700 transcript:PAN32079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYERAVAGQREGEEEQGGEVPVPGAVAGAVGGMAREAASSEQEAISGTGNAGGHGAAESESDDEEDALTEESVVVAPAGNASRGVDSRSAQNEDHEMPEENPALTHFELRGFWPARRAIIRAMPPSSYRHMPLRSRKRSNPTRLNDMGGAGSGGANAHDPGTYSEAAGGPPPSAGFGSAPATPRSVLPSAMVNASHGARNEVLGSTARLEMDAAVAKPSSGDPKSGTNAKDAAAAAAASSCHLPSRSRKQRRPEHFTSDSEEAVAAARAKAHRSNTALDRFLTSQVGGPEKTDGSARILAVVAILGASLALSAASCLLFYIASQQTDPRPSDSRKKK >PVH39539 pep chromosome:PHallii_v3.1:5:58807156:58807360:1 gene:PAHAL_5G532100 transcript:PVH39539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLVLACVLAEPTWPENSKRRQLFRKTFMDLDPVAVSKIN >PAN28745 pep chromosome:PHallii_v3.1:5:10621623:10627006:-1 gene:PAHAL_5G176100 transcript:PAN28745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTLKGIRGCDAPGVLDMDDAATVGGGVGDTYGEDCATEDQLVTPWTVSVASGYNLLRDPRYNKGLAFTERERETHYLRGLLPPAIVSQELQERKIMNNIRQYQVPLQRYMAMMDLQENNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITLDVGTNNKDLLNDEFYIGLRQRRATGQEYADFLQEFMTAVKQNYGEKVLIQFEDFANHNAFELLARYGTTHLVFNDDIQGTASVVLAGLIAAQKLLGGTLAEHTFLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHETIGNLLDAVNAIKPTVLIGTSGKGQTFTQYVIEAISSFNERPIILALSNPTSQSECTAEQAYTWSKGRAVFATGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVSEENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPRDLVKYAESCMYSPVYRNYR >PAN28744 pep chromosome:PHallii_v3.1:5:10621623:10627067:-1 gene:PAHAL_5G176100 transcript:PAN28744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALRARFLPWQWEGGPRRAPEERGVECAARSLWWCVGGRRWFSAEEMESTLKGIRGCDAPGVLDMDDAATVGGGVGDTYGEDCATEDQLVTPWTVSVASGYNLLRDPRYNKGLAFTERERETHYLRGLLPPAIVSQELQERKIMNNIRQYQVPLQRYMAMMDLQENNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITLDVGTNNKDLLNDEFYIGLRQRRATGQEYADFLQEFMTAVKQNYGEKVLIQFEDFANHNAFELLARYGTTHLVFNDDIQGTASVVLAGLIAAQKLLGGTLAEHTFLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHETIGNLLDAVNAIKPTVLIGTSGKGQTFTQYVIEAISSFNERPIILALSNPTSQSECTAEQAYTWSKGRAVFATGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVSEENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPRDLVKYAESCMYSPVYRNYR >PAN28746 pep chromosome:PHallii_v3.1:5:10621623:10629144:-1 gene:PAHAL_5G176100 transcript:PAN28746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIRQYQVPLQRYMAMMDLQENNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITLDVGTNNKDLLNDEFYIGLRQRRATGQEYADFLQEFMTAVKQNYGEKVLIQFEDFANHNAFELLARYGTTHLVFNDDIQGTASVVLAGLIAAQKLLGGTLAEHTFLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHETIGNLLDAVNAIKPTVLIGTSGKGQTFTQYVIEAISSFNERPIILALSNPTSQSECTAEQAYTWSKGRAVFATGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVSEENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPRDLVKYAESCMYSPVYRNYR >PVH38155 pep chromosome:PHallii_v3.1:5:11262237:11262725:-1 gene:PAHAL_5G186700 transcript:PVH38155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNYYQRTWCGSAAATTNYDEIEACAVVVSTLRKKRRWGGSVVGHKTKNRDRIGGNIQLNNDYFIERPLFNAEQHFNSCI >PVH39256 pep chromosome:PHallii_v3.1:5:54633360:54634355:1 gene:PAHAL_5G464900 transcript:PVH39256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFQIVAARIHDMRGKIPNDDRRHGKIYLENTKMAATFQLKQTEESGIYAQETAQMRTIALNYVKNHMVAGLKCYFDHIDADVKKEYSWWIDFKLNIWDIDMVEELPQQDDRTSSGLFVLKYMEHWNGKRLEKGFTQNLIDQFRKKLAAILVNSPLNEENAITRSPLRFS >PVH39255 pep chromosome:PHallii_v3.1:5:54633360:54634354:1 gene:PAHAL_5G464900 transcript:PVH39255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFQIVAARIHDMRGKIPNDDRRHGKIYLENTKMAATFQLKQTEESGIYAQETAQMRTIALNYVKNHMIFLPMSVQKQNHWFLSVVDAKLRCIQVLNSHKPLAANILQVVRNMVAGLKCYFDHIDADVKKEYSWWIDFKLNIWDIDMVEELPQQDDRTSSGLFVLKYMEHWNGKRLEKGFTQNLIDQFRKKLAAILVNSPLNEENAITRSPLRFS >PAN31707 pep chromosome:PHallii_v3.1:5:52838926:52844000:-1 gene:PAHAL_5G439300 transcript:PAN31707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLPPPPLHLLLLLLVLPIPLASSSAADLAALLALKAAVAHDPGGALSAWSAASATSYCRWRGVTCHPSSLAVAAIDLPAASLSGALPAALPLPPRLRRLDLAANNFSGPVPDAFLAPATLRYLDLRFNSLSGALEIPPPLANSSSPPCAALTHLRLTGNFLVGQIPAEIAQCRSLRVLDLSRNVLEGAIPRELGRLGALRVLDVSRNSLTDRIPAELANCRELAVLVLTNLTASPGEQPEFNAFVGGLPTEVLTIPALEVLWAPRANLDGRLPLSRNGTCRLRAVNLGQNYVAGTMPPWLGECQDLTFLDLSFNRLEGSMAAELAIGCLTYLNVSGNSLSGPLLSSTESQCSSRLIGDDIVMQYYDELVGNVLIGNPFGSELASIANVTLHDFSNNGFGGALPSITVSLDRNYSYGLWLNGNMFNTTLSARFFGFCKVATGVAINLSSNQLSGSLDMLSSCISIHNFDAGYNNFSGSIPADVGGLHFLRRLVLSGNNLTGQIPGQFGDLAALEVLDLSRNSLTGSIPLHLTDASHLQVLRLDHNRLSGTIPPSFSELAQLTVLDVSFNNLSGDIPNLRHPADCGFFIGNPLLHQCLGTNASLPPTEAVSSSKGVKKWGARFKSLIVILVAASAAVISFLLVILLFFVCERRKRAKISNLRTKVVVTFTDAPPELTYENLIRATSNFSIQNLIGSGGFGATYKAELVPGFLVAVKRLAMGRFQGLQQFDAEIRTLGRIRHRNLVTLIGYHLGESDTFLIYNYLSGGNLETFIHEMGSRNVSWTEVHKIAVDVAQALAFLHFSCTPRIIHRDIKPSNILLDEDLNAYLSDFGLARLIEVTQTHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSQFGDGFTIVSWGRMLMQEDNTSEFFSRGLLDAARKDRLTEMLKIALSCTSESVAVRPSMRQVAAKLKQLENDR >PAN28016 pep chromosome:PHallii_v3.1:5:7495406:7497952:1 gene:PAHAL_5G125000 transcript:PAN28016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNGRYMESLLAVWNEWEIRMLMLTSLALQVFLLFFAGIRKRNVSAVLSLLLWLAYLLADSISIYALGYLSQTRVPKGVDPRSFKRSTHRIQALWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLTQVGLAVYVFTKSHPGADILAPAVFMFVSGIVKYGERTWALKCASVDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEQERRAEAAAAVAVGVAEESVPYTEVIADASRFFVIFKRLFVNLILSFQERTRSQATFLRLTPEQAYKVIEIELSLMYDTLHSKAAVIHTWYGRLFRCLTLLSTSTACLLFNVLHHKGMHQSSYNHVDVCITNILFGGALCLEVYAIGMMLISYWTYAALRSCNFCFLSNLIFRSIQYFRPESRSKWSNLMAQHNLISFCLLDKPTMLTKLLSVLGLKGHWDSWLHTRHIDVSHELKILVFRELKDKTVSIVDAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFHSDDDNGDAKIAPYVGISRAMSNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKNFFGRAGTAHPDAGPAARMVLGVSAEIAPRDVKGDRSKSVLFDACRLAKSLLELPPRKRWRVIRVVWVEMLCYAANKCRSNFHAKQLSGGGELLTVVWFLMAHLGIGEQYRIEAGHARAKLIVEKN >PVH38535 pep chromosome:PHallii_v3.1:5:19786416:19790497:-1 gene:PAHAL_5G282300 transcript:PVH38535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCCYFLVLVMIACLSSWTTTTTLADPRATVVREFCNKTRDDGPGAVWANNFVVSFDNLNSDLEQKGWGITSVGQDPITFYALVQCLEDLSKVDCTLCYSEIRSLLPKCYPEIGGRIYLDGCFMRYANYSFFDEIMDSLDTSVCSSSNHSSDQQGFSSAVNTVLSNVTTLAVKSNRGFAVSSAFRSMEVAAYALGQCWENLNTSSCAACLSAAAASVAKCAPAEEGRALFAGCFIRYSTAPFWNTEDSVSRLSSKKRVVLWTVLSSSVCVILVLIISVLAWQKKKARQARERSLKAADLYGSELPARISQSGLNFSYKDLKKATCSFDLTNKIGQGSNGTVYKAILPGGNEVAAKRLFLNTKQCIDQFFNEVDVISQVRHKNLVKLLGCSVDGPESFLIYEYHFNRSLDLFIFTDDQNRHLDWKQRFDIIYGIAEGLCYLHEESETRIIHRDIKASNVLLDQKLKPKITDFGLARVLCGDRTHLTTGIAGTVGYMAPEYVVHGHLTKKADVYSFGVLVIEIVTGKRCCGSTGSHSGHSLLAEVWLSYKANTVEKIVDARLRQQVGAFEEITRVVQVGLLCTQANPDERPAMSRVVELLRDRDGARGDAGFVLGGPPFFEVDVAGGGGGNEASTLLPTCPD >PAN32883 pep chromosome:PHallii_v3.1:5:57988350:57992438:1 gene:PAHAL_5G518900 transcript:PAN32883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRQHRRAFPLVPLLITLMAAAAYGRLISDGSPSAPLVSVIRLAALAAAGAAEGKCEQSYGFLPCTTTVLGNLFLVLVYGFLMFKAATYLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSKETAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDLGPNREAVDLTNTKGFSLTGTGITTDVQTSYAARIMGLSVIPFIIAQFPKMLKTHHGQRLAVLLALIASFLLVLSYCLYQVFQPWIQRRKLAYAKHKHVISGILRHAQMQALGRLLNDDGTPNDDVIRKLFHKIDLDKSRTLSQAELHALIVGINFEEVDFDRMDAVEKVMDDFDTSRNDAVEEEEFVQGMKKWLNEAKRSVPASGAFSNKFINEFHERTRQEHDQLIDRSDEAVESVENPGWCIAKAVGFLLLGAAIAAAFADPLVDTVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILVVCVIMALFTSFGTTFPLWTCLVAYMLYPLSLVIVYILDYVFGWS >PVH38872 pep chromosome:PHallii_v3.1:5:45741279:45741761:-1 gene:PAHAL_5G374100 transcript:PVH38872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHEGIKVCDLYMVNENSTSDSDDGNDAQGNNAGLASTERLKAFINGSERLTSDTELRMKRARDSSSCSDVSWQPKKHHPDSSNDD >PVH38873 pep chromosome:PHallii_v3.1:5:45741313:45743233:-1 gene:PAHAL_5G374100 transcript:PVH38873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRTLSPSIDVDGTDLHPGRHCNKGEIDRKKQNERERMDQRVKLEQADMDRKVHMAQVEMDFMIKEARVKIDQMLQEQRQHLDRMLMQERDNLDHRLKEEREEMDHIIEMEKWEEEIHGKDINNVLLEEKQGNNAGLASTERLKAFINGSERLTSDTELRMKRARDSSSCSDVSWQPKKHHPDSSNDD >PAN32934 pep chromosome:PHallii_v3.1:5:58089810:58093383:1 gene:PAHAL_5G521100 transcript:PAN32934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MAANQEREQRRRLLDVASRFPLPSGCRFSYGTAGFRADGATMAPAVCRAGILAALRSVKLAGAAVGIVITASHNPVGDNGVKIADPDGGMMAQHWEPFADALANAPDPDALLQLVLQFAKDEGIPLGGHHTAQVLLGRDTRPTGEYLLDAALQGINAIVGAHAIDMGILTTPQLHWMVRSKNKGIKVSESDYFTQLIDSFSRHMLELVPKDKGGDELAKKLIVDGANGIGGVKLEEIKAELSGIDIIVRNSGKEGEGILNHMCGADFVQKERVTPHGFSPEDVGVRCASLDGDADRLVYFRMSSASDNKVDLVDGDKILSLFALFIREQLDIINNNGSQVNKSMPARLGIVQTAYANGASTQFLKNHGLEVVFTPTGVKYLHKKALEYDIGIYFEANGHGTIVFSEDFLSQLQSLSNELSSDAANGSAQYHAAMRLMAASQLINQAVGDALSGLLLVEAILQYKGWSFQNWCELYSDLPSRQLKVKVIDRSSIVTTEAETKVSQPSSLQELIDKETANCAHGRCFVRPSGTEDVVRVYAEASTQVEADSLARSVAHHVEHHLG >PAN32909 pep chromosome:PHallii_v3.1:5:58089810:58093383:1 gene:PAHAL_5G521100 transcript:PAN32909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MAANQEREQRRRLLDVASRFPLPSGCRFSYGTAGFRADGATMAPAVCRAGILAALRSVKLAGAAVGIVITASHNPVGDNGVKIADPDGGMMAQHWEPFADALANAPDPDALLQLVLQFAKDEGIPLGGHHTAQVLLGRDTRPTGEYLLDAALQGINAIVGAHAIDMGILTTPQLHWMVRSKNKGIKVSESDYFTQLIDSFRHMLELVPKDKGGDELAKKLIVDGANGIGGVKLEEIKAELSGIDIIVRNSGKEGEGILNHMCGADFVQKERVTPHGFSPEDVGVRCASLDGDADRLVYFRMSSASDNKVDLVDGDKILSLFALFIREQLDIINNNGSQVNKSMPARLGIVQTAYANGASTQFLKNHGLEVVFTPTGVKYLHKKALEYDIGIYFEANGHGTIVFSEDFLSQLQSLSNELSSDAANGSAQYHAAMRLMAASQLINQAVGDALSGLLLVEAILQYKGWSFQNWCELYSDLPSRQLKVKVIDRSSIVTTEAETKVSQPSSLQELIDKETANCAHGRCFVRPSGTEDVVRVYAEASTQVEADSLARSVAHHVEHHLG >PAN29735 pep chromosome:PHallii_v3.1:5:15627797:15631405:-1 gene:PAHAL_5G247000 transcript:PAN29735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGGSSSGGRGGRRLEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDVEETSLDGRDDIEGLDASAAHVANLLSSEPSDVRLGIGGFSMGAAAALHSAACYAHGRFTNGIAYPITLSVVVGLSGWLPCSRTLRSKIESSQTALRRASALPILLNHGRADEVVTYRNGERSAEILRSSGFQYTYFKAYNGLGHYTIPEEMDDVSKWLSSRLGLDRPRG >PVH39060 pep chromosome:PHallii_v3.1:5:52059855:52060256:-1 gene:PAHAL_5G426000 transcript:PVH39060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSALFTQLIVPMAKVPRQVLRLLKIHLNKLNDPSLDLPQRQRTGDAILCMLGDMKTSFNDALKSTEPLPLPHVTPPAEIFASLQMIPDVARCDMLKSYGKLILNECLFQALMELPMDTKKEWLLMLNEKNSN >PAN27920 pep chromosome:PHallii_v3.1:5:7106361:7107575:1 gene:PAHAL_5G118000 transcript:PAN27920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCHDVEVPGKPTETGTALLETATGTIQGFAPLGQIHQHLCAFHFYADDMGRQVEAHHFCAHLNEDVRQCLVFDGPGAGARLIGVEYIVSEGVFLTLPDEEKPLWHTHEFEVKGGVLFMPGVPGAVERRDLEKVCRTYGKTVHFWQVDRGDPLPLGLPQIMMALTREGQLRQDLADCVEKKFGVSFQKERENRAYMSGPEHGIHPLANAAGKGLKTEIREVEIPATTTAGAARVFT >PVH39417 pep chromosome:PHallii_v3.1:5:56437701:56438081:-1 gene:PAHAL_5G496700 transcript:PVH39417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSGQCPSCLDEEDCFHLFITCPRSVSFWNYYGLDVSSLAQSFGVEQLWLVNPLQEVSSRINSTVLTCILWNIWKCRNMKVFRHEDETNLVISKCCSEDLALCSHRCSSSSDKMKLVVWSSFSPS >PAN28312 pep chromosome:PHallii_v3.1:5:8629775:8636528:-1 gene:PAHAL_5G144300 transcript:PAN28312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVEPDGGGASSSAAENFDAGQYAFFGKEPLEGFELGGLEDAGGDGNGGGFGGPEEGLYRLSSVGEEMDDLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEYSNWVDQDAFENEEFQESKRWWSSNCSVQQGDSNSRPLSRTSSYPQQPLQHRSSEPIVLPKTTSFTSFPPPAAGGSRSPYPAQGLTRHGSIPSIGAGLQMGSPSMSLSASPYHMVGPSHGLPYTGGMPYGALNLPVNNDWSNQANPFTGEHINLLPNLLHKQLSLPNSPMSSLLFSQHQQRLAQVQSSHQNYLNTPPHMLYPHHSAEITGRFDSVGSSHSSRDRRSRSGRGKHNIRFSQPPSDTGSQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACMAKRGATSKQKNNFSPASMKDLPSKSRSSSDQHTYLQVDVFGRVSFSSIRRPRSLLEVDHPSSGDGSHDQKSTMRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQSQDNSFQLKRRRQVLLEGLAASLQLVDPLGPSKSSHSSGLALKDDVVFLRIVSLPKGRKFLARYLRLLVPGSELSRIVCMAIFRHLRFLFGGLPSDSSAAETTIALAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLIIKSVLDRATELLTDHHVAASYTVPNRTLWQASFDAFFGLLTKYCVSKFESIQQMLVMQAPSPVIGPEASKATSKEMPVELLRASLPHTNEQQRQRLLDFAQRSMPVTGFNPPGTRGGHISSESVPG >PAN26658 pep chromosome:PHallii_v3.1:5:1867685:1868104:1 gene:PAHAL_5G029000 transcript:PAN26658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVAAARSGAPRLYLKTHGTRVARLHLLDWVVLALLVALDGTLNAIEPFHRFVSGTRSMTTQCPSGMCRCVTSARESTTTRYVPAFHLDSRTLLAFPAGARRHRAGGHHRRDIREEEERYSSAVLLIVEVISIKSQWK >PVH37792 pep chromosome:PHallii_v3.1:5:5478698:5480369:-1 gene:PAHAL_5G089000 transcript:PVH37792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQCPIQPSGEFTYAFNVTGQEGTLWWHAHSSMLRATIYGALIIKPRGGYPFPAPHAEIPILLGEWWNRNVDDVENDGLLTGLGPVMSDAFTINGSPGDQAPCGGAGMFEVEVESGKTYLLRIINAAVNSELFFRVAGHAFTVVAADASYTNQLPTDVIVVAPGQTVDALMAAAAAPGRYYMAARAFESKTVSAPPPFDTTTATAVLRYKGVPGSAPAATPALPPYTDVVTAARFYWSLTGLVRPSDPVVPKTVDHSLVVAFGVEQAPCAPDQTKCQGFSVLASMNRHSFRFPEKVSLLEALFRGVPDVYSEDFPGSPAPATKKATSVRKVNFDDVVEVVLQNEGYSRALGTENHPIHLHGFNFFVLAQGLGRFDPRMRKAFNLVNPQVRNTVAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPPAADYPKCH >PAN27571 pep chromosome:PHallii_v3.1:5:5478292:5480901:-1 gene:PAHAL_5G089000 transcript:PAN27571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAARLLVLLVPALCVVWVASAAVVEHTFNIGGMGISRLCTDSVIYTVNQQVPGPTIEVDEGDTLVVHVVNGSPYPMSLHWHGIFQLRSGWADGANMITQCPIQPSGEFTYAFNVTGQEGTLWWHAHSSMLRATIYGALIIKPRGGYPFPAPHAEIPILLGEWWNRNVDDVENDGLLTGLGPVMSDAFTINGSPGDQAPCGGAGMFEVEVESGKTYLLRIINAAVNSELFFRVAGHAFTVVAADASYTNQLPTDVIVVAPGQTVDALMAAAAAPGRYYMAARAFESKTVSAPPPFDTTTATAVLRYKGVPGSAPAATPALPPYTDVVTAARFYWSLTGLVRPSDPVVPKTVDHSLVVAFGVEQAPCAPDQTKCQGFSVLASMNRHSFRFPEKVSLLEALFRGVPDVYSEDFPGSPAPATKKATSVRKVNFDDVVEVVLQNEGYSRALGTENHPIHLHGFNFFVLAQGLGRFDPRMRKAFNLVNPQVRNTVAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPPAADYPKCH >PVH39576 pep chromosome:PHallii_v3.1:5:59340526:59340843:-1 gene:PAHAL_5G538400 transcript:PVH39576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTNNCMRDSKFHMNVAKRLFLHHLRIRLIFKQKQFRQVMFGENKLGACIGEIPKLSACLETLKLSPESFLNWVKVY >PAN27869 pep chromosome:PHallii_v3.1:5:6889505:6906649:1 gene:PAHAL_5G114100 transcript:PAN27869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQAISEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEAVAETTGANTSEEAVADVPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLARGLRAHFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQALHKSGCFTLIDVIEDVRVAVKNKVPLVRSLTLTWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASETILSSGTAPITTSGAATSARGAADSLSMKRSAASMLSGKKPVQAAAATKKSGTSKSTAAKKTDGGSQSKTSAAPEIEDVEPTEMSLEEIEERISSIVKAETISQLKSTVWKERLEAIGMLKQEVESLTELDKSAELLIRLLCAVPGWSEKNVQVQQQVIEVITHIASTVNKFPKRCMVLCLLGISERVADIKTRAHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDMIDFCKDIGLQSSAAATRNATIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGATVAPKRTVRVMDTSSSTSAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDAVNKIMEEAHKRIQPTGTVELFTALRGRLYDSNKNLVMATLSTIGGLAYAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVSKMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQEVVGRNLKDLPSPTLAIVAERLKLTTVHEGFSESVKMVTTSMSLPSKAGLKNSKHGANDRGSNVGKPVSQRGLPARASVTMVSTQDHVQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLIEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYTLEGLRSKNNRTRIECVDIVGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGEVLSRSVAGSMISRDNFGYADAHMDRHMVPRQMPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPTESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSIGSKPDSNEDDASGETQQAFRSQGDDSRLHSTDQPTDRYQTSAGTLDALRERMKSIQAAAVGHFDGAQARPLASMNGSNMLHGGTRLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMEPL >PVH37890 pep chromosome:PHallii_v3.1:5:6889514:6906517:1 gene:PAHAL_5G114100 transcript:PVH37890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQAISEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEAVAETTGANTSEEAVADVPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLARGLRAHFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQALHKSGCFTLIDVIEDVRVAVKNKVPLVRSLTLTWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASETILSSGTAPITTSGAATSARGAADSLSMKRSAASMLSGKKPVQAAAATKKSGTSKSTAAKKTDGGSQSKTSAAPEIEDVEPTEMSLEEIEERISSIVKAETISQLKSTVWKERLEAIGMLKQEVESLTELDKSAELLIRLLCAVPGWSEKNVQVQQQVIEVITHIASTVNKFPKRCMVLCLLGISERVADIKTRAHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDMIDFCKDIGLQSSAAATRNATIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGATVAPKRTVRVMDTSSSTSAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDAVNKIMEEAHKRIQPTGTVELFTALRGRLYDSNKNLVMATLSTIGGLAYAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVSKMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQEVVGRNLKDLPSPTLAIVAERLKLTTVHEGFSESVKMVTTSMSLPSKAGLKNSKHGANDRGSNVGKPVSQRGLPARASVTMVSTQDHVQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLIEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYTLEGLRSKNNRTRIECVDIVGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGEVLSRSVAGSMISRCSRDNFGYADAHMDRHMVPRQMPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPTESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSIGSKPDSNEDDASGETQQAFRSQGDDSRLHSTDQPTDRYQTSAGTLDALRERMKSIQAAAVGHFDGAQARPLASMNGSNMLHGGTRLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMEPL >PAN27868 pep chromosome:PHallii_v3.1:5:6889505:6906649:1 gene:PAHAL_5G114100 transcript:PAN27868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQAISEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEAVAETTGANTSEEAVADVPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLARGLRAHFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQALHKSGCFTLIDVIEDVRVAVKNKVPLVRSLTLTWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASETILSSGTAPITTSGAATSARGAADSLSMKRSAASMLSGKKPVQAAAATKKSGTSKSTAAKKTDGGSQSKTSAAPEIEDVEPTEMSLEEIEERISSIVKAETISQLKSTVWKERLEAIGMLKQEVESLTELDKSAELLIRLLCAVPGWSEKNVQVQQQVIEVITHIASTVNKFPKRCMVLCLLGISERVADIKTRAHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDMIDFCKDIGLQSSAAATRNATIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGATVAPKRTVRVMDTSSSTSAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDAVNKIMEEAHKRIQPTGTVELFTALRGRLYDSNKNLVMATLSTIGGLAYAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVSKMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQEVVGRNLKDLPSPTLAIVAERLKLTTVHEGFSESVKMVTTSMSLPSKAGLKNSKHGANDRGSNVGKPVSQRGLPARASVTMVSTQDHVQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLIEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYTLEGLRSKNNRTRIECVDIVGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGEVLSRSVAGSMISRDNFGYADAHMDRHMVPRQMPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPTESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSIGSKPDSNEDDASGETQQAFRSQGDDSRLHSTDQPTDRYQTSGTLDALRERMKSIQAAAVGHFDGAQARPLASMNGSNMLHGGTRLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMEPL >PVH37889 pep chromosome:PHallii_v3.1:5:6889514:6906517:1 gene:PAHAL_5G114100 transcript:PVH37889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQAISEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEAVAETTGANTSEEAVADVPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLARGLRAHFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQALHKSGCFTLIDVIEDVRVAVKNKVPLVRSLTLTWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASETILSSGTAPITTSGAATSARGAADSLSMKRSAASMLSGKKPVQAAAATKKSGTSKSTAAKKTDGGSQSKTSAAPEIEDVEPTEMSLEEIEERISSIVKAETISQLKSTVWKERLEAIGMLKQEVESLTELDKSAELLIRLLCAVPGWSEKNVQVQQQVIEVITHIASTVNKFPKRCMVLCLLGISERVADIKTRAHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDMIDFCKDIGLQSSAAATRNATIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGATVAPKRTVRVMDTSSSTSAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDAVNKIMEEAHKRIQPTGTVELFTALRGRLYDSNKNLVMATLSTIGGLAYAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVSKMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQEVVGRNLKDLPSPTLAIVAERLKLTTVHEGFSESVKMVTTSMSLPSKAGLKNSKHGANDRGSNVGKPVSQRGLPARASVTMVSTQDHVQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLIEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYTLEGLRSKNNRTRIECVDIVGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGEVLSRSVAGSMISRCSRDNFGYADAHMDRHMVPRQMPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPTESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSIGSKPDSNEDDASGETQQAFRSQGDDSRLHSTDQPTDRYQTSGTLDALRERMKSIQAAAVGHFDGAQARPLASMNGSNMLHGGTRLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMEPL >PVH39282 pep chromosome:PHallii_v3.1:5:54911493:54914832:1 gene:PAHAL_5G469600 transcript:PVH39282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVADMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSVAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDGSGTCHPTEPQTAAVFVALYLIALGTGGIKPCVSSFGADQFDENDEREQKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQRPGGSPITRIAQVLVASARKWSVAVPADKAQLHETLDKESGIEGSRKLEHTDQFGCLDKAAVVTAEDSAAASPWRLCTVTQVEELKSVVRLLPIWATGIVFAAVYGQMSTMFVLQGNTLDQRMGPRFSIPSATLSMVDTISVIIWVPIYDRVIVPAVRSVTGRPRGFTQLQRMGIGLVISIFSMVAAGVLDIVRLRAVARHGLYGDKDTVPISIFWQVPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTIVTRITTRHGRLGWIPDNLNRGHLDYFFWLLAVLSLLNFLVYLLMAKWYRYKKTAEYPDAKGERNQEH >PAN32177 pep chromosome:PHallii_v3.1:5:54909960:54914832:1 gene:PAHAL_5G469600 transcript:PAN32177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVADMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSVAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDGSGTCHPTEPQTAAVFVALYLIALGTGGIKPCVSSFGADQFDENDEREQKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQRPGGSPITRIAQVLVASARKWSVAVPADKAQLHETLDKESGIEGSRKLEHTDQFGCLDKAAVVTAEDSAAASPWRLCTVTQVEELKSVVRLLPIWATGIVFAAVYGQMSTMFVLQGNTLDQRMGPRFSIPSATLSMVDTISVIIWVPIYDRVIVPAVRSVTGRPRGFTQLQRMGIGLVISIFSMVAAGVLDIVRLRAVARHGLYGDKDTVPISIFWQVPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTIVTRITTRHGRLGWIPDNLNRGHLDYFFWLLAVLSLLNFLVYLLMAKWYRYKKTAEYPDAKGERNQEH >PAN32179 pep chromosome:PHallii_v3.1:5:54909984:54914832:1 gene:PAHAL_5G469600 transcript:PAN32179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVADMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSVAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDGSGTCHPTEPQTAAVFVALYLIALGTGGIKPCVSSFGADQFDENDEREQKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQRPGGSPITRIAQVLVASARKWSVAVPADKAQLHETLDKESGIEGSRKLEHTDQFGCLDKAAVVTAEDSAAASPWRLCTVTQVEELKSVVRLLPIWATGIVFAAVYGQMSTMFVLQGNTLDQRMGPRFSIPSATLSMVDTISVIIWVPIYDRVIVPAVRSVTGRPRGFTQLQRMGIGLVISIFSMVAAGVLDIVRLRAVARHGLYGDKDTVPISIFWQVPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTIVTRITTRYKKTAEYPDAKGERNQEH >PAN32176 pep chromosome:PHallii_v3.1:5:54911393:54914832:1 gene:PAHAL_5G469600 transcript:PAN32176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVADMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSVAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDGSGTCHPTEPQTAAVFVALYLIALGTGGIKPCVSSFGADQFDENDEREQKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQRPGGSPITRIAQVLVASARKWSVAVPADKAQLHETLDKESGIEGSRKLEHTDQFGCLDKAAVVTAEDSAAASPWRLCTVTQVEELKSVVRLLPIWATGIVFAAVYGQMSTMFVLQGNTLDQRMGPRFSIPSATLSMVDTISVIIWVPIYDRVIVPAVRSVTGRPRGFTQLQRMGIGLVISIFSMVAAGVLDIVRLRAVARHGLYGDKDTVPISIFWQVPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTIVTRITTRYKKTAEYPDAKGERNQEH >PVH39283 pep chromosome:PHallii_v3.1:5:54911493:54914832:1 gene:PAHAL_5G469600 transcript:PVH39283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVADMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSVAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDGSGTCHPTEPQTAAVFVALYLIALGTGGIKPCVSSFGADQFDENDEREQKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQRPGGSPITRIAQVLVASARKWSVAVPADKAQLHETLDKESGIEGSRKLEHTDQFGCLDKAAVVTAEDSAAASPWRLCTVTQVEELKSVVRLLPIWATGIVFAAVYGQMSTMFVLQGNTLDQRMGPRFSIPSATLSMVDTISVIIWVPIYDRVIVPAVRSVTGRPRGFTQLQRMGIGLVISIFSMVAAGVLDIVRLRAVARHGLYGDKDTVPISIFWQVPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTIVTRITTRYKKTAEYPDAKGERNQEH >PAN32178 pep chromosome:PHallii_v3.1:5:54909874:54914832:1 gene:PAHAL_5G469600 transcript:PAN32178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVADMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSVAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDGSGTCHPTEPQTAAVFVALYLIALGTGGIKPCVSSFGADQFDENDEREQKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQRPGGSPITRIAQVLVASARKWSVAVPADKAQLHETLDKESGIEGSRKLEHTDQFGCLDKAAVVTAEDSAAASPWRLCTVTQVEELKSVVRLLPIWATGIVFAAVYGQMSTMFVLQGNTLDQRMGPRFSIPSATLSMVDTISVIIWVPIYDRVIVPAVRSVTGRPRGFTQLQRMGIGLVISIFSMVAAGVLDIVRLRAVARHGLYGDKDTVPISIFWQVPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTIVTRITTRHGRLGWIPDNLNRGHLDYFFWLLAVLSLLNFLVYLLMAKWYRYKKTAEYPDAKGERNQEH >PAN28944 pep chromosome:PHallii_v3.1:5:11350891:11356629:-1 gene:PAHAL_5G188500 transcript:PAN28944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSRGKLSGVLHKGFKPDKCKTSLRMAVARIKLLRNRKEVQVRQMRREVAQLLEANQDMTARIRVEHVIREEKFMQAYDLIEVYCELIVARLSIIDSQKACPIDLKEAIASVIFASMRCSDVTELADVRKQFTSKYGKEFATAALEVRPDSGVNRLVIEKLSAGAPDLQTKIKTLTSIAEEHSIKWEPKAFEEKLQKPNEDHLYGSATYSGGSISTMGSSTSSMSAPQPAYSGVPAATVDSATSHVPGGPYSPADVAANRNSNAFSQENIQSGSSASVPPSSQHGASAYYSAQIPGPNSISHGNTGDSPYPQYGATVPDTVSRNEEMNRHRERKPSVSGANWNMEFKDATSAAQAAAESAEMASIAARAAAQLASRGNYSGDQSTGAYEAAAYSHENTPRKQPAEHLVKDENRSFHDQSSGINDPRAMPYARKNSGRAETNHVENHNISTVHAPAQSQNISTVHSPAQQFHSYSPESHVYEMPTKPPHAHSPEPPHFDDLYERESSIGRSEVHPFDLPGERLQETEPAGRNVQDVEIRKPSFDQESTNDYYGNFSSSQDTFTYGSSSVWDQQNDKTRDSSSVVFDEYVSNAEEENLLDTFSSKQTEQTPAVQDHMGFTAADWSQQHRSESPSHKTSMLFARTETQQSDNLGVNRRDVPSPRPYDSLPPTFDSDGGSSDEEIDTTTRTESLKSASSGLNKEANRISGKVVPDVKESVEDYEPSSSKKYMASPGLNLSYKEKHSGGTGGSPISDYLGTQAQGHFNSVQTRDSDLSDEETEPDKFKSAFSPEANENLSLPFPIQTSATSDDKEGDLGLNFGRLTPGLRNKPRQPPPYTKNSRENILPSQSLPKASASTEESVDSEANTTPVVQNRSSPKSSLSTRTSSGGNYNSELYDRNQIVGTRGEARSTMARNFFDSDDTEKLSKQYTNPSSPTTKISEGVNSNQELYREKPGTGARWEMRSRMARHYFDSEDSEEELEQQQTTQPKWSGVQIQSRRTRDVTSDAKIGHVPTGARYAEETEILTKETKAPQLNNSTEQRRVASVYPRVAVQRSSPEDEHVESPMAARGKSQEAEISRCSVPGNVGNTESSAGTLKESTPKTPPAHVHPKLPTDYDSFAAHFMSLRTNRH >PAN28943 pep chromosome:PHallii_v3.1:5:11350891:11355024:-1 gene:PAHAL_5G188500 transcript:PAN28943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYDLIEVYCELIVARLSIIDSQKACPIDLKEAIASVIFASMRCSDVTELADVRKQFTSKYGKEFATAALEVRPDSGVNRLVIEKLSAGAPDLQTKIKTLTSIAEEHSIKWEPKAFEEKLQKPNEDHLYGSATYSGGSISTMGSSTSSMSAPQPAYSGVPAATVDSATSHVPGGPYSPADVAANRNSNAFSQENIQSGSSASVPPSSQHGASAYYSAQIPGPNSISHGNTGDSPYPQYGATVPDTVSRNEEMNRHRERKPSVSGANWNMEFKDATSAAQAAAESAEMASIAARAAAQLASRGNYSGDQSTGAYEAAAYSHENTPRKQPAEHLVKDENRSFHDQSSGINDPRAMPYARKNSGRAETNHVENHNISTVHAPAQSQNISTVHSPAQQFHSYSPESHVYEMPTKPPHAHSPEPPHFDDLYERESSIGRSEVHPFDLPGERLQETEPAGRNVQDVEIRKPSFDQESTNDYYGNFSSSQDTFTYGSSSVWDQQNDKTRDSSSVVFDEYVSNAEEENLLDTFSSKQTEQTPAVQDHMGFTAADWSQQHRSESPSHKTSMLFARTETQQSDNLGVNRRDVPSPRPYDSLPPTFDSDGGSSDEEIDTTTRTESLKSASSGLNKEANRISGKVVPDVKESVEDYEPSSSKKYMASPGLNLSYKEKHSGGTGGSPISDYLGTQAQGHFNSVQTRDSDLSDEETEPDKFKSAFSPEANENLSLPFPIQTSATSDDKEGDLGLNFGRLTPGLRNKPRQPPPYTKNSRENILPSQSLPKASASTEESVDSEANTTPVVQNRSSPKSSLSTRTSSGGNYNSELYDRNQIVGTRGEARSTMARNFFDSDDTEKLSKQYTNPSSPTTKISEGVNSNQELYREKPGTGARWEMRSRMARHYFDSEDSEEELEQQQTTQPKWSGVQIQSRRTRDVTSDAKIGHVPTGARYAEETEILTKETKAPQLNNSTEQRRVASVYPRVAVQRSSPEDEHVESPMAARGKSQEAEISRCSVPGNVGNTESSAGTLKESTPKTPPAHVHPKLPTDYDSFAAHFMSLRTNRH >PAN31686 pep chromosome:PHallii_v3.1:5:52732649:52737241:1 gene:PAHAL_5G437300 transcript:PAN31686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPEPRRPYKRPAISDQQRRRDLALQAQSARRADAQARARALASSLLTTPTPPAATHRHEEEDEHEEEEEHGHNIADVAAAASKLRSSDARRWFARQIMLPEWMVDAPPHLASDWHVFARPSGKRCLVVSSNGMTISRVRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDQTYYIIDMICWRGYPLYDCTAEFRFFWVNSKLTETSAGDPPSTYHRYRFRAVPIYECTLEGLQAAYSGSTSYVKDGLLFYNKHAHYQAGITPLTLVWKDETCSQYVIDTDSKGEVPNEQHLVLELQEDGKLVTSDDPPVVFGSLDKEFIQKSNLLAGNLLRFAVRDESVKLVDGKMQIGELQFVGKPNRARAFADSHSKALFQYAARHAPLRIDDLVASIQSNNMELESTDVEMQD >PAN31687 pep chromosome:PHallii_v3.1:5:52732649:52737241:1 gene:PAHAL_5G437300 transcript:PAN31687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPEPRRPYKRPAISDQQRRRDLALQAQSARRADAQARARALASSLLTTPTPPAATHRHEEEDEHEEEEEHGHNIADVAAAASKLRSSDARRWFARQIMLPEWMVDAPPHLASDWHVFARPSGKRCLVVSSNGMTISRVRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDQTYYIIDMICWRGYPLYDCTAEFRFFWVNSKLTETSAGDPPSTYHRYRFRAVPIYECTLEGLQAAYSGSTSYVKDGLLFYNKHAHYQAGITPLTLVWKDETCSQYVIDTDSKGEVPNEQHLVLELQEDGKLVTSDDPPVVFGSLDKEFIQKSNLLAGNLLRFAVRDESVKLVDGKMQIGELQFVGKPNRARAFADSHSKALFQYAARHAPLRIDDLVASIQSNNMELESTDVEMQD >PAN32840 pep chromosome:PHallii_v3.1:5:57772338:57772634:1 gene:PAHAL_5G515800 transcript:PAN32840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGEASSKLLRFLYFVGAGVICTKAINTYRDYEHKKEASAAVSAAESALDSAAAPEPAPATVAAAAAKP >PAN29088 pep chromosome:PHallii_v3.1:5:12226255:12231728:-1 gene:PAHAL_5G201400 transcript:PAN29088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYSPPLLRRFFSCAATSASPAAGRGAGKKNLVFLGSPQVAASVLDTLLAASGSPDSGFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPEELIFTPERAREESFLSDLKEVKPDVCITAAYGNILPRKFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVNEYIKAPELLAILFDIGSKLLINELPSILDGSAIEKAHPQDDSKATLAPKLNSEESWLSFDQEAKELHNKVRAFSGWPGTRAKLQLINQNGEADVLEIKVISTKVLASCDKVGDENEILFSGSSLLIPCSGSTWLEVLELQLPGKKVTTARDFWNGLRGQKLLKSP >PVH38220 pep chromosome:PHallii_v3.1:5:12226354:12231728:-1 gene:PAHAL_5G201400 transcript:PVH38220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYSPPLLRRFFSCAATSASPAAGRGAGKKNLVFLGSPQVAASVLDTLLAASGSPDSGFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPEELIFTPERAREESFLSDLKEVKPDVCITAAYGNILPRKFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVNEYIKAPELLAILFDIGSKLLINELPSILDGSAIEKAHPQDDSKATLAPKLNSEESWLSFDQEAKELHNKMC >PAN29086 pep chromosome:PHallii_v3.1:5:12227180:12230916:-1 gene:PAHAL_5G201400 transcript:PAN29086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYSPPLLRRFFSCAATSASPAAGRGAGKKNLVFLGSPQVAASVLDTLLAASGSPDSGFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPEELIFTPERAREESFLSDLKEVKPDVCITAAYGNILPRKFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVNEYIKAPELLAILFDIGSKLLINELPSILDGSAIEKAHPQDDSKATLAPKLNSEESWLSFDQEAKELHNKVRAFSGWPGTRAKLQLINQNGEADVLEIKVISTKVLASCDKVGDENEILFSGSSLLIPCSGSTWLEVLELQLPGKKVTTARDFWNGLRGQKLLKSP >PAN29084 pep chromosome:PHallii_v3.1:5:12226717:12230927:-1 gene:PAHAL_5G201400 transcript:PAN29084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYSPPLLRRFFSCAATSASPAAGRGAGKKNLVFLGSPQVAASVLDTLLAASGSPDSGFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPEELIFTPERAREESFLSDLKEVKPDVCITAAYGNILPRKFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVNEYIKAPELLAILFDIGSKLLINELPSILDGSAIEKAHPQDDSKATLAPKLNSEESWLSFDQEAKELHNKGGLELVQNCNS >PAN29087 pep chromosome:PHallii_v3.1:5:12227538:12230916:-1 gene:PAHAL_5G201400 transcript:PAN29087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYSPPLLRRFFSCAATSASPAAGRGAGKKNLVFLGSPQVAASVLDTLLAASGSPDSGFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPEELIFTPERAREESFLSDLKEVKPDVCITAAYGNILPRKFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVNEYIKAPELLAILFDIGSKLLINELPSILDGSAIEKAHPQDDSKATLAPKLNSEESWLSFDQEAKELHNKGGLELVQNCNS >PVH38490 pep chromosome:PHallii_v3.1:5:17782682:17785028:-1 gene:PAHAL_5G270600 transcript:PVH38490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMETPQRSLDSRNSVDSTSSSFKRRKKDKDSSKGNEVSTSSDPFLDMVGRLCGDLNKASQYFGKIAEVMKREAKVQEKTTQNDPIQMLQEKSVSELTRLGFTGSELLKATSVFVKIPNQMTMPFALPKNLRREFIQNMLADDAHGKK >PVH38489 pep chromosome:PHallii_v3.1:5:17782682:17785964:-1 gene:PAHAL_5G270600 transcript:PVH38489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMETPQRSLDSRNSVDSTSSSFKRRKKDKDSSKGNEVSTSSDPFLDMVGRLCGDLNKASQYFGKIAEVMKREAKVQEKTTQNDPIQMLQEKSVSELTRLGFTGSELLKATSVFVKIPNQMTMPFALPKNLRREFIQNMLADDAHGKK >PAN32767 pep chromosome:PHallii_v3.1:5:57429800:57435811:1 gene:PAHAL_5G510600 transcript:PAN32767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLAFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHAEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSVSPADDIMRLTQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRYYEYSTQKEVQVAPIRRPGTVSLNQSPRTLSYSPTENAILICSDVDGGSYELYIVPKDSAGRSDYLQEARKGAGSSAVFIARNRFAVLEKSSNNVLVKNLKNEIVKKTSLPIATDAIYYAGTGNILCKAEDRVVIFDLQQRLVLGELQAPTVKYVVWSSDMESVALLSKHAIIIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITRVIGNNIFCIDRDGKNKLVTVDASEYIFKLALFRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKELDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFDRLAFLYLITGYLDKVGFMCKIAGQNNNFMGQFHNALYLGDVRKRVEILENTGQLALAYVTAATHGLTEITDRLAAELGENVPSLPDGKASSLLIPPAPLMSCSDWPLLRVMRGIFDAGLDATGRADQDEDYDDAGGDWGDEDLEIVDVNNVVENGDVVDHSEEDEVNEEEGGWDLEDLELPPETETPKAAGPARSTLFVAPTPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFTPLKPLFLDAHMGSHTFLRAFASAPVIPVAVEKGWSESASPNVRGPPALVFNFSQMDDKLKAAYKATTEGKFPEALRQFLSILHTIPLLVVDSRREVDEVKELIEIVREYVLGLKMEVKRKEMKDDPIRQQELAAYFTNCKLQKVHMRLVLTSAMGLCFKGGNYATAANFARMLLENSPNEAQAKKARQVVQACGDRKDGRQLNYDFRNPFVVCGATFVPIYRGQKDVSCPYCGSRFVPSVEGELCSICELSVVGADASGLLCCPTQSR >PAN32889 pep chromosome:PHallii_v3.1:5:58012975:58013403:-1 gene:PAHAL_5G519400 transcript:PAN32889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAATGSSDVACEYDGVGVALELAALAAFVVLLRYAAVLYANHLLATLSVDVDDDDLPAGTRGDNEGGRCGASGLDDAAIARLPCFVSPGAAAAECAVCLGAVEEGETARGLPCCTHAFHARCVDAWLRLRPTCPVCRATCR >PAN30496 pep chromosome:PHallii_v3.1:5:20658726:20661325:1 gene:PAHAL_5G287000 transcript:PAN30496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.2 [Source:Projected from Arabidopsis thaliana (AT2G26690) UniProtKB/Swiss-Prot;Acc:Q9SZY4] MDGKKVQERGAWGAAAGGGNLVQDAVDYRGCRADKSSTGGWVAAALALGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAIFALVQAIGTGLLAVSTEVRQLRPPPCGPGAAGPCEQATGLQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDARERAAMGLFFNRFFFFISLGTLLAVTVLVYVQDHIGRSWAYGICAGAMLVAIGVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRKIKQPLTASALYEDRPDHARIPHTAQFPCLDRAAVMAGDDDNEVGSDGRPMPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRMGGFEIPAASLTVFFVGAIMLTLAVYDRVFVPLCRSLMTNRQGLTNLEKIGIGLVLSIVGMVAAAICEKKRLGVAATSAAGHESLPISVFMLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSALVSLVKGCTRWLGDTINHTRLDYFYWLLAVLSVVNLGAYLVCAMWATLPASSQAEQPQIAMTADEKC >PAN31477 pep chromosome:PHallii_v3.1:5:51822988:51825320:-1 gene:PAHAL_5G422400 transcript:PAN31477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSMGGSCLVLLCLVFPVVLLAGAAHGHPWGGLFPQFYDHSCPQAKEIVRSVVAQAVARETRMAASLVRLHFHDCFVQGCDASLLLDNSSSIVSEKGSNPNSNSARGFEVIDEIKVALETDCPGTVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIVTKFRRQGLDVVDVVALSGAHTIGLSRCTSFRQRLYNQSGNGMADATLDASYASHLRQGCPRSGGDDNLFPLDFVSPARFDNFYFKNLLVGKGLLSSDEVLLTRSPETAALVKAYADDVDLFFQHFAQSMVKMGNISPLTGAQGEIRKNCRRLNGNHY >PAN27197 pep chromosome:PHallii_v3.1:5:4060819:4066975:1 gene:PAHAL_5G065600 transcript:PAN27197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNQTYFAWSQGESTERDGPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRNEEFGKFNGLVAQKKAYFEEYYKKIRELKASQQQNQQTELTLEYSGDGSDSSQTAEDEQGADLETPTGSGAPVDDYAEEAPHETMLDHGLQYYDDQGNKICNTEFSSSNLSSSTGILQQTDHDVRGTAHGDISASKMDMGQQNASSGHDDTRTAYEAARTPRRIIEKDSRLRHTPKIIPKSIKNLSKSAVDYTFANERPGSVKPSTSMDQKTKPVQRPNAAAPQKMAGTPERSKLTGLRRPSSAGAQRPSTGERRPIAKTNSKTLADVSTPQRPSTAERRPVTRDHAQKQANVTTPRRPSTSERRPIKRESAAKHADISSVHRPSTGERRTITRDIVLKTDAKTPSKARPTVAHPKSEATTVANPKKAVTPNAARSSKLETKSNNNRLKGPSALDNQSTRSKRMDLQVSGKQRSSSVNLPPRKIFSSSVGEPAVETISRTKKKEGIQATVQSRVSTSKRTATLQTGNLKTRAPNPPAPPPPPRRPSRTMSKPTTSTSLTGTRKPKASAPQWH >PAN27211 pep chromosome:PHallii_v3.1:5:4062142:4066975:1 gene:PAHAL_5G065600 transcript:PAN27211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNQTYFAWSQGESTERDGPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRNEEFGKFNGLVAQKKAYFEEYYKKIRELKASQQQNQQTELTLEYSGDGSDSSQTAEDEQGADLETPTGSGAPVDDYAEEAPHETMLDHGLQYYDDQGNKICNTEFSSSNLSSSTGILQQTDHDVRGTAHGDISASKMDMGQQNASSGHDDTRTAYEAARTPRRIIEKDSRLRHTPKIIPKSIKNLSKSAVDYTFANERPGSVKPSTSMDQKTKPVQRPNAAAPQKMAGTPERSKLTGLRRPSSAGAQRPSTGERRPIAKTNSKTLADVSTPQRPSTAERRPVTRDHAQKQANVTTPRRPSTSERRPIKRESAAKHADISSVHRPSTGERRTITRDIVLKTDAKTPSKARPTVAHPKSEATTVANPKKAVTPNAARSSKLETKSNNNRLKGPSALDNQSTRSKRMDLQVSGKQRSSSVNLPPRKIFSSSVGEPAVETISRTKKKEGIQATVQSRVSTSKRTATLQTGNLKTRAPNPPAPPPPPRRPSRTMSKPTTSTSLTGTRKPKASAPQWH >PAN32741 pep chromosome:PHallii_v3.1:5:57270916:57271910:1 gene:PAHAL_5G508600 transcript:PAN32741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILNHLSHLCSFTDTKEALKLRKKRPLNTVNIKVKMDCQGCERRVKSAVKSMRGVTSVAVNPKQSKCTVTGYVEPANVLARVRGTGKNAQMWPYTPVQLTTYPYVGGVYDKKAPAGFVINAPQAMADPAAPEIRMMTMFSDENANACAVM >PAN28549 pep chromosome:PHallii_v3.1:5:9703376:9706599:1 gene:PAHAL_5G161300 transcript:PAN28549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGTALKQKGPDSGFKLHGLNMEPGTRPPTSSRPRTSPLSTRPEPTKDSSSDAEPTQHDKAASDRSSQQASATQDDAKVANGKKGRTNLSVAVPCGPAVRSADDERPGAPDFSFHPDEQSLRLQRTCSSPAPFPRKKTPGDDSLTRSSSLSLLGEAPMLKQRATSPLPSRHVPEVFQEARSASKRFSTPPPRKSSSSLDLNGNPPVPVRAPGKLKHRKEGHANGRAKVAALEVLEKWSVDRSQLLIGHRFSSGAHSRLFHGIYKEQPVAVKFIRQPDDEEDAELAAQLEKQFNTEVTTLSRLHHPNVIKLVGACSSPPVFCVITEFLAGGSLRAFLHKQDHKSLPLDKIISISLDIARGMAYIHSQGVVHRDVKPENIIFDEEFCAKIVDFGIACEQEYCDPLANDTGTFRWMAPEMMKRKAYGRKVDVYSFGLILWEMFSGSVPYEELNPFQAAFAVFDKNVRPAIPTNCPAPVRLLIEQCWTSHPEKRPDFCQIVQILEKFKTVLERDGTLDNMPSSICQETHDHKNWLANWAQKLKHSQPDFSGPPPPKLL >PAN31024 pep chromosome:PHallii_v3.1:5:48520418:48524615:-1 gene:PAHAL_5G389500 transcript:PAN31024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLFIIGIIGNIISVLVFISPVKTFWRIVRSGSTEEFEPAPYVFTLLNALLWLYYGLTKPDGLLIATVNGFGAAMEAIYVILFIVYAADQATRVKTAKLATALGVGGFGVVFAATTFAIRELDLRIMVIGMICACLNVLMYGSPLAAMKTVINTKSVEFMPFFLSFFLFLNGGVWATYAVLDRDIFLGIPNGIGFVLGSIQLIIYAIFMNSKASQSSRETAEDGGQASEPFLASNDGYGQGEPSSSHGRCTV >PAN32310 pep chromosome:PHallii_v3.1:5:55418303:55419605:1 gene:PAHAL_5G477200 transcript:PAN32310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADTTAAALSMKLLVDRKAQRVLFAEASKEVVDFLFSLLALPVATAVKLVGKDAMVGCVGNLYASVEKLDGTYVQAGAAKDALLSPTVLLPAGGASSSLLRLPEAPSPGQPQRYFRCNRSCSDYVTDASDTICPSCCNQMGTTLKYVAPSSGQQAQNGSAGEAKGFVQGIVTYTVLDDLTVTPMSTICGITLLNAFAVRDLGDLQEKTVQFGYNEGLAILKASLQSKTVLTDVFLGNEKSPARGHA >PVH38008 pep chromosome:PHallii_v3.1:5:8920828:8926074:-1 gene:PAHAL_5G148900 transcript:PVH38008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSLCFVPLFLLLLLHLAFARPLFPLPSKTKNEEKRPMQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDETTDVAKHKEFANRRRTYEVEWFNVTGWFVVDFTLDELKTLKVKQRYSFRDQQYNGMFSIITFEEFISIALDAERTVGIYPEIKDPVFINKHVKWADGKKFEDKFVDTLLKYGYKGQYMSENWMKQPLFIQSFAPTSIVHVSKLIDSPKVFLIDDITVRTQDTNQSYWEITSDDYLAYIGKYVVGLGPWKDTIVPAAGNYLMPPSDLVARAHARNLQVHPYTYRNENKFLHFNFHQDPYAECDFWINTVGVDGLFTDFTGTLHRYQELTSPHRKDETANSLLVKISQMISAYEGL >PAN27757 pep chromosome:PHallii_v3.1:5:6353146:6356521:1 gene:PAHAL_5G104600 transcript:PAN27757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSTSLLSPCALTARLGVGHASYGPGRRASVRRRGRLSVVAVQTGPQKPSPSSSQPAAAGDEADALQKLLKQEYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMVEPTWSDNEYAPVDLQSICYYSAPKTKPKLNSLDEVDPELLKTFDRLGIPLTEQKRLTNVAVDAVIDSTSIATTHREALMAKGVIFCSISEAIREYPDLVRRYLGSIVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEGAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDCQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQMNSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGVDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG >PAN32853 pep chromosome:PHallii_v3.1:5:57714912:57716757:1 gene:PAHAL_5G514600 transcript:PAN32853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRGLCTTAISSSPALCCIWGTRGMQKIGCNHCASRDYVMYGDRVLSFRMQTGWMRIWRGRGHFSSLARLLLGRCREWSLDNAEKVCITRYTSPMKYHYEDRHHMIVFSNAASYDCCYQRCF >PVH39335 pep chromosome:PHallii_v3.1:5:55558691:55560969:1 gene:PAHAL_5G480900 transcript:PVH39335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNAASRAAAVSATSSPAFSIASPSSMAPLSCSEKQGLCSHGAVLSPPSPSPPPTPSRAARSAAARRWPRAPSSPSCTRVAIPAAFSSLSSAHHTAGSPARSSTESSGALGQGAPPRSARWRAAPTLARARPSSAEAPSSARCASSAQGRPSWRRQFRTSSSTTSIAPSSSPWTLSQCLDARTSRRWNRPFQVDSTSISISLSLSDLSARCLFFSRCSFRKAFGRGLNSRSPHRLTPAMASLAIITAAAPPPLSIPLVHRQCTQRRGQMETNRARNSMTMEERRLTDLARMVPATLLLVGTSEKVITSIKGARELLAGDKWGFDDSDDPASPPSNHARGGDRGASDPVETTGGGDHSVETTGGGDHSVGGVPLKPTCGSPANLRGGIPVNNGGGEGTIGIRDCTSPGFDKWADAADILATALAPEGHLPVAYREITRLVSLHAEAGHVFVVCAARLGLQHVDDDDDEDARTGVQTDHNAPWKRWMDLREAAVRHAHDALLRLSCAASAAAAAEDFLRWRSNESPRWEGWRSAARQLVQDARRSLGEAKDAVRLMRDAVLCEFFETWMILKRA >PAN29541 pep chromosome:PHallii_v3.1:5:14583150:14585084:-1 gene:PAHAL_5G233300 transcript:PAN29541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVVNGGGEAMEGGAAAKGPVVVTGAAGFLGSWLVMKLLQAGYAVRATVRDPANVAKTKPLLDLPGATERLSIWKADLAEEGSFDDAIKGCTGVFHVATPMDFESKDPENEVIKPTVEGMLSIMRACKEAGTVRRVVFTSSAGAVNIEERQRPVYDQDNWSDVDFCRRVKMTGWMYFVSKSLAEKAAMAYAAEHGLDLISIIPTLVVGPFLSAAMPPSLATALALVTGNEPHYSILKQVQFVHLDDLCDAEIFLFEHPAAAGRYVCSSHDATIHGLAAMLRERYPEYRIPERFRGIDGELQPVHFSSKKLLDHGFAFRYTVEDMFDAAIRTCREKGLIPLSTAGGDGPASASAPGDTDAALGREGPAIGA >PAN26466 pep chromosome:PHallii_v3.1:5:918787:919697:-1 gene:PAHAL_5G013800 transcript:PAN26466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGCWSSLPADLVNRVADCLLVTNDLDYYMDLRATSHDVRFHPTRWIVLDELSGESDTRFLSRRLPLLRDYHFVTSSTGGFLVLADREPPHAARVLNAFTGSLTRFKAPMPSEMHMAAEVVGPSPTLVVLSTWGTLSADNLKFFLADPGSERFFDVGLGSSSAVSRTDDALPEFLYPVASALLVLCGVAVDLGGPGGEMLRVDRFPEQGVRLFRMNSEGRAMERVHSIGSHALFLGTFRSMSIHADVFPSVNANCIYYKKVEEEEDGSSHTYEYDLAHDKEEKIAEVNI >PAN30078 pep chromosome:PHallii_v3.1:5:17875159:17879790:1 gene:PAHAL_5G271400 transcript:PAN30078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRAAQTFRSLLSARPLHGAASPAAAAAAAGSRWCAPLVPPPRLPPPSPRVMPAGVAGAVSFSLTFATVAAAEAKAKERPPTDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSDYKKVPILTVDGEQLVDSSDIINILQRRIRPDDELTNEEEAKWRRWVDEHLVHMLSPNIYRTTSEALESFDYIAQHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDERASLYDAANTWVEALNGREFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVENTQIGEWYQRMENAVGEPSRIQE >PAN32719 pep chromosome:PHallii_v3.1:5:57164676:57168433:-1 gene:PAHAL_5G507200 transcript:PAN32719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHAVSPLTGGLLRRGVTLHPRRRLLAVAAVAPEAPAPTPAPSQLPPSPAPPRKGYYPKRGETVELSCEALAFKGKGVCKVAGSTFVLLCDGALPGERLLARVRRLRRGAFAEAAKLRTLEPHHDAVEAPCPLAADCGGCKTQSLAYAAQIRHKHLQVRDLLVNFGKFDPKLLESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQRVWKEEEEVVKEEVNEADGYALGLHAPGFFDKVLHVEKCFLQSELADKVLAVVQETWMDPALRLTPYDVHKHVGFLKHLMIRTGRNISTGTPEVMVNFVTSCYKPDLLMPLVDNITKIPEVVSVINNVNTSVGNTSVGEQEYTLYGKPNITEMLRGLTFQISANSFFQTNTKQADVLYKLIEASARLKGDGSEIVLDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADARKNAKLNGINNATFVQGDLNKINESFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLGGCYELKSVIPVDMFPHTPHIECVCLLELR >PAN32720 pep chromosome:PHallii_v3.1:5:57163903:57168473:-1 gene:PAHAL_5G507200 transcript:PAN32720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHAVSPLTGGLLRRGVTLHPRRRLLAVAAVAPEAPAPTPAPSQLPPSPAPPRKGYYPKRGETVELSCEALAFKGKGVCKVAGSTFVLLCDGALPGERLLARVRRLRRGAFAEAAKLRTLEPHHDAVEAPCPLAADCGGCKTQSLAYAAQIRHKHLQVRDLLVNFGKFDPKLLESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQRVWKEEEEVVKEEVNEADGYALGLHAPGFFDKVLHVEKCFLQSELADKVLAVVQETWMDPALRLTPYDVHKHVGFLKHLMIRTGRNISTGTPEVMVNFVTSCYKPDLLMPLVDNITKIPEVVSVINNVNTSVGNTSVGEQEYTLYGKPNITEMLRGLTFQISANSFFQTNTKQADVLYKLIEASARLKGDGSEIVLDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADARKNAKLNGINNATFVQGDLNKINESFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLGGCYELKSVIPVDMFPHTPHIECVCLLELR >PAN27718 pep chromosome:PHallii_v3.1:5:6185798:6186523:1 gene:PAHAL_5G101400 transcript:PAN27718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSDAAPAIRRCISKTPSGNPLPRRGKVKERIVRDIAGALATAAALACDRTAVAGKKDAGAKKK >PAN29072 pep chromosome:PHallii_v3.1:5:12156057:12157359:1 gene:PAHAL_5G200300 transcript:PAN29072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRIDPAVRRTDPKKRPIWAPSSTHEAGLGQRIRTPIGWIDPVPAAPQGGSSDCPTPSLPASSSAEKRNSRSSVQALCLKKESQSLLPLRRHSLPARSKTTSMSDGDGGE >PAN26373 pep chromosome:PHallii_v3.1:5:541973:545823:-1 gene:PAHAL_5G007300 transcript:PAN26373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGMLPRLRSSTRVLRKLCGLEPAAAARRLSAADAPASFSSARGNSLLYPLPGLDLPPPLPDNLGRGPTRVTTLPNGIRVATEDVPGPSACIGFFVNSGSVYESAETTGVSYLLEKMAFKDTKHRRHRNLVHELELAGGNVGASCSREQMVYSYDTLKGYMPEAIEILIDCMRNPLFLQEEVERQLVLAREEVQDLLKNPERFLHEQLNLVGYSGALANPLIAPEDALARINDKIIQKFYHENYTADRVVLAASGVDHESLLNYAEFLLNDWHKGSPVEKPKSTYVGGDSRHRADSDMTHVALAFEVPGGWLQERDATIMTVLQTLMGGGGSFSSGGPGKGMHSRLYLRVLNKYHSVQSFSAFSNVYDNTGLFGISLTTPPDFVAKAVDVAISELIAIATPGEVTEVELQRAKNSTISSVLMNLESRVIVAEDIGRQLLTYGCRKPIDYFLQCMEEITVDDITTLVRKMLSSQPTMVSWGDVDKVPPYEFVCKRFR >PVH37452 pep chromosome:PHallii_v3.1:5:541973:545824:-1 gene:PAHAL_5G007300 transcript:PVH37452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGMLPRLRSSTRVLRKLCGLEPAAAARRLSAADAPASFSSARGNSLLYPLPGLDLPPPLPDNLGRGPTRVTTLPNGIRVATEDVPGPSACIGFFVNSGSVYESAETTGVSYLLEKMAFKDTKHRRHRNLVHELELAGGNVGASCSREQMVYSYDTLKGYMPEAIEILIDCMRNPLFLQEEVERQLVLAREEVQDLLKNPERFLHEQLNLVGYSGALANPLIAPEDALARINDKIIQKFYHENYTADRVVLAASGVDHESLLNYAEFLLNDWHKGSPVEKPKSTYVGGDSRHRADSDMTHVALAFEVPGGWLQERDATIMTVLQTLMGGGGSFSSGGPGKGMHSRLSFSNVYDNTGLFGISLTTPPDFVAKAVDVAISELIAIATPGEVTEVELQRAKNSTISSVLMNLESRVIVAEDIGRQLLTYGCRKPIDYFLQCMEEITVDDITTLVRKMLSSQPTMVSWGDVDKVPPYEFVCKRFR >PVH37780 pep chromosome:PHallii_v3.1:5:5443711:5444787:-1 gene:PAHAL_5G088300 transcript:PVH37780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37784 pep chromosome:PHallii_v3.1:5:5441389:5444830:-1 gene:PAHAL_5G088300 transcript:PVH37784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37788 pep chromosome:PHallii_v3.1:5:5443711:5444787:-1 gene:PAHAL_5G088300 transcript:PVH37788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37779 pep chromosome:PHallii_v3.1:5:5441389:5444829:-1 gene:PAHAL_5G088300 transcript:PVH37779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37785 pep chromosome:PHallii_v3.1:5:5441387:5444830:-1 gene:PAHAL_5G088300 transcript:PVH37785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37786 pep chromosome:PHallii_v3.1:5:5443711:5444787:-1 gene:PAHAL_5G088300 transcript:PVH37786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37781 pep chromosome:PHallii_v3.1:5:5441387:5444787:-1 gene:PAHAL_5G088300 transcript:PVH37781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37787 pep chromosome:PHallii_v3.1:5:5441389:5444787:-1 gene:PAHAL_5G088300 transcript:PVH37787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37782 pep chromosome:PHallii_v3.1:5:5441231:5444787:-1 gene:PAHAL_5G088300 transcript:PVH37782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37778 pep chromosome:PHallii_v3.1:5:5441762:5444787:-1 gene:PAHAL_5G088300 transcript:PVH37778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH37783 pep chromosome:PHallii_v3.1:5:5443711:5444787:-1 gene:PAHAL_5G088300 transcript:PVH37783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPISHSSATTPLSLLANQASGVVQSLSSLNSCRCTVGLAAGNAPEPLAINARARQAIRSLPHPHAAALDTTPAAALVPKPPPPAGPENLRRNKGGRMADEAAAAGDAVFARCLLALYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLRPPSPPLLAALPAALYALHYAHRTLVHPLRLARLPRAPAPVPVLVAACAFGFNILNAYVQARSVALHAGRPASAFALARCAAGLPLFAWGMRTNIAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCSNLGPRARDHRRWYLQKFGSDYPASRKAFVPYIY >PVH39212 pep chromosome:PHallii_v3.1:5:53945478:53945931:-1 gene:PAHAL_5G455200 transcript:PVH39212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHCYSLVGHYTLLATCLDHIDNLKTHLRPLLFQIQILKQSKQKCDTYAYLIGLC >PVH38942 pep chromosome:PHallii_v3.1:5:49127794:49128180:-1 gene:PAHAL_5G394100 transcript:PVH38942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVSWSGGAGGEAGATRQFGTRAGAVRRERRGRRQRQEAQWRTQGKGRGGASSVACTWGSSSVPVAGSATSRPSDAAVDAARGARGRARALGHGKCVAGWQRKEEETGRRRKEEKKRRGEKEKGRRK >PVH38900 pep chromosome:PHallii_v3.1:5:48041276:48052614:1 gene:PAHAL_5G385200 transcript:PVH38900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MAMAPNASAAATPSLRFAHPSSATGLASPLAGGSGGFRVQFHPSRRGRGVQGRRDGGSHVARVGGLLGGVFGGGGRDGGEATRKKYADTVARINAMEPEVSALSDADLRARTAALQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYSCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFERDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGVTIQNETITLASISYQNFFLQFPKLCGMTGTAATESQEFESIYKLKVTVIPTNKPMIRKDDSDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVEQSESLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVNPIDGVIVSKKQMPPRKTWKTNESLFPCELSKETLSSVKDAVEVAVKEWGEKSLTELEAEERLSYSCEKGPTRDDVIANLRNAFMKIADEYKVYTEEEKKKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASNSLESLIVEYAELTMDDILEANIGPDTPKENWDLSKLIAKLQQYCYLLDDLTPELLESKSSSYEDLQEYLRKRGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVVKNQEEEKPQNKGSKKKVDKGANKLGAAQAAS >PAN30948 pep chromosome:PHallii_v3.1:5:48041276:48052614:1 gene:PAHAL_5G385200 transcript:PAN30948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MTPEQRRENYSCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFERDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGVTIQNETITLASISYQNFFLQFPKLCGMTGTAATESQEFESIYKLKVTVIPTNKPMIRKDDSDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVEQSESLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVNPIDGVIVSKKQMPPRKTWKTNESLFPCELSKETLSSVKDAVEVAVKEWGEKSLTELEAEERLSYSCEKGPTRDDVIANLRNAFMKIADEYKVYTEEEKKKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASNSLESLIVEYAELTMDDILEANIGPDTPKENWDLSKLIAKLQQYCYLLDDLTPELLESKSSSYEDLQEYLRKRGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVVKNQEEEKPQNKGSKKKVDKGANKLGAAQAAS >PVH39480 pep chromosome:PHallii_v3.1:5:57541687:57542638:-1 gene:PAHAL_5G512500 transcript:PVH39480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQAGHGRLPSRWPEHMEISISRRPDDGRDLEPAACAAPSRRPASLPDGEL >PVH37775 pep chromosome:PHallii_v3.1:5:5401691:5402277:-1 gene:PAHAL_5G087400 transcript:PVH37775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKWRDAASSDRLVHGTTVPFMIVDCTIDGSSKHAARRPGQPPAGKLQPAAGLCPVRSNIVSPYESLSRVSDPTSTSPCSRRAASEELASSHDVRQKDARHIPVRPAPGATEVTGRRQTRTACQSRNEDEAQPVRGIGR >PVH38974 pep chromosome:PHallii_v3.1:5:50309972:50311162:1 gene:PAHAL_5G406000 transcript:PVH38974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRFIFPSWTYPFRRPSGIYAKPTSTALPLFVSITSRPPADSNLLVASSSTERPIMARIHPYVAAACAVVLVLAAPTVAVDPDMLQDVCVADRASPIKINGFPCKANVTADDFFFAGLRNPGNTNNPAGSVVTAANVESFPGVNTLGVSIARIDFAPGGQNPPHTHPRATEIIFILEGTLEVGFITTANKLFSKTITKGDVFVFPRAHVHFQQNRGYGPATIISAFNSQLQGTQAIAMTLFGATPPVSTDILAKAFKIGNAKVDAIKGRFTPK >PAN28919 pep chromosome:PHallii_v3.1:5:11233518:11235673:-1 gene:PAHAL_5G186300 transcript:PAN28919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MGHHSCCNKQKVRRGLWSPEEDEKLIKYITTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEALIVELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPDLYYNILDGAAGQGMAAAGCAPLNGADNAVAQAVTLSAPSPPPMVHNPAAAWTDFVSQPLFLPGNNGVLGSGNDLHPYGIDGEFIKLCRAADAFPPPESGVASQCKPAAGLVAQQDEGAAAAAVRSLPVFLEPKGGAGAFAADPAMGPVMDFMEAILGSSSTSAVSASSVDSFSASTGMPSHCWIP >PAN28918 pep chromosome:PHallii_v3.1:5:11233867:11235514:-1 gene:PAHAL_5G186300 transcript:PAN28918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MGHHSCCNKQKVRRGLWSPEEDEKLIKYITTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEALIVELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPAADLYYNILDGAAGQGMAAAGCAPLNGADNAVAQAVTLSAPSPPPMVHNPAAAWTDFVSQPLFLPGNNGVLGSGNDLHPYGIDGEFIKLCRAADAFPPPESGVASQCKPAAGLVAQQDEGAAAAAVRSLPVFLEPKGGAGAFAADPAMGPVMDFMEAILGSSSTSAVSASSVDSFSASTGMPSHCWIP >PAN28948 pep chromosome:PHallii_v3.1:5:11233518:11235673:-1 gene:PAHAL_5G186300 transcript:PAN28948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MRSSSSTSPRTAMAAGAPSRDKQRCGKSCRLRWINYLRPDLKRGSFSQQEEALIVELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPAADLYYNILDGAAGQGMAAAGCAPLNGADNAVAQAVTLSAPSPPPMVHNPAAAWTDFVSQPLFLPGNNGVLGSGNDLHPYGIDGEFIKLCRAADAFPPPESGVASQCKPAAGLVAQQDEGAAAAAVRSLPVFLEPKGGAGAFAADPAMGPVMDFMEAILGSSSTSAVSASSVDSFSASTGMPSHCWIP >PVH38353 pep chromosome:PHallii_v3.1:5:15221264:15222458:-1 gene:PAHAL_5G241900 transcript:PVH38353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHVIVFHFQVFEFLMKLCRFLVERGALIFLNDKDETIGTEAIYEKIAGGKYGCSWDAFQAYKHLKSLGYIVGRYGVPWTMKNSGTCDTTVPPSVVRTDQSFNRVDGTCSNITKLLKEMHIDEISPSFEVYLPNSKFKKSSPGAPSFLLCLLRNKPPSRIELEMVENNFGGIPLKYCHVDNGRVSFLSFDKVALPRLP >PVH39263 pep chromosome:PHallii_v3.1:5:54718270:54722129:1 gene:PAHAL_5G466800 transcript:PVH39263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSPATSLTLCLALLLLIPQTRSTTTTGAPNAAAPCAPAWCGDLAIAYPFWLAGTHPPECGGHQAFQVTCDNATAYFKNSLWTYRIQAIFYEMKRIRVTNADLLDGACNVDKLANASSVVDPFYVSSEMNQELFFLHGCNLRAQQLPPSWAPLRCANGSFAWLSGQYRPDDDSMTLPGNCNVSMVPVMAYDGATGSDYQRLVEGGFMLEYFPEGCEGCRCPDGVTCGTTERAHRKITIIGSTAAAASLLVLCICVLIWKRKVKQLWFLLGTKTMYTTERNTEALIASHGSLAPKRYRYTEVANITSSLNNKLGEGGYGTVFKGRLYDGRLVAVKFLHECKANGDEFVNEVMSIGRTSHVNIVSLFGFCLERTKRALIYEYMPNGSLDQYIYSENPKETLGWQKLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQDFCPKISDFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSMAQKSSEKYFPHWIYDHFTEDDGLQACEVTSEADEIAKKMTLIGFWCIQVLPVHRPTITKVLEMFERGLDSLDMPPRQNFSQILEDSVYRLDTESRSPSSSTKTQGSFEEINLGNGKIL >PAN29465 pep chromosome:PHallii_v3.1:5:14115477:14116962:-1 gene:PAHAL_5G228300 transcript:PAN29465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRSSSTATAARAATGVCRVPGHKIPAEMMTPASTRTAPHHAAPGHWRLISASRPHGDPTSRRPRCSTRHLGINSNLCCQSERDRVPARQGCNFSGRKGGRDGAVRRHGEQAVERRRRVGRTVR >PVH38318 pep chromosome:PHallii_v3.1:5:14116239:14116826:-1 gene:PAHAL_5G228300 transcript:PVH38318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRSSSTATAARAATGVCRVPGHKIPAEMMTPASTRTAPHHAAPGHWRLISASRPHGDPTSRRPRCSTRHLGINSNLCCQSERDRVPARQAVTGCCFGPARGERGRGCNFSGRKGGRDGAVRRHGEQAVERRRRVGRTVR >PAN29464 pep chromosome:PHallii_v3.1:5:14116243:14116826:-1 gene:PAHAL_5G228300 transcript:PAN29464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRSSSTATAARAATGVCRVPGHKIPAEMMTPASTRTAPHHAAPGHWRLISASRPHGDPTSRRPRCSTRHLGINSNLCCQSERDRVPARQGRITFLILLLFVLCKKVCLSIVYGLDEMLGCCSSCDWVLLWSCSWGKRKRLQFLGTEGRQRRRGTPTWRAGGGASAPRRTDS >PAN28578 pep chromosome:PHallii_v3.1:5:9849899:9854515:-1 gene:PAHAL_5G163200 transcript:PAN28578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAVLLQRSSSRAREYLQLQRTAGGTVALHLERLHCWWCCCCSGGGRFGARAAHGMAASGEGNNHNGVVTGGVGDAYGEDRATEDQPVTPWAVCVASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQEKRLLQNVRQFEVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLKQRRATGQEYSELLDEFMTAVRQNYGQKVLVQFEDFANHNAFTLLEKYRANHLVFNDDIQGTAAVVLAGLIAALKSVGGTLADHTFLFFGAGEAGTGIAELVALEISKQGKVSVEEARQKIWLVDSKGLIVASRNETLQPFKKRYAHEHEPVKDLLDAVKAIRPTALIGSAGVGRSFTKEVIEAMSSINERPIILALSNPTSQSECTAEEAYTWSQGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAVRVTDDMVLAAAEGLADQVTPEHIDKGLIYPPFSIIRKISANIAARVAAKAYDLGLASQLPRPKDLVKYAESCMYSPVYRSYR >PVH38554 pep chromosome:PHallii_v3.1:5:20734473:20737076:-1 gene:PAHAL_5G287700 transcript:PVH38554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNHSRKFESGSQKRKKKQRIEKLVQSQQGAMDRFITKQSQVEELNPSLNAGDSFLPDIFYPRYWDSLDSKQVDILAQKGPKRDLSIQKGPKDKFSRRFSSLFYNRILSNGESYDRDWLVYSKKLDRAFCFSCKLFTKGHRKGQLANEGYNDWAHLGKRLKEHETGADHVSSMITWYELRNRLQTDQTIDKAAQRQLEKEKDHWRKVLFRIVGIVKFIAKHNLAFRGSNSKLYDDSNGNFLGLVEMLAEFDPVIQEHVRRITNEETQVHYLGPRIQNELINLLASAIKSEIIKKIKSAKYFSAILDCTPDASHQEQMSLIIRYVDSSSGHVRVEESFLGFLDVNDTTGQALFDVLENELKILDLDIDDMRGQGYDNWSNMKGKHQGVQRKLLDVNPRDFYSACGFHSLNLTLCDMATKTCGKAKDFFGIIQRIYTTFANSTKKWYILKYILKDNISGLTLKSVSATRWESRIDSVKAIRFQCADIREALLHVSDTDNDPGKSSEAKGLANNELGQYEFIVAIVIWYEVVYAVNLVSKQMQAKDMLIDVVIEKVQGLISFFEGYRETSFLEALELNDLAIIALEGEMLEKIDYERIIEDFISKNTKRMMLFK >PAN28230 pep chromosome:PHallii_v3.1:5:8339985:8348819:-1 gene:PAHAL_5G139100 transcript:PAN28230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MQPMRRRQRGFPLLCLSTALLAAAALLPGAAVAEGVTPSEARRLRDEVKDMFYHAFDGYMKYAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKEKFGAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGLRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSINLKYGVDENESKITSTAGGGTLTLEFGILSRLTNNTVFERVTKNSVRGIWSRRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHDAFFSVWKKYGFTPEGFNLATLAVQNGQRSYPLRPELIESTYWLFKATRDYRCVFLYKIH >PAN28226 pep chromosome:PHallii_v3.1:5:8337847:8348819:-1 gene:PAHAL_5G139100 transcript:PAN28226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MQPMRRRQRGFPLLCLSTALLAAAALLPGAAVAEGVTPSEARRLRDEVKDMFYHAFDGYMKYAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKEKFGAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGLRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSINLKYGVDENESKITSTAGGGTLTLEFGILSRLTNNTVFERVTKNSVRGIWSRRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHDAFFSVWKKYGFTPEGFNLATLAVQNGQRSYPLRPELIESTYWLFKATRDYRYIDVGRDILASLQYGARCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPVTREIALVDEHCSYFGAFCNGSANRGYGTSASSTKHKKPNYTQLDDIQTPSGHYSASNMFATSSYIKGVCPGLTHAQKLGISYSDEEGNVIEQTSESHEHHGEPAVASSVQAQSSNIILISHPVASQPDEALRRWSGSGDHADIVVTADSDSISHDNTDGSLGVNTEELTEDTGRSSKQSEDEDIAQNLKSNEDIS >PAN28231 pep chromosome:PHallii_v3.1:5:8337414:8348947:-1 gene:PAHAL_5G139100 transcript:PAN28231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MQPMRRRQRGFPLLCLSTALLAAAALLPGAAVAEGVTPSEARRLRDEVKDMFYHAFDGYMKYAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKEKFGAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGLRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSINLKYGVDENESKITSTAGGGTLTLEFGILSRLTNNTVFERVTKNSVRGIWSRRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHDAFFSVWKKYGFTPEGFNLATLAVQNGQRSYPLRPELIESTYWLFKATRDYRYIDVGRDILASLQYGARCPCGYCHISDVETHKQDDHMESFFLAETVHI >PAN28229 pep chromosome:PHallii_v3.1:5:8337414:8348947:-1 gene:PAHAL_5G139100 transcript:PAN28229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MQPMRRRQRGFPLLCLSTALLAAAALLPGAAVAEGVTPSEARRLRDEVKDMFYHAFDGYMKYAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKEKFGAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGLRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSINLKYGVDENESKITSTAGGGTLTLEFGILSRLTNNTVFERVTKNSVRGIWSRRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHDAFFSVWKKYGFTPEGFNLATLAVQNGQRSYPLRPELIESTYWLFKATRDYRYIDVGRDILASLQYGARCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPVTREIALVDEHCSYFGAFCNGSANRGYGTSASSTKHKKPNYTQLDDIQTPSGHYSASNMFATSSYIKFVQD >PAN28059 pep chromosome:PHallii_v3.1:5:7613086:7616738:-1 gene:PAHAL_5G127200 transcript:PAN28059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCINTRPNGTNQQHTAAENMGLYSSSRGPLASALLLCFCMLLLGVHGGSRRLYIVYLGDVKHGHPNDVIASHHDLLSNVLGSMEDSLASMIHNYKHGFSGFAAMLTEDQAKQLAEFPDVISVQPSRRCRAATTRSWDFLDLNYQMPNDLLNKGRFGEDIIIGVVDSGIWPESRSFSDEGYGPVPSRWRGVCQVGQGWDLNNCSRKIIGARFYSAGLDEEELKADYLSPRDANGHGTHTASTAAGSVVEGASFHGLAAGVARGGAPHSRIAVYKAIWNTADDDTSGSDAALLAAIDDAIHDGVDVLSLSVGGFSDNSFGALHAVQKGITVVYAAGNDGPSPQTLENTSPWVITVAASKIDRSFPTVITLGNKQQIVGQSLYYQGKNSSRSIFRSLEYGGLCTADDLNGTDLKGKIVLCATPNSPRVKGPSAVLGQAFQNVRDGGGSGLIFVQYTTDILQESVGMPCVLVDIDTGKKIRQYIDAGSSPVAKIEPARSITGKEILAPKVASFSSRGPSPDYADIIKPDIAAPGASILAATKDSYRLESGTSMATPHVTGIIALLKALHPSWSPAALKSAIVTTASVTDEHGMPMLAEGLPRKIADPFDYGGGHINPNRAADPGLIYDIDPNEYNHFFGCTVTKTSASCNATSVPGYFLNLPSISVPNLRYPVSISRTVTNVGEVDAVYHAAIESPAGVKIEVEPSVLAFNAANKVHTFQVKISPMWKLQGDYTFGSITWYNGEKTVRIPVAARITIHDFFADVA >PAN32792 pep chromosome:PHallii_v3.1:5:57581125:57581523:-1 gene:PAHAL_5G513100 transcript:PAN32792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLVLVALLVAAVAAAACLAVPASAGVPDMGGLDALAAGKKQCSGAVGECGVDEAEELGLSGGGAGEALRRTLAQRQPTNRYISYAALRADQVPCNQRGRSYYSNCGSQKAANPYRRGCSVITRCARNTN >PAN27346 pep chromosome:PHallii_v3.1:5:4675475:4679343:-1 gene:PAHAL_5G075500 transcript:PAN27346 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQVEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27351 pep chromosome:PHallii_v3.1:5:4674326:4680482:-1 gene:PAHAL_5G075500 transcript:PAN27351 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27354 pep chromosome:PHallii_v3.1:5:4675475:4679343:-1 gene:PAHAL_5G075500 transcript:PAN27354 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQVEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27357 pep chromosome:PHallii_v3.1:5:4675475:4679343:-1 gene:PAHAL_5G075500 transcript:PAN27357 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27352 pep chromosome:PHallii_v3.1:5:4673745:4680482:-1 gene:PAHAL_5G075500 transcript:PAN27352 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQVEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27353 pep chromosome:PHallii_v3.1:5:4675475:4679343:-1 gene:PAHAL_5G075500 transcript:PAN27353 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQVEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27349 pep chromosome:PHallii_v3.1:5:4673745:4680482:-1 gene:PAHAL_5G075500 transcript:PAN27349 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27347 pep chromosome:PHallii_v3.1:5:4674326:4680482:-1 gene:PAHAL_5G075500 transcript:PAN27347 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQVEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27348 pep chromosome:PHallii_v3.1:5:4673745:4680482:-1 gene:PAHAL_5G075500 transcript:PAN27348 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQVEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN27350 pep chromosome:PHallii_v3.1:5:4675475:4679343:-1 gene:PAHAL_5G075500 transcript:PAN27350 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGEAGGEPRAWLAVEETAATFISRSLSSRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYIGGLGKSVMYLDLDCRFDVLRLAQILRKRIAEGSAHLRNGDLEKDGTKDEFQSSFENALFSDCMQRFLYARCHNSSEITAALKTVQSQSRSEVLGLGIYFVMIDSIGAFYWIDRASQPAGENKGKSLQSVTETVIHEIRKFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYMMEDSTVLKYSRLDEERTLSCREYMPSIWQSFVTHRINLQVEEAVVPSVHENEVLSTYTSEWMQPSLKTKEKFSIVGDGVILVQ >PAN28451 pep chromosome:PHallii_v3.1:5:9235456:9237645:-1 gene:PAHAL_5G153500 transcript:PAN28451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLANPPVVDQIPLLRSPGPRDSFAAVPVVDLSSPGAARAIVDACERFGFFKVVNHGVAAATMDRAESEAVRFFAQAQADKDRAGPAYPFGYGSKRIGLNGDMGWLEYLLLAVDSASLSDACTVPSAAAFRAALNEYIAAVRKVAVRVLEAMAEGLGIAPADALSAMVTEQGSDQVFRVNHYPPCPALQGLGCSATGFGEHTDPQLISVLRSNGTSGLQIALRDGAQWVSVPSDRDAFFVNVGDSLQVLTNGRFRSVKHRVVTNSLKSRVSFIYFGGPPLAQRIAPLPELLGEGEESLYREFTWGEYKKAAYKTRLGDNRLAQFEKK >PAN27600 pep chromosome:PHallii_v3.1:5:5630762:5632866:-1 gene:PAHAL_5G091400 transcript:PAN27600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFVLNTGAEIPSVGLGTWQSKPDDVGDSVYAAVKAGYRHIDCARAYRNEKEIGLALQKLFEEGVVKREDLFITSKLWHDHHAPEDVPESLDKTLNDLQLEYLDLYLIHWPFRLKKGTDWSNPENFVPPDIPATWGAMEKLYDTGKARAIGVSNFSTKKLGGLLAVARIPPAVNQVECHPGWQQTKLNSFCQSTGIHLSAYSPLGSPGTAWMNSNVLKEPVVTLIADKLGKTPAQVALRWNIQMGHSVLPKSLNEQRIKQNLDVYDWSIPDDLLAEFSEIKQVRLVRGDFTVNPQSVYKTHEELWDGEI >PVH37464 pep chromosome:PHallii_v3.1:5:726838:731451:-1 gene:PAHAL_5G010900 transcript:PVH37464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSASRPRRPLRHAPSPPPPAVANGKAASKPMSPVHPSLDRTVKKLRLTRALTLPEATAVSEACRRMAARRVDAALLTDANGMLSGILTAEDIAGRVIAEGLKPEETSVVKVMTRNPVFVMSNSSAIDALQKMVQGKFRHLPVVERGEVVAMLDITKFLYDAISRMEMAAEQGSAIAAAMEGVERQWGTDFPGPHAFMESLREQMFKPSLSTIITENRSVPVVSPSDPVTLTAKKMREHQVNSVVVMTGNMLLGIFTSKDLVLRVVAQNLPPETTLVEKAMTANPECATLDTSILDALHSMQDGKFLHIPVVDKNGQIIACLDALQLTHATISMVEGASGANDVANSMMQKFWDSALAMHPAEEFDARSDESRVVASESAEGKHIHPPHVNSSFCFKIEDKKGRTHRFSCVSESLNELVSAVAYRLGTDNDKSTIKLLYDDDEGDRVVLTTDSDLTAAIEHAKSAGWKVLRLHMDEAEARTESAVSRADPSTAQRGQSALRFGIVAGAAALVGIAVIVYLKRSQL >PVH37463 pep chromosome:PHallii_v3.1:5:727007:731451:-1 gene:PAHAL_5G010900 transcript:PVH37463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDITKFLYDAISRMEMAAEQGSAIAAAMEGVERQWGTDFPGPHAFMESLREQMFKPSLSTIITENRSVPVVSPSDPVTLTAKKMREHQVNSVVVMTGNMLLGIFTSKDLVLRVVAQNLPPETTLVEKAMTANPECATLDTSILDALHSMQDGKFLHIPVVDKNGQIIACLDALQLTHATISMVEGASGANDVANSMMQKFWDSALAMHPAEEFDARSDESRVVASESAEGKHIHPPHVNSSFCFKIEDKKGRTHRFSCVSESLNELVSAVAYRLGTDNDKSTIKLLYDDDEGDRVVLTTDSDLTAAIEHAKSAGWKVLRLHMDEAEARTESAVSRADPSTAQRGQSALRFGIVAGAAALVGIAVIVYLKRSQL >PAN28090 pep chromosome:PHallii_v3.1:5:7761315:7763435:-1 gene:PAHAL_5G130200 transcript:PAN28090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKHIVLVHGACLGGWSWFKVATPLRAAGYRVDTPDLAASGVDPRPLREVPTFRDYTAPLLDLLAALPAGDRVVLVGHSLGGVSVALAAELFPDKVAAAVFLCAFMPDCAARPSHVLEKFVEGKWLDWMDTEMKPQDTEGKLPTSMLFGPRILREKFFQLCSPEDITLASSLMRVSSMFMEDLAAQQPFTEGGYGSVRRVYVVCSEDYGIVEGFQRWMVENSPVEEVKEIAADHLVMLSRPKDLAQCLADIADKYA >PAN28610 pep chromosome:PHallii_v3.1:5:10055009:10060217:1 gene:PAHAL_5G165700 transcript:PAN28610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLDAASLLAPAWPPRAKPRPRGRFLCLGLSATLASLALLLFLALRPAPNPEPNYGALFLSLGSNDTAAAHLRALTLHPHVAGTRANSLTARYVRDALSALAFPAHVTPYSVLLSYPVHRSLSLSAPGRGAAATSFALRQETYRGDPYAAAAAEAIPTFYAYAASGSVSAEAVYANYGREEDFAYLGARGVDVAGKVALARYGRIHCEDIAHNARVAGAAAAVVYTDPLHYGGAPWEAPFPDSRWLPPSGVQVGSLFRGVGDPTTPMWASSEGCERLSVEEAMRTDDMPLIPALPVSARDAMEIHGAMGGPVAPAGWQGREDGPVYRLGPGPAVLNLTYLGNDTMATIENVFAIIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRFSMMQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENQEMLSSRAVAYLNIDVSVVGPGFLPSTTPQLDELLQEITKVVQDPDNSSQTVYDSWIKLNGSPQVLRLGDGGSDYSAFVQHAGIPSMNVAFGEGPGYPVYHSLYDDYVWMEKFGDPGFRRHVAAASIWGMMALRLANDEIIPFNYMSYAMELEAYTKVLENGLKGTAVTCSPLYNSIRDLRTAATKANNEQKELREQLLSKQLSKDSMKIRQLNDRLMQAERAFTSREGIFKQEWFKHLVYGPSDQNDWDTAVYPGIANAIASARSSNTSESWRSVQHEIYRVARAVAQASAVLSGRLT >PAN32017 pep chromosome:PHallii_v3.1:5:54088367:54094248:1 gene:PAHAL_5G457300 transcript:PAN32017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting, WD40-repeat protein, Actin turnove [Source: Projected from Oryza sativa (Os01g0125800)] MAQLVETYACSPATERGRGILLAGDPKTDTIAYCTGRSVIIRRLDAPLDAWAYQDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFVNCIRYSPDGSKFITVSSDKKGLIYDGKTGEKIGELSAEGGHTGSIYAVSWSLDSKQVLTVSADKTAKVWDIMEDASGKLNRTLACPGTGGVDDMLVGCLWQNDHLVTVSLGGTFTVFSASNLDKEPVTFAGHLKTISSLVLFPQSSPRTILSTSYDGVIMRWIQGVGYGGRLMRKNNTQIKCFAAVEEELVTSGYDNKVFRIPVNGDQCGDAESVDVGGQPNALNLAIQKPEFALITTDSGILLLQNSKVISTTKVNYTITSSSVSPDGTEAVVGAQDGKLRIYSINGDTVTEEAVLEKHRGAITSIHYSPDVSMFASADVNREAVVWDRASREIKLKNMLYHTARINTVAWSPDSRLVATGSLDTCAIVYEIDKPAASRITIKGAHLGGVHGLTFVDNDTLVTAGEDACIRVWKVVQQ >PAN31627 pep chromosome:PHallii_v3.1:5:52497814:52501873:-1 gene:PAHAL_5G432400 transcript:PAN31627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06960) UniProtKB/Swiss-Prot;Acc:Q9M903] MLLRRMRWMSEGDGRWELDAETPATMEGTARPVPGDPLPLGLSRGPRVTRPKQLDFFHRFMASPLVPTFSSADGGLSVHHAHLFHLTDNWSFTILEKIHVQKLVSVVKEKLANRQEEAPWTKDLKKHLHDVMSLGVGTELLITPDTTLLLELYDIKKGDRGKAIFHHKLPHQNITFQASWPGLFVDKKGLYWDVPLSLSADLASVGSSSGLSYHLLLQQNSGEPKCFGGDETSEVPIALLPGLCAKAAVSIKKSIDAWRKKEDKLKNVQPYDVFLSDSHASFTGIVGAVASGYLGDCSRRVSIRDETQRSNAFRMFNERNKFAAFADLFASVTFTAQYGNFQRLFLDLTKASARFDIASGSLFLCGASRLAQDFFFSRKPDIETFCDVCPDVTISFQQQIVGPFSFRVESSVAIDPRNQDHFVRVDDSIFAIDWALKVLGSAKATAWFSLKYQEAMVELRFFEA >PVH39098 pep chromosome:PHallii_v3.1:5:52497813:52501873:-1 gene:PAHAL_5G432400 transcript:PVH39098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06960) UniProtKB/Swiss-Prot;Acc:Q9M903] MLLRRMRWMSEGDGRWELDAETPATMEGTARPVPGDPLPLGLSRGPRVTRPKQLDFFHRFMASPLVPTFSSADGGLSVHHAHLFHLTDNWSFTILEKIHVQKLVSVVKEKLANRQEEAPWTKDLKKHLHDVMSLGVGTELLITPDTTLLLELYDIKKGDRGKAIFHHKLPHQNITFQASWPGLFVDKKGLYWDVPLSLSADLASVGSSSGLSYHLLLQQNSGEPKCFGGDETSEVPIALLPGLCAKAAVSIKKSIDAWRKKEDKLKNVQPYDVFLSDSHASFTGIVASGYLGDCSRRVSIRDETQRSNAFRMFNERNKFAAFADLFASVTFTAQYGNFQRLFLDLTKASARFDIASGSLFLCGASRLAQDFFFSRKPDIETFCDVCPDVTISFQQQIVGPFSFRVESSVAIDPRNQDHFVRVDDSIFAIDWALKVLGSAKATAWFSLKYQEAMVELRFFEA >PAN28555 pep chromosome:PHallii_v3.1:5:9748384:9752270:1 gene:PAHAL_5G161800 transcript:PAN28555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKARLPTLCAAPSTCTLLPSLRSPQTNSMAATATATARRNPARPAKAATGPQEAAAAPARDGGTELSAWTSVRQERWEGELPVEGRLPDWLSGTYLRNGPGLWNVGGGGAFHHLFDGYATLVRVSFRRGRATGAHRQVESDAYVSAVASGGPVLREFSQCPDKPGSLLGRMSNAVGILSGAALTDNPNSAVLPLGDGRVLCLTETTKSSVLVEPETLATVGKLRYADGLGGMMMMMIQSAHPIVTESELLTVLPDLARPGHLVVRMAAGSDEREVIGRVDCRGGPTPGWMHSFAVTENYVVVPEMPLRYSASSLIKCEPAPYYAFEWLPASGSYMHVMCRSTGKTVASVEVPPFMAIHYINAYEERGEDDGRAAAVIVDCCEHYGDPAIIETLVLHRLRSLRDKDVLPNARVGRFRIPLDGSPFGELDTALDPEEHGRGIDMCSINPAYLGKRYQYAYACGARRPCNFPNTLTKIDLVEKTAMNWHEEGAVPSEPFFVARPGATDEDDGVVISIVSALGGDGYALVLDAATFQEIARVRFPYGLPYGFHGCWIPDKILTGRFDGNTQVD >PVH38050 pep chromosome:PHallii_v3.1:5:9749076:9750475:1 gene:PAHAL_5G161800 transcript:PVH38050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKARLPTLCAAPSTCTLLPSLRSPQTNSMAATATATARRNPARPAKAATGPQEAAAAPARDGGTELSAWTSVRQERWEGELPVEGRLPDWLSGTYLRNGPGLWNVGGGGAFHHLFDGYATLVRVSFRRGRATGAHRQVESDAYVSAVASGGPVLREFSQCPDKPGSLLGRMSNAVGILSGAALTDNPNSAVLPLGDGRVLCLTETTKSSVLVEPETLATVGKLRYADGLGGMMMMMIQSAHPIVTESELLTVLPDLARPGHLVVRMAAGSDEREVIGRVDCRGGPTPGWMHSFAVTENYVVVPEMPLRYSASSLIKCEPAPYYAFEWLPASGSYMHVMCRSTGKTFLCLRWRASRCRRSWRSTTSTRTRREARTTAGLPRSSSTAASTTAIPPSSRRLSSTG >PVH38051 pep chromosome:PHallii_v3.1:5:9748384:9752270:1 gene:PAHAL_5G161800 transcript:PVH38051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKARLPTLCAAPSTCTLLPSLRSPQTNSMAATATATARRNPARPAKAATGPQEAAAAPARDGGTELSAWTSVRQERWEGELPVEGRLPDWLSGTYLRNGPGLWNVGGGGAFHHLFDGYATLVRVSFRRGRATGAHRQVESDAYVSAVASGGPVLREFSQCPDKPGSLLGRMSNAVGILSGAALTDNPNSAVLPLGDGRVLCLTETTKSSVLVEPETLATVGKLRMAAGSDEREVIGRVDCRGGPTPGWMHSFAVTENYVVVPEMPLRYSASSLIKCEPAPYYAFEWLPASGSYMHVMCRSTGKTVASVEVPPFMAIHYINAYEERGEDDGRAAAVIVDCCEHYGDPAIIETLVLHRLRSLRDKDVLPNARVGRFRIPLDGSPFGELDTALDPEEHGRGIDMCSINPAYLGKRYQYAYACGARRPCNFPNTLTKIDLVEKTAMNWHEEGAVPSEPFFVARPGATDEDDGVVISIVSALGGDGYALVLDAATFQEIARVRFPYGLPYGFHGCWIPDKILTGRFDGNTQVD >PAN31162 pep chromosome:PHallii_v3.1:5:49860478:49864119:1 gene:PAHAL_5G401500 transcript:PAN31162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEMMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVLENFDFRPGMIIIDLDLKRGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEEPSA >PAN29987 pep chromosome:PHallii_v3.1:5:17060131:17062504:1 gene:PAHAL_5G264400 transcript:PAN29987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLYADIGKKSRDLLYKDYNTHQKFSVTTCSPHGVAITAAGTRKNESIFGELQTQIKNKKLTVDVKANSESDLLTTITVDEFGTSGLKSILSLVVPDQRSGKLELQYLHEFAGVNASIGLNPNPMVNFSGVFGSKALSVGVDVSFDTATSNFTKYNAALSLTNPDLIASLHLNNHGDTMVASYYHLVKHHSGTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSFVTISGEVDTKAIEKSTKVGLSLVLKH >PAN27593 pep chromosome:PHallii_v3.1:5:5571284:5578254:-1 gene:PAHAL_5G090600 transcript:PAN27593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGIGGAVAMETADSTRAFVKDVKRIIIKVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMDFDGKACAAVGQSGLMAIYDMLFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFALLDLKVIPLFNENDAISTRRQPYEDPSGIFWDNDSLAALLAAELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSRVGRGGMQAKVVAAANAASKGVPVVIASGFATDSIIKILKGEKLGTLFHNEANSWECSKGATAREMAVAARDCSRCLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQDAGYEKSLVARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAILHKVITGAIPDSVGKKLIGLVTTKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNKSEGLDDLLVELEKEGVVIYGGPVAHDKLKVPKVDSFRHEYSSMACTLEFVDNVHSAIDHINRYGSAHTDCIITTDEKAAEAFLQQVESAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHRDLPLP >PVH38232 pep chromosome:PHallii_v3.1:5:12423090:12424694:1 gene:PAHAL_5G204200 transcript:PVH38232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSYQQDEMMYLDRCDFIEILSIRDTKASHPMLITPFFEMTLIGPSSPITVVSTYLTLQFLIKA >PVH38233 pep chromosome:PHallii_v3.1:5:12423090:12424694:1 gene:PAHAL_5G204200 transcript:PVH38233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSYQQDEMMYLDRCDFIEILSIRDTKASHPMLITPFFEMTLIGPSSPITVVSTYLTLQFLIKA >PAN29290 pep chromosome:PHallii_v3.1:5:13108122:13111932:1 gene:PAHAL_5G214500 transcript:PAN29290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Persulfide dioxygenase ETHE1 homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53580) UniProtKB/Swiss-Prot;Acc:Q9C8L4] MVLPLRLIPRLAAAARLPRAPRPISRRARLVPALAMAAAYSTGSGADRRLLFRQLFEKESSTYTYLLADVADPDKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKVPGVKSVISRASGAKADHFVDPGDKIHFGNLFLEVRATPGHTAGCVTYITGDADGQPSPRMAFTGDALIIRACGRTDFQGGSPDLLYQSVHSQIFTLPKDTLLYPAHDYKGFTVSTVEEEVAYNARLTKDKETFKTIMDNLNLSYPKMMDVAVPANLVCGIQDPPPKI >PAN28452 pep chromosome:PHallii_v3.1:5:9146809:9150637:-1 gene:PAHAL_5G152200 transcript:PAN28452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGKATTFLGGCGSPPGSLPHFLPRTPESGVAVCPRASPSRHPRAARAALNLRAAAPGAAPPPPCHSAPVKWSRAGGWLGLARGRAGALLLLPSAAARQRRRAASAAQRNATQADPSLASFQRSRAAELPRPFPAAEAKRALFLISGSRSSARAMRADRAMGERGGDQRRIDLGAPLRSARRGDAPPRYKPDLKSGPVRHPGVVPFVWEQRPGQPKSVRTRRAAPPTPPREPARARPEEVGGGGGTPYHDALADLDLQALHGAADRGSRAAPASREVVAVEARKQEAVSVAAVLRKPHGDGEEEEERFSDALDTLSRTESFAMNCSVSGLSGAVEPGPGAGPEARGLMMDRFLPAAQAVAVGSPQYTFRKAGGTGNSGRDHAHAHARAAAVKASAGSGDDRMRRAPVQLPYQHLPPNYLSCAYPRREEHEEDDKEDDDDYDVHSTRGFSAKGCGLLPGLCVKTSLLLLNPIPAMKRGKAQGRGRGRQFPSRGRGQMAQSPLARSSQNKQLGCDSNGQSWEDVYKHKLEQKYLGQGEDGRSKVTSESNHLTFWSDSQTRDGSSPYHPSIAGGMSPYRNYAVTSPSRKANGSSRIGDRDDKASRSNGSGSLGRDHDRTSLVGSDHSSFKGSSSMSSGPDLAGHEDSMDHHGDTDSETCHLGVLVDSRAALKANMCDSHPGGQQIVGKNSIVKDQVNDLLTEKISEVREPTFPLDDGKDLTRDANQEVPLHLEDNNVARQEIIPLQSLLPLPVPKSPSESWLSRTLPSVTNKPPPPSFLGIQVHSKKQAPWAAAHPKENDHKPSRPRQIRFADVVEKPISMDSEI >PAN32661 pep chromosome:PHallii_v3.1:5:56828404:56833845:-1 gene:PAHAL_5G503000 transcript:PAN32661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPTWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKDNASDDSSQRLLATMRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWAKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKNFSNGQDTKAAPAGLANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRNRYGDVRGHQSNLAESPAQLLLEQTSAKQSTGKKGSSIVQPFQAPPKVSADVPNPVPTVQSQKAPEASPEDDKKTAGPTSDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNTPNHSQNQAVDFSSLDQRMNGKRPSYGSSSNCNNCEVRDRFGVTARANITESLVIQKAPTSAGSDGRLSIEHSGSVVPGSLTSCSALSIHVQNNKDNEDSIPVCLEAKTVERAASDTIGVGGAFSTKESEIKCTRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKFGRRAMPAMVMGSAAVFIDVDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSAKGAGTVKVISAKFSRCGSPLVVLASRHAFLYDMSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRSHLETQLAASLALKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMAGSVPSMDPKNPVWDPDVLGMKKHELLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEAKANLMDVIPTPQPTAEASDKVMQPATEASDKVMKLASEANDKVMEPRTEVNDMVTTS >PAN32663 pep chromosome:PHallii_v3.1:5:56827833:56833878:-1 gene:PAHAL_5G503000 transcript:PAN32663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPTWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKDNASDDSSQRLLATMRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWAKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKNFSNGQDTKAAPAGLANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRNRYGDVRGHQSNLAESPAQLLLEQTSAKQSTGKKGSSIVQPFQAPPKVSADVPNPVPTVQSQKAPEASPEDDKKTAGPTSDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNTPNHSQNQAVDFSSLDQRMNGKRPSYGSSSNCNNCEVRDRFGVTARANITESLVIQKAPTSAGSDGRLSIEHSGSVVPGSLTSCSALSIHVQNNKDNEDSIPVCLEAKTVERAASDTIGVGGAFSTKESEIKCTRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKFGRRAMPAMVMGSAAVFIDVDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSAKGTVKVISAKFSRCGSPLVVLASRHAFLYDMSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRSHLETQLAASLALKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMAGSVPSMDPKNPVWDPDVLGMKKHELLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEAKANLMDVIPTPQPTAEASDKVMQPATEASDKVMKLASEANDKVMEPRTEVNDMVTTS >PVH39448 pep chromosome:PHallii_v3.1:5:56828404:56831922:-1 gene:PAHAL_5G503000 transcript:PVH39448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWAKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKNFSNGQDTKAAPAGLANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRNRYGDVRGHQSNLAESPAQLLLEQTSAKQSTGKKGSSIVQPFQAPPKVSADVPNPVPTVQSQKAPEASPEDDKKTAGPTSDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNTPNHSQNQAVDFSSLDQRMNGKRPSYGSSSNCNNCEVRDRFGVTARANITESLVIQKAPTSAGSDGRLSIEHSGSVVPGSLTSCSALSIHVQNNKDNEDSIPVCLEAKTVERAASDTIGVGGAFSTKESEIKCTRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKFGRRAMPAMVMGSAAVFIDVDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSAKGTVKVISAKFSRCGSPLVVLASRHAFLYDMSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRSHLETQLAASLALKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMAGSVPSMDPKNPVWDPDVLGMKKHELLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEAKANLMDVIPTPQPTAEASDKVMQPATEASDKVMKLASEANDKVMEPRTEVNDMVTTS >PAN32664 pep chromosome:PHallii_v3.1:5:56827882:56833878:-1 gene:PAHAL_5G503000 transcript:PAN32664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPTWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKDNASDDSSQRLLATMRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWAKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKNFSNGQDTKAAPAGLANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRNRYGDVRGHQSNLAESPAQLLLEQTSAKQSTGKKGSSIVQPFQAPPKVSADVPNPVPTVQSQKAPEASPEDDKKTAGPTSDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNTPNHSQNQAVDFSSLDQRMNGKRPSYGSSSNCNNCEVRDRFGVTARANITESLVIQKAPTSAGSDGRLSIEHSGSVVPGSLTSCSALSIHVQNNKDNEDSIPVCLEAKTVERAASDTIGVGGAFSTKESEIKCTRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKFGRRAMPAMVMGSAAVFIDVDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSAKGTVKVISAKFSRCGSPLVVLASRHAFLYDMSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRSHLETQLAASLALKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMAGSVPSMDPKNPVWDPDVLGMKKHELLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEAKANLMDVIPTPQPTAEASDKVMQPATEASDKVMKLASEANDKVMEPRTEVNDMVTTS >PVH39449 pep chromosome:PHallii_v3.1:5:56827882:56833878:-1 gene:PAHAL_5G503000 transcript:PVH39449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPTWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKDNASDDSSQRLLATMRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWAKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKNFSNGQDTKAAPAGLANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRNRYGDVRGHQSNLAESPAQLLLEQTSAKQSTGKKGSSIVQPFQAPPKVSADVPNPVPTVQSQKAPEASPEDDKKTAGPTSDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNTPNHSQNQAVDFSSLDQRMNGKRPSYGSSSNCNNCEVRDRFGVTARANITESLVIQKAPTSAGSDGRLSIEHSGSVVPGSLTSCSALSIHVQNNKDNEDSIPVCLEAKTVERAASDTIGVGGAFSTKESEIKCTRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKFGRRAMPAMVMGSAAVFIDVDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSAKGTVKVISAKFSRCGSPLVVLASRHAFLYDMSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSR >PVH39447 pep chromosome:PHallii_v3.1:5:56828404:56831922:-1 gene:PAHAL_5G503000 transcript:PVH39447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWAKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKNFSNGQDTKAAPAGLANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRNRYGDVRGHQSNLAESPAQLLLEQTSAKQSTGKKGSSIVQPFQAPPKVSADVPNPVPTVQSQKAPEASPEDDKKTAGPTSDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNTPNHSQNQAVDFSSLDQRMNGKRPSYGSSSNCNNCEVRDRFGVTARANITESLVIQKAPTSAGSDGRLSIEHSGSVVPGSLTSCSALSIHVQNNKDNEDSIPVCLEAKTVERAASDTIGVGGAFSTKESEIKCTRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKFGRRAMPAMVMGSAAVFIDVDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSAKGTVKVISAKFSRCGSPLVVLASRHAFLYDMSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRSHLETQLAASLALKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMAGSVPSMDPKNPVWDPDVLGMKKHELLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEAKANLMDVIPTPQPTAEASDKVMQPATEASDKVMKLASEANDKVMEPRTEVNDMVTTS >PAN32830 pep chromosome:PHallii_v3.1:5:57739222:57747385:-1 gene:PAHAL_5G515300 transcript:PAN32830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPGPEPMALDAPPAAEDAAAAAAAVPPAGGEKKKEGEGGERVPGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETFAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTPRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLIPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCSALDACAHPFFDELRAPNARLPNGRPFPPLFNFKHELANSSPELVNRLIPEHIRRHTGS >PAN32946 pep chromosome:PHallii_v3.1:5:58271384:58276953:-1 gene:PAHAL_5G524100 transcript:PAN32946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAQDIQSTTELQSSAQGTNEVQSQQPNAMATDAPAGDSGSLSVASNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPVPSSVPLAPMQNGIQHMPVSNLPMGYPVLQQPIMPAPGQPHIDPMACGMSSGHVVNGIPAPGGYHPIRMNSGNDMVVDNGVTEAPHAGATGSAMSSEMAVSPSSAASSNHAPFTPSEIPGMTMDVSALDSTFGSDVGNAGPLQLGPDGSSRDSIRSLGQIWNFSLSDLTADLTSLGDLEALENYTGTPFLPSDSDILLDSPDQDDIVEYFADAINGSQSDEEKP >PAN32392 pep chromosome:PHallii_v3.1:5:55709306:55713818:1 gene:PAHAL_5G484100 transcript:PAN32392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MSGSYGSDDYRGGGGGGGGYGGRGGGGGGRGRGGGGGGYGGGGGGGYGGGGGGGYGSGGGGFGVGGGRGGGGGFGGGGRGGGGRGGGGRGGGREGGREGDWVCPDASCGNVNFARRTECNKCGTPCPSGAGGGGGGGGYNRSGGGGGGYNRGGGDYGSGGGGGFDRDGAGGGGRGGYNRSGGNDRGFDDHRGGRGGSYGGRDQENQRGSEGGYNAGGYGQAPPQGPPSFGGPAGDYAAPPSSYGGNNAYGSDSAVPPPNSYSGGPASYPPSYGAPPPNQYGGGAPGGQGGLPPTYDGGYGGRSMPGGGGSGGAPPPYHGGGGGGGGGYTGGAASEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVVMAEKSAPRAPSYGHGGGRGGGYGGGRRDNYRDGGGHGPNRNQGGGSRSRPY >PAN32391 pep chromosome:PHallii_v3.1:5:55709307:55713817:1 gene:PAHAL_5G484100 transcript:PAN32391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MSGSYGSDDYRGGGGGGGGYGGRGGGGGGRGRGGGGGGYGGGGGGGYGGGGGGGYGSGGGGFGVGGGRGGGGGFGGGGRGGGGRGGGGRGGGREGGREGDWVCPDASCGNVNFARRTECNKCGTPCPSGAGGGGGGGGYNRSGGGGGGYNRGGGDYGSGGGGGFDRDGAGGGGRGGYNRSGGNDRGFDDHRGGRGGSYGGRDQENQRGSEGGYNAGGYGQAPPQGPPSFGGPAGDYAAPPSSYGGNNAYGSDSAVPPPNSYSGGPASYPPSYGAPPPNQYGGGAPGGQGGLPPTYDGGYGGRSMPGGGGSGGAPPPYHGGGGGGGGGYTGGAASEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVVMAEKSAPRAPSYGHGGGRGGGYGGGRRDNYRDGGGHGPNRNQGGGSRSRPY >PVH39352 pep chromosome:PHallii_v3.1:5:55709307:55713817:1 gene:PAHAL_5G484100 transcript:PVH39352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MSGSYGSDDYRGGGGGGGGYGGRGGGGGGRGRGGGGGGYGGGGGGGYGGGGGGGYGSGGGGFGVGGGRGGGGGFGGGGRGGGGRGGGGRGGGREGGREGDWVCPDASCGNVNFARRTECNKCGTPCPSGAGGGGGGGGYNRSGGGGGGYNRGGGDYGSGGGGGFDRDGAGGGGRGGYNRSGGNDRGFDDHRGGRGGSYGGRDQENQRGSEGGYNAGGYGQAPPQGPPSFGGPAGDYAAPPSSYGGNNAYGSDSAVPPPNSYSGGPASYPPSYGAPPPNQYGGGAPGGQGGLPPTYDGGYGGRSMPGGGGSGGAPPPYHGGGGGGGGGYTGGAASEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVVMAEKSAPRAPSYGHGGGRGGGYGGGRRDNYRDGGGHGPNRNQGGGSRSRPY >PAN32390 pep chromosome:PHallii_v3.1:5:55709306:55713818:1 gene:PAHAL_5G484100 transcript:PAN32390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MSGSYGSDDYRGGGGGGGGYGGRGGGGGGRGRGGGGGGYGGGGGGGYGGGGGGGYGSGGGGFGVGGGRGGGGGFGGGGRGGGGRGGGGRGGGREGGREGDWVCPDASCGNVNFARRTECNKCGTPCPSGAGGGGGGGGYNRSGGGGGGYNRGGGDYGSGGGGGFDRDGAGGGGRGGYNRSGGNDRGFDDHRGGRGGSYGGRDQENQRGSEGGYNAGGYGQAPPQGPPSFGGPAGDYAAPPSSYGGNNAYGSDSAVPPPNSYSGGPASYPPSYGAPPPNQYGGGAPGGQGGLPPTYDGGYGGRSMPGGGGSGGAPPPYHGGGGGGGGGYTGGAASEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVVMAEKSAPRAPSYGHGGGRGGGYGGGRRDNYRDGGGHGPNRNQGGGSRSRPY >PVH39550 pep chromosome:PHallii_v3.1:5:58946605:58949384:1 gene:PAHAL_5G533800 transcript:PVH39550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCTDHNAQLPRLEGIEEEGSPADEWAPTSVRAPETPTETMEFLARSWSLSAAEISKALKVLSCGKAASDSPAAVTTTEQRPTPPLESDHRHQRADAAMAAPAAQAGEAGGVMSPPVSPRANLDVKLLRAAAGRGKTVGAWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSAPPPEQRPKNGGASNATKTAAAVASAAALVASHCVEMAQAIGASHDQILAAIHSAVNTQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGILHWKLVTVYINSSFQVVLKMQSAHMAGTFIKTKKCVVLDVCSEIPAWAGRELEDGSHKRGYFGIRTVERVIEFECRNKYDQHKWVQGITEMLVRRDSMNNAL >PVH39549 pep chromosome:PHallii_v3.1:5:58946605:58949384:1 gene:PAHAL_5G533800 transcript:PVH39549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCTDHNAQLPRLEGIEEEGSPADEWAPTSVRAPETPTETMEFLARSWSLSAAEISKALKVLSCGKAASDSPAAVTTTEQRPTPPLESDHRHQRADAAMAAPAAQAGEAGGVMSPPVSPRANLDVKLLRAAAGRGKTVGAWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSAPPPEQRPKNGGASNATKTAAAVASAAALVASHCVEMAQAIGASHDQILAAIHSAVNTQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGILHWKLVTVYINSSFQVVLKMQSAHMAGTFIKTKKCVVLDVCSEIPAWAGRELEDGSHKRGYFGIRTVERVIEFECRNKYDQHKWVQGITEMLVRRDSMNNAL >PVH39548 pep chromosome:PHallii_v3.1:5:58946605:58949384:1 gene:PAHAL_5G533800 transcript:PVH39548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCTDHNAQLPRLEGIEEEGSPADEWAPTSVRAPETPTETMEFLARSWSLSAAEISKALKVLSCGKAASDSPAAVTTTEQRPTPPLESDHRHQRADAAMAAPAAQAGEAGGVMSPPVSPRANLDVKLLRAAAGRGKTVGAWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSAPPPEQRPKNGGASNATKTAAAVASAAALVASHCVEMAQAIGASHDQILAAIHSAVNTQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGILHWKLVTVYINSSFQVVLKMQSAHMAGTFIKTKKCVVLDVCSEIPAWAGRELEDGSHKRGYFGIRTVERVIEFECRNKYDQHKWVQGITEMLVRRDSMNNAL >PAN27976 pep chromosome:PHallii_v3.1:5:7348161:7351034:-1 gene:PAHAL_5G121900 transcript:PAN27976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRIAGLVAALLALALALALSSSRSAQEEAMADRGPLVGGVTDVPQNDNDIHLQELARFAVDEHNKKANALLGFEKLVKAKTQVVAGTMYYLTVEAKDGETKKLYEAKVWEKPWENFKELQEFKPVEESAST >PAN30266 pep chromosome:PHallii_v3.1:5:39896372:39897717:1 gene:PAHAL_5G355700 transcript:PAN30266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSIDIRTPSLFCQFISVTLIWHLIMFSGISLNRTLPKSQRAFTPLPLPSGAIVCET >PAN31757 pep chromosome:PHallii_v3.1:5:53021179:53078058:1 gene:PAHAL_5G442300 transcript:PAN31757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVATARDVEEVIQKLHSDRARVRDEGVKLLGTWLQGDRAASFCRLLGRNTARVKPGHGHLTGAATWPFLILALLNCVKEDISGKKRGTTKSAAARMLRVAIQCAEDVKLSGHSLLLISVARQLFSHVWEVVKDGPSFQLEYSMILRQLLAVKEYRYQMKPRTYISFVVHYMKKVTTVLDAKFSNQASSKDESFRCTLTLHVLLENPPGDYPDTMREEVINGFSAIFPHIREEEGKLTRKLIECINTFLLKDGPNLGYGCVKIHNAVQEFIFRCWPATLDQALKSLFITYAKVQLKLARAIPEILEKLVDIIIKELDKNVNTGPGFLWCEAPRDEKAVSLRCFQEEWMDLSATVFYLAHKCTLKTPNREKRLKTENILNLIIDGLSKGSLFWSGSVCFLIHKYGYRVDKSLLISWFEASCKALRSVLSHANAIRFQDSILWLLRALKEFSMVVMFNPREEAQFCLTKSEMSIVEGCWQDIWNSLIHALPLFSSIVLVADSALILLGGMIVRDQVHASFLSEDTWNLQIFKQLPSPSALYFIACYFSRIGFQGDLSSSIFIRKNLLRSALELVHSKEFSLLNEQNVVLIPEAIFSLCASFSSSPIISSDTLQLFGDCKINSKSLEDKTWVLKDELLYSVEALSKITLDSSVKVISDKCHRIHLPGTIQQPMLLELMDFIKGFVASNDQFEKLDLSTLVFVCSLLCNLIHCSLLSRVMGDKSSLLQEVLNYITNVLKHIVSFVMKKNDELSHGVTNLSLPLDTMGSTLSSFKSFLSSPIFNLSRVDNGVSSLVVKGVTELLDELLVAISQLFSQLSSLVNNFDGENAGKVLPISCVNSEDLNPIVDCKSSVADMDLDVMDSGEVDSVTTSGSGNMGNFLRPLEWKLELVRTISTFFSVLSLHTWEILYSLVEKESDVKVRQVILLNLCRNIPGSSKTVSSMVHLINDLRDRCACSLLNSAECLACVHALLRTLVTLRDGGQNIDGQPQGCKGIFSESQDILLDLVNKATEIGYTDWFSRIKLIDCISSFICLFPDVAQVLIGCLLDMLHDTDYRVRLYLAREIVVLFQTWEGHNELFYDIRSNIGAKMVAFSIDSPVTAREVLAVGPQSVPVIETALITLAHLSVYSEDVEVESVFMISAAAAIEPSQRELAYALFDSISRKLGYASRSKYLDQVIGPILFRWVACEMSLVSLVEVQEMFGYSSSEPKNFVEHCSSWLLPFLILRGDAADLNWISKILSQPLSVIIKRYFVPIFGLSIAARCGTGPEKDLAETALCESLLQLGEISELERDDLIKKHMVSIVAFLLSVSSSAHGPQFPYFSKEIVALSLKTVVDGFVDTMDDDLANTVVIDKINIFRADRVFKFLLAIHQHVADACHPRHMCHRLCAIEVLIDVLGHRVVLESTCFYIICIVGNYVQQKPLQGQCCNILSKLLASFDGNSSAGTVEVLGRQLQVVVPKLITACLTNEKEERSGTADSSGLLSLLRHLTVDADPLLYDYIRELEPLPDLDCLKDIREFHTSLFASYASRDQFLKFVNRAPYLPPELFLLSLRMYHSKLLLGDIIGRSDPSAADIDIVSCWCRDPDIVSAVWTLVDLCSSSPVANEASPMVADFISRAGLSDAHQVIFHLPTLTQKHPVQLHIGSSSKDDKLCSDYGISDDILVDLLKLLKTYLSDESVEIIDAASQTLRGILSTAKGLNALQSLDSLDRSLLMVHSRGVNIQIVEQSILGMEKYSGVSLEDSDTWQTDGRTYKQWLCTLVSSLICHCDDIILRLCRSLVFLKVKAAELLFASTLVNIAGNVDSNAAICRLISTKAEEIIFSDSNDSLKSIQLFLDALNVIRSFYVAEKSRTCPSNTLKDGRSVRSKSRSPATTTSSSWKKVYWLSVDYLVAARAASRCSCDFTALMYVELWCEEQFNRLAIGPPDFSHEESLPPHVGLLIAAFTRINEPDSIYGITLANEITSQIIRYEHEGEWSSALEYYDLLVRSSPMEHLGNLTGSLMTGPSAFRAEDKMLNWKMHKGLMRSLQKTGCSHVLDVYCEGLTHQKGSLQQDAEFIDIQYEAAWRAGNWDFSFFIPYSSHSSTRTQSYCLFNENLHSCLRSLENGDSEEFHAKLSRAKMDLVLALSNTSKESTKYIHSTILKLQMLDHVTMVWDLRWNLCHNQTSESFPKTKKVSPVPTGMQLELLDKEWNFIVFQTEHNLDLFEPFLAFRRALLKVLGCEEHLVTHLFQSASALRKGLRFSLAAAALYELKEHCFHQDGGTMPNTYFLSRLEEAKLLRAQGQHDMAISLGKYILQKHSDKKDISDVYRLVGKWLAETRSSNSRTIIEDYLRPSVDLTEFKTTTDKRYMSRQCRTHFHMAQYTYSLFKSYEERLSSNEWQAALRLRKYKTRELETLIKRLKSSSKGVKTDYSVKIQELQKQLALDREEAEKIQDDRDNFLNLALQGYQHSLVVGGKYDLQVVFRLVSLWFSLSSRDQVVKAMMKTTKDVQSYKFIPLVYQIASRLGSSNSQGSTNFQIALASLLKKMAIDHPYHTIFQLLALSNGDRVKDKQRSRSSFIVDMEKKLAAENLLKELSSCHGALICQMKQMVEIYIKLAELETKKEDTNKRISLPREARSICQLELVPVVTATVPVDPSCRYEVGSFPHFSGLADSIMIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNTFLQNHRDTSQRRLRIRTYKVVPFTPSAGVVEWVNGTVPLGDYLLGSTRISGAHGRYGTGDWTYLQCREYLMSEKDKRRAFLKICNNFRPVMHHFFLERFLLPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEKTLSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDTDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWL >PVH39124 pep chromosome:PHallii_v3.1:5:53021179:53078058:1 gene:PAHAL_5G442300 transcript:PVH39124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVATARDVEEVIQKLHSDRARVRDEGVKLLGTWLQGDRAASFCRLLGRNTARVKPGHGHLTGAATWPFLILALLNCVKEDISGKKRGTTKSAAARMLRVAIQCAEDVKLSGHSLLLISVARQLFSHVWEVVKDGPSFQLEYSMILRQLLAVKEYRYQMKPRTYISFVVHYMKKVTTVLDAKFSNQASSKDESFRCTLTLHVLLENPPGDYPDTMREEVINGFSAIFPHIREEEGKLTRKLIECINTFLLKDGPNLGYGCVKIHNAVQEFIFRCWPATLDQALKSLFITYAKVQLKLARAIPEILEKLVDIIIKELDKNVNTGPGFLWCEAPRDEKAVSLRCFQEEWMDLSATVFYLAHKCTLKTPNREKRLKTENILNLIIDGLSKGSLFWSGSVCFLIHKYGYRVDKSLLISWFEASCKALRSVLSHANAIRFQDSILWLLRALKEFSMVVMFNPREEAQFCLTKSEMSIVEGCWQDIWNSLIHALPLFSSIVLVADSALILLGGMIVRDQVHASFLSEDTWNLQIFKQLPSPSALYFIACYFSRIGFQGDLSSSIFIRKNLLRSALELVHSKEFSLLNEQNVVLIPEAIFSLCASFSSSPIISSDTLQLFGDCKINSKSLEDKTWVLKDELLYSVEALSKITLDSSVKVISDKCHRIHLPGTIQQPMLLELMDFIKGFVASNDQFEKLDLSTLVFVCSLLCNLIHCSLLSRVMGDKSSLLQEVLNYITNVLKHIVSFVMKKNDELSHGVTNLSLPLDTMGSTLSSFKSFLSSPIFNLSRVDNGVSSLVVKGVTELLDELLVAISQLFSQLSSLVNNFDGENAGKVLPISCVNSEDLNPIVDCKSSVADMDLDVMDSGEVDSVTTSGSGNMGNFLRPLEWKLELVRTISTFFSVLSLHTWEILYSLVEKESDVKVRQVILLNLCRNIPGSSKTVSSMVHLINDLRDRCACSLLNSAECLACVHALLRTLVTLRDGGQNIDGQPQGCKGIFSESQDILLDLVNKATEIGYTDWFSRIKLIDCISSFICLFPDVAQVLIGCLLDMLHDTDYRVRLYLAREIVVLFQTWEGHNELFYDIRSNIGAKMVAFSIDSPVTAREVLAVGPQSVPVIETALITLAHLSVYSEDVEVESVFMISAAAAIEPSQRELAYALFDSISRKLGYASRSKYLDQVIGPILFRWVACEMSLVSLVEVQEMFGYSSSEPKNFVEHCSSWLLPFLILRGDAADLNWISKILSQPLSVIIKRYFVPIFGLSIAARCGTGPEKDLAETALCESLLQLGEISELERDDLIKKHMVSIVAFLLSVSSSAHGPQFPYFSKEIVALSLKTVVDGFVDTMDDDLANTVVIDKINIFRADRVFKFLLAIHQHVADACHPRHMCHRLCAIEVLIDVLGHRVVLESTCFYIICIVGNYVQQKPLQGQCCNILSKLLASFDGNSSAGTVEVLGRQLQVVVPKLITACLTNEKEERSGTADSSGLLSLLRHLTVDADPLLYDYIRELEPLPDLDCLKDIREFHTSLFASYASRDQFLKFVNRAPYLPPELFLLSLRMYHSKLLLGDIIGRSDPSAADIDIVSCWCRDPDIVSAVWTLVDLCSSSPVANEASPMVADFISRAGLSDAHQVIFHLPTLTQKHPVQLHIGSSSKDDKLCSDYGISDDILVDLLKLLKTYLSDESVEIIDAASQTLRGILSTAKGLNALQSLDSLDRSLLMVHSRGVNIQIVEQSILGMEKYSGVSLEDSDTWQTDGRTYKQWLCTLVSSLICHCDDIILRLCRSLVFLKVKAAELLFASTLVNIAGNVDSNAAICRLISTKAEEIIFSDSNDSLKSIQLFLDALNVIRSFYVAEKSRTCPSNTLKDGRSVRSKSRSPATTTSSSWKKVYWLSVDYLVAARAASRCSCDFTALMYVELWCEEQFNRLAIGPPDFSHEESLPPHVGLLIAAFTRINEPDSIYGITLANEITSQIIRYEHEGEWSSALEYYDLLVRSSPMEHLGNLTGSLMTGPSAFRAEDKMLNWKMHKGLMRSLQKTGCSHVLDVYCEGLTHQKGSLQQDAEFIDIQVSVYEAAWRAGNWDFSFFIPYSSHSSTRTQSYCLFNENLHSCLRSLENGDSEEFHAKLSRAKMDLVLALSNTSKESTKYIHSTILKLQMLDHVTMVWDLRWNLCHNQTSESFPKTKKVSPVPTGMQLELLDKEWNFIVFQTEHNLDLFEPFLAFRRALLKVLGCEEHLVTHLFQSASALRKGLRFSLAAAALYELKEHCFHQDGGTMPNTYFLSRLEEAKLLRAQGQHDMAISLGKYILQKHSDKKDISDVYRLVGKWLAETRSSNSRTIIEDYLRPSVDLTEFKTTTDKRYMSRQCRTHFHMAQYTYSLFKSYEERLSSNEWQAALRLRKYKTRELETLIKRLKSSSKGVKTDYSVKIQELQKQLALDREEAEKIQDDRDNFLNLALQGYQHSLVVGGKYDLQVVFRLVSLWFSLSSRDQVVKAMMKTTKDVQSYKFIPLVYQIASRLGSSNSQGSTNFQIALASLLKKMAIDHPYHTIFQLLALSNGDRVKDKQRSRSSFIVDMEKKLAAENLLKELSSCHGALICQMKQMVEIYIKLAELETKKEDTNKRISLPREARSICQLELVPVVTATVPVDPSCRIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNTFLQNHRDTSQRRLRIRTYKVVPFTPSAGVVEWVNGTVPLGDYLLGSTRISGAHGRYGTGDWTYLQCREYLMSEKDKRRAFLKICNNFRPVMHHFFLERFLLPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEKTLSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDTDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWL >PAN31760 pep chromosome:PHallii_v3.1:5:53027157:53078058:1 gene:PAHAL_5G442300 transcript:PAN31760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCYVFVAYADSALILLGGMIVRDQVHASFLSEDTWNLQIFKQLPSPSALYFIACYFSRIGFQGDLSSSIFIRKNLLRSALELVHSKEFSLLNEQNVVLIPEAIFSLCASFSSSPIISSDTLQLFGDCKINSKSLEDKTWVLKDELLYSVEALSKITLDSSVKVISDKCHRIHLPGTIQQPMLLELMDFIKGFVASNDQFEKLDLSTLVFVCSLLCNLIHCSLLSRVMGDKSSLLQEVLNYITNVLKHIVSFVMKKNDELSHGVTNLSLPLDTMGSTLSSFKSFLSSPIFNLSRVDNGVSSLVVKGVTELLDELLVAISQLFSQLSSLVNNFDGENAGKVLPISCVNSEDLNPIVDCKSSVADMDLDVMDSGEVDSVTTSGSGNMGNFLRPLEWKLELVRTISTFFSVLSLHTWEILYSLVEKESDVKVRQVILLNLCRNIPGSSKTVSSMVHLINDLRDRCACSLLNSAECLACVHALLRTLVTLRDGGQNIDGQPQGCKGIFSESQDILLDLVNKATEIGYTDWFSRIKLIDCISSFICLFPDVAQVLIGCLLDMLHDTDYRVRLYLAREIVVLFQTWEGHNELFYDIRSNIGAKMVAFSIDSPVTAREVLAVGPQSVPVIETALITLAHLSVYSEDVEVESVFMISAAAAIEPSQRELAYALFDSISRKLGYASRSKYLDQVIGPILFRWVACEMSLVSLVEVQEMFGYSSSEPKNFVEHCSSWLLPFLILRGDAADLNWISKILSQPLSVIIKRYFVPIFGLSIAARCGTGPEKDLAETALCESLLQLGEISELERDDLIKKHMVSIVAFLLSVSSSAHGPQFPYFSKEIVALSLKTVVDGFVDTMDDDLANTVVIDKINIFRADRVFKFLLAIHQHVADACHPRHMCHRLCAIEVLIDVLGHRVVLESTCFYIICIVGNYVQQKPLQGQCCNILSKLLASFDGNSSAGTVEVLGRQLQVVVPKLITACLTNEKEERSGTADSSGLLSLLRHLTVDADPLLYDYIRELEPLPDLDCLKDIREFHTSLFASYASRDQFLKFVNRAPYLPPELFLLSLRMYHSKLLLGDIIGRSDPSAADIDIVSCWCRDPDIVSAVWTLVDLCSSSPVANEASPMVADFISRAGLSDAHQVIFHLPTLTQKHPVQLHIGSSSKDDKLCSDYGISDDILVDLLKLLKTYLSDESVEIIDAASQTLRGILSTAKGLNALQSLDSLDRSLLMVHSRGVNIQIVEQSILGMEKYSGVSLEDSDTWQTDGRTYKQWLCTLVSSLICHCDDIILRLCRSLVFLKVKAAELLFASTLVNIAGNVDSNAAICRLISTKAEEIIFSDSNDSLKSIQLFLDALNVIRSFYVAEKSRTCPSNTLKDGRSVRSKSRSPATTTSSSWKKVYWLSVDYLVAARAASRCSCDFTALMYVELWCEEQFNRLAIGPPDFSHEESLPPHVGLLIAAFTRINEPDSIYGITLANEITSQIIRYEHEGEWSSALEYYDLLVRSSPMEHLGNLTGSLMTGPSAFRAEDKMLNWKMHKGLMRSLQKTGCSHVLDVYCEGLTHQKGSLQQDAEFIDIQYEAAWRAGNWDFSFFIPYSSHSSTRTQSYCLFNENLHSCLRSLENGDSEEFHAKLSRAKMDLVLALSNTSKESTKYIHSTILKLQMLDHVTMVWDLRWNLCHNQTSESFPKTKKVSPVPTGMQLELLDKEWNFIVFQTEHNLDLFEPFLAFRRALLKVLGCEEHLVTHLFQSASALRKGLRFSLAAAALYELKEHCFHQDGGTMPNTYFLSRLEEAKLLRAQGQHDMAISLGKYILQKHSDKKDISDVYRLVGKWLAETRSSNSRTIIEDYLRPSVDLTEFKTTTDKRYMSRQCRTHFHMAQYTYSLFKSYEERLSSNEWQAALRLRKYKTRELETLIKRLKSSSKGVKTDYSVKIQELQKQLALDREEAEKIQDDRDNFLNLALQGYQHSLVVGGKYDLQVVFRLVSLWFSLSSRDQVVKAMMKTTKDVQSYKFIPLVYQIASRLGSSNSQGSTNFQIALASLLKKMAIDHPYHTIFQLLALSNGDRVKDKQRSRSSFIVDMEKKLAAENLLKELSSCHGALICQMKQMVEIYIKLAELETKKEDTNKRISLPREARSICQLELVPVVTATVPVDPSCRYEVGSFPHFSGLADSIMIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNTFLQNHRDTSQRRLRIRTYKVVPFTPSAGVVEWVNGTVPLGDYLLGSTRISGAHGRYGTGDWTYLQCREYLMSEKDKRRAFLKICNNFRPVMHHFFLERFLLPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEKTLSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDTDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWL >PVH39126 pep chromosome:PHallii_v3.1:5:53021179:53078058:1 gene:PAHAL_5G442300 transcript:PVH39126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVATARDVEEVIQKLHSDRARVRDEGVKLLGTWLQGDRAASFCRLLGRNTARVKPGHGHLTGAATWPFLILALLNCVKEDISGKKRGTTKSAAARMLRVAIQCAEDVKLSGHSLLLISVARQLFSHVWEVVKDGPSFQLEYSMILRQLLAVKEYRYQMKPRTYISFVVHYMKKVTTVLDAKFSNQASSKDESFRCTLTLHVLLENPPGDYPDTMREEVINGFSAIFPHIREEEGKLTRKLIECINTFLLKDGPNLGYGCVKIHNAVQEFIFRCWPATLDQALKSLFITYAKVQLKLARAIPEILEKLVDIIIKELDKNVNTGPGFLWCEAPRDEKAVSLRCFQEEWMDLSATVFYLAHKCTLKTPNREKRLKTENILNLIIDGLSKGSLFWSGSVCFLIHKYGYRVDKSLLISWFEASCKALRSVLSHANAIRFQDSILWLLRALKEFSMVVMFNPREEAQFCLTKSEMSIVEGCWQDIWNSLIHALPLFSSIVLVADSALILLGGMIVRDQVHASFLSEDTWNLQIFKQLPSPSALYFIACYFSRIGFQGDLSSSIFIRKNLLRSALELVHSKEFSLLNEQNVVLIPEAIFSLCASFSSSPIISSDTLQLFGDCKINSKSLEDKTWVLKDELLYSVEALSKITLDSSVKVISDKCHRIHLPGTIQQPMLLELMDFIKGFVASNDQFEKLDLSTLVFVCSLLCNLIHCSLLSRVMGDKSSLLQEVLNYITNVLKHIVSFVMKKNDELSHGVTNLSLPLDTMGSTLSSFKSFLSSPIFNLSRVDNGVSSLVVKGVTELLDELLVAISQLFSQLSSLVNNFDGENAGKVLPISCVNSEDLNPIVDCKSSVADMDLDVMDSGEVDSVTTSGSGNMGNFLRPLEWKLELVRTISTFFSVLSLHTWEILYSLVEKESDVKVRQVILLNLCRNIPGSSKTVSSMVHLINDLRDRCACSLLNSAECLACVHALLRTLVTLRDGGQNIDGQPQGCKGIFSESQDILLDLVNKATEIGYTDWFSRIKLIDCISSFICLFPDVAQVLIGCLLDMLHDTDYRVRLYLAREIVVLFQTWEGHNELFYDIRSNIGAKMVAFSIDSPVTAREVLAVGPQSVPVIETALITLAHLSVYSEDVEVESVFMISAAAAIEPSQRELAYALFDSISRKLGYASRSKYLDQVIGPILFRWVACEMSLVSLVEVQEMFGYSSSEPKNFVEHCSSWLLPFLILRGDAADLNWISKILSQPLSVIIKRYFVPIFGLSIAARCGTGPEKDLAETALCESLLQLGEISELERDDLIKKHMVSIVAFLLSVSSSAHGPQFPYFSKEIVALSLKTVVDGFVDTMDDDLANTVVIDKINIFRADRVFKFLLAIHQHVADACHPRHMCHRLCAIEVLIDVLGHRVVLESTCFYIICIVGNYVQQKPLQGQCCNILSKLLASFDGNSSAGTVEVLGRQLQVVVPKLITACLTNEKEERSGTADSSGLLSLLRHLTVDADPLLYDYIRELEPLPDLDCLKDIREFHTSLFASYASRDQFLKFVNRAPYLPPELFLLSLRMYHSKLLLGDIIGRSDPSAADIDIVSCWCRDPDIVSAVWTLVDLCSSSPVANEASPMVADFISRAGLSDAHQVIFHLPTLTQKHPVQLHIGSSSKDDKLCSDYGISDDILVDLLKLLKTYLSDESVEIIDAASQTLRGILSTAKGLNALQSLDSLDRSLLMVHSRGVNIQIVEQSILGMEKYSGVSLEDSDTWQTDGRTYKQWLCTLVSSLICHCDDIILRLCRSLVFLKVKAAELLFASTLVNIAGNVDSNAAICRLISTKAEEIIFSDSNDSLKSIQLFLDALNVIRSFYVAEKSRTCPSNTLKDGRSVRSKSRSPATTTSSSWKKVYWLSVDYLVAARAASRCSCDFTALMYVELWCEEQFNRLAIGPPDFSHEESLPPHVGLLIAAFTRINEPDSIYGITLANEITSQIIRYEHEGEWSSALEYYDLLVRSSPMEHLGNLTGSLMTGPSAFRAEDKMLNWKMHKGLMRSLQKTGCSHVLDVYCEGLTHQKGSLQQDAEFIDIQYEAAWRAGNWDFSFFIPYSSHSSTRTQSYCLFNENLHSCLRSLENGDSEEFHAKLSRAKMDLVLALSNTSKESTKYIHSTILKLQMLDHVTMVWDLRWNLCHNQTSESFPKTKKVSPVPTGMQLELLDKEWNFIVFQTEHNLDLFEPFLAFRRALLKVLGCEEHLVTHLFQSASALRKGLRFSLAAAALYELKEHCFHQDGGTMPNTYFLSRLEEAKLLRAQGQHDMAISLGKYILQKHSDKKDISDVYRLVGKWLAETRSSNSRTIIEDYLRPSVDLTEFKTTTDKRYMSRQCRTHFHMAQYTYSLFKSYEERLSSNEWQAALRLRKYKTRELETLIKRLKSSSKGVKTDYSVKIQELQKQLALDREEAEKIQDDRDNFLNLALQGYQHSLVVGGKYDLQVVFRLVSLWFSLSSRDQVVKAMMKTTKDVQSYKFIPLVYQIASRLGSSNSQGSTNFQIALASLLKKMAIDHPYHTIFQLLALSNGDRVKDKQRSRSSFIVDMEKKLAAENLLKELSSCHGALICQMKQMVEIYIKLAELETKKEDTNKRISLPREARSICQLELVPVVTATVPVDPSCRIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNTFLQNHRDTSQRRLRIRTYKVVPFTPSAGVVEWVNGTVPLGDYLLGSTRISGAHGRYGTGDWTYLQCREYLMSEKDKRRAFLKICNNFRPVMHHFFLERFLLPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEKTLSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDTDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWL >PVH39125 pep chromosome:PHallii_v3.1:5:53021179:53078058:1 gene:PAHAL_5G442300 transcript:PVH39125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVATARDVEEVIQKLHSDRARVRDEGVKLLGTWLQGDRAASFCRLLGRNTARVKPGHGHLTGAATWPFLILALLNCVKEDISGKKRGTTKSAAARMLRVAIQCAEDVKLSGHSLLLISVARQLFSHVWEVVKDGPSFQLEYSMILRQLLAVKEYRYQMKPRTYISFVVHYMKKVTTVLDAKFSNQASSKDESFRCTLTLHVLLENPPGDYPDTMREEVINGFSAIFPHIREEEGKLTRKLIECINTFLLKDGPNLGYGCVKIHNAVQEFIFRCWPATLDQALKSLFITYAKVQLKLARAIPEILEKLVDIIIKELDKNVNTGPGFLWCEAPRDEKAVSLRCFQEEWMDLSATVFYLAHKCTLKTPNREKRLKTENILNLIIDGLSKGSLFWSGSVCFLIHKYGYRVDKSLLISWFEASCKALRSVLSHANAIRFQDSILWLLRALKEFSMVVMFNPREEAQFCLTKSEMSIVEGCWQDIWNSLIHALPLFSSIVLVADSALILLGGMIVRDQVHASFLSEDTWNLQIFKQLPSPSALYFIACYFSRIGFQGDLSSSIFIRKNLLRSALELVHSKEFSLLNEQNVVLIPEAIFSLCASFSSSPIISSDTLQLFGDCKINSKSLEDKTWVLKDELLYSVEALSKITLDSSVKVISDKCHRIHLPGTIQQPMLLELMDFIKGFVASNDQFEKLDLSTLVFVCSLLCNLIHCSLLSRVMGDKSSLLQEVLNYITNVLKHIVSFVMKKNDELSHGVTNLSLPLDTMGSTLSSFKSFLSSPIFNLSRVDNGVSSLVVKGVTELLDELLVAISQLFSQLSSLVNNFDGENAGKVLPISCVNSEDLNPIVDCKSSVADMDLDVMDSGEVDSVTTSGSGNMGNFLRPLEWKLELVRTISTFFSVLSLHTWEILYSLVEKESDVKVRQVILLNLCRNIPGSSKTVSSMVHLINDLRDRCACSLLNSAECLACVHALLRTLVTLRDGGQNIDGQPQGCKGIFSESQDILLDLVNKATEIGYTDWFSRIKLIDCISSFICLFPDVAQVLIGCLLDMLHDTDYRVRLYLAREIVVLFQTWEGHNELFYDIRSNIGAKMVAFSIDSPVTAREVLAVGPQSVPVIETALITLAHLSVYSEDVEVESVFMISAAAAIEPSQRELAYALFDSISRKLGYASRSKYLDQVIGPILFRWVACEMSLVSLVEVQEMFGYSSSEPKNFVEHCSSWLLPFLILRGDAADLNWISKILSQPLSVIIKRYFVPIFGLSIAARCGTGPEKDLAETALCESLLQLGEISELERDDLIKKHMVSIVAFLLSVSSSAHGPQFPYFSKEIVALSLKTVVDGFVDTMDDDLANTVVIDKINIFRADRVFKFLLAIHQHVADACHPRHMCHRLCAIEVLIDVLGHRVVLESTCFYIICIVGNYVQQKPLQGQCCNILSKLLASFDGNSSAGTVEVLGRQLQVVVPKLITACLTNEKEERSGTADSSGLLSLLRHLTVDADPLLYDYIRELEPLPDLDCLKDIREFHTSLFASYASRDQFLKFVNRAPYLPPELFLLSLRMYHSKLLLGDIIGRSDPSAADIDIVSCWCRDPDIVSAVWTLVDLCSSSPVANEASPMVADFISRAGLSDAHQVIFHLPTLTQKHPVQLHIGSSSKDDKLCSDYGISDDILVDLLKLLKTYLSDESVEIIDAASQTLRGILSTAKGLNALQSLDSLDRSLLMVHSRGVNIQIVEQSILGMEKYSGVSLEDSDTWQTDGRTYKQWLCTLVSSLICHCDDIILRLCRSLVFLKVKAAELLFASTLVNIAGNVDSNAAICRLISTKAEEIIFSDSNDSLKSIQLFLDALNVIRSFYVAEKSRTCPSNTLKDGRSVRSKSRSPATTTSSSWKKVYWLSVDYLVAARAASRCSCDFTALMYVELWCEEQFNRLAIGPPDFSHEESLPPHVGLLIAAFTRINEPDSIYGITLANEITSQIIRYEHEGEWSSALEYYDLLVRSSPMEHLGNLTGSLMTGPSAFRAEDKMLNWKMHKGLMRSLQKTGCSHVLDVYCEGLTHQKGSLQQDAEFIDIQVSVYEAAWRAGNWDFSFFIPYSSHSSTRTQSYCLFNENLHSCLRSLENGDSEEFHAKLSRAKMDLVLALSNTSKESTKYIHSTILKLQMLDHVTMVWDLRWNLCHNQTSESFPKTKKVSPVPTGMQLELLDKEWNFIVFQTEHNLDLFEPFLAFRRALLKVLGCEEHLVTHLFQSASALRKGLRFSLAAAALYELKEHCFHQDGGTMPNTYFLSRLEEAKLLRAQGQHDMAISLGKYILQKHSDKKDISDVYRLVGKWLAETRSSNSRTIIEDYLRPSVDLTEFKTTTDKRYMSRQCRTHFHMAQYTYSLFKSYEERLSSNEWQAALRLRKYKTRELETLIKRLKSSSKGVKTDYSVKIQELQKQLALDREEAEKIQDDRDNFLNLALQGYQHSLVVGGKYDLQVVFRLVSLWFSLSSRDQVVKAMMKTTKDVQSYKFIPLVYQIASRLGSSNSQGSTNFQIALASLLKKMAIDHPYHTIFQLLALSNGDRVKDKQRSRSSFIVDMEKKLAAENLLKELSSCHGALICQMKQMVEIYIKLAELETKKEDTNKRISLPREARSICQLELVPVVTATVPVDPSCRYEVGSFPHFSGLADSIMIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNTFLQNHRDTSQRRLRIRTYKVVPFTPSAGVVEWVNGTVPLGDYLLGSTRISGAHGRYGTGDWTYLQCREYLMSEKDKRRAFLKICNNFRPVMHHFFLERFLLPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEKTLSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDTDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWL >PAN26374 pep chromosome:PHallii_v3.1:5:537828:541845:1 gene:PAHAL_5G007200 transcript:PAN26374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTVEQAAREAGSDGSGATALNLSHRALSDVSCLSTFSKLERLDLGCNCLVTLEGLSSCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLTKMDEVASLTSLGALILNDNNISSICKLDRLQQLNTLVLSKNPVFTIGNALAKAKSMKKLSLSHCQIENVGSSLAACVELKELRLSHNKITTIPSDLAKNVKILNLDLGNNLIERSSDLKVLSELRYLRNLNLQGNPISEKDSLVKKVKKFVPTLRILNAKPLEASSKSDKSYGKDNLPSKYDPVEIDRKKDKRQQSKQHLKGPEEPEVKTISPGVTTSALGKSEVLDGKERKKDRKEAKKSEVEEPANDSKSKRKDDVDHTGRKEKKDAKRKKFVDEEDIDAEGIDNTEISFADLVFSKQNDSEPKLKDSSTQEVAPDGKFEELVIDHTKKRKKSKGAVTITDSSVLKLISSIPEVGTDGLGLSGWDD >PAN30113 pep chromosome:PHallii_v3.1:5:18264051:18266347:1 gene:PAHAL_5G274400 transcript:PAN30113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSFSDMILSESAWSGGGGVGGDGGAVLLPPEVVGVGGVGVDGGNMTVLERLVLDEALAAAIVELQGIQAPAACAVKVPAAGGGVVGEAVAFPPMATPTPAYADVDADVLQRQQHRHRHQGAMGMAPDYDLTPATAAVAVTTVPAAFTCAAAAVDSAAGLVVDAPVFSGNETDAPGAATTATAAAMTATTSQQQCEEGKGGGRRQRRPNRKRKAAEPSSVPAQESTLCSLLASTTAGEGGIQIAFNTSAQAPAGAKRAKPSLSGSGSSSISFDGRNAGANGGVDDPMYEPDTEALAQVKEMIYRAAAMRPVSLGSDDAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQVRELQTLDRRNFAANATNVNDAIAAPPPPWPGH >PVH39173 pep chromosome:PHallii_v3.1:5:53482278:53484679:1 gene:PAHAL_5G448500 transcript:PVH39173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRVEVNMKLELWRHTLESRGFRLRRTKTEYMMCDFSPTRYEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVKHRISAGWLKWRQVSGVLCDKKVPQRLKGKFYRTAIRPAILYGAECWPTKRRHVQQLSVAEMRMLRWFCGRTGRDRVRNEEIRDRVGVAPIEEKLIQYRLR >PAN27139 pep chromosome:PHallii_v3.1:5:3850376:3852279:-1 gene:PAHAL_5G061200 transcript:PAN27139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKPLLSLLAHLHTALLFLPADPAAGAMYNVLRYGARPDGAADAAGPFLRAWADACRSTRPATVLVPPGRYLVSSATFTGPCRSHAAVTFAVAGTVVAPAGSGGRGSSGLWITFENVDGLVVSGGTLDGRGRALWECRRRGQGSCPTPASSLTIANSKDVVVAGVRSVDSELFHLVVLQCHGVTVRGVTVEAPADSPNTDGIHLHMSSHVSVYDARISTGDDCISIGPGNSHLWIERVACGPGHGISIGSLGKQEGMAVEAVQNVTVKTTWFTGTTNGLRIKTWGGSKRGFVRGVTFADATMSGVDNPIIIDQRYCPTSGGGGCPGGSSSIRISDVRYVGVRGSSATPVAVSFDCSRSNPCSGIRLQDVALTYRNRPAAARSYCRNARGSTLGLVLPPSCL >PVH38511 pep chromosome:PHallii_v3.1:5:18272464:18274110:1 gene:PAHAL_5G274600 transcript:PVH38511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPLSSSPSCAILGSVARDCTADTDLPPGADLSLALAPSPRASLFTVPPRLFPEPHRPQLPRRPRRRPLQPPPPPLQAGPRHGAPGHRPPRLAQVRRRLLRPRRRLHLRVHHPRARAHLGAALLGLLHPGGGRR >PVH38007 pep chromosome:PHallii_v3.1:5:8919171:8920626:-1 gene:PAHAL_5G148800 transcript:PVH38007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MQRRITSSFRCGSRRRDKEDFSPHNVSGGRMETAAAAVARPPECGSTGGLLSGRRRSLLPRRRSPRAAALPAGAAAGFSTASLKRPPGIQHHGSPVQVSPWGKFVQASNLISISEKVTARARRRRADIQSDTYVLIEPGMDEEFVSREELEARLKGWLERWPGEALPPDLARFDTVDDAVSYLVRSVCVLEIEGDVGSVQWYQVEVE >PVH37763 pep chromosome:PHallii_v3.1:5:5044881:5049779:1 gene:PAHAL_5G082100 transcript:PVH37763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIHSLVSPSASSLNPRKDVAVLAVLCCAVLCWPAAALHPQRSQPAMATSRRASEGKKRTTTSDGNSQSVGSTTDSSSAQNTMSQMELVSPASSAPRQEVMMVTTDDYSYKPGLAAAAPPSFQQQQQHPPPLQLHGGGDHDKRKQGGSARKDGKLVDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRGGLQARLLDGNLGLIVEECMQHYDELFQLKAGLARADVFHLLTGSWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGAAAPNYMSLMAVALEKLASLESFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGAESLSPTATELQAMHQQQQNQFCGF >PAN27451 pep chromosome:PHallii_v3.1:5:5043797:5050863:1 gene:PAHAL_5G082100 transcript:PAN27451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHFGWITPPPTHRNFLMPKACIPRVIWAPRSIGSTRLHLFASPSSLSACPSAGALGGLGDFQPAAAAAAYFGFGELEEALIHGGGGGASASTGGGVDPGVITRSDVAAQTKPAAAGYLAGAGAGRPPTLEIFPSWPMRHQQQLHSGNSQSVGSTTDSSSAQNTMSQMELVSPASSAPRQEVMMVTTDDYSYKPGLAAAAPPSFQQQQQHPPPLQLHGGGDHDKRKQGGSARKDGKLVDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRGGLQARLLDGNLGLIVEECMQHYDELFQLKAGLARADVFHLLTGSWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGAAAPNYMSLMAVALEKLASLESFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGAESLSPTATELQAMHQQQQNQFCGF >PAN27448 pep chromosome:PHallii_v3.1:5:5042833:5050862:1 gene:PAHAL_5G082100 transcript:PAN27448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGEESSWRMERAALPLNQALAYGVQLQPHAAAAPPPSCFLDFQPAAAAAAYFGFGELEEALIHGGGGGASASTGGGVDPGVITRSDVAAQTKPAAAGYLAGAGAGRPPTLEIFPSWPMRHQQQLHSGNSQSVGSTTDSSSAQNTMSQMELVSPASSAPRQEVMMVTTDDYSYKPGLAAAAPPSFQQQQQHPPPLQLHGGGDHDKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRGGLQARLLDGNLGLIVEECMQHYDELFQLKAGLARADVFHLLTGSWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGAAAPNYMSLMAVALEKLASLESFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGAESLSPTATELQAMHQQQQNQFCGF >PAN27450 pep chromosome:PHallii_v3.1:5:5043797:5050863:1 gene:PAHAL_5G082100 transcript:PAN27450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHFGWITPPPTHRNFLMPKACIPRVIWAPRSIGSTRLHLFASPSSLSACPSAGALGGLGDFQPAAAAAAYFGFGELEEALIHGGGGGASASTGGGVDPGVITRSDVAAQTKPAAAGYLAGAGAGRPPTLEIFPSWPMRHQQQLHSGNSQSVGSTTDSSSAQNTMSQMELVSPASSAPRQEVMMVTTDDYSYKPGLAAAAPPSFQQQQQHPPPLQLHGGGDHDKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRGGLQARLLDGNLGLIVEECMQHYDELFQLKAGLARADVFHLLTGSWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGAAAPNYMSLMAVALEKLASLESFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGAESLSPTATELQAMHQQQQNQFCGF >PVH37762 pep chromosome:PHallii_v3.1:5:5044881:5049779:1 gene:PAHAL_5G082100 transcript:PVH37762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIHSLVSPSASSLNPRKDVAVLAVLCCAVLCWPAAALHPQRSQPAMATSRRASEGKKRTTTSDGNSQSVGSTTDSSSAQNTMSQMELVSPASSAPRQEVMMVTTDDYSYKPGLAAAAPPSFQQQQQHPPPLQLHGGGDHDKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRGGLQARLLDGNLGLIVEECMQHYDELFQLKAGLARADVFHLLTGSWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGAAAPNYMSLMAVALEKLASLESFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGAESLSPTATELQAMHQQQQNQFCGF >PAN27449 pep chromosome:PHallii_v3.1:5:5042833:5050862:1 gene:PAHAL_5G082100 transcript:PAN27449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGEESSWRMERAALPLNQALAYGVQLQPHAAAAPPPSCFLDFQPAAAAAAYFGFGELEEALIHGGGGGASASTGGGVDPGVITRSDVAAQTKPAAAGYLAGAGAGRPPTLEIFPSWPMRHQQQLHSGNSQSVGSTTDSSSAQNTMSQMELVSPASSAPRQEVMMVTTDDYSYKPGLAAAAPPSFQQQQQHPPPLQLHGGGDHDKRKQGGSARKDGKLVDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRGGLQARLLDGNLGLIVEECMQHYDELFQLKAGLARADVFHLLTGSWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGAAAPNYMSLMAVALEKLASLESFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGAESLSPTATELQAMHQQQQNQFCGF >PAN31531 pep chromosome:PHallii_v3.1:5:52040400:52042973:1 gene:PAHAL_5G425600 transcript:PAN31531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPALWAEVPAQARHGPWVMSALTLLVVGRARLVLDFFVPCLVRPLDPAHLANNICRFLPNGMEIYGVQIVTLVWCGSSFSRLLLNLATQGACQVQQYKTKASSIQRLPAARQPSSPRRQEPSMESRHTSKRGAMAAAAAEDRLSGLPDDLLHSILRGLPLKHAARTSALSRRWARQWLRALASSPAIDFTDRGFARGQPPARAAATVSRWLGLHAEYGAPLDVFRVALGSDDAASSFGRDVVGWVAAAVARGARDVEVDLAQRQGADNDHGSSTFLELPGDLFLAKNALERLALGGFGLRAVPPGAAGLAGLRSLSLSHADVTDQAVQAVVSNCVALESLSLRRCRHLTSVRIAGEKLRVLELVRCPAVRRLQVAAPALESFAFHGDIVCSSDPDEDDAAAVHFGATPALRDAYLSHLGSGDYDDDRHDFAYSNFLECIAHANILTLCSVGLLHIDVSRGFAIGFDAPNLQELQLLMPSLGDDDVERVSACFEFIVFPILDRLFIRLLAGEPPDASGAAASPAAGEDEPDIVPNVDILLDHLTLIKVVNIRGTRCELRLLRFLMNRAPALEQLLLVTVEEEGALGDEEMKAIQMRVSAMRTASPEARVTVCRPGEDGSRNPAHTKFYHEE >PAN32374 pep chromosome:PHallii_v3.1:5:55643089:55648536:-1 gene:PAHAL_5G482700 transcript:PAN32374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKRVAGGDGREEDGAKVGLPAMDLALAFPQATPASIFPPSVSDYYQFDDLLTSEECSIRKKVRGIMEKEIAPIMAEYWEKAEFPFNAIPKLASLGVAGGTIKGYGCPGLSITASAITMAEIARVDASCSTFILVHSSLAMVTIALCGSEAQKQNYLSSLAQLTTVGCWALTEPNYGSDASSLRTTATKVPGGWHIDGQKRWIGNSTFADVLVVLARNADTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLAAFQLNQEKLVRMLGNIQSMLLVGWRLCKLYESGKMTPGHASLGKAWTSRTARVVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPATSARARL >PAN27283 pep chromosome:PHallii_v3.1:5:4418448:4420598:1 gene:PAHAL_5G071600 transcript:PAN27283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGRSEMDVELGEDVLTEILARLPCRSLARFQCVSTSWRRIISSDYLRRRLPLITSGVLYHGGPSGGGGDDGAKRAYTYACAPDGGGGGVAEAGDMGFFPCHDASTIIDGCNGLLLYYASRPAVFHVVSPTTRQWAALPAPRARTLLSVLAFDPCASPRYRVVCFTGWLPRGATIEVFDSERGAWRGHEVDFGIDTDAMTATMHYFGGALHVLAYSGHVVRVDLDTMACAVTALPAPVSCRARAGHCRGRLRFASSDGARLRIWELQDAGAGEWALKHEIGAGDVVPGGSSQAITFLFMAFHPEREVVYLWSPWKLLAFNMVERRVEEEWAFGSEKEGAHLIQIWLFPFSRHLASALA >PAN30780 pep chromosome:PHallii_v3.1:5:38311465:38315618:1 gene:PAHAL_5G348000 transcript:PAN30780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAQRLLQVSTKIIGVSTNYMVHVKEPGNVPKVPTLFLKPTSSFLHAGTVNAAIEVPEPLESLRHEAEFAVIISRRARDVPEASAMDYIGGYALALDMTAKELQLAAKAAGDSWAMAKGQDTFTPISAVIPKSAVTDPHDLELWLKVDDELRQKGSTGDMIFKIPYLVSYISSIMTLMEGDVILTGTPAGTGPVRVGQKIKAGITGFADNDMEFDVQRRKRLFST >PVH39100 pep chromosome:PHallii_v3.1:5:52516169:52516824:1 gene:PAHAL_5G432800 transcript:PVH39100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPLQAATAYKLCNGPGKTQNCRHAYARASLVLHSCINRVAVPKLVLVLFCCYVSR >PAN27605 pep chromosome:PHallii_v3.1:5:5657533:5662148:1 gene:PAHAL_5G091900 transcript:PAN27605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12b [Source:Projected from Arabidopsis thaliana (AT1G17440) UniProtKB/Swiss-Prot;Acc:Q940A7] MADPPPVAASASPAQPDQLSAGASVSTPQNPNPNPILSPQIPPSPTVSDLSAHISSPQQLDAAAAAAAAASGGGGSMDYPPRPPQLQAPSPTQGGPGSGGFGQIHRSGSTSRISTASQLPQYAAMAARMYGAQMSFSGGGGLVGQQQQQQLAGRSPMIGQGQLGMLQGQGNAASAAHFGIQSQMMAQARQKGMVQGTQLNNANTAQALQGMQPMGVMGTMGMNQMRPNGTIPYGAQQRFAHAQMRPQASQQAALSPQKVAGQGLSRTASITALNSQLPGSSQNGQMVAMSMPQQQQQQQQQWLKQMQSSMGSPVSPQFQHQQRLMLMQQLQQKTGLSQQQLAQVQQQHPHLSAQQLIQQQHFLQQFQQQQPLQSPRVSASGSQKSANLTGSQPGTPLSGGTMTGGSASQGAEGTSQLLGKRKIQDLVAQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACSLAKHRKSSVVEAKDVLLHLEQNWHLSVPGFSREDKNPQRNSVKPSVDPQQPESDATGIRGPGNKLMANNSVVNHQIRPPIAEPSAMPTVGPLSKAPRF >PAN27614 pep chromosome:PHallii_v3.1:5:5657533:5662148:1 gene:PAHAL_5G091900 transcript:PAN27614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12b [Source:Projected from Arabidopsis thaliana (AT1G17440) UniProtKB/Swiss-Prot;Acc:Q940A7] MADPPPVAASASPAQPDQLSAGASVSTPQNPNPNPILSPQIPPSPTVSDLSAHISSPQQLDAAAAAAAAASGGGGSMDYPPRPPQLQAPSPTQGGPGSGGFGQIHRSGSTSRISTASQLPQYAAMAARMYGAQMSFSGGGGLVGQQQQQQLAGRSPMIGQGQLGMLQGQGNAASAAHFGIQSQMMAQARQKGMVQGTQLNNANTAQALQGMQPMGVMGTMGMNQMRPNGTIPYGAQQRFAHAQMRPQASQQAALSPQKVAGQGLSRTASITALNSQLPGSSQNGQMVAMSMPQQQQQQQQQWLKQMQSSMGSPVSPQFQHQQRLMLMQQLQQKTGLSQQQLAQVQQQHPHLSAQQLIQQQHFLQQFQQQQPLQSPRVSASGSQKSANLTGSQPGTPLSGGTMTGGSASQGAEGTSQLLGKRKIQDLVAQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACSLAKHRKSSVVEAKDVLLHLEQNWHLSVPGFSREDKNPQRNSVKPSVDPQQPESDATGIRGPGNKLMANNSVVNHQIRPPIAEPSAMPTVGPLSKAPRF >PVH39460 pep chromosome:PHallii_v3.1:5:57130476:57130769:-1 gene:PAHAL_5G506700 transcript:PVH39460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASNVLTALFLLIAMLFGSPADASRSSSIAVAATTSKPLEASKVTLIFCAVSKCNFFSPSYDLCYCCPDASRREHCHLSMEECRANCASCKPKCLS >PAN28328 pep chromosome:PHallii_v3.1:5:8692532:8698591:1 gene:PAHAL_5G145200 transcript:PAN28328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSAGAGGGAAGDEAIWRKLREAGFDEDAVRRRDKAALIGYISRLESEIYDYQHNLGLILLERKELASKYEQLKASSEATEIMLRRERAAQQSALAETRKKEENLKKNLCIQKECVSNLEKALHDMRGEAAEVKVSYEAKLAEALQMIEAAQKKFDEAEEKLLAAKSLEAESIRTRNASLRSLQDIEDREDQLRRDRTSFELESASKEKEISLQRKLLDDTKKILHEKEQALLKEQTLLNQRDDNILERLGYITHSEKRLEEEKLNLEDERKVLMEEKNKLDLKMQAIISREEAIIKKESLLDKHETELLVLQETIASKERAEIERLRQEQEVALAKRRQEFDTEMEIKLTSFEEEIEARKALLDQRESALSEQEDSVAQREQNLNLRLAELTNKEESLVKRSDELNEEERKLSSHREVVYTELQKEREEIQNMKLDLEKEKSFFEEEKREAIQAQEKLLITQNEREDLLILEMKLKEEIDSLRAQKVELMVDAERLLAEKERFEIEWELIDEKKDELQKEAARIAEERRVIDEHLKNELDIIKQEKENLRIQFKNSAESLACEHKEFMNKMQREHASWLSRIQQEREDLKRDIDIQRTELLNSAKARQMEIDSYLREKEEEFEQKKSKELEYINSEKETISSKLEHVRLELQKLEDERKEAMLERERREQELSEIKNTIDALNEQREKLQEQRKLLHSDREAITQQIQQLNELEELKIETENKQLSLRQCGRSKHGDCDVENLKENGVHQSPDEDQHASPKKCSSPKLILGKKLDVSPSVSTPISWVRKCAQVIFKRSPEKSADHDNDRFAHAKLGNVNDPSLVGNGGLFACQMENGAGEVQHAVEKVGKKRLNNALSHDQSEILEPKRKHQRSSTLPRRVRGGEIDSNCSPSVLEEKCSKNEHDAVPVGLPGKGLHNPRTGELASSDASDIPEASEPSEEISVSAAEALNGDAEDKDEPDEDSDDEGEEEDEEKTSSAKKLWRFLIT >PAN28327 pep chromosome:PHallii_v3.1:5:8692532:8698591:1 gene:PAHAL_5G145200 transcript:PAN28327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSAGAGGGAAGDEAIWRKLREAGFDEDAVRRRDKAALIGYISRLESEIYDYQHNLGLILLERKELASKYEQLKASSEATEIMLRRERAAQQSALAETRKKEENLKKNLCIQKECVSNLEKALHDMRGEAAEVKVSYEAKLAEALQMIEAAQKKFDEAEEKLLAAKSLEAESIRTRNASLRSLQDIEDREDQLRRDRTSFELESASKEKEISLQRKLLDDTKKILHEKEQALLKEQTLLNQRDDNILERLGYITHSEKRLEEEKLNLEDERKVLMEEKNKLDLKMQAIISREEAIIKKESLLDKHETELLVLQETIASKERAEIERLRQEQEVALAKRRQEFDTEMEIKLTSFEEEIEARKALLDQRESALSEQEDSVAQREQNLNLRLAELTNKEESLVKRSDELNEEERKLSSHREVVYTELQKEREEIQNMKLDLEKEKSFFEEEKREAIQAQEKLLITQNEREDLLILEMKLKEEIDSLRAQKVELMVDAERLLAEKERFEIEWELIDEKKDELQKEAARIAEERRVIDEHLKNELDIIKQEKENLRIQFKNSAESLACEHKEFMNKMQREHASWLSRIQQEREDLKRDIDIQRTELLNSAKARQMEIDSYLREKEEEFEQKKSKELEYINSEKETISSKLEHVRLELQKLEDERKEAMLERERREQELSEIKNTIDALNEQREKLQEQRKLLHSDREAITQQIQQLNELEELKIETENKQLSLRQCGRSKHGDCDVENLKENGVHQSPDEDQHASPKKCSSPKLILGKKLDVSPSVSTPISWVRKCAQVIFKRSPEKSADHDNDRFAHAKLGNVNDPSLVGNGGLFACQMENGAGEVQHAVEKVGKKRLNNALSHDQSEILEPKRKHQRSSTLPRRVRGGEIDSNCPSVLEEKCSKNEHDAVPVGLPGKGLHNPRTGELASSDASDIPEASEPSEEISVSAAEALNGDAEDKDEPDEDSDDEGEEEDEEKTSSAKKLWRFLIT >PVH38041 pep chromosome:PHallii_v3.1:5:9603592:9604601:-1 gene:PAHAL_5G159100 transcript:PVH38041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGWLGGCGCGDRHRTCARGAWPWCAYATRRRGARAGAATVAVGGKRGGKGRGGWLRIRRAWPPARSGARLGSGLDLDPDPAARDKTATRVRPTRASAADRNRAAAPAATKMRASDRVVALSRTPARRPRLPPDLDVFVAPRLQAFDAYQTTSTCTTKVSKTRVFKRQKSPVKGFGQTALF >PAN30828 pep chromosome:PHallii_v3.1:5:22594480:22597889:-1 gene:PAHAL_5G297800 transcript:PAN30828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNSGASTPARAANQAVSLREETSGRTRVDEASLLRVKHLQRLAAWAGAEAGVGPVGALLGRRLAASAEAAGVPLGATTFLCQRCETVLKPGFNCTVRIRNKRNKTKRRKKSNCCQNSVSYACHFCGDQNLILGSGKGVVKSLLPSREHATMDSSRGIWRGNSSSTRTRDMKTVLEHSQAASLQVDSPSELRQSTSERGEHGERLKCNLPLIDSKMEGVVLSTAKSGHLAASTPEEGSIQVIENTNDEHMHETEPISCENVKICEANATSQAELPVAATFVTPQKKKLTEVTGTKHSAELLKAGCKTGKKGENPGSFTGNTLSSSSKSAPNDSRKNSKCASSDSALVSGSSRKRARKGWTTLKQIAEKDELERKERMDNFVIPFFMP >PAN30059 pep chromosome:PHallii_v3.1:5:18057114:18057920:-1 gene:PAHAL_5G273000 transcript:PAN30059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRKLFQRFARGGGHLTPPPVPSSTSSTTNRVTPPLGRFLFFREAAAPGKQHPFPLLPKRVAILLRGSGADRIRCPPSRPPPPPPGPLPTASLPLAEPAHLMSIFLPAPWWMRETCPDSTRRLHPDLTAAAAATPPGGEATTTPCSRLPSPGPWARGGPCFALLRSRPEGPPVVSSSSRYR >PVH38094 pep chromosome:PHallii_v3.1:5:10613042:10617049:-1 gene:PAHAL_5G175900 transcript:PVH38094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10700) UniProtKB/Swiss-Prot;Acc:Q93Z66] MATAASASPGALGAKTPARGPSARHPAPKPAPAFARPAPRRAPAPGRPRASLHLGGAGAGVASSSSAAAAAAANGSGLHVAPAIAPLALPKMAGAMGTHKNVLLFYCEEMRELAQQVVARNDDIELRSISWRTFADGFPNLFISNAHSIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQELPDSHNITIAFPDDGAWKRFYKQLQHFPMVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGIFPNNSWEKFQADNGEGPEHGLSHFWLTDSCPLTVKAVKDRRPFEILSLAGSIASALQI >PVH39136 pep chromosome:PHallii_v3.1:5:53163233:53174523:1 gene:PAHAL_5G443500 transcript:PVH39136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADGLAAASISDPADQGAAAAGGGPSADYLLSVMRAVEGADATIRSQMEENNRLKEELLRKTQQLQRIREDATSQSSSSGVGQERNSVANKMDGSKSFDNGSSINPQITSIYPQNGSFGSREHLIQESMKQKYVDSPQANGAFKRSLGEQTPGDNGGPTQFSTPSSRSLSPNRHRKDGEYDSRLLPVSGMNSNISWKQDLTVKVKEGEEEIKQLKKHLADYTVKEAQILTDKYMLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFTHMQEQLIVSEEKLRESRYQITPWHTELLNGTSHPVPTDPPAGKSKSSLDIVHQTAYPHVQSPMSSPVQARGDWGAFSNRNHQIIPSEVPTRNAEHDDMGGNSLSSRNQFRTEVPAQVSQGDSHAVRFHETPIQNPPFKGLSRNDVLDGSESAEVQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLQITGEAFPGRELQASGYPINGTTTCNFEWVRHLEDGSVNFIDGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQRKISCDPETKELIKKTLEIGHVTYEVQVQLPQVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQEATAIKIPYGRQTEFSIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >PVH39138 pep chromosome:PHallii_v3.1:5:53164888:53174523:1 gene:PAHAL_5G443500 transcript:PVH39138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKSFDNGSSINPQITSIYPQNGSFGSREHLIQESMKQKYVDSPQANGAFKRSLGEQTPGDNGGPTQFSTPSSRSLSPNRHRKDGEYDSRLLPVSGMNSNISWKQDLTVKVKEGEEEIKQLKKHLADYTVKEAQILTDKYMLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFTHMQEQLIVSEEKLRESRYQITPWHTELLNGTSHPVPTDPPAGKVLVTTSKSSLDIVHQTAYPHVQSPMSSPVQARGDWGAFSNRNHQIIPSEVPTRNAEHDDMGGNSLSSRNQFRTEVPAQVSQGDSHAVRFHETPIQNPPFKGLSRNDVLDGSESAEVQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLQITGEAFPGRELQASGYPINGTTTCNFEWVRHLEDGSVNFIDGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQRKISCDPETKELIKKTLEIGHVTYEVQVQLPQVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQEATAIKIPYGRQTEFSIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >PVH39134 pep chromosome:PHallii_v3.1:5:53163233:53174523:1 gene:PAHAL_5G443500 transcript:PVH39134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADGLAAASISDPADQGAAAAGGGPSADYLLSVMRAVEGADATIRSQMEENNRLKEELLRKTQQLQRINGSFGSREHLIQESMKQKYVDSPQANGAFKRSLGEQTPGDNGGPTQFSTPSSRSLSPNRHRKDGEYDSRLLPVSGMNSNISWKQDLTVKVKEGEEEIKQLKKHLADYTVKEAQILTDKYMLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFTHMQEQLIVSEEKLRESRYQITPWHTELLNGTSHPVPTDPPAGKVLVTTSKSSLDIVHQTAYPHVQSPMSSPVQARGDWGAFSNRNHQIIPSEVPTRNAEHDDMGGNSLSSRNQFRTEVPAQVSQGDSHAVRFHETPIQNPPFKGLSRNDVLDGSESAEVQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLQITGEAFPGRELQASGYPINGTTTCNFEWVRHLEDGSVNFIDGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQRKISCDPETKELIKKTLEIGHVTYEVQVQLPQVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQEATAIKIPYGRQTEFSIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >PVH39137 pep chromosome:PHallii_v3.1:5:53164888:53174523:1 gene:PAHAL_5G443500 transcript:PVH39137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKSFDNGSSINPQITSIYPQNGSFGSREHLIQESMKQKYVDSPQANGAFKRSLGEQTPGDNGGPTQFSTPSSRSLSPNRHRKDGEYDSRLLPVSGMNSNISWKQDLTVKVKEGEEEIKQLKKHLADYTVKEAQILTDKYMLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFTHMQEQLIVSEEKLRESRYQITPWHTELLNGTSHPVPTDPPAGKSKSSLDIVHQTAYPHVQSPMSSPVQARGDWGAFSNRNHQIIPSEVPTRNAEHDDMGGNSLSSRNQFRTEVPAQVSQGDSHAVRFHETPIQNPPFKGLSRNDVLDGSESAEVQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLQITGEAFPGRELQASGYPINGTTTCNFEWVRHLEDGSVNFIDGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQRKISCDPETKELIKKTLEIGHVTYEVQVQLPQVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQEATAIKIPYGRQTEFSIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >PVH39135 pep chromosome:PHallii_v3.1:5:53163233:53174523:1 gene:PAHAL_5G443500 transcript:PVH39135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADGLAAASISDPADQGAAAAGGGPSADYLLSVMRAVEGADATIRSQMEENNRLKEELLRKTQQLQRINGSFGSREHLIQESMKQKYVDSPQANGAFKRSLGEQTPGDNGGPTQFSTPSSRSLSPNRHRKDGEYDSRLLPVSGMNSNISWKQDLTVKVKEGEEEIKQLKKHLADYTVKEAQILTDKYMLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFTHMQEQLIVSEEKLRESRYQITPWHTELLNGTSHPVPTDPPAGKSKSSLDIVHQTAYPHVQSPMSSPVQARGDWGAFSNRNHQIIPSEVPTRNAEHDDMGGNSLSSRNQFRTEVPAQVSQGDSHAVRFHETPIQNPPFKGLSRNDVLDGSESAEVQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLQITGEAFPGRELQASGYPINGTTTCNFEWVRHLEDGSVNFIDGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQRKISCDPETKELIKKTLEIGHVTYEVQVQLPQVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQEATAIKIPYGRQTEFSIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >PAN31786 pep chromosome:PHallii_v3.1:5:53163233:53174523:1 gene:PAHAL_5G443500 transcript:PAN31786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADGLAAASISDPADQGAAAAGGGPSADYLLSVMRAVEGADATIRSQMEENNRLKEELLRKTQQLQRIREDATSQSSSSGVGQERNSVANKMDGSKSFDNGSSINPQITSIYPQNGSFGSREHLIQESMKQKYVDSPQANGAFKRSLGEQTPGDNGGPTQFSTPSSRSLSPNRHRKDGEYDSRLLPVSGMNSNISWKQDLTVKVKEGEEEIKQLKKHLADYTVKEAQILTDKYMLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFTHMQEQLIVSEEKLRESRYQITPWHTELLNGTSHPVPTDPPAGKVLVTTSKSSLDIVHQTAYPHVQSPMSSPVQARGDWGAFSNRNHQIIPSEVPTRNAEHDDMGGNSLSSRNQFRTEVPAQVSQGDSHAVRFHETPIQNPPFKGLSRNDVLDGSESAEVQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLQITGEAFPGRELQASGYPINGTTTCNFEWVRHLEDGSVNFIDGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQRKISCDPETKELIKKTLEIGHVTYEVQVQLPQVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQEATAIKIPYGRQTEFSIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >PAN29654 pep chromosome:PHallii_v3.1:5:15175031:15182745:1 gene:PAHAL_5G241100 transcript:PAN29654 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MSALQASLLLRPLPSPLPPRRRLPLPSASASFPRALPAHRRAPLCLRALAPDAPQPAAPEPPAAEAEPEPEPEGEATGAASAAQPAAMSGKGELEDLVDKARAWVVAVAAAVVAAVRRFVDWVVSGDWMSWWPFWRPDRRVQRLIDDADANPTDAAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGVAEYLRALILTNTIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKTTGRSTRFAQEIFSTILFTIAVGIMWVMGAAALQKYIGSLGGIGAPGVGSSSSYSPKEINKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPVANDVDINAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDDSRKLTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLRKHEKQLHALANALLEHETLTADEINKVVHPYQEEPQFSFQDEEFALT >PAN31868 pep chromosome:PHallii_v3.1:5:53499984:53504121:1 gene:PAHAL_5G449000 transcript:PAN31868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHKFLLSALLLSLLNYASSAAKSWEEEDFLKTCPSHRCNKHHGPEIRFPFRLSTHPRSCGAPGMQLSCSGHHTILDHPILGSCKVTAIYYKHLVMNVIPLVYSSSSCPLQKLISTNQSTDVYRPIQRGSDDSVLVGCSIDSIATNQEGIVGPSSCLSLSNNASQFWYLVLSQTDMSTLPLGCEVVAKSIPIPYTYDKNGPQKFQTFFGKPLFKDRAKGAIDLGETPLNWSLSSITSICQRCEQEGRHCGFSSDHGQAFCQHHGSNVKLIAVTTWVATFIVLVTTALYIVVVTTALYLLLKKRYNEAIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLPNGVPVAVKMLENSTGEGEDFINEVATIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLERYIFLQDSSIFQELLVPHKMLQIAKGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKMCARDQSIVTLTAARGTMGYIAPEIYSRNFGGISYKSDVYSFGMLVLEMLSGRRNSDPGIENQNEVYLPQWIYERVIAGQDLVLNREITKEEKEKVKQMAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLHIPPKPYIWSESHHMP >PAN26360 pep chromosome:PHallii_v3.1:5:304415:305850:-1 gene:PAHAL_5G004900 transcript:PAN26360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTGMAEQLLCLAVALAALSSCSVASAAAGPPPPEPASRSGGRLPPGNKSKFVTLTMSKNFGHKRNYEVTCVDKDNPAGCYVGCPKRCPNQCIVFCSYCLSFCECDIFPGTSCGDPRFTGGDGNTFYFHGKKDENFCIVSDADLHINAHFIGNHNPDLKRDFTWVQALGVTFGAGHRLYIGARKAVEWDEDEDHIQIIFNGEPVDIDDAIKNARWVSEALPGLSVRRMKYVNTVTVELDGMFSISANAVPITEEDDRIHKYGKTGRDSLVHLDLGFQFQDLSKDVDGVLGQTYRPGYVTKLDIKAKMPIMGGAHKYLSSGLFTTDCAVSRFRRGAGVITTFAS >PAN28572 pep chromosome:PHallii_v3.1:5:9826035:9828472:-1 gene:PAHAL_5G162900 transcript:PAN28572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNLRRQAASMKKSLFDQGYLDEQFCQVEDLQDEASPNFAEEVVTLFFKDSARLISNIEQALEKYPKDFNKWDAYMQQLKGSCSSIGASRMKSECMSFRDYCGQGNVEGCMKSFQKVKREHGVLRQKLEAYFQLLRQAGPAGAATRPAM >PAN31543 pep chromosome:PHallii_v3.1:5:52091102:52094582:-1 gene:PAHAL_5G426700 transcript:PAN31543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRKGSSSRSITKSPQWVYSKSSESCPLNTGSIVDIEGTQSISRHDEAIIFPLHQLADATKNFREDCLLGRGGFGCVYKATLSDGQVVAVKKLDLNGLQGNREFLVEVLMLNLLHHPNLVNLFGYCVDGDQRLLVYEYMPLGSLEDHLHDLAPDQEPLDWKTRMKIAAGAAAGLEYLHDKAHPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYASTGQLTIKSDIYSFGVVFLELITGRRPHDSNRPPEEQDLVPWACPLFKDQRKFPRMADPLLHGRYPRRGLYQALAIAAMCLQKKAKNRPPIREVAAALSYLASQTYDRNNTAARRNRAGPSTSRVLDDQMNQDTTLPSQQPVHCRMNHIIPEVKETSWSGSYRAGRGRVAPNGIDRERALADANVWAEAWRRQEKASKMR >PAN31545 pep chromosome:PHallii_v3.1:5:52090672:52094917:-1 gene:PAHAL_5G426700 transcript:PAN31545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLACLCRCPQEEDDEEKEGEQFKINHQVASESCPLNTGSIVDIEGTQSISRHDEAIIFPLHQLADATKNFREDCLLGRGGFGCVYKATLSDGQVVAVKKLDLNGLQGNREFLVEVLMLNLLHHPNLVNLFGYCVDGDQRLLVYEYMPLGSLEDHLHDLAPDQEPLDWKTRMKIAAGAAAGLEYLHDKAHPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYASTGQLTIKSDIYSFGVVFLELITGRRPHDSNRPPEEQDLVPWACPLFKDQRKFPRMADPLLHGRYPRRGLYQALAIAAMCLQKKAKNRPPIREVAAALSYLASQTYDRNNTAARRNRAGPSTSRVLDDQMNQDTTLPSQQPVHCRMNHIIPEVKETSWSGSYRAGRGRVAPNGIDRERALADANVWAEAWRRQEKASKMR >PVH37888 pep chromosome:PHallii_v3.1:5:6869082:6880221:-1 gene:PAHAL_5G114000 transcript:PVH37888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLLLSLLLVGLRLSSSQTSQDGPTDPCTSWDGISCSNGRVTEVRLPSMNLQGTLSDAVGQLSALMYLDLSNNLNLGGPLTPKIGNLKQLTTLILLGCSFSGNIPREIGNLSQLTFLALNSNKFTGGIPPTLGLLSNLIWLDMSANKLSGQIPVSPGLNQLVKAKHFHFSENQLTGPMSESLFNGNMNLIHAIFDNNNFTGPIPESLGLVISLQIIRLDHNRFSGPVPDSIGNLSNLMELSLANNLLNGTMPDLTSVTQLDYVDLSNNNFPSSRAPGWFSTLTSLNSIFMENDDLTGTIPSALFSLPNLQQVSLARNSFGGKLNMTGNISSQLQVVDLTNNQIIEADVTSYSNSLILSGNPVCFDNTSFCTLKQKQQVPYATNLGPCAAIPCPTDQSASPVTSQNCACTNPFQGLMIFRAPAFSDVTSPTNFQILESTLQQNLSLASGSVALSNVEFSPGAPLTFTVKIFPVSGTSFNRSDVIRISTALVNQTLKAPTAFGPYSFIASTYFPGPSNKKSSMGKGAIIGIAIAGCVLIAGFIMAAMYALRQRRIAKEAVERTTNPFASWGTGGTDNGDAPQLKGARYFSFEELKKCTNNFSEINEIGSGGYGKVYKGTLANGQIAAIKRAQQGSMQGVAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPYGTLRENLMDKGGVSLDWKKRLRIAIGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVLLELITARQPIEKGRYIVREIRTAIDQYDQEYYGLKGLIDPKIRDSAKLIGFRRFVQLAMECVEESGVDRPTMNDVVKELETIVQNEGTQLLNSASLSAENFGDAKSQDPYAEHLPMNDESSSNTFDYNSVYSYSAVQPK >PVH37887 pep chromosome:PHallii_v3.1:5:6869082:6874793:-1 gene:PAHAL_5G114000 transcript:PVH37887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWPLLLFLLLVGLRPSFSQTNSQDVAALQALMNNWKNGPQSWTGSTDPCSSWDGISCSNGRVTEVRLPSMNLQGTLSDAVGQLSALMYLDLSNNLNLGGPLTPKIGNLKQLTTLILLGCSFSGNIPREIGNLSQLTFLALNSNKFTGGIPPTLGLLSNLIWLDMSANKLSGQIPVSPGLNQLVKAKHFHFSENQLTGPMSESLFNGNMNLIHAIFDNNNFTGPIPESLGLVISLQIIRLDHNRFSGPVPDSIGNLSNLMELSLANNLLNGTMPDLTSVTQLDYVDLSNNNFPSSRAPGWFSTLTSLNSIFMENDDLTGTIPSALFSLPNLQQVSLARNSFGGKLNMTGNISSQLQVVDLTNNQIIEADVTSYSNSLILSGNPVCFDNTSFCTLKQKQQVPYATNLGPCAAIPCPTDQSASPVTSQNCACTNPFQGLMIFRAPAFSDVTSPTNFQILESTLQQNLSLASGSVALSNVEFSPGAPLTFTVKIFPVSGTSFNRSDVIRISTALVNQTLKAPTAFGPYSFIASTYFPGPSNKKSSMGKGAIIGIAIAGCVLIAGFIMAAMYALRQRRIAKEAVERTTNPFASWGTGGTDNGDAPQLKGARYFSFEELKKCTNNFSEINEIGSGGYGKVYKGTLANGQIAAIKRAQQGSMQGVAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPYGTLRENLMDKGGVSLDWKKRLRIAIGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVLLELITARQPIEKGRYIVREIRTAIDQYDQEYYGLKGLIDPKIRDSAKLIGFRRFVQLAMECVEESGVDRPTMNDVVKELETIVQNEGTQLLNSASLSAENFGDAKSQDPYAEHLPMNDESSSNTFDYNSVYSYSAVQPK >PVH39372 pep chromosome:PHallii_v3.1:5:55822622:55831343:-1 gene:PAHAL_5G486500 transcript:PVH39372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVYASRKSTQSRQRQYFEQKRRQQQRPELQNQDNVAGGQASRDQEPRSLDVLNINNLATPISHHNESTSADGAIPQMDCTLSDGPPTEAIKKTTSLCNSNMKEAGSQPRLSSPFGHQGVAAAVNSHEEPPACKISPSTNYGTRKQIQNLECQSEISLIDLVSYEGSKNKSTMRPACELHASFSVKGLGHIKMETPPHSPRPINRVLPLPPKAMRSTHKTKRSIPFDVTKALDSMNSINMLKERRLSAKMGSTLNESGYERRKQFNCYFPDSSENHNADLYLEDEDMFYEPQAEKEWQSKHMRSDGNLVDEDSDRLWRIDQFNSEDHFPNQREEHFDTSGYGFKDGYSPERRNSTRSSTGFKNTGIPPSHDLFYDHSLMDDDEGTGLFELERHPPSKKTFNSNGTFGASAWSFDTVDDSEKRRSPISEESCSSAAAMKKPSPSVKNEMNQKDEFHISLDKLDIPDMDAHLHGRSLFNNPEKVGRKGTTDQRILGTNYCPESVTEQPRTQEPSCRLSLNEKFSNWDSPNFHLKGSTGLTNQSSCTVMPKDKSSFHASPDLSMYQTVESTEKRSASKVRPVSHGPDNAIFEDGIHMQQPVSDVFGDKIELSSPFRTKNLQSDIDMSTFFGQKVDRKQEDNFPTFSNRSTESFPAEKAVNSVRKTVGGHSTCSQPSGKGSFRHGFSPGFNFQESESNTFWGGSHVSNDTLRGDLEFSGLLARKNSDKNEVKIEASEKPDTRLLTETFRISADHKNEMNGTGTSSNGSEVSNCSEAQKETSAAATQIPANLSCLQETSAELFQVQTHVRPVTGEKLDNPGVDFKTPMHSRSKIHDVGDHSKLNAMFQSPFIGEEVGIEKKIIACVSPDNSDVQYQFMLEQRVLRRLCVQKILVPTPMKDKLEKDTRFRIVEDGPHALPKSV >PVH39368 pep chromosome:PHallii_v3.1:5:55822622:55829570:-1 gene:PAHAL_5G486500 transcript:PVH39368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPACELHASFSVKGLGHIKMETPPHSPRPINRVLPLPPKAMRSTHKTKRSIPFDVTKALDSMNSINMLKERRLSAKMGSTLNESGYERRKQFNCYFPDSSENHNADLYLEDEDMFYEPQAEKEWQSKHMRSDGNLVDEDSDRLWRIDQFNSEDHFPNQREEHFDTSGYGFKDGYSPERRNSTRSSTGFKNTGIPPSHDLFYDHSLMDDDEGTGLFELERHPPSKKTFNSNGTFGASAWSFDTVDDSEKRRSPISEESCSSAAAMKKPSPSVKNEMNQKDEFHISLDKLDIPDMDAHLHGRSLFNNPEKVGRKGTTDQRILGTNYCPESVTEQPRTQEPSCRLSLNEKFSNWDSPNFHLKGSTGLTNQSSCTVMPKDKSSFHASPDLSMYQTVESTEKRSASKVRPVSHGPDNAIFEDGIHMQQPVSDVFGDKIELSSPFRTKNLQSDIDMSTFFGQKVDRKQEDNFPTFSNRSTESFPAEKAVNSVRKTVGGHSTCSQPSGKGSFRHGFSPGFNFQESESNTFWGGSHVSNDTLRGDLEFSGLLARKNSDKNEVKIEASEKPDTRLLTETFRISADHKNEMNGTGTSSNGSEVSNCSEAQKETSAAATQIPANLSCLQETSAELFQVQTHVRPVTGEKLDNPGVDFKTPMHSRSKIHDVGDHSKLNAMFQSPFIGEEVGIEKKIIACVSPDNSDVQYQFMLEQRVLRRLCVQKILVPTPMKDKLEKDTRFRIVEDGPHALPKSV >PVH39371 pep chromosome:PHallii_v3.1:5:55823221:55830915:-1 gene:PAHAL_5G486500 transcript:PVH39371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVYASRKSTQSRQRQYFEQKRRQQQRPELQNQDNVAGGQASRDQEPRSLDVLNINNLATPISHHNESTSADGAIPQMDCTLSDGPPTEAIKKTTSLCNSNMKEAGSQPRLSSPFGHQGVAAAVNSHEEPPACKISPSTNYGTRKQIQNLECQSEISLIDLVSYEGSKNKSTMRPACELHASFSVKGLGHIKMETPPHSPRPINRVLPLPPKAMRSTHKTKRSIPFDVTKALDSMNSINMLKERRLSAKMGSTLNESGYERRKQFNCYFPDSSENHNADLYLEDEDMFYEPQAEKEWQSKHMRSDGNLVDEDSDRLWRIDQFNSEDHFPNQREEHFDTSGYGFKDGYSPERRNSTRSSTGFKNTGIPPSHDLFYDHSLMDDDEGTGLFELERHPPSKKTFNSNGTFGASAWSFDTVDDSEKRRSPISEESCSSAAAMKKPSPSVKNEMNQKDEFHISFDKLDIPDMDAHLHGRSLFNSPEKVGRKAMKKPSPSVKNEMNQKDEFHISLDKLDIPDMDAHLHGRSLFNNPEKVGRKGTTDQRILGTNYCPESVTEQPRTQEPSCRLSLNEKFSNWDSPNFHLKGSTGLTNQSSCTVMPKDKSSFHASPDLSMYQTVESTEKRSASKVRPVSHGPDNAIFEDGIHMQQPVSDVFGDKIELSSPFRTKNLQSDIDMSTFFGQKVDRKQEDNFPTFSNRSTESFPAEKAVNSVRKTVGGHSTCSQPSGKGSFRHGFSPGFNFQESESNTFWGGSHVSNDTLRGDLEFSGLLARKNSDKNEVKIEASEKPDTRLLTETFRISADHKNEMNGTGTSSNGSEVSNCSEAQKETSAAATQIPANLSCLQETSAELFQVQTHVRPVTGEKLDNPGVDFKTPMHSRSKIHDVGDHSKLNAMFQSPFIGEEVGIEKKIIACVSPDNSDVQYQFMLEQRVLRRLCVQKILVPTPMKDKLEKDTRFRIVEDGPHALPKSV >PVH39370 pep chromosome:PHallii_v3.1:5:55823221:55828578:-1 gene:PAHAL_5G486500 transcript:PVH39370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPACELHASFSVKGLGHIKMETPPHSPRPINRVLPLPPKAMRSTHKTKRSIPFDVTKALDSMNSINMLKERRLSAKMGSTLNESGYERRKQFNCYFPDSSENHNADLYLEDEDMFYEPQAEKEWQSKHMRSDGNLVDEDSDRLWRIDQFNSEDHFPNQREEHFDTSGYGFKDGYSPERRNSTRSSTGFKNTGIPPSHDLFYDHSLMDDDEGTGLFELERHPPSKKTFNSNGTFGASAWSFDTVDDSEKRRSPISEESCSSAAAMKKPSPSVKNEMNQKDEFHISLDKLDIPDMDAHLHGRSLFNNPEKVGRKGTTDQRILGTNYCPESVTEQPRTQEPSCRLSLNEKFSNWDSPNFHLKGSTGLTNQSSCTVMPKDKSSFHASPDLSMYQTVESTEKRSASKVRPVSHGPDNAIFEDGIHMQQPVSDVFGDKIELSSPFRTKNLQSDIDMSTFFGQKVDRKQEDNFPTFSNRSTESFPAEKAVNSVRKTVGGHSTCSQPSGKGSFRHGFSPGFNFQESESNTFWGGSHVSNDTLRGDLEFSGLLARKNSDKNEVKIEASEKPDTRLLTETFRISADHKNEMNGTGTSSNGSEVSNCSEAQKETSAAATQIPANLSCLQETSAELFQVQTHVRPVTGEKLDNPGVDFKTPMHSRSKIHDVGDHSKLNAMFQSPFIGEEVGIEKKIIACVSPDNSDVQYQFMLEQRVLRRLCVQKILVPTPMKDKLEKDTRFRIVEDGPHALPKSV >PVH39369 pep chromosome:PHallii_v3.1:5:55823221:55828578:-1 gene:PAHAL_5G486500 transcript:PVH39369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPACELHASFSVKGLGHIKMETPPHSPRPINRVLPLPPKAMRSTHKTKRSIPFDVTKALDSMNSINMLKERRLSAKMGSTLNESGYERRKQFNCYFPDSSENHNADLYLEDEDMFYEPQAEKEWQSKHMRSDGNLVDEDSDRLWRIDQFNSEDHFPNQREEHFDTSGYGFKDGYSPERRNSTRSSTGFKNTGIPPSHDLFYDHSLMDDDEGTGLFELERHPPSKKTFNSNGTFGASAWSFDTVDDSEKRRSPISEESCSSAAAMKKPSPSVKNEMNQKDEFHISFDKLDIPDMDAHLHGRSLFNSPEKVGRKAMKKPSPSVKNEMNQKDEFHISLDKLDIPDMDAHLHGRSLFNNPEKVGRKGTTDQRILGTNYCPESVTEQPRTQEPSCRLSLNEKFSNWDSPNFHLKGSTGLTNQSSCTVMPKDKSSFHASPDLSMYQTVESTEKRSASKVRPVSHGPDNAIFEDGIHMQQPVSDVFGDKIELSSPFRTKNLQSDIDMSTFFGQKVDRKQEDNFPTFSNRSTESFPAEKAVNSVRKTVGGHSTCSQPSGKGSFRHGFSPGFNFQESESNTFWGGSHVSNDTLRGDLEFSGLLARKNSDKNEVKIEASEKPDTRLLTETFRISADHKNEMNGTGTSSNGSEVSNCSEAQKETSAAATQIPANLSCLQETSAELFQVQTHVRPVTGEKLDNPGVDFKTPMHSRSKIHDVGDHSKLNAMFQSPFIGEEVGIEKKIIACVSPDNSDVQYQFMLEQRVLRRLCVQKILVPTPMKDKLEKDTRFRIVEDGPHALPKSV >PAN32420 pep chromosome:PHallii_v3.1:5:55822622:55831343:-1 gene:PAHAL_5G486500 transcript:PAN32420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVYASRKSTQSRQRQYFEQKRRQQQRPELQNQDNVAGGQASRDQEPRSLDVLNINNLATPISHHNESTSADGAIPQMDCTLSDGPPTEAIKKTTSLCNSNMKEAGSQPRLSSPFGHQGVAAAVNSHEEPPACKISPSTNYGTRKQIQNLECQSEISLIDLVSYEGSKNKSTMRPACELHASFSVKGLGHIKMETPPHSPRPINRVLPLPPKAMRSTHKTKRSIPFDVTKALDSMNSINMLKERRLSAKMGSTLNESGYERRKQFNCYFPDSSENHNADLYLEDEDMFYEPQAEKEWQSKHMRSDGNLVDEDSDRLWRIDQFNSEDHFPNQREEHFDTSGYGFKDGYSPERRNSTRSSTGFKNTGIPPSHDLFYDHSLMDDDEGTGLFELERHPPSKKTFNSNGTFGASAWSFDTVDDSEKRRSPISEESCSSAAAMKKPSPSVKNEMNQKDEFHISFDKLDIPDMDAHLHGRSLFNSPEKVGRKAMKKPSPSVKNEMNQKDEFHISLDKLDIPDMDAHLHGRSLFNNPEKVGRKGTTDQRILGTNYCPESVTEQPRTQEPSCRLSLNEKFSNWDSPNFHLKGSTGLTNQSSCTVMPKDKSSFHASPDLSMYQTVESTEKRSASKVRPVSHGPDNAIFEDGIHMQQPVSDVFGDKIELSSPFRTKNLQSDIDMSTFFGQKVDRKQEDNFPTFSNRSTESFPAEKAVNSVRKTVGGHSTCSQPSGKGSFRHGFSPGFNFQESESNTFWGGSHVSNDTLRGDLEFSGLLARKNSDKNEVKIEASEKPDTRLLTETFRISADHKNEMNGTGTSSNGSEVSNCSEAQKETSAAATQIPANLSCLQETSAELFQVQTHVRPVTGEKLDNPGVDFKTPMHSRSKIHDVGDHSKLNAMFQSPFIGEEVGIEKKIIACVSPDNSDVQYQFMLEQRVLRRLCVQKILVPTPMKDKLEKDTRFRIVEDGPHALPKSV >PVH39367 pep chromosome:PHallii_v3.1:5:55822622:55829570:-1 gene:PAHAL_5G486500 transcript:PVH39367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPACELHASFSVKGLGHIKMETPPHSPRPINRVLPLPPKAMRSTHKTKRSIPFDVTKALDSMNSINMLKERRLSAKMGSTLNESGYERRKQFNCYFPDSSENHNADLYLEDEDMFYEPQAEKEWQSKHMRSDGNLVDEDSDRLWRIDQFNSEDHFPNQREEHFDTSGYGFKDGYSPERRNSTRSSTGFKNTGIPPSHDLFYDHSLMDDDEGTGLFELERHPPSKKTFNSNGTFGASAWSFDTVDDSEKRRSPISEESCSSAAAMKKPSPSVKNEMNQKDEFHISFDKLDIPDMDAHLHGRSLFNSPEKVGRKAMKKPSPSVKNEMNQKDEFHISLDKLDIPDMDAHLHGRSLFNNPEKVGRKGTTDQRILGTNYCPESVTEQPRTQEPSCRLSLNEKFSNWDSPNFHLKGSTGLTNQSSCTVMPKDKSSFHASPDLSMYQTVESTEKRSASKVRPVSHGPDNAIFEDGIHMQQPVSDVFGDKIELSSPFRTKNLQSDIDMSTFFGQKVDRKQEDNFPTFSNRSTESFPAEKAVNSVRKTVGGHSTCSQPSGKGSFRHGFSPGFNFQESESNTFWGGSHVSNDTLRGDLEFSGLLARKNSDKNEVKIEASEKPDTRLLTETFRISADHKNEMNGTGTSSNGSEVSNCSEAQKETSAAATQIPANLSCLQETSAELFQVQTHVRPVTGEKLDNPGVDFKTPMHSRSKIHDVGDHSKLNAMFQSPFIGEEVGIEKKIIACVSPDNSDVQYQFMLEQRVLRRLCVQKILVPTPMKDKLEKDTRFRIVEDGPHALPKSV >PAN26909 pep chromosome:PHallii_v3.1:5:2949371:2953366:-1 gene:PAHAL_5G046600 transcript:PAN26909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEEGGQARQIDRASEPAVAARRRKPQGWKCMPFIIANETFEKAASFGVAANLTTYLVKRFSIGQLQATNITNIFFATLNLTPLLGAFISDSYLGRFKTLAYGCFATLLGILGVTLTASLPALKPAICNQTSQLGGHCNSPSTLQLGVLYLSLGLLTIGGGAIRPCSLPFGVDQFDQTDEKSRKGLNSYYNWYYATSTAALVFSMTVLIYIQTNISWAIGFGIPTFFMFFAIIIFFAGARFYVHVPPEGSIFTGIAQVFVASFKKRGLNLPCSCDISEQELMLYNPPTRGIRIFRLPLTSQFRFLNKGAIMRDGDINDDGSARNSWELCSIQQIEEAKCLIRIVPISFSGILCFVALAQQFTFIILQAFTMDCHLGPHFEIPAGSVTSISLIALIVFIPIYDQLMVPLVRKLTGLEGGITLLQRQGVGLVISPISMVVAGLVEHKRRDSALSNGGISSMTVLWLAPQLVLMGIAEAFNAVGQIEFYNKQFPEHMQTLAGSLLFCTIAGANYVSTALVKITTKVTARNGNTSWLTENINNGKLDYYYYFIAILGVLNLFYFLVCSHYYQYKVMSFHAEESIKTHAKEAAATEIEIVTSAPSK >PAN31612 pep chromosome:PHallii_v3.1:5:52420903:52423542:1 gene:PAHAL_5G431300 transcript:PAN31612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTARRPLLVLLLVAAVAESKLYAPADRVLLNCGSTTDALDSDGRRWVADTNDAMWLTDSGKSSLMMAADMMDNGLPSTVPYMTSRVFPTESAYNITVNPRDRHWVRLHFYPSSYNGLPPEVFHFDVVTSTGITLLHNFSVYNYAKALSQAFVIREFSLPPTPAGFLTITFTPTPGNETYAFVNGIEVISMPDIFADPATMVGFADQTVDIAGAALQTMYRFNVGGLYIPPSNDSGLSRHWYDDTPYVLGPTQGVIYKAGPHFQLKYPSDLAEYAAPPDVYLGSRSMGSDPRLNQNYNLTWIMPVDGNFTYVARLHFCELLLNRPNQRAFDIYVNNKTAQADADIIGMTSEKGVPMYKDFAVYVADEPGDEAMWVALHPSVALRPQFYDAILNGLEVFKINDTAGNLAAPDPDPSRLLAKAELGAGDHLTPKIHRHRYIATVMGSMAGGAAALGIIAAICVVRHQEKSKKELQTAGGSHTSGWLPLYHSHTSGKSSGHLAANLAGMCRHFSFAEIKVATKNFSESLVIGVGGFGKVYWGVVDSDTKVAIKRSNPSSEQGVQEFQTEVEMLSKLRHRHLVSLIGFSEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRLRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEDWVAKVSDFGLSKSGPTTVNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLLARPALDPALPREKVSLADYALLCQRNSTLLDVIDPAIKDQIAPECLKKFADTAEKCLGEQGIERPSMGDVLWNLEFAMQLQDAFEGQRASTTCGRRPMGEGSGVARSSALDYGNGTTASVTAVEALSASRPRVVIVEETDDEEVANSAAFSQLVRPTGR >PAN28449 pep chromosome:PHallii_v3.1:5:9224261:9227287:1 gene:PAHAL_5G153300 transcript:PAN28449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEELRLELDELRHLEGLAKRPRVQSLLANEIRNVEAKLAKATAPAPEPQAAPAPARPGLNYVTLGSFSWDQDNEKIRIYIFLEGVEQEKVETIFKPMSVDIKFHDVNGKNYRCAIPKLNKEIVPEKCKVVVKPTKVVVTLCKASKGNWLDLHFKEDKFKPSMDKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTTDSLSGLR >PAN31642 pep chromosome:PHallii_v3.1:5:52553391:52556017:1 gene:PAHAL_5G433600 transcript:PAN31642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSCSPLFLGAAVAVALAVLAAAPLALAGDPDYLQDLCVADLNSEVKVNGFPCKANATADDFFSSVLAKPGATNNTMGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATELVFVLYGTLDVGFLTTANKLVARTISQGDVFAFPRGLVHFQRNAGDEPAAVISAFNSQLPGTQSIAMTLFGASPEVPDEVLAKAFQIEAEEVDKIKAKFAPKKS >PAN32377 pep chromosome:PHallii_v3.1:5:55658380:55659292:-1 gene:PAHAL_5G483000 transcript:PAN32377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQAERAAELQDPEVRTELDRRVREEGETVVKSGGGGTTLDAQERLAEGRKKGGLSRTTESGNDRAEKEGAVRVEPDEKQLQQAKESLGRD >PVH39240 pep chromosome:PHallii_v3.1:5:54416974:54418438:-1 gene:PAHAL_5G462100 transcript:PVH39240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMTSPRLKLFGFHVSEEEHELGPEAAEQEEAPACGNGSGGGGGSDSSSSSTTTTTTATAAGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMVSAFAPPPHLLGGGGGDAAPTSWVYFSPRAAAGQQFHVSHGCVFPSRGAPAAAVTASPAVFSYTPAPSAAAASAPYVADDHSGRRVHASPVATLARYPGPGMVVAEPVVAGPEDGLGLDLQLSLAPAGL >PAN28288 pep chromosome:PHallii_v3.1:5:8540266:8546026:1 gene:PAHAL_5G142800 transcript:PAN28288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAGPTAAALCRRAASTSASPSSTAAATAAASSSAVNSILLRSLKEHYLEVSKMAPPPKTSPPKPFTIVKGSLDQASGPVLRREYGDAGEEISISVARLANILPPDADADSDSDPDAAGGDGGMSASISQLLLHVDISKPGAGKSLQFLCGLYPDAVGIHSVCLRSKDAESGEGNMASMGGGEYKGRIFQELDEKVRDALHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGTFISEPKP >PAN30398 pep chromosome:PHallii_v3.1:5:19172403:19173993:1 gene:PAHAL_5G277900 transcript:PAN30398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGVVKHILLARFKEEVTPERLDQLIRGYAALVDAVPSMKVFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEFANVFLPLSEKVLIIDYKPTSVN >PAN31697 pep chromosome:PHallii_v3.1:5:52786801:52787013:-1 gene:PAHAL_5G438300 transcript:PAN31697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDWAPVFISLVLFILLSPGLLFQIPGKCRIIEFGNFHTSALSILVHAILFFALIAIFLIAIGVHMYLGS >PAN29198 pep chromosome:PHallii_v3.1:5:12677107:12679423:-1 gene:PAHAL_5G207800 transcript:PAN29198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPTQPSRARTKMRENNNRGPASLYAWSSLPLLHDLVLLHRSVHARQLPRSKKARACSRGSARGDRARGGLAWCLCEEKMQEASSPLPALSNGYQPLPSLYLGFLAIWAASGFSWAFSSWRNRHFQVNNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGAYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAVLGCLLYLSLIGYKAAVPYFTIFLLINYFASFYIIFRRTSQNLIVLQEQLSFIEEEDIHSLHGALNTKYTMFKRFQGTMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVIPLMKSAWEIAMPPIYSVEMDAADFKGLVSDQWHVGVRTGSGCSAQPLLVLVQNPSPSPSARASKFQLDRENQV >PAN29197 pep chromosome:PHallii_v3.1:5:12676579:12679473:-1 gene:PAHAL_5G207800 transcript:PAN29197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPTQPSRARTKMRENNNRGPASLYAWSSLPLLHDLVLLHRSVHARQLPRSKKARACSRGSARGDRARGGLAWCLCEEKMQEASSPLPALSNGYQPLPSLYLGFLAIWAASGFSWAFSSWRNRHFQVNNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGAYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAVLGCLLYLSLIGYKAAVPYFTIFLLINYFASFYIIFRRTSQNLIVLQEQLSFIEEEDIHSLHGALNTKYTMFKFQGTMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVIPLMKSAWEIAMPPIYSVEMDAADFKGLVSDQWHVGVRTGSGCSAQPLLVLVQNPSPSPSARASKFQLDRENQV >PAN32345 pep chromosome:PHallii_v3.1:5:55542578:55543179:-1 gene:PAHAL_5G480200 transcript:PAN32345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWRELARTVPATLLRVAEGTIGLLGPWTPPTGSSPRSSACLQRGDGDAINWDDVREEPNAGAVLDDARRELVRLRELHSMASVIFVLCGARAGLGPEADPLWRTWAGHSGETSGHGSRALRSLRSAASHVRASRDALLMARLLPRLSPDRAAWVSAALNLWRRAIWAATKAMAAARRMRDAVTVELEDAWKVLNR >PAN30487 pep chromosome:PHallii_v3.1:5:20526072:20527101:1 gene:PAHAL_5G285500 transcript:PAN30487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQYITISRLLPSPACLPFLPERSSWPGGKKRNIKREIFLLIFLEAPTLLEGITTVSERDGSPLSNPTSTPLPPGIQTGIALHTWAVNNSKSKSSSKK >PAN26751 pep chromosome:PHallii_v3.1:5:2225154:2230502:1 gene:PAHAL_5G036200 transcript:PAN26751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKLDRPAALGKDGLSLGIEEDRAAAAAMGFVDDSKDQLHLDNSIPLSPQWLYAKPADGKISLPHGSSFEPAEREVRMLEGTVDRKERRRNVFDADSGLRWLEEERETSLLGRRERKKEVERDVDNRKIDRRSDNVSARDNTDSRAPPTSDRWNDGSTRLGNEGRRDGKWSTRWGPDDKEKDSRSEKKVDVEKDETHAEKQTFTGRLLSESDSRDKWRPRHRQESHSVVTATYRAAPGFGSEKGRVKDSNVGFAPGRGRGNPNSVTSFSRPSSAGPIGAPAVHGKSAKSAVCFRYPRGKLLDIYRQKNMMSSFDDAKLEEIPSITLSTSAKPLAFVAPDTVEEALLEDIRKGKVISSEGSNATGNKKERAKELEEPASVIDEDKDKVALAFGGLGQEGSATLISEKDAFYDNRTLSGGVGTSPSKKSMEENAVSNQYRIAGIQDGLKTDEAKTSTDLDLSPNLPDDSNTLFDVPPFEHHSEPPMPYQNSDIDIKAGGHASYPEELTLYYLDPQGGVQGPFLGADIISWYEDGYFGLELPVRLSQAPDDVPFRPLVEVMPHLGQKPQSRPPALCDESAESLDSAQSKFEAAIPTSASSGKSDQASKWDSESNAVDPKRGDHDASLPSRAGWLSSPETGKDTANISSRQQNIPESVTQDAEEVLYTGRPNSSMGQSVRDLENDRADFKLAPRDPHSAVGEANLPQHDIPRESDLSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKPTAPKDIPPVNMRHGQLSRMNEASSMRDEWPANFGRLDSMNDANIPGRIPQVEAEHHLNFEEQLLLQQIRREQLQQEQMMARNNLEFPGPFPGQVFDSLHQHRQPMNQPLSDVEHLLRVQFELDQQQQRRQQLQQEQHQRQLQQQRQAQLLQQQQQQQQQQMILEQLLQQQLQGSNFGPTNMVDQVLLREHVLNELHQQPHHLQRQHDAAIEQLIQAKFGHGLHREHHNDMLDVLSRSNQRQMLPLEQQILLGLQQEQLQSQQLANALRQHSGREEERHLSGVWPMDDAAQFIRPGTSPNQGHASRHGRFDLLENLQRSSSFEQHEPLERNLSLHERLHRGGQGIHSLERSGSLPGGGPVPNPDVINALARHHGLGQLETHGDLYSLGQMPMLPSGVHPQQHRLQEQLSGSHLGRLERHWSDANGQMQNSLMESSRINQLQIEAEKQRRNVEMNLSVDNPHAWAALMNKERNTEQDLSDMIHKKLALQSQQSLGFPDVPVPASFGRKDHFAQPGVENPLRSPVDRLSFEESLAERSLFAKTGQSAQEGSANLDSLPNSIENSGKYNLRSSSGSMLEQKHFLGIDDVQRDFSDVTGGRASANHLVGSVNELTRGKKQGSSANLVADDTNFSEDAVNN >PAN26752 pep chromosome:PHallii_v3.1:5:2224658:2231840:1 gene:PAHAL_5G036200 transcript:PAN26752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKLDRPAALGKDGLSLGIEEDRAAAAAMGFVDDSKDQLHLDNSIPLSPQWLYAKPADGKISLPHGSSFEPAEREVRMLEGTVDRKERRRNVFDADSGLRWLEEERETSLLGRRERKKEVERDVDNRKIDRRSDNVSARDNTDSRAPPTSDRWNDGSTRLGNEGRRDGKWSTRWGPDDKEKDSRSEKKVDVEKDETHAEKQTFTGRLLSESDSRDKWRPRHRQESHSVVTATYRAAPGFGSEKGRVKDSNVGFAPGRGRGNPNSVTSFSRPSSAGPIGAPAVHGKSAKSAVCFRYPRGKLLDIYRQKNMMSSFDDAKLEEIPSITLSTSAKPLAFVAPDTVEEALLEDIRKGKVISSEGSNATGNKKERAKELEEPASVIDEDKDKVALAFGGLGQEGSATLISEKDAFYDNRTLSGGVGTSPSKKSMEENAVSNQYRIAGIQDGLKTDEAKTSTDLDLSPNLPDDSNTLFDVPPFEHHSEPPMPYQNSDIDIKAGGHASYPEELTLYYLDPQGGVQGPFLGADIISWYEDGYFGLELPVRLSQAPDDVPFRPLVEVMPHLGQKPQSRPPALCDESAESLDSAQSKFEAAIPTSASSGKSDQASKWDSESNAVDPKRGDHDASLPSRAGWLSSPETGKDTANISSRQQNIPESVTQDAEVLYTGRPNSSMGQSVRDLENDRADFKLAPRDPHSAVGEANLPQHDIPRESDLSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKPTAPKDIPPVNMRHGQLSRMNEASSMRDEWPANFGRLDSMNDANIPGRIPQVEAEHHLNFEEQLLLQQIRREQLQQEQMMARNNLEFPGPFPGQVFDSLHQHRQPMNQPLSDVEHLLRVQFELDQQQQRRQQLQQEQHQRQLQQQRQAQLLQQQQQQQQQQMILEQLLQQQLQGSNFGPTNMVDQVLLREHVLNELHQQPHHLQRQHDAAIEQLIQAKFGHGLHREHHNDMLDVLSRSNQRQMLPLEQQILLGLQQEQLQSQQLANALRQHSGREEERHLSGVWPMDDAAQFIRPGTSPNQGHASRHGRFDLLENLQRSSSFEQHEPLERNLSLHERLHRGGQGIHSLERSGSLPGGGPVPNPDVINALARHHGLGQLETHGDLYSLGQMPMLPSGVHPQQHRLQEQLSGSHLGRLERHWSDANGQMQNSLMESSRINQLQIEAEKQRRNVEMNLSVDNPHAWAALMNKERNTEQDLSDMIHKKLALQSQQSLGFPDVPVPASFGRKDHFAQPGVENPLRSPVDRLSFEESLAERSLFAKTGQSAQEGSANLDSLPNSIENSGKYNLRSSSGSMLEQKHFLGIDDVQRDFSDVTGGRASANHLVGSVNELTRGKKQGSSANLVADDTNFSEDAVNNWSDTGISKGSSHSLLKRSTNQHTATSQAVSTDLSTIRLKKAGLVSSDENKMESGITSVAQAMEASVPSNKETGVYSMPSATNNPDASGPSFSEALKSKKPPLQYDTSESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIVRDD >PAN26753 pep chromosome:PHallii_v3.1:5:2224657:2231840:1 gene:PAHAL_5G036200 transcript:PAN26753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKLDRPAALGKDGLSLGIEEDRAAAAAMGFVDDSKDQLHLDNSIPLSPQWLYAKPADGKISLPHGSSFEPAEREVRMLEGTVDRKERRRNVFDADSGLRWLEEERETSLLGRRERKKEVERDVDNRKIDRRSDNVSARDNTDSRAPPTSDRWNDGSTRLGNEGRRDGKWSTRWGPDDKEKDSRSEKKVDVEKDETHAEKQTFTGRLLSESDSRDKWRPRHRQESHSVVTATYRAAPGFGSEKGRVKDSNVGFAPGRGRGNPNSVTSFSRPSSAGPIGAPAVHGKSAKSAVCFRYPRGKLLDIYRQKNMMSSFDDAKLEEIPSITLSTSAKPLAFVAPDTVEEALLEDIRKGKVISSEGSNATGNKKERAKELEEPASVIDEDKDKVALAFGGLGQEGSATLISEKDAFYDNRTLSGGVGTSPSKKSMEENAVSNQYRIAGIQDGLKTDEAKTSTDLDLSPNLPDDSNTLFDVPPFEHHSEPPMPYQNSDIDIKAGGHASYPEELTLYYLDPQGGVQGPFLGADIISWYEDGYFGLELPVRLSQAPDDVPFRPLVEVMPHLGQKPQSRPPALCDESAESLDSAQSKFEAAIPTSASSGKSDQASKWDSESNAVDPKRGDHDASLPSRAGWLSSPETGKDTANISSRQQNIPESVTQDAEEVLYTGRPNSSMGQSVRDLENDRADFKLAPRDPHSAVGEANLPQHDIPRESDLSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKPTAPKDIPPVNMRHGQLSRMNEASSMRDEWPANFGRLDSMNDANIPGRIPQVEAEHHLNFEEQLLLQQIRREQLQQEQMMARNNLEFPGPFPGQVFDSLHQHRQPMNQPLSDVEHLLRVQFELDQQQQRRQQLQQEQHQRQLQQQRQAQLLQQQQQQQQQQMILEQLLQQQLQGSNFGPTNMVDQVLLREHVLNELHQQPHHLQRQHDAAIEQLIQAKFGHGLHREHHNDMLDVLSRSNQRQMLPLEQQILLGLQQEQLQSQQLANALRQHSGREEERHLSGVWPMDDAAQFIRPGTSPNQGHASRHGRFDLLENLQRSSSFEQHEPLERNLSLHERLHRGGQGIHSLERSGSLPGGGPVPNPDVINALARHHGLGQLETHGDLYSLGQMPMLPSGVHPQQHRLQEQLSGSHLGRLERHWSDANGQMQNSLMESSRINQLQIEAEKQRRNVEMNLSVDNPHAWAALMNKERNTEQDLSDMIHKKLALQSQQSLGFPDVPVPASFGRKDHFAQPGVENPLRSPVDRLSFEESLAERSLFAKTGQSAQEGSANLDSLPNSIENSGKYNLRSSSGSMLEQKHFLGIDDVQRDFSDVTGGRASANHLVGSVNELTRGKKQGSSANLVADDTNFSEDAVNNWSDTGISKGSSHSLLKRSTNQHTATSQAVSTDLSTIRLKKAGLVSSDENKMESGITSVAQAMEASVPSNKETGVYSMPSATNNPDASGPSFSEALKSKKPPLQYDTSESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIVRDD >PAN28495 pep chromosome:PHallii_v3.1:5:9464629:9471538:1 gene:PAHAL_5G156700 transcript:PAN28495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MLLRWISAAGRRRALPARPLGRALSYGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLKAVSPVPVRFAGVGGELMCKEGLQSLFPMEEIAIMGLWELLPHIYSVKSKIENTVNAAMLFQPHAVVTVDSKGFSFRLLKQLKCRSNQKVQSHLHIHYVSPSFWAWKGGESRLSKLHDFVDHMLCILPFEEEICKLNGLPATYVGHPSLDDAIGLNMGPELSSDKSKHQKSCEAFRLEHGLSPDATIITIMPGSRMQEVVRMLPIFLHTVQNLRHTFNELSLVIPVAPHRDVRTYVEKAVQSGPFPVVLIPGGSLKERYGAFSASRAALCTSGTAVMELMLAKLPCVVAYQAHFITECLIHLRKKINFISLPNILLNSPVVPEVLFRACTAKNLAAKLSEVISNDDVRQMQVESAGQVFQVLNEPIKKRGTLFVEELGLSSNVLSPSMIAALTVLYTDKHQNTVYRN >PAN28521 pep chromosome:PHallii_v3.1:5:9464659:9469659:1 gene:PAHAL_5G156700 transcript:PAN28521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MLLRWISAAGRRRALPARPLGRALSYGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLKAVSPVPVRFAGVGGELMCKEGLQSLFPMEEIAIMGLWELLPHIYSVKSKIENTVNAAMLFQPHAVVTVDSKGFSFRLLKQLKCRSNQKVQSHLHIHYVSPSFWAWKGGESRLSKLHDFVDHMLCILPFEEEICKLNGLPATYVGHPSLDDAIGLNMGPELSSDKSKHQKSCEAFRLEHGLSPDATIITIMPGSRMQEVVRMLPIFLHTVQNLRHTFNELSLVIPVAPHRDVRTYVEKAVQSGPFPVVLIPGGSLKERYGAFSASRAALCTSGTAVMELMLAKLPCVVAYQAHFITECLIHLRKKINFISLPNILLNSPVVPEVLFRACTAKNLAAKLRIWFHAFRRQWTMRSTNGSSQAQKS >PAN28203 pep chromosome:PHallii_v3.1:5:8233268:8236202:-1 gene:PAHAL_5G138000 transcript:PAN28203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHALRCSSEINHHKSIAMPSVTIPSPPPYLLLLIVITAGLAVVSEVAAFLDCGDAPPSPPPSSSSPSPPPPSAPANGGGSNASFRANLLTLLGALPRAAAPTGFASLSLGAGRDRAFVRGLCRGDFAPPRCLADLQEAVADLGAGCPGSRRASIWLDVFVAYADTNASTPREEDYRMVLYDTRMVADPAGYLRAYGPLMARLVARAAGGGPAGRPPPFFATGEVEYARDDPNGTMYGMVLCMRDVTAADCGRCLQASVPRLPCCSGNQGGVVLAYSCFLRIQVYTYYDLALDAPPPASEPPPPPSPPAGETRGTKTPRARLVPIVAVVVPLLTLLVLASVLTAGVYLRRRRGLKEHSTARRRAKDEDCSTSYVHPEKFTLRVLRAATGNFAAENKLGEGGFGQVFKGRLQDGQAVAVKRLSQGSSQGFHELKNELTLASKLTHRNLVQLLGVCLEETEKLIVYEYLPNRSLDNALFADAARWQPHQALDWSRRYAIIRGIARGLLYLHEESRLRIIHRDLKPSNVLLDSDLSPKISDFGLARAFWGDETREVTKRPAGTLGYMSPEYAYYGHVSTKSDMFGFGVIVLEIVTGRRNTSVYAEEDGSSSNLLSYVWEKWRRGSAADVVDASLGGRYARAEALACAQVGLLCVQKDPGSRPDASAVLLMLDGQSAIQQRPSRPAFSDGSASAAASWRAAAHGGGARRYGRRPAADPPVSENGITVTDLQPR >PVH39071 pep chromosome:PHallii_v3.1:5:52160975:52169574:1 gene:PAHAL_5G427600 transcript:PVH39071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVVLEQMCDRGIAALRQFLMKKSDLRLVLMSATADITRYKEYFRDVGRGERVEVIAIPSSPRTSIFQRKVQYLEQIADILKINSPSLSTTYCSGLDASADAEITSDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEKQWIRLLPYSSTFKVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSALLQKVLNPPDPDAVEDALESLVQIHALEKTSSGRYQPTFYGCLLNSLPLSFDSSVLTLKFCELGAVHEGILISIMLDIQPLPILQPFGYQVLCHKYRDNYFKENGSVQIGKKEATTIGNLCAFQFWERVFKDKHRLDYLKDVANDQEPEESHTFLDKPEEEWCAIHNLVPAAFKNISEIYDDVMKQLHRFRPSFLVKINPPKYLQPSKFNHTCLHNEILELEEDMDSLSLEAENSHCVSQNRCAATPYVLQTDFGTTTIVEMLKMLVKEMKTQHVEEKTVSYMGQLGPFVKPTLGAEACVFFVNGSCTQGAACRFSHSSFAPKPVCKFFLTLQGCRNGNSCPYSHDTGSLISTPITSGICSQEGRATSLCCTRLFPADGDGHILILNDKTLQFSSKLSQYYDACKIVAGTPGLQSVESCSVPKGLKILQNLADPSSLITGRDQKLPVPWTKLKRVFWFADFDNDESAGEQALLQKFFQNIAIKILSERLSGLQVILIMKNTRYIQLQVERLARECFFFLSESFLFDEATLGWFSDISRHPRGMQVSAPVTYVFKMHPPSMTQFGDYPAELREALCKN >PVH39072 pep chromosome:PHallii_v3.1:5:52160975:52169574:1 gene:PAHAL_5G427600 transcript:PVH39072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFPSRAHVSWGRSMRRGPPAPERITPWPHPTSLQKGKQKHCHGRREPLEASPKEAEKLAGEGAAPREEPQPAAMARGVVVEEEEGEQQQQGAPPRRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVVLEQMCDRGIAALRYKVIILDEVHERSVESDLVLASIRQFLMKKSDLRLVLMSATADITRYKEYFRDVGRGERVEVIAIPSSPRTSIFQRKVQYLEQIADILKINSPSLSTTYCSGLDASADAEITSDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEKQWIRLLPYSSTFKVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSALLQKVLNPPDPDAVEDALESLVQIHALEKTSSGRYQPTFYGCLLNSLPLSFDSSVLTLKFCELGAVHEGILISIMLDIQPLPILQPFGYQVLCHKYRDNYFKENGSVQIGKKEATTIGNLCAFQFWERVFKDKHRLDYLKDVANDQEPEESHTFLDKPEEEWCAIHNLVPAAFKNISEIYDDVMKQLHRFRPSFLVKINPPKYLQPSKFNHTCLHNEILELEEDMDSLSLEAENSHCVSQNRCAATPYVLQTDFGTTTIVEMLKMLVKEMKTQHVEEKTVSYMGQLGPFVKPTLGAEACVFFVNGSCTQGAACRFSHSSFAPKPVCKFFLTLQGCRNGNSCPYSHDTGSLISTPITSGICSQEGRATSLCCTRLFPADGDGHILILNDKTLQFSSKLSQYYDACKIVAGTPGLQSVESCSVPKGLKILQNLADPSSLITGRDQKLPVPWTKLKRVFWFADFDNDESAGEQALLQKFFQNIAIKILSERLSGLQVILIMKNTRYIQLQVERLARECFFFLSESFLFDEATLGWFSDISRHPRGMQVSAPVTYVFKMHPPSMTQFGDYPAELREALCKN >PAN31559 pep chromosome:PHallii_v3.1:5:52160975:52169574:1 gene:PAHAL_5G427600 transcript:PAN31559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSALLQKVLNPPDPDAVEDALESLVQIHALEKTSSGRYQPTFYGCLLNSLPLSFDSSVLTLKFCELGAVHEGILISIMLDIQPLPILQPFGYQVLCHKYRDNYFKENGSVQIGKKEATTIGNLCAFQFWERVFKDKHRLDYLKDVANDQEPEESHTFLDKPEEEWCAIHNLVPAAFKNISEIYDDVMKQLHRFRPSFLVKINPPKYLQPSKFNHTCLHNEILELEEDMDSLSLEAENSHCVSQNRCAATPYVLQTDFGTTTIVEMLKMLVKEMKTQHVEEKTVSYMGQLGPFVKPTLGAEACVFFVNGSCTQGAACRFSHSSFAPKPVCKFFLTLQGCRNGNSCPYSHDTGSLISTPITSGICSQEGRATSLCCTRLFPADGDGHILILNDKTLQFSSKLSQYYDACKIVAGTPGLQSVESCSVPKGLKILQNLADPSSLITGRDQKLPVPWTKLKRVFWFADFDNDESAGEQALLQKFFQNIAIKILSERLSGLQVILIMKNTRYIQLQVERLARECFFFLSESFLFDEATLGWFSDISRHPRGMQVSAPVTYVFKMHPPSMTQFGDYPAELREALCKN >PVH39069 pep chromosome:PHallii_v3.1:5:52163035:52169126:1 gene:PAHAL_5G427600 transcript:PVH39069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIPVDVIQSKIVFKTAGVVLEQMCDRGIAALRYKVIILDEVHERSVESDLVLASIRQFLMKKSDLRLVLMSATADITRYKEYFRDVGRGERVEVIAIPSSPRTSIFQRKVQYLEQIADILKINSPSLSTTYCSGLDASADAEITSDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEKQWIRLLPYSSTFKVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSALLQKVLNPPDPDAVEDALESLVQIHALEKTSSGRYQPTFYGCLLNSLPLSFDSSVLTLKFCELGAVHEGILISIMLDIQPLPILQPFGYQVLCHKYRDNYFKENGSVQIGKKEATTIGNLCAFQFWERVFKDKHRLDYLKDVANDQEPEESHTFLDKPEEEWCAIHNLVPAAFKNISEIYDDVMKQLHRFRPSFLVKINPPKYLQPSKFNHTCLHNEILELEEDMDSLSLEAENSHCVSQNRCAATPYVLQTDFGTTTIVEMLKMLVKEMKTQHVEEKTVSYMGQLGPFVKPTLGAEACVFFVNGSCTQGAACRFSHSSFAPKPVCKFFLTLQGCRNGNSCPYSHDTGSLISTPITSGICSQEGRATSLCCTRLFPADGDGHILILNDKTLQFSSKLSQYYDACKIVAGTPGLQSVESCSVPKGLKILQNLADPSSLITGRDQKLPVPWTKLKRVFWFADFDNDESAGEQALLQKFFQNIAIKILSERLSGLQVILIMKNTRYIQLQVERLARECFFFLSESFLFDEATLGWFSDISRHPRGMQVSAPVTYVFKMHPPSMTQFGDYPAELREALCKN >PVH39070 pep chromosome:PHallii_v3.1:5:52160975:52169574:1 gene:PAHAL_5G427600 transcript:PVH39070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFPSRAHVSWGRSMRRGPPAPERITPWPHPTSLQKGKQKHCHGRREPLEASPKEAEKLAGEGAAPREEPQPAAMARGVVVEEEEGEQQQQGAPPRRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVVLEQMCDRGIAALRQFLMKKSDLRLVLMSATADITRYKEYFRDVGRGERVEVIAIPSSPRTSIFQRKVQYLEQIADILKINSPSLSTTYCSGLDASADAEITSDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEKQWIRLLPYSSTFKVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSALLQKVLNPPDPDAVEDALESLVQIHALEKTSSGRYQPTFYGCLLNSLPLSFDSSVLTLKFCELGAVHEGILISIMLDIQPLPILQPFGYQVLCHKYRDNYFKENGSVQIGKKEATTIGNLCAFQFWERVFKDKHRLDYLKDVANDQEPEESHTFLDKPEEEWCAIHNLVPAAFKNISEIYDDVMKQLHRFRPSFLVKINPPKYLQPSKFNHTCLHNEILELEEDMDSLSLEAENSHCVSQNRCAATPYVLQTDFGTTTIVEMLKMLVKEMKTQHVEEKTVSYMGQLGPFVKPTLGAEACVFFVNGSCTQGAACRFSHSSFAPKPVCKFFLTLQGCRNGNSCPYSHDTGSLISTPITSGICSQEGRATSLCCTRLFPADGDGHILILNDKTLQFSSKLSQYYDACKIVAGTPGLQSVESCSVPKGLKILQNLADPSSLITGRDQKLPVPWTKLKRVFWFADFDNDESAGEQALLQKFFQNIAIKILSERLSGLQVILIMKNTRYIQLQVERLARECFFFLSESFLFDEATLGWFSDISRHPRGMQVSAPVTYVFKMHPPSMTQFGDYPAELREALCKN >PAN31557 pep chromosome:PHallii_v3.1:5:52160975:52169574:1 gene:PAHAL_5G427600 transcript:PAN31557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVVLEQMCDRGIAALRYKVIILDEVHERSVESDLVLASIRQFLMKKSDLRLVLMSATADITRYKEYFRDVGRGERVEVIAIPSSPRTSIFQRKVQYLEQIADILKINSPSLSTTYCSGLDASADAEITSDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEKQWIRLLPYSSTFKVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSALLQKVLNPPDPDAVEDALESLVQIHALEKTSSGRYQPTFYGCLLNSLPLSFDSSVLTLKFCELGAVHEGILISIMLDIQPLPILQPFGYQVLCHKYRDNYFKENGSVQIGKKEATTIGNLCAFQFWERVFKDKHRLDYLKDVANDQEPEESHTFLDKPEEEWCAIHNLVPAAFKNISEIYDDVMKQLHRFRPSFLVKINPPKYLQPSKFNHTCLHNEILELEEDMDSLSLEAENSHCVSQNRCAATPYVLQTDFGTTTIVEMLKMLVKEMKTQHVEEKTVSYMGQLGPFVKPTLGAEACVFFVNGSCTQGAACRFSHSSFAPKPVCKFFLTLQGCRNGNSCPYSHDTGSLISTPITSGICSQEGRATSLCCTRLFPADGDGHILILNDKTLQFSSKLSQYYDACKIVAGTPGLQSVESCSVPKGLKILQNLADPSSLITGRDQKLPVPWTKLKRVFWFADFDNDESAGEQALLQKFFQNIAIKILSERLSGLQVILIMKNTRYIQLQVERLARECFFFLSESFLFDEATLGWFSDISRHPRGMQVSAPVTYVFKMHPPSMTQFGDYPAELREALCKN >PVH37971 pep chromosome:PHallii_v3.1:5:8349474:8350064:1 gene:PAHAL_5G139200 transcript:PVH37971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIHGAKNQMPEKSQNLRRCTWNVDLQSNGSWCSSTSRRLRSFSSTDQYRTCSPPPPTLIRPSPAASRHRARSRRPRHAKSSSTMSSLPCAPACYPPAGCLPTTRANGACLLRRASLLPPFPSKPALDAPHRPPPCPKRTAAPS >PVH39402 pep chromosome:PHallii_v3.1:5:56199040:56200259:-1 gene:PAHAL_5G493200 transcript:PVH39402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILPPSRDSTTQVEEEEEEAEQTRVKWMKEVWDKERRLTETESTPRRTGGEEAPPGSGDGGEGEGRPAMK >PVH38373 pep chromosome:PHallii_v3.1:5:15623542:15625875:1 gene:PAHAL_5G246800 transcript:PVH38373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPHNAVLLVCSSHEKGCRPFMCDTSSRHSNCYDQYRKASKDSSKDSAAECSECQQQVQLSCPLCRGPVSDCIKDYDARRYMNSKVRSCTTESCEFRGAYQELRKHARVEHPAARPMEVDPERQRDWRRMEQQRDIGDLLSMLRSGFSSSIEDDSGGLGATEEGEEDIAERTPASITMVFIMPSGGSIMQYLTERSRAIIVVSRRRASSSGGDAEATAPDSEEGDDPMPSAEASAGSQHSYEEEADGDPAQ >PVH38372 pep chromosome:PHallii_v3.1:5:15623408:15625875:1 gene:PAHAL_5G246800 transcript:PVH38372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRSSRISSYESRRSRASPYFSSPSHGRSGCSRLSEESSAAAAAAAAKQAAEWEEVRCPVCMDHPHNAVLLVCSSHEKGCRPFMCDTSSRHSNCYDQYRKASKDSSKDSAAECSECQQQVQLSCPLCRGPVSDCIKDYDARRYMNSKVRSCTTESCEFRGAYQELRKHARVEHPAARPMEVDPERQRDWRRMEQQRDIGDLLSMLRSGFSSSIEDDSGGLGATEEGEEDIAERTPASITMVFIMPSGGSIMQYLTERSRAIIVVSRRRASSSGGDAEATAPDSEEGDDPMPSAEASAGSQHSYEEEADGDPAQ >PAN26802 pep chromosome:PHallii_v3.1:5:2507610:2510324:1 gene:PAHAL_5G039600 transcript:PAN26802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVRTRPEQRAEAAVEASPLASARSWSPEAEIGMRVEDIWDSLDVQQQQLSHGDKLNSCFDSIPVASFPHTFDGAQLVEIPSDATLAEAVDILSRNRIISAPVRNVDAPEDASWIDRYIGIVEFAGIAVWLLHQSEAVAKADVSADELAAKLGTVTLEGAAAAAANVREPKMAESEGAIAEVFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQGAVVHMLSECVGLHWFEEWGTKTLSEIGLPIMRLSKIVKVREDEPALKAFRLMRRKGVGGIPVVDDSGRAVGSIMIKDVKHLLTASEANRDYRTLKAKEFIANARQSSGERQMSIITCSRGDSVKDIILKLDAEKRQRIYVINEEGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVIPLPQNSRV >PAN31517 pep chromosome:PHallii_v3.1:5:51973873:51980399:1 gene:PAHAL_5G424300 transcript:PAN31517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRNMKLPPGFGFYPSDTELIGHYLKRKILGQKIEHNLIPEVDIYKHEPWDLPAKCNFPIEDNKWHFFASRDRKYPTGSRSNRATLAGYWKSTGKDRAIKLNKRTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDEKECQVSPGMKDAFVLCRVTKRSDWALENDNEVGDRNPHHQQQIDAATSIVKPEDAATAVVNPEDAATSVVKPEDAATSVVKPEDATASLICAGESNDVAMASITADKESPNCSNELEAWLEELLDPSPSFNPLPDTGSAILPLTEQYAESSNTGSVVPKIGPDHASPIKDGTDATDYLFTDDLPDDLYNMLYPGIDEFSNNMFLEPAGLSGASATNQAYHLMGESPFALPNNFEDGTLKDELQLDQENNNPNLSNGNIDNGVIIRRRSASSSAANISLAPGRVKLQLGLKKMVTSNSESINQTMKFADNSGRRLDLMTSVECKKKHANDATSVKQSDAAKPGEGHNNQGYLRGIKNAFRCSSAGFNAYILFTIFLVGVAAAAALHYHRSGASL >PVH39049 pep chromosome:PHallii_v3.1:5:51974193:51980399:1 gene:PAHAL_5G424300 transcript:PVH39049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYCKMEALRNMKLPPGFGFYPSDTELIGHYLKRKILGQKIEHNLIPEVDIYKHEPWDLPAKCNFPIEDNKWHFFASRDRKYPTGSRSNRATLAGYWKSTGKDRAIKLNKRTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDEKECQVSPGMKDAFVLCRVTKRSDWALENDNEVGDRNPHHQQQIDAATSIVKPEDAATAVVNPEDAATSVVKPEDAATSVVKPEDATASLICAGESNDVAMASITADKESPNCSNELEAWLEELLDPSPSFNPLPDTGSAILPLTEQYAESSNTGSVVPKIGPDHASPIKDGTDATDYLFTDDLPDDLYNMLYPGIDEFSNNMFLEPAGLSGASATNQAYHLMGESPFALPNNFEDGTLKDELQLDQENNNPNLSNGNIDNGVIIRRRSASSSAANISLAPGRVKLQLGLKKMVTSNSESINQTMKFADNSGRRLDLMTSVECKKKHANDATSVKQSDAAKPGEGHNNQGYLRGIKNAFRCSSAGFNAYILFTIFLVGVAAAAALHYHRSGASL >PVH39048 pep chromosome:PHallii_v3.1:5:51973873:51980399:1 gene:PAHAL_5G424300 transcript:PVH39048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVKSALDAFVLCRVTKRSDWALENDNEVGDRNPHHQQQIDAATSIVKPEDAATAVVNPEDAATSVVKPEDAATSVVKPEDATASLICAGESNDVAMASITADKESPNCSNELEAWLEELLDPSPSFNPLPDTGSAILPLTEQYAESSNTGSVVPKIGPDHASPIKDGTDATDYLFTDDLPDDLYNMLYPGIDEFSNNMFLEPAGLSGASATNQAYHLMGESPFALPNNFEDGTLKDELQLDQENNNPNLSNGNIDNGVIIRRRSASSSAANISLAPGRVKLQLGLKKMVTSNSESINQTMKFADNSGRRLDLMTSVECKKKHANDATSVKQSDAAKPGEGHNNQGYLRGIKNAFRCSSAGFNAYILFTIFLVGVAAAAALHYHRSGASL >PVH37741 pep chromosome:PHallii_v3.1:5:4565811:4569887:-1 gene:PAHAL_5G073900 transcript:PVH37741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKNHLFRLQVRAMEAALLPRQEPALAAVDHLGRPAVRGSSGRWPAALFIIGMEVAERFAFCGIMSNLIMYLTGPLGQSTSSAAAAVNAWMGASFLLPLLGSAVADSWLGRYRTVVGASVLYILGLAMLTLSSVLVPQERVECEGRVEAAECSDLSGQVAFFYFSLYLLAFAQGGHKPCAQAFGADQFDENDPQELASRSSFFNWWFWAAYGGNTFTVSILNYIQESVSWQLGFGIPCVAMALALAVFCIARKTYRFYPPQTSGNPFGQVGKLVAARIRGWLAAWHSRLPVDSRCDLPAPSSPNVDASHTGSSNVPHEATALLKLFPIWATCLISTIVGAQCFTFFPKQASTLDRKIGGLVIPAASLLNLSHASIMIFLPIYDKIFVPIARKLTKNPSGITVLQRVGTGLVIPILMAIVAAFVEMRRLKIARDYGLLDKPGAVVPMSVVWMVPQYILFGLSDALALVGLQEFFYDQVPDGFRSMGLALYMSIVGIGNMSSSFLVYAIDKVTSSTGGSWFSDNLNRAHLDYFYWSLALLGAFGLAAYTYCAQAYVHKKKGTVFIQ >PVH38589 pep chromosome:PHallii_v3.1:5:22253038:22271655:1 gene:PAHAL_5G296000 transcript:PVH38589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAEASTSAGALPRRRRDALALTLASRRLPGGEADAGERVPGAVAPEVMPFVRAADEVEPLNPRVAFLCRRYAFKKVQRMDPSSTQRGVRQFKTYMSIKLDQDDIQVLGNDANEIQQFYKKYCASMSQISEKRNFEEMARYYQVASALYEVLMDVTNNKVDPQVTRWAEMIEEKGRHFKNYKYNIIPLNFPGSSEAIVELPEIRGAIDAINVIDGLPMPHMSSVHRQGDKSIRDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTAAQERHPLVDSVNELWKKIFENYKSWCRYLHISYNIMISHDVTEHKKQQLKLLHIGLYLLIWGEASNARFMPECLCYIFHHMAKQLHDMVDGNYFQPPPGFEEEGSFLKNVIEPIFKVLQKEAQKSRGGTAGHSAWRNYDDLNELFWSEKCFAKLKWPWDLTSDFFNQQGRGAARKPKTNFVEVRTFLHIFRSFDRMWIFFILAFQAMLIVSWSSSGSLSGITDGTVFRNVLSIFITAAFLNFIKVTLDILFTVQAWGSMEWTNILRYLLKFVVAIAWMIILPVTYTSSIKYPSGAGKIINSWIGNWYNQSVYNVAIVIYMIPNILSALFFLLPPLQNFMERSNSRVFVLLMWWIQPRLYVGRAMHEDILSILKYVFFWAVLLISKLAFSFYVEISPLIDPTKFILDQRVGNYEWHQIFPFLPRNLGVVITIWAPIILVYFMDVQIWYAIFSTAFGGVSGALSHVGEIRTLGMFRARFKSMPEAFSKCIAAKQREHAIKQNSFFYVWNSFINSLREEDFISDREKDILMAPSFSSNLSVIQWPPFLLASKIPAALHMALNSKEGDEHELIEKLKLDRDRYNAVIECYESLMIILNSLLLDSNDRSVVNEIDRKVTYSMTNKTFLEDFEMMEIGKVSATLANLLQLLTEPINGGEERKIVNALQDFMEITTRDFMKDGQSILKDENERKQSFTNLNMNMIKDDFWREKFVRLHLLLTMKDSAMDVPTNLDARRRITFFTNSLFMKMPRAPHVHDMISFSVLTPYYNEEVLYSSYELNRKNEDGISILFYLQKIYPDEWNNFLERIGVDPDDEAAVKGRMDDIRLWASYRGQTLARTVRGMMYYRRALELQCYEDMINDEADLGGEEAARSKAIADIKFTYVVSCQLYGVHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVQLPNGKFEKQYYSVLVKGDDEEIYRIRLPGKPTDVGEGKPNNQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLITHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLRRGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPNIQNIKPFENALATQSVFQLGMLLVLPMMMEVGLEKGFGRALAEFVIMQLQLAPVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKYAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTVSIWFLVFCWLFAPFVFNPSCFEWHKTVDDWMDWWKWMGNRGGIGLAPEQSWEAWWMSEHDHLKNATIRSLLLEFIISLRFLIYQYGIVYHLHIVHGNKSFLVYALSWLVIAVALVSLKVVSMGREKFVTRFQLVFRILKGIVFLILISLLVLLFVGFDLAIADVGASILAFIPTGWFILLIAQLCGPLFRRLIIEPLHTLCCPFGTGAACRGPCCAKFRQRTGAALHKMGPWDSIQEMARMYEYTMGLLIFVPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGSGTKRD >PAN30837 pep chromosome:PHallii_v3.1:5:22253038:22271655:1 gene:PAHAL_5G296000 transcript:PAN30837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAEASTSAGALPRRRRDALALTLASRRLPGGEADAGERVPGAVAPEVMPFVRAADEVEPLNPRVAFLCRRYAFKKVQRMDPSSTQRGVRQFKTYMSIKLDQDDIQVLGNDANEIQQFYKKYCASMSQISEKRNFEEMARYYQVASALYEVLMDVTNNKVDPQVTRWAEMIEEKGRHFKNYKYNIIPLNFPGSSEAIVELPEIRGAIDAINVIDGLPMPHMSSVHRQGDKSIRDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTAAQERHPLVDSVNELWKKIFENYKSWCRYLHISYNIMISHDVTEHKKQQLKLLHIGLYLLIWGEASNARFMPECLCYIFHHMAKQLHDMVDGNYFQPPPGFEEEGSFLKNVIEPIFKVLQKEAQKSRGGTAGHSAWRNYDDLNELFWSEKCFAKLKWPWDLTSDFFNQQGRGAARKPKTNFVEVRTFLHIFRSFDRMWIFFILAFQAMLIVSWSSSGSLSGITDGTVFRNVLSIFITAAFLNFIKVTLDILFTVQAWGSMEWTNILRYLLKFVVAIAWMIILPVTYTSSIKYPSGAGKIINSWIGNWYNQSVYNVAIVIYMIPNILSALFFLLPPLQNFMERSNSRVFVLLMWWIQPRLYVGRAMHEDILSILKYVFFWAVLLISKLAFSFYVEISPLIDPTKFILDQRVGNYEWHQIFPFLPRNLGVVITIWAPIILVYFMDVQIWYAIFSTAFGGVSGALSHVGEIRTLGMFRARFKSMPEAFSKCIAAKQREHAIKQNSFFYVWNSFINSLREEDFISDREKDILMAPSFSSNLSVIQWPPFLLASKIPAALHMALNSKEGDEHELIEKLKLDRDRYNAVIECYESLMIILNSLLLDSNDRSVVNEIDRKVTYSMTNKTFLEDFEMMEIGKVSATLANLLQLLKTEPINGGEERKIVNALQDFMEITTRDFMKDGQSILKDENERKQSFTNLNMNMIKDDFWREKFVRLHLLLTMKDSAMDVPTNLDARRRITFFTNSLFMKMPRAPHVHDMISFSVLTPYYNEEVLYSSYELNRKNEDGISILFYLQKIYPDEWNNFLERIGVDPDDEAAVKGRMDDIRLWASYRGQTLARTVRGMMYYRRALELQCYEDMINDEADLGGEEAARSKAIADIKFTYVVSCQLYGVHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVQLPNGKFEKQYYSVLVKGDDEEIYRIRLPGKPTDVGEGKPNNQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLITHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLRRGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPNIQNIKPFENALATQSVFQLGMLLVLPMMMEVGLEKGFGRALAEFVIMQLQLAPVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKYAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTVSIWFLVFCWLFAPFVFNPSCFEWHKTVDDWMDWWKWMGNRGGIGLAPEQSWEAWWMSEHDHLKNATIRSLLLEFIISLRFLIYQYGIVYHLHIVHGNKSFLVYALSWLVIAVALVSLKVVSMGREKFVTRFQLVFRILKGIVFLILISLLVLLFVGFDLAIADVGASILAFIPTGWFILLIAQLCGPLFRRLIIEPLHTLCCPFGTGAACRGPCCAKFRQRTGAALHKMGPWDSIQEMARMYEYTMGLLIFVPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGSGTKRD >PVH38590 pep chromosome:PHallii_v3.1:5:22253038:22271655:1 gene:PAHAL_5G296000 transcript:PVH38590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAEASTSAGALPRRRRDALALTLASRRLPGGEADAGERVPGAVAPEVMPFVRAADEVEPLNPRVAFLCRRYAFKKVQRMDPSSTQRGVRQFKTYMSIKLDQDDIQVLGNDANEIQQFYKKYCASMSQISEKRNFEEMARYYQVASALYEVLMDVTNNKVDPQVTRWAEMIEEKGRHFKNYKYNIIPLNFPGSSEAIVELPEIRGAIDAINVIDGLPMPHMSSVHRQGDKSIRDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTAAQERHPLVDSVNELWKKIFENYKSWCRYLHISYNIMISHDVTEHKKQQLKLLHIGLYLLIWGEASNARFMPECLCYIFHHMAKQLHDMVDGNYFQPPPGFEEEGSFLKNVIEPIFKVLQKEAQKSRGGTAGHSAWRNYDDLNELFWSEKCFAKLKWPWDLTSDFFNQQGRGAARKPKTNFVEVRTFLHIFRSFDRMWIFFILAFQAMLIVSWSSSGSLSGITDGTVFRNVLSIFITAAFLNFIKVTLDILFTVQAWGSMEWTNILRYLLKFVVAIAWMIILPVTYTSSIKYPSGAGKIINSWIGNWYNQSVYNVAIVIYMIPNILSALFFLLPPLQNFMERSNSRVFVLLMWWIQPRLYVGRAMHEDILSILKYVFFWAVLLISKLAFSFYVEISPLIDPTKFILDQRVGNYEWHQIFPFLPRNLGVVITIWAPIILVYFMDVQIWYAIFSTAFGGVSGALSHVGEIRTLGMFRARFKSMPEAFSKCIAAKQREHAIKQNSFFYVWNSFINSLREEDFISDREKDILMAPSFSSNLSVIQWPPFLLASKIPAALHMALNSKEGDEHELIEKLKLDRDRYNAVIECYESLMIILNSLLLDSNDRSVVNEIDRKVTYSMTNKTFLEDFEMMEIGKVSATLANLLQLLKTEPINGGEERKIVNALQDFMEITTRDFMKDGQSILKDENERKQSFTNLNMNMIKDDFWREKFVRLHLLLTMKDSAMDVPTNLDARRRITFFTNSLFMKMPRAPHVHDMISFSVLTPYYNEEVLYSSYELNRKNEDGISILFYLQKIYPDEWNNFLERIGVDPDDEAAVKGRMDDIRLWASYRGQTLARTVRGMMYYRRALELQCYEDMINDEADLGGEEAARSKAIADIKFTYVVSCQLYGVHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVQLPNGKFEKQYYSVLVKGDDEEIYRIRLPGKPTDVGEGKPNNQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLITHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLRRGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPNIQNIKPFENALATQSVFQLGMLLVLPMMMEVGLEKGFGRALAEFVIMQLQLAPVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKYAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTVSIWFLVFCWLFAPFVFNPSCFEWHKTVDDWMDWWKWMGNRGGIGLAPEQSWEAWWMSEHDHLKNATIRSLLLEFIISLRFLIYQYGIVYHLHIVHGNKSFLVYALSWLVIAVALVSLKVVSMGREKFVTSYRRCWCQYPGLHPHWLVYSSDCATMWTAVPEVDHRATSHAMLPVWHWCGMQRPLLCQVQAEDWSRAP >PAN29445 pep chromosome:PHallii_v3.1:5:13999200:14003976:-1 gene:PAHAL_5G226800 transcript:PAN29445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPILTLPAPEGDGGDANQQQQAPPPPPPPGAKADPPATVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIISHNQGNAKFNFLQPSDPYHAYYQHRVAEIAAAPPGADTPAGAEPDAAPADAPASAPGDGAAAPADGASADAKADHSAPFRVAPPPKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTSLAQRESTNMQFHFIRPTHSMFPFFTALTDAYSRVLRPEEGVPALLKELREGSKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMSMIDWHDFVVVETIEFADDEYEGLPVPPTLEELKRRKRMENLGEDEVMELAEPAKEVEMEMDEEEMQLVEEGMRAARLEENDGGAQVKVAGDDEAPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATHALSQGGDEQFDASNVDGRPVPGPAPLIRPGMPLPRPPQPLPLANVPRFIAPQTQYPGPPPVSHMPGVPQMMPHMHPHPQQIPGQPPMMRMPGQMVHMPTGIPPPPGQVQFMPGPPRSFGMPPPPHMPPMVNSIGVPQPPAPPLPPQPPAEEQPPPPDEPEPKRQRTDDASLIAAEQFLAQHPGPASISVSVPNLDEGNLRGQVLQIRVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLTLRERGGRKK >PAN29444 pep chromosome:PHallii_v3.1:5:14000267:14003976:-1 gene:PAHAL_5G226800 transcript:PAN29444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPILTLPAPEGDGGDANQQQQAPPPPPPPGAKADPPATVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIISHNQGNAKFNFLQPSDPYHAYYQHRVAEIAAAPPGADTPAGAEPDAAPADAPASAPGDGAAAPADGASADAKADHSAPFRVAPPPKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTSLAQRESTNMQFHFIRPTHSMFPFFTALTDAYSRVLRPEEGVPALLKELREGSKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMSMIDWHDFVVVETIEFADDEYEGLPVPPTLEELKRRKRMENLGEDEVMELAEPAKEVEMEMDEEEMQLVEEGMRAARLEENDGGAQVKVAGDDEAPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATHALSQGGDEQFDASNVDGRPVPGPAPLIRPGMPLPRPPQPLPLANVPRFIAPQTQYPGPPPVSHMPGVPQMMPHMHPHPQQIPGQPPMMRMPGQMVHMPTGIPPPPGQVQFMPGPPRSFGMPPPPHMPPMVNSIGVPQPPAPPLPPQPPAEEQPPPPDEPEPKRQRTDDASLIAAEQFLAQHPGPASISVSVPNLDEGNLRGQVLQIRVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLTLRERGGRKK >PAN27422 pep chromosome:PHallii_v3.1:5:4928026:4929471:1 gene:PAHAL_5G079800 transcript:PAN27422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSTRRGRSGGFTLRQPPVVDVGCNCRCPKLFSVFSSSSSSLFRGGGKPKSPNASSTSTTTAFTATTAGGRSGTTATSTDSSSWGPASFVATNSLYDDPVPAVAPLQEREPQEARRRRRQQQQRRRRRRAAPAPARHGAEDDDEHGRRVARESVAVAVDSAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFCPPSPCQF >PAN27873 pep chromosome:PHallii_v3.1:5:6912063:6913895:1 gene:PAHAL_5G114200 transcript:PAN27873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAAEGSGLRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQQLPVPIIAEVDLYKSDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPITPKGSARAAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPGNKGSQKLDEWVLCRLYNKKNNWEKVKVEEQEAAPYHHHRQSGGEVMDALADSMSDSFQTHDSDIDNASGMQNSFGNMAQQGVQAMRNGIGTVTVKEDNDWFTGLNLDELQAPFSMAQMVNPIPIHQTMNLAAGQGHGYLQSMSSPSMKMWQTILPPF >PAN31388 pep chromosome:PHallii_v3.1:5:51384445:51389635:-1 gene:PAHAL_5G416500 transcript:PAN31388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASASPPVEPAAPPSGPPPASPDPTGSEADGEEAGGSFSKPLAVGTVNWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTPDKREQYRLMRDAMEKRFIRVAKGSLVGGARLGMFTATFFGIQNLLIDTRGVHDVFNIAGAGSATAAAFGLILPGSIMWRARNVLVGSALGAGICFPLGWIQLKLAEKANLEIANSKPSSDLTEGKGNQSRVGAAIERLEGSLKK >PVH38782 pep chromosome:PHallii_v3.1:5:38893345:38898706:-1 gene:PAHAL_5G351300 transcript:PVH38782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLQLFLPGLLKLQGGLIDEFDSLRPYGAQDSNFCLFMPLFDPLGGTINAKSWELQKEVDQGMNDRVPNFVFLAHVVYVVSSTQVPFAFRSCSSLPFTTHLMLLPLWPVAFAFMLLQWFCSKTFTVSFYFLRGRLHHTWSIPIYGFQVRAAREGRGQHRQPAAEGRSGGLGEGEQREHLGLADGGALVPPGAATTERERERHEQRRGARERRRGQVGSI >PAN27379 pep chromosome:PHallii_v3.1:5:4758660:4762366:1 gene:PAHAL_5G076800 transcript:PAN27379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPQQRVYQAWKGDNRFFFGGRLIFGPDVKSLGVSVALIVVPVAFFCVFVAQHLRHRFRAYDAGYAILVVAVVYTIYVLLLLFVTAGRDPGIVPRASHPPEEDIHYDDLSLTDTPGRLQFPRVKEVIVNGMPVKVKYCETCMVYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVSSSTLLCIYVFAISALYIKFLMDGDYSTVWKALKHSPASLALMIYCFISLWFVGGLTGFHTYLISTNQTTYENFRYRSDGRPNVYDQGCLSNFQEVVFAKIQPSKHKFRAHIQEEVQAPPANSAGEVEEERVGGPRAKVGDDLDIDGDLLNISQRHKHGDIDIEMGGGDAN >PAN27378 pep chromosome:PHallii_v3.1:5:4758167:4762366:1 gene:PAHAL_5G076800 transcript:PAN27378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPQQRVYQAWKGDNRFFFGGRLIFGPDVKSLGVSVALIVVPVAFFCVFVAQHLRHRFRAYDAGYAILVVAVVYTIYVLLLLFVTAGRDPGIVPRASHPPEEDIHYDDLSLTDTPGRLQFPRVKEVIVNGMPVKVKYCETCMVYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVSSSTLLCIYVFAISALYIKFLMDGDYSTVWKALKHSPASLALMIYCFISLWFVGGLTGFHTYLISTNQTTYENFRYRSDGRPNVYDQGCLSNFQEVVFAKIQPSKHKFRAHIQEEVQAPPANSAGEVEEERVGGPRAKVGDDLDIDGDLLNISQRHKHGDIDIEMGGGDAN >PAN31604 pep chromosome:PHallii_v3.1:5:52383609:52384809:-1 gene:PAHAL_5G430700 transcript:PAN31604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDADDDAGGSYFFFSAPASPVHYILRSPPSSTAASSQPQFAAPAGGDSWAAAGDDFEFAARGATGPGGGPEGTAAVMSSADELFVAGRIRVGCGGLSPIRQEEAAEGLQEEEWEECDDGGGEAERERDGGRTPRAARRARSASPPRIPRAAGGAAETSDPSASSSSSSSSSSAKNIRRRISLRDLLARTGGDCAGADQAPGAEIGRMGFWPASIWPSRSSRKALLPGPAPAPAPPQPGRRSTSSDRAAAPGPAKRAPGGGGARRTTSLPYRQGLVLGCLGFGARSYGLAKSMHPLSSR >PVH39424 pep chromosome:PHallii_v3.1:5:56550835:56559377:-1 gene:PAHAL_5G498100 transcript:PVH39424 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH7 [Source:Projected from Arabidopsis thaliana (AT3G24495) UniProtKB/Swiss-Prot;Acc:Q9SMV7] MFQKHGSGMTPSQEPQMYPLRSSTDEFVQASALPEFGLNQTPRQQHPKKLVSESPNNGCIQATSSFADLDFDIQTPSQFSSKKIFLGPAHGADTPLTEYGSDQTHLQHSAKKFSLVSANGEYTRAATTFVLNSNDIHTEESSKKLCPESSVPLYIKATNLFAELEANESPLKNNSKSSSFLMNDKHIGATAALFPDLDSSPLKPETPATQAVIPRLKRVQEEQGVADNKQSFPLWVSNKKMKSAHCSPVEKKDHDEMTDSARSKFEWLNPSNIRDANRRRPDDPLYDKSTLFIPPDALRKMSASQKQYWNIKCKYMDVVLFFKVGKFYELYELDAEVGQKELDWKMTASGVGKCRQVGISESGIDDAVDKLVARGYKVGRIEQMESANQAKARGSNSVIERKLLHVSTPSTAVDSNIGTDAVHLLALKEVTLSSSGSRVYGFAFLDYAALKIWVGSLHDDDSSAALGALLVQVSPREIIYETSGLSKETHKAIRKYASAGSVKMQLTPLPGIDFSDISQIQMLIHSKEYFTASAESWLSALDCTLNRDAVICALGGLIGHLTRLMLHDALKNGEVLPYHVYKTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCVTASGKRLLRRWICHPLKDIDAINKRLDVVEAFIQNCGLGPTTLVYLRKIPDLERLLGQVRSTVGLPSSVKLPFVGERILKKRIKTFIMLINGLRNGLDLLNDLQRADHGVSALYKAVEIPALSSLRELIHQFEENVQNEFPYYQDLDVKDDDGNTLAVLVELFIGKASEWSLVINAVSTIDVLRSFAATTLSSFGAMCRPHILLKDDVPILRMKGLWHPYAFAESANGLVPNDLTLGQDLSGLNRFALLLTGPNMGGKSTIMRATCLAIVLAQLGCYVPCASCELTLADSIFTRLGATDRIMSGESTFLVECTETASVLQNATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVERVQCRLLFATHYHSLTKEFASHPHVSLQHMACMFRPRSGAHDSNGEKELTFLYRLTSGACPESYGLQVATMAGIPRSIVEKASVAGQAMRSKIAGNFKSSEERAEFSTRHEEWLRTAVAVIVKDGHLDEDIMDTLYCVSQELKAHFKKAR >PAN30319 pep chromosome:PHallii_v3.1:5:24518203:24518316:-1 gene:PAHAL_5G304800 transcript:PAN30319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGSQRRAGFSKAIKEHRSRLYIISRCVVMLIRWHD >PAN28747 pep chromosome:PHallii_v3.1:5:10630513:10632501:1 gene:PAHAL_5G176200 transcript:PAN28747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKASTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >PVH37767 pep chromosome:PHallii_v3.1:5:5253184:5255236:-1 gene:PAHAL_5G085300 transcript:PVH37767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A1 [Source:Projected from Arabidopsis thaliana (AT5G58860) UniProtKB/Swiss-Prot;Acc:P48422] MATVLDAAGVLHFHPYAAAAAAAALVSAYMVWFWALTRRLSGPRMWPLVGSLPSVVLNRARVHDWIVDNLRATGEAATYQTCTLPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQAAFHDLLGQGIFNSDGETWLLQRKTAALEFTTRTLRQAMARWANRIIKYRLWGILADHCDAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLQENPFANAFDSATEATLQRFLFPSFLWRIKKALGVGSERNLRESLAIVDQYMTEAIAARKATPSDDLLSRFMKKRDGNGRAFPEDVLQWIALNFVLAGRDTSSVALSWFFWMLMQRRDVERRVVMEIASVLRETRGDDAGRWTEEPLDFDELDRLVYLKAALAETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSADGTRFEPAKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRHSVELVPGHKVEQKMSLTLFMKNGLRVHVKPRDLAGYVAAPPEEAPQQGAFVIPTTTAAAA >PVH39327 pep chromosome:PHallii_v3.1:5:55536824:55537627:-1 gene:PAHAL_5G480000 transcript:PVH39327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETPAGSGIAREPAGQAAGVENRAPGSSSAPPRGKKRATPEVTRRFTPEEHKAILEFTWAAFLDYQVYAAMTEEEEEEEYRRAGKLDKYDPDTELYKRYARVAKKHPPPDGFDPKLEEYFKLIEDED >PAN29257 pep chromosome:PHallii_v3.1:5:12769771:12770628:1 gene:PAHAL_5G209400 transcript:PAN29257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYDPAAAASKRARQEETSTPSPSANAAALALHAAAAAPPFGDFAPISAVPLSAVPPRLPPVPTARSPLVADAGREEPPCLRRHFLAELGLRADLPVHFIAEKFVTSTDLDGHQNRFRIPSDGVERRLRAILTPRELHDANLLHDPPPMPRKRPRHEQPDVAAEGEQPPGKRMKQPKRKGKVHGGLRMKLVDLAAGARELQMSRWESSRGTIVKGEGYLDFIRRFKERDAVEIWAFVQRRVRLLGSALCDDSLLHVLVVKRDKQARCNCLAPHEHFQNPQLN >PVH37485 pep chromosome:PHallii_v3.1:5:1000259:1000735:1 gene:PAHAL_5G015000 transcript:PVH37485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNSSKLSTPSPSRSNLQIMALHSSISWCEPSLLSIRFRLSGVMHPSPFIAYIPNASFSPRHLSSSSASSCASFRNSSWLSSPSPSVSADATRSSASSADTSSPSAALMQSRSSAAEILPSSSRSNAENTRLNSDVTTAMVFNYLAQGSEIDCFAGS >PAN32841 pep chromosome:PHallii_v3.1:5:57774627:57775982:-1 gene:PAHAL_5G515900 transcript:PAN32841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPTILMNRYELGRMLGQGTFAKVYHARNLVSNQSVAIKVIDKEKVLRVGMIDQIKREISVMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFARVARGRLKEDAARKYFHQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSALKECEKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFQDSNLMEMYRKISRADVKYPQWFSSDLRRFMSRLLDPNPNTRITIEKLVEHPWFKKGYKPAVMLAQSHGSNSLKDVQVAFSTDHKDNEANKVERPDSSLKPTSLNAFDIISQSKGFDLSGLFGNDQEQKANSRFMTQKPASAIVSKLEQIAETERFMVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPAFYVVEVKKSAGDTLEYEKFCNKDLRPSLRDICWSSQSEEKLPSLAESSH >PVH38366 pep chromosome:PHallii_v3.1:5:15339704:15340036:1 gene:PAHAL_5G244100 transcript:PVH38366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLTPVISNPEVNRTLATVRKAELGFLGLIVEKSTYKSFLLSLYRTVHEIFTSYGSSFNYFEGILFLVRESPPFFHSVPKTN >PAN27983 pep chromosome:PHallii_v3.1:5:7398959:7401200:-1 gene:PAHAL_5G122700 transcript:PAN27983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGVDLPSHLLLLHHEISCGFDDFLKSTTACTHTHTCNPPGPSAAMHTHTCLHTHTQVVASDEELRKPRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRATNQQLLRRLQGHAALEAEVVRLRGLLFDVRGKIDAEIDSFPFQKHSSVDSVICTDPPLCVNPDAELAARVASSGGPTILDFEIDESGSISREIDIPEVVNSMDAAGSLLNSDSLVE >PAN27984 pep chromosome:PHallii_v3.1:5:7399419:7400075:-1 gene:PAHAL_5G122700 transcript:PAN27984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGVDLPSHLLLLHHEISCGFDDFLKSTTACTHTHTCNPPGPSAAMHTHTCLHTHTQVVASDEELRKPRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRATNQQLLRRLQGHAALEAEVVRLRGLLFDVRGKIDAEIDSFPFQKHSSVDSVICTDPPLCVNPDAELAARVASSGGPTILDFEIDESGSISREIDIPEVVNSMDAAGSLLNSDSLVE >PVH39113 pep chromosome:PHallii_v3.1:5:52737254:52739688:-1 gene:PAHAL_5G437400 transcript:PVH39113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMASPLPPVPQVSGCRACRVPGCASSQLRRRRRSLKAAAGVPPAAGISNPRPRRRLDDGRRPRAAPANPPGLASVQSVVLDIEGTTSPISFVTDVLFPYARDNVRKHLDATYGSDETNDDIALLRAQVEQDLAEGVAGAVPVPPDEAGKDEVIDALVANVEAMIKADRKITSLKQLQGHIWRTGFEGQEIKGVVFDDVPPALERWHASGIKTYIYSSGSREAQRLIFGNTTYGDLRKHLCGFFDTMVGTKREPRSYYEIWQSVGVDRPSQILFLTDVYQEATAAKAAGLEVLISIRPGNAPLPENHGFQTITTFAEILT >PVH38078 pep chromosome:PHallii_v3.1:5:10363223:10366999:1 gene:PAHAL_5G171000 transcript:PVH38078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPKTDDNGKKLKKPKPWKHTQAITPAQLRQMRDEFWDTAPHYGGQKEIWDALRAAADSDLALAQTIVDSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIRDG >PAN32725 pep chromosome:PHallii_v3.1:5:57177079:57180870:-1 gene:PAHAL_5G507400 transcript:PAN32725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVARAKAKAPAAGGGAARRRGVRVGPARLEGLPAAWPAGAAAVKVKWPAPGGALAQMLTGRWARGVTAVEPVAAAGTVRWEARDGNRFSLHVEPAGGRAERGVFFSVLYGFQEHGRGKDLVRLEEIGTAMISLEECCWEMELQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDVNARSDVERAVLFREKPRASVPPPPAMRDLRKSLEAAAYHDVLDLKQLLDLAEKEGRVAVFGSKRNSDTSSVSSISSSSSSSSSSSSGGGSTISISSASTSGGASPEPASTSKRRFLPWMRRSRDFDKRSTESLSQELPMKCMDDDPAGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDEAHMAQFPNRHFDLETVLAARTRPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGGGEREPGRADVYIVSWNDHFFVLKVESDCYYIIDTLGERLHEGCDRAYMLRFDGTSEMLSTAPAEDKEEVIITGKECCREFIKRFLAAIPLREELEIEKKGAGSIDGVGAPHRRLQIEFHFTVLQHDER >PAN32724 pep chromosome:PHallii_v3.1:5:57177419:57179191:-1 gene:PAHAL_5G507400 transcript:PAN32724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLAAIEAGTKNCFARQGFQEHGRGKDLVRLEEIGTAMISLEECCWEMELQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDVNARSDVERAVLFREKPRASVPPPPAMRDLRKSLEAAAYHDVLDLKQLLDLAEKEGRVAVFGSKRNSDTSSVSSISSSSSSSSSSSSGGGSTISISSASTSGGASPEPASTSKRRFLPWMRRSRDFDKRSTESLSQELPMKCMDDDPAGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDEAHMAQFPNRHFDLETVLAARTRPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGGGEREPGRADVYIVSWNDHFFVLKVESDCYYIIDTLGERLHEGCDRAYMLRFDGTSEMLSTAPAEDKEEVIITGKECCREFIKRFLAAIPLREELEIEKKGAGSIDGVGAPHRRLQIEFHFTVLQHDER >PAN28977 pep chromosome:PHallii_v3.1:5:11544885:11548209:-1 gene:PAHAL_5G191200 transcript:PAN28977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSAYYDILEVSTDASVAQIKKAYYLKAKLVHPDKNPGNPDAARKFQELGEAYQVLSDPVKKESYDKYGKEGLPQDNMIDPTAVFGMLFGSDHFEDYVGQLALASVASVEIEEESTTPEARTRVQEKIKDVQTEREQKLTQILKERLQPYVDGNQEEFGDWANAEAQRLSQAAFGEAMLHTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHHVKSQVNAAAGAIALIQLQEGMKKIEEGDNKEEQLIKSIEEKKDAMLNSLWKINVVDIESTLSHVCQAVLKENNIPRDVLKLRARGLKKLGSIFQGAKSHYRRENSLRVEEGTTEEAAPSQ >PAN29874 pep chromosome:PHallii_v3.1:5:16254816:16257469:1 gene:PAHAL_5G256000 transcript:PAN29874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLAQLRAQAARAAELASKRGGAYYKEVMEKNKQYVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKELDGVKQVWKNRKELKVEDLGIATLFGVELYAWFCVGEIVGRGFTLTGYKV >PAN32000 pep chromosome:PHallii_v3.1:5:53990114:53996544:1 gene:PAHAL_5G456000 transcript:PAN32000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEECEYESETESLRSEERRQKRRVEMGKEAVGGGGGGGNGDGGGEEAAGEAGRPDREILVKMDSQVLDCTICFEPLRPPIYQCEVGHAVCHVCRGKLGNTCPICCRGIGFSRCFALEDVVDTVKVPCANTNYGCKQFIIYYQKEKHEKTCLHAPCFCPEDGCSFKGSTGSLLLHFVTEHKWSPTYFHYDKAQWISIPRHCQFTLLVGEEDLSMFLVVNTFVHVGNALTMISIRPHEASRSCYSSEISVHDGESYKGRYVLQMDPHVGGSSLHDRAQLGRFFLMVPPELVDESTDELTINIRIEKIQCDVHH >PAN32001 pep chromosome:PHallii_v3.1:5:53990114:53996544:1 gene:PAHAL_5G456000 transcript:PAN32001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEECEYESETESLRSEERRQKRRVEMGKEAVGGGGGGGNGDGGGEEAAGEAGRPDREILVKMDSQVLDCTICFEPLRPPIYQCEVGHAVCHVCRGKLGNTCPICCRGIGFSRCFALEDVVDTVKVPCANTNYGCKQFIIYYQKEKHEKTCLHAPCFCPEDGCSFKGSTGSLLLHFVTEHKWSPTYFHYDKAQWISIPRHCQFTLLVGEEDLSMFLVVNTFVHVGNALTMISIRPHEASRSCYSSEISVHDGESYKGRYVLQMDPHVGGSSLHDRAQLGRFFLMVPPELVDESTDELTINIRIEKIQCDVHH >PVH37758 pep chromosome:PHallii_v3.1:5:4920685:4922340:-1 gene:PAHAL_5G079700 transcript:PVH37758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAFGIAFVAAMASLLLVLLGLILFRHWWRRRCAVPASTRGGFVLFDVCLPDDRRQPRAARPPSMERGRWRAPRERGDIEAAAAADEAEPDESEIARWKKIFGAPARSLSTIDEGTEKGGTTAATTPAFCTPPASPDCREARARPLDMASVAAQLKA >PVH37757 pep chromosome:PHallii_v3.1:5:4921065:4921541:-1 gene:PAHAL_5G079700 transcript:PVH37757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAFGIAFVAAMASLLLVLLGLILFRHWWRRRCAVPASTRGGFVLFDVCLPDDRRQPRAARPPSMERGRWRAPRERGDIEAAAAADEAEPDESEIARWKKIFGAPARSLSTIDEGTEKGGTTAATTPAFCTPPASPDCREARARPLDMASVAAQLKA >PAN26334 pep chromosome:PHallii_v3.1:5:228492:230666:-1 gene:PAHAL_5G002900 transcript:PAN26334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEELHQVPPPLESVVWRSSGDLLGAADDGPRRRRESMVNKLISTVYSGPTISDIESALSLSFTGGGADQLADDDASMDNYTSASAGGVSTSPAVVLSPELNSSKVLMSKMENKYTLKMKTCGNGGLAEDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERATDEADTLLVTYEGLHLHYTYSHFLLQHHHQQSPPAPAAGGGSTTSSSKKPKLQPRGGPAPAPPPPPPIIDHDDDDAPAGDLDLQFLHGGGSSWQHAAAASPRRDDGRMLGVFPQQEAEGYYGCGFVDRQHNSNNNGGLLEDVVPLLVRRPCNSSSSTTTPTSSSSSSPPPPPPALSTTSPYIDMAILPNIF >PVH38714 pep chromosome:PHallii_v3.1:5:33817723:33818021:-1 gene:PAHAL_5G332800 transcript:PVH38714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKIFFLKRFDSELLYVQGQYGNSFRGFPLLCPCQQTIRNTSTFSEQVRVK >PAN31394 pep chromosome:PHallii_v3.1:5:51421700:51427270:-1 gene:PAHAL_5G416900 transcript:PAN31394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVREVAYDAEDCIDIFWYHNGHRHCSQNPILGWLHKIIHPLKTLRAMHNLSIEIRDLKARALKVSERRLRYRVEAAFGGVSDAYTAVRSSPDYNHLERQLPALNIDECRLVGVTEKTESVIRLLEDGNLAHLKVVPIVGFGGLGKTTLAVTVYKSPTMKGIQTRAFLAVSQRYDLRILLESLLRQLIRISLRDPSCSREETLKDPLRGIETWHISEIIGRCRAHLEDKRYFIVLDDLWSPEDWENLKVAFPDNDKQSRILITTRNRNVAESCCADSHDLIYNMEPLPFEESKKLFYKKVFKLDKCPPLYQDLEVISDGILKKCSGLPLAILSIGGMLARTKNKTRAEWEKVCDRLGSGLEISATIGGMRRILSLGYHDLPYNVKACFLYLSVFPEDYEIKRGPLVRRWAAEGFISGMHKANLEEVAAKYLDEFVSRSIVTPTRVASTGLVRSCKVHDMMLEVITSKSIQENFISFVGKQQYSTTGHDKIRRLSIQTDGTGSGREQENHNTNFSHVRSLLILRCSKKPLPIIFTHFKLLRVLDLEGCWWLSNEDLKEICKMSLLRYLCLRRTNVSQLPKLVGRLKQLVTLDVRETSIRELPESATQLGSLKHLLGGRYRHYTRISRVKHFEPHEALMIPHGLKNMKSIQKIASVDIASSSRAMQELGALSQLTKLCAINSEYGEEKWKPFAASLNMLCKSLRHLSIMHWRRMDMGLEIFLALKSPPIFLEELYLWGRVSVLPPWILSLNYLIELSLRENFFDGQLLRQLGKLPSLVSLKLYHESFVGTKLCFEQNLFPRLKQLIVDNAPNLDELRFDGGAPNLERLTLAFEREPAKGIFGIENLPKLKEVEFFGEVIVDSLVEGMITEAKIHPNRPRVYREN >PAN31396 pep chromosome:PHallii_v3.1:5:51422701:51426607:-1 gene:PAHAL_5G416900 transcript:PAN31396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPRQFASKTTTWMKQVREVAYDAEDCIDIFWYHNGHRHCSQNPILGWLHKIIHPLKTLRAMHNLSIEIRDLKARALKVSERRLRYRVEAAFGGVSDAYTAVRSSPDYNHLERQLPALNIDECRLVGVTEKTESVIRLLEDGNLAHLKVVPIVGFGGLGKTTLAVTVYKSPTMKGIQTRAFLAVSQRYDLRILLESLLRQLIRISLRDPSCSREETLKDPLRGIETWHISEIIGRCRAHLEDKRYFIVLDDLWSPEDWENLKVAFPDNDKQSRILITTRNRNVAESCCADSHDLIYNMEPLPFEESKKLFYKKVFKLDKCPPLYQDLEVISDGILKKCSGLPLAILSIGGMLARTKNKTRAEWEKVCDRLGSGLEISATIGGMRRILSLGYHDLPYNVKACFLYLSVFPEDYEIKRGPLVRRWAAEGFISGMHKANLEEVAAKYLDEFVSRSIVTPTRVASTGLVRSCKVHDMMLEVITSKSIQENFISFVGKQQYSTTGHDKIRRLSIQTDGTGSGREQENHNTNFSHVRSLLILRCSKKPLPIIFTHFKLLRVLDLEGCWWLSNEDLKEICKMSLLRYLCLRRTNVSQLPKLVGRLKQLVTLDVRETSIRELPESATQLGSLKHLLGGRYRHYTRISRVKHFEPHEALMIPHGLKNMKSIQKIASVDIASSSRAMQELGALSQLTKLCAINSEYGEEKWKPFAASLNMLCKSLRHLSIMHWRRMDMGLEIFLALKSPPIFLEELYLWGRVSVLPPWILSLNYLIELSLRENFFDGQLLRQLGKLPSLVSLKLYHESFVGTKLCFEQNLFPRLKQLIVDNAPNLDELRFDGGAPNLERLTLAFEREPAKGIFGIENLPKLKEVEFFGEVIVDSLVEGMITEAKIHPNRPRVYREN >PAN31395 pep chromosome:PHallii_v3.1:5:51421552:51427270:-1 gene:PAHAL_5G416900 transcript:PAN31395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVREVAYDAEDCIDIFWYHNGHRHCSQNPILGWLHKIIHPLKTLRAMHNLSIEIRDLKARALKVSERRLRYRVEAAFGGVSDAYTAVRSSPDYNHLERQLPALNIDECRLVGVTEKTESVIRLLEDGNLAHLKVVPIVGFGGLGKTTLAVTVYKSPTMKGIQTRAFLAVSQRYDLRILLESLLRQLIRISLRDPSCSREETLKDPLRGIETWHISEIIGRCRAHLEDKRYFIVLDDLWSPEDWENLKVAFPDNDKQSRILITTRNRNVAESCCADSHDLIYNMEPLPFEESKKLFYKKVFKLDKCPPLYQDLEVISDGILKKCSGLPLAILSIGGMLARTKNKTRAEWEKVCDRLGSGLEISATIGGMRRILSLGYHDLPYNVKACFLYLSVFPEDYEIKRGPLVRRWAAEGFISGMHKANLEEVAAKYLDEFVSRSIVTPTRVASTGLVRSCKVHDMMLEVITSKSIQENFISFVGKQQYSTTGHDKIRRLSIQTDGTGSGREQENHNTNFSHVRSLLILRCSKKPLPIIFTHFKLLRVLDLEGCWWLSNEDLKEICKMSLLRYLCLRRTNVSQLPKLVGRLKQLVTLDVRETSIRELPESATQLGSLKHLLGGRYRHYTRISRVKHFEPHEALMIPHGLKNMKSIQKIASVDIASSSRAMQELGALSQLTKLCAINSEYGEEKWKPFAASLNMLCKSLRHLSIMHWRRMDMGLEIFLALKSPPIFLEELYLWGRVSVLPPWILSLNYLIELSLRENFFDGQLLRQLGKLPSLVSLKLYHESFVGTKLCFEQNLFPRLKQLIVDNAPNLDELRFDGGAPNLERLTLAFEREPAKGIFGIENLPKLKEVEFFGEVIVDSLVEGMITEAKIHPNRPRVYREN >PAN26563 pep chromosome:PHallii_v3.1:5:1432933:1434665:1 gene:PAHAL_5G022200 transcript:PAN26563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLMRRRKRQRHCYEKSIFRQRNAKVQFSEIPEDVLGTILSKLPQKEIVRTSVKWKHIWKVCPKLRFDGSTMKFIDIVNGVLKQYSGKVVEELDVKFEFDATLAEHLDDWVNFALSSRAKNLALDLLPAKFGLHPDRYRFPLELFDGGSIFRLQHLQLSFVSLESPSNFCGFPNLKKLDLHVLHVTRVDLQDMLSNCFNLEWLSIVRCHLDNAELKVVRPLPHLLYSHVAYCDINGIEFSVVNLQTFVYRGRWIPFHLGHALAVKDARVNFIGKMTLEFALNALPNLLPGVQNLILHSSLPLKTPRLQGNCSKFCQLKFFVLVEDLSNLLWLASFLRAAPFIEKLDIHSLSGVFQYLKNLTITGFAGCMGQVELLTHIVENASNLEALTIDRVTHFGIDEEYERRSRSKALEIEETP >PVH39564 pep chromosome:PHallii_v3.1:5:59242105:59243766:1 gene:PAHAL_5G536900 transcript:PVH39564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPAALHLHRLEPNPSLLLHALLSRPSLHAFFKSPPLASSPYTYIYIIISLVPCSALCFTLTCRSTTHHLRRKHRSQFRAHWMRESFDRQYEHSPCYSVEQDC >PVH37913 pep chromosome:PHallii_v3.1:5:7224458:7227426:1 gene:PAHAL_5G119900 transcript:PVH37913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGLPGFHNAPASRAVVVAAALFSVPFGFRGRFLDLGLSYQNVYERLSIWRLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTMVSVLLQILALGYLKDPSINPLTSGPYGLIFASYVPFFFDIPVSMRFRIFGLSLSDKSFVYLAGLQLLCSSGRCSVVPGVSGILAGLLYRLNTFGIRRLKFPEFVTSLFSRVSWPYSSNPYQGLPTTENDANISSHQDASTLPKDVNLQLIPFYRMRTQLPKTPQSPLLPRSQLPKTPQSPLLPRSCPWVSIVALQFRRL >PVH37915 pep chromosome:PHallii_v3.1:5:7224458:7227426:1 gene:PAHAL_5G119900 transcript:PVH37915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGLPGFHNAPASRAVVVAAALFSVPFGFRGRFLDLGLSYQNVYERLSIWRLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTMVSVLLQILALGYLKDPSINPLTSGPYGLIFASYVPFFFDIPVSMRFRIFGLSLSDKSFVYLAGLQLLCSSGRCSVVPGVSGILAGLLYRLNTFGIRRLKFPEFVTSLFSRVSWPYSSNPYQGLPTTENDANISSHQVHQTQDAHAATQDPTESSIAALAATQDPTESSIAALVSMGFDRSSAVQALVMTNYDVNLASNILLEAQALQQ >PVH37914 pep chromosome:PHallii_v3.1:5:7224583:7226908:1 gene:PAHAL_5G119900 transcript:PVH37914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGLPGFHNAPASRAVVVAAALFSVPFGFRGRFLDLGLSYQNVYERLSIWRLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTMVSVLLQILALGYLKDPSINPLTSGPYGLIFASYVPFFFDIPVSMRFRIFGLSLSDKSFVYLAGLQLLCSSGRCSVVPGVSGILAGLLYRLNTFGIRRLKFPEFVTSLFSRVSWPYSSNPYQGLPTTENDANISSHQVHQTQDASTLPKDVNLQLIPFYRMRTQLPKTPQSPLLPRSQLPKTPQSPLLPRSCPWVSIVALQFRRL >PVH37912 pep chromosome:PHallii_v3.1:5:7224458:7226680:1 gene:PAHAL_5G119900 transcript:PVH37912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGLPGFHNAPASRAVVVAAALFSVPFGFRGRFLDLGLSYQNVYERLSIWRLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTMVSVLLQILALGYLKDPSINPLTSGPYGLIFASYVPFFFDIPVSMRFRIFGLSLSDKSFVYLAGLQLLCSSGRCSVVPGVSGILAGLLYRLNTFGIRRLKFPEFVTSLFSRVSWPYSSNPYQGLPTTENDANISSHQVHQTQASIIATTLISDRCCNILKFLGDQQILGTKSGGPPEP >PVH37916 pep chromosome:PHallii_v3.1:5:7224458:7227426:1 gene:PAHAL_5G119900 transcript:PVH37916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGLPGFHNAPASRAVVVAAALFSVPFGFRGRFLDLGLSYQNVYERLSIWRLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTMVSVLLQILALGYLKDPSINPLTSGPYGLIFASYVPFFFDIPVSMRFRIFGLSLSDKSFVYLAGLQLLCSSGRCSVVPGVSGILAGLLYRLNTFGIRRLKFPEFVTSLFSRVSWPYSSNPYQGLPTTENDANISSHQDAHAATQDPTESSIAALAATQDPTESSIAALVSMGFDRSSAVQALVMTNYDVNLASNILLEAQALQQ >PVH38012 pep chromosome:PHallii_v3.1:5:9014626:9021135:1 gene:PAHAL_5G150300 transcript:PVH38012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEQGGGGPPQRPPVPAASTQPPIKKLVRQLDFNTAALAGNPAMAAAAAAVSRALQPRTLPVGLQHPPHHARAAVPMGVPQQLHPRVLPVMRPHQVVGHVPLPRHAVPVAVPVPQLRPVPPQPVQRPPVAVPLKPESPKPRLRLYEGKDSTPTKKKCCNCRNSRCLKLYCECFASGAHCDGCNCTNCFNNPENEVARREAIDATLERNPDAFRPKIGSSPHANRNNEVSSDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERRSLFQGDHKNSINMQQVTNAAVNGAIGATGFSSPSTSRKRKHIDPSLDHSNKEYVAQKNGHLPQKNAVPDGSIPISQSVHPPTLGPFKVTYRPLLADIVQEEDIKELCKLLVVVSGEAAKAYAGRKTQEERDAEKEDEGGGQKEDDKAGSRGSTNHDREGNNQDPDHKASINDNSSRGTHTGKAVLEESRPNCADDQKSNRPMSPGTLALMCDEQDTMFTTSQNAAPQQTVADNQNQSELYAEQERVVLTEFRDCLRKLVTCGRMKEERYSMAIKSETSGHPGQVNGVSRVPYPRVDVPAVVKTFPQGSSSHPVAGKPVTGHLDKN >PVH38014 pep chromosome:PHallii_v3.1:5:9014626:9021135:1 gene:PAHAL_5G150300 transcript:PVH38014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEQGGGGPPQRPPVPAASTQPPIKKLVRQLDFNTAALAGNPAMAAAAAAVSRALQPRTLPVGLQHPPHHARAAVPMGVPQQLHPRVLPVMRPHQVVGHVPLPRHAVPVAVPVPQLRPVPPQPVQRPPVAVPLKPESPKPRLRLYEGKDSTPTKKKCCNCRNSRCLKLYCECFASGAHCDGCNCTNCFNNPENEVARREAIDATLERNPDAFRPKIGSSPHANRNNEVSSDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERRSLFQGDHKNSINMQQVTNAAVNGAIGATGFSSPSTSRKRKHIDPSLDHSNKEYVAQKNGHLPQNAVPDGSIPISQSVHPPTLGPFKVTYRPLLADIVQEEDIKELCKLLVVVSGEAAKAYAGRKTQEERDAEKEDEGGGQKEDDKAGSRGSTNHDREGNNQDPDHKASINDNSSRGTHTGKAVLEESRPNCADDQKSNRPMSPGTLALMCDEQDTMFTTSQNAAPQQTVADNQNQSELYAEQERVVLTEFRDCLRKLVTCGRMKEERYSMAIKSETSGHPGQVNGVSRVPYPRVDVPAVVKTFPQGSSSHPVAGKPVTGHLDKN >PVH38013 pep chromosome:PHallii_v3.1:5:9014626:9021135:1 gene:PAHAL_5G150300 transcript:PVH38013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEQGGGGPPQRPPVPAASTQPPIKKLVRQLDFNTAALAGNPAMAAAAAAVSRALQPRTLPVGLQHPPHHARAAVPMGVPQQLHPRVLPVMRPHQVVGHVPLPRHAVPVAVPVPQLRPVPPQPVQRPPVAVPLKPESPKPRLRLYEGKDSTPTKKKCCNCRNSRCLKLYCECFASGAHCDGCNCTNCFNNPENEVARREAIDATLERNPDAFRPKIGSSPHANRNNEVSSDLPLVGKHNKGCHCKKSGCLKKYSVNGAIGATGFSSPSTSRKRKHIDPSLDHSNKEYVAQKNGHLPQKNAVPDGSIPISQSVHPPTLGPFKVTYRPLLADIVQEEDIKELCKLLVVVSGEAAKAYAGRKTQEERDAEKEDEGGGQKEDDKAGSRGSTNHDREGNNQDPDHKASINDNSSRGTHTGKAVLEESRPNCADDQKSNRPMSPGTLALMCDEQDTMFTTSQNAAPQQTVADNQNQSELYAEQERVVLTEFRDCLRKLVTCGRMKEERYSMAIKSETSGHPGQVNGVSRVPYPRVDVPAVVKTFPQGSSSHPVAGKPVTGHLDKN >PVH38553 pep chromosome:PHallii_v3.1:5:20671714:20673546:-1 gene:PAHAL_5G287300 transcript:PVH38553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPSMGTTTELAATACHRPARCRSLRESSQLPPAGLPSLPARGACRRRLAAEITARARPRVPAPPPVPCSHCSHSRRWSCRALLLTPLLRHERLPPRPRPHGPAGCSSSLLRRARSSSPCVPPFYTSKQHFGRKVLVAGTSQTRRTGERTDEDRREKTTGRKTHIDRAVWLDLMWTYTNRYCIVCAVVSIVSFSLMW >PVH38424 pep chromosome:PHallii_v3.1:5:16158137:16158894:-1 gene:PAHAL_5G254700 transcript:PVH38424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIELGMREPPSNRWATEGSELGTPAGELLRQTAQLNQSGDLAAPSSTAIQLLVPTRRTSANPFYSLYPRFTCIGP >PAN32546 pep chromosome:PHallii_v3.1:5:56385247:56394037:-1 gene:PAHAL_5G495700 transcript:PAN32546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAAEAAFSRSGSWREAEDEREALRWAALQRLPTVARARRGLIRSPAPDGAAAVEGDDVLCEVDVAGLSSGDRTALVDRLLADSGDAEHFFRRIRSRFDAVNIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVYQGPREYAVDFFAAMGFRCPERKNVADFLQEVCTSTLTSFIYQLDFLVFTFLSLQVLSKKDQQQYWCHYNYPYQFVSVSKFAEAFKTFIIGKRLQEELAVPYNRHRNHPAALCTSSYGVKRLELLKSNYQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLYESGMWVLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPVWWIWGYWVSPMMYAQNAISVNEFHGHSWSKQFANQNITMGEAILTGYGLFKEKYWFWIGVGALFGYAVVLNILFTIFLTILNPIGNLQAVVSKDEIRHKDSRRKNDRVALELRSYLHSNSLSGNLKEQKGMVLPFQPLSMCFRNINYYVDVPEELKKQGIAEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDADTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGAKSRNLVDFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTREIVEVLSRPSSESKELTFATKYAQPFCAQYISCLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAAKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPVWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGATSTTVVAFLQEHFGFRHDFLGVVAAMVAGFCVLFAVVFALAIKYLNFQRR >PAN32547 pep chromosome:PHallii_v3.1:5:56384993:56394454:-1 gene:PAHAL_5G495700 transcript:PAN32547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAAEAAFSRSGSWREAEDEREALRWAALQRLPTVARARRGLIRSPAPDGAAAVEGDDVLCEVDVAGLSSGDRTALVDRLLADSGDAEHFFRRIRSRFDAVNIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVYQGPREYAVDFFAAMGFRCPERKNVADFLQEVLSKKDQQQYWCHYNYPYQFVSVSKFAEAFKTFIIGKRLQEELAVPYNRHRNHPAALCTSSYGVKRLELLKSNYQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLYESGMWVLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPVWWIWGYWVSPMMYAQNAISVNEFHGHSWSKQFANQNITMGEAILTGYGLFKEKYWFWIGVGALFGYAVVLNILFTIFLTILNPIGNLQAVVSKDEIRHKDSRRKNDRVALELRSYLHSNSLSGNLKEQKGMVLPFQPLSMCFRNINYYVDVPEELKKQGIAEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDADTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGAKSRNLVDFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTREIVEVLSRPSSESKELTFATKYAQPFCAQYISCLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAAKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPVWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGATSTTVVAFLQEHFGFRHDFLGVVAAMVAGFCVLFAVVFALAIKYLNFQRR >PAN32531 pep chromosome:PHallii_v3.1:5:56275040:56279305:1 gene:PAHAL_5G494500 transcript:PAN32531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAMYRRAHSDPTTSASPPLQHSLFFGPSAQGVRDTQVSEPAAAGTSPSCSPTTITTRSREERHTRYTSRGSSPSLRARALPAAMKSASQSQSPKTPSPRGGGGTAGAGAEHARSASEPWLAAAAAASASDDSCVNDVDTFARTVAAVKSKSASCARPDMLASVLSHYAAKWLPDVAPSASSPASSASGRFLPPESPTATWLKKRLLLESLVAALPPDPPAPGCSGAAAGDDGITCDFLLKLLRAGSMVGADAALLRDLEARAARRLDQATLGAVMIPAFGHAGGHAALLLDVPMVLRLVRGFLKEGAAASSSAASKASSAVGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKAHPNTSKEERKSLCRLIDARKLTAEAAAHAVQNERMPVRSVMQVLFSEHGKLNRLAELSASFSGPRSPNPALELPGRCPSKREVLAQHQEVRRLREDVARLQVQCNALQAQVDRLTSDRRRRGGGGFFKWSAFWFGGGMGADVARVDDSESGMERRTPAKGKKDSAASGTPNAKWRKSTS >PAN29859 pep chromosome:PHallii_v3.1:5:16161967:16163551:-1 gene:PAHAL_5G254900 transcript:PAN29859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAVREEVKEAVKRQNVQPRLPAHSDYFCSFVDLQVKQGCKSTRLLQPVHTGWFKLSLYRDTKLMCYRNLVLISGHK >PAN30304 pep chromosome:PHallii_v3.1:5:46785709:46788115:-1 gene:PAHAL_5G377600 transcript:PAN30304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKKAVAAKKAAEADEVVDGEEEGEVAADGEDDGEDAVDGEEDGDEDDEGEGEEDDEEEDVQGEEKEAAGVIEISDEDDDDNGEAASGDGDDDDDDDNDDGEDDQEEELGTEYLVQPLGRAEDEEYSSDFEPQENGDGSEDEEIDKEDDADDGEDSVKVQSSAKRKRSGDDDDEGDDDGDDDDDGRPPSK >PAN30303 pep chromosome:PHallii_v3.1:5:46785383:46788604:-1 gene:PAHAL_5G377600 transcript:PAN30303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKKAVAAKKAAEADEVVDGEEEGEVAADGEDDGEDAVDGEEDGDEDDEGEGEEDDEEEDVQGEEKEAAGVIEISDEDDDDNGEAASGDGDDDDDDDNDDGEDDQEEELGTEYLVQPLGRAEDEEYSSDFEPQENGDGSEDEEIDKEDDADDGEDSVKVQSSAKRKRSGDDDDEGDDDGDDDDDGRPPSK >PAN31528 pep chromosome:PHallii_v3.1:5:52026987:52028994:-1 gene:PAHAL_5G425400 transcript:PAN31528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPLPPALHRVVSLLPRVASPRQLLQAHAFLLPRGGHRHPGLLSALLLASLRLAPRHRSHAAALLRRVHPSVSLRAAARLPPQLLRGSLLGPQLHSLILRAGLAASDAHVSASLVQVYCACGRLAAARSVFDEMLDRDVVAWNVMIAGYVKSGDLVGARELFDAMPERNVVSWTTLIGAYAQMKQPEEAVEVFRRMQVEEGIEPDGVALLSVLSACGDLGAVDLGEWVHMFVVRRGLFQKIPLMNAIIDMYMKCGCIEKAVEVFEGMEEKSVVTWTTLIAGFALHGLGLQAVEMFRRMETENVVPNDVTFLAVLSACSHVGLTDLGRWYFNVMVSHYRMKPRVEHYGCMVDILGRAGCLMEAQDLVQEMPFKANAAIWGALLAAARTHGDTGLGEQALLHLIDLESHNSGNYILLSNIYAEQERWDDVSKLRKAMKERGLKNVPGASSIEIDGMVHEFTSRDGSHPCLHKICELLCEVNTNMKSVGVIAVLPEVLHDIEEG >PAN29488 pep chromosome:PHallii_v3.1:5:14328598:14335306:-1 gene:PAHAL_5G230100 transcript:PAN29488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLALTIYAILLMPGFIQVGYYYFFSSQVRRSIVYGDQPRNRLDLYIPEDNSRPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISFVCNNIAGYGGDPNQIYLMGQSAGAHIAACALMEQAVKESGGHPVSWSVTQIKAYFGLSGGYNIHSLVDHFHERGLYRSLFLSIMEGEESLSRYSPEIVAKTSSAETIGLLPPIVLMHGTEDYSIPSSASQTFVDILQQAGAQAKLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSIIHADDAIARQKVALAPTPRRLVFEWQLKLARQISPF >PAN29489 pep chromosome:PHallii_v3.1:5:14329804:14335093:-1 gene:PAHAL_5G230100 transcript:PAN29489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRSLARSTAPTPRTSARARRPKANSNLPTAPPRLALAMRTSSVEEAGALLARSDSAGGRRRRSASPVQSASPRPGTLRRQSSSFREDVGRAASETYLVSRLTFTLLQCLGIGYRWMSQLLALTIYAILLMPGFIQVGYYYFFSSQVRRSIVYGDQPRNRLDLYIPEDNSRPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISFVCNNIAGYGGDPNQIYLMGQSAGAHIAACALMEQAVKESGGHPVSWSVTQIKAYFGLSGGYNIHSLVDHFHERGLYRSLFLSIMEGEESLSRYSPEIVAKTSSAETIGLLPPIVLMHGTEDYSIPSSASQTFVDILQQAGAQAKLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSIIHADDAIARQKVALAPTPRRLVFEWQLKLARQISPF >PVH37732 pep chromosome:PHallii_v3.1:5:4413606:4416661:1 gene:PAHAL_5G071500 transcript:PVH37732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLFGGADRTSQPDRIPSQLDGGGSSVALTVVEPTMNEGSVDTVVQGGHGENLAVPGDTCFSTDENVVLSEETLMQTDQLCSNDEGQSENTEALTKDSQVELSGDQCGSLPQTDVGSGVQPGAKVESEDLPRPSRLPRVVPPGLDEFKTRAIAERGPGVSSQPGNVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGAFNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNPKEFELLSSLTYPTENWETLGRFAVANAKYAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKTEPEDKTKEPVEKIPLKEPTGGKESAQEPLDEDEFELEDDKPNGDSPKNGANDQISETRTLQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDIDADIDNKDALLEKIKQELKNLESSKDNIANDIEGILSWKQVASSQLNQLVLDNALLRSEFEIFRQKQIDMENRSLAVIFLSFVFACLALAKLSIGLMSKFCRFCNLEKFHNVRSGWLVLLLSSCIIASILIIQ >PAN27282 pep chromosome:PHallii_v3.1:5:4412975:4416661:1 gene:PAHAL_5G071500 transcript:PAN27282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRRALLRRTAAAQEKSAGAEAAANGRRRRLYGFSASLVVASWVAVLLLHTLVGHGDGQRDGGGSSVALTVVEPTMNEGSVDTVVQGGHGENLAVPGDTCFSTDENVVLSEETLMQTDQLCSNDEGQSENTEALTKDSQVELSGDQCGSLPQTDVGSGVQPGAKVESEDLPRPSRLPRVVPPGLDEFKTRAIAERGPGVSSQPGNVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGAFNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNPKEFELLSSLTYPTENWETLGRFAVANAKYAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKTEPEDKTKEPVEKIPLKEPTGGKESAQEPLDEDEFELEDDKPNGDSPKNGANDQISETRTLQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDIDADIDNKDALLEKIKQELKNLESSKDNIANDIEGILSWKQVASSQLNQLVLDNALLRSEFEIFRQKQIDMENRSLAVIFLSFVFACLALAKLSIGLMSKFCRFCNLEKFHNVRSGWLVLLLSSCIIASILIIQ >PAN27110 pep chromosome:PHallii_v3.1:5:3750803:3754526:1 gene:PAHAL_5G059600 transcript:PAN27110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEKVAGDGCSVGEGHVEVEVGVGVDGKGVIECRICQEEGEEDAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQIYSPNYVLPPPKCCSDEMDMDLRQNWVGRIDTHDSHFLAIAIAEQQLLQAEFEDCVSTSSSGVTCCRTIALILMFLLLVRHVIVIVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQDYSLQSGAA >PAN27111 pep chromosome:PHallii_v3.1:5:3749986:3754527:1 gene:PAHAL_5G059600 transcript:PAN27111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEKVAGDGCSVGEGHVEVEVGVGVDGKGVIECRICQEEGEEDAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQIYSPNYVLPPPKCCSDEMDMDLRQNWVGRIDTHDSHFLAIAIAEQQLLQAEFEDCVSTSSSGVTCCRTIALILMFLLLVRHVIVIVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQV >PVH38392 pep chromosome:PHallii_v3.1:5:15916325:15918847:-1 gene:PAHAL_5G250900 transcript:PVH38392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNESFLDRMVSQLRSTCKCTYTHHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQRNEYPFVEVFYNPEQAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH38396 pep chromosome:PHallii_v3.1:5:15915929:15923587:-1 gene:PAHAL_5G250900 transcript:PVH38396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNESFLDRMVSQLRSTCKYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPIVVAFTIKCTYTHHLDKVLEEAAATFYPHIKFVRAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH38393 pep chromosome:PHallii_v3.1:5:15915824:15923721:-1 gene:PAHAL_5G250900 transcript:PVH38393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNESFLDRMVSQLRSTCKYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPIVVAFTIKCTYTHHLDKVLEEAAATFYPHIKFVRAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH38394 pep chromosome:PHallii_v3.1:5:15916325:15918847:-1 gene:PAHAL_5G250900 transcript:PVH38394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNESFLDRMVSQLRSTCKYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPIVVAFTIKCTYTHHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQRNEYPFVEVFYNPEQAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH38395 pep chromosome:PHallii_v3.1:5:15916325:15918847:-1 gene:PAHAL_5G250900 transcript:PVH38395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNESFLDRMVSQLRSTCKYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPIVVAFTIKCTYTHHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQRNEYPFVEVFYNPEQAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH38391 pep chromosome:PHallii_v3.1:5:15915824:15923587:-1 gene:PAHAL_5G250900 transcript:PVH38391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNESFLDRMVSQLRSTCKCTYTHHLDKVLEEAAATFYPHIKFVRAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >PVH37911 pep chromosome:PHallii_v3.1:5:7219376:7223099:1 gene:PAHAL_5G119800 transcript:PVH37911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLISTVPAFTLLLVAPATKPCCKLARELGLLVLLLATELLRHATTAGRRLRGRGREPERSATTMPATPRPRPAALAAPGEAEAEATPAAAGLPLLDLPELALDRVLEELSPASLAAMACVCAALRDRCSADALWERHLRAKWGRVLGATARKEWEAELGARVSRASAPRPPRRRSWVDSLACAWPFSWIACRWLKGDATPAPAAVAAEPAPAPAPAAPPTNTVAAWYRALECGEFWFPAQVYNREQDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEAGVQWSRIRAPPVGTPAHELHASECLEALRPGDHFEIQWRKNKDFPYGWWYGVVGHQAPCNPNEHLCRCHQDDTIVLEFQHYAPGSRWRQTSVSRKDHRETGDETDGFYGGIRKLQTKDEISTWRRFWPVDVLN >PAN27940 pep chromosome:PHallii_v3.1:5:7219376:7223099:1 gene:PAHAL_5G119800 transcript:PAN27940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLISTVPAFTLLLVAPATKPCCKLARELGLLVLLLATELLRHATTAGRRLRGRGREPERSATTMPATPRPRPAALAAPGEAEAEATPAAAGLPLLDLPELALDRVLEELSPASLAAMACVCAALRDRCSADALWERHLRAKWGRVLGATARKEWEAELGARVSRASAPRPPRRRSWVDSLACAWPFSWIACRWLKGDATPAPAAVAAEPAPAPAPAAPPTNTVAAWYRALECGEFWFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEAGVQWSRIRAPPVGTPAHELHASECLEALRPGDHFEIQWRKNKDFPYGWWYGVVGHQAPCNPNEHLCRCHQDDTIVLEFQHYAPGSRWRQTSVSRKDHRETGDETDGFYGGIRKLQTKDEISTWRRFWPVDVLN >PAN29297 pep chromosome:PHallii_v3.1:5:13144864:13146297:1 gene:PAHAL_5G215300 transcript:PAN29297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVPSQKAGEAFEVYGPRHLSSPSWWDLLRSSWKDPNYRRMVVACFIQGVYLLELDRQDKRDARTGLAPQWWRHFMYRLAQTLVDERDGSIYGAVLEWDRQALLAGYAPFRPAGAPAAVVALRGTLLSGATFRRDVVDDLRFLAWDSLKGSVRFAGALAALRAAARRHGARNVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLATSLRGFAETAGEMWGRVRAWLPYVGSAAAVDASGGEAAKAPTLERAGTAKWLPHLYINTNDYICCYYTDAVAGTATVTARGGGGGSSKTAAGGVGGMSSAGLARMVLVSKGPTKFLDAHGLQQWWADDVELQVVLNHSKLIDRQLRSLYAPPPGAPQM >PVH37460 pep chromosome:PHallii_v3.1:5:681330:681848:-1 gene:PAHAL_5G010300 transcript:PVH37460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLIQTTSPKMSSRVSSCTQSCLWLGPPLEFRMAQDSDDPLFVTSVVVWVLVVILAIVALHCPLPRRVVQ >PVH38083 pep chromosome:PHallii_v3.1:5:10465532:10469966:-1 gene:PAHAL_5G173100 transcript:PVH38083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLLPLHAPLSSRPAPRTLPVFPRGTRVLRHRPPPPLLPPPRALPDIAAGAASGIRDALADAFLASPPTWRSAAASNFAVFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPPGFLLVVAYFVVGTAVTKLKIKQKEAQGVAEKRGGRRGPGSVIGSSAAGCACALLSIYHVGGTAFSELWRLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTFKVVPRGTEGAVSIEGTLAGILASVFLASVGYLLGQVGVSQVAVCLVASQIANYGESYIGATLQDKEGFEWLNNDIVNVLNISIGAILAVLMQKLLVSWSS >PAN28697 pep chromosome:PHallii_v3.1:5:10465986:10469956:-1 gene:PAHAL_5G173100 transcript:PAN28697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLLPLHAPLSSRPAPRTLPVFPRGTRVLRHRPPPPLLPPPRALPDIAAGAASGIRDALADAFLASPPTWRSAAASNFAVFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPPGFLLVVAYFVVGTAVTKLKIKQKEAQGVAEKRGGRRGPGSVIGSSAAGCACALLSIYHVGGTAFSELWRLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTFKVVPRGTEGAVSIEGTLAGILASVFLASVGYLLGQVGVSQVAVCLVASQIANYGESYIGATLQDKEGFEWLNNDIVNVLNISIGAILAVLMQKLLVSWSS >PVH38084 pep chromosome:PHallii_v3.1:5:10465532:10469956:-1 gene:PAHAL_5G173100 transcript:PVH38084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLLPLHAPLSSRPAPRTLPVFPRGTRVLRHRPPPPLLPPPRALPDIAAGAASGIRDALADAFLASPPTWRSAAASNFAVFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPPGFLLVVAYFVVGTAVTKLKIKQKEAQGVAEKRGGRRGPGSVIGSSAAGCACALLSIYHVGGTAFSELWRLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTFKVVPRGTEGAVSIEGTLAGILASVFLASVGYLLGQVGVSQVAVCLVASQIANYGESYIGATLQDKEGFEWLNNDIVNVLNISIGAILAVLMQKLLVSWSS >PAN26420 pep chromosome:PHallii_v3.1:5:783947:788062:1 gene:PAHAL_5G011300 transcript:PAN26420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAAPTVSSSDHDQTPAVRKQKGGWITLPFLAGSVVGLGMAMSATSSNLIVYLISKYNVRTIDAAQISNIVRGCMQLAPVLGAALSDAYFGCYPVVAAGVAISLLSFLVFTLTAAFPSLRPPPCAAASPPCQRQPPSAIQAAVLYAAVCLLAVGNGGTRYNAATLGADQFVGGEERRRGRDAFFSWYFVCLYASYVAGDTALVYVQDSVSWALGFGVCVTTTGLGLVALVTGSRYYRRPVPKGSPFTALARVAVAAARKATLTSTSLARMKYYHGSGRGTDHAPTPSERFRFLNRAAMIAAGETDPCDGSVAKPWRLCTVREVEDLKSLVRVLPLWSSGILVSMTVNAQVSLTVLQALTMDRALAPRFVVPAASMTVTVLAAFILFAALFDRLVAPLWERLTGGRKLTPLRRVGLGHALNVASMAVAALVERRRIRLARAAHSQGHAGGGPAAAAALPMSALWLVAQLAVTGAEEALHLPGNTALFYQEFPATLRSTATAMPPLFIAVGAYLSTAFVDVVRRSTGWLPDDLNRSRLDRVYWTLALVAAVNFGYFLVCAITYQYNNVADCDGKANQIPDDK >PAN26422 pep chromosome:PHallii_v3.1:5:783947:788062:1 gene:PAHAL_5G011300 transcript:PAN26422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSATSSNLIVYLISKYNVRTIDAAQISNIVRGCMQLAPVLGAALSDAYFGCYPVVAAGVAISLLSFLVFTLTAAFPSLRPPPCAAASPPCQRQPPSAIQAAVLYAAVCLLAVGNGGTRYNAATLGADQFVGGEERRRGRDAFFSWYFVCLYASYVAGDTALVYVQDSVSWALGFGVCVTTTGLGLVALVTGSRYYRRPVPKGSPFTALARVAVAAARKATLTSTSLARMKYYHGSGRGTDHAPTPSERFRFLNRAAMIAAGETDPCDGSVAKPWRLCTVREVEDLKSLVRVLPLWSSGILVSMTVNAQVSLTVLQALTMDRALAPRFVVPAASMTVTVLAAFILFAALFDRLVAPLWERLTGGRKLTPLRRVGLGHALNVASMAVAALVERRRIRLARAAHSQGHAGGGPAAAAALPMSALWLVAQLAVTGAEEALHLPGNTALFYQEFPATLRSTATAMPPLFIAVGAYLSTAFVDVVRRSTGWLPDDLNRSRLDRVYWTLALVAAVNFGYFLVCAITYQYNNVADCDGKANQIPDDK >PAN26424 pep chromosome:PHallii_v3.1:5:783947:788062:1 gene:PAHAL_5G011300 transcript:PAN26424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLYIHTQASSKVTYIHCHTLISSAYHTVVSPACQGNMRTAAPTVSSSDHDQTPAVRKQKGGWITLPFLAGSVVGLGMAMSATSSNLIVYLISKYNVRTIDAAQISNIVRGCMQLAPVLGAALSDAYFGCYPVVAAGVAISLLSFLVFTLTAAFPSLRPPPCAAASPPCQRQPPSAIQAAVLYAAVCLLAVGNGGTRYNAATLGADQFVGGEERRRGRDAFFSWYFVCLYASYVAGDTALVYVQDSVSWALGFGVCVTTTGLGLVALVTGSRYYRRPVPKGSPFTALARVAVAAARKATLTSTSLARMKYYHGSGRGTDHAPTPSERFRFLNRAAMIAAGETDPCDGSVAKPWRLCTVREVEDLKSLVRVLPLWSSGILVSMTVNAQVSLTVLQALTMDRALAPRFVVPAASMTVTVLAAFILFAALFDRLVAPLWERLTGGRKLTPLRRVGLGHALNVASMAVAALVERRRIRLARAAHSQGHAGGGPAAAAALPMSALWLVAQLAVTGAEEALHLPGNTALFYQEFPATLRSTATAMPPLFIAVGAYLSTAFVDVVRRSTGWLPDDLNRSRLDRVYWTLALVAAVNFGYFLVCAITYQYNNVADCDGKANQIPDDK >PVH37465 pep chromosome:PHallii_v3.1:5:785909:787802:1 gene:PAHAL_5G011300 transcript:PVH37465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIICTSCAGSVVGLGMAMSATSSNLIVYLISKYNVRTIDAAQISNIVRGCMQLAPVLGAALSDAYFGCYPVVAAGVAISLLSFLVFTLTAAFPSLRPPPCAAASPPCQRQPPSAIQAAVLYAAVCLLAVGNGGTRYNAATLGADQFVGGEERRRGRDAFFSWYFVCLYASYVAGDTALVYVQDSVSWALGFGVCVTTTGLGLVALVTGSRYYRRPVPKGSPFTALARVAVAAARKATLTSTSLARMKYYHGSGRGTDHAPTPSERFRFLNRAAMIAAGETDPCDGSVAKPWRLCTVREVEDLKSLVRVLPLWSSGILVSMTVNAQVSLTVLQALTMDRALAPRFVVPAASMTVTVLAAFILFAALFDRLVAPLWERLTGGRKLTPLRRVGLGHALNVASMAVAALVERRRIRLARAAHSQGHAGGGPAAAAALPMSALWLVAQLAVTGAEEALHLPGNTALFYQEFPATLRSTATAMPPLFIAVGAYLSTAFVDVVRRSTGWLPDDLNRSRLDRVYWTLALVAAVNFGYFLVCAITYQYNNVADCDGKANQIPDDK >PAN28445 pep chromosome:PHallii_v3.1:5:9200409:9206172:-1 gene:PAHAL_5G153000 transcript:PAN28445 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARGFGVKRSMRGDEAKRVCPGINLVQVPVARGKADLNLYRSAGSEVVAILASKGKCERASIDEVYLDLTDAAKEMLLQAPPDSPEEILIEAAKSNILGLPSDASEKEKIVRAWLCRPDADSEDKLLACGAIIVAQLRVRVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQDFLASLPVKKMKQLGGKLGSSLQDDLGVETIGDLLSFTEEKLQEQYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNSASVKGWLDQLCEELSERIQSDLNQNKRIAQTLTLHARVSKENERDAVKKFPSKSCPLRYGTGKIQEDAMKLFESGLHDFLEYQNTGWSITSLSVTASKIFDIPSGTSSILRYVKGPSSASSPAIPDSSSVPEDPSLDNNVYVTPSNEEQCEPSTSEKEDGKKYSAISAKQCSANEAKRISKKFPEVKGTSSILKFLSRGQSALHEKRKSDGLICSHPGLESSLEASRAEEHNASAQGADRSSTNCGAEPSGSNAWMFNVEDIDPAVVEELPPEIRREIQGWIRPLKQPNTKRRGSTISSYFPPARS >PAN33037 pep chromosome:PHallii_v3.1:5:58760620:58763774:1 gene:PAHAL_5G531400 transcript:PAN33037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSPFLALLLLALLSLLLFFFSAGRKTSPSYASGRSLPPSPPGFPLLGHLPLLGSLPHRALQSLAASHGPVMLLRLGRVPAVVVSSADAAREALKTRDPAFASRFRSRMTERLFYGCDMAFAPYGEHWRRARRVCVLHLLSQRCVLSFRRVREQEAAALVGRVRAAARDGAVNLSDLLISYASSVTIRAAFGDCSSYGLGGGGKVRKVFDDLEELLGSGTLGELVPWLAWVDTLTGLDAKATRTFEALDGLLEQVIADHRERRRGGQRVGDDGGQRDFVDVLLDVNEEEDEAGGLWFDTVTIKAIVMNMFVGGIDTTFASLEWAMAELINHPDEMRRLQEEIRAAVRDDDHIIEDHLNKLHYLKLVIKETLRLHPPAPLVPRETVEDAELLGYHVPARTRILVNVWAIGREPTTWERAEKFLPERFAEDVDMDQYMLGQDFTLLPFGAGRRGCPGAGFAMASVELVLANLLYHFNWSLSGGASMVNMDEQGGLAVRLKKTLHLVAMPWCSE >PVH38326 pep chromosome:PHallii_v3.1:5:14326907:14327533:1 gene:PAHAL_5G230000 transcript:PVH38326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKAVKIAGAAAQAKEPAPKLSETATKPSAAKSGVKKAEQKPREPKKKVKSSKPAAAKK >PAN26412 pep chromosome:PHallii_v3.1:5:724167:726253:-1 gene:PAHAL_5G010800 transcript:PAN26412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAWGCCFLLAACARALRRLLQLPALLCCEAMVWALSFLAFPLRMLTAVDRERKLGGLIGEMQAQMDDLVWVNRELEEKLQAALREQEAMDALLDEMEDENEDAFARIHALETQLKALRQENMRLNEHKGKSMWDKPPPAAARHGSNKASEPEKKPTRIPRGEEEAVATTQDAAASLKVKSADPASVLALTTAPAAGDEQQAAKVAACRRSLFSLGMSLAVGAVAWSADAPCLPLLAGLFAVVGVSMRTVSRCCAALRRGGPAADAVALLSLNWFLLGALTSPMLPGVAHAVGPRAGRVLGPALTWLAAAAPA >PAN26472 pep chromosome:PHallii_v3.1:5:973437:975857:1 gene:PAHAL_5G014400 transcript:PAN26472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNSYPPPSDRDRQTKQPAAKGKRTHQGSQTTPKPHPRLARSPRAATAMEVKPHTARALVGRLRAAAAACDAAAAVAAIRLASKDDPEIRAPLADAGAVPLLAAQLAGPSAAAGVDAAAALLNISISAREQLASAPGLLDALTAALRTDAAHHAAATVHSLLCAEAHRATIGARRPLLAALVALLRARPSTRATKDALKALFGVALYPPNRATLVSLGAVQALFALVMTDGRHGIVEDATAVVAQVAGCAECLEAFRWVSGVRILLDLVEPGGAETERARENAAAALLNLVVAGGEPAVAEVLAVGGAEETVRELAEDSAASPRGKAKAEALLRALEGAARKREHRLADFLNGLVQSDPYISSPASASAVDARLVEG >PAN29985 pep chromosome:PHallii_v3.1:5:17017001:17022734:1 gene:PAHAL_5G263800 transcript:PAN29985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERQEGKRRGVEDGKWKGTGKAKPKAKAVVAEDAAPATGCWIRFPRLRGCMSSRAKVDSSTSGGGGGESKLATDGCQDQSIPPASGSTTTSNTGSLSPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRKSLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYKLVDPRLDGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLQNLKDMASSSYFFQSMQHERRTALASPHGSQSMKAQSTFARNGQQPLRSLSYGPHASPYRQSPRPDGKRQ >PAN26392 pep chromosome:PHallii_v3.1:5:625308:626689:-1 gene:PAHAL_5G009200 transcript:PAN26392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRRGGLGALCLLCAGVLACLQPQPAGAADAKWHANPGRHDGTGAVPPAAHHTGLPPLSAPPPTAGADLPPSLPPTQPAPHFGFPLQPTLGSAPPPSAAASEGYPFIGSNPTVPLPTGMTDTATVLPLPDNGDATGTKVVGLAATARVHVSMIGLGVIFAIAFLITSC >PAN26538 pep chromosome:PHallii_v3.1:5:1304731:1306226:-1 gene:PAHAL_5G019800 transcript:PAN26538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMVKVIGAFGSGFSHRAEVALRLKGVPYELILEDLGNKSELLLQHNPVHKLVPVLLHGDRAVCESLIIIEYIDEAFDGPALLPADPYERAVARFWAQFIDQKFPRPFWMSFFSTNGESRQRFLTEAKQNLSLLEAQLKGRRFFGGDSIGLLDIAASALAHWLGAVEEVGGAAPLLTDEEYPALCQWAKRYVADETVKQCLPKREELVALFSAFKEMLQATSQK >PAN27647 pep chromosome:PHallii_v3.1:5:5858027:5859971:-1 gene:PAHAL_5G094700 transcript:PAN27647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVLEVHLVDARGLSGSDFLGKIDPYVIVQYRSQERKSSVARDQGRNPCWNEVFKFQINSSASSAQHKLILRIMDHDHFSSDDFLGEATIDVTDIISLGAEHGSYHMNAAKHTVVLADSTYHGEIKVGITFTAAQVEEDDEEIGGWRHRSFNE >PAN27646 pep chromosome:PHallii_v3.1:5:5858825:5859707:-1 gene:PAHAL_5G094700 transcript:PAN27646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVLEVHLVDARGLSGSDFLVLASPLLPAGKIDPYVIVQYRSQERKSSVARDQGRNPCWNEVFKFQINSSASSAQHKLILRIMDHDHFSSDDFLGEATIDVTDIISLGAEHGSYHMNAAKHTVVLADSTYHGEIKVGITFTAAQVEEDDEEIGGWRHRSFNE >PVH37563 pep chromosome:PHallii_v3.1:5:2014129:2019381:-1 gene:PAHAL_5G032200 transcript:PVH37563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATISDLEAEAKFFESTAAEASEGLVEIREEYDEDTESNSSKDASVATGGMSDKDKEHARIMARLDELEMEEREAGSTSEEEDDDDGGAGTSEDGEENEESGNALSDGNEHQSSSFGTSFSRNGGDDDDDEDDGGAGTSEDDEGNEVSGNALSDGNDHPSSSFGTSFSGNDGHDRSHGNIQITNSEVRVRKAVSFEDDKHVVGSSKSPSLPLDPPYPAPGFKGSSDPPPSGERKIISSGRQAFTGSIIEHDDNLLPIQPPGGSSLAKPGTSASSRPMSRFKMQMQKGER >PAN26697 pep chromosome:PHallii_v3.1:5:2015364:2018929:-1 gene:PAHAL_5G032200 transcript:PAN26697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKKGTATPLGAVFSPEETKRAVARVSESIADRRAELGRLQGFVADNAALVSLVNRLPDELSHEIMVPFCGAAFFPGRLIHTNELLVLLGEGYYAERSAKQTTDILHRRGVELEAQVEAMKATISDLEAEAKFFESTAAEASEGLVEIREEYDEDTESNSSKDASVATGGMSDKDKEHARIMARLDELEMEEREAGSTSEEEDDDDGGAGTSEDGEENEESGNALSDGNEHQSSSFGTSFSRNGGDDDDDEDDGGAGTSEDDEGNEVSGNALSDGNDHPSSSFGTSFSGNDGHDRSHGNIQLKSALKKPGGISHTPSAHTSHPITNSEVRVRKAVSFEDDKHVVGSSKSPSLPLDPPYPAPGFKGSSDPPPSGERKIISSGRQAFTGSIIEHDDNLLPIQPPGGSSLAKPGTSASSRPMSRFKMQMQKGER >PAN26698 pep chromosome:PHallii_v3.1:5:2014129:2019381:-1 gene:PAHAL_5G032200 transcript:PAN26698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKKGTATPLGAVFSPEETKRAVARVSESIADRRAELGRLQGFVADNAALVSLVNRLPDELSHEIMVPFCGAAFFPGRLIHTNELLVLLGEGYYAERSAKQTTDILHRRGVELEAQVEAMKATISDLEAEAKFFESTAAEASEGLVEIREEYDEDTESNSSKDASVATGGMSDKDKEHARIMARLDELEMEEREAGSTSEEEDDDDGGAGTSEDGEENEESGNALSDGNEHQSSSFGTSFSRNGGDDDDDEDDGGAGTSEDDEGNEVSGNALSDGNDHPSSSFGTSFSGNDGHDRSHGNIQITNSEVRVRKAVSFEDDKHVVGSSKSPSLPLDPPYPAPGFKGSSDPPPSGERKIISSGRQAFTGSIIEHDDNLLPIQPPGGSSLAKPGTSASSRPMSRFKMQMQKGER >PVH37564 pep chromosome:PHallii_v3.1:5:2015364:2018142:-1 gene:PAHAL_5G032200 transcript:PVH37564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATISDLEAEAKFFESTAAEASEGLVEIREEYDEDTESNSSKDASVATGGMSDKDKEHARIMARLDELEMEEREAGSTSEEEDDDDGGAGTSEDGEENEESGNALSDGNEHQSSSFGTSFSRNGGDDDDDEDDGGAGTSEDDEGNEVSGNALSDGNDHPSSSFGTSFSGNDGHDRSHGNIQLKSALKKPGGISHTPSAHTSHPITNSEVRVRKAVSFEDDKHVVGSSKSPSLPLDPPYPAPGFKGSSDPPPSGERKIISSGRQAFTGSIIEHDDNLLPIQPPGGSSLAKPGTSASSRPMSRFKMQMQKGER >PAN28019 pep chromosome:PHallii_v3.1:5:7500734:7501126:-1 gene:PAHAL_5G125200 transcript:PAN28019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMKQFPEETTGGPPSPPPRRLNAGTGVEADDGVARTAMCLYFPRFSKKKQPAMRSASARRVASWWPWSPTPHDDDGSASFKHWGQSSQSRSQLSSRATPRVRVSSSSFPSSPASASSFVSTAKSGQDR >PAN28932 pep chromosome:PHallii_v3.1:5:11295070:11301761:-1 gene:PAHAL_5G187400 transcript:PAN28932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTSEEEDALRRGVLKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGMGSRDKTRVPKITGPSSSPSSTSQALVVSVANKVAEAPPDAEKKPQDVKTQPKYGAMILEALSELNEPNGTEMTEICSFIEVDTKSYRLTDSFATRAPAPIKASAPKQKDPSKPSKVSKNLGLFATSSPALEAAIAAAAKVADAEVKAHDAHDQMMEAERILKMAEETESLLTIAAEIYDRCSRGEITTLNPAQREL >PAN28933 pep chromosome:PHallii_v3.1:5:11295555:11301664:-1 gene:PAHAL_5G187400 transcript:PAN28933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTSEEEDALRRGVLKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGMGSRDKTRVPKITGPSSSPSSTSQALVVSVANKVAEAPPDAEKKPQDVKTQPKYGAMILEALSELNEPNGTEMTEICSFIEQRHVVPTNFRRLIGTKFRRLVDSKKIEKVDTKSYRLTDSFATRAPAPIKASAPKQKDPSKPSKVSKNLGLFATSSPALEAAIAAAAKVADAEVKAHDAHDQMMEAERILKMAEETESLLTIAAEIYDRCSRGEITTLNPAQREL >PAN28392 pep chromosome:PHallii_v3.1:5:9001545:9009215:-1 gene:PAHAL_5G150100 transcript:PAN28392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQGWGRGAVARLMTPFNRRAAHASSPHLLRLCGCSSYSTVVSRLRRHFASSSSSPAYCARQNTHTFMEVVQEVLKHGSANGVRAAIRADKKSYSLVQLIAASLDVHNILCSKNMTRNGIQNSSLEGINGTGFLHGARVGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMVLSTKEHHEIMENLSIKCSAYCSLLPSITSIPSEINPQEPSSNEVTSSVSSLITEVNSSNKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVQILSEAWGYRSEDQFLHCLPLVHVHGLFNALFAPLYSGSVVEFIPKFSVSGIWQRWRESYPNDASKNDEAITVFTGVPTMYTRLLQGYDNMDPDQQSACSYAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIIMDDGTETTTGVGELCIRSPSLFKEYWKRPEVTSESFIDGGFFKTGDTVTVDEEGYFIILGRTNADIMKVGGYKLSALEIEAVLLEHDAVLECAVLGLPDEAYGEVICAIIVPKEDAKKMAEQDSKPALTLEALTCWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGA >PAN28391 pep chromosome:PHallii_v3.1:5:9001545:9009215:-1 gene:PAHAL_5G150100 transcript:PAN28391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQGWGRGAVARLMTPFNRRAAHASSPHLLRLCGCSSYSTVVSRLRRHFASSSSSPAYCARQNTHTFMEVVQEVLKHGSANGVRAAIRADKKSYSLVQLIAASLDVHNILCSKNMTRNGIQNSSLEGINGTGFLHGARVGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMVLSTKEHHEIMENLSIKCSAYCSLLPSITSIPSEINPQEPSSNEVTSSVSSLITEVNSSNKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVQILSEAWGYRSEDQFLHCLPLHHVHGLFNALFAPLYSGSVVEFIPKFSVSGIWQRWRESYPNDASKNDEAITVFTGVPTMYTRLLQGYDNMDPDQQSACSYAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIIMDDGTETTTGVGELCIRSPSLFKEYWKRPEVTSESFIDGGFFKTGDTVTVDEEGYFIILGRTNADIMKVGGYKLSALEIEAVLLEHDAVLECAVLGLPDEAYGEVICAIIVPKEDAKKMAEQDSKPALTLEALTCWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGA >PAN32014 pep chromosome:PHallii_v3.1:5:54075193:54077952:1 gene:PAHAL_5G457100 transcript:PAN32014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDEEFKLVKIQNHVLRVNIHCDGCKHKVKKLLQKIEGVYSVAIDVDNHKVLVTGDVDAETLIRKLTRGGKHAELWSQQKCGGNQGHKGNNQQKQQQNHQHQQHQQQQKQGANLSKDGHNNKNNNGGQKDQGKQGGGVGSLMQGLKAFKSQHNNKHQLPDLSSEDDDLYDDEDDEFDDDYEEELRFLGDKMSQLGFHSNNHHQNQNKNGNNAAVNNNHNNGKKGNGAGGGGANHHQNQKNANVINMAAANAKMGGGVQNQKNANVINMAAAANAKMANGAQRNTGAMSGMLGLSHGLGAGNAAPGFQGYTGFNHPSYAAGGYGGLQQQHHLQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNSNMMMMHQPQQQPQMMYHSSPQISPYTGYYNPYNYYYHPHPGSAGYPASNGDVETMFSDENTKGCVVM >PVH39181 pep chromosome:PHallii_v3.1:5:53544819:53545196:-1 gene:PAHAL_5G449400 transcript:PVH39181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGYLRNVRSLYSRARSAPAPCDVAEGSSRRGKGRRGRGSSQGPSQDDTEEEAQLPTQDEEVQERDEESQEEDEEAQHTASGSAGSGSAASGASRVYLRGPSSLPHQPIPRDRRPLITPDKDR >PAN32796 pep chromosome:PHallii_v3.1:5:57593267:57600864:-1 gene:PAHAL_5G513400 transcript:PAN32796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMMADLSCGSSKVKEQPAPTGSGDKPGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESAKLRQTISSLQNSNSRTLVGDAIHTMSVRDLKQLEIRLEKGIAKIRARKNELLYAEVEYMQKREMDLQSDNMYLRSKVAENNERGQPPMNMMGAPSTSEYDHMVPYDSRNFLQVNIMQQPQHYSHQLQPTTLQLGQQPFS >PAN32799 pep chromosome:PHallii_v3.1:5:57592756:57601092:-1 gene:PAHAL_5G513400 transcript:PAN32799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMMADLSCGSSKVKEQPAPTGSGDKPGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESAKLRQTISSLQNSNRTLVGDAIHTMSVRDLKQLEIRLEKGIAKIRARKNELLYAEVEYMQKREMDLQSDNMYLRSKVAENNERGQPPMNMMGAPSTSEYDHMVPYDSRNFLQVNIMQQPQHYSHQLQPTTLQLGRRGCPKVVSTHARGYYHVSNRDYITLNVCGTNNHIVTSTSV >PAN32795 pep chromosome:PHallii_v3.1:5:57593267:57600864:-1 gene:PAHAL_5G513400 transcript:PAN32795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMMADLSCGSSKVKEQPAPTGSGDKPGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESAKLRQTISSLQNSNRTLVGDAIHTMSVRDLKQLEIRLEKGIAKIRARKNELLYAEVEYMQKREMDLQSDNMYLRSKVAENNERGQPPMNMMGAPSTSEYDHMVPYDSRNFLQVNIMQQPQHYSHQLQPTTLQLGQQPFS >PAN32800 pep chromosome:PHallii_v3.1:5:57592756:57601092:-1 gene:PAHAL_5G513400 transcript:PAN32800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMMADLSCGSSKVKEQPAPTGSGDKPGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESAKLRQTISSLQNSNSRTLVGDAIHTMSVRDLKQLEIRLEKGIAKIRARKNELLYAEVEYMQKREMDLQSDNMYLRSKVAENNERGQPPMNMMGAPSTSEYDHMVPYDSRNFLQVNIMQQPQHYSHQLQPTTLQLGRRGCPKVVSTHARGYYHVSNRDYITLNVCGTNNHIVTSTSV >PVH37438 pep chromosome:PHallii_v3.1:5:134098:135866:-1 gene:PAHAL_5G001600 transcript:PVH37438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGMVPKLACSDPILLDRSPRHTQSIRYRWIDRYHRSFRYRSRRQAMPHGGFSGNLTSPTLDLAVDMGHPFLNRTVDGFIKIGTVGACKVAAEETFECLHKGDVSKHKVEHALKKMCKEGAYWGTIAGVYVGVEYGIDKIRGHRDWKNAMLGGAVTGALISAVNNHQRHKVVKNAITGGAIATAAELLTHLSS >PVH37979 pep chromosome:PHallii_v3.1:5:8499719:8500941:1 gene:PAHAL_5G142100 transcript:PVH37979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISTYICSCKKKMCPCSCRVLRLHHIADIGLARRCQWWLLASLFHSVTSIMITVKESDSLHIDYRSPSQLAKLLGPSITLILSIILLLSLVKHYPITQDVLITRFIVGYICIGYLSILLTDFIYFKVTTVMLELILIPVTICMHALRFTTQGPLVEFEMKTLILALLAAIPAVLCLIPLDETFWEHLLKQLALKIATLVAAVFRGLDVIVMLCHATREAANYLRSRLQECF >PAN28979 pep chromosome:PHallii_v3.1:5:11558421:11563173:-1 gene:PAHAL_5G191400 transcript:PAN28979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGAGRVFLLALVLVLALQTPASADKKPCVIFFQDFPANPSARLATITNDFRILYEFRAIRGLALQIDGGVLTLLERLPGVLKVIPGSLLRLRTTHSWEFLGLAGSGRETAAKLGEGTIIGNIDTGVWPESQSFQDDGLGVPKGWRGVCDNGSDPTFQCNNKLVGARFFSAGLQAELWLADDRGSLPSKEDLSSPRDYVGHGAHTLSTAGGSLVRGAAAFGHGTGVAAGGAPRARVAAYKACYAAGCSDVDVLAAVLAAVADGVHVLSLSLGPEEASDYLSDPIAIGTFFAAQSGVAVVCSAGNSGPRPGTVTNAAPWMFTVGASTMDRDFPAYVTFGGGLTIEGQSLGASTLPLGQAYPIVSGENANAAYQPANNSSLCLADSLDPAKVTGKIVVCVRGENGRVEKGHVVKEAGGIGMVLCNDAGSGDSVVADPHLVAAAHCSYSQCVHLFNYLHSTENPSGYINATDASFGVTPAPAMADFSSRGPNAVTPQILKPDITAPGVGVIAAYSGAVSPTELPFDDRRGVRYNVMSGTSMSCPHVTGIAGLLRTKYPTWSPAMIKSAIMTTATTVDKDGNPIQDETGAEATPFSYGSGHVNPVNALDPGLVYDTTLADYTNFLCSLKLTQNPVQDLQGNLPVGLPVNYSVPVNLLLPLFNAAGEPCACSQGPYGRPEDMNYPSIAVTCLSGSTTVRRRLRNVGAPGEYRVTVTEPAGVKVTVAPDVLGFGIGEEKEFTVKLDVVDAEAASNYAFGSIVWSDANRLDAYGSGVGKAHRVRSPIVVKTKCG >PVH38463 pep chromosome:PHallii_v3.1:5:17139465:17142847:1 gene:PAHAL_5G265400 transcript:PVH38463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSKENAEFPSCDAYFEAIQSKKKLPLSLQESLTAAFAQIPVSSFPEVPTGRVIEIPGDTSVLEAVRILSEHNIRAAPVLNPEPGALADWKGRYLGVIDYSAVILWVLENAELASVALSAGSATAAGVGMGAVGAVGVTALGATGPAAVAGLTAAAVGAAVAGGLTAEMGVAKDGLASADHLGEDFYKVLLEQEPFKSTTVRSIVESYHWSPFVPITLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSIDEVITVKSDDLILEAFKCMKDNKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLTVIEFMKTLGSTLPDSGNNCLVQPPPTCAPDTSLGSVIDSIASRITHRIYVVDGDLEVVGVVTLRDVISCFIHEPPGYWDTYLASANIGEASGQRSQISGES >PVH38464 pep chromosome:PHallii_v3.1:5:17139314:17142904:1 gene:PAHAL_5G265400 transcript:PVH38464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSKENAEFPSCDAYFEAIQSKKKLPLSLQESLTAAFAQIPVSSFPEVPTGRVIEIPGDTSVLEAVRILSEHNIRAAPVLNPEPGALADWKGRYLGVIDYSAVILWVLENAELASVALSAGSATAAGVGMGAVGAVGVTALGATGPAAVAGLTAAAVGAAVAGGLTAEMGVAKDGLASADHLGEDFYKVLLEQEPFKSTTVRSIVESYHWSPFVPITLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSIDEVITVKSDDLILEAFKCMKDNKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLTVIEFMKTLGSTLPDSGNNCLVQPPPTCAPDTSLGSVIDSIASRITHRIYVVDGDLEVVGVVTLRDVISCFIHEPPGYWDTYLASANIGEASGQRSQISGES >PAN29995 pep chromosome:PHallii_v3.1:5:17139314:17142904:1 gene:PAHAL_5G265400 transcript:PAN29995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSKENAEFPSCDAYFEAIQSKKKLPLSLQESLTAAFAQIPVSSFPEVPTGRVIEIPGDTSVLEAVRILSEHNIRAAPVLNPEPGALADWKGRYLGVIDYSAVILWVLENAELASVALSAGSATAAGVGMGAVGAVGVTALGATGPAAVAGLTAAAVGAAVAGGLTAEMGVAKDGLASADHLGEDFYKVLLEQEPFKSTTVRSIVESYHWSPFVPITLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSIDEVITVKSDDLILEAFKCMKDNKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLTVIEFMKTLGSTLPDSGNNCLVQPPPTCAPDTSLGSVIDSIASRITHRIYVVDGDLEVVGVVTLRDVISCFIHEPPGYWDTYLASANIGEASGQRSQISGES >PAN30879 pep chromosome:PHallii_v3.1:5:18385896:18393458:1 gene:PAHAL_5G275800 transcript:PAN30879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGPSSPQPWQRHRLCSALLVLVLFVFLWGTSQANEELAALPPRGWNSYDSFSWIVDESAYLQNAQILAEKLLPHGYQYAVIDFLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSTVDRGFSQIASKVHGMGLKFGIHLMKGISVQAVNANTPILDIKTGKPYIEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDTGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPEEIITISELLQEIDRPIILSLSPGTEATPALAENISDHVNMYRITGDDWDNWNDVSSHFSVSSSFAAAKKIGATGLRGRSWPDLDMLPFGWLTDPSVNQGPHRKCNLTLDEQKTQMALWSMAKSPLMYGGDLRHLDDITLSIITNPTLLKINHYSKNNMEFHYVHSERTSKKEHSGRFRSPYHVDLTKNDGMFVGLTACNDDTANGWYMFSQDGKPDHICRNYEIQNDKSISFCLGKTQFVHYRGIISMDNMEHQSKFHLSVRNTSDTCLDASAGRRRTASEIRFPMFSACRWHAKQMWELNANGNLVSSYSGLCATVESRDEGGTNGARAWVATGSKGEIYLAFFNLDSTSRKMAARISDLGMVLRRALLRKHLCSCTEVWSGKNFSLTKEEISAVVNPHASMLFEIIC >PVH38516 pep chromosome:PHallii_v3.1:5:18385896:18393458:1 gene:PAHAL_5G275800 transcript:PVH38516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGPSSPQPWQRHRLCSALLVLVLFVFLWGTSQANEELAALPPRGWNSYDSFSWIVDESAYLQNAQILAEKLLPHGYQYAVIDFLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSTVDRGFSQIASKVHGMGLKFGIHLMKGISVQAVNANTPILDIKTGKPYIEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDTGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPEEIITISELLQEIDRPIILSLSPGTEATPALAENISDHVNMYRITGDDWDNWNDVSSHFSVSSSFAAAKKIGATGLRGRSWPDLDMLPFGWLTDPSVNQGPHRKCNLTLDEQKTQMALWSMAKSPLMYGGDLRHLDDITLSIITNPTLLKINHYSKNNMELMDGICFHKMGSQIIYAGTTKYRMTKVYHFAWEKHNLYIIGVSLAWTTWNTNLSFIFQLEIPVILVWMHLLVEGGPPQRLGFQCSLHAGGMQNRCGS >PAN30878 pep chromosome:PHallii_v3.1:5:18385896:18393458:1 gene:PAHAL_5G275800 transcript:PAN30878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGPSSPQPWQRHRLCSALLVLVLFVFLWGTSQANEELAALPPRGWNSYDSFSWIVDESAYLQNAQILAEKLLPHGYQYAVIDFLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSTVDRGFSQIASKVHGMGLKFGIHLMKGISVQAVNANTPILDIKTGKPYIEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDTGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPEEIITISELLQEIDRPIILSLSPGTEATPALAENISDHVNMYRITGDDWDNWNDVSSHFSVSSSFAAAKKIGATGLRGRSWPDLDMLPFGWLTDPSVNQGPHRKCNLTLDEQKTQMALWSMAKSPLMYGGDLRHLDDITLSIITNPTLLKINHYSKNNMEFHYVHSERTSKKEHSGRFRSPYHVDLTKNDGMFVGLTACNDDTANGWYMFSQDGKPDHICRNYEIQNDKIRNTSDTCLDASAGRRRTASEIRFPMFSACRWHAKQMWELNANGNLVSSYSGLCATVESRDEGGTNGARAWVATGSKGEIYLAFFNLDSTSRKMAARISDLGMVLRRALLRKHLCSCTEVWSGKNFSLTKEEISAVVNPHASMLFEIIC >PVH38517 pep chromosome:PHallii_v3.1:5:18385896:18393458:1 gene:PAHAL_5G275800 transcript:PVH38517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGPSSPQPWQRHRLCSALLVLVLFVFLWGTSQANEELAALPPRGWNSYDSFSWIVDESAYLQNAQILAEKLLPHGYQYAVIDFLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSTVDRGFSQIASKVHGMGLKFGIHLMKGISVQAVNANTPILDIKTGKPYIEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDTGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPEEIITISELLQEIDRPIILSLSPGTEATPALAENISDHVNMYRITGDDWDNWNDVSSHFSVSSSFAAAKKIGATGLRGRSWPDLDMLPFGWLTDPSVNQGPHRKCNLTLDEQKTQMALWSMAKSPLMYGGDLRHLDDITLSIITNPTLLKINHYSKNNMELMDGICFHKMGSQIIYAGTTKYRMTKLEIPVILVWMHLLVEGGPPQRLGFQCSLHAGGMQNRCGS >PVH38826 pep chromosome:PHallii_v3.1:5:43195801:43196319:-1 gene:PAHAL_5G365100 transcript:PVH38826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWNSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYEEEGVPRCRVKMTVPPHPTLSLWKPIEVNVIGHRLADTFEAAAMEAIHIFCDQHPKEVAGYPIGLFPAMDSRDPEWTFRVTYYDHLFGNLAGETLRTAVRFMNAQYRYQTLQQHGIYRLTNIA >PAN26798 pep chromosome:PHallii_v3.1:5:2479362:2481567:1 gene:PAHAL_5G039200 transcript:PAN26798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRSGSLYSNNGGEVSVGQKRKRTASPMGHSAVAGECAGGGRRKRLAGGPDYLDVLPDDLVLSILSKLAASASAPSDLLSVHLTCKRLNELGGHDMVFAKASAASLAVKAAAWSEPAQRFLKRCADAGNLEACYILGMIRFYCLGSRSSGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELTLALAAAAASRHPFAAALPLGAAAAAGAGGCPLLSDFGWSLPEAEPHAANQFMVDWWASRGAQAAAAKKPGTGGDSDGDGAELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALEWKRAHKAQCVPMDRWLLAAANAGEAAPQ >PAN27404 pep chromosome:PHallii_v3.1:5:4844353:4847681:-1 gene:PAHAL_5G078500 transcript:PAN27404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNCPAMYVSIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDYEQELETAKTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPSSMKVKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHMKCF >PAN26886 pep chromosome:PHallii_v3.1:5:2851762:2854358:1 gene:PAHAL_5G044800 transcript:PAN26886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKRQLICLAAAVAAAAILLTASAKKSRDVTELQIGVKYKPESCTLLAHKGDKIKVHYRGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTSGDAKAESNSEL >PAN29989 pep chromosome:PHallii_v3.1:5:17065910:17066947:1 gene:PAHAL_5G264600 transcript:PAN29989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCKTQQRAAKGGAAAPAPDACVADIDPKLECLDGATTYIIRLTLPGFKKEDFKVQVDSGGRLTVRGERPAGYVRFHKAFQLPQTAYPDGVAGRFDGTVLSLTVPKQPASGRDMVVARLAEVKECAAGEGTTTWAEALGGKGQMVAAAVAGFALGAFLAHRLLSVTNT >PAN30820 pep chromosome:PHallii_v3.1:5:23195350:23197627:-1 gene:PAHAL_5G299600 transcript:PAN30820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITDNVVVSRRVVLLLPLHHANSDHLIRLEVKAIRESQLMVSPTPPSMVAFTILPNSIGARTPTTKTTTMFRRSKKRGMIYLTKLTGATTPIMLHFCELCVEEIRAGNTNNGHLTNRGYTNIAANFEEQTGLHHSKRQFKNRWDALRRMYTFWLWLNKQSGLGRSGGTVVADDAWWKKHTKGHMD >PAN32524 pep chromosome:PHallii_v3.1:5:56253369:56259881:-1 gene:PAHAL_5G494000 transcript:PAN32524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLCSPFRGVIADVKGRAAWYRHDWVAGLRSGFRILAPTMYIFFASALPVIAFGEQLSTATNGRLTTVETLASTAICGTIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQQGLGEQLYLAWTGWVCIWTAIMLFLLAMFNASNIISRFTRVAGELFGMLITVLFLQEAIKGIISEFSVPENADSSSPIHQFQWLYVNGLLGVIFSIGLLYTALRTRRARSWLYGVGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLLSPLPWESSSLGHWTIVKDLFSVPLAYIFAAILPALMVAGLYFFDHSVASQLAQQKEYNLKKPSAYHYDIFVLGIMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKRQLLSKKMVDTAKESIGKSATRLEIYGKMQEVFIQMDSEQNADSVDKELKNFKDAVLQEGDEEGKLAREFDPRKHIEAHLPVRVNEQRLSNLLQSILVGGCVGAMPVIRMVPTSVLWGYFAYMAIDSLPGNQFWERIQLLFIMESRRYKVLEGPHASFVESVPPKTIAIFTIFQLVYLLICFGITWIPIAGILFPVPFFLMILIRQYLLPKFFDTMVLRELDAAEYEELDGVPHEHTLEDEVSEVGSCISRPDAEILDELTTNRGELKHRTSSLREERPVQVPSSAVQPSL >PAN32526 pep chromosome:PHallii_v3.1:5:56253369:56259881:-1 gene:PAHAL_5G494000 transcript:PAN32526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLCSPFRGVIADVKGRAAWYRHDWVAGLRSGFRILAPTMYIFFASALPVIAFGEQLSTATNGRLTTVETLASTAICGTIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQQGLGEQLYLAWTGWVCIWTAIMLFLLAMFNASNIISRFTRVAGELFGMLITVLFLQEAIKGIISEFSVPENADSSSPIHQFQWLYVNGLLGVIFSIGLLYTALRTRRARSWLYGVGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLLSPLPWESSSLGHWTIVKDLFSVPLAYIFAAILPALMVAGLYFFDHSVASQLAQQKEYNLKKPSAYHYDIFVLGIMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKRQLLSKKMVDTAKESIGKSATRLEIYGKMQEVFIQMDSEQNADSVDKELKNFKDAVLQEGDEEGKLAREFDPRKHIEAHLPVRVNEQRLSNLLQSILVGGCVGAMPVIRMVPTSVLWGYFAYMAIDSLPGNQFWERIQLLFIMESRRYKVLEGPHASFVESVPPKTIAIFTIFQLVYLLICFGITWIPIAGILFPVPFFLMILIRQYLLPKFFDTMVLRELDAAEYEELDGVPHEHTLEDEVSEVGSCISRPDAEILDELTTNRGELKHRTSSLREERPVQVPSSAVQPSL >PAN32525 pep chromosome:PHallii_v3.1:5:56253068:56259886:-1 gene:PAHAL_5G494000 transcript:PAN32525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLCSPFRGVIADVKGRAAWYRHDWVAGLRSGFRILAPTMYIFFASALPVIAFGEQLSTATNGRLTTVETLASTAICGTIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQQGLGEQLYLAWTGWVCIWTAIMLFLLAMFNASNIISRFTRVAGELFGMLITVLFLQEAIKGIISEFSVPENADSSSPIHQFQWLYVNGLLGVIFSIGLLYTALRTRRARSWLYGVGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLLSPLPWESSSLGHWTIVKDLFSVPLAYIFAAILPALMVAGLYFFDHSVASQLAQQKEYNLKKPSAYHYDIFVLGIMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKRQLLSKKMVDTAKESIGKSATRLEIYGKMQEVFIQMDSEQNADSVDKELKNFKDAVLQEGDEEGKLAREFDPRKHIEAHLPVRVNEQRLSNLLQSILVGGCVGAMPVIRMVPTSVLWGYFAYMAIDSLPGNQFWERIQLLFIMESRRYKVLEGPHASFVESVPPKTIAIFTIFQLVYLLICFGITWIPIAGILFPVPFFLMILIRQYLLPKFFDTMVLRELDAAEYEELDGVPHEHTLEDEVSEVGSCISRPDAEILDELTTNRGELKHRTSSLREERPVQVPSSAVQPSL >PAN32527 pep chromosome:PHallii_v3.1:5:56254035:56258418:-1 gene:PAHAL_5G494000 transcript:PAN32527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLCSPFRGVIADVKGRAAWYRHDWVAGLRSGFRILAPTMYIFFASALPVIAFGEQLSTATNGRLTTVETLASTAICGTIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQQGLGEQLYLAWTGWVCIWTAIMLFLLAMFNASNIISRFTRVAGELFGMLITVLFLQEAIKGIISEFSVPENADSSSPIHQFQWLYVNGLLGVIFSIGLLYTALRTRRARSWLYGVGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLLSPLPWESSSLGHWTIVKDLFSVPLAYIFAAILPALMVAGLYFFDHSVASQLAQQKEYNLKKPSAYHYDIFVLGIMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKRQLLSKKMVDTAKESIGKSATRLEIYGKMQEVFIQMDSEQNADSVDKELKNFKDAVLQEGDEEGKLAREFDPRKHIEAHLPVRVNEQRLSNLLQSILVGGCVGAMPVIRMVPTSVLWGYFAYMAIDSLPGNQFWERIQLLFIMESRRYKVLEGPHASFVESVPPKTIAIFTIFQLVYLLICFGITWIPIAGILFPVPFFLMILIRQYLLPKFFDTMVLRELDAAEYEELDGVPHEHTLEDEVSEVGSCISRPDAEILDELTTNRGELKHRTSSLREERPVQEPTG >PAN27591 pep chromosome:PHallii_v3.1:5:5560576:5566022:1 gene:PAHAL_5G090400 transcript:PAN27591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGGYGGGAGSGAEPHCHGHAHGDFLLHHHHAQHVAAQQLYHVPQHSRREKLRFPPDDDSPPHASASATQHQHQQHAGAAWPPPPPGFYSYASSSTSSYSPHSPTLAQAQLVAAHGHGLAPPLASQIPTQNFALSLSSSSSNPPPQQPSRPLGAPAGPFGPFTGYAAVLGRSRFLAPAEKLLEEICDVGGTAPHVDRTASDESLLDADPMEGLDHDMDGADRAASDAGPISGAEQQWKKTRLISMMEEVCKRYRLYYQQVQTVINSFETVAGFSNAAPFAAMALRTMAKHFKCLKGMILSQLRNTSKAAAGKEGLSKDIAMFGLASGSAPALQRASSAAAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVHKHPALDKSQHAMHHQTQHSSESSRKPSDPSDSQLGQSSSITRNHSIPASQGFPDELSQMSHSIQQGQVTFAYNGLSTPQHQHSLTSSQHHQQVGSMSGIGGSGNGGVSLTLGLHQNNRVCIAEPLPASLPPNLAHRFGLEEVSDAYVMGSFGGQDRHFGKEIGGHLVHDFVG >PVH37992 pep chromosome:PHallii_v3.1:5:8668464:8678948:-1 gene:PAHAL_5G144900 transcript:PVH37992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGCLGSWALQTWKPGTRKRARGPLPHGSTPATLITDRPWAGPPVWCARPSKLSAPPPLVASTRSRFSLGAPEQRTVNKATAAGALHRRSSQTARAAPKEAAQPPRQPRCSEYGHEDERMGRKGKGAAARKAGKAPSAAGAMNETTLVRVSKALDDFRASDAEVYTFEADISKEERAEIHEMCRKMGMKSKSSGVGTRRRLSVYKSKRKQGPDMEEGPTHLGFSEESRHVLQDLFTHYPPDDADLNGDANRNSSDKAANIKRKTDTAFCRPAMSKHDITKKVEMFCTKINGSPHLRKIMEDRSKLPISSFKDVITSTLDNHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISAERGEAVGDTVGYKIRLESKGGKNSSVMFCTNGVLLRVLIGRGTNTSKTRNPKQSLDDTILGISHIIVDEIHERDRFSDFMLTILRDLLPMYPHLRLVLMSATIDAVRFSQYFNGCSVIQVPGFTYPVKTYYLEDVLSILQSVGDNHLSTTTSDKKQSSVLTEEFKSSMDDSINLALVNDEFVPLLELISAEQNPEIYNYQHSETGVTPLMVFAAKGQLGDVCMLLSFGVDCSAQDHDGKSALDWAQQEKQQEVYDVIMKHMECSSAKSTEDNELLNKYLATINPEHIDTLLIERLLGKICVDSNEGAILVFLPGWEDINQTREKLRASPFFRDSSRFLILSLHSMIPSLEQKKVFKRPPAGVRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHASWVSKASARQREGRAGRCQAGICYHLYSRFRAASLPDYQIPEIKRMPIEELCLQVKLLDSNCRIADFLKKTLDPPITETIRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPCTTKMLLFAILMNCLDPALTLACAADYRDPFLLPMAPDERKSATAGKVELASLYGGFSDQLAVVAAFDCWRRAKDRGQESQFCTKYFISSNIMQMLSNMRKQLQSELYQRGFVPADTSACSLNSNDPGIMRAVLMAGAYPMVGRLLPPRKNARNTVVETASGGKVRLHPHSCNFNLSRSKSSGNPLLVYDEVTRGDGGMYIKKCSVVGSCPLLLLASEMVVAPPDDDSDEEEDSSEDGAEKSTLIQHRKEIMSSPDNTVSVVVDRWLRFDASALDVAQIYCLRERLASSILFKVKHPQDVLPPVLGASMYAIACILSYDGLPDMCPSNDLLQNSVEARRFSLGKKGYIPPGGYLRSFLVDRAHHAPYSQNSSNHPGGASAYTQPSRAPVGRFDQSQRSFRNSGPGSSKPRSFKRQRNTAL >PVH37991 pep chromosome:PHallii_v3.1:5:8667904:8678948:-1 gene:PAHAL_5G144900 transcript:PVH37991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGCLGSWALQTWKPGTRKRARGPLPHGSTPATLITDRPWAGPPVWCARPSKLSAPPPLVASTRSRFSLGAPEQRTVNKATAAGALHRRSSQTARAAPKEAAQPPRQPRCSEYGHEDERMGRKGKGAAARKAGKAPSAAGAMNETTLVRVSKALDDFRASDAEVYTFEADISKEERAEIHEMCRKMGMKSKSSGVGTRRRLSVYKSKRKQGPDMEEGPTHLGFSEESRHVLQDLFTHYPPDDADLNGDANRNSSDKAANIKRKTDTAFCRPAMSKHDITKKVEMFCTKINGSPHLRKIMEDRSKLPISSFKDVITSTLDNHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISAERGEAVGDTVGYKIRLESKGGKNSSVMFCTNGVLLRVLIGRGTNTSKTRNPKQSLDDTILGISHIIVDEIHERDRFSDFMLTILRDLLPMYPHLRLVLMSATIDAVRFSQYFNGCSVIQVPGFTYPVKTYYLEDVLSILQSVGDNHLSTTTSDKKQSSVLTEEFKSSMDDSINLALVNDEFVPLLELISAEQNPEIYNYQHSETGVTPLMVFAAKGQLGDVCMLLSFGVDCSAQDHDGKSALDWAQQEKQQEVYDVIMKHMECSSAKSTEDNELLNKYLATINPEHIDTLLIERLLGKICVDSNEGAILVFLPGWEDINQTREKLRASPFFRDSSRFLILSLHSMIPSLEQKKVFKRPPAGVRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHASWVSKASARQREGRAGRCQAGICYHLYSRFRAASLPDYQIPEIKRMPIEELCLQVKLLDSNCRIADFLKKTLDPPITETIRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPCTTKMLLFAILMNCLDPALTLACAADYRDPFLLPMAPDERKSATAGKVELASLYGGFSDQLAVVAAFDCWRRAKDRGQESQFCTKYFISSNIMQMLSNMRKQLQSELYQRGFVPADTSACSLNSNDPGIMRAVLMAGAYPMVGRLLPPRKNARNTVVETASGGKVRLHPHSCNFNLSRSKSSGNPLLVYDEVTRGDGGMYIKKCSVVGSCPLLLLASEMVVAPPDDDSDEEEDSSEDGAEKSTLIQHRKEIMSSPDNTVSVVVDRWLRFDASALDVAQIYCLRERLASSILFKVKHPQDVLPPVLGASMYAIACILSYDGLPDMCPSNDLLQNSVEARRFSLGKKGYIPPGGYLRSFLVDRAHHAPYSQNSSNHPGGASAYTQPSRAPVGRFDQSQRSFRNSGPGSSKPRSFKRQRNTAL >PAN28318 pep chromosome:PHallii_v3.1:5:8667904:8676376:-1 gene:PAHAL_5G144900 transcript:PAN28318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRSKLPISSFKDVITSTLDNHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISAERGEAVGDTVGYKIRLESKGGKNSSVMFCTNGVLLRVLIGRGTNTSKTRNPKQSLDDTILGISHIIVDEIHERDRFSDFMLTILRDLLPMYPHLRLVLMSATIDAVRFSQYFNGCSVIQVPGFTYPVKTYYLEDVLSILQSVGDNHLSTTTSDKKQSSVLTEEFKSSMDDSINLALVNDEFVPLLELISAEQNPEIYNYQHSETGVTPLMVFAAKGQLGDVCMLLSFGVDCSAQDHDGKSALDWAQQEKQQEVYDVIMKHMECSSAKSTEDNELLNKYLATINPEHIDTLLIERLLGKICVDSNEGAILVFLPGWEDINQTREKLRASPFFRDSSRFLILSLHSMIPSLEQKKVFKRPPAGVRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHASWVSKASARQREGRAGRCQAGICYHLYSRFRAASLPDYQIPEIKRMPIEELCLQVKLLDSNCRIADFLKKTLDPPITETIRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPCTTKMLLFAILMNCLDPALTLACAADYRDPFLLPMAPDERKSATAGKVELASLYGGFSDQLAVVAAFDCWRRAKDRGQESQFCTKYFISSNIMQMLSNMRKQLQSELYQRGFVPADTSACSLNSNDPGIMRAVLMAGAYPMVGRLLPPRKNARNTVVETASGGKVRLHPHSCNFNLSRSKSSGNPLLVYDEVTRGDGGMYIKKCSVVGSCPLLLLASEMVVAPPDDDSDEEEDSSEDGAEKSTLIQHRKEIMSSPDNTVSVVVDRWLRFDASALDVAQIYCLRERLASSILFKVKHPQDVLPPVLGASMYAIACILSYDGLPDMCPSNDLLQNSVEARRFSLGKKGYIPPGGYLRSFLVDRAHHAPYSQNSSNHPGGASAYTQPSRAPVGRFDQSQRSFRNSGPGSSKPRSFKRQRNTAL >PVH37993 pep chromosome:PHallii_v3.1:5:8670172:8678948:-1 gene:PAHAL_5G144900 transcript:PVH37993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGCLGSWALQTWKPGTRKRARGPLPHGSTPATLITDRPWAGPPVWCARPSKLSAPPPLVASTRSRFSLGAPEQRTVNKATAAGALHRRSSQTARAAPKEAAQPPRQPRCSEYGHEDERMGRKGKGAAARKAGKAPSAAGAMNETTLVRVSKALDDFRASDAEVYTFEADISKEERAEIHEMCRKMGMKSKSSGVGTRRRLSVYKSKRKQGPDMEEGPTHLGFSEESRHVLQDLFTHYPPDDADLNGDANRNSSDKAANIKRKTDTAFCRPAMSKHDITKKVEMFCTKINGSPHLRKIMEDRSKLPISSFKDVITSTLDNHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISAERGEAVGDTVGYKIRLESKGGKNSSVMFCTNGVLLRVLIGRGTNTSKTRNPKQSLDDTILGISHIIVDEIHERDRFSDFMLTILRDLLPMYPHLRLVLMSATIDAVRFSQYFNGCSVIQVPGFTYPVKTYYLEDVLSILQSVGDNHLSTTTSDKKQSSVLTEEFKSSMDDSINLALVNDEFVPLLELISAEQNPEIYNYQHSETGVTPLMVFAAKGQLGDVCMLLSFGVDCSAQDHDGKSALDWAQQEKQQEVYDVIMKHMECSSAKSTEDNELLNKYLATINPEHIDTLLIERLLGKICVDSNEGAILVFLPGWEDINQTREKLRASPFFRDSSRFLILSLHSMIPSLEQKKVFKRPPAGVRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHASWVSKASARQREGRAGRCQAGICYHLYSRFRAASLPDYQIPEIKRMPIEELCLQVKLLDSNCRIADFLKKTLDPPITETIRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPCTTKMLLFAILMNCLDPALTLACAADYRDPFLLPMAPDERKSATAGKVELASLYGGFSDQLAVVAAFDCWRRAKDRGQESQFCTKYFISSNIMQMLSNMRKQLQSELYQRGFVPADTSACSLNSNDPGIMRAVLMAGAYPMVGRLLPPRKNARNTVVETASGGKVRLHPHSCNFNLSRSKSSGNPLLVYDEVTRGDGGMYIKKCSVVGSCPLLLLASEMVVAPPDDDSDEEEDSSEDGAEKSTLIQHRKEIMSSPDNTVSVVVDRWLRFDASALDVAQIYCLRERLASSILFKVHQQIAV >PVH37821 pep chromosome:PHallii_v3.1:5:6006888:6010964:-1 gene:PAHAL_5G097800 transcript:PVH37821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >PVH38265 pep chromosome:PHallii_v3.1:5:13079634:13087210:1 gene:PAHAL_5G214000 transcript:PVH38265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNVGVDSGFVFASTMYNPCMSSTSMNRYASHAQSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PAN29282 pep chromosome:PHallii_v3.1:5:13078781:13087210:1 gene:PAHAL_5G214000 transcript:PAN29282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEQHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PAN29286 pep chromosome:PHallii_v3.1:5:13079634:13087210:1 gene:PAHAL_5G214000 transcript:PAN29286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNVGVDSGFVFASTMYNPCMSSTSMNRYASHAQSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQAGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEQHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PAN29285 pep chromosome:PHallii_v3.1:5:13078781:13087210:1 gene:PAHAL_5G214000 transcript:PAN29285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNVGVDSGFVFASTMYNPCMSSTSMNRYASHAQSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEQHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PAN29284 pep chromosome:PHallii_v3.1:5:13078781:13087210:1 gene:PAHAL_5G214000 transcript:PAN29284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PAN29280 pep chromosome:PHallii_v3.1:5:13078781:13087210:1 gene:PAHAL_5G214000 transcript:PAN29280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNVGVDSGFVFASTMYNPCMSSTSMNRYASHAQSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQAGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEQHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PAN29283 pep chromosome:PHallii_v3.1:5:13078781:13087210:1 gene:PAHAL_5G214000 transcript:PAN29283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQAGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEQHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PVH38266 pep chromosome:PHallii_v3.1:5:13078781:13087210:1 gene:PAHAL_5G214000 transcript:PVH38266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNVGVDSGFVFASTMYNPCMSSTSMNRYASHAQSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PAN29281 pep chromosome:PHallii_v3.1:5:13079634:13087210:1 gene:PAHAL_5G214000 transcript:PAN29281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNMVCTPQVVDLTSERGQARGGNGSEISDQGAQHAVRVVGNATNIGLSDIRNYYDVSINHQHQPVHNPPLNVGVDSGFVFASTMYNPCMSSTSMNRYASHAQSFGSTNQPLPLNQVPGSMDESSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVAPFNPSEYHSHSSWQSLEGSSVPGTNGFNSMPVHPESTQRANYTFPTTHIGHSWMSQAANGIADGIPQWEYINATTNVQGRFAHSGAIDMANGGFHEYQNGPSAVCRGPVPYFPQHVMHGMQAHNMLDHTQMQVPYQQCHNNGALHGGVNYTGNRLHLGPRIPVLFSSSERTFGPPQHPFLANPVNHRNIRILPPEQHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKCLIVDKLRTSLYVPGTSSTSDQPSKSSPENDACIICQEEYQVKDCIGTLECGHRYHAECVHQWLLVKNLCPICKTTALSTDQRHGQ >PAN32740 pep chromosome:PHallii_v3.1:5:57263399:57265132:-1 gene:PAHAL_5G508500 transcript:PAN32740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGKPTPQPPAAAGNGAGGPPKMYQRPIYRPQQGPAKRRRGGRSCPFSCCCCFFWTVLVILLLAFIAAVVGGAFYLLYRPHRPAFTLSVARVTKLSVSSSATAPAVTDAIDVTLTARNPNKKLVYFYDDFAVTAATAANAVPLGEGSVPGFAHEAGNITVIKATVSASALAIDPAASSDIKKSGEFPITVDLETKAGVKVGGLKTKKIGIQVHCEGIKVAAPAPPPPAKKKKLAKAAADAPAPAAVDDAPAPPAPAATVARVCQVRIRVKIWKWTF >PAN29953 pep chromosome:PHallii_v3.1:5:16869242:16875203:-1 gene:PAHAL_5G261600 transcript:PAN29953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde/histidinol dehydrogenase domain containing protein [Source: Projected from Oryza sativa (Os01g0591000)] MAAANGSGGGKGFEVPKVEVRFTKLFINGHFADAVSGRTFETRDPRTGEVIANIAEGDKADVDLAVKAAREAFDNGPWPRMTGYERGRILHKFADLIDQHIEELAMLDTVDAGKLFLVGKVRDIPGAAHLLRYYAGAADKIHGETLKMAQRMHGYTLKEPVGVVGHIVPWNYPSTMFFFKVSPALAAGCTVVVKPAEQTPLSALFYAHLAREAGVPDGVLNVVPGFGPTAGAALAAHMDVDKLSFTGSTEVGRLVMKAAAESNLKSVSLELGGKSPIVVFDDADLDMAVNLVNFATYTNKGEICVAGTRIYVQEGIYDAFVKKAAELAKKSVVGDPFNPRVNQGPQVDKDQYEKVLKYIDIGKREGATLVTGGKPCGDKGYYIEPTIFTDVKDDMSIAQDEIFGPVMALMKFKTVEEVIQKANNTRYGLAAGIVTKNIDIANTVSRSIRAGAIWINCYFAFDPDAPFGGYKMSGFGKDMGMDGLEKYLQTKTVVTPLYNTPWL >PAN27473 pep chromosome:PHallii_v3.1:5:5120438:5123820:-1 gene:PAHAL_5G083200 transcript:PAN27473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEEVRGRERGELRARRFEAAARARRAASLALSNRKEFATPHHGAVNSLQVDLTEGRYLLSGASDGSAAVFDVWNATEYETGFIAKHRHILLVDKQHQNGHKFAISAAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVFTAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSASSEWVLMSGGCDGAIRFWDIRRAGCFRVLDQSQSQLGRRSPLLKSTTENDHIDSIGPSASTRSLAQKRTGNSKKNSPTMRKGQNLIHGHMQQRLHPGLSSSQNRATSHYGAVTGLRTTKDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTGKPLQLAVTEDPSLVFVPCMASIKAYNLWSGTTFRTFRGHYELVNCCYYSEQDQELYTGSNDRQILVWSPSTPAFTEMMMRSGMGFLQLMRITGATEKACTFFPLKRKDRILTLM >PAN27474 pep chromosome:PHallii_v3.1:5:5120692:5123210:-1 gene:PAHAL_5G083200 transcript:PAN27474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEEVRGRERGELRARRFEAAARARRAASLALSNRKEFATPHHGAVNSLQVDLTEGRYLLSGASDGSAAVFDVWNATEYETGFIAKHRHILLVDKQHQNGHKFAISAAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVFTAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSASSEWVLMSGGCDGAIRFWDIRRAGCFRVLDQSQSQLGRRSPLLKSTTENDHIDSIGPSASTRSLAQKRTGNSKKNSPTMRKGQNLIHGHMQQRLHPGLSSSQNRATSHYGAVTGLRTTKDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTGKPLQLAVTEDPSLVFVPCMASIKAYNLWSGTTFRTFRGHYELVNCCYYSEQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKRHGLSAADEDNWSD >PVH38272 pep chromosome:PHallii_v3.1:5:13197806:13200137:-1 gene:PAHAL_5G216000 transcript:PVH38272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHASRLHSAYSKHGGLASCSFFRYPSANTGFLKGRDSSSRNASPTQCAIKKDYLFKHFTDSSYFCTKILN >PAN31425 pep chromosome:PHallii_v3.1:5:51542372:51546296:1 gene:PAHAL_5G418800 transcript:PAN31425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >PAN26434 pep chromosome:PHallii_v3.1:5:825842:828709:-1 gene:PAHAL_5G012200 transcript:PAN26434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSFASMDAFYLHLLRSCAALPHVASVHAHIARAHPAASLFLRNSLLAAYCRLGGPLPAARLLDEMPRRNSVSFNLLIDAYSRAGHADHSLETFARARAAGVKADRFTYAAALAACSRAGDVRTGKVVHALAILEGLANGVFLSNSLISMYARCGEMDHARRVFDIADEHDDVSWNSMLSGYVRAGAHEEMLKVFTLICRHGMGWNSFALGSLIKCFSSGVHIAGYTAEAIHGCVVKAGLDTDVFLASAMVNMYAKKGTLSNAVALFKLVQDPNVIVFNAMIAGFCRDEAGVGKEVTREAMNLYSELLSRGMQPTEFTFSCVLRACNLAGEFEFGKQIHGQLIKHSLQGDDYIGSALIDLYSNSGCMEDGYRCLRSPYRQDIVTWTSMISGFVQNELFEKALRLFQEFLCYGLKPDLFTISSVMNACASLAVARTGEQIQCLATKSGFNRFTVMGNSCIHMYARSGDVDAATLRFQEMESRDVVSWSALISSHAQHGCARDALHVFNEMMDAKVIPNEITFLGVLTACSHGGLVDEGLRYYDIMNKEYGLAPTIKHCTCVVDLLGRAGRLGDAEAFIRDSVFHDDPVVWRSLLASCRIHGDIERAQLVAYRIMELEPTSSSSYVILYNMYLDAGELSLASKTRDLMKARGVKKEPGLSWIELKSGVHSFVAGDKSHPESDAIYTKLSEMLSKIEKLASTGNASTESNDISSREQNLVGCHSEKLAVAFGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISGSENREIILRDAIRFHHFRGGACSCGDYW >PAN27004 pep chromosome:PHallii_v3.1:5:3354685:3364223:1 gene:PAHAL_5G052900 transcript:PAN27004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKEVTLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHVAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKQNKVDEINKLLDVEILPALEKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRDGALNDVKKIRAKILELDENTEKLKADIQEMDKNISTLAAEKEAKLGGEMKALSEKVDKLSHALIKETSVMNNQEETLKSEEKGAEKILKNIEDIKRSMIERDAAVKDLEDGASDMKRKAEDLTKKLDENEKEYQGVLAGKSNVNEKKCLEDQLRDAKAAVGEAESGLKQLNTKISHSEKELKEKKALLVSKRDEATAAENELKARTKDLEAIKTSMGSINYDEGQMEALQKDRSTELEMVQNLKDRVRKLSGELANVHFTYRDPERNFDRSKVKGVVARLIRIKDSSAATALEVAAGGRLFNVVVDTEDTGKKLLKNGDLQSRVTIIPLNKIQTYTIPDRVQQAARRLVGPDNVTLALELVGYGEEVKNAVSYVFGSTFVCRDSEAAKEVAFNREVGSTSVTLDGDTYQPSGLLTGGSKGGRGNLLRKLDELAKAEVDLSNHEKRLSVIEQQIGALLPLQKRYTELKSQFELKSYDLSLFHKRVEQNEHHKLGELVKKIEQELQESKQELTEKQVQYEKCVSTVLELEQTIKTYGTEREGRLKALEKRIKSLKSEMQTMSKQLKAHESERERLIMEKDAVANELANLEEQLSTSKAQIASLSETLEKQKDKVTSIKQDYDQAEGELNVGRSKLKECDSQINHMAKEQQKLQQQLSDSNVERKKMENEAKRMEIEQKDCSSIVDKLVEKYSWISTEKQLFGKSGTDYDFESCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKAKIKKVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR >PVH38591 pep chromosome:PHallii_v3.1:5:22277416:22277995:-1 gene:PAHAL_5G296100 transcript:PVH38591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPPSQSVQPTTKKKIRKGTLDFGDSIRSKLAGSAGSTDQPEPISSEFPMARGNPLVAAKEKGNKLANKAKDKGKLMKPKAKKQTEDKGKKNDVSFESPAMATRSKKVDSCSPAMSTRSKRQLNL >PAN31138 pep chromosome:PHallii_v3.1:5:49683808:49686409:-1 gene:PAHAL_5G399500 transcript:PAN31138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAARTTIALLLALAVASGCLCGGAQGRHHRHTKHTRHNSAHAHAPSQAPGPSSSRRHAPPHARGASPPAPPPSSSSGAGGDYPTPGAAPDPAPEPAAGSAVAVYDVVKDFGAVGDGVADDTDAIKTAWDTACSEDGDGVVLAAAGHTFLVHTTVFTGPCQGSVTIQLDGTIVAPSDPDKWPANNKRNWLVFYNAHGTTLRGAGLIDGKGQKWWELPCKVHKGQGGSSGHGESCDSPVALRFFTSNNVTVQGLKVQNSPEFHFRFDSCRGVLVSGLSISSPQQSPNTDGIHIENTQDVLIANAAVSNGDDCVSIGAGTLNVHIENVTCGLGHGISIGSLGKQGTRACVANVTVRNAVIRHSDNGVRIKTWQGGSGSVSSVSFENVRMDAVRNPIIIDQYYCLSRSCENATTAVFVSGISYAGIRGTYDARSPPIHFGCSDAVPCTNITLADVELLPAASSGQSVDDPFCWNVYGNAATPTVPPVACLMDGVPGNYADNSSLKCY >PVH38654 pep chromosome:PHallii_v3.1:5:29166582:29167140:1 gene:PAHAL_5G317500 transcript:PVH38654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQTTFPKTSSRVSSCTQSCLWPGPPPEFRMAQDSDDPLFIASVVVWVLVVILAIVALHCPLPRRVVR >PAN26604 pep chromosome:PHallii_v3.1:5:1584602:1589366:1 gene:PAHAL_5G024700 transcript:PAN26604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSARVQGSLDYLNSQEPGDESQATAIDTVERLLVGDDIETSQKTSTYPISGIKSASTLVTKVAQCLAKRTEYNSPLQKSGIFDWADTPNNDECTAILISRKKQRIHANTQVKHLASQRYGGNGSSTRTGFISDCIGGDSAMDSSKKPEPVGSADDLYEAYDIGPSTQMAAEAMEALSNASTVNCVVRENAHPESSVLITNLGKERKADNICSVEPPIQKRIGGSSSSMKKHPRKLKNRNNPKQMAGKAKGSMDSGSIQVAINHEVSEGTKASGASDSNILGSDAVIHPRRKRTYMFVSRNSKVQFNKAGSSATFTSKTSEVTDSSTAKTLSISGPDFNKHAGVEKQPTSAQEDHNSSLSRRVPLIELNSAGPQFRTQMSKKPQKRGLLKSPGSRELASLFRNEASPVLQLSRRRRNMSNVRVLFSQSMGKETIKMQTKILIYFGLPMATTITEATHFVAEKFARTRNMLEAMAMGIPIVTPSWLECCGEARCFIDEKKYIMRDMKKEKELGFSLPVSIGRACKKRLLEGRRVLITPNAKPSKELLKSLVVAAHGQPSDRITASAIKNKNFEGTFVISCEQDRSVCMPLIRSGLQVFDSELLLNGIVTQKLEFDRYRLFHAKTI >PVH38105 pep chromosome:PHallii_v3.1:5:10835578:10840854:1 gene:PAHAL_5G179700 transcript:PVH38105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDLHLESASAQHGQAKLNVEEYTKGSLESGGNYKKKKINGSDPDDFEKTEKGIMQYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMRRHELPRQEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGLCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQNCKSYNTRQI >PVH38104 pep chromosome:PHallii_v3.1:5:10835578:10840855:1 gene:PAHAL_5G179700 transcript:PVH38104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDLHLESASAQHGQAKLNVEEYTKGSLESGGNYKKKKINGSDPDDFEKTEKGIMQYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMRRHELPRQEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGLCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQNCKSYNTRQI >PVH38103 pep chromosome:PHallii_v3.1:5:10835578:10840858:1 gene:PAHAL_5G179700 transcript:PVH38103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSACLSKDFEDEADFCWRYLCCVCNVIAFSGKKKTVKMGALDLHLESASAQHGQAKLNVEEYTKGSLESGGNYKKKKINGSDPDDFEKTEKGIMQYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMRRHELPRQEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGLCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQNCKSYNTRQI >PVH38106 pep chromosome:PHallii_v3.1:5:10837183:10840242:1 gene:PAHAL_5G179700 transcript:PVH38106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDLHLESASAQHGQAKLNVEEYTKGSLESGGNYKKKKINGSDPDDFEKTEKGIMQYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMRRHELPRQEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGLCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVVFFSLLLKLL >PAN32892 pep chromosome:PHallii_v3.1:5:58024493:58026946:-1 gene:PAHAL_5G519700 transcript:PAN32892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAGQSHDHHGFQPQLLSFGSQHYVHQFAAQAQAPVAASHSRSRGGAGAGGEIVAATSASHSRVRGGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLEVLPAWQPTATASNAAAPPSSSTHPDSAENSDDQAQAITVAHTSFDFPGAGGASGGASGTGFLPPSLDSDSIADTIKSFFPMAGTAGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSHSQELRLSLQSLPDPMFHHRHQQQDRSHGHGGNGSAQQALFPGYSFGGGAMWAEQAQGQRMVPWNVPDPGGGSTGGYLFNVSQQAAHMQAALAGQSQFFFQRGPLQSSNQPSERGWPETVEADNPMQQHQGGLSPAVFAPGIGFSGFRIPTRIQGDEDHNGGGNGDKPPPSVSSASHH >PAN32893 pep chromosome:PHallii_v3.1:5:58024508:58027280:-1 gene:PAHAL_5G519700 transcript:PAN32893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAGQSHDHHGFQPQLLSFGSQHYVHQFAAQAQAPVAASHSRSRGGAGAGGEIVAATSASHSRVRGGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLEVLPAWQPTATASNAAAPPSSSTHPDSAENSDDQAQAITVAHTSFDFPGAGGASGGASGTGFLPPSLDSDSIADTIKSFFPMAGTAGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSHSQELRLSLQSLPDPMFHHRHQQQDRSHGHGGNGSAQQALFPGYSFGGGAMWAEQAQGQRMVPWNVPDPGGGSTGGYLFNVSQQAAHMQAALAGQSQFFFQRGPLQSSNQPSERGWPETVEADNPMQQHQGGLSPAVFAPGIGFSGFRIPTRIQGDEDHNGGGNGDKPPPSVSSASHH >PAN32761 pep chromosome:PHallii_v3.1:5:57392757:57396107:-1 gene:PAHAL_5G510100 transcript:PAN32761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAADLPPHRGRGGPGAGPIALASALLRRENHRRRALAGGAVLASALLLVATPRLRHSPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLILCLCGSGCFGVSLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPMMISSSSLLSILVIERVDERFGLSCLISLLSLVLVSSACERVLDDMRLWVILNFVPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNIKIARDS >PVH39190 pep chromosome:PHallii_v3.1:5:53746584:53749311:-1 gene:PAHAL_5G451800 transcript:PVH39190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCEERPRNNMKSWAPTIHLNIGFVVGVLFMLLVYLVISQQAAISGLSVAATVAQWIADKQLIQRPGETLVTSDLQRISNKQLVQGSGESAENGKVVHNTKGYYSETCEVHGDVRVNGTALSVSLVPSSWSERREWRIQPYSRKTLPGIKAVTVAQLPDKAAAPACTARYSVPAVLFAVGGLTGNFWHDFTDVLVPLFIASRRYDGEVQLLITNAQPWWPAAYRPILRRLSRYDVVDLDGDEHVRCFPHVTVGIHMHKDLSIIPEWVPGRRRLSMPDFTRFLREIYALPRGAPVSLVREPGRRPRLLLIHRRHSRRFMNEQEILRAAEAAGFEAAAIDLRRDVGVETQARAVNSHDVLLGVHGAGLTNMLFLPPGGVLIQVVPYGKLEHIARMEYGEPAKDMGLRYLEYSVSAAESTLMETLGPEHPAIKDPDAVHRSGWNNMTEFYLNKQSVRVDVARFAPTLARAFDHLRQQ >PAN29658 pep chromosome:PHallii_v3.1:5:15191186:15194637:-1 gene:PAHAL_5G241300 transcript:PAN29658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSANPKPKRRRRGPPKQGPASPVVATVGAKVAHEVGGEGARRDARQAPPPARVVATTARGRGSGEEGARGVEGSASNAGRSAHEAGGVDGSYLTQTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPIILQGKDVLAKAKTGTGKTVGFLLPAIEVLTALPRSTSINLLVMCPTRELANQVAAEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMRKDYEFINTVQEGDEETHSQVNQMYMIAPLDLHFSILYDVLKKHVAEDAEYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKEGQGILLLAPWEMHFLSTVNDLSISEAAAPSVDSSIQAVVKDAVTRVEMKSKESAYQAWLGYYNSNKSISRDKARLVRLAEDFSQSMGLQVPPAIPKLILRKMGLSNVPGLRSA >PAN29657 pep chromosome:PHallii_v3.1:5:15190640:15194724:-1 gene:PAHAL_5G241300 transcript:PAN29657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQKQRQEQEKQLAFWELANQVAAEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMRKDYEFINTVQEGDEETHSQVNQMYMIAPLDLHFSILYDVLKKHVAEDAEYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKEGQGILLLAPWEMHFLSTVNDLSISEAAAPSVDSSIQAVVKDAVTRVEMKSKESAYQAWLGYYNSNKSISRDKARLVRLAEDFSQSMGLQVPPAIPKLILRKMGLSNVPGLRSA >PAN28339 pep chromosome:PHallii_v3.1:5:8794458:8794940:-1 gene:PAHAL_5G146400 transcript:PAN28339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQSQESAAPAAKLCAAGCGFFGSPATQDMCSVCYKKHHGIAAATASGTAARSACEPAAAPAASVSLAPAEGAVAEAAVSFSSAAAPEAARKAQPNRCAACCKKVGLTGFVCRCGKTFCGRHRYAEEHGCAFDFKGAGRDTIARANPLIKGDKLPDKI >PVH39093 pep chromosome:PHallii_v3.1:5:52433424:52438476:1 gene:PAHAL_5G431500 transcript:PVH39093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCNLLPRRFDFVAPSTFFPEKHPWMDKLQVLQKLAANEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLVKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHNNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNKILEGVIDTLRTLSKPGGTHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPDAVLSVLDHSSCNVDLHGEEVCISSMQQLDFAATISALLGIPFPFGSIGRVNPELYALSTGTWVNQRMGTDACTLQNDLEAWMRRYAEVLCVNCWQVKRYIDQYSATSVIGFPSEDLQHITNMYSRAQANWSASLRFTCSSETGSQEKLEGEGSVLPQQIDAYTDFLQTFAKLARSAWTEFDLWSMGIGLLLMILSVIIQAYMLVKLNTIRQPSDQKTASSSIIRKLFFAFALVAIRAASFLSNSYILAEGRVANFLLATSCVTSVWHSMVKGEFSIENLVFLLLNIFSRFGIEIGMSKQLPAPAITKDHSVSAICKIFGVNSCNILLEIFPIASLAFVAYIILKCLSHAICQKFLKYFVLCGTMVSYVSIAFHWASETTLFSHTEPVQEFGRSLAPRFVYAIGGLSLAISVLYRIFSPSDHLKMNKRITILVAIMLCSWSPTILVLLGRQGPFVALICMTGAWCIIKLQQKCQRESGLSVADPVSVIQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFIAVVWYNSTSKDSRLKDVILNNITQVLLMYGLVTAITATMTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDLLICLASLYYS >PVH39092 pep chromosome:PHallii_v3.1:5:52432925:52438729:1 gene:PAHAL_5G431500 transcript:PVH39092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPASQRRRSRLLSWPLLFVAILAFHSLAVYLFTRGFLLTRTELDLHSSRDDRPPQGDVSAGCTSWPPPAVDRLVIVVLDALRFDFVAPSTFFPEKHPWMDKLQVLQKLAANEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLVKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHNNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNKILEGVIDTLRTLSKPGGTHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPDAVLSVLDHSSCNVDLHGEEVCISSMQQLDFAATISALLGIPFPFGSIGRVNPELYALSTGTWVNQRMGTDACTLQNDLEAWMRRYAEVLCVNCWQVKRYIDQYSATSVIGFPSEDLQHITNMYSRAQANWSASLRFTCSSETGSQEKLEGEGSVLPQQIDAYTDFLQTFAKLARSAWTEFDLWSMGIGLLLMILSVIIQAYMLVKLNTIRQPSDQKTASSSIIRKLFFAFALVAIRAASFLSNSYILAEGRVANFLLATSCVTSVWHSMVKGEFSIENLVFLLLNIFSRFGIEIGMSKQLPAPAITKDHSVSAICKIFGVNSCNILLEIFPIASLAFVAYIILKCLSHAICQKFLKYFVLCGTMVSYVSIAFHWASETTLFSHTEPVQEFGRSLAPRFVYAIGGLSLAISVLYRIFSPSDHLKMNKRITILVAIMLCSWSPTILVLLGRQGPFVALICMTGAWCIIKLQQKCQRESGLSVADPVSVIQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFIAVVWYNSTSKDSRLKDVILNNITQVLLMYGLVTAITATMTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDLLICLASLYYS >PVH39091 pep chromosome:PHallii_v3.1:5:52432925:52438729:1 gene:PAHAL_5G431500 transcript:PVH39091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPASQRRRSRLLSWPLLFVAILAFHSLAVYLFTRGFLLTRTELDLHSSRDDRPPQGDVSAGCTSWPPPAVDRLVIVVLDALRFDFVAPSTFFPEKHPWMDKLQVLQKLAANEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLVKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHNNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNKILEGVIDTLRTLSKPGGTHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPDAVLSVLDHSSCNVDLHGEEVCISSMQQLDFAATISALLGIPFPFGSIGRVNPELYALSTGTWVNQRMGTDACTLQNDLEAWMRRYAEVLCVNCWQVKRYIDQYSATSVIGFPSEDLQHITNMYSRAQANWSASLRFTCSSETGSQEKLEGEGSVLPQQIDAYTDFLQTFAKLARSAWTEFDLWSMGIGLLLMILSVIIQAYMLVKLNTIRQPSDQKTASSSIIRKLFFAFALVAIRAASFLSNSYILAEGRVANFLLATSCVTSVWHSMVKGEFSIENLVFLLLNIFSRFGIEIGMSKQLPAPAITKDHSVSAICKIFGVNSCNILLEIFPIASLAFVAYIILKCLSHAICQKFLKYFVLCGTMVSYVSIAFHWASETTLFSHTEPVQEFGRSLAPRFVYAIGGLSLAISVLYRIFSPSDHLKMNKRITILVAIMLCSWSPTILVLLGRQGPFVALICMTGAWCIIKLQQKCQRESGLSVADPVSVIQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFIAVVWYNSTSKDSRLKDVILNNITQQSLLQ >PAN27741 pep chromosome:PHallii_v3.1:5:6283952:6289816:-1 gene:PAHAL_5G103300 transcript:PAN27741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVSSSHFPASNNAKKKPHQPRNGAAGGGGGQKRLAVLGEEGCEVTGIDDKYVLDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSPSIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRHMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKTMDTDNDGIVSYEELKTGIAKLGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELREALVDDGAADSMEVVNDILHEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLGVE >PAN28648 pep chromosome:PHallii_v3.1:5:10232586:10232984:1 gene:PAHAL_5G168900 transcript:PAN28648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREARNNDCKKSFLFSFISKVHKNYIANSILITFFFLNVNKKEENSCFFFPKFDIGWVEMRDNQINFLFSGQFHIGFVRIKTSRL >PAN27002 pep chromosome:PHallii_v3.1:5:3349535:3354024:1 gene:PAHAL_5G052800 transcript:PAN27002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MGETGGQPKGGIRPGGEGARSATPPSMAAAISGAVAAALLLLCPAWASAAAAVGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAEDQLPSYRGLRIGHTLQVPSFSRHPRTGDWYPAINKPAGVVHWLKHSPEADNVDWVVILDADQIIRGPIVPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYAFGAAEVGLRHKINDDIMIYPGYIPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEMMESDPNVKRGLFLSIECINTLNEGLLLHHASVGCPKAQWSKYLSFLKSRRFSELTKPKYWKGQKVDSTITTQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAILWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAEINLRHIIRRDIMIYPGYVPLPGAKYKVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPDTIMQEGLDARERDLLSIECARALNKALYLHHKRRNCPRIGTIHSTSSNKIARIAHESSRNRNRGKFESMDVAREKTVERAAATIPPVHRSRRLARSSRMWIIAVWAVSIVVFLLVISMFFTDRRRSVSRSRVSRSLKAHV >PAN27001 pep chromosome:PHallii_v3.1:5:3349536:3354024:1 gene:PAHAL_5G052800 transcript:PAN27001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYAFGAAEVGLRHKINDDIMIYPGYIPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEMMESDPNVKRGLFLSIECINTLNEGLLLHHASVGCPKAQWSKYLSFLKSRRFSELTKPKYWKGQKVDSTITTQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAILWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAEINLRHIIRRDIMIYPGYVPLPGAKYKVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPDTIMQEGLDARERDLLSIECARALNKALYLHHKRRNCPRIGTIHSTSSNKIARIAHESSRNRNRGKFESMDVAREKTVERAAATIPPVHRSRRLARSSRMWIIAVWAVSIVVFLLVISMFFTDRRRSVSRSRVSRSLKAHV >PAN26999 pep chromosome:PHallii_v3.1:5:3349535:3354024:1 gene:PAHAL_5G052800 transcript:PAN26999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYAFGAAEVGLRHKINDDIMIYPGYIPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEMMESDPNVKRGLFLSIECINTLNEGLLLHHASVGCPKAQWSKYLSFLKSRRFSELTKPKYWKGQKVDSTITTQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAILWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAEINLRHIIRRDIMIYPGYVPLPGAKYKVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPDTIMQEGLDARERDLLSIECARALNKALYLHHKRRNCPRIGTIHSTSSNKIARIAHESSRNRNRGKFESMDVAREKTVERAAATIPPVHRSRRLARSSRMWIIAVWAVSIVVFLLVISMFFTDRRRSVSRSRVSRSLKAHV >PAN27000 pep chromosome:PHallii_v3.1:5:3349536:3354024:1 gene:PAHAL_5G052800 transcript:PAN27000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MLRSQLEREIPKIHLLKRYPAINKPAGVVHWLKHSPEADNVDWVVILDADQIIRGPIVPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYAFGAAEVGLRHKINDDIMIYPGYIPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEMMESDPNVKRGLFLSIECINTLNEGLLLHHASVGCPKAQWSKYLSFLKSRRFSELTKPKYWKGQKVDSTITTQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAILWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAEINLRHIIRRDIMIYPGYVPLPGAKYKVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPDTIMQEGLDARERDLLSIECARALNKALYLHHKRRNCPRIGTIHSTSSNKIARIAHESSRNRNRGKFESMDVAREKTVERAAATIPPVHRSRRLARSSRMWIIAVWAVSIVVFLLVISMFFTDRRRSVSRSRVSRSLKAHV >PAN26998 pep chromosome:PHallii_v3.1:5:3349536:3354024:1 gene:PAHAL_5G052800 transcript:PAN26998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MGETGGQPKGGIRPGGEGARSATPPSMAAAISGAVAAALLLLCPAWASAAAAVGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAEDQLPSYRGLRIGHTLQVPSFSRHPRTGDWYPAINKPAGVVHWLKHSPEADNVDWVVILDADQIIRGPIVPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYAFGAAEVGLRHKINDDIMIYPGYIPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEMMESDPNVKRGLFLSIECINTLNEGLLLHHASVGCPKAQWSKYLSFLKSRRFSELTKPKYWKGQKVDSTITTQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYDNVTL >PVH37650 pep chromosome:PHallii_v3.1:5:3350331:3352519:1 gene:PAHAL_5G052800 transcript:PVH37650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MLRSQLEREIPKIHLLKRYPAINKPAGVVHWLKHSPEADNVDWVVILDADQIIRGPIVPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYAFGAAEVGLRHKINDDIMIYPGYIPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEMMESDPNVKRGLFLSIECINTLNEGLLLHHASVGCPKAQWSKYLSFLKSRRFSELTKPKYWKGQKVDSTITTQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYDNVTL >PAN31719 pep chromosome:PHallii_v3.1:5:52877970:52881124:-1 gene:PAHAL_5G440000 transcript:PAN31719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALVPFPVVLVAFVLSVGTPALARSPSCPVGWQISLVQNKCFMYISASLSWERSEALCRNHTGHLAALSSVQELNFTKSLCGSTSGCWVGGHHYNTSTGNGWKWSDDSSVGNESLFPVEPLHANCSGTACDGTTSNDLCTLVTNSHASISGKRCSESHGLICMMDHVDRCYHDHCHKEYFIALIAVSGFILATTLAVVVWLLVYRRSKKRRRSREALGSSAAALVAPQWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSSLQRKKDFYSEIRRVAKLYHRNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRAATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPLIQDLPDVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLEMKSAASEQQLRSGTSTSATSPMLPLEVRTPR >PAN31720 pep chromosome:PHallii_v3.1:5:52877529:52881314:-1 gene:PAHAL_5G440000 transcript:PAN31720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALVPFPVVLVAFVLSVGTPALARSPSCPVGWQISLVQNKCFMYISASLSWERSEALCRNHTGHLAALSSVQELNFTKSLCGSTSGCWVGGHHYNTSTGNGWKWSDDSSVGNESLFPVEPLHANCSGTACDGTTSNDLCTLVTNSHASISGKRCSESHGLICMMDHDRCYHDHCHKEYFIALIAVSGFILATTLAVVVWLLVYRRSKKRRRSREALGSSAAALVAPQWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSSLQRKKDFYSEIRRVAKLYHRNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRAATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPLIQDLPDVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLEMKSAASEQQLRSGTSTSATSPMLPLEVRTPR >PAN31636 pep chromosome:PHallii_v3.1:5:52523993:52526797:1 gene:PAHAL_5G433000 transcript:PAN31636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDDHHPLAAAASSSAGDDDEGTDTDASNSDLANQQDPPTLTDATSAPPPTPAAAAASAPEPSGAVPPPPPQTQGAGAAEDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYEEIRRRLSFDFTKSQLIEKLRRLKKKYRVCAARVAAQGAAFAFRSAHEGAIYDVARHIWRPAFRRGEGASAGDASDEDDINPAAALPNAMEDGGGGSAFAPTPRGRGGRRVRRRTAQELEAPALPSTSALMLNDVAQEPLVVSVENSAPAFAPPHPVQLPIVSPVVATPSPMPANGGGATEEVVRTILSPLLKEFISSVAAAGQAGLGLGLGMGFGGIGGFDILALGFGTAGPNPGMTGDEKWKQQQILELEVYLKRIELVREQVTAALQELRSSEGPFTFGC >PAN29183 pep chromosome:PHallii_v3.1:5:12603306:12607285:1 gene:PAHAL_5G206900 transcript:PAN29183 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MAPPCGDAAAAPELTSLRIPDGAELPPLPRRGGGGGNAAEREEEGDKSKKARKEKAGAQRIAGWGLREYSKIVSKKVETKGRTTYNEVADEICAELKLTLNGQEFDEKNIRRRVYDAFNVLIALRVIAKDKKEIKWMGLSNFRYEKIKKLEEAHKELMIRIKNKKKLLQEIEKQFDDLQNIKFRNQVLQRPAESVNGICLPFLLVKASRKARVEIEISEDSKFAGFDFNCTPFTLHDDVSILDGIRRNGIRRAG >PAN29199 pep chromosome:PHallii_v3.1:5:12684768:12685432:1 gene:PAHAL_5G207900 transcript:PAN29199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVQLRPRYVVFDLSPGAGLRCFSPPAPAVEHDGVPLRTVALGLEALRRTRLSRADDPTTAHRPAQKVIAGDDHAHISSDPDTVSDAGTSGVHQTAEESDDDEEAGSFGELFFEEGFARKVGALAELVGMKGAYKPAAVLGEVVRLLQATGRREGCVRARTAV >PAN31008 pep chromosome:PHallii_v3.1:5:48434215:48437353:-1 gene:PAHAL_5G388400 transcript:PAN31008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVVHHKSTRSWFCGRRAVGAHVGGRPHSNCPLFPPSGSDFSSSFPLPSPFLFLRADIHRASGSGGSDGARGAGPAWCSSWGGGGAGRRLRPLSGGSSASFASQGNDGWCRKCCPLAPGELRRTESPQWESAAAPPGVLRTAAAPTSLASAAIFSFIFLWL >PVH37443 pep chromosome:PHallii_v3.1:5:278029:280201:1 gene:PAHAL_5G004100 transcript:PVH37443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTASCRRSGRKEKKPHEYDEHQPILIYLFLYVAVLFFLLITAVYIHLINYLQKMYETFKGDIEDACRKRSCCWTKEERQDHDAYVEIITAAGEEEDDRRRRHHHDEEEEEEEEEEETRMPLLVYVSREKRRASPHHFKAGALNALLRVSSLVSHAPYVLVLDCDMSCNTRASALEAMCFHLDRSPPAPDNLAFVQFPQMFHNLSRNDIYTNDLRYVFGTRWIGLDGLRGPLLSGTGFYVRRDALYGATPPPATQAGGYFSSSMLEAGELATRFGHSESRTNSWRPCATSCNRQHPGPAAPPPTADVVLFLETPRLWPPAPTRRAPDGATRLGSCTSRWWRTTSPATAASLPGAGRRPTATRRGARSSAACPPTSTTSSSRTSAGCPACSPSASPDTARSPVRTSSPSPCPRPWPSPTSASSPSTHSRCSATPPCRSSASSAASRCSPTPGGSPPHSRPRCSSTWWRCLWPTAGWRCGPGGTSRGSGCSTPSPASSSAASAPSRTCSARRR >PAN26351 pep chromosome:PHallii_v3.1:5:277283:280201:1 gene:PAHAL_5G004100 transcript:PAN26351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEMPPLSAVHVNKPLAAANRLMAHRAPLLLSAASAAMVAADLTLLFLWALSQSGLWRPVSRAAFPERLLAARRRSQLPGVDVLVVTADPALGVMNTVLSAMALDYPGARLSVYLSDDAGSPLTLLAARKAYAFARAWVPFCRKHSVQCPWPDRYLGGGGDDEDGGGDCGGGEAAAEERRRIKKMYETFKGDIEDACRKRSCCWTKEERQDHDAYVEIITAAGEEEDDRRRRHHHDEEEEEEEEEEETRMPLLVYVSREKRRASPHHFKAGALNALLRVSSLVSHAPYVLVLDCDMSCNTRASALEAMCFHLDRSPPAPDNLAFVQFPQMFHNLSRNDIYTNDLRYVFGTRWIGLDGLRGPLLSGTGFYVRRDALYGATPPPATQAGGYFSSSMLEAGELATRFGHSESRTNSWRPCATSCNRQHPGPAAPPPTADVVLFLETPRLWPPAPTRRAPDGATRLGSCTSRWWRTTSPATAASLPGAGRRPTATRRGARSSAACPPTSTTSSSRTSAGCPACSPSASPDTARSPVRTSSPSPCPRPWPSPTSASSPSTHSRCSATPPCRSSASSAASRCSPTPGGSPPHSRPRCSSTWWRCLWPTAGWRCGPGGTSRGSGCSTPSPASSSAASAPSRTCSARRR >PVH37700 pep chromosome:PHallii_v3.1:5:3917434:3918252:-1 gene:PAHAL_5G062600 transcript:PVH37700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTGDKQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPNRHEDGDVSLESQVVAKKDTFRYLGSMLQKDGDIDKDVRHRISAGWLKWRQASGVLCDKKVPQRLKVKLYRTAIRPAMLYGAECWPTKKRHVQQLSVAEMCMLRWFCGHIRRDRVRNEEIRNRVGVAPIEEKLIQHWLRWFGHVQRRPPEAPVRSEVLKRGDNVKRDRGRPRLNWDETVKRDLKEWNIAKELAMNRSAWRLAINVPEP >PAN31061 pep chromosome:PHallii_v3.1:5:48901979:48912845:1 gene:PAHAL_5G392300 transcript:PAN31061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHAKESLKKMYPVAKNYFGGLLPSHSFSVSDLVNPPRSSNIGSRYAIPFKARSFTQCSLERCSADQEIVIAMGSNVGDRVSTFDRALQLMKSSGLTITRHANLYETAPAYVTDQSRFLNSAIRGTTRLRPHELLKKLKEIEKDIGRTSGIRYGPRPIDLDILLYGNSKINSETLIVPHERIHERPFVLAPLVDLLGASGEDGIETSWHSLSKCSGGFFELWNKLGGESIIGTETIKRVLPVGNRLVDWCERTLVMGVLNLTPDSFSDGGKFQQVEAAISQAKLLISEGADIIDIGAQSTRPFAKRLSPNEELERLVPVLDEITKIPEMEGKLLSMDTFYAEVASEAVKRGAHMINDVSGGLLDPKILKVAAELRVPYVAMHMRGDPSTMQSEQNLHYDDVCKEVASELYAQVREAELSGIPLWRIVLDPGIGFSKKSKHNLEVIMGLESIRREMGKMSIGASHVPILLGPSRKGFLGEICNRANPVERDAATVAAVTAGILNGANIIRVHNAGYGADAAKVCDALHKGRRLED >PVH38933 pep chromosome:PHallii_v3.1:5:48901979:48912845:1 gene:PAHAL_5G392300 transcript:PVH38933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHAKESLKKMYPVAKNYFGGLLPSHSFSVSDLVNPPRSSNIGSRYAIPFKARSFTQCSLERCSADQEIVIAMGSNVGDRVSTFDRALQLMKSSGLTITRHANLYETAPAYVTDQSRFLNSAIRGTTRLRPHELLKKLKEIEKDIGRTSGIRYGPRPIDLDILLYGNSKINSETLIVPHERIHERPFVLAPLVDLLGASGEDGIETSWHSLSKCSGGFFELWNKLGGESIIGTETIKRVLPVGNRLVDWCERTLVMGVLNLTPDSFSDGGKFQQVEAAISQAKLLISEGADIIDIGAQSTRPFAKRLSPNEELERLVPVLDEITKIPEMEGKLLSMDTFYAEVASEAVKRGAHMINDVSGGLLDPKILKVAAELRVPYVAMHMRGDPSTMQSEQNLHYDDVCKEVASELYAQVREAELSGIPLWRIVLDPGIGFSKKSKHNLEVIMGLESIRREMGKMSIGASHVPILLGPSRKGFLGEICNRANPVERDAATVAAVTAGILNGANIIRVHNAGYGADAAKVCDALHKGRRLED >PAN29720 pep chromosome:PHallii_v3.1:5:15574979:15581607:1 gene:PAHAL_5G246100 transcript:PAN29720 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MALAACPRYDISHPRAFAPASGSRGLGLLRAPRSAASRPRGRLRRRSRAMAAYGGVHSTTDGDGAGASHAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRKLLGHRLPIDPSEAKLEWYQIVEGEHSLWDGVSRPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRREVVTKDCNSCTALPSRIKRVFYMSSEGSNLLHEVFPKANHTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETAGLSASGFVTAITDSLNRTYGDPHKSLQNRPSDYVNAILVPEGGQVPLDIENLSAQGIFHVVTVESVHDPKVGVIFDPQSLIQALTSLISEEINTHLSELGYLTENVKLGS >PAN27246 pep chromosome:PHallii_v3.1:5:4249248:4252406:1 gene:PAHAL_5G068900 transcript:PAN27246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLRQALGAAKDQTTIALARVGGADDEVAADVEVAIVRATAHGEALPADDRHVAEILALTRYSRARVAACVASVARRLGRTRTWPVAVKVLALVHRLLAEGDPAFEQEVFLATRRGRRMLDMSRFRDRGRARSRDWDFAAFVHAYAVYLDDRLKCRMQGRQGGAATPRHWSVHGSRGSSFHDTADGTGEVHEAWPATETGTEDLVARAQQLKHLLNRFIECRPTGKARTNRVVTTALYRVVKESAAMYCELTEVMVVLMDRFAELDTPACVRVHSIFTSLAKLVDELDDFYAWCKATAVCRPSDVPEIQRVRQKNLDLMGEFIRDRLASASLRCRSPPAPLSSPSTPVRKYDAKPIDDEPVPEEQQVTAREENNTGKAAAAAELAGSLVVVDDKMANLLNLDDDTLPPSGEEHDRDLTLALFDGCSAEATASEWVAFDDPSEDWETALVQSTSRPATRLVELGGGFNTTVLDAMYSHAAANATVTNSRAFAGSASSVATQPLGATALALSPPPGANAAAAAAAAAGTRTDPFAASQAVPPPTYVQMTDLRTRQRLLVQEQNAWQQYERQRAPFSYNLL >PVH38621 pep chromosome:PHallii_v3.1:5:24707896:24708630:-1 gene:PAHAL_5G305300 transcript:PVH38621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTVGFRFPDTYRKAARKALRRLRVLYRRHLQQTPMGFFPPAEGRGRTWIARMRGIGREEEDLEDTVSHLSIYLTGLDALYREQAAQMKQLIPGIEKITQELDEQRTRAASVEYSLAALQAQMQEYENRHGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRPIRTEEESP >PAN31821 pep chromosome:PHallii_v3.1:5:53306532:53311989:1 gene:PAHAL_5G445200 transcript:PAN31821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAASENQSLKDRDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHTFSREEQDSYAILSNERAIAARDSGAFSWEIIPVEISSGRGKPPVVVDKDESLAKFDPVKLKKLGPTFKTNGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALSIPKAISSAGLQTSQIDYYEINEAFAVVALANQRLLGIPSEKLNLSGGAVSLGHPIGCSGARIIVTLLGILRQKHGKFGVAGVCNGGGGASALVLELMQPSSHIRSSL >PVH39159 pep chromosome:PHallii_v3.1:5:53306532:53311989:1 gene:PAHAL_5G445200 transcript:PVH39159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAASENQSLKDRDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHTFSREEQDSYAILSNERAIAARDSGAFSWEIIPVEISSGRGKPPVVVDKDESLAKFDPVKLKKLGPTFKTNGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALSIPKAISSAGLQTSQIDYYEINEAFAVVALANQRLLGIPSEKLNLSGGAVSLGHPIGCSGARIIVTLLGILRQKHGKFGVAGVCNGGGGASALVLELMGD >PAN28209 pep chromosome:PHallii_v3.1:5:8290378:8303632:1 gene:PAHAL_5G138400 transcript:PAN28209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFVRRMVGCNETVRPSATGGRSLQGLGCNFHFSQSCDFRQLLEHQGPMAGAVIIDDSAASSSGLGDEDRCVTGDSLSMWRSCEQVDNGFPSTSPPFWDTDGDDDDPGTRPLDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHEKLLPGWSHFAQFTIAVGNLDPKKVKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFVVDDVLEIIAQVQVIREKADRPFRCLDRPYRRELLRVYMTNIEQIYRRFVEERRRKLIRLIDDKWKWSSFRAFWLAIDPTTKHRMSREKSDTILKMVVKHFFLEKEVTSTLVMDALYTGLKALEGHSNGKKGIVTSMDLEDLPAPMVHVDMDMFVLAGDFISLLERAALEPLSCQSLSPKDDKCSQSRAKDGGGSGEVNKVPIEREERRLTGFGQKIIETFVLSHIFSGVEVAYKEDVALKRQEELIREEELLENEKGKRGSANEKDKRAKKKQAKQKKNGRKVKDKERVEKSDSNFLERGRDGSTNHDRENSKHAGLLAAKPDNSGDGASDISDNVDGSIEACEKETVDIESLVTSVSATVSSIRGKINNLLDSTSHITRGRSRRNRVISSIIASQYEDDLPSSSCSDRNTYGCGPAPRRDQETALLTLKDRLRELGQRLHEKEIEGRELLKAHLEKKAAAEAAAGSSSSDSLEKTPQVRKVPVQSLVTVNDGNSNAPASKSLPVATSGDSNEAVPATATSTINTKSVPITPTPSKIEPILCEEHASSSSQKAESTTLMPSRSTPVDKAIPTPPQSPLPQADTVGKATPARPKSPLPQVGTVSKATPATTKSFTSQLDKGAISAGPKSPATQVDTISQHNSVSRQIRSTSISKAREDTDSERVVATSVPRTKTPTPTSRPTSAPLFQAPSSTPPTPSVQVSPLLSHSLTVSERLRDEPSPSAPIISQAYRNAFLGKGSLNTTSSSHEQSTSIGQYTAVSQPLSAYALATSVMASPTERNEQLPGKQSYMFGPSKPEALDNWHLRKGNSDVNKHTWKDDIPNQQITNCDVHVHPVKDISYQQLGTSAIEQGRLGGLQYRQFQSEMPASFVSYQQQGPVGEEFPHIDIINDLLDEDQSSVCMAASPLHEYHTFGLPFSTGGNMVDSEMASISSSGRFNSTDHYYDESYLRAYDTQNAMHRLRDGQLSTLDVYSNGRLDTTAPKPWPYSHSNPAVNHGINSNAFPQRIGDYTNLANGRVNGEYPEYLYRRANRQW >PAN28211 pep chromosome:PHallii_v3.1:5:8291064:8303660:1 gene:PAHAL_5G138400 transcript:PAN28211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVIIDDSAASSSGLGDEDRCVTGDSLSMWRSCEQVDNGFPSTSPPFWDTDGDDDDPGTRPLDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHEKLLPGWSHFAQFTIAVGNLDPKKVKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFVVDDVLEIIAQVQVIREKADRPFRCLDRPYRRELLRVYMTNIEQIYRRFVEERRRKLIRLIDDKWKWSSFRAFWLAIDPTTKHRMSREKSDTILKMVVKHFFLEKEVTSTLVMDALYTGLKALEGHSNGKKGIVTSMDLEDLPAPMVHVDMDMFVLAGDFISLLERAALEPLSCQSLSPKDDKCSQSRAKDGGGSGEVNKVPIEREERRLTGFGQKIIETFVLSHIFSGVEVAYKEDVALKRQEELIREEELLENEKGKRGSANEKDKRAKKKQAKQKKNGRKVKDKERVEKSDSNFLERGRDGSTNHDRENSKHAGLLAAKPDNSGDGASDISDNVDGSIEACEKETVDIESLVTSVSATVSSIRGKINNLLDSTSHITRGRSRRNRVISSIIASQYEDDLPSSSCSDRNTYGCGPAPRRDQETALLTLKDRLRELGQRLHEKEIEGRELLKAHLEKKAAAEAAAGSSSSDSLEKTPQVRKVPVQSLVTVNDGNSNAPASKSLPVATSGDSNEAVPATATSTINTKSVPITPTPSKIEPILCEEHASSSSQKAESTTLMPSRSTPVDKAIPTPPQSPLPQADTVGKATPARPKSPLPQVGTVSKATPATTKSFTSQLDKGAISAGPKSPATQVDTISQHNSVSRQIRSTSISKAREDTDSERVVATSVPRTKTPTPTSRPTSAPLFQAPSSTPPTPSVQVSPLLSHSLTVSERLRDEPSPSAPIISQAYRNAFLGKGSLNTTSSSHEQSTSIGQYTAVSQPLSAYALATSVMASPTERNEQLPGKQSYMFGPSKPEALDNWHLRKGNSDVNKHTWKDDIPNQQITNCDVHVHPVKDISYQQLGTSAIEQGRLGGLQYRQFQSEMPASFVSYQQQGPVGEEFPHIDIINDLLDEDQSSVCMAASPLHEYHTFGLPFSTGGNMVDSEMASISSSGRFNSTDHYYDESYLRAYDTQNAMHRLRDGQLSTLDVYSNGRLDTTAPKPWPYSHSNPAVNHGINSNAFPQRIGDYTNLANGRVNGEYPEYLYRRANRQW >PVH38513 pep chromosome:PHallii_v3.1:5:18274915:18275586:1 gene:PAHAL_5G274700 transcript:PVH38513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSRPSFAHSRWAARLDGGARDDGRRCCRGHVGCKRAELRAAAAESRGAEEDATSSEGRRPHGSSRCNGSKEDDDSDLRDPWK >PAN32911 pep chromosome:PHallii_v3.1:5:58102866:58105620:-1 gene:PAHAL_5G521300 transcript:PAN32911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPFSDTELFDGFYGGFGGGGGCGHGYDEYGLGQLGASTSPVLADGGTEEADGAAASTELLEAPKRKGDRRSEKAAMALKSHSEAERRRRERINAHLATLRTMVPCTDKMDKAALLAEVITHVKKLKTSAARIRSHCAVPADADDVAVELVSPDAASPPPSHGGGLLVKATLSCDDGADVFADVRSALRPLRLRVVGSEVTTLGGRVRLTFLISPPPGDDAGLAESVRQALQSVLDKANSALEFAPRASLLNKRRRVSTFESSSSSS >PAN26969 pep chromosome:PHallii_v3.1:5:3148763:3152328:1 gene:PAHAL_5G050500 transcript:PAN26969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPRSPPPPPPPPPPEFEISRQSRIFAALSKKVIDLDELRMLAAQGVPDAAGVRSTVWKLLLGYLPNDRSLWEQELAKKRSQYAAFKDEFLSNPVETARQVEKEGNSNDNAEHVDNGLLHRSEVTQEEHPLSLGKTSVWNHFFEYAEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNVLLIFAKLNAGIRYVQGMNEILAPLFFVFRSDPDDKNAVCKICRSGFILLLR >PAN26971 pep chromosome:PHallii_v3.1:5:3148763:3152328:1 gene:PAHAL_5G050500 transcript:PAN26971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAQGVPDAAGVRSTVWKLLLGYLPNDRSLWEQELAKKRSQYAAFKDEFLSNPVETARQVEKEGNSNDNAEHVDNGLLHRSEVTQEEHPLSLGKTSVWNHFFEYAEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNVLLIFAKLNAGIRYVQGMNEILAPLFFVFRSDPDDKNAKFAEADSFFCFVELLSGFRDNFCQKLDNSSVGIRGTLAKLSQLVTKYDAELQHHLEITTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >PAN26968 pep chromosome:PHallii_v3.1:5:3148763:3152328:1 gene:PAHAL_5G050500 transcript:PAN26968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPRSPPPPPPPPPPEFEISRQSRIFAALSKKVIDLDELRMLAAQGVPDAAGVRSTVWKLLLGYLPNDRSLWEQELAKKRSQYAAFKDEFLSNPVETARQVEKEGNSNDNAEHVDNGLLHRSEVTQEEHPLSLGKTSVWNHFFEYAEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNVLLIFAKLNAGIRYVQGMNEILAPLFFVFRSDPDDKNAKFAEADSFFCFVELLSGFRDNFCQKLDNSSVGIRGTLAKLSQLVTKYDAELQHHLEITTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >PVH37586 pep chromosome:PHallii_v3.1:5:2302707:2303480:-1 gene:PAHAL_5G037500 transcript:PVH37586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTFYSFDPIHREEDAYKNANKCLHKQLTPTMYSCKFPGKKWNENFSPYGYITAAEDICS >PVH39571 pep chromosome:PHallii_v3.1:5:59328142:59332186:-1 gene:PAHAL_5G538100 transcript:PVH39571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVSSLRSPSKADHHQRGFVGDHVVFPTSGGDCDDFMVDENLLDYIDFSCCDVPFFDADGDILPDLEVDPTEELLAEFSSPETSPTAADGCRAEAKPPDDLMKQGRQAEEAVLLKTTTTTVEEEKKLLADENKRVLLEKDEKLAASGVTTTMRKNVVGAEGSSAVATEEDSAGAGSDTKSSASAGGHGKKKAAADKNSSNGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIECLTRHNIASHLQKYRSHRKHLMAREAEAATWAQKRHHMYAPAPARKLDAAAAGGPWVVPTIGFPPPSMAQPPPPFCRPLHVWGHPPTAGVEAAAAPPTMLPVWPRHLAPPRPWAPVDPACWHQHQYNAARKWAPRHAAAAVTTPAMVQQLPRFPVPHPATMYRPTCMVPPPPPPTTSTKLADLQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSMDSVMSELHKQGIPKVPPSSG >PVH39572 pep chromosome:PHallii_v3.1:5:59327844:59332663:-1 gene:PAHAL_5G538100 transcript:PVH39572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVSSLRSPSKADHHQRGFVGDHVVFPTSGGDCDDFMVDENLLDYIDFSCCDVPFFDADGDILPDLEVDPTEELLAEFSSPETSPTAADGCRAEAKPPDDLMKQGRQAEEAVLLKTTTTTVEEEKKLLADENKRVLLEKDEKLAASGVTTTMRKNVVGAEGSSAVATEEDSAGAGSDTKSSASAGGHGKKKAAADKNSSNGKRKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIECLTRHNIASHLQKYRSHRKHLMAREAEAATWAQKRHHMYAPAPARKLDAAAAGGPWVVPTIGFPPPSMAQPPPPFCRPLHVWGHPPTAGVEAAAAPPTMLPVWPRHLAPPRPWAPVDPACWHQHQYNAARKWAPRHAAAAVTTPAMVQQLPRFPVPHPATMYRPTCMVPPPPPPTTSTKLADLQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSMDSVMSELHKQGIPKVPPSSG >PAN32801 pep chromosome:PHallii_v3.1:5:57606964:57617320:-1 gene:PAHAL_5G513500 transcript:PAN32801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGFSIRRCAERLRGTAAAELGPLELAARDLPPMEVRVFRWWEEELAAIKAAAAAEEGEGPVAEVDEDEEEAPGNGRTPKKRSITDLFAAAPAVNAVGGPVAAEDDEEVLRAFYRRTKEMRRKRRLEEAAADEPESSAAAEGNFARKKSLDKTNLGDGMDTPDASEEHDDEHNLSTERENIPDLKKRKHGRLNNSLQKKKANRLKYIGSTKAIKVGKRDIKKLPLHSILKKYTKHTSVKMVKEKHGNSKGPGVIELCRKSVKRVKFSEASDALGSKKQCSKRPELANICKLISDAMTSSSSSSLEISSEEEHIIAETSSSRMPEKAFAMAKDANDNTNRDNQSELSSTGLSTGLFDLNKGFEDLTDLNSPYIPNSEESCLQHTQVGTQYMDQQVIDNGRTNHKHSSFNPHGQEQEHHATDLDNRMKSPCTLRNQTIQDSVQLQNWCSMTMHHGVSQLSTGGESSSFQFRGCNLSHSEKQIFHSEMNMQQESRPSAGQTLRLMGHDLTISNTRVDYLSEAAQKQTNPAEDHLTTKLVLELPRQGQPFLSLQTQSTPNISASSASTVAHISASSGSTAEAHFRYRTPHNVRHPLPDANVFSGDPSRCEERWTDFTNLQSHRNVLLGYPPVSNHGSAASIQNPPPPRRYYTDHSTKKDSPSAPFSPINMQHVTPSSDYGANLPVSYGLYSASSSVHPHNSVSFTWSHPDQIVRGVPDSRTSAALPSRNAGIGTARADPDNSTSSSSRFVLRSGPVKLSPGAKHILIPSENTEDDNSAPIYSCVSFGTYNGNVSAPPQNKGAGSRRF >PAN29376 pep chromosome:PHallii_v3.1:5:13617835:13620189:1 gene:PAHAL_5G221800 transcript:PAN29376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGMSEEKNALVAELVRVLEMVRQLEAHMAGQQQQQAGGEQRCRALVGTMRDSIHRAVHMAMSSCAGGQPESPPSGGDGSPRSAGSDQAGDFRGRGNAAGQCKRRKTLPKWSTQVRVSAVQDVTPLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHSQSCNASKQVQRTDGDPLLFDVVYHGSHTCAQAQQHGAAAHPGGSNQGARPAAASGPGEHSQSQAQTTASPGFEAAAGPALPFSLPSNGPAAGADDAGGSRLPGGGARATASPFVSPATPECLARDVPHPHDAELASTTNSPMPMEEMDFMFPLDAADFLENPASYF >PVH38985 pep chromosome:PHallii_v3.1:5:50735484:50737987:1 gene:PAHAL_5G409900 transcript:PVH38985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTMGEPEPGRRRLYQVWKGSNKFLCGGRLIFGPDAGSLFLSTVLIASPLVGLCFQCVTKLNYSGSSEKQALGMPALVATILLGLAVSLPPSIDRSPAGALRSQLVRTCQQLSIANPFTAHRVASAQDLAFLFLTSSRDPGIVPRNARSPERGDDDPAAAAAAEDDVATPSTEWVMSAANPHLRLPRTRDVAVAGGHVVRVKYCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISTSTFLCLYVFVLSWLNIAAQRGSHGGSLLRSMTGEPLSLVLIVYTFVSAWFVGGLTVFHTYLMSTNQTTYENFRYRYDKKENPYDRGVPANISEVFCTGMPPSMNRFRAWVELPEPPEAFDGGPLASRNKIDLIGPDEKADLEMGRHHHPGGVPAILQGLHYAEMEKNSASVHVKDRQSAEAPDPFMIPEAPRHDGEVE >PAN27475 pep chromosome:PHallii_v3.1:5:5126940:5130131:1 gene:PAHAL_5G083400 transcript:PAN27475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTAMTVDFLRARLLSERSVSRAAKERADELAKRVAELEEQVRAVTAQRRQAERAADEVLAILESQGFGGHLSDDEASDQDGEEEEDAKSRGNTAAALEEEEEEEEETVAAKGEAEDALSGTAQPAGGLSWKGRSVSPRKATQLKHKHRLAYFYLLSSDSSPKYRMGQSCRKNRRRIELSNGNRSGATEDDGGGAGSQKRRQDGSDVTDDGQADMDGEVGGDERSSGDGGGGQYVIRYEKDGEMERVLERQAELIGQYEEEEKAQREWEKQYNENRNANKVDVEVKNKAFQTDAESKSSKKNLPITINPSAGCLPDGSLSESPQYASQETGALRREASNVPAHGRAQTSSISAQESSATSTVTRQDQNREDEISDGESGYNANAKHYTIKAPSDGSPSSDTLNSPSQFHDNTDSTADTQPYRPASSNIADIECVLQALQRARISLSAKLSKPVPPSQVTLALPAPGDEHKEYDDLPAKDDISYGENLSSSSPARQEILALPAPEDYHEREDWPPELDGAAISHAEKASSSGPNLEEILALPAPAIEDFAKISVGTPGLFRLPTDSFPVDEKMFSGNACGSGFSLGAAALPATSILSNPPPAAAAAYGAATSVPSVSGDGSGFSAKQRCDVQTPALLPVPTPGRCSIPTPDFSVGSAPFLPGIPGLQQDLRRAGPLGSADLFMQRGIDYTISNKWML >PAN26408 pep chromosome:PHallii_v3.1:5:714767:718576:1 gene:PAHAL_5G010600 transcript:PAN26408 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71190 [Source:Projected from Arabidopsis thaliana (AT1G71190) UniProtKB/TrEMBL;Acc:Q9C989] MDASRKKKWLAWAVAAVIFVVLMLVTPAIPQNEDYHDFADQRTLFLGIPNTLNVISNIPFFFVGVTGLILCHYKDYFRLSSQGELWSWTLFFAGVTAVAFGSSYYHLNPNDATLVWDRLPMTIAFTSIMAIFIIERVDERAGAKSLAPLVIAGALSILYWSYFDDLRPYAVVQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYRWTHQIVSGHSLKHLCAAMVPVFLALMLAKRTIEPERVSLLQKWKIGLITVKESRSKVGNTVDVNCNYAVVSTSSEQ >PAN27199 pep chromosome:PHallii_v3.1:5:4077810:4078584:1 gene:PAHAL_5G065800 transcript:PAN27199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKRPQERAYLIAYLKNATA >PAN28067 pep chromosome:PHallii_v3.1:5:7709541:7712028:1 gene:PAHAL_5G129200 transcript:PAN28067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLRLRRQLPLPFTARAFSSSSEPNEIPTIYSFLQPSVFAPRPRPQPPPPPPPAHDTAPRKTLPVADAATLESSLLAAVAEDRSDDAWLAFKSLAASSSPPSPHAAAALVSHLAGAAAAHQRLSLKRAFAAAIFLLEKSPHAAPVPEPALGALFSALAAAGSAAPALALARAMLRCGRRLPAFSVWGHPLIEVTRDDAGAFAAFLKVFDEACKLVVEEKAPAEAVAMRPDLAACNDVLAGCCRRLGSVADAERVLETMSAVGVSPDVESFGSLAFLYAWRGVPSRVDELDTLLDALGFSKKGFFKNLISGYLKSSNFELVSSLILSAVKERRVGDGNGFDEETYSEVARCFVDHARIRELAQLIIQAQEIELTQQPMSAEDSVGFGIVNACVELGLLNKAHNILDEMTAQGASVDLGVYSSILKAYCKEQKTAEAAQLVAEISAAGLQLDAGSYDALIDASMTAHDFQSAFALFKDMREARLPELRSSYLTIMTGLTENNQPGLMASFLDSVVDDPRIQIATHDWNSIVHAFCKVGRLEDARRTYRRMVFLRFEPNNQTYLSLINGYVSAEKYFNVLILWTEVRRKGTDFNHELIDAFLYALVKGGFFDMAMQVIDRAQEFKIFIDKWRYKQAFMETHKKLKVAKLRKRNFRKMEALVAFKNWAGLNT >PAN28867 pep chromosome:PHallii_v3.1:5:11064676:11085059:1 gene:PAHAL_5G183600 transcript:PAN28867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLNIVKGSHVWVEDKDLAWVDGEVFGIDGQNAHVRTPKGKTVTAKISDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYAKNIIYTYTGNILIAINPFQRLPNLVDACTMEKYKGANLGDLDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACINVDGINDAEEYLATRNAMDTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCEKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVSEHQELLNASKCSFVSGLFPPATEENTKSSKSSIATRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMADLDARRTEMRNYAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGVRAMAARNEFNFRKRNKASVRIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVAKKELRKLRMAARDTQALKVAKEKLEERVEDLTSRLGLEKKLRDDLEKSKAEEVAKLKTALREMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKELLVAEREENDIRKKAHANALETNEELNKKVSDADEKIKQFSDTVQRLEGTIREGEALLLTERQQNEAASATLAESQARNEALVSKLEDAVKQNDLLRETSQRFEEAMKNLESSLIFEKQQHEASLLELAEAREKIEELQREVGDTDEKSTLLQTTIQSLEERSREKDALLTLERQESEATKKSLSDSEDRNQNLLMKIEVAEKETAHFQETIQRYEQNMAALETSLRSEKQQTDVIMKQLADSQGEIGELQRKLEDADGRNRLLQDSLQRLEQDGTAKEALLLTEKQAHEATKKTLSEAQERNEELLKKIHDNDKNILQLQFTIQRLEETTVANENLLLREREQNDATTKAHIESQEKYEELLKKFVDVDRKIDLLQGTIERFGENTTTKDALLLSERHEKDAIKKALTEADEKNEELLMKVEDANEKIEHLQTMINKLEDNIAAKDVSLEAATKENDTIRKSLAEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDALIKKIEESSKIVTQLQEALQRLEGKAANLEAENQVLRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDIRQTEMKPSASTSEAITSSGNVPDLGDQKEFEHGEKLQRIPKQKYQSSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINTATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSDRIFHGNQTSNAGLAYLSGQSVVGSAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVPSVLVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATSFSILLDDDSSIPFSLDDITKTLPVIEMADDDLLPFVHENPSFAFLLQRGE >PVH38127 pep chromosome:PHallii_v3.1:5:11066730:11084505:1 gene:PAHAL_5G183600 transcript:PVH38127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACINVDGINDAEEYLATRNAMDTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCEKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVSEHQELLNASKCSFVSGLFPPATEENTKSSKSSIATRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMADLDARRTEMRNYAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGVRAMAARNEFNFRKRNKASVRIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVAKKELRKLRMAARDTQALKVAKEKLEERVEDLTSRLGLEKKLRDDLEKSKAEEVAKLKTALREMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKELLVAEREENDIRKKAHANALETNEELNKKVSDADEKIKQFSDTVQRLEGTIREGEALLLTERQQNEAASATLAESQARNEALVSKLEDAVKQNDLLRETSQRFEEAMKNLESSLIFEKQQHEASLLELAEAREKIEELQREVGDTDEKSTLLQTTIQSLEERSREKDALLTLERQESEATKKSLSDSEDRNQNLLMKIEVAEKETAHFQETIQRYEQNMAALETSLRSEKQQTDVIMKQLADSQGEIGELQRKLEDADGRNRLLQDSLQRLEEGATTTDALYLAEKQEHDHTKKSLSEAQGINKELLTKIEEAEKNIHQLLENVERLEKDTAARESILLTTKQSYDETAKLLLEAQEKNRELMHKVEDSDSKIVLLEDSVKRLEESTADKDSLLAIERHENCETKKELTGSQKKIEELLTEVQDARVNIAELEESVRRLEGNLGVTEALLLTEKEQNASTLKLLSEAQLRIEDLIKKLEGADRKSDSLQDTITRLEQDGTAKEALLLTEKQAHEATKKTLSEAQERNEELLKKIHDNDKNILQLQFTIQRLEETTVANENLLLREREQNDATTKAHIESQEKYEELLKKFVDVDRKIDLLQGTIERFGENTTTKDALLLSERHEKDAIKKALTEADEKNEELLMKVEDANEKIEHLQTMINKLEDNIAAKDVSLEAATKENDTIRKSLAEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDALIKKIEESSKIVTQLQEALQRLEGKAANLEAENQVLRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDIRQTEMKPSASTSEAITSSGNVPDLGDQKEFEHGEKLQRIPKQKYQSSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINTATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSDRIFHGNQTSNAGLAYLSGQSVVGSAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVPSVLVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATSFSILLDDDSSIPFSLDDITKTLPVIEMADDDLLPFVHENPSFAFLLQRGE >PAN28865 pep chromosome:PHallii_v3.1:5:11064674:11085059:1 gene:PAHAL_5G183600 transcript:PAN28865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLNIVKGSHVWVEDKDLAWVDGEVFGIDGQNAHVRTPKGKTVTAKISDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYAKNIIYTYTGNILIAINPFQRLPNLVDACTMEKYKGANLGDLDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACINVDGINDAEEYLATRNAMDTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCEKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVSEHQELLNASKCSFVSGLFPPATEENTKSSKSSIATRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMADLDARRTEMRNYAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGVRAMAARNEFNFRKRNKASVRIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVAKKELRKLRMAARDTQALKVAKEKLEERVEDLTSRLGLEKKLRDDLEKSKAEEVAKLKTALREMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKELLVAEREENDIRKKAHANALETNEELNKKVSDADEKIKQFSDTVQRLEGTIREGEALLLTERQQNEAASATLAESQARNEALVSKLEDAVKQNDLLRETSQRFEEAMKNLESSLIFEKQQHEASLLELAEAREKIEELQREVGDTDEKSTLLQTTIQSLEERSREKDALLTLERQESEATKKSLSDSEDRNQNLLMKIEVAEKETAHFQETIQRYEQNMAALETSLRSEKQQTDVIMKQLADSQGEIGELQRKLEDADGRNRLLQDSLQRLEEGATTTDALYLAEKQEHDHTKKSLSEAQGINKELLTKIEEAEKNIHQLLENVERLEKDTAARESILLTTKQSYDETAKLLLEAQEKNRELMHKVEDSDSKIVLLEDSVKRLEESTADKDSLLAIERHENCETKKELTGSQKKIEELLTEVQDARVNIAELEESVRRLEGNLGVTEALLLTEKEQNASTLKLLSEAQLRIEDLIKKLEGADRKSDSLQDTITRLEQDGTAKEALLLTEKQAHEATKKTLSEAQERNEELLKKIHDNDKNILQLQFTIQRLEETTVANENLLLREREQNDATTKAHIESQEKYEELLKKFVDVDRKIDLLQGTIERFGENTTTKDALLLSERHEKDAIKKALTEADEKNEELLMKVEDANEKIEHLQTMINKLEDNIAAKDVSLEAATKENDTIRKSLAEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDALIKKIEESSKIVTQLQEALQRLEGKAANLEAENQVLRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDIRQTEMKPSASTSEAITSSGNVPDLGDQKEFEHGEKLQRIPKQKYQSSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINTATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSDRIFHGNQTSNAGLAYLSGQSVVGSAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVPSVLVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATSFSILLDDDSSIPFSLDDITKTLPVIEMADDDLLPFVHENPSFAFLLQRGE >PVH38126 pep chromosome:PHallii_v3.1:5:11064676:11085048:1 gene:PAHAL_5G183600 transcript:PVH38126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACINVDGINDAEEYLATRNAMDTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCEKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVSEHQELLNASKCSFVSGLFPPATEENTKSSKSSIATRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMADLDARRTEMRNYAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGVRAMAARNEFNFRKRNKASVRIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVAKKELRKLRMAARDTQALKVAKEKLEERVEDLTSRLGLEKKLRDDLEKSKAEEVAKLKTALREMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKELLVAEREENDIRKKAHANALETNEELNKKVSDADEKIKQFSDTVQRLEGTIREGEALLLTERQQNEAASATLAESQARNEALVSKLEDAVKQNDLLRETSQRFEEAMKNLESSLIFEKQQHEASLLELAEAREKIEELQREVGDTDEKSTLLQTTIQSLEERSREKDALLTLERQESEATKKSLSDSEDRNQNLLMKIEVAEKETAHFQETIQRYEQNMAALETSLRSEKQQTDVIMKQLADSQGEIGELQRKLEDADGRNRLLQDSLQRLEEDATAREALMVTERQESEVTKKTLTEALDQIEELVKEVECANHSVHQFQDSIQRLEQSAVAREATLLTERQEKDAISKELAEAQGRIKGLLKEIKSANRKTDQLQDTIERLEEGATTTDALYLAEKQEHDHTKKSLSEAQGINKELLTKIEEAEKNIHQLLENVERLEKDTAARESILLTTKQSYDETAKLLLEAQEKNRELMHKVEDSDSKIVLLEDSVKRLEESTADKDSLLAIERHENCETKKELTGSQKKIEELLTEVQDARVNIAELEESVRRLEGNLGVTEALLLTEKEQNASTLKLLSEAQLRIEDLIKKLEGADRKSDSLQDTITRLEQDGTAKEALLLTEKQAHEATKKTLSEAQERNEELLKKIHDNDKNILQLQFTIQRLEETTVANENLLLREREQNDATTKAHIESQEKYEELLKKFVDVDRKIDLLQGTIERFGENTTTKDALLLSERHEKDAIKKALTEADEKNEELLMKVEDANEKIEHLQTMINKLEDNIAAKDVSLEAATKENDTIRKSLAEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDALIKKIEESSKIVTQLQEALQRLEGKAANLEAENQVLRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDIRQTEMKPSASTSEAITSSGNVPDLGDQKEFEHGEKLQRIPKQKYQSSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINTATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSDRIFHGNQTSNAGLAYLSGQSVVGSAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVPSVLVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATSFSILLDDDSSIPFSLDDITKTLPVIEMADDDLLPFVHENPSFAFLLQRGE >PAN28868 pep chromosome:PHallii_v3.1:5:11064674:11085048:1 gene:PAHAL_5G183600 transcript:PAN28868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLNIVKGSHVWVEDKDLAWVDGEVFGIDGQNAHVRTPKGKTVTAKISDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYAKNIIYTYTGNILIAINPFQRLPNLVDACTMEKYKGANLGDLDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACINVDGINDAEEYLATRNAMDTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCEKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVSEHQELLNASKCSFVSGLFPPATEENTKSSKSSIATRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMADLDARRTEMRNYAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGVRAMAARNEFNFRKRNKASVRIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVAKKELRKLRMAARDTQALKVAKEKLEERVEDLTSRLGLEKKLRDDLEKSKAEEVAKLKTALREMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKELLVAEREENDIRKKAHANALETNEELNKKVSDADEKIKQFSDTVQRLEGTIREGEALLLTERQQNEAASATLAESQARNEALVSKLEDAVKQNDLLRETSQRFEEAMKNLESSLIFEKQQHEASLLELAEAREKIEELQREVGDTDEKSTLLQTTIQSLEERSREKDALLTLERQESEATKKSLSDSEDRNQNLLMKIEVAEKETAHFQETIQRYEQNMAALETSLRSEKQQTDVIMKQLADSQGEIGELQRKLEDADGRNRLLQDSLQRLEEDATAREALMVTERQESEVTKKTLTEALDQIEELVKEVECANHSVHQFQDSIQRLEQSAVAREATLLTERQEKDAISKELAEAQGRIKGLLKEIKSANRKTDQLQDTIERLEEGATTTDALYLAEKQEHDHTKKSLSEAQGINKELLTKIEEAEKNIHQLLENVERLEKDTAARESILLTTKQSYDETAKLLLEAQEKNRELMHKVEDSDSKIVLLEDSVKRLEESTADKDSLLAIERHENCETKKELTGSQKKIEELLTEVQDARVNIAELEESVRRLEGNLGVTEALLLTEKEQNASTLKLLSEAQLRIEDLIKKLEGADRKSDSLQDTITRLEQDGTAKEALLLTEKQAHEATKKTLSEAQERNEELLKKIHDNDKNILQLQFTIQRLEETTVANENLLLREREQNDATTKAHIESQEKYEELLKKFVDVDRKIDLLQGTIERFGENTTTKDALLLSERHEKDAIKKALTEADEKNEELLMKVEDANEKIEHLQTMINKLEDNIAAKDVSLEAATKENDTIRKSLAEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDALIKKIEESSKIVTQLQEALQRLEGKAANLEAENQVLRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDIRQTEMKPSASTSEAITSSGNVPDLGDQKEFEHGEKLQRIPKQKYQSSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINTATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSDRIFHGNQTSNAGLAYLSGQSVVGSAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVPSVLVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATSFSILLDDDSSIPFSLDDITKTLPVIEMADDDLLPFVHENPSFAFLLQRGE >PAN27392 pep chromosome:PHallii_v3.1:5:4799360:4804298:-1 gene:PAHAL_5G077500 transcript:PAN27392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTMLAPRSLRKAAVPPALLSDPTPGSLQPTRLAVHLNGAGSSCSAYLASGCRVYKIEISMDGAMLSKGKESLLIPDNSQVINSSVVDRCPHRSEIQSVALAEGEGDNCLILGTVDSYGHLIVSRLDIMADDIDRTSYSVPPRDCGVGEGSWAGICFSPTQRSMVAVARQLCKSIDIYDQDIHVRSLRALWYPSSFSFVQCSPQVNESNSLLAIAEGSQLSIWDLRMNNNGGCVQRISGSIGDIIYSVCSSPSGPIAVGGTDRAVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDQSFIYVQGVDYEITCGSWKESERAFSFRGDSNWLGFSKCANTDVVAGWCESGSIFIADARQM >PVH37714 pep chromosome:PHallii_v3.1:5:4095581:4095988:1 gene:PAHAL_5G066300 transcript:PVH37714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGHAGPTRQPLLQFRRRRKRPRRCRSHASAPLRPFHLPTAPLGVTLLWPDAFRARQTLAASPRSSRPPPPDAAIPAPTNPPSPPAVFLCGAGRLRTGIAEARGISRFCGGFCGGF >PVH37489 pep chromosome:PHallii_v3.1:5:1061984:1062488:-1 gene:PAHAL_5G016000 transcript:PVH37489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQYDTQLPVCVKTLMHQIQKSLAISMVYPLMVEMQNIYNRSDFSICSHLAVYIMMSRYVTC >PAN30012 pep chromosome:PHallii_v3.1:5:17207634:17213881:-1 gene:PAHAL_5G266500 transcript:PAN30012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSNSKVDNEEPVRRSKDRRQLMKQLVRRRPELAAAHIAYLHALRNTGATLRDFAELESALSQQQPVGPAAPPSPHPPPPPHPEPSMTSSMPPSPRPPPPLPFSPITTIRKMEKRNDELPPPSLAFSPPGIRIKKMEKRDDELLPPPLAFSLPRIRTRKMQNRDDELYGNDSMDECDDDDTDSCSTPLPPPPPPGVAWEDLDPYNSLNFPSPFADRNDKEVGSQVTMEDDPWVETNLEFDGEEDESVLGNDDSIVNRVQMNPAKSRALGDDNSSMVNWVRKDSDSTAVPWRNKKSLIQIVKEIDEYFLKAAASGNDVVILLDSAGGRTDALELETKKGKNSKSAKVFSTLSWSWSFKSQQANSESSILNSSDASGYGYHGKTLEKLYDEEQKLYKLVKDEEFARLQYKRHMSMLQKLESGEHDKLHAERVRDAIEELQTRIISLEEAVSLACFSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQATLLGNTPGSEPTSDAHCQATSQLEIETAFLMMMA >PAN30010 pep chromosome:PHallii_v3.1:5:17206972:17213881:-1 gene:PAHAL_5G266500 transcript:PAN30010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSNSKVDNEEPVRRSKDRRQLMKQLVRRRPELAAAHIAYLHALRNTGATLRDFAELESALSQQQPVGPAAPPSPHPPPPPHPEPSMTSSMPPSPRPPPPLPFSPITTIRKMEKRNDELPPPSLAFSPPGIRIKKMEKRDDELLPPPLAFSLPRIRTRKMQNRDDELYGNDSMDECDDDDTDSCSTPLPPPPPPGVAWEDLDPYNSLNFPSPFADRNDKEVGSQVTMEDDPWVETNLEFDGEEDESVLGNDDSIVNRVQMNPAKSRALGDDNSSMVNWVRKDSDSTAVPWRNKKSLIQIVKEIDEYFLKAAASGNDVVILLDSAGGRTDALELETKKGKNSKSAKVFSTLSWSWSFKSQQANSESSILNSSDASGYGYHGKTLEKLYDEEQKLYKLVKDEEFARLQYKRHMSMLQKLESGEHDKLHAERVRDAIEELQTRIISLEEAVSLACFSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQATLLGNTPGSEPTSDAHCQATSQLEIEVSGWHSAFCNLIALQREYISILNQWIKLTDCLPDDDGLMKSSSGIRNLSEELQRALERLPEKVAGEAIKTFMSAIHSIVVQQSEERQLKKKSENMESKFQTHLEKHSENAMQSSSQPPNKNQYSVSKNELKLEAFRKQVEEEKARYLTSVKTSRAMTLNNLQTGLPNVFHTLMGFSGVCVQAFEGISRCSEVAVSHSGAVSPAICA >PAN30011 pep chromosome:PHallii_v3.1:5:17206927:17213948:-1 gene:PAHAL_5G266500 transcript:PAN30011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSNSKVDNEEPVRRSKDRRQLMKQLVRRRPELAAAHIAYLHALRNTGATLRDFAELESALSQQQPVGPAAPPSPHPPPPPHPEPSMTSSMPPSPRPPPPLPFSPITTIRKMEKRNDELPPPSLAFSPPGIRIKKMEKRDDELLPPPLAFSLPRIRTRKMQNRDDELYGNDSMDECDDDDTDSCSTPLPPPPPPGVAWEDLDPYNSLNFPSPFADRNDKEVGSQVTMEDDPWVETNLEFDGEEDESVLGNDDSIVNRVQMNPAKSRALGDDNSSMVNWVRKDSDSTAVPWRNKKSLIQIVKEIDEYFLKAAASGNDVVILLDSAGGRTDALELETKKGKNSKSAKVFSTLSWSWSFKSQQANSESSILNSSDASGYGYHGKTLEKLYDEEQKLYKLVKDEEFARLQYKRHMSMLQKLESGEHDKLHAERVRDAIEELQTRIISLEEAVSLACFSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQATLLGNTPGSEPTSDAHCQATSQLEIEVSGWHSAFCNLIALQREYISILNQWIKLTDCLPDDDGLMKSSSGIRNLSEELQRALERLPEKVAGEAIKTFMSAIHSIVVQQSEERQLKKKSENMESKFQTHLEKHSENAMQSSSQPPNKNQYSVSKNELKLEAFRKQVEEEKARYLTSVKTSRAMTLNNLQTGLPNVFHTLMGFSGVCVQAFEGISRCSEVAVSHSGAVSPAICA >PAN28673 pep chromosome:PHallii_v3.1:5:10220736:10222612:-1 gene:PAHAL_5G168600 transcript:PAN28673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTVVLYPSLGVGHLNPMAQLAKALLRRGGVAVTIAVVDPPEKDAVLAAALARLAAASPSITVRLLPIPPSSRASNKGYSHPIIPILDALRVASPALREFLRSQATAVDAIVVDMFCTDALDVAAELAIPAYIFYPSAAGDLAVYLQLPDFRRAVPSSPKDMGKAPLGFSGVPPVRALDMPDSMQDWESDVGRVRLQQIARMPEATGILVNSFEWLESRALKALRDGHCLPGRSTPKIYCVGPLVDGGDTNKNGEWHACLEWMDEQPKRSVVFLCFGSMGTFSAAQLKETARGLERSGHRFLWAVRSEQSNSPEPDLEALLPDGFLERTKDRGMVLENWAPQTEVLRHEAVGAFVTHCGWNSALEAIMSGVPMICWPLYAEQRLNKVHMVEEMKVGVAVEGYDEELVTADEVEAKVRLVMESGEGKKLRERTTMAKEMAAHAIKEGGSSYVELGEFLWGL >PAN28712 pep chromosome:PHallii_v3.1:5:10506235:10507052:-1 gene:PAHAL_5G173900 transcript:PAN28712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAGASRRRRAPRPRLPLLVLLLLLVAPPPPRASAFRVPLRQAATLVSLSHSLLSRVAATRAARGDAAAAARARRIASLLSSRGAWGLGWDYLRHYAFSSATGCGLSCAAAASRLLAAAAEASRLRSATDAAQWMRRHYVDVRDAAGLLLSGLLDAFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLQGLLVIAKDLFAGASRASSRHSEL >PAN29929 pep chromosome:PHallii_v3.1:5:17184528:17190332:-1 gene:PAHAL_5G266200 transcript:PAN29929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAEALEFDVLKEENMLLKDDITFFKEKLIEITETEESLFKLEKERALLDASLRELECAFIAAQSDMLKLGPMQHDAWWEKVENLEELLESTANQVEHAALILDGYHDVQDKVDKLEASLGATSISEFCLYLVDLLKKRVKSIEERFQACNHEMHSQIELYEHSIVEFHDTLSKLIKESEKKSMEHYAEGMPSEFWSRISLLIDGWSLEKKISNNDANILREMAWKRDSCLREAYLSSRGKAERELIDSFLKMALPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGNLVEIILPKYDCMQHNQINNLKVLDVVVQSYFEGNMFANKIWTGTVEGLPVYFIEPQHPGKFFWRAQYYGEHDDFKRFSYFSRVALELLYQSAKKVDIIHCHDWQTAFVAPLYWDVYANLGFNSARICFTCHNFEYQGTAPAQDLAYCGLDVEHLDRADRMRDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSEGGRGLQDTLKIHSKKFVGILNGIDTDTWNPSTDRFLKVQYSANDLYGKSANKAALTKQLKMSSANASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFILLGSSPVPNIQREFEGIADQFQNNNNIRLLLKYDDALSHMIFAASDMFIVPSMFEPCGLTQMIAMRYGSVPVVRKTGGLNDSVFDFDDETIPMELRNGFTFLKADEQGFDSALERAFNYYHRKPEVWKQLVQKDMKIDFSWDTSASQYEDIYQRAAARARAAT >PAN30003 pep chromosome:PHallii_v3.1:5:17184528:17191570:-1 gene:PAHAL_5G266200 transcript:PAN30003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAAAGAEATALLCRRPAPSSITGRNRLAVSRRPRHGNLRTGAQPPQKSTPSANYRNRVNIQRDRAGASSDDEHQQKSEDENGLQNIQLEDLVEMIQNTEKNILLLNQARLQALERADKIIKEKEALQQEINNLEIKLSETGAQSELSSKGMSDAEALEFDVLKEENMLLKDDITFFKEKLIEITETEESLFKLEKERALLDASLRELECAFIAAQSDMLKLGPMQHDAWWEKVENLEELLESTANQVEHAALILDGYHDVQDKVDKLEASLGATSISEFCLYLVDLLKKRVKSIEERFQACNHEMHSQIELYEHSIVEFHDTLSKLIKESEKKSMEHYAEGMPSEFWSRISLLIDGWSLEKKISNNDANILREMAWKRDSCLREAYLSSRGKAERELIDSFLKMALPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGNLVEIILPKYDCMQHNQINNLKVLDVVVQSYFEGNMFANKIWTGTVEGLPVYFIEPQHPGKFFWRAQYYGEHDDFKRFSYFSRVALELLYQSAKKVDIIHCHDWQTAFVAPLYWDVYANLGFNSARICFTCHNFEYQGTAPAQDLAYCGLDVEHLDRADRMRDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSEGGRGLQDTLKIHSKKFVGILNGIDTDTWNPSTDRFLKVQYSANDLYGKSANKAALTKQLKMSSANASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFILLGSSPVPNIQREFEGIADQFQNNNNIRLLLKYDDALSHMIFAASDMFIVPSMFEPCGLTQMIAMRYGSVPVVRKTGGLNDSVFDFDDETIPMELRNGFTFLKADEQGFDSALERAFNYYHRKPEVWKQLVQKDMKIDFSWDTSASQYEDIYQRAAARARAAT >PAN26766 pep chromosome:PHallii_v3.1:5:2273039:2276883:1 gene:PAHAL_5G037000 transcript:PAN26766 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MGEVAALRQLVGQVQELWDLYGANAHPLPRWYLLDFEHGSIKDDYCGGRTGYNSELLKIMETNQSPPRKRPRRDRNREKAPCSNTTEVMQQEIWKEFPEDLFETVIARLPVAAIFRFRTVCRKWSCLLGSDSFSHQYSEAPRGLPWFYTITHENANNNVAVYDPSLKKWHHPSVPLAPTKIVIPVASVGGLVCLLDLSHRNFYVCNPLRQSLKEIPPRSVQGWSRVAVGMVLNGRSSSHGYKVMWLGNDGTYEVYDSTKNTWSCPGVFPTSFKLPLVLNFRSQPVAVGSTLYFMCSEPDGVLSYDVSTGIWRQFTIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLFKREWQKVPDCMLPCSRKKQWIACGTAFDPCPSALA >PAN26767 pep chromosome:PHallii_v3.1:5:2273025:2276902:1 gene:PAHAL_5G037000 transcript:PAN26767 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MGEVAALRQLVGQVQELWDLYGANAHPLPRWYLLDFEHGSIKDDYCGGRTGYNSELLKIMETNQSPPRKRPRRDRNREKAPCSNTTEYSEAPRGLPWFYTITHENANNNVAVYDPSLKKWHHPSVPLAPTKIVIPVASVGGLVCLLDLSHRNFYVCNPLRQSLKEIPPRSVQGWSRVAVGMVLNGRSSSHGYKVMWLGNDGTYEVYDSTKNTWSCPGVFPTSFKLPLVLNFRSQPVAVGSTLYFMCSEPDGVLSYDVSTGIWRQFTIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLFKREWQKVPDCMLPCSRKKQWIACGTAFDPCPSALA >PAN28750 pep chromosome:PHallii_v3.1:5:10642542:10645859:-1 gene:PAHAL_5G176500 transcript:PAN28750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGANELFDFIAAALAKFVASEGEDYHLPEGVQRQLGFTFSFPVKQTSIASGTLIKWTKGFTIDEMVGMDVVAELNKAIKRQGLDMKVTALVNDTVGTLAAAKYADNDAIAAVILGTGTNAAYIDHAHTIPKWHGPLPKSGDMVINMEWGNFRSSHLPLTEFDHALDAESLNPGEQIYEKLISGMYMGEIVRRFLLKMAQEASLFADGVPEKLEIPYILSTLHMLMMHQDTTPDLQTAGIKLKEVLGIQNTCYKTRKVVVDLCEVVARRGARLAAAGVYGILKKLGRDTVSPDEQRTVVAVDGGVYKYYTFFAQCMESTLRDLLGEEVASSVVIKQAEDGSGTGAALLAASYSQCLQDD >PAN28751 pep chromosome:PHallii_v3.1:5:10642578:10646437:-1 gene:PAHAL_5G176500 transcript:PAN28751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPAVVAAATAVFAAAAAAAVLARQRLREAKRWARAGAVLRDLEARCAAPEERLRQVADAMATEMRAGLASDDPEGGDGSVVLKMLVTYVDSLPSGDEKGLFYALDLGGTNFRVLRVQLGGKEQRIIKQESKGVSIPRHLMSGGANELFDFIAAALAKFVASEGEDYHLPEGVQRQLGFTFSFPVKQTSIASGTLIKWTKGFTIDEMVGMDVVAELNKAIKRQGLDMKVTALVNDTVGTLAAAKYADNDAIAAVILGTGTNAAYIDHAHTIPKWHGPLPKSGDMVINMEWGNFRSSHLPLTEFDHALDAESLNPGEQIYEKLISGMYMGEIVRRFLLKMAQEASLFADGVPEKLEIPYILSTLHMLMMHQDTTPDLQTAGIKLKEVLGIQNTCYKTRKVVVDLCEVVARRGARLAAAGVYGILKKLGRDTVSPDEQRTVVAVDGGVYKYYTFFAQCMESTLRDLLGEEVASSVVIKQAEDGSGTGAALLAASYSQCLQDD >PVH39494 pep chromosome:PHallii_v3.1:5:57949412:57951964:1 gene:PAHAL_5G518500 transcript:PVH39494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MNNVGLVAFLAMLLFFVDWCSWKIVRLPLDSFYLTRPFLISAVLSALAGFLFAPVADSLKIQHFRRRGKSVSPSSRKPTPAMGGLFFVPIGIFVARREVGSNSNGVNGAAMITLIFAMLGLLDDISSLATDCNRKIPQWIRFLVQIVAGIYFSIWLGSADISTPYSMKFLVPLPPPLGLAFMGKVYLVLAATCSLSMGTAVTLVDGLDGLAGGIAALALIGLSVAALPICSELSVFGASMSGACTGFLFHNRYRASIVMSRVGSFALGGAVAAIAACSGMFLPMLIACSLFFIELLFAILQVPFRMATNSFRGTNIHPLRIRPSHYYLRLWGIKEPYIVAGAYMISCFLTLLAGYLGLVSA >PAN32876 pep chromosome:PHallii_v3.1:5:57947934:57951964:1 gene:PAHAL_5G518500 transcript:PAN32876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MASPARPPPHRPRLRLRRPLRAPPPPPRLPLPSFYSGRPSAVQVSQIRSITSRTARRRNPVQITMAFDDDPGDFSLVLDDGEEGFGIAPYSSESEWSDEDIVLTAFGDVELPVAGKSRAEGALTVVAHRFATIDKGHKKSRTQQGLMNNVGLVAFLAMLLFFVDWCSWKIVRLPLDSFYLTRPFLISAVLSALAGFLFAPVADSLKIQHFRRRGKSVSPSSRKPTPAMGGLFFVPIGIFVARREVGSNSNGVNGAAMITLIFAMLGLLDDISSLATDCNRKIPQWIRFLVQIVAGIYFSIWLGSADISTPYSMKFLVPLPPPLGLAFMGKVYLVLAATCSLSMGTAVTLVDGLDGLAGGIAALALIGLSVAALPICSELSVFGASMSGACTGFLFHNRYRASIVMSRVGSFALGGAVAAIAACSGMFLPMLIACSLFFIELLFAILQVPFRMATNSFRGTNIHPLRIRPSHYYLRLWGIKEPYIVAGAYMISCFLTLLAGYLGLVSA >PVH39493 pep chromosome:PHallii_v3.1:5:57947934:57951964:1 gene:PAHAL_5G518500 transcript:PVH39493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MASPARPPPHRPRLRLRRPLRAPPPPPRLPLPSFYSGRPSAVQVSQIRSITSRTARRRNPVQITMAFDDDPGDFSLVLDDGEEGFGIAPYSSESEWSDEDIVLTAFGDVELPVAGKSRAEGALTVVAHRFATIDKGHKKSRTQQGLMNNVGLVAFLAMLLFFVDWCSWKIVRLPLDSFYLTRPFLISAVLSALAGFLFAPVADSLKIQHFRRRGKSVSPSSRKPTPAMGGLFFVPIGIFVARREVGSNSNGVNGAAMITLIFAMLGLLDDISSLATDCNRKIPQWIRFLVQIVAGIYFSIWKFLVPLPPPLGLAFMGKVYLVLAATCSLSMGTAVTLVDGLDGLAGGIAALALIGLSVAALPICSELSVFGASMSGACTGFLFHNRYRASIVMSRVGSFALGGAVAAIAACSGMFLPMLIACSLFFIELLFAILQVPFRMATNSFRGTNIHPLRIRPSHYYLRLWGIKEPYIVAGAYMISCFLTLLAGYLGLVSA >PAN28427 pep chromosome:PHallii_v3.1:5:9140273:9145438:1 gene:PAHAL_5G152100 transcript:PAN28427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIKNLSNACKVSFSPDGPISEEALERVRALLDEIRPLDVGLDNEAQIARNWNSSTRPSNGRRGRNGVNQFTAPIKYLHIHECESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGRLHAESYDWINVPDHPSDQLQARPAKLVRDCEMTAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAEDGRHCSYFRKSLVNQPPVVFPTEIDSSQVVCLEELEDHQPPEGFVVARGLYKGPVIRR >PAN28807 pep chromosome:PHallii_v3.1:5:10796290:10801215:-1 gene:PAHAL_5G179200 transcript:PAN28807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEKAGGQAEAEYESDLDGAPLPAARRRAAARDDEEDEDEGGGGSGWGSPAPSSTVCDSESDPDGQGAPELYDDDGDEGVFGTEEECGYTFKEREAGGVGCGDVDVEVAVAPEDEGKYEAEESAAVEGEEEESPVEGEAEEVTMEGEEEVKKGSEPYDVPTAGAFYEHDTRFQGQEDGGRGHQRQVLGGQKIWNPKVEAVWVHDRFNEINSHANTKNEYHVHVRMMQSVDNGNFEGTKSYNHSKSFDCVQTQSHSYYGNVKGYNDERNVYREKGSRNYQSHRMTSGISSAQDNRKSYSRSQNAEVSSNAGVGKHSSQTLSLQHEQTLHCKQTFRSDISSAPPTFYSSRASHQGLPFIPREKVRRVTFNKLFSSAVHKAHNSLTPQSHPVFRRKAFVPSVSVEHGTAVDSNHIVPIDVMACSALHPMSTSHNYSKDSEFWDQGRDLDIAETTIPCTEPQIAVYQQRSVQRPILPTPRASSQIFVHKDTSNNKIRSHPQTRLISSSDDGKVTTPPETNSSVVLSALTVQDDMKEAERTYFLDGGSFVVDDTGARCSTLDEPGSTSTPAKLPVMLFSGLHPMGPGFSSVMVLPGFVGQHCDGDSEMGLMTWLPISTGATGVQEGSSSPLNFGKNCPQPSELASSLTSLSKTRTSVHHLKFVSFPFRDHAASNDPISLTSQEIPEAVSHEPVHHQNRTRRYSEMKFAL >PVH38964 pep chromosome:PHallii_v3.1:5:50133034:50137444:-1 gene:PAHAL_5G403400 transcript:PVH38964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAVETELRRVVDENRQLRGILEELTRNYGTLYQQLLQVTQHHPHQHQHPDLMNNRSSLLPHTHLNTMGAPNSSATRQLLEARASSTAQTRPDAGAEDEASDGAGEASPSLSNNGGINNSDGKRKMSQDGTAPPRENGEQASSAELPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKSILVTTYEGHHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGAAALLSHHPAALFHHHASIPYASTMATLSASAPFPTITLDLTQAPGGGAPGSGGLLAHGLQRPPVGMVHPAAAGPAMPFAVPSPLAMFLPQRAPAAAAAMPAGLVARQPQQSVMETVTAAIAADPNFTTALAAAISSVMAGGGAQQAQLATPRGSNIGIAEEASGGAGATAPAAPTTTAAGAHAASGSPRFATQSCTTSTT >PAN31193 pep chromosome:PHallii_v3.1:5:50133034:50137893:-1 gene:PAHAL_5G403400 transcript:PAN31193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPERHRHDSVHEEGGEDDATMAHGALSLHHRGAAALFGPRHDEEAAGRRGEIREVDFFSRDSGARRQQDDGGGRRVPPGGGRDDVNIGLDLLTTGTAAAASAGEEVTAAKNHKMEASAVETELRRVVDENRQLRGILEELTRNYGTLYQQLLQVTQHHPHQHQHPDLMNNRSSLLPHTHLNTMGAPNSSATRQLLEARASSTAQTRPDAGAEDEASDGAGEASPSLSNNGGINNSDGKRKMSQDGTAPPRENGEQASSAELPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKSILVTTYEGHHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGAAALLSHHPAALFHHHASIPYASTMATLSASAPFPTITLDLTQAPGGGAPGSGGLLAHGLQRPPVGMVHPAAAGPAMPFAVPSPLAMFLPQRAPAAAAAMPAGLVARQPQQSVMETVTAAIAADPNFTTALAAAISSVMAGGGAQQAQLATPRGSNIGIAEEASGGAGATAPAAPTTTAAGAHAASGSPRFATQSCTTSTT >PAN32469 pep chromosome:PHallii_v3.1:5:55995024:55998693:-1 gene:PAHAL_5G489000 transcript:PAN32469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLSKATPDLLGDAAAPVEGLKAPSRFTLKGRDLAVDGHPFLLDVPANIRLTPASTLVPAADATAGGGSFLGFDAPAARSRHVVPVGRLRGTRFMSIFRFKVWWTTHWVGDSGRDVENETQLMVLDRSGSGSGGRPYVLLLPIVEGAFRACLESGKVDDYVDMVVESGSSAVRGAAFRSSLYLHAGDDPFELVREAVRVVRAHLGTFRTMDEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLAEGGCPPGLVLIDDGWQSICHDDDDPASGAEGMNRTSAGEQMPCRLIKFQENHKFREYKQGGMGAFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPRLSPGLQRTMEDLAVDKIVNNGVGLVDPERARELYEGLHSHLEASGIDGVKVDVIHLLEMLCEEYGGRVELAKAYFSGLTESVRRHFGGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAVSGGPIYVSDSVGQHDFALLRRLALPDGTILRCEGYALPARDCLFADPLHDGRTVLKIWNVNRFAGVVGAFNCQGGGWSPEARRNKCFSEYSVPLAARASPADVEWRSGKGPGVSVKGVSQFAVYMVEAGKLQLLRPDEGVDLTLAPFTYELLVVAPVRVISPERAIKFAPIGLANMLNTAGAVQAFETRNDSNGVTAEVAVKGAGQMVAYSSARPRLCRVNGEEAEFAYKDGMVTVDVPWLGSSSKLGRVEYVY >PAN28514 pep chromosome:PHallii_v3.1:5:9563967:9565728:1 gene:PAHAL_5G158400 transcript:PAN28514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWDAGSWRVETGDNGVLERQWRDDKILERSLANGQAPKDIAPDCYRWRWAWRKNISVAKALEQRGWMKGLRRINTERALTQFVHLWRQFRTICLQPSQPDTIKWSFTADGNFTAKSAYKVQFRGACAPDVLGSIWKANVEYKCRFSHG >PVH38081 pep chromosome:PHallii_v3.1:5:10419839:10424781:-1 gene:PAHAL_5G172300 transcript:PVH38081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISFEAWEGVQRHGQDLADRLAQGFTGLLQAPPQFPWPPAASPHKRMPFDIDLPVVPFGAARGKDFPFPAAAVSSVIDIGGRLGQAGAELGASVGGAVQHAVRHLPVPFRNGQIRRRKQQQQPPQAPLPPAASVGEGAVGLSVERAAVERCPLEAAAAAAAAATGSAAASSVSGHVGGDDLDEEDEGFGCEIGTFGNFNKAKGTVNMSATYNTRSNDIESSVVARGDLWRLEASRGGSTSGNDTSPLYLIQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKHRRWLMMSMMCLNVAFSFMDLQFPNGQLTYVAGEGISASGFLPLFGGLLQAHGKYPGETRVSFSCKNKRGTRFTPMFQWPDKSVSLGVTQPLAWKRSGLMVRPSVQVSLCPTFGGSDPGVRAEVVHSLKEELNLMCGLSCSRHPSAFTALSIGRSKWNGQVGSSGVVITLETPLNNIGRPSLSVQLNGGFEL >PAN28855 pep chromosome:PHallii_v3.1:5:11034288:11037877:1 gene:PAHAL_5G182900 transcript:PAN28855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVWGRQIKLGLPYVQWQGEPSKYLGIKVCLQCGGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEINIDTPKRSKRTYPSPSPEVAMKISRSLRSLNAQTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFHCSRCGQEGHRSFYCPTVRKGSARVQFKCRLCGMEGHNSRTCGKPKSEKEQQRQPRHCGRCGEKGHNRRNCPRSTNVDIGASGYTTNKVTGPNSGIYSCSFCLEKGHNRQTCPKRKASLGK >PVH38121 pep chromosome:PHallii_v3.1:5:11034288:11037877:1 gene:PAHAL_5G182900 transcript:PVH38121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLWRISSARTSAPAHHVHSLTFGCRNTAPIAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGVDRSSLDCPMCNGKGIKVCLQCGGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEINIDTPKRSKRTYPSPSPEVAMKISRSLRSLNAQTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFHCSRCGQEGHRSFYCPTVRKGSARVQFKCRLCGMEGHNSRTCGKPKSEKEQQRQPRHCGRCGEKGHNRRNCPRSTNVDIGASGYTTNKVTGPNSGIYSCSFCLEKGHNRQTCPKRKASLGK >PVH38122 pep chromosome:PHallii_v3.1:5:11035368:11037217:1 gene:PAHAL_5G182900 transcript:PVH38122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVWGRQIKLGLPYVQWQGEPSKYLGIKVCLQCGGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEINIDTPKRSKRTYPSPSPEVAMKISRSLRSLNAQTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFHCSRCGQEGHRSFYCPTVRKGSARVQFKCRLCGMEGHNSRTCGKPKSEKEQQRQPRHCGRCGEKGHNRRNCPRSTNVDIGASGYTTNKVTGPNSGIYSCSFCLEKGHNRQTCPKRKASLGK >PVH38120 pep chromosome:PHallii_v3.1:5:11035368:11037217:1 gene:PAHAL_5G182900 transcript:PVH38120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVWGRQIKLGLPYVQWQGEPSKYLGIKVCLQCGGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEINIDTPKRSKRTYPSPSPEVAMKISRSLRSLNAQTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFHCSRCGQEGHRSFYCPTVRKGSARVQFKCRLCGMEGHNSRTCGKPKSEKEQQRQPRHCGRCGEKGHNRRNCPRSTNVDIGASGYTTNKVTGPNSGIYSCSFCLEKGHNRQTCPKRKASLGK >PAN28856 pep chromosome:PHallii_v3.1:5:11034288:11037877:1 gene:PAHAL_5G182900 transcript:PAN28856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLTCAHPAPSAATRWRPPGRARPAPRFRRGCASSFSADGNGAPSSPLPYDPLADILGPDIGPSSSRSQNTAPIAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGVDRSSLDCPMCNGKGIKVCLQCGGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEINIDTPKRSKRTYPSPSPEVAMKISRSLRSLNAQTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFHCSRCGQEGHRSFYCPTVRKGSARVQFKCRLCGMEGHNSRTCGKPKSEKEQQRQPRHCGRCGEKGHNRRNCPRSTNVDIGASGYTTNKVTGPNSGIYSCSFCLEKGHNRQTCPKRKASLGK >PVH38354 pep chromosome:PHallii_v3.1:5:15238717:15238992:-1 gene:PAHAL_5G242100 transcript:PVH38354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSGGLNHRQDWVQDETLLDVFGDVVWILHCKSKNGVSTNVLSKSNHTHGGGITEINAQDNPSIRLWFICGKLSCMQRFVLKIFPKRVF >PAN27370 pep chromosome:PHallii_v3.1:5:4719225:4733549:1 gene:PAHAL_5G076300 transcript:PAN27370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSPEQVVREVGKRLAQPRLGKDALVKLLKQAENALSELSQSSSLQDALHALSKSLVQTTLLNHKDKDVKLLVAVCFVEVMRILAPDPPFSDEILKEIFSLFISIFADLTETSSPYLTRRMKILENVAALRCSMIMLNIGCEDLILDMVKIFFTSVRQGLQQSVCQAMLSIMMQILNEKVTQPLVDVILRNLVKDDKGASHKLAFDIIHNCAEKLEPIIRSFLSSCISNKDIPVNDLRKLHHRVILEIFQCAPQILFAVIPNLTHELLSEQVDIRLEAVHLIGRLLALSNLHFGQENKLVFIEFLRRFSDKSAEVRIAAIDAAKACYMAKSSGDEARDILTSLQGRLLDFDEKVRIRAVNTVCDLAKSNLSSFPHEVILLAAERLRDKKASVRKNVMHKLLELYRDYCGKCSKGTAAVNPHYEQIPAKLIVCFHNDIESFRPQNMELIFTEELFPSSLPPKERATHWIEFFSYFKPEHIKALNIIFSQKRRLQLEMQAYLSLREKKEEPSDEIQKKICASFRKMATSFADTSKAEECFKHLHQMRDNNIFKDLVGLIDEGTTFATGCLIRDSFLKRIGHKHPMHSFFKILSIKCSYSIFNREMICDIFESLLSCGNELTDYVESACDLLLVVALMFPSLFRGSEEYLLKLFSEESVLINEKTLRILAHLAKSTHLLSINFSNVVYPLLEQKCIEGIRAESKYAITAIASLHSPDDQKFAKLCKKVVSGLNDNRNVPTLLQSLGSILEHSPSVYELYGRQIVKSIQDILLSTEFISTSGQSSLDGNSTCCCSCKLKVYCLKALVKGFLPRIAHARINSILGKLLEYEKGLFPDIALCENDSPYLQLAAGKCVLKLAARWDSHISPELFRNTILMARDPSYIVRKSFICKLYGLLKKRAIPVRYACAFALASTDCSGDVRDESASYLSEVLKEQRRFFVQQNRASKDSIVDNPAYSVVFLIHTLAYDGGLPSNYYEDETSFPEFCSPLCVMLRELVEIDSFNRTEHGPTISSVSVLSGIFRAIQKAEDPADSEITPKLHILSKIGLLIVKELDKHCKMSDSPRHILLPSSYYKLSGSERKADECCQENFISDKVVKRILKVHEPYKHKDNVKCSFVSERVSNESAPEREACSSLSKLVGQNASVCDKGKGNKSLASGKAVSKKKDQNTNNSLEKENVSSCGSAGTKLSSPGSWGLSKEADSRDCVSLLDKQNRPMNRCSTRNTRASEADHNSCRQTVDISNFRLRECSFKRDHGKGLSESQKHEALLSGSLVLCNDLEDVGDCDDNFVKLPLSNKRAGLKKKGKRALQLVNSQSSDVTGVDTRDNVRCTRARKVQV >PAN27371 pep chromosome:PHallii_v3.1:5:4719225:4733549:1 gene:PAHAL_5G076300 transcript:PAN27371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSPEQVVREVGKRLAQPRLGKDALVKLLKQAENALSELSQSSSLQDALHALSKSLVQTTLLNHKDKDVKLLVAVCFVEVMRILAPDPPFSDEILKEIFSLFISIFADLTETSSPYLTRRMKILENVAALRCSMIMLNIGCEDLILDMVKIFFTSVRQGLQQSVCQAMLSIMMQILNEKVTQPLVDVILRNLVKDDKGASHKLAFDIIHNCAEKLEPIIRSFLSSCISNKDIPVNDLRKLHHRVILEIFQCAPQILFAVIPNLTHELLSEQVDIRLEAVHLIGRLLALSNLHFGQENKLVFIEFLRRFSDKSAEVRIAAIDAAKACYMAKSSGDEARDILTSLQGRLLDFDEKVRIRAVNTVCDLAKSNLSSFPHEVILLAAERLRDKKASVRKNVMHKLLELYRDYCGKCSKGTAAVNPHYEQIPAKLIVCFHNDIESFRPQNMELIFTEELFPSSLPPKERATHWIEFFSYFKPEHIKALNIIFSQKRRLQLEMQAYLSLREKKEEPSDEIQKKICASFRKMATSFADTSKAEECFKHLHQMRDNNIFKDLVGLIDEGTTFATGCLIRDSFLKRIGHKHPMHSFFKILSIKCSYSIFNREMICDIFESLLSCGNELTDYVESACDLLLVVALMFPSLFRGSEEYLLKLFSEESVLINEKTLRILAHLAKSTHLLSINFSNVVYPLLEQKCIEGIRAESKYAITAIASLHSPDDQKFAKLCKKVVSGLNDNRNVPTLLQSLGSILEHSPSVYELYGRQIVKSIQDILLSTEFISTSGQSSLDGNSTCCCSCKLKVYCLKALVKGFLPRIAHARINSILGKLLEYEKGLFPDIALCENDSPYLQLAAGKCVLKLAARWDSHISPELFRNTILMARDPSYIVRKSFICKLYGLLKKRAIPVRYACAFALASTDCSGDVRDESASYLSEVLKEQRRFFVQQNRASKDSIVDNPAYSVVFLIHTLAYDGGLPSNYYEDETSFPEFCSPLCVMLRELVEIDSFNRTEHGPTISSVSVLSGIFRAIQKAEDPADSEITPKLHILSKIGLLIVKELDKHCKMSDSPRHILLPSSYYKLSGSERKADECCQENFISDKVVKRILKVHEPYKHKDNVKCSFVSERVSNESAPEREACSSLSKLVGQNASVCDKGKGNKSLASGKAVSKKKDQNTNNSLEKENVSSCGSAGTKLSSPGSWGLSKEADSRDCVSLLDKQNRPMNRCSTRNTRASEADHNSCRQTVDISNFRLRECNDLEDVGDCDDNFVKLPLSNKRAGLKKKGKRALQLVNSQSSDVTGVDTRDNVRCTRARKVQV >PAN30946 pep chromosome:PHallii_v3.1:5:48058074:48062633:-1 gene:PAHAL_5G385400 transcript:PAN30946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTPPAYHLDPGSGAGNNSWIVNLEGGGWCNNVRACQFRRTSRRGSSDLMEKEIPFGGIMSSSPADNPDFYNWNRVKLRYCDGASFAGEGFDKENGFYFRGQRIWDAVIRHLLSIGMASADQVLLTGCSAGGLAVILHCDEFQAFFPHTTTVKCLADAGLFLDAVDVSGGRSLRSYYSDIVAMQGVAPNLPPACTARLDSTSCFFPQNVIDGIKTPIFLLNAAYDVWQIQESLAPNGADPSGAWRACKSNRSACDASQMKFLQDFRDQMVASVRGFSGSGSSGLFINSCFAHCQSELPATWSNAAAGSPAIQNKGIARSVGDWYFGRAEVKAIDCPYPCDGTCRHII >PAN30945 pep chromosome:PHallii_v3.1:5:48058074:48062668:-1 gene:PAHAL_5G385400 transcript:PAN30945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAGFVGRRPCRPLLVVAVLAVVLLGSCCAEAAADDKISSSRKGATGGSRRRRTSRRRAEAAGPLMVPITVLKSAVDDGAVCMDGTPPAYHLDPGSGAGNNSWIVNLEGGGWCNNVRACQFRRTSRRGSSDLMEKEIPFGGIMSSSPADNPDFYNWNRVKLRYCDGASFAGEGFDKENGFYFRGQRIWDAVIRHLLSIGMASADQVLLTGCSAGGLAVILHCDEFQAFFPHTTTVKCLADAGLFLDAVDVSGGRSLRSYYSDIVAMQGVAPNLPPACTARLDSTSCFFPQNVIDGIKTPIFLLNAAYDVWQIQESLAPNGADPSGAWRACKSNRSACDASQMKFLQDFRDQMVASVRGFSGSGSSGLFINSCFAHCQSELPATWSNAAAGSPAIQNKGIARSVGDWYFGRAEVKAIDCPYPCDGTCRHII >PAN26607 pep chromosome:PHallii_v3.1:5:1609288:1615926:-1 gene:PAHAL_5G025200 transcript:PAN26607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGEKAAPPAAASAAAAADGGGAAGKGGGSEEQSVKLFVGQVPKHMTEAELLAMFREVAAVDEVTVIKDKVTKVSRGCCFLICPTREQADKAVNAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKHGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQLSNMPNASPMQQSSLFGALQMGYMPQYNGFGYQPPGTYGLMQYPLSPMQNQGPFQNMVQPVNQGNSIRGVNPELSPSSVQRSFNAMQLGSPYPPIPGMQYPGSYPGGLMNNRPFGNSHNSIKVPNSNATSAISTSPRSNTGGQIEGPPGANLFIYHIPQDYGDQELSSAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAISMMNGFQLGGKKLKVQLKRENNKHSKPY >PVH37537 pep chromosome:PHallii_v3.1:5:1609288:1614605:-1 gene:PAHAL_5G025200 transcript:PVH37537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKNVTDTELTDLFSKHGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQLSNMPNASPMQQSSLFGALQMGYMPQYNGFGYQPPGTYGLMQYPLSPMQNQGPFQNMVQPVNQGNSIRGVNPELSPSSVQRSFNAMQLGSPYPPIPGMQYPGSYPGGLMNNRPFGNSHNSIKVPNSNATSAISTSPRSNTGGQIEGPPGANLFIYHIPQDYGDQELSSAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAISMMNGFQLGGKKLKVQLKRENNKHSKPY >PAN29513 pep chromosome:PHallii_v3.1:5:14417492:14423987:1 gene:PAHAL_5G231500 transcript:PAN29513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase-like gene family member, Defense respons [Source: Projected from Oryza sativa (Os01g0639200)] MRGCRMFLHFTRRRRDPNITCFAVPGAEDMDKLREMEMFGEDGRDGVWTVMANVMDPESLRCAFDGCAGVFHTSAFVDPGGISGYTKHMARLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPGLVTGPGFRRRNSTASIAYLKGARAMLSDGLLATANVETVAEAHVRAYEAMGDNTAGGRYICYDHVVQRPEEFDELERQLGLPGGAAAAAARGAGDDRPARFELCKRKLARLMSSRRRCTYDTYYSVAFD >PAN29514 pep chromosome:PHallii_v3.1:5:14418057:14423987:1 gene:PAHAL_5G231500 transcript:PAN29514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase-like gene family member, Defense respons [Source: Projected from Oryza sativa (Os01g0639200)] MDRWCRACAVLAEDMDKLREMEMFGEDGRDGVWTVMANVMDPESLRCAFDGCAGVFHTSAFVDPGGISGYTKHMARLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPGLVTGPGFRRRNSTASIAYLKGARAMLSDGLLATANVETVAEAHVRAYEAMGDNTAGGRYICYDHVVQRPEEFDELERQLGLPGGAAAAAARGAGDDRPARFELCKRKLARLMSSRRRCTYDTYYSVAFD >PAN29512 pep chromosome:PHallii_v3.1:5:14416449:14423987:1 gene:PAHAL_5G231500 transcript:PAN29512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase-like gene family member, Defense respons [Source: Projected from Oryza sativa (Os01g0639200)] MGVLRSTQGLQAEVDALRAALLAGGGHGAAAGWRRSAGAKRAPGAAGGAEARAVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDMDKLREMEMFGEDGRDGVWTVMANVMDPESLRCAFDGCAGVFHTSAFVDPGGISGYTKHMARLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPGLVTGPGFRRRNSTASIAYLKGARAMLSDGLLATANVETVAEAHVRAYEAMGDNTAGGRYICYDHVVQRPEEFDELERQLGLPGGAAAAAARGAGDDRPARFELCKRKLARLMSSRRRCTYDTYYSVAFD >PAN26972 pep chromosome:PHallii_v3.1:5:3161664:3165007:-1 gene:PAHAL_5G050600 transcript:PAN26972 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like RNA binding protein, Regulation of leaf initiation and maturatio [Source: Projected from Oryza sativa (Os01g0907900)] MEGGGGSGIGGFPGASGNLLDAAAQAFYPAVGAPYPLQPLPQQIYCPHPYPAMPVPAAAAMAVPVPVPPMAMALAPPQPGYTLPMSTPVVDGPSSRVVVLGLVPPHAQEADVAQAMAPFGAIRSVDASAVASEGVATVHFYDIRSAELAVACVREQHMRQQSRLGQLYAAAATVSPAWPPPPTPQAWEWPHEDCRGLVLGHAVWAHFATGADDGDNRGSLVVLSPLPGVSVADLRQVFQAFGDLKDVRESAHRPSHKFVDFFDTRDAARALAELNGQELFGRRLAIEFTRPSGPGPRRRGYVPHHRPTAPTPPRHQAAWRTSQPSASSSSSSGSVRAREGVVLLRRSSSKAVAGDQSKGGTSHERKSKGGNKVVPSASSSSPPSSSTATATGKQAQKGAGSGGGNWKGRKSGWEARFLFKEPEAAGDAATPAAPAQEMDTRTTVMIRNIPNKYSQKLLLNMLDNHCIHSNERIAASGEEGEGQPFSSYDFVYLPIDFNNKCNVGYGFVNMTSPEAAVRLYKAFHKQPWEVYNSRKICQVTYARVQGLEALKEHFKNSKFPCDSDEYLPVAFSPPRDGKLLTEPVPIVGRSPAASGSSSSPPKSRAPSVDPLAQELMPAPSSSGDGDGDGASSTTTSSHAPSDDEHEEDRLAGELRRLGYTD >PAN28864 pep chromosome:PHallii_v3.1:5:11062091:11063209:-1 gene:PAHAL_5G183400 transcript:PAN28864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSPGERGDAEAVFLAAPACAVAAEHVAPARWRSSRPPHAPRRHCSRLPLRQGRERAGTHTGRRARILSLNLVGGVQEGADLEYKRLLELLDEEPLHHDGTGSLSPPCRESVCRIFRLYGWSPNGVQRCSDRNQHV >PVH37855 pep chromosome:PHallii_v3.1:5:6386968:6389268:-1 gene:PAHAL_5G105400 transcript:PVH37855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKLRGPQQQPGRRVLPLPSAPNLKNRTNPRLLVPISGLRFPHVAALGEDAPFLHLPLLGHLHLLFVLHSARRRRRLPAPVLLVPFPQGPPGAAPLRRPPRPPRRPPRPAPLPPPPSASSTASASRPRPSARSAPSRRRRPPAPAPTASCSTSPRSTWSAAPTRTAAPCAPSCAGSASPSTSATSPWTRATSRSSRRSSRASPPRGGSRSRRSSSVAATSAAPTRSAASTRPASSAA >PVH38510 pep chromosome:PHallii_v3.1:5:18224122:18230386:-1 gene:PAHAL_5G274200 transcript:PVH38510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSPSLRRLLFCRRHPLTTHHRLRCRHSLSASSAGAAATPAPSRDRAARLATAVHGAAAAKSFAHAIRLTKSLVQASSSCPGRSPASTTPTAAGAAFAALASTSTSPAPALGVLVIALCQMRLLDEALFVFRRLRTLPELPACNAILDGLVKARRLGCAWELFDEMLSRGMVPSVVTYNTLINACRHQGAVAKAQEVWDQMVARRIDPNVVTYTTMICVLCEEGSIGDAEQVFDAMKEAGMQPNLYTYNVLMSSHCQIQDVNRAFMLYQELLKSGLVPNAIIFTTLIDGFCKAMRFSEAKEMFLDMPRFGVAPTVPVFNSLMDGAFKSGNAREALAVYQEMTHLGLCPDEFTCSIVVRGLCDGGQIQVAARFLEGVRQSGANLNAAAYNALIDEYCKNGNLEEALATCTRMTEVGIDPNVVSYSSLIDGHSKVGNTQIAMAIYTEMVAKGIEPNVVTYTALICGHAKNGGIDAAFRLHKEMIEKGISPNAITVSVLADGLCRENRVQDAVRFVMEYSGMKYSDLHSFFSNSTTEEDHLIPNCVIYMTLIYGLYIDSQHCEAGKLFSYMRKSGMVPDSFTYTLLIRGQCMLGYVLNAMMLYADMVKIGVKPMRYKTVCPEIWSRVPLNDTRTFAS >PVH38509 pep chromosome:PHallii_v3.1:5:18228434:18230344:-1 gene:PAHAL_5G274200 transcript:PVH38509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSPSLRRLLFCRRHPLTTHHRLRCRHSLSASSAGAAATPAPSRDRAARLATAVHGAAAAKSFAHAIRLTKSLVQASSSCPGRSPASTTPTAAGAAFAALASTSTSPAPALGVLVIALCQMRLLDEALFVFRRLRTLPELPACNAILDGLVKARRLGCAWELFDEMLSRGMVPSVVTYNTLINACRHQGAVAKAQEVWDQMVARRIDPNVVTYTTMICVLCEEGSIGDAEQVFDAMKEAGMQPNLYTYNVLMSSHCQIQDVNRAFMLYQELLKSGLVPNAIIFTTLIDGFCKAMRFSEAKEMFLDMPRFGVAPTVPVFNSLMDGAFKSGNAREALAVYQEMTHLGLCPDEFTCSIVVRGLCDGGQIQVAARFLEGVRQSGANLNAAAYNALIDEYCKNGNLEEALATCTRMTEVGIDPNVVSYSSLIDGHSKVGNTQIAMAIYTEMVAKGIEPNVVTYTALICGHAKNGGIDAAFRLHKEMIEKGISPNAITVSVLADGLCRENRVQDAVRFVMEYSGMKYSDLHSFFSNSTTEEDHLIPNCVIYMTLIYGLYIDSQHCEAGKLFSYMRKSGMVPDSFTYTLLIRGQCMLGYVLNAMMLYADMVKIGVKPMRYKTVCPEIWSRVPLNDTRTFAS >PVH38508 pep chromosome:PHallii_v3.1:5:18224121:18230387:-1 gene:PAHAL_5G274200 transcript:PVH38508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSPSLRRLLFCRRHPLTTHHRLRCRHSLSASSAGAAATPAPSRDRAARLATAVHGAAAAKSFAHAIRLTKSLVQASSSCPGRSPASTTPTAAGAAFAALASTSTSPAPALGVLVIALCQMRLLDEALFVFRRLRTLPELPACNAILDGLVKARRLGCAWELFDEMLSRGMVPSVVTYNTLINACRHQGAVAKAQEVWDQMVARRIDPNVVTYTTMICVLCEEGSIGDAEQVFDAMKEAGMQPNLYTYNVLMSSHCQIQDVNRAFMLYQELLKSGLVPNAIIFTTLIDGFCKAMRFSEAKEMFLDMPRFGVAPTVPVFNSLMDGAFKSGNAREALAVYQEMTHLGLCPDEFTCSIVVRGLCDGGQIQVAARFLEGVRQSGANLNAAAYNALIDEYCKNGNLEEALATCTRMTEVGIDPNVVSYSSLIDGHSKVGNTQIAMAIYTEMVAKGIEPNVVTYTALICGHAKNGGIDAAFRLHKEMIEKGISPNAITVSVLADGLCRENRVQDAVRFVMEYSGMKYSDLHSFFSNSTTEEDHLIPNCVIYMTLIYGLYIDSQHCEAE >PVH38322 pep chromosome:PHallii_v3.1:5:14247846:14253071:1 gene:PAHAL_5G229400 transcript:PVH38322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKGSEEAPFFTDYGEASRYEVTEVVGKGSYGLVAAAVDTHTGERVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVQIRHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLPPEHHQLFLYQMLRGMKYIHAAHVFHRDLKPKNILANGDCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLSGRPLFPGKNVVHQLDLMTDLLGTPSSESISRIRNEKARRYLCNMREKYPIPFTQKFPGIDPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPITQPISTLEFEFERRKLARDDVRELIYREILEYHPQMLQQFLCGGDKANFVYPSGVDRFKRQFADLEESAATGEKTSPQLRQHASLPRERVNGIGDDLERPSADYCIRLHVCEQPAHASVTDCLNKPLSSSRNFLKSESISASQCVVIKQKRDNHEESISEHMNDGVGVPQRIEQLKT >PVH39462 pep chromosome:PHallii_v3.1:5:57160933:57163675:-1 gene:PAHAL_5G507100 transcript:PVH39462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGNPLDALPAAVLADVLGRVADAGDIAACRLASRALLVASYLCPRARLCAADCVRRRRGGGEGRAGAPAFRTTARSLASLLGAHLRSLSLDAADGQGFPDDAMWVEEGEFDEADDLHLTSEEAVTAWAATAAGPVLREVEIADYWPQACWRKAEALPLISHYCLNLTRLGLKNAWLSVDGLKKMSNLTHLTLEFIRLDDEDLNQLNECFPCLHTLNFIGVGGLKDPKIHLLQLKTCRWEVSNVPRSLAVLAPNLVSLELKCVRPDKLILDTPSLSTLKLTIEKLGATVQVDGLVSLTDLRIESLDLSYLFLVFVGSRAIRMLELELSESASQYDLLEAVNPDYLLKMLASISEVKLGPRFSCGLTLCLALCKDSGFTSCLKKLLIHVPQSESSFQLLPLFQICAPLCEVTVLFHAESADAIRQGAMSICMKSFAGIRWQWGTWK >PVH39461 pep chromosome:PHallii_v3.1:5:57160933:57163638:-1 gene:PAHAL_5G507100 transcript:PVH39461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGNPLDALPAAVLADVLGRVADAGDIAACRLASRALLVASYLCPRARLCAADCVRRRRGGGEGRAGAPAFRTTARSLASLLGAHLRSLSLDAADGQGFPDDAMWVEEGEFDEADDLHLTSEEAVTAWAATAAGPVLREVEIADYWPQACWRKAEALPLISHYCLNLTRLGLKNAWLSVDGLKKMSNLTHLTLEFIRLDDEDLNQLNECFPCLHTLNFIGVGGLKDPKIHLLQLKTCRWEVSNVPRSLAVLAPNLVSLELKCVRPDKLILDTPSLSTLKLTIEKLGATVQVDGLVSLTDLRIESLDLSYLFLVFVGSRAIRMLELELSESASQYDLLEAVNPDYLLKMLASISEVKLGPRFSCGLTLCLALCKDSGFTSCLKKLLIHVPQSESSFQLLPLFQICAPLCEVTVLFHAESADAIRQGAMSICMKSFAGIRWQWGTWK >PAN32127 pep chromosome:PHallii_v3.1:5:54659268:54660651:-1 gene:PAHAL_5G465700 transcript:PAN32127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLLLLLAYSFLLRPAAASAAQPSSCWPKTCGSLNITYPFWVEEPGRPPCGPPAFQLKCNSSGAFLSRSIYQAYRVESIFPKNRSLHVVDINLPLATGCPAPKFNVSIVSRPLFFSKANKELLFLGKCTESQPEPSAGFHSLPCDSSSFVRLGDGRNFSRSHVQGGIPPSCFFAFVPVLVAPQGNGAEYIASLKKGFLLEWTEVPGNCPGCMASGGECAYGDNGLTFACKCSGSLRPEKCAIADYRKLF >PVH37633 pep chromosome:PHallii_v3.1:5:3097685:3099202:1 gene:PAHAL_5G049200 transcript:PVH37633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHGGDGRRVTLLVPPQDGGQPQQVAQYPREGRNGAAPQPAAGRPRPSYVPPSQRRREQPPPAYGPYPQGGVPQERQQAMPPPPGGAPPPVTGSGLAIPGGAAPPPAGAAPPRRKRFGMATTTWATDVPDPSDARQHRRPHKPHAPGDEEAAPPGSLATPGGAAPPPGGAAPPRRPKRFGIPKTTWASDLPADSSDARPPRPRQHTPARAGLGDEAAPPHGGSPTAPEGPRRFGLPTAKTIERVGTLETGYGTEAPAPADSRLPPPVPPPHAHDARPQQPTPPYQPPPYGKKWQESPMLPTERRRKKENSKKPLAILFTLCCILFWLLVVCIGLAILVIYLLYHPKPPRLHVSTATLNAGYIDELPPPHLGQALNSDLYVLAAIYNPNTKIDVVLHYMQFDLYFQGHLIGTQAVWPPLYERPGDSALRTVHLVVSEVMMRPEDAEVWKNVTASGGLVQMQLEGRFYVQLNFGRWLPFRYMVKPSCALWLDPPPAGALRRARCRQ >PAN31921 pep chromosome:PHallii_v3.1:5:53639684:53641885:1 gene:PAHAL_5G450400 transcript:PAN31921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDQAAAAVMAPEKLKLFMGVLALQFLLAGFHIVTRAALNMGISKIVFIVYRNIISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLSRRHGLAKVVGTVVSIGGATVITLYKGLPLFHYNLTIKSLLTLSSSSPILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAVFTEEDLSRWKVHSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAAILGDQLYTGGIIGAVLIVIGLYFVLWGKSAEKKLATRNQQDQLEQGGGDITRHLLGGDEDDASTKDEETPAIDLLA >PVH38063 pep chromosome:PHallii_v3.1:5:10045051:10045525:-1 gene:PAHAL_5G165500 transcript:PVH38063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEARARRLTAIARKWLVDPRVGYSGDLHPAASERQELSCMVMAGARVSLAEPGRVVCSLSVRAPLTDAEGRWHAGAVAAAVDNMCSAVVFTVEGAPTVTVQYSLSYFSPAHPDVRARCLSDRQ >PAN28608 pep chromosome:PHallii_v3.1:5:10044227:10045737:-1 gene:PAHAL_5G165500 transcript:PAN28608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEARARRLTAIARKWLVDPRVGYSGDLHPAASERQELSCMVMAGARVSLAEPGRVVCSLSVRAPLTDAEGRWHAGAVAAAVDNMCSAVVFTVEGAPTVTVQYSLSYFSPAHPDDEVEMEGRVVSRKGKLTSVAVEVRKKKSGELVAIGRQWVAPAWPIKSNKSSKL >PVH37772 pep chromosome:PHallii_v3.1:5:5358082:5360697:-1 gene:PAHAL_5G086500 transcript:PVH37772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHFLQLRGDKKKSFVLLVRSRHVWVVRRWKGACGIWNLRAPPLFPQLYRPFAESEHLPEGKADVTPKSSTALEPRCFATAFTRAQWQGKDRKRSGALISPAVPAGAFTSHEQKSPPAKPKAAAPVPVATRVLVSYGGGGYRCRLPGRRGRAGKQNLKREPGGPGRWPGRGWSVTQ >PAN27709 pep chromosome:PHallii_v3.1:5:6134606:6139199:1 gene:PAHAL_5G100700 transcript:PAN27709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPDFKESQAESVIKVAREPAIIINGVPDLPPDHTAGSQPEVKNDTKSQVDPRFGEWLEGRKVRKLFGDTYYVGKVVKYDSESNWYNIIYDDGDQEDLEWCELEEVLLPLDITVPLKTLVIDKCKLQGSIPDYSRPKVGRPKKVYATMDGNTKKTSSMITVSHGNDVMNNQMVIDGVEGQGQQSSNDAKSGQLVTVTAGGNAQACLQASNQSRKRGRPRKDGSLSANSQPKKRGRPPKNRNASGNSQSAENTPDSLALVPVQDNAHESSRRQNSALQRNTMTVRAEKLKRENLRFQGTPSGTQKF >PAN27710 pep chromosome:PHallii_v3.1:5:6134606:6139199:1 gene:PAHAL_5G100700 transcript:PAN27710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTRVKHLGLRSTSGRHPPHFTPQNALFGRLARARLIDSPPAQGLRFFSSLCFLILSLLSYSLLPCSSFPGGDDWASGGAICPVFAQPFAGDKRILQKTMEGPDFKESQAESVIKVAREPAIIINGVPDLPPDHTAGSQPEVKNDTKSQVDPRFGEWLEGRKVRKLFGDTYYVGKVVKYDSESNWYNIIYDDGDQEDLEWCELEEVLLPLDITVPLKTLVIDKCKLQGSIPDYSRPKVGRPKKVYATMDGNTKKTSSMITVSHGNDVMNNQMVIDGVEGQGQQSSNDAKSGQLVTVTAGGNAQACLQASNQSRKRGRPRKDGSLSANSQPKKRGRPPKNRNASGNSQSAENTPDSLALVPVQDNAHESSRRQNSALQRNTMTVRAEKLKRENLRFQGTPSGTQKF >PAN27719 pep chromosome:PHallii_v3.1:5:6134606:6139199:1 gene:PAHAL_5G100700 transcript:PAN27719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTRVKHLGLRSTSGRHPPHFTPQNALFGRLARARLIDSPPAQGLRFFSSLCFLILSLLSYSLLPCSSFPGGDDWASGGAICPVFAQPFAGDKRILQTMEGPDFKESQAESVIKVAREPAIIINGVPDLPPDHTAGSQPEVKNDTKSQVDPRFGEWLEGRKVRKLFGDTYYVGKVVKYDSESNWYNIIYDDGDQEDLEWCELEEVLLPLDITVPLKTLVIDKCKLQGSIPDYSRPKVGRPKKVYATMDGNTKKTSSMITVSHGNDVMNNQMVIDGVEGQGQQSSNDAKSGQLVTVTAGGNAQACLQASNQSRKRGRPRKDGSLSANSQPKKRGRPPKNRNASGNSQSAENTPDSLALVPVQDNAHESSRRQNSALQRNTMTVRAEKLKRENLRFQGTPSGTQKF >PVH38783 pep chromosome:PHallii_v3.1:5:38899535:38902147:-1 gene:PAHAL_5G351500 transcript:PVH38783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSAVDAAPTISRCSRRRSCFCRRRPCPPLDVACPGSAPSCPPLTQEPRRRLWTSLDAAASPCCAPASPFWQLN >PVH38442 pep chromosome:PHallii_v3.1:5:16665646:16666434:-1 gene:PAHAL_5G259200 transcript:PVH38442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERGIFSIYYGEGNVIYVPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVQQNPLINIEAAPGDENIDEEVEEANIEAGGTEAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGSSWHSDCRENEIIQSARYHSIEEVKETFKTVECKSRMCEGWLSMAGACLQG >PAN31423 pep chromosome:PHallii_v3.1:5:51530864:51538345:1 gene:PAHAL_5G418700 transcript:PAN31423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGGGGGATTALGEPLLTKKGRAYRPRCPGCRVDRLNAEREGVFPLKDLFLIWLVTITCTLPIQSLFPFLYFMIRDLHIAKQTQDIGFYAGFVGASYMFGRAISSTVWGIVADKHGRKPVIVLTLVSIIIFNTLFGLSLNYWMALITRCLLGVMCGYLGPIKAYATEVCRKEYNHLALAVVSSSRGIGLIIGPAIGGYLAQPADKYPSIFSQTSIFGRFPYFLPCLCISILAVLALFACIWFPETLHKHNEDTVDNSVEAIEESLADTDTEENGNGGCLQLFKNWPLMSAITLYCIFSLQDVAYAEVFSLWAVSDRSYGGLSFTSTDVGNVLAASGLFLFLYQMLVYPLLAKSVDHITLVRGVAILTIPLLASYPFFPSLSGFVLMLVVNLASFLKNTFSVTTITVFNILMNEAVPQDVRAAANGIAVTLMSISKAVAPAVAGIIFSWAQRRQTASFLPGDHLVFFMLNVFTVTGLVFTFRPFFVRGSAKH >PAN31424 pep chromosome:PHallii_v3.1:5:51532451:51538345:1 gene:PAHAL_5G418700 transcript:PAN31424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNLLIACFLTCVSSLSCQLEMHMTLFYFLSAALPIQSLFPFLYFMIRDLHIAKQTQDIGFYAGFVGASYMFGRAISSTVWGIVADKHGRKPVIVLTLVSIIIFNTLFGLSLNYWMALITRCLLGVMCGYLGPIKAYATEVCRKEYNHLALAVVSSSRGIGLIIGPAIGGYLAQPADKYPSIFSQTSIFGRFPYFLPCLCISILAVLALFACIWFPETLHKHNEDTVDNSVEAIEESLADTDTEENGNGGCLQLFKNWPLMSAITLYCIFSLQDVAYAEVFSLWAVSDRSYGGLSFTSTDVGNVLAASGLFLFLYQMLVYPLLAKSVDHITLVRGVAILTIPLLASYPFFPSLSGFVLMLVVNLASFLKNTFSVTTITVFNILMNEAVPQDVRAAANGIAVTLMSISKAVAPAVAGIIFSWAQRRQTASFLPGDHLVFFMLNVFTVTGLVFTFRPFFVRGSAKH >PVH37895 pep chromosome:PHallii_v3.1:5:6970497:6974297:1 gene:PAHAL_5G115500 transcript:PVH37895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRRKQLKACNCSVEMTKTSYRDACFRCPKAYADETDSSEEDEEEEVKDKEKSRDSYGSAPAARDQLKYNLIACKQMVGIFVTVWVKKELVQHIGHLRKSCIGRGILGCLGNKGCISVSMTLHQTSFCFVCSHLASGQKEGDEFRRNSDVLEILRLTMFSRICRRSGRKIPEKILEHDKVIWLGDLNYRIALSYADTKKLLMENNWDALFEKDQLKIERDAGRVFKGWNEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPAWCDRILWRGDGIMQLSYYRGESRFSDHRPVCGTFVVEVDVLNKRTKRRSSNADMRIGAEELLPMGKGKGTARNVSSKCELS >PVH37897 pep chromosome:PHallii_v3.1:5:6970497:6974297:1 gene:PAHAL_5G115500 transcript:PVH37897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPATNFSGSSYIATAEPGPSSSKAALSSPLRTLSVRSMHLSHFLAQSSNNTTTEPIRIFVSTWNVGGKTPTAALNLDDFLPPDDKSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPADIDANVFQHEPSPSVDSSSSRASSSLDTSLSDLSKTASGTTIFQKSLLKAISKSFMPVRRKQLKACNCSVEMTKTSYRDACFRCPKAYADETDSSEEDEEEEVKDKEKSRDSYGSAPAARDQLKYNLIACKQMVGIFVTVWVKKELVQHIGHLRKSCIGRGILGCLGNKGCISVSMTLHQTSFCFVCSHLASGQKEGDEFRRNSDVLEILRLTMFSRICRRSGRKIPEKILEHDKVIWLGDLNYRIALSYADTKKLLMENNWDALFEKDQLKIERDAGRVFKGWNEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPAWCDRILWRGDGIMQLSYYRGESRFSDHRPVCGTFVVEVDVLNKRTKRRSSNADMRIGAEELLPMGKGKGTARNVSSKCELS >PVH37896 pep chromosome:PHallii_v3.1:5:6970497:6974297:1 gene:PAHAL_5G115500 transcript:PVH37896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRRKQLKACNCSVEMTKTSYRDACFRCPKAYADETDSSEEDEEEEVKDKEKSRDSYGSAPAARDQLKYNLIACKQMVGIFVTVWVKKELVQHIGHLRKSCIGRGILGCLGNKGCISVSMTLHQTSFCFVCSHLASGQKEGDEFRRNSDVLEILRLTMFSRICRRSGRKIPEKILEHDKVIWLGDLNYRIALSYADTKKLLMENNWDALFEKDQLKIERDAGRVFKGWNEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPAWCDRILWRGDGIMQLSYYRGESRFSDHRPVCGTFVVEVDVLNKRTKRRSSNADMRIGAEELLPMGKGKGTARNVSSKCELS >PAN27890 pep chromosome:PHallii_v3.1:5:6969941:6974208:1 gene:PAHAL_5G115500 transcript:PAN27890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGGEKMKGCGPKLFKAKGKKTAKSTDNPSCHAATAEPGPSSSKAALSSPLRTLSEVRSMHLSHFLAQSSNNTTTEPIRIFVSTWNVGGKTPTAALNLDDFLPPDDKSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPADIDANVFQHEPSPSVDSSSSRASSSLDTSLSDLSKTASGTTIFQKSLLKAISKSFMPVRRKQLKACNCSVEMTKTSYRDACFRCPKAYADETDSSEEDEEEEVKDKEKSRDSYGSAPAARDQLKYNLIACKQMVGIFVTVWVKKELVQHIGHLRKSCIGRGILGCLGNKGCISVSMTLHQTSFCFVCSHLASGQKEGDEFRRNSDVLEILRLTMFSRICRRSGRKIPEKILEHDKVIWLGDLNYRIALSYADTKKLLMENNWDALFEKDQLKIERDAGRVFKGWNEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPAWCDRILWRGDGIMQLSYYRGESRFSDHRPVCGTFVVEVDVLNKRTKRRSSNADMRIGAEELLPMGKGKGTARNVSSKCELS >PAN26687 pep chromosome:PHallii_v3.1:5:1980553:1983445:1 gene:PAHAL_5G031600 transcript:PAN26687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKEAARERREQRRREVTLLRALPYEPHQRWWDRLQPRAVAVVTGANRGIGFEAARQLALHGLHVVLTSRDAAKGQDAAERIRGEAPDEAGVSVEWRQLNVADVASVEAFATWALETHGGIHVLVNNAGVNFNKGPDNSVEFAEQVIETNYYGTKRMIDAMISLMKPSPYGARIVNVSSRLGRVNGRRNRIGDASLRDQLLNDDCLSEQLIDDMIKKFLEQVRQGTWSSNQWPQMYTDYSVSKLAVNAYTRLMSRKLSDRPEGQKIYINCFCPGWVKTAMTGWEGNNSAEEGADTGVWLALLPCEQGTNGKFFAERREISF >PAN26688 pep chromosome:PHallii_v3.1:5:1980553:1983443:1 gene:PAHAL_5G031600 transcript:PAN26688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKEAARERREQRRREVTLLRALPYEPHQRWWDRLQPRAVAVVTGANRGIGFEAARQLALHGLHVVLTSRDAAKGQDAAERIRGEAPDEAGVSVEWRQLNVADVASVEAFATWALETHGGIHVLVNNAGVNFNKGPDNSVEFAEQVIETNYYGTKRMIDAMISLMKPSPYGARIVNVSSRLGRVNGRRNRIGDASLRDQLLNDDCLSEQLIDDMIKKFLEQVRQGTWSSNQWPQMYTDYSVSKLAVNAYTRLMSRKLSDRPEGQKIYINCFCPGWVKTAMTGWEGNNSAEEGADTGVWLALLPCEQGTNGKFFAERREISF >PVH37620 pep chromosome:PHallii_v3.1:5:3041161:3041828:1 gene:PAHAL_5G048200 transcript:PVH37620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYSESGYCSEDVRRPYAEPGHYHGEGGERYAVRKEYEEIDEVARAGRGHYGHGHLGRSGSHHHAGHAGHEGSCHGHLGGEYREQHHLHGHGHGGRHYDACESRRYDSGTGHQYYA >PAN27184 pep chromosome:PHallii_v3.1:5:4019419:4022541:1 gene:PAHAL_5G064700 transcript:PAN27184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGRRRAPLWSLPVARSDALGKLGPAFGIGAGCGVGVGFGLVGGAGIGAGFPGLQLGFGAGAGCGIGIGFGYGFGKGVAYDESGRYSNIRRPLQNSRSLPYDEQFDIMFDELMESTRKLIKATSKEIDKWRRM >PAN30762 pep chromosome:PHallii_v3.1:5:38580572:38584325:1 gene:PAHAL_5G349000 transcript:PAN30762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEVTVNSGGVVFIALFRTAEYGDLQSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNKYAKIDSELSREIEQWNDMMRTEVVKLCQDNSFNTGFFEGTDNSTAVDAYELKVRLEHILERISLISDAASTERPSQVIDYLYIGGALTARSTHTLKHLGITHILCLCANEIGQSESQQPGLFDYRNFSIKDDDDADIGNVFHDASDFIDYVDHLRGKVLVHCFEGKSRSATVVLAYLMLRK >PAN30764 pep chromosome:PHallii_v3.1:5:38580572:38584078:1 gene:PAHAL_5G349000 transcript:PAN30764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEVTVNSGGVVFIALFRTAEYGDLQSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNKYAKIDSELSREIEQWNDMMRTEVVKLCQDNSFNTGFFEGTDNSTAVDAYELKVRLEHILERISLISDAASTERPSQVIDYLYIGGALTARSTHTLKHLGITHILCLCANEIGQSESQQPGLFDYRNFSVCTSNYT >PVH38767 pep chromosome:PHallii_v3.1:5:38580376:38585268:1 gene:PAHAL_5G349000 transcript:PVH38767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNKYAKIDSELSREIEQWNDMMRTEVVKLCQDNSFNTGFFEGTDNSTAVDAYELKVRLEHILERISLISDAASTERPSQVIDYLYIGGALTARSTHTLKHLGITHILCLCANEIGQSESQQPGLFDYRNFSKLYSSESMDHAEEGAPTCPPQ >PVH38766 pep chromosome:PHallii_v3.1:5:38580376:38585268:1 gene:PAHAL_5G349000 transcript:PVH38766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNKYAKIDSELSREIEQWNDMMRTEVVKLCQDNSFNTGFFEGTDNSTAVDAYELKVRLEHILERISLISDAASTERPSQVIDYLYIGGALTARSTHTLKHLGITHILCLCANEIGQSESQQPGLFDYRNFSIKDDDDADIGNVFHDASDFIDYVDHLRGKVLVHCFEGKSRSATVVLAYLMLRKNCTLLKAWTMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWQHKRPAMKVCPICGKNAGLSSSSLKLHLQKAHKKISSGSVDSAMSLEIHKALEAIKAGRGGSDSPTQKSQSHIEGF >PVH38769 pep chromosome:PHallii_v3.1:5:38580376:38585268:1 gene:PAHAL_5G349000 transcript:PVH38769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNK >PVH38764 pep chromosome:PHallii_v3.1:5:38580375:38585268:1 gene:PAHAL_5G349000 transcript:PVH38764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEVTVNSGGVVFIALFRTAEYGDLQSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNK >PVH38765 pep chromosome:PHallii_v3.1:5:38580376:38585267:1 gene:PAHAL_5G349000 transcript:PVH38765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEVTVNSGGVVFIALFRTAEYGDLQSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNKYAKIDSELSREIEQWNDMMRTEVVKLCQDNSFNTGFFEGTDNSTAVDAYELKVRLEHILERISLISDAASTERPSQVIDYLYIGGALTARSTHTLKHLGITHILCLCANEIGQSESQQPGLFDYRNFSKLYSSESMDHAEEGAPTCPPQ >PVH38768 pep chromosome:PHallii_v3.1:5:38580376:38585268:1 gene:PAHAL_5G349000 transcript:PVH38768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEVTVNSGGVVFIALFRTAEYGDLQSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNK >PAN30763 pep chromosome:PHallii_v3.1:5:38580375:38585267:1 gene:PAHAL_5G349000 transcript:PAN30763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNERTSCGRLAIRSSASSEVLELADPDSNVIEPDPCDQVPEASLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSIDTSEDDINRSFEVTVNSGGVVFIALFRTAEYGDLQSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNSSSEWQQIKDAVENARHVSIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPYDSREAAEKTAEALGRVLILDLVLRNEDRLRCRALGWRGNYANLLIANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRTVSISGSIGSDVSDLILEDSYVRSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLFEVSFGKLGVPGSEGFDVSSDYSYNCPLSESDTVAIVHSFRGGFRSALRDLQRFHIFLITLYQKLDGLLKIFFNIMYKCSNEYDKEDAGTSDSPLCSVEAQADSNDTDVPRNLRKPSRTLSRDSLDLSSPCCRESFMTKHFKGNGDASRGLRLTMKLRDFNKYAKIDSELSREIEQWNDMMRTEVVKLCQDNSFNTGFFEGTDNSTAVDAYELKVRLEHILERISLISDAASTERPSQVIDYLYIGGALTARSTHTLKHLGITHILCLCANEIGQSESQQPGLFDYRNFSIKDDDDADIGNVFHDASDFIDYVDHLRGKVLVHCFEGKSRSATVVLAYLMLRKNCTLLKAWTMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWQHKRPAMKVCPICGKNAGLSSSSLKLHLQKAHKKISSGSVDSAMSLEIHKALEAIKAGRGGSDSPTQKSQSHIEGF >PVH39120 pep chromosome:PHallii_v3.1:5:53009131:53011239:-1 gene:PAHAL_5G442000 transcript:PVH39120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDQQPPAQRYWFPYWTAPPQPPPPVPAPRPAVRPQLSRRDTRPAPPTSSPPVTPSPSRRQSHPQPATTPASRGAGGAPSPPAQPQSTRLSSRPSPSPSPARAPPLSPIREPNASAAPAPVPVLVAKESKPAATPHPTAHEVPKQKDIIIPQEKTIREPPADSKHSKAVEKEKEEREREKDKQKKEEDKEKKKKEEEKEKEEKKKEHKEKEKEKVQDKKKKEHKEKKEKDKEEIKSKEAAGEHGHGSKLHKELKSGVADMVHKLSASAPSSGGGHGRPTPAAAGTTVITLAGENKGASMKIDGTTVADGKADSASGKERRGHKLNGSVAGGKERAGSKGPTAFVNSNVQVINNSLLLQSSCNGGDPGVHLKLATKSKKKGDGREEAGGKSGSAAAPKK >PVH39292 pep chromosome:PHallii_v3.1:5:55071668:55075316:-1 gene:PAHAL_5G472400 transcript:PVH39292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTWPTEPSDETFDGRPAITALRLGLLYHQSTRSNFKKGPTCIIR >PAN32367 pep chromosome:PHallii_v3.1:5:55614447:55618291:-1 gene:PAHAL_5G482200 transcript:PAN32367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAASGRGHVVYPPRSAEDIFKDYRARRSAILRALTHDVEDFYAQCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLLSVAFYYAARLNRNDRKRLFGMINELQTVFEVVSGARQQQSKERSSMDNGGRAKPSVKIENNGKASEDAYGEDDSDHGETLCGTCGGIYSADEFWIGCDICERWYHGKCVKITPAKAESIKHYKCPSCSSKRARQ >PVH38400 pep chromosome:PHallii_v3.1:5:15941644:15942833:-1 gene:PAHAL_5G251200 transcript:PVH38400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGRRMQPPARSSYYAVLGVHPGASAAEIRAAYHRLAMRWHPDKIASGRAAADPARAEEAKTRFQQIHEAYQVLSDEKRRALYDAGMYDPLDDDQEDVEGFHDFLQEIMSFMATVGREVTSSFSCHCHLTFTGKVPNFV >PVH38399 pep chromosome:PHallii_v3.1:5:15940767:15942833:-1 gene:PAHAL_5G251200 transcript:PVH38399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGRRMQPPARSSYYAVLGVHPGASAAEIRAAYHRLAMRWHPDKIASGRAAADPARAEEAKTRFQQIHEAYQVLSDEKRRALYDAGMYDPLDDDQEDVEGFHDFLQEIMSFMATVGREEPVYSLGELQSMLDGMIQDFAGPQPDPSGFFAGGPSPFADPGGAEPSGASARLHPQGFGDSACFSRTAFSR >PAN27543 pep chromosome:PHallii_v3.1:5:5416402:5417961:1 gene:PAHAL_5G087700 transcript:PAN27543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLPNRLVSSLLAAFSLRHGHGRRLRTSATSPPARFDAESYLVATCGLTPSQALKASKHLSHLETPDQPDAVRAFLAGLSLSKADVAATIARSPRFLCCSVEESLAPRVSQLRDIGLSTPQIARLVPLVPYAFVSAVYVSRLEFFMSFFGSFDRLHAAIRRDARLLTRSVERVVGPKVALLRQCGLAVRDIAYVVSLAPRQFAGSQERLEASIKRAEELGVPRGTPMFRHALVVAYTIRRENATSKMELLKSLGWSSSQVAMAVAKMPCILGSSEDRLRRAISFLTKDAGMEAEAIARGPSLLKFSIERRLAPRLKVLKLLKEEGLRLGDRAFYPVACMSSAAFLNTFVRPHATILPPDLIAACAGKAPAGAAP >PVH38625 pep chromosome:PHallii_v3.1:5:25123438:25131459:1 gene:PAHAL_5G306800 transcript:PVH38625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMILRFVNDEGKVMERFLGLNHIEKCTSVALKEALVCMISSHKLSISKLRGQSYDGASNMRREFKDNLSRALQRKDQDKVEAMHLINGVKERLQDTRDNGWEPLFKRVKSFCDKNEIKVPNMDEEVNARGTYARRRQKVTNMHFYNVEIFLAAIDAILTEMNHRFSEVSSELLVCMAALNPRNSFSSFLVDKLVRLAEIYAEDFDVGHILVLPSELREFHFRV >PVH37805 pep chromosome:PHallii_v3.1:5:5794189:5798698:1 gene:PAHAL_5G093900 transcript:PVH37805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRRRRRGRAGGAAAAAGGGEQGPVLGVHRADDPGHRDGAVDVAALLPWSSRRYSPMHLFGAASRAPESKYTTKVRSCGGKTPSDGYKWRKYGQKSIKNNPHPRSYYKCTSSRCGAKKHVEKSTDDPEMLVVTYEGPHLHGPQPLFPRRQWASVDLSGAAAAAAAKKQVKTPSPAASSAAPARASDDAGGWPPNDDHYQMTCGGDAGEAPGNGRAEDAAARRHLAAAADSCDGGSTASVAAATVLTCDDSPPTAWSCPDFPFAWSPEAPLLL >PVH37806 pep chromosome:PHallii_v3.1:5:5794189:5798698:1 gene:PAHAL_5G093900 transcript:PVH37806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRRRRRGRAGGAAAAAGGGEQGPVLGVHRADDPGHRDGAVDVAALLPWSSRRYSPMHLRFGAASRAPESKYTTKVRSCGGKTPSDGYKWRKYGQKSIKNNPHPRSYYKCTSSRCGAKKHVEKSTDDPEMLVVTYEGPHLHGPQPLFPRRQWASVDLSGAAAAAAAKKQVKTPSPAASSAAPARASDDAGGWPPNDDHYQMTCGGDAGEAPGNGRAEDAAARRHLAAAADSCDGGSTASVAAATVLTCDDSPPTAWSCPDFPFAWSPEAPLLL >PVH38656 pep chromosome:PHallii_v3.1:5:29455452:29456767:-1 gene:PAHAL_5G318300 transcript:PVH38656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMQIQSKYGGSSKVMLRNQSVAETTFGKMSGLIGSFITFPKKDESREPLRRQTSNMDLRARGSDGSTSMPSLRKNASVSSDMSDLASQCSGHSVRRTSSWCFDEKVLIQSLYKVNILLDCNLIRFV >PVH39556 pep chromosome:PHallii_v3.1:5:59171556:59175526:-1 gene:PAHAL_5G535900 transcript:PVH39556 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDN1 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/TrEMBL;Acc:A0A178VBM0] MASPPLPSQIVEFNCKVMEEKLKSLGLKVKHHDENLRFLKSEINAIEEVCVDLAIKLGNYHTSVAAVATNDTSTEEAEQRTIRSILDQDKTAAALVCQLKVRYHERTSNMPLMKDILGFVATLGKVNDDHLSRVLAEYLGMDNMLALVCKTYDGVKGLEKYDKDGIIDKSSGIHGLGRSVGKFLNGRFTVFCLENLRSFSGDVNIDDPQRKLILHRPRLPGGESPPGFLDFAVNMIHLDRAHLSCLTASGHGLRETLFYSLFSHLQVYKTRADIQCALPLINDGAVSLDGGILKPNGSFCLGYSKNLEVKFPVSLEVSSSPENIAEMEEQVKLKNWEKERLLEDMKREEDLLKQVKELYSKKKQELMDYLTHPSLTQTPRDSPPICSPATPGSNPFGAKSSHTRRY >PAN33066 pep chromosome:PHallii_v3.1:5:59171556:59175387:-1 gene:PAHAL_5G535900 transcript:PAN33066 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDN1 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/TrEMBL;Acc:A0A178VBM0] MASPPLPSQIVEFNCKVMEEKLKSLGLKVKHHDENLRFLKSEINAIEEVCVDLAIKLGNYHTSVAAVATNDTSTEEAEQRTIRSILDQDKTAAALVCQLKVRYHERTSNMPLMKDILGFVATLGKVNDDHLSRVLAEYLGMDNMLALVCKTYDGVKGLEKYDKDGIIDKSSGIHGLGRSVGKFLNGRFTVFCLENLRSFSGDVNIDDPQRKLILHRPRLPGGESPPGFLDFAVNMIHLDRAHLSCLTASGHGLRETLFYSLFSHLQVYKTRADIQCALPLINDGAVSLDGGILKPNGSFCLGYSKNLEVKFPVSLEVSSSPENIAEMEEQVKLKNWEKERLLEDMKREEDLLKQVKELYSKKKQELMDYLTHPSLTQTPRDSPPICSPATPGSNPFGAKSSHTRRY >PVH38779 pep chromosome:PHallii_v3.1:5:38831512:38831914:1 gene:PAHAL_5G350700 transcript:PVH38779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPIIFGPSFRKETSQVHTVLSFCHLLLELRCAMLSSLLKVLLIGTSLIIIIGS >PVH37629 pep chromosome:PHallii_v3.1:5:3079012:3088985:1 gene:PAHAL_5G048900 transcript:PVH37629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEGAALGGGGGDAMGASDSAAEATVADDVDMNPLKRPSKSFLQEGEAGMHKRQKNECQDFTPRRYQLDLYEVATHQNTIAMLDTGAGKTMIAVMLIKHFGMISKTNNDRKLIIFLAPTVQLVTQQCEVIKSYTDFEVEHYHGAKGVDQWKAHSWQEQLAKYQVVVMTPQVLLDALRQAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHRSEHKPNVFGMTASPVIRKGVSSDLDCENQLSELENILDSKIHTVVDREEIELCVPLAKEVNRYYEPKTVSFEDLSAELGILYSKYDVLIAQLHCKLTNQYKDADQITKELQKRLSNSLAKICYCLEDVGLLCASEATKICIERGHRKGWLKGDGEATDQQSDANGSGLFAGNSMIHMKFFEEVLRIIDKRLQQQQGIDALLNSESGCVEATKGGYISPKLHELIQVFLSFSDFDNVRCLIFVDRKITARVIERTMKKIGRLAYFRISFLTGGSSSVDALTLTSKEQKDTHDSFRSGKVNLLFTTDVAEEGIHVPDCSCVIRFDLPKTTRSYVQSRGRARQKDSQYILMIEQGNVKQNDLISALMRSKTSMAEIASNREPEDSHPSFFPTEEINEYHISTTGAKITTDSSISVLYQYCDKLPKDKYYTPRPTFQFTHYGDGYECTVTLPSSAMFQLLVGPKARSMQKAKQLACLDACKRLHQLGALDDHLSPSAEEPPLENLSKASICSSGAGLGTTKRKELHGTTKVLSMSGSWASDRSVTKLQGYKLNFICDQVGQKYSDFVLLIDANIAKEAATLDIDLYLHDKMVKASVSPCGPLELDAQQMEQAKLFQALLFNGLFGKLFTGSKASKTSREFILKKDDTFLWDNANMYLLLPVDPSSDSHKSVSINWNVIDVAATTVGLMRSIYSDDQQNLINKLNPEINGGDLIHLANKSCKVDDLRSMVVLALHTGKLYTALDVADLCANSTFDGASDKKEAKFRTFAEYFVKKYSIFLHHPSQPLLVLKPTHNPHNLLSSKIRDEGNRVENKNRANSLVHMPPELLIPLDLPADVLRVFYLFPSLMYRIESLMLASQLRSEIAYTGSDISSFLILEALTTLRCCEDFSMERLELLGDSALKYAVSSDLFLRFPNKHEGQLSSRRQEIICNATLHRFGMERKIQSYIRDAAFDPRRWRAPGQLSIWPCPCECPVNSEVVTEDIHRIDDKSIIIGKACDKGHRWICSKTISDCVEALIGAYYVGGGLRAAFSVLKWLQIEIEIEEDLIAKAMLSASVRNYLPKLDVVEMLEAKLGYLFSVKGLLIEALTHPSQQVSGTTYCYQRLEFLGDAVLDILLTQHLFLSHKDTDEGELTDLRSASVNNENFAQVAVRHNLHHFLQHSSGLLQDQITEYVNSLEGSSMDRSSLLSSGSSRGPKVLGDIVESIAGAILIDTKFDLDVVWRVFKPLLSPIVTPENLELPPFRELHEWCDKSGYFLGIKCENREDNIMAILNLQLKDLLLVRQGRGKNKVDAKAHAASLLLRDLEEKGLVIPKNASRTEQSEKKSGSPKHRKNLLGAMGTQNIAPPRQKDLTMSSTTPCSVFDEPFVVKVKLSKGGPRISLYESCKKLQWPMPTFEYVKVEPSVCPSSGGSSQKVAPQGFAFASTITLHIPNGDVISLTGDGRPDKKSSQDSAALLMLYELQRRGRFQVQEV >PVH37628 pep chromosome:PHallii_v3.1:5:3079012:3088985:1 gene:PAHAL_5G048900 transcript:PVH37628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEGAALGGGGGDAMGASDSAAEATVADDDMNPLKRPSKSFLQEGEAGMHKRQKNECQDFTPRRYQLDLYEVATHQNTIAMLDTGAGKTMIAVMLIKHFGMISKTNNDRKLIIFLAPTVQLVTQQCEVIKSYTDFEVEHYHGAKGVDQWKAHSWQEQLAKYQVVVMTPQVLLDALRQAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHRSEHKPNVFGMTASPVIRKGVSSDLDCENQLSELENILDSKIHTVVDREEIELCVPLAKEVNRYYEPKTVSFEDLSAELGILYSKYDVLIAQLHCKLTNQYKDADQITKELQKRLSNSLAKICYCLEDVGLLCASEATKICIERGHRKGWLKGDGEATDQQSDANGSGLFAGNSMIHMKFFEEVLRIIDKRLQQQQGIDALLNSESGCVEATKGGYISPKLHELIQVFLSFSDFDNVRCLIFVDRKITARVIERTMKKIGRLAYFRISFLTGGSSSVDALTLTSKEQKDTHDSFRSGKVNLLFTTDVAEEGIHVPDCSCVIRFDLPKTTRSYVQSRGRARQKDSQYILMIEQGNVKQNDLISALMRSKTSMAEIASNREPEDSHPSFFPTEEINEYHISTTGAKITTDSSISVLYQYCDKLPKDKYYTPRPTFQFTHYGDGYECTVTLPSSAMFQLLVGPKARSMQKAKQLACLDACKRLHQLGALDDHLSPSAEEPPLENLSKASICSSGAGLGTTKRKELHGTTKVLSMSGSWASDRSVTKLQGYKLNFICDQVGQKYSDFVLLIDANIAKEAATLDIDLYLHDKMVKASVSPCGPLELDAQQMEQAKLFQALLFNGLFGKLFTGSKASKTSREFILKKDDTFLWDNANMYLLLPVDPSSDSHKSVSINWNVIDVAATTVGLMRSIYSDDQQNLINKLNPEINGGDLIHLANKSCKVDDLRSMVVLALHTGKLYTALDVADLCANSTFDGASDKKEAKFRTFAEYFVKKYSIFLHHPSQPLLVLKPTHNPHNLLSSKIRDEGNRVENKNRANSLVHMPPELLIPLDLPADVLRVFYLFPSLMYRIESLMLASQLRSEIAYTGSDISSFLILEALTTLRCCEDFSMERLELLGDSALKYAVSSDLFLRFPNKHEGQLSSRRQEIICNATLHRFGMERKIQSYIRDAAFDPRRWRAPGQLSIWPCPCECPVNSEVVTEDIHRIDDKSIIIGKACDKGHRWICSKTISDCVEALIGAYYVGGGLRAAFSVLKWLQIEIEIEEDLIAKAMLSASVRNYLPKLDVVEMLEAKLGYLFSVKGLLIEALTHPSQQVSGTTYCYQRLEFLGDAVLDILLTQHLFLSHKDTDEGELTDLRSASVNNENFAQVAVRHNLHHFLQHSSGLLQDQITEYVNSLEGSSMDRSSLLSSGSSRGPKVLGDIVESIAGAILIDTKFDLDVVWRVFKPLLSPIVTPENLELPPFRELHEWCDKSGYFLGIKCENREDNIMAILNLQLKDLLLVRQGRGKNKVDAKAHAASLLLRDLEEKGLVIPKNASRTEQSEKKSGSPKHRKNLLGAMGTQNIAPPRQKDLTMSSTTPCSVFDEPFVVKVKLSKGGPRISLYESCKKLQWPMPTFEYVKVEPSVCPSSGGSSQKVAPQGFAFASTITLHIPNGDVISLTGDGRPDKKSSQDSAALLMLYELQRRGRFQVQEV >PVH37648 pep chromosome:PHallii_v3.1:5:3302620:3303300:1 gene:PAHAL_5G052100 transcript:PVH37648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEPDAVSRLRDGPGPGPVRHSDAATTCVLRYRPKMPPETTGKLWASRRRGTAVTRRDSRSRERAGLARERARDRDRERGETGRQRRGEHDRGRENAVWTGGAGRSRGRNRGARSRTKLGLAARFRQAPAPPETTPPPPVPRAAAAPHPARCGRRGDETARPKAASGCAACRGCGPAGAARRRRHGASAPPGASRLGLRGGVGATDRLGTRGWVARLGGANRAGG >PVH38325 pep chromosome:PHallii_v3.1:5:14308289:14309759:1 gene:PAHAL_5G229900 transcript:PVH38325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIMARSPHESSFSFSRRNFKWPVLGKSTSHGATAGVEDGSAKGSEADDEDEAAMAFSSSCPSFHSEDFVSLPPPPPPPKQRGKKGRTAVSRLRTALAAAIAGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTASLVREMASGLVRIALECDRAKAACAFPSAAATSGGGGSRKLVEEKVWRAYCNGKGCGYAVRRECGAADWRVLRALEAVSMGAGVIPAACGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSSTGGNGGPELSIYLLRV >PAN32575 pep chromosome:PHallii_v3.1:5:56508164:56514890:-1 gene:PAHAL_5G497600 transcript:PAN32575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGVDMEAGGAAAGAGGAAAAGSSDDEGRCGGRFVEDWCCACAGLFAGPNPMMARYLYALIFLVTNLLAWTLRDYGNSALDELQRLKVCQGARYCLGAEGVLRVSLGCFLFFFVMFLSTVNTRKVHECRNSWHSEWWPAKVVLWLGLTAVTFLAPSPLVQLYGKVAHFGAGAFLVIQLISVTKFIMWLNDCCQSEITRKRCHLQIQVVSIVTYVGSLLGIVLMYVWYAPSLACKLNILFITVTLVLVQLMTFVSMSSKVKAGYLAPGLMGIYVVFLCWSAIRSEPHTEICNKKAAVATSADWVNIASFVIAVIVIVAATFSTGIDSKCLQFKKAEGESEEDDIPYGFGFFHLVFAMGAMYFAMIFVGWNANQTMEKWTIDVGWASTWVRIGNEWLAAIVYIWMMIAPIIWKTRQVGSSAET >PVH38871 pep chromosome:PHallii_v3.1:5:45690638:45697095:-1 gene:PAHAL_5G373900 transcript:PVH38871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQARAGRRLGADLSVAGAERTSTHGLGWLDAGGGRVGALHQATAQGPVGAVGGGIGVGRGCNGSGAGRWLAGEQEAVLGGSIGRSGAQTTAVWRGGGAAVRMAGAARVPELHFFPNVSSVPIADEGPRATIKTRLDHSRRRKLPTNPGTRRKLF >PAN29047 pep chromosome:PHallii_v3.1:5:11937867:11938580:-1 gene:PAHAL_5G196700 transcript:PAN29047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTARVRGGDVSDPLALTLGSIYDAAGADAAAPASPPPAHLAAPPRSTRRRLNNGSAARRRPRVKPRDDDDEDAALDDGPQEPPFPWATERPARHDTLESLLRRGVTSVEGQARCKRCTARRPVAYDLASKFRELRDHIVANRHAMNDRAPDPWMYPSLPDCGACGHKGAMWPEIAADKRQINWLFLLLGQMLGCCTLEQLKYFCQNTGRHRTGAKNRVLYYAYIEMCNQLEPFD >PAN31292 pep chromosome:PHallii_v3.1:5:50740147:50742935:1 gene:PAHAL_5G410000 transcript:PAN31292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MASSAMELSLLNPAAMHHRAARPSAGLPLARRSVVRFRVSASAAAAAPPKSSGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQAEFDALLQEFKTDYNQTHFVRNPEFKAAADKMDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTTFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKIIAIGESDDIPLVKNLKRIPLVAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >PAN28723 pep chromosome:PHallii_v3.1:5:10535416:10537804:-1 gene:PAHAL_5G174600 transcript:PAN28723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALVFVCCFLLLASAAAARGLGDGEPLGGRKNAARRLGEGEPFGGRKNPADPHSSNGTPNCSPPQSGGGGYNPPSPSTGVSPTTPGGGGGGYYPPSPSVGTPSPDIGTSPTTPGGGGGGYYNNPPSPDIGTSPTTPTTPDGGGGYGAPPTPSCGNSPSTPGGCGGYNAPPSPSSDTSPSTPGSGGGYGAPPSPSSDTSPSTPGSGGGYGAPPSPSSGTSPSTPGSGGGCGAPPSSGTSPSTPGIGGGCGAPPSPSSDTSPSTPGGGGGYGAPPSPSSDTSPSTPGSGGGYGAPPSPSSDTSPSTPGSGGGYGAPPSPSSGTPPSTPGSGGGYYGPPSPSSDTSPTTPGGGGGGYSAPPSPSSSTSPSTPGGGGGCGAPPSSGTSPSTPGSGVGCGAPPSPSSDTSPSTPGGGGGYGAPPSPSSDTSPSTPGGGGGYYGPPSPSSDTSPTTPGSGGYYGPPSPSSDTSPTTPSTPSGGYYGPPSPSSDTSPTTPGITPTPDVPLPPISTPPTPYSPLTPTPTTPTPYDPNTGPCIYWMTHPGLVWGLFGFWCPLVRLFGPSAAVPFGHDLTVPEALANTRQDGVGELFREGTASLLNSMVSSRFPFTTQEVKDAFGAALSSGDDGAAAAQAQLFKKANEARVK >PAN32129 pep chromosome:PHallii_v3.1:5:54675325:54679480:-1 gene:PAHAL_5G466200 transcript:PAN32129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGALPLLLLLLPLASLLRFATADGNGTRGNASCAPAACGNLSITYPFSLSGVQPLYCGFPAFELTCADAGRAYLARTFRERLYRVLNISYGSNSFVMAVDVDTSYAGDERCHIPDFNVSSGLYLLPVNVTAAANANLTFVYNCTLPHNASVLLQPPCARPTIGAYIPDGEGNAPPGVPRNCSSVSVPVRRSSFHNASEEPAREYRRLIDEGFVLEWPPTAAECGACTRRGGECRFVELAFQCICSDGRPCRNSRDTRGVIKIGTGIAAALLFLIILSVLSLLITLHKRRKRKRSSSLAGLIGDGTPLASLRKEFSMTGSPRTHIFTYEELDEATDGFSDARELGVGGFGTVYKGTLRDGSVVAVKRLYKNSYKSVEQFQNEVEILSRLRHRNLVTLYGCTSPRSSQDLLLVYEFVPNGTLADHLHGARASGSAPPLPWPVRLGIAVETASALHYLHAVEPRQVVHRDVKTNNILLDEAFHVKVADFGLSRLFPAHATHVSTAPQGTPGYVDPMYHLCYQLTDKSDVYSFGVVLVELISSKPAVDMSRAGPDVNLANLAVHMIQCYEIDRLVDPRLGYGTSAETKRTVDIVAEVAFRCLQPEQDVRPPISEVLDTLREARKTAQAGCAKVKDDVELMKKSRDGSPDSVMYKWTSPSTTAHNSS >PVH37669 pep chromosome:PHallii_v3.1:5:3538323:3542175:1 gene:PAHAL_5G055900 transcript:PVH37669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLPSSAAVVAALPRALSLSAAAVAAATTSLLLISAVVSRSHHVASSSSAPPLPPSASASTTAAQAPAPAPDPDHHHPPPPPPVPPCPPNATHLVPCHEPPSGERHCPPRPPPPPHPPKDPPPHPPHPPPPPPHCRVPPPPGYRPPPPWPVRRERARYANLELPLLPSATVATGQDPVRGGGEWLVFNKGKGLRNYVDQLARVVPLRGGVVRTALDIGCGVASFGDYLLNYGVLTMSIAPKNRYGAQVQLALERGLPAMIGVLTAQRLPYPSRSFDMVHCADCLVPWTAHDGLYMLEIDRLLQPGGYWVLSTPSVSWKSGYNISNQATEDKDKQLTMDNMANKLHWTKLFENGTITVWRKPTCHLHCDQSKLLGSSPLCREDPDSAWYVNISMCITCLPRAEHANGCVDGAMEKWPKRLDAVPPRIASGEMKLSIQTYKHDSLIWEKRVNFYATYLKYLSNGTYRNVMDMSAGFGGFAAAMSKYPVWVMNVIPTNVTDNTLGAIYERGLIGTYTVWCEAFSTYPRTYDLIHANGIFSSHIHKCGIIDILVEMDRILRPGGAAIVRDRANVILKVKKDADRLQWHSRIVDTENGALDPEKLLIVDNSLPFPGS >PVH37681 pep chromosome:PHallii_v3.1:5:3619617:3621027:-1 gene:PAHAL_5G057000 transcript:PVH37681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAAILQDGSEGKEFGRKGQPRHAAPAARFCGRASKTRTTAWLSRSHGRWGPSDGAHPNHRPLRCCSLSLFSLLPMLTRPWKPLVLTAAAAGTWFS >PAN29327 pep chromosome:PHallii_v3.1:5:13250804:13258557:1 gene:PAHAL_5G216900 transcript:PAN29327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGGGGGARGSAGPVPASARKLVQGLKEIVNRPDAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEMPEPRSRGASNSNSRGTRGGADRSGRSNSVQSGSSGTDYMASRSSILGPAVAASNSTQKQTVPCLSANKDVVPNGSVGAAQSSSGFQPAWCGVPGQMSMADIVKMGRPQVRSSGKMATADISYAGQTPSLSSSVNQNSKHSASTALPSAFDQGFPALPDPIPPNVNSSHASAENNQMHQNDWFPQDEPPSGTQSKGIETSGDTSVSVTPFDSSVVVADAAYSQENSHTEENSSTKPAISSERHLEILEENNQFNDGLLQNSTTYQAQVHSYVDNEAEVSNLDAESAAANFQHLSLQDDDLVATKSTEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSKVPKSSLEEEEVPIPDESPSVNQIDVRNQDYYENGALNPPANEDVETRIGSNMETIDGPSVSEPDVLRQGALDVPGLQYNLPSVSSHAYSNTTQPSAMDDTQGNTQSQHLSPFSSLLQPNNLLGSNLAPLRDFDFSQLLQTQSATKYNPPVAPSNLPGISMQETLKQGGFPNTQSTQHVPSTSIPSGLPLPQQLPVHPYSQPTLPLGPFASLVGYPYMPQNYFLPSAAFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSPPASSLPQPSSLSGYGGFGNANNIPGNFSLNQGAPSAPTTLGFDEGLGTQFKDPNHYAALQQSDNPAMWLHGAAGSRAAVPPGNFYGFQGQSQQGGFRQAQQPSQYGGLGYPSFYQSQAGLPQEHPQNPTEGSLNNSQAAPSQPSHQLWQHSY >PVH38037 pep chromosome:PHallii_v3.1:5:9592877:9593466:1 gene:PAHAL_5G158700 transcript:PVH38037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPVPEGYTGNVVLWARPTVTARDLVTRPLQHAVELINRGLARVNEGYFRSFVDFASSGAVEEEGLVPTADAAETVLSPNIEVDSWLRMPFYELDFGGGRPFFFMPSYVAVEGLLIVLPSYFGDGSIDAYVPLFSRHMDSFKNLCYSMSLN >PAN26369 pep chromosome:PHallii_v3.1:5:528668:530977:1 gene:PAHAL_5G006900 transcript:PAN26369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >PAN26814 pep chromosome:PHallii_v3.1:5:2578857:2580563:-1 gene:PAHAL_5G040300 transcript:PAN26814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGGKELGSHLASFLLGAALPTAFLFFLASDRLGEGLSSISMSWGSSGTRQLAAPPAQEARDQEVAGFAGLAELLPRVAMEDRTVILTLANEAWTQPGSLLDIYRESFRNGEGTERFLDHVLVIAVDAGGFDRCKAVHPHCYHLEVTKPTNLSSASKFMTKEFLELVWLKLSFQQRILELGYNFLFTDADILWFRNPFRHISVYADMSLSTDYFRDTFAPLNNELNTGFYYMKSTNRSIEMIRYWRAAKSRFPDGSEQGVFNKIKHELVSKLQGRIEALETAYFSGFCEFHDDLNKVCTMHANCCIGLANKVLDLRDKAADWRNYTALTPEKRKKGVFNKWTPPARCWKTIGWNV >PVH38435 pep chromosome:PHallii_v3.1:5:16427874:16429462:1 gene:PAHAL_5G257300 transcript:PVH38435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHRAELALWLDLLAVKGVEEFVFVNRPWPLDFPLPTTIFSLASVKRLYLGAWGFPNTSALPRGKAFPHLLELGLGCIAMEDRDLNFLLARSPVLQTLVVYASQKYVNLRIISRSLRCVQLCMCIVHDVSVVDAQRLERLFLWEATSDEKVGTRVKFGHAPKLRFVGYLMPGLHVLEVGNTIIKAETRASPRTIVPSVKTLALNVHFGVRSEAKMIPCFLRCFPNIETLHIKSEETDQPAGKLNQKFWKETSGIECVQSHIREMVFHECRGERSELAFLKFILENAQVLREVVILFVKGSLSSGDNAAAKLNKDLSSVKKASENCRLVILESSISRGGTCWSCKVASDFDVADPFYCCC >PAN27468 pep chromosome:PHallii_v3.1:5:5082824:5085243:-1 gene:PAHAL_5G082700 transcript:PAN27468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGKKPRESHRQGRGRRTSHFGDEGGDDLPSSAYDAPPPHHEDSSDDYDDGTNDATAGYEREEDADAGEQDQWGAGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPIHLQEDFCGTALLSTEWIRTDARRTAIGLDLDLESIEWCLENNLSKIGADGYSRMLLFHGNVLQPKEARLVKQRFNDLLQGLHVNSGNGSSGSNSCEQSGLANLKCIANSTMSEAALPGRDIICAFNYSCCCLHSRKDLVLYFRHAFSALSKRGGIFVMDVYGGTSSERKLRLQRKFPSFTYFWEQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFESIHVWIREMPNTQSSGNAKEYNANRDVKYEESQRFNQGDAWNAYVVGVANI >PAN27467 pep chromosome:PHallii_v3.1:5:5082502:5085332:-1 gene:PAHAL_5G082700 transcript:PAN27467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPLTTTTTAPTMQQRDTNERKMRMLASRTSGERGPCLPSFTYTSSPCSTEWIRTDARRTAIGLDLDLESIEWCLENNLSKIGADGYSRMLLFHGNVLQPKEARLVKQRFNDLLQGLHVNSGNGSSGSNSCEQSGLANLKCIANSTMSEAALPGRDIICAFNYSCCCLHSRKDLVLYFRHAFSALSKRGGIFVMDVYGGTSSERKLRLQRKFPSFTYFWEQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFESIHVWIREMPNTQSSGNAKEYNANRDVKYEESQRFNQGDAWNAYVVGVANI >PAN27466 pep chromosome:PHallii_v3.1:5:5083568:5085243:-1 gene:PAHAL_5G082700 transcript:PAN27466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGKKPRESHRQGRGRRTSHFGDEGGDDLPSSAYDAPPPHHEDSSDDYDDGTNDATAGYEREEDADAGEQDQWGAGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPIHLQEDFCGTALLSTEWIRTDARRTAIGLDLDLESIEWCLENNLSKIGADGYSRMLLFHGNVLQPKEARLVKQRFNDLLQGLHVNSGNGSSGSNSCEQSGLANLKCIANSTMSEAALPGRDIICAFNYSCCCLHSRKDLVLYFRHAFSALSKRGGIFVMDVYGGTSSERKLRLQRKFPSFTVIFLGARRV >PAN32429 pep chromosome:PHallii_v3.1:5:55862129:55864163:-1 gene:PAHAL_5G486900 transcript:PAN32429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKRLRTCCCCSAKHTDNDDKIDFGGGNVHVITSKENWDQKIEEANKDGKIVVANFSASWCGPCRVISTVYAEMSQTYPQLMFLTVDVDELMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAAAASSSGGVAPDAK >PAN32430 pep chromosome:PHallii_v3.1:5:55861562:55865148:-1 gene:PAHAL_5G486900 transcript:PAN32430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKRLRTCCCCSAKHTDNDDKIDFGGGNVHVITSKENWDQKIEEANKDGKIVVANFSASWCGPCRVISTVYAEMSQTYPQLMFLTVDVDELMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAAAASSSGGVAPDAK >PAN32432 pep chromosome:PHallii_v3.1:5:55861525:55865197:-1 gene:PAHAL_5G486900 transcript:PAN32432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVLIFFAKGQQLRMVLKRLRTCCCCSAKHTDNDDKIDFGGGNVHVITSKENWDQKIEEANKDGKIVVANFSASWCGPCRVISTVYAEMSQTYPQLMFLTVDVDELMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAAAASSSGGVAPDAK >PVH39375 pep chromosome:PHallii_v3.1:5:55861526:55865148:-1 gene:PAHAL_5G486900 transcript:PVH39375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVLIFFAKGQQLRMVLKRLRTCCCCSAKHTDNDDKIDFGGGNVHVITSKENWDQKIEEANKDGKIVVANFSASWCGPCRVISTVYAEMSQTYPQLMFLTVDVDELMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAAAASSSGGVAPDAK >PAN32431 pep chromosome:PHallii_v3.1:5:55861526:55865196:-1 gene:PAHAL_5G486900 transcript:PAN32431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKRLRTCCCCSAKHTDNDDKIDFGGGNVHVITSKENWDQKIEEANKDGKIVVANFSASWCGPCRVISTVYAEMSQTYPQLMFLTVDVDELMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAAAASSSGGVAPDAK >PAN27690 pep chromosome:PHallii_v3.1:5:6014550:6015282:1 gene:PAHAL_5G098100 transcript:PAN27690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRAGRHQRRASQSVFVLPENFALEDVPAAVAEGGVEQRKPAAADAPELQAARQAGRHRRAMSMAVASRDLEMISEDIGSYKYGA >PVH37556 pep chromosome:PHallii_v3.1:5:1911311:1912081:-1 gene:PAHAL_5G029900 transcript:PVH37556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMLCSEAHLIRSSEQTMAITRIQPLSAHLQPCAAPSDPAEKQVYTVWMKSLVFNGHGCTIYGQDGRVAYRVDNYACSRSREVYVMDSDGKTLIKLLKKNFGVFKTWKGYSYRHGPAGLEQENSKPWFSVQKAHRILKKGRQYSSRAVVTVCMSGKVYKIDGVSHKSEYRITAADGEVVAETKRKKTASGVVLGEDVLSLTVGPAADRLLVVGLVVLCGLPNRCI >PAN27086 pep chromosome:PHallii_v3.1:5:3673659:3678411:1 gene:PAHAL_5G058000 transcript:PAN27086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMLLPVVCSAAPSCSPLCPVTASHVVARVPRRVDVGAALRSYADPLVAQVPDRPPLADSSILSPYPSAPDDIVRGFGFGGAASELPSTGAGADALCCTGTNQPLTFVADLAAPDQTATAVTAMVDSAPSDAAERALSDAPFPTTFPSDASEVEDSVARLIDKLGKQVFQAEDELTEAYDKLRLSAYDALGTWRKTVRDAIGGLKVSIDASKEQAAGGVTDASGALQEKVAGAGAVAVDVVRKAIVAAENSLGGAATFVLSSYESAKESLPPSVRDLLSTSEEKASLVLRPIGNALQQVYVIVEGVEKNVGLDPSDPIVQLAVVLGGSVTIGTSYWLFIYGGYSGDLSPESALELLKNDGKAVLIDVRPEDLREKDGVPDLRRGARSKYASVASPEIKGPIKNMLKGGRDVDDALLAVVIRNLKLVKGDSKVIVMDANGARSKAVARLLKKLGVQRPYLVKGGFQAWSKDLRVKELKPETALTAINEDVEEIIEQIKPTPTLVLGSLLGLSALSYAILEWETTLQYIAVLSIGLTIYSRFSTYESSEDLEQDLKLLLSPVQVGAEALSWAAKKIEPNKVGLPTSPSTTAVKDRVLQAAAKHESQPSDSEESSAHTAEA >PAN27103 pep chromosome:PHallii_v3.1:5:3673081:3678411:1 gene:PAHAL_5G058000 transcript:PAN27103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMLLPVVCSAAPSCSPLCPVQPRRLSRTSLFAAASLAVFTGADCIGTVAWQVTASHVVARVPRRVDVGAALRSYADPLVAQVPDRPPLADSSILSPYPSAPDDIVRGFGFGGAASELPSTGAGADALCCTGTNQPLTFVADLAAPDQTATAVTAMVDSAPSDAAERALSDAPFPTTFPSDASEVEDSVARLIDKLGKQVFQAEDELTEAYDKLRLSAYDALGTWRKTVRDAIGGLKVSIDASKEQAAGGVTDASGALQEKVAGAGAVAVDVVRKAIVAAENSLGGAATFVLSSYESAKESLPPSVRDLLSTSEEKASLVLRPIGNALQQVYVIVEGVEKNVGLDPSDPIVQLAVVLGGSVTIGTSYWLFIYGGYSGDLSPESALELLKNDGKAVLIDVRPEDLREKDGVPDLRRGARSKYASVASPEIKGPIKNMLKGGRDVDDALLAVVIRNLKLVKGDSKVIVMDANGARSKAVARLLKKLGVQRPYLVKGGFQAWSKDLRVKELKPETALTAINEDVEEIIEQIKPTPTLVLGSLLGLSALSYAILEWETTLQYIAVLSIGLTIYSRFSTYESSEDLEQDLKLLLSPVQVGAEALSWAAKKIEPNKVGLPTSPSTTAVKDRVLQAAAKHESQPSDSEESSAHTAEA >PAN30075 pep chromosome:PHallii_v3.1:5:17854658:17861750:-1 gene:PAHAL_5G271300 transcript:PAN30075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRRVLTQIAKHRPTKAIYNELVASSPLGTLRSEVTAGARIRNLQERNQSSYIGSLARRVRDLDSPSETSLLKEIYRSDPERVIQIFESQPSLHSNPAALSEYVKALVRVDRLDESTLLKTLQRGVAASTRVEESFSSIPALIGAGQATKDGVLGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNNTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGNLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMDDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGVALGMVAQLPEKDETSVSRKQMLANLDVCMGGRVAEELIFGDTEVTSGASSDFQQATATARAMVTKYGMSKQVGLVSYNYEDDGKSMSSETRLLIEQEVKNFLENAYNNAKTILTKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTSAAPASPASPAASAAAAAAAAAAAAQQAAAKAKGVAGIGS >PAN26847 pep chromosome:PHallii_v3.1:5:2716824:2719178:1 gene:PAHAL_5G043100 transcript:PAN26847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQQQPRRFIAIPFSSGCRSHSSVDVVDTSRLAGKKPHPQGIEFGVVPGGALARPASAAGKGESLVARLLRGFKNLSQIFAVYDEDEDEEEEREMVIGLPTDVKHVAHIGWDGSTSTTTSLRSWNRAAPPPPPAPSSASASASTSAAAPPQQQHQPPEQYPLPLPALDMRQFELAMAAQAAATSAGTSGPHRHHS >PAN30817 pep chromosome:PHallii_v3.1:5:23340109:23340539:1 gene:PAHAL_5G300000 transcript:PAN30817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVLRGYIAWRLRTKMRSFASNFGGAHLRLNRMITQQVRRVFVSSHQDRGRQKRDFRRLWITRINAATRVYNIFDSYSKLIHNLYKKELILIRKMLAQVAVSNPNNLYTISNKIKTIN >PAN26425 pep chromosome:PHallii_v3.1:5:788246:790907:1 gene:PAHAL_5G011400 transcript:PAN26425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLLANLIVCGGSIVGRAVLQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEPLYQKADVPN >PAN29049 pep chromosome:PHallii_v3.1:5:11942378:11948686:1 gene:PAHAL_5G196800 transcript:PAN29049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRSPAAERRRGIRRLLLNPRGEAASSSSPPPLPLSPPPGAEEGRRKGFASAALRGLGCTSAAASQAYAPGAAAAAAVRSSADWHGRRRRRGKERRKERGGGGGGGLVAGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGGRGAEGERSHRERPCLSRRATVQEQISSSFMDSPPPPHLDAPFFGADLIPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEITRSIRKVKHPSYGSFRFATEMERKCSICQEEFEANEEMGRLECGHSYHVYCIKQWLSQKNTCPVCKTAVTKT >PVH39106 pep chromosome:PHallii_v3.1:5:52601978:52605167:1 gene:PAHAL_5G435000 transcript:PVH39106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase 1 [Source:Projected from Arabidopsis thaliana (AT1G08980) UniProtKB/Swiss-Prot;Acc:Q9FR37] MEGMAMGLEGGDYGAFMEKFELLPPQSQQQLPLHGLTFAIKDIFDISGRVTGFGNPDWARTHAPAAATSPVVLATLAAGATSVGKTVMDEMAYSINGENAHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFALGTDTGGSVRVPAAYCGIFGLRPSHGVVSTENVTPMSQMFDTVGWFARDLSTFSRVSNVLLPLPADNTIKQPTQFTIPKDCFEILGSLKDQTYQILNASVAKRFGSDAVDNRNLGEFVSNNVPTIGKFISDFSKSEAPSVPALSVISYVMRCLQRSEFKANHAEWVNTVKPKLGPGIQERVHEAITSEDGPMEDFHALKTEFKSALSALIKDDGILAIPTVPGSPPKLRMEAAALENFRARAFSLLSIAGLSGFCQLSIPLGVRDGVPVSVSLVARHGADWFLLSVAQELYETLQEETKKAWSSSKSSL >PAN30787 pep chromosome:PHallii_v3.1:5:48335943:48340178:-1 gene:PAHAL_5G387100 transcript:PAN30787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich-splicing factor SR34 [Source:Projected from Arabidopsis thaliana (AT1G02840) UniProtKB/Swiss-Prot;Acc:O22315] MKTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGVVDYTNYDDMKYAIRKLDDSLFRNAFSRAYIRVREYDARSRSRSRSRSYSRSPSYSRSRSPKSVSRSPSPVDERSGHAGDLGTALIDYWMY >PAN30790 pep chromosome:PHallii_v3.1:5:48334589:48339955:-1 gene:PAHAL_5G387100 transcript:PAN30790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich-splicing factor SR34 [Source:Projected from Arabidopsis thaliana (AT1G02840) UniProtKB/Swiss-Prot;Acc:O22315] MSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGVVDYTNYDDMKYAIRKLDDSLFRNAFSRAYIRVREYDARSRSRSRSRSYSRSPSYSRSRSPKSVSRSPSPVDERSLSRSGSPVSSPSRGRSASRSPRSRSASRSRSPVRSD >PVH38905 pep chromosome:PHallii_v3.1:5:48334597:48340362:-1 gene:PAHAL_5G387100 transcript:PVH38905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich-splicing factor SR34 [Source:Projected from Arabidopsis thaliana (AT1G02840) UniProtKB/Swiss-Prot;Acc:O22315] MSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGVVDYTNYDDMKYAIRKLDDSLFRNAFSRAYIRVREYDARSRSRSRSRSYSRSPSYSRSRSPKSVSRSPSPVDERSLSRSGSPVSSPSRGRSASRSPRSRSASRSRSPVRSD >PAN30789 pep chromosome:PHallii_v3.1:5:48335102:48340178:-1 gene:PAHAL_5G387100 transcript:PAN30789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich-splicing factor SR34 [Source:Projected from Arabidopsis thaliana (AT1G02840) UniProtKB/Swiss-Prot;Acc:O22315] MKTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGVVDYTNYDDMKYAIRKLDDSLFRNAFSRAYIRVREYDARSRSRSRSRSYSRSPSYSRSRSPKSVSRSPSPVDERSLSRSGSPVSSPSRGRSASRSPRSRSASRSRSPVRSD >PAN32201 pep chromosome:PHallii_v3.1:5:54996533:54999277:-1 gene:PAHAL_5G470800 transcript:PAN32201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILRLLPLALLLLLPAGIREYLSPAIDRAPGEDGGGGGAAPGGVVLHPIVLVPGVSCSELEVRLTDAYRPSLPRCGAMKGKGWFGLWANCSDLPAHHYIPCFMEQMSLVYDSAAGDYRNLPGVETRVRNFGSSRGFQRNPEHTDWCLEIIRQELERVGYRDGDTLFGAPYDLRHAPPVPGYSSAVFSRYFRQLTRLIEDASRRNQGRKAILFGHSFGGMVALDFVRSTPMAWRERHIKHLVLAAPLTAGGFVQPVQLFASGPDLLFVPGAEPLALTLRPMWRTFESAIVNFPSPAVFGRRPLVITRERNYSADDMADFFAAAGYGAAAEPFRRRAVPRMGYFQAPMVPTTVLNGVGIETPEQLVYWDGDFDAEPGLVNGDGDEDINLASMLAFDEQMRRQPEQNKLFKTIKLRGARHGTIVTEDWSLKRVMQEILEANRID >PVH39492 pep chromosome:PHallii_v3.1:5:57938234:57939597:1 gene:PAHAL_5G518200 transcript:PVH39492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWARRGGVAVPVGVAGLGWDRLGLVSDLRYGDAVSVSCSAAGRAGRQWLRAKPRRFGALKIKRRGSPDRPPPSPPRHQAAERTSCSGSACLLRYPVPLVMEPVGRRLRGSAWRCCLRRRFGMEALPAPAARDRLIRCVVPRSGVDGGWLAADSRLLSATDSFEHACRADESSGHSWQVL >PAN28007 pep chromosome:PHallii_v3.1:5:7470461:7470658:1 gene:PAHAL_5G124400 transcript:PAN28007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSLRVFLVLLVAQACLLVAMAASAVHGSPGPGHGPVAVETTPACCLYHPDCCQVDADAGAKP >PAN27664 pep chromosome:PHallii_v3.1:5:5934144:5938839:1 gene:PAHAL_5G096400 transcript:PAN27664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWREKRDDFKKKVRRIVRKSQEML >PVH39183 pep chromosome:PHallii_v3.1:5:53563104:53565794:-1 gene:PAHAL_5G449700 transcript:PVH39183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSCPLPRWDYRDDNYNYHGNSHRSIELSHPGDWLAIFMNCSQEIKYNGSLVKCLSTADSFIYVSIRPSYSARADYFAPSCGFLAMTPWGGPEMLVSRNASYLDDINAFEGLSYLDVIKLMREGFALRFPYTTLENTRECLVRSKSEFRYDFLNKGTKHRTVDILTFDFDFWWCVAMQFISANNRVTSFLLSIISEILAAAMLVLKFIHVLCRYILVPLAVFAFLAHRYWKTRITIDAVERFLRMQQMLVTTRYAYTDIIAVTGHFREKLGQGGYGSVYKGVLLPGGVPIAVKMLGSSSCNGEDFISEVATIGKIHHVNVVRLVGFCSEETVRALIYEFMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFIPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAGSSSQAYYPSLVYGQLTGEQVGEISEDVDMHELEKKLCVVGLWCIQMKPRDRPTMSEVIEMLEGDADALQMPPRPFFCDEEMLPEVASYSLSSELNVIEEEDE >PVH39182 pep chromosome:PHallii_v3.1:5:53563104:53565784:-1 gene:PAHAL_5G449700 transcript:PVH39182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSASSHCSTTSLRAFSVLLSVFAVLVADVGGRHHVLCPPFSCGGFSNVSYPFRRQGDPHGCGVQSYELVCTETSATIRIGSGTYNVLSINYTLSHFWVVDTNLGMENSCPLPRWDYRDDNYNYHGNSHRSIELSHPGDWLAIFMNCSQEIKYNGSLVKCLSTADSFIYVSIRPSYSARADYFAPSCGFLAMTPWGGPEMLVSRNASYLDDINAFEGLSYLDVIKLMREGFALRFPYTTLENTRECLVRSKSEFRYDFLNKGTKHRTVDILTFDFDFWWCVAMQFISANNRVTSFLLSIISEILAAAMLVLKFIHVLCRYILVPLAVFAFLAHRYWKTRITIDAVERFLRMQQMLVTTRYAYTDIIAVTGHFREKLGQGGYGSVYKGVLLPGGVPIAVKMLGSSSCNGEDFISEVATIGKIHHVNVVRLVGFCSEETVRALIYEFMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFIPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAGSSSQAYYPSLVYGQLTGEQVGEISEDVDMHELEKKLCVVGLWCIQMKPRDRPTMSEVIEMLEGDADALQMPPRPFFCDEEMLPEVASYSLSSELNVIEEEDE >PVH38456 pep chromosome:PHallii_v3.1:5:16984217:16987372:1 gene:PAHAL_5G263200 transcript:PVH38456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTVKHRQAASASGCCRQGAGAELGVVRRRHARRRGGEAHQAVQACRLIRPDPRHNKSPGGDAWRSSYARALFARTAPVGAVGEDGQSYRRVLPGFDVEISSPSWHHLHIFPHFLLLSPRIRTLPFDSPSPFLLLFSRRKPHTPLCAALNLPCQDLIHQFLLFRCKCRGGHGFGDLGLGTPIAGSELS >PAN32521 pep chromosome:PHallii_v3.1:5:56232876:56237151:-1 gene:PAHAL_5G493800 transcript:PAN32521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGWAAAGLAAAAAAAYVAAKLMEVLWWRPRRVEEHFARQGIRGPRYRFFVGCVREMVALMVAASAAPMPRPYRSHNVLPRVLAFYHHWRKIYGSTFLIWFGPTPRLAVADPDLIREILLSRAEHFDRYESHPMVRQLEGEGLVSLRGEKWAHRRRVLTPAFRMENLKLLLPFVGRTVVDMVDKWRDMAAAGSGEVEIDVSEWFQVVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLATLIGRRQEAAHDEKLSGCAKDLLGLMINESSNGGKVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVVLAMHPEWQELARQEVLDVCGARDIPSRDQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFARGVAQAARHPTAFIPFGMGARMCIGQNLALLEAKLAVAIILQRFDFRLSPSYLHAPTVLMLLHPQYGAPVIFRPRPSEPSDRDRGV >PAN32519 pep chromosome:PHallii_v3.1:5:56232876:56237151:-1 gene:PAHAL_5G493800 transcript:PAN32519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQLEGEGLVSLRGEKWAHRRRVLTPAFRMENLKLLLPFVGRTVVDMVDKWRDMAAAGSGEVEIDVSEWFQVVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLATLIGRRQEAAHDEKLSGCAKDLLGLMINESSNGGKVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVVLAMHPEWQELARQEVLDVCGARDIPSRDQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFARGVAQAARHPTAFIPFGMGARMCIGQNLALLEAKLAVAIILQRFDFRLSPSYLHAPTVLMLLHPQYGAPVIFRPRPSEPSDRDRGV >PVH39404 pep chromosome:PHallii_v3.1:5:56233892:56235181:-1 gene:PAHAL_5G493800 transcript:PVH39404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLPFVGRTVVDMVDKWRDMAAAGSGEVEIDVSEWFQVVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLATLIGRRQEAAHDEKLSGCAKDLLGLMINESSNGGKVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVVLAMHPEWQELARQEVLDVCGARDIPSRDQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFARGVAQAARHPTAFIPFGMGARMCIGQNLALLEAKLAVAIILQRFDFRLSPSYLHAPTVLMLLHPQYGAPVIFRPRPSEPSDRDRGV >PVH37659 pep chromosome:PHallii_v3.1:5:3448318:3453057:1 gene:PAHAL_5G054600 transcript:PVH37659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGWPMSYTQGICGSTFHYREFSSKAKKKSKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRSQGHRDVPVVSTGSFALDMALGTGGLPKGRVIEVYGPEASGKTTLALHVIAQAQKNGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQTRAKVATFGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETIGSQVAVKIVKNKHAPPFKTAHFEIEFGKGICRSSELVELGLKRKLVQKAGAMYTYNDMSFRGKDNLKSYLTENECVAKDLEMKLRKLLETEAPKEQEAEDGSLSDFSEEIVAPETLSEEDLAAVVEA >PAN27033 pep chromosome:PHallii_v3.1:5:3448318:3453057:1 gene:PAHAL_5G054600 transcript:PAN27033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLKRVSLRRAFAAAAASSSHPESYTQGICGSTFHYREFSSKAKKKSKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRSQGHRDVPVVSTGSFALDMALGTGGLPKGRVIEVYGPEASGKTTLALHVIAQAQKNGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQTRAKVATFGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETIGSQVAVKIVKNKHAPPFKTAHFEIEFGKGICRSSELVELGLKRKLVQKAGAMYTYNDMSFRGKDNLKSYLTENECVAKDLEMKLRKLLETEAPKEQEAEDGSLSDFSEEIVAPETLSEEDLAAVVEA >PVH37658 pep chromosome:PHallii_v3.1:5:3448837:3453058:1 gene:PAHAL_5G054600 transcript:PVH37658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGWPMSYTQGICGSTFHYREFSSKAKKKSKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRSQGHRDVPVVSTGSFALDMALGTGGLPKGRVIEVYGPEASGKTTLALHVIAQAQKNGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQTRAKVATFGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETIGSQVAVKIVKNKHAPPFKTAHFEIEFGKGICRSSELVELGLKRKLVQKAGAMYTYNDMSFRGKDNLKSYLTENECVAKDLEMKLRKLLETEAPKEQEAEDGSLSDFSEEIVAPETLSEEDLAAVVEA >PAN27031 pep chromosome:PHallii_v3.1:5:3449075:3452387:1 gene:PAHAL_5G054600 transcript:PAN27031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGWPMSYTQGICGSTFHYREFSSKAKKKSKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRSQGHRDVPVVSTGSFALDMALGTGGLPKGRVIEVYGPEASGKTTLALHVIAQAQKNGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQTRAKVATFGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETIGSQVAVKIVKNKHAPPFKTAHFEIEFGKGICRSSELVELGLKRKLVQKAGAMYTYNDMSFRGKDNLKSYLTENECVAKDLEMKLRKLLETEAPKEQEAEDGSLSDFSEEIVAPETLSEEDLAAVVEA >PAN31740 pep chromosome:PHallii_v3.1:5:52936278:52938023:-1 gene:PAHAL_5G440700 transcript:PAN31740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVLRAAADRAIRRQALTLTDVAASRIRQLLSLRQRSYLRLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYVDDPLKSEFVFINPNSKGECGCGESFMTTSSKGSTS >PVH38845 pep chromosome:PHallii_v3.1:5:43837149:43838074:1 gene:PAHAL_5G367900 transcript:PVH38845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSKNKRRQQQKGRPVLVTFYVLQPRRDGEGGARTRTTLSHDDVRHHHHHHLHYGDVYDERRRWTGGRSQSNRRADLLEYSRQLRALARQTTTTTAAPLPQPLPPRLQRHGTETVRALQDPFPRCRNILSLYYIYTYMFIFGGLQTVAVRGDDEVQPAAVNQLERAMSQRQIRQRCFGGGGWSWKRVLALIFPIRSNSHSIAGHSKVRRPTRRMDGDGSEQNGNNNQKGWPAALLVGKLTVARSRRDHGGFADKLMSIFQKPSR >PAN29591 pep chromosome:PHallii_v3.1:5:14818879:14822628:1 gene:PAHAL_5G236400 transcript:PAN29591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRALLLLRESPPWAVAGAAAALLWLVAWTLEWAWWTPRRLDGALRVQGLKGTRYRLFTGDLRENARLNREARTKPLPLGCHDIIPRVLPMLHNVVKENGTVSFTWFGPNPRVMIPEPESVREVLSNKFGHFGKQQFTRAGRLLGNGVANHEGEKWAKHRRILNPAFHHEKIKRMLPVFATCCIDVINRWENSMSSEGSSEIDIWPEFQNLTGDVISRTAFGSSYQEGMKIFQLQGELAERLVQSFQTIFIPGYWFLPTKNNRRMREINREIHKILREIIGKREKAMKNGETNNDDLLGLLLESNMNESKGNAKLGLSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQEKAREEVLNHFGRARPDFENLNRLKTVTMVLYEVLRLYPPIVLLSRSTYKEMELGGIKYPAGVNLLLPIIFIHHDPNIWGKDAGKFNPERFADGISNATKHQAAFFPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYIHAPYTVITLHPQHGAQIRLKKL >PAN27364 pep chromosome:PHallii_v3.1:5:4685510:4691293:-1 gene:PAHAL_5G075700 transcript:PAN27364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os01g0869900)] MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHYMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIQQIMQVQYKIPDYVHISTDCKQLLARIFVANPIRRITMMEIKSHPWFLKNLPRELTETAQAMYYKRDNRVPSYSDQTSEEIMKIVQDARTMPKSSRSGYDWSNECSDEEEEKEEEHRPEENEEEEDEYDRRVKEVHASGELRMDALHI >PAN30174 pep chromosome:PHallii_v3.1:5:34415371:34422370:1 gene:PAHAL_5G334800 transcript:PAN30174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRPPSTPASKGERTPMSTPGGSSRAKEEKIFVTVRVRPLSKKELAVKDEVAWECADSQTILYKGPPQDRAAPTSYTFDKVFGPACQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIDNNPEREFVIKISAMEIYNEIVKDLLRPDSAPLRLLDDPEKGTIVEKLQEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTLESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALAHVEQSRNTLFFATCAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAELRTPDRASSSDILIMEKDRRIRQMEIEIEELRKQRDNAQSELEELRKKKGDHQPGWNPFDSPQRARKCLTFSGSLEPSNKIKMRSSIRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVQCHKHGNQDAAETIAKLQAEIREMQAVRSENRDVEMITDEGNGSDLKDEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVAVPCNETTPKSNRSKKKKRMLLPLGVSNNINRANLLRAPCSPHSSSRPSESEVENRAPEGDTMSVEGSEKATPTKSEDGDLSSRDETPRYRRSSSVNMKKMQRMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKQNDDMEEDNSGPLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDPAVSLVSSIKALRNEREFLARRMGSRLTDEERERLFIKWQVPLDAKQRKLQLVNKLWTDPNDQVHIEESADLVARLVGFCEGGNISKEMFELNFAVPTSRKPWLVGWQPISNMIREKTQLW >PAN30175 pep chromosome:PHallii_v3.1:5:34415371:34422370:1 gene:PAHAL_5G334800 transcript:PAN30175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRPPSTPASKGERTPMSTPGGSSRAKEEKIFVTVRVRPLSKKELAVKDEVAWECADSQTILYKGPPQDRAAPTSYTFDKVFGPACQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIDNNPEREFVIKISAMEIYNEIVKDLLRPDSAPLRLLDDPEKGTIVEKLQEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTLESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALAHVEQSRNTLFFATCAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAELRTPDRASSSDILIMEKDRRIRQMEIEIEELRKQRDNAQSELEELRKKKGDHQPGWNPFDSPQRARKCLTFSGSLEPSNKIKMRSSIRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVQCHKHGNQDAAETIAKLQAEIREMQAVRSENRDVEMITDEGNGSDLKDEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVAVPCNETTPKSNRSKKKKRMLLPLGVSNNINRANLLRAPCSPHSSSRPSESEVENRAPEGDTMSVEGSEKATPTKSEDGDLSSRDETPRYRRSSSVNMKKMQRMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKQNDDMEEDNSGPLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDPAVSLVSSIKALRNEREFLARRMGSRLTDEERERLFIKWQVPLDAKQRKLQLVNKLWTDPNDQVHIEESADLVARLVGFCEGGNISKEMFELNFAVPTSRKPWLVGWQPISNMIREKTQLW >PAN30493 pep chromosome:PHallii_v3.1:5:20552342:20552599:1 gene:PAHAL_5G286100 transcript:PAN30493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDAIEPKAPRNSTPSSGWRPAPLPARQEPAQAAGAAARRPAYGDGAPALAHRQQRRRHRTAPQAAAAPHLLPDRARRPLPSS >PAN27183 pep chromosome:PHallii_v3.1:5:4018471:4018701:1 gene:PAHAL_5G064600 transcript:PAN27183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPGSTLKPSARTRIRRKEAAAAAAAAMHPRTSTPLARFSPGGAARLHGVRSSSMDENAMAEEVLLSPFRFVIS >PVH37692 pep chromosome:PHallii_v3.1:5:3836889:3838347:-1 gene:PAHAL_5G060900 transcript:PVH37692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGPAPLLSSVPCLSSSRREGRRNRSSAPAGIRSDPAATGRILGISTALIKPCSLHHSSNLAEAAVLLQEQFLLIRWSQLSIFQLREALCFSTMSGTAGNTKFLLWLLLLSTTSLSSSCFGSELDIKCLKTLKQSVIDPNGILESSWNFNTTTKGFICQFTGVECWSPNDSRVHALNLSGLGLEGQFPRGLEYCTGLFGLDLSNNKFSGPIPWNIAQQVAYLTSLDLSYNSFSGEIPVDICRDLNLLNIQHNQLSGQIPRDFDELLRLASLNVADNQLSGLIPSSLSKFPASSFSGNQGLCGPPLDDCGKTNDSSLVSYIVNDMIKDDFVVVGAAAGFVVGFVVAFYFPHVFVFARRLHPYVYRIC >PVH38983 pep chromosome:PHallii_v3.1:5:50670235:50670573:1 gene:PAHAL_5G409200 transcript:PVH38983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATHCHLACFQETKLAAVDGPLAAFLSGYKHNCFTHKPAHGTRGGILLLWSDNHIDLRDIVIRRFSVTATVSTKECGTTFSLTVVYGPTRDNRKQAFLRELRNSKPVDDVS >PVH37512 pep chromosome:PHallii_v3.1:5:1326051:1328220:1 gene:PAHAL_5G020200 transcript:PVH37512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMRMPVPSLFRLGRRSKSPPQQAQAEPAPAAGSPPRTAEEEMARVFRKFDANGDGRISRSELAALFESLGHAASDDELARMMAEADADGDGFISLDEFAALNATVAGDAAAVEEDLRHAFRVFDADGNGTISAAELARVLRGLGESASVAQCRRMIEGVDQNGDGLISFEEFKVMMAGGGCFAKIA >PVH38440 pep chromosome:PHallii_v3.1:5:16584474:16584860:1 gene:PAHAL_5G258500 transcript:PVH38440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRGRVAPGAESTGTRVLAPPGGGSGSQSPLGTHPPWRGPRGGHLSIKPGGILPAAPARPIAARHRAAPPGPGGCDGFGPVSVCWCAIRLD >PVH37634 pep chromosome:PHallii_v3.1:5:3102973:3105393:-1 gene:PAHAL_5G049400 transcript:PVH37634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDNEKLDENAMDETDSLLCRHSVLRDDGADADEKILFLCNSDDITEEIERISFICSCIISAIKSGGSVLIPIGRLGVILLLLELISETLHSSSIKVPIFMISETAAEVIAFTNALPEWLCKSRQEKLFSGEALFGHVELLKEGKLYLFPHLHSKGLLAAWKEPCIVLCPHWSLRLGPAVHLLRRWRADRRCLLVLEQGNDAELSLKPFMPMAIRVLECSFLSGVRAAKIDTLLGVLKPKFVMLPEGLKSRYSSKERPWSFLYYSKGKTIELPNLQEDFEVHLATDVALGLQPRQLNETTAVARLRTKLFVSSGQYQLAAAEKQSDQSKRHLLQRDAVDPDRLLPALQEKGMVCSFAADDKSAGERSVLITSPGYALVKITSDRTVIYCDDERTSKHIYDALSSVCNGI >PAN28365 pep chromosome:PHallii_v3.1:5:8896318:8897172:1 gene:PAHAL_5G148100 transcript:PAN28365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPIPEFHISTRPPPPPPPVPLSTSRPPEMATSPRLLLAVLLLFASAAAPSVAVKLVVGGGKHWAPNVNYTDWADGHEFHVGDWLEFDYEKDRYDVVQVNETAYKTCDGSSPILSYSRGRNFVFRLNTTGRFYFICSRGYCWNGMKVSVLVRPTPPPPAVAPSSSRASRARAAAGVWRWAALTALVGVAVLAPLPFRV >PAN31640 pep chromosome:PHallii_v3.1:5:52540959:52541452:-1 gene:PAHAL_5G433400 transcript:PAN31640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQKTVIRADLVGSGCKMAILSTVAKLEGIKSMDIDAENCTLTVVGAVDPVAIVLELKKACLAAAIVSVEDDKPPAPEEPEKEDDPCCRCGEACVQACEEGCVRGGCYCCYYTAFRPAPHGSGWYW >PVH38398 pep chromosome:PHallii_v3.1:5:15926375:15927250:-1 gene:PAHAL_5G251100 transcript:PVH38398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPGGGGRNRMLPLKITMILAAVAASSAPAALGIPIDEDCKCFMCVCDLDPHPLPPEVPSRHHPPPEPVPSPPPPTPSPPPPALPAYYPPAEHEPAPGYYYYPPMPYGYPWQGGYGPPAGEVYPREDRRASKSGAAPRRGRARPLLGVALASVALSLLARAA >PVH37854 pep chromosome:PHallii_v3.1:5:6373884:6375323:-1 gene:PAHAL_5G105100 transcript:PVH37854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKNGRTPLANEIYERMVAEKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIRAEFEATLQAEREEAARKREELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLRLQKD >PAN26318 pep chromosome:PHallii_v3.1:5:149165:152166:1 gene:PAHAL_5G002000 transcript:PAN26318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPHVRAAPLARALRARASAAAAAAPPKPQSQANSRRALLGLSEPDLRQLALDLGQQSYRGRQLHDLLYKSRAKQIQEFNHVPKAFREALLGAGWTVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPLDDDNKGSSRLTACVSSQVGCPLRCSFCATGKGGFARNLQPHEIVEQVLVIEEMFKHRVTNVVFMGMGEPMLNLKSVLEAHRCFNKELKIGQRMMTISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLGALMDDCKSYFLETGRRVSFEYTLLAGINDEKEHAEELADLLRTCGGGYHVNLIPYNPIEGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNKFQKNPLLESSTSEPNLVPV >PAN29925 pep chromosome:PHallii_v3.1:5:16743055:16744244:1 gene:PAHAL_5G260200 transcript:PAN29925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGLAVAAAAVAAVLAAAALPLLAAGAYADCYDFCFKDCISKDKSMRDYCSYACDKTCAPDGRALRRPAAAAVGGLAIACQIDCVRGSCHGARAGGKDMVACYGQCYDRCKTGPGLPRPLRAGAGVVRPAGLPGSPFHEKQDAVGAAALPGSPFHEKQDAVGPAALPDADDVSHQAWGTVLP >PAN32460 pep chromosome:PHallii_v3.1:5:55950414:55952836:-1 gene:PAHAL_5G488600 transcript:PAN32460 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASPSSTSNNSALSPVAASGTTTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGDVGIPTALPVSAAPRLSTAMPSPGQFATSAAGMYSGRRLGLVDGIAPPPPPAGCYFMRNNTVISSPGADVAPVLPYASMANWAVNAMSATTTTTSGSESIGLDHKEGGDSSM >PAN32461 pep chromosome:PHallii_v3.1:5:55951021:55951764:-1 gene:PAHAL_5G488600 transcript:PAN32461 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASPSSTSNNSALSPVAASGTTTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGDVGIPTALPVSAAPRLSTAMPSPGQFATSAAGMYSGRRLGLVDGIAPPPPPAGCYFMRNNTVISSPGADVAPVLPYASMANWAVNAMSATTTTTSGSESIGLDHKEGGDSSM >PVH37745 pep chromosome:PHallii_v3.1:5:4638206:4638796:1 gene:PAHAL_5G075000 transcript:PVH37745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPCRAIWPSPSPDYTPTTPLYTPTPAEPPEFLLRGTIATRRGAPPFYIAAGSSISMAAAAPPGFAEPEPQLVPPSPPPGPWFPGRPTTVVAANARPGLRRIIKTGHVPAEMSADGEARRPPPTTPR >PAN29265 pep chromosome:PHallii_v3.1:5:13003002:13005806:1 gene:PAHAL_5G212900 transcript:PAN29265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYGTCLLAFILLFAVVPRPSASAPAAEHTLGTGSSLSVEDRARPFLTSPDGTFSCGFHESGENAFSFSVWYTAAAEKTAVWTANPGAPVNGRGSRISFRRDGGLALDDANGTTVWESKTSGSGGAALTISLLDTGNLIISDPSAAGRAVWQSFDWPTDTLLPSQPLTKHMKLVAGYFSLYYDNDNVLRLLYDGPEISSIYWPNPGNNPFDNGRTPYNSSRIGVLDANGAFLSSDNLGVNASDLGPGVKRRLTIEQDGNVRIYSLNASTGGWAVTWAALAQPCSVHGLCGPNATCEYQPRLRCSCAPGYEMVDPRDWRKGCRPMFSVTNCSLQQASPEQQPRYKFVKMAHTDFYGYDLWFYQSVTFAYCKKICSEMCLCIGFSYKFQGQGVCYPKSVLFNGFTSSAFPGNIYIKVPIDFNASAPPVSARSTAGIACDPTVPVIVQESGTFGRSGNGTEWPYLFAFAGVLGLLDLIFIATGCWFLSSKQSIPSSLEAGYRMVTGQFRRFTYRELKDATGNFKEEIGRGGSGIVYRGVLDGGKVVAVKKLTNVAGGDEEFWAEMTVIGRINHMNLVRIWGFCSQGKHKLLVYEYVENESLDRHLFDMDRTALPWHERYSIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDGAGDSFQLSHMRGTTGYLAPEWARNVPVDAKVDVYSYGIVLLEIVIGSRISDQTTAGGERLEMSQIAQALRQVVDTGDVVPLVDGRLQGQFNPRQALEMVRISLSCTQERSNDRPTMDDIAKVLTACDDEDEHPAYRS >PAN31414 pep chromosome:PHallii_v3.1:5:51481152:51486160:1 gene:PAHAL_5G417800 transcript:PAN31414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPACALVPPPPALPSARPCALVPTNSGRRRFAVAAASPLVEEAVRATEAEPLTKEDLVAYLASGCKPRSDWRIGTEHEKFGFQVETLRPVNYQQIRDLLNGVADRFGGHRVMEGNNIVGLKQGSQNISMEPGGQIELSGAPLETLHQTRAEVNSHLCQVKAVGEELGIGFLGLGFQPKWALSDMPIMPKAKFEIARNYMPKVGSSGLDMMFRTCSVQVNLDYGSEQDMIMKLRAAIALQPIATAIFANSPFKEGKLSGFLSLRSYTYTDTDSDRTGMLPFVFDSSFGFERYVDYVLDVPMYFVRRNKRHINCTGMSFRDFMAGKLPQVPGERPTLNDWEVHLGSIYPEVRLKRFLEMRGADGGPFSTLCALPAFWVGLLYDDESLRCITDMIADWTSEERDMLRRKVPVTGLKTQFRGGYVRDLAENLVKLAKDGLQRRGHMEAGFLNEVDEVVSTGVTQAEKLAKLYKTVWQHNVDPVFREFIY >PAN31415 pep chromosome:PHallii_v3.1:5:51482279:51486160:1 gene:PAHAL_5G417800 transcript:PAN31415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNIVGLKQGSQNISMEPGGQIELSGAPLETLHQTRAEVNSHLCQVKAVGEELGIGFLGLGFQPKWALSDMPIMPKAKFEIARNYMPKVGSSGLDMMFRTCSVQVNLDYGSEQDMIMKLRAAIALQPIATAIFANSPFKEGKLSGFLSLRSYTYTDTDSDRTGMLPFVFDSSFGFERYVDYVLDVPMYFVRRNKRHINCTGMSFRDFMAGKLPQVPGERPTLNDWEVHLGSIYPEVRLKRFLEMRGADGGPFSTLCALPAFWVGLLYDDESLRCITDMIADWTSEERDMLRRKVPVTGLKTQFRGGYVRDLAENLVKLAKDGLQRRGHMEAGFLNEVDEVVSTGVTQAEKLAKLYKTVWQHNVDPVFREFIY >PVH39009 pep chromosome:PHallii_v3.1:5:51381057:51382358:1 gene:PAHAL_5G416300 transcript:PVH39009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRLAFALDSPPLVIIAAAKIAGVSLTIDPSLAPGSVRTLYLGSGDFIHGINTILGYIARVATVPSFYGQDAIQATHVDQWLEYAPVILSGSEFEAACSFLDGFLAFRTFLVGYSISIADIVVWSNIAGTGQRWESLRRSKKYQNLIRWFNRIAVYYAGMLDEVVAAYVGRRGIGKSPVPNLQEKLQDLKMDTSGPEIDLPGAKVG >PAN28147 pep chromosome:PHallii_v3.1:5:8015874:8018536:-1 gene:PAHAL_5G134400 transcript:PAN28147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKRERELLESYADLYAIIKATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGAVTVPRFVQAYRLDCPAALNRLLQSGVPATVELRAASNSSAPAATAASAAAIAHCVQTFITAMDAVKLNMLANDQVRPLLQDVATSMARLGPLLPPDFEGKVKVNEWLGKLHKMGAADELTEQQARQLNFDLDSAYSAFLAALPASM >PAN31762 pep chromosome:PHallii_v3.1:5:53081778:53088712:-1 gene:PAHAL_5G442600 transcript:PAN31762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKASFPGELSSVSFLDSSRGTFGQHKVDFTFQRKSKRAISLRRTCCSMQQAPPPAWPGRAVAEPGQRLWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTLSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIAEKISYLDIFKVVELTCDAHRNELVTSPSLEEIIHYDLWARRYAASLQPSSGLSPVLA >PAN28755 pep chromosome:PHallii_v3.1:5:10659326:10661628:-1 gene:PAHAL_5G177000 transcript:PAN28755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKTKVKRGPWSQEEDAVLRSFVERFGNAGNWIALPQRAGLKRCGKSCRLRWLNYLRPELRHGGFTDEEDNLILSLYGDIGSKWSVIASRLPGRTDNDVKNYWNTKLKKRYLASTREGRPPPPPASDDDGSATAADSRSQDDEAPPPTPPALANLDDTASETAAAAVDDDALLLKSEHLYAELVGLIEQQSSSLTTSTTGPPSSSTGEASSSAATPSSSSGTSPTTGSSSGSRATVWPTSMDVHGTTLLSESSSSLFDACYGVVGDDAFGAALLPAYSFQDLLAASYDEFTAVTQELQYY >PAN31005 pep chromosome:PHallii_v3.1:5:48407683:48409301:-1 gene:PAHAL_5G387700 transcript:PAN31005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLALPQVTASAGHRFEFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHRGSSHGESRIIRDAYAKARYLPMVRLARRLWADAEAECGYRVLTPAPHLSMGPRSNASLVAAVENAGAEEMDLALRWGGAFRVPDGWLTAVSEHGGGVLSATKAVAMFQALAVKKGAAVRDNAEVVSIEKGPEGGVVVKTSSGEEFHGAKCVVTVGAWASKLLRSVAGVELPIQPLHTLTLYWRIKPGCERNLTAKAGFPTFSSYGDPPVYGTPSLELPGLIKISCDGGPPCDPDGRDWVSGDREVTKRVARWIEESMAGHVEAAGGPVIRQSCMCSMTPDADFVIDFLGGDFGEDVVVGAGFSGHGFKMGPAVGRILAEMAIDGKANTAVEAGVELGHYRINRFDGNPMGNAKDY >PAN28032 pep chromosome:PHallii_v3.1:5:7547497:7549694:-1 gene:PAHAL_5G126000 transcript:PAN28032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKNTTATIFESIRTVEGQLVRLEVLVLLSSLLLVLLVVLSPYRHQRGDKSFRFLVWAVYTLATVLVPYTIGLLEAGPATIWIFWILSIAKAHAKFQALREASKADGLMKHTKVVADYMMAEHESGHVSDAATMQGYRYIFHGEDLTLPYFSKPDYRTAIVACAFTTVDTVWQWIDGQSVSVFSREHGGVLKDIALSFTLFKLLKRRFCKYEHGEAGRAKTRRLVVSGLLRDEADYTRAFRVIEMELAFLYDFFYTRHQSHTQYVGMTVVFVLPVIVWNAVSGAFSRHYHRTSLEQTVNGKWRVIDDLHTLTGSSRITWKGEAVPFDDGSRKQALKLIKKRKHRKLMGRNWDRKLGQYSLLQSFNYHPRNLASILSLGLIEPTRDGQKASKKVELPKAVVVRVLSRFKANDGHLADGRSALAANDAVRLSWACELPTHIHTVLAWHIGTTICEVTTPQQQVPLTGDRLVAKCLSDYCAYLVAFVPDMLPGHGYDTRRIFNAVVMEAREYLAGCGTMRARCVKLLELQCSELTILGMGAKLGSELRYRVHDRARRWKVLADFWAELVLFLAPSSDADVHAEKLAAGGEFMTHLWALLIHAGILERPSSSSL >PAN31214 pep chromosome:PHallii_v3.1:5:50239928:50243085:1 gene:PAHAL_5G404700 transcript:PAN31214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSGSVRELTALLSALRRARHPCPAHAAQLHARLVVSAGARPHPVLLTQLVSLYAAAGRLADALRALRAHLAAANLRTYVVLVSALARPHPGLAFSLFAGACRGLRPGPHLVSAVLAACAGLPPLCGRQVHARAAKAVPPRDVFVYTGLVDAYAKAGDMAASRKVFDEMPCPGAASWNTLLVGYARNKMCLEALSVFRELAGQGREVPLDQVSVSGVLSACSSAGTVDFGRQVHACAAKVGLELGAVCVSNALLDMYARCGCSREALVLFDAMDCRDVITWNVVICACIHESRFKEACILFQSMVRDGVLPDDVSYATALQASACLLSWALGASIHASVIKTGFLDSDGVASSLITMYSKCGSLGDALQAFEVAEDHFCVMSWTAMITALQQNGHGLQAVDMFEKMLEHGIPPDHITFVSVLSSCSHSGLVEQGRKYFNLMTQVHKITPSTEHYACMVDMFGRAGLLSEAKQFIDQMRVKPDASVLGALLSACMNCRDLEMGEEVAKKLFVIEPGNTGNYVLLANIYTSHGRLEKAKEVRKWMRFQELRKEKGCSLVNDESQTSML >PVH38971 pep chromosome:PHallii_v3.1:5:50239929:50244194:1 gene:PAHAL_5G404700 transcript:PVH38971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSGSVRELTALLSALRRARHPCPAHAAQLHARLVVSAGARPHPVLLTQLVSLYAAAGRLADALRALRAHLAAANLRTYVVLVSALARPHPGLAFSLFAGACRGLRPGPHLVSAVLAACAGLPPLCGRQVHARAAKAVPPRDVFVYTGLVDAYAKAGDMAASRKVFDEMPCPGAASWNTLLVGYARNKMCLEALSVFRELAGQGREVPLDQVSVSGVLSACSSAGTVDFGRQVHACAAKVGLELGAVCVSNALLDMYARCGCSREALVLFDAMDCRDVITWNVVICACIHESRFKEACILFQSMVRDGVLPDDVSYATALQASACLLSWALGASIHASVIKTGFLDSDGVASSLITMYSKCGSLGDALQAFEVAEDHFCVMSWTAMITALQQNGHGLQAVDMFEKMLEHGIPPDHITFVSVLSSCSHSGLVEQGRKYFNLMTQVHKITPSTEHYACMVDMFGRAGLLSEAKQFIDQMRVKPDASVLGALLSACMNCRDLEMGEEVAKKLFVIEPGNTGNYVLLANIYTSHGRLEKAKEVRKWMRFQELRKEKGCSLVNDESQTSML >PVH38909 pep chromosome:PHallii_v3.1:5:48416668:48417237:1 gene:PAHAL_5G387900 transcript:PVH38909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGVEDPLPKSKRRQRMEQIWAQNNSINSQGAVVEASDGGFKTTKENMAAAMSCDSMGKSNNLMMGEYT >PAN27152 pep chromosome:PHallii_v3.1:5:3885271:3889918:1 gene:PAHAL_5G061900 transcript:PAN27152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRGRQAPPCALLLLLASMFLLQGAPSQAGDTVAAGRPLSGGESLVSKRGKFRLGFFQPDNSSDHWYLGIWYNQISLHTTVWVANREAPVTDPASSQLTISSDGNMVILDHRRSAVWSTNVAGVAPNSTVGVILDSGNLVLADASNTSVVRWQSFDHFGNTWLPGGRLGRNKRTGEVTHLVAWKGYADPAPSVFSLELDPRGSSQYLLNWNGSEQYWSSGNWTGRAFAAVPEMTPTDASPLSKYTFGYVDGEDESYFIYDVTDESVVTRFLVDVTGQIKFLTWVAAAKEWMLFWSEPKKQCDVHAVCGPFGVCTENALPSCSCPRGFRERRPAEWLQNDHTAGCARNTGLACSDQDGHQKKSKNEDKFYPMPNVRLPSNAQSAAAASARDCELACLGNCSCTAYSYSGGCSLWYGDLINLQDTTSNGAGGSSIWIRLAASEFSGTRNTKKLVIGLTIAGFVAAVTVIILATIFILRCRRMKSLWRVEGSLMAFTYRDLQFVTKNFSEKLGGGAFGSVFKGSLPDATLVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERMRRLLVYEHMPRGSLDRHLFETSHGVLSWETRYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDEAFVPKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWIAGTAITTKADVFSYGMMLFEIISGRRNVGQRDDGTVDFFPSTAVGLLLDGDAGRAVDGRLGGSADAAEVERACKVACWCVQDAESLRPSMGMVVQVLEGLVDVNAPPIPRSLKVLADPSKYVQFFSGLPSTTASSAVV >PAN30328 pep chromosome:PHallii_v3.1:5:24192354:24200231:1 gene:PAHAL_5G303900 transcript:PAN30328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLRPAAPAPPPWRCSGVSSSPRTSLLDTASKQAFPFPLPVDRVARFSLSAKRSASRLVQSTDRTGFRSDDIHAANEHAHDLMRSTSDLQEVVFSSFGKACLFSSCIIYVLPPACLAEPCEQEYSLPNMPLLFAIAMVGATVGGLLARQRRGELARLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKERLIAYLRTGKNYLRNQTPDKAFPEFKAALDLAQALGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKMTGEDAGVTEAYGAIADCYTELGELEKAGEFYDKYIARLESD >PAN28255 pep chromosome:PHallii_v3.1:5:8428710:8430121:1 gene:PAHAL_5G140200 transcript:PAN28255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSWLATCGSPWSALATLAALATSLLVLSYVSSSLVHRAAYGYGDPYGPDAESPSAAAAPKKGPGYPPVFAYYITGGHGDFVRVTRLLKAAYHPRNRYLLHLDAGAGAYERARLASYVRSERAFLEYGNVHVIGRGDALDGRGPSAVAAALRGAAILLRVGADWDWLVALGAADYPLVTQDDLLHAFSSVPRDLNFIDHRADSESHHVVVVDQNLLQSTNAELSFSSGRREKPDAFELFRGSPSPILSRAFVEHCVAAPDNLPRTLLMYFSNTMDAAEFYFQTVMANSPRLRNSTVNHSVRFDVPPPQGMDLRSRYDALVGSGAAFAGRFGDDEALLQRIDEEVLRRPLDGVTPGAWCAAGSGEEGSAAECSVGGDIDAVRQGAAGRRLASLISGLVGTGACDGCKS >PVH38113 pep chromosome:PHallii_v3.1:5:10984169:10988377:1 gene:PAHAL_5G181600 transcript:PVH38113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKSVLLGKKSTKSGSTKANESKVTNNNGHSAGEERAFSENSPVISEPVLVKAHKNGAVSVNGKAENVNLPSDWAGQQDPQNQSIVDKSETSVPGQLGEDQAAVKAQAAFRGYLARRSFRALKGIIRLQALIRGHLVRRQAVSTLRTTWLIVKFQSLFRGRKFRLSDADMQFNVKLGQHNLGGARSSDAWKEKLSSNAYVRKLLSSPIVLEPLHFQYDKRDPNSTYNWLERWTIGCIWKPVFQPKRVSDGKLLVRKASYAMETESAKLKRNSRKGSAVTVESFHTSVTGESEKLKRNPKKLSNFPADSVPDSQLSELEKVKRNLRKVTDSMAEASKISSSRVDSSKVSDSTPDAPKVSNPVAEISKTSSLLNGISDNQDSQCEKALQNTRENVDESTVAASAVEVMPLQNIDEPNGLRKKEEARSKEEHLSNGSLRTSKRKSSSPSKSEYVENGTRATPVQPRKPSYMAATESAKAKLRAQNSPRLDSDSSAEKNGFTRRHSLPSGANSRAIKAEWKR >PVH38112 pep chromosome:PHallii_v3.1:5:10984169:10988377:1 gene:PAHAL_5G181600 transcript:PVH38112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKSVLLGKKSTKSGSTKANESVTNNNGHSAGEERAFSENSPVISEPVLVKAHKNGAVSVNGKAENVNLPSDWAGQQDPQNQSIVDKSETSVPGQLGEDQAAVKAQAAFRGYLARRSFRALKGIIRLQALIRGHLVRRQAVSTLRTTWLIVKFQSLFRGRKFRLSDADMQFNVKLGQHNLGGARSSDAWKEKLSSNAYVRKLLSSPIVLEPLHFQYDKRDPNSTYNWLERWTIGCIWKPVFQPKRVSDGKLLVRKASYAMETESAKLKRNSRKGSAVTVESFHTSVTGESEKLKRNPKKLSNFPADSVPDSQLSELEKVKRNLRKVTDSMAEASKISSSRVDSSKVSDSTPDAPKVSNPVAEISKTSSLLNGISDNQDSQCEKALQNTREASFPLETQDYSGNGQLLEYSDMDNFDLVPGLKSDLETQLDSISIGENVDESTVAASAVEVMPLQNIDEPNGLRKKEEARSKEEHLSNGSLRTSKRKSSSPSKSEYVENGTRATPVQPRKPSYMAATESAKAKLRAQNSPRLDSDSSAEKNGFTRRHSLPSGANSRAIKAEWKR >PAN28842 pep chromosome:PHallii_v3.1:5:10984169:10988377:1 gene:PAHAL_5G181600 transcript:PAN28842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKSVLLGKKSTKSGSTKANESKVTNNNGHSAGEERAFSENSPVISEPVLVKAHKNGAVSVNGKAENVNLPSDWAGQQDPQNQSIVDKSETSVPGQLGEDQAAVKAQAAFRGYLARRSFRALKGIIRLQALIRGHLVRRQAVSTLRTTWLIVKFQSLFRGRKFRLSDADMQFNVKLGQHNLGGARSSDAWKEKLSSNAYVRKLLSSPIVLEPLHFQYDKRDPNSTYNWLERWTIGCIWKPVFQPKRVSDGKLLVRKASYAMETESAKLKRNSRKGSAVTVESFHTSVTGESEKLKRNPKKLSNFPADSVPDSQLSELEKVKRNLRKVTDSMAEASKISSSRVDSSKVSDSTPDAPKVSNPVAEISKTSSLLNGISDNQDSQCEKALQNTREASFPLETQDYSGNGQLLEYSDMDNFDLVPGLKSDLETQLDSISIGENVDESTVAASAVEVMPLQNIDEPNGLRKKEEARSKEEHLSNGSLRTSKRKSSSPSKSEYVENGTRATPVQPRKPSYMAATESAKAKLRAQNSPRLDSDSSAEKNGFTRRHSLPSGANSRAIKAEWKR >PAN27006 pep chromosome:PHallii_v3.1:5:3368881:3371544:-1 gene:PAHAL_5G053100 transcript:PAN27006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVGAVVRRLHAAAVQPPRLTKLALHAPKSVEVEFADGSSFHLSAEFLRVYSPAADSKIRSVRGEKVIFGRRHVGIMSAESVGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFSLMRSYIRTLRKHGLSRDPQRRK >PAN28953 pep chromosome:PHallii_v3.1:5:11387923:11389647:-1 gene:PAHAL_5G189300 transcript:PAN28953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVARAAAAASLPSGRARRLGSGRLPLAPALPGHGAVVLAGRSVGTGHGGVLVAQFMGSTRDAIKAAGAKVASGTAPDSFGDADEFKKAVQAKLKDIAERCVATTRCAACPARAERRRFENIFWHAHRAFAIVRSRATEGRATLEMALVIGQNLDVVRRMVSSACRTPLPVDMEDSEPMTPFFSVTVFPLGGVEMLVFTMIDNLYLTVKSDIDDMISAAAAAAQ >PAN32384 pep chromosome:PHallii_v3.1:5:55665231:55669319:-1 gene:PAHAL_5G483200 transcript:PAN32384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPLCSQFKCVLLCSCRGLPHKKETRHFASRDDPSDSDPRQKKEKVHGHGTPLVTRAGRHLFLVASLTRPGPRSTTIYAQPNRSPLASKPLDSGRPRSTARQPTSFPPAARNLPLPARPLATTRPAMDTTIGSVPAATDAAPPAPPASAPREATLGRHLARRLAEVGARDVFAVPGDFNLTLLDELEAESGSTGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVEAAAAFLNKAVKPVLVGGPKMRVSKACKAFVELADACGYPVAMMPSAKGLVPEHHSRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPERVVIGHGPAFGCVLMKDFLHALATRLKKNTAAYENYCRIYVPPGEPHPSEPGEPLRVNILFKHIQAMLSSNSAIIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLMEAFHNGEGKCYTVKVRTEEDLKEALKAALGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >PAN31190 pep chromosome:PHallii_v3.1:5:50089486:50093539:1 gene:PAHAL_5G403300 transcript:PAN31190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKHLVLLTCFWILSCALLLHASSDGLLRINLNKKRLDREALAAAKLARKESHLRRFGGSRQSLSASSDDIVPLHNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHHRYKSAKSKTYKKNGETCTITYGSGQIAGFFSKDNVLVGSLVVKNQKFIETTRETSPTFIIGKFDGILGLGFPEISVGGAPPIWQSMKEQKLVARDVFSFWLNRDPDASEGGELVFGGVDPKHSKGTHTYVPVTRKGYWQFDMGDLLIGGHSTGYCAGGCAAIVDSGTSLLAGPTTIVAQVNHAIGAEGIISAECKEVVREYGEMILELLIAQTSPQKVCTQIGLCVFDGTHPVSNPIESVVEKQKIGSDLFCTACEMAVVWIQNQLRENKTKELILNYANQLCERLPSPNGESTVDCHQISKMPNLAFTIAKKTFTLTPEQYIVKLEQSGQTICISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGENRIGFAKSA >PAN32627 pep chromosome:PHallii_v3.1:5:56727230:56728149:1 gene:PAHAL_5G501000 transcript:PAN32627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVFAVAQESSVPPQQQPHRLGETMPVQRGGWRRPARCALAVALFLVVTGNFAFAAYRARHRSRDLSFVLVAYFLLALLVCCVARLEQLRRDPAARVAERRWLRIGVWGVSVALANTFASRVADAMPRLALKLVVWGVTAVVLGLGFYFLFFSKDADRCSDGELGRGQADAGRRSATALHQQSPEEKV >PAN31085 pep chromosome:PHallii_v3.1:5:49166478:49167375:1 gene:PAHAL_5G394600 transcript:PAN31085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSNYPTKDISFGQSDDNISPLHQRYYSNSEAPPPQPHPGFAHAPPASTIASAEPVQSPVENEQELMRSFLTIPVGKFLSVDERVRVSSMEPPSITSLLQGNKTAILHAHLDIAGALDPDPIFQDPASNIPKEKEMRKLLGSSSSHGNSYGKMPYVKPTYFDQSPMSSHVQREQQVPSVVMQSSNSDDAIRAAINSTFEFTEVQRYTCKLCDAIFTTPQTYYSHMSLHNKGMSSN >PAN27913 pep chromosome:PHallii_v3.1:5:7032081:7034664:1 gene:PAHAL_5G116600 transcript:PAN27913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGRYMATSEHPPNYLRAWFAGVQLKPSHSVAQTHLLNRWEFSLSSLKSMVPLAAFVCPFGCTEPTAGKHAEIFLRVEKSIGKEIPSQVVYEDQKVLAFRDISPQAPIHIIIIPKVKDGLSRLLKAEERHVEVLGNLLYAAKVVAKQEGLGDGFRIVINDGPEGCQSVYHLHVHLLGGRQMNWPPG >PAN27902 pep chromosome:PHallii_v3.1:5:7031280:7035009:1 gene:PAHAL_5G116600 transcript:PAN27902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTSGAQAQHPPATASGDRLAVIASHLSHSWLGTPAHMAGEKEAALAAEPVDGPTIVEKSIGKEIPSQVVYEDQKVLAFRDISPQAPIHIIIIPKVKDGLSRLLKAEERHVEVLGNLLYAAKVVAKQEGLGDGFRIVINDGPEGCQSVYHLHVHLLGGRQMNWPPG >PAN28290 pep chromosome:PHallii_v3.1:5:8549340:8550979:1 gene:PAHAL_5G142900 transcript:PAN28290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGAEYCDPEERVLTVRKTSHFSPGDGFAAYDHRTGRLAFRADTYGRGHGGGAASAGELALLGPAGEPLLTVRRRRPSLHQRWEGFLGARAGGQKPLFSARRSSILGGAAAGAVVELLPPPSSSPPPGAAPELLRVDGSFARRCCRVVAAPRAVGEKAKLVAEIRRKVDEGTRVVMGRDVFVLKVSPGFDAAFAMGIVLVLDQIAGEDPGADDAGADALDAKIW >PVH37924 pep chromosome:PHallii_v3.1:5:7423254:7429119:-1 gene:PAHAL_5G123300 transcript:PVH37924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLRGASLLRIYQCSCGLRGANFSSRKPVLTNLNFMRDGMRATYSRKAVSKKTEIKNDEKSVTEEEDAAGSDLEIERVKSDPSQLQSMTVKELRELTRRMGVSGKGTKKDLVSALMDSLGVEGNGKVGKSSSELVSPSEEPLKRKGGASAVVEQNLESSEVISETPSKKRSRTKQKSIKATTLEENSVSNVKINKTSVQKETLVVKGAAPKAGLCPNENSEPWNVLVHKKPQAGWIPYNPKTMRPPPLSKDTRALKILSWNVNGLKALLKSRGFSVQQLAERENFDVLCLQETKMQEKDVEVIKDTLLDGYTNSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFDDFYLLTAYVPNSGDGLRRLTYRVTEWDTSLGNYMKELEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTNEERESFETNFLSKGFVDTFRKQHPNVVAYSYWGYRHNGRKTNKGWRLDYFLVSESIVEKVHDSYILPDISASDHSPLGLILKL >PAN26399 pep chromosome:PHallii_v3.1:5:651353:653006:-1 gene:PAHAL_5G009900 transcript:PAN26399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTAGPAALVAAALLLLSAPAPAAAADDVASAAASRYPPLAPGLSFDFYKKSCPKAESIVRDFLKSAVKQNVGLAAALIRLHFHDCFVQGCDASILLDKTPTQQSEQEAGPNQTLRPAAFKAINDIRDRLDKACGRVVSCADIVTLAARDSVALGGGPAYKVPLGRRDSLAPASQDAVFAALPAPSSNVTTLLSFLANINLDATDLVALSGGHTVGIAHCGSFEDRLFPTQDPTLNQWFAGRLKLTCPVKGADNTTVNDIRTPNTFDNKYYVDLLNREGLFTSDQDLFSDGRTKPLVTKFAVDQDAFFEQFIYSYVKMGQINVLTGASQGQIRANCSARNAGRSDELRPWSVVETVIDAAESLVL >PAN30732 pep chromosome:PHallii_v3.1:5:40842737:40854201:-1 gene:PAHAL_5G358500 transcript:PAN30732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLLLGVAILLHLPSTSAQRAPDCQTHCGDVEIPYPFGLNGTGCAIEEGFEISCNKNASGIDKPFIGNVEVLNISVSHGKTRALNAISTYCYNNNTREMEEGLWSLDFSRWWPYRFSDTDNKFIVIGCNTLAYIYNDYNRTGYTTACASVCESPKALTNGSCLGVGCCQNAIPKDLTRYDVTMYIVYNDSNSSDFNLCGYAALVETESFRFSSEYITTSSFNETYEGRQPLVLDWAIGNATCDLARNMSSYACRHRNSECVDSANGPGYLCSCSTGYEGNPYLPDGCRDADECKQNPKVCPRSAVCHNIEGGYRCSCPPWRKMDKETNSCNPDINLIIGICVGSIVLVIIIFCTRVIFERRKLTNVKNQYFQQHGGLLLFEKMKSDQGLAFTVFTEAELEQATNKFDKSEILGHGGHGTVYKGIIKDSPVAIKKCSLIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIQNGTLFDLIHGKNRSLHVPFSSLLRIANEAADGLAFLHSYANPPILHGDVKTSNILLDENYMAKVSDFGASILAPTDEAQFVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVILEILTGKMPLKLESNDVHRSLSSNFLLAMKENNLEAMLDSQIKEHESMELLRGLAELAKHCLDMCSDNRPSMKEVSEELSRLRKLSKHPWLHHDTETENFLAGKSTSSLEIEESTEYPGRVEEMPMNDQSNSYYIR >PAN30733 pep chromosome:PHallii_v3.1:5:40842875:40845761:-1 gene:PAHAL_5G358500 transcript:PAN30733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLLLGVAILLHLPSTSAQRAPDCQTHCGDVEIPYPFGLNGTGCAIEEGFEISCNKNASGIDKPFIGNVEVLNISVSHGKTRALNAISTYCYNNNTREMEEGLWSLDFSRWWPYRFSDTDNKFIVIGCNTLAYIYNDYNRTGYTTACASVCESPKALTNGSCLGVGCCQNAIPKDLTRYDVTMYIVYNDSNSSDFNLCGYAALVETESFRFSSEYITTSSFNETYEGRQPLVLDWAIGNATCDLARNMSSYACRHRNSECVDSANGPGYLCSCSTGYEGNPYLPDGCRDADECKQNPKVCPRSAVCHNIEGGYRCSCPPWRKMDKETNSCNPDINLIIGICVGSIVLVIIIFCTRVIFERRKLTNVKNQYFQQHGGLLLFEKMKSDQGLAFTVFTEAELEQATNKFDKSEILGHGGHGTVYKGIIKDSPVAIKKCSLIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIQNGTLFDLIHGKNRSLHVPFSSLLRIANEAADGLAFLHSYANPPILHGDVKTSNILLDENYMAKVSDFGASILAPTDEAQFVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVILEILTGKMPLKLESNDVHRSLSSNFLLAMKENNLEAMLDSQIKEHESMELLRGLAELAKHCLDMCSDNRPSMKEVSEELSRLRKLSKHPWLHHDTETENFLAGKSTSSLEIEESTEYPGRVEEMPMNDQSNSYYIR >PAN29494 pep chromosome:PHallii_v3.1:5:14341266:14346495:1 gene:PAHAL_5G230300 transcript:PAN29494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACTEYGHGTSSGWHPRPAHTKICRRPQNPLGLPRTRERMGEPSSSSWPSWGAAAAAYDYERDPRWAEYRASSAVPPHLFTDPYVRAHLQHKFYRRFVDPDFPVEGMSSTASTQPSASSGGASTSAGENARPPDPVGFVGTNSAGLARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFAFYRIPRAGNLQAVRAWCHLVTSSKDFIPFMYCLMFVTSKLHLKLALVPVICWALEHVARFLRRHFTSSSLYRTYLEQPCTWVEVNMTAVHFLRSNAEILLGFLLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTINPYIHRYTPFLHDPINAGMRWWFR >PAN29493 pep chromosome:PHallii_v3.1:5:14341266:14346495:1 gene:PAHAL_5G230300 transcript:PAN29493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACTEYGHGTSSGWHPRPAHTKICRRPQNPLGLPRTRERMGEPSSSSWPSWGAAAAAYDYERDPRWAEYRASSAVPPHLFTDPYVRAHLQHKFYRRFVDPDFPVEGMSSTASTQPSASSGGASTSAGENARPPDPGTNSAGLARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFAFYRIPRAGNLQAVRAWCHLVTSSKDFIPFMYCLMFVTSKLHLKLALVPVICWALEHVARFLRRHFTSSSLYRTYLEQPCTWVEVNMTAVHFLRSNAEILLGFLLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTINPYIHRYTPFLHDPINAGMRWWFR >PAN29496 pep chromosome:PHallii_v3.1:5:14341266:14346495:1 gene:PAHAL_5G230300 transcript:PAN29496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACTEYGHGTSSGWHPRPAHTKICRRPQNPLGLPRTRERMGEPSSSSWPSWGAAAAAYDYERDPRWAEYRASSAVPPHLFTDPYVRAHLQHKFYRRFVDPDFPVEGMSSTASTQPSASSGGASTSAGENARPPDPGTNSAGLARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFAFYRIPRAGNLQAVRAWCHLVTSSKDFIPFMYCLMFVTSKLHLKLALVPVICWALEHVARFLRRHFTSSSLYRLVKFITYKCVNLQDILGAALYMG >PAN29491 pep chromosome:PHallii_v3.1:5:14343250:14345286:1 gene:PAHAL_5G230300 transcript:PAN29491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTASTQPSASSGGASTSAGENARPPDPGTNSAGLARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFAFYRIPRAGNLQAVRAWCHLVTSSKDFIPFMYCLMFVTSKLHLKLALVPVICWALEHVARFLRRHFTSSSLYRLVKFITYKCVNLQDILGAALYMG >PAN29495 pep chromosome:PHallii_v3.1:5:14341266:14346495:1 gene:PAHAL_5G230300 transcript:PAN29495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTASTQPSASSGGASTSAGENARPPDPVGFVGTNSAGLARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFAFYRIPRAGNLQAVRAWCHLVTSSKDFIPFMYCLMFVTSKLHLKLALVPVICWALEHVARFLRRHFTSSSLYRTYLEQPCTWVEVNMTAVHFLRSNAEILLGFLLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTINPYIHRYTPFLHDPINAGMRWWFR >PAN29499 pep chromosome:PHallii_v3.1:5:14341266:14346495:1 gene:PAHAL_5G230300 transcript:PAN29499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTASTQPSASSGGASTSAGENARPPDPGTNSAGLARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFAFYRIPRAGNLQAVRAWCHLVTSSKDFIPFMYCLMFVTSKLHLKLALVPVICWALEHVARFLRRHFTSSSLYRTYLEQPCTWVEVNMTAVHFLRSNAEILLGFLLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTINPYIHRYTPFLHDPINAGMRWWFR >PVH39341 pep chromosome:PHallii_v3.1:5:55635314:55637433:-1 gene:PAHAL_5G482600 transcript:PVH39341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNHSHIQLPEMDHIQPMNVPHNESLPLGQKLLVHHQNDAPLRIGHSSHGNVTVRSNDLPSSSHPVQTLGYRVGNPGTSHAPFVHCPAGSSSSHLAEPAVSYPHRSEEGFAPVSSHMENRRAAVKRKNPIINPVGISANGYYVGSSSNTQLSNSVQPNPAPLAEPFLPQMPLRISQSGWNGQHLIHQEGFQRNVRARHNHNISLEPVLAPAHTANTIHLPPFSSAASAVSLGTSVERNQATVSAPARTVPSGAPGLASGALERTYYPAIGSSNSSVGAVPTAPSSGSATFANGGYAPRTVHGGAVHVYSHLAPATSSGPRAMPVETVIRSYPPAISAATSTSVRMQPLPARSAASSRHARHISVGHASNGRNRRARSSYYGFHPLMIEAERFMMLDQLVFYESRAAAADPHRDMRLDIDNMSYEDLLALGEFMGNVNTGLADEKISTCVREVVCCSPDQTQNDQDDQDDGSCVICLEDYKDKDVLGTLKCNHDFHADCIKKWLQTKNSCPVCKAAAA >PVH39340 pep chromosome:PHallii_v3.1:5:55634302:55637933:-1 gene:PAHAL_5G482600 transcript:PVH39340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNHSHIQLPEMDHIQPMNVPHNESLPLGQKLLVHHQNDAPLRIGHSSHGNVTVRSNDLPSSSHPVQTLGYRVGNPGTSHAPFVHCPAGSSSSHLAEPAVSYPHRSEEGFAPVSSHMENRRAAVKRKNPIINPVGISANGYYVGSSSNTQLSNSVQPNPAPLAEPFLPQMPLRISQSGWNGQHLIHQEGFQRNVRARHNHNISLEPVLAPAHTANTIHLPPFSSAASAVSLGTSVERNQATVSAPARTVPSGAPGLASGALERTYYPAIGSSNSSVGAVPTAPSSGSATFANGGYAPRTVHGGAVHVYSHLAPATSSGPRAMPVETVIRSYPPAISAATSTSVRMQPLPARSAASSRHARHISVGHASNGRNRRARSSYYGFHPLMIEAERFMMLDQLVFYESRAAAADPHRDMRLDIDNMSYEDLLALGEFMGNVNTGLADEKISTCVREVVCCSPDQTQNDQDDQDDGSCVICLEDYKDKDVLGTLKCNHDFHADCIKKWLQTKNSCPVCKAAAA >PAN32372 pep chromosome:PHallii_v3.1:5:55635314:55637433:-1 gene:PAHAL_5G482600 transcript:PAN32372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNHSHIQLPEMDHIQPMNVPHNESLPLGQKLLVHHQNDAPLRIGHSSHGNVTVRSNDLPSSSHPVQTLGYRVGNPGTSHAPFVHCPAGSSSSHLAEPAVSYPHRSEEGFAPVSSHMENRRAAVKRKNPIINPVGISANGYYVGSSSNTQLSNSVQPNPAPLAEPFLPQMPLRISQSGWNGQHLIHQEGFQRNVRARHNHNISLEPVLAPAHTANTIHLPPFSSAASAVSLGTSVERNQATVSAPARTVPSGAPGLASGALERTYYPAIGSSNSSVGAVPTAPSSGSATFANGGYAPRTVHGGAVHVYSHLAPATSSGPRAMPVETVIRSYPPAISAATSTSVRMQPLPARSAASSRHARHISVGHASNGRNRRARSSYYGFHPLMIEAERFMMLDQLVFYESRAAAADPHRDMRLDIDNMSYEDLLALGEFMGNVNTGLADEKISTCVREVVCCSPDQTQNDQDDQDDGSCVICLEDYKDKDVLGTLKCNHDFHADCIKKWLQTKNSCPVCKAAAA >PVH39342 pep chromosome:PHallii_v3.1:5:55634302:55640332:-1 gene:PAHAL_5G482600 transcript:PVH39342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNHSHIQLPEMDHIQPMNVPHNESLPLGQKLLVHHQNDAPLRIGHSSHGNVTVRSNDLPSSSHPVQTLGYRVGNPGTSHAPFVHCPAGSSSSHLAEPAVSYPHRSEEGFAPVSSHMENRRAAVKRKNPIINPVGISANGYYVGSSSNTQLSNSVQPNPAPLAEPFLPQMPLRISQSGWNGQHLIHQEGFQRNVRARHNHNISLEPVLAPAHTANTIHLPPFSSAASAVSLGTSVERNQATVSAPARTVPSGAPGLASGALERTYYPAIGSSNSSVGAVPTAPSSGSATFANGGYAPRTVHGGAVHVYSHLAPATSSGPRAMPVETVIRSYPPAISAATSTSVRMQPLPARSAASSRHARHISVGHASNGRNRRARSSYYGFHPLMIEAERFMMLDQLVFYESRAAAADPHRDMRLDIDNMSYEDLLALGEFMGNVNTGLADEKISTCVREVVCCSPDQTQNDQDDQDDGSCVICLEDYKDKDVLGTLKCNHDFHADCIKKWLQTKNSCPVCKAAAA >PVH39343 pep chromosome:PHallii_v3.1:5:55634067:55640332:-1 gene:PAHAL_5G482600 transcript:PVH39343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNHSHIQLPEMDHIQPMNVPHNESLPLGQKLLVHHQNDAPLRIGHSSHGNVTVRSNDLPSSSHPVQTLGYRVGNPGTSHAPFVHCPAGSSSSHLAEPAVSYPHRSEEGFAPVSSHMENRRAAVKRKNPIINPVGISANGYYVGSSSNTQLSNSVQPNPAPLAEPFLPQMPLRISQSGWNGQHLIHQEGFQRNVRARHNHNISLEPVLAPAHTANTIHLPPFSSAASAVSLGTSVERNQATVSAPARTVPSGAPGLASGALERTYYPAIGSSNSSVGAVPTAPSSGSATFANGGYAPRTVHGGAVHVYSHLAPATSSGPRAMPVETVIRSYPPAISAATSTSVRMQPLPARSAASSRHARHISVGHASNGRNRRARSSYYGFHPLMIEAERFMMLDQLVFYESRAAAADPHRDMRLDIDNMSYEDLLALGEFMGNVNTGLADEKISTCVREVVCCSPDQTQNDQDDQDDGSCVICLEDYKDKDVLGTLKCNHDFHADCIKKWLQTKNSCPVCKAAAA >PAN31679 pep chromosome:PHallii_v3.1:5:52700422:52706221:1 gene:PAHAL_5G436600 transcript:PAN31679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTPESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSASCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >PVH39110 pep chromosome:PHallii_v3.1:5:52700422:52706221:1 gene:PAHAL_5G436600 transcript:PVH39110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTPESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSASCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >PVH38700 pep chromosome:PHallii_v3.1:5:33335707:33338451:1 gene:PAHAL_5G330500 transcript:PVH38700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGPPLAPFLVASLKRSARLHCGEQLQALAAKSGLLASNAFVRNSVLAFYARLPSSLASAHQLFDETPPPLRDAAARNTLLAALARAGHLERAQRLLEDMPHSHRDTISYTMVVTALARVGHAGRAVAVFRCMLAEDVVPNEVTLAGVVTAFARHGAPMTVAVAHGVALRRGLDEFVILATNLVHAYAVVSDLCYARAVFDRMPDRTTVTWNAMLNGYVKAGMMKMAAEVFWRIPERDEVSWLTMIDGYIRADLISDALNAYVHMVHEVDANGNETLLVDLVKACARYSLVAEGQQLHSVTLKNGFDAHAFMQATLIHFYGCSGLIGLAQMQFRLSDKSHIASWNALLAGLVRRDLMQEAQQLFDDMPERDTISWSTLISGYVLNGCSDLALQLFFSMLNAGVDPNEITLASALSAVSESGTLDQGRWIHDYIINRSIRVTDNLNAGLIDMYAKCGSIADAVQLFNHASDKLSSISPWNAMICSLAVHGYAHMSLDLFSQLRRTGIKPNSITFIGVLNACCHTGMVAEGKQHFGSMMKEFGIQPTIKHYGCMVDLLGRAGYLEEVEQLVTMMPIKADKVLWGSILSAARAQGNVGLGEKAAKELAKLDQTHGASKVALSNIYADAGRWTNVSVVRKELQDENLERQSGSSGIV >PAN32785 pep chromosome:PHallii_v3.1:5:57546880:57552871:1 gene:PAHAL_5G512600 transcript:PAN32785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCGRPSSAFDDGQCRTTPPPAAKLSAAVRREEEVRKQQQQHARAGSGREEALERRRAMMAMAAACQVRSPVPRVVEGEHVAAGWPPWLVAVAPEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSAGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKEFPTPALALVDVLLSVDPADRGTASSALQSEFFTTKPYACNPSSLPRYPPSKEFDAKRREEEARRQGAAGGKQHKHEPERRTRESRAVPAPDANAELVSSLQKRQAQANTRSRSEMFNPGKEDSASGFRIEPPRPTPVIESSEDSQRAYPTRIFHSGPLVNQNHPSKGGGVKNGELQVPGAANQPVVVSTRSSLRTDGSSQTMVAQAEAFAHGRRLSESINEHFSNSGKYDQVFPRKDDRSSRVDGAIGYGSKGNKIHHSGPLICPSGNVDEMLKENDRQIQEVFRRTRVEKSRARRDHGHHQGGLRPADFGAIPVFPSSRSSYQAVQQ >PVH37509 pep chromosome:PHallii_v3.1:5:1276595:1280331:-1 gene:PAHAL_5G018900 transcript:PVH37509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYRSRRMAAGDGGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVIRLFKFGIRCFTVCSIVGVLVLAPTNYTSEGRAGIRRSNSMELFTVTNVTRGSNRLWVHFSCLCFISFHVVYLLHKQEYKEITRRRIEHLKHHCNRPDQYTILVRGIPSCPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEVLQKLASSIDKQIKRKRETRKCNIWQRIWFKFTSEAINIQRQEEKLKDVQQAIRLLQCENMLKQKEVPVAFVTFKSRLDAAHAAETQQLVNPLSLVTTYAPEPNDTIWKNLAIPFWRMAMYKIGVFVAAFLLIVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIPGLNSIVTGYLPSMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQLGESFTHPKDIPSRLASAVSAQSDFFITYILTNGMSGFSLEVLQFGLLTWQFLKAHWLGHSKEPYLYGFPYYRVVPIVSLAILIGVVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPKIHHYIFLSVTLMQITMIGLFGLKSKPGASFATIPLLVFNILFNEYCKVRFLPTFHHRPVQVAKQSDELDEAEGTTGNLDDARSAYKPPWMRPTNLESSSAQPLNV >PVH37506 pep chromosome:PHallii_v3.1:5:1276595:1280331:-1 gene:PAHAL_5G018900 transcript:PVH37506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYRSRRMAAGDGGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVIRLFKFGCFTVCSIVGVLVLAPTNYTSEGRAGIRRSNSMELFTVTNVTRGSNRLWVHFSCLCFISFHVVYLLHKEYKEITRRRIEHLKHHCNRPDQYTILVRGIPSCPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEVLQLASSIDKQIKRKRETRKCNIWQRIWFKFTSEAINIQRQEEKLKDVQQAIRLLQCENMLKQKEVPVAFVTFKSRLDAAHAAETQQLVNPLSLVTTYAPEPNDTIWKNLAIPFWRMAMYKIGVFVAAFLLIVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIPGLNSIVTGYLPSMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQLGESFTHPKDIPSRLASAVSAQSDFFITYILTNGMSGFSLEVLQFGLLTWQFLKAHWLGHSKEPYLYGFPYYRVVPIVSLAILIGVVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPKIHHYIFLSVTLMQITMIGLFGLKSKPGASFATIPLLVFNILFNEYCKVRFLPTFHHRPVQVAKQSDELDEAEGTTGNLDDARSAYKPPWMRPTNLESSSAQPLNV >PAN26527 pep chromosome:PHallii_v3.1:5:1276595:1280331:-1 gene:PAHAL_5G018900 transcript:PAN26527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYRSRRMAAGDGGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVIRLFKFGIRCFTVCSIVGVLVLAPTNYTSEGRAGIRRSNSMELFTVTNVTRGSNRLWVHFSCLCFISFHVVYLLHKEYKEITRRRIEHLKHHCNRPDQYTILVRGIPSCPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEVLQKLASSIDKQIKRKRETRKCNIWQRIWFKFTSEAINIQRQEEKLKDVQQAIRLLQCENMLKQKEVPVAFVTFKSRLDAAHAAETQQLVNPLSLVTTYAPEPNDTIWKNLAIPFWRMAMYKIGVFVAAFLLIVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIPGLNSIVTGYLPSMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQLGESFTHPKDIPSRLASAVSAQSDFFITYILTNGMSGFSLEVLQFGLLTWQFLKAHWLGHSKEPYLYGFPYYRVVPIVSLAILIGVVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPKIHHYIFLSVTLMQITMIGLFGLKSKPGASFATIPLLVFNILFNEYCKVRFLPTFHHRPVQVAKQSDELDEAEGTTGNLDDARSAYKPPWMRPTNLESSSAQPLNV >PVH37508 pep chromosome:PHallii_v3.1:5:1276595:1280331:-1 gene:PAHAL_5G018900 transcript:PVH37508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYRSRRMAAGDGGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVIRLFKFGCFTVCSIVGVLVLAPTNYTSEGRAGIRRSNSMELFTVTNVTRGSNRLWVHFSCLCFISFHVVYLLHKQEYKEITRRRIEHLKHHCNRPDQYTILVRGIPSCPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEVLQKLASSIDKQIKRKRETRKCNIWQRIWFKFTSEAINIQRQEEKLKDVQQAIRLLQCENMLKQKEVPVAFVTFKSRLDAAHAAETQQLVNPLSLVTTYAPEPNDTIWKNLAIPFWRMAMYKIGVFVAAFLLIVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIPGLNSIVTGYLPSMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQLGESFTHPKDIPSRLASAVSAQSDFFITYILTNGMSGFSLEVLQFGLLTWQFLKAHWLGHSKEPYLYGFPYYRVVPIVSLAILIGVVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPKIHHYIFLSVTLMQITMIGLFGLKSKPGASFATIPLLVFNILFNEYCKVRFLPTFHHRPVQVAKQSDELDEAEGTTGNLDDARSAYKPPWMRPTNLESSSAQPLNV >PVH37510 pep chromosome:PHallii_v3.1:5:1276595:1280331:-1 gene:PAHAL_5G018900 transcript:PVH37510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYRSRRMAAGDGGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVIRLFKFGIRCFTVCSIVGVLVLAPTNYTSEGRAGIRRSNSMELFTVTNVTRGSNRLWVHFSCLCFISFHVVYLLHKEYKEITRRRIEHLKHHCNRPDQYTILVRGIPSCPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEVLQLASSIDKQIKRKRETRKCNIWQRIWFKFTSEAINIQRQEEKLKDVQQAIRLLQCENMLKQKEVPVAFVTFKSRLDAAHAAETQQLVNPLSLVTTYAPEPNDTIWKNLAIPFWRMAMYKIGVFVAAFLLIVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIPGLNSIVTGYLPSMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQLGESFTHPKDIPSRLASAVSAQSDFFITYILTNGMSGFSLEVLQFGLLTWQFLKAHWLGHSKEPYLYGFPYYRVVPIVSLAILIGVVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPKIHHYIFLSVTLMQITMIGLFGLKSKPGASFATIPLLVFNILFNEYCKVRFLPTFHHRPVQVAKQSDELDEAEGTTGNLDDARSAYKPPWMRPTNLESSSAQPLNV >PVH37507 pep chromosome:PHallii_v3.1:5:1276595:1280331:-1 gene:PAHAL_5G018900 transcript:PVH37507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYRSRRMAAGDGGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVIRLFKFGCFTVCSIVGVLVLAPTNYTSEGRAGIRRSNSMELFTVTNVTRGSNRLWVHFSCLCFISFHVVYLLHKEYKEITRRRIEHLKHHCNRPDQYTILVRGIPSCPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEVLQKLASSIDKQIKRKRETRKCNIWQRIWFKFTSEAINIQRQEEKLKDVQQAIRLLQCENMLKQKEVPVAFVTFKSRLDAAHAAETQQLVNPLSLVTTYAPEPNDTIWKNLAIPFWRMAMYKIGVFVAAFLLIVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIPGLNSIVTGYLPSMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQLGESFTHPKDIPSRLASAVSAQSDFFITYILTNGMSGFSLEVLQFGLLTWQFLKAHWLGHSKEPYLYGFPYYRVVPIVSLAILIGVVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPKIHHYIFLSVTLMQITMIGLFGLKSKPGASFATIPLLVFNILFNEYCKVRFLPTFHHRPVQVAKQSDELDEAEGTTGNLDDARSAYKPPWMRPTNLESSSAQPLNV >PAN32088 pep chromosome:PHallii_v3.1:5:54449266:54453839:1 gene:PAHAL_5G462500 transcript:PAN32088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PAN32089 pep chromosome:PHallii_v3.1:5:54449266:54453839:1 gene:PAHAL_5G462500 transcript:PAN32089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNVSDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PVH39242 pep chromosome:PHallii_v3.1:5:54449346:54453839:1 gene:PAHAL_5G462500 transcript:PVH39242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PAN32086 pep chromosome:PHallii_v3.1:5:54450472:54453839:1 gene:PAHAL_5G462500 transcript:PAN32086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PVH39245 pep chromosome:PHallii_v3.1:5:54450472:54453839:1 gene:PAHAL_5G462500 transcript:PVH39245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNVSDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PAN32090 pep chromosome:PHallii_v3.1:5:54449091:54453839:1 gene:PAHAL_5G462500 transcript:PAN32090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PVH39243 pep chromosome:PHallii_v3.1:5:54449091:54453839:1 gene:PAHAL_5G462500 transcript:PVH39243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNVSDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PAN32087 pep chromosome:PHallii_v3.1:5:54449346:54453839:1 gene:PAHAL_5G462500 transcript:PAN32087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNVSDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PVH39244 pep chromosome:PHallii_v3.1:5:54449091:54453839:1 gene:PAHAL_5G462500 transcript:PVH39244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQENTTPTHHRKEKKKESAKKKERARKRTSSVLDSTNDTVNEEMAEYDLDGPTIGEKLATLDLINRDNEKNDTQEQTLSMAPPSADSVHILLKQALRADDNISLLACLYNRDQKVIAKSISLLTPSDVVKLLKFFVLQIQSRGAVLVCSLPWLQTLLNRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVEPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASEHSDGSEIMSD >PVH37640 pep chromosome:PHallii_v3.1:5:3127444:3131902:-1 gene:PAHAL_5G050000 transcript:PVH37640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGTCNAASRHLLPRRHQESTKQRKNASEGTIRERWAELGQEGLRGSEIGSEMPRKLTRKSFEETESNVDHMEHYKYILSKLLQGQDDSFCKGVSNEVQGTITQNKGREGYICKRTFPLFKEQISGLSKNDKEKIKVALHEIITFLNNDVDEVDQDIQAMEESGETCQEAVKRLSTGLLGKEFYRIQVRKFSVSSSVKWRKVSMTC >PVH37638 pep chromosome:PHallii_v3.1:5:3126355:3131981:-1 gene:PAHAL_5G050000 transcript:PVH37638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGTCNAASRHLLPRRHQESTKQRKNASEGTIRERWAELGQEGLRGSEIGSEMPRKLTRKSFEETESNVDHMEHYKYILSKLLQGQDDSFCKGVSNEVQGTITQNKGREGYICKRTFPLFKEQISGLSKNDKEKIKVALHEIITFLNNDVDEVDQDIQAMEESGETCQEAVKRLSTGLLGKDTSEKVLCFQLSKMAQGVNDLLNTATSKCRPMSTEEKIELSKRIRKLPEEALNRVVEIITTRKLASESSDRITMNLRELDDATLWRLYYHVEYALKENNK >PVH37639 pep chromosome:PHallii_v3.1:5:3127567:3131902:-1 gene:PAHAL_5G050000 transcript:PVH37639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGTCNAASRHLLPRRHQESTKQRKNASEGTIRERWAELGQEGLRGSEIGSEMPRKLTRKSFEETESNVDHMEHYKYILSKLLQGQDDSFCKGVSNEVQGTITQNKGREGYICKRTFPLFKEQISGLSKNDKEKIKVALHEIITFLNNDVDEVDQDIQAMEESGETCQEAVKRLSTGLLGKVYLQSMA >PAN26960 pep chromosome:PHallii_v3.1:5:3126351:3131981:-1 gene:PAHAL_5G050000 transcript:PAN26960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGTCNAASRHLLPRRHQESTKQRKNASEGTIRERWAELGQEGLRGSEIGSEMPRKLTRKSFEETESNVDHMEHYKYILSKLLQGQDDSFCKGVSNEVQGTITQNKGREGYICKRTFPLFKEQISGLSKNDKEKIKVALHEIITFLNNDVDEVDQDIQAMEESGETCQEAVKRLSTGLLGKLSKMAQGVNDLLNTATSKCRPMSTEEKIELSKRIRKLPEEALNRVVEIITTRKLASESSDRITMNLRELDDATLWRLYYHVEYALKENNK >PVH38541 pep chromosome:PHallii_v3.1:5:20311902:20313811:1 gene:PAHAL_5G284400 transcript:PVH38541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTYTAPDSWWKKKNKVYKGIAKFKDGPLQHEDLKTIMFEDIRNTGDDHWSPSSGAAPNTQETEPDDDKDKDYEANEADDDCHEISPEPSKGKRSAPTSRKDKGKKSKTPGGHWVQDQLNKLVSMSERSTASCESLARREDSIKDVMVLVRECGAVPGSKEHFIASQVFIKRAEREMFMTLETPEEWF >PAN31739 pep chromosome:PHallii_v3.1:5:52931464:52936111:1 gene:PAHAL_5G440600 transcript:PAN31739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MMASSSSSSNLSDHLVQDDLPWPSSSSLPFAPAPHSAVIGGNHQWSQQTQTLNCADHHYHSDELEVLLSAQGHSHSHHAASPLIPHQLSSLLMMQELGFQWSNCSFADTCSVPMNGQQDGHSKIKEEQPLINSRSSCAGTAALSYHDVDVDGGGLPAMAASDLDGSVLPSVNISRPQQLKAAWPAAPPPLPGDAFEILASSRLCKTLLLSQASSVLLHNGMPLLRSEHVPYGPPPAHPHQGPSGDSHKQMVGAAPLANGAAGGGRHWSAAEHDAAPAWCQKAARPAVVPAQSQAPSLKKPRVESRSSTIVPSFKVRKEKLGDRVAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLKSSRNKKPSRTTQRGSSNANGGEQEEARLDLRSRGLCLVPLSCTSYVTNENGVWAPPNFRGN >PVH37910 pep chromosome:PHallii_v3.1:5:7213667:7214725:-1 gene:PAHAL_5G119700 transcript:PVH37910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYSTPQPSPPMSPLVAPATPCIPGAAAGGAGPVPEAAPTLPPASPRTPRPAITLTAPPSNKRRRRGAARSSLRAIRAVRALFRSLPILAPACRFPGVIPRHGAGGGGGGGPRGQDGHVSGASRTTGTLFGHRRARVTLAVQETPGSVPILLLELAMQTGRFMQEMGAEHLRVALECEKKPPGAGAGIGRTRLLDEPLWTAYVNGRKIGYAVRREPTDDDLTVMQLLRTVSAGAGVLPADVVGAGTAPEGQEAGDLAYMRAHFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >PAN30652 pep chromosome:PHallii_v3.1:5:39341298:39346874:1 gene:PAHAL_5G353000 transcript:PAN30652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAQEDEEETAIVAEGGGGGGEEEQVAAEGEGEEEEQVGKGGEGEGGEEKAAAVVSCSICLDRVVAGGEERSTARLQCGHEFHLDCIGSAFNAKGIMQCPNCRKIEMGNWLYANGPRSSQDANNDEWGYDEDLYDVAHSDMATFVPLRIQWCPVGRLPALFEELETAPPATFNDFMGPNFNSEHVAVSVPGTAHPGPYLAYFQPVPPPAPSSSHVAERTIDGAAYHDHWNPLAGPSDGRPVQTVHPIDFHHNPWAHMPHSYSQSNNNNGVAEQPLLPVGAMRVGGVDSDSQRGSLPSFYGNGSGTPRIPSVPPMAPQFIRAHHNINDQFQQSSSLFAGSQRSGGMHPLGAGGPAVPPPDNTSFCLFPPASSGPSTMETEDVRGNQFYAWERDRLAPYPLVSANNEGTWWSSSQQQQPHGLPEPASASRRLPGQWIGGAARSPPQENRLPDNSPFRPMHIPRM >PAN30651 pep chromosome:PHallii_v3.1:5:39340811:39346813:1 gene:PAHAL_5G353000 transcript:PAN30651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAQEDEEETAIVAEGGGGGGEEEQVAAEGEGEEEEQVGKGGEGEGGEEKAAAVVSCSICLDRVVAGGEERSTARLQCGHEFHLDCIGSAFNAKGIMQCPNCRKIEMGNWLYANGPRSSQDANNDEWGYDEDLYDVAHSDMATFVPLRIQWCPVGRLPALFEELETAPPATFNDFMGPNFNSEHVAVSVPGTAHPGPYLAYFQPVPPPAPSSSHVAERTIDGAAYHDHWNPLAGPSDGRPVQTVHPIDFHHNPWAHMPHSYSQSNNNNGVAEQPLLPVGAMRVGGVDSDSQRGSLPSFYGNGSGTPRIPSVPPMAPQFIRAHHNINDQFQQSSSLFAGSQRSGGMHPLGAGGPAVPPPDNTSFCLFPPASSGPSTMETEDVRGNQFYAWERDRLAPYPLVSANNEGTWWSSSQQQQPHGLPEPASASRRLPGQWIGGAARSPPQENRLPDNSPFRPMHIPRM >PVH38992 pep chromosome:PHallii_v3.1:5:50968892:50969681:-1 gene:PAHAL_5G412200 transcript:PVH38992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMQRSHEERAQSAAQKAADELHAARREDEPASPRGGGGGILGSVQESARSAMGAVQDTFSSGDRGGTQDSAAMGYAEEGKAKARGVADATMDKAAETKDAVADRARGAMDAAADRAEGAREYAADRTKLGGGESEEDVMLRVKAADQMTGQAFNDVGVMGEEGTGMPRRRRSG >PAN26473 pep chromosome:PHallii_v3.1:5:983513:984519:1 gene:PAHAL_5G014500 transcript:PAN26473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAAVLLLLALVAVLLWYPLLLPALPPSPPALFIWIPVLMLLLLFALAFFPAARR >PVH39287 pep chromosome:PHallii_v3.1:5:55039545:55039853:1 gene:PAHAL_5G471700 transcript:PVH39287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGIIGGACRREEDAHGGAAASKQLHLPGGLVILAVAASVIASLVVVREPPRGIDEKACFLALCWGVFLAGVARIAAAVWASDVEPRRRRRRAAPPPLPR >PVH38915 pep chromosome:PHallii_v3.1:5:48455991:48462699:-1 gene:PAHAL_5G388800 transcript:PVH38915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLSAHVSAHPAIRSPTRLACSSIFCNKANSRITASPSTNRAKLLLLRGWHSTRQWRTIPLASGQSLPGYSPDDADQSLQPSDNISFAAAKEAVIGLNSVGVRSELILLALPAVFGQAIDPMAQLMETAYIGRLGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKSAIKHPSSGKLELTSVSSALILAAGIGVIEALALFLGSGLFLKLMGVSPVSPMYKPAKLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLIYGFQLGITGAAISTVVSQYIITILLIRSLSKRAVLLPPRLDQLEFGGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYAIVDYKRVQKIAMFALQIGVVSGLALAVGLYASFGNIARLFTSDQEVLMVVKSCALFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLWAPSVFGLAGVWVGLTTLMGLRMAAGILRLLWKWGPWSFLHEEP >PAN31017 pep chromosome:PHallii_v3.1:5:48456662:48462144:-1 gene:PAHAL_5G388800 transcript:PAN31017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLSAHVSAHPAIRSPTRLACSSIFCNKANSRITASPSTNRAKLLLLRGWHSTRQWRTIPLASGQSLPGYSPDDADQSLQPSDNISFAAAKEAVIGLNSVGVRSELILLALPAVFGQAIDPMAQLMETAYIGRLGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKSAIKHPSSGKLELTSVSSALILAAGIGVIEALALFLGSGLFLKLMGVSPVSPMYKPAKLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLIYGFQLGITGAAISTVVSQYIITILLIRSLSKRAVLLPPRLDQLEFGGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYAIVDYKRVQKIAMFALQIGVVSGLALAVGLYASFGNIARLFTSDQEVLMVVKSCALFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLWAPSVFGLAGVWVGLTTLMGLRMAAGILRLLWKWGPWSFLHEEP >PAN31016 pep chromosome:PHallii_v3.1:5:48456662:48462144:-1 gene:PAHAL_5G388800 transcript:PAN31016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLSAHVSAHPAIRSPTRLACSSIFCNKANSRITASPSTNRAKLLLLRGWHSTRQWRTIPLASGQSLPGYSPDDADQSLQPSDNISFAAAKEAVIGLNSVGVRSELILLALPAVFGQAIDPMAQLMETAYIGRLGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKSAIKHPSSGKLELTSVSSALILAAGIGVIEALALFLGSGLFLKLMGVSPVSPMYKPAKLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLIYGFQLGITGAAISTVVSQYIITILLIRSLSKRAVLLPPRLDQLEFGGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYAIVDYKRVQKIAMFALQIGVVSGLALAVGLYASFGNIARLFTSDQEVLMVVKSCALFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLWAPSVFGLAGVWVGLTTLMGLRMAAGILRLLWKWGPWSFLHEEP >PAN30572 pep chromosome:PHallii_v3.1:5:32698336:32699152:-1 gene:PAHAL_5G327600 transcript:PAN30572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKTACCGRGREAERRGRRTHTVVRRADELEPARKVARQRTTVDSRWPNLRICGGGRVHRNESKEKGVRVA >PAN30122 pep chromosome:PHallii_v3.1:5:18305171:18306802:-1 gene:PAHAL_5G275200 transcript:PAN30122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGDAPARGSAAAAASLRRRRTTSGAGGGGGGASSMLQFYTDEAAGAKMSPNTVLIMSIGFIALVAMLHVFGKLYRTAN >PVH38967 pep chromosome:PHallii_v3.1:5:50195740:50196980:-1 gene:PAHAL_5G404100 transcript:PVH38967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLGDYIEFRKDQIEKTIKELNEKKKCEDDYSVQKCIDIVDAIEELTDEQKVDCNELFQSEMNGQIFVGTKNQKVRLFWLKKKISQGNIPSFGHGGGSAFGAGASSME >PVH37776 pep chromosome:PHallii_v3.1:5:5433618:5435509:1 gene:PAHAL_5G088100 transcript:PVH37776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSFSMLAGAPPPPYGGSLFGPPAISAFNDDEPVELSPLDDGWEVVQEATNNGVLVLTTHCEHPAVARDAAQENFAVLVHAKAPVAAAEASERAPLDLVTVLDVSGSMSGSKLGLLKQAMGFVIDHLGPGDRLSIVAFSCRAHRIIRLTCMTDGGKALARDAVESLTANGSTNIGDGLRVAAEVIDGRRHGNPVSSVILLSDGQDNHTLRQGGDGPFGGAKSYIDLVPRSLRRGTGNGCSTVHTFGFGTDHDAAAMHAIAEVTGGTFSFIQNHAVVQDSFAQCTGGLLSVAVQEARVAVECLQAGRPVVVADTEPSVEVARERFHVEAAEDIAAARAAAERGAHAEAARILDRRQEASAAAGLAGDARCAALVAELRELSARVANRREYEQTGGACLLAGISSHASTVQLFGPGCQGWSAVTGVSRHLGQGHPRPPWGPWHPLQNRSGHRMGHLRSRTQGFRRRPLRPRTQRRQCREW >PVH38478 pep chromosome:PHallii_v3.1:5:17338527:17343275:1 gene:PAHAL_5G268000 transcript:PVH38478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDQRRHAAAVVTVARAQDSPARRLIAWLQLLFRAFVQRYGKLASWDAAGRPVLVVAAAFFLQRTLRRRYLSWKDSSRLRLRAAAVTVQAAVRAMVARRELSLRKQIRAATRIQAQWRAHRAVWSYLMTKRAALTCQRAWRQGIASRELRKLRLEDLEREMLDEMCRLREMVDVLQQAVNDAETRAVNEREAAKKAIAESEAARVIKETVVMVEDTEKVNSLNAEVDRLKDLLGVEMKETFAAKKALAEAELRNEKLARLLGVEEVKNKRLQDSLKRMEEKASNLDEENKMLRQAVASIPTIKSPLTENREAPNIQESPENEKTPNGAVKPITVDREGNIHEKNAEQPSSNGHEAEKQQQDLLIKCLSEDLGFSIGRPIAAYLIYRCLVHWRSFEEERTSVFDRIIQKITGALEGRDNNDTLAYWLSNSCTLLVLLQRTLKINGVAALARQRRRASPLKVPQENQDASHPDHPVSDGRLISGLAEVYQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLSLCIQAPRTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNILKSNYVPAFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSAWDELKHIRQAVTLLILEEKHSRSLTEITDDFCPALSMQQLYRISTMYCDDKYGTLGIPPEVISSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVHVDIADMDLPPLIQESNGSKLEH >PAN30023 pep chromosome:PHallii_v3.1:5:17338511:17343275:1 gene:PAHAL_5G268000 transcript:PAN30023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDQRRHAAAVVTVARAQDSPARRLIAWLQLLFRAFVQRYGKLASWDAAGRPVLVVAAAFFLQRTLRRRYLSWKDSSRLRLRAAAVTVQAAVRAMVARRELSLRKQIRAATRIQAQWRAHRAVWSYLMTKRAALTCQRAWRQGIASRELRKLRLEDLEREMLDEMCRLREMVDVLQQAVNDAETRAVNEREAAKKAIAESEAARVIKETVVMVEDTEKVNSLNAEVDRLKDLLGVEMKETFAAKKALAEAELRNEKLARLLGVEEVKNKRLQDSLKRMEEKASNLDEENKMLRQAVASIPTIKSPLTENREAPNIQESPENEKTPNGAVKPITVDREGNIHEKNAEQPSSNGHEAEKQQQDLLIKCLSEDLGFSIGRPIAAYLIYRCLVHWRSFEEERTSVFDRIIQKITGALEGRDNNDTLAYWLSNSCTLLVLLQRTLKINGVAALARQRRRASPLKVPQENQDASHPDHPVSDGRLISGLAEVYQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLSLCIQAPRTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNILKSNYVPAFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSAWDELKHIRQAVTLLILEEKHSRSLTEITDDFCPALSMQQLYRISTMYCDDKYGTLGIPPEVISSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVHVDIADMDLPPLIQESNGSKLEH >PVH38477 pep chromosome:PHallii_v3.1:5:17338635:17343330:1 gene:PAHAL_5G268000 transcript:PVH38477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDQRRHAAAVVTVARAQDSPARRLIAWLQLLFRAFVQRYGKLASWDAAGRPVLVVAAAFFLQRTLRRRYLSWKDSSRLRLRAAAVTVQAAVRAMVARRELSLRKQIRAATRIQAQWRAHRAVWSYLMTKRAALTCQRAWRQGIASRELRKLRLEDLEREMLDEMCRLREMVDVLQQAVNDAETRAVNEREAAKKAIAESEAARVIKETVVMVEDTEKVNSLNAEVDRLKDLLGVEMKETFAAKKALAEAELRNEKLARLLGVEEVKNKRLQDSLKRMEEKASNLDEENKMLRQAVASIPTIKSPLTENREAPNIQESPENEKTPNGAVKPITVDREGNIHEKNAEQPSSNGHEAEKQQQDLLIKCLSEDLGFSIGRPIAAYLIYRCLVHWRSFEEERTSVFDRIIQKITGALEGRDNNDTLAYWLSNSCTLLVLLQRTLKINGVAALARQRRRASPLKVPQENQDASHPDHPVSDGRLISGLAEVYQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLSLCIQAPRTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNILKSNYVPAFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSAWDELKHIRQAVTLLILEEKHSRSLTEITDDFCPALSMQQLYRISTMYCDDKYGTLGIPPEVISSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVHVDIADMDLPPLIQESNGSKLEH >PAN30022 pep chromosome:PHallii_v3.1:5:17338527:17343330:1 gene:PAHAL_5G268000 transcript:PAN30022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDQRRHAAAVVTVARAQDSPARRLIAWLQLLFRAFVQRYGKLASWDAAGRPVLVVAAAFFLQRTLRRRYLSWKDSSRLRLRAAAVTVQAAVRAMVARRELSLRKQIRAATRIQAQWRAHRAVWSYLMTKRAALTCQRAWRQGIASRELRKLRLEDLEREMLDEMCRLREMVDVLQQAVNDAETRAVNEREAAKKAIAESEAARVIKETVVMVEDTEKVNSLNAEVDRLKDLLGVEMKETFAAKKALAEAELRNEKLARLLGVEEVKNKRLQDSLKRMEEKASNLDEENKMLRQAVASIPTIKSPLTENREAPNIQESPENEKTPNGAVKPITVDREGNIHEKNAEQPSSNGHEAEKQQQDLLIKCLSEDLGFSIGRPIAAYLIYRCLVHWRSFEEERTSVFDRIIQKITGALEGRDNNDTLAYWLSNSCTLLVLLQRTLKINGVAALARQRRRASPLKVPQENQDASHPDHPVSDGRLISGLAEVYQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLSLCIQAPRTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNILKSNYVPAFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSAWDELKHIRQAVTLLILEEKHSRSLTEITDDFCPALSMQQLYRISTMYCDDKYGTLGIPPEVISSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVHVDIADMDLPPLIQESNGSKLEH >PAN32261 pep chromosome:PHallii_v3.1:5:55169201:55176538:-1 gene:PAHAL_5G473700 transcript:PAN32261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKAAAACPVKHTPLDDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN32260 pep chromosome:PHallii_v3.1:5:55169201:55176683:-1 gene:PAHAL_5G473700 transcript:PAN32260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PVH39304 pep chromosome:PHallii_v3.1:5:55169505:55174601:-1 gene:PAHAL_5G473700 transcript:PVH39304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKGTSCVSDHSHEFEADISLSFEQESESPVSVLDMAFSAAAACPVKHTPLDDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PVH39305 pep chromosome:PHallii_v3.1:5:55169505:55174601:-1 gene:PAHAL_5G473700 transcript:PVH39305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKGTSCVSDHSHEFEADISLSFEQESESPVSVLDMAFSAAAACPVKHTPLDDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PVH39302 pep chromosome:PHallii_v3.1:5:55169505:55174601:-1 gene:PAHAL_5G473700 transcript:PVH39302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKGTSCVSDHSHEFEADISLSFEQESESPVSVLDMAFSAAAACPVKHTPLDDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN32258 pep chromosome:PHallii_v3.1:5:55169201:55176538:-1 gene:PAHAL_5G473700 transcript:PAN32258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PVH39301 pep chromosome:PHallii_v3.1:5:55170289:55174601:-1 gene:PAHAL_5G473700 transcript:PVH39301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKGTSCVSDHSHEFEADISLSFEQESESPVSVLDMAFSAAAACPVKHTPLDGEV >PAN32262 pep chromosome:PHallii_v3.1:5:55169201:55176538:-1 gene:PAHAL_5G473700 transcript:PAN32262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKAAAACPVKHTPLDDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN32255 pep chromosome:PHallii_v3.1:5:55169201:55176619:-1 gene:PAHAL_5G473700 transcript:PAN32255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN32254 pep chromosome:PHallii_v3.1:5:55169201:55176683:-1 gene:PAHAL_5G473700 transcript:PAN32254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN32259 pep chromosome:PHallii_v3.1:5:55169505:55174601:-1 gene:PAHAL_5G473700 transcript:PAN32259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKGTSCVSDHSHEFEADISLSFEQESESPVSVLDMAFSAAAACPVKHTPLDDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN32263 pep chromosome:PHallii_v3.1:5:55169505:55174601:-1 gene:PAHAL_5G473700 transcript:PAN32263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKGTSCVSDHSHEFEADISLSFEQESESPVSVLDMAFSAAAACPVKHTPLDDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN32257 pep chromosome:PHallii_v3.1:5:55169505:55174601:-1 gene:PAHAL_5G473700 transcript:PAN32257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKGTSCVSDHSHEFEADISLSFEQESESPVSVLDMAFSAAAACPVKHTPLDDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN32256 pep chromosome:PHallii_v3.1:5:55169505:55174601:-1 gene:PAHAL_5G473700 transcript:PAN32256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQVEFNYVKDIFKKSSFYNEILFDEWYSQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PVH39303 pep chromosome:PHallii_v3.1:5:55169201:55176580:-1 gene:PAHAL_5G473700 transcript:PVH39303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYSKELHGYRWGILQFFGFRRRLRSTKMLSDKKHGQGKSSGGSKCRSSYAPLKNEDSGIMDDEKNTEVTKKQKASKKNSGKASLRSLILRKLYGKEGQKEKILPVAPKLLRTISIHYLESNEYVLDGESTSSGDGSSHSTKLSMQNAMDINLRHSTSSIPDGCDSDLSSSLLLKRDDSRVKRKSHRSISMDGILHKVPYGKKVSGDVVSEGLPRSASATYDRDGLKPYIGPAAKRHVSQGFRRSRSLSESLENYSRLLDAISSSESKRILTSSKSTRDHSLDVPSVTTSLQRASEVEFRSQGLGKQDENLVTAEDALTPHAQEKTDIDADANVAMDDSSGDVVAGDSEKPALLEECINGKKFDVAVSAEEDSCIVPSPSEVVDTSEEQAATCGNNDQVPSSAEVDFLAAHSMSEEVDILEEHAETCNDAQIHSSAQADSCTALLSEDAKIAEEQTPAFHDNQMHSSHFPKSTKDTSLKPRILHLNDADVSSDTTIVQESDFDDLSGFQVDPSHQNIAALQEEDCQHYEAAAASFDFTDMSADQLLLFDLTNEALLDIYKKYSVAKSKFSWFSSSGRPKPVGHRVLKELWSRVSYRLDERPWSSIQVDTILSKDLAKSDHWMNLERDADHMGNKVADFVFDKLLTELVLQLAEC >PAN29056 pep chromosome:PHallii_v3.1:5:11973729:11981399:-1 gene:PAHAL_5G197500 transcript:PAN29056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDGDRHRGPKRHKSSAPSKAALVDESAEFDYADDFDEDALDADKEVKKRDFTKLELKPDHASRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETTTIISVLSKLSKTKLPREIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLSTLLRDEVISRARISPEDSLSAPSFTISKTSGQTSGHEDLLNGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPLEEGPNLSFYTLDEQLELLGKVLNAGDDMIGVEHLEEDSDGKALLRARRSAGSMSAFSGAGGRVYMEYSTGKGKGAPKKPKDPSKRHHLFKKRYQ >PAN33109 pep chromosome:PHallii_v3.1:5:58873014:58878737:1 gene:PAHAL_5G532800 transcript:PAN33109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKDVVKALKKRIGHKNPKVQLLALTLLETVIKNCGDILHMHVAERDILHEMVKIVKKKSDPRVKEKVLVLIDTWQEAFGGPRARYPQYYAVYHELVRAGAEFPKRSEKPAPLFNGQSQAARNMRSPDQQDEAESSAANDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNTSTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSANQDANKDKEKEKGLIDIEDPTSQDSKNEPNQSTSDQSPFEQLALPAPPVSNGTATSAPKSDLGIDLLSWDDTPTTAENPLALVPVTDPLADSTPSNQNALAIVDTFSQNNTVNSNAHPADPFGLSSNSTIPGSQPYNTPAQHPLQSQQPQQAAPLYPNGGAVNAGTSYEQAPQFNNTSSGWNGQVASPLAPPPQQAQSYDDQSGSLPPPPWEAQSAASNELPNGHLGAGMQPLPALPAGQIGSMQQPQMNHIGVPQNQPMYNNQQGVTLSRAMQPGQAAVAQMQPGFGNQQFGLLPPASMPGMQFPGMQHPQMYGGSQPAMMHPQQMPGAQYGAMAQQQPMYGGRLAGYMQHPAVAAAHYYNQGTSGMYGYPGANDLSQRMYGLSVQDNSYMGMSSSYQTAPSPAPSTGQPMRPTKPEDKLFGDLLSIAKTKKAS >PAN33110 pep chromosome:PHallii_v3.1:5:58872145:58878737:1 gene:PAHAL_5G532800 transcript:PAN33110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQLLALTLLETVIKNCGDILHMHVAERDILHEMVKIVKKKSDPRVKEKVLVLIDTWQEAFGGPRARYPQYYAVYHELVRAGAEFPKRSEKPAPLFNGQSQAARNMRSPDQQDEAESSAANDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNTSTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSANQDANKDKEKEKGLIDIEDPTSQDSKNEPNQSTSDQSPFEQLALPAPPVSNGTATSAPKSDLGIDLLSWDDTPTTAENPLALVPVTDPLADSTPSNQNALAIVDTFSQNNTVNSNAHPADPFGLSSNSTIPGSQPYNTPAQHPLQSQQPQQAAPLYPNGGAVNAGTSYEQAPQFNNTSSGWNGQVASPLAPPPQQAQSYDDQSGSLPPPPWEAQSAASNELPNGHLGAGMQPLPALPAGQIGSMQQPQMNHIGVPQNQPMYNNQQGVTLSRAMQPGQAAVAQMQPGFGNQQFGLLPPASMPGMQFPGMQHPQMYGGSQPAMMHPQQMPGAQYGAMAQQQPMYGGRLAGYMQHPAVAAAHYYNQGTSGMYGYPGANDLSQRMYGLSVQDNSYMGMSSSYQTAPSPAPSTGQPMRPTKPEDKLFGDLLSIAKTKKAS >PAN26621 pep chromosome:PHallii_v3.1:5:1685258:1687846:1 gene:PAHAL_5G026400 transcript:PAN26621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKEAVVKGNSGSSRCNRFRRKSSVVADATQPSRVPPPSDNGSAVVAKANDEVVTGAKDKATTSTQKAIEEKKQEGINSKADQVVKDNREAFAVKEANAIATANTIPDKKEVEIKKDEAIIKDAKEAITIEKGKEALTEKATKDKEQQEDKEDEVLKDKMVANQKNDVASTENVITEEKKEDIKNDDVVEISTEGAIENKKNEGENKEMASEENDDDALTEDVASTEDDVVENKDDFGVTFPVVMLTEDDGSVTFRVPDDAITKDDDKDDDSVTFPTPPATKGRNMVAMVTEEDGSITFAVPVAPVTKDDDSVSFTAAPETKDDDVLAMVTEEGGSVTFAKPVAPVTKDGHNVTLAAAPTTKDDDSVTLAASPTTEDNDIVTLTAAPVEEEVAEQLEPSEDNGEVKNEAELTEPTVFEDKESMTEVDGTTEGKEEEVAEQSKPSEDNEVVKDEAELPDPTVVEQVMTEVVETLKVEEGKLETVGEIKVEQDEESVSKVPQEESSSAVLRDEDGESDGKQPIDSKETITTEEKAEELAVPEKENDDEKAPSPSASTLN >PAN27960 pep chromosome:PHallii_v3.1:5:7267458:7269388:1 gene:PAHAL_5G120800 transcript:PAN27960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAALAASVSPAAAALAPGGGVRPARIASLATRNSTKTVLPPKNDLLRSARQRRRLAARSSTSSPSETSTGDSQSPKQEKAPFGYTRKDVLLIGLGVTAFGVGLKYGLELVGVDPLQAGNVVQLLVVLGMTVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLSEAELQALLEQVEEEKQRLPQIPEEPNAITFKKK >PVH38239 pep chromosome:PHallii_v3.1:5:12527871:12532035:1 gene:PAHAL_5G205800 transcript:PVH38239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAVPLWEVHVLDFPTAEAAAAVALRVHHSVGDGVSMLSLFMACTRSAADPGALPALPLARRAGPVHAVRRRRRPRQTLSSPAGAVLDALAALAAWAVAFLVLAWHTAVDVARFVATAASLLGDAPTVLKGREGTEFRPKRFVNRTLSLDDIKFVKNAMSCTVNDVLLGITSAALSRYYFRKTGESDSKSITVRSAVLVNLRPTPGIQTLASMMESGKDNGARWGNKIGYMLIPFHLARHDDPVEYVRRATKVARRKKSSMESVFTFWSGYMVLKLFGIKAAAALCYGMFTHTTLSFSNMVGPTEQVLFCGNPIVYIAPGTYGHPHALTIHYQSYMNKVKLVLSVDESQFPDCHQLLDDFAESLRLIREAAPRKPEAAHDGTRS >PAN29166 pep chromosome:PHallii_v3.1:5:12527192:12532035:1 gene:PAHAL_5G205800 transcript:PAN29166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGAGASATLRKRALSIDTAAAAAIEFESKAAAGREDEAVGADAVAEEEPVSPTGRLFREPHFRCHIVSVFGLAAPVDLPALRAGVAATLARHPRFCSVQVLNEFETDARPKWVRTAVNLDDHIIVPELDPAAVAADPGRALEDYVSSLSTRPMDHAVPLWEVHVLDFPTAEAAAAVALRVHHSVGDGVSMLSLFMACTRSAADPGALPALPLARRAGPVHAVRRRRRPRQTLSSPAGAVLDALAALAAWAVAFLVLAWHTAVDVARFVATAASLLGDAPTVLKGREGTEFRPKRFVNRTLSLDDIKFVKNAMSCTVNDVLLGITSAALSRYYFRKTGESDSKSITVRSAVLVNLRPTPGIQTLASMMESGKDNGARWGNKIGYMLIPFHLARHDDPVEYVRRATKVARRKKSSMESVFTFWSGYMVLKLFGIKAAAALCYGMFTHTTLSFSNMVGPTEQVLFCGNPIVYIAPGTYGHPHALTIHYQSYMNKVKLVLSVDESQFPDCHQLLDDFAESLRLIREAAPRKPEAAHDGTRS >PAN26781 pep chromosome:PHallii_v3.1:5:2413278:2418671:-1 gene:PAHAL_5G038400 transcript:PAN26781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDAKMLPAWDLGTVVGPSSGVGVVAAAGASGGGALDLKLGGPTSWRAVATAPAAVPLPSPPAPPRPSSSSSSAPAKRPRAGQAQQAVPACSVEGCAADLSKGRDYHRRHKVCEAHSKTPVVTVAGQQQRFCQQCSRFHSLGEFDDTKRSCRKRLDGHNRRRRKPQPDPLNPGGLFANHHGVARFASYPQLFASSMADPKWPVVKTEADIFQDHYYPAAHLNGAGSLFHGKDRKHFPFLTNHHHGGDAAGAFASQPFTITAASSESSSKQSNGNCALSLLSDNPTRAQTTTMIPTAQPLGAALQYGGAARLPDGGDVSLTGMSYARLGDSKQASILTTSTSHTAVASPGPGTQLQYHDYYHVSGGDQGNCPDGAAIQAIPFSSW >PAN28652 pep chromosome:PHallii_v3.1:5:10260379:10262050:-1 gene:PAHAL_5G169400 transcript:PAN28652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTVVLYPSLGVGHLTPMVQLAKLFARHGVAVTVALVEPQVESPSFSAAVARAAATNPSVTFHVLPPPPPAAAEEAPRGRFDYLRLMDAPLRDFLRSLPAVHALVLDMFCAGSLDVAADLGIPAYFFFASGASFLAVFLNLPSVAASMDRSFAELGDALFRLPVAPPFKATDLPQVILDNDEASKATLRMAERIAESSGILINTFEQLEARAVRDLRDGLCIPGRPTPPVYCIGPLVTEGGDKKHECLEWLDAQPDNSVTFLSFGSQGTFSKKQLEEIAVGLERSGQRFLWVVRSPLGDEQSSGDPLPEPDLDALLPEGFLERTKHQGLVVRSWAPQVEVLGHRATGAFMTHCGWNSTLEGITAGLPLLCWPLYAEQRLNKVFIVEEMKLGVVLRGYDEEVVKDEEVEAKVRWVMDSEGGRALRERAMAQKSKAVQALSGGGSSQADFVEFLKNL >PVH38924 pep chromosome:PHallii_v3.1:5:48717945:48720483:-1 gene:PAHAL_5G391000 transcript:PVH38924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPSKNLDGSWLSAGMDPKSSYLLEIRLNCNHEKRRKDILYFSFNKVMDSNLCNFKDLVEEIVNQYPPSYLKVVHIFYYDEVKKCFPQVTTDYELLEMFSKHVEKKVLRMTIAYTDPIDAMPIPKCYTLENSDVLDIPCTPSMACPSLATASQSNEPICSQYSKPKTVSPLNLAQMNLMMLLMVLNIWQILNHKMNMWLLMMKACT >PVH37642 pep chromosome:PHallii_v3.1:5:3133353:3137873:1 gene:PAHAL_5G050100 transcript:PVH37642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLTDMEGESSAVASPFPVPNSSKGHELEMTKKSTTVVPEMTSSTSMPDLAAAKDGNAKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTQQEISEASSRVEVDDPSQTEEDRDEDYRSEPDGSNGSFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYDPPTTEEETRAANMKFWETTLGTVAGCLILYIVAMGWGKRSGLLQ >PAN26961 pep chromosome:PHallii_v3.1:5:3132103:3137873:1 gene:PAHAL_5G050100 transcript:PAN26961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESSAVASPFPVPNSSKGHELEMTKKSTTVVPEMTSSTSMPDLAAAKDGNAKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTQQEISEASSRVEVDDPSQTEEDRDEDYRSEPDGSNGSFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYDPPTTEEETRAANMKFWETTLGTVAGCLILYIVAMGWGKRSGLLQ >PAN26962 pep chromosome:PHallii_v3.1:5:3132103:3137873:1 gene:PAHAL_5G050100 transcript:PAN26962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHATGTGGGGVGRRKAGAAAAAASREWMVVPASGPARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPTFARFVRDLQTRVLASSSDQAADLTDMEGESSAVASPFPVPNSSKGHELEMTKKSTTVVPEMTSSTSMPDLAAAKDGNAKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTQQEISEASSRVEVDDPSQTEEDRDEDYRSEPDGSNGSFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYDPPTTEEETRAANMKFWETTLGTVAGCLILYIVAMGWGKRSGLLQ >PVH37933 pep chromosome:PHallii_v3.1:5:7576465:7580809:-1 gene:PAHAL_5G126600 transcript:PVH37933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNTQPSYMCINTCPNGTNQQHTAAENMGLYSLSRGPLASALLLCFCMLLLGVHGGSRRLYIVYLGDVKHGHPNDVIASHHDLLSNVLGSMEDSLASMIHNYKHGFSGFAAMLTEDQAKQLAESPEVISVEPSRSYTATTTRSWDFLGLGYQTPGDLLREGRYGEDIIIGVVDSGIWPESRSFSDQGYGPVPSRWRGKCEVGQSWDGNNCSRKIIGARFYSAGIDEEVLKADYLSARDGGKSGHGTHTASTAAGSVVEGASFHGLAAGVARGGAPHARIAVYKSLWGPRGQGSSATILAAIDHAIHDGVDVLSLSVGGGCPDEKDSFGTLHAVQKGIAVVCSAGNDGPRPQTVGNTAPWVITVAASTIDRSFPTVITLGNKQQIAGQSLYYQDKNSARSSFRTLTTGVGDLCTEEALNGTDLKGKTVMCFPQDGKLALTPLWEFQSALQNVRKAGGSGLVFAQYTTDILENTANCGGIACVLVDLDTGYQILEYMGSASSPVAKIEPARSFTSKEILAPKVAAFSSRGPSIYNADVIKPDIAAPGANILAATGNSYMLASGTSMAAPHVSGIVALLKALYPQWSPAALKSAIITTASVTDEHGMPILANGLPRKIADPFDFGGGHINPNRAADPGLIYDIAPNDYNIFSCIMKRSASCNTTVVPGHLLNLLSISVPDLRYPVTVSRTVTNVGEVDAVYHIAIESPVGVRMEVEPSVLVFNAANKVQTFKVKLSPMWMFQGDYTFGSLTWYNDQRTVRIPVAARITIHDFFADVA >PVH37932 pep chromosome:PHallii_v3.1:5:7577384:7580758:-1 gene:PAHAL_5G126600 transcript:PVH37932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNTQPSYMCINTCPNGTNQQHTAAENMGLYSLSRGPLASALLLCFCMLLLGVHGGSRRLYIVYLGDVKHGHPNDVIASHHDLLSNVLGSMEDSLASMIHNYKHGFSGFAAMLTEDQAKQLAESPEVISVEPSRSYTATTTRSWDFLGLGYQTPGDLLREGRYGEDIIIGVVDSGIWPESRSFSDQGYGPVPSRWRGKCEVGQSWDGNNCSRKIIGARFYSAGIDEEVLKADYLSARDGGKSGHGTHTASTAAGSVVEGASFHGLAAGVARGGAPHARIAVYKSLWGPRGQGSSATILAAIDHAIHDGVDVLSLSVGGGCPDEKDSFGTLHAVQKGIAVVCSAGNDGPRPQTVGNTAPWVITVAASTIDRSFPTVITLGNKQQIAGQSLYYQDKNSARSSFRTLTTGVGDLSCTEEALNGTDLKGKTVMCFPQDGKLALTPLWEFQSALQNVRKAGGSGLVFAQYTTDILENTANCGGIACVLVDLDTGYQILEYMGSASSPVAKIEPARSFTSKEILAPKVAAFSSRGPSIYNADVIKPDIAAPGANILAATGNSYMLASGTSMAAPHVSGIVALLKALYPQWSPAALKSAIITTASVTDEHGMPILANGLPRKIADPFDFGGGHINPNRAADPGLIYDIAPNDYNIFSCIMKRSASCNTTVVPGHLLNLLSISVPDLRYPVTVSRTVTNVGEVDAVYHIAIESPVGVRMEVEPSVLVFNAANKVQTFKVKLSPMWMFQGDYTFGSLTWYNDQRTVRIPVAARITIHDFFADVA >PAN26739 pep chromosome:PHallii_v3.1:5:2158882:2161457:-1 gene:PAHAL_5G035000 transcript:PAN26739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPGGAWRMQGPAGAPVAGLPIGFRFRPTDEELLLHYLRRKALACPLPAGIIPDANLARLHPWDLLPPGGDADGERFFFHRPATRCWRKGGGAARAAGTGVWRPSGKEKLVASPRCKRPVGTKRTLVFCRGRGRGAVRTDWAMHEYRLLPAGIHPFHGCAAAGNPTAAHVSCLGAAADWVVCRIFKRTKPAAHRGREDDDAEERPSSPSSASSCVTDTAETGDQEHDDDEGSSSSNGGSSCSVASN >PAN26738 pep chromosome:PHallii_v3.1:5:2159335:2160290:-1 gene:PAHAL_5G035000 transcript:PAN26738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPGGAWRMQGPAGAPVAGLPIGFRFRPTDEELLLHYLRRKALACPLPAGIIPDANLARLHPWDLLPPAGGDADGERFFFHRPATRCWRKGGGAARAAGTGVWRPSGKEKLVASPRCKRPVGTKRTLVFCRGRGRGAVRTDWAMHEYRLLPAGIHPFHGCAAAGNPTAAHVSCLGAAADWVVCRIFKRTKPAAHRGREDDDAEERPSSPSSASSCVTDTAETGDQEHDDDEGSSSSNGGSSCSVASN >PAN31638 pep chromosome:PHallii_v3.1:5:52530110:52531181:-1 gene:PAHAL_5G433200 transcript:PAN31638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIVIKADLVGQKCKSEILAAVSKLQGIKSLDIDADKCTLTVVGTVDPVCVVLRLKKKCFAASIVSVEDDKPKPKDPCKEACEKLCKEKCEKITCCKECKEKCEKECKDKCEKACEAWLGKGCCSCGRCSKPGPGFYCNPGPSYPYHYGCPSSYPYYACYEERSPDGACAIQ >PAN26400 pep chromosome:PHallii_v3.1:5:655722:658238:1 gene:PAHAL_5G010000 transcript:PAN26400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKLDVPNLEVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRTFLNLPSEVVPNTLKKSSKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFSGDKGGAPAEFQPSFRGSRPGFGRGGGGGAFGAGASSME >PVH39185 pep chromosome:PHallii_v3.1:5:53572429:53572758:1 gene:PAHAL_5G449900 transcript:PVH39185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQAPRDDGSYPQNCNPDTAFNSSKLPCRPSSSVPPPPSCSSSRLPSPRPTPPPPAPARRPPRPRPGGPAPSAPTPARCAPAARPCPAAGAPPPATPHFLAVLRHCT >PAN28627 pep chromosome:PHallii_v3.1:5:10138068:10145156:1 gene:PAHAL_5G167100 transcript:PAN28627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKGGMIIDWQAMDPNSPAAELEVVGSLSLPFTPHRPAAASAHRDSDDGGGEVEFSDKTDQELGAKIRFWEGELQQGVLRKTEDNGKKMRALVGRMKKEFERRIADRQRKDDTVRRQAVQAKSTCGNGGNVYDFNHDDEVLDSTAGKYYKKLSCTSSTKTYTQVKGAACKEGNSLSHAKCAYPVMGPKKDGLIAKYSVNHQLKTSARLPKSTDCELLNTDIDTTKKSTLRSCTTNPQENNTLDSKGICTKFLEENATCGSNRRSNLTKNKASASFKCKKDVVLLDDDDDTEHARSAADVEISNKRDESKIYYPSRIDPEAVELTYSDMKCLEPEEYLKSPVINFCLQYLKKSRHRGDLYMFNTYFYSKLQEALSTLGDRDSQFSKLRRWWRNVDIFKKAYIILPINEMMHWSLIIVCMPTKEGDSGPIMLHLDSLGLHNSQKLFDTVARYLEAEWRHLRKDSSYDIPFSGMIWKRLSRNIKGEKVEVPRQRNEYDCGLFMLHYIDKFIQEAPERFTKESLGMFGRKWFCHEEASWLREGVRAHLFDLFQSAEEDDGPSEPEWHPGDHSEGEDKDADTVMAIALESD >PAN32844 pep chromosome:PHallii_v3.1:5:57670024:57672807:-1 gene:PAHAL_5G514000 transcript:PAN32844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAARRLSSSSTAAAAPKLSSIFRNPKRRSPPTNLSSLFGDRKHPSPPPASGDEPLWRRPRPRPKMREPWEEEAGALLRRLHQGLYLPGPDLSSAPHAVSPDVVKAAAERFGHDNQVVAKWLSGSDLKKLALFGCPTVERRTVFASKRLRAFFNIQEDKICSSCKLRSSCKFVNQEVTRHNKVILSDTMRIISLFVLDAFPKELQVTAELKASICKLLKDTINLSS >PAN32811 pep chromosome:PHallii_v3.1:5:57669943:57673030:-1 gene:PAHAL_5G514000 transcript:PAN32811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAARRLSSSSTAAAAPKLSSIFRNPKRRSPPTNLSSLFGDRKHPSPPPASGDEPLWRRPRPRPKMREPWEEEAGALLRRLHQGLYLPGPDLSSAPHAVSPDVVKAAAERFGHDNQVVAKWLSGSDLKKLALFGCPTVERRTVFASKRLRAFFNIQEDKLFKQ >PAN32813 pep chromosome:PHallii_v3.1:5:57671040:57672792:-1 gene:PAHAL_5G514000 transcript:PAN32813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAARRLSSSSTAAAAPKLSSIFRNPKRRSPPTNLSSLFGDRKHPSPPPASGDEPLWRRPRPRPKMREPWEEEAGALLRRLHQGLYLPGPDLSSAPHAVSPDVVKAAAERFGHDNQVVAKWLSGSDLKKLALFGCPTVERRTVFASKRLRAFFNIQEDKICSSCKLRSSCKFVNQEVTRHNKVILSDTMRIISLFVLDAFPKELQVTAELKASICKLLKDTINLSS >PVH37966 pep chromosome:PHallii_v3.1:5:8173305:8176767:1 gene:PAHAL_5G136900 transcript:PVH37966 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MIVCTMESTLLDGLPNEVARQCLARVPFLFHPMLQLVCRSWRESVRSGELLKIRNQIGATEELLCVLAFEPENMWQLYDPLRDKWITLPIMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLHRVWSQRAPMLVARAMFACCALDGKIIVAGGFTNCRKSISKAEIYDPEADTWEPLPDLRQAHSSACSGLVIKGKMHVLHKGLSTVQSLEDGGSQWAVEDFSWLQGPMAMVGGELYVLSNSCIMKQRGENFPDKMVSCASEFQSRIGFGMIGLGDSIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWRPGSPMTHCRGSICGCALLRI >PVH37967 pep chromosome:PHallii_v3.1:5:8203375:8204649:1 gene:PAHAL_5G137300 transcript:PVH37967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCTDSGTLSRRWRCSSIRRRNSPAGTPRIPSPAATTGTVPTPRHPPPPQAPGTQNPGRAARARGTPAYDTATLPRSAQNGRLLSSLPTRNEGMAHVKHQNTARVPFQQRRGPLAAAAAALADETDHVSRVSAAGKSIRVTAERRPARVGGRGHRAPPARRGACTVWQVAFVICATTCIVALRYMAFLSLPSFSVHLVGYDGIGPAAEAVLSPAFNLTLHPRNTCVDRADVTVLYSSVALGWATTEPRDCAGRRWDKAVEAEARGEGVELSERLRDRLSSEWSNGAAEVDVDVNVKVFGGKRDGIDRGGDIPTKVILCKVILDEQQKKPPSSRTWSELRPIF >PAN29626 pep chromosome:PHallii_v3.1:5:15030242:15031321:-1 gene:PAHAL_5G239000 transcript:PAN29626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGGGGNTAGETMGEARQDAGEMITGSIAVEAFGCHVRAKPLGPPMFECPVGHFFVCSSCRDNLPQDKCKFCSGSSTLARSLGMERAVRSILVGCCYADRGCTEKTAYYDKDEHEMACPHAPRFCPGSPAAAASSPGRRRSSWTTAPATTSGRRPSSATVCRLICASSNQARTSSVARDDDDQLFLVNVRPAARPPGHAVSLVCVPPCLEPTGFGCTVSFSCIRLHRGTSTLDDLQPLRLSDWPPTECICVVPKAWQHDGQNDDDGVVLTITIVRAFPLEDDDNPYDMSYIESDEDDSDSS >PAN32126 pep chromosome:PHallii_v3.1:5:54655754:54667370:-1 gene:PAHAL_5G465600 transcript:PAN32126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFATSPFGPFAPSIPQPASSSIDRARSKASCMALLLLLKLVASLLLLLLPGPASADCEPAACGTLTLRYPFWLGSGNQTSSPCGHPAFEVWCSDGRGVAALKGSSIHVLAIDYANSSFLASHSRVAAGDDGVCRTDFNMSVSIALSPFTISPRNRALCFLSKCVGTAPSGDEFVNATSNCSAPIYAYLGGTYYWDSPPAIATGRCTYSYIPVLGREAAAMTAANYSRLLKDGFVLEWEAGGVGDCRACNASGGQCRYDNAAAAFRCLCPDGRRAAGPKCAGGITTREIAGIAAGGVGAGGILLVACLLIVWHKRKRRKQARAPNGFTRSESSMQSYSKDLELGGSPHIFTYEELEEATDGFSDSRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYKRVEQFINEVDILSRLLHQNLVILYGCTSRSSRDLVLVYEYIPNGTVADHLHGPRASEQGLTWPVRMNIAIETAEALAYLHAVEIIHRDVKTNNILLDNSFHVKVADFGLSRLYPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSEINLANMALNRIQNHEVEQLVDPELGYETDSETKRMIDLVAELAFQCLQLERELRPSIKEVVEALNCIKNGDSPEKRVDMKSSPKEDAHLLKNSIQYSPDSVIHRFHSQSTTHSVASNASG >PAN29880 pep chromosome:PHallii_v3.1:5:16280274:16286169:-1 gene:PAHAL_5G256400 transcript:PAN29880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGHCIHWIHGKLWPLLPKHLEEFHVALFRNKKKKNLFVDRLKEMVLALLDESDLDITTDAVEMIVDRTFDQADTKGDGRIDQEEWTAFAKDNPYALRNMTLPYLKDITMVFPSFVVHSEISEADMAA >PAN29884 pep chromosome:PHallii_v3.1:5:16280274:16286169:-1 gene:PAHAL_5G256400 transcript:PAN29884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVPTKYAGRSLHSLDTREAVALAAETSCEKVDLPDFVNIATGTAFTVNEVEALHDLFRKISNLIIKNNLISKEEFHVALFRNKKKKNLFVDRLKEMVLALLDESDLDITTDAVEMIVDRTFDQADTKGDGRIDQEEWTAFAKDNPYALRNMTLPYLKDITMVFPSFVVHSEISEADMAA >PAN29882 pep chromosome:PHallii_v3.1:5:16280758:16285054:-1 gene:PAHAL_5G256400 transcript:PAN29882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVPTKYAGRSLHSLDTREAVALAAETSCEKVDLPDFVNIATGTAFTVNEVEALHDLFRKISNLIIKNNLISKEEFHVALFRNKKKKNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDAPEEQKVAFAFKLYDLRQTGFIERNELKEMVLALLDESDLDITTDAVEMIVDRTFDQADTKGDGRIDQEEWTAFAKDNPYALRNMTLPYLKDITMVFPSFVVHSEISEADMAA >PAN29883 pep chromosome:PHallii_v3.1:5:16280758:16285054:-1 gene:PAHAL_5G256400 transcript:PAN29883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVPTKYAGRSLHSLDTREAVALAAETSFTVNEVEALHDLFRKISNLIIKNNLISKEEFHVALFRNKKKKNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDAPEEQKVAFAFKLYDLRQTGFIERNELKEMVLALLDESDLDITTDAVEMIVDRTFDQADTKGDGRIDQEEWTAFAKDNPYALRNMTLPYLKDITMVFPSFVVHSEISEADMAA >PAN29881 pep chromosome:PHallii_v3.1:5:16280758:16285032:-1 gene:PAHAL_5G256400 transcript:PAN29881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGHCIHWIHGKLWPLLPKHLEEFHVALFRNKKKKNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDAPEEQKVAFAFKLYDLRQTGFIERNELKEMVLALLDESDLDITTDAVEMIVDRTFDQADTKGDGRIDQEEWTAFAKDNPYALRNMTLPYLKDITMVFPSFVVHSEISEADMAA >PVH38828 pep chromosome:PHallii_v3.1:5:43229436:43230331:-1 gene:PAHAL_5G365300 transcript:PVH38828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKPISDRVIFQLKHIVTYPMLPILFFYTKGTNTTANKDPMPNNPSKTYAHYAGSTNNTHDAVDEMQPGMVEDDSDEDIIFEDDDEEDKGYLFAGQKDDADEDDEVDIGDDDLNVIEVPDPHAAVYANVPSETHMLKPVDNCEYCNAKKFESEPPGFCCRSGKIHLSTPDTPLALMRLWTRSDPNARNFRANIRFFNGHFSFTSMYCHLDRMTTNMRNAGAYTFHAHGQIYHNIRSFGK >PAN27657 pep chromosome:PHallii_v3.1:5:5898848:5901152:1 gene:PAHAL_5G095700 transcript:PAN27657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLAKSGLFVGINKGHIVTKRELPLRPSDRKGKATKRVSFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVIRKMRSGGVADKKK >PAN33121 pep chromosome:PHallii_v3.1:5:58831783:58835111:-1 gene:PAHAL_5G532300 transcript:PAN33121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTTSESDVTSMATTSPPRTPKTPKRPAYYVQSPSRDSHDDGDKSSTTHTTPVYNNSPLDSPSHPSTGRHSRISSATRFSGTLRSSSPGSRAGGRKRLSTKGWREITAIDEEGAYDELDEEPELPRCCVVAFWLSALLLAFTVICLSVWGAARQYKPSVVVKSLTVHNFYAGEGTDRTGVPTKLVTLNCSLKINVHNPSTMFGIHVSSSSIRLMYSEIAVANGQFEKFYQPRTSRRVASAILHGEKTPLYGAGATLAPSNAGGRVPLTLELAVRSRGYVMGKLVRVTHARRVRCPVAIDPGSSKPVRFRQSACTHT >PVH39541 pep chromosome:PHallii_v3.1:5:58831783:58833847:-1 gene:PAHAL_5G532300 transcript:PVH39541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTTSESDVTSMATTSPPRTPKTPKRPAYYVQSPSRDSHDDGDKSSTTHTTPVYNNSPLDSPSHPSTGRHSRISSATRFSGTLRSSSPGSRAGGRKRLSTKGWREITAIDEEGAYDELDEEPELPRCCVVAFWLSALLLAFTVICLSVWGAARQYKPSVVVKSLTVHNFYAGEGTDRTGVPTKLVTLNCSLKINVHNPSTMFGIHVSSSSIRLMYSEIAVANGQFEKFYQPRTSRRVASAILHGEKTPLYGAGATLAPSNAGGRVPLTLELAVRSRGYVMGKLVRVTHARRVRCPVAIDPGSSKPVRFRQSACTHT >PVH39513 pep chromosome:PHallii_v3.1:5:58265677:58268068:-1 gene:PAHAL_5G524000 transcript:PVH39513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVTMMSWSVLEYGEQMAAAGELGHALEAVKWGTDYFVKAHPEAEVLYGEVGDGDSDHDCWQRPEDMTTSRQAYRLDPQHPGSELAGETAAAMAAASLVFRSSNSGYANQLLQHSKQLFDFADKYRGRYDSSITVARNYYASSSGYGDELLWAAAWLYQASGDRRYLNYLTNNADALGGTGWSINQFGWDVKYPGVQVLAAMVLLRGKAGGGAHADVLRRYKQKADLFACSCLGRAGANNVRRTPGGMIYHQSWNNVQFVTSASFLLAAYGDHLAAARQAAQCPSGAAAQPAELLAFARSQVDYILGSNPRATSYMVGYGATYPRQAHHRGASIVSIKADPSFVSCQAGYNSWYHRRGSNPNLLVGATVGGPDEYDNFADERDNYEQTEATTYNNAPLMGVLARLAAGHGGGRRFGHSLADEVSATSIKSDNQTSLPSPSLAAAEHASPIEIEQNATASWTERDRMYHRYAVTVTNRSLKTVHELHIGISKLYGKVWGVDKARYGYVLPSWLPSLPAGKSAAFVYIQAAPPADVWVTGYKLL >PAN32945 pep chromosome:PHallii_v3.1:5:58265346:58268709:-1 gene:PAHAL_5G524000 transcript:PAN32945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGGARGVAGRMAVLGLALFVLAAAAQVAAAGHDYGTALSKSILYFEAQRSGRLPGGQRIAWRANSGLLDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGEQMAAAGELGHALEAVKWGTDYFVKAHPEAEVLYGEVGDGDSDHDCWQRPEDMTTSRQAYRLDPQHPGSELAGETAAAMAAASLVFRSSNSGYANQLLQHSKQLFDFADKYRGRYDSSITVARNYYASSSGYGDELLWAAAWLYQASGDRRYLNYLTNNADALGGTGWSINQFGWDVKYPGVQVLAAMVLLRGKAGGGAHADVLRRYKQKADLFACSCLGRAGANNVRRTPGGMIYHQSWNNVQFVTSASFLLAAYGDHLAAARQAAQCPSGAAAQPAELLAFARSQVDYILGSNPRATSYMVGYGATYPRQAHHRGASIVSIKADPSFVSCQAGYNSWYHRRGSNPNLLVGATVGGPDEYDNFADERDNYEQTEATTYNNAPLMGVLARLAAGHGGGRRFGHSLADEVSATSIKSDNQTSLPSPSLAAEHASPIEIEQNATASWTERDRMYHRYAVTVTNRSLKTVHELHIGISKLYGKVWGVDKARYGYVLPSWLPSLPAGKSAAFVYIQAAPPADVWVTGYKLL >PVH39514 pep chromosome:PHallii_v3.1:5:58265677:58268532:-1 gene:PAHAL_5G524000 transcript:PVH39514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGGARGVAGRMAVLGLALFVLAAAAQVAAAGHDYGTALSKSILYFEAQRSGRLPGGQRIAWRANSGLLDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGEQMAAAGELGHALEAVKWGTDYFVKAHPEAEVLYGEVGDGDSDHDCWQRPEDMTTSRQAYRLDPQHPGSELAGETAAAMAAASLVFRSSNSGYANQLLQHSKQLFDFADKYRGRYDSSITVARNYYASSSGYGDELLWAAAWLYQASGDRRYLNYLTNNADALGGTGWSINQFGWDVKYPGVQVLAAMVLLRGKAGGGAHADVLRRYKQKADLFACSCLGRAGANNVRRTPGGMIYHQSWNNVQFVTSASFLLAAYGDHLAAARQAAQCPSGAAAQPAELLAFARSQVDYILGSNPRATSYMVGYGATYPRQAHHRGASIVSIKADPSFVSCQAGYNSWYHRRGSNPNLLVGATVGGPDEYDNFADERDNYEQTEATTYNNAPLMGVLARLAAGHGGGRRFGHSLADEVSATSIKSDNQTSLPSPSLAAAEHASPIEIEQNATASWTERDRMYHRYAVTVTNRSLKTVHELHIGISKLYGKVWGVDKARYGYVLPSWLPSLPAGKSAAFVYIQAAPPADVWVTGYKLL >PVH37831 pep chromosome:PHallii_v3.1:5:6106998:6114639:-1 gene:PAHAL_5G100200 transcript:PVH37831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKVSFWFCQILTIQFFQAADIQGYQNQYGPKAQVAPVLQNQYVNNIAGIPTLHNQYASSAFQHSSANCNQLPLSNQADQQKALHLHVSSSNVSSVNRVSENSQAPCQDSGTSNVHMVNKVQIPINPRIAPSLPIGMPKMDESNLEANSSLKPAYVCVSMPKNDVKAAQEGSEAVMQGSFPVSLRTYVERNLAHCKDDAQRTASRRILKEIITKATADGTLHTKNWDIEPLLNLPEITAGANMTSTGTDLSPFSFSSSRSRPSRRTKSRWEPVAEEKVTNNVEVPKESAKSNICSSLEPTKRTSNSWDLRKFVQSRQVPFSQCSQSTTKKQRTGGGASLTENGNASSDSSKEQDLMKYYSSSITLANSPEEKKRREHRSKRFERSQDVPSKSGSSLPDNDATANIYTGLWCLIMRCLLSRVYETHARLAIQSGDLPEYSQEDSEAVTLNSQLTTCYVSCCTLIAKEICFHRWQACQRKPRKTLLSSMPLKFLLSRLATMFCFSNYTRWHPT >PVH37832 pep chromosome:PHallii_v3.1:5:6108172:6114573:-1 gene:PAHAL_5G100200 transcript:PVH37832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSINQNALYYDPQRDISVSGATQSVTNCEPHVVQSANSYVPCSTSVQHDYNAAQYPNYYYNYLQAQNDSSVQQGVGQHPGSYVGPTSNIYYNSSTHQTAPGSTSNYYYHQNNAWGDGSSVNNHAQSYQSYTPDSNVAQSSSSLPASSVHYQHQYNQWPYYYDQSAQTSGGLAVAGSTASVAKAATIGPDYVHPSNQPPPPGTTSWRSGSGNTVAPPAQAADIQGYQNQYGPKAQVAPVLQNQYVNNIAGIPTLHNQYASSAFQHSSANCNQLPLSNQADQQKALHLHVSSSNVSSVNRVSENSQAPCQDSGTSNVHMVNKVQIPINPRIAPSLPIGMPKMDESNLEANSSLKPAYVCVSMPKNDVKAAQEGSEAVMQVTGSFPVSLRTYVERNLAHCKDDAQRTASRRILKEIITKATADGTLHTKNWDIEPLLNLPEITAGANMTSTGTDLSPFSFSSSRSRPSRRTKSRWEPVAEEKVTNNVEVPKESAKSNICSSLEPTKRTSNSWDLRKFVQSRQVPFSQCSQSTTKKQRTGGGASLTENGNASSDSSKEQDLMKYYSSSITLANSPEEKKRREHRSKRFERSQDVPSKSGSSLPDNDATANIYTGLWCLIMRCLLSRVYETHARLAIQSGDLPEYSQCQSQLKRFYAGGLRGCNLEFSAYNLLCVMLHSNSKRDLLSSMASLPKEAKKDATVKHALEVSAVSSGNYVLFFKLYKVAPNLTSCLMDLYVERMRFEAIKCMSKSYRPTVPIRYAAQVLGFVGIDEVCETNGADGLEEFKKWLKAHGAVLSVDNNGEVQIDTKVSSTSLYMPEPENAVSHGDASLAVDDFLARVS >PAN27703 pep chromosome:PHallii_v3.1:5:6106999:6114639:-1 gene:PAHAL_5G100200 transcript:PAN27703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKVSFWFCQILTIQFFQAADIQGYQNQYGPKAQVAPVLQNQYVNNIAGIPTLHNQYASSAFQHSSANCNQLPLSNQADQQKALHLHVSSSNVSSVNRVSENSQAPCQDSGTSNVHMVNKVQIPINPRIAPSLPIGMPKMDESNLEANSSLKPAYVCVSMPKNDVKAAQEGSEAVMQGSFPVSLRTYVERNLAHCKDDAQRTASRRILKEIITKATADGTLHTKNWDIEPLLNLPEITAGANMTSTGTDLSPFSFSSSRSRPSRRTKSRWEPVAEEKVTNNVEVPKESAKSNICSSLEPTKRTSNSWDLRKFVQSRQVPFSQCSQSTTKKQRTGGGASLTENGNASSDSSKEQDLMKYYSSSITLANSPEEKKRREHRSKRFERSQDVPSKSGSSLPDNDATANIYTGLWCLIMRCLLSRVYETHARLAIQSGDLPEYSQCQSQLKRFYAGGLRGCNLEFSAYNLLCVMLHSNSKRDLLSSMASLPKEAKKDATVKHALEVSAVSSGNYVLFFKLYKVAPNLTSCLMDLYVERMRFEAIKCMSKSYRPTVPIRYAAQVLGFVGIDEVCETNGADGLEEFKKWLKAHGAVLSVDNNGEVQIDTKVSSTSLYMPEPENAVSHGDASLAVDDFLARVS >PVH39349 pep chromosome:PHallii_v3.1:5:55675810:55677439:-1 gene:PAHAL_5G483500 transcript:PVH39349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVPRKRKAEHGGASEARAREGPDLISRLPDCILGTIVSLLRTEDGARTAVLSRRWHPVWRSAPLNLDDGLQLYYDDDLRVQVVSQILAAHGGPTRRLAFRSLPLHTNASIYDAWFRLPQLDALQELVLHFPLVSGYHELPASALRFASSLRVLDISSCNLPAAGWGPPAFPCLTHLSLREVGVSEELLQGMISGSPRIEVMMLDSNFGLRRSGGLEGVRNGSSWMISWLRMLHVWNGCCCIIRPMAHRSANQSFKAMVPVKLGDNFFGVWVLALAMPEPNLKLAIDSLRCFPFIEKLHIEFSNGHRNWEDAGLYDPLTPIECLNRSLKTIELRPYEGLNSHIEFAKFFIKRAKILELMKFGRCIWCATKWIEDHHRKLDIENKASKCAQFSFEHEGDFFSTFWLDGALSEEVCF >PAN26793 pep chromosome:PHallii_v3.1:5:2464665:2467042:1 gene:PAHAL_5G039100 transcript:PAN26793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQASSANPSQLPAGPCALSSSAERARKRPGRCNGHWEMPDSCSTKCLQGKLLTEPTLDPDVLCLGTRLFICAYACVGTRDGEAGYTEHGGWVQRECVMPPSAARPHQEAVAHPCRLCSWTYRRNGYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLKPAYSES >PAN26796 pep chromosome:PHallii_v3.1:5:2464665:2467042:1 gene:PAHAL_5G039100 transcript:PAN26796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQASSANPSQLPAGPCALSSSAERARKRPGRCNGHWEMPDSCSTKCLQGKLLTEPTLDPDVLCLGTRLFICAYACVGTRDGEAGYTEHGGWVQRECVMPPSAARPHQEAVAHPCRLCSWTYRRNGYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLKPAYSES >PAN26790 pep chromosome:PHallii_v3.1:5:2464665:2467042:1 gene:PAHAL_5G039100 transcript:PAN26790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQASSANPSQLPAGPCALSSSAERARKRPGRCNGHWEMPDSCSTKCLQGKLLTEPTLDPDVLCLGTRLFICAYACVGTRDGEAGYTEHGGWVQRECVMPPSAARPHQEAVAHPCRLCSWTYRRNGYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLKPAYSES >PAN26791 pep chromosome:PHallii_v3.1:5:2464665:2467165:1 gene:PAHAL_5G039100 transcript:PAN26791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQASSANPSQLPAGPCALSSSAERARKRPGRCNGHWEMPDSCSTKCLQGKLLTEPTLDPDVLCLGTRLFICAYACVGTRDGEAGYTEHGGWVQRECVMPPSAARPHQEAVAHPCRLCSWTYRRNGYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLKPAYSES >PAN26797 pep chromosome:PHallii_v3.1:5:2464665:2467165:1 gene:PAHAL_5G039100 transcript:PAN26797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQASSANPSQLPAGPCALSSSAERARKRPGRCNGHWEMPDSCSTKCLQGKLLTEPTLDPDVLCLGTRLFICAYACVGTRDGEAGYTEHGGWVQRECVMPPSAARPHQEAVAHPCRLCSWTYRRNGYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLKPAYSES >PAN26795 pep chromosome:PHallii_v3.1:5:2464665:2467165:1 gene:PAHAL_5G039100 transcript:PAN26795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQASSANPSQLPAGPCALSSSAERARKRPGRCNGHWEMPDSCSTKCLQGKLLTEPTLDPDVLCLGTRLFICAYACVGTRDGEAGYTEHGGWVQRECVMPPSAARPHQEAVAHPCRLCSWTYRRNGYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLKPAYSES >PAN26792 pep chromosome:PHallii_v3.1:5:2464665:2467042:1 gene:PAHAL_5G039100 transcript:PAN26792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQASSANPSQLPAGPCALSSSAERARKRPGRCNGHWEMPDSCSTKCLQGKLLTEPTLDPDVLCLGTRLFICAYACVGTRDGEAGYTEHGGWVQRECVMPPSAARPHQEAVAHPCRLCSWTYRRNGYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLKPAYSES >PAN26794 pep chromosome:PHallii_v3.1:5:2464665:2467042:1 gene:PAHAL_5G039100 transcript:PAN26794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQASSANPSQLPAGPCALSSSAERARKRPGRCNGHWEMPDSCSTKCLQGKLLTEPTLDPDVLCLGTRLFICAYACVGTRDGEAGYTEHGGWVQRECVMPPSAARPHQEAVAHPCRLCSWTYRRNGYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLKPAYSES >PVH37437 pep chromosome:PHallii_v3.1:5:135867:138592:-1 gene:PAHAL_5G001700 transcript:PVH37437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMAAAAAAAKSPPSPTASSRPQPRAAFLSPSLALRPRRASLLATPAPDATPAPDAATNPFAVLLQVPRTLWRRTLHPLGDYGFGTRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYNTIFEFTQACGICVGTPVRIRGVTVGSVVRVDSSLRSIDAFVEVEDDKIIIPRNSVVEVNQSGLLMETLIDITPKDPLPAPSLGPLHPDCTKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEQIGVTKSYKLAEKVASIMEEAQPLLTRIEALAEEIQPMLSEVRDSDLLKDVETITKGLADASGDLRRLKSSMLTAENTDLIKQSIFTLIFTLKNIESISSDISGFTGDETTRRDIKLLIKSLSRLL >PAN28729 pep chromosome:PHallii_v3.1:5:10559545:10560611:1 gene:PAHAL_5G175000 transcript:PAN28729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTLGRRSRSVVLGCGLAVAILALGCLPSGGFAMGLPRPQPNLNFTIGVEGVVWCKGCRYAGYIQSRDTSPLRNASALLRCRHGRRALSVWGATNSRGYFLIQTGAQAAPFTSKDCRMYVPRSPARGCAVPASPARMKGLPLRFRRFVTRPDGLQGRYVAGGFTFAPQDRSKC >PAN29432 pep chromosome:PHallii_v3.1:5:13917427:13919909:-1 gene:PAHAL_5G225900 transcript:PAN29432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPQNTSWPFPSVNWPSRRLSATRGRGVIRLVPILSSRARLQQRRERPKTSPKEGKAMGSSPSGGGRARLLFPMVVAAAAAALAGAAPAPAPACYARVFSFGDSLADTGNRRFVYPNDSDPVLRLPYGETFFDRATGRFSDGRIVLDFLADALGLPFVRPYLSGRRAEDFARGANFAVGGATALAPEFFRDRGFNMSNVVHLDMEMKWFRDMIGLLCPDGIAGCSDVMNQSLFLVGEIGGNDYNLPLLERMPLDVVIAFAPIVIAKISSTITELIGLGAKTLVVPGNLPIGCIPNYMFVFRSDSKEDYEAETGCIGWLNEFARYHNRLLIEELERLRELHPGVTIIYADYYGAAMEVFVSPQQYGIEHPLVACCGGGHPHGVGASARCGRGKYSLCRNPEKYGSWDGLHPSEALYRAIATGLLRGSYTQPPIPTTANSCTPLTELGYSTEYKPL >PAN30055 pep chromosome:PHallii_v3.1:5:17614579:17618317:-1 gene:PAHAL_5G269900 transcript:PAN30055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFGFRLSSSDMRSESSLSLGERLCAVFFPFVAIAEAIFFALTDCLADLCPGSDSSASRRYGAAASSSAATLFAAKNKSYHRRHHYRPMLRRDGWTSLDLRQLARLAADSRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQMALFKTPSGENLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPTEDKIKFSFRLYDLRQTGFIEREEVKQMVIAILMESGVKLSDDLLETIIDKTFEDADADRDGKINQEEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFIFNTAVED >PAN30056 pep chromosome:PHallii_v3.1:5:17615388:17617824:-1 gene:PAHAL_5G269900 transcript:PAN30056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFGFRLSSSDMRSESSLSLGERLCAVFFPFVAIAEAIFFALTDCLADLCPGSDSSASRRYGAAASSSAATLFAAKNKSYHRRHHYRPMLRRDGWTSLDLRQLARLAADSRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQMALFKTPSGENLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPTEDKIKFSFRLYDLRQTGFIEREEVKQMVIAILMESGVKLSDDLLETIIDKTFEDADADRDGKINQEEWKEFVLRHPNLLKNMTLPYLSRIHS >PAN30057 pep chromosome:PHallii_v3.1:5:17614579:17618316:-1 gene:PAHAL_5G269900 transcript:PAN30057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFGFRLSSSDMRSESSLSLGERLCAVFFPFVAIAEAIFFALTDCLADLCPGSDSSASRRYGAAASSSAATLFAAKNKSYHRRHHYRPMLRRDGWTSLDLRQLARLAADSRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQMALFKTPSGENLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPTEDKIKFSFRLYDLRQTGFIEREEVKQMVIAILMESGVKLSDDLLETIIDKTFEDADADRDGKINQEEWKEFVLRHPNLLKNMTLPYLRIHS >PAN27952 pep chromosome:PHallii_v3.1:5:7244405:7247473:1 gene:PAHAL_5G120300 transcript:PAN27952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRDRNAAAAAAPQLHHHNRGGVPALGKQKAVAAGRADAMNRRAPLGDIGNFVSVRATEGKPQEQVNRPVTRSFGAQLVKNAQANAAAVKQIAPARPAPRLERKAPSKPPPPEHVIEISSDSDQSNRQSERSASSVRSRKKVINTLTSVLSARSKAACGITDKPREVIEDIDKLDANNELAVVDYIEDIYTFYKAAQHESRPCDYIEAQVEINSKMRAILADWIIEVHHKFELMPETLYLAMYIVDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYSREQILSMEKGILNRLEWNLTVPTSYMFLVRFIKAASSGIKTDKEMENMVFFFAELSLMQYGLVTHLPSMVAASAVYAARLTLKRAPLWSDTLKHHTGFRESDLMECTKMLVSAHLTAPESKLRVVYKKYSGEQYGGVALRPPAEEICK >PVH37917 pep chromosome:PHallii_v3.1:5:7244405:7247473:1 gene:PAHAL_5G120300 transcript:PVH37917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRDRNAAAAAAPQLHHHNRGGVPALGKQKAVAAGRADAMNRRAPLGDIGNFVSVRATEGKPQEQVNRPVTRSFGAQLVKNAQANAAAVKIAPARPAPRLERKAPSKPPPPEHVIEISSDSDQSNRQSERSASSVRSRKKVINTLTSVLSARSKAACGITDKPREVIEDIDKLDANNELAVVDYIEDIYTFYKAAQHESRPCDYIEAQVEINSKMRAILADWIIEVHHKFELMPETLYLAMYIVDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYSREQILSMEKGILNRLEWNLTVPTSYMFLVRFIKAASSGIKTDKEMENMVFFFAELSLMQYGLVTHLPSMVAASAVYAARLTLKRAPLWSDTLKHHTGFRESDLMECTKMLVSAHLTAPESKLRVVYKKYSGEQYGGVALRPPAEEICK >PVH38163 pep chromosome:PHallii_v3.1:5:11567868:11570894:1 gene:PAHAL_5G191600 transcript:PVH38163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINGAVLPRHAAAAAGALTSDARVSGHLQLLRRVRLRGRACGLQADRDSLPRRFFGPPSPRRHGRSDWGGWPARCSYGSSSDGDGAAAANFDASGEEFVDSSVIEAVELRSVSDGFEIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKVEDGSDLLLPIIVMETPSIMLLAALRNIQIPRPTIYNVVMEMTKRMGYEVRLVRITEMVHDAYYSRLYLAKVGNEEDTISFDLKPSDAINIAFRCKVPIQVNRHIAYNNGLKVVQPKAEESYVGSDDIQITRLDRPDDQPCGEAQEFDLVRNMLIAAVEERYKDAVQRSAFHVAVKEKERSLAGHAS >PAN28982 pep chromosome:PHallii_v3.1:5:11567892:11570894:1 gene:PAHAL_5G191600 transcript:PAN28982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINGAVLPRHAAAAAGALTSDARVSGHLQLLRRVRLRGRACGLQADRDSLPRRFFGPPSPRRHGRSDWGGWPARCSYGSSSDGDGAAAANFDASGEEFVDSSVIEAVELRSVSDGFEIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKVEDGSDLLLPIIVMETPSIMLLAALRNIQIPRPTIYNVVMEMTKRMGYEVRLVRITEMVHDAYYSRLYLAKVGNEEDTISFDLKPSDAINIAFRCKVPIQVNRHIAYNNGLKVVQPKAEESYVGSDDIQITRLDRPDDQPCGEAQEFDLVRNMLIAAVEERYKDAAQYRDQLFMLRSKKKNAV >PAN28981 pep chromosome:PHallii_v3.1:5:11568200:11570537:1 gene:PAHAL_5G191600 transcript:PAN28981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINGAVLPRHAAAAAGALTSDARVSGHLQLLRRVRLRGRACGLQADRDSLPRRFFGPPSPRRHGRSDWGGWPARCSYGSSSDGDGAAAANFDASGEEFVDSSVIEAVELRSVSDGFEIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKVEDGSDLLLPIIVMETPSIMLLAALRNIQIPRPTIYNVVMEMTKRMGYEVRLVRITEMVHDAYYSRLYLAKVGNEEDTISFDLKPSDAINIAFRCKVPIQVNRHIAYNNGLKVVQPKAEESYVGSDDIQITRLDRPDDQPCGEAQEFDLVRNMLIAAVEERYKDAAQYRDQLFMLRSKKKNAV >PVH39390 pep chromosome:PHallii_v3.1:5:56082319:56083070:1 gene:PAHAL_5G490700 transcript:PVH39390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDLNDGEKNGRHALYQQKYRSRRVSKIIQMVAASHQQLTSAETAEQAIK >PAN27072 pep chromosome:PHallii_v3.1:5:3599594:3608858:1 gene:PAHAL_5G056800 transcript:PAN27072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) UniProtKB/Swiss-Prot;Acc:Q8W1Y0] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPDVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDTDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGSSSHIGLDLEEELMLNKDHSIHLESDDGIIIQGRPSVPSTDMDIDDNQSKDETAGRYSNMDGGPSSRGKQSPLNDDLGGNSIPNWTGYNVQTPDLNDMLLHNEGIAGPSASYYQPSPFPCDEPASPEFISAQAPATPGLMGETVPSRVHESPVLSPQRKASPSNDETAKADTPAAPASDFPHSATVNAGDVVGSEVNELGLAKPAQVESSVVMQDDDALMQQHTSEDLPSQGQTSNFEAGDKLIGPDDVAASGETVTVNATVVDVPSAVNDSELCVDGSTEPSVMENPTQINGQLVDAQDFQHEVQAVQQEVASNDRPTELTSEFAEPEKMLSAPDAEFNPANDLGQITAEKGTTESDGSNKIGSLTSRKRHLEDSLPALESETTERLSSRPRGKRTTDFVPDDDDLLASILVGRRTPGLTLGSTPLPPRASSLKRPRLVSKAGTLKRKVQIDDAMVLHADTIRQQLINTEDIRRIRKKAPCTRSEIWMIEKGSLEDDIFHEPIFSCLSEELNNLHNRTYETIVHLTVQNMELQGQLDMSETIAEDSNIVGTSGAATIDDPLHIPDRIDAVPPNANDEDGATAAFGLQVPSDNQVNGVSNDFVSDTLFEGVTEPLIDNEKEVAVADREHAQVDTLDNDRLQDVPSDLQRSTDAKGSTPDVVLDSSGKAYAQAADGMTQEFNHFVHSDANLFENNEVPTSEITGVECNQDASGFLQPTEDENAVSAMGDNSGFQENNMGSLMDLDMVNDYGLKECNDFGSAIHGVDTDFLNYDDDGDFDDANNDEQNPDEFQSLDNSGWSSRTRGVARYLKTLFDEESGPGRKSVAIDHLVRGKTRKEASRMFFETLVLTTKDYISVDQPNPFDFVSIKPGPKLLKSEF >PVH38153 pep chromosome:PHallii_v3.1:5:11253251:11255221:1 gene:PAHAL_5G186400 transcript:PVH38153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDVFDGLPMYVEEDQEENEAEKPKRRQQSRKPPPPRVTPEELARREFNEAMGRKLFEYDPKLGGSYYTRIISSDVGSPIGVYGTVIIRDSLDMKCNYIFRRDRDNCQHISSHVCARGESLILTGPSRGVVFLGNAFFEIDLKIREGRECDDKQFNEALIDVVGSRISSVVQRETVDSWRSEVELIFAYVEKALEGTIEIKILSGPESFCGKITARTTDVSSHMLLYDSDVHGAITVGDDRVIQLLRRVVSVSEDKMLEFNIWTTSSDQNANTTHRHIEFTPLMKGSERDAITCGLYNLQIKVV >PAN28920 pep chromosome:PHallii_v3.1:5:11253254:11255221:1 gene:PAHAL_5G186400 transcript:PAN28920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYTDSLIREGHELTDSLNMLCVKIISSDVGSPIGVYGTVIIRDSLDMKCNYIFRRDRDNCQHISSHGESLILTGPSRGVVFLGNAFFEIDLKIREGRECDDKQFNEALIDVVGSRISSVVQRETVDSWRSEVELIFAYVEKALEGTIEIKILSGPESFCGKITARTTDVSSHMLLYDSDVHGAITVGDDRVIQLLRRVVSVSEDKMLEFNIWTTSSDQNANTTHRHIEFTPLMKGSERDAITCGLYNLQIKVV >PAN28922 pep chromosome:PHallii_v3.1:5:11253251:11255221:1 gene:PAHAL_5G186400 transcript:PAN28922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDVFDGLPMYVEEDQEENEAEKPKRRQQSRKPPPPRVTPEELARREFNEAMGRKLFEYDPKLGGSYYTRIISSDVGSPIGVYGTVIIRDSLDMKCNYIFRRDRDNCQHISSHGESLILTGPSRGVVFLGNAFFEIDLKIREGRECDDKQFNEALIDVVGSRISSVVQRETVDSWRSEVELIFAYVEKALEGTIEIKILSGPESFCGKITARTTDVSSHMLLYDSDVHGAITVGDDRVIQLLRRVVSVSEDKMLEFNIWTTSSDQNANTTHRHIEFTPLMKGSERDAITCGLYNLQIKVV >PVH38152 pep chromosome:PHallii_v3.1:5:11253746:11255043:1 gene:PAHAL_5G186400 transcript:PVH38152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYTDSLIREGHELTDSLNMLCVKIISSDVGSPIGVYGTVIIRDSLDMKCNYIFRRDRDNCQHISSHVCARGESLILTGPSRGVVFLGNAFFEIDLKIREGRECDDKQFNEALIDVVGSRISSVVQRETVDSWRSEVELIFAYVEKALEGTIEIKILSGPESFCGKITARTTDVSSHMLLYDSDVHGAITVGDDRVIQLLRRVVSVSEDKMLEFNIWTTSSDQNANTTHRHIEFTPLMKGSERDAITCGLYNLQIKVV >PAN28921 pep chromosome:PHallii_v3.1:5:11253746:11255043:1 gene:PAHAL_5G186400 transcript:PAN28921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYTDSLIREGHELTDSLNMLCVKIISSDVGSPIGVYGTVIIRDSLDMKCNYIFRRDRDNCQHISSHGESLILTGPSRGVVFLGNAFFEIDLKIREGRECDDKQFNEALIDVVGSRISSVVQRETVDSWRSEVELIFAYVEKALEGTIEIKILSGPESFCGKITARTTDVSSHMLLYDSDVHGAITVGDDRVIQLLRRVVSVSEDKMLEFNIWTTSSDQNANTTHRHIEFTPLMKGSERDAITCGLYNLQIKVV >PVH38371 pep chromosome:PHallii_v3.1:5:15616080:15616599:1 gene:PAHAL_5G246600 transcript:PVH38371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDFLAIIRCASGLRHERGRPCLSSGATNLTSPSRKKKKNLTSSFPEYLLESGLGGYCALENSF >PAN27515 pep chromosome:PHallii_v3.1:5:5333165:5334393:-1 gene:PAHAL_5G086000 transcript:PAN27515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKGSECSTRISPAEVGSATPMIPLASRSGRRAAPDRERPRQGRGACGTRLLRSARWTAARFYRRARVSIVRAFRSASTTTRKTAAAAASVASPDCTPARHSSRRQQPAAAPPVVVDDSHKSEAVEECIRFMNSSSRKYR >PVH38307 pep chromosome:PHallii_v3.1:5:13798104:13799403:-1 gene:PAHAL_5G223800 transcript:PVH38307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVRGRGRERPIRRHPLGERGRVPPVGARGGQAGGLLARHLPGGPALGHLPGPRPRRGRVHVAGAEPEPGPVRVPRALRGALLPELRAPGGHQRLHGGDAARRVPDPVPARARHPQRRGRGAVRAGPRSGARVPGGGRRAQGRRPGARRVGAAGEGQGPPAAVRGLLQAGAAPPERVPAHRRQGALGEPVHGPGPQRQGARRRAAGEAEGVLQRAGRFRGPDAAAAGAGPDADGGDAVREAGGGDAVPEHQGQHPGGRRVRAHVRAQRGVAAGEPGGGGGGGRAPRQAAGARVPGVRQVHVRGHQDGARVREALPLRQERDLLRLPRRVRLISPPNVTYCACVLLFYFSNNPKNVISNGVLLSFLQ >PVH38049 pep chromosome:PHallii_v3.1:5:9718589:9726341:-1 gene:PAHAL_5G161600 transcript:PVH38049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVNTPSQELALTNCAFVSAADLRRFPNYIALVGDALVLTLRAHDAIASGRIALNGIQRRQAKVSAGDYVTVSSFVPPDDFKLALLTMELSYVKAKANQEQLDAVLLAQQLRKRFLDQVMTTGQKVTFEFCGTNYIFTVNQAMLEGQESSTPLDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHIVSRLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFSDAENEQRTRGDESDLHVIIFDEIDAICKSRGSSRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRFQILQIHTNKMKQSSFLSPDVNLLELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNGLQEITPAFGASMDNLERCRLRGIVDCGKPHKHIYQRAMLLVEQVKVSRGSPLVTCLLEGPAGSGKSATAATVGIDSDFAYVKVISAETMIGFSESSKCAQICKVFEDAYRSPLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDAFSATYHVPKLKKEDAKKVLQHLNVFNEGDIDAAAEALDDMPLKKLYTLVEMAAQGRTGGSAEAIYAGKEKIDIDHFFSILGDIIRY >PAN30588 pep chromosome:PHallii_v3.1:5:32510390:32510683:-1 gene:PAHAL_5G327300 transcript:PAN30588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLIKTLCFSKSHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSSIVWLISLIPQ >PVH38796 pep chromosome:PHallii_v3.1:5:39673221:39674065:1 gene:PAHAL_5G354400 transcript:PVH38796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQQPASMLPSIHGVESWVAAAAAARCPQSIALSAEELQARGRGVAPEHTGSLSRTHAPRAAPVLPLPHPLPAHGGSGDGGGRRARGGSRGVPNPVDKVRAAALLPCHAPPLLIHSHRRPMCLGTRRASNNLDRVASFHREKRSAQAILRFGDGHGLPPHLHLDRRREEGGGAGAEPRRRRAHPLRPRHPPRAPLHQRPHLRLLLRPPLCRPRRRPAPALALHPLPSLQPPRRLRPRGRFPLDPPHPRQHQQPIRPPLRPPRQHPRRAQPPHR >PVH38227 pep chromosome:PHallii_v3.1:5:12364469:12366398:1 gene:PAHAL_5G203500 transcript:PVH38227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEYHLSARYCSHNGWTKEGWNCMATRLNNKYPVSKFSVTRIKNREQRLKKDHNILEPEIKMVTTIGEKWNELSEGQQKWRNRMFPYYDDLYDIYDDKDGMNTNDTNSTYGDPTSVPENSQNPSTNQKEDRLENSAPSGMSQHAKSAEKGPDKNRLRKNMDVVLESLDLKSKQIESYKQVKLAQMERNNPNNDPYCMAKCDAKLQELDILPSPELLKTINYLKKDKLNREIFMTLKVKIILQCILQHSRV >PVH38327 pep chromosome:PHallii_v3.1:5:14353538:14357906:-1 gene:PAHAL_5G230600 transcript:PVH38327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPTSAGGDAGFVRADQIDLKSLDEQLERHLGRPAERGVGLASGTGNRRGDSARLGPEELTPLRRCREDWEIDPAKLIIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEIAALRAAFAQEVAVWHKLDHPNVTKNRRRKLAFKVVVQIALDLARGLCYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHAYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPTDQTQGCLSCFRRYRGP >PAN29503 pep chromosome:PHallii_v3.1:5:14353539:14357906:-1 gene:PAHAL_5G230600 transcript:PAN29503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPTSAGGDAGFVRADQIDLKSLDEQLERHLGRPAERGVGLASGTGNRRGDSARLGPEELTPLRRCREDWEIDPAKLIIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEIAALRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNVQTEHGHLGMPSNICCVVVEYLPGGALKNFLIKNRRRKLAFKVVVQIALDLARGLCYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHAYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPTDQTQGCLSCFRRYRGP >PAN29917 pep chromosome:PHallii_v3.1:5:16677654:16683788:-1 gene:PAHAL_5G259600 transcript:PAN29917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPAPDTQPEQPLAAAAAAAAPAADAAADAAPVEKPPAAPLTPEPDAAAGPDEVEEDEEYVSDPDDAPLPTMRRREASDDEGSEDGRPRARIGPDQDDDGQGAPEAYDEEVDEEDEEYYDEEEEEVGEGFEEYEGRAAPPAEDGGGGGGGQESRGEDGVAGEEGLAEGEAKGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNLQDDRYEDQRMSRGRFRGRGGRGKARGGGRGFPRGGKNRNFHEDGNTQNRPPKVVRGRGPRRYEAVARNSREVVGSQRKQAARFREPTPNASAARDSGQVSHAQQEAAPPKKNVINSSLNSASPPFYPSGASNQDFPVPAQRRDIQTGGSNKVLHSSMKMDDNSKLQSGPMARGRTAMDYGGRDRFHADGPVRSSPGRAPTASLNSGFTSSSANPGQSPILRASGGNSNAGISSNNQPTSSLHQMPRISTQMQSHAPVMHPKSGQLPNQSAARIPSQPLNNRTSNSSPAAQHLPVKSTESGENGSYPGQNNSKAPSAVVKANNQEAGMGSFMYGGTQVIGAAGLSQGDQNFPGTPALLPVMQFGGQHPGGLGVPTVGMALPGYVAQQQMGMGNNEMAWLPLLAGAAGAFGGSYPPYIALDPSFYSRPSGQTSSSVPSRESSANRGSKSPPRNDIGNEELDQRQNKPRRYSEMNFSQ >PAN29916 pep chromosome:PHallii_v3.1:5:16676727:16683788:-1 gene:PAHAL_5G259600 transcript:PAN29916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPAPDTQPEQPLAAAAAAAAPAADAAADAAPVEKPPAAPLTPEPDAAAGPDEVEEDEEYVSDPDDAPLPTMRRREASDDEGSEDGRPRARIGPDQDDDGQGAPEAYDEEVDEEDEEYYDEEEEEVGEGFEEYEGRAAPPAEDGGGGGGGQESRGEDGVAGEEGLAEGEAKGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNLQDDRYEDQRMSRGRFRGRGGRGKARGGGRGFPRGGKNRNFHEDGNTQNRPPKVVRGRGPRRYEAVARNSREVVGSQRKQAARFREPTPNASAARDSGQVSHAQQEAAPPKKNVINSSLNSASPPFYPSGASNQDFPVPAQRRDIQTGGSNKVLHSSMKMDDNSKLQSGPMARGRTAMDYGGRDRFHADGPVRSSPGRAPTASLNSGFTSSSANPGQSPILRASGGNSNAGISSNNQPTSSLHQMPRISTQMQSHAPVMHPKSGQLPNQSAARIPSQPLNNRTSNSSPAAQHLPVKSTESGENGSYPGQNNSKAPSAVVKANNQEAGMGSFMYGGTQVIGAAGLSQGDQNFPGTPALLPVMQFGGQHPGGLGVPTVGMALPGYVAQQQMGMGNNEMAWLPLLAGAAGAFGGSYPPYIALDPSFYSRPSGQTSSSVPSRESSANRGSKSPPRNDIGNEELDQRQNKPRRYSEMNFSQ >PAN30729 pep chromosome:PHallii_v3.1:5:46590618:46591034:1 gene:PAHAL_5G376700 transcript:PAN30729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQIILHHVSNISSYKILSDAQTRRSINMPRRKRHQVSDYGSIYQVSKIITSSLSQREVHLQYQNLKVCLCIMSAKHICKRNALSIHSRRPYINSARPKSIKLSS >PAN32156 pep chromosome:PHallii_v3.1:5:54788174:54794982:1 gene:PAHAL_5G468000 transcript:PAN32156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPLLLLLLAGAAARASDDPFLSGAANHSYNIDCGGAADFTSSFGRRWLADQFFSPGGAAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRIFSVYDNYDSKARTPSFDVSAAATLVLSFRSPWPEPAARSGAYSDLIFPSATEPASDVCFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLVLVNYGRVTCGNSLFGPGFTKDPDAFARVWQADVDFRNNDLKYDAITAGGRKIFGSNQPPNYFPTKLYESAVTTGGDASNEIEYLMPVDTRLSYMVWLHFAEIDAGIGAAGQRVFDVMLAGENVTRIDIFKQVGGFTAFKWTYVVENLTTSTLSVKLVPVVGRPILCGLENYAMVPLEMRTVPSQVAAMKALKESLKIPARMGWNGDPCAPRAWDAWEGVTCHRGDRGLVITQLDLASQGLKGFITEEISHLKDLVSLNLSYNSLTGSLPPGLGQPSLVSLDLSSNEFTGSIPGTIGSSKLQTALLNNNQLDGQVPERLYSIGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNKTGKIALGASFGFVLLVILIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHSPEGFYTNGSPH >PAN30065 pep chromosome:PHallii_v3.1:5:17565544:17570863:1 gene:PAHAL_5G269400 transcript:PAN30065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPPPPPPHGLCFGGASWTWGIGEQGPSTDGMEGSGGGGGAGLRFLLQFDPEKPGLDRTLLGVSLSTLLTRLLGWSKDGGRGRGSLAIAGEEAGGIGGGGSGNAAVSAAALAATVSLCLAAVYASDQRPRRAPPPPPPRRRRRPLPAPDSAARPRALPAPDDGLRILSSNDESLENVIHGASVGAGDDEPDIIARVVIEATPAEIAGANADDGTEPDGSEEEERQELERQREEHERLRELWLSLLEREQRLELRLQELEGLREQEATVRELEGRVAAAATEERLLQLKVSTLQEENGRLRAQLEELGTARGELARAKEKLRAIKARVQAEEEEAAALRAKVAELERGGAETAGALAAEIAELRKANAALEEENLELALRLQDAQQDGSSVNPALEEDMAEEARYLRESNERLTRQIEQLHNDHCAHVEELVYLKWVNACLRHELRDHDGRPSTEQPDHDDDGGGAGAGDLSALELSRSMSFRSSERAKQLMLRYGHPGLEGFDPALFSPLHESVDGDARSPARSYYEPDRSPYAMSEKTMAASVSAGAATPGKKAGPRKLKFLGNIKKLLPGSKRGHSSHGHAGRDSSRKAPATPSDEYLDKAMQWLSTHDVLDGDHSYESTPLSSCARTPLSSVTTATTADSRARGGGHSERGEATRRPEAEPTMARSKSDAGRSYGREASRYHALRPDHPASGGVEPDGFRAPEKREPRRRSEELRNPAAA >PAN30064 pep chromosome:PHallii_v3.1:5:17565544:17570863:1 gene:PAHAL_5G269400 transcript:PAN30064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPPPPPPHGLCFGGASWTWGIGEQGPSTDGMEGSGGGGGAGLRFLLQFDPEKPGLDRTLLGVSLSTLLTRLLGWSKDGGRGRGSLAIAGEEAGGIGGGGSGNAAVSAAALAATVSLCLAAVYASDQRPRRAPPPPPPRRRRRPLPAPDSVDFRAAARPRALPAPDDGLRILSSNDESLENVIHGASVGAGDDEPDIIARVVIEATPAEIAGANADDGTEPDGSEEEERQELERQREEHERLRELWLSLLEREQRLELRLQELEGLREQEATVRELEGRVAAAATEERLLQLKVSTLQEENGRLRAQLEELGTARGELARAKEKLRAIKARVQAEEEEAAALRAKVAELERGGAETAGALAAEIAELRKANAALEEENLELALRLQDAQQDGSSVNPALEEDMAEEARYLRESNERLTRQIEQLHNDHCAHVEELVYLKWVNACLRHELRDHDGRPSTEQPDHDDDGGGAGAGDLSALELSRSMSFRSSERAKQLMLRYGHPGLEGFDPALFSPLHESVDGDARSPARSYYEPDRSPYAMSEKTMAASVSAGAATPGKKAGPRKLKFLGNIKKLLPGSKRGHSSHGHAGRDSSRKAPATPSDEYLDKAMQWLSTHDVLDGDHSYESTPLSSCARTPLSSVTTATTADSRARGGGHSERGEATRRPEAEPTMARSKSDAGRSYGREASRYHALRPDHPASGGVEPDGFRAPEKREPRRRSEELRNPAAA >PVH37851 pep chromosome:PHallii_v3.1:5:6341341:6345700:1 gene:PAHAL_5G104300 transcript:PVH37851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSCSVCKEAPSKYKCPSCRTPYCSVTCFKKHKEESCQKTLVQDENSKSPLQEEVTRSSGLVEDGTKCPNDKDQHPSLSPNTTCPAKSPNTVCPIKALEVEDPSWLVDNNRLRSLAEVKEIRDALRDPELQKMILKIDGSSEPEKELEKVMEGQAFRQFTDKILDIVSPQE >PVH37850 pep chromosome:PHallii_v3.1:5:6341341:6345700:1 gene:PAHAL_5G104300 transcript:PVH37850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSCSVCKEAPSKYKCPSCRTPYCSVTCFKKHKEESCQKTLVQDENSKSPLQEEVTRSSGLVEDGTKCPNDKDQHPSLSEVKEIRDALRDPELQKMILKIDGSSEPEKELEKVMEGQAFRQFTDKILDIVSPQE >PAN32607 pep chromosome:PHallii_v3.1:5:56670710:56674292:1 gene:PAHAL_5G499600 transcript:PAN32607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADPPPRGGAAAPAPVLRRSPSIERIPEDARRILLRLAGELWGGDVDPGALAVSQLKGAMTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSTADLRDPEISALIAKKLREFHDLDMPGPKDVSLWQRLRRWLEQARVRCSEEESKQFQLNKLGDEIALLEKALSGVIQSVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTSTPHVLDFAKYPDIEEQRRFVQTYLSSSGETPSDEEVENLLGLIAKYTLASHIFWGLWGIISAHVNKNIDFEYMEYARQRFDQYWQTKPRILGSN >PVH39429 pep chromosome:PHallii_v3.1:5:56670710:56674292:1 gene:PAHAL_5G499600 transcript:PVH39429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADPPPRGGAAAPAPVLRRSPSIERIPEDARRILLRLAGELWGGDVDPGALAVSQLKGAMTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSTADLRDPEISALIAKKLREFHDLDMPGPKDVSLWQRLRWLEQARVRCSEEESKQFQLNKLGDEIALLEKALSGVIQSVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTSTPHVLDFAKYPDIEEQRRFVQTYLSSSGETPSDEEVENLLGLIAKYTLASHIFWGLWGIISAHVNKNIDFEYMEYARQRFDQYWQTKPRILGSN >PVH39430 pep chromosome:PHallii_v3.1:5:56670608:56674292:1 gene:PAHAL_5G499600 transcript:PVH39430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVTQARWSALPPRLRLPPPAGADGVAAAASSSSCRYRRRLLLLLRPPMAAADPPPRGGAAAPAPVLRRSPSIERIPEDARRILLRLAGELWGGDVDPGALAVSQLKGAMTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSTADLRDPEISALIAKKLREFHDLDMPGPKDVSLWQRLRWLEQARVRCSEEESKQFQLNKLGDEIALLEKALSGVIQSVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTSTPHVLDFAKYPDIEEQRRFVQTYLSSSGETPSDEEVENLLGLIAKYTLASHIFWGLWGIISAHVNKNIDFEYMEYARQRFDQYWQTKPRILGSN >PAN32608 pep chromosome:PHallii_v3.1:5:56670608:56674292:1 gene:PAHAL_5G499600 transcript:PAN32608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVTQARWSALPPRLRLPPPAGADGVAAAASSSSCRYRRRLLLLLRPPMAAADPPPRGGAAAPAPVLRRSPSIERIPEDARRILLRLAGELWGGDVDPGALAVSQLKGAMTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSTADLRDPEISALIAKKLREFHDLDMPGPKDVSLWQRLRRWLEQARVRCSEEESKQFQLNKLGDEIALLEKALSGVIQSVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTSTPHVLDFAKYPDIEEQRRFVQTYLSSSGETPSDEEVENLLGLIAKYTLASHIFWGLWGIISAHVNKNIDFEYMEYARQRFDQYWQTKPRILGSN >PAN29876 pep chromosome:PHallii_v3.1:5:16264307:16266345:-1 gene:PAHAL_5G256200 transcript:PAN29876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAVLLLPLLLAASSNAAALAKPVEYHSFAATPLSPHPYTAPAAGADEDVFGGSLAASAAAGEEAPAVRFRVVHRDAFAVNATAAELLRHRLRRDRRRAARISQAAAGGAAANGTRAAGGGGVAAPVVSGLAQGSGEYFTKIGVGTPATPALMVLDTGSDVVWLQCAPCRRCYDQSGPVFDPRRSSSYGAVDCAAPLCRRLDSGGCDLRRRACLYQVAYGDGSVTAGDFATETLTFAGGARVARVALGCGHDNEGLFVAAAGLLGLGRGSLSFPTQISRRYGQSFSYCLVDRISSSSSSSRSSTVTFGPGAVAPSASASFTPMVRNPRMETFYYVQLVGISVGGARVPGVAESDLRLDPSTGRGGVIVDSGTSVTRLARPAYSALRDAFRGAAAGLRLSPGGFSLFDTCYDLGGRKVVKVPTVSMHFAGGAEAALPPENYLIPVDSRGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRVGFAPKGC >PVH38297 pep chromosome:PHallii_v3.1:5:13636086:13637706:1 gene:PAHAL_5G221900 transcript:PVH38297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQVSGKEEELVFATWDCGSPLYDSFELASLHHVLESHLMVLPFPGAAAASRSRRGEKEEGVEGEQGRGGDIQGCYVLEEVVGTHAIPCHLRSLLPNLLRKSGESAAILRTSICSCASRSPSRTGAGIPTVALTTCKLLRTLPARNRRRGGQPLIAARRASTRASCRVPASPARRAFASASLLPMAVLAA >PAN30460 pep chromosome:PHallii_v3.1:5:19968115:19971155:-1 gene:PAHAL_5G283200 transcript:PAN30460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAGGEDGQLKVLGAWPSPFVNRVRMALHLKGLEYENVEEDLADKSELLLASNPVHKKVPVLLHGGKPVCESLLILEYLDDAFPGAGPAVLPADPYDRAVARFWAAYVDGKLHGAMVAALLGATEEARAAATADAFAALDALEGALAERSGGKGFFAGDAPGYLDVALGGFIGWLRAWDRIAGGIKLLDAGRVPLLDAWAERFAALDAAKGVIPDPEPIAEFAKVLQARSAAAAASS >PAN27844 pep chromosome:PHallii_v3.1:5:6775018:6780957:-1 gene:PAHAL_5G112400 transcript:PAN27844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLLDTEIGKNDYDWLLTPPGTPRVPALDAAQKDPSSTVTKRTVTRSSSTTRASKLSSSEPENGHSAFSTRPARSNSVSRPAVQSTLMSSNNRSSIFTANISSVSSRPTTPSKRTATITASKPSVPSSRPVPTRSSTPVKARPSTPTKTRPSTPVKNHPSVSSSMANSTASKTTSAQSSRSSTPTSRSRILSTSSSNTTSAVSRPSSSSGKIPAITRTSSSSSTVPSVSRSSSRSSTPTRQPTMRSSTPSVGRSPSVGRISGSNNLTSNGRALASSGRSSAPSSAPSSRPSSPNTRLRAPVRPLDIPDFPSETPPNLRTKLPERPLSAGRVRPGMSLGVRSTPNAEPVPTAPVKKMSVPAITRSKFSDIQSKTSPTNGHQSRQSERSSLEGQTTRTSRSVTAAGNGFGRTISRKSLDMAIKHMDIRHNLGGIRGASLFPHSIRSTTTKGRPARASDPGHSITNGDRYITDNGSSNGHFSGDSSGALSHNGGSSIGSPDRESTATKEVLSELDIYANSRYEAMLLKEDTKNTSWLHSVDDKSDQSPVFDHRFEPLPEPFGPL >PVH38213 pep chromosome:PHallii_v3.1:5:12123518:12125571:-1 gene:PAHAL_5G199400 transcript:PVH38213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNASSLSSAVELSWCQEASSCPGYNTEVDCTLLATMAARLPRFPVLLFVFLAVHIAAAHGDPAPLPTTYDGSMCPESSSCGNVSIRYPFYLSSTTRNITDYNTSYSCGYTDLEIFCQDEGPTGTPVIFLGGDKYTILNIDYDSKTIILADSDVLLGGSCPAVRHGVSFNKMWLHNTSSNDNLTFYFNCYSTRGHDEVPPPDLVTYEIGCNLKSPYADGASFVFTPDDHDKAKEHALDQDGRCKEVVSVPVRSEVLMARNQSVLVTGGYAEVLWYGFELEWNRATTDQCDLCEQGGGKCAYSQKREFTGCLCSNAKVGHPDCRPRSSLLE >PVH38056 pep chromosome:PHallii_v3.1:5:9917519:9921435:-1 gene:PAHAL_5G164200 transcript:PVH38056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHRACARARAYLHLLLTVALLPCCLPRHAAAQSPPAGEARLLLEIKRAWGDPPVLAGWNATAAGALCSWPFVGCDAAGRVVNLTLANTNVAGSFSDAVGNLSALTHLDASNNSISGGFPTALYRCASLQYLNLSQNYLGGVLPADIGRGLGEHLTTLDLNGNEFNGTIPASLSRLRNLQFLALNSNRFAGTIPVELGELTSLQKLYLANNPFGAGQLPASFKKLTNLVSLFASQCNLAGDFPNFVWGLKKLQLLNLYTNNLTGELAADGFAARSLIVIDVSMNQITGFIPEVFGGLENLTVLSLFQNNFSSEIPASIGLLPSLTLLRLYSNRLNGTLPPELGKHSPGLYRIEADDNELTGAIPEGLCAGGKFQALTAKRNHLNGSIPAGLANCTTLYSLQLGSNNLSGEVPQALWTVAQLQYVQLPNNQLTGSLPATMYGNLKTLHIGNNQFVGNIPAAAVELQEFTAENNRFSGAIPASLGDGMPLLLTLNLSGNQLSDGIPKSLAKLSKLTQMDLSRNQLTGEIPAELGAIPVLSVLYLSSNKLSGNVPQALVKPNLISLNLSSNQLSGQVPAGFATAVYDSSFLDNPDLCTAAVGSGYLTGVRSCAGGSQDGGPSGGVSHGLRTGLLVAGAALLLVAAAFAFFVVRDIKNRRRVAQQDDWKITPFVKDLGFGEASILRGLVEENLIGRGGSGRVYRVTYTNRLNGRAGAVAVKQIRTAAQLEEKLEREFKSEACILGNLRHNNIVRLLCFLSSADSKLLVYDYMDNGSLDKWLHGDALVAGGHPMARARSARREPLDWQTRLKVAVGAAQGLCYMHQECDPPIVHRDVKSSNILLDSEFRAKVADFGLARMLVQAGAPETMSGVAGSFGYMAPECAFTKKVNEKVDVYSFGVVLLELTTGKEANDGGEHGSLAEWARRHYQSGGSIPDATDKSIRYAGYSDEIEVVFRLGVLCTADLSSSRPTMNDVLQILIKCSEQTHHKSKTGRSPECEAAPLLLPKRGSRRKQLSNGSGIDMEEKSDLDSIV >PAN29988 pep chromosome:PHallii_v3.1:5:17062923:17064331:1 gene:PAHAL_5G264500 transcript:PAN29988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIVHRSPHGHGPGNLLATKLNAINAPACTRTRAATRSVSSTPPAMAAGRQAPAAAENVDPVYEWLDDGDSYLLRLNLPEFKKEDFRVHVDGEGRLTIIGQRRPAPGAGGEAKAMRLHKAFQLPNTVNLDAVSGRFDGTVLTVRVPKLQQGQGGEEQVADGGKPPADQEDKAGRASLTGRGKEEDDKAKPVAPPPPSQQQQQQQQPREEKVARGGDHRDDQDEKARAEHGEKVAREAARRVEAARARVAEAKAKAEQERQREHWKERAVEEGLKLADAVSKNKEVIAAAVAAFTLVVFVSHKLFSRS >PVH38609 pep chromosome:PHallii_v3.1:5:23372508:23373913:-1 gene:PAHAL_5G300700 transcript:PVH38609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLRPAALAAAATGGLFSAVSWSPSSPLAFSATPSSSPSTPVGPADTTGHTALVRAHPGLRELNTMLTPASFLVDATQTLLGAALRITPFCPKSLRLVRDFLTAEILSAESESEGPADAAAEQAVLARMHMALFDARDGRLDDALDAMSRLAAEHSGSTLAHLYATALCHVLGRHQDGARWLHDAAVPILSRLEHKIAFVEAILFSTLGSAPRAVAGSEELVLATTLGLVEMSLWSIFKHGDLSERLEVLTLMAFLRGVVARKLRRDDGSAPLEGSQDATPN >PVH38610 pep chromosome:PHallii_v3.1:5:23371400:23373924:-1 gene:PAHAL_5G300700 transcript:PVH38610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLRPAALAAAATGGLFSAVSWSPSSPLAFSATPSSSPSTPVGPADTTGHTALVRAHPGLRELNTMLTPASFLVDATQTLLGAALRITPFCPKSLRLVRDFLTAEILSAESESEGPADAAAEQAVLARMHMALFDARDGRLDDALDAMSRLAAEHSGSTLAHLYATALCHVLGRHQDGARWLHDAAVPILSRLEHKIAFVEAILFSTLGSAPRAVAGSEELVLATTLGLVEMSLWSIFKHGDLSERLEVLTLMAFLRGVVARKLRRDDGSAPLEGSQDATPN >PAN26900 pep chromosome:PHallii_v3.1:5:2923173:2923956:-1 gene:PAHAL_5G046000 transcript:PAN26900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGTGRKQQLAVAVVALVAMLLVAAATAQDCGVSGDTLNKCLSYCAYGGNANANACCGPLRNANFDCVCRNYWGKLQSNRYYANCVYKIKSRCGINRSC >PAN28935 pep chromosome:PHallii_v3.1:5:11321751:11326049:-1 gene:PAHAL_5G187700 transcript:PAN28935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCEASASLSRKCTAASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNVKSELKRISAPNARQATREELLEAGMADTLAVSTDQRGRLMMTTERLNQSTDRIKESRRTMLETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIITALVLAILIILYFKLAH >PAN26599 pep chromosome:PHallii_v3.1:5:1569552:1574090:-1 gene:PAHAL_5G024400 transcript:PAN26599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTPPPHVWEVEQLSSNKMFGGHNLRFRHHSATLGCPMTFSVYLPPSPASNVPVLYWLSGLTCTDENFIIKAGAQRAAAAHGIALVAPDTSPRGLNIEGESDSYDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKILSDHFVLLNTKQASIFGHSMGGHGALTIYLKNTDKYKSVSAFAPIVNPINCPWGQKAFSNYLGSTESDWEEYDATCLIKKNNKVSTPILIDQGEDDKFLAEQLLPRNFEEACKAAGVPLILRMQPGYDHSYFFIATFIDDHIAHHAQFLKSA >PVH37552 pep chromosome:PHallii_v3.1:5:1834672:1835980:1 gene:PAHAL_5G028300 transcript:PVH37552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSSGMEEGIGKRRHQHHFVLVHGVCHGAWCWYRVATLLSSAGHRVTALDMAACGARADRAEEVASFEEYSRPLLDTVAALPPGEQAVLVGHSFGGQSLALAMERYPDRVAVAVFASAAMPAVGKPMTFVLEEFSQETGPDFYMDCTYGASSDPQYPVETLLLGPEYLAKRLYQLSPPEDLTLAMAMVRPSQWFVDDAVLKGSVLTAQGYGAVRRVCVVAEDDASWSADFQRRMASWNPGAEVRGLQEADHMLMLSKPRELSELLLEIADRYYREAHT >PAN27178 pep chromosome:PHallii_v3.1:5:3990892:3994010:1 gene:PAHAL_5G064100 transcript:PAN27178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALLLRVARYPPLPTPPPLSHSQPRLLPLPPLRRHAPSPHRFVPLALPASSVSTSSDAPSDGGGGRKGGEDDAGTGTGGCVDYLGMSDEELMEQCEMGTFKASGPGGQHRNKRESAVRLKHRPTGIIAQAVEDRSQHMNRASALSRLRTLIALKVRRPINLEDYTPPVELLQILPLKSTVRGKDVGPQIGPNNSKFSPGMQALLDLLFAVEGSVSDAAKLLGLSTGALSRLILSDDSLRTAANELRALKGLKPLR >PVH38683 pep chromosome:PHallii_v3.1:5:32507823:32509727:-1 gene:PAHAL_5G327200 transcript:PVH38683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTTSLRLLSIKMPTPFLPEVVPVYQSLKPGPSTSFAFWPFHLVSCTHRIFTRFLIATSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTRREKCEDPCSFFTTPGRRCSAPQRL >PVH37796 pep chromosome:PHallii_v3.1:5:5662191:5667369:-1 gene:PAHAL_5G092000 transcript:PVH37796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSGGGGGGEEEMEEDGGGGGCGQGAGAGAGNKERVVLMWGYLPGVSPQRSPLLGPVPVRLPPAAAAAGGDGWRDVCGGGCGFAMAISVSGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSGIAIVRADAGWAHCVAITDDGDVYTWGWKECVPTGRVVGDQSSVGTVEKDERQIAMATDQVSPRSQVSRTSSGAASGPSESRGTDDSSKRRRLSSAKHGPESSTSSDESLSAPPCVVTFNTGVKIVAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRSSAMKGNKTAEGHISKVMGNCVRAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTEDVLSPTCVSSILGIKMQDVAAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAETVPKLVDATSLENKNARSVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCNVPVDTYHPLNVSCGWWHTLVLAESPT >PVH37797 pep chromosome:PHallii_v3.1:5:5662280:5667369:-1 gene:PAHAL_5G092000 transcript:PVH37797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSGGGGGGEEEMEEDGGGGGCGQGAGAGAGNKERVVLMWGYLPGVSPQRSPLLGPVPVRLPPAAAAAGGDGWRDVCGGGCGFAMAISVSGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSGIAIVRADAGWAHCVAITDDGDVYTWGWKECVPTGRVVGDQSSVGTVEKDERQIAMATDQVSPRSQVSRTSSGAASGPSESRGTDDSSKRRRLSSAKHGPESSTSSDESLSAPPCVVTFNTGVKIVAVAAGGRHTLALSASDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRSSAMKGNKTAEGHISKVMGNCVRAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTEDVLSPTCVSSILGIKMQDVAAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAETVPKLVDATSLENKNARSVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCNVPVDTYHPLNVSCGWWHTLVLAESPT >PVH37873 pep chromosome:PHallii_v3.1:5:6600890:6603002:1 gene:PAHAL_5G109700 transcript:PVH37873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEPAKGKMRRTSSNLLLRITDICKVHSVGVAESVGGKPKAESTGGSSEDGAHLKVHPHQVSDHESCSGSSTARYEEAVVEKLLEAISCLKLAYVKVQQAHVPYDPEKIAVAGEHFASEIEGTSGLKDLYASANKWSNPMYQSHVSSRIHEHQKLAVDLQADICKKDSELALLRAELEELERSNMELKQEVDRRAMHRENKIGIGKGESVDMFIELFESSSKHIHDFTKLIISWMKVSGWDLGISKFPVDKSVVYEKRSHRKYGVEAYFAGAMLMGTKEEYFSMDSYDHVMSFKDPFDALMEAPNSAFGRFCREKYLVAVPRSMEDSFLGNLDHRAFVERGGHPRTQFYQTFARMARYVWALLTVARYLKPRAEMFFVKAGVQFQKKHMESVPAKLTMEEAKFSVGFTVMPGFKIGCTVIRCKVYMSTLDARHFQTHHITKALCKQNAIAL >PAN27813 pep chromosome:PHallii_v3.1:5:6600890:6603002:1 gene:PAHAL_5G109700 transcript:PAN27813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEPAKGKMRRTSSNLLLRITDICKVHSVGVAESVGGKPKAESTGGSSEDGAHLKVHPHQVSDHESCSGSSTARYEEAVVEKLLEAISCLKLAYVKVQQAHVPYDPEKIAVAGEHFASEIEGTSGLKDLYASANKWSNPMYQSHVSSRIHEHQKLAVDLQADICKKDSELALLRAELEELERSNMELKQEVDRRAMHRENKIGIGKGESVDMFIELFESSSKHIHDFTKLIISWMKVSGWDLGISKFPVDKSVVYEKRSHRKYGVEAYFAGAMLMGTKEEYFSMDSYDHVMSFKDPFDALMEAPNSAFGRFCREKYLVAVPRSMEDSFLGNLDHRAFVERGGHPRTQFYQTFARMARYVWALLTVARYLKPRAEMFFVKAGVQFQKKHMESVPAKLTMEEAKFSVGFTVMPGFKIGCTVIRCKVYMSTLDARHFQTHHITKALCKQNAIAL >PAN31992 pep chromosome:PHallii_v3.1:5:53953265:53957550:1 gene:PAHAL_5G455400 transcript:PAN31992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSSLFARLPPPSYVRRGAAAPPSFAYLLAGGRGDGRKLLRLLLAVYHPRNRYLLHLSADAPASERAELAAAVARAAPAVRAFGNVDVVGRPTAGTPMGSSGLAGTLRAAAAMLRLDAEWDWFVTLNAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGFKFFTGSPWVILNRRFIEYCVFGWENLPRTLLMYFTNVMLPLEGYFHSVACNSDFRNFTVNNDLRYMVWDDPPQMEPHFLNVTHYDELVGSGVPFARKFKENEPLLDKIDDKILRRWRHRPAPGAWCTGRKRWFSDPCSQWSNVNIVRPGPQAEKFRKYINQILEESKSSNNSCKQ >PAN31999 pep chromosome:PHallii_v3.1:5:53953283:53957550:1 gene:PAHAL_5G455400 transcript:PAN31999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSSLFARLPPPSYVRRGAAAPPSFAYLLAGGRGDGRKLLRLLLAVYHPRNRYLLHLSADAPASERAELAAAVARAAPAVRAFGNVDVVGRPTAGTPMGSSGLAGTLRAAAAMLRLDAEWDWFVTLNAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGFKFFTGSPWVILNRRFIEYCVFGWENLPRTLLMYFTNVMLPLEGYFHSVACNSDFRNFTVNNDLRYMVWDDPPQMEPHFLNVTHYDELVGSGVPFARKFKENEPLLDKIDDKILRRWRHRPAPGAWCTGRKRWFSDPCSQWSNVNIVRPGPQAEKFRKYINQILEESKSSNNSCKQ >PAN31991 pep chromosome:PHallii_v3.1:5:53953581:53957550:1 gene:PAHAL_5G455400 transcript:PAN31991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSSLFARLPPPSYVRRGAAAPPSFAYLLAGGRGDGRKLLRLLLAVYHPRNRYLLHLSADAPASERAELAAAVARAAPAVRAFGNVDVVGRPTAGTPMGSSGLAGTLRAAAAMLRLDAEWDWFVTLNAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGFKFFTGSPWVILNRRFIEYCVFGWENLPRTLLMYFTNVMLPLEGYFHSVACNSDFRNFTVNNDLRYMVWDDPPQMEPHFLNVTHYDELVGSGVPFARKFKENEPLLDKIDDKILRRWRHRPAPGAWCTGRKRWFSDPCSQWSNVNIVRPGPQAEKFRKYINQILEESKSSNNSCKQ >PAN28563 pep chromosome:PHallii_v3.1:5:9777494:9786893:1 gene:PAHAL_5G162300 transcript:PAN28563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAEPPPTVEVEEAMPTTPDIALDGDGEGYKYVEAAEGEKDGGAPFGEGGGGKVEEGSKPGGDDVVADELLVIAEDPNLPDVAKADESEGDAGAEVHTNGVAAAVDVHAEVGAAVASSTLVDAPTEVGMQTSEVIAVDDLTEVGAALVSSTSVDDPTEVSTYLVNDDCNIVSTGVVHRSDDQTDKEVGGDSLDAGEAAPLVNDVHDDSTRMDKNVAVIVDIVHTQDHERLQMDVATDLLNERETEVVKAVDHVAEEGTDMDMQLQTGDDNEEGVGTIADAATDEEGKHMGAVTTTRDEREKHHGAAGVDASDEGIQMNRDGLAGDDNEQEEIATAGEGRVEEESMRMGAVNITGDMNKEGRVVAENIADEAVDAVAVPEEQSVQMDEDGDDIPEEEDAQMGGVGLTSNDNEKEEAVTADHDGVEENAMLMDAAATTNNDDEDDGIVGEDVTEEAVTGTIGDDAPEEDAVHMDDDDDDEPPPSMAKKGGGRRKRGRPSSKAQAVAKLSVKRKDEEEVCFICFDGGDLVICDRRGCPKAYHPSCVNRDDDFFKSKGRWNCGWHICSNCQKPARHMCYTCTYSLCKACMKDAKFSCVRGNKGFCETCMNTVMLIENREEATDQMDVDFDDKSSWWYLFKDYWLNLKTNLSLTVEEISAAKSQKSGELPDTNDEEANSESSSGRHLENNTPKKRGRKRSKEAAIEDGSEGKESSRKSTKQGLSGIRDAQTSPGKKVRKLSRRSLSSQHSSKESESVGTSTSSAEEASWASEELLNFVAHMRNGDKSAISQFDVQPLLLDYIKRNNLRDPRRQSQIICDSLLQSLFGKKRVGHFEMLKLLESHFPMSEVSPSADENHGGVVDPDPSQDADGNSEASVVMSSEKRRKSRKYDQKRQPNLDDYAAIDNHNIGLMYLRRNLMEELIGDVDTFDEKVVGSFVRIRIPGTGQRQDIYRLVQIVGTGRAAEKYKSGKKTTDITLEILNLDKREAVTIDIISNQEFTEEECKRLRQSIKYGFIPRLTVGEVQEKARVLQTLKVNDWIESEKMRLGHLRDRASDMGHRKELRECVEKLKLLSTPEERARRLNEEPEIHADPAMDPDYESPEEQEQETERSSFNKSRGSFLRKDGNLVSPVKGDGRNPLQRDPKTNWESNRNTWAESSPHMESPLSRRSTFSSPGESAGYTSKSESPNIGAQTVKLEGTTRSASQGPSGVSSGILAANIGSGAKTAPQSAINESEKIWQYMDPSNKIQGPFSITQLRKWNSNGYFPPSLKIWKASEKQDDSILLTDALAGKFEKDLPPWEPPHVSASQIDKTPLLEESTIAAEQTPKSVVPKSFSSSDQRQDYSSTNLGATMIHSGTQGYYGMQNSHAAYTNQQSLTGSWNAASSQFGVAVNPMTPTQPAMGSFSGQNTVAAGNMGHLTPGMTPAAATAELTSDIPSQNQISSALPQMGYRLADGSDSKLGENASHGRVSSSAEAVGTLGAQRGPAQLNTQQLEDTRNQMSTPSAASVQPSVAAIAGSDTQNSGWAVPAQAANTSGQPQVAGNMTWGTAPQGDASMGWGMMGQSNMNMPWVASAQGASGYNMGVTMPTQPNAVPNMGWLPNPGNTSMNMIWAATQGQGTPNAAAMMGGQMQGVAVAQWGGVAAGNVNPYPGWGTQQVGNMNQNVSWSAPVQGNPGQTNNNMSWNAPNGNPDWNNQQRDNGGRHSGHRDSGGKPWKPRSSGDGGSRGNRPQGLCWTYLDRGHCWKVDCKFTHPTNTDGYSSRNDRHFDRQHSSNDRRYDDHNERNDRQFDRQPSDNERHHDRSDDRHSGRDDDRHDDRQADRSQSREPH >PAN29665 pep chromosome:PHallii_v3.1:5:15262579:15267682:-1 gene:PAHAL_5G242700 transcript:PAN29665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDGGAKEQEGPSPASAAPAVVAAARARARARAKALRPPRPRSRKGLGVRHPLKLCRFFATAQMKANARVREAGEATLVAALAAAQKESERENVEVADISGGWKSEDGSLNCGYSSIRGRRPGMEDFYDIKSSRIDDKQINFFGVFDGETYKKTDADFLDAVAEGNIQVGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSDDHKPNRSDEQKRIEDAGGIVTWSGTWRVGGILAMSRAFGNRLLKRFVVADPEIQDQEIDGELDFLILASDGLWDVVPNEHAVAFVKDEDGPEAAARKLTEIAFRRGSTDNITCIVVEFRHDNMSDGSPPSANQS >PAN29685 pep chromosome:PHallii_v3.1:5:15262578:15267682:-1 gene:PAHAL_5G242700 transcript:PAN29685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDGGAKEQEGPSPASAAPAVVAAARARARARAKALRPPRPRSRKGLGVRHPLKLCRFFATAQMKANARVREAGEATLVAALAAAQKESERENVEVADISGGWKSEDGSLNCGYSSIRGRRPGMEDFYDIKSSRIDDKQINFFGVFDGHGGTRAAEYLKEHLFENLIKHPAFVTDTKSAISETYKKTDADFLDAVAEGNIQLLHSLMTTNLTEVMSRNGLRMLEELLRGLEHGEWVVYLQCLGHLAIVC >PAN29666 pep chromosome:PHallii_v3.1:5:15263449:15267308:-1 gene:PAHAL_5G242700 transcript:PAN29666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDGGAKEQEGPSPASAAPAVVAAARARARARAKALRPPRPRSRKGLGVRHPLKLCRFFATAQMKANARVREAGEATLVAALAAAQKESERENVEVADISGGWKSEDGSLNCGYSSIRGRRPGMEDFYDIKSSRIDDKQINFFGVFDGHGGTRAAEYLKEHLFENLIKHPAFVTDTKSAISETYKKTDADFLDAVAEGNIQVGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSDDHKPNRSDEQKRIEDAGGIVTWSGTWRVGGILAMSRAFGNRLLKRFVVADPEIQDQEIDGELDFLILASDGLWDVVPNEHAVAFVKDEDGPEAAARKLTEIAFRRGSTDNITCIVVEFRHDNMSDGSPPSANQS >PVH37846 pep chromosome:PHallii_v3.1:5:6281792:6282361:-1 gene:PAHAL_5G103200 transcript:PVH37846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACGCCHLCRQVNSGAGGSGPHGAMWRPTGDAYRRGSYAHCRNVTGRGMAALSSTPGRVERVQCTDRPGPGRIRANLPGNCRTRSYARLPMPEQDAMLQSRCSRPGSDTQHATPLAQNRDHHRVSRANSFAIAADRRYIGIRAMK >PAN31049 pep chromosome:PHallii_v3.1:5:48774503:48775763:-1 gene:PAHAL_5G391400 transcript:PAN31049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKSSSPYGETDTGADTAPASSSSSIRWAPHGRAMTACLVAVNVALVALVYLYFWRVFSRKRDASSSGADEEDRASSSSASAPPSPGRAHDDEQQQRRHDRLVASLPAFVARPGAGAGSECAVCIAELRDGDEARALPRCGHRFHAACVDAWLRRRHSTCPLCRASVVVAAAAEGAGPKGGGVTGTTAAAAAVEDTDAPV >PAN30204 pep chromosome:PHallii_v3.1:5:33631550:33633394:1 gene:PAHAL_5G331400 transcript:PAN30204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >PAN30205 pep chromosome:PHallii_v3.1:5:33631550:33633394:1 gene:PAHAL_5G331400 transcript:PAN30205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >PAN27618 pep chromosome:PHallii_v3.1:5:5720710:5727969:-1 gene:PAHAL_5G092800 transcript:PAN27618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAVVMRAEMGRGGGEEELEDELDALLSSGASGHRRRPVDAGERERERELSMFRSGSAPPTIEGSLNAISGLLRGEGEVAVTAAPIPDAEALNGHGGLLSEEELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKAGQEDAGYGTGIAGGRSLFSQHPGSEQEDETRSDGGGAAEWVDGGGDGLIGLSLGRQRSFADMLQDNIGRRTPISEHPSRAASRNSFIDNQEPVNSAENQYSMHTDILDVHHPVGNLQNVSGLHSLNASTSQTFASILGSSVSRNATPDPHYVARIPSPGLPPVGVRITSNEKKLNCSSSPFNTVSSKAVGPDDILSALSSMNLSKSGTLNGNNNISRSNFQRETSDQQRFSLDSQTAQVNNKQHPVMLETDDEYLGMPSMSQPSNSSFADVNNSVAGLAEIRNSTNTRLDGHLEMQRSTLSSRSYQKSPSSSNESPGGSPAQHQNFDTINSAFLNYGLTGYPLSPGLPSVMPPLFESAAAASAIASLGVDSRNLGNSILSSPTLSLTDMHSLGRGGNQAPTGLQSPLSDPFYAQYLKATQYAAQGAGSYGDPSLERGYMGSSYANLNAVQKAYVEALLQQQKQYEMPLLGKSAVSNHGYYGNLPFGMGMAYPGSPLGSPVASPSGPGSPLRLGERNMRFPSNLRNLGGWNSDPSGYMNENFPSSLLDEFKSNKARSFELAEIAGHVVEFSADQYGSRFIQQKLETATVEEKNMVFEEIMPQALSLMTDVFGNYVVQKFFEHGSAEQRRELADKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMKCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGHVVPLSTHPYGCRVIQRVLEHSADPKTQQIVMDEILQAVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREILVNEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLNALKKYTYGKHIVARVEKLVAAGERRIGLQSQNPS >PAN27297 pep chromosome:PHallii_v3.1:5:4466162:4469090:-1 gene:PAHAL_5G072400 transcript:PAN27297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGDRFLRQLSASNGGDYDGGCGLQQGYGGGGRRGSRRWSKKRAAAAARGYGVKQGEASAARKRVMVVVDESSGAKHAMMWALTHVASKGDFLTLLHVLPHSGSGRGEEASSLANSLGTLCKASRPEPPAEQQRGVCGAVHQPGGVPDAGGEEAEQGRRWLPHQHPVAEELLAPGLKSLSL >PAN27298 pep chromosome:PHallii_v3.1:5:4466630:4468836:-1 gene:PAHAL_5G072400 transcript:PAN27298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGDRFLRQLSASNGGDYDGGCGLQQGYGGGGRRGSRRWSKKRAAAAARGYGVKQGEASAARKRVMVVVDESSGAKHAMMWALTHVASKGDFLTLLHVLPHSGSGRGEEASSLANSLGTLCKASRPEVEVEALVIQGPKLATVLSQVKKLEASVLVLSQSKPSPYCWLGCLLRSSSEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >PVH38135 pep chromosome:PHallii_v3.1:5:11168320:11171102:-1 gene:PAHAL_5G185400 transcript:PVH38135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHALQTAPPKFPFGPCPSNGVQMGSRRPRAFRRGRHAPRTPINPSRTWPVHHQLPPPSAPLLLHTPTRRPPRPSRSPLQAPAVLAPSSCLRRKRCKTFAMAASRVLLLVLALLAAGCAASVASPDAAALLAFKSVCSDPTAALGSWTESSDPCAGAWRGVRCQRPSPSSVPPRVRRVVLEGLRLGGHAAALELLADLPALSSLSLKNNTFTGALHGVDFSRLAPHLKLLYLSGNGFSGRFPDSVLRLRHLRRLDLSGNRLAGTIPPEIGHRLRALLTLNLALNSFVGAVPVSLEAVPKLAELNVSGNHLEGRIPSRLAAAFPSSSFAGNPGLCGAPLAHRCNGPQQIVYSNGSGEASDGSRAARGKIHDRWMVVMIMSAVGAAVASLVAAALCAVLLLKNRKAARRPRARSASANSTVAREETVRFDGCCVEFDVATLMQGAAEMLGKGATATTYRVVMRGNSDTSDDAGVEDAQQGEVVVVKRMRRREGASREDERWRRELAREMGTWRHSNIVGLRAFYASAEELLLVFDYIPNGSLHSLLHENRGPARVPLDWQTRLKLAQDAAHGLAYLHGVSGGKLSHRHLTSSNILVDGSGTARVSDFALLQLLAPAPPPGDPSLQKQDVHGFGVVLLELLTGRQPSAEDGGGAQDLPRWARAVVREEWTSEVFDVELLRGRGAEDEMVALLQVALLCVAEEPGQRPRMAVVAKMIEDIRDRGSKRSNKFSASPSQAGRSYESSPCVSEDTTRSTPASSS >PAN26306 pep chromosome:PHallii_v3.1:5:120708:133330:1 gene:PAHAL_5G001500 transcript:PAN26306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTMHLQKVIAAVVPRCVALLKRYLIRYIPRVQTLRQIDLFCANTIAKCEPMANNRAESLGQVSAVAAPNSSPIAPPISNFASASLVKSLNYVRSLVARHIPKLSFQPIVQSVASKQSLPSLSSFLNRSLAEVISNREHLELKECHSSSDLISSASDKVDGGEPGDDSKYISFDILSWRWHVYGEERQASTSAEESDFVGLQDFHTHGFLEVGAAALLVGDMEAKINDQHWKYSVIKEFPDIDLLQPSTSALSTFASSQSHLKAITASKRMKSGPNHVWMNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSEATSNASQSIAPSRLSTQNRQPSADVAFSVLIKLVIDMYMMDSETAAPLTLYMLEGMLSSQKTSARTKALDLILNLGVHAHLLEPMVVQDAPLIDKSEAVNHSYLSNEYGSSIDEPRAAEPEEEPNISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDVTQNGVRDTHFVPERIDLLGGIDYICLEYSRANSREEKRDLFFVIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLRKAISAALSQSAHYDQLNVLLDKVMRKLDGTVSTFSRIDNEFAYMIQVTKSCKCFSSIKEGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIKKLQAEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQVQTTADHSKNCLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNAMHAGGLQSLGQLFGCTTKNIDSHLETLASHQSVANKNLCRSETLQDIGMNQSAQSTLLCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >PAN26308 pep chromosome:PHallii_v3.1:5:120709:133330:1 gene:PAHAL_5G001500 transcript:PAN26308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLREAPLPSKRLASARHYAPAAAPPVPPHRPPPSASISTASARKPPEPLRRAVADCLSPPAPHTHGPAAAAASAAAEASRTLRDYIANPSTIDMAYNVLIDHALAESDRSPAVVPRCVALLKRYLIRYIPRVQTLRQIDLFCANTIAKCEPMANNRAESLGQVSAVAAPNSSPIAPPISNFASASLVKSLNYVRSLVARHIPKLSFQPIVQSVASKQSLPSLSSFLNRSLAEVISNREHLELKECHSSSDLISSASDKVDGGEPGDDSKYISFDILSWRWHVYGEERQASTSAEESSDFVGLQDFHTHGFLEVGAAALLVGDMEAKINDQHWKYSVIKEFPDIDLLQPSTSALSTFASSQSHLKAITASKRMKSGPNHVWMNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSEATSNASQSIAPSRLSTQNRQPSADVAFSVLIKLVIDMYMMDSETAAPLTLYMLEGMLSSQKTSARTKALDLILNLGVHAHLLEPMVVQDAPLIDKSEAVNHSYLSNEYGSSIDEPRAAEPEEEPNISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDVTQNGVRDTHFVPERIDLLGGIDYICLEYSRANSREEKRDLFFVIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLRKAISAALSQSAHYDQLNVLLDKVMRKLDGTVSTFSRIDNEFAYMIQVTKSCKCFSSIKEGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIKKLQAEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQVQTTADHSKNCLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNAMHAGGLQSLGQLFGCTTKNIDSHLETLASHQSVANKNLCRSETLQDIGMNQSAQSTLLCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >PAN26305 pep chromosome:PHallii_v3.1:5:120709:133330:1 gene:PAHAL_5G001500 transcript:PAN26305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLREAPLPSKRLASARHYAPAAAPPVPPHRPPPSASISTASARKPPEPLRRAVADCLSPPAPHTHGPAAAAASAAAEASRTLRDYIANPSTIDMAYNVLIDHALAESDRSPAVVPRCVALLKRYLIRYIPRVQTLRQIDLFCANTIAKCEPMANNRAESLGQVSAVAAPNSSPIAPPISNFASASLVKSLNYVRSLVARHIPKLSFQPIVQSVASKQSLPSLSSFLNRSLAEVISNREHLELKECHSSSDLISSASDKVDGGEPGDDSKYISFDILSWRWHVYGEERQASTSAEESDFVGLQDFHTHGFLEVGAAALLVGDMEAKINDQHWKYSVIKEFPDIDLLQPSTSALSTFASSQSHLKAITASKRMKSGPNHVWMNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSEATSNASQSIAPSRLSTQNRQPSADVAFSVLIKLVIDMYMMDSETAAPLTLYMLEGMLSSQKTSARTKALDLILNLGVHAHLLEPMVVQDAPLIDKSEAVNHSYLSNEYGSSIDEPRAAEPEEEPNISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDVTQNGVRDTHFVPERIDLLGGIDYICLEYSRANSREEKRDLFFVIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLRKAISAALSQSAHYDQLNVLLDKVMRKLDGTVSTFSRIDNEFAYMIQVTKSCKCFSSIKEGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIKKLQAEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQVQTTADHSKNCLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNAMHAGGLQSLGQLFGCTTKNIDSHLETLASHQSVANKNLCRSETLQDIGMNQSAQSTLLCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >PAN26309 pep chromosome:PHallii_v3.1:5:120709:133330:1 gene:PAHAL_5G001500 transcript:PAN26309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLREAPLPSKRLASARHYAPAAAPPVPPHRPPPSASISTASARKPPEPLRRAVADCLSPPAPHTHGPAAAAASAAAEASRTLRDYIANPSTIDMAYNVLIDHALAESDRSPAVVPRCVALLKRYLIRSLAEVISNREHLELKECHSSSDLISSASDKVDGGEPGDDSKYISFDILSWRWHVYGEERQASTSAEESDFVGLQDFHTHGFLEVGAAALLVGDMEAKINDQHWKYSVIKEFPDIDLLQPSTSALSTFASSQSHLKAITASKRMKSGPNHVWMNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSEATSNASQSIAPSRLSTQNRQPSADVAFSVLIKLVIDMYMMDSETAAPLTLYMLEGMLSSQKTSARTKALDLILNLGVHAHLLEPMVVQDAPLIDKSEAVNHSYLSNEYGSSIDEPRAAEPEEEPNISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDVTQNGVRDTHFVPERIDLLGGIDYICLEYSRANSREEKRDLFFVIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLRKAISAALSQSAHYDQLNVLLDKVMRKLDGTVSTFSRIDNEFAYMIQVTKSCKCFSSIKEGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIKKLQAEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQVQTTADHSKNCLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNAMHAGGLQSLGQLFGCTTKNIDSHLETLASHQSVANKNLCRSETLQDIGMNQSAQSTLLCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >PVH39053 pep chromosome:PHallii_v3.1:5:52015910:52016874:1 gene:PAHAL_5G425200 transcript:PVH39053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVDGGGGGGPADHVLRSARDALSQYPRFSLDGRDAMYRASFSGFYEGMGRGASAAGNYSRPRASACCAAGAGCAALACGAGGYEMDLERTLRMPATVAGESVVWCKPGVVAKLMGLEAVPVPIRGGLRRRKASGHPAATCGGGGGVRKQRLRRTVQEELALNKEKLFMALHGYDVAGAGARHTLAPSGPARDPMSVAWATTAMDGSSGFAVDG >PAN31133 pep chromosome:PHallii_v3.1:5:49613539:49616736:-1 gene:PAHAL_5G399200 transcript:PAN31133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDCVPPVAMVLVQLGFAGMNVVSKLALDAGMSPYVLIAYRNLIAAAFIAPVAYLLERRSGATVTKKVLLQIFVSSIFGATLNQVLYFVGLKSTTPTVACALTNTLPALTFVMAAALKMETVRPGTPAGQAKLVGTAVCVGGSMIIPFYKGPVLRLWASPIHWSFAEHTSSASAPAAAAGGHSGAVAGDVLIIGSCVAWAVWFILQSRMAEGFSAPYTSTAIMCVMAGAQCAGVSAAMDRSLAVWKLGFDIRLYSVLYIGIVGSGIGFAVMSWCIQVRGPLYVSMFSPLLLVVVAIVGWAILGEKIHVGSAIGSALIVAGLYMVLWGKGREMDKPGLDNDKGDEEAAVGLAFNGKAAVASNRVEAVSLPVFSATNPKQEAPRNGSN >PAN31953 pep chromosome:PHallii_v3.1:5:53794401:53803162:-1 gene:PAHAL_5G452400 transcript:PAN31953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALALQWQLHLPLPLPLQARPPALAAARRRQRGLLAPARRVPPLPARCCAGDAAAADAGKAQAAARRAYPYDEIEPRWQRHWEDHRTFRTPDIGEGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNIERFRTQLKSLGFSYDWDREISTTEPAYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGYPVIRKPMRQWMLRITSYADRLLEDLNDLNWPESIKEMQRNWIGRSKGAELVFSAVDKEGHDLGATLFVYTTRPDTIFGATYLVVAPEHVLLSSLTSEEQRAHVEEYRELAARKSELERTDLQKEKTGVFSGSYAKNPATGEIIPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYELPIIKVVSPQNGSCDPAEAYADDGIMINSSSTSSGLDINGMLSQDAAKKVIAWVESNGFGKKKVNYKLRDWLFARQRYWGEPFPVSYLDDTNEMVPLSEDELPLTLPELDDFTPTGTGEPPLTKATNWVSTIDPLSGKPARRETSTMPQWAGSCWYYLRFMDPKNSGILVDKAKERYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDMGVVSTKEPFKCLINQGLILGEVEYTAYRDSEGRWVSADSDSSLNDCYQEKVPADKVTKVGDHYVLRDDPNVRLNARAYKMSKSRGNVINPDDVISEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLIVGPPLPDGSYNDGTMTTEDEPTLDQLRVLHKCIAKVTEEIDETRFNTAISAMMEFVNAAYKWETQPKSVTESFVLLLSPFAPHLAEELWFRLGHPQSLAYEQFPEAKSEYLEESKLVLPVQINGKTRGTILVDKSCSEDDVFQIAASDEKLSKYLAGKGIRKRIYVPGRILNVILDQQKAKT >PAN29272 pep chromosome:PHallii_v3.1:5:13034785:13037169:1 gene:PAHAL_5G213400 transcript:PAN29272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKPWFLYPIFLSFLSVPLCSHASPWQARGTGTSLRVDHGEIFLVSPDTTFSCGFYSSGEGTNAYYFSIWFTHATDRTVVWTANRGSPVNGHGSKISFNSEGNLLITDVNGSTVWESKTKWGKRTTVALLNNGNLVIRASTDQVVWQSFDSPTDTLLPSQNLTRESKLVSPSGYHILYFDNDNILRLLYNGPEITSIYWPSPDYNAQQNGRTRFNSSKIAVLDNDGVFQSSDQFKMTASDSGTGIKRRITLDYDGNLRMYSLNAANGNWTVTGEAVLQMCYVHGLCGKNGICEYSQGFRCNCPPGYEMSDPKNWNNGCNATFSVNCGQPREDFTFIKIPHGDFYGFDLTSNKSISFEECMQICLDSWLCLSFTYKAGEGLCYTKDRLYNGQVYPYFPGDNYIKLPKKVTSTSSASRHSSLTCSPKNAKVMLVSKDAYMKNSDNINWLYFYIFAAILGAVELLFIMTGWYFLFKMHNIPKSMEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGIGTVYRGILGDKKVVAIKKLHVRQGEEEFWAEVTLIRRINHINLVRMWGFCSEGKHRLLVYEYVENESLDKYLFSGRRTETLLSWSQRFKIALGAARGLAYLHHECLEWVVHCDVKPENILLTRDFDAKIADFGLAKLAKRDSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGKRVSSGIIIDDEEMDFMQFFQELKQMLARGGDLDIVDARLKGHFNHEQATVMVKIAVSCLEERGKRPTMDQIVKDLMVYDDEDNHPAYVL >PAN30309 pep chromosome:PHallii_v3.1:5:46839621:46843632:1 gene:PAHAL_5G377900 transcript:PAN30309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPSAASREMAPESLPLGIIPTVDMSAPSGRGELARRLVRACAERGFFKAVNHGVPARAAARLDAAASAFFARPAQAKQAAGPPDPLGYGSRNIGANGDVGELEYLILHADPAAVARKARVIDAEDPSRFSIAVNEYVDAVRRLACRVLDLLGEGLGLRDPTSFSRLISAVDSDSLLRINHYPTSAAALRGPGDRSCTAEDAKSCNSNGGAKGTIGFGEHTDPQILSVLRANDVDGLQVLLPDGRGGGGDEWVQVPADPAAFFINVGDLLQALTNGRLVSIRHRVMASTSRPRLSTIYFAAPPLHARISALPETVSAGTPRRYRPFTWAKYKKAMYAHRLSHNRLDLFHASGDDDAADDEHS >PAN27142 pep chromosome:PHallii_v3.1:5:3796180:3799499:1 gene:PAHAL_5G060300 transcript:PAN27142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGTARRTPLVSRRRQGFAVVSAAAPRLIVMLLAVAAMPSSSVAAAAGSPEVVELTLLANAREKGAVCLDGSPPGYHLQRGFGSGEHSWIVNLEGGAWCNTTEDCSDRKMTDLGSSKFMKAIEFEGILSNKRSQNPYFYNWNKVDIRYCDGGSFAGDAEGKDRNGTKLFFRGLRIWEAVVDELMGKGLATAKQALLSGCSAGGLAALLHCDDFRARFPLEVPVKCLSDAGFFLDAKDLSGERLMRSIFSGVVQLQNVRKVLPKDCIAEKDPTECFFPAEFIKNISTPTFIRNSGYDSYQVGNIVAPGGSDPGQSWASCKADIRNCTSAQIEALHGFRKELVEALEVAQRKRDWGLFIDSCFNHCQTPFRISWHSPISLRLGNKVRRSCADHITTIAEAVADWYIGGGHGVKEIDCEYPCINPTCSSQLDL >PAN27121 pep chromosome:PHallii_v3.1:5:3796180:3799499:1 gene:PAHAL_5G060300 transcript:PAN27121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGTARRTPLVSRRRQGFAVVSAAAPRLIVMLLAVAAMPSSSVAAAAGSPEVVELTLLANAREKGAVCLDGSPPGYHLQRGFGSGEHSWIVNLEGGAWCNTTEDCSDRKMTDLGSSKFMKAIEFEGILSNKRSQNPYFYNWNKVDIRYCDGGSFAGDAEGKDRNGTKLFFRGLRIWEAVVDELMGKGLATAKQALLSGCSAGGLAALLHCDDFRARFPLEVPVKCLSDAGFFLDAKDLSGERLMRSIFSGVVQLQNVRKVLPKDCIAEKDPTECFFPAEFIKNISTPTFIRNSGYDSYQVGNIVAPGGSDPGQSWASCKADIRNCTSAQIEALHGFRKELVEALEVAQRKRDWGLFIDSCFNHCQTPFRISWHSPISLRLGNKTIAEAVADWYIGGGHGVKEIDCEYPCINPTCSSQLDL >PAN27122 pep chromosome:PHallii_v3.1:5:3796417:3798426:1 gene:PAHAL_5G060300 transcript:PAN27122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGTARRTPLVSRRRQGFAVVSAAAPRLIVMLLAVAAMPSSSVAAAAGSPEVVELTLLANAREKGAVCLDGSPPGYHLQRGFGSGEHSWIVNLEGGAWCNTTEDCSDRKMTDLGSSKFMKAIEFEGILSNKRSQNPYFYNWNKVDIRYCDGGSFAGDAEGKDRNGTKLFFRGLRIWEAVVDELMGKGLATAKQALLSGCSAGGLAALLHCDDFRARFPLEVPVKCLSDAGFFLDAKDLSGERLMRSIFSGVVQLQNVRKVLPKDCIAEKDPTECFFPAEFIKNISTPTFIRNSGYDSYQVGNIVAPGGSDPGQSWASCKADIRNCTSAQIEALHG >PAN30420 pep chromosome:PHallii_v3.1:5:19686280:19689406:1 gene:PAHAL_5G281200 transcript:PAN30420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAASGASRPATVQMRGQPVAQQSSCCS >PAN31669 pep chromosome:PHallii_v3.1:5:52655786:52661127:1 gene:PAHAL_5G435900 transcript:PAN31669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRSGPDGAGADYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGNRMLKPEKWQTCFDSDGRVICFRKALKFIVLGGVDPSIRAEVWEFLLGCYALSSTSEYRGKLRAARRERYHYLVRQCQSMHTSIGTGELAYAVGSKQMDVRTLPKETDSGEVSTSQQTSHQAPCNVVENSNLNCGSDGTPQSQKRKGCSKSAEPIGFNIHNDSSVYDSSSFMVPSTAVNSSLRDSGDYDDIGEPRYDSETFTNFPSLSGTNLFANGGENSNGVEESPCSFSVPEDRLRPRDERMHSFQINNNIDLIIESNSFSNDLFRPSNSDSAIFHSDAYKQDRWLDDGYSKEIIDSLRISGAPEADLVDGTKSNGSIADKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVIYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMELTDVELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLIMWEMMWAADFDEEAIRHLEENCLGPLLVDLRTDLSCEVKEVPRMNSSTRRKSKTRKSHRRNGEICGACHPGTRSSTRNHLCGLSGATIWARPQQMPQLSASVLARSGDDELPIFCVAAILIINRHRIIRGTRSIDDAIKMFNDNVLKINVKRCVRLAIKLRKKYLYKSLKGGSSDDKES >PVH39108 pep chromosome:PHallii_v3.1:5:52655786:52661127:1 gene:PAHAL_5G435900 transcript:PVH39108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRSGPDGAGADYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGNRMLKPEKWQTCFDSDGRVICFRKALKFIVLGGVDPSIRAEVWEFLLGCYALSSTSEYRGKLRAARRERYHYLVRQCQSMHTSIGTGELAYAVGSKQMDVRTLPKETDSGEVSTSQQTSHQAPCNVVENSNLNCGSDGTPQSQKRKGCSKSAEPIGFNIHNDSSVYDSSSFMVPSTAVNSSLRDSGDYDDIGEPRYDSETFTNFPSLSGTNLFANGGENSNGVEESPCSFSVPEDRLRPRDERMHSFQINNNIDLIIESNSFSNDLFRPSNSDSAIFHSDAYKQDRWLDDGYSKEIIDSLRISGAPEADLVDGTKSNGSIADKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVIYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMELTDVELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLIMWEMMWAADFDEEAIRHLEENCLGPLLVDLRTDLSCEVKEVPRMNSSTRRKSKTRKSHRRNGEICGACHPGTRSSTRNHLCGLSGATIWARPQQMPQLSASVLARSGDDELPIFCVAAILIINRHRIIRGTRSIDDAIKMFNDNVLKINVKRCVRLAIKLRKKYLYKQSLKGGSSDDKES >PAN32940 pep chromosome:PHallii_v3.1:5:58230866:58231842:1 gene:PAHAL_5G523400 transcript:PAN32940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMAACLAQQRTTTAVPAVSPKRGRLISLKLLMKAIRRMKTKRAKIDSKSSSSSLTMAAAAAEDGSKGGGGGGVEEKSKVAVSNNPKGAVLRSRLHGRGGGGLRKGAVRVKVVLTKEEAARLLSLTVGGQKAAATQIVAEIKRMEARRAANAAAAAWRPALASIPEESA >PVH37954 pep chromosome:PHallii_v3.1:5:7921905:7922411:-1 gene:PAHAL_5G132900 transcript:PVH37954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINHPNGKERKGQYLPFCQLHEPGTGAPRHAGATCQPDTATAGGHDKQVKEEKGAGATDRVPTVPAPHRTAPASFCWARSASRWAGNRSHASSPPGSRGAYKLAAHALRHPRVFFNPPPLPSSSSAHKDTHPARARGREESLSPFAFLPSARGSPTARPEPPGRRSL >PAN28021 pep chromosome:PHallii_v3.1:5:7502729:7504043:-1 gene:PAHAL_5G125300 transcript:PAN28021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEASSFKKDAEARVADDEDEARAARDPAARPFRSGSSATDVSSNASSINYRKAPRDKIGGDGFWCGVLCMHLPGLSRRRSMQQQQSMSLSEADTRASTAGPGGARASTASMERFKYSSSSSGIVFERADKEEEQGMEEEVSAYFDLPLELLRISSVDTESPVTAAFVFDGNRGRGTKRIVPEMQDLDFSFPAPPDFSNPSSPRS >PVH37973 pep chromosome:PHallii_v3.1:5:8439592:8443089:1 gene:PAHAL_5G140500 transcript:PVH37973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRRPHHTNRSPKPGKPTLVRNRSSGHHRPAGDVGGGEVRGDGAGRPLLVAHEECPTVVSRAWQEAAAAAQGPDAGGANPNPNPVVAKVILSLDPLRDDDAPSQIKMEMTQECSGNTPKSYSLNMYSDFVPMAFFPSLTKEIMFHAPYQIGKLACEGKVENKFDIKPHRENLMDYGKLCRERTNMSMVKPRKTELFLDDNGQGMRPMPGRQLVHPGQKEKKKPPVTKIDTKRTRMDRGELEKNLFKLFERQPNWSLKQLMQETNQPEQFLKEILNTLCVYNKRGSNQGTHELKPEYKKYTGGNPTN >PAN32044 pep chromosome:PHallii_v3.1:5:54186407:54188943:-1 gene:PAHAL_5G458800 transcript:PAN32044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRKGAMAAVTSSKHEEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLRVDANSAVFRDAVRCYWMPRLLEKMAATSAHQVDPALLHPAHVATGLGSASPPVHGGQHDATSAPGSGYGHHQSYPVDPSPSTSTSGSTSAAALPPVPCFSELSWVDQYGPYADLDGPGAGAFDSAALGGLGLDGLDLGPADGDVYPDSTLLDYLNSACCTGGGAMMTMMGGGNGAHSTCGAMDEYDGAYVPSSWRTDELCQAAARKLGDHHQWGGGI >PAN32498 pep chromosome:PHallii_v3.1:5:56105854:56108633:1 gene:PAHAL_5G491400 transcript:PAN32498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTKRVEPVQGGGLPLAALNHISVVCRSLESSVHFYRNVLGFIPIRRPGSFDFDGAWLFNYGIGIHLLQAEDPESMPPKKTQIDPKDNHISFQCESMEAVQRRLKELGVRYVQRRVEEGGIFVDQLFFHDPDGFMVEVCTCDNLPIVPLVPVEGSAILGLPPPAPACKRPAAATLRPAPPLPVPVAVPAPVSAAAAVPPAQCVPAKAGSYVGEVKAAGIVGTPAF >PVH39384 pep chromosome:PHallii_v3.1:5:56003095:56009162:1 gene:PAHAL_5G489100 transcript:PVH39384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGGDAAEGAGAGFEWDADSQLYYHASTGFYHDPVAGWYYSSRDGQYYIYENGNYTPLMTDLGNEPTSKYPYDEASQDVLEPSSCLEPPIPDDENETVGPPSEWMEETLINLYLSGYSNREVITESSLGNTHTNEEDRNETTGNKLSSLTSDSASASLNDATSQQVEDETETKNSTAVDESLGEEEEKWLAQYGQVERVNDDTPLLPTIDIWDWYVVQDHVSKGQPVARLVGCLSRGSSKLHPSLPTRGGRLRTASVSEVHLDLVRVSTGKLYRLRNPSRKYLASLSAYDSSNPTKDWGFPDIYANPDINLNKQSTAQSQSEVADESSIEGGISAVNGKEQKTKVYRDRAAERRNLHRGLGIGPGQKQSNIISSDEYEESIDDIDSMETASVDMNFRSSGLHSAKRIMENMGWKEGEGLGKSRKGIVEPIQPLINKHGTGLGWNQTR >PAN32471 pep chromosome:PHallii_v3.1:5:56003095:56009162:1 gene:PAHAL_5G489100 transcript:PAN32471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGGDAAEGAGAGFEWDADSQLYYHASTGFYHDPVAGWYYSSRDGQYYIYENGNYTPLMTDLGNEPTSKYPYDEASQDVLEPSSCLEPPIPDDENETVGPPSEWMEETLINLYLSGYSNREVITESSLGNTHTNEEDRNETTGNKLSSLTSDSASASLNDATSQQVEDETETKNSTAVDESLGEEEEKWLAQYGQVERVNDDTPLLPTIDIWDWYVVQDHVSKGQPVARLVGCLSRGSSKLHPSLPTRGGRLRTASVSEVHLDLVRVSTGKLYRLRNPSRKYLASLSAYDSSNPTKDWGFPDIYANPDINLNKQSTAQSQSEVADESSIEGGISAVNGKEQKTKVYRDRAAERRNLHRGLGIGPGQKQSNIISSDEYEESIDDIDSMETASVDMNFRSSGLHSAKRIMENMGWKEGEGLGKSRKGIVEPIQPLINKHGTGLGWNQTR >PVH39385 pep chromosome:PHallii_v3.1:5:56002517:56009224:1 gene:PAHAL_5G489100 transcript:PVH39385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGGDAAEGAGAGFEWDADSQLYYHASTGFYHDPVAGWYYSSRDGQYYIYENGNYTPLMTDLGNEPTSKYPYDEASQDVLEPSSCLEPPIPDDENETVGPPSEWMEETLINLYLSGYSNREVITESSLGNTHTNEEDRNETTGNKLSSLTSDSASASLNDATSQQVEDETETKNSTAVDESLGEEEEKWLAQYGQVERVNDDTPLLPTIDIWDWYVVQDHVSKGQPVARLVGCLSRGSSKLHPSLPTRGGRLRTASVSEVHLDLVRVSTGKLYRLRNPSRKYLASLSAYDSSNPTKDWGFPDIYANPDINLNKQSTAQSQSEVADESSIEGGISAVNGKEQKTKVYRDRAAERRNLHRGLGIGPGQKQSNIISSDEYEESIDDIDSMETASVDMNFRSSGLHSAKRIMENMGWKEGEGLGKSRKGIVEPIQPLINKHGTGLGWNQTR >PVH39383 pep chromosome:PHallii_v3.1:5:56002842:56009175:1 gene:PAHAL_5G489100 transcript:PVH39383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGGDAAEGAGAGFEWDADSQLYYHASTGFYHDPVAGWYYSSRDGQYYIYENGNYTPLMTDLGNEPTSKYPYDEASQDVLEPSSSGLEPPIPDDENETVGPPSEWMEETLINLYLSGYSNREVITESSLGNTHTNEEDRNETTGNKLSSLTSDSASASLNDATSQQVEDETETKNSTAVDESLGEEEEKWLAQYGQVERVNDDTPLLPTIDIWDWYVVQDHVSKGQPVARLVGCLSRGSSKLHPSLPTRGGRLRTASVSEVHLDLVRVSTGKLYRLRNPSRKYLASLSAYDSSNPTKDWGFPDIYANPDINLNKQSTAQSQSEVADESSIEGGISAVNGKEQKTKVYRDRAAERRNLHRGLGIGPGQKQSNIISSDEYEESIDDIDSMETASVDMNFRSSGLHSAKRIMENMGWKEGEGLGKSRKGIVEPIQPLINKHGTGLGWNQTR >PAN32470 pep chromosome:PHallii_v3.1:5:56002843:56009174:1 gene:PAHAL_5G489100 transcript:PAN32470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGGDAAEGAGAGFEWDADSQLYYHASTGFYHDPVAGWYYSSRDGQYYIYENGNYTPLMTDLGNEPTSKYPYDEASQDVLEPSSCLEPPIPDDENETVGPPSEWMEETLINLYLSGYSNREVITESSLGNTHTNEEDRNETTGNKLSSLTSDSASASLNDATSQQVEDETETKNSTAVDESLGEEEEKWLAQYGQVERVNDDTPLLPTIDIWDWYVVQDHVSKGQPVARLVGCLSRGSSKLHPSLPTRGGRLRTASVSEVHLDLVRVSTGKLYRLRNPSRKYLASLSAYDSSNPTKDWGFPDIYANPDINLNKQSTAQSQSEVADESSIEGGISAVNGKEQKTKVYRDRAAERRNLHRGLGIGPGQKQSNIISSDEYEESIDDIDSMETASVDMNFRSSGLHSAKRIMENMGWKEGEGLGKSRKGIVEPIQPLINKHGTGLGWNQTR >PVH39382 pep chromosome:PHallii_v3.1:5:56002517:56009224:1 gene:PAHAL_5G489100 transcript:PVH39382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGGDAAEGAGAGFEWDADSQLYYHASTGFYHDPVAGWYYSSRDGQYYIYENGNYTPLMTDLGNEPTSKYPYDEASQDVLEPSSSGLEPPIPDDENETVGPPSEWMEETLINLYLSGYSNREVITESSLGNTHTNEEDRNETTGNKLSSLTSDSASASLNDATSQQVEDETETKNSTAVDESLGEEEEKWLAQYGQVERVNDDTPLLPTIDIWDWYVVQDHVSKGQPVARLVGCLSRGSSKLHPSLPTRGGRLRTASVSEVHLDLVRVSTGKLYRLRNPSRKYLASLSAYDSSNPTKDWGFPDIYANPDINLNKQSTAQSQSEVADESSIEGGISAVNGKEQKTKVYRDRAAERRNLHRGLGIGPGQKQSNIISSDEYEESIDDIDSMETASVDMNFRSSGLHSAKRIMENMGWKEGEGLGKSRKGIVEPIQPLINKHGTGLGWNQTR >PAN32614 pep chromosome:PHallii_v3.1:5:56678359:56682625:-1 gene:PAHAL_5G499800 transcript:PAN32614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKQRRVSGEVGDGSRLPCSAHLFLVFWPKIVLKKWLNLKSKDLDFGADEDEDDGSDIDDQENCGCDNGDGARRPADGGVQITDEILESAPYKLRRTNSETLRAQYINTKELRVCVGTWNAGGRAPPEDLDIAEWLGTGGDAEPADIYVLGFQEVVPLNAGNVFGAEDGRPALAWESVIRGALRRAQPSKPKYRFYSNPPSPSRFDPPVDAAAAAGELLPGTDTETDTDDDAPFGFPVRPEQYAAATPRKLSRLNHFSVVDDSEVNGDEPDELDQEPQPGAPQRTLLRSLSRADRVGLVWPEQPLDLMPARALNAASSASFKTSKSFKAYKSFRGSSRVADAPADDLPMIPDLDGALRKKSQSPFVRIVSKQMVGIFLTVWVRRGLRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSIYQTMFCFVCSHLTAGEKPGDVHKRNADVHEIHRRTRFPAPGDQKLLRDIHDHDRIFWLGDLNYRLDVSYERAHELISTTSWSKLAEMDQLKCELKKGRAFDGWTEGVLEFAPTYKYALSSGKYIGDEHKGGRRTPAWCDRVLSYGKGLRLLSYRRSEQALSDHRPVTATYAAEVEVFCSRKLQKALTLTDAEVEGGQVVPDLDF >PAN32610 pep chromosome:PHallii_v3.1:5:56678312:56682786:-1 gene:PAHAL_5G499800 transcript:PAN32610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKQRRVSGEVFWPKIVLKKWLNLKSKDLDFGADEDEDDGSDIDDQENCGCDNGDGARRPADGGVQITDEILESAPYKLRRTNSETLRAQYINTKELRVCVGTWNAGGRAPPEDLDIAEWLGTGGDAEPADIYVLGFQEVVPLNAGNVFGAEDGRPALAWESVIRGALRRAQPSKPKYRFYSNPPSPSRFDPPVDAAAAAGELLPGTDTETDTDDDAPFGFPVRPEQYAAATPRKLSRLNHFSVVDDSEVNGDEPDELDQEPQPGAPQRTLLRSLSRADRVGLVWPEQPLDLMPARALNAASSASFKTSKSFKAYKSFRGSSRVADAPADDLPMIPDLDGALRKKSQSPFVRIVSKQMVGIFLTVWVRRGLRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSIYQTMFCFVCSHLTAGEKPGDVHKRNADVHEIHRRTRFPAPGDQKLLRDIHDHDRIFWLGDLNYRLDVSYERAHELISTTSWSKLAEMDQLKCELKKGRAFDGWTEGVLEFAPTYKYALSSGKYIGDEHKGGRRTPAWCDRVLSYGKGLRLLSYRRSEQALSDHRPVTATYAAEVEVFCSRKLQKALTLTDAEVEGGQVVPDLDF >PAN32612 pep chromosome:PHallii_v3.1:5:56678912:56681966:-1 gene:PAHAL_5G499800 transcript:PAN32612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLIGAVAVLVQVFWPKIVLKKWLNLKSKDLDFGADEDEDDGSDIDDQENCGCDNGDGARRPADGGVQITDEILESAPYKLRRTNSETLRAQYINTKELRVCVGTWNAGGRAPPEDLDIAEWLGTGGDAEPADIYVLGFQEVVPLNAGNVFGAEDGRPALAWESVIRGALRRAQPSKPKYRFYSNPPSPSRFDPPVDAAAAAGELLPGTDTETDTDDDAPFGFPVRPEQYAAATPRKLSRLNHFSVVDDSEVNGDEPDELDQEPQPGAPQRTLLRSLSRADRVGLVWPEQPLDLMPARALNAASSASFKTSKSFKAYKSFRGSSRVADAPADDLPMIPDLDGALRKKSQSPFVRIVSKQMVGIFLTVWVRRGLRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSIYQTMFCFVCSHLTAGEKPGDVHKRNADVHEIHRRTRFPAPGDQKLLRDIHDHDRIFWLGDLNYRLDVSYERAHELISTTSWSKLAEMDQLKCELKKGRAFDGWTEGVLEFAPTYKYALSSGKYIGDEHKGGRRTPAWCDRVLSYGKGLRLLSYRRSEQALSDHRPVTATYAAEVEVFCSRKLQKALTLTDAEVEGGQVVPDLDF >PAN32851 pep chromosome:PHallii_v3.1:5:57796354:57798801:-1 gene:PAHAL_5G516400 transcript:PAN32851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAASSILLLLALLHPAAAAARHRHRLAASLRAAPPDASLPPTTFFEVDRPIRPPRGSAGPCSALLLSGTFGATYGRPPATAAYAPPACLGAARARGAGLALAVLEWSADCRGRQFDRIFGVWLAGAELLRSCTAEPRPNGILWSVSRDVTRYAALLAEPGEVAVYLGNLVDKTYTGVYHANLTLHLYFHAAPPQQQQQPDLILPISRSLPLNDGQWFAIQNSTDVQSKRLTIPSNTYRAVLEVFVSFHSNDEFWYTNPPNDYIQANNLSNVPGNGAFREVVARVDGEVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKEHDFGFGVTDALDVWYIDANLHLWLDHKSEKTTGSLLSYDASGLDYNVNSEFSGLDGQFVTSASRHISATGWVKSSYGEVTTTFYQRFSYENSNVFRKNGTVQIVNQTIDAKSGIFAKDASSVLLSGEFHEVFPLYLYTGTSDKVGDEYSLDSLVKFGINEKKTSGGKLGFSFNSLQNAQSARGTMRVKKNLVVSGLGKTDQVYKYVGTDGCYFRDVSSRNYTILFDRSDDSCSKGAYNGASTKLNNQSARRKLLVNKL >PAN30778 pep chromosome:PHallii_v3.1:5:38321602:38325280:-1 gene:PAHAL_5G348200 transcript:PAN30778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWMNRYRAFAEGVVIMVCPVLLAISLKKVDLNSEEHGRATPITMLVVATITLTAGICPFLVCCFSKRFSRGSSSSPHTLTKLLAPLSSTLLVALACWIIYLILNNWAYPVIGAVIGLCSMIRTVKYFRTSMGDAATRDEEYSNELENSLDFLAGITALLFLGLEGLALEGQIKSTKIVQDRLTTPIVTSFFVCVVDACLMLVETMPPHNITSNLTEIFDLLTVFSVSMVIFFIMYSLMKLRALLLIAAPFLILLMHVFHVAISGMSSNGTDTDGAPSSSSSSEEHKPASLELSKLLFQVSYGGS >PAN30779 pep chromosome:PHallii_v3.1:5:38322091:38325280:-1 gene:PAHAL_5G348200 transcript:PAN30779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWMNRYRAFAEGVVIMVCPVLLAISLKKVDLNSEEHGRATPITMLVVATITLTAGICPFLVCCFSKRFSRGSSSSPHTLTKLLAPLSSTLLVALACWIIYLILNNWAYPVIGAVIGLCSMIRTVKYFRTSMGDAATRDEEYSNELENSLDFLAGITALLFLGLEGLALEGQIKSTKIVQDRLTTPIVTSFFVCVVDACLMLVETMPPHNITSNLTEIFDLLTVFSVSMVIFFIMYSLMKLRALLLIAAPFLILLMHVFHVAISGMSSNGTDTDGAPSSSSSSEEHKPASLELSKVTFTGFLAVSIPSISNGSLDSGTECFLHLAASAIVSGLIWRLLTHYKSHTTITAVANVASFYTHLCVAIATFPFTIMAGKALS >PAN29419 pep chromosome:PHallii_v3.1:5:13850946:13855918:-1 gene:PAHAL_5G224700 transcript:PAN29419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLPGDRCSPSPAALAARWSLALLAAVLGGGVPPAAALGCYTRIFSFGDSLTDTGNYVRLTATSHSPYGAPPYGRTFFGLPTGRASDGRLVIDFIAEEFGLANVTAIQTGTALADFRNGANFAIISATANNGTFFAGNGMNINPFSLDTQMLWFRTHLGQLERAAAAAGQQQNASSGALLGGALVALGEIGGNDYNLAFSGGVPRETVRGFVPAVVEKLAAAVEELVGMGARTFVVPGNLPFGCTPLYLRRFGGDAGYWDYDASTGCLAWFNRFAEYHNQVLTARLDRLRRRHPGVTIVYADWYGAMMSIFRGPREQGFTNALRSCCGNQTVPCGKPGCRVCDDPSTYGSWDGTHPTEAVYKVIADGVLHGPYASPVPLAETC >PVH38548 pep chromosome:PHallii_v3.1:5:20613722:20620648:1 gene:PAHAL_5G286700 transcript:PVH38548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLPGGKVKKKMDFVTNTKVPEQPRDLRREHERVCSASMTSEQREERNGKRREAYKRKKCDANNKENDPDLPPLE >PAN29614 pep chromosome:PHallii_v3.1:5:14961765:14967568:1 gene:PAHAL_5G238400 transcript:PAN29614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPSCLRYHVCGSGSRMIWLCFFLVLVLQSCSPALSDSPYLVGMGSYDITGPAADVNMMGYANAEQIASGIHFRLKARAFIVAEPNGKRVVFVNLDACMASQLVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQCIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPAEERSKYQYNVDKEMTLIKFVDDEVGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAELNGMPKRGAHVTNDGLESLHKMSGLPRRVSSIIPEPNEITDDLVQLASSYEASGGRRLSGSSITRRIRSSQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGDRQFLKAVDLFNSASEEIQGRVEYRHTYLDFSQLEVNVPSSTGGEQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDVKGNPFWRLVRNLLKTPGKEQVECQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQMVILCVPGEFTTMAGRRLRDAVKEVLTSDSSGEFNDIHVVLAGLTNTYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMVANKEIPTNFQPPDMLDKQIGLLPGVMFDSTPPGVKFGDISSDVPASSTFRKGSTANATFYSACPRNDLLTDGTFALVEKLDGSNNWVPAYDDDDWSLRFKWSRPAKFSSRSFATLEWTIPEDAPSGVYRLRHFGASKPLFGSIKHFTGTSRAFAVR >PAN29616 pep chromosome:PHallii_v3.1:5:14961713:14967568:1 gene:PAHAL_5G238400 transcript:PAN29616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPSCLRYHVCGSGSRMIWLCFFLVLVLQSCSPALSDSPYLVGMGSYDITGPAADVNMMGYANAEQIASGIHFRLKARAFIVAEPNGKRVVFVNLDACMASQLVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQCIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPAEERSKYQYNVDKEMTLIKFVDDEVGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAELNGMPKRGAHVTNDGLESLHKMSGLPRRVSSIIPEPNEITDDLVQLASSYEASGGRRLSGSSITRRIRSSQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGDRQFLKAVDLFNSASEEIQGRVEYRHTYLDFSQLEVNVPSSTGGEQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDVKGNPFWRLVRNLLKTPGKEQVECQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQMVILCVPGEFTTMAGRRLRDAVKEVLTSDSSGEFNDIHVVLAGLTNTYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMVANKEIPTNFQPPDMLDKQIGLLPGVMFDSTPPGVKFGDISSDVPASSTFRKGSTANATFYSACPRNDLLTDGTFALVEKLDGSNNWVPAYDDDDWSLRFKWSRPAKFSSRSFATLEWTIPEDAPSGVYRLRHFGASKPLFGSIKHFTGTSRAFAVR >PAN29615 pep chromosome:PHallii_v3.1:5:14962418:14967568:1 gene:PAHAL_5G238400 transcript:PAN29615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPSCLRYHVCGSGSRMIWLCFFLVLVLQSCSPALSDSPYLVGMGSYDITGPAADVNMMGYANAEQIASGIHFRLKARAFIVAEPNGKRVVFVNLDACMASQLVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQCIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPAEERSKYQYNVDKEMTLIKFVDDEVGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAELNGMPKRGAHVTNDGLESLHKMSGLPRRVSSIIPEPNEITDDLVQLASSYEASGGRRLSGSSITRRIRSSQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGDRQFLKAVDLFNSASEEIQGRVEYRHTYLDFSQLEVNVPSSTGGEQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDVKGNPFWRLVRNLLKTPGKEQVECQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQMVILCVPGEFTTMAGRRLRDAVKEVLTSDSSGEFNDIHVVLAGLTNTYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMVANKEIPTNFQPPDMLDKQIGLLPGVMFDSTPPGVKFGDISSDVPASSTFRKGSTANATFYSACPRNDLLTDGTFALVEKLDGSNNWVPAYDDDDWSLRFKWSRPAKFSSRSFATLEWTIPEDAPSGVYRLRHFGASKPLFGSIKHFTGTSRAFAVR >PAN32356 pep chromosome:PHallii_v3.1:5:55570098:55575542:1 gene:PAHAL_5G481300 transcript:PAN32356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MADGSASASASASPSSASPHQNHRDAIKFSVHNSASSRRREHAIAVGKERREALMRAKRVCRAPLFGSDKTVMEEGDMVIDEEKADLEARTAQAVEELKSALSTQGKGAQKKKIEVLRALRRLLSQSEVPPVEAAIKAGAIPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGADLRSKLLAQGALWPLARLMLSNKGSTARTAAWALSNLIKGPDPKAANELISIDGVLNAIIRNLEKADEELATEVAWVVVYLSALSEKATSIIVRSSVPQLLIGRLLSSENLQLLIPVLRSLGNLVAGDGYMVDSVLIVGNSITDQALSGLVKCLKSDNRVLKKEASWAMSNIAAGSFEHKKLIFASEAMPLLMHLLMTAQFDIRREAAYTLGNVCVVPAGNAGPPNIIVDHLVAIINGGTLPGFINLVRSADMESARLGLQFLELVMRGYPNGQGPKLVEREDGIEAMERFQFHENELMRNMANGLVDKYFGEDYGLD >PAN30137 pep chromosome:PHallii_v3.1:5:19393162:19398166:1 gene:PAHAL_5G279300 transcript:PAN30137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSGSCAAAPVAGLPSLRTPPPTNLRLGGFLHCSSAARSPGGAPLGWTQGAKKQTTTPPAVARLNRLLCGYMRRDGNEGDVRSQGRDDAIMFGPDDDGVRIPTQTETLVKGTAMVAEPEYKPIPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >PAN30136 pep chromosome:PHallii_v3.1:5:19394644:19398166:1 gene:PAHAL_5G279300 transcript:PAN30136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDGNEGDVRSQGRDDAIMFGPDDDGVRIPTQTETLVKGTAMVAEPEYKPIPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >PAN29344 pep chromosome:PHallii_v3.1:5:13329955:13333770:-1 gene:PAHAL_5G218200 transcript:PAN29344 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MGSRRYDINAWRCGTHSTPSKSMYLDAQHVVHCQATDRGVGPCAVKHHFPSPIVSWIEDLSSFGNVSFSPDSEYVDEQARASVGQSSTSSNLHDMQISVRLTEEFMELAKENISNNLETCGILGASFRDGTYFVTMLIIPKQEGTAHSCQAVNEEEIHAVLSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVVAPKDPTRSYGIFRLTDPGGMEVLRGCDESGFHTHRETTDGSPIYETCSKVRFNPNLRFEIVDLRSGA >PAN29343 pep chromosome:PHallii_v3.1:5:13330493:13333763:-1 gene:PAHAL_5G218200 transcript:PAN29343 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MGSRSRYDINAWRCGTHSTPSKSMYLDAQHVVHCQATDRGVGPCAVKHHFPSPIVSWIEDLSSFGNVSFSPDSEYVDEQARASVGQSSTSSNLHDMQISVRLTEEFMELAKENISNNLETCGILGASFRDGTYFVTMLIIPKQEGTAHSCQAVNEEEIHAVLSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVVAPKDPTRSYGIFRLTDPGGMEVLRGCDESGFHTHRETTDGSPIYETCSKVRFNPNLRFEIVDLRSGA >PAN31818 pep chromosome:PHallii_v3.1:5:53297899:53303266:1 gene:PAHAL_5G445000 transcript:PAN31818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLVPEWKQAFVDYCLLKKDLKRVEHALLLGRHATAERCEAGLTAGGAQPTADRHAERAPLAQWLCHQLPAGLFGSSAAKDHGAIHVHRRPMAGPASRGGGGDEYETELLEPLAAADAAAARDFFAQLDAQLNKVNQFYRGKEKEFLDRGQSLRRQMDILAGLKAAAREDSNPSLSSGGCSEDESTRYAMTSAADTDQLNEPETTPTKDPSGTDQEDQQQELEGSRTFRKPVPQPKSLGRSASGCGRKSLKISIPLTNPSRTIAALTDVLWDELAAQSGSKKCSNPDGSAGKQGVSKTKLRHAEKMIRGAFVELYKGLGYLATYRNLNMMAFVKILKKFEKISGKQVLSVYLKVVESSYFNSSDEALKLMDEVEDIFVRHFAGDNRRKAMKYLKPAQRKESHAVPFVTGLATGCFAALFVGYCAMAHMAGLYLYTATPRGRGGDGGGGGDSARFMETAYPVLSMFALLFLHLLLYGCNMVAWRRCRVNYGFIFESSPAAGGELRPRDVFLACAASMAAVAGVMFAHLALVLRGYHASPHVQAIPGFLLLAFLLLLFCPLNVVYRSSRFRFLRILRNIVLSPLYKVVMVDFFMADQLCSQVPMLRSMEYLACYYISGSYRTQDHGFCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDEGDTSHLVNLGKYVSAMLAAGAKVAYEKDESLASLTLLVAVSSTATVYQLYWDFVKDWGLLQPNSKNPWLRTDLVLKRKYIYYFSMGLNLVLRLAWLQTVVHPNFGSLDSRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVNTVPLPFHEEDED >PAN32290 pep chromosome:PHallii_v3.1:5:55290527:55293997:1 gene:PAHAL_5G475600 transcript:PAN32290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPIFSCSSITPINSPKPTKATSTAMCSACLLLLSVSMFFFTLPGAGADDEAALLAFKAAAVGGSSDALASWNRSTDGGYCSWEGVRCRGRHRQVVALSLPSHGLTGVLSPAVGNLSSLRTLDLSFNELSENIPASLGRLHRLHTLNLSHNSFSGSIPTNLSFCTSLMIMAIKFNNLSGNVPPELGDRLKHLKLLDLENNNLTGGIPVSMGNLTSLSVLDLMQNQLEGTIPYDLGVLKDLWFLGLAYNNLSGDFPVSLYNLSSMKIMQIQWNKLSGSIATNIGSRFPSIQILGFAVNQFTGHIPASVSNLTSLQVLYLAENRLSGYVPRTVGKLQALRGLFLWSNKLEANDGEGWEFITSLSNCSQLQQLVMDNNPAFTGHLPSSLVNLSTTLQSLTFDATGISGSLPSAIGNLVGLQVLYARNTFISGGIPDSIGELGDLTWLYLDNTNLSGQIPSSIGNLSKLAILHANSSNLEGPIPASIGNLKSILSLDLSMNQLNGSIPREIFKLPFFSLSYLNLSYNSILGALPSEVGNLGNLNFMVLSGNQLSGEIPESIGECTVLQELRLDNNLFNGSIPRSLNKGLAALNLSMNELSGTIPEAIGNIHGLQQLSLAHNNLSGPIPAVLQNLASLSMLDLSFNNLQGEVPKEGIFRNLANLSITGNNDLCGGIPQLHLAPCKIDSEKKNGRRHLKYLTIALPTTGALLLIAILTALIYLIYKKDKQRSPFQPSIVEEQYERISYHALENGTNGFSEANLLGKGSFGAVYKCALQGEGTIVAVKVLNLEQTGATKSFIAECEALRRVRHRCLIKIITCCSSINKQGQDFKALVFEFMPNGSLHSWLHKKNDMPTLNNTLSLSQRLDIAIDVMDALDYLHNHCQPPIVHCDLKPSNILIAEDMSVRVGDFGISRILTESASRTLQNSNSTIGIRGSIGYVAPEYSEGSSITTLGDVYSLGILLLEMFTGKSPTDAMFTGSLDLHKYSEDALLHKIWEIADTTMWLHTDTYDDSTRNRTENCLGHVISLGISCSRKHPRERTLIQDAATEMHAIRDSYQSGGRI >PAN27481 pep chromosome:PHallii_v3.1:5:5149973:5151099:1 gene:PAHAL_5G083800 transcript:PAN27481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSHSPAATCQLLGPSRRTRLPTPCPRAGAAPAADAALLPPPLRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEETVRLSRAWGVRALTAFAFSHENWSRPKVEVEFLMGLFERVIHERVAELLREGIRLRVIGDSSRLPVSLQKTAREAEEATRNNSQLDLTLAISYSGRRDIVQACRSLAQKVHDKALRPEDIDESLFADELETSPAAELPYPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEDDYLEALVSFQSRARRFGVRKL >PAN31280 pep chromosome:PHallii_v3.1:5:50661233:50667056:-1 gene:PAHAL_5G409000 transcript:PAN31280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADASPRTETSTDDTDDNHGLEPGTGALVVASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVTAHASDSDLRSVVDKIMSHYDEIFRLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSHQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQAARALLVISDYSSRLRALSSLWLARPKE >PAN31281 pep chromosome:PHallii_v3.1:5:50661256:50667045:-1 gene:PAHAL_5G409000 transcript:PAN31281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADASPRTETSTDDTDDNHGLEPGTGALVVASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVTAHASDSDLRSVVDKIMSHYDEIFRLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSHQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQAARALLVISDYSSRLRALSSLWLARPKE >PVH39357 pep chromosome:PHallii_v3.1:5:55772559:55773719:-1 gene:PAHAL_5G485400 transcript:PVH39357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKVLRLDTARADEMEVDGAPDLREEGSSSRASSVAAGLPAPWGKRKRAACSSGADGGSASRGQVDNAVQLPKRRVLAWMRPHQWLPAGFGGLFAAPRELPVSRSHRARVVAKAMSRVRRRIGKPTRRRQQHQQSLGQHLSRISL >PAN28153 pep chromosome:PHallii_v3.1:5:8046573:8049373:1 gene:PAHAL_5G134800 transcript:PAN28153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMKLGSKPEIFVLEDLTWRCTTELESDVVVEVGEMSFYLHKFPLLSRSGVLQRMISEYQPPAVGGGGMCTLQLDDIPGGAKAFELAAKFCYDVKIELNALNVVCLRCAAEYLRMTDDYAEGNLITQAESFLSDVLANWKDSIKALETCEGVLPTAEDLHLVSRCITALASKACASDAAAPLLRNASVDKDALWNGIRSGDTASAASAAGMDWWYEDVSFLSLPMFKRVIQAMEAKGMRAESIAGAIMFYAGRFLPGLKRNTSFSNALASYGADGGGGGGMSSRNITPRAASVSAPSEGDQRYFLEEIVALLPTKKGVASTKFLLGMLRTAMLLHASPLCRENLERRIGTQLEDASLDDLLVPNLGYHVETLYDIDCVQRILDYFMSSTDGIGTGYTSPALAEDGGGSLGVPQGGTPSTSLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAIDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSDNAAGGDVARPHHGGAMVPKGAAAAVAASTQAEVDTDAEDDAPEGKETIADVKARVSELEKEYKTMKQEIRRLGKPRRSWSLLTRKCGFGAKV >PAN28154 pep chromosome:PHallii_v3.1:5:8047303:8049154:1 gene:PAHAL_5G134800 transcript:PAN28154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPLLSRSGVLQRMISEYQPPAVGGGGMCTLQLDDIPGGAKAFELAAKFCYDVKIELNALNVVCLRCAAEYLRMTDDYAEGNLITQAESFLSDVLANWKDSIKALETCEGVLPTAEDLHLVSRCITALASKACASDAAAPLLRNASVDKDALWNGIRSGDTASAASAAGMDWWYEDVSFLSLPMFKRVIQAMEAKGMRAESIAGAIMFYAGRFLPGLKRNTSFSNALASYGADGGGGGGMSSRNITPRAASVSAPSEGDQRYFLEEIVALLPTKKGVASTKFLLGMLRTAMLLHASPLCRENLERRIGTQLEDASLDDLLVPNLGYHVETLYDIDCVQRILDYFMSSTDGIGTGYTSPALAEDGGGSLGVPQGGTPSTSLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAIDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSDNAAGGDVARPHHGGAMVPKGAAAAVAASTQAEVDTDAEDDAPEGKETIADVKARVSELEKEYKTMKQEIRRLGKPRRSWSLLTRKCGFGAKV >PAN32355 pep chromosome:PHallii_v3.1:5:55566299:55569204:1 gene:PAHAL_5G481200 transcript:PAN32355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAADNHEEESSPLLPAAVSAAIPADEKPPQAPALAPEATKLYADGVPVVMGEPVAAHAIPRESWSSGILSCLGRNDEFCSSDLEVCLLGSVAPCVLYGSNVERLAAGQSTFANNCLPYTGLYMLGNSLFGWNCLAPWFSHPTRTAIRRRYNLEGSFEAFTRQCGFCGGLVEDEERREHLEVACDLATHYLCHPCALCQEGRELRRRVPHPGFNNGRSVFVMMPPMEQTMGRGM >PAN32189 pep chromosome:PHallii_v3.1:5:54949759:54954549:-1 gene:PAHAL_5G469800 transcript:PAN32189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVDGGGGRAAVGRRQEQRHIGTVAHLASGGFAGAVSKTCTAPLARLTILFQVAGMHADVAALRKYSIWHEASRIVREEGFRAFWKGNLVTIVHRLPYSAISFYSYDRYKNLLQMVPGLDRDSNNVGVVRLLGGGLAGITAASATYPLDVVRTRLATQKTTRYYKGISHAVCTICRDEGVKGLYKGLGATLLASRLYRGC >PAN32186 pep chromosome:PHallii_v3.1:5:54949759:54954549:-1 gene:PAHAL_5G469800 transcript:PAN32186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVDGGGGRAAVGRRQEQRHIGTVAHLASGGFAGAVSKTCTAPLARLTILFQVAGMHADVAALRKYSIWHEASRIVREEGFRAFWKGNLVTIVHRLPYSAISFYSYDRYKNLLQMVPGLDRDSNNVGVVRLLGGGLAGITAASATYPLDVVRTRLATQKTTRYYKGISHAVCTICRDEGVKGLYKGLGATLLGVGPSIAISFSVYESLRSHWQMERPHDSTVVVSLFSGSLSGIASSTEGRPSWLL >PAN32188 pep chromosome:PHallii_v3.1:5:54951756:54954031:-1 gene:PAHAL_5G469800 transcript:PAN32188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVDGGGGRAAVGRRQEQRHIGTVAHLASGGFAGAVSKTCTAPLARLTILFQVAGMHADVAALRKYSIWHEASRIVREEGFRAFWKGNLVTIVHRLPYSAISFYSYDRYKNLLQMVPGLDRDSNNVGVVRLLGGGLAGITAASATYPLDVVRTRLATQKTTRYYKGISHAVCTICRDEGVKGLYKGLGATLLASRLYRGC >PAN32187 pep chromosome:PHallii_v3.1:5:54951311:54954031:-1 gene:PAHAL_5G469800 transcript:PAN32187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVDGGGGRAAVGRRQEQRHIGTVAHLASGGFAGAVSKTCTAPLARLTILFQVAGMHADVAALRKYSIWHEASRIVREEGFRAFWKGNLVTIVHRLPYSAISFYSYDRYKNLLQMVPGLDRDSNNVGVVRLLGGGLAGITAASATYPLDVVRTRLATQKTTRYYKGISHAVCTICRDEGVKGLYKGLGATLLGVGPSIAISFSVYESLRSHWQMERPHDSTVVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTASGHKLTITGTVRDILQKEGPRGFYRGIAPEYLKVVPSVGIAFMTYETLKSLLSSMDTDIES >PAN29854 pep chromosome:PHallii_v3.1:5:16126337:16127846:-1 gene:PAHAL_5G254400 transcript:PAN29854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRSFFSPKSINSSNGFSTTNHIGSGGHKLSIYQQQRLLLEVQQRNTMVEKGRLRQPRSLVPTFCIKDVQNYHPSYVSTTHRIPSTMLQPYAIDGRERIGGSSPTANPTRFGGHAQPVTTIHLAMPKHVSSGTIFGYHHQKLKRKAITTDVPPRSSFDVALESATNVFFSFDKNCQEVQMHVAKKKKKKNTTMNLPLINENDADDAAERAAREMKFFPLSLNHGLPRSDSEFSVYEMSSVSEGVSDVELDLSLKLSPSSGRSGITVDLSLKL >PAN27519 pep chromosome:PHallii_v3.1:5:5354823:5356720:1 gene:PAHAL_5G086400 transcript:PAN27519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEAWTQVLLPPTAPCFSGQPSCFWQDRHAGFGGRWDEWTAEENKLFEEALARVDRNAPDRWEKVAAMLPRKTVVDVVNHYNDLENDVGYIEAGLVPFPHYSGSPPASGFTLEDWDGGDGVFRRGYCLKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRKYVTTRTPTQVASHAQKYFIRLTSGGKDKRRSSIHDITTVNLPDEDRGNASPSPSPQSAATNPSAADQFGALVDVKPFAPPLGVAHPYGGVKLEPKGALVAGLGFDDSVLLQMQCAAGQL >PAN28626 pep chromosome:PHallii_v3.1:5:10125760:10130928:-1 gene:PAHAL_5G167000 transcript:PAN28626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGHVDPFLPPQQPLQAAAYHVAPQGDYGQPQAQPAPRPPGCPYSSSASAPPVSASYHSSPPAASPPPVSSPPPATPPPEPFPSSPPPPTPLPPTPALSPPPPDAPPPSLPPSPSPSPPPSPPQAPPPPLASDQPRVQPRVYPSPPPPSLPPPPPPAVSPPSPAPSNSPSPSPAPAAQAPAPARVAAHSPPPPRTVSPPPPHYHVKPHYTPRSPPKSHSNSTHAASGSGKNIEISREAATTIVALAGLAMLSFIGATIWFVKKKRRRIEPPATLPTQQPAPPPPPNYIPSSAGSSLASDGFYLRSPGYPFMRYSTGSHGFPYSPADSGIGYSHMLFTPENLAAITDDFAEENLLGEGGFGCVFKGILPDGRPVAVKKLKVGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAALDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRFDENEMFHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDELSQTSWRSRRDL >PVH37554 pep chromosome:PHallii_v3.1:5:1877993:1881264:1 gene:PAHAL_5G029200 transcript:PVH37554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18a [Source:Projected from Arabidopsis thaliana (AT3G62770) UniProtKB/Swiss-Prot;Acc:Q93VB2] MSTGTRPGRLDASDGNTVNKPHLQPHLPLRNPDLYRYPPAPPKQPRGTNPPAALPPCPFCPSPMATPAAAPAPPNPNPVPDDPPPPPPQQEEEAPEQEQETSSPPPLAPTTSIERTPSGGEETESDDSSSVSSAASSAPAPAAAGGAAGRPFPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLAAEGDGSGGGGIGVVEMLFRCNILALVGGGDNPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAVEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGSTANDKPMPAPESEIPHISPPLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSDQQ >PVH39117 pep chromosome:PHallii_v3.1:5:52904061:52906990:1 gene:PAHAL_5G440400 transcript:PVH39117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPHHHHHHGHRRDDDDDERRGPPPPAYGGYGQPDPYGRPPADDPYGRVPPPSAYGAGGDPYGRHPPAPSYGRAEEGYGAPPPAYSAGGAGGYGNVVHVGHEVGGERPHYGVGGGAYGGGGSEYGHEGRPHHGGGGYGGGGSEYGHETRPHHGGGGAPPVRQQTYRIYCKAGEDHYSLASRDGKVCLVRTDRDDDTQHWIKDMKYSTRVKDEEGYPAIVLVNRATGEALKHSLGQSHPVRLTRYDPDTLDESVLWTESRDVGDGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPW >PAN26579 pep chromosome:PHallii_v3.1:5:1500192:1501700:1 gene:PAHAL_5G023300 transcript:PAN26579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMQGSAALLAGAMALGVLASLLTGVQSNGVCYGMVADDLPPPGEVVQLYKSSGIKNMRIYAPDSQVMEALRGSGIGLILGVVNEDIGNLAGCSSCAATWVQTNIKPYYPAVNILHIAVGNEVQGGATQSILPAMRNLEGALAAAGLAGIKVSTCVRLDVVINTFPPSSGVFAQPYMVDIARFLATASAPLLANVYPYFAYKGSPGDISLNYALFLPGTTVRDGGNGLVYTNLFDAMVDAIHAALEKAGAASVRVVVSESGWPSAGGAAASVQNARTYNQNLINHAAQGTPKRPGALETFVFAMFNENKKPGEPTEQNFGLFYPNKSPVYPITFR >PVH39075 pep chromosome:PHallii_v3.1:5:52188230:52191615:1 gene:PAHAL_5G428000 transcript:PVH39075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVSAVAADLVGRLIAFLVRKYQEPGAAEDAARLRRALLRAGAVVEEAEGRQIANRAVLLQLDQLRREMCRGAYELDVDALRRRAGDPRKRHATAERRTFSRLRLGTGGGLSAVVESLEAALCDMRELVVLFGCCPRVARQPYSAYLLMESCMFGRQVEKEQIIGFLLQPSQDLDVLPIVGPREVGKRTLVEHVCLDERVRKHFAKIHRLDLRSYDLEHHHLSLIDGTERSLIVADLAGGGAGEEEERWRRFHASVRRRAHGGSKIILISRAGAHAGLGTAPPLRLRAPRREELWYFFRALAFGGADPEGRPELLRVAMALFAGIHDPVTFAAAGTIAASLRADLSARSWRRVLGVFAGATDRCGVFLCRPVKDSPGVPCAFRDRRKSTGAATARSELPGVTMLDLVTGGAVLPVPGGGTRFDVLVWRSRIPPYTSYVATCDVGRSRQVVAVEKKRARKRRRGQQGEERDELDELMTSYEAH >PVH39074 pep chromosome:PHallii_v3.1:5:52188229:52191616:1 gene:PAHAL_5G428000 transcript:PVH39074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVSAVAADLVGRLIAFLVRKYQEPGAAEDAARLRRALLRAGAVVEEAEGRQIANRAVLLQLDQLRREMCRGAYELDVDALRRRAGDPRKRHATAERRTFSRLRLGTGGGLSAVVESLEAALCDMRELVVLFGCCPRVARQPYSAYLLMESCMFGRQVEKEQIIGFLLQPSQDLDVLPIVGPREVGKRTLVEHVCLDERVRKHFAKIHRLDLRSYDLEHHHLSLIDGTERSLIVADLAGGGAGEEEERWRRFHASVRRRAHGGSKIILISRAGAHAGLGTAPPLRLRAPRREELWYFFRALAFGGADPEGRPELLRVAMALFAGIHDPVTFAAAGTIAASLRADLSARSWRRVLGVFAGATDRCGVFLCRPVKDSPGVPCAFRDRRKSTGAATARSELPGVTMLDLVTGGAVLPVPGGGTRFDVLVWRSRIPPYTSYVATCDVGRSRQVVAVEKKRARKRRRGQQGEERDELDELMTSYEAH >PVH38488 pep chromosome:PHallii_v3.1:5:17731383:17735502:1 gene:PAHAL_5G270300 transcript:PVH38488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARLAALAFLLLALGAARAADEGEARALLALGAALDPTGRLLPSWAPGRDPCGGGFEGVACDARGAVANVSLQGKGLAGTLPPAVAGLRALTGLYLHYNALRGGVPRELAALQGLTDLYLNVNNLSGPIPPELGAMASLQVVQLCYNQLTGSIPTQLGNLTRLTVLALQSNRLSGAIPASLGGLPLLARLDLSFNNLFGSIPVRLAQLPRLVALDVRNNSLTGSVPAELAARLQAGFQYGNNTDLCGAGLPALRPCTPADLIDPDRPQPFSAGIAPQVTAPDGGGGHGRAPSTKALAAVVVVAVALLAATAAGLLALSWRRWRMQRVAGGSPPTVAGGRCSTEAATAKGSSARKSASSALASLEYSNAWDPLADARGGAAGLGFSSQDVLAQSLRISTEEVESATRYFSELNLLGRRGKKAGGLAATYRGTLRDGTPVAVKRLGKTCCRQEEAEFLQGLKLLAELRHDNVVALRGFCCSRARGECFLVYDFVPNGSLSQFLDVDADSTAGGGHRVLEWSTRISIIKGIARGIEYLHSAGPSKPALVHQNISADKVLLDYTYRPLISGCGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRFSEKSDVYAFGVIVLQVLAGKRKVAVAAQPPGDVGELVDGNLQGSYSAAEAAKLAEIAVACTGEDPDQRPTMAELLQELGTV >PAN32075 pep chromosome:PHallii_v3.1:5:54346929:54348496:1 gene:PAHAL_5G460600 transcript:PAN32075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRGIRRLLSVPAFLVVIRSSMEVADDAEESEREAQAPTVTWLKGTGRGMNDSPLQAKLCSRRRALPNLGASRC >PAN30034 pep chromosome:PHallii_v3.1:5:17441014:17445430:1 gene:PAHAL_5G268900 transcript:PAN30034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKNFLVQGGDPTGSGTGGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADVETDKDDRPVYPQKILSVEVLWDPFEDIVPRQLKKAESVAKADAEVKPKKKAAKQLNVLSFGDEVEEEENEAASSVQAKIKSIHDVLDDPRFLKGEPEDVQLSKEQEEKKKDTVLSVRDALISKKVDSREPEHAPESDDYPEDENEEDFDNRMRSQILKKRRELGDVPPRETSKADKPRRKDKELPDRRSDIKHRRDNDDDDDDDQEHDELQKSKKLSLKKKGIGSEASAERMSRTDANLQLLNPAEQERHLQKQKKRRLQGREDETLAKLQKFKASFLSKNPATDSVKEKNPATDTAEKEAEEDYTGWHTNRLSFLPDSSKDGMTRKDDPDDYVVVDPLLEKGKEKFNKMQAKLKRREREWAGRSLT >PAN30035 pep chromosome:PHallii_v3.1:5:17441014:17445430:1 gene:PAHAL_5G268900 transcript:PAN30035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKNFLVQGGDPTGSGTGGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADVETDKDDRPVYPQKILSVEVLWDPFEDIVPRQLKKAESVAKADAEVKPKKKAAKQLNVLSFGDEVEEEENEAASSVQAKIKSIHDVLDDPRFLKGEPEDVQLSKEQEEKKKDTVLSVRDALISKKVDSREPEHAPESDDYPEDENEEDFDNRMRSQILKKRRELGDVPPRETSKADKPRRKDKELPDRRSDIKHRRDNDDDDDDDQEHDELQKSKKLSLKKKGIGSEASAERMSRTDANLQLLNPAEQERHLQKQKKRRLQGREDETLAKLQKFKASFLSKNPATDSVKEKNPATDTAEKEAEEDYTGWHTNRLSFLPDSSKDGMTRKDDPDDYVVVDPLLEKGKEKFNKMQAKLKRREREWAGRSLT >PAN32668 pep chromosome:PHallii_v3.1:5:56868823:56876120:-1 gene:PAHAL_5G503400 transcript:PAN32668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEMADAPPAIPAPAAEPLAAVAEEGEGEAAEAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFKLERQLASSQVPKEQQINLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDVDSSLSDTANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPITTCRKIVHWRNHLKFPEDARLSNEARDLICRLLCDVDHRIGSSGADQIKAHPWFRGVAWDKLYEMEAAFKPQVNDELDTQNFMKFEELENPPARTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKAIKISDLQRNSSLTRPSIGSIFGPPGMDSPMEANGRDTHMHTVSSGDPMIP >PVH38724 pep chromosome:PHallii_v3.1:5:33902419:33908502:-1 gene:PAHAL_5G333900 transcript:PVH38724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWNDEQQQVGDAIWAEFNESEDHIVPYPKGAEDSTLVSVGDHKNNDEETVSIVGITEHSEGGQTELQGMEKQYANQTSAHFSATRLDMESWPDLPSLNPALDRNYSDDNIASTYLDFSAEPSIQKVTGNTTVQLDGEPEMFGNDHEEKSSTFLDCDWGNIGDFDDFDHLFSHSDSIFCNEMVANDSDFFSASSELMDNTVQSIPIPQVPLNKQPSSDHGPSLLLTNKISGGITKQENKGDMQKRPVRSRRKQEERGKSKISSDTSGFFQSKVQNASANLQAPMQPVQTPQYALFQDSKNMGPVQHSNQFIFPGYGYPAYPFPTIRLVSNVQAEDHQTKSPATSYQTSADSPKHASSKEKCQDIPSRPLRMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQEGSGSDTIVPQTYSTRNKNPDSLGSSIVIDGNTNKVFSPEMMPTSHDEVHRSSAISDDPYIEEKIYYQLQDALGKLDTKTRLCIRDSLLRLAHSATERQIAVDRSSTNKTTKDEDEASEHDTSTRTTRSPTKEAETTTNPIDRIVAHLLFHRHCSKVATATKEDIPSTRLTLEPESKVPLTPTVPSEDQ >PVH38726 pep chromosome:PHallii_v3.1:5:33902419:33908502:-1 gene:PAHAL_5G333900 transcript:PVH38726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNDHEEKSSTFLDCDWGNIGDFDDFDHLFSHSDSIFCNEMVANDSDFFSASSELMDNTVQSIPIPQVPLNKQPSSDHGPSLLLTNKISGGITKQENKVADANAKSGEQVESKNHLTCKYSGKPNQFSQEGDMQKRPVRSRRKQEERGKSKISSDTSGFFQSKVQNASANLQAPMQPVQTPQYALFQDSKNMGPVQHSNQFIFPGYGYPAYPFPTIRLVSNVQAEDHQTKSPATSYQTSADSPKHASSKEKCQDIPSRPLRMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQEGSGSDTIVPQTYSTRNKNPDSLGSSIVIDGNTNKVFSPEMMPTSHDEVHRSSAISDDPYIEEKIYYQLQDALGKLDTKTRLCIRDSLLRLAHSATERQIAVDRSSTNKTTKDEDEASEHDTSTRTTRSPTKEAETTTNPIDRIVAHLLFHRHCSKVATATKEDIPSTRLTLEPESKVPLTPTVPSEDQ >PVH38725 pep chromosome:PHallii_v3.1:5:33902970:33908190:-1 gene:PAHAL_5G333900 transcript:PVH38725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWNDEQQQVGDAIWAEFNESEDHIVPYPKGAEDSTLVSVGDHKNNDEETVSIVGITEHSEGGQTELQGMEKQYANQTSAHFSATRLDMESWPDLPSLNPALDRNYSDDNIASTYLDFSAEPSIQKVTGNTTVQLDGEPEMFGNDHEEKSSTFLDCDWGNIGDFDDFDHLFSHSDSIFCNEMVANDSDFFSASSELMDNTVQSIPIPQVPLNKQPSSDHGPSLLLTNKISGGITKQENKVADANAKSGEQVESKNHLTCKYSGKPNQFSQEGDMQKRPVRSRRKQEERGKSKISSDTSGFFQSKVQNASANLQAPMQPVQTPQYALFQDSKNMGPVQHSNQFIFPGYGYPAYPFPTIRLVSNVQAEDHQTKSPATSYQTSADSPKHASSKEKCQDIPSRPLRMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQEGSGSDTIVPQTYSTRNKNPDSLGSSIVIDGNTNKVFSPEMMPTSHDEVHRSSAISDDPYIEEKIYYQLQDALGKLDTKTRLCIRDSLLRLAHSATERQIAVDRSSTNKTTKDEDEASEHDTSTRTTRSPTKEAETTTNPIDRIVAHLLFHRHCSKVATATKEDIPSTRLTLEPESKVPLTPTVPSEDQ >PVH39456 pep chromosome:PHallii_v3.1:5:56990946:56992222:1 gene:PAHAL_5G505000 transcript:PVH39456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALVRAQATVRAARGRAVPQLPPLHHHPPVRPRFSLQERYADDTRSEHGVAAYSRRLSASIESASYGGYDRSPKIVEMDTGRPRSRASSQRTDDDWYAQSVSSPLLPCHLPGGAPPRIAVPSSRHFPEYEWCAPEKPRPATAQCTPRCAAPFLAPPTPAKSVCGAGAGVGCPGYMSSTQSSEAKSRSQSAPKQRPEQQPRKRVPLSEVVLEARASLSGLVGMAHKPCSNRAVAQEAFDFRAAVVSRFERPPDAAAAAERERDAFFLQRRW >PVH39248 pep chromosome:PHallii_v3.1:5:54477031:54477883:-1 gene:PAHAL_5G463000 transcript:PVH39248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSFLPCVLYICSLPLAPGGLPLRSAFILGLILMGYASCVHRRCLLNISFVCCCLRS >PAN29080 pep chromosome:PHallii_v3.1:5:12200549:12203862:-1 gene:PAHAL_5G201000 transcript:PAN29080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLATRIGTVVRSPMAAAAVAGAGRSDGPRKRPRRPGGVVRMEGGGRGGAGCCCSSGRAPEPAAARLVVIVGATGTGKTKLSIDAAAALGGEVVNADKIQLYAGLDVTTNKVPLADRRGVPHHLLGAVRADAGELPPTSYRALAAEAAASVAARGRVPVVAGGSNSLIHALLADRLDAAPADPFAADEGYRPALRFPCCLLWVDVDGPVLDDYLDRRVDDMVREGMVEELREYFASTSPAERASHAAGLGRAIGVPELGDHFAGRKSLAAAIDEIKANTRVLAAAQARKIRRMAGAWGWPVRRLDATPTVRARLAGAGRADEAAAWERDVRGPGLAAMRRFLDAHRHEPQQQRWPLAPRRQCRGMVG >PAN31247 pep chromosome:PHallii_v3.1:5:50437076:50437444:-1 gene:PAHAL_5G407200 transcript:PAN31247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVACLSTPATFAAADVVPRRKVPGRVWFPVARRGGFAVRSSGPATPPGISDKVSDSIKKAEETCADEKVSGECAAAWDEVEELSAAASHARDKLKENSDPLENYCKENPEADECRTYDN >PAN32817 pep chromosome:PHallii_v3.1:5:57694178:57700102:-1 gene:PAHAL_5G514300 transcript:PAN32817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQVAAPHHHHHQQKAANLARTFTKLLRRKRADDAAQGVPEAPATVAGGDYEEERAEQPVVPSLSKLKLSGNLAAAYSFDAFFRNAAEKKAAAAAAAGGGGGGAVGRPLPGDVTPEAAADSLLATLFAGVSAVKAAYAQLQLAQFPYDAEAIQSADAAVVTELTRLSDTKRRYLRDPAAAARGAAAAGHTALAAHAEEQRHLLKTYQITARKLESELRAKDAEAERARASLTAELRAERALEARLHPGRTLASLDDLHLSGLNPTHFLTALRHTVKSIRSFSKSMLNSMQSAGWDLAAAAAAVHPGVPLRRAGDTKFVFESYVAMKMFANFHRRDFIFSFLNEREFYDRRRFFEEFTELKAEPASAFLDVRNPRWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFAEFAEMARRVWLLHCLFFAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRADDSAAAAAEERVVGFTVLPGFRVGRTLIQCRVYLSRPARRP >PAN27583 pep chromosome:PHallii_v3.1:5:5526986:5527866:-1 gene:PAHAL_5G089700 transcript:PAN27583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAMGAFFFRVTRERFKVHTPKKRKKTKVRRQQPTIRRPVDPYPYRGWEWPQVVGLAAREAEKRIKQDCPGVYCEVLSANALLTMC >PAN27537 pep chromosome:PHallii_v3.1:5:5411209:5411705:-1 gene:PAHAL_5G087600 transcript:PAN27537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARLGHEAESEERGTGTGTTPVRVGRRAESERGKSTTPVKVGGGAEEEERGTGTTPAKPVKVKADAGGDGSLINITVHSQTAADAFFRVRRDVKLERLINMYCGKHSLNPKAVVFMDPYGRYIPADQTPAEAGLEDGDTIGIHLH >PAN32269 pep chromosome:PHallii_v3.1:5:55195222:55198783:1 gene:PAHAL_5G474400 transcript:PAN32269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGSALRRLYLSVYNWVVFVGWAQVLYYAVLVLRKSGHEAVYAAVERPLQFAQTAAIMEILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETRAHILVTTLVISWSITEIIRYSFFGMKEALGFTPSWLLWLRYSTFMVLYPTGISSEVGLIWIALPYIKASEKYCFRLPNRWNFSYDYYFTSILALMIYIPGSPHMFTYMLSQRKKALSKAKTA >PAN30421 pep chromosome:PHallii_v3.1:5:19691079:19694235:-1 gene:PAHAL_5G281300 transcript:PAN30421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQALTMYKQPQNLSSSSTRYASSKKKKRKGRKDFEDDTVQGIQRMRDLREIGTNNVTNQKSGAGIFSDVPLLESGSSFGYDLSSANGIKKGKQSHSSIKKKRSNIGQSYENSRKKDRHHRPLSKLCEDSEVSGTYYHWDPSGQSSSQYPGGQMPKMFEPSKAKTIFSTDVNNCSNSSGTSSLETLLDTSHNNHKDSAKAVTVKDAEAPCTTRFRDEDCSDGDASFHDVLEEGHFDTYGSCMSTKDQISEPNNQTTDCGIVGTSSTRHHRNSKKKNISSATLIPKESHKKDNNSLLQQYEGTIKLDGGVFRPTELEDNLQHATPEHEESSETISNHSNSEKGTTSFPYYVPLQVLPPPEQQPDLKPPRCPVTRPPTKRAHADYRLYDVELTVQRSYKGHPVPLVSLMSKWTGQQIVGYPATVEVLEDSCPAASRDEHRPAMGSLDSLLKSRLTEPRQARSSHASRSKSKSSGRKKASEHDLDKSWRPHTKKPASSPRKMRRLSSFAGSRRESGDRKPVVAKTGGPTVACVPLRLVFSRINEALSFPVRQENPT >PAN30422 pep chromosome:PHallii_v3.1:5:19691079:19695445:-1 gene:PAHAL_5G281300 transcript:PAN30422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCAGEEEWPGGVTGADAEVGALVWVRRRNGSWWPGRILGMDELPENIVIPPRSAGTPIKLLGRPDGSIDWYNLEKSKRVKSFRCGEYDECIEKAKALARQQKRTQTEGRYVRREDAIIHALEIERSRFPNNCDDLEEDTDDDVCASQNIYSAKSKNINGLNKKSSNGARSLYDIEESSGQDMSQALTMYKQPQNLSSSSTRYASSKKKKRKGRKDFEDDTVQGIQRMRDLREIGTNNVTNQKSGAGIFSDVPLLESGSSFGYDLSSANGIKKGKQSHSSIKKKRSNIGQSYENSRKKDRHHRPLSKLCEDSEVSGTYYHWDPSGQSSSQYPGGQMPKMFEPSKAKTIFSTDVNNCSNSSGTSSLETLLDTSHNNHKDSAKAVTVKDAEAPCTTRFRDEDCSDGDASFHDVLEEGHFDTYGSCMSTKDQISEPNNQTTDCGIVGTSSTRHHRNSKKKNISSATLIPKESHKKDNNSLLQQYEGTIKLDGGVFRPTELEDNLQHATPEHEESSETISNHSNSEKGTTSFPYYVPLQVLPPPEQQPDLKPPRCPVTRPPTKRAHADYRLYDVELTVQRSYKGHPVPLVSLMSKWTGQQIVGYPATVEVLEDSCPAASRDEHRPAMGSLDSLLKSRLTEPRQARSSHASRSKSKSSGRKKASEHDLDKSWRPHTKKPASSPRKMRRLSSFAGSRRESGDRKPVVAKTGGPTVACVPLRLVFSRINEALSFPVRQENPT >PAN30586 pep chromosome:PHallii_v3.1:5:32658187:32665541:1 gene:PAHAL_5G327500 transcript:PAN30586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSSSSWSAQTFGSTSSSPGTGFGQTMDSVSSTPWATFSWPSPTFMVSHTPSPSGSSCCNFKGVSEQGSRVCAYAPTAVDDPSNLYQIQSISAMLVNCNKSHEELRHEDYERGDRGGDSQQKSITPAPIFPVSSSPSLSCSSTPSFTFRPTTHFIAPFAESTEHPPAELQPSTRGSTSSSNPFWLPSAQSSFSSGDLQSSTQGHSSYTPGLSFYRYLPSKLASMGIQTPPPSHTEFQKNPSTYCPRSYPSPFTAHVGGAHSASASTQSMTTATLPCPHFSTSNSAPAMCQDSIFSNSAGALDTALAEATSTQGIFGQNFPTTSTQPGKSPLIGSSIAHSKPALSSDAHCTSVNVDYPNNTVELHLPVNVRLVRIHFSSSNDGAGTSQVNSGHVAKSTEAPISLCIYPWENHELTIQSIVRPVKDQMGKQSSSTGGSDPSKVHNSIGRPPSRSARNQEGSNGDAHSTQKSVAAPEREVITGSVLPWLYNADYYTLPSIVELAVKESEEPGYCSHVPHFTVGRHGYGSVRFDGETDVRKLDIASIVEFNNREILVYRDESKTPPVGQGLNKPAEVTLLNVKCIDQKTGLQFTGGPTVDRYKETLVQWTKEHGAEFVSFDPMKGEWKFKVKNFSQQGACRS >PAN30574 pep chromosome:PHallii_v3.1:5:32658367:32665539:1 gene:PAHAL_5G327500 transcript:PAN30574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFRIQRHLGILGVGFGSSAARARVRVSWRRQLQTTMMGSSSSWSAQTFGSTSSSPGTGFGQTMDSVSSTPWATFSWPSPTFMVSHTPSPSGSSCCNFKGVSEQGSRVCAYAPTAVDDPSNLYQIQSISAMLVNCNKSHEELRHEDYERGDRGGDSQQKSITPAPIFPVSSSPSLSCSSTPSFTFRPTTHFIAPFAESTEHPPAELQPSTRGSTSSSNPFWLPSAQSSFSSGDLQSSTQGHSSYTPGLSFYRYLPSKLASMGIQTPPPSHTEFQKNPSTYCPRSYPSPFTAHVGGAHSASASTQSMTTATLPCPHFSTSNSAPAMCQDSIFSNSAGALDTALAEATSTQQGIFGQNFPTTSTQPGKSPLIGSSIAHSKPALSSDAHCTSVNVDYPNNTVELHLPVNVRLVRIHFSSSNDGAGTSQVNSGHVAKSTEAPISLCIYPWENHELTIQSIVRPVKDQMGKQSSSTGGSDPSKVHNSIGRPPSRSARNQEGSNGDAHSTQKSVAAPEREVITGSVLPWLYNADYYTLPSIVELAVKESEEPGYCSHVPHFTVGRHGYGSVRFDGETDVRKLDIASIVEFNNREILVYRDESKTPPVGQGLNKPAEVTLLNVKCIDQKTGLQFTGGPTVDRYKETLVQWTKEHGAEFVSFDPMKGEWKFKVKNFSQQGACRS >PAN30585 pep chromosome:PHallii_v3.1:5:32658187:32665541:1 gene:PAHAL_5G327500 transcript:PAN30585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSSSSWSAQTFGSTSSSPGTGFGQTMDSVSSTPWATFSWPSPTFMVSHTPSPSGSSCCNFKGVSEQGSRVCAYAPTAVDDPSNLYQIQSISAMLVNCNKSHEELRHEDYERGDRGGDSQQKSITPAPIFPVSSSPSLSCSSTPSFTFRPTTHFIAPFAESTEHPPAELQPSTRGSTSSSNPFWLPSAQSSFSSGDLQSSTQGHSSYTPGLSFYRYLPSKLASMGIQTPPPSHTEFQKNPSTYCPRSYPSPFTAHVGGAHSASASTQSMTTATLPCPHFSTSNSAPAMCQDSIFSNSAGALDTALAEATSTQQGIFGQNFPTTSTQPGKSPLIGSSIAHSKPALSSDAHCTSVNVDYPNNTVELHLPVNVRLVRIHFSSSNDGAGTSQVNSGHVAKSTEAPISLCIYPWENHELTIQSIVRPVKDQMGKQSSSTGGSDPSKVHNSIGRPPSRSARNQEGSNGDAHSTQKSVAAPEREVITGSVLPWLYNADYYTLPSIVELAVKESEEPGYCSHVPHFTVGRHGYGSVRFDGETDVRKLDIASIVEFNNREILVYRDESKTPPVGQGLNKPAEVTLLNVKCIDQKTGLQFTGGPTVDRYKETLVQWTKEHGAEFVSFDPMKGEWKFKVKNFSQQGACRS >PAN30583 pep chromosome:PHallii_v3.1:5:32658187:32665541:1 gene:PAHAL_5G327500 transcript:PAN30583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSSSSWSAQTFGSTSSSPGTGFGQTMDSVSSTPWATFSWPSPTFMVSHTPSPSGSSCCNFKGVSEQGSRVCAYAPTAVDDPSNLYQIQSISAMLVNCNKSHEELRHEDYERGDRGGDSQQKSITPAPIFPVSSSPSLSCSSTPSFTFRPTTHFIAPFAESTEHPPAELQPSTRGSTSSSNPFWLPSAQSSFSSGDLQSSTQGHSSYTPGLSFYRYLPSKLASMGIQTPPPSHTEFQKNPSTYCPRSYPSPFTAHVGGAHSASASTQSMTTATLPCPHFSTSNSAPAMCQDSIFSNSAGALDTALAEATSTQQGIFGQNFPTTSTQPGKSPLIGSSIAHSKPALSSDAHCTSVNVDYPNNTVELHLPVNVRLVRIHFSSSNDGAGTSQVNSGHVAKSTEAPISLCIYPWENHELTIQSIVRPVKDQMGKQSSSTGGSDPSKVHNSIGRPPSRSARNQEGSNGDAHSTQKSVAAPEREVITGSVLPWLYNADYYTLPSIVELAVKESEEPGYCSHVPHFTVGRHGYGSVRFDGETDVRKLDIASIVEFNNREILVYRDESKTPPVGQGLNKPAEVTLLNVKCIDQKTGLQFTGGPTVDRYKETLVQWTKEHGAEFVSFDPMKGEWKFKVKNFSQQGACRS >PAN30573 pep chromosome:PHallii_v3.1:5:32658367:32665539:1 gene:PAHAL_5G327500 transcript:PAN30573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFRIQRHLGILGVGFGSSAARARVRVSWRRQLQTTMMGSSSSWSAQTFGSTSSSPGTGFGQTMDSVSSTPWATFSWPSPTFMVSHTPSPSGSSCCNFKGVSEQGSRVCAYAPTAVDDPSNLYQIQSISAMLVNCNKSHEELRHEDYERGDRGGDSQQKSITPAPIFPVSSSPSLSCSSTPSFTFRPTTHFIAPFAESTEHPPAELQPSTRGSTSSSNPFWLPSAQSSFSSGDLQSSTQGHSSYTPGLSFYRYLPSKLASMGIQTPPPSHTEFQKNPSTYCPRSYPSPFTAHVGGAHSASASTQSMTTATLPCPHFSTSNSAPAMCQDSIFSNSAGALDTALAEATSTQGIFGQNFPTTSTQPGKSPLIGSSIAHSKPALSSDAHCTSVNVDYPNNTVELHLPVNVRLVRIHFSSSNDGAGTSQVNSGHVAKSTEAPISLCIYPWENHELTIQSIVRPVKDQMGKQSSSTGGSDPSKVHNSIGRPPSRSARNQEGSNGDAHSTQKSVAAPEREVITGSVLPWLYNADYYTLPSIVELAVKESEEPGYCSHVPHFTVGRHGYGSVRFDGETDVRKLDIASIVEFNNREILVYRDESKTPPVGQGLNKPAEVTLLNVKCIDQKTGLQFTGGPTVDRYKETLVQWTKEHGAEFVSFDPMKGEWKFKVKNFSQQGACRS >PAN30584 pep chromosome:PHallii_v3.1:5:32658187:32665541:1 gene:PAHAL_5G327500 transcript:PAN30584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSSSSWSAQTFGSTSSSPGTGFGQTMDSVSSTPWATFSWPSPTFMVSHTPSPSGSSCCNFKGVSEQGSRVCAYAPTAVDDPSNLYQIQSISAMLVNCNKSHEELRHEDYERGDRGGDSQQKSITPAPIFPVSSSPSLSCSSTPSFTFRPTTHFIAPFAESTEHPPAELQPSTRGSTSSSNPFWLPSAQSSFSSGDLQSSTQGHSSYTPGLSFYRYLPSKLASMGIQTPPPSHTEFQKNPSTYCPRSYPSPFTAHVGGAHSASASTQSMTTATLPCPHFSTSNSAPAMCQDSIFSNSAGALDTALAEATSTQGIFGQNFPTTSTQPGKSPLIGSSIAHSKPALSSDAHCTSVNVDYPNNTVELHLPVNVRLVRIHFSSSNDGAGTSQVNSGHVAKSTEAPISLCIYPWENHELTIQSIVRPVKDQMGKQSSSTGGSDPSKVHNSIGRPPSRSARNQEGSNGDAHSTQKSVAAPEREVITGSVLPWLYNADYYTLPSIVELAVKESEEPGYCSHVPHFTVGRHGYGSVRFDGETDVRKLDIASIVEFNNREILVYRDESKTPPVGQGLNKPAEVTLLNVKCIDQKTGLQFTGGPTVDRYKETLVQWTKEHGAEFVSFDPMKGEWKFKVKNFSQQGACRS >PVH38731 pep chromosome:PHallii_v3.1:5:34847000:34854221:1 gene:PAHAL_5G335800 transcript:PVH38731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MECHQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRRIGAFCREDDELFLHSHVQSGYGGSFLPGIKQRLLSYIFRKTWNEVPDQTLRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDIHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRSPNVVKFKIKPGLDSGASDSRAKRISSFLFHPFFPLVLSIQQTYMQPTVVNIHFRR >PVH38730 pep chromosome:PHallii_v3.1:5:34847000:34854221:1 gene:PAHAL_5G335800 transcript:PVH38730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MECHQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRRIGAFCREDDELFLHSHVQSGYGGSFLPGIKQRLLSYIFRKTWNEVPDQTLRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDIHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFS >PAN30280 pep chromosome:PHallii_v3.1:5:34846273:34854221:1 gene:PAHAL_5G335800 transcript:PAN30280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MVRFFRSGNVASRVFDRQLLSPRPGAAVNTSRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCTEECDSHDLPPKAKKFDSFFKQLYSIPLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRRIGAFCREDDELFLHSHVQSGYGGSFLPGIKQRLLSYIFRKTWNEVPDQTLRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDIHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRSPNVVKFKIKPGLDSGASDSRAKRISSFLFHPFFPLVLSIQQTYMQPTVVNIHFRR >PAN30281 pep chromosome:PHallii_v3.1:5:34846273:34854221:1 gene:PAHAL_5G335800 transcript:PAN30281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MVRFFRSGNVASRVFDRQLLSPRPGAAVNTSRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCTEECDSHDLPPKAKKFDSFFKQLYSIPLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRRIGAFCREDDELFLHSHVQSGYGGSFLPGIKQRLLSYIFRKTWNEVPDQTLRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDIHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFS >PVH38729 pep chromosome:PHallii_v3.1:5:34846287:34849939:1 gene:PAHAL_5G335800 transcript:PVH38729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MVRFFRSGNVASRVFDRQLLSPRPGAAVNTSRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCTEECDSHDLPPKAKKFDSFFKQLYSIPLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRRIGAFCREDDELFLHSHVQMLVHFFHELGQWRSLT >PAN30109 pep chromosome:PHallii_v3.1:5:18198165:18199799:1 gene:PAHAL_5G273900 transcript:PAN30109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSKSYAGGRMQIEPYYGGGGGGARPDFRSYSYSAGGAGGGMGMSSYSYQYEYGGGAAADGDLKRSKSSKRRWLALGDPDMERKRRVAAYKAYAVEGKVKGSFRKSFKWIKDRYLHLVYGWS >PVH38563 pep chromosome:PHallii_v3.1:5:21142060:21142291:1 gene:PAHAL_5G289700 transcript:PVH38563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAMEKRLLAWSWRNKKQLLQFQQHKINPVLEEWCDKLKLSALHKSGK >PAN32845 pep chromosome:PHallii_v3.1:5:57783639:57786086:-1 gene:PAHAL_5G516100 transcript:PAN32845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGTSARARQISSQSTAAAAPLTTPCRRRPITRAPTSANFSPRSPPWPRPEGYGALSRSGGSVRDVCVGEETAPARWWSPGWMAMGKRRSPATGIFTKYPWFGSRSK >PVH37957 pep chromosome:PHallii_v3.1:5:7969293:7974273:1 gene:PAHAL_5G133900 transcript:PVH37957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARAETNASARRLRSRNIQHVATRARHAPAHRSPRRARARPRFLLLLRARRHSLVKAPKPPPAHASPLGQGRQGMEGRATASVRVDGAPAEATLCGGELRWRRGGAAGERALSLEREVLGVEARGKEVVVRAFVAAGAARARSCASGAGTGGKGAGRRCRRDFVLEMTDGEGAAAAWGERLARCLDSFGGPKRLFVLVNPFGGRKCAKKIYDMEIKPLFEAAGVSITVQETEYQGHAREVASSLDLGKYDGIVCVSGDGVLLEVVNGILQRTDWEEAIKMPIGVVPAGTGNGMAKSLLHAASETCSVSNAVFAIIKGCGSHYELAKVLRKYSVRACPRLRVIWRTCQASREFHRRVSGAKWKIPPVFLSRSFT >PAN28140 pep chromosome:PHallii_v3.1:5:7969757:7972322:1 gene:PAHAL_5G133900 transcript:PAN28140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARAETNASARRLRSRNIQHVATRARHAPAHRSPRRARARPRFLLLLRARRHSLVKAPKPPPAHASPLGQGRQGMEGRATASVRVDGAPAEATLCGGELRWRRGGAAGERALSLEREVLGVEARGKEVVVRAFVAAGAARARSCASGAGTGGKGAGRRCRRDFVLEMTDGEGAAAAWGERLARCLDSFGGPKRLFVLVNPFGGRKCAKKIYDMEIKPLFEAAGVSITVQETEYQGHAREVASSLDLGKYDGIVCVSGDGVLLEVVNGILQRTDWEEAIKMPIGVVPAGTGNGMAKSLLHAASETCSVSNAVFAIIKGHKQSLDVCTILQGEKKFFSVLLMTWGM >PVH37958 pep chromosome:PHallii_v3.1:5:7969401:7974273:1 gene:PAHAL_5G133900 transcript:PVH37958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARAETNASARRLRSRNIQHVATRARHAPAHRSPRRARARPRFLLLLRARRHSLVKAPKPPPAHASPLGQGRQGMEGRATASVRVDGAPAEATLCGGELRWRRGGAAGERALSLEREVLGVEARGKEVVVRAFVAAGAARARSCASGAGTGGKGAGRRCRRDFVLEMTDGEGAAAAWGERLARCLDSFGGPKRLFVLVNPFGGRKCAKKIYDMEIKPLFEAAGVSITVQETEYQGHAREVASSLDLGKYDGIVCVSGDGVLLEVVNGILQRTDWEEAIKMPIGVVPAGTGNGMAKSLLHAASETCSVSNAVFAIIKGHKQSLDVCTILQGEKKFFSVLLMTWGM >PAN31825 pep chromosome:PHallii_v3.1:5:53321367:53329546:-1 gene:PAHAL_5G445400 transcript:PAN31825 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MLDTTDDIAEGISFQAFEDDCRLLATLLHDVLLRELGPRFIHILERNRILAQSAVSMRAAGMEDTAAVVERQLEADLAAMPLEDALCVARAFSHYLNLMGIAETHHRVRKARNVEKLSKSCDDIFDKLIQSGVPPEQLYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRVAHLLEFNARPDLSHEDKEMLIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHYLRRVSSALKKHTGRTLPLTSTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIRELENLSFELSVKRCSDKVANLANEILLKESASEDLKASTWNQTVPQNNAKLHHNLPLPAQLPSGADLPSCTECSDGESQFRIINLPRNRSRPGALNVPEKFEDSPLSSPTGRQSQIGRTASGGQLRKLFKESHIGRSSSFRKLLEPSISDKPGITPYRVVLGNVKEKLVKTRRRLELLLEDLPCDYDTEEYCETSDQVLEPLLLCYQSLESCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTEALDAVTSYLDLGVYSEWDEEKKLDFLTRELKGKRPLVPPNIEVAADVKEVLDTFKVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLREAGSAIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATLRPPHPPRDPNWRHLMEEISRVSCAHYRRTVYEDPEFITYFQEATPQAELGFLNIGSRPAKRKPAGGISSLRAIPWVFAWTQTRLVLPAWLGVGAGLQDALDRGHGGELRAMYAEWPFFQSTLDLIEMVTAKADAPMAAHYEEMLVARERRAVGGELRRELARTERCVLAVSGHSKLTAHNRSLRRLIESRLAYLNPINMLQVEVLRRLRRDDDNRRLRDALLITINGIAAGMRNTG >PAN27971 pep chromosome:PHallii_v3.1:5:7340898:7343618:1 gene:PAHAL_5G121700 transcript:PAN27971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASFLSVALALAALVPVSLLLLNRLLYGKLPPGPRPRPVVGNLFDVQPVRCRCYQEWARRYGPIMTVWLGPEPTVVVSTAELAREVLKTHDQSLADRHRDVSSERFSRGGKDLIWADYGAHYIKVRKLCNLELFTPRRLEALRPIREDEVTAMVESVHRAVTAPGNEGKPLVVKNHLSMVAFNNITRLAFGKRFVNAAGELDEQGREFKGIVTDGIKIGGSLSIARYIPWLRWLAPADDQVFKAHGDRRDRLTVKIMEEHAKALKQRGAQQHFVDALFTLRKQYDLSDDTVIGLLWDMITAGTDTTVISVEWAMAELVRNPRVQEKAQEELDRVVGRDRVLLETDFPNLPYLQALVKESLRLHPPTPLMLPHKASAGVKIAGYDIPRGANVIVNVWAVARDPKVWDDPLEFRPERFLRENIDIKGADFRVLPFGAGRRVCPGAQLGINLVASMLGHMLHHFSWSLPEGTRPEDLEMMETPGLVTFMATPLKAVATPRLDREELYRRVPSEM >PAN32568 pep chromosome:PHallii_v3.1:5:56463433:56470559:1 gene:PAHAL_5G497000 transcript:PAN32568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MSYTPRRPPARGPAKSKRTDELAAMSNSDYDEIDAFHKKRDMIPFDANDARESEDDEMEQPVFGLEGVSDSETDGSGGEENIDMDEANYEEWDKGYIAKLKRAERAAKQIAGGDDSMDEQEEDEKNTDVWGRGKKAYYDDGEQSGDDEVDYEEAQRIQKEREKKLSMKDFGLEDGESDEENDATKASNHETVLKQEFAVSSGNDKMDVLYSSSPELVSLLSELKEAHEELNAIGQLSNAVTAGPGKAKGRMQPLEVKKACLLAYCQAITFYLLMKAEGLSVHDHPVISRLVETKNMVDKIKQVTMNLERQKGSTDDHNMDSSPIQADKMISLDKGEGKCSNVQALDKVKQGSDISELRKSEPSNSDRHEVNKEKNKDEQMGLQSLEMLKVRANLEERLKKKGLYNLTRSKPEKLSKTRTTSNQDLQTLDDFDDEVEKNNQMMKPSKLVAAAAKSNKSKFVSGDDDLPKRDNIGERRRKHELHILSRVGANSLEDDHELPEDIDYSEEDFYQDVKRLRTEKLLIKNEKYLTTPGIQPVEEETDGDGKRKISYQIEKNRGLTRSRNKKKKNPRKNYRDKHKNKLVKRKGQVRDIKKPSGPYGGEMSGINPNVSRSVRFKS >PAN32569 pep chromosome:PHallii_v3.1:5:56464914:56470560:1 gene:PAHAL_5G497000 transcript:PAN32569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MDEQEEDEKNTDVWGRGKKAYYDDGEQSGDDEVDYEEAQRIQKEREKKLSMKDFGLEDGESDEENDATKASNHETVLKQEFAVSSGNDKMDVLYSSSPELVSLLSELKEAHEELNAIGQLSNAVTAGPGKAKGRMQPLEVKKACLLAYCQAITFYLLMKAEGLSVHDHPVISRLVETKNMVDKIKQVTMNLERQKGSTDDHNMDSSPIQADKMISLDKGEGKCSNVQALDKVKQGSDISELRKSEPSNSDRHEVNKEKNKDEQMGLQSLEMLKVRANLEERLKKKGLYNLTRSKPEKLSKTRTTSNQRDLQTLDDFDDEVEKNNQMMKPSKLVAAAAKSNKSKFVSGDDDLPKRDNIGERRRKHELHILSRVGANSLEDDHELPEDIDYSEEDFYQDVKRLRTEKLLIKNEKYLTTPGIQPVEEETDGDGKRKISYQIEKNRGLTRSRNKKKKNPRKNYRDKHKNKLVKRKGQVRDIKKPSGPYGGEMSGINPNVSRSVRFKS >PAN32567 pep chromosome:PHallii_v3.1:5:56463433:56470559:1 gene:PAHAL_5G497000 transcript:PAN32567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MSYTPRRPPARGPAKSKRTDELAAMSNSDYDEIDAFHKKRDMIPFDANDARESEDDEMEQPVFGLEGVSDSETDGSGGEENIDMDEANYEEWDKGYIAKLKRAERAAKQIAGGDDSMDEQEEDEKNTDVWGRGKKAYYDDGEQSGDDEVDYEEAQRIQKEREKKLSMKDFGLEDGESDEENDATKASNHETVLKQEFAVSSGNDKMDVLYSSSPELVSLLSELKEAHEELNAIGQLSNAVTAGPGKAKGRMQPLEVKKACLLAYCQAITFYLLMKAEGLSVHDHPVISRLVETKNMVDKIKQVTMNLERQKGSTDDHNMDSSPIQADKMISLDKGEGKCSNVQALDKVKQGSDISELRKSEPSNSDRHEVNKEKNKDEQMGLQSLEMLKVRANLEERLKKKGLYNLTRSKPEKLSKTRTTSNQRDLQTLDDFDDEVEKNNQMMKPSKLVAAAAKSNKSKFVSGDDDLPKRDNIGERRRKHELHILSRVGANSLEDDHELPEDIDYSEEDFYQDVKRLRTEKLLIKNEKYLTTPGIQPVEEETDGDGKRKISYQIEKNRGLTRSRNKKKKNPRKNYRDKHKNKLVKRKGQVRDIKKPSGPYGGEMSGINPNVSRSVRFKS >PVH37446 pep chromosome:PHallii_v3.1:5:337543:338967:1 gene:PAHAL_5G005600 transcript:PVH37446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTHPFPGVNMVESNDRTARRQLDFALGINMAGVVSRRQTKDGEADSSNRPQNEKDDYVTERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIRDCPECGPGRPEPRDSVFQRIGPAPIRQVRVRSPRKEDEEEDRYHRPRWCLDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAKQFHYVQEKESRPPRKEWRPKSTRADKKVSADAHMVFVLPAEFHARPQEEPSVAQLDLGSRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PAN30488 pep chromosome:PHallii_v3.1:5:20531123:20532967:1 gene:PAHAL_5G285600 transcript:PAN30488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNMVASRKPGDWSCRSCQYVNFCKRDACQRCGEAKLGGERTDYAALGGDWDVKPGDWYCCRCGVHNYASRGSCFKCSAAKNEAAAAVAQGWGFTVAGQAGMKPGDWICPRLGCNVQNYANRTECFRCNMPRSYYG >PVH37500 pep chromosome:PHallii_v3.1:5:1237503:1238754:1 gene:PAHAL_5G018200 transcript:PVH37500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGKLSAATNLPDDLVVEILSRLPVRPLRRCKCVSRAWRDLISHSHHRRRLAQTVSGFFYHLHVDASCPPIVPYWRFTASSPPPHPQGSPPPAAVDHAFAFLPSTSYATTDTELLDSCNGLLLLRCCRASSSSSLSLQPKPAPSSYAVCNPATGKWVEVPEPIYAEGTFGLSSDYLQGKRQTRLAALAFDPAVSSTRFHVLQLVEKDCFSFPLLSQNRFVVEAVEIYSSETKSWVVCDCSEWRLGFQVTCTGQQGTYHDGSLHFALDDGAVMSVDTKGEACSAGAGFIGRSRGRLLYVHKGSYAASVSVYALETRRGAERWTLRHYTSALDQFGRTLFKHHYGVVAVHPDGNVIFLFDGCRGMLMAYDMDRRTVRDIHPLAEASRQHPFLPYVPLYSLEALSG >PAN31104 pep chromosome:PHallii_v3.1:5:49475243:49479680:1 gene:PAHAL_5G397400 transcript:PAN31104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPSAGVRLLLLRRLLSTATEAAAEAAAPTAAATATATAAKAGKKGGSLALYRRLSALGRSGEGSVSRVLNKWVREGGALRVEDLVKHVKELRKYKRHAHALELMDWMVHARGMNMSHTNHAIRLDLIYKVRGLEAAEAYFAGLPDPAKNHRTYGALLNCYCSAKMEEKATDLYNKMGELGIYSSALGISNLMSLYMKLGRHGKVDSLFEEMKVKNVKPNNLTCCILMTSYASSNKIDAIEELLKEMAEKDVTLGWSAYSTLASIYLNAGLVEKAESALKKLEGLVGADDGRQPFDFLMSLYASAGNLSEVNRVWDVIKVKFSKVTNISYLGMLQALYKLNDIDRMKQIYVDWESNYETYDVRLTNMMIRGHMKFDMTEEAEALWEKAKEKGAEFDSKTCELFLDHYMGKGGMTLALNWVENMIKLPNKAGKLDQDKIHEFQKYFEEHKDADGAERFCNCLRTLGCIDGKAYESLLRTYLAAGKKSRSLRQQIKDDKIEICYDIGKLLKRMDDKGR >PAN31479 pep chromosome:PHallii_v3.1:5:51835367:51837339:1 gene:PAHAL_5G422600 transcript:PAN31479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 9 [Source:Projected from Arabidopsis thaliana (AT1G44970) UniProtKB/Swiss-Prot;Acc:Q96512] MASTKIVLGAIFIASFYLSASLAFPPGHHEGAYPVGNGPISGLSPDYYKFTCPQADEIVVSILKKAIAKEPRIAASLLRLLFHDCFVQGCDASVLLDDTEEFVSEKSAIPNKNSIRGFEVIDEIKAALEEACPHTVSCADTIALAARGSTVLSGGPYWELPLGRRDSKTANMKLANKNLPPPNATLHRLIKFFQRQGLDKVDLVALSGSHTIGKARCVSFKQRLYNQHRDKRPDITLEKSFYHTLASGCPRTGGDNNIRSLDFVSPSKFDNSYYKLILEGKGLLNSDEVLWTGKDPEIAGLVKSYAENEPLFFEHYVNSIIKMGNINPLMGHNGEIRKNCRRVNQEV >PVH38010 pep chromosome:PHallii_v3.1:5:8977885:8983421:1 gene:PAHAL_5G149700 transcript:PVH38010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIASEAPVGAFAIGPSTALGRAVALRVLLCGSAARLRHRLAAALRAALHLVAAWLHPRDNTRGILLAVCAVALLLRGRRGRAGLRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLEREAAPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPRLIKEYIEEVSTQLKMVCDSDSDELPLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQVLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGQTIPFHAPFLLGMEERNGATTRRWRDGSLESDLPIKQLKELFNVNHFIVSQANPHIAPLLRLKEIVRAYGGSFAAKLAELAEMEVKHRCNQVLELGFRLGGLAKLFAQDWEGDVTVVMPATLAQYSKMIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELALDECVALLNHLRRLKRSAERASASQGHGPTIRLCPSRRIPSWNLIARENSTGSLEEEILTSPTRTNHQGPGGVAGLSNRNQYLQRIAHDSSDSESESIDLHSWTRSGGPLMRTASANKFISFVQNLEIDTEFRTVPSREDEPELVTPNASSLAAQAVSREANDRSLENSGLDFHDTTTRTAFGPSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLGSSSGADSQGSPREPDVETVQTECLDCASASDDDDMELNVVNDAATDPKRRNNLQHQDSSLEENVSRPSSLSCEDETNTNKPEAASIFDICTEIHPATLSKENSQWEGSSENTELEAAKDVMS >PAN28384 pep chromosome:PHallii_v3.1:5:8978549:8983024:1 gene:PAHAL_5G149700 transcript:PAN28384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIASEAPVGAFAIGPSTALGRAVALRVLLCGSAARLRHRLAAALRAALHLVAAWLHPRDNTRGILLAVCAVALLLRGRRGRAGLRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLEREAAPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPRLIKEYIEEVSTQLKMVCDSDSDELPLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQVLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGQTIPFHAPFLLGMEERNGATTRRWRDGSLESDLPIKQLKELFNVNHFIVSQANPHIAPLLRLKEIVRAYGGSFAAKLAELAEMEVKHRCNQVLELGFRLGGLAKLFAQDWEGDVTVVMPATLAQYSKMIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELALDECVALLNHLRRLKRSAERASASQGHGPTIRLCPSRRIPSWNLIARENSTGSLEEEILTSPTRTNHQGPGGVAGLSNRNQYLQRIAHDSSDSESESIDLHSWTRSGGPLMRTASANKFISFVQNLEIDTEFRTVPSREDEPELVTPNASSLAAQAVSREANDRSLENSGLDFHDTTTRTAFGPSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLGSSSGADSQGSPREPDVETVQTECLDCASASDDDDMELNVVNDAATDPKRRNNLQHQDSSLEENVSRPSSLSCEDETNTNKPEAASIFDICTEIHPATLSKENSQWEGSSENTELEAAKDVMS >PAN29089 pep chromosome:PHallii_v3.1:5:12231904:12233392:1 gene:PAHAL_5G201500 transcript:PAN29089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHPLLVAASFLAAFAAVSSAGKVAVYWGQRGEEGTLADTCASGLYNFVNIAFVSAFGNGGGQPPVLNLANHCSPAAGTCAVFSSDIRSCQASDVKVLISLGGGAKPTYSLTSAEEARGLADYLWDNFLGGTSASRPLGDAVLDGVDFDIEQGGGDHYDELARALSSRCNGACLLTAAPQCPYPDAHLGEAIKTGLFSHVWVQFYNNPMAKCQYAPGDASDLEDAWARWTAGVPPPADVFLGLPAAESAADNGGYIDADTLKSQVLPAVRGAANYGGVMLWDRARDAASGYSSSLRGNV >PVH39291 pep chromosome:PHallii_v3.1:5:55065628:55066524:-1 gene:PAHAL_5G472200 transcript:PVH39291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGPASSIPVAPSARAREETAGQGRRRARRRGRRAEEGAAGGSRGGEAGARRRGRRAAGQGRRAAGQGRGGGDGRGGGGGGRKQRRRAEGAAGGSRGGGAGARRRGRPRRRGRAREEGAAAEEAAGAEEGAAHGRRRRREEGGAREEAAGAEEGAARGRRRRREEGGAREEAEEGGGRGAGGGRRGRRGAEEGAGAEEGGGGRGRRRRREGAGAEEGAEGEGAPGAEEEGRGGGGAGAEEGAEGRGRRARRRRGAEGEGAAGAEGEGAPGAEEEGARGEGAPGAEGGGGGGGGVGRG >PAN27930 pep chromosome:PHallii_v3.1:5:7163077:7168680:-1 gene:PAHAL_5G119100 transcript:PAN27930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCPGSGDAEPAPAASTDPSSRLGSGAPSLKAGVSASSAPTPTKPPAPIGPVLGRPMEDVRSIYTIGKELGRGQFGVTSLCTHKATGERFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGKYTERAAAALLRTIVEIVHTCHSLGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRNYGPEADIWSIGVILYILLCGVPPFWAESEHGIFNSILRGQVDFSSDPWPRISPGAKDLVKKMLTSDPKKRISAYDVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDADNSGTITVDELRRGLAKQGTKLSEAEVEQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDGSGCISKEELEQALKEKGLLDGRDIKEIISEVDADNDGRIDYSEFVAMMKKGNPEQNPKKRRDVVL >PVH38790 pep chromosome:PHallii_v3.1:5:39550947:39551930:1 gene:PAHAL_5G354000 transcript:PVH38790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSLFSRASAPTLTATPAGALSNANAANGSTGEASENPLSGANLWSGIQKKWLLAAPSSHLPSSSGGAAGESESREGEGAGGGSKARGGGADGKNEKSGTCHSLGGAAADGGDESRALAVPSPAVGGAVPARAQPHDPTAHPPLSTASRSLPWLGGSSAQAAVVAGQQ >PAN30620 pep chromosome:PHallii_v3.1:5:25102606:25107410:-1 gene:PAHAL_5G306600 transcript:PAN30620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTGDGGAGGWGDGDPPPPPVMKKQPSRLASGMKRLASKVSTEMRGLKRTHSSAQSGLRGLRFLDKTSGGKDGWKSVEKRFDEMSTDGRLHKENFAKCIGMADSKEFASEVFVALSRRRHINPDDGVAKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGKLTEDEVKEVIVLSASANKLAKLKNHAATYVSLIMEELDPDDRGYIEIWQLETLLRGMVSASGPPDKMNMASASLARTMVPSSYRSPLQRRINQTVDFIHENWKRIWVLTLWGILNIVLFIFKFIQYRRRAVFEVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTWLRSTALSRVVPFDDNINFHKVIALAIAIASATHTLEHVFCDFPRVVSCPKEKFMEKLGPFFNYVQPTWPILLTSIPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVMHSYFIFLTKQWYKRTTWMYLAVPVIFYASERSIRKIREKSYDVSIIKAAIYPGNVLSIYMKKPPSFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAEVTSKKATLARLETTVVAHGLSEEIRFPKVFVDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEVNQELQSTHDAELGCSFKTNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFTDLANNHKNSRIGVFYCGSPTLTKTLRDLSVEFSNTTTTRFHFHKENF >PAN28310 pep chromosome:PHallii_v3.1:5:8625629:8629342:-1 gene:PAHAL_5G144200 transcript:PAN28310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSLARPLRPPVWAGRGGSGDPRVSASVVRCRAEAAPPVGTAARAPVGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVKALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYEQSMAVLKHAKSCREGMITKSSIMLGLGETDEEVRQTMMDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNSKTVSSSS >PAN28309 pep chromosome:PHallii_v3.1:5:8626467:8629106:-1 gene:PAHAL_5G144200 transcript:PAN28309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSLARPLRPPVWAGRGGSGDPRVSASVVRCRAEAAPPVGTAARAPVGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVKALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYEQSMAVLKHAKSCREGMITKSSIMLGLGETDEEVRQTMMDLRAIGVDILTLGQYLQVSPIPTAHSHRVQLSLLPVINADKT >PAN30510 pep chromosome:PHallii_v3.1:5:20862615:20863091:-1 gene:PAHAL_5G288400 transcript:PAN30510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLETHDAGSPTPLPHPMTLNQAMIWATANPSSAGHSHDLVLLHRVGLVHCRSRPVGAIGYPLNLWQKPGGAIGEDAAACVCQDPCTDEDPQVRFPFSVRL >PAN30509 pep chromosome:PHallii_v3.1:5:20861286:20863183:-1 gene:PAHAL_5G288400 transcript:PAN30509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLETHDAGSPTPLPHPMTLNQAMIWATANPSSAGHSHDLVLLHRVGLVHCRSRPVGAIGYPLNLWQKPGGAIGEDAAACVCQRWRISHQLGR >PAN30508 pep chromosome:PHallii_v3.1:5:20862530:20863091:-1 gene:PAHAL_5G288400 transcript:PAN30508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLETHDAGSPTPLPHPMTLNQAMIWATANPSSAGHSHDLVLLHRVGLVHCRSRPVGAIGYPLNLWQKPGGAIGEDAAACVCQDPCTDEDPQRWRISHQLGR >PAN27637 pep chromosome:PHallii_v3.1:5:5818714:5823494:1 gene:PAHAL_5G094000 transcript:PAN27637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTRITRSTIQRRSRRSRMGMGVTSLTPKVNGENSNSKFQWNFKEDVIKKFQELLAPLLFLGLILAALSQGSSAVEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRTSPSSNQGQDGDIHITTGHLPGRETPSKYKYYFNIGSVDSFEEKLEVAQEALGRDPHVYVPVTYTSEVNWFRELMRFAPTALLVGLIYVAGKRMKRGISSGGSGGGGFGIFDIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFVERFVGVGPSRVRNLFQEARQCAPSIVFIDEIDGVGRARDRGGFPGGSSERENTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNMVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLKTKEQLFDMMCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRGDGFGMRKPYGSQTASIIDTEVRDWVANAYEKTVDLIKTHKEQVAQIAELLLEKEVLHQDDLVQVLGERPFKTAEPTNYDHRFKQGFQVEESDKSAEVSVSDANPSPRENVVPT >PAN27635 pep chromosome:PHallii_v3.1:5:5819408:5823130:1 gene:PAHAL_5G094000 transcript:PAN27635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCNYKAAEQIENSNSKFQWNFKEDVIKKFQELLAPLLFLGLILAALSQGSSAVEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRTSPSSNQGQDGDIHITTGHLPGRETPSKYKYYFNIGSVDSFEEKLEVAQEALGRDPHVYVPVTYTSEVNWFRELMRFAPTALLVGLIYVAGKRMKRGISSGGSGGGGFGIFDIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFVERFVGVGPSRVRNLFQEARQCAPSIVFIDEIDGVGRARDRGGFPGGSSERENTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNMVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLKTKEQLFDMMCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRGDGFGMRKPYGSQTASIIDTEVRDWVANAYEKTVDLIKTHKEQVAQIAELLLEKEVLHQDDLVQVLGERPFKTAEPTNYDHRFKQGFQVEESDKSAEVSVSDANPSPRENVVPT >PVH37807 pep chromosome:PHallii_v3.1:5:5818302:5823493:1 gene:PAHAL_5G094000 transcript:PVH37807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGDVGGLGFVRSYLTSALGSRAAAPTWQGKVGDWRFLLASSQFRRLFSDGSNKNYEKYHPKEKQEEPNGDGSDKSDPKKNSNSKFQWNFKEDVIKKFQELLAPLLFLGLILAALSQGSSAVEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRTSPSSNQGQDGDIHITTGHLPGRETPSKYKYYFNIGSVDSFEEKLEVAQEALGRDPHVYVPVTYTSEVNWFRELMRFAPTALLVGLIYVAGKRMKRGISSGGSGGGGFGIFDIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFVERFVGVGPSRVRNLFQEARQCAPSIVFIDEIDGVGRARDRGGFPGGSSERENTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNMVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLKTKEQLFDMMCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRGDGFGMRKPYGSQTASIIDTEVRDWVANAYEKTVDLIKTHKEQVAQIAELLLEKEVLHQDDLVQVLGERPFKTAEPTNYDHRFKQGFQVEESDKSAEVSVSDANPSPRENVVPT >PAN27636 pep chromosome:PHallii_v3.1:5:5818302:5823493:1 gene:PAHAL_5G094000 transcript:PAN27636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSLSRALARSARSTRPLRQGSLLEGYAGLRAAPTPRPSMPGGDVGGLGFVRSYLTSALGSRAAAPTWQGKVGDWRFLLASSQFRRLFSDGSNKNYEKYHPKEKQEEPNGDGSDKSDPKKNSNSKFQWNFKEDVIKKFQELLAPLLFLGLILAALSQGSSAVEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRTSPSSNQGQDGDIHITTGHLPGRETPSKYKYYFNIGSVDSFEEKLEVAQEALGRDPHVYVPVTYTSEVNWFRELMRFAPTALLVGLIYVAGKRMKRGISSGGSGGGGFGIFDIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFVERFVGVGPSRVRNLFQEARQCAPSIVFIDEIDGVGRARDRGGFPGGSSERENTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNMVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLKTKEQLFDMMCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRGDGFGMRKPYGSQTASIIDTEVRDWVANAYEKTVDLIKTHKEQVAQIAELLLEKEVLHQDDLVQVLGERPFKTAEPTNYDHRFKQGFQVEESDKSAEVSVSDANPSPRENVVPT >PVH37808 pep chromosome:PHallii_v3.1:5:5818955:5823130:1 gene:PAHAL_5G094000 transcript:PVH37808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTRITRSTIQRRSRRSRMGMGVTSLTPKVNGENSNSKFQWNFKEDVIKKFQELLAPLLFLGLILAALSQGSSAVEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRTSPSSNQGQDGDIHITTGHLPGRETPSKYKYYFNIGSVDSFEEKLEVAQEALGRDPHVYVPVTYTSEVNWFRELMRFAPTALLVGLIYVAGKRMKRGISSGGSGGGGFGIFDIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFVERFVGVGPSRVRNLFQEARQCAPSIVFIDEIDGVGRARDRGGFPGGSSERENTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNMVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLKTKEQLFDMMCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRGDGFGMRKPYGSQTASIIDTEVRDWVANAYEKTVDLIKTHKEQVAQIAELLLEKEVLHQDDLVQVLGERPFKTAEPTNYDHRFKQGFQVEESDKSAEVSVSDANPSPRENVVPT >PAN27026 pep chromosome:PHallii_v3.1:5:3440931:3442471:-1 gene:PAHAL_5G054500 transcript:PAN27026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKNFGLSCSLGMEDDSLNLSRFLDKLFREWEDRKARGLFHHDISCCETKVLPGERNFVATLIEGRDQKKRPTEFGMNQVLQPFDSGKFNFTKVRPEEVIFRFCETDKDSAQYFGGAPDTISASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDEESFLIAMYIARETRNPFFRVGYNSLGGFATINHLHFQAYYLKVPYPVEKATTEKLTTLVNGVSISQLVDYPVSGFVFEGGASLEDLSDVVAKVCIFLQENNRPFNVLISESGNSVFLLPQCYAEKQRLGKASQEFLDMRINPAIWELSGHLVLKRKKDYDEASEPNICRFLVEAALSETEFQELKRCVLDFLTVSDACNLDIDS >PAN27025 pep chromosome:PHallii_v3.1:5:3440816:3448103:-1 gene:PAHAL_5G054500 transcript:PAN27025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKNFGLSCSLGMEDDSLNLSRFLDKLFREWEDRKARGLFHHDISCCETKVLPGERNFVATLIEGRDQKKRPTEFGMNQVLQPFDSGKFNFTKVRPEEVIFRFCETDKDSAQYFGGAPDTISASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDEESFLIAMYIARETRNPFFRVGYNSLGGFATINHLHFQAYYLKVPYPVEKATTEKLTTLVNGVSISQLVDYPVSGFVFEGGASLEDLSDVVAKVCIFLQENNRPFNVLISESGNSVFLLPQCYAEKQRLGKASQEFLDMRINPAIWELSGHLVLKRKKDYDEASEPNICRFLVEAALSETEFQELKRCVLDFLTVSDACNLDIDS >PAN27024 pep chromosome:PHallii_v3.1:5:3440816:3448081:-1 gene:PAHAL_5G054500 transcript:PAN27024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKNFGLSCSLGMEDDSLNLSRFLDKLFREWEDRKARGLFHHDISCCETKVLPGERNFVATLIEGRDQKKRPTEFGMNQVLQPFDSGKFNFTKVRPEEVIFRFCETDKDSAQYFGGAPDTISASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDEESFLIAMYIARETRNPFFRVGYNSLGGFATINHLHFQAYYLKVPYPVEKATTEKLTTLVNGVSISQLVDYPVSGFVFEGGASLEDLSDVVAKVCIFLQENNRPFNVLISESGNSVFLLPQCYAEKQRLGKASQEFLDMRINPAIWELSGHLVLKRKKDYDEASEPNICRFLVEAALSETEFQELKRCVLDFLTVSDACNLDIDS >PAN32334 pep chromosome:PHallii_v3.1:5:55502553:55506067:-1 gene:PAHAL_5G479100 transcript:PAN32334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGGSTRLYVGRLSSRTRTEDLEDIFSRYGRVRYVDMKHEFAFVEFSDPRDADDARYNLDGRDIDGSRIIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGMDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLRRGRSYSRSPSPRRGRSRDRSYSRSRSRSYSRSRSPRRDTRDERRSMSPRDSRSPRRSPRDSRSPQRSPSPSKGRGHSPSRSPAPRERKGSDHSMSPRRAESRSPADHERRDISPVANGRSPSPGDYKENGNQRLSPRGSASP >PAN32333 pep chromosome:PHallii_v3.1:5:55502553:55505811:-1 gene:PAHAL_5G479100 transcript:PAN32333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHEFAFVEFSDPRDADDARYNLDGRDIDGSRIIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGMDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLRRGRSYSRSPSPRRGRSRDRSYSRSRSRSYSRSRSPRRDTRDERRSMSPRDSRSPRRSPRDSRSPQRSPSPSKGRGHSPSRSPAPRERKGSDHSMSPRRAESRSPADHERRDISPVANGRSPSPGDYKENGNQRLSPRGSASP >PAN28380 pep chromosome:PHallii_v3.1:5:8956957:8962339:-1 gene:PAHAL_5G149200 transcript:PAN28380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLLQFQSLLAQHALRGVPKPRPQLQSHHNRLLHSPSAPSPAPPSPSAHLLWNRLSEGTDLSLLPRSAAAVAASARTAASRWLAAVRSAGSLDLFSLQRRRKAQGSGWQFASSTFLQGAPWAYWMQSPDEVVLTLVGVNVAIFMLWRVADPGFMSRHFMISLDNFKSGRLHTLLTSAFSHAESDHLISNMIGLYFFGTSIARTFGPAFLLKLYVAGALTGSAFFILEKAFLAPRKQVYVGWDTSKAPALGASAAVNATILLHIFLNPKGLVYLYLLIPIPAALMGAGLIAADLYRVKKGQGHVSGSAHLGGALVAALVWARIRKGWI >PAN28379 pep chromosome:PHallii_v3.1:5:8958214:8962148:-1 gene:PAHAL_5G149200 transcript:PAN28379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLLQFQSLLAQHALRGVPKPRPQLQSHHNRLLHSPSAPSPAPPSPSAHLLWNRLSEGTDLSLLPRSAAAVAASARTAASRWLAAVRSAGSLDLFSLQRRRKAQGSGWQFASSTFLQGAPWAYWMQSPDEVVLTLVGVNVAIFMLWRVADPGFMSRHFMISLDNFKSGRLHTLLTSAFSHAESDHLISNMIGLYFFGTSIARTFGPAFLLKLYVAGALTGSAFFILEKAFLAPRKQVYVGWDTSKAPALGASAAVNATILLHIFLNPKGLVYLYLLIPIPAALMGAGLIAADLYRVKKGLVCGRASPSLFVSFGV >PAN27786 pep chromosome:PHallii_v3.1:5:6476574:6481069:1 gene:PAHAL_5G107300 transcript:PAN27786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPLSPGKSPAADDLETLPLDSSSSVAATTDPLLRPPPSPSSPTSSPTAGANHGPFVDDDEEEDDVDGVTPAPAPRTAAAATSREASPVFAEITVSEPRKHAEPATGAVGVIPGSASYVSYLVATRASDGGEFRVRRRFRDVVALADRLAEAHRGLFVPARPDKSIVEGQVMQRHDFVNQRCVTIQRYLRRLAAHPVVGRSADLHAFLTEPSGIPTSDGESPRWSPAMSAATSMAAAAPATPTKSGRDFFGVLKDLKQTVTNGWVAVRPPPVEEETDTRYLAHKAKLEDLEQHLVTASQQAEVLVKSYDDLRATTGLLGMSLIKLAKFEKEKATCDSQKRRAADISNFANAVVRVSRSHTKLNAEIVNHLGIIHEYMETMAAVHNAFADRSSALLCVQNLSADLYFLHTRAEKLETVSSRGMDQERSRYQKIEELKETIRATEDTKTRALKELEHIKENNITEIKRFNKKRRHDLVEMLKGFVSDQAAYSDHFASVWTKVAEDTKGYANRTN >PAN27787 pep chromosome:PHallii_v3.1:5:6476630:6480981:1 gene:PAHAL_5G107300 transcript:PAN27787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPLSPGKSPAADDLETLPLDSSSSVAATTDPLLRPPPSPSSPTSSPTAGANHGPFVDDDEEEDDVDGVTPAPAPRTAAAATSREASPVFAEITVSEPRKHAEPATGAVGVIPGSASYVSYLVATRASDGGEFRVRRRFRDVVALADRLAEAHRGLFVPARPDKSIVEGQVMQRHDFVNQRCVTIQRYLRRLAAHPVVGRSADLHAFLTEPSGIPTSDGESPRWSPAMSAATSMAAAAPATPTKSGRDFFGVLKDLKQTVTNGWVAVRPPPVEEETDTRYLAHKAKLEDLEQHLVTASQQAEVLVKSYDDLRATTGLLGMSLIKLAKFEKEKATCDSQKRRAADISNFANAVVRVSRSHTKLNAEIVNHLGIIHEYMETMAAVHNAFADRSSALLCVQNLSADLYFLHTRAEKLETVSSRGMDQERSRYQKIEELKETIRATEDTKTRALKELEHIKENNITEIKRFNKKRRHDLVEMLKGFVSDQAAYSDHFASVWTKVAEDTKGYANRTN >PAN29552 pep chromosome:PHallii_v3.1:5:14600854:14606094:-1 gene:PAHAL_5G233700 transcript:PAN29552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSDEDTEISDSEIDDYEGKIYARLMSGDLKVKKNGESYTCPFCSSKKKNNYSKSSLVQHASGVGAAPNRQAKEKATHRALVKHLKNELAKSPELRPQVIPVEPQPLQNRDEKFVWPWMGVLVNVPTEWKDGRQVGESGNRLKEQLSHFCPLKVIPLWTFRGHTGNAIVEFGKDWNGFRNARTFESHFAAGGYGKRDWIGKRNQGSELHGWVARAEDYNSPGLIGDHLRKNGDLKSVNDLAKEEARKTDKLVANLANQIEVKNRYLQELECKYSETTASLEKMMGQREQLLQSYNEEIRKMQQLARRHSQKIIDENQKLRSEIETKMNELDVRSKQLDELAAKSGYDRRNLEQEKQKNAIRSSHLKLATLEQQKADENVLRLVEEQKREKHAALKKILMLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDENSASKNKINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARNELENGLLDISGGQSHIGIKRMGELDLKAFSKACRKKCSEEDAEVTAAFLCSKWEAEIKNPDWHPFRIVMADGKEMEIIEDDAKLRGLREEHGEEIYILVKKALCEVNEYKSKGSYPVGELWNFKEDRKVTLKEAVQFVLKQWRANRRKQR >PAN30631 pep chromosome:PHallii_v3.1:5:25455580:25458491:1 gene:PAHAL_5G308000 transcript:PAN30631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYATAAEAEAALGRAMTWAEAAWYQYSAAMPDSWLHCHTTFILFVIYTITPLPLVVLEQFAPSVVLPYKLQPRVRLPLAASLRCYMEAACFFPLAVGFQLVSYPVAVKILRTRMGLPLPSVREAVAQLVVYSLLEDYLSYWIHRLLHTQWGYEKIHRVHHEFTAPTGFAMSYSHWAENLLLSIPALSGPVIVPCHITTQWLWFSIRLIEGINTHSGYHFPFSPSKLIPFYGGAAYHDYHHYAGGHSQSNFAPLFTYCDYIYRTDKGYRYHKLKQAKLKSLEENNAEKKGGTTAFDIGKD >PAN30630 pep chromosome:PHallii_v3.1:5:25455586:25458490:1 gene:PAHAL_5G308000 transcript:PAN30630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYATAAEAEAALGRAMTWAEAAWYQYSAAMPDSWLHCHTTFILFVIYTITPLPLVVLEQFAPSVVLPYKLQPRVRLPLAASLRCYMEAACFFPLAVGFQLVSYPVAVKQILRTRMGLPLPSVREAVAQLVVYSLLEDYLSYWIHRLLHTQWGYEKIHRVHHEFTAPTGFAMSYSHWAENLLLSIPALSGPVIVPCHITTQWLWFSIRLIEGINTHSGYHFPFSPSKLIPFYGGAAYHDYHHYAGGHSQSNFAPLFTYCDYIYRTDKGYRYHKLKQAKLKSLEENNAEKKGGTTAFDIGKD >PVH38630 pep chromosome:PHallii_v3.1:5:25455708:25458462:1 gene:PAHAL_5G308000 transcript:PVH38630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYATAAEAEAALGRAMTWAEAAWYQYSAAMPDSWLHCHTTFILFVIYTITPLPLVVLEQFAPSVVLPYKLQPRVRLPLAASLRCYMEAACFFPLAVGFQLVSYPVAVKILRTRMGLPLPSVREAVAQLVVYSLLEDYLSYWIHRLLHTQWGYEKIHRVHHEFTAPTGFAMSYSHWAENLLLSIPALSGPVIVPCHITTQWLWFSIRLIEGINTHSGYHFPFSPSKLIPFYGGAAYHDYHHYAGGHSQSNFAPLFTYCDYIYRTDKGYRYHKLKQAKLKSLEENNAEKKGGTTAFDIGKD >PVH38047 pep chromosome:PHallii_v3.1:5:9682983:9684398:1 gene:PAHAL_5G160900 transcript:PVH38047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKSHSHHHNAPLTPLPRFLCPLFLTFPPSSSTPSSSSPRRLLPGPLLLLLFPTNAKTQETHAHSMEVSTKQLLPMPHQQDPNSPSSSTSSSSSSSTSPSHPHHRAQPHNLPPSPRPIPRTIDTTPFPTTFVQADTTSFKQVVQMLTGADQPTKNDATTAAATGNSGGGAGTSGGQAAGGPCRPKKPSFKLYERRSSMKNLKMIAPLAMGPPPSPRRATPEILSPSVLDIPSLRLSSPVTPLTGDPFNRSPASTSSSEEAERAAIAERGFFLHPSPRGAEPPRLLPLFPVTSPRMAAPAAAPSE >PAN31513 pep chromosome:PHallii_v3.1:5:51950484:51952685:1 gene:PAHAL_5G424100 transcript:PAN31513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAYGTSLQRPFSVSVLSIAAPISHMDFSLLLALMAIALPVLLHLLARARKPRPGTAKLPPGSLGLPVIGQSLGLLRAMRANTAERWVQDRVDRYGPVSKLSLFGAPTVLLTGPAANKFVFFSGALAMQQPRSVQRILGEKNILELMGADHKRIRGALAEFLKPDMLRMYVGKIDGEVRHHLDESWAGRATVMVMPLMKRLTFDIISLLLFGLERGAVRDALAGDFEHVMDGVWAVPMDLPFTAFRRSLKASASARRVIAGIMRETKVKLERGEASRSSDLIACLLSLTDDSGAPQLSEEEIVDSSVVTLVAGHDTSSILMTFMVRHLANDPDTLAAMVQEHDEIAKNKGDGEALTWDDLAKMKFTWRVALETLRLVPPVFGNFRRAIQDTEFDGYLIPKGWQVFWVASATHMDAAMFHEPAKFDPSRFEDQPSATAPPCSFVAFGGGPRICVGKEFSRIETLVTMHYLVRRFRWKLCCKEDTFVRDPMPSPLHGLPIELENKPSSP >PAN28156 pep chromosome:PHallii_v3.1:5:8055627:8062221:-1 gene:PAHAL_5G135000 transcript:PAN28156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEKRGYRLQEFVAHDADVRSLAIGKKSSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSAVEAVQFDSAEMLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKRIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQINCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEGSGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHERRVGLWAADISLIGPYALGVLPKANFFAELVQSMDDNPVKPSDSTANSSPALAMTQPKNSYKVKEPGIAESRVRGSHLTPASTDKIKKDRSSTIPRRPDPSFRSSIQSSTPMRRMKLVDSPSTNPKTVERNFGQKDTPLTSHTRIAKNSSTAKKGNLTESASVKDIYTTSQAVSAPVVVPRDILEDKTVSSVCRGNGGTTTAPDAFQVPVHRRKPSLSGTAADSDSSVGSVLTEPDVCSEGLSSLQFSFGLSPYYKKEEYGEVDKEDIAQIAEKMDRTVSLEHPLRSNDDKSFETPCSTTETARVKYVRGVAVPLGKTKSLVERWEKRESSSNDYSPQTGSCGDRMLKNDSPPAYSAEPSQTYEKDLSTVDEVMTPANLVQNHDEFINAVKLRLTKLEMMRHVFEQSGIKGAIAAVAKLPDNAVQADVVNALKGKLDLFNLEIFSSFLPVLAGLLSSKTERHATVSLEMLLDLIKIFGPVIHSTLSANLGVGVNIQAEQRLQRCTRCFNHLQKIQQVLHPLMMQGGESAQLAQELSLSLQDLVVI >PAN31373 pep chromosome:PHallii_v3.1:5:51257697:51260505:-1 gene:PAHAL_5G414500 transcript:PAN31373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAEEEVIIVGAGQSGIAVAACLSLRGVRSLVLERDDCVGSLWRKRAYDRLHLHLAKKYCALPHLPHPDEAPTYLPRDDFARYLDGYAARFAVRTRLRREVRSARFDAGSGRWEVEAVDLATGRPEAYAARFLVVASGENDEKFVPEVPGLEAFPGPVVHAAEYRSAEGMRGKAVLVVGCGNSGMEIAYDLAAAGAVTSIAVRSELHLVSKEIWNVAMALYGYHVPAWVIDKLVLLMCAVVFGDTARHGLRRPAVGPFTLKLTTPAYPVFDVGTYAKIRSGEVRVLPAAVKSVRGNVVEFADGGRHPFDAIVLATGYRSTVRRWLKSEDGLIGDDGMAARGYPEHWKGANGLYCAGMVRRGIYGSCEDAELIAGDISELLLRPKQQDQGDAAAAAAHTNGS >PAN26494 pep chromosome:PHallii_v3.1:5:1094545:1095345:-1 gene:PAHAL_5G016100 transcript:PAN26494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGASRAELIEPAPALPAEPVTARKPRGRPPGSKNKPKPPVVVTRESEGAMRPVVLELAAGCDVVAAVAAFARRRRVGVSVLCGRGAVAAVRLRLATSPTTASTVTLHGRFEVLSLSGTVLPSEGAASAPAPFSVSLAGAGGQVIGGTLAGEMTAADGVVVVAATFGSAEVHRLPAAAGAEDEDGAGGGEEGRHPHSQLLLQQQQLAPAAGSAAGDVEGLGGYGGGAGTGSGGGHVGRHAHVPEMALWAQPASSRGPAHPLPQF >PAN31393 pep chromosome:PHallii_v3.1:5:51418260:51421501:1 gene:PAHAL_5G416800 transcript:PAN31393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor 7 [Source:Projected from Arabidopsis thaliana (AT5G05110) UniProtKB/Swiss-Prot;Acc:Q8LC76] MRVAATRLASAPAPRPLLLLLVLVLLVSAPLAIGAAAMAGHVLGGVKENPAAANSAESDGLGRFAVEEHNKRQNALLEFVRVVEAKEQVVAGTLHHLTLEAIEAGRKKVYEAKVWVKPWLDFKELQEFVHKGDATTFTNADLGAKKGGHEPGWREVPVEDPVVKDAAHHAVKSIQERSNSLFPYELLEIVRAKAQVVEDFAKFDILMKLKRGSKEEQIKAEVHKNLEGAFVLNQHQPAEHEESSSQ >PAN27251 pep chromosome:PHallii_v3.1:5:4279495:4283173:1 gene:PAHAL_5G069500 transcript:PAN27251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIRRPLPSTLPQPSSLPASAPPQYNHPDHRAAHSSGDSASPLLPVARTHNMIQPSTMPPPARLLPLLVLLLIHLPSSLSSRHHHHRSTPSPSPAAAPASSVSAPLAVLLACNATRFQPACVSTLSGAGSDASASDLLGATLSALRARIPPAVSTAKSVLAASSNVNLSNAATNCLTFLSLSSRRLAPSPSPPLLSASTALLHLYDCWSAYKYVNFSHTISDAMAYLHDTIAVNSNYISMLAARQRYGDETSRWRPPQTERDGYWPPAAAASKEAEPDVDALGVPRGLAANATVCAAGCDYKTVREAVAAAPDYGEGPFVVRVKEGVYRETVSVPWEKTNVVLVGDGMGKTVITGDLNADTPGVSTFNTATVGVLADGFMARDLTISNTAGPDAHQAVAFRSTGDRTVLDGVELLGHQDTLYAHAMRHFYTRCRVAGTVDFVFGNSAAVLHATALLVLPRQLRPDKGEKDAVTAQGRTDPAQPTGIVLSGCAVNGSDEYMELYRRKPDAHRVYLGRPWKEYSRTVYLRCTLAEIVQPQGWMPWDGDFALDTLYYGEFGSAGPGAAAAGRRVAWSSQVPEDHVDAYSVANFIQGHEWIPKA >PAN29052 pep chromosome:PHallii_v3.1:5:11961876:11963072:1 gene:PAHAL_5G197200 transcript:PAN29052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGELQLLGSWYSPYVIRAKVALGLKGLRYEYLEEDLFSKSDLLLKSNPAHKKVPVLVHGGRPVCESLVVVQYVDEAWAGTGPPLLPGDARDRATARFWAAFIDDKFFRAWRELFRSTTDSQRAEAFRSVVPRVETLEQAFMECSEGKAFFGGDAVGLADVALGSFLVWIQVVDEVSGTKLLDGARFPGLAAWAERFLAVDAVKDAMPEFERLLEHYKGFLAKLASPAAPAGYS >PAN26353 pep chromosome:PHallii_v3.1:5:283670:287957:1 gene:PAHAL_5G004300 transcript:PAN26353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSPAPARMDLDGNPVAPLTICMIGAGGFIGSHLCEKLMAETAHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTVGSFLPKDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPGRANGHIFNVGNPNNEVTVRELAQMMTEVYANVSGEAPLDEPMIDVSSSQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAVKRQMSQASASS >PAN26653 pep chromosome:PHallii_v3.1:5:1848340:1856907:-1 gene:PAHAL_5G028800 transcript:PAN26653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPFKPAAGALVEAGSGAGAGSIPAMVAAQQQMLHEQADQLQRLVVAQCRLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKCMQSLFALKDTIGKKETREISLLCGVTVTQVREFFTSQRSRVRKFVQLSREKALRVEASKEHDNACSISTEQIPVDIEAHAEVIEPLRTLEPVVPQSSSQPMGVPQVSSQPMGLPQGLLQPMEVFQNSLQQATAQQNFAAPVMPSGTMGVQPTDAKISSDSAQKEIKQEEVHPGVESEDKKFLESIFALMRKEETFSGQVKLLEWILQINNATVLSWFVTMGGLTIMSTWLSLAAIEEQTSVILVIFKVLLHLPLHKALPAHMSVVLQTINRLRFYRTQDISSRARNLLSRLSKVLVRSQALKKPQKELICKQRISEILRDESWKSEVDITEEILALTDGANESSKPEPRKTPMLLTAAADETNKRSATQTKSKQKRKVLLVEPPNKKAAVKNVNSVRNISTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKASDKPQALETPKTSGLVYSTVLPVPRNPPRSTAQLVDTSPSTSNQSTVAQPDKPEISGGLKLNIGSQKNVIEKLDSRRVPWRIPPAVWIDPSWSVSAGDNSKELEVQTQRNRRERETFYASQKDIPLNPKDPWDMEMDFDDSLTPEIPIDQAPDADTMETDSVGAALNAVAPVEDKQIGSTSSTSVSVANGTNGEDPEPDLELLTVLLKNPQLVFALTSNKGENVTSEQTVALLDTLKRTGLGLSELVNTLGNGAGTPKEPEPEPIPASLPSPTPSDQTTRAAWGSEHPTQARAPNLQQPQLSNRGNTPPIANTVQQSFSNVISSLPSQPYAPVSVLPAQIQANVPSLPQLAVSVNPPIQHVSPANNHLNRASVHQHGQQYALASDPVAISLHQQSAVNKSSHGLQSIPNHSVVHSSMPEPDASYTTLPWQSNAAHVTNTGRNATVEPWAARTTNSYNTASASTVPYGNQNAYGDQRTHSAHNPYGSAAVSSQSVLPGHGLDRNGYSRPMEYQTMARDGHQRHSRSPDPSAGRNYVGTQGYNQQPLTHWSAGQGQQSYNPEPSRQWSSAQQSYTPAEPSTRQWSSAHQSYAPAEPSRPWSSERQSYNVEPSRPWSSGQQGQNPEASRQWNLGKQDPYNPSDGRRSYDHHWRR >PAN26681 pep chromosome:PHallii_v3.1:5:1848638:1855397:-1 gene:PAHAL_5G028800 transcript:PAN26681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDLILLQDHISCNNHMIHLLSFAGKRPRDLLNPKAVKCMQSLFALKDTIGKKETREISLLCGVTVTQVREFFTSQRSRVRKFVQLSREKALRVEASKEHDNACSISTEQIPVDIEAHAEVIEPLRTLEPVVPQSSSQPMGVPQVSSQPMGLPQGLLQPMEVFQNSLQQATAQQNFAAPVMPSGTMGVQPTDAKISSDSAQKEIKQEEVHPGVESEDKKFLESIFALMRKEETFSGQVKLLEWILQINNATVLSWFVTMGGLTIMSTWLSLAAIEEQTSVILVIFKVLLHLPLHKALPAHMSVVLQTINRLRFYRTQDISSRARNLLSRLSKVLVRSQALKKPQKELICKQRISEILRDESWKSEVDITEEILALTDGANESSKPEPRKTPMLLTAAADETNKRSATQTKSKQKRKVLLVEPPNKKAAVKNVNSVRNISTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKASDKPQALETPKTSGLVYSTVLPVPRNPPRSTAQLVDTSPSTSNQSTVAQPDKPEISGGLKLNIGSQKNVIEKLDSRRVPWRIPPAVWIDPSWSVSAGDNSKELEVQTQRNRRERETFYASQKDIPLNPKDPWDMEMDFDDSLTPEIPIDQAPDADTMETDSVGAALNAVAPVEDKQIGSTSSTSVSVANGTNGEDPEPDLELLTVLLKNPQLVFALTSNKGENVTSEQTVALLDTLKRTGLGLSELVNTLGNGAGTPKEPEPEPIPASLPSPTPSDQTTRAAWGSEHPTQARAPNLQQPQLSNRGNTPPIANTVQQSFSNVISSLPSQPYAPVSVLPAQIQANVPSLPQLAVSVNPPIQHVSPANNHLNRASVHQHGQQYALASDPVAISLHQQSAVNKSSHGLQSIPNHSVVHSSMPEPDASYTTLPWQSNAAHVTNTGRNATVEPWAARTTNSYNTASASTVPYGNQNAYGDQRTHSAHNPYGSAAVSSQSVLPGHGLDRNGYSRPMEYQTMARDGHQRHSRSPDPSAGRNYVGTQGYNQQPLTHWSAGQGQQSYNPEPSRQWSSAQQSYTPAEPSTRQWSSAHQSYAPAEPSRPWSSERQSYNVEPSRPWSSGQQGQNPEASRQWNLGKQDPYNPSDGRRSYDHHWRR >PAN26652 pep chromosome:PHallii_v3.1:5:1848385:1856907:-1 gene:PAHAL_5G028800 transcript:PAN26652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPQVSSQPMGLPQGLLQPMEVFQNSLQQATAQQNFAAPVMPSGTMGVQPTDAKISSDSAQKEIKQEEVHPGVESEDKKFLESIFALMRKEETFSGQVKLLEWILQINNATVLSWFVTMGGLTIMSTWLSLAAIEEQTSVILVIFKVLLHLPLHKALPAHMSVVLQTINRLRFYRTQDISSRARNLLSRLSKVLVRSQALKKPQKELICKQRISEILRDESWKSEVDITEEILALTDGANESSKPEPRKTPMLLTAAADETNKRSATQTKSKQKRKVLLVEPPNKKAAVKNVNSVRNISTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKASDKPQALETPKTSGLVYSTVLPVPRNPPRSTAQLVDTSPSTSNQSTVAQPDKPEISGGLKLNIGSQKNVIEKLDSRRVPWRIPPAVWIDPSWSVSAGDNSKELEVQTQRNRRERETFYASQKDIPLNPKDPWDMEMDFDDSLTPEIPIDQAPDADTMETDSVGAALNAVAPVEDKQIGSTSSTSVSVANGTNGEDPEPDLELLTVLLKNPQLVFALTSNKGENVTSEQTVALLDTLKRTGLGLSELVNTLGNGAGTPKEPEPEPIPASLPSPTPSDQTTRAAWGSEHPTQARAPNLQQPQLSNRGNTPPIANTVQQSFSNVISSLPSQPYAPVSVLPAQIQANVPSLPQLAVSVNPPIQHVSPANNHLNRASVHQHGQQYALASDPVAISLHQQSAVNKSSHGLQSIPNHSVVHSSMPEPDASYTTLPWQSNAAHVTNTGRNATVEPWAARTTNSYNTASASTVPYGNQNAYGDQRTHSAHNPYGSAAVSSQSVLPGHGLDRNGYSRPMEYQTMARDGHQRHSRSPDPSAGRNYVGTQGYNQQPLTHWSAGQGQQSYNPEPSRQWSSAQQSYTPAEPSTRQWSSAHQSYAPAEPSRPWSSERQSYNVEPSRPWSSGQQGQNPEASRQWNLGKQDPYNPSDGRRSYDHHWRR >PAN28675 pep chromosome:PHallii_v3.1:5:10376117:10380800:-1 gene:PAHAL_5G171200 transcript:PAN28675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRSPAFRTLTSAAFGASRQYRSLPSSNSASTKGEKTKAAALCRRPRALSTLSRRRRRAANMKAIGSGGDWWRNLPSLRRKSDSRRRGRRNTDPRGRRRGPPREPLSSSSSESVGQSSSWPIEFPFRQALTAASLTFTGDTIAQVRGRIVDRRRRGADPDSKELIPDILLNHDWLRGFRMASYGFLLYGPGSYAWYQFLDRCMPKQSFVNLSAKVILNQIVLGPCVIAVVFAWNNLWLGKLSELPSKYQNDALPTLLYGFKFWIPVSIVNFGMIPLPARVAFMSSCSIFWNFYLSTAMNK >PAN32208 pep chromosome:PHallii_v3.1:5:55015197:55017184:-1 gene:PAHAL_5G471300 transcript:PAN32208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQEYLNLQHRTFVQYYRCLSMSLLNKENVDEDGNWVIMPPSALDRLSRLNVDCPMLFQIQNPSTERVTHCGVHEFVAEEGFIHMPTRLMAHLGVQENELVLVRNTLLPTATFVKLQPHTTDFLDVSHHKELLEYNFRKFICLTAGETIVVTEGERRYYLDVLEARPADAVRTIDTDCAVDFAPPLDYVEPEPAPAPAPAAVASQANAKLSRFTGVAARMDGKPVEQAPPAPVPVGRQGDQPRKPAQFTGVAARMDGKPVKRPPPAPSPAAASAGALGAPKSKVRFGDPPSAAGRGNGNGVSKAEAAGGKEQEKRFVGTQYSLKD >PAN30660 pep chromosome:PHallii_v3.1:5:38810539:38817067:1 gene:PAHAL_5G350400 transcript:PAN30660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRAAASSPAAPRRKRGKERASPQPTAAAEAGSPSAAVDGDGRARRRLGGRRAAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPASALPLVETLLAIDPAERQTATAALHSDFFSTEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEAKRTRPRDRSHRAGPAPEANAEIQANLDRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPMFEHQDASFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTTLDAKAAAYSKQLQKEKAGIRAR >PAN30661 pep chromosome:PHallii_v3.1:5:38810539:38817067:1 gene:PAHAL_5G350400 transcript:PAN30661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRAAASSPAAPRRKRGKERASPQPTAAAEAGSPSAAVDGDGRARRRLGGRRAAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPASALPLVETLLAIDPAERQTATAALHSDFFSTEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEAKRTRPRDRSHRAGPAPEANAEIQANLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPMFEHQDASFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTTLDAKAAAYSKQLQKEKAGIRAR >PAN30663 pep chromosome:PHallii_v3.1:5:38821015:38829151:-1 gene:PAHAL_5G350600 transcript:PAN30663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVKYDDDDEEISSSAEEEEDQSDAAGSGSGEEGDEDEEDAAAPPAGEEEEAEGEGQQGEEEEVDEEEIEAVTTGAGAEEEEDAGAAAPAEGDDESQSTEDDEAAPGENGDETDVVVGKREKARLKELQKMKRQKIQEILDTQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGSQSKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAVKFLGNPEERNHIRDNLLQPGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDNAELYDFDDEKDENKVDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSIASDMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKEKRLAKNMTPTKRGASRNLEGETTPSNSFKRRRQSLMDDYVGSGRRKRG >PAN27080 pep chromosome:PHallii_v3.1:5:3648081:3651149:-1 gene:PAHAL_5G057800 transcript:PAN27080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSRQDVAARLLRMIFDKKPKMAGSILAKKGHILEEFFRGNPKRIIQWFGHFAVTGESTHKKGAKALSQFAFVNRDMCWEELEWKGKHGQSPAVVATKPHYFHDLDVLQTVENFLEYVPDFWSSDELADSIKDGEILQIDAGYFVDQFLYLMYEDNSKDAWHVVEDFLIDEQFSSLSQHLLIHLDEQRLLDFLKSLGKLISPTSQCKELAFPCCWLEVLLSGHCDRISLDDLVLLNCVIAKGRQLWRLMNDEEQQEEQEQMEEILKGVNHLTVADHFALMKELVEREFPDALKWISIQSWVIFCVLSKECRSGDSCESLFSHNKIEFRKADDYSLVQNDGCSISQLPDTDDVDLAGSSQKRRKRNRRKKRHRYDSDDDKLDELLELGSSKGKNYVESRGSWHLSTDGFSASWDIADIPDHLSTCYLKIWVKWACF >PVH37684 pep chromosome:PHallii_v3.1:5:3647322:3649740:-1 gene:PAHAL_5G057800 transcript:PVH37684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWEELEWKGKHGQSPAVVATKPHYFHDLDVLQTVENFLEYVPDFWSSDELADSIKDGEILQIDAGYFVDQFLYLMYEDNSKDAWHVVEDFLIDEQFSSLSQHLLIHLDEQRLLDFLKSLGKLISPTSQCKELAFPCCWLEVLLSGHCDRISLDDLVLLNCVIAKGRQLWRLMNDEEQQEEQEQMEEILKGVNHLTVADHFALMKELVEREFPDALKWISIQSWVIFCVLSKECRSGDSCESLFSHNKIEFRKADDYSLVQNDGCSISQLPDTDDVDLAGSSQKRRKRNRRKKRHRYDSDDDKLDELLELGSSKGKNYVESRGSWHLSTDGFSASWDIADIPDHLSTCYLKIWVKWACF >PAN27082 pep chromosome:PHallii_v3.1:5:3648075:3651149:-1 gene:PAHAL_5G057800 transcript:PAN27082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLFLHVPREGGSVNTVAVEARKSLLNNVESIIKSIIKSTGRYEARLWLCSTISSVHSLSHHDQRNLFLDLLEMKNSRQDVAARLLRMIFDKKPKMAGSILAKKGHILEEFFRGNPKRIIQWFGHFAVTGESTHKKGAKALSQFAFVNRDMCWEELEWKGKHGQSPAVVATKPHYFHDLDVLQTVENFLEYVPDFWSSDELADSIKDGEILQIDAGYFVDQFLYLMYEDNSKDAWHVVEDFLIDEQFSSLSQHLLIHLDEQRLLDFLKSLGKLISPTSQCKELAFPCCWLEVLLSGHCDRISLDDLVLLNCVIAKGRQLWRLMNDEEQQEEQEQMEEILKGVNHLTVADHFALMKELVEREFPDALKWISIQSWVIFCVLSKECRSGDSCESLFSHNKIEFRKADDYSLVQNDGCSISQLPDTDDVDLAGSSQKRRKRNRRKKRHRYDSDDDKLDELLELGSSKGKNYVESRGSWHLSTDGFSASWDIADIPDHLSTCYLKIWVKWACF >PAN27083 pep chromosome:PHallii_v3.1:5:3647322:3651149:-1 gene:PAHAL_5G057800 transcript:PAN27083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSRQDVAARLLRMIFDKKPKMAGSILAKKGHILEEFFRGNPKRIIQWFGHFAVTGESTHKKGAKALSQFAFVNRDMCWEELEWKGKHGQSPAVVATKPHYFHDLDVLQTVENFLEYVPDFWSSDELADSIKDGEILQIDAGYFVDQFLYLMYEDNSKDAWHVVEDFLIDEQFSSLSQHLLIHLDEQRLLDFLKSLGKLISPTSQCKELAFPCCWLEVLLSGHCDRISLDDLVLLNCVIAKGRQLWRLMNDEEQQEEQEQMEEILKGVNHLTVADHFALMKELVEREFPDALKWISIQSWVIFCVLSKECRSGDSCESLFSHNKIEFRKADDYSLVQNDGCSISQLPDTDDVDLAGSSQKRRKRNRRKKRHRYDSDDDKLDELLELGSSKGKNYVESRGSWHLSTDGFSASWDIADIPDHLSTCYLKIWVKWACF >PAN27081 pep chromosome:PHallii_v3.1:5:3648341:3650624:-1 gene:PAHAL_5G057800 transcript:PAN27081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLFLHVPREGGSVNTVAVEARKSLLNNVESIIKSIIKSTGRYEARLWLCSTISSVHSLSHHDQRNLFLDLLEMKNSRQDVAARLLRMIFDKKPKMAGSILAKKGHILEEFFRGNPKRIIQWFGHFAVTGESTHKKGAKALSQFAFVNRDMCWEELEWKGKHGQSPAVVATKPHYFHDLDVLQTVENFLEYVPDFWSSDELADSIKDGEILQIDAGYFVDQFLYLMYEDNSKDAWHVVEDFLIDEQFSSLSQHLLIHLDEQRLLDFLKSLGKLISPTSQCKELAFPCCWLEVLLSGHCDRISLDDLVLLNCVIAKGRQLWRLMNDEEQQEEQEQMEEILKGVNHLTVADHFALMKELVEREFPDALKWISIQSWVIFCVLSKECRSGDSCESLFSHNKIEFRKADDYSLVQNDGCSISQLPDTDDVDLAGSSQKRRKRNRRKKRHRYDSDDDKLDELLELGSSKGKNYVESRGSWHLSTDGFSASWDIADIPDHLSTCYLKIWVKWACF >PAN32332 pep chromosome:PHallii_v3.1:5:55481522:55482386:1 gene:PAHAL_5G478600 transcript:PAN32332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PVH38965 pep chromosome:PHallii_v3.1:5:50175732:50176651:1 gene:PAHAL_5G403800 transcript:PVH38965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWVRTITTPFRKACNVFVPQKDGKKPQESSSSAMVQHVDAERAKLHGEVMACAYEDVQVMWSMLDQARIRDLSGSS >PVH37861 pep chromosome:PHallii_v3.1:5:6448491:6449323:1 gene:PAHAL_5G106500 transcript:PVH37861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLRLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PAN32789 pep chromosome:PHallii_v3.1:5:57560185:57562439:-1 gene:PAHAL_5G512900 transcript:PAN32789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNSWLRRTKFSHTVYTRVDPRRVPIAPVGKDVVVPFAPLGKDVERKLQKFVSMGKSMSMPVNRDDEDTGTALKHCASLPLVRSSLQLDRDKANKPKKVSLEIPSSPPMNSENCRGPRARSLVKSPSSMMLLSYLNKAPSNQGSSPQKAYGPQHKQRSKSPLPCIAPSEVFREAKSSSQRFASPPPQRRGSEKSIYGKSFARQVSDVGQSPDLCSTRMVSGKHKSQKDNSWARKYSGGRRVSAVNPAIDRRAQMVRMNQAVQTTVDWTLDPSKLLVGHRFASGAYSRLYRGFYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEINSLSHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRSYLNSTENHPIPVEKIISIALDVACGLEYIHSQGIVHRDIKPENILFDENFCVKIADFGIACEETLCDVLVEDEGTYRWMAPEMIKQKAYNRKVDVYSFGLLLWEMVSGRIPYENLTPFQVAYAVANRNLKPTIPPECPSALRTLIEQCCALQPDKRPDFWQIVKVLEQFRSILSQGGCLDIPKSSTCQDPKKRLLHWIQKLKPAQST >PAN32788 pep chromosome:PHallii_v3.1:5:57559539:57564409:-1 gene:PAHAL_5G512900 transcript:PAN32788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNSWLRRTKFSHTVYTRVDPRRVPIAPVGKDVVVPFAPLGKDVERKLQKFVSMGKSMSMPVNRDDEDTGTALKHCASLPLVRSSLQLDRDKANKPKKVSLEIPSSPPMNSENCRGPRARSLVKSPSSMMLLSYLNKAPSNQGSSPQKAYGPQHKQRSKSPLPCIAPSEVFREAKSSSQRFASPPPQRRGSEKSIYGKSFARQVSDVGQSPDLCSTRMVSGKHKSQKDNSWARKYSGGRRVSAVNPAIDRRAQMVRMNQAVQTTVDWTLDPSKLLVGHRFASGAYSRLYRGFYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEINSLSHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRSYLNSTENHPIPVEKIISIALDVACGLEYIHSQGIVHRDIKPENILFDENFCVKIADFGIACEETLCDVLVEDEGTYRWMAPEMIKQKAYNRKVDVYSFGLLLWEMVSGRIPYENLTPFQVAYAVANRNLKPTIPPECPSALRTLIEQCCALQPDKRPDFWQIVKVLEQFRSILSQGGCLDIPKSSTCQDPKKRLLHWIQKLKPAQST >PVH38594 pep chromosome:PHallii_v3.1:5:22390995:22391414:-1 gene:PAHAL_5G296600 transcript:PVH38594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSCSYPLVMSSATMTCVFHINRVNSILLKWNAGAHCPLRRSFEFYFCDIRAKNFLICIVDLGSSFLLFFSHPLPSSPQASINLSVSLSRYFQFRPI >PVH37478 pep chromosome:PHallii_v3.1:5:876664:878697:-1 gene:PAHAL_5G013100 transcript:PVH37478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDTLLLAVALALGVLAVSLHAQPFDYPSARPSTSWANTDASLTHHVTFMDGSVARAALLRLNPAGFGPSYAFGFFCTNHGTAAGAGPCNDFLLAVAVVYCNSGALMTSVVAGIPQVVWSANRARPVGEGATVQFTPAGDLVLKATDGTLVWSAGTAGKSVAGISINSDGNLVMVDGTNRTVWQSFDHPTDTLLVGQSLRQGARLTANTSAVNWSESRLYLTVADDSLSAYVDAKPPQRYYHLGFTKAAGAYATYANGRLTVFAPPAAPLTTVQLPAVGAGTVQYMRLEYDGHLRLYEWRPAGWAPVFDVLRLFPDDCAFPTVCGAYGVCTDMQCSCPDAANFRPVDFRRPNRGCVPAAAPASCATPRRAQRLVSLPGLAYFNDHATGLRTLERVSEGACKKACLDDCKCMAAQFIYGADAGDGFCYLQPEVFSLETSLPEVVHYNSTMHLKVQATRTSARMI >PAN26333 pep chromosome:PHallii_v3.1:5:224700:228152:-1 gene:PAHAL_5G002800 transcript:PAN26333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FIZZY-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) UniProtKB/Swiss-Prot;Acc:Q8LPL5] MAAADAKPRLNVPPSMAAALRLDPVGAGAGASSSPSPSRRLAETPKTPSPSKTTTYSDRFIPCRSSSRLHNFALLDSPSKDDTTYSRLLRAELFGPDSPRPATPGPAPAPASPNTNLFRFKKDHSSAATSPFAAQHDCTAGSGETTASPQKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNMLAVGLGTCVYLWSASNSKVTKLCDLGPRDSVCAVHWSREGSYLAIGTGLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSCILSSGSRDKNILQHDIRVPRDYVSMFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTANGNVLNSIDTGSQVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTMRVLYLASSPDGQTIVTGAGDETLRFWNIFPSVKTQTPVRDIGLWSFSRSHIR >PAN28998 pep chromosome:PHallii_v3.1:5:11627350:11628975:-1 gene:PAHAL_5G192800 transcript:PAN28998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAGLKPVAGLLLVLNLCMYVIVAAVGGWAINHAINYGFFIGSGLQLPAHFSPIYFPIGNAATGFFVIFAVIAGVVGAAAALAGFHHVRAWSSESLPAAASSGFIAWTLTLLAMGLAVKEIELHGRNARLVCMESFTIILSATQLFYLLAIHGGR >PAN32877 pep chromosome:PHallii_v3.1:5:57955887:57958467:-1 gene:PAHAL_5G518600 transcript:PAN32877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESLSGFLDIRAAAPFLVAAVAFYFLVEQLSYHRKKGPLPGPPLVVPFLGSVAHMIRDPTGYWDGQAARAKKSGAGLAADFLVGRFIVFIRDSELSQRVFANVRPDAFHLIGHPFGKKLFGEHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAALQQRVILAHLRRWLDRSAAEGGRAFPIRVPCRDMNLETSQTVFVGPYLTGEARRRFERDYNLFNVGLMALPVDLPGFAFRRARQGVARLVRTLGECARESKARMRAGGEPECLVDYWMQDTLREIEEAAAAGRPPPAHTDDEEIGGFMFDFLFAAQDASTSSLCWAVSALDSHPDVLALVRAEVAAVWSPESGEPITAEKIQAMRYTQAVAREVVRHRPPATLVPHIAGEAFRLTEWYTVPKGAIVFPSVYESSFQGFPEAEKFDPERFFSESRREDVAFKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRERSPGCDDPVYMPTIVPKDGCAVRLTQRRAKFPSF >PAN28620 pep chromosome:PHallii_v3.1:5:10098816:10101479:-1 gene:PAHAL_5G166500 transcript:PAN28620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICELGDGDGVLARQQEQQEEEEVIRLKAKRALVGAGARVLFYPTLLYNVLRNRFEADFRWWDRVDQIILLGAVPFPSDVPRLKQLGVQGVVTLSEPYETLVPTSLFQAHEIEHLVIPTRDYLFAPSLEDISQAIDFIHRNASQGGTTYVHCKAGRGRSTTIVLCYLIKYRSMTPEAALDHVRSIRPRVLLAPSQWQAINTFRTLVTGQLPVRSTNLGTFLEAVEACRANTENDEYHAMEFDYEDSGLPLTQIMLHRPASPTECIDAVLITEADLEGYDKYVDIRTNALEAATRRPIMRRLSCLFGSLKLNNSSCVPAPSRFTEVRAC >PAN32221 pep chromosome:PHallii_v3.1:5:55084866:55089336:1 gene:PAHAL_5G472600 transcript:PAN32221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNFFDEDGEALMDPDARDPSPEPQPYEDLDDDLGDDWARERSPTPAHGGDGGAGSSKPRKRLLKKGGGGGGMPGDDGLEDFGLEDADADPAAEAKKRKGSSALRDLARGGAGKEKKEKKRRREDDGRGRDSGMAREKRGSGGRGSGGGEDQDDGEREIQELWDTIAGGDSEDDQEGVRTVDDDNFIDDTGVDPADRYGSDNERHSPGRYAQAEEGEEDDEIERLFKGNKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSMPNMNIRSAVLKLLTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSISGMESRDDDIDADFSQRKSGQSSSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQAVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >PAN27480 pep chromosome:PHallii_v3.1:5:5145245:5149436:1 gene:PAHAL_5G083700 transcript:PAN27480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MHPARAPAPAAARGGLALYELYRAASRAAAPAVLLWRRLQGLEHPTRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPVLLTTTTLSSFEVIKDLLPDGVIYQFAPLDCPDAIDSFIGYWKPSLVLLMESELWPNLIMSATAKGIAVALLNARMSLKSFNRWSVPLGLPLVTLMLSKLSLVAPLSTIQAVRFQLLHTPPGIIHFAGDLKYAVGDVNVGENEVNKINDLRQQFSNRPLWMAASIHRGEEQVILRVHEELIKMYPTLLLILVPRHPQDCKKFSVALKKQEVNFVLRSTKEVVSSGTRVYMVDTLGELRMLYRVTSVAVIGGSFLPGLAGHNISEAAAAGCAVVTGPHVGHFYHMLLDMWQTNPLAVKQVAGEFELLQTLKELLGDAETLGACQRAAKVAFSIMSHGVVNRVWNLVCRFTIDF >PVH39235 pep chromosome:PHallii_v3.1:5:54384116:54384945:-1 gene:PAHAL_5G461300 transcript:PVH39235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPWARARRSTSTRVSSKCCANCNFSFSGLYTCDDVKKDCDPVCKKCVAVMAYSGNKFKCTDTFLGICGPKC >PVH39234 pep chromosome:PHallii_v3.1:5:54384115:54384945:-1 gene:PAHAL_5G461300 transcript:PVH39234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWARARRSTSTRVSSKCCANCNFSFSGLYTCDDVKKDCDPVCKKCVAVMAYSGNKFKCTDTFLGICGPKC >PVH38808 pep chromosome:PHallii_v3.1:5:40809477:40811005:1 gene:PAHAL_5G358300 transcript:PVH38808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPLPSVVRPALTSALPRRRSLRDRPCQASARHYAHARATRSASLTFLPLQHLHRRNHAALAPVLARRQFACVAPHQLTPESFAPTQLRSSLARSAPARVPHIILYRMPPLVPFHIRALPAPRRRSPSSYRDRLFCLRRPSPAPVHAIHYCAEPLSSACLHSPRALRSHAFSQLPLWLLRRRAHTPGARYGRQCRAPSLWRRPNAWRPARPPAPRVPPVAAARTPPRQPRRTPPALGHHHPAYCLGRGRLPHAPRTAAAQPRARGPLASAAAQHQRRLLLVPLPRAAPRLAAAASLRALQCLRAARPRRLALPHTKPSRRSRSCDRPPEPRRNPRAPVRQLRTCAGPARRRSCVLPCRPRETRGREEQEIRAPPVEDKKEQREEKQRRKGTRISQGPLHNFRKLQGPVCKTKFPVDLKPK >PAN29085 pep chromosome:PHallii_v3.1:5:11948155:11954152:-1 gene:PAHAL_5G196900 transcript:PAN29085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRHASDLRAFLAAAAVAHLSSSPASRASPCAPPPLPAPRLRAFASSRVSTPPSSPSPSPSPAGAVASAGASAAAAAAACEQGAAKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAAANTKSDMPPDSSLDESSTAKANVVVPAKNMSTSDPQISEVPVIADKNMVGTSASEEPQLVIKLSNTLVGLGETFSSYVKDSRLKNIVDSFFDRVSELAPDASLASLEEISEKILGLLELPQTSVISNQISNLSQRLVKILGEGQQTVSPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMDYIRKQSVPKDGKLLAIGHSMGGILLYAMVSKCGFEGAEPELAAIVTLASSVDYTTSNSSLKMLLPLADPAEMLRVPAIPLGALLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLCNRNGTFFFKEHLHKIKVPVLALAGDEDLICPPEAVYGFRSP >PAN29048 pep chromosome:PHallii_v3.1:5:11949046:11953952:-1 gene:PAHAL_5G196900 transcript:PAN29048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRHASDLRAFLAAAAVAHLSSSPASRASPCAPPPLPAPRLRAFASSRVSTPPSSPSPSPSPAGAVASAGASAAAAAAACEQGAAKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAAANTKSDMPPDSSLDESSTAKANVVVPAKNMSTSDPQISEVPVIADKNMVGTSASEEPQLVIKLSNTLVGLGETFSSYVKDSRLKNIVDSFFDRVSELAPDASLASLEEISEKILGLLELPQTSVISNQISNLSQRLVKILGEGQQTVSPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMDYIRKQSVPKDGKLLAIGHSMGGILLYAMVSKCGFEGAEPELAAIVTLASSVDYTTSNSSLKMLLPLADPAEMLRVPAIPLGALLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLCNRNGTFFFKEHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGAPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDKVSS >PAN28340 pep chromosome:PHallii_v3.1:5:8798368:8799420:1 gene:PAHAL_5G146500 transcript:PAN28340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEVSRASKRLSPKRSGSFKLSLPGLLCGQCKAAAVSSPPDSPTGGGGSGARSRSSSSASASASSSGTSRGRDRMAELREIFRHFDRDMDGRISGRELREFFASMGDGGLAALGQGLGLDGAGGDLMLGFDDFVRIVESKGGEEEEREDLRRAFEAFEAVKGSGRITPRGLQRVLSQLGDEPSVAECEAMIRAYDDDGDGELDFHDFHRMMSQD >PAN29912 pep chromosome:PHallii_v3.1:5:16671783:16672556:1 gene:PAHAL_5G259400 transcript:PAN29912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLNRTKTEYMMCDFSPTRHEDGDVSLEGQMVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASSVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVRRGRGRPRLTWDEMVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN26887 pep chromosome:PHallii_v3.1:5:2868184:2871279:1 gene:PAHAL_5G045000 transcript:PAN26887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCGPPDQLPPASAPSAPSCFLNLNWDQSMAAAAAGDHLDPALSSMVSSPASNSTAAAATDGLALHGISPQPQYGGTPLSSPPKLNLSMMGQFHHYQPPQVGGAGPGGLPILENLMPMGHLDQFLADPGFAERAARLSGFDGRPGGSGYGGAVPGQFGLPDAGPVGALKELELGNGRDESSVSDPASASAEMALKGPSDGNAKKRKASGKGKGKDGPGSTAAKDPAKEESSGKRCKSADESNGAEDNSGKGKAAQSNSENGGKKQGKDTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLPKDIHQSCGPMQNSHFPLETSGAPLPYLNQSHQGSPLGCSLTNGMDNQSNMHPLDPAFCRQMNSQHPFLNGVSDAASQVGTFWQDDLQSVVHMDIGQGQEIAATSSNSYNGSLQTVHMKMEL >PAN32286 pep chromosome:PHallii_v3.1:5:55283949:55286696:1 gene:PAHAL_5G475500 transcript:PAN32286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLATAEVCDSNAGLILNGDLRALQPIFRIYGRRQIFAGPVVTLKIFEDNVLLRQFLEEKGHGRVLVVDAGGSMRCAVLGGNLAQLAQNNGWAGIVINGCIRDVDEINGCDVGIRALNSHPMKSNKKGVGEKHVPVTFAGTRICDGEWLYADSDGILLSNSELTV >PAN32287 pep chromosome:PHallii_v3.1:5:55283949:55286696:1 gene:PAHAL_5G475500 transcript:PAN32287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLATAEVCDSNAGLILNGDLRALQPIFRIYGRRQIFAGPVVTLKIFEDNVLLRQFLEEKGHGRVLVVDAGGSMRCAVLGGNLAQLAQNNGWAGIVINGCIRDVDEINGCDVGIRALNSHPMKSNKKGVGEKHVPVTFAGTRICDGEWLYADSDGILLSNSELTV >PAN32288 pep chromosome:PHallii_v3.1:5:55283948:55286784:1 gene:PAHAL_5G475500 transcript:PAN32288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLATAEVCDSNAGLILNGDLRALQPIFRIYGRRQIFAGPVVTLKIFEDNVLLRQFLEEKGHGRVLVVDAGGSMRCAVLGGNLAQLAQNNGWAGIVINGCIRDVDEINGCDVGIRALNSHPMKSNKKGVGEKHVPVTFAGTRICDGEWLYADSDGILLSNSELTV >PAN30714 pep chromosome:PHallii_v3.1:5:43115435:43118566:-1 gene:PAHAL_5G364600 transcript:PAN30714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTTSSLRLLPAGEDGGAGAVELDLRDSGLTFSWPCSRVRTALGEVGGKAGAPTPCSPDELAAVAKLLEGQEIPEAKIWLSAGLSAFLFLYTSILGCRPGKAVVTSDLPIGAGLGSSAAFCVSMSGALLTAAGAVGVAGGSGDEEWELFGKDDLELVNQWAFQGEKIIHGKPSGIDNSVSTFGSMIKFKKGELTNLKSRNPVKMLITDTRVGRNTKALLAGVSERASRHPDAMASVFNAVNSISEELSSIVELAAEDEKAITSREDKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKYSLVSKLTGAGGGGCVLTLIPTLSAHTVLEKVATELESHGFRCFKVEVGGRGLQVCRA >PAN32949 pep chromosome:PHallii_v3.1:5:58281810:58284900:1 gene:PAHAL_5G524400 transcript:PAN32949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLVKPLVTREAMSELVARVARRAYQRNGVVTDVKSFGKVHLGYGIKKLDGRHYQGQLMQMTMMVPPSFTQELHYLNKEDRLLRWLVVKHRDAVYGLEFINEDDGRNEMSGFSLAHKKADYDIEECSDDSESEFLSSSDEDSEEYKYEGEEEEK >PAN29105 pep chromosome:PHallii_v3.1:5:12311973:12326261:1 gene:PAHAL_5G202700 transcript:PAN29105 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) UniProtKB/Swiss-Prot;Acc:F4HPZ9] MASLLSYSDASKSLSINSSELFLSSLASLPSLDAHPILTSASTPLPPVPASVPPTSLIPGSRFLIDAFRHAGDFSVAYFLSHFHSDHYGGLGPSWRRGLVFCSAPTARLVSSVLSVPPQLVVALDVGVRVTVDGWDVTAVDANHCPGAVQFLFSSPGPNAEKHVHTGDFRYTESMTHDPNLLEFVRADTVFLDTTYCNPKFTFPSQDESVDYVVNAIKRVKEESSAAGERVLCLIATYGVGKERILLEVARRCGCSIHVDSRKMEILTVLGFGGENGVFTEDAAVTDVHVIGWNILGETWPYFRPNFVKMKEIMLERGYMKAIGFVPTGWMYETQKEGFAVRVKESLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGVNAGKLDSKEAIAMQKHFSGLVDETANKQEFLMAFHRRSTDAKCSIQHDGDAAALLPAITSASEQLDTLKENITEEMKKELSDFLPSWVSEEQIMDLLMSSGGDVVKAASDFFERERDFFEEANGSCGGTPKSEKNHTSDHGSSADVSSQQECPLFSQKPAENSPKLVNLTPMRMKPNTPKKEKRRGSGTTNKPKKKGRLTSSTESGGHKQSAITNYFIRATATTSKSGTADKVYVEAHQNNVESDDQLTDIVKTQDQSVDQLLQIVDGGMSREYAVSLLERAKGDVTVALDIFYSSSENNNVIAIDKNIVLQITENETKDKCSNTDLACDSSQATPKMPNLHVQTSLSQTDSIKISLPVEKYLPIEHACWTAGQPAPYLHLARTFDLVQKEKGKIKTTAIFCNMFRSLLALSPDDVLPAVYLCTNKISPDHENMELNIGGSLVVTALEESLGTSRSKIQEMYKTYGDLGNVAQECRQNQTLLAPPRPLSIRDVYSTLRKLSVISGSGSAGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGAMMKTILPALAHAVVFDRKCAGDPLVSLEAIKTQPQNLSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMVPGTPIPPMLARYDGQRAQIHRLFDGSVRIFSRQMKESTPRFPDVVNMITELCRPEVSSFILDAEVVGIDRKKGNRLMSFQELSSRERGNKHSSIAIDNIKVDICVFVFDIMFCDGERLLDCPLRQRRKYIHTLFQEKPGYFELAQQLTVEASEASPDNSSTLDRMNTFFRKACESSCEGIMLKTLDVDAGYSASKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFSDEFYKEMKEFYSEERILPKKPVYYKTDEQPEVWFTTEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSVPDRSPEDCSTATDIATMFKAQTRKMDVSSEGQEMQASGSGRPIAPS >PAN29106 pep chromosome:PHallii_v3.1:5:12311973:12326261:1 gene:PAHAL_5G202700 transcript:PAN29106 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) UniProtKB/Swiss-Prot;Acc:F4HPZ9] MASLLSYSDASKSLSINSSELFLSSLASLPSLDAHPILTSASTPLPPVPASVPPTSLIPGSRFLIDAFRHAGDFSVAYFLSHFHSDHYGGLGPSWRRGLVFCSAPTARLVSSVLSVPPQLVVALDVGVRVTVDGWDVTAVDANHCPGAVQFLFSSPGPNAEKHVHTGDFRYTESMTHDPNLLEFVRADTVFLDTTYCNPKFTFPSQDESVDYVVNAIKRVKEESSAAGERVLCLIATYGVGKERILLEVARRCGCSIHVDSRKMEILTVLGFGGENGVFTEDAAVTDVHVIGWNILGETWPYFRPNFVKMKEIMLERGYMKAIGFVPTGWMYETQKEGFAVRVKESLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGVNAGKLDSKEAIAMQKHFSGLVDETANKQEFLMAFHRRSTDAKCSIQHDGDAAALLPAITSASEQLDTLKENITEEMKKELSDFLPSWVSEEQIMDLLMSSGGDVVKAASDFFERERDFFEEANGSCGGTPKSEKNHTSDHGSSADVSSQQECPLFSQKPAENSPKLVNLTPMRMKPNTPKKEKRRGSGTTNKPKKKGRLTSSTESGGHKQSAITNYFIRATATTSKSGTADKVYVEAHQNNVESDDQLTDIVKTQDQSVDQLLQIVDGGMSREYAVSLLERAKGDVTVALDIFYSSSENNNVIAIDKNIVLQITENETKDKCSNTDLACDSSQATPKMPNLHVQTSLSQTDSIKISLPVEKYLPIEHACWTAGQPAPYLHLARTFDLVQKEKGKIKTTAIFCNMFRSLLALSPDDVLPAVYLCTNKISPDHENMELNIGGSLVVTALEESLGTSRSKIQEMYKTYGDLGNVAQECRQNQTLLAPPRPLSIRDVYSTLRKLSVISGSGSAGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGAMMKTILPALAHAVVFDRKCAGDPLVSLEAIKTQPQNLSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMVPGTPIPPMLARITNGLTQALKAFSGKSFTCEYKYDGQRAQIHRLFDGSVRIFSRQMKESTPRFPDVVNMITELCRPEVSSFILDAEVVGIDRKKGNRLMSFQELSSRERGNKHSSIAIDNIKVDICVFVFDIMFCDGERLLDCPLRQRRKYIHTLFQEKPGYFELAQQLTVEASEASPDNSSTLDRMNTFFRKACESSCEGIMLKTLDVDAGYSASKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFSDEFYKEMKEFYSEERILPKKPVYYKTDEQPEVWFTTEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSVPDRSPEDCSTATDIATMFKAQTRKMDVSSEGQEMQASGSGRPIAPS >PAN29161 pep chromosome:PHallii_v3.1:5:12312110:12325000:1 gene:PAHAL_5G202700 transcript:PAN29161 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) UniProtKB/Swiss-Prot;Acc:F4HPZ9] MASLLSYSDASKSLSINSSELFLSSLASLPSLDAHPILTSASTPLPPVPASVPPTSLIPGSRFLIDAFRHAGDFSVAYFLSHFHSDHYGGLGPSWRRGLVFCSAPTARLVSSVLSVPPQLVVALDVGVRVTVDGWDVTAVDANHCPGAVQFLFSSPGPNAEKHVHTGDFRYTESMTHDPNLLEFVRADTVFLDTTYCNPKFTFPSQDESVDYVVNAIKRVKEESSAAGERVLCLIATYGVGKERILLEVARRCGCSIHVDSRKMEILTVLGFGGENGVFTEDAAVTDVHVIGWNILGETWPYFRPNFVKMKEIMLERGYMKAIGFVPTGWMYETQKEGFAVRVKESLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGVNAGKLDSKEAIAMQKHFSGLVDETANKQEFLMAFHRRSTDAKCSIQHDGDAAALLPAITSASEQLDTLKENITEEMKKELSDFLPSWVSEEQIMDLLMSSGGDVVKAASDFFERERDFFEEANGSCGGTPKSEKNHTSDHGSSADVSSQQECPLFSQKPAENSPKLVNLTPMRMKPNTPKKEKRRGSGTTNKPKKKGRLTSSTESGGHKQSAITNYFIRATATTSKSGTADKVYVEAHQNNVESDDQLTDIVKTQDQSVDQLLQIVDGGMSREYAVSLLERAKGDVTVALDIFYSSSENNNVIAIDKNIVLQITENETKDKCSNTDLACDSSQATPKMPNLHVQTSLSQTDSIKISLPVEKYLPIEHACWTAGQPAPYLHLARTFDLVQKEKGKIKTTAIFCNMFRSLLALSPDDVLPAVYLCTNKISPDHENMELNIGGSLVVTALEESLGTSRSKIQEMYKTYGDLGNVAQECRQNQTLLAPPRPLSIRDVYSTLRKLSVISGSGSAGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGAMMKTILPALAHAVVFDRKCAGDPLVSLEAIKTQPQNLSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMVPGTPIPPMLARITNGLTQALKAFSGKSFTCEYKYDGQRAQIHRLFDGSVRIFSRQMKESTPRFPDVVNMITELCRPEVSSFILDAEVVGIDRKKGNRLMSFQELSSRERGNKHSSIAIDNIKVDICVFVFDIMFCDGERLLDCPLRQRRKYIHTLFQEKPGYFELAQQLTVEASEASPDNSSTLDRMNTFFRKACESSCEGIMLKTLDVDAGYSASKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFSDEFYKEVRLHWCLDVSTEHLFLVPLHL >PAN28687 pep chromosome:PHallii_v3.1:5:10418658:10419454:-1 gene:PAHAL_5G172200 transcript:PAN28687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAPTTVLPLLLPLLIFSPAAMSSVLAPSGADEHGPGVYIVFVSRDDYVDSRDYDLGLLASVVGSAAEAKGALLYHYSGLGFAARLAPEHAAQLSKEDGVAVFEDKMHGVQGDGRLPRFFQGNV >PAN31302 pep chromosome:PHallii_v3.1:5:50853791:50859865:1 gene:PAHAL_5G410800 transcript:PAN31302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERPLLISTTPGASTPDDAPSPHPPAASQLPPAQPEPPLRADRLAFSVEVPDPFLPSRRGDGPADDPSASSQREREVGDDESRGVVVGEPSPEFAGNAIRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRLASVLAPCTAGDFQPKRWKHIRVGDVVRVASNETLPADMVLLATSDPTGVAHVQTVNLDGETNLKTRYAKQETQVRFSQNGGVGGILHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWLLNHKGDLEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDESSRSKFQCRALNINEDLGHIRYVFSDKTGTLTENKMVFQCASIRGVDYSSGKDTDGYSVVVGDQLWTAKMAVKTDPQLVKLLRDSGKYEEAKLVLEFFLALAACNTIVPLVLDTRDYTQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYIVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDRTIKLYVKGADSSIFGITNKSSDLDIVRATEAHLHKYSSLGLRTLVVGMRKLSQSEFEDWQLAYENASTAVLGRGSLLRSVATNIECNIHILGATGIEDKLQVGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKELCQRSLVEALATTKKLRAASSIGTLSPMLASEASNVTIALIVDGNSLVYILETELQEELFKLATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMRQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKSTLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYLPYFAYRRSTIDMSSLGDLWALAPVIVVNMQLAMDIVSWNWIIHAFVWGTIAATTICLFVIDSIWILPGYGAIYHIMGTGLFWLLLLIIVVTAMVPHFVVKAFSEHFRPSDIQIAREMEKFTNVNQVNRSEIPMEGFS >PVH38986 pep chromosome:PHallii_v3.1:5:50853791:50859865:1 gene:PAHAL_5G410800 transcript:PVH38986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERPLLISTTPGASTPDDAPSPHPPAASQLPPAQPEPPLRADRLAFSVEVPDPFLPSRRGDGPADDPSASSQREREVGDDESRGVVVGEPSPEFAGNAIRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRLASVLAPCTAGDFQPKRWKHIRVGDVVRVASNETLPADMVLLATSDPTGVAHVQTVNLDGETNLKTRYAKQETQVRFSQNGGVGGILHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWLLNHKGDLEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDESSRSKFQCRALNINEDLGHIRYVFSDKTGTLTENKMVFQCASIRGVDYSSGKDTDGYSVVVGDQLWTAKMAVKTDPQLVKLLRDSGKYEEAKLVLEFFLALAACNTIVPLVLDTRDYTQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYIVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDRTIKLYVKGADSSIFGITNKSSDLDIVRATEAHLHKYSSLGLRTLVVGMRKLSQSEFEDWQLAYENASTAVLGRGSLLRSVATNIECNIHILGATGIEDKLQVGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKELCQRSLVEALATTKKLRAASSIGTLSPMLASEASNVTIALIVDGNSLVYILETELQEELFKLATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGANDVSMIQMADVGVGISGQEGRQAVMASDFAMRQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKSTLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYLPYFAYRRSTIDMSSLGDLWALAPVIVVNMQLAMDIVSWNWIIHAFVWGTIAATTICLFVIDSIWILPGYGAIYHIMGTGLFWLLLLIIVVTAMVPHFVVKAFSEHFRPSDIQIAREMEKFTNVNQVNRSEIPMEGFS >PAN28881 pep chromosome:PHallii_v3.1:5:11091733:11093639:-1 gene:PAHAL_5G183800 transcript:PAN28881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGVLDNGAARAAGGSEADGGARPASRSGGKRRSAYLVEYAPAWGSAATRGRRAAMEDASAAVPRFAGVPVRMLAGARELDRHGIGAAALKLPLHLFGVYDGHGGSEVANYCANRMHVVLKEALGRAARAGLEESGELLDITELWQKVFGGCFQRVDDEVSGQASRLCGGVSSEAQCKPVAAGDVGSTAAVAVVCSSHIIVANCGDSRVVLSRGKEPVPLSDDHKPDREDERARIEAAGGRVIDWNGHRVSGVLAMSRSIGDGYGTFLIPTPEVKVIPRARDDDCLIIATDGLWDVISNAEACKVARVQILQWHRKNNGVCSDEGGVPTISHPAAQAAANYLLKLALLKGSADNITVTVIDLKLRKKIKDKSICFV >PAN28878 pep chromosome:PHallii_v3.1:5:11092578:11093506:-1 gene:PAHAL_5G183800 transcript:PAN28878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGVLDNGAARAAGGSEADGGARPASRSGGKRRSAYLVEYAPAWGSAATRGRRAAMEDASAAVPRFAGVPVRMLAGARELDRHGIGAAALKLPLHLFGVYDGHGGSEVANYCANRMHVVLKEALGRAARAGLEESGELLDITELWQKVFGGCFQRVDDEVSGQASRLCGGVSSEAQCKPVAAGDVGSTAAVAVVCSSHIIVANCGDSRVVLSRGKEPVPLSDDHKVHSTPSVFSQAALT >PAN28879 pep chromosome:PHallii_v3.1:5:11092578:11093506:-1 gene:PAHAL_5G183800 transcript:PAN28879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGVLDNGAARAAGGSEADGGARPASRSGGKRRSAYLVEYAPAWGSAATRGRRAAMEDASAAVPRFAGVPVRMLAGARELDRHGIGAAALKLPLHLFGVYDGHGGSEVANYCANRMHVVLKEALGRAARAGLEESGELLDITELWQKVFGGCFQRVDDEVSGQASRLCGGVSSEAQCKPVAAGDVGSTAAVAVVCSSHIIVANCGDSRVVLSRGKEPVPLSDDHKVHSTPSVFSQAALT >PAN28880 pep chromosome:PHallii_v3.1:5:11091734:11093638:-1 gene:PAHAL_5G183800 transcript:PAN28880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGVLDNGAARAAGGSEADGGARPASRSGGKRRSAYLVEYAPAWGSAATRGRRAAMEDASAAVPRFAGVPVRMLAGARELDRHGIGAAALKLPLHLFGVYDGHGGSEVANYCANRMHVVLKEALGRAARAGLEESGELLDITELWQKVFGGCFQRVDDEVSGQASRLCGGVSSEAQCKPVAAGDVGSTAAVAVVCSSHIIVANCGDSRVVLSRGKEPVPLSDDHKPDREDERARIEAAGGRVIDWNGHRVSGVLAMSRSIGGRWVWNISHSNARSQSYSSGKRR >PVH38212 pep chromosome:PHallii_v3.1:5:12119221:12120227:-1 gene:PAHAL_5G199300 transcript:PVH38212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLHLLLPALLLLLAVADALPPSCSEVVTWAGLVVQYPFRLDSSASHCGYPGLDLVCERNATLILPVKSHRYRVFSINYTAHTVVVSDAAVVDEYAVVGCPRLRVNLTIDYASSWLQLTQSVSNVTFFYNCKKNISRSSAVELTGCRQDGKRSYALQDGWITGAEAYEYECEEVVVAPVFDVHKKAIAGAPGPPPGNGSFGFRELLQGGFELNYDTHSQLCDGCERSGGCCSYRHNQTIGGMNFTCYCDGGPATARCGTCVPPLPPAMLFLDFLRGLGFRMWVVRRSLRFRTQSSVLAIKVRSDGGKGSLDVRILHIL >PAN30526 pep chromosome:PHallii_v3.1:5:21188612:21192170:1 gene:PAHAL_5G290200 transcript:PAN30526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATRAAFVVALILRSIYGGMPIVAKGAFDEGMSISVFVLYRHATAILILVPVAFVLERISGSINIYSIGLSYASATSSSAISNVLPVVAFFLAVLLRIESLNMKRFQGIVKVTGIVFCFAGVIVLAFYQGPEIKSFNHHHLLHNTSNSHDTITVQPVRTWLLGIFLMTLGTIFWALWTVLQGPMLDAYPSKLLNTTLQIVFATIQCFFIALVVERDFSRWKLKLDISLIAVLYSGILVSGVGYYMQVWVIDKSGPVFLAMTMPITLLVTIVLSSFLGEAITLGSILGGAVMVGGLYCVLWAKRTEQIDVSKEQNAAPVQARQV >PAN28202 pep chromosome:PHallii_v3.1:5:8231681:8233267:1 gene:PAHAL_5G137900 transcript:PAN28202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGRRRSFNSIEEDRMSNPGARTPLHQYHARSAGGRSPARFSMSSVPGVDVPMSGGCSPWVQSPLHGRHRFPPSPGAIYHCLAALHRLESDVHALAVARGVLFTASDSGRVRAWAAPECCNRGCLNVGRGRVQALAACGDTLVTSHGRDHHVRVWTVCAAAISDHVRAKKAATLPAKGSLSILCFGKKRPHHHRDTVSCLILHAVAGLLYTASHDRTVKAWKLSDGTCIDSFVAHDGPINAMVVNEAEGCIFTGSSDGTVKMWRRVPGGTAHALIIVLRSESELSPVNALTLCHAGSGATRRCFLYAGSSDGYVNVWDKKATAGRPVHAGYLKGHRLAVYCLASGCSGRVVVSGSEDATMRVWRREGKGGGATHTCLAVIEGHRSPVRCLTVGDGEGSEVEGRSMVVYSAGLDKSVKVWRIRVVGKEEEEENGEGDAQAEIISGNADGDAIPVRNYLDDSKKMEFVGPTPVLSPSWVEKRRHTSRG >PAN27663 pep chromosome:PHallii_v3.1:5:5928540:5929641:1 gene:PAHAL_5G096300 transcript:PAN27663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLLLVLATSLLGCGLLAAADYAPMTLTVVNNCPFPVWPGIQANSGHDVLEGGGFFLPALSHKSFPAPAHPWSGRIWARTGCAGAGAQLRCATGDCGGRLQCGGLGGAAPATLAQVSLHHGGDQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLGCRSNLTATCPGELQLRSPAGSVLACRSGCEAFRTDELCCRNMYNSPRTCRASKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >PAN29751 pep chromosome:PHallii_v3.1:5:15666710:15668731:1 gene:PAHAL_5G247800 transcript:PAN29751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKGSQVEVWTQEAGSPVGAWRVGEVMWGNGHSYTLRWHDGGEVSGRISRKSVRPRPPPAPVSRDLDAGNMVEVFDDDDCLWKCAEVRGPAVGGDRRFDVKIVGAAKVLTVPPQRLRIRQVLRDDDVWVALHKDNQIAVPSTMPFHANGGSVGVGTGRGKGCYKPMLPGSTPLLQKRSFGLLGSKTVANGKRFEGTTKRLCAKEEPRYEAEVIVPNVCLNKQVDMCSQDCDVVSAGSNSDDDHLQQQQENEVDVAESDSESNSSSDDSSSSSDSRTRSMEAGEDCKAARASGPCNDQKAGQLQPSEKEHCDSVAESREIIKRESETLNDQKATVQEHIHRLELEAYSTLMKAFHACGNALSWEKVELLSDLRTHLHITNDDHLQVLNVILNRKGRFAGSQF >PAN27147 pep chromosome:PHallii_v3.1:5:3872427:3875643:-1 gene:PAHAL_5G061600 transcript:PAN27147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLCSCTLSLLLLLLSLKQSPLHASDTLTMNQQLSGNQKLISQDSNFALGFFQPAAEGSNGKWYIGIWYNKIPEQTIVWVANREKPISDPVSSSLTISDDGDFVLLVNHSKSPIWSTNIKNNTAVSSTVAVLLNNGNLLVRHESNTSAVLWQSFDDFTDTWLPGNKLSRNKKTGVIKRMISWKDRGDPAPGMFSIQLDPNGSMQYILQWNSSVVYWTTGNGTNKTGFGGVPEMSPANPYPSSRFIFQFVDNDEEAYFTYNIKNDALLFTRTIIDVSGLFQTWVWAEAQQAWTMAFTKPKAKCSVYGVCGEYSKCSENAASPCSCLKGFSENHPNNWKLDDQTTGCRRNIPLQCGTNGSTKVKHDRFYAINGVKLPDNAKSIDVTNIHECELICLNNCSCTAYSHSGTCLVWYNNLMNLQDNIDGSSDGIFIRVAASELSNSENKKLRLIGIIIGGFIVLIFGVPILYFLHRRRKINNGLNHVDGSLISFKYSNLQLLTRNFSELLGAGSFGSVFKGVVSDTTAVAVKKLEGFHQGEKQFRTEVSTIGNIHHINLIRLLGFCSEGVKRLLVYEYMPNGSLDKHLFGSSCTTLSWKMRYQIAVGIAKGLAYLHEECRDSIIHCDIKPENILLDASFVPKVADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMVLFEIISGRRNLEHREASMETFFPMLVARKLREGEVQGLLAEGLMNGVNERELERACKVACWCVQGNESSRPTMGEIVKILEGVIDVDMPPVPRYLEVLAEGSENVKFFSYEATK >PAN30357 pep chromosome:PHallii_v3.1:5:23605845:23608793:1 gene:PAHAL_5G301400 transcript:PAN30357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYFNKLMALVFMLGFYFSRTTVAQTSTVVVPSNRPDSWVISKMMQSKIYMDKSNTYILPVLYNSGMSYEAYFGFYTMDGDSFILVVAFSVSGPQDPVIWSANPDNPVSQVAVLSFTTEGDLLLQDGGRFIWSAATKNMSVAGMSLDLSGNLVLFDRNKSSVWQSFDHPTNTLVMGQSLCRGMNLTAKPSETKWSSSRFYLFAEWNGLRHSFSPAAYTQLFQTTATTTSSPSTCYTFVNGSLGFPDKIFSLPSASSLQFLRLESDGHLRLYEMQGPNSPRMLLDVLSTVLAFCDYPLACGDYGVCNSGQCSCPSLSTFMFRNERLPGAGCMPLSSISCKHAQNNKLIPLNNISYFSYSLFLKLAVPGYSENDCKQSCLTNCSCKVVIFNSGIGAGSCLLLSEQMLILFAEDSSNLFSAFVKIQDNLPEKRRAIIIVCSTVAGFSLVSILVCAVIWNKCKKDKEPFFDGIPGTPKRFSFDELKVATWNFTIKLGHGGFGSVFKGMIGKETIAVKRLEGVEQGTEEFLAEVKTIGRMHHLNLVRLVGFCAEKSHKLLVYEYLSNGSLDKWIFHTNPVFTLSWRTRRSIIIAIARGLSYLHEECKEKIAHLDIKPQNILLDDKFNAKLSDFGLSKMINRDQSKVMTRMRGTRGYLAPEWLGSKITEKADIYSFGIVMIEIICGRENLDESEPEESIHLISLLQEKARSGELSDLVDSSSNDMKSHMEEVMQTMKLAMWCLQVDSSRRPLMSTVAKVLEGVRSLDDTPDCTFLPSFASSNIGIVGSCSSYVPGESHLSGPR >PAN30362 pep chromosome:PHallii_v3.1:5:23605142:23608792:1 gene:PAHAL_5G301400 transcript:PAN30362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSCFCTRWRFHKEGNSVACDSLRENQICHIGSPQRTAKLIDDYLKYKTVTMQYFNKLMALVFMLGFYFSRTTVAQTSTVVVPSNRPDSWVISKMMQSKIYMDKSNTYILPVLYNSGMSYEAYFGFYTMDGDSFILVVAFSVSGPQDPVIWSANPDNPVSQVAVLSFTTEGDLLLQDGGRFIWSAATKNMSVAGMSLDLSGNLVLFDRNKSSVWQSFDHPTNTLVMGQSLCRGMNLTAKPSETKWSSSRFYLFAEWNGLRHSFSPAAYTQLFQTTATTTSSPSTCYTFVNGSLGFPDKIFSLPSASSLQFLRLESDGHLRLYEMQGPNSPRMLLDVLSTVLAFCDYPLACGDYGVCNSGQCSCPSLSTFMFRNERLPGAGCMPLSSISCKHAQNNKLIPLNNISYFSYSLFLKLAVPGYSENDCKQSCLTNCSCKVVIFNSGIGAGSCLLLSEQMLILFAEDSSNLFSAFVKIQDNLPEKRRAIIIVCSTVAGFSLVSILVCAVIWNKCKKDKEPFFDGIPGTPKRFSFDELKVATWNFTIKLGHGGFGSVFKGMIGKETIAVKRLEGVEQGTEEFLAEVKTIGRMHHLNLVRLVGFCAEKSHKLLVYEYLSNGSLDKWIFHTNPVFTLSWRTRRSIIIAIARGLSYLHEECKEKIAHLDIKPQNILLDDKFNAKLSDFGLSKMINRDQSKVMTRMRGTRGYLAPEWLGSKITEKADIYSFGIVMIEIICGRENLDESEPEESIHLISLLQEKARSGELSDLVDSSSNDMKSHMEEVMQTMKLAMWCLQVDSSRRPLMSTVAKVLEGVRSLDDTPDCTFLPSFASSNIGIVGSCSSYVPGESHLSGPR >PAN30363 pep chromosome:PHallii_v3.1:5:23605142:23608799:1 gene:PAHAL_5G301400 transcript:PAN30363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSCFCTRWRFHKEGNSVACDSLRENQICHIGSPQRTAKLIDDYLKYKTVTMQYFNKLMALVFMLGFYFSRTTVAQTSTVVVPSNRPDSWVISKMMQSKIYMDKSNTYILPVLYNSGMSYEAYFGFYTMDGDSFILVVAFSVSGPQDPVIWSANPDNPVSQVAVLSFTTEGDLLLQDGGRFIWSAATKNMSVAGMSLDLSGNLVLFDRNKSSVWQSFDHPTNTLVMGQSLCRGMNLTAKPSETKWSSSRFYLFAEWNGLRHSFSPAAYTQLFQTTATTTSSPSTCYTFVNGSLGFPDKIFSLPSASSLQFLRLESDGHLRLYEMQGPNSPRMLLDVLSTVLAFCDYPLACGDYGVCNSGQCSCPSLSTFMFRNERLPGAGCMPLSSISCKHAQNNKLIPLNNISYFSYSLFLKLAVPGYSENDCKQSCLTNCSCKVVIFNSGIGAGSCLLLSEQMLILFAEDSSNLFSAFVKIQDNLPEKRRAIIIVCSTVAGFSLVSILVCAVIWNKCKKDKEPFFDGIPGTPKRFSFDELKVATWNFTIKLGHGGFGSVFKGMIGKETIAVKRLEGVEQGTEEFLAEVKTIGRMHHLNLVRLVGFCAEKSHKLLVYEYLSNGSLDKWIFHTNPVFTLSWRTRRSIIIAIARGLSYLHEECKEKIAHLDIKPQNILLDDKFNAKLSDFGLSKMINRDQSKVMTRMRGTRGYLAPEWLGSKITEKADIYSFGIVMIEIICGRENLDESEPEESIHLISLLQEKARLIVAEGL >PAN26343 pep chromosome:PHallii_v3.1:5:261497:263616:-1 gene:PAHAL_5G003700 transcript:PAN26343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSSSSVCAPPSFSSSLLRQLAAMEVEACYNYGFLPADRGRHQPPPPPPYGHGPSPPHPADGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPICANNLGKDMAAHFRVQHSHLLKRRKPSKPSSWPAAATNSASRTGTATYEVNSYFEDPQRYRMSGRPYQEPAPDPLLSQFICSVAQTDDAIPGDTNAENGVVKVPDDQRWRKGASDDASSKLGLEERLQRIDFLTEILMSTIL >PAN26344 pep chromosome:PHallii_v3.1:5:261723:263498:-1 gene:PAHAL_5G003700 transcript:PAN26344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSSSSVCAPPSFSSSLLRQLAAMEVEACYNYGFLPADRGRHQPPPPPPYGHGPSPPHPAEDGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPICANNLGKDMAAHFRVQHSHLLKRRKPSKPSSWPAAATNSASRTGTATYEVNSYFEDPQRYRMSGRPYQEPAPDPLLSQFICSVAQTDDAIPGDTNAENGVVKVPDDQRWRKGASDDASSKLGLEERLQRIDFLTEILMSTIL >PAN26345 pep chromosome:PHallii_v3.1:5:261920:263498:-1 gene:PAHAL_5G003700 transcript:PAN26345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSSSSVCAPPSFSSSLLRQLAAMEVEACYNYGFLPADRGRHQPPPPPPYGHGPSPPHPAEDGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPICANNLGKDMAAHFRVQHSHLLKRRKPSKPSSWPAAATNSASRTGTATSSAALHKPTMRSQAIPTLRMVL >PAN26346 pep chromosome:PHallii_v3.1:5:261497:263617:-1 gene:PAHAL_5G003700 transcript:PAN26346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSSSSVCAPPSFSSSLLRQLAAMEVEACYNYGFLPADRGRHQPPPPPPYGHGPSPPHPADGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPICANNLGKDMAAHFRVQHSHLLKRRKPSKPSSWPAAATNSASRTGTATSSAALHKPTMRSQAIPTLRMVL >PVH37898 pep chromosome:PHallii_v3.1:5:7003935:7007263:-1 gene:PAHAL_5G116200 transcript:PVH37898 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1E [Source:Projected from Arabidopsis thaliana (AT3G62290) UniProtKB/TrEMBL;Acc:Q9M1P5] MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >PAN32143 pep chromosome:PHallii_v3.1:5:54732783:54735999:1 gene:PAHAL_5G467100 transcript:PAN32143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCGRRFAGGDAPARCCNLERFLEATTPVVTPSCSSKEGMKGWSQSDEDDSLPFFTLGDLWDAFRECSAYGTAVPLVLNGCSDGVVQYYVPYLSAIQLYGGFRRHVGPSRTGAEESDSDTEHETSSSAKASSVQETSESSSGSEASSDECESGSCHEQLLFEFLESEPPYQREPLADKICSLAKRFPELQTLRSCDLSPASWISVAWYPIYGIPAGPTQRDLDACFLTYYSLSTQFAGAGHCPKPTGPATARAAPVTAMWLPTFAMASYKLKGAAWTPGWRDRQLAASLAQAADAWIRLLRADHPDHRFFAARRAPSRRW >PAN29393 pep chromosome:PHallii_v3.1:5:13710694:13717149:1 gene:PAHAL_5G222800 transcript:PAN29393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRHRSHRSHRRGGSADRSESEGEESAPASGAREEASAAARVSRDPEPERRRSSSGKEAVRSGNGYAEHGKKRKERVEEAVVDVVSDRWNSGVCDDHLVDKRSKSETFGHADTEKLPDKSRGSGDESKRSSRRVVVVDDRAEEVASKSDSGKRRSEKEKDLGRRESTGHYKDDRDRERDREREREKEWERQKERDRERSRDREREKEREREKEREREREREKDRDRERDRERERQKERERDRKDYDSKHERYEDGGSRKSGSKTSRAEEEAYSYRRDTEVNEISAKEKYNNPEMQPDKHSRRKDDPEDTDKWPADNRDSDDRKVSRYEHGKSRSSKEQRFDDDKFKEKYKDDYGRDKRQQDDKFLDERVTRHEGDRGDYKSAKDGHRSSESHYRKDAVQDGDHYEDYGNRYKESRGKKRPPEDNEDQYDLKPPSTRDQRVNLEKSSGSGRLDSLIERARPDRSSSPSKIHSRSSPSPSSYHDKDQSRHGSKVIDHGKRELQHDERNSRQRTSSTRERTPASRLRERDAENWSSERLKQKEDHQPRDVPLEISTSSQYDRTPRKDKHSSPKQLSEKSPSSGDQRFSGRLSGGRSLDTKGERNNLTKHRDRDGDLSQERSHHQDRTPAKVPFREPTPSGSSISRGGHFSGTSPNHPLPPSARHRNDDPFLGSHDDDRRPQSGDRRFHGHQKRNDMNSVRGHGHAWNNQPNWPSPVSNGFVPMQHGAPGFHPPVHQFPGPPMFNLRPQMKLNQPGVSYPMHDAVDRFSTHMRPFGWPNPLDESCPPHMQVWTGGSGVFPGEPYLYGRQEWDQNRPHAGSRGWELTGDASKGLNEMPDAELPVAKKEPDSAATAISESSSGYNLQPQVEQKEIEHLSSENFEAKDDSRSASKSLEAPQGAQLLTSMLSKNGAVFCKSYLSRISVSHDLVESELYRRCISLLGDLGIAKAPVRNELTQQDDGNAGKITKKCGITNSFSSLYLKSNSTIFQRALALHKNQTGKGLIPTFASVKMEEKMDIREDGHDMEMLDCNMKESVVSNPALQHHTDAMEEGSPSKQELGDMVGVATAATTESVGVEASPAITQPDEEMELVVAPPATTAPDKEMMDVVPSANEVPADGLEDEPQAPLEHVADLPKDSAADGLEDVAPSAVGESGDNVEVIPPAVTEPSLSKVVVARAVASPPNGQERPSNTHTDVETVIEDEIDKVIDDNPGDGEVSSILATKLDVAASDHRDSGALLVESRVNLTRIPNSPESTH >PVH38300 pep chromosome:PHallii_v3.1:5:13710694:13717149:1 gene:PAHAL_5G222800 transcript:PVH38300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRHRSHRSHRRGGSADRSESEGEESAPASGAREEASAAARVSRDPEPERRRSSSGKEAVRSGNGYAEHGKKRKERVEEAVVDVVSDRWNSGVCDDHLVDKRSKSETFGHADTEKLPDKSRGSGDESKRSSRRVVVVDDRAEEVASKSDSGKRRSEKEKDLGRRESTGHYKDDRDRERDREREREKEWERQKERDRERSRDREREKEREREKEREREREREKDRDRERDRERERQKERERDRKDYDSKHERYEDGGSRKSGSKTSRAEEEAYSYRRDTEVNEISAKEKYNNPEMQPDKHSRRKDDPEDTDKWPADNRDSDDRKVSRYEHGKSRSSKEQRFDDDKFKEKYKDDYGRDKRQQDDKFLDERVTRHEGDRGDYKSAKDGHRSSESHYRKDAVQDGDHYEDYGNRYKESRGKKRPPEDNEDQYDLKPPSTRDQRVNLEKSSGSGRLDSLIERARPDRSSSPSKIHSRSSPSPSSYHDKDQSRHGSKVIDHGKRELQHDERNSRQRTSSTRERTPASRLRERDAENWSSERLKQKEDHQPRDVPLEISTSSQYDRTPRKDKHSSPKQLSEKSPSSGDQRFSGRLSGGRSLDTKGERNNLTKHRDRDGDLSQERSHHQDRTPAKVPFREPTPSGSSISRGGHFSGTSPNHPLPPSARHRNDDPFLGSHDDDRRPQSGDRRFHGHQKRNDMNSVRGHGHAWNNQPNWPSPVSNGFVPMQHGAPGFHPPVHQFPGPPMFNLRPQMKLNQPGVSYPMHDAVDRFSTHMRPFGWPNPLDESCPPHMQVWTGGSGVFPGEPYLYGRQEWDQNRPHAGSRGWELTGDASKGLNEMPDAELPVAKKEPDSAATAISESSSGYNLQPQVEQKEIEHLSSENFEAKDDSRSASKSLEAPQGAQLLTSMLSKNGAVFCKSYLSRISVSHDLVESELYRRCISLLGDLGIAKAPVRNELTQDDGNAGKITKKCGITNSFSSLYLKSNSTIFQRALALHKNQTGKGLIPTFASVKMEEKMDIREDGHDMEMLDCNMKESVVSNPALQHHTDAMEEGSPSKQELGDMVGVATAATTESVGVEASPAITQPDEEMELVVAPPATTAPDKEMMDVVPSANEVPADGLEDEPQAPLEHVADLPKDSAADGLEDVAPSAVGESGDNVEVIPPAVTEPSLSKVVVARAVASPPNGQERPSNTHTDVETVIEDEIDKVIDDNPGDGEVSSILATKLDVAASDHRDSGALLVESRVNLTRIPNSPESTH >PAN30907 pep chromosome:PHallii_v3.1:5:37579581:37580398:-1 gene:PAHAL_5G343500 transcript:PAN30907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGTYNGTEQIRTANGEDQATKKVLLRGKCRRGLYLFLPARTNLVADKP >PVH37528 pep chromosome:PHallii_v3.1:5:1474171:1475887:1 gene:PAHAL_5G023100 transcript:PVH37528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGVAPVLTAALVVAAFAATATSVRAIGVCYGVMGSGLRSKSEVVQLYKSKGIGNMRFYFADQEVLNALRGSGIGLALDVGNEHLADLAANPASAASWVKDNVQAYYPDVNIRYIVVGNEVDGAASVLQAMQNVHDALTSANLADRIKVSTAVKMDVLDDNSSPPSNGVFRNPSVMSPIVRFLASTGAPLLANVYPYFAYKDSQGIDLNYALFEPSSTTVSDTNGLTYTNLFDAMVDAVHAALDKVGGGSVDVVVSESGWPSADGRGASVENARTYNQNLISHAGKGTPRKPGPMEVYVFAMFNEDGKGGDPTEKKFGLFNPDKTPVYPINFA >PVH39123 pep chromosome:PHallii_v3.1:5:53069225:53070031:-1 gene:PAHAL_5G442400 transcript:PVH39123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLELRGFSLSRTETEYMMCDFSLTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDINEDVRHRISAGWLKWWQPSGILCKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPLEEKVIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNIKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH37946 pep chromosome:PHallii_v3.1:5:7893296:7896420:-1 gene:PAHAL_5G132100 transcript:PVH37946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKIRFWRECMLVDLLIMYASASRCLSAPMERTDIIFQNQSISDGQTLISGSKNFVLGFFSPGASSYRYVGIWHKHNDVSERRVVWVANRNNPMKDKGILKFDNSSNLIVLDGSGTSFTVAYGVGVQDLEAAILDSGNFVLRSITNKNNIVWQSFDFPTNTWLPGMNITIGTKLLTSWKSSDDPAVGDYSFGPGITNASQLIIWWKGIKFWTSTGWDGDVNALIPELKSISTIPLYFHCDNLTCTYTPNPDSRFTKFVLDPNGSLNIAQFDPDAQSWTLLWRQPASCEVSNLCGVFGICNNNNILPSLDPCQCPEGFAKQDNSRKGCTRKIPLQCNGDKFIDMPGMRPPGNREKLSVMGDDECQSACMINCSCTAYAVLDGCSLWYGGLTNLQEGYNGSGVGTLYLRVASISSSGHKILWIAGVLSSVAFLIFCLILFIWIRRSKNKGKGKQDGHHSLMTTDTIKLWESEETGSQFTMFSFSRITNATDKFSTENKLGEGGFGPVYKGNLPDGKEIAVKRLAANSGQGLPEFKNEILLIAKLQHSNLVGLLGCCIEGEEMLLVYEYMPNKSLDFFLFVATWLLNMLWQAFSPLSLMYLATESCFWRLSVE >PVH37948 pep chromosome:PHallii_v3.1:5:7892856:7896420:-1 gene:PAHAL_5G132100 transcript:PVH37948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKIRFWRECMLVDLLIMYASASRCLSAPMERTDIIFQNQSISDGQTLISGSKNFVLGFFSPGASSYRYVGIWHKHNDVSERRVVWVANRNNPMKDKGILKFDNSSNLIVLDGSGTSFTVAYGVGVQDLEAAILDSGNFVLRSITNKNNIVWQSFDFPTNTWLPGMNITIGTKLLTSWKSSDDPAVGDYSFGPGITNASQLIIWWKGIKFWTSTGWDGDVNALIPELKSISTIPLYFHCDNLTCTYTPNPDSRFTKFVLDPNGSLNIAQFDPDAQSWTLLWRQPASCEVSNLCGVFGICNNNNILPSLDPCQCPEGFAKQDNSRKGCTRKIPLQCNGDKFIDMPGMRPPGNREKLSVMGDDECQSACMINCSCTAYAVLDGCSLWYGGLTNLQEGYNGSGVGTLYLRVASISSSGHKILWIAGVLSSVAFLIFCLILFIWIRRSKNKGKGKQDGHHSLMTTDTIKLWESEETGSQFTMFSFSRITNATDKFSTENKLGEGGFGPVYKGNLPDGKEIAVKRLAANSGQGLPEFKNEILLIAKLQHSNLVGLLGCCIEGEEMLLVYEYMPNKSLDFFLFEKSRRALLDWEMRMNIIEGVAQGLIYLHKHSRLRIIHRDLKASNILLDTDMNPKISDFGMARIFDPKGTQANTKRVVGTYGYMAPEYAMAGIFSVKSDVFSYGVLLLEIVSGMKNAGSQRRGNSLSLLGYAWELWNEGRCHELIDKSLHGRCPESLALRCIHVSLLCVQEQAADRPFMTEVLSLITNENATLPDPKQPGFLSLLVSNQTDITEETCSLNGLSITNLDGR >PVH37949 pep chromosome:PHallii_v3.1:5:7891994:7896633:-1 gene:PAHAL_5G132100 transcript:PVH37949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKIRFWRECMLVDLLIMYASASRCLSAPMERTDIIFQNQSISDGQTLISGSKNFVLGFFSPGASSYRYVGIWHKHNDVSERRVVWVANRNNPMKDKGILKFDNSSNLIVLDGSGTSFTVAYGVGVQDLEAAILDSGNFVLRSITNKNNIVWQSFDFPTNTWLPGMNITIGTKLLTSWKSSDDPAVGDYSFGPGITNASQLIIWWKGIKFWTSTGWDGDVNALIPELKSISTIPLYFHCDNLTCTYTPNPDSRFTKFVLDPNGSLNIAQFDPDAQSWTLLWRQPASCEVSNLCGVFGICNNNNILPSLDPCQCPEGFAKQDNSRKGCTRKIPLQCNGDKFIDMPGMRPPGNREKLSVMGDDECQSACMINCSCTAYAVLDGCSLWYGGLTNLQEGYNGSGVGTLYLRVASISSSGHKILWIAGVLSSVAFLIFCLILFIWIRRSKNKGKGKQDGHHSLMTTDTIKLWESEETGSQFTMFSFSRITNATDKFSTENKLGEGGFGPVYKWLHGS >PVH37947 pep chromosome:PHallii_v3.1:5:7892856:7896420:-1 gene:PAHAL_5G132100 transcript:PVH37947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKIRFWRECMLVDLLIMYASASRCLSAPMERTDIIFQNQSISDGQTLISGSKNFVLGFFSPGASSYRYVGIWHKHNDVSERRVVWVANRNNPMKDKGILKFDNSSNLIVLDGSGTSFTVAYGVGVQDLEAAILDSGNFVLRSITNKNNIVWQSFDFPTNTWLPGMNITIGTKLLTSWKSSDDPAVGDYSFGPGITNASQLIIWWKGIKFWTSTGWDGDVNALIPELKSISTIPLYFHCDNLTCTYTPNPDSRFTKFVLDPNGSLNIAQFDPDAQSWTLLWRQPASCEVSNLCGVFGICNNNNILPSLDPCQCPEGFAKQDNSRKGCTRKIPLQCNGDKFIDMPGMRPPGNREKLSVMGDDECQSACMINCSCTAYAVLDGCSLWYGGLTNLQEGYNGSGVGTLYLRVASISSSGHKILWIAGVLSSVAFLIFCLILFIWIRRSKNKGKGKQDGHHSLMTTDTIKLWESEETGSQFTMFSFSRITNATDKFSTENKLGEGGFGPVYKGNLPDGKEIAVKRLAANSGQGLPEFKNEILLIAKLQHSNLVGLLGCCIEGEEMLLVYEYMPNKSLDFFLFEKSRRALLDWEMRMNIIEGVAQGLIYLHKHSRLRIIHRDLKASNILLDTDMNPKISDFGMARIFDPKGTQANTKRVVGTYGYMAPEYAMAGIFSVKSDVFSYGVLLLEIVSGMKNAGSQRRGNSLSLLGYAWELWNEGRCHELIDKSLHGRCPESLALRCIHVSLLCVQEQAADRPFMTEVLSLITNENATLPDPKQPGFLSLLVSNQTDITEETCSLNGLSITNLDGR >PAN31293 pep chromosome:PHallii_v3.1:5:50743536:50750788:1 gene:PAHAL_5G410100 transcript:PAN31293 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G26990) UniProtKB/Swiss-Prot;Acc:Q8W207] MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGMVETDPEGALAGFDQVVKMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNVPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYNAIDKWNSQLKSIYQTVSNRVG >PVH38770 pep chromosome:PHallii_v3.1:5:38594990:38595337:-1 gene:PAHAL_5G349200 transcript:PVH38770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCSGFIDGFQSLLNNLSRNDDNDETLGSCNVQASTLFSYGGTALGKPHSYVTRGLAKVHHIDTCYSIVLMTRTLELMLKRSQLSIMDVE >PVH39267 pep chromosome:PHallii_v3.1:5:54754281:54757332:-1 gene:PAHAL_5G467500 transcript:PVH39267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVPPATAAGVGGPGPGPGYPESTESSPRSRGGDSWDEPFPSSAAAAAAAAGGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRHATLADVHARLSRSLLGGRPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAASSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRSGVPNSAPSEDQRATQQKLPAAAAAVAAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDARVMPPATVEDHFAQMGISEQHLPPAVAYMQPPAQVPIPAMAVPVASPSEASNRVFSDDDKSDHGGGSRKPQPPKQEVPPVIDPTNRAVYYNDRSPPAELKRDMPVGTEAASYRLPASAPDAAAAAAAAAATQPPPGYVFAQMHAPPPQQQQQQPPQQPQQPAPQQIVTAGNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPVPRPQQAPAFDPNTGMYYIPMQQNAPQPYSMPPGAQVSLPPPTLVDTTPKPTVPIPQMTVKPELQQQPGVYRTAAAAAPAPNAAPGYAGVGYHHVIQSHHHPAQQPVANMAGNYGYEYADPNRPQVYYSQAAAPPTLPPQYQPIVSPDAGQGEKH >PVH39266 pep chromosome:PHallii_v3.1:5:54754220:54757336:-1 gene:PAHAL_5G467500 transcript:PVH39266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVPPATAAGVGGPGPGPGYPESTESSPRSRGGDSWDEPFPSSAAAAAAAAGGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRHATLADVHARLSRSLLGGRPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAASSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRSGVPNSAPSEDQRATQQKLPAAAAAVAAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDARVMPPATVEDHFAQMGISEQHLPPAVAYMQPPAQVPIPAMAVPVASPSEASNRVFSDDDKSDHGGGSRKPQPPKQEVPPVIDPTNRAVYYNDRSPPAELKRDMPVGTEAASYRLPASAPDAAAAAAAAAATQPPPGYVFAQMHAPPPQQQQQQPPQQPQQPAPQQIVTAGNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPVPRPQQAPAFDPNTGMYYIPMQQNAPQPYSMPPGAQVSLPPPTLVDTTPKPTVPIPQMTVKPELQQQPGVYRTAAAAAPAPNAAPGYAGVGYHHVIQSHHHPAQQPVANMAGNYGYEYADPNRPQVYYSQAAAPPTLPPQYQPIVSPDAGQGEKH >PAN27247 pep chromosome:PHallii_v3.1:5:4257617:4267761:1 gene:PAHAL_5G069100 transcript:PAN27247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARSSARGGRRGRGRGGRGRGAAAAAGTRRKRDVDSEVVDLCDDRDESSPRMKRRTEEIASAEHTVEAGLEALSRDATEEDCAYGGEPTPTRNLRRLRKQTVESNAADAKEYVAVDVKDSDTEDPGNLSPCGSLKVRQILKDISSGVAESEAKVVKEIEQSSASDDGQKLGQQRRSKRLQAKLIGIQDLDGGDTDSDIFEDRRSSSEDEKRRLVPKRTKRFQRKYTSESANGEVSEDKDTWRSSSDDDKDSVAPRRRSKRYKLRTRSSSNDDRDDSDAVNYYGKAIQCRRMSKRLLEKQKVDHISNESCTKASSSMLSTSSSSDNELLCNSVKSSKRSSHGPICSICKSGTASSHIIRCQNSNCSGSFHTFCQDPPLQDGVLTSECSLCKINQNLLARAAEENLVKKIQRYVGHRMLVIQESGFQYQFLVKWHSLSHHHDCWVPLEWLQVFDRIRVQNYLKKSILLKEIYVEDQRKPEWFEVDRAIACRRKSGCDSTCDILTTIQDNKDFLEYEFLVKWKGLDYCEATWESCCTEGVQAAISKLVERHQSVLKRIDCVSPVCLEGVITEEIHNGALYGYQHQGLQWIFDNFKTRRNVILADEMGLGKTVQVVCFLNHIIKERFTAFPALVLAPKSILLQWEKEFGRWGGDLNVIVYQGDKDSRKCIQAHEMYSSEGRVLFDALVTSYEFVQIDKSVLQKFKWSAIVIDEAHRLKKLDCNLATCLKRYSSEFRLLLTGTPLQNNMLELFSLLHYIDPDEFSDPNADGLFTNIESGNELTMEEKIARIHDILKPRMLRRMKSDVLTDSMPTKKWVEVPCALTDSQRELYIDILEKNYSKLNGAIRNGKKLALNNILMQLRKCCNHPFLFQGQETKQQAADVFLSLVAASGKLQLLHKLLPKLKERGNRVLIFSQMTMMLDILEDFLCDLGYKYARIDGQTSLSARQESIKEYNRAESETFIFLMSTRAGGLGVDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNSSKKPNADELQSILLHGAKTIIDRKKVSATSIQYDDEAIENLLKLDPSSEEKCSKEDNGYLGSIVSFAHGAEDEEPGSPKVEDLRVLKPATPKVDLGRGKRQRRVVNYNDAVENSDSDDMYAPEGSSTSSSSSSDNDDYEADTLTSALVVTAHEAQAPNVTFATEDPGAIPALQALKGSSRSISSSPDDNEGDAKPTVADT >PVH37486 pep chromosome:PHallii_v3.1:5:1001891:1002349:1 gene:PAHAL_5G015100 transcript:PVH37486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASGELRRVFASFDQDGDGKISAADLRLCMKAATGEDMPSEDVRALMASADADGDGLLDEEEFVRLAGEEVEAEDHEHEEEDRRGGWLREAFGMYEMEGSGCITALSLKLMLAKLGAHQDIAECQAMICRFDLDGDGVLSFDEFKTMMMG >PVH37972 pep chromosome:PHallii_v3.1:5:8378305:8378724:1 gene:PAHAL_5G139600 transcript:PVH37972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCALLAPGRRAPPARRALVPNGHPCCPPPHQRPTTASPTRAARAIRIARTSPSHLVLAGRDAPAPMARSKQHTRIHNAHTPLIVKLSSNNHPPKLDVATPSSSWLHWILADVRVQRRLLHRVHHHCRRVSHHRRSP >PVH37635 pep chromosome:PHallii_v3.1:5:3105418:3107505:-1 gene:PAHAL_5G049500 transcript:PVH37635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTCLSISGGGGRSYHSPASHLLEMEGLRFLLDCPIDLSALAAFAPVPLTGGEAGLIRAVPRYWSPTAAAAAKAGGVDAVLVSSATGMLGLPFLTRLPGFANTKVYVTEVAARIGKLMMGELVEMHREFVRYYGPDTDGLPKWMEGEKLNEFPSLLQKAVTEDEGNGLISLMPLYSPGNIEECMQAIQPVKYGEEVCFNGIFMLKASSSGLELGNSVWTIKAL >PAN27503 pep chromosome:PHallii_v3.1:5:5244270:5244713:1 gene:PAHAL_5G085100 transcript:PAN27503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVILTMKSHTDGCDGRVHKAFYSTGLQLNEQKHIIQHEWVNVNFSGEKEHLLEFNHLFWS >PVH39339 pep chromosome:PHallii_v3.1:5:55632545:55633742:-1 gene:PAHAL_5G482500 transcript:PVH39339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMRRLVRVLVVLAVVATAAAQQAPTAAPATPTPATPAAPATPTPATPAAPAPAAGSSNITGVLAKGGQFNTFIRLLKSTGVASQIDNQLANNGNGLTVFAPTDNAFTSLPSGTLNSLSDQDKNALVQYHVVSTAIPQSQFDTVSNPLRTQAGSSSPGEYPLNITSVGGQQVNISTGVVNATVDNTLYAGDNLVVYQVNKVLLPAKLFGTTEAPAPAPLAPAKKKGKTPASVADSPEAAEASPDATTSLAAARVTGGRLAAALALAAVWWGL >PAN28070 pep chromosome:PHallii_v3.1:5:7658534:7662249:-1 gene:PAHAL_5G128200 transcript:PAN28070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRHLLRRRLSTAAASAAATAPPPTPGSILNPSSPSTPLTSVQKTRLAISLLKSSPPPPPDQILSICRAAALSPEVHIDRVALSLAASKLSSAPDTLRDLASTVLTRRHAPHAIVLFGQAGLLPDAISTFQSSPSTRSLNALIFACIVSGNHTEAARIFQTFPDTHGVKPNTETFNTIIKSFAESGTIRSFYSVFDEMCKKGVKPNATTFTTALAGFYKEERFDDVGKVIELMKKHGCGETLQVYNVRVQSLCKLSRSGEAKALLNEMVKKGTKPSWVTYSHLVYGFSKEGNLEEAKRLYKEMGMKRLVGDCNFYYMFIHYLCKGGDFDTALGVYNEIAPKNLVPCFSTMKMLVNGLAGSSKIDEAKGIIEKMKEKFPDKADGWKEVEEALPQ >PAN28056 pep chromosome:PHallii_v3.1:5:7658551:7662249:-1 gene:PAHAL_5G128200 transcript:PAN28056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRHLLRRRLSTAAASAAATAPPPTPGSILNPSSPSTPLTSVQKTRLAISLLKSSPPPPPDQILSICRAAALSPEVHIDRVALSLAASKLSSAPDTLRDLASTVLTRRHAPHAIVLFGQAGLLPDAISTFQSSPSTRSLNALIFACIVSGNHTEAARIFQTFPDTHGVKPNTETFNTIIKSFAESGTIRSFYSVFDEMCKKGVKPNATTFTTALAGFYKEERFDDVGKVIELMKKHGCGETLQVYNVRVQSLCKLSRSGEAKALLNEMVKKGTKPSWVTYSHLVYGFSKEGNLEEAKRLYKEMGMKRLVGDCNFYYMFIHYLCKGGDFDTALGVYNEIAPKNLVPCFSTMKMLVNGLAGSSKIDEAKGIIEKMKEKFPDKADGWKEVEEALPQ >PVH39165 pep chromosome:PHallii_v3.1:5:53365592:53369471:1 gene:PAHAL_5G446100 transcript:PVH39165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSTLTDMTLLPGVETIVAGLAAGSSSPGQDGKVKKKPMKSLYLKFFDTAPDGKSRICRLCRKSYCMTTATGNLGKHLNNRHPGYHQLPEGPSFTAQSTVQTTMVTRSEKPHVPVRARAQAQPQVQSQSQIQDQPQAKVHSQPKTKPTVDVDHVNWLLLRWLIGSSLPPSTLEDNMLIDSCKYLSSSVRLWPKEKVQEITLEVFRSMKEDVKASLQHISSRLSVTLDFWTSYEKIVYMSVKCHWIDENWVSQKVLLDVCRIPYPCTGPKIFQVLMDVLVSYNIDSRVLACTHNNSQNSINACHEHELGRELESHKLPFCYIPCAARTLKVIIEAGLENVKPILSKIREFILQTNSNQEMMEDFKHWTEVYQEGSWKLPFDHSANWSSDYNMLDVVKKAPNAMDSTIKKVEEIFGPRDWVLSTAEKSVIDSLHSYLEPFFKTTTNLCNCKLPTVGLVFFFMDHVTELINMSKNGSSHDSSHQEWLKNIASDMSETARKFISEAYSIYTFTAAILDPRIKGELIPETLNSARNLEGARNHFVRDYSNTFQAVGNGHGAQDTTEEAGAFSFAEEIIRKRRRVSMTTAADELSQYLAEPPAPISTDALEWWRGHSSRYPRLSLMARDYLAIQGTSIDPEELFTSKGDNIHKQQYCLPLSSMQATMCIKSWMQNHLLPQRSNTFLLEKVDL >PVH39166 pep chromosome:PHallii_v3.1:5:53365701:53369364:1 gene:PAHAL_5G446100 transcript:PVH39166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSTLTDMTLLPGVETIVAGLAAGSSSPGQDGKVKKKPMKSLYLKFFDTAPDGKSRICRLCRKSYCMTTATGNLGKHLNNRHPGYHQLPEGPSFTAQSTVQTTMVTRSEKPHVPVRARAQAQPQVQSQSQIQDQPQAKVHSQPKTKPTVDVDHVNWLLLRWLIGSSLPPSTLEDNMLIDSCKYLSSSVRLWPKEKVQEITLEVFRSMKEDVKASLQHISSRLSVTLDFWTSYEKIVYMSVKCHWIDENWVSQKVLLDVCRIPYPCTGPKIFQVLMDVLVSYNIDSRVLACTHNNSQNSINACHEHELGRELESHKLPFCYIPCAARTLKVIIEAGLENVKPILSKIREFILQTNSNQEMMEDFKHWTEVYQEGSWKLPFDHSANWSSDYNMLDVVKKAPNAMDSTIKKVEEIFGPRDWVLSTAEKSVIDSLHSYLEPFFKTTTNLCNCKLPTVGLVFFFMDHVTELINMSKNGSSHDSSHQEWLKNIASDMSETARKFISEAYSIYTFTAAILDPRIKGELIPETLNSARNLEGARNHFVRDYSNTFQAVGNGHGAQDTTEEAGAFSFAEEIIRKRRRVSMTTAADELSQYLAEPPAPISTDALEWWRGHSSRYPRLSLMARDYLAIQGTSIDPEELFTSKGDNIHKQQYCLPLSSMQATMCIKSWMQSGYLFNFQSTIIDFESLVKSATASGDIDDSSLLHN >PAN28177 pep chromosome:PHallii_v3.1:5:8144459:8148033:1 gene:PAHAL_5G136400 transcript:PAN28177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAAAPEERRGEEEEAEWAWSWGAGTDGQLGNGGFDDHHLPQPLLLPTRCRGRVSLVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGNLDSIPHPKFVKFLENHKVTCVSAGWNHSGFATDSGKLYMCGDGSFGQLGTGDNHSRNLPFEVAYFTARHIEKLALGMRHSLVLLKDNSVYGFGSARRGQVGKCASRNEKSHNVPRLIDGFSDFKIVNIYANGDHSAALDEYGHLYIWGRALIGEHDNDQPCVVLPSLSISQVALGWHHALILSAGELFTIGVYRHQNCDLPAPRNVVGQQSNTSGASSSHDGSSSLSATKKIPSIDGEQVLQIASGTEHSAFVTDKGTVFTWGWGEHGQLGLGDTSDQVVPQRVNIAVSSGPRGVYCGSGFTVAVDLD >PAN28178 pep chromosome:PHallii_v3.1:5:8145029:8147736:1 gene:PAHAL_5G136400 transcript:PAN28178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSQVMVKYLLGVEVHMVNWVMGTWTVSPIQSLLSSLKIIKLPVCLLDGTILDLLQSLFSDSGKLYMCGDGSFGQLGTGDNHSRNLPFEVAYFTARHIEKLALGMRHSLVLLKDNSVYGFGSARRGQVGKCASRNEKSHNVPRLIDGFSDFKIVNIYANGDHSAALDEYGHLYIWGRALIGEHDNDQPCVVLPSLSISQVALGWHHALILSAGELFTIGVYRHQNCDLPAPRNVVGQQSNTSGASSSHDGSSSLSATKKIPSIDGEQVLQIASGTEHSAFVTDKGTVFTWGWGEHGQLGLGDTSDQVVPQRVNIAVSSGPRGVYCGSGFTVAVDLD >PAN31022 pep chromosome:PHallii_v3.1:5:48513479:48513870:1 gene:PAHAL_5G389300 transcript:PAN31022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVASSCVGLLAQRRAYSVAAAMAKGAGQRADEKKAAAAAAAKRVMLGKKEVNTAAAAAEEKTAWVPDPATGYYRPAGGAKEVDAAELRAKLLTQAAT >PAN32681 pep chromosome:PHallii_v3.1:5:56965749:56968119:1 gene:PAHAL_5G504600 transcript:PAN32681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFQDARLPQQRVVEGVAFPAVLVPSAPASVATGGVDEFLAAVRSERASRLEPLVRDAGALLLRGFPARTAADFDRAVDAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHHIYKRMKEKFPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDKAVAEERAAKLGMKLEWTDDGVKTVMGPIPAVKWDESRGRKIWFNSMVAAHTGWKDARNDPVKAVTFGDGSPLPADVIAECGRILEEECAAVPWQHGDILLIDNWAVLHSRRSFEPPRRILASLCK >PAN27253 pep chromosome:PHallii_v3.1:5:4285311:4291033:-1 gene:PAHAL_5G069600 transcript:PAN27253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEARYRPAGAAEDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYEHQLQSSSRLVNGWIWDKRSSDPRYVSSASIQWEDVYKSIQNLNGGEQKLKVALLNFNRTEFGTWTDMLPKSDFSVIRLEHANETITWQTLYPEWIDEEEETEIPSCPSLPDPNFPRSTHFDVVAVKLPCTRVAGWSRDVARLHLQLSAAKIAASTARGNGGVHVLFMTDCFPIPNLFSCKNLVKREGNAWLYKPDVKALQEKLRLPVGSCELAVPLNAKARLYTVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDETISDHHRKGLESAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEAVKAKKTRLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVEILREFASDVAHARWWKVHNKMPKKLQSYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDPRLKTCFEKFCFWESMLWHWGENKTNSTKNNVALAPPTASLSSS >PVH39127 pep chromosome:PHallii_v3.1:5:53096091:53098945:-1 gene:PAHAL_5G442700 transcript:PVH39127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCGKSSSPEDGSGGTESFDNLVIQASQPMREDYIQNAVKFLSHPKVKGSPVFHRRSFLEKKGLTNEEIDEAFRRVPDPKPNGTETASAGSQQANNHNQSVALQPYTEPQAATGSITAGTIAPHTKAQFSWLNTLLGAGLFLGLGASAAITLKKWFIPSLKSWTRTVVAEEDENAKDELTCKLYEEIRKAINVSASAYSDIARTNQEVLASKVEDRKVLMKLTEAFESQADVFKSLNETLLNHIRENRFSQYNLLEEHVQPAPWNGLIDYQGRASQQTNIYPTPPNSSFDPGRHSFMPLPAEPTYGSYSGSYTERGQRPGYGFQPQVSNDRQNLGLRGNYQGVSSNHHASNAIDDPTAVAVEFQRRWAPPQPPGVIMPEAAAAIRQPRSVPRQQSQPADGHPSTDVPRPSEHAVATTEQRNGTPGAPGGELAADGGTVTASASSSGGSEEQQQEAA >PAN31828 pep chromosome:PHallii_v3.1:5:53095838:53100466:-1 gene:PAHAL_5G442700 transcript:PAN31828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPPSSAPQDGSGGTESFDNLVIQASQPMREDYIQNAVKFLSHPKVKGSPVFHRRSFLEKKGLTNEEIDEAFRRVPDPKPNGTETASAGSQQANNHNQSVALQPYTEPQAATGSITAGTIAPHTKAQFSWLNTLLGAGLFLGLGASAAITLKKWFIPSLKSWTRTVVAEEDENAKDELTCKLYEEIRKAINVSASAYSDIARTNQEVLASKVEDRKVLMKLTEAFESQADVFKSLNETLLNHIRENRFSQYNLLEEHVQPAPWNGLIDYQGRASQQTNIYPTPPNSSFDPGRHSFMPLPAEPTYGSYSGSYTERGQRPGYGFQPQVSNDRQNLGLRGNYQGVSSNHHASNAIDDPTAVAVEFQRRWAPPQPPGVIMPEAAAAIRQPRSVPRQQSQPADGHPSTDVPRPSEHAVATTEQRNGTPGAPGGELAADGGTVTASASSSGGSEEQQQEAA >PAN31763 pep chromosome:PHallii_v3.1:5:53095853:53100437:-1 gene:PAHAL_5G442700 transcript:PAN31763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCGKSSSPEDGSGGTESFDNLVIQASQPMREDYIQNAVKFLSHPKVKGSPVFHRRSFLEKKGLTNEEIDEAFRRVPDPKPNGTETASAGSQQANNHNQSVALQPYTEPQAATGSITAGTIAPHTKAQFSWLNTLLGAGLFLGLGASAAITLKKWFIPSLKSWTRTVVAEEDENAKDELTCKLYEEIRKAINVSASAYSDIARTNQEVLASKVEDRKVLMKLTEAFESQADVFKSLNETLLNHIRENRFSQYNLLEEHVQPAPWNGLIDYQGRASQQTNIYPTPPNSSFDPGRHSFMPLPAEPTYGSYSGSYTERGQRPGYGFQPQVSNDRQNLGLRGNYQGVSSNHHASNAIDDPTAVAVEFQRRWAPPQPPGVIMPEAAAAIRQPRSVPRQQSQPADGHPSTDVPRPSEHAVATTEQRNGTPGAPGGELAADGGTVTASASSSGGSEEQQQEAA >PVH39128 pep chromosome:PHallii_v3.1:5:53095852:53099244:-1 gene:PAHAL_5G442700 transcript:PVH39128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCGKSSSPEDGSGGTESFDNLVIQASQPMREDYIQNAVKFLSHPKVKGSPVFHRRSFLEKKGLTNEEIDEAFRRVPDPKPNGTETASAGSQQANNHNQSVALQPYTEPQAATGSITAGTIAPHTKAQFSWLNTLLGAGLFLGLGASAAITLKKWFIPSLKSWTRTVVAEEDENAKDELTCKLYEEIRKAINVSASAYSDIARTNQEVLASKVEDRKVLMKLTEAFESQADVFKSLNETLLNHIRENRFSQYNLLEEHVQPAPWNGLIDYQGRASQQTNIYPTPPNSSFDPGRHSFMPLPAEPTYGSYSGSYTERGQRPGYGFQPQVSNDRQNLGLRGNYQGVSSNHHASNAIDDPTAVAVEFQRRWAPPQPPGVIMPEAAAAIRQPRSVPRQQSQPADGHPSTDVPRPSEHAVATTEQRNGTPGAPGGELAADGGTVTASASSSGGSEEQQQEAA >PAN27413 pep chromosome:PHallii_v3.1:5:4900570:4906990:1 gene:PAHAL_5G079200 transcript:PAN27413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQMDHYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRRSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKEQDIRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPTCYSSSMKALIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADILHPGRSPEKPITSSRSGQRSMSGSQCSSISGSDMDSIQSSERNTSGPASSSNNTIDTEGAESTDLQSHKDTAGPEMERQDSSKSIHNNQLLRHENKQPKIIKKVLTTLREESKFRESSSPVRASRVKLNSPSNRERSSDSSKHSDISSSSRSSEVMSHESARVSFEEPVVKRGQASPPLKHLSPIVEHSPTVKNKLDERLHPDPAKQFGEDVDAAAAGKPKNKTPPSFIRCTSIPARRQGVASPSRQHTGIKRAHNKVVMAEHAKSPCRPPHIPNSALVELPGFPMIPPSQLDDIQRKPGNSRAKSAPPRAVATQEESTACSSSTVGYPENTNRSEPSESGSPTYLVPSCKCSIPDTTIQARSEVKTTNFQKKMASNGDISPISAMEPSSDEQEFFCKDDMPSSKVGQDSGTVTVQSDEDKFTVQELLSSVPEVASFVPTTTTTGITPDRVSFPLQSSEKSPAPHLNPPDEDVIHVISHSSSHVNGEQALRETGAQSTDVGKLLNVVREERDVRSIQPNLTPSGPVDSATLKPSIVEANTTIQKPASVDVIKLSTIPEGNFSTPMTNNGFKEEAAPAKETLDVTSFRQRAEALEGLLELSAELLEHSRLEELAIVLKPFGKAKVSPRETAIWLAKSFKGMMNDEASRSSA >PVH38970 pep chromosome:PHallii_v3.1:5:50227842:50229574:1 gene:PAHAL_5G404600 transcript:PVH38970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKISQSLQRSSVEAAVMKESQGDGEGASVAAGRQRKVVPSRSKLSNFSPKEDMFLVKSWLEISYDPIINTGQKKEGFWARITSQYNNKRSSFPERSFRSLQSRWETIKAEASKFAGHMANVLRDNPSGISDADKTSLALANFADIEQYPFICMHC >PVH38969 pep chromosome:PHallii_v3.1:5:50227205:50229573:1 gene:PAHAL_5G404600 transcript:PVH38969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKISQSLQRSSVEAAVMKESQGDGEGASVAAGRQRKVVPSRSKLSNFSPKEDMFLVKSWLEISYDPIINTGQKKEGFWARITSQYNNKRSSFPERSFRSLQSRWETIKAEASKFAGHMANVLRDNPSGISDADKTSLALANFADIEQYPFICMHC >PAN31142 pep chromosome:PHallii_v3.1:5:49736378:49742675:1 gene:PAHAL_5G399800 transcript:PAN31142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLPTLSPPVSRAAAAFLLLRRAPAKPFSCLRPPPTLRRFLASASSSSPATPPPALRPLSTVASSSTAARAVPARRDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLARYGGVAPKMAEEAHALVIDQVVQKALDDAKLSGSDLSAVAVTVGPGLSLCLRVGVHKARQVAKSFGLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHSLGQYVQLGTTIDDAIGEAYDKTARWLGLDMRKGGGPALEELALDGDPDAVKFRVPMRQHKDCNFSYAGLKTQVRLAIESMNLSTDDIPILSASEEDRQSRANIAASFQRVAVLHLEERCQRAVEWALKIEPSIKYFVVSGGVASNKYVRSRLNQVADNNSLQLVSPPPSLCTDNGVMIAWTGIEHFVVGRFEDPPADDEPDDMQYELRPRWPLGEEYSEGRSVARSLKTARVHPSLTSMIQGSLQK >PAN31141 pep chromosome:PHallii_v3.1:5:49736378:49742675:1 gene:PAHAL_5G399800 transcript:PAN31141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLPTLSPPVSRAAAAFLLLRRAPAKPFSCLRPPPTLRRFLASASSSSPATPPPALRPLSTVASSSTAARAVPARRDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLARYGGVAPKMAEEAHALVIDQVVQKALDDAKLSGSDLSAVAVTVGPGLSLCLRVGVHKARQVAKSFGLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHSLGQYVQLGTTIDDAIGEAYDKTARWLGLDMRKGGGPALEELALDGDPDAVKFRVPMRQHKDCNFSYAGLKTQVRLAIESMNLSTDDIPILSASEEDRQSRANIAASFQRVAVLHLEERCQRAVEWALKIEPSIKYFGVSHQTSMLEVA >PAN28219 pep chromosome:PHallii_v3.1:5:8316407:8319273:1 gene:PAHAL_5G138700 transcript:PAN28219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNLPELTTGRATWAAGGGREEEDAVKDGGPKPVVPLLLVSAGGGVHEIQTFAHYVATQIGFEDLNECPHLCTLSYDYLKKTEGYEQNLLAFFHNRMNPDALLVQLIEELDKCILGYFSFHWKFATHIITQVLTHEHPRRKLRRMVMEATRKMRFERVTRELKVTRLFTTLVEELRAIGIICHHHDKQPGTDVMVPAAHSDRSPVLLFMGGGMGAGKSTVLKQIMKEVFWTDARANAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTMSWEPFVLQTIAMARSVHRQRYRMGVGYKVAADGTTTEKYWEPVVDEEDEGHHGVPRARKPYRIEMVGIICDAYLAVVRGIRRAIISGRAVRVNSQLKSHKRFAGAFRKYCDLVDNARLYSTNTIAGAKLIGWKDKDSRLLVDVEEIGLLDRVSRINEDANCVHELYPDGHPTGGAGSVWEDLVASPVRASIQRELKGAIYDSEACFPSP >PAN31895 pep chromosome:PHallii_v3.1:5:40014885:40016336:-1 gene:PAHAL_5G356000 transcript:PAN31895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALHFPLLLVAALLFSSSAALTAVEEAAIALRQKLAFEHHHHPTDHVHIDIDIDIKITNPSLLTAHKALQALKDAIYSDPNNFTGNWVGPDVCAYNGVFCVPSLHNETESAVATLDMNAADVAGYLPKEIGLMRDLAVLHLNSNRFCGIIPEEIRNMTELYEFDASNNRFVGPFPAAVLGVPKLSYLDIRFNDFDGPIPPELFLKPYDAIFLNNNRFTSGIPETIGKSRATVIVLANNELGGCIPRSIGDAAATLDQFTFTNNSLTGCLPVETGLLTNATVFDVSGNALTGAIPRTLAGLSRVEQLDLSRNMFTGDVPRDLCELPALANLSVSYNFLTREDAACKLNGSFHDEANCMGQSRPAQRNAGECTPVVNHPVDCTKIKSCGWPSVEPPPPPPAPVASPPPPPPLSSPPPPVFSPPPPAASPPPPPPPVYSPPPPPPPTASPPPPPVYSPPPPPPVIVPPVRGTKYQSPPPPLFPGY >PVH39472 pep chromosome:PHallii_v3.1:5:57464880:57465366:-1 gene:PAHAL_5G511000 transcript:PVH39472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRPPRTLPARALLLAAPSRSAPLGGPQSEATAPRLAATALHGGRHRLAVSSSIADAATLFGFAAGQNHHPSPGVSPFLPCPEPHVLLPAVRGIFRRHDPIVVIAVADIDGKAKEALRVAADPAVNRTALVLLPRSSSVSKVLRMATLKLA >PVH39412 pep chromosome:PHallii_v3.1:5:56318466:56328340:1 gene:PAHAL_5G495300 transcript:PVH39412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNVWEADKMLDSYIYDYLLKRNLYNTAKAFQAESNVPSAPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDSAAAYLELIKAREQKQQSQQQVEMQQLLLQRHVQRQQQHPQEQQQQHPQLQRRQQKQQQRNENTDFSTSAQNGTAVADPQVRQNATAASGLSAKIYEDRMKITAQRDISDEALMKQRLTESIGPLLESNPTSRLKSPARSALTSGQIVHRSIGGGSASLQQAEARSQPLLGSTQDMKAETNVALNLRAGADGSLFGVQGSNLVGNNLTLKGWPLTGLEQLRSGFLQHKSYMHSPQPLQHQLQFLTPQQQQILLQAQQNMTSSPIEMDNRQLQMLFSSRNLVPGRDGQSNAFAEIIPSVGQSLQNFCLPTQRTETDMLMKIAALHHHHQQQQSSSQQQLLQHPLLSQQQQSSTFNAGEQEKMGDGSVTVAFHGNKQVSKNKIGRKRKQPTSSSTPANSSGTTNTAGASPSSTPSTPSAHSPGETISTPQGPHHASLSKALIVYGSDPQGSPTNPLVDMDHYVEDDSMEDNVEPIHDGIDLRAAGSHCINSAKGYILREMSSAQASTSSILCCHFSSDGKLLATGGHDKKVFLWNAETLKQKSILEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWSIKHGNNVRIFKGGSAQLRFQPSYGGYLATTSDNMVSILDVETQACVRRFESHTKDVGSLCWDPTGEYVVSVSEDIVKVWSLNDKSCVNELNCRGRKLTSCAFHPTYPSLLVIGCYQSLELWDMAENRSMTIAAHSSLVSAVASSSSGLVASTGHDKYVKLWR >PVH39413 pep chromosome:PHallii_v3.1:5:56318466:56328340:1 gene:PAHAL_5G495300 transcript:PVH39413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNVWEADKMLDSYIYDYLLKRNLYNTAKAFQAESNVPSAPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDSAAAYLELIKAREQKQQSQQQVEMQQLLLQRHVQRQQQHPQEQQQQHPQLQRRQQKQQQRNENTDFSTSAQNGTAVADPQVRQNATAASGLSAKIYEDRMKITAQRDISDEALMKQRLTESIGPLLESNPTSRLKSPARSALTSGQIVHRSIGGGSASLQQAEARSQPLLGSTQDMKAETNVALNLRAGADGSLFGVQGSNLVGNNLTLKGWPLTGLEQLRSGFLQHKSYMHSPQPLQHQLQFLTPQQQQILLQAQQNMTSSPIEMDNRQLQMLFSSRNLVPGRDGQSNAFAEIIPSVGQSLQNFCLPTQRTETDMLMKKIAALHHHHQQQQSSSQQQLLQHPLLSQQQQSSTFNAGEQEKMGDGSVTVAFHGNKQVSKNKIGRKRKQPTSSSTPANSSGTTNTAGASPSSTPSTPSAHSPGETISTPQGPHHASLSKALIVYGSDPQGSPTNPLVDMDHYVEDDSMEDNVEPIHDGIDLRAAGSHCINSAKGYILREMSSAQASTSSILCCHFSSDGKLLATGGHDKKVFLWNAETLKQKSILEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWSIKHGNNVRIFKGGSAQLRFQPSYGGYLATTSDNMVSILDVETQACVRRFESHTKDVGSLCWDPTGEYVVSVSEDIVKVWSLNDKSCVNELNCRGRKLTSCAFHPTYPSLLVIGCYQSLELWDMAENRSMTIAAHSSLVSAVASSSSGLVASTGHDKYVKLWR >PAN29761 pep chromosome:PHallii_v3.1:5:15704464:15710877:1 gene:PAHAL_5G248400 transcript:PAN29761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGYNGIWKMRTGNAVDGCAIFWRTTRFQLRYEEDIEFNKLGLRDNVAQVCVLESVVPQNVQTNSSNLSTSLSHPQQAKQVVVCNIHVLYNPKRGEIKLGQVRTLLDRAYTVSKMWNDAPVILCGDFNSTPKSPLYNFVLEQKLNLSGLAKNTISGQQTSGSSQGLYTGPSISRSHPPFYPTNSRGGNITLLNDREPQTETTKSMKNSCPAGREHVTDTSSESLFDSKSSNNCGNNIPCSGSSNLDEQGLSNCLEGPVKDAFASDADEECTSIDKPNEGCFRWIKTESGQGPDITDVPSAPATVCAEILKSGSCEIVDSSQLLSSDNSSGDVICEEFTCTSEANWVQSDQLLATLKDKSNEKESAIETISAQENCATNEPESSHLNGSQNVTDALHQMSNLSLEGESSTGPAESVRQPNGAVSDAFGNQCSAEVINKHSVFCGDESENNSHAFEDDITTDEVSFSDVNSDPSFFEELCGGNDRLLEEEDQLPATSDSSPSSSQQMVSSNEGYYYYDPYRWTPEEIKAASGNDECTSVEHSLKLRSVYTDVEDFEGTKDTNNEPLVTSYNRKFMGTVDYIWATEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALACELVFTR >PAN29760 pep chromosome:PHallii_v3.1:5:15704464:15710878:1 gene:PAHAL_5G248400 transcript:PAN29760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLASSAFLRRAAAMSSSSYGRRGYPRPRRGYSARPSQPPPPPPDAGAELVSGDSHLSAVRSANESLRRGGVRGPPPPYRQGPQPHQPHYGYGYGYGYGYGRPQHPAPPYGAVPYNYGHPQQQQQLPPGPQYGYGAPNPYVHGHPQPHGRAPAGAGFRPGAHQLTPRLADYRRRWRFAKQRLPRQAERFKVLSYNILADYLAQEHQFLYERIPSFILDWNWRKEKLVFEFSLWSPDILCLQEVDKFTDLEQEMASRGYNGIWKMRTGNAVDGCAIFWRTTRFQLRYEEDIEFNKLGLRDNVAQVCVLESVVPQNVQTNSSNLSTSLSHPQQAKQVVVCNIHVLYNPKRGEIKLGQVRTLLDRAYTVSKMWNDAPVILCGDFNSTPKSPLYNFVLEQKLNLSGLAKNTISGQQTSGSSQGLYTGPSISRSHPPFYPTNSRGGNITLLNDREPQTETTKSMKNSCPAGREHVTDTSSESLFDSKSSNNCGNNIPCSGSSNLDEQGLSNCLEGPVKDAFASDADEECTSIDKPNEGCFRWIKTESGQGPDITDVPSAPATVCAEILKSGSCEIVDSSQLLSSDNSSGDVICEEFTCTSEANWVQSDQLLATLKDKSNEKESAIETISAQENCATNEPESSHLNGSQNVTDALHQMSNLSLEGESSTGPAESVRQPNGAVSDAFGNQCSAEVINKHSVFCGDESENNSHAFEDDITTDEVSFSDVNSDPSFFEELCGGNDRLLEEEDQLPATSDSSPSSSQQMVSSNEGYYYYDPYRWTPEEIKAASGNDECTSVEHSLKLRSVYTDVEDFEGTKDTNNEPLVTSYNRKFMGTVDYIWATEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALACELVFTR >PAN30457 pep chromosome:PHallii_v3.1:5:19945089:19950381:1 gene:PAHAL_5G282900 transcript:PAN30457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGPLLGLDPWRSGPGCQHPSISRIGWQLLEGALWMNDPWLSEPPGTVRAFSTSPCVRKSTRCGHTLFIQRAGFASPTPIQAQSWPIAMQSQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNSTRNGPTVLVLAPTRELATQILDEAVKFGRSARISCTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRVSLKQVTYLVLDEADRMLDMGFEPQIRKIVKEMPHHRQTLMYTATWPKEVRRIADDLLVNPVQVTIGSVDELVANSAITQHVEVITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLNQFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLIKILEGANQKVPRDLEDMASRGGGRGRKRNRWASRSDRGGSRSELDSRYSGRDASGRSPRGSRGRDDYGGRGRYDGETDGRSRRSARGRSRSRSRSDSRSRSPSPKRSRRHEATRSRTKSRSRSRTKSRSRSRSRSRSRSYTRNRRASRSRSRSPVAASRRHEKTATVSGSARPNSGHTEHKSPPRSHSGNDHANHSDHKDDHHMEDGKMEKVDLDRSPSPQDDKSAPYSPVYNGKASGSMSPNGQPVVDAKSVEASEKPDPASPVRNSKSRDDEEEGIIDEDGEIAEDDPRSNAAATQNGDN >PAN30455 pep chromosome:PHallii_v3.1:5:19945089:19950381:1 gene:PAHAL_5G282900 transcript:PAN30455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTGSSGRAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNVTQYEKPMPPDNQLPPPPPPLPPPPPRSRDRRERSRSRSRTPPRRDHRDRDRDRDRRHDEHASSKSAASHHHPAPAAAAVPDDPSTEAYRRRHEITVTGDNVPAPITSFEAGGFPSEILKEIQRAGFASPTPIQAQSWPIAMQSQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNSTRNGPTVLVLAPTRELATQILDEAVKFGRSARISCTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRVSLKQVTYLVLDEADRMLDMGFEPQIRKIVKEMPHHRQTLMYTATWPKEVRRIADDLLVNPVQVTIGSVDELVANSAITQHVEVITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLNQFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLIKILEGANQKVPRDLEDMASRGGGRGRKRNRWASRSDRGGSRSELDSRYSGRDASGRSPRGSRGRDDYGGRGRYDGETDGRSRRSARGRSRSRSRSDSRSRSPSPKRSRRHEATRSRTKSRSRSRTKSRSRSRSRSRSRSYTRNRRASRSRSRSPVAASRRHEKTATVSGSARPNSGHTEHKSPPRSHSGNDHANHSDHKDDHHMEDGKMEKVDLDRSPSPQDDKSAPYSPVYNGKASGSMSPNGQPVVDAKSVEASEKPDPASPVRNSKSRDDEEEGIIDEDGEIAEDDPRSNAAATQNGDN >PAN30456 pep chromosome:PHallii_v3.1:5:19946402:19950075:1 gene:PAHAL_5G282900 transcript:PAN30456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGPLLGLDPWRSGPGCQHPSISRIGWQLLEGALWMNDPWLSEPPGTVRAFSTSPCVRKSTRCGHTLFIQRAGFASPTPIQAQSWPIAMQSQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNSTRNGPTVLVLAPTRELATQILDEAVKFGRSARISCTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRVSLKQVTYLVLDEADRMLDMGFEPQIRKIVKEMPHHRQTLMYTATWPKEVRRIADDLLVNPVQVTIGSVDELVANSAITQHVEVITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLNQFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLIKILEGANQKVPRDLEDMASRGGGRGRKRNRWASRSDRGGSRSELDSRYSGRDASGRSPRGSRGRDDYGGRGRYDGETDGRSRRSARGRSRSRSRSDSRSRSPSPKRSRRHEATRSRTKSRSRSRTKSRSRSRSRSRSRSYTRNRRASRSRSRSPVAASRRHEKTATVSGSARPNSGHTEHKSPPRSHSGNDHANHSDHKDDHHMEDGKMEKVDLDRSPSPQDDKSAPYSPVYNGKASGSMSPNGQPVVDAKSVEASEKPDPASPVRNSKSRDDEEEGIIDEDGEIAEDDPRSNAAATQNGDN >PAN26966 pep chromosome:PHallii_v3.1:5:3141352:3145734:-1 gene:PAHAL_5G050400 transcript:PAN26966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEAPRLRCGAGDAAAAAADVDVVTTGGRRRIPARSSVLASASPVLASILERRLRKDRESGKAGRSVLRIRGVTDDAAAAFVRLLDAGRCGDGEEDDMEKYAVQVLVLAHAYQVPWLKRACEGAIGARLTADSAVDVLQLAALCDAPRLHLRCTRLLAKEFAAVERTEAWRFLQENDPWQELQVLQGLHEADMRRRKWRRKRAEQRVYVELSEAMDCLDHICTEGCTEVGPAGRAPAPAPCARYATTCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDSCNTPLCKRFKQKEQEKAAVKAGDDGDKWGLLVKKVKAARVFSSLANRKQMSSTSQC >PVH37643 pep chromosome:PHallii_v3.1:5:3141666:3143221:-1 gene:PAHAL_5G050400 transcript:PVH37643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPGRCGDGEEDDMEKYAVQVLVLAHAYQVPWLKRACEGAIGARLTADSAVDVLQLAALCDAPRLHLRCTRLLAKEFAAVERTEAWRFLQENDPWQELQVLQGLHEADMRRRKWRRKRAEQRVYVELSEAMDCLDHICTEGCTEVGPAGRAPAPAPCARYATTCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDSCNTPLCKRFKQKEQEKAAVKAGDDGDKWGLLVKKVKAARVFSSLANRKQMSSTSQC >PAN27876 pep chromosome:PHallii_v3.1:5:6920916:6923473:-1 gene:PAHAL_5G114500 transcript:PAN27876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQTKGAAPKGAKGPKIGGGGGKR >PAN31749 pep chromosome:PHallii_v3.1:5:52969000:52970613:-1 gene:PAHAL_5G441500 transcript:PAN31749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQHQQPQPQPQRMHGGGGLDLPPGFRFNPSDEEIITFYLTPKVQQRSFTCAAIGEVDLNGAEPWELPGKANMGEKEWYFFYQKDRKYRKGIRMNRATKGGYWKATGKDKEIYGATTGVVLPVLIGMKKTLVFYTGRAPRGKKTSWIMHEYRLEGDDRLPYPAANSIPTVTMKSSSAFKDKWVVCRVFHKITGIKKEPASPPYNNAMDDIRIDQSSVHMPLPLEFPVLPDFTMDPAGINYSTTDMPFYHQTNIGTVDASGFMAALQGVPSLMVSQNDAGMSIDQTNDAEIPSMVPIVPESTTTMDMDSLWEY >PAN33127 pep chromosome:PHallii_v3.1:5:59438794:59440957:1 gene:PAHAL_5G539500 transcript:PAN33127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGQDQEEDKAWGYGTFQGPPSYPPPRPPPLGFPQPVPPPAHHRAAARPDQDYEAGVRGHGHDRLPCCGIGFGWFLFLVGFFLGAIPWYVGAILLWCSRVDHREKPGFVACTVAAVLATLAVIIGATAGAHVY >PAN33129 pep chromosome:PHallii_v3.1:5:59438794:59440957:1 gene:PAHAL_5G539500 transcript:PAN33129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGQDQEEDKAWGYGTFQGPPSYPPPRPPPLGFPQPVPPPAHHRAAARPDQDYEAGVRGHGHDRLPCCGIGFGWFLFLVGFFLGAIPWYVGAILLWCSRVDHREKPGFVACTVAAVLATLAVIIGATAGAHVY >PAN33128 pep chromosome:PHallii_v3.1:5:59438794:59440958:1 gene:PAHAL_5G539500 transcript:PAN33128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGQDQEEDKAWGYGTFQGPPSYPPPRPPPLGFPQPVPPPAHHRAAARPDQDYEAGVRGHGHDRLPCCGIGFGWFLFLVGFFLGAIPWYVGAILLWCSRVDHREKPGFVACTVAAVLATLAVIIGATAGAHVY >PAN30615 pep chromosome:PHallii_v3.1:5:24995800:24997886:-1 gene:PAHAL_5G306100 transcript:PAN30615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSTTTAAAWTREEDKAFENAVAAAAAPPADGPPDDGWFTALAASVPARTAEEVRRHYEALLEDVASIEAGRVPLPRYAGEESSAATPDSAAGAASTPKDGGGGGGHRREERKSVVDVGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGEVAAAGAPITGGPAAAGAMPMGPAGMKHHHPGPPMGMYGHAPMGHPVAGHMVAPAAVGTPVMFPPGHSPYVVPVGYPAPPAKMHQ >PVH39415 pep chromosome:PHallii_v3.1:5:56354832:56355388:-1 gene:PAHAL_5G495500 transcript:PVH39415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRSGVPFEKSGQVAAQRVTLSDAVRFARGSRSAARRGPPPPGSEAGVAPNPIISSSR >PAN28374 pep chromosome:PHallii_v3.1:5:8915483:8918359:-1 gene:PAHAL_5G148700 transcript:PAN28374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAELVKQYSNITLGEDSEICDIKHALKALRKKILSLDFDNSMHVHDPQDSFEYLEVLYKIRRLSERLRSLDPCGEAKQLDELTAYAHDLSEMAMARLEEEFVYLLTHYKQPLEQEVLSFRSTEDGSVEDFSSSSFSEEQSEGKATPNDVTGGPEHFVPDLIQPGALSAVKSIAKFMFLNGYDKECCQAYINSRQNAIDEYFGSLRLDKLSIEEIMNTSWNKLNTLIRRWNRAMRVFIRVYLASEKRLSNHVFGELTDSTADLCLYEISFNSVMQLLSFYVSVAIGPPKTEKLFRLLDMYEVLNDLLPEAESLFESGCDDMILNEYHEALLHLGESARKTFAEFKYAIQSYSSSNAVARGEVHPLTKYVMNYIRALTAYSKPLDSLLKDTDRRHLHLASDIQLMANAYPNFTATALHLQSVAAILEANLEAGSRLYRDDRLQNIFMMNNIHYMVQKVKNSDLKSFLGDDWIRIHNRKFQQQATRYERASWNNVLSYLSDDGLCAAGDAASRKTIREKIKNFNLSFEEVYRVQTAWSVPDDQLRDDVRISISLKVIQAYRTFVGRYSSFLDGSRHRDRYIKYRPEDLETLLLDLFEGTQKSLQHSCRV >PAN28599 pep chromosome:PHallii_v3.1:5:10005276:10010287:-1 gene:PAHAL_5G164700 transcript:PAN28599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRGIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTPESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQRYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >PAN28598 pep chromosome:PHallii_v3.1:5:10005518:10008438:-1 gene:PAHAL_5G164700 transcript:PAN28598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRGIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTPESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQRYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >PAN30553 pep chromosome:PHallii_v3.1:5:21773391:21775128:1 gene:PAHAL_5G293100 transcript:PAN30553 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MAADRRFKIFAAADGFGQPLKDAVVAHLRAHPAVAEVVDLGVDKYYAAAAAVARQVSSSSSSSSCSSSDSAPDAPEVRGVVVCGTGAGVCIFANKYPRVYATHCASPADAVNTRSINACNVLALSGMATPPDAAAAIADAWLATPFRAPCPASGDAPWPEDIQRFFDTAPDEMAAIPEAEGLPDSACAICCLRNGMEFEPVGIMPGGEMRIVRESPTSAYVQFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKKESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDLDTAHSAIDAELGAASDSR >PAN28931 pep chromosome:PHallii_v3.1:5:11292571:11294700:-1 gene:PAHAL_5G187300 transcript:PAN28931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNSNDAANASASGTAPAGEEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADTSLPDGPIEPPTPLSEVRADPYPLPAAFEWLTCDLDDDALLADLYSLLAHNYVEDDENMFRFNYSPAFLRWALKPPSFFRAWHIGVRAKESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRRMELRDVAAVTRLLRAYLAKFVVAPDFDEVDVEHWLLPQEDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >PVH37844 pep chromosome:PHallii_v3.1:5:6258118:6261502:-1 gene:PAHAL_5G103000 transcript:PVH37844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGLDQVMAFLTDHGFAGAASALRDDVLERAAAGEGARHAALDPQLPPLRMPGSASASGGGGGASTPAPASPGSSSGSASSSAFVSMRSTPSGLLNPYGLWSPRHSQSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHLFPSKLDDEDDEGKEEDKFVLGAHDGSEQVEIGKLGAGHSHRHEHIGSDRCEGCAEVYTCSSPLCGCCGGGLKIDGLEVARSSSSTVYGRYQIMDDQTEILDDCAQDGFQFKQSGDVALECDMSRDPGQGDDDSELSVVEKELQMLSSFDNDAVANHGVHDFTDKGELDDSSEKNLKSSSYKEYLKEGHRVQPFPESGDDAYEFQNIGPLNSDIQHSTALKAEEDLETNIDLALSNFHREYEVFELRIIHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEVYFTLPRIQLLLDR >PVH37845 pep chromosome:PHallii_v3.1:5:6256753:6262145:-1 gene:PAHAL_5G103000 transcript:PVH37845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGLDQVMAFLTDHGFAGAASALRDDVLERAAAGEGARHAALDPQLPPLRMPGSASASGGGGGASTPAPASPGSSSGSASSSAFVSMRSTPSGLLNPYGLWSPRHSQSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHLFPSKLDDEDDEGKEEDKFVLGAHDGSEQVEIGKLGAGHSHRHEHIGSDRCEGCAEVYTCSSPLCGCCGGGLKIDGLEVARSSSSTVYGRYQIMDDQTEILDDCAQDGFQFKQSGDVALECDMSRDPGQGDDDSELSVVEKELQMLSSFDNDAVANHGVHDFTDKGELDDSSEKNLKSSSYKEYLKEGHRVQPFPESGDDAYEFQNIGPLNSDIQHSTALKAEEDLETNIDLALSNFHREYEVFELRIIHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEVYFTLPRIQITYASMSNHAHIELLRSFWAYHMIRGLIFGLLVASLLNYTLVRYYFLMSLCP >PAN27737 pep chromosome:PHallii_v3.1:5:6257126:6261502:-1 gene:PAHAL_5G103000 transcript:PAN27737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGLDQVMAFLTDHGFAGAASALRDDVLERAAAGEGARHAALDPQLPPLRMPGSASASGGGGGASTPAPASPGSSSGSASSSAFVSMRSTPSGLLNPYGLWSPRHSQSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHLFPSKLDDEDDEGKEEDKFVLGAHDGSEQVEIGKLGAGHSHRHEHIGSDRCEGCAEVYTCSSPLCGCCGGGLKIDGLEVARSSSSTVYGRYQIMDDQTEILDDCAQDGFQFKQSGDVALECDMSRDPGQGDDDSELSVVEKELQMLSSFDNDAVANHGVHDFTDKGELDDSSEKNLKSSSYKEYLKEGHRVQPFPESGDDAYEFQNIGPLNSDIQHSTALKAEEDLETNIDLALSNFHREYEVFELRIIHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEVYFTLPRIQVIARQCLDALVYLHHLNIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVPMMLTQMIGIIGPIDMEMLELGQETQKYFTDDYDLFIKNEETDQLEYLIPEKSSLRRHLQCPDSEFVDFLSYLLQINPRKRPTADEALQHPWLSFAY >PVH37864 pep chromosome:PHallii_v3.1:5:6503671:6505215:-1 gene:PAHAL_5G107800 transcript:PVH37864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNTSEDDIENVPYSDANSPILTGYRVSVPILDDGQVQGTVRHERRLLDFLKATPSVQWIKKINLYSPLIKFRLPSSSVHDNLHVHFIRTINWGTVFTICKKWLKHPMNIALLIWLLCVGAAGAMLILLLLGLLNDAFPSKSLRNQWIEIDNQILNALFTLLSIYEHPKLIHHTVLIYRWQPEDAAELRKYYCKNVACRPNERAHIFFVVFLLHITCISQYADCSLYWAYPSQSRSEFADNFFFVLGIAAPVIAGVYAVYSPLGRDYDAVSDEETKQLDAVLIESSETRTVVSNPAWAGGLFDCGEDPTACYLSFLFTFCVFGWNMERLGFGNMYVHTFTFLLLCVTPFWLFNITAMNIHSYILGDFIGAAGIVLCFFGLLYGGFWRMQMRKTFGLPRSRWFCGSASLTDYVQWLFCWPCSLAQEVRTGNLYDAKDGNFYEKLIDGADVESGSGLIVVTESPVSMGVEEGNGINVKLVADGEMIPPTQPVIEFGEREGTDSEVVANGSIQLKS >PAN27794 pep chromosome:PHallii_v3.1:5:6503380:6506642:-1 gene:PAHAL_5G107800 transcript:PAN27794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNTSEDDIENVPYSDANSPILTGYRVSVPILDDGQVQGTVRHERRLLDFLKATPSVQWIKKINLYSPLIKFRLPSSSVHDNLHVHFIRTINWGTVFTICKKWLKHPMNIALLIWLLCVGAAGAMLILLLLGLLNDAFPSKSLRNQWIEIDNQILNALFTLLSIYEHPKLIHHTVLIYRWQPEDAAELRKYYCKNVACRPNERAHIFFVVFLLHITCISQYADCSLYWAYPSQSRSEFADNFFFVLGIAAPVIAGVYAVYSPLGRDYDAVSDEETKQLDAVLIESSETRTVVSNPAWAGGLFDCGEDPTACYLSFLFTFCVFGWNMERLGFGNMYVHTFTFLLLCVTPFWLFNITAMNIHSYILGDFIGAAGIVLCFFGLLYGGFWRMQMRKTFGLPRSRWFCGSASLTDYVQWLFCWPCSLAQEVRTGNLYDAKDGNFYEKLIDGADVESGSGLIVVTESPVSMGVEEGNGINVKLVADGEMIPPTQPVIEFGEREGTDSEVVANGSIQLKS >PVH37866 pep chromosome:PHallii_v3.1:5:6503380:6506663:-1 gene:PAHAL_5G107800 transcript:PVH37866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNTSEDDIENVPYSDANSPILTGYRVSVPILDDGQVQGTVRHERRLLDFLKATPSVQWIKKINLYSPLIKFRLPSSSVHDNLHVHFIRTINWGTVFTICKKWLKHPMNIALLIWLLCVGAAGAMLILLLLGLLNDAFPSKSLRNQWIEIDNQILNALFTLLSIYEHPKLIHHTVLIYRWQPEDAAELRKYYCKNVACRPNERAHIFFVVFLLHITCISQYADCSLYWAYPSQSRSEFADNFFFVLGIAAPVIAGVYAVYSPLGRDYDAVSDEETKQLDAVLIESSETRTVVSNPAWAGGLFDCGEDPTACYLSFLFTFCVFGWNMERLGFGNMYVHTFTFLLLCVTPFWLFNITAMNIHSYILGDFIGAAGIVLCFFGLLYGGFWRMQMRKTFGLPRSRWFCGSASLTDYVQWLFCWPCSLAQEVRTGNLYDAKDGNFYEKLIDGADVESGSGLIVVTESPVSMGVEEGNGINVKLVADGEMIPPTQPVIEFGEREGTDSEVVANGSIQLKS >PVH37865 pep chromosome:PHallii_v3.1:5:6503380:6506670:-1 gene:PAHAL_5G107800 transcript:PVH37865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNTSEDDIENVPYSDANSPILTGYRVSVPILDDGQVQGTVRHERRLLDFLKATPSVQWIKKINLYSPLIKFRLPSSSVHDNLHVHFIRTINWGTVFTICKKWLKHPMNIALLIWLLCVGAAGAMLILLLLGLLNDAFPSKSLRNQWIEIDNQILNALFTLLSIYEHPKLIHHTVLIYRWQPEDAAELRKYYCKNVACRPNERAHIFFVVFLLHITCISQYADCSLYWAYPSQSRSEFADNFFFVLGIAAPVIAGVYAVYSPLGRDYDAVSDEETKQLDAVLIESSETRTVVSNPAWAGGLFDCGEDPTACYLSFLFTFCVFGWNMERLGFGNMYVHTFTFLLLCVTPFWLFNITAMNIHSYILGDFIGAAGIVLCFFGLLYGGFWRMQMRKTFGLPRSRWFCGSASLTDYVQWLFCWPCSLAQEVRTGNLYDAKDGNFYEKLIDGADVESGSGLIVVTESPVSMGVEEGNGINVKLVADGEMIPPTQPVIEFGEREGTDSEVVANGSIQLKS >PVH37624 pep chromosome:PHallii_v3.1:5:3056855:3062188:-1 gene:PAHAL_5G048600 transcript:PVH37624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGILGRSSVPRSNEGLRIILSAVVGIMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNNSVSKPDEIPKIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVNHFNAEAYIELVRKHGLEISQPGLEPDKGLTWEMTKRRGYSEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRERCRQEWGMFQTRLADAERAYYLEHGITPPNSTGA >PAN26938 pep chromosome:PHallii_v3.1:5:3057164:3060262:-1 gene:PAHAL_5G048600 transcript:PAN26938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGILGRSSSVPRSNEGLRIILSAVVGIMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNNSVSKPDEIPKIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVNHFNAEAYIELVRKHGLEISQPGLEPDKGLTWEMTKRRGYSEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRERCRQEWGMFQTRLADAERAYYLEHGITPPNSTGA >PVH37623 pep chromosome:PHallii_v3.1:5:3056855:3062188:-1 gene:PAHAL_5G048600 transcript:PVH37623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGILGRSSVPRSNEGLRIILSAVVGIMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNNSVSKPDEIPKIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVNHFNAEAYIELVRKHGLEISQPGLEPDKGLTWEMTKRRGYSEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRERCRQEWGMFQTRLADAERAYYLEHGITPPNSTGA >PAN26939 pep chromosome:PHallii_v3.1:5:3057164:3060262:-1 gene:PAHAL_5G048600 transcript:PAN26939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGILGRSSSVPRSNEGLRIILSAVVGIMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNNSVSKPDEIPKIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVNHFNAEAYIELVRKHGLEISQPGLEPDKGLTWEMTKRRGYSEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRERCRQEWGMFQTRLADAERAYYLEHGITPPNSTGA >PVH37625 pep chromosome:PHallii_v3.1:5:3057164:3060262:-1 gene:PAHAL_5G048600 transcript:PVH37625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGILGRSSSVPRSNEGLRIILSAVVGIMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNNSVSKPDEIPKIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVNHFNAEAYIELVRKHGLEISQPGLEPDKGLTWEMTKRRGYSEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRERCRQEWGMFQTRLADAERAYYLEHGITPPNSTGA >PAN26937 pep chromosome:PHallii_v3.1:5:3056876:3062188:-1 gene:PAHAL_5G048600 transcript:PAN26937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGILGRSSSVPRSNEGLRIILSAVVGIMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNNSVSKPDEIPKIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVNHFNAEAYIELVRKHGLEISQPGLEPDKGLTWEMTKRRGYSEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRERCRQEWGMFQTRLADAERAYYLEHGITPPNSTGA >PVH37622 pep chromosome:PHallii_v3.1:5:3056855:3062188:-1 gene:PAHAL_5G048600 transcript:PVH37622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGILGRSSVPRSNEGLRIILSAVVGIMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNNSVSKPDEIPKIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVNHFNAEAYIELVRKHGLEISQPGLEPDKGLTWEMTKRRGYSEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRERCRQEWGMFQTRLADAERAYYLEHGITPPNSTGA >PAN32968 pep chromosome:PHallii_v3.1:5:58278005:58278890:1 gene:PAHAL_5G524200 transcript:PAN32968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAETSFARGPVPPKKPGPVTVSVTNPPLCCELVAGRICLPLAIGEEVKPAERDRCLHGHAPATP >PAN30745 pep chromosome:PHallii_v3.1:5:41382921:41394085:1 gene:PAHAL_5G360200 transcript:PAN30745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAASTRLVHILRRRCLCPPVEQIAPPQLRLRSPPTREAPGDRGPSPVLPPAPADRRPSARVCLLHRPGNCSPRTEPPPPCEDRRRLLPDEVPAD >PVH38312 pep chromosome:PHallii_v3.1:5:13942492:13946010:-1 gene:PAHAL_5G226300 transcript:PVH38312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] MEEQFILRVPPSVAERIERLMNESAAASSSSSSNPDDTSLDLSFSEDGRNGTFMIGNESFPASLLDLPAVVESYKTYDDSVLIKTADIGQMIMVREENDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNAELVNQVEKHLINIMHGVSVNQNASVIGGEEGGDRKKPPVARAPKQPDVQEPTANGEEPERSDSEESEN >PAN32445 pep chromosome:PHallii_v3.1:5:55888494:55889840:1 gene:PAHAL_5G487400 transcript:PAN32445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVSTSRGLLPPGKLGTFESPHVWPLSAPTGQPEAARGDDQDVRLLSVAWNQDCGCFAAGTSNGFRIFNCEPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNVQYPPNKVMIWDDHQSRCIGEFAFRSDVRAVKLAKDYIVIVLERKIYVYNFTDLKLLYQIDTLSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKMTKTIPAHDSHISCMALTMDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRTLEGPRMDHQNSSSSIDPLVQTNTGSNASSSLSFMRGMYSHDLVIF >PAN32444 pep chromosome:PHallii_v3.1:5:55887283:55892194:1 gene:PAHAL_5G487400 transcript:PAN32444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVSTSRGLLPPGKLGTFESPHVWPLSAPTGQPEAARGDDQDVRLLSVAWNQDCGCFAAGTSNGFRIFNCEPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNVQYPPNKVMIWDDHQSRCIGEFAFRSDVRAVKLAKDYIVIVLERKIYVYNFTDLKLLYQIDTLSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKMTKTIPAHDSHISCMALTMDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRTLEGPRMDHQNSSSSIDPLVQTNTGSNASSSLSFMRGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQSTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFLKSDSPPFRTSAT >PAN31738 pep chromosome:PHallii_v3.1:5:52914432:52917588:-1 gene:PAHAL_5G440500 transcript:PAN31738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAAPVTELEILGECQYPGEPAVVRPSRPTPRHTLHLSNLDDQRFLRFSIKYLYVFAAPAAVPAGALRAALARVLVDYYPLAGRLRPSDDDEGKLVVDCNAEGALLAEACLPGLTAAEFLRGRARPHKSWRKLLYRVEAQSFVAVPPLVVQVTRLGCGGMILCTAINHCLCDGIGTAQFLHAWARAARAGDGALDDGNDLPAPPFHDRRALRPRCPPRVAFTHPEYNSCGGNGAVANGNGNGNEAPSLLGQPLAPVSLTFTAAHLLRLKRQCAPSLKCTSFEALAAHVWRAWVRALDPPGALRVKLLFSVNVRRRVKPELPRGYYGNGFVLGCAESTAAQLTSPSSSLAARYGVRLVQEAKECVDDDYVRSMVDLLEERRGARPDLAASLVISSWTRLGLEDLDFGAGTAAHMGPLTSEIYCVFLPVIGDPDGTTVLVSVPQAAADRFQQCCLGFLKDTDVDAKLMS >PAN29095 pep chromosome:PHallii_v3.1:5:12258992:12270682:1 gene:PAHAL_5G202000 transcript:PAN29095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENWLEHAKQTSTAASSSGLVSRRQIPLPRRSRQRNVFHLLVQREVSPRTKHQARRIWNNSQTCDPDLIELRFADADAKQDIFSWAESQSLHRWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLYSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNNRDWSSIPAIILRTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLATSSGYSNYPSALFVTNSNSRFCPHLESNVASPCLLLPAYLRDDGIFHVLGNDSSSTGAQQRSSLVQVATSDTETQQPDQFATSMDVCPGEPTTSNDIPDAVPMRASNGIDMHGAGGQSNSRLQGSSSISNLERFSARDDLPASSLSNTEPIPSAAGLSGSDARRAMPLNMLISGGLDVQMFLRNVESGQQNHYLFSDSRNWELPFLQGFLMAQNRTGLHPALVNNNVLEDLSIDGTAGTNNLTRESPHMHNFGRSGASSSIPITAGSSRGSNRRYASRSVPGIGNSLLGPQIDEAEVHAASLGVGSEITAPMFTPGTELPCTVKLRIWRHDIKKPGVPLDPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVPYESAGAGSSPTRHPLPSHRVIYELRVYSLEEATFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRNVVMEGDNGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTSMGIGCFVEDNMLEAIQRYALEC >PAN32193 pep chromosome:PHallii_v3.1:5:54972451:54974953:-1 gene:PAHAL_5G470200 transcript:PAN32193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFAAARRAVASAAPLILRASASSGAQRGGALLRPLAAAAARPQPRAMPFSSAPATRPSSDAELLSVIDSEIKYAEDCDDHDRVEEIPDNFPFKITDEKGMNAITLTRTYHGEQIEVVAHMPSLVTGDEPDHDRDDEDKGEDKGEEGDGGDEDQGEKPPQSSIPLTVTITKSDGPVLEFTCTAYPDEVLIDSLSVRQLSGDDENDLIAYEGPDFNDLDENLQKAFHKYLELRGISPLTTNFLHEYMINKDSREYLLWLRKLKDFFKQ >PAN28390 pep chromosome:PHallii_v3.1:5:8998947:9001603:1 gene:PAHAL_5G150000 transcript:PAN28390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLAAVTEPAAGGRFKENKGGYRALPFIFLNEMLEKVAGFGLNTNMITYLTKKYHLSTVASQTMLFVWSAISNFAPIPGAVVADMYLGRFMAVALGSVACLIGMVFLWLSATIPGARPPPCNDGEQCAPPGARHLAWLLSGFAFLSLGAGGVRPCSMAFGADQFSRHPKEQRSMILQAYFNAYYASIGVAFTIAVTAIVYLQDNVGWSVGFAVSMGLMLLSTVSFLLGSGLYINEKGKRQMFSGIGAAVGAAIRNHRARLPAKTGDGVYHHLKDSKLTIPTDQLRFLNKACMVCATKEDTDSPSNSAASAAAPERHGRSGKRLCTVDQVEQLKSAIRVLPIWSSTIFLALAMNQSFAVKQADTMDRRVGRGRYFEVPSGSLALFNMATMSLWSASYDRWVAPALRRHTGNPRGLTMKQRIGGGLLLATASTAVSAAVEGARRRRALRGVTISAFWLVPQFALVGLAEAFGVIGEIEFFYTELPKSMASFSMSLLYMAFGVGNLAGALIVKVVQAASRRGGETSWLVDDLNAGHYDYYYWLLTGYGVVNFVYFAWCSWVYGEEGKNVEWEEDDDREQPML >PAN30166 pep chromosome:PHallii_v3.1:5:42268058:42287464:-1 gene:PAHAL_5G361600 transcript:PAN30166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSCAATAMSERCMQGLIHAPRDGRTKSQRWALLSPRLGSACRRSVSSRYHRRLGAVSSTSNVGTFGLDYNSELQVAVNPAPDGSVIEVELVATNTGASSLSLHWGALQQGRREWVMPSRRPDGTRTYEDAALRTPFKSSGSNSTIKIEIDDPAVDSIEFLVVDEAQNKWFKNNGKNFEIHLRTTDQHEEERFASTKTRGPSSAGRIVRKNRDIMQFLSKPASSQVNGFVEAGPKRPTVLDLFLKSLQDKNDWEVLCKKFFKLGDDEILVLLLEKQGRTKVQLATNYAEPLILHWALAKKAGEWKAPPAGILPPGSTLLEMACESSFAEATLDGLHYKVLEIELHGDSYRGMPFVLRSNETWIKNNTSDFYLDFSRQVTTSTEDGTAGGKGTAKAFLETIADLEEDAQRSLMHRFNIAADLVEQAKDAGKFGLSGLFVWMRFMATRQLIWNKNYNVKPREISQAQDRFTDLLQNLYKSYPQYREMIRMIMSAVGRGGEGDVGQRIRDEILVIQRNNNCMGGMMEEWHQKLHNNTSPDDVVICQALMDYLNSDLDIKVYWDTLNKNGITKERLLNYDHPIHSEPNLKSDQKAGLLRDLANYMRSLKAVHSGADLESAIGTCTGYRTEGEGFMVGVKVNPVNGLPSGYQELLKFVLDHLEDKSVEALVEGLLEARAEVRPLLCGSQERLKDLIFLDIALDSTVRIAVERSYEQLKNAAPEKIMYFISLVLENLALSIEDNENLLCCLKGWNHALSMAKNSDDQWALYAKAHLDRTRLALVTKGEEYHHILQPSAEYLGSLLGVEQWTVNIFTEEIIRSGSAASLSLLLNHLDPVLRNITNLGSWQIISPVEVIGYVTVVDELLTVQNKSYGKPTVLVANSVKGEEEIPDGVVAVLTPDMPDVLSHVSVRARNSKVLFATCFDPEILSELQRNDGKLISVKPSTTDISYREIAESELLDANSPTAEDNKSGPSISLAKKQFTGKYAICADEFSDEMVGAKSRNIAYLKGKVPPSVGVPTSVALPFGTFETVLSDKMNKDVAQNVRHLKEKLSHGEFSALQEIRHALLELVAPTDLVKELKEKMQGSRMPWPGDEGQQRWEQAWMAIKKVWASKWNERAYFNTRKVKLDHAYLSMAVLVQEIVSADYAFVIHTINPSSGDRSEIYAEVVKGLGETLVGAYPGRALSFVCNKDDLNSPKVLGYPSKPIGLFIKQSIIFRSDSNGEDLEGYAGAGLYDSVPMDMEEEVVLDYTTDRLITDYSFRNTILSTIAHTGYAIEDLFGSPQDIEGVVKDGKIFVVQTRPQM >PVH38407 pep chromosome:PHallii_v3.1:5:16012125:16015742:-1 gene:PAHAL_5G252400 transcript:PVH38407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLSLRMQKEIKLLLDDPPHGVSLNLSEDENVLSSLSSIEARIEGPEETVYAKGVFILKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLCEPNPDDGLMAEISREYKYNRQVFDINARLWTEKYASPSAVDASGWGPVDAGVLAQNAQMEDTKSQGSLPNASKRDCEGNQRKMRLLGQKLSLKSERSEENMKTVKQDPVASHLPSTARSTYPTACFSDVSGRQNDTSENMSVRTASGVVSKKEYQGNNKNLQLPGLGLSVISEAPSKRSDGNDMLPNHLPTSASDAKDHAMQSSDDILGNSFPRSIGGSSDRSYKPPEGNRRNIRTLGLKLLLKSVKPEKKSDDQKENMAPNHLPPQPGFNKLQKRPLDVVSRKKFSGGPALVQQDPITEHQQSNTQMVSNEECNQGRKKLCSLSRRLSLKSGLLGGDSACDKEYKPPNCSVSDKKPDELPLSAPPAPIPKGEAVAPNELPLSAPTVLESQAKTLGFAGGQKDASSGNSSVKQNAVAVENIVVSDSEESEDERERPPRSRLSLMRQRLAGKLRT >PAN27693 pep chromosome:PHallii_v3.1:5:6073286:6074691:-1 gene:PAHAL_5G099400 transcript:PAN27693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPCDGQLGSEPTMEDARSLLPYATVDSSLRALAGQAEGFGRHAIGGLHGDVYHVTTLEDDGPGSLREGCRRPEPLWIVFDVSGSIRLSSGVRVSSYKTVDGRGRRVRLAGRGLELRECEHVIVCGLEIAGGRGHDADALQIKPRSRHVWVDRCTLRDFADGLVDVTNGSTDVTVSRCHLAAHDKAVLVGGSSAHVEDRGIRVTIHHCFFDGTRQRHPRVRFGRVHLYNNYTRGWGIYAVCASVESQIISQCNIYEAGEKKNVFRYMEEQALDKDQISSGRIRSEGDLFLNDAQQCAADAAGDELWDFEVQDFYQSCSVQPTSMALKVLLQCCTGWQPIPLPPDVSSTEGAADLADPTA >PAN30953 pep chromosome:PHallii_v3.1:5:48035103:48040152:1 gene:PAHAL_5G385100 transcript:PAN30953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETPPGQRPQPQPAHASPPFPAAPFTPPPRVFSPTLAARGTPSPGSGPGHAPAHLSTPPGPPVFSSPLRPAAVPFRATPASPHPVPFAAAGGGYSSSSSATATASLPTSSAPHFLNGAATPHGDLDPAPSALQGDGLDNPYVQFSANKVLKQKKLLNAPSLGFGALVSLGKEVAPGPEVVERDPRRCLNCGAYVNLYCDVLIASGQWQCVICKKLNGSEGEFVVSSKQDLLHWPELVSTTVDYVQVGNRRPGFVPVSDSRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTAKIGIITYGRTVSVYDFSEGSAVSADVLPGNKSPTHESLKGLIYGTGVYLSPIHASLPVAHTIFSSLRPYQLNVPEVSRDRCLGAAVEVALGIIQGPSVELSRGIIKRSGGNCRILVCAGGPCTFGPGSVPHSVKHPNYAYLEKTAMKSMESLGHEAQRHSTVIDIFCAGQCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDNMLVTQVIGPGEEASPDSHETFKHDSSFCIQMHSVEETQSFSVSMETKGDIKSDFVFFQFAVHYSNMYQAEITRVITMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASDAIDMRLSIDERVKDIAFKFGTQAPKSKLYRFPKELASLPECLFHLKRGPLLGSIIGHEDERSVLRNLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLVMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSEQRFPAPRILSFKQGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRARLKSSFIHFDDHSFCEWMRSLKLVPPEPS >PVH38772 pep chromosome:PHallii_v3.1:5:38606131:38606865:-1 gene:PAHAL_5G349400 transcript:PVH38772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDMMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRQLRALYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDQFLPIKKRSIRTEEESP >PVH39326 pep chromosome:PHallii_v3.1:5:55508070:55509907:-1 gene:PAHAL_5G479400 transcript:PVH39326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSSSLAPLDMRVCVYIVQGENLSAWVLGDSIASGPSRGEMERGNEAPGKKARKPYTMTKPREPWSAEEHGRFLDALLMFGRDWKKIEEHVRTKTTIQIRSHAQKYFLKVQKLGLAAGLPPAHPRRRFTMMEQQNSAAGSSAAAVPLLHGEPHSAPVAVPGPSDAVAHGCIGWNSPGDLPADGSSGCFSSEMRCLDWAGVSASGIPAWLNSDAQSQIAPPATLPGGSQFIGAPSFGSTSMVWAGSSTSGGSAIDSVQDEDEQIELPLSPDDLHFAQVYRFVGDVFDPNTPIPVEAHLQKLKDMDDITVKTILLVLRNLETNLSALQFEPIRRLLSTYDPRRGLFGQL >PAN32337 pep chromosome:PHallii_v3.1:5:55507598:55510120:-1 gene:PAHAL_5G479400 transcript:PAN32337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSSSLAPLDMRVCVYIVQGENLSAWVLGDSIASGPSRGEMERGNEAPGKKARKPYTMTKPREPWSAEEHGRFLDALLMFGRDWKKIEEHVRTKTTIQIRSHAQKYFLKVQKLGLAAGLPPAHPRRRFTMMEQQNSAAGSSAAAVPLLHGEPHSAPVAVPEMRCLDWAGVSASGIPAWLNSDAQSQIAPPATLPGGSQFIGAPSFGSTSMVWAGSSTSGGSAIDSVQDEDEQIELPLSPDDLHFAQVYRFVGDVFDPNTPIPVEAHLQKLKDMDDITVKTILLVLRNLETNLSALQFEPIRRLLSTYDPRRGLFGQL >PVH39325 pep chromosome:PHallii_v3.1:5:55508070:55509907:-1 gene:PAHAL_5G479400 transcript:PVH39325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSSSLAPLDMRVCVYIVQGENLSAWVLGDSIASGPSRGEMERGNEAPGKKARKPYTMTKPREPWSAEEHGRFLDALLMFGRDWKKIEEHVRTKTTIQIRSHAQKYFLKVQKLGLAAGLPPAHPRRRFTMMEQQNSAAGSSAAAVPLLHGEPHSAPVAVPGPSDAVAHGCIGWNSPGDLPADGSSEMRCLDWAGVSASGIPAWLNSDAQSQIAPPATLPGGSQFIGAPSFGSTSMVWAGSSTSGGSAIDSVQDEDEQIELPLSPDDLHFAQVYRFVGDVFDPNTPIPVEAHLQKLKDMDDITVKTILLVLRNLETNLSALQFEPIRRLLSTYDPRRGLFGQL >PAN29842 pep chromosome:PHallii_v3.1:5:16051961:16054129:1 gene:PAHAL_5G253100 transcript:PAN29842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREGSPAQLPAAKHRKESSPPTATSPVHSLTDDVLLQIFLRLPSLATLVRAALACRSWRRAVASSPAFRRRFRELHPAPLLGLFFESPSAVQDPVLPAFPSFVPARRRDRDLAAAVRGGDFFLTSIQEHPDKAHSWEILDCRSGYVLLCNGDRLSEEAMAVVNPLERRSELFFDDLHKDTFEDYRGYLAVRNACLLCSDEDPASFRVVRLAHDESRVRATVFFSDTRKWKLYPWVDVPGRPRRSKSWLLNSKMQSNGFLYWVYKNHKYMVTLSTATMDFSVEELPPFLENRRCSFDVGETSSGTRCIVYATDFTVGLMLRRTDSDGVEKWMLDRATPLDTQLDGVLRKQKGNYDELLVVAARDGFAYLATSKFIYDSQNPSWFLSLCLETMKLENLFQRTYDSGAHPSVMAWPPCLVGNYGRFALEDGT >PAN31529 pep chromosome:PHallii_v3.1:5:52029840:52040307:1 gene:PAHAL_5G425500 transcript:PAN31529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPIDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFGEVFQCMFDYIDRLFVMVRPRRLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLSGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKVKRKAGEFDEKGDAIVPKKPYQFVNIWTLREYLEYEFRMPKPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLIAVYKKEFSSMCGYLTDSCTPDLKRVEYFIQAVGRYEDKIFQKRARLHQRHAERIKREKAQAKRGDDLDPHVRDDLIVPVQRFQGSRLASGAAPAPYEQNGSHKDNKERNNRARKTARVSTPGSSIAAAIVEAENGLEAQERENKEELKSMLKDALREKSDIFNSENPEEDKVKLGEPGWRERYYEEKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGHLNITFELGTPFKPFDQLMGVFPAASAHALPIQYRRLMTDPSSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSVMFDMLFVNGSHPLSPYIYSLNSKFGHLPDRERNEIKEKLDPSASGGMNGYITLCSGDPCPPIFRSPVDGLEDIMDNQVICSIYKLPDYHKHIARPPAGVVIPKKTVEAGDLKPPPVLWHEDSGRRPHDNSNRHNPPGAISGRQLGEAAHRLVINSLNAQGRGQHSSPSVPYQTIMNGMHHLNVVHPNVNQGIPPRGEQSGWYVPRGSVPSGQIPAYASSGSGHYQHERSGPSQYEQGNRGRQQIYPYSRDGYHDTRARVPPAYGYQQTGGSMYSSQPVAAPSGRGLYGQPPSAYPGVRGGGYRPPPYGGAQQWQQQPYSSHAGRGPYGGAPPPTRADSRAQQSQNRYGSLDRSSNRRPPSGYGQ >PAN31592 pep chromosome:PHallii_v3.1:5:52029062:52040307:1 gene:PAHAL_5G425500 transcript:PAN31592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCWAGSIFSASLWPTPTQCPVNAKSARGCQLDFDVLQRKLLVWPARQTTASSLPPSPLLCLGPPPVSPAAEMGVPAFYRWLAEKYPMVVVDVVEEEPVAIEGVRVPVDASRPNPNGLEYDNLYLDMNNIIHPCFHPEDRPSPTTFGEVFQCMFDYIDRLFVMVRPRRLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLSGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKVKRKAGEFDEKGDAIVPKKPYQFVNIWTLREYLEYEFRMPKPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLIAVYKKEFSSMCGYLTDSCTPDLKRVEYFIQAVGRYEDKIFQKRARLHQRHAERIKREKAQAKRGDDLDPHVRDDLIVPVQRFQGSRLASGAAPAPYEQNGSHKDNKERNNRARKTARVSTPGSSIAAAIVEAENGLEAQERENKEELKSMLKDALREKSDIFNSENPEEDKVKLGEPGWRERYYEEKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGHLNITFELGTPFKPFDQLMGVFPAASAHALPIQYRRLMTDPSSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSVMFDMLFVNGSHPLSPYIYSLNSKFGHLPDRERNEIKEKLDPSASGGMNGYITLCSGDPCPPIFRSPVDGLEDIMDNQVICSIYKLPDYHKHIARPPAGVVIPKKTVEAGDLKPPPVLWHEDSGRRPHDNSNRHNPPGAISGRQLGEAAHRLVINSLNAQGRGQHSSPSVPYQTIMNGMHHLNVVHPNVNQGIPPRGEQSGWYVPRGSVPSGQIPAYASSGSGHYQHERSGPSQYEQGNRGRQQIYPYSRDGYHDTRARVPPAYGYQQTGGSMYSSQPVAAPSGRGLYGQPPSAYPGVRGGGYRPPPYGGAQQWQQQPYSSHAGRGPYGGAPPPTRADSRAQQSQNRYGSLDRSSNRRPPSGYGQ >PAN29415 pep chromosome:PHallii_v3.1:5:13826814:13831618:1 gene:PAHAL_5G224300 transcript:PAN29415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLRLLPLLAAAVACSLLRACGAGAAEATTGTLGLRHKPPRQQHNSTRHAGGGRPRAGGGGRGAAGGPGTGMATCNLFQGSWVYDDSLPMYDAAGCPFVEPEFDCQKYGRPDKQYLKYRWRPASCELPRFNGQDLLSRWKGKKVLFVGDSISLNQWESLACMLRAAAPASKVAYTRGNPVSTVTFQDYGLSVAYYRSTYLVDIVEEPAGRVLKLDSITAGGAWLGADVLVFNTWHWWTHTGRDQPWDYVQDGGQMMKDMDRLTAFSKGMSTWARWVDTNVDTSRTKVYFQGISPTHYNGAEWGEGSRNCAQQTQPVAGSAYPAGPVPAQAAVRAALGGMSKPVYLLDVTLLSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDTWNQVLYASLLA >PAN31814 pep chromosome:PHallii_v3.1:5:53282367:53285555:-1 gene:PAHAL_5G444700 transcript:PAN31814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRANEMFRADSRMLVVFGALTSKPQQLTFEESLRFVKKVKAHDYILYLSLFDILGRMELSQLDAYRELQLLFRNHPDLCEELEKFRPPAPTKHATNNIWPWIFVCAVPLVAVSLIPALGNPVLWFVQQTIGENLAA >PAN31813 pep chromosome:PHallii_v3.1:5:53282346:53285555:-1 gene:PAHAL_5G444700 transcript:PAN31813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRANEMFRADSRMLVVFGALTSKPQQLTFEESLRFVKKVKAHDYILYLSLFDILGRMELSQLDAYRELQLLFRNHPDLCEELEKFRPPAPTKHATNNIWPWIFVCAVPLVAVSLIPALGNPVLWFVQQTIGENLAA >PAN28840 pep chromosome:PHallii_v3.1:5:10973048:10974877:-1 gene:PAHAL_5G181400 transcript:PAN28840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLYEKPSETYAKKRPRYPKEWFSMLAGLTAGHQRAWDAGCGSGQAAISMAEHYESVVATDVSEGQLRNAIAHPRVRYAHTPEHLSEEELVALVGGEGSLDLIVVATSIHWFDIPLFYGVVNRALKKPGGVLAVWGYNYEIHPFEDALHGQLYAALRPYQDPRTRLAMERYRTLPFPFEPVGVGAEGAPADVDMEAEMTLEDLAGFVMTGSVVTTAREKGAGAEMEAVVRDVMKRVEGEWGDAPTVPRKLAFKAFMLAGRPKC >PAN27388 pep chromosome:PHallii_v3.1:5:4796544:4799671:1 gene:PAHAL_5G077400 transcript:PAN27388 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Abiotic stress response, ABA signaling, Suppressor of floral transition upon drought stres [Source: Projected from Oryza sativa (Os01g0867300)] MASSRVMPSSSPSHTASDLARFAQAASRPGGGGGSGLGSMNVEELLRGIYSDMPTPATPAPAADRPMPAAPAPAPGIAARKTAEEVWKEITTGVGSAGTAAPVPQAVVPAGAGGGAGAGGPEMTLEDFLAREGAVKEDEVRISGPSAPAEGQVVMGFLGGAEGVGVPGGGGGRGRKRQLMDPVDRAAMQRQKRMIKNRESAARSRERKQAYIAELETLVTQLEEENAELLREQEERHQKRLKELLERVTPVIVRKKPPQDLRRTNSMQW >PVH38860 pep chromosome:PHallii_v3.1:5:44921791:44922655:1 gene:PAHAL_5G371400 transcript:PVH38860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGVNQLERQGNMQYWRGDLIAILIQVISELPEMQLVYKYVLKNGKLILTILCGYLIGHQAFLPPFECRVRV >PVH38093 pep chromosome:PHallii_v3.1:5:10608869:10612935:-1 gene:PAHAL_5G175800 transcript:PVH38093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQRRPRFFKVLVGDFARRIEIPRGFLCHIPEERRRTSAATVASSAKALLKNAEGKTWPVELEEIDGRVFLTTGWSKFVKGNCLGEGEFLVVEYDGNMHFRVSVFGVNAVEKAVWPSGSGAQATGNLGEQPCYIFPSSKKGHGGDELTETVKSPIHSHAQTVTTQRSTQGDEHISSQDTIGFLDLHEVGCSKDELETCLSQKEPMEDGKAKAIAEAMRTLHVDKLAVELFCATLCLYKWKVEAAAEDLNICRGKLNILEQSMKQKLVLQFDFIKRQLQRFFPPDDDSERIKKNNLEGPNLSNQPLQRDLTVPPVKRRLVDENEPCDLSHKQKRKIVKLQQGSPQTQTPRRSPRLAHLNNTRNSTNNVLKKRAEVLKPPPATIIQVKDRGHKSCSLHKKPYNALKTTTGSLSQDLRKLDSPRCEVGVSKEHEHDQGETRKMLDHSDNGKNSKEQMEINAVETSDSFMSTDCIESPPNNSGLTAYSRTSELSFTWKHPQHVNPLEKILLDIQRDNFVKTIASIQKIIRDDPSDVLSADTIEAAVRIGILKCDSCLQDRNAQKIVNALLEYAKKVKEKNNFSIEMRKEEFSAKLQDLLKWQLKELETAYTSLESDYKKASTDSTIFFSTLEEHRKKLHAVKDGIKDNQQDLMIEDEIQKLAHKVAEHETIYQKSIIEKVRVKMDLKNYQQTLGDVKERLASTEPGSVDVEALVKIEMDNMSKEIQLSKGILLNINFEKE >PAN28738 pep chromosome:PHallii_v3.1:5:10609064:10610819:-1 gene:PAHAL_5G175800 transcript:PAN28738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKAKAIAEAMRTLHVDKLAVELFCATLCLYKWKVEAAAEDLNICRGKLNILEQSMKQKLVLQFDFIKRQLQRFFPPDDDSERIKKNNLEGPNLSNQPLQRDLTVPPVKRRLVDENEPCDLSHKQKRKIVKLQQGSPQTQTPRRSPRLAHLNNTRNSTNNVLKKRAEVLKPPPATIIQVKDRGHKSCSLHKKPYNALKTTTGSLSQDLRKLDSPRCEVGVSKEHEHDQGETRKMLDHSDNGKNSKEQMEINAVETSDSFMSTDCIESPPNNSGLTAYSRTSELSFTWKHPQHVNPLEKILLDIQRDNFVKTIASIQKIIRDDPSDVLSADTIEAAVRIGILKCDSCLQDRNAQKIVNALLEYAKKVKEKNNFSIEMRKEEFSAKLQDLLKWQLKELETAYTSLESDYKKASTDSTIFFSTLEEHRKKLHAVKDGIKDNQQDLMIEDEIQKLAHKVAEHETIYQKSIIEKVRVKMDLKNYQQTLGDVKERLASTEPGSVDVEALVKIEMDNMSKEIQLSKGILLNINFEKE >PVH38092 pep chromosome:PHallii_v3.1:5:10609009:10612935:-1 gene:PAHAL_5G175800 transcript:PVH38092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQRRPRFFKVLVGDFARRIEIPRGFLCHIPEERRRTSAATVASSAKALLKNAEGKTWPVELEEIDGRVFLTTGWSKFVKGNCLGEGEFLVVEYDGNMHFRVSVFGVNAVEKAVWPSGSGAQATGNLGEQPCYIFPSSKKGHGGDELTETTVTTQRSTQGDEHISSQDTIGFLDLHEVGCSKDELETCLSQKEPMEDGKAKAIAEAMRTLHVDKLAVELFCATLCLYKWKVEAAAEDLNICRGKLNILEQSMKQKLVLQFDFIKRQLQRFFPPDDDSERIKKNNLEGPNLSNQPLQRDLTVPPVKRRLVDENEPCDLSHKQKRKIVKLQQGSPQTQTPRRSPRLAHLNNTRNSTNNVLKKRAEVLKPPPATIIQVKDRGHKSCSLHKKPYNALKTTTGSLSQDLRKLDSPRCEVGVSKEHEHDQGETRKMLDHSDNGKNSKEQMEINAVETSDSFMSTDCIESPPNNSGLTAYSRTSELSFTWKHPQHVNPLEKILLDIQRDNFVKTIASIQKIIRDDPSDVLSADTIEAAVRIGILKCDSCLQDRNAQKIVNALLEYAKKVKEKNNFSIEMRKEEFSAKLQDLLKWQLKELETAYTSLESDYKKASTDSTIFFSTLEEHRKKLHAVKDGIKDNQQDLMIEDEIQKLAHKVAEHETIYQKSIIEKVRVKMDLKNYQQTLGDVKERLASTEPGSVDVEALVKIEMDNMSKEIQLSKGILLNINFEKE >PAN29810 pep chromosome:PHallii_v3.1:5:15905751:15908150:1 gene:PAHAL_5G250700 transcript:PAN29810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGLKHWNWIHCVFGLDWMVVPFLGLPFTDTRVSGGTRCMGVHLYTQYFGQKFSASMYIHMGIEITGSDHVYLSPNKRNRHVGLACLLCLLPHGCHLLPTSEPCRLFFSLSTSHLLLLRRRPPLGEGWLPSAGRRRQAEERKRREIRRCGGSPAADLMEPRRARRQRPRGHAYCCCKSGDSGSRVRGRDGGRRLRKHWKHRRPR >PVH39518 pep chromosome:PHallii_v3.1:5:58378769:58379014:-1 gene:PAHAL_5G525900 transcript:PVH39518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVHCCGLGLNTMLFWVWESVESTSFGSCNSETSFDLPVCFGTLHATIDSTCASIATAGAPALLLLEHGHHLDWIIRFP >PAN32834 pep chromosome:PHallii_v3.1:5:57754092:57756730:1 gene:PAHAL_5G515400 transcript:PAN32834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGNAWCRVNFEAFHMDSTAQDFQARTFSIKLWPPSESTRLMLVERMTKNLSTESLFSRKYGLLGKEEAHENAKRIEQLCFASADEHFKKEPDGDGSSAVQLYAKETSKMMLEVLKKGPRVTTESEVPVADTPLGPGDTVLDISGGKRAFIEADEAKELLSPLTKPGNSYKRICFSNRSFGVDAANVAGSILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQENLEELYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAVYVAEMVKRSPNLESFRCSATRIGSDGGVALSEALGTCTHLKRLDLRDNLFGVDAGIALSKSLPKLPDLVELYLSDLNLENKGTVAIVNALKQSAPQLEVLELAGNEINAKAAPALAECLTAMQSLKKLTLAENELKDAGSVIIAKSLEDGHADLKELDVSTNMFQRAGARCFARAVANKPGFVQLNINGNFISDEGIDEVKDILKAGKNSLDVLGSLDENEPDGEPDDGDEEEDDEDAKDDDVEDGLDSKLQNVQV >PAN32832 pep chromosome:PHallii_v3.1:5:57753700:57757405:1 gene:PAHAL_5G515400 transcript:PAN32832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHTAGGGASASARGCRVNFEAFHMDSTAQDFQARTFSIKLWPPSESTRLMLVERMTKNLSTESLFSRKYGLLGKEEAHENAKRIEQLCFASADEHFKKEPDGDGSSAVQLYAKETSKMMLEVLKKGPRVTTESEVPVADTPLGPGDTVLDISGGKRAFIEADEAKELLSPLTKPGNSYKRICFSNRSFGVDAANVAGSILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQENLEELYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAVYVAEMVKRSPNLESFRCSATRIGSDGGVALSEALGTCTHLKRLDLRDNLFGVDAGIALSKSLPKLPDLVELYLSDLNLENKGTVAIVNALKQSAPQLEVLELAGNEINAKAAPALAECLTAMQSLKKLTLAENELKDAGSVIIAKSLEDGHADLKELDVSTNMFQRAGARCFARAVANKPGFVQLNINGNFISDEGIDEVKDILKAGKNSLDVLGSLDENEPDGEPDDGDEEEDDEDAKDDDVEDGLDSKLQNVQV >PAN32831 pep chromosome:PHallii_v3.1:5:57753663:57757405:1 gene:PAHAL_5G515400 transcript:PAN32831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAQDFQARTFSIKLWPPSESTRLMLVERMTKNLSTESLFSRKYGLLGKEEAHENAKRIEQLCFASADEHFKKEPDGDGSSAVQLYAKETSKMMLEVLKKGPRVTTESEVPVADTPLGPGDTVLDISGGKRAFIEADEAKELLSPLTKPGNSYKRICFSNRSFGVDAANVAGSILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQENLEELYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAVYVAEMVKRSPNLESFRCSATRIGSDGGVALSEALGTCTHLKRLDLRDNLFGVDAGIALSKSLPKLPDLVELYLSDLNLENKGTVAIVNALKQSAPQLEVLELAGNEINAKAAPALAECLTAMQSLKKLTLAENELKDAGSVIIAKSLEDGHADLKELDVSTNMFQRAGARCFARAVANKPGFVQLNINGNFISDEGIDEVKDILKAGKNSLDVLGSLDENEPDGEPDDGDEEEDDEDAKDDDVEDGLDSKLQNVQV >PAN32833 pep chromosome:PHallii_v3.1:5:57753663:57757405:1 gene:PAHAL_5G515400 transcript:PAN32833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAQDFQARTFSIKLWPPSESTRLMLVERMTKNLSTESLFSRKYGLLGKEEAHENAKRIEQLCFASADEHFKKEPDGDGSSAVQLYAKETSKMMLEVLKKGPRVTTESEVPVADTPLGPGDTVLDISGGKRAFIEADEAKELLSPLTKPGNSYKRICFSNRSFGVDAANVAGSILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQENLEELYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAVYVAEMVKRSPNLESFRCSATRIGSDGGVALSEALGTCTHLKRLDLRDNLFGVDAGIALSKSLPKLPDLVELYLSDLNLENKGTVAIVNALKQSAPQLEVLELAGNEINAKAAPALAECLTAMQSLKKLTLAENELKDAGSVIIAKSLEDGHADLKELDVSTNMFQRAGARCFARAVANKPGFVQLNINGNFISDEGIDEVKDILKAGKNSLDVLGSLDENEPDGEPDDGDEEEDDEDAKDDDVEDGLDSKLQNVQV >PVH39523 pep chromosome:PHallii_v3.1:5:58509217:58509912:1 gene:PAHAL_5G527900 transcript:PVH39523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSLRDAPRAHRSSVGSDSWQLLECRAMQAEATNAKEERGRPDRCARLVGSSD >PVH37689 pep chromosome:PHallii_v3.1:5:3821103:3824792:1 gene:PAHAL_5G060500 transcript:PVH37689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRGSGDSLTRLHTHTRATAMATELLSPLLPQRRRGLAVAVAVPLLALLLVVVIFFHAPLPTTVSSPERVDLTLVAGAREKGAVCLDGSPPGYHLRRGFSSGSHNWLIYLEGGAWCNTAKSCFARTVSSFGSSKFMRAVKFSGILGNDPLENPDFYDWNIVVVRYCDGASFAGDAEGEDPDGTKLFFRGLRIWEAVVDELMGKGMDTAKQTLLTGCSAGSLAALLHCDNFRRRFPQEVSVKCLSDAGFFIDVKDLSGERSIWSLFNEVVHLHNVREVLPKDCLQNKDPTECFFASELIKSISTPTFILNSAYDSWQIQNVLAPDGSYPGQAWSSCKTDIRNCSSTQIDVLHGFRKKLVSKLKVAEDKRNWGLFIDSCFTHCQTPFNISWHSPISPRLDDRTIAEAVGDWYFGRRQDVKLIDCEYPCNPTCSSRLSTA >PVH37546 pep chromosome:PHallii_v3.1:5:1680075:1684941:1 gene:PAHAL_5G026300 transcript:PVH37546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIFLKEVEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRKTCEFTVTMQALRTAPPDMQLKDKFLVQTTVVPYGTSDENLVPAFFSKETGRYIEESKLRVVLVSASHSLEEEPINGVHDTEPAVEVPVLKEMPNIENEVPAVAKEVPPPLEQTPAIATEIPSPVKETPGLREIPVPINEAPAALTESSSNQKDSSAIAIEPASTVTIEHAPATTIESPPLKQSTAVFKESPPLEKTPPKEAVMLSDRGLFNVQNHQLSHVTEDVQNLKSKLNNLESKLEEAERMIIRLREESRSTTQERDKLQQEMVFLRKKGAPRSQVGFPLLFVVYVALLGTSLGYLLRL >PAN26620 pep chromosome:PHallii_v3.1:5:1678810:1684941:1 gene:PAHAL_5G026300 transcript:PAN26620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDLVEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRKTCEFTVTMQALRTAPPDMQLKDKFLVQTTVVPYGTSDENLVPAFFSKETGRYIEESKLRVVLVSASHSLEEEPINGVHDTEPAVEVPVLKEMPNIENEVPAVAKEVPPPLEQTPAIATEIPSPVKETPGLREIPVPINEAPAALTESSSNQKDSSAIAIEPASTVTIEHAPATTIESPPLKQSTAVFKESPPLEKTPPKEAVMLSDRGLFNVQNHQLSHVTEDVQNLKSKLNNLESKLEEAERMIIRLREESRSTTQERDKLQQEMVFLRKKGAPRSQVGFPLLFVVYVALLGTSLGYLLRL >PVH37570 pep chromosome:PHallii_v3.1:5:2068871:2073062:1 gene:PAHAL_5G033300 transcript:PVH37570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDAEAAQRDAITGRMRGQDYAGARALLLQTLQTNPRLEGALEMLPVLEVLCAAAKALAGRGRGVDWYRVLQVLPGDDAARIEARYRSIVAQLEPIGADLPGAELALRLVREAYAMLSDPEKREGFDSRDIFARFVRSGVVDAPTPDSTMVHSNKLNSLHTKDTMTADFTSNAVSHVQHTDKSCFDERNSHLSNVASSSRTKRMDPCFLGDDGDLQPPDDNHVDKKQKSVCEEDLYCVSPSQEDLDAFFDVPSDAKDEQCSSKQYDYHNFEEDRAIENFAAGQVWAAYDWERFPRRYALITKVLMDKMQLCVSWFNPCLQSDEERKWSRAGLPLVCGTFAAEECHISLACPTIFCHQISSDNLNQHLEVYPQEGEVWAIYSDWDIGWCTDPEMWKKSAFSIVEILTSYSKESGCTVAHLVKVDGHGSVFQRHLKSGTEHLLQIRSDNLLMFSHRIPSFRFTPEAGTMFELEHSAVPQTLRQEHALPCIPPLSFSGLHDDTNSFPETSVAQFSNPSTSKMDSGAPLPATVSCNNKLSRNDFLEGQIWAVFDSRDRMPRSYVRIIRVVSLTSVFVLKLEPHPMLNEEIRWVEDGLPVASGVFRAGTETTYKDIWEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKVTLNSTDIDNCEIRMVEILSNYSDENGVNVCSLVRVKGCLTFFHR >PAN26715 pep chromosome:PHallii_v3.1:5:2068871:2073062:1 gene:PAHAL_5G033300 transcript:PAN26715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDAEAAQRDAITGRMRGQDYAGARALLLQTLQTNPRLEGALEMLPVLEVLCAAAKALAGRGRGVDWYRVLQVLPGDDAARIEARYRSIVAQLEPIGADLPGAELALRLVREAYAMLSDPEKREGFDSRDIFARFVRSGVVDAPTPDSTMVHSNKLNSLHTKDTMTADFTSNAVSHVQHTDKSCFDERNSHLSNVASSSRTKRMDPCFLGDDGDLQPPDDNHVDKKQKSVCEEDLYCVSPSQEDLDAFFDVPSDAKDEQCSSKQYDYHNFEEDRAIENFAAGQVWAAYDWERFPRRYALITKVLMDKMQLCVSWFNPCLQSDEERKWSRAGLPLVCGTFAAEECHISLACPTIFCHQISSDNLNQHLEVYPQEGEVWAIYSDWDIGWCTDPEMWKKSAFSIVEILTSYSKESGCTVAHLVKVDGHGSVFQRHLKSGTEHLLQIRSDNLLMFSHRIPSFRFTPEAGTMFELEHSAVPQTLRQEHALPCIPPLSFSGLHDDTNSFPETSVAQFSNPSTSKMDSGAPLPATVSCNNKLSRNDFLEGQIWAVFDSRDRMPRSYVRIIRVVSLTSVFVLKLEPHPMLNEEIRWVEDGLPVASGVFRAGTETTYKDIWEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKVTLNSTDIDNCEIRMVEILSNYSDENGVNVCSLVRVKGCLTFFHRLVMEDFHLTRWIPR >PAN26718 pep chromosome:PHallii_v3.1:5:2068871:2073062:1 gene:PAHAL_5G033300 transcript:PAN26718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDAEAAQRDAITGRMRGQDYAGARALLLQTLQTNPRLEGALEMLPVLEVLCAAAKALAGRGRGVDWYRVLQVLPGDDAARIEARYRSIVAQLEPIGADLPGAELALRLVREAYAMLSDPEKREGFDSRDIFARFVRSGVVDAPTPDSTMVHSNKLNSLHTKDTMTADFTSNAVSHVQHTDKSCFDERNSHLSNVASSSRTKRMDPCFLGDDGDLQPPDDNHVDKKQKSVCEEDLYCVSPSQEDLDAFFDVPSDAKDEQCSSKQYDYHNFEEDRAIENFAAGQVWAAYDWERFPRRYALITKVLMDKMQLCVSWFNPCLQSDEERKWSRAGLPLVCGTFAAEECHISLACPTIFCHQISSDNLNQHLEVYPQEGEVWAIYSDWDIGWCTDPEMWKKSAFSIVEILTSYSKESGCTVAHLVKVDGHGSVFQRHLKSGTEHLLQIRSDNLLMFSHRIPSFRFTPEAGTMFELEHSAVPQTLRQEHALPCIPPLSFSGLHDDTNSFPETSVAQFSNPSTSKMDSGAPLPATVSCNNKLSRNDFLEGQIWAVFDSRDRMPRSYVRIIRVVSLTSVFVLKLEPHPMLNEEIRWVEDGLPVASGVFRAGTETTYKDIWEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKVTLNSTDIDNCEIRMVEILSNYSDENGVNVCSLVRVKGCLTFFHRLVMEDFHLTRWIPRSEMLR >PAN26716 pep chromosome:PHallii_v3.1:5:2068871:2073062:1 gene:PAHAL_5G033300 transcript:PAN26716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDAEAAQRDAITGRMRGQDYAGARALLLQTLQTNPRLEGALEMLPVLEVLCAAAKALAGRGRGVDWYRVLQVLPGDDAARIEARYRSIVAQLEPIGADLPGAELALRLVREAYAMLSDPEKREGFDSRDIFARFVRSGVVDAPTPDSTMVHSNKLNSLHTKDTMTADFTSNAVSHVQHTDKSCFDERNSHLSNVASSSRTKRMDPCFLGDDGDLQPPDDNHVDKKQKSVCEEDLYCVSPSQEDLDAFFDVPSDAKDEQCSSKQYDYHNFEEDRAIENFAAGQVWAAYDWERFPRRYALITKVLMDKMQLCVSWFNPCLQSDEERKWSRAGLPLVCGTFAAEECHISLACPTIFCHQISSDNLNQHLEVYPQEGEVWAIYSDWDIGWCTDPEMWKKSAFSIVEILTSYSKESGCTVAHLVKVDGHGSVFQRHLKSGTEHLLQIRSDNLLMFSHRIPSFRFTPEAGTMFELEHSAVPQTLRQEHALPCIPPLSFSGLHDDTNSFPETSVAQFSNPSTSKMDSGAPLPATVSCNNKLSRNDFLEGQIWAVFDSRDRMPRSYVRIIRVVSLTSVFVLKLEPHPMLNEEIRWVEDGLPVASGVFRAGTETTYKDIWEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKVTLNSTDIDNCEIRMVEILSNYSDENGVNVCSLVRVKGCLTFFHRLVMEDFHLTRWIPRSEMLRFSHRVPAFIVVEIKGHDIPKGSLHLEPSALPTRIIH >PAN26714 pep chromosome:PHallii_v3.1:5:2068871:2073062:1 gene:PAHAL_5G033300 transcript:PAN26714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDAEAAQRDAITGRMRGQDYAGARALLLQTLQTNPRLEGALEMLPVLEVLCAAAKALAGRGRGVDWYRVLQVLPGDDAARIEARYRSIVAQLEPIGADLPGAELALRLVREAYAMLSDPEKREGFDSRDIFARFVRSGVVDAPTPDSTMVHSNKLNSLHTKDTMTADFTSNAVSHVQHTDKSCFDERNSHLSNVASSSRTKRMDPCFLGDDGDLQPPDDNHVDKKQKSVCEEDLYCVSPSQEDLDAFFDVPSDAKDEQCSSKQYDYHNFEEDRAIENFAAGQVWAAYDWERFPRRYALITKVLMDKMQLCVSWFNPCLQSDEERKWSRAGLPLVCGTFAAEECHISLACPTIFCHQISSDNLNQHLEVYPQEGEVWAIYSDWDIGWCTDPEMWKKSAFSIVEILTSYSKESGCTVAHLVKVDGHGSVFQRHLKSGTEHLLQIRSDNLLMFSHRIPSFRFTPEAGTMFELEHSAVPQTLRQEHALPCIPPLSFSGLHDDTNSFPETSVAQFSNPSTSKMDSGAPLPATVSCNNKLSRNDFLEGQIWAVFDSRDRMPRSYVRIIRVVSLTSVFVLKLEPHPMLNEEIRWVEDGLPVASGVFRAGTETTYKDIWEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKVTLNSTDIDNCEIRMVEILSNYSDENGVNVCSLVRVKGCLTFFHRLVMEDFHLTRWIPRSEMLRFSHRVPAFIVVEIKGHDIPKGSLHLEPSALPTRIIH >PAN26719 pep chromosome:PHallii_v3.1:5:2068871:2073062:1 gene:PAHAL_5G033300 transcript:PAN26719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDAEAAQRDAITGRMRGQDYAGARALLLQTLQTNPRLEGALEMLPVLEVLCAAAKALAGRGRGVDWYRVLQVLPGDDAARIEARYRSIVAQLEPIGADLPGAELALRLVREAYAMLSDPEKREGFDSRDIFARFVRSGVVDAPTPDSTMVHSNKLNSLHTKDTMTADFTSNAVSHVQHTDKSCFDERNSHLSNVASSSRTKRMDPCFLGDDGDLQPPDDNHVDKKQKSVCEEDLYCVSPSQEDLDAFFDVPSDAKDEQCSSKQYDYHNFEEDRAIENFAAGQVWAAYDWERFPRRYALITKVLMDKMQLCVSWFNPCLQSDEERKWSRAGLPLVCGTFAAEECHISLACPTIFCHQISSDNLNQHLEVYPQEGEVWAIYSDWDIGWCTDPEMWKKSAFSIVEILTSYSKESGCTVAHLVKVDGHGSVFQRHLKSGTEHLLQIRSDNLLMFSHRIPSFRFTPEAGTMFELEHSAVPQTLRQEHALPCIPPLSFSGLHDDTNSFPETSVAQFSNPSTSKMDSGAPLPATVSCNNKLSRNDFLEGQIWAVFDSRDRMPRSYVRIIRVVSLTSVFVLKLEPHPMLNEEIRWVEDGLPVASGVFRAGTETTYKDIWEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKVTLNSTDIDNCEIRMVEILSNYSDENGVNVCSLVRVKGCLTFFHRLVMEDFHLTRWIPRSEMLRFSHRVPAFIVVEIKGHDIPKGSLHLEPSALPTRIIH >PAN28071 pep chromosome:PHallii_v3.1:5:7726538:7730171:1 gene:PAHAL_5G129500 transcript:PAN28071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLASIIDTVFRPLKDYCARTVGYVMSCGDYIDSMVHEMSELKSKRDDVQRMVDAAERQGMEATSQVTWWLERVSILEGRAEAIVTDFQERLELPPEQAPGVKATYLLSKMADEARAEAVDLKEKAEFQKVADELVQLRFEEMPSAPVLGRDALLQELDTCVRDGDVGIVGIYGIAGVGKTALLNKFNNDFLINSPDINVAIYIEVGKDYNLDDIQRIIGDRLGVSWENRTPKERAGVLYRVLSKMNFVLLLDDVWEPLNFRMLGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMECLPWEPAWELFREKVGDHLMSASPEIRHQAQALATKCGGLPLALITVGRAMASKRTAKEWKHAITVLKIAPWQLLGMELDVLEPLKKSYDNLPSDKLRLCLLYCSLFPEEFSISKDWIIGYCIGEGFIDDLYTEMDEIYNKGHDLLGDLKIASLLEKGEDEDYIKMHPMVRAMALWIASDFGEKETKWLVRAGVGLKEAPGAEKWSDAERISFMRNNILELYEKPECPLLKTLMLQGNPGLDKICDGFFQFMPSLRVLDLSHTSISELPSGISSLVQLQYLDLYNTNIRSLPRELGSLATLRFLLLSHMPLEMIPGGVICSLTMLQVLYMDLSYGDWKVGASGNGVEFQELENLRRLKALDITIQSPEALEQLSRSYRLAGSTRNILIKNCSSMTKIELPTSNLWKNMTNLKRVWIASCSNLAEVIIDGSKETVGSNALPRAILQARAELVDEEQPILPTLHDIILQGLHKVKIIYKGGCVQNLSSLFIWYCHGLEELITVSEEQDAAASGGEQASGVFRVITPFPNLRELYLHGLAKFRTLSSNTCTLHFPSLESLKIVECPNLKKLKLSAGGLNVIQCSREWWNGLEWDDAEVRASYEDLFRPLR >PAN29412 pep chromosome:PHallii_v3.1:5:13818023:13819304:-1 gene:PAHAL_5G224100 transcript:PAN29412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEREGAVVKKGHEEGLKMAVSLLEEFGLPLGLLPLADVIEVGFVRATGYMWIAQRKKVEHQFKLVGKQVSYDVEVTGYVQAKRIKKLKGVKAKELMLWPPVNEIAVDDPPTGKIHFKSLAGVTKTFPVEAFAAGQ >PAN27565 pep chromosome:PHallii_v3.1:5:5467791:5472438:1 gene:PAHAL_5G088700 transcript:PAN27565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSSSSTSSVPSSAHRRRLADVERAAAADCCGVPSDGSDDGSERRGHGAGAGGVKALLLFARRSGCKRVPVAGLAWVRSAVACLLGVAVVLVLVTSSRGGAAGGAGRPLVRRVDAGDGGALGWREENLTAVARRPPDPPMSQIWMKLDSEGYTKCIERPKNRYRTNSATAGYIIVSANGGLNQMRMGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKETLEDDIMIVDSLPPAYKRFKPYIRAPKSWAKASYYRAFTRTLKKVKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKQEIEELGNTLVERLRNRSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVQHWKEKEINSEERRLQGGCPMTPREAALFLKALGYPSSTKIYIVAGELYGVHSMDALKAEYPNVYTHHSLATVNELESLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRYEGFRKTINPDRQKLVELIDKLDEGTVNWNEFESEVKMHHGNRLGGPYQRWSGRSPRQEEYFYANPLPGCLCKRNTQDQVA >PAN27563 pep chromosome:PHallii_v3.1:5:5467791:5472438:1 gene:PAHAL_5G088700 transcript:PAN27563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDSLPPAYKRFKPYIRAPKSWAKASYYRAFTRTLKKVKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKQEIEELGNTLVERLRNRSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVQHWKEKEINSEERRLQGGCPMTPREAALFLKALGYPSSTKIYIVAGELYGVHSMDALKAEYPNVYTHHSLATVNELESLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRYEGFRKTINPDRQKLVELIDKLDEGTVNWNEFESEVKMHHGNRLGGPYQRWSGRSPRQEEYFYANPLPGCLCKRNTQDQVA >PAN27566 pep chromosome:PHallii_v3.1:5:5467449:5472438:1 gene:PAHAL_5G088700 transcript:PAN27566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDSLPPAYKRFKPYIRAPKSWAKASYYRAFTRTLKKVKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKQEIEELGNTLVERLRNRSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVQHWKEKEINSEERRLQGGCPMTPREAALFLKALGYPSSTKIYIVAGELYGVHSMDALKAEYPNVYTHHSLATVNELESLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRYEGFRKTINPDRQKLVELIDKLDEGTVNWNEFESEVKMHHGNRLGGPYQRWSGRSPRQEEYFYANPLPGCLCKRNTQDQVA >PVH37791 pep chromosome:PHallii_v3.1:5:5467449:5472438:1 gene:PAHAL_5G088700 transcript:PVH37791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIWMKLDSEGYTKCIERPKNRYRTNSATAGYIIVSANGGLNQMRMGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKETLEDDIMIVDSLPPAYKRFKPYIRAPKSWAKASYYRAFTRTLKKVKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKQEIEELGNTLVERLRNRSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVQHWKEKEINSEERRLQGGCPMTPREAALFLKALGYPSSTKIYIVAGELYGVHSMDALKAEYPNVYTHHSLATVNELESLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRYEGFRKTINPDRQKLVELIDKLDEGTVNWNEFESEVKMHHGNRLGGPYQRWSGRSPRQEEYFYANPLPGCLCKRNTQDQVA >PAN27564 pep chromosome:PHallii_v3.1:5:5467791:5472438:1 gene:PAHAL_5G088700 transcript:PAN27564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIWMKLDSEGYTKCIERPKNRYRTNSATAGYIIVSANGGLNQMRMGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKETLEDDIMIVDSLPPAYKRFKPYIRAPKSWAKASYYRAFTRTLKKVKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKQEIEELGNTLVERLRNRSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVQHWKEKEINSEERRLQGGCPMTPREAALFLKALGYPSSTKIYIVAGELYGVHSMDALKAEYPNVYTHHSLATVNELESLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRYEGFRKTINPDRQKLVELIDKLDEGTVNWNEFESEVKMHHGNRLGGPYQRWSGRSPRQEEYFYANPLPGCLCKRNTQDQVA >PAN31897 pep chromosome:PHallii_v3.1:5:40057516:40062886:-1 gene:PAHAL_5G356300 transcript:PAN31897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAALRPHTTLLSGGSGPIPSTTLQVLPFLSTLTFRRRGRSVLVSNASSSPSSPPTPEKEAVAEAVPVPTAESCVNLGLELFSKGRVRDALEQFENALELNPTPIEAQAALYNKACCHAYREESKKAAECLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLETSGNAAINIGGIVVLVALYFWENKKEEKQITQISRNETLSRLPVRLSTNRIIELVQLRDITRPVILAGSKASVTRALQRAERYRTELLKRGVLLIPVIFGASQKDQTKPRGFGTRRAAASAPSVGGDFEKRTESIAAKSRLKAEVRFKADVVSPEQWESWIRDQEESEGVTPGEDVYIILRLDGRVRRSGIGMPNWNDILQELPRLEDLMSKLER >PVH37814 pep chromosome:PHallii_v3.1:5:5916982:5918458:1 gene:PAHAL_5G096000 transcript:PVH37814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRSHTLRFALLKQSDAGREGAGDKYRAPDPRNRSGGGARARPRSQPCTRKKKGHLPTAGDAKLCFCLAFRAFLLGDAVLSVSASSTADTIASV >PAN32203 pep chromosome:PHallii_v3.1:5:55004668:55006685:-1 gene:PAHAL_5G471100 transcript:PAN32203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGISTKISTKRGEHLVQNGQHPYDEWEEQQPYWPSPRAPPVSPTESPRTPGGSHKKAVLGKVKSKAKKWMHMLHHKKKPAQEEMMWTPRAGPSAEDISIRAKEERRDAGYRGTPRKAQHPHFYTGDSERASEVFVEATPRQNSPVPSPTAHKEQPYFKISSRFESEMKEANEMLMESKQLRINTAKHKTVTFAPTIERELGGEKGGWNDRELSEAATEVVRNALATVYQVVLKVIATIQDTMVAYNIDRRHMLEKLIAVNRYLMSKLEPGQDDRILSEVVTDAILNLFDAWSECVEQPLVQRAKDLSSWFLYEGREETPPASLPTRPCAFEDAEEFYSLENEQQLGA >PAN32061 pep chromosome:PHallii_v3.1:5:54302834:54305203:1 gene:PAHAL_5G460000 transcript:PAN32061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSVSATSALLVRLFSPECEPQNIAAYDKPELKPE >PAN32062 pep chromosome:PHallii_v3.1:5:54302795:54305213:1 gene:PAHAL_5G460000 transcript:PAN32062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSVSATSALLVRLFSPECEPQNIAAYDKPELKPE >PAN28054 pep chromosome:PHallii_v3.1:5:7649835:7658518:1 gene:PAHAL_5G128100 transcript:PAN28054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNRPVAAGLLLAAGAVLAAALLLLCSTGSSENGNLARVGLPWGASSLTALPFSPTDVLPLLPRGVAMAALRALRGVSDIFPVFVGAASAVGPGSAPGGGGKVRWKGACFYENEAWLVFHNESGSRYGGGTLHIKTTKAHSWTCIDLYVFATPYRVTWDYYFLGREHTLDIKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTAWGENANLAFLKKHMGATFEERPKPWVTELNPDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSDGKLWVGESGNENEKGEDVIAILPWEEWWEFEVTKDDSNPQIALLPLHPDLRAKFNETAAWNYAKSMNGKPYGYHNLIFSWIDTISGNYPPPLDAHVVASVMTVWTKLQPEYAANMWKEALNKRLGTKDLDLPEIIVESEKRGITFDKLLTVPEKDNWVYKDGQSASCVAFVLMMYKEAGLFGPISSSIEVTEFTIKDAYTLNFFENNSTRLPKWCNKDDSVKLPFCQIKGRYRMELPGYNTMKPYAHMNERCPSLPPDYKRTKGC >PAN31488 pep chromosome:PHallii_v3.1:5:51859524:51865656:1 gene:PAHAL_5G422900 transcript:PAN31488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHYFKLEYVKHLPFVAGAMIDSHTTAEYWKSRTSDRLKIQMPQTYVVHLGNSKELMEVAEDDVARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYQSLQLIQQQKLKVPKMHAVVVGSDMNAQTKFETQLRDFVVKNGIHDRVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVAPLAKNMVRLASRAEQRAAMGKKGYGRVKERFMEHHMAERIAAVLKQVLQKSREHARS >PAN31490 pep chromosome:PHallii_v3.1:5:51860784:51865656:1 gene:PAHAL_5G422900 transcript:PAN31490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHYFKLEYVKHLPFVAGAMIDSHTTAEYWKSRTSDRLKIQMPQTYVVHLGNSKELMEVAEDDVARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYQSLQLIQQQKLKVPKMHAVVVGSDMNAQTKFETQLRDFVVKNGIHDRVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVAPLAKNMVRLASRAEQRAAMGKKGYGRVKERFMEHHMAERIAAVLKQVLQKSREHARS >PAN31487 pep chromosome:PHallii_v3.1:5:51859524:51865656:1 gene:PAHAL_5G422900 transcript:PAN31487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAFLLRHVGSQVVWITNQRSEETNDVRYSLEHKMLNHGVQVLPARGEEAVDTARKADLVILNTAVAGKWLDPVLKNHVPEVLPKILWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTAEYWKSRTSDRLKIQMPQTYVVHLGNSKELMEVAEDDVARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYQSLQLIQQQKLKVPKMHAVVVGSDMNAQTKFETQLRDFVVKNGIHDRVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVAPLAKNMVRLASRAEQRAAMGKKGYGRVKERFMEHHMAERIAAVLKQVLQKSREHARS >PAN31489 pep chromosome:PHallii_v3.1:5:51859524:51865656:1 gene:PAHAL_5G422900 transcript:PAN31489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPSSSAAAATGGRGPAHYRTRLLLLLLVAVAASASTAGFLLSGALRDPCDARGDPAAAAAGSPLGFMRSKLVLLVSHELSLSGGPLLLMELAFLLRHVGSQVVWITNQRSEETNDVRYSLEHKMLNHGVQVLPARGEEAVDTARKADLVILNTAVAGKWLDPVLKNHVPEVLPKILWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTAEYWKSRTSDRLKIQMPQTYVVHLGNSKELMEVAEDDVARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYQSLQLIQQQKLKVPKMHAVVVGSDMNAQTKFETQLRDFVVKNGIHDRVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVAPLAKNMVRLASRAEQRAAMGKKGYGRVKERFMEHHMAERIAAVLKQVLQKSREHARS >PAN27627 pep chromosome:PHallii_v3.1:5:5766645:5771471:1 gene:PAHAL_5G093400 transcript:PAN27627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPGYVTVPILTVLAAIGYVYYTTVFLAIPAWLGLTTAAGVANATAFTALAAACVATYAVAVSRDPGRVPASFVPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFILYAVIACFYSMVLIIGGAMHLPKDEQPGSDSPRTSIIVCGVLLCPLALALIVLLGWHVYLILHNKTTIEYHEGVRAMWLAEKAGNLYHHPYNLGIYENLVSVLICSAGFAQYQGTLETVFVSAHHMTFRQRHHQCDANQMSTSGRCAILLQSVLQWENVFRILQETRRGAMLSFKPRGINR >PAN27628 pep chromosome:PHallii_v3.1:5:5766960:5771017:1 gene:PAHAL_5G093400 transcript:PAN27628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPGYVTVPILTVLAAIGYVYYTTVFLAIPAWLGLTTAAGVANATAFTALAAACVATYAVAVSRDPGRVPASFVPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFILYAVIACFYSMVLIIGGAMHLPKDEQPGSDSPRTSIIVCGVLLCPLALALIVLLGWHVYLILHNKTTIEYHEGVRAMWLAEKAGNLYHHPYNLGIYENLVSVLGPNMLCWLCPISRNIGNGVRFRTSYDIPPATSPM >PVH38921 pep chromosome:PHallii_v3.1:5:48661678:48662058:1 gene:PAHAL_5G390500 transcript:PVH38921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDSITPELPELAADSKMMVPDSLLPGSFLCARCHLIHEDSQACNHAHSRRWPCSRCGLVHAEYRLGAMIYGLDEFDCELLIPDLDNIVMHGNTLMLPTHMLKMLDEKRERELAAGKNHAKAPVR >PVH39278 pep chromosome:PHallii_v3.1:5:54861347:54866616:1 gene:PAHAL_5G468800 transcript:PVH39278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWQPSHQWTVSASAEHIQVSVPCSISGVRTRPHIAPELRSMLSPPAAGGRFRVGGAIPWRLRPRSCLASPPASSGGGGGEPEKARPLLVERYRDGVTKRYMSDGNSKLQIRLEKHESSVNAVENEHADSLIPQAVRDFVLPAGFPESVTVDYLEYMLLQFPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLIGLSIGVLIMDTSGVKSSYLTLTLTWLSIRLLHLWLRYQSLSVLKFRTINLKRGRILVRSHVAQHTVPGYVVCNEEENILTWERFLHPQISFGVPMERMLGGEESSDMV >PVH39276 pep chromosome:PHallii_v3.1:5:54861200:54866616:1 gene:PAHAL_5G468800 transcript:PVH39276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWQPSHQWTVSASAEHIQVSVPCSISGVRTRPHIAPELRSMLSPPAAGGRFRVGGAIPWRLRPRSCLASPPASSGGGGGEPEKARPLLVERYRDGVTKRYMSDGNSKLQIRLEKHESSVNAVENEHADSLIPQAVRDFVLPAGFPESVTVDYLEYMLLQFPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLIGLSIGVLIMDTSGVKSSYLTLTLTWLSIRLLHLWLRYQSLSVLKFRTINLKRGRILVRSHVAQHTVPGYVVCNEEENILTWERFLHPQISFGVPMERMLGGEESSDMVDRLLKLYKNEKYVLFVEQFGSREPKFLVAFKESATSISVLRSLWQAHWLQKNLQNQDDVFSWLEDSILALEHGFTDFLEQMERAGWDQNQIILKVPKEPVLVSEYLNQDV >PVH39274 pep chromosome:PHallii_v3.1:5:54861336:54866616:1 gene:PAHAL_5G468800 transcript:PVH39274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGNSKLQIRLEKHESSVNAVENEHADSLIPQAVRDFVLPAGFPESVTVDYLEYMLLQFPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLIGLSIGVLIMDTSGVKSSYLTLTLTWLSIRLLHLWLRYQSLSVLKFRTINLKRGRILVRSHVAQHTVPGYVVCNEEENILTWERFLHPQISFGVPMERMLGGEESSDMVDRLLKLYKNEKYVLFVEQFGSREPKFLVAFKESATSISVLRSLWQAHWLQKNLQNQDDVFSWLEDSILALEHGFTDFLEQMERAGWDQNQIILKVPKEPVLVSEYLNQDV >PVH39272 pep chromosome:PHallii_v3.1:5:54861336:54866616:1 gene:PAHAL_5G468800 transcript:PVH39272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWQPSHQWTVSASAEHIQVSVPCSISGVRTRPHIAPELRSMLSPPAAGGRFRVGGAIPWRLRPRSCLASPPASSGGGGGEPEKARPLLVERYRDGVTKRYMSDGNSKLQIRLEKHESSVNAVENEHADSLIPQAVRDFVLPAGFPESVTVDYLEYMLLQFPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKIFKQAVARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLIGLSIGVLIMDTSGVKSSYLTLTLTWLSIRLLHLWLRYQSLSVLKFRTVRLCCLQ >PVH39271 pep chromosome:PHallii_v3.1:5:54861371:54865091:1 gene:PAHAL_5G468800 transcript:PVH39271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWQPSHQWTVSASAEHIQVSVPCSISGVRTRPHIAPELRSMLSPPAAGGRFRVGGAIPWRLRPRSCLASPPASSGGGGGEPEKARPLLVERYRDGVTKRYMSDGNSKLQIRLEKHESSVNAVENEHADSLIPQAVRDFVLPAGFPESVTVDYLEYMLLQFPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKIFKQAVARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLIGLSIGVLIMDTSGVKSSYLTLTLTWLSIRLLHLWLRYQSLSVLKFRTINLKRGRILVRSHVAQHTVPGYVVCNEEENILTWERFLHPQISFGVPMERMLGGEESSDMV >PVH39273 pep chromosome:PHallii_v3.1:5:54861336:54866616:1 gene:PAHAL_5G468800 transcript:PVH39273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWQPSHQWTVSASAEHIQVSVPCSISGVRTRPHIAPELRSMLSPPAAGGRFRVGGAIPWRLRPRSCLASPPASSGGGGGEPEKARPLLVERYRDGVTKRYMSDGNSKLQIRLEKHESSVNAVENEHADSLIPQAVRDFVLPAGFPESVTVDYLEYMLLQFPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLIGLSIGVLIMDTSGVKSSYLTLTLTWLSIRLLHLWLRYQSLSVLKFRTVMLFAMRKKTF >PVH39277 pep chromosome:PHallii_v3.1:5:54861347:54866616:1 gene:PAHAL_5G468800 transcript:PVH39277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWQPSHQWTVSASAEHIQVSVPCSISGVRTRPHIAPELRSMLSPPAAGGRFRVGGAIPWRLRPRSCLASPPASSGGGGGEPEKARPLLVERYRDGVTKRYMSDGNSKLQIRLEKHESSVNAVENEHADSLIPQAVRDFVLPAGFPESVTVDYLEYMLLQFPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLIGLSIGVLIMDTSGVKSSYLTLTLTWLSIRLLHLWLRYQSLSVLKFRTINLKRGRILVRSHVAQHTVPGYVVCNEEENILTWERFLHPQISFGVPMERMLGGEESSDMV >PVH39275 pep chromosome:PHallii_v3.1:5:54861927:54866206:1 gene:PAHAL_5G468800 transcript:PVH39275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGNSKLQIRLEKHESSVNAVENEHADSLIPQAVRDFVLPAGFPESVTVDYLEYMLLQFPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKIFKQAVARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLIGLSIGVLIMDTSGVKSSYLTLTLTWLSIRLLHLWLRYQSLSVLKFRTINLKRGRILVRSHVAQHTVPGYVVCNEEENILTWERFLHPQISFGVPMERMLGGEESSDMVDRLLKLYKNEKYVLFVEQFGSREPKFLVAFKESATSISVLRSLWQAHWLQKNLQNQDDVFSWLEDSILALEHGFTDFLEQMERAGWDQNQIILKVPKEPVLVSEYLNQDV >PAN27192 pep chromosome:PHallii_v3.1:5:4048311:4051480:-1 gene:PAHAL_5G065400 transcript:PAN27192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNMNSRSLVCPPCNCAQMALADTRIAYQPDVDKHAGVLAYELVQGSLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASLKKRGPHTVASTALDVLKLVVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRAWVLDATPGKVRAGGDGEDHPAELIEFLRRMPKQVSSKQEVVDALVKEQFSVDVARWVATNLRRSSPLGQQSSTSFSWTFDLNGISEMYKSYEDTNLWRIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIETTIRGMQD >PAN27194 pep chromosome:PHallii_v3.1:5:4048311:4052450:-1 gene:PAHAL_5G065400 transcript:PAN27194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADTRIAYQPDVDKHAGVLAYELVQGSLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASLKKRGPHTVASTALDVLKLVVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRAWVLDATPGKVRAGGDGEDHPAELIEFLRRMPKQVSSKQEVVDALVKEQFSVDVARWVATNLRRSSPLGQQSSTSFSWTFDLNGISEMYKSYEDTNLWRIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIETTIRGMQD >PAN27193 pep chromosome:PHallii_v3.1:5:4048694:4052223:-1 gene:PAHAL_5G065400 transcript:PAN27193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPRAFPAEARRAALGAASLSAPRRGARVRAARPREENFYTHRLLMSSNMNSRSLVCPPCNCAQMALADTRIAYQPDVDKHAGVLAYELVQGSLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASLKKRGPHTVASTALDVLKLVVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRAWVLDATPGKVRAGGDGEDHPAELIEFLRRMPKQVSSKQEVVDALVKEQFSVDVARWVATNLRRSSPLGQQSSTSFSWTFDLNGISEMYKSYEDTNLWRIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIETTIRGMQD >PAN30207 pep chromosome:PHallii_v3.1:5:35901988:35909608:1 gene:PAHAL_5G338200 transcript:PAN30207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGQSKIDQEESVCRCRDRKRLMADAVVARNAFAAAHTGYTVRLKSTGGALSDFAHGESPDPSLVASHSHHTAAAAAAAAAAASVSAPPGPSTASVITAPSPPPPPFPDFSHSSLQRSSSTPNIPMPDQRAATRNRPPADAAIREEEDEEEEDDGHIGSDSDDDDEDDSDDDDGDDDHHEHDDVSVEGMVHGQPLKRVVMDSVGSSPVTPPPPPRLNQTPPTPATATPPPPVPESQMATWDYFFGPTPTPPPTLEQPADDTWMERREKEPVAEVKLPVAKPAVSEPAAAEERLPQTAAEKEKAIEEMVANLPPSKPIVRKSPKTSGPPATVHYQHASSMGAVETRKGKMMVASATASLLQIVSQLDDHFLRASESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPDHDDLNDNFEIDDRFETHAAVLDRMLAWEKKLYDEVKAGELMKIDYQKKVTLLQKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDRQLFPKLVELVDGMAKMWSAMHRHHRNQFMIISGIRGFEIPPVPRETTDLHYNQTCELRDIVREWHMQFEKLMDNQKAYIRALNAWLKLNLIPIESNIKEKVSSPPRLVDPPIKNLLHAWHDELERLPIELAKTAIKTFAEVISNIVHLQEEEVNLRRRCDETRRDLSRKRAQFEDWHQKYKERRAALGEDVNPEAAEVQNVDPVEDRKRAIEELEIRLSEEEGHHLRHARQVREKSLANLRTHLPELFRNMADFSYFCHDMYTNLRKAAAPPKDAVHG >PAN26890 pep chromosome:PHallii_v3.1:5:2885523:2886429:-1 gene:PAHAL_5G045200 transcript:PAN26890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLLGACCVLLAAILLVAAAAASARADGESGIRQPSGEYRGRKVGARTEVRDVERDGEVQELGRFSVAEYNRRHVGGGERLEFGRVVAAQRQVVSGLKYYLRVAAAAENGGGERVFDAVVVVKPWLESRTLLRFAPAAPK >PVH39077 pep chromosome:PHallii_v3.1:5:52201492:52202947:-1 gene:PAHAL_5G428200 transcript:PVH39077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALGDMKELVVLLGGCPRVGRQPYSAYLFMESCMFGRQMEKEQIVSFLLQPARDLDVLPIIGPREVGKRTLVEHACLEERVRDHFAKIHRLCGDDLDVQGKEPLRGVVDTAQRSLIVIEETHSGGALVPVQSVGVRRRRPGGAPGARARRHGAVRGHPGPHAVRRGDQDRRVAPRRPERSVLAPRAEGVPRGDGAAARRRRPWGSWSSGGEGRVLPPRRAGEGWA >PAN26816 pep chromosome:PHallii_v3.1:5:2583879:2586647:-1 gene:PAHAL_5G040500 transcript:PAN26816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGIVLVVAGVADRSATPSPFAAQPSSIACFFSGMGLGFGRKEAGSHAASFLLGAALPTALLFLLASDRLGEGLSSISRSWGSGAVPPAGDGANEVIFKGLPELLPRVAMEDRTVIITSVNEAWAQPGSLLDLYLDSFRNGVDIAHLLNHLLVVALDARGFERCKAVHRHCYFLNAPSLDMSSAKPFMSPDYLELVWTKLTFQQRVLELGYNFLFTDCDMVWFRNPFRHFPVYADMSCSSDDFKPSRAPLDNPLNTGLYYMKSTNRTIQMMRYWRAARERFPGQHDQAVFVNIRHELVSKLQVKIEPLETVYFGGFCEYHDDPEKVCTIHACCCIGLDTKVHDLKDVAADWKNYTSLTPEQRQKGGFKWSYPTRCRNSIGWRRP >PVH37599 pep chromosome:PHallii_v3.1:5:2584042:2586558:-1 gene:PAHAL_5G040500 transcript:PVH37599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFGRKEAGSHAASFLLGAALPTALLFLLASDRLGEGLSSISRSWGSGAVPPAGDGANEVIFKGLPELLPRVAMEDRTVIITSVNEAWAQPGSLLDLYLDSFRNGVDIAHLLNHLLVVALDARGFERCKAVHRHCYFLNAPSLDMSSAKPFMSPDYLELVWTKLTFQQRVLELGYNFLFTNNRWSMDQDCDMVWFRNPFRHFPVYADMSCSSDDFKPSRAPLDNPLNTGLYYMKSTNRTIQMMRYWRAARERFPGQHDQAVFVNIRHELVSKLQVKIEPLETVYFGGFCEYHDDPEKVCTIHACCCIGLDTKVHDLKDVAADWKNYTSLTPEQRQKGGFKWSYPTRCRNSIGWRRP >PAN26817 pep chromosome:PHallii_v3.1:5:2584042:2586647:-1 gene:PAHAL_5G040500 transcript:PAN26817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSASFGDGVMITGCFLFNFNELDLERGRNGLWGAMINEPFDRPSLTIGPDEWAMQVIFKGLPELLPRVAMEDRTVIITSVNEAWAQPGSLLDLYLDSFRNGVDIAHLLNHLLVVALDARGFERCKAVHRHCYFLNAPSLDMSSAKPFMSPDYLELVWTKLTFQQRVLELGYNFLFTDCDMVWFRNPFRHFPVYADMSCSSDDFKPSRAPLDNPLNTGLYYMKSTNRTIQMMRYWRAARERFPGQHDQAVFVNIRHELVSKLQVKIEPLETVYFGGFCEYHDDPEKVCTIHACCCIGLDTKVHDLKDVAADWKNYTSLTPEQRQKGGFKWSYPTRCRNSIGWRRP >PVH37598 pep chromosome:PHallii_v3.1:5:2584029:2586625:-1 gene:PAHAL_5G040500 transcript:PVH37598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEPFDRPSLTIGPDEWAMQVIFKGLPELLPRVAMEDRTVIITSVNEAWAQPGSLLDLYLDSFRNGVDIAHLLNHLLVVALDARGFERCKAVHRHCYFLNAPSLDMSSAKPFMSPDYLELVWTKLTFQQRVLELGYNFLFTDCDMVWFRNPFRHFPVYADMSCSSDDFKPSRAPLDNPLNTGLYYMKSTNRTIQMMRYWRAARERFPGQHDQAVFVNIRHELVSKLQVKIEPLETVYFGGFCEYHDDPEKVCTIHACCCIGLDTKVHDLKDVAADWKNYTSLTPEQRQKGGFKWSYPTRCRNSIGWRRP >PVH39253 pep chromosome:PHallii_v3.1:5:54632158:54633201:1 gene:PAHAL_5G464800 transcript:PVH39253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKSAFPTEMRTNMHVWTEQMNRFHALRNKGNRALFKVSRSQHNTKRVMKLLDDILKEDVEDNGTEEETSFEPLSAHFSVANQSSSNKVLDAINIVTRGASRSNKRWEEAMNSGVLTEGDEEQQNFI >PAN30970 pep chromosome:PHallii_v3.1:5:47553289:47556788:-1 gene:PAHAL_5G382500 transcript:PAN30970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFSSSFTTAALTAILALLPTVAIGAGLKVGFYNKSCPSAEALVQQAVAAAFKNNSGIAAGLIRLHFHDCFVRGCDGSVLIDTTANNTAEKDAVPNNPSLRGFEVIDAAKKAIEARCPRTVSCADIVAFAARDSVALAGNNLTYKVPAGRRDGRISTAADASNNLPSPLSNATELVGNFTRKGLTAEDMVVLSGAHTVGRSHCSSFTNRLYGFSNASDVDPTISSAYAFLLRSICPSNSSQFVPNTTTDMDLMTPAVLDNKYYLGLANNLGLFTSDQALLTNATLKKSVDEFVKSESRWKNKFVKAMVKMGSIEVLTGTQGEIRLNCRVINSGSSAAGIELQMTTTSSGDDSAEQFTKIATK >PAN29679 pep chromosome:PHallii_v3.1:5:15324501:15331537:-1 gene:PAHAL_5G243700 transcript:PAN29679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADPLCAISSPTRLLPRTLGPAGPAASPSKAREVLLEAISRARPLKGSNELVDQARMVLKGHGDIQMLYHDDGVKAGGPANGKKDQQGRRPGLDRKRGRFTHKALESKGVPAVDRSNILKIKDPNEYYKKLDDLEEAEKEIRRLNGEVVDDITMNFDPVVEPKRRSTLLGRKSLRTFKLIDDADTQDPIDISASQTGTVTGSQLSQDDAHASAAGKNEQFVPSRPDQCAISDVSEKEDSLAEKDRDNLSYLLTSLQNIDEPDEEEFFRKTLGIGKIRKEKVCLRNSIPGDRSQRSNTVRNNSMRVPPPESSLPQSCQSRVSELEKHLFPGDAANDKCADLQEDDESEGSPDIVMGEQPLVPDSSDVLMTDETFTAIEIDKVTPNLSVKAAEHVIYPEPNMADCAEERQTGGSPLGLCTASEYDRETPNPGVKATGHVLDPEPSIPDHADERQAGGSPLGLYSDREVAKEKAACSRSNISMEEDNMPIDHPIHMSNNEVEVSSSHHLEGSSAEVLVRTSVRNVASDGIDQTSHAAEYNIQHLEVVEEDGVIRDNSSHPSEILLEDIDQANQSQMHGGNNKKSAADLRNAQAPIKEKKRQAAQKGKKKQQLKRSQKVADESNHSLEISQENFDSENQPHTDENIERQTVATNSALSPNKAKGQKGAQRRNRTKQSNQRKSLGDAGLAWQSGVRRSTRIRSRPLEHWLGERFVYGRIHDTMATVIGIKAYSPGQDGKKTLKVKSFVPEQYSDLVTESAKY >PAN29680 pep chromosome:PHallii_v3.1:5:15323884:15331573:-1 gene:PAHAL_5G243700 transcript:PAN29680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADPLCAISSPTRLLPRTLGPAGPAASPSKAREVLLEAISRARPLKGSNELVDQARMVLKGHGDIQMLYHDDGVKAGGPANGKKDQQGRRPGLDRKRGRFTHKALESKGVPAVDRSNILKIKDPNEYYKKLDDLEEAEKEIRRLNGEVVDDITMNFDPVVEPKRRSTLLGRKSLRTFKLIDDADTQDPIDISASQTGTVTGSQLSQDDAHASAAGKNEQFVPSRPDQCAISDVSEKEDSLAEKDRDNLSYLLTSLQNIDEPDEEEFFRKTLGIGKIRKEKVCLRNSIPGDRSQRSNTVRNNSMRVPPPESSLPQSCQSRVSELEKHLFPGDAANDKCADLQEDDESEGSPDIVMGEQPLVPDSSDVLMTDETFTAIEIDKVTPNLSVKAAEHVIYPEPNMADCAEERQTGGSPLGLCTASEYDRETPNPGVKATGHVLDPEPSIPDHADERQAGGSPLGLYSDREVAKEKAACSRSNISMEEDNMPIDHPIHMSNNEVEVSSSHHLEGSSAEVLVRTSVRNVASDGIDQTSHAAEYNIQHLEVVEEDGVIRDNSSHPSEILLEDIDQANQSQMHGGNNKKSAADLRNAQAPIKEKKRQAAQKGKKKQQLKRSQKVADESNHSLEISQENFDSENQPHTDENIERQTVATNSALSPNKAKGQKGAQRRNRTKQSNQRKSLGDAGLAWQSGVRRSTRIRSRPLEHWLGERFVYGRIHDTMATVIGIKAYSPGQDGKKTLKVKSFVPEQYSDLVTESAKY >PVH38361 pep chromosome:PHallii_v3.1:5:15324093:15331537:-1 gene:PAHAL_5G243700 transcript:PVH38361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADPLCAISSPTRLLPRTLGPAGPAASPSKAREVLLEAISRARPLKGSNELVDQARMVLKGHGDIQMLYHDDGVKAGGPANGKKDQQGRRPGLDRKRGRFTHKALESKGVPAVDRSNILKIKDPNEYYKKLDDLEEAEKEIRRLNGEVVDDITMNFDPVVEPKRRSTLLGRKSLRTFKLIDDADTQDPIDISASQTGTVTGSQLSQDDAHASAAGKNEQFVPSRPDQCAISDVSEKEDSLAEKDRDNLSYLLTSLQNIDEPDEEEFFRKTLGIGKIRKEKVCLRNSIPGDRSQRSNTVRNNSMRVPPPESSLPQSCQSRVSELEKHLFPGDAANDKCADLQEDDESEGSPDIVMGEQPLVPDSSDVLMTDETFTAIEIDKVTPNLSVKAAEHVIYPEPNMADCAEERQTGGSPLGLCTASEYDRETPNPGVKATGHVLDPEPSIPDHADERQAGGSPLGLYSDREVAKEKAACSRSNISMEEDNMPIDHPIHMSNNEVEVSSSHHLEGSSAEVLVRTSVRNVASDGIDQTSHAAEYNIQHLEVVEEDGVIRDNSSHPSEILLEDIDQANQSQMHGGNNKAPIKEKKRQAAQKGKKKQQLKRSQKVADESNHSLEISQENFDSENQPHTDENIERQTVATNSALSPNKAKGQKGAQRRNRTKQSNQRKSLGDAGLAWQSGVRRSTRIRSRPLEHWLGERFVYGRIHDTMATVIGIKAYSPGQDGKKTLKVKSFVPEQYSDLVTESAKY >PVH38360 pep chromosome:PHallii_v3.1:5:15323988:15331537:-1 gene:PAHAL_5G243700 transcript:PVH38360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADPLCAISSPTRLLPRTLGPAGPAASPSKAREVLLEAISRARPLKGSNELVDQARMVLKGHGDIQMLYHDDGVKAGGPANGKKDQQGRRPGLDRKRGRFTHKALESKGVPAVDRSNILKIKDPNEYYKKLDDLEEAEKEIRRLNGEVVDDITMNFDPVVEPKRRSTLLGRKSLRTFKLIDDADTQDPIDISASQTGTVTGSQLSQDDAHASAAGKNEQFVPSRPDQCAISDVSEKEDSLAEKDRDNLSYLLTSLQNIDEPDEEEFFRKTLGIGKIRKEKVCLRNSIPGDRSQRSNTVRNNSMRVPPPESSLPQSCQSRVSELEKHLFPGDAANDKCADLQEDDESEGSPDIVMGEQPLVPDSSDVLMTDETFTAIEIDKVTPNLSVKAAEHVIYPEPNMADCAEERQTGGSPLGLCTASEYDRETPNPGVKATGHVLDPEPSIPDHADERQAGGSPLGLYSDREVAKEKAACSRSNISMEEDNMPIDHPIHMSNNEVEVSSSHHLEGSSAEVLVRTSVRNVASDGIDQTSHAAEYNIQHLEVVEEDGVIRDNSSHPSEILLEDIDQANQSQMHGGNNKAPIKEKKRQAAQKGKKKQQLKRSQKVADESNHSLEISQENFDSENQPHTDENIERQTVATNSALSPNKAKGQKGAQRRNRTKQSNQRKSLGDAGLAWQSGVRRSTRIRSRPLEHWLGERFVYGRIHDTMATVIGIKAYSPGQDGKKTLKVKSFVPEQYSDLVTESAKY >PVH38362 pep chromosome:PHallii_v3.1:5:15328552:15331537:-1 gene:PAHAL_5G243700 transcript:PVH38362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADPLCAISSPTRLLPRTLGPAGPAASPSKAREVLLEAISRARPLKGSNELVDQARMVLKGHGDIQMLYHDDGVKAGGPANGKKDQQGRRPGLDRKRGRFTHKALESKGVPAVDRSNILKIKDPNEYYKKLDDLEEAEKEIRRLNGEVVDDITMNFDPVVEPKRRSTLLGRKSLRTFKLIDDADTQDPIDISASQTGTVTGSQLSQDDAHASAAGKNEQFVPSRPDQCAISDVSEKEDSLAEKDRDNLSYLLTSLQNIDEPDEEEFFRKTLGIGKIRKEKVCLRNSIPGDRSQRSNTVRNNSMRVPPPESSLPQSCQSRVSELEKHLFPGDAANDKCADLQEDDESEGSPDIVMGEQPLVPDSSDVLMTDETFTAIEIDKVTPNLSVKAAEHVIYPEPNMADCAEERQTGGSPLGLCTASEYDRETPNPGVKATGHVLDPEPSIPDHADERQAGGSPLGLYSDREVAKEKAACSRSNISMEEDNMPIDHPIHMSNNEVEVSSSHHLEGSSAEVLVRTSVRNVASDGIDQTSHAAEYNIQHLEVVEEDGVIRDWICYFP >PAN28089 pep chromosome:PHallii_v3.1:5:7754160:7758403:-1 gene:PAHAL_5G130100 transcript:PAN28089 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like [Source:Projected from Arabidopsis thaliana (AT1G11570) TAIR;Acc:AT1G11570] MDGQPGSGGGAGDDDCDAVARAFVEYYYRTFDANRGALAALYGPHTSVLSFEGHAVAGAEEIGRKLAQLPFDQCRHSVSTVDCQPSPSLPGSILVFVSGNLQLAGEDHQLRFSQMFQLVPNEQGSFFVQNDIFRLNYG >PAN28618 pep chromosome:PHallii_v3.1:5:10089666:10091027:1 gene:PAHAL_5G166300 transcript:PAN28618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFSGATGLPVYREEDDEELFETSSSISGDSDDEDQFSDGEGAGALEHQFMQQAASPAQQPVRRLNSDSLYDLSSMMAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKETPYKKIKPSRSYVALDEEQECYMPGPNSRGIAKKPSGSSCANLAARNNSKNMYRPPPIPVNKSGYHQ >PVH39068 pep chromosome:PHallii_v3.1:5:52151326:52151984:1 gene:PAHAL_5G427400 transcript:PVH39068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLNLEAEALDVAKMMEAEAVVAEEGVELVAKVVTKVGGVAVAEAGRHIGECRFLRPVAVLHRLLRTWTMELELVGCFP >PAN28425 pep chromosome:PHallii_v3.1:5:9010281:9013326:1 gene:PAHAL_5G150200 transcript:PAN28425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g33760 [Source:Projected from Arabidopsis thaliana (AT2G33760) UniProtKB/Swiss-Prot;Acc:P93011] MGEKPFLVPLFPVLKPQDPRARQKKMANSSIQFEPSSFSMNPHHRSPEYNALLLAGPRLGPLKQAHARLVVAGYASSLPLTTKLATLAVAAGAASYAHLLAVSHPAPDSFLFCTLTRAAAHRGLPAAALTFYRSLLTAALPFSSFAFTAVAKACADLSALRVGMAVHAHAILFGFGSDGFVQTALVVLYSKCGQLAVARKLFDAIRDRSVVAWNVMISGYEQNGLAERAIEVYKEMQMAGEAPDSATFVATLSACAQAGALDLGREVERRIVSERMDMSVFLGAALVNMYVRCGLVNKAREWFDKLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRHEGPPPNDVTFVAVLSACAHAGLVSEGRDAFATMKGVYGLIPRAEHYCSMVDMYGRAGLLDDAMQFIHDSIPGEPGPEVWTAMLGACKMHKNFNLGVEVAERLIALEPENPSHRVLLSNIYALSGKMNHVEKVRNTMIKRRMKKQIGYSLIEIGGIAHLFRMGEKSHPQTRDIYQYLEELIHRITDAGYVPETDSVLHELEEEEREFALRYHGEKLAVAFGLMMSAGSTAPIRIIKNLRICGDCHLAIKYMSAVENREIIVRDKHRFHHFKDGKCSCQEYW >PAN31705 pep chromosome:PHallii_v3.1:5:52825785:52831487:1 gene:PAHAL_5G438900 transcript:PAN31705 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MDEEDDDQRLLHSLGVTSANIEDIEKKILSQAQADPKHDVEEVAAANDHERGDDAVPEADVQARLHQKLHSVQLEIDAVASTIKRAKHASGKQVDSSDSGDTQDKKKQKRAEHTSQDDPHGGALQQALAAERLKSLKKAKAQIQKEITQLEPCPSGSDKRKDKMLAMPVEEEPRRKKKTLMPSRGPKKMSAPKLKTMSYNDDDDFDSVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPGPSHRQNDPSDQVGETMEASRIAKFAQSMQQIAQSRPMTKLLDPESLPKLDAPTAPFQRLGRPLKRPVSPSSEEQEKKRRRNKTKRPLPNKKWRKANLLKESLLETDDEDDGVIAASVSEDEDQAAEGFDGLPPVILEGGLRIPGTIYEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLTFLGSLHNSGMYKPSIVICPVTLLQQWQREASRWYPKFKVKILHDSVNGSSKKSKPYNDSDSEASWDSDSEEVKRAKPAKKWDDLISSVVNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKDQGHRVLLFTQTQQMLDILESFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTAYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQRRFFKARDMKDLFTLQDDEGNGLTETSNIFSQLSKDVNIRVPNEGQQDQVHIASTLPSTSESEPSNGGNGKVDENSDQADEESNILKSLFDAQGIHSAINHDAIMNANDDQKVRLEAEASQVAQRAAEALRQSRMLRSRDSFAVPTWTGRSGAAGAPSSVRRKFGSTVNSQLISSSQPSETSSSRSQSLPVGALNGKALSSAELLAKIRGTREGAASDALEHQLNRGSGSDHIPGPSGNGVRSSNSSNRSMIVQPEVLIRQLCTFIQQNGGSASSTSITEHFKSRIQSKDMLLFKNLLKEIATLQRGADGATWVLKPDYE >PVH38035 pep chromosome:PHallii_v3.1:5:9551800:9552189:-1 gene:PAHAL_5G158100 transcript:PVH38035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPANHPPLRGCDPRSARLPGYAYAPARAAERRPNHPSSSLRDPAKPRGEEPPPARRSAHAPRHRPLSLCLPHGASVLTQPFASILSIHPPTRRRRPNSTHRLPASATSPHASGHGLPLYHHASNLRHA >PVH39501 pep chromosome:PHallii_v3.1:5:58057058:58058932:1 gene:PAHAL_5G520300 transcript:PVH39501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTAVLILFLLSVARHGCPQSYNAIYSFGDSISDTGNLCTGSGGCPSWLTTGQPPYGNTHFGRPTGRCTDGRVVVDFLAEHFGLPLLPPSKARGGDLKKGANMAIIGATAMDFEFFKSHGLGNSIWNNGPLGTQIQWFQQLMPSICGSDCKSYMNNSLFVVGEFGGNDYNAPLFGDKGMAEVRTYVPQIIDKITSSLEVPLQKTLIELGAVELVVPGVLPIGCFPLYLTLYPSWNKDDYDEIGCLKSFNNLSGYHNDLLKQAVSGLQSKHAGVRLIYADFYAQVADMVRSPENFGLKYGLRVCCGAGGQGSYNYNNRARCGMAGATACGDPEKHLVWDGIHLTDAAYRAVAGGWLNGTYCSPGILH >PAN32901 pep chromosome:PHallii_v3.1:5:58057058:58058932:1 gene:PAHAL_5G520300 transcript:PAN32901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTAVLILFLLSVARHGCPQSYNAIYSFGDSISDTGNLCTGSGGCPSWLTTGQPPYGNTHFGRPTGRCTDGRVVVDFLAEHFGLPLLPPSKARGGDLKKGANMAIIGATAMDFEFFKSHGLGNSIWNNGPLGTQIQWFQQLMPSICGSDCKSYMNNSLFVVGEFGGNDYNAPLFGDKGMAEVRTYVPQIIDKITSSLETLIELGAVELVVPGVLPIGCFPLYLTLYPSWNKDDYDEIGCLKSFNNLSGYHNDLLKQAVSGLQSKHAGVRLIYADFYAQVADMVRSPENFGLKYGLRVCCGAGGQGSYNYNNRARCGMAGATACGDPEKHLVWDGIHLTDAAYRAVAGGWLNGTYCSPGILH >PAN27500 pep chromosome:PHallii_v3.1:5:5230119:5236529:-1 gene:PAHAL_5G084900 transcript:PAN27500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQVAAVVTPPPCSSPSSSSPASPSSSAIAASPRHAVPGVRLGRSQSSLAAWSAGLGSRRRGGPPAIRRALSASIDSVGSDGGDDEEFLRRIQELTTGQHPGAGGCGWPASVERSASSVGLPLSLRMLKRRKQQQQQLEQGRWDERLMDRAGESARAAVGRAFASMVLIIRELQSFTLQMREALFYEDLQVVLARVHAEMHASFVWLFQHIFSGTPALMVSLMLLLANFTAYSMGDSIATAATLPPSQAAVAAVEMVDTQQPAEQSYSQQRFDPAALKTFSSTGRTASVGGNGDGGGKVRPVAGATGDGQSDESSRRRSGAVLPQDASQQATPLSAGSEASVSDSMAVEEAHPVQDELAIWKRISDEATRMQASVSAEELMDPEILEQLVAPVEAPAPDAEYSAEHAATAQRYEQAVSEEPNNSLLLANFAQFLYQVQGDHDRAEHFFKRAVRAEPADAEALGRYAAFLWQARNDLAAAEETYQEAIAADPSNAHHAAAYAHFLWNTGGEDTCYPLD >PAN27501 pep chromosome:PHallii_v3.1:5:5230121:5236529:-1 gene:PAHAL_5G084900 transcript:PAN27501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQVAAVVTPPPCSSPSSSSPASPSSSAIAASPRHAVPGVRLGRSQSSLAAWSAGLGSRRRGGPPAIRRALSASIDSVGSDGGDDEEFLRRIQELTTGQHPGAGGCGWPASVERSASSVGLPLSLRMLKRRKQQQQQLEQGRWDERLMDRAGESARAAVGRAFASMVLIIRELQSFTLQMREALFYEDLQVVLARVHAEMHASFVWLFQHIFSGTPALMVSLMLLLANFTAYSMGDSIATAATLPPSQAAVAAVEMVDTQQPAEQSYSQQRFDPAALKTFSSTGRTASVGGNGDGGGKVRPVAGATGDGQSDESSRRRSGAVLPQDASQQATPLSAGSEASVSDSMAVEEAHPVQDELAIWKRISDEATRMQASVSAEELMDPEILEQLVAPVEAPAPDAEYSAEHAATAQRYEQAVSEEPNNSLLLANFAQFLYQVQGDHDRAEHFFKRAVRAEPADAEALGRYAAFLWQARNDLAAAEETYQEAIAADPSNAHHAAAYAHFLWNTGGEDTCYPLD >PAN27483 pep chromosome:PHallii_v3.1:5:5161551:5163697:-1 gene:PAHAL_5G084000 transcript:PAN27483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGPRPGRELTDTVTTTTQPHSLTATPRSACHTHKKATQKWQSAAAPQASPLPCVTPTAGCSSLGSAGWPPCPEPMEAAAAVARRAGLLARARPGSRPRVRAWERGVGSAPPAARRRLLVASLGVGEPLPVQSSRGEEAVALEVLEDDDEANSTVPAGNLPYPDADEIALVPGSKMVRVKFVLKKQCAFGQQFLVVGDAPALGQWDPSKATALDWSEDHVWTAKTDLPANKLIEFKFLLRDASGHVCWQHGANRTLETTETTNILVVYEDWDHAMKQKVSEEEELSAGPEDVMFSDDLAGINGATLAHIIADENLKNQSAAVLADAPLQVVANETNQPKLMLDKDQTVLEELRGEAKTVAQKGRNGDGTTLCQEGAQLANRPPSILENDLAWAGKAMHQVLRILGFQIGTTKT >PAN28119 pep chromosome:PHallii_v3.1:5:7888069:7891603:-1 gene:PAHAL_5G132000 transcript:PAN28119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRTLSSLLLLLLAAAFTPSASTDTIYRNTTLTGNQTIVSAGGVYALGFFSPDGADGRTYLGIWYASIPGPTTVVWVANRQSPVLSSPAALQLTAAGRLAILDGSNDTVWSSAAPTAGNVTARAAAQLLDSGNLVLSADGSGSDHSVAWQSFDYPTDTLLPGMKLGVDARAGITRNITAWRSPSDPSPGDVTFRLVSGGLPQFFLLRGAKRIYTSGPWNGDILTGVPYLKAQAFTFRVVYSADETYYSYFIRDPSLLSRLVVDGAATQLKRFSLNNGAWSSFWYYPTDKCDFYAKCGPFGYCDPDRSPVCSCLPGFVPRLPDQWGQRDWSGGCVRSTNLSCDGGGDGFWVVNRMKLPQATDATVYAGMTLDQCRQACLGNCSCGAYAAANNSGGVGVGCVLWAVDLLDMRQYPIVVQDVYIRLAQSDIDALKASADNHQRSRKGRLIIIVAATASGVLLLLAAVGCCCFWMKKERKKHDSDDMTSVQQSTTATGDFALPYRPRSYPSLSPARGQQQPDEVSGETRYAEKDVDLPLFDLEVILVATDNFAEHKKIGAGGFGPVYMGVLEDGEQVAVKRLSQGSTQGAREFMNEVKLIAKLQHRNLVRLLGCCTDSDERMLVYEYMHNQSLDTFIFDEAKRRLLAWQKRFDIIVGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGLISIKSDVFSFGVLMLEIITGKRNRGSYEPELDVNLLGYAWMLWREGRSLELLDEALGGSFHHSRVLRCIQVALLCVEAQPRNRPLMSSVVTMLASENTVLPEPVEPGVNPGMSTSSDTESSRTRSATANYVTVTRLEARSNGHSSM >PAN28118 pep chromosome:PHallii_v3.1:5:7888296:7891417:-1 gene:PAHAL_5G132000 transcript:PAN28118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRTLSSLLLLLLAAAFTPSASTDTIYRNTTLTGNQTIVSAGGVYALGFFSPDGADGRTYLGIWYASIPGPTTVVWVANRQSPVLSSPAALQLTAAGRLAILDGSNDTVWSSAAPTAGNVTARAAAQLLDSGNLVLSADGSGSDHSVAWQSFDYPTDTLLPGMKLGVDARAGITRNITAWRSPSDPSPGDVTFRLVSGGLPQFFLLRGAKRIYTSGPWNGDILTGVPYLKAQAFTFRVVYSADETYYSYFIRDPSLLSRLVVDGAATQLKRFSLNNGAWSSFWYYPTDKCDFYAKCGPFGYCDPDRSPVCSCLPGFVPRLPDQWGQRDWSGGCVRSTNLSCDGGGDGFWVVNRMKLPQATDATVYAGMTLDQCRQACLGNCSCGAYAAANNSGGVGVGCVLWAVDLLDMRQYPIVVQDVYIRLAQSDIDALKASADNHQRSRKGRLIIIVAATASGVLLLLAAVGCCCFWMKKERKKHDSDDMTSVQQSTTATGDFALPYRPRSYPSLSPARGQQQPDEVSGETRYAEKDVDLPLFDLEVILVATDNFAEHKKIGAGGFGPVYMGVLEDGEQVAVKRLSQGSTQGAREFMNEVKLIAKLQHRNLVRLLGCCTDSDERMLVYEYMHNQSLDTFIFDEAKRRLLAWQKRFDIIVGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGLISIKSDVFSFGVLMLEIITGKRNRGSYEPELDVNLLGYAWMLWREGRSLELLDEALGGSFHHSRVLRCIQVALLCVEAQPRNRPLMSSVVTMLASENTVLPEPVEPGVNPGMSTSSDTESSRTRSATANYVTVTRLEAR >PVH38306 pep chromosome:PHallii_v3.1:5:13750439:13756354:1 gene:PAHAL_5G223400 transcript:PVH38306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MIGGSGRGRRRGRAPASLSTTTSARRTDHSVSFWYCDCKIYGFNDTLSSLGSKYARFMRGWFSIGAYFSLIALVGISLTSGHSISIMDTTIIIMSTILSIAFHEFGHAIAAASEGVEIEYVAIFVAVLFPGAFVALNYDLLQNRPLFSMLRIYCAGIWHNVVLCAVCVLMASLLPVVLYPLYVSGDGLMVTGIPRTSPLSEYLSVHDVILSVDGLKITRTDEWIKILNQDTTAKSSGPEFLEGSQRYVATNSGKGYCVPDSWVDASKNLWQIRDKLPCPAELIAFEKAISNDSAIFTEKIGRGSDQKEVEGTYCLIAKDVVKLRKCGNGWQTTEDDGTCFEDEYCLVPALTPGFSWIEVSYAKPYSLGCLQRGGNLSSSSHATNSDRGHSPCEGSFVYLGDLLSAAHSVRLSPYRPRWPLLLLADVPYILGNGLSSLLHASAALAVVNSIPVYYLDGEAILEASLSYVSWFSRRQRHEILKVCRFVWTVLSIITFSRIFYSTTLFYGFV >PVH38305 pep chromosome:PHallii_v3.1:5:13750439:13756354:1 gene:PAHAL_5G223400 transcript:PVH38305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MIGGSGRGRRRGRAPASLSTTTSARRTDHSVSFWYCDCKIYGFNDTLSSLGSKYARFMRGWFSIGAYFSLIALVGISLMLLWESIGVFYFRSGCLSAWLKNLLTSGHSISIMDTTIIIMSTILSIAFHEFGHAIAAASEGVEIEYVAIFVAVLFPGAFVALNYDLLQNRPLFSMLRIYCAGIWHNVVLCAVCVLMASLLPVVLYPLYVTGIPRTSPLSEYLSVHDVILSVDGLKITRTDEWIKILNQDTTAKSSGPEFLEGSQRYVATNSGKGYCVPDSWVDASKNLWQIRDKLPCPAELIAFEKAISNDSAIFTEKIGRGSDQKEVEGTYCLIAKDVVKLRKCGNGWQTTEDDGTCFEDEYCLVPALTPGFSWIEVSYAKPYSLGCLQRGGNLSSSSHATNSDRGHSPCEGSFVYLGDLLSAAHSVRLSPYRPRWPLLLLADVPYILGNGLSSLLHASAALAVVNSIPVYYLDGEAILEASLSYVSWFSRRQRHEILKVCRFVWTVLSIITFSRIFYSTTLFYGFV >PAN29399 pep chromosome:PHallii_v3.1:5:13750439:13756354:1 gene:PAHAL_5G223400 transcript:PAN29399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MIGGSGRGRRRGRAPASLSTTTSARRTDHSVSFWYCDCKIYGFNDTLSSLGSKYARFMRGWFSIGAYFSLIALVGISLMLLWESIGVFYFRSGCLSAWLKNLLTSGHSISIMDTTIIIMSTILSIAFHEFGHAIAAASEGVEIEYVAIFVAVLFPGAFVALNYDLLQNRPLFSMLRIYCAGIWHNVVLCAVCVLMASLLPVVLYPLYVSGDGLMVTGIPRTSPLSEYLSVHDVILSVDGLKITRTDEWIKILNQDTTAKSSGPEFLEGSQRYVATNSGKGYCVPDSWVDASKNLWQIRDKLPCPAELIAFEKAISNDSAIFTEKIGRGSDQKEVEGTYCLIAKDVVKLRKCGNGWQTTEDDGTCFEDEYCLVPALTPGFSWIEVSYAKPYSLGCLQRGGNLSSSSHATNSDRGHSPCEGSFVYLGDLLSAAHSVRLSPYRPRWPLLLLADVPYILGNGLSSLLHASAALAVVNSIPVYYLDGEAILEASLSYVSWFSRRQRHEILKVCRFVWTVLSIITFSRIFYSTTLFYGFV >PVH38304 pep chromosome:PHallii_v3.1:5:13750438:13756354:1 gene:PAHAL_5G223400 transcript:PVH38304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MIGGSGRGRRRGRAPASLSTTTSARRTDHSVSFWYCDCKIYGFNDTLSSLGSKYARFMRGWFSIGAYFSLIALVGISLMLLWESIGVFYFRSGCLSAWLKNLLTSGHSISIMDTTIIIMSTILSIAFHEFGHAIAAASEGVEIEYVAIFVAVLFPGAFVALNYDLLQNRPLFSMLRIYCAGIWHNVVLCAVCVLMASLLPVVLYPLYVSGDGLMVTGIPRTSPLSEYLSVHDVILSVDGLKITRTDEWIKILNQDTTAKSSGPEFLEGSQRYVATNSGKGYCVPDSWVDASKNLWQIRDKLPCPAELIAFEKAISNDSAIFTEKIGRGSDQKEVEGTYCLIAKDVVKLRKCGNGWQTTEDDGTCFEVYYLDGEAILEASLSYVSWFSRRQRHEILKVCRFVWTVLSIITFSRIFYSTTLFYGFV >PAN28220 pep chromosome:PHallii_v3.1:5:8321171:8324833:-1 gene:PAHAL_5G138800 transcript:PAN28220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPFFYFGHDDDQDGANEDYLTGLGLVLPPELPAGSAFDAYQRRRAPTLLESPLMMGRGRYNNSGGGANVHRRMFGYLRRIVRHDAAAGPVHPAPATLVPPPSQPPRPRSSSRFRHIMRERLRRERLSQGFADLHALLPPGASSKGGKNDIVGAAAGYIRELERRKGWLRARNQELLERAASRWSGGTARNAGSGDMVVKVRAESEDRAAAVDAFETVLRRLKAMGELRVTAIRSCFCAGGMWMNVGVEGQVSTREVDRAITNALMELAGNELVKKDPRSSKPSFSCQVESGVPMG >PAN30262 pep chromosome:PHallii_v3.1:5:39730864:39742185:-1 gene:PAHAL_5G355100 transcript:PAN30262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASSPPSWWVTMEACDLPSGSGAGLGEWAAFLFLSRCSQRVLLSAASAVFLVVLLCLGAAKLVSTRRQRERHGGDLNGGSGAAKEPLLDRGGGAATAVRFGPGFVVALAASAVLAAFYGVLLVLSLVTRGGALEAAFLALQCAAHLAAAAVVAHEKRFRAAAHPLALRLYWLAAPALTALLAGTAVARLASGVTDSLPDDALAVAALALSLPLPLLAISGATGIVSAASTSAGAAATPDAAGDDESSKDKNVTPYATASWASRASWAWMNPLVRRGYRAALELSDVPSLSPAHRPERMHELFTRHWPSSSSKEAGNPVRHTLLRSFWPLLLLNASLALLRLTVMYVGPTLIQSFVDYTAAVGQRPLGEGARLVATLLAAKCAEALCSHQYNFHCQKLGMQIRGALIVALYRKGLRLSCSARQRHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYTYLGPPVTSALVGVAGVMVFVLLGTRRNNRYQFSLMMERDKRMKATNEMLGNMRVIKFQAWEGHFDARIGRFRRLEFAWLSRFMYSISGNIVALWSAPVVVSALVFATCVLWGTRLDAGLVFTATSFFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSAELDDGAVEREPAAASGSDGAAVQVTGGVFAWDDEVEAGQEVLRGVDLDIRTGALAAVVGMVGSGKSSLLGCILGEMRKVSGKVKVCGSTAYVAQTAWIQNGTIEENILFGKPMHRERYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDVYLLDDVFSAVDAHTGTEIFKECVRGALKNKTVVLVTHQVDFLHNADIIYVMKDGMIVQSGKYDELLQPGSDFAALVAAHDSSMELVESAAPASERELPLSRQPSNKNVESSSSSSIVAPKAEKASARLIKEEERESGHVSFAVYKQYMTEAWGWWGPLVVVAVSVAWQCSLVASDYWLADETSEENAASFRPSLFINVYAIIAAVSVVLVTARAFLVAVIGLQTADKFFKQILNSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWMSVSMYITVISVLVVTCQVAWPSVVAIIPLVILNLWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKEDSFLQENLNRVNASLKMDFHNNGANEWLGFRLELIGSFVLCFTALLMVTLPSNFVKPEYVGLSLSYGLSLNQVLFWAIWISCFIENKMVSVERIKQFTNIPSEAAWRIKDCLPDANWPTKGDIDVVDLKFRYRHNTPLVLKGITISIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLEQYSDDEIWQALERCQLKEAVASKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKRSRILFMDEATASVDSQTDAVIQKIIREDFAACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDRPANLIERPSLFGALVQEYANRSSDV >PAN29826 pep chromosome:PHallii_v3.1:5:15993356:15997933:-1 gene:PAHAL_5G252100 transcript:PAN29826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGGGGGGDIGADSERRLKKAMDKLYHFPKPKPNGPGGSKPSSSSAPAPSSGRPVGKAAAEAGRRFGVVRGSRLPPQVAAMSAISPPPPCRPWDRADLMRRLGSFKAMTWFAKPKVISPVNCARRGWTNIEPDVITCEACGARLLFSTPSSWTTQQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLLALPRISCSSLEIMKKRSPQLEQFLSEPFSSSVVLKGRFVLTEDSTIKDLDDAFQDSDAYYQALKIISLCGWEPRLLPYAIDCGTESHSDVSSTPKLAKPQQINKTMEDRVILYSPNDANGARASADSNREDQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSNRQDDQDNGHANVVSGVGHSKDANIGFNFTIAGGPPPTRQSFRPKVSFPVVSRHLKADLNSRGNLLSSGSDSHMVPVASNASGSMKRKRSTDQPDLLEGDTDDVDTSSIGAKPHQPGDNSEKSIPNSEARQEQEQGGSHSDKDKDINIDGASSEEQPESGSPSRKSITSTDAALDQHGSEPRFSSAQGTNEEPSNGATLAETHANNSRPTELSTVTKSLVNKEKAAYGPSEKQGLYDRMNEFDPIKQHRTFCPWVSPDDSESLPGWRLTLTALLAQDRRSDGDSRGEVQIGLLDEDDDPLTSVRKLFMTPPPKRRRIQQSEKS >PAN29825 pep chromosome:PHallii_v3.1:5:15993355:15997933:-1 gene:PAHAL_5G252100 transcript:PAN29825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGGGGGGDIGADSERRLKKAMDKLYHFPKPKPNGPGGSKPSSSSAPAPSSGRPVGKAAAEAGRRFGVVRGSRLPPQVAAMSAISPPPPCRPWDRADLMRRLGSFKAMTWFAKPKVISPVNCARRGWTNIEPDVITCEACGARLLFSTPSSWTTQQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLLALPRISCSSLEIMKKRSPQLEQFLSEPFSSSVVLKGRFVLTEDSTIKDLDDAFQDSDAYYQQALKIISLCGWEPRLLPYAIDCGTESHSDVSSTPKLAKPQQINKTMEDRVILYSPNDANGARASADSNREDQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSNRQDDQDNGHANVVSGVGHSKDANIGFNFTIAGGPPPTRQSFRPKVSFPVVSRHLKADLNSRGNLLSSGSDSHMVPVASNASGSMKRKRSTDQPDLLEGDTDDVDTSSIGAKPHQPGDNSEKSIPNSEARQEQEQGGSHSDKDKDINIDGASSEEQPESGSPSRKSITSTDAALDQHGSEPRFSSAQGTNEEPSNGATLAETHANNSRPTELSTVTKSLVNKEKAAYGPSEKQGLYDRMNEFDPIKQHRTFCPWVSPDDSESLPGWRLTLTALLAQDRRSDGDSRGEVQIGLLDEDDDPLTSVRKLFMTPPPKRRRIQQSEKS >PAN29287 pep chromosome:PHallii_v3.1:5:13087364:13089205:-1 gene:PAHAL_5G214200 transcript:PAN29287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVQVDEKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRVTFENAERWLRELRDHTDANIVVMLVGNKADLRHLRAVSPEDAAAFAERHGTFSMETSALDATNVERAFAEVLRQIYHVVSRNALDIGEDPAAPPRGKTIDVGAAKDEVSPVNAGGCCSA >PVH37860 pep chromosome:PHallii_v3.1:5:6446134:6448407:1 gene:PAHAL_5G106400 transcript:PVH37860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGYAVVQPTYVWSNTSHFRLPVPVGGDEITTLGEALGTRIQWSKHRILIPPRTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQQQEKQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PVH38331 pep chromosome:PHallii_v3.1:5:14433639:14435909:-1 gene:PAHAL_5G231700 transcript:PVH38331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKECAAWVHLICSLQRRGAGSRPAMAIEDEERPLRILFFPFLAPGHLIPAADMAALFAARGVRCTILTTPGNAAVIRSAVDRANDASRGAESALAIDIAVVPFPDVGLPPGVESGPALNSEADRDKFFQAIQLLREPFDRFLAENRTDAVVSDSFFDWSVDAAAEHGVPRLGFLGTSLFARACSDCTVRHNPVEAAPEDPEAPVLLPGLPHRVEMRRSQMMEPKKMPDRWAFLQRVNAADQRSYGEVFNSFHELEPDYLEHYTTTLGRRAWLVGPVAFASKDVATRGTDDALSPDADGCLRWLDTKPAGSVAYVSFGTLSRFSPPELRELARGLDLSGKNFVWVVGGADTEESEWMPDRFAELLARGERGLVIRGWAPQMLILNHAAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFYNEKLVVELLKVGVGVGSTDYASKLEARRVIGGDVVAEAIGRVMGDGEEAEAIRERARVLGEKARRAVEKGGSSYDDVGRLMDELMARRGLTNV >PAN27498 pep chromosome:PHallii_v3.1:5:5225930:5227961:-1 gene:PAHAL_5G084800 transcript:PAN27498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFYYLAAVTFVLLVLLHHLLMGRGRRRRLPPGPRFALPILGHLPLLKKPLHASLADLAARYGPAVHLRLGSRHAVVIGSAGLAKECFSGDLDITIANRPHFPSVREASFDYSVITLANYGAPWRTMRRVATVHLLSAHRVNIMSDNVISRELRAMVRRLARASAATPGGAARVELKRRLFELSHSVLMEIMAQTRNTYSDDADEDMSKEAREMKDIVEAIVPLVGVANIWDYMPLLRWFDVYGVKKQLRDAVNRRNTFIYKMIDAEKEKLKQLERKNGKDDSDEKKSMIAVMLSLQKTEPDVYTDTFISALVANLLGAGTETTSTTMEWTMALLLNHPNALKKAQEEIDLNVGGGRLLDKNDLPHLPYLHCIITETLRLYPAAPMLLPHEASTDCKIHGYDVPAGSMVLVNAHAIHRDPATWEDPEEFRPERFEHGGAEGKFMMPFGMGRRKCPGENLAMRTMGLVLGVLLQCFDWSRIGDGEVDMATATGTIMFKAVPLEALCKPRANMSTILKKI >PAN27907 pep chromosome:PHallii_v3.1:5:6998991:6999809:-1 gene:PAHAL_5G116100 transcript:PAN27907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPLRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEATVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRNAWRLAINVPEP >PVH39437 pep chromosome:PHallii_v3.1:5:56742432:56744145:-1 gene:PAHAL_5G501600 transcript:PVH39437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIIMPQSEVDYVMSYELESCGPETEAWMEAFHPDLHALGENKDRRMLAHQEGFKRQIASKGYIAMMVEVADDDEEEDEDEGECEEEDEDEDYILDHETLEEPRN >PAN28135 pep chromosome:PHallii_v3.1:5:7939929:7945977:-1 gene:PAHAL_5G133400 transcript:PAN28135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGLSLVRRAAEAVRRTPRWQKRLVFFTVGVGTLTYACQDDQVLQICDGTGKKKKVVILGTGWAGASFLRNIDTSLYDIHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPVRNIVRKRNCAFRFWEAECFKIDPANKKVHCRSDVGTNIDGNGEFVVDYDYLIVTVGARPNTFNTPGVTENCHFLKEVEDAQNIRKSVLKCFERASLPNLSEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVRKYVNISVIEAGGHILTMFDKRITQFAEEKFKRDGIDLKTNFKVVKVSDKDITMTNPATGEIAVPYGMAVWSTGIGTRPIIMDFMKQVGQGNRRALATDEWLRVQGCEDVYALGDCATIAQRKVMEDVAAIFRVADKDNSGTLTVKKIKDVLGDICERYPQVELYLKSNQMKGFHDLLKDSDGNSKELKELDIEQFKKALAQVDSQVKMLPATAQVAAQEGLYLAKCFNRMKICEENPEGPLRIRGSGRHRFKPFRYRHLGQFAPLGGEQTAYQLPGDWVHVGHSTQWLWYSAYASMQFSWRTRMLVVSDWGRRFIFGRDSSSL >PAN32896 pep chromosome:PHallii_v3.1:5:58037165:58039936:-1 gene:PAHAL_5G519800 transcript:PAN32896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRLVLFGDSITEQSFESGGWGAALTDRFARQADVVLRGLSGYNTRWALKVLPRAMEGAADPAAVTVFFGANDASLPDQVQAHQNVPLDEYQSNLRAICGYFKERWPSTAIILITPPPIYEPARIRDIYGVDDPSRQAERTNEAAGSYAQACMAVAKELGHPVIDIWTKMQEFPDWQTSALSDGLHFTPTGNKILFDEVVKMLASIGFSKESLPSDLPLYHDIDPKDPMQAFGA >PVH38133 pep chromosome:PHallii_v3.1:5:11140595:11141788:-1 gene:PAHAL_5G184900 transcript:PVH38133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGAGDGRRGRRARPSSRQVRTTRCRAEGRSRSAGAGAVVELLQELQEAPTRGEAELGAAAGAWRCGAGWAAGAGREGAPRLPAVARGWGRRRWRRGTGRAARAQGRSEPQVQASAEAEARSTGAGRRAAGAEAEQGRSRPASAEADAGTPCEKETQKEEEKNADMWTPPLIKKLCCSKIVGELSRLCLSPPPPMAMVQIRLTGGDSDSAHRSNDGESRQG >PVH38210 pep chromosome:PHallii_v3.1:5:12047787:12048603:-1 gene:PAHAL_5G198800 transcript:PVH38210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKRKKMVEREMAVKIVENTFRKMQEPASNVAVSRSHIESGHSVKSKKKSDDSGELNVKVRCPCGNSKPNDSMIKCVDPQCNIRQHVGCVVIPENEKSANSISPDLPSRFYCEMC >PAN27084 pep chromosome:PHallii_v3.1:5:3585364:3587001:1 gene:PAHAL_5G056600 transcript:PAN27084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAATATALVVACAESSLPSRAEDLLMLAEKLGAAADGYAVFELGRAAAALGEEAATLTRACDGFGHLVAAIRTVRAGAEAWWAKWTQSKIGGEVHIEAHLLAKDLRLSHSHKGATIADIPAAGQVAWGCVARRDARQVFDRAAGVRLCTRRMFDRPVDLVVFFGGFAVIGLSSTLRANYAVVPAVRKCTQSVRSGARLSYMGPDGVLKTDVYTPWYRRCAGAAFSLMWCLVGLGVPCLFVRSRIQHFYSRLFSRIGMLAVTQLVIFYSHWLLFPDWVVALWVLVTVSAFLHIGLFHLDGDM >PVH37597 pep chromosome:PHallii_v3.1:5:2577959:2578867:1 gene:PAHAL_5G040200 transcript:PVH37597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLAVLRYSDLDFFCEAPAARHGPGPDERGVHPRPMGGIRCDFPVRRDGILLRLESKLRRYGALLGLRCCCPTRSVVCFSGAVRRRPAISASTVVPMDLLVIFSFFKDLCANILGQLSLLYPSSTCLYLYESVSVFLTY >PAN30002 pep chromosome:PHallii_v3.1:5:17182685:17184475:-1 gene:PAHAL_5G266100 transcript:PAN30002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRTARLALLSASPRAYSAAAAASSAAATRPAPYGGAPPPAPMSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >PAN27529 pep chromosome:PHallii_v3.1:5:5379555:5387841:1 gene:PAHAL_5G087100 transcript:PAN27529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMYQHQHQLRDDAFGTLGGGHCGEQPRLAAGGAPSSSSPPAALAPPLAQAHGGGQQRQLFEALVGGGSLLRGPGGGKGSVAVGDLGVLVRWMRELAADPVAPLPVPSEHRARKRHVLALRRARYLRLEDVADAEELPSFSKKRKLHWDKHKKKGCFNMPTRKSERLAKRMKLMASLLLTQRKKIGVGEHFQAEIPEWTGQPSGKELSWYRSDPETSKMLGTTIWPPGGNVNKTDIAAVGRGRPESCSCSCPGSFFCRQHHINEARDRLRSELGRAFTIWQFDSMGEEVSKVWSRDEQLKFNTLERLIPVMDQKTYWAIASKFFASKPRIDLIKYYLNVFLMRRVLSQCRLGLLEIDSDEDEVEEEDEDQPEGSSSLQRTQDVQDVKKVP >PAN27530 pep chromosome:PHallii_v3.1:5:5378822:5387841:1 gene:PAHAL_5G087100 transcript:PAN27530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAASADSRDYSDGVPTTAPIKRGGGSSEQRAAGDPTTTASATGRAAAGASGGGGGVLTSMVGMYQHQHQLRDDAFGTLGGGHCGEQPRLAAGGAPSSSSPPAALAPPLAQAHGGGQQRQLFEALVGGGSLLRGPGGGKGSVAVGDLGVLVRWMRELAADPVAPLPVPSEHRARKRHVLALRRARYLRLEDVADAEELPSFSKKRKLHWDKHKKKGCFNMPTRKSERLAKRMKLMASLLLTQRKKIGVGEHFQAEIPEWTGQPSGKELSWYRSDPETSKMLGTTIWPPGGNVNKTDIAAVGRGRPESCSCSCPGSFFCRQHHINEARDRLRSELGRAFTIWQFDSMGEEVSKVWSRDEQLKFNTLERLIPVMDQKTYWAIASKFFASKPRIDLIKYYLNVFLMRRVLSQCRLGLLEIDSDEDEVEEEDEDQPEGSSSLQRTQDVQDVKKVP >PAN27528 pep chromosome:PHallii_v3.1:5:5378822:5387841:1 gene:PAHAL_5G087100 transcript:PAN27528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMYQHQHQLRDDAFGTLGGGHCGEQPRLAAGGAPSSSSPPAALAPPLAQAHGGGQQRQLFEALVGGGSLLRGPGGGKGSVAVGDLGVLVRWMRELAADPVAPLPVPSEHRARKRHVLALRRARYLRLEDVADAEELPSFSKKRKLHWDKHKKKGCFNMPTRKSERLAKRMKLMASLLLTQRKKIGVGEHFQAEIPEWTGQPSGKELSWYRSDPETSKMLGTTIWPPGGNVNKTDIAAVGRGRPESCSCSCPGSFFCRQHHINEARDRLRSELGRAFTIWQFDSMGEEVSKVWSRDEQLKFNTLERLIPVMDQKTYWAIASKFFASKPRIDLIKYYLNVFLMRRVLSQCRLGLLEIDSDEDEVEEEDEDQPEGSSSLQRTQDVQDVKKVP >PVH38471 pep chromosome:PHallii_v3.1:5:17261785:17263453:-1 gene:PAHAL_5G267100 transcript:PVH38471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYESLLSLSPAEHWIGGGEFVDDHGGGGDEDRAAAAAAAASSFLSFDIGVEFYHHPTEPAAFHAEQPAPLLFDALQAETDYSVSGIAGSSSEEGEPGKQSLPDASALSSEGHGKCFTTSAGGAGSLPVPAAREDGKKKIAFKTRSDVDVLDDGYRWRKYGKKMVKNSPNPRNYYRCSREGCQVKKRVERARDDARFVITTYDGVHNHPAAPPPPHAPRGYHRLLDPPAAGAGPPRVL >PVH38472 pep chromosome:PHallii_v3.1:5:17262067:17262979:-1 gene:PAHAL_5G267100 transcript:PVH38472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYESLLSLSPAEHWIGGGEFVDDHGGGGDEDRAAAAAAAASSFLSFDIGVEFYHHPTEPAAFHAEQPAPLLFDALQAETDYSVSGIAGSSSEEGEPGKQSLPDASALSSEGHGNFRCFTTSAGGAGSLPVPAAREDGKKKIAFKTRSDVDVLDDGYRWRKYGKKMVKNSPNPRNYYRCSREGCQVKKRVERARDDARFVITTYDGVHNHPAAPPPPHAPRGYHRLLDPPAAGAGPPRVL >PVH38817 pep chromosome:PHallii_v3.1:5:42281648:42282154:1 gene:PAHAL_5G361700 transcript:PVH38817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFRFAVTPSSSPHGYNPWSPDPTTTAGHAQQPPVPGPPARSGIPAPAAPTSATSSASPAAPTRSGSCALNSSPKGGLVHPSGPSSPRSPSPSPTPAPPAVDRAPALHPMTTRSGVGTVKLNPCYAGVSTTSTAISPILKTVHAALHDPHWRAAMEAEYNVLVANNT >PAN32759 pep chromosome:PHallii_v3.1:5:57387587:57389588:-1 gene:PAHAL_5G509900 transcript:PAN32759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MHRLLRAIASPPLGHYHHQRRHRLSAFAADQPIRHHPPIDSAVPWNKLLRDHLAHSRPDLALALYRLMRALSPGLPNSYTLPLALRAAPFMRIASTVHAHALHLGLHAHPDVAGQILAAYARLGRAAEARHVFDALPVRRTTLSWNTLITAYSIGCDPDAARAAFARMVAGGSRPDAVTWTTLLSAHARCGRHLEVLELFRDMHDSGCEGNAEAVAVALSACPYASGTALAKGRAIHAYGFVKGVVHGYMFVTNSLVCMYGKLGEMEEAEKVFQEAREKNAVTWNALITSYAAAGMCNKALDVLAWMEQRGGIVVPNVVSWSAVIGGFASSGNMEQALELFRQMQLRRLPPNVVTSATVLSACADLLALRLGQEVHGHTIKPVLDGHSLVLNGLINMYGKCGRVTAARKVFDQMKSRDLISWNSMIGSYGMHGLCDEALAVFEDMARAMVEPDGVTFVAVLSACSHTGRVAEGRRLFNQMVREHKISPSMEHYTCMVDLLGRAGLLKDASDLIETMPMRPDLCMRGALLNSCRMHGNAAMAEATIAKVLQAETETTGNHMLITNLYATCGMWEDSKRVRVMTKEAGLRKNPGQSWIEVKKKVFAFTAGNVSLPEAEDIFRLLDDLYSEMEDEKHANV >PVH37760 pep chromosome:PHallii_v3.1:5:4967939:4971151:-1 gene:PAHAL_5G080300 transcript:PVH37760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARLKSSPSSERFLGSFLAPAAAGDQSASTAFELDEDDLFSAGSGSPEPPQPVRRPLILSSVRPANPSPLPRLRSPPEGILDALPERRRSPPRSSSSTSSSPASPAAAPPRMIPAVPRPAPAPAPHVARSLPVNVPAARMHKSPKVMGGKTLRFPLSSLDEDDEEMLPPHEMVARARARESPMTTFSVLEGAGRTLKGRDLRQVRNAVWQKTGFLD >PAN29093 pep chromosome:PHallii_v3.1:5:12252314:12256535:-1 gene:PAHAL_5G201900 transcript:PAN29093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSYAVKGRKKKRKLHEAAASDAGPLAVEAEELPLPEEAGGEDKGKDEEAAAAGEDEEEHAAVEGLPVVPRPVDAKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGAPKIGLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQICKYPLSAACCLNRICSALEQKWNIG >PVH38458 pep chromosome:PHallii_v3.1:5:17013062:17013573:-1 gene:PAHAL_5G263700 transcript:PVH38458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPCASCGEYLGRGTKFNARKEDAAGERYLGGIQELGLRGRVRRGASGDDGAAAREGFGEAMAALEARAHARRREMDADAALEEARSLSARRARVALESLHNRCRAADGREVLRELEQEADEDRGCRTPAVHNLFILQHSCSLKYRN >PVH37518 pep chromosome:PHallii_v3.1:5:1407391:1412323:-1 gene:PAHAL_5G021400 transcript:PVH37518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPMQLRVRLPENEEVARALHEKRLAMREQPSGFKEHLDRTFGKAYRNVCAATEPIRTLKEFSKIKGVGPWLIRCMKGFFAEFSQDLSPTKRNVAGDNETKRTKGCVPNKKTAASGSPGKEAPANLNCQIAQSLPRCQGTFELQSAGKTGSAEFNMLDKNYLLTDHSILAMPPHQSNEEFLEAYEVVLILDDRENFGSRSRKVASRKVADNICSQFNVSVQVKRLPVGDGIWIARHRKLLTEYVLDFIVERKNVADLGSSIRDNRYKDQKSRLQNCGLRKLIYLVEGDPNTSNGSVASIKTACFTTELFEGFDVLRTSGYTDTQRTYGYLTQSIIDYYSTNFCSVAKSARICPTYDEFEGNCRSLQKKTVSQTFALQLMQVPQVTEKVALAVVEFYPTILSLARAYSMLEGDICSQEEMLKNKSKVINAGASRNIFKLVWGDGCNLQT >PVH37517 pep chromosome:PHallii_v3.1:5:1407718:1410701:-1 gene:PAHAL_5G021400 transcript:PVH37517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKRTKGCVPNKKTAASGSPGKEAPANLNCQIAQSLPRCQGTFELQSAGKTGSAEFNMLDKNYLLTDHSILAMPPHQSNEEFLEAYEVVLILDDRENFGSRSRKVASRKVADNICSQFNVSVQVKRLPVGDGIWIARHRKLLTEYVLDFIVERKNVADLGSSIRDNRYKDQKSRLQNCGLRKLIYLVEGDPNTSNGSVASIKTACFTTELFEGFDVLRTSGYTDTQRTYGYLTQSIIDYYSTNFCSVAKSARICPTYDEFEGNCRSLQKKTVSQTFALQLMQVPQVTEKVALAVVEFYPTILSLARAYSMLEGDICSQEEMLKNKSKVINAGASRNIFKLVWGDGCNLQT >PAN26559 pep chromosome:PHallii_v3.1:5:1407392:1412323:-1 gene:PAHAL_5G021400 transcript:PAN26559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPMQLRVRLPENEEVARALHEKRLAMREQPSGFKEHLDRTFGKAYRNVCAATEPIRTLKEFSKIKGVGPWLIRCMKGFFAEFSQDLSPTKRNVAGDNAAVYSYKEETKRTKGCVPNKKTAASGSPGKEAPANLNCQIAQSLPRCQGTFELQSAGKTGSAEFNMLDKNYLLTDHSILAMPPHQSNEEFLEAYEVVLILDDRENFGSRSRKVASRKVADNICSQFNVSVQVKRLPVGDGIWIARHRKLLTEYVLDFIVERKNVADLGSSIRDNRYKDQKSRLQNCGLRKLIYLVEGDPNTSNGSVASIKTACFTTELFEGFDVLRTSGYTDTQRTYGYLTQSIIDYYSTNFCSVAKSARICPTYDEFEGNCRSLQKKTVSQTFALQLMQVPQVTEKVALAVVEFYPTILSLARAYSMLEGDICSQEEMLKNKSKVINAGASRNIFKLVWGDGCNLQT >PVH37613 pep chromosome:PHallii_v3.1:5:2948292:2949370:1 gene:PAHAL_5G046500 transcript:PVH37613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDKNSGRSRGFGFVHFSNDHEAKYARDAMDGKVMLGRPLRISFALEKVRGAPVIVPRLSTMLKLLGYMLMELTAFSNYFL >PAN29457 pep chromosome:PHallii_v3.1:5:14072487:14075498:1 gene:PAHAL_5G227800 transcript:PAN29457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like enzyme , Auxin biosynthesi [Source: Projected from Oryza sativa (Os01g0645400)] MDGEASQGRRRAAWVPGAIIVGAGPSGLAAAACLAARGVPATVLEMADSLASTWRHRTYDRLTLHLPKRFCELPLLPFPEGYPEYPSKDQFVAYMEGYAAAAGVAPRFGARVAEAAFDAAAGAWAVRLAGGDELLLARWLVVATGENAVPRRPEFPGAGLFEGPVVHTCDYKSGEAFSGRKVLVVGCGNSGMEVSLDLCRHGAKPSLVVRNTVHVLPREMFGLSTFGIAMALLRLFSVPAVDRILLAAARLALGDTGKLGLRRPKTGPMELKNLTGRTPVLDVGTLGHIKTGKIKVVGAVKEVTQRGVRFADGKEEQFDAIILATGYRSNVPSWLKDGGEVFTSQGMPKVPFPNGWRGKNGLYTVGFSQRGLLGASSDALNIARDIYCQWKDMGRPACNNVLQSDETV >PVH37645 pep chromosome:PHallii_v3.1:5:3267522:3269409:1 gene:PAHAL_5G051500 transcript:PVH37645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHPTGQQTTSDYDRATPTNINTGPSARFCDLPPDILYRIASKLPPKEFARTSVLSTDWFRGCMRSACPRLTFDVVAMCKCEMEDLLYTHVWQFVSEVNGVLRKHHGKVVETLQVKINLEHSILAPHIDTWVGFAAISRTKNLVLDLKPVRFLEHDYRYLFPFQLFDRESISRLQHMQLSFVSLDPPSQFKGFPNLRKLHLQTVQVNRKDLEDVLSNCCILEWLCLDRCRLDDELTVDSPLPRLLYLRVEFCLTKIRFNAVNLATFEYQGPFIPIDLVQSFKLQSANIEFFRNAIFQHRMLISLLNGLPSVQSLTLNVRSQRIERQWFWENPLKFTNLKHLQFLMLILSEDVDKILYSLSFLRATPLIEKLEVHFGIRCSSWLAEAGPSRRDLRKYKYVHLKHIWITRFRAAKGQLEFLLHLIENAPALEVLLVEIGKYPPCNSPCNSCNSWFGGGEPPIEKAMEIARTCIHPILSQNVTFDVKE >PAN28939 pep chromosome:PHallii_v3.1:5:11342422:11347053:1 gene:PAHAL_5G188200 transcript:PAN28939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGLGEGEGAAVEEATGYEVGIVVPKLSRAAAAGPAEDCVARLVRELEGAGLLVERVRGVPAEFIKLAAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERYCCFSYLIHGIVNKTNSEITLKFDGKEFHWKKNESLLTRLESEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKIATYFAFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFIFVISWAVFFLQFWKRKNSALLARWGINCSLSEYKNMGNELGSLGDSLTTEEKKFGDVSAEKRNLQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEVMRYLLTAIYLVAIQYYTRIGGKVSVNLIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRKVLIQRLIVSQVLENLIENSIPYLKYSYKKYIAVHKKKQEKESPSGKSVRLSTRVEKEYLKPSYTASIGAELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNATEIRADALKLLVMLRRPVPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDAEGNWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLKSISKLDAKLD >PAN28603 pep chromosome:PHallii_v3.1:5:10025840:10029566:-1 gene:PAHAL_5G165000 transcript:PAN28603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein, Drought tolerance, Control of tiller outgrowt [Source: Projected from Oryza sativa (Os01g0741900)] MGDAEERESTLPRLLDLIPDGKEWEAREARGEGRSRNTGFGSEEDRKLELKLGLPGLIEEETATVSRHEGIQRERPALSLCCFREPSKPTTNTTTTGTKRVFLDTIEAKTEGCDKQKQQARAGCGNELALEQKIAVVSERKKGCCAPPSHAPPAASVRNRPQAQGRGASARAVGWPPIGSFRRNLANGSSSKQSTGQQKGEASTKEKVTCIKNPLVKINMDGIPIGRKVNLAAYDSYERLSLAVKELFQGFLEAQKDLSSAESGQLRADEKIFSQLLNGSGEYTLVYEDNEGDRMLVGDVPWNVFVSTAKRLRVLRSSELSHGLVGVSPRRVQNC >PAN31306 pep chromosome:PHallii_v3.1:5:50860222:50864546:-1 gene:PAHAL_5G411000 transcript:PAN31306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPLVPGEVTARTGAEIGARAMAASGDPDRERHAAIQLFGLSVTAVDSATVAAATEVSTKLADDVRSNDGIACLPNKSLNVGASSFGSKNNKDGLQAISSQHGKMGADSESGKAEGGLQAISSQHEKMEADSKSEEAKNGLEAINSEHEEMEADSKSEEVKTDSDGSGQEKVFKKPDTILPCPRCNSMNTKFCYFNNYNVNQPRHYCRGCKRYWTDGGTLRNVPVGCKRRNRRPSNRHFAIQPYDYSIAANGYVAATSSSQSHAIKPYVLPGPSKENEAITTSGSEMVLCKSISPAPNTKEQKNNTRLVSLGSGDDKEEKSGLSSAVVSGSSDNSVPEKVGNYISGYRNGVKEPPPHTQSYAAAVTAYAQLSTDGTHGLVNSEVSPLSLLLSTMSDLGIRAPAVPFPQPQVAPYWNRIPGWPNGAWSVPRPGSSVTTMPYLPQNSVARSGSSSLTLTLTLGKHPREANSQEEEKAEKTFWVPKALRITDPEEAAKSSIWASLGIKPDERLFCKCFQSKNPKNGKTPESAQALQANPAVFSSTQTFQGRT >PVH37803 pep chromosome:PHallii_v3.1:5:5786557:5787159:-1 gene:PAHAL_5G093700 transcript:PVH37803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVESAVAAAVAVGGGGYCCGGWETPKREECRIPATLPCPAAPRKAVLDLGKRRSPPKNGYFQPPDLEALFALAPRRQASTCA >PAN28469 pep chromosome:PHallii_v3.1:5:9387814:9392607:1 gene:PAHAL_5G155300 transcript:PAN28469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGVPSGRGSGGGGGSRSFDFGADDVLCSYDDFAATSEPKRPDPADKDFHDSRLGRPFVKAYEQESYGKEDVLSAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGELRSDVLRDETDHRLKSLEKHLHEVHRSVQILRDKQELAEAQKELAKFQLTQDTSKKKEDVPTPSIPEPKKLEEKPDTSGQQLALVLPHQVNPPSLAPRASESIQQYKDQPVQQAAPVPRVSQQDRYVLSQAIVYYPQRQDTQGQQLQPELQYLPARPPAQDVPVHASSQSPQAGNQTQPQSYPPYQQQWHQQSSQPTPAPVAQPQQTLSQPFPPSAQQPQLSNVQHFPPQPVQQPQSSSQQYPPPAVQPQQSNPQLPQQAMQPQHHPVQTQIRPQTPPNYPHYPPQQPVNPTPEALPGNVAMQGQYNTAAPSGVNRSEAPYSYGGPGFPPSQPPPQHSMQRHQLPPSSQGSYGPPPSKGSYAGGPAQYAPQGNPQGYGAGYGYPPSGPPAVQPPQMPPGAGMNHPGSHMMRGHPYGEMIEKAIAMGYPRDQVLNVTQRMTESGQPMDFNTLLDRLNEAGSGAPPRAW >PVH37513 pep chromosome:PHallii_v3.1:5:1376125:1376929:-1 gene:PAHAL_5G020700 transcript:PVH37513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPGRPAHANAAGTALAIQFAPPPLGQRGRGQAHARRHRAGFTALRARGPSGSAARQPGELAVRRWGGVGRQLGSWPHRAGTQPLQALDPCGAAAIGPAAARQRARAWESRRRGTERGRKKRKKPWGPCLGRQNGLFAWHLTVNGWKMERSVSLEMKVEVRVKQGTKIFRANKGMAYFFSVKYEIFSRIYVGLAAFDP >PAN30145 pep chromosome:PHallii_v3.1:5:19420491:19427914:-1 gene:PAHAL_5G279700 transcript:PAN30145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSLPMLAASSFLPPPQLLAPTCPRRAIPFVRVTAQTLEVPEAPKAPRPSPRRSAVAEVKASPDPVAALNRFEDVLQTQDCNIILRHCGDTRRWDDLSKVFEWMQEREMTNAASYSSYFKYLGLSRDPARALQVYGAIQDHPMRVQVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDPFTYSTLLSGCMKLKQGYTKAMGLINEMKSRCLQMDSVIYGTLLAICASHNYCEEAEVYFQKMKDEGHSPNLFHYSSLLNAYSENADYGKAEMLMKDLRSSGLTPNKVMLTTLLKVYSKGGIFEKAKELLTELEASGFAQDEMPYCILIDGLVKGGKIEEAKVLFNEMKGKGVKSDGYAFSIMISALHRGGHHQESKQLAKEFETENASYDLVMLNTSLRTYCSTNDMESVMSMLKKMDELNISPDNITFNTLIRYFCNAKVYHLAYKTIEDMHTKGHQLNEELCSYVMVQLGKAGFPSESFSVYNMLRYSKRTVRKSLHEKALGILISAELLKDAYIVVKDNAELISPSSLEKFARSFMVSGNINLINDVMKALNRSGWRISQVFFCAKGTVYPLVSSAATKDIFGRAIQRYIRKPDKKHMLLCLLDWMTGQGYSVDSSSRNLLLKNAQLFGQNQLIAEILSKQQTASRTIGQRHKK >PAN30144 pep chromosome:PHallii_v3.1:5:19420492:19427914:-1 gene:PAHAL_5G279700 transcript:PAN30144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSLPMLAASSFLPPPQLLAPTCPRRAIPFVRVTAQTLEVPEAPKAPRPSPRRSAVAEVKASPDPVAALNRFEDVLQTQDCNIILRHCGDTRRWDDLSKVFEWMQEREMTNAASYSSYFKYLGLSRDPARALQVYGAIQDHPMRVQVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDPFTYSTLLSGCMKLKQGYTKAMGLINEMKSRCLQMDSVIYGTLLAICASHNYCEEAEVYFQKMKDEGHSPNLFHYSSLLNAYSENADYGKAEMLMKDLRSSGLTPNKVMLTTLLKVYSKGGIFEKAKELLTELEASGFAQDEMPYCILIDGLVKGGKIEEAKVLFNEMKGKGVKSDGYAFSIMISALHRGGHHQESKQLAKEFETENASYDLVMLNTSLRTYCSTNDMESVMSMLKKMDELNISPDNITFNTLIRYFCNAKVYHLAYKTIEDMHTKGHQLNEELCSYVMVQLGKAGFPSESFSVYNMLRYSKRTVRKSLHEKALGILISAELLKDAYIVVKDNAELISPSSLEKFARSFMVSGNINLINDVMKALNRSGWRISQDIFGRAIQRYIRKPDKKHMLLCLLDWMTGQGYSVDSSSRNLLLKNAQLFGQNQLIAEILSKQQTASRTIGQRHKK >PVH38335 pep chromosome:PHallii_v3.1:5:14714091:14720540:1 gene:PAHAL_5G235000 transcript:PVH38335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDQRKKSSAEAEFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPATIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPIPFSQKFPNADPLALDLLQRLLAFDPKDRPTAEQALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHQQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPVPVKEQPRIGPSREKHSSDESYKNPRETEKYSGNVPRTSQAPQRVPTDQEGLLVQ >PVH38336 pep chromosome:PHallii_v3.1:5:14715260:14720540:1 gene:PAHAL_5G235000 transcript:PVH38336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPATIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPIPFSQKFPNADPLALDLLQRLLAFDPKDRPTAEQALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHQQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPVPVKEQPRIGPSREKHSSDESYKNPRETEKYSGNVPRTSQAPQRVPTARPGRVVGPVMPYENGSTKDPYDARRLSMNSGYPPQQQIPQTYGYYQTPGKLACSEPSQAERYTLHQQAYSTTVPDVALDMRAPPFHHLSAGPKSDSSDRLTADTNLYSRSLNGIAATAAGVAASAHRKVGVVPFSMSRMY >PAN29568 pep chromosome:PHallii_v3.1:5:14714091:14720540:1 gene:PAHAL_5G235000 transcript:PAN29568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDQRKKSSAEAEFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPATIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPIPFSQKFPNADPLALDLLQRLLAFDPKDRPTAEQALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHQQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPVPVKEQPRIGPSREKHSSDESYKNPRETEKYSGNVPRTSQAPQRVPTARPGRVVGPVMPYENGSTKDPYDARRLSMNSGYPPQQQIPQTYGYYQTPGKLACSEPSQAERYTLHQQAYSTTVPDVALDMRAPPFHHLSAGPKSDSSDRLTADTNLYSRSLNGIAATAAGVAASAHRKVGVVPFSMSRMY >PVH38785 pep chromosome:PHallii_v3.1:5:39056283:39063661:-1 gene:PAHAL_5G352000 transcript:PVH38785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGPAERRDEVLVAMGNGNGVAPPQPLRAAGRPAAATAPYLDRRLRLNPNAEHKPQDYSDVRGEYAPAVYSALERHLPPSLLDADRDVKLHFMRDILARYWPQGERNKVQRHKEYRQRILHLYKPLHEELYNMHPSAFFLPTFLEAVRSNSEESFRSIMTEPIPGVYSFAMLQPTFCEMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFVAPISRVFYPEVGGGTLDSHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMYDYTHIPGQAVLHHGRHRHGARAISSGLRINLLLWCRRFMFQAWMSHTRHQHQLPL >PVH38784 pep chromosome:PHallii_v3.1:5:39046804:39063936:-1 gene:PAHAL_5G352000 transcript:PVH38784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGPAERRDEVLVAMGNGNGVAPPQPLRAAGRPAAATAPYLDRRLRLNPNAEHKPQDYSDVRGEYAPAVYSALERHLPPSLLDADRDVKLHFMRDILARYWPQGERNKVQRHKEYRQRILHLYKPLHEELYNMHPSAFFLPTFLEAVRSNSEESFRSIMTEPIPGVYSFAMLQPTFCEMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFVAPISRVFYPEVGGGTLDSHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMYDYTHIPGQAVLHHGRHRHGARAISSGLRINLLLWCRRLR >PAN30912 pep chromosome:PHallii_v3.1:5:39044570:39063935:-1 gene:PAHAL_5G352000 transcript:PAN30912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGPAERRDEVLVAMGNGNGVAPPQPLRAAGRPAAATAPYLDRRLRLNPNAEHKPQDYSDVRGEYAPAVYSALERHLPPSLLDADRDVKLHFMRDILARYWPQGERNKVQRHKEYRQRILHLYKPLHEELYNMHPSAFFLPTFLEAVRSNSEESFRSIMTEPIPGVYSFAMLQPTFCEMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFVAPISRVFYPEVGGGTLDSHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMYDYTHIPGQAVLHHGRHRHGARAISSGLRINLLLWCRSSVFREMKKYQKDFPTWCGECQREKRGRQSQCVKATKMAFLRGAGGATI >PVH37600 pep chromosome:PHallii_v3.1:5:2587068:2588473:-1 gene:PAHAL_5G040600 transcript:PVH37600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRSGLGRFGSFLLGALLPTALLFFLASDRVGERLTSISSIGNGYQLLNSPARPANITSDDGGSAPAGDEEEQDRFPGLAELLPRVATADRTVIVTSVNEAWAAPGSLLDLFRESFRNGEGIEHLLNHTLIVAVDAGGFDRCRAVHPHCYLLEVRSANVSAANRFLSKGYLELVWTKLSLQQRVLELGYNYLFTNESSAKQDVDVMWLRDPFRHINLYADVTMSCDAFSGDPESLKNSPNTGFYYVKSTARTVAMVRYWRAARPRFPAHHDQKIFDNIKRELAGELGVRIAFLDTALFGTFCEFRDGIDGGVCTMHANCCIGLDNKVRELRGVVAAWKNYTALPPAEKEAGKARWPYPTRCRAARRAKPAPIKN >PAN26377 pep chromosome:PHallii_v3.1:5:563118:563567:1 gene:PAHAL_5G007700 transcript:PAN26377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLEVAEIVLLAAAASSLAAALILFCQKNHREISRKRPPPELPLSHDPTAVVLTKASRSRQLLVLLLTTLCSCSCSCSGRRQRARVEPASQAQSSPAAASSRERWLGPASRALYTIDEDEEDSDEPQDEEPPETPFYTPAASPARLVM >PVH37816 pep chromosome:PHallii_v3.1:5:5955907:5957211:1 gene:PAHAL_5G097000 transcript:PVH37816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAGGGDRARAAEQARLPWREQEPRSPDIAAPPRPTPRRSRPARAAVVYYLSRNGHLEHPHFMEVALSSPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIHPVIGREYVLKGTERLHPAAPPPPLLDATAASSSSSGSQETPTSSSSARWEARGGPAHRKKGASTADELGEYVVYKGEERAADAATQTEDVGRSGRCHGHPRRVKAPAAQDELNRADTSPPTASTSPETLEALIKADGRVVTAVSGSGRARASSVLMQLISCGSVSVEEAHASPVMPRAHRHHHHHRARPPRPPASAAAEVIPSYRAKIVEDKEYFSGSIIETAKRSPDDDASQDMAVLRRSSSYNADR >PVH37817 pep chromosome:PHallii_v3.1:5:5955376:5958489:1 gene:PAHAL_5G097000 transcript:PVH37817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAGGGDRARAAEQARLPWREQEPRSPDIAAPPRPTPRRSRPARAAVVYYLSRNGHLEHPHFMEVALSSPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIHPVIGREYVLKGTERLHPAAPPPPLLDATAASSSSSGSQETPTSSSSARWEARGGPAHRKKGASTADELGEYVVYKGEERAADAATQTEDVGRSGRCHGHPRRVKAPAAQDELNRADTSPPTASTSPETLEALIKADGRVVTAVSGSGRARASSVLMQLISCGSVSVEEAHASPVMPRAHRHHHHHRARPPRPPASAAAEVIPSYRAKIVEDKEYFSGSIIETAKRSPDDDASQDMAVLRRSSSYNADRVIKLELAKEAGDLHDRCIPRKPKAKKEGYLVISCTAQGNNKG >PAN26431 pep chromosome:PHallii_v3.1:5:814776:816243:-1 gene:PAHAL_5G011900 transcript:PAN26431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTATPRALFPRASPAAAALMATARRTPSARRRCAAPVRASAAAAEPAGDDGAAAAAPKKVLKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >PAN26464 pep chromosome:PHallii_v3.1:5:815515:816181:-1 gene:PAHAL_5G011900 transcript:PAN26464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTATPRALFPRASPAAAALMATARRTPSARRRCAAPVRASAAAAEPAGDDGAAAAAPKKVLKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVITSEFLTAGSIYPQLSELISHQHISPFFLCYICAGLGHQNL >PAN28522 pep chromosome:PHallii_v3.1:5:9471952:9472959:-1 gene:PAHAL_5G156800 transcript:PAN28522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYMSLSLERSSRGGVATPGPPAGGGASAAYPRVVAGMDTSLSLVPGAAASHGNGHGQYLPLNENDSLDMVLFDVLREASGGGSTAPATCPPLPASTPLRPPPPLVALASGAAGKGGSGGCNNNGRAAPAHAPKAAGAGRHYRGVRRRPWGKYAAEIRDPTRHGARLWLGTFGTAEEAAAAYDRAAFRMRGAKALLNFPAPPRAAGPPPWRSRLLE >PAN26772 pep chromosome:PHallii_v3.1:5:2304535:2311486:-1 gene:PAHAL_5G037600 transcript:PAN26772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLDVHKLQQVVKTRWLKPQEVLQILRNHDLFMVSHKPPQKPPSGSWFLFNRRVLRYFRNDGYEWQKKKNGKTVNEAHERLKVDNVDALNCYYARGDKNPTFQRRIYWMLDPAYEHIVLVHYRDVLEGSISVSARNDSSTSNQNGSASRAEVHSSPGWTSEFVLPCPNSSSPGSAEEVSSRTVTINNETNRSGSDWIQHKAALRKLEMQLSLEDKEDSNVLAEEVPTNIEHVIVPGIQTGEPGSSANFEDIFNVLDFSRDHTKENGTDPCPSAIDVLKNSDTWLEEDQLEAILHPASMAPTENLWFNIHEVSPESAFSSEITKVIVLGDFLCNPSHSSLAMLFGDVKVPVEIIQQGAIRCHTPCLNAGKVSMCLIDGNGKPCSEAREFEFHEKPTKSMVDGNEKPCNEAQDIKVHKTPTKSNDELLLLLNYVQILFGHGCDVFSKFSPQLPNPGCGFLVNQMDIMRKTYEQLDPEKTVYSVMEVLLNDKFKQWLLSKCEQNSDGNHLLPKQYHGVIHTIAALGYDLALKPLLSSGVPINYRDGNGWTALHWAARFGREDMVVALLTAGAAAGALSHPTSEDPAAKTPASIALAFGFKGLSAFLSEEQLTTHLDSIESKENGKCEGNASGGGIRSAVDRISDKSTHVHGGTDDQLALKDSLGAVRNAVQAAARIQAAFRVFSFKKKKETALQNSCLSVHETVAVSHGMLERAALSIQKNFRCWKKRKEFLKLRKNVIKIQARVRAHQERKKYKELIRSVGVLEKVMLRWYRKGVGLRGFNSGAMPVDEEVEEDVAKVFRKLRVETAIDEAVSRVSCIIGSPKAMQQYRRMLQRYQQAKVNIPKDASEVPTSKG >PAN26773 pep chromosome:PHallii_v3.1:5:2304034:2312016:-1 gene:PAHAL_5G037600 transcript:PAN26773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLDVHKLQQVVKTRWLKPQEVLQILRNHDLFMVSHKPPQKPPSGSWFLFNRRVLRYFRNDGYEWQKKKNGKTVNEAHERLKVDNVDALNCYYARGDKNPTFQRRIYWMLDPAYEHIVLVHYRDVLEGSISVSARNDSSTSNQNGSASRAEVHSSPGWTSEFVLPCPNSSSPGSAEEVSSRTVTINNETNRSGSDWIQHKAALRKLEMQLSLEDKEDSNVLAEEVPTNIEHVIVPGIQTGEPGSSANFEDIFNVLDFSRDHTKENGTDPCPSAIDVLKNSDTWLEEDQLEAILHPASMAPTENLWFNIHEVSPESAFSSEITKVPVEIIQQGAIRCHTPCLNAGKVSMCLIDGNGKPCSEAREFEFHEKPTKSMVDGNEKPCNEAQDIKVHKTPTKSNDELLLLLNYVQILFGHGCDVFSKFSPQLPNPGCGFLVNQMDIMRKTYEQLDPEKTVYSVMEVLLNDKFKQWLLSKCEQNSDGNHLLPKQYHGVIHTIAALGYDLALKPLLSSGVPINYRDGNGWTALHWAARFGREDMVVALLTAGAAAGALSHPTSEDPAAKTPASIALAFGFKGLSAFLSEEQLTTHLDSIESKENGKCEGNASGGGIRSAVDRISDKSTHVHGGTDDQLALKDSLGAVRNAVQAAARIQAAFRVFSFKKKKETALQNSCLSVHETVAVSHGMLERAALSIQKNFRCWKKRKEFLKLRKNVIKIQARVRAHQERKKYKELIRSVGVLEKVMLRWYRKGVGLRGFNSGAMPVDEEVEEDVAKVFRKLRVETAIDEAVSRVSCIIGSPKAMQQYRRMLQRYQQAKVNIPKDASEVPTSKG >PAN28342 pep chromosome:PHallii_v3.1:5:8808971:8812290:1 gene:PAHAL_5G146700 transcript:PAN28342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPKREGALPPGAPRRSPKAMRPGAAATEADENASPKRPVPAWAASPQRKKVLGERNDGGMEAAASPPLLQPKPAASPPTLTGRGAGAYDPKTNYTTPRPEFLRYDPERRREILLRVARAAEVEYDDCSSAASGSAASEDDGGSVASDAAAAASPVSSARWSDSEAELDDSDEEEEEEVAPPRRGRWARRLFLLLVAVACSFCYMSGMNPAASSVCLEDGFDFMGPIGGTCDAGEQEVDSLSLLGAVYMMGPEDVLEETRNQFVHGDTEGVVHLYDQRASPKNLVAATMMGIADMCINAPLGELTCQIGVESSENVADSNEDSEVDEHKPEVAIESFKKNEQSCEVSDLGGNISSDSIGSSSTHTADMEESSSGLDHQEEGEDHSNQSVMQLVSTEKAMESSSAKLNYRSSLESQELNLDTELWQYENTAEAAKAICSTAKFLWSAMEPHLLQILACFSVAGFVAVMFRYFQRSRKMVAPASQHMPSKSPAEVPALVPHHTVQLPVVPPSPQAVQLPVYSLQEPTQLTVPKQGLSGSLEVPMELPLPKPDPFVSLKVPVDHGNRDQKLQQRDANNTMASNGDLLKHRDVDSSKPPVVELLGEFTFADSSRGRAIKSLNQYAGGAAIQELPEKDVDKMQMNSSISQTHSVQRGRKEENSVRREKTDVTPAPLTPTPLRRSSRLRSKVTTP >PAN28373 pep chromosome:PHallii_v3.1:5:8808971:8812290:1 gene:PAHAL_5G146700 transcript:PAN28373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPKREGALPPGAPRRSPKAMRPGAAATEADENASPKRPVPAWAASPQRKKVLGERNDGGMEAAASPPLLQPKPAASPPTLTGRGAGAYDPKTNYTTPRPEFLRYDPERRREILLRVARAAEVEYDDCSSAASGSAASEDDGGSVASDAAAAASPVSSARWSDSEAELDDSDEEEEEEVAPPRRGRWARRLFLLLVAVACSFCYMSGMNPAASSVCLEDGFDFMGPIGGTCDAGEQEVDSLSLLGAVYMMGPEDVLEETRNQFVHGDTEGVVHLYDQRASPKNLVAATMMGIADMCINAPLGELTCQIGVESSENVADSNEDSEVDEHKPEVAIESFKKNEQSCEVSDLGGNISSDSIGSSSTHTADMEESSSGLDHQEEGEDHSNQSVMQLVSTEKAMESSSAKLNYRSSLESQELNLDTELWQYENTAEAAKAICSTAKFLWSAMEPHLLQILACFSVAGFVAVMFRYFQRSRKMVAPASQHMPSKSPAEVPALVPHHTVQLPVVPPSPQAVQLPVYSLQEPTQLTVPKQGLSGSLEVPMELPLPKPDPFVSLKVPVDHGNRDQKLQQRDANNTMASNGDLLKHRDVDSSKPPVVELLGEFTFADSSRGRAIKSLNQYAGGAAIQELPEKDVDKMQMNSSISQTHSVQRGRKEAKLCKKREDGCDTSSTDADSIKTFKPPPQQGDYTLSKTASIDACS >PAN27317 pep chromosome:PHallii_v3.1:5:4538613:4545344:1 gene:PAHAL_5G073500 transcript:PAN27317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLETDLNTLPMIPNIPEKVFPNDAKSTEGLRYDSGLVNQNLSKKCEALAVSGLAEYGNEIDVIAPADILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQNNQPKGSDPSIFLNFAMHSVRAEACDCPPSHQPSQEKQTASAVLRGPFGCREGSFDSPPSSSFSTSPYLDQNDSKSRKAPHGTHESLYLGARKNKQKMKGSDPIKKTTHVGEKTSCEVQESEKSKRVGNNGFRKVCFWEFHNFHMLLGSDLLIFSNEKYVAVSLHLWDVSRQVTPLNWLEAWLDNVMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQANCKQDPGAYWLYKGAEEDVIQLYDLSILPEKHTAGDHRSPCGPMSSFINKGRKESLLSLGTLLYRIAHRMSLSKVPSNKARCAQFFRKCLDFLSEQDHLVVRACAHEQFARLILKCYEELELTSESFMIESEVTLTDLDDDSPELRLENLPSKQNVLPEIGKNEPAVLDDMLECSPLVSSGTTDSLVEPSHVGDGSSSSSAKEDISVDSLVMCQTGISKAIADAISSKLAAIHHISQAIKSLRWNRQLQNNQDGCNDSADTIWERSVDFSLCRCGDVDCIEVCDIREWLPKSKVDHKLWKLVLLLGESYLALGEAYKNDGQLQRTLKVVELACLVYGSMPGHLDGDEFISSMSCSLGQEDVGLKTKFVLDEAGYCKNTKCFSYEVSSQRLPPNYLFWAKAWMLVGDVYAEYHRLSGHQAKVVPERKSRGEVRMSNEVALEVKRLKRKLGKDKQNCGTCSLINCSCQSDRASSGSSASSSSPEASTIYGRKKNKKSLGRNLQSQYKETDENPMTQEAMQGSEKKQHSVKDTCIGNNSVSNDDVDHHNHARENQPRHADGVPDKSHTGIPTVRDGGIFKFLGGPKPGDIEYNLSSAIRCYGAAKGALFAYPVRSTEISTVLKKRGWAFNELGRCRLESRNLSSAEIAFADAIRAFQEVYDHTNVILINCNLGHGRRALAEECVSRIDEFQKFDLPEGTYMQSFKSAKSEYFQAINYYSAAKRQLKYVNTEVDKVLYHEVYTQYAHTYLRLGMLLARESFLTESYEGGLVDDSSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRSLDLVDKEVKQKNEDKYRQKSKWYGSRAEKNWQKALEFYGPKTHPTMFLNILMAQSALSINISNSFHSTSMLETALGHLLEGRQVVEANEDYSNDVDLDIKPKFWSQLQSLLKRMLAASLPSSTGRVASVAQSSTSNREAAKLKEMYRLSLKSSSLGQLHALHKLWIS >PAN27316 pep chromosome:PHallii_v3.1:5:4537495:4545344:1 gene:PAHAL_5G073500 transcript:PAN27316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPGELQCVGRLEVAAPPPARYLRVGSLPVPTDSSASLPALLPSPSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFPNDAKSTEGLRYDSGLVNQNLSKKCEALAVSGLAEYGNEIDVIAPADILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQNNQPKGSDPSIFLNFAMHSVRAEACDCPPSHQPSQEKQTASAVLRGPFGCREGSFDSPPSSSFSTSPYLDQNDSKSRKAPHGTHESLYLGARKNKQKMKGSDPIKKTTHVGEKTSCEVQESEKSKRVGNNGFRKVCFWEFHNFHMLLGSDLLIFSNEKYVAVSLHLWDVSRQVTPLNWLEAWLDNVMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQANCKQDPGAYWLYKGAEEDVIQLYDLSILPEKHTAGDHRSPCGPMSSFINKGRKESLLSLGTLLYRIAHRMSLSKVPSNKARCAQFFRKCLDFLSEQDHLVVRACAHEQFARLILKCYEELELTSESFMIESEVTLTDLDDDSPELRLENLPSKQNVLPEIGKNEPAVLDDMLECSPLVSSGTTDSLVEPSHVGDGSSSSSAKEDISVDSLVMCQTGISKAIADAISSKLAAIHHISQAIKSLRWNRQLQNNQDGCNDSADTIWERSVDFSLCRCGDVDCIEVCDIREWLPKSKVDHKLWKLVLLLGESYLALGEAYKNDGQLQRTLKVVELACLVYGSMPGHLDGDEFISSMSCSLGQEDVGLKTKFVLDEAGYCKNTKCFSYEVSSQRLPPNYLFWAKAWMLVGDVYAEYHRLSGHQAKVVPERKSRGEVRMSNEVALEVKRLKRKLGKDKQNCGTCSLINCSCQSDRASSGSSASSSSPEASTIYGRKKNKKSLGRNLQSQYKETDENPMTQEAMQGSEKKQHSVKDTCIGNNSVSNDDVDHHNHARENQPRHADGVPDKSHTGIPTVRDGGIFKFLGGPKPGDIEYNLSSAIRCYGAAKGALFAYPVRSTEISTVLKKRGWAFNELGRCRLESRNLSSAEIAFADAIRAFQEVYDHTNVILINCNLGHGRRALAEECVSRIDEFQKFDLPEGTYMQSFKSAKSEYFQAINYYSAAKRQLKYVNTEVDKVLYHEVYTQYAHTYLRLGMLLARESFLTESYEGGLVDDSSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRSLDLVDKEVKQKNEDKYRQKSKWYGSRAEKNWQKALEFYGPKTHPTMFLNILMAQSALSINISNSFHSTSMLETALGHLLEGRQVVEANEDYSNDVDLDIKPKFWSQLQSLLKRMLAASLPSSTGRVASVAQSSTSNREAAKLKEMYRLSLKSSSLGQLHALHKLWIS >PAN32552 pep chromosome:PHallii_v3.1:5:56405136:56408925:1 gene:PAHAL_5G495900 transcript:PAN32552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEAPTASASSAESRLLQSLADRGWRFRDPTDEAIQALLLASPAPSPEAMEAELVDMDLRTFGGKSLPDRATTAATAKRLSYLHGPIVLQVVSIRDIYHSSIDASFKNPQQRRLLRFGLTDGKCEAVAIEFSHIPFITEEIAPGTKIRLENKIPINNGILCLSAKNVSVIGGTVQSLYEEWQMNQKYSGLSRPSLRLSQSDDGAGPPPFEKLDIEAHPGRTTKVQAYPARKLAVTHDHAPVNSGGKPMNEVSNDVNKDTPKSKVESKQITQDSRPKEVSETVPVQNQAAAQKLLQKMSQAVPEDRRGRGHRFKGKGREEDARVFTLDEWEKKKAIGSKSTAESYLQDTSRDEELARQLQEQLDLEDMHGGADVFHGGAESSDAERLRMSMFSFNGPDEAGGGRRDFGGWGRGRGRGRGRGRGWGRGRF >PVH37749 pep chromosome:PHallii_v3.1:5:4706329:4707348:1 gene:PAHAL_5G076100 transcript:PVH37749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTNAPAGAGSCPPLGTLPVLVYDHGLGPNNRQTAFAIEPPHERRAGAGGQLLPRDSHGWVLLVAPGPSPRTRLWDPRSGESEILPAMERELLEDWECCLSDAPTAPSCVMLVLDTKKPKFLYCRVGDSLWSVHEYDIGNVKLPPEYAPPRKLVIQQTAAVDGKFYFGETGKAGGHRFLAGNAGVQLRRPPVPRVPGWIQLPQRATGGVTRRIVQRLHLSQGIHTRDLDGRPTLSKVDDLGDRVLLLSYTNVQLLCSASKYGVKGNRVYFNHNVMGDMDGGLLCIFDLDEQSLKTLRSCPEMAELLRNPLWMLPTDQDSTRGADK >PAN32071 pep chromosome:PHallii_v3.1:5:54372833:54376560:1 gene:PAHAL_5G461000 transcript:PAN32071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSWTQRLLTAATTAAVLLAAVCAAASALDAFHVPAVQAQAHVTKINRFHKQINGNDKVTLTFNVSANLESLFTWNTKQVFVFLTAEYENAKNSLNQISLWDHIIPDKEHANLQLEVKSREAVCVGRKFTLFSTGMLCPMLAP >PVH39232 pep chromosome:PHallii_v3.1:5:54372833:54376499:1 gene:PAHAL_5G461000 transcript:PVH39232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSWTQRLLTAATTAAVLLAAVCAAASALDAFHVPAVQAQAHVTKINRFHKQINGNDKVTLTFNVSANLESLFTWNTKQVFVFLTAEYENAKNSLNQGILKLFD >PAN32072 pep chromosome:PHallii_v3.1:5:54372833:54376560:1 gene:PAHAL_5G461000 transcript:PAN32072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSWTQRLLTAATTAAVLLAAVCAAASALDAFHVPAVQAQAHVTKINRFHKQINGNDKVTLTFNVSANLESLFTWNTKQVFVFLTAEYENAKNSLNQISLWDHIIPDKEHANLQLEVKSRYPLIDQGSSLRGKKVHLVLHWYVMPNAGAMTQGRMALSEFKLPDAYTS >PAN26541 pep chromosome:PHallii_v3.1:5:1308963:1310467:-1 gene:PAHAL_5G020100 transcript:PAN26541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEPPVQLIGAFGSPFVHRAEVALRLKGVPYELILEDMSSKSDLLLKHNPVHKKVPVLLHGDRAVSESLVIVHYVDEAFDGPPLLPADPYERALARFWAHFLEEKCLEPLRVALFADGEAQKAAMKEARESLALVEEQLREKRFLGGDDIGLADIAAGGLLAHWLGVLEEVAGVRVLSDDEHPALRRWAVEYSCSEAVKECLPDRGRLLSYFAGIRDKCVSVANSMLPK >PAN32419 pep chromosome:PHallii_v3.1:5:55821484:55822616:1 gene:PAHAL_5G486400 transcript:PAN32419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPGPPAWSVAVRLRHRWGLDIRAAAENVLPGWGRGGERLSLLLRFRRRLILTVTSQCGARPAAATHPGTPPRGCKILRFLRSRWARRPRVASIWRRKKYPPARVAAASPPGHRAQQSRTPTRPGFLAMACPPTSAAALRFAVAAVAVVVVFRITVCIGCWNWRGGRDGERIARWAKFLELMEHHPPPYHKYKWLLGVPKRGLEWILSK >PAN33091 pep chromosome:PHallii_v3.1:5:59037389:59041016:1 gene:PAHAL_5G534300 transcript:PAN33091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALGHRGEASEPDFFRGVLGELVLTFLFVFIGVGAAMTAGAKTGAGGDLTAVALGQALVVCVIATAGFHISGGHVNPAVTLSLAVGGHITLFRSALYIVAQMLGSSTACILLRWLTGGLATPVHALAAGVGPVQGVVAETVFTFSLLFVIYATILDPRKLLPGAGPLLTGLLVGANSIAGAVLSGASMNPARSFGPAVATGVWTHHWVYWVGPLAGGPLAVLVYECFFMAPARTHQLLPQEE >PVH38111 pep chromosome:PHallii_v3.1:5:10968418:10969147:-1 gene:PAHAL_5G181300 transcript:PVH38111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDPRSRNERPEKYTGPCRQTNRSGARRIGASQSSLVDRTRKGDAESYGCRLRRRRRRDATRAASSRLLHRRSQRRASCTRTATRATGRGQGARPATRARATPGKWARRSARPHGERARGLSAARQAADAPAATRTHARRGTEEGRGMQMVGRGWRACGAPARATWRHVRDGGWRP >PAN30484 pep chromosome:PHallii_v3.1:5:20426780:20443333:-1 gene:PAHAL_5G285100 transcript:PAN30484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERDALPPLPLALGPPHLIPPAPTHDPRAIALLPDLGGLPWVAYAAGSFLVVSHLPSPSRDDTSDGAGDDGCSPFFRQVIDLHAPVSAVAWCGRGSAEVAAAADNSVSIFQPAPDASSPGSFGWLLRWSITETFAITAVAWTGAGDGIVAVGAGVSMWARVQSSWQLAWRSIPKVPQSLVSSTRFVQGPVATVAAVAPAEGSVPVLVFLNDAKRGLEQAELVHPQPICMIQWRPWLLCVSDRSEIRREILMTCCLDGTVRLWSEDELVKSKKQRGLQISFSVIAVIEVNNTLNGVLGVDITVRWSMESGSVVSRDEEGKFELFSGDSRESQVGKCEWLVSVGPGPCVNYWAVHCLDDVFPPPRYPRITLWKQSKLQGWGESYVKLAPPKSLEQPIFVDAIVSRRLCSDPPTTCSLLHLLPDDSFVWSALSNSLLPNSGTHVSSESANSISCCLTKPVKQDGHKGRIRQVSVHPYSCEIQIAVSMDSNRMLFFWSLSTFSTLIPTLHAPTYPLWKPLCKFDLRNSSEDVEYSCLCWAPSVSRDFRFLVLGGENGADCFIVRIKKEVLTCQRIFTIPFLGQRNAEGPPDSIHTIPLDSKCSGCFVNNSFLVVCIWRKSFQAFSWKVVLHSENQLDSGRCLCGFDVSSLSTTNQVRHVTCFDSETFSAVIYEGSSVFTSSLEGEYPTCISVVPLNNTVLPIQHEPYRTVPGYHIATGCSDGTVKLWKMSCADNSLQTEKEGHIWELVGMYGAHRGPVSMVVLSNCGRVVTVCRNLKKNSTSINIWEAVKLIGDGSFLLEDAVILQDYIVGLEGLSLGDGRFLLAVYLPNELRIYSHKHPSFQNVLHNDNSKEEHLWSCIALSHSHHDIAGFLWGPKATITLVHENHLSLFSSWLVTGADEYTTQIRACPIDVHEMLPCANNFNETAFGKFKLPETYGSRTIVSNGVLRTDQDDSCCSHSLWNLLDIADKLSRPVASYNPRALVQYLYSGEWKRANAALQHLFKSMKASEASKITSKCSSCRKSCHSIPELPLSEYFVETMSNNISNKGFLWGEDRSNTTFNLLSPSNSFLYGDGNLGTSTTTSASKKSEIAELLDKNFNVYGISDTERTQILAISDLMLEISDQTRSSPYKSLDEAGRRFWVAVQFRQLHALRRSGYSSSSEGFLVDSASIAWAFQSDCQDDLLNSVLPLEPTWSEMQKLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKISRDEKDKRLYEFLSRNFQEERHKAAALKNAYVLMGRHQWELAIAFFLLGDDFSSAVNVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPRSVEKGDNWLSSLLEWMLGNYYQSINRLFGCHPKLEVDESKIVGAPNVFSDPEVGQYCAILSTKNGFRNCVGEAVSAKLSKLSFAMAACALNKCGLPLEALECLCSNLGIDGKESINVPSGGDHKIFDGMLNPFSVSKNWLSSSVISDFESDLKITMASKYLSHLLRNHLFCSQCNASLSEDKVLNDYNGHQIEELTHDVTAVMSIFDRRFSLKFADIAEKILIFCCHDGLLFLAYALLWGCKSPDVAIDRHGLECFSLRPINYLLLVSFKESCKFLSRHVVYSSFMRFVLNMELTNTTACTPKENHKYIISGLSNYLNASRLLLRHDNGGNDILDNRSAMLTVMDLLEYIIEFSFSWLYCDIKELLIMINPVLAASVKGESFKVLLDRLKHAMLHRSHDVPLNTEGGMPSASIHKIQLKKSEISNHLIDEKWHLLGASLWIRLTSVMQHYLREFVEKERREHETGGSDSEFKGHFSSVAAKFTMNSIHFVSSSLVKLHASFLRKNLPINSHSSVLFWLECNSSQQWSDSNSYDQLSRILQLANSENMEVLFNNLWEISVNSVDICNAFVNEGVNCFSLSNINVTRSWKDIRGTAVECKNNSTQRSGEEHKHGLRSKNNDKRQGFADEASSNGEVFPETKRKELIVQKDFQSPMELLSRSGELLEAICLNSINEKQAAIATNRKGLVFFNWSEKKHCKKFAEYVWSRSDWPLDGWAGCESTPTPTFISSGVGLGRKKGSQLSSGGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPEEFEDFVDPPATLENIHSRALSRHPSLPLFLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNVHSTDSSLCFDSHASDVSYVSASGSVVASAGSNSNGANIVIWDTLSPPGTCQTSIMCHEGGARSLSVFDSDRGCGSISPLVVTGGKSGDVALHDFRFLSTGKSQHNRISKEHGVKEPSRHDTESGTSGGTANGMVWHIPKAHLGNVTKVTTIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWPKLHERHTFFQPTSRGFGGVVRAAVTDLQVLSHGFISCGGDGSVKLVQLKDDLPVVHQQ >PAN30481 pep chromosome:PHallii_v3.1:5:20427413:20442616:-1 gene:PAHAL_5G285100 transcript:PAN30481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARVQSSWQLAWRSIPKVPQSLVSSTRFVQGPVATVAAVAPAEGSVPVLVFLNDAKRGLEQAELVHPQPICMIQWRPWLLCVSDRSEIRREILMTCCLDGTVRLWSEDELVKSKKQRGLQISFSVIAVIEVNNTLNGVLGVDITVRWSMESGSVVSRDEEGKFELFSGDSRESQVGKCEWLVSVGPGPCVNYWAVHCLDDVFPPPRYPRITLWKQSKLQGWGESYVKLAPPKSLEQPIFVDAIVSRRLCSDPPTTCSLLHLLPDDSFVWSALSNSLLPNSGTHVSSESANSISCCLTKPVKQDGHKGRIRQVSVHPYSCEIQIAVSMDSNRMLFFWSLSTFSTLIPTLHAPTYPLWKPLCKFDLRNSSEDVEYSCLCWAPSVSRDFRFLVLGGENGADCFIVRIKKEVLTCQRIFTIPFLGQRNAEGPPDSIHTIPLDSKCSGCFVNNSFLVVCIWRKSFQAFSWKVVLHSENQLDSGRCLCGFDVSSLSTTNQVRHVTCFDSETFSAVIYEGSSVFTSSLEGEYPTCISVVPLNNTVLPIQHEPYRTVPGYHIATGCSDGTVKLWKMSCADNSLQTEKEGHIWELVGMYGAHRGPVSMVVLSNCGRVVTVCRNLKKNSTSINIWEAVKLIGDGSFLLEDAVILQDYIVGLEGLSLGDGRFLLAVYLPNELRIYSHKHPSFQNVLHNDNSKEEHLWSCIALSHSHHDIAGFLWGPKATITLVHENHLSLFSSWLVTGADEYTTQIRACPIDVHEMLPCANNFNETAFGKFKLPETYGSRTIVSNGVLRTDQDDSCCSHSLWNLLDIADKLSRPVASYNPRALVQYLYSGEWKRANAALQHLFKSMKASEASKITSKCSSCRKSCHSIPELPLSEYFVETMSNNISNKGFLWGEDRSNTTFNLLSPSNSFLYGDGNLGTSTTTSASKKSEIAELLDKNFNVYGISDTERTQILAISDLMLEISDQTRSSPYKSLDEAGRRFWVAVQFRQLHALRRSGYSSSSEGFLVDSASIAWAFQSDCQDDLLNSVLPLEPTWSEMQKLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKISRDEKDKRLYEFLSRNFQEERHKAAALKNAYVLMGRHQWELAIAFFLLGDDFSSAVNVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPRSVEKGDNWLSSLLEWMLGNYYQSINRLFGCHPKLEVDESKIVGAPNVFSDPEVGQYCAILSTKNGFRNCVGEAVSAKLSKLSFAMAACALNKCGLPLEALECLCSNLGIDGKESINVPSGGDHKIFDGMLNPFSVSKNWLSSSVISDFESDLKITMASKYLSHLLRNHLFCSQCNASLSEDKVLNDYNGHQIEELTHDVTAVMSIFDRRFSLKFADIAEKILIFCCHDGLLFLAYALLWGCKSPDVAIDRHGLECFSLRPINYLLLVSFKESCKFLSRHVVYSSFMRFVLNMELTNTTACTPKENHKYIISGLSNYLNASRLLLRHDNGGNDILDNRSAMLTVMDLLEYIIEFSFSWLYCDIKELLIMINPVLAASVKGESFKVLLDRLKHAMLHRSHDVPLNTEGGMPSASIHKIQLKKSEISNHLIDEKWHLLGASLWIRLTSVMQHYLREFVEKERREHETGGSDSEFKGHFSSVAAKFTMNSIHFVSSSLVKLHASFLRKNLPINSHSSVLFWLECNSSQQWSDSNSYDQLSRILQLANSENMEVLFNNLWEISVNSVDICNAFVNEGVNCFSLSNINVTRSWKDIRGTAVECKNNSTQRSGEEHKHGLRSKNNDKRQGFADEASSNGEVFPETKRKELIVQKDFQSPMELLSRSGELLEAICLNSINEKQAAIATNRKGLVFFNWSEKKHCKKFAEYVWSRSDWPLDGWAGCESTPTPTFISSGVGLGRKKGSQLSSGGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPEEFEDFVDPPATLENIHSRALSRHPSLPLFLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNVHSTDSSLCFDSHASDVSYVSASGSVVASAGSNSNGANIVIWDTLSPPGTCQTSIMCHEGGARSLSVFDSDRGCGSISPLVVTGGKSGDVALHDFRFLSTGKSQHNRISKEHGVKEPSRHDTESGTSGGTANGMVWHIPKAHLGNVTKVTTIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWPKLHERHTFFQPTSRGFGGVVRAAVTDLQVLSHGFISCGGDGSVKLVQLKDDLPVVHQQ >PAN30482 pep chromosome:PHallii_v3.1:5:20426780:20443398:-1 gene:PAHAL_5G285100 transcript:PAN30482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERDALPPLPLALGPPHLIPPAPTHDPRAIALLPDLGGLPWVAYAAGSFLVVSHLPSPSRDDTSDGAGDDGCSPFFRQVIDLHAPVSAVAWCGRGSAEVAAAADNSVSIFQPAPDASSPGSFGWLLRWSITETFAITAVAWTGAGDGIVAVGAGVSMWARVQSSWQLAWRSIPKVPQSLVSSTRFVQGPVATVAAVAPAEGSVPVLVFLNDAKRGLEQAELVHPQPICMIQWRPWLLCVSDRSEIRREILMTCCLDGTVRLWSEDELVKSKKQRGLQISFSVIAVIEVNNTLNGVLGVDITVRWSMESGSVVSRDEEGKFELFSGDSRESQVGKCEWLVSVGPGPCVNYWAVHCLDDVFPPPRYPRITLWKQSKLQGWGESYVKLAPPKSLEQPIFVDAIVSRRLCSDPPTTCSLLHLLPDDSFVWSALSNSLLPNSGTHVSSESANSISCCLTKPVKQDGHKGRIRQVSVHPYSCEIQIAVSMDSNRMLFFWSLSTFSTLIPTLHAPTYPLWKPLCKFDLRNSSEDVEYSCLCWAPSVSRDFRFLVLGGENGADCFIVRIKKEVLTCQRIFTIPFLGQRNAEGPPDSIHTIPLDSKCSGCFVNNSFLVVCIWRKSFQAFSWKVVLHSENQLDSGRCLCGFDVSSLSTTNQVRHVTCFDSETFSAVIYEGSSVFTSSLEGEYPTCISVVPLNNTVLPIQHEPYRTVPGYHIATGCSDGTVKLWKMSCADNSLQTEKEGHIWELVGMYGAHRGPVSMVVLSNCGRVVTVCRNLKKNSTSINIWEAVKLIGDGSFLLEDAVILQDYIVGLEGLSLGDGRFLLAVYLPNELRIYSHKHPSFQNVLHNDNSKEEHLWSCIALSHSHHDIAGFLWGPKATITLVHENHLSLFSSWLVTGADEYTTQIRACPIDVHEMLPCANNFNETAFGKFKLPETYGSRTIVSNGVLRTDQDDSCCSHSLWNLLDIADKLSRPVASYNPRALVQYLYSGEWKRANAALQHLFKSMKASEASKITSKCSSCRKSCHSIPELPLSEYFVETMSNNISNKGFLWGEDRSNTTFNLLSPSNSFLYGDGNLGTSTTTSASKKSEIAELLDKNFNVYGISDTERTQILAISDLMLEISDQTRSSPYKSLDEAGRRFWVAVQFRQLHALRRSGYSSSSEGFLVDSASIAWAFQSDCQDDLLNSVLPLEPTWSEMQKLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKISRDEKDKRLYEFLSRNFQEERHKAAALKNAYVLMGRHQWELAIAFFLLGDDFSSAVNVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPRSVEKGDNWLSSLLEWMLGNYYQSINRLFGCHPKLEVDESKIVGAPNVFSDPEVGQYCAILSTKNGFRNCVGEAVSAKLSKLSFAMAACALNKCGLPLEALECLCSNLGIDGKESINVPSGGDHKIFDGMLNPFSVSKNWLSSSVISDFESDLKITMASKYLSHLLRNHLFCSQCNASLSEDKVLNDYNGHQIEELTHDVTAVMSIFDRRFSLKFADIAEKILIFCCHDGLLFLAYALLWGCKSPDVAIDRHGLECFSLRPINYLLLVSFKESCKFLSRHVVYSSFMRFVLNMELTNTTACTPKENHKYIISGLSNYLNASRLLLRHDNGGNDILDNRSAMLTVMDLLEYIIEFSFSWLYCDIKELLIMINPVLAASVKGESFKVLLDRLKHAMLHRSHDVPLNTEGGMPSASIHKIQLKKSEISNHLIDEKWHLLGASLWIRLTSVMQHYLREFVEKERREHETGGSDSEFKGHFSSVAAKFTMNSIHFVSSSLVKLHASFLRKNLPINSHSSVLFWLECNSSQQWSDSNSYDQLSRILQLANSENMEVLFNNLWEISVNSVDICNAFVNEGVNCFSLSNINVTRSWKDIRGTAVECKNNSTQRSGEEHKHGLRSKNNDKRQGFADEASSNGEVFPETKRKELIVQKDFQSPMELLSRSGELLEAICLNSINEKQAAIATNRKGLVFFNWSEKKHCKKFAEYVWSRSDWPLDGWAGCESTPTPTFISSGVGLGRKKGSQLSSGGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPEEFEDFVDPPATLENIHSRALSRHPSLPLFLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNVHSTDSSLCFDSHASDVSYVSASGSVVASAGSNSNGANIVIWDTLSPPGTCQTSIMCHEGGARSLSVFDSDRGCGSISPLVVTGGKSGDVALHDFRFLSTGKSQHNRISKEHGVKEPSRHDTESGTSGGTANGMVWHIPKAHLGNVTKVTTIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWPKLHERHTFFQPTSRGFGGVVRAAVTDLQVLSHGFISCGGDGSVKLVQLKDDLPVVHQQ >PVH38892 pep chromosome:PHallii_v3.1:5:47758601:47758873:1 gene:PAHAL_5G383500 transcript:PVH38892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGADEEGSDVEPVTGLTWKLIAETCGADEVTKLRRSARLATAREVEEEPESDSEEEAINEEDIDFESDHDDVVVTTALEQEGEEDNDS >PAN29870 pep chromosome:PHallii_v3.1:5:16207996:16215400:-1 gene:PAHAL_5G255800 transcript:PAN29870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAAAPERSPSASPPPPAPAQAPPSPSPTQPLEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGHHLATGDRGGRVVLFERTDVKDHACRKDAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIKWCQAANSALFLLSTNDKTIKFWKVQEKKVKKVSEMNLDRSTAANGSPGGVGYLSPSLSNGNALKPVGFDLLRMPVVTSQETSLAANCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNFEISSQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQIFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDVKMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGVGTGSNEATALEATRNPTRRQVQNPTRAARSLNTLTRAVRRGGESTGIDANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >PAN29869 pep chromosome:PHallii_v3.1:5:16208621:16215140:-1 gene:PAHAL_5G255800 transcript:PAN29869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAAAPERSPSASPPPPAPAQAPPSPSPTQPLEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGHHLATGDRGGRVVLFERTDVKDHACRKDAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIKWCQAANSALFLLSTNDKTIKFWKVQEKKVKKVSEMNLDRSTAANGSPGGVGYLSPSLSNGNALKPVGFDLLRMPVVVTSQETSLAANCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNFEISSQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQIFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDVKMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGVGTGSNEATALEATRNPTRRQVQNPTRAARSLNTLTRAVRRGGESTGIDANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >PAN30951 pep chromosome:PHallii_v3.1:5:48051735:48055762:-1 gene:PAHAL_5G385300 transcript:PAN30951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKQLLGAINGKELAVEQREQMFEMMSASKIHMGHCIVKILHILASTYIYLYAVCMDGTPPAYNLDPGSGAGSRSWIVNLEGGAWCNSVKTCRLSKGTGRGSSDHMEKEIAFTGIMSSSRAVNPDFYNWNRVKIRYCDGGSFAGDAYNKAGIYFRGQRIWNAVIKHLLSIGMASADQVLLTGCSSGGLAVILHCDQLRAFFPPAAGGRQTTVKCLSDGGLYLDAVDISGGRSLRSYFGDIVALQGIPQSLPGSCTAHLDATSCFFPPNIIKDMKTPLFILNAAYDVIQISLSLAPDRADPSGSWRTCKSNRSACNASQMNFLQGFRDQMVSSVQGFSQSRSNGLFISSCFAHCQSEQLGTWNNVPGRSPTIQNKGIAKSVGDWYYNRAEVKAVDCRYPCDKYCHHIM >PVH38899 pep chromosome:PHallii_v3.1:5:48051741:48055762:-1 gene:PAHAL_5G385300 transcript:PVH38899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKQLLGAINGKELAVEQREQMFEMMSASKIHMGHCIVKILHILASTYIYLYAVCMDGTPPAYNLDPGSGAGSRSWIVNLEGGAWCNSVKTCRLSKGTGRGSSDHMEKEIAFTGIMSSSRAVNPDFYNWNRVKIRYCDGGSFAGDAYNKQAGIYFRGQRIWNAVIKHLLSIGMASADQVLLTGCSSGGLAVILHCDQLRAFFPPAAGGRQTTVKCLSDGGLYLDAVDISGGRSLRSYFGDIVALQGIPQSLPGSCTAHLDATSCFFPPNIIKDMKTPLFILNAAYDVIQISLSLAPDRADPSGSWRTCKSNRSACNASQMNFLQGFRDQMVSSVQGFSQSRSNGLFISSCFAHCQSEQLGTWNNVPGRSPTIQNKGIAKSVGDWYYNRAEVKAVDCRYPCDKYCHHIM >PAN32423 pep chromosome:PHallii_v3.1:5:55846325:55853001:-1 gene:PAHAL_5G486700 transcript:PAN32423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQSTGSLASSECGSELQRSPSPSPEPLQRSWTREQSPRAAGFDFFLSNKNNDSPASRKEPEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNMTNQKLRDANEKLEILEEKSLRCHCDYKENGNVADQTAILSREHSNMLEQNKKLEADIIELKEEVDSARRQFEEELSERDGEISKLKQDLADASEKLLQEKCTNGARISELQKSVKDIRSKLERVSEEKLLVEKQVKELEEANAEAEKYSQELTEDAERLSEEKFRHEAEILTMQQSIENLKSRIESLAQEKSLMTTWFSDLEQVVGRGRSIFVG >PVH39401 pep chromosome:PHallii_v3.1:5:56199692:56203347:1 gene:PAHAL_5G493300 transcript:PVH39401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVSTALVRGLMRSSRASSAAAMSRPAIQKFMNYSSGLGGAPNANGDSTTTRVAADPDTHQDFQPTSKSSEMSFDDIVAQDIKEHPVVIYMKGYPDAPRCGFSALAVKVLQQYGVPISARDILSDLKLKETVKAYSHWPTFPQIFIKGEFVGGSDIILSMHQKGELKELLGDIAQKGEQNGSS >PAN31978 pep chromosome:PHallii_v3.1:5:53907013:53909270:-1 gene:PAHAL_5G454600 transcript:PAN31978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALDPLQSSSNRMLLIGFLILGLMGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRGVGDDGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLNDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVALIFMSLLVLRHTLPLMVGGNGEYSLALFSLLVLRTAGILFPILVMVRALASFHRRRRQQIT >PVH39211 pep chromosome:PHallii_v3.1:5:53907013:53909067:-1 gene:PAHAL_5G454600 transcript:PVH39211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRGVGDDGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLNDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVALIFMSLLVLRHTLPLMVGGNGEYSLALFSLLVLRTAGILFPILVMVRALASFHRRRRQQIT >PAN31982 pep chromosome:PHallii_v3.1:5:53906529:53910314:-1 gene:PAHAL_5G454600 transcript:PAN31982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRGVGDDGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLNDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVALIFMSLLVLRHTLPLMVGGNGEYSLALFSLLVLRTAGILFPILVMVRALASFHRRRRQQERRETYISSSESEEEEEEEEDTVTNSARSNYSQPRLIPVY >PAN31981 pep chromosome:PHallii_v3.1:5:53906529:53910314:-1 gene:PAHAL_5G454600 transcript:PAN31981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRGVGDDGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLNDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVALIFMSLLVLRHTLPLMVGGNGEYSLALFSLLVLRTAGILFPILVMVRALASFHRRRRQQERRETYISSSESEEEEEEEEDTVTNSARSNYSQPRLIPVY >PVH39209 pep chromosome:PHallii_v3.1:5:53907013:53909270:-1 gene:PAHAL_5G454600 transcript:PVH39209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALDPLQSSSNRMLLIGFLILGLMGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRGVGDDGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLNDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVALIFMSLLVLRHTLPLMVGGNGEYSLALFSLLVLRTAGILFPILVMVRALASFHRRRRQQIT >PAN31977 pep chromosome:PHallii_v3.1:5:53906529:53910314:-1 gene:PAHAL_5G454600 transcript:PAN31977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALDPLQSSSNRMLLIGFLILGLMGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRGVGDDGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLNDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVALIFMSLLVLRHTLPLMVGGNGEYSLALFSLLVLRTAGILFPILVMVRALASFHRRRRQQERRETYISSSESEEEEEEEEDTVTNSARSNYSQPRLIPVY >PAN31980 pep chromosome:PHallii_v3.1:5:53906529:53909604:-1 gene:PAHAL_5G454600 transcript:PAN31980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALDPLQSSSNRMLLIGFLILGLMGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRGVGDDGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLNDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVALIFMSLLVLRHTLPLMVGGNGEYSLALFSLLVLRTAGILFPILVMVRALASFHRRRRQQERRETYISSSESEEEEEEEEDTVTNSARSNYSQPRLIPVY >PVH39210 pep chromosome:PHallii_v3.1:5:53907013:53909067:-1 gene:PAHAL_5G454600 transcript:PVH39210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRGVGDDGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLNDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVALIFMSLLVLRHTLPLMVGGNGEYSLALFSLLVLRTAGILFPILVMVRALASFHRRRRQQIT >PAN29664 pep chromosome:PHallii_v3.1:5:15257920:15262558:-1 gene:PAHAL_5G242600 transcript:PAN29664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLLLRAHAGAPVLARAFPCRLLVLTRRRRGLVSPLTAAKVGGAAAVQKRRRRDAGESLSFSRVVTRRDAVDEDEEDVEGEALQLGAGTSGGEAGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTEVQEATLPIILHGKDVLAKAKTGTGKTIAFLLPAIEVLSTLPHQRNQLRPPINLLVMCPTRELANQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIEKIIASIPRERQTLLFSATVPEEVRQISHVAMKKDYRFINTVKEGDEETHSQVSQTYMVAPLDLHFSILHDVLKKHVAEDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGIPVDREQYIHRLGRTGRRGKEGQGLLLLAPWEKYFLGTVKDLSISEAAVPSVDSSVETEVKNAVRKVEMKSKECAYQAWLGYYNSNKTIGRDKSRLAHLAEEFSQSMGLPVPPAIPRNILRKMGLNNVPGLRSS >PVH39489 pep chromosome:PHallii_v3.1:5:57762910:57766899:1 gene:PAHAL_5G515600 transcript:PVH39489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKQQQAVAPSAAWMTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSAATVTKKMLANEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANEGKPLPLLQKAVIGLTAGAIGASVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKLGAGELSTMLGASAVSGFCASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCVMKTLKSGGPFKFYTGFPVYCVRIAPHVMLTWIFLNQIQKFEKDMGL >PAN32837 pep chromosome:PHallii_v3.1:5:57762947:57766899:1 gene:PAHAL_5G515600 transcript:PAN32837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKQQQAVAPSAAWMTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSAATVTKKMLANEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANEGKPLPLLQKAVIGLTAGAIGASVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKLGAGELSTMLGASAVSGFCASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCVMKTLKSGGPFKFYTGFPVYCVRIAPHVMLTWIFLNQIQKFEKDMGL >PVH39510 pep chromosome:PHallii_v3.1:5:58225801:58229871:1 gene:PAHAL_5G523300 transcript:PVH39510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRILISEVTWASCCDLQVVVGSGLKASEVQPAHAARSASTRCMGKAISLLRPPQPPQEDEEGVMELRKRPRPRRVDPDFVSSPPPVPPRKRARKQAAATKRQPPTKRERRPAVGIGCPVAGLHRVTCGRQPPPPPRMSTRVLFRPRRPFNWYEPDLWTEVAKHLCGFDLLRLSFSCRWFHRLLADDSIWRYAFFRDLDLSDANPRVHRPLYRSWRHLYFAAFDGTHAYSLCQSGEHRSSWRIGSFLLDSPQMLLMGKLPVPKWLPPDPDDVQAGIEMMGACKLHNARPGIWITDMHVMRCPMCNRNSCRGNKQILDARHSELFLEEAYWDQTLQYEHLGEHFQDEEVADAFCAIVNAKRLASPSTAFILSKSWAGKCDDLLTKQCASATAAAIHTNLQPNGGLASQFEAMRDTARDGQIVSVRISQILF >PAN26603 pep chromosome:PHallii_v3.1:5:1580705:1584500:1 gene:PAHAL_5G024600 transcript:PAN26603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVAYDASSDEEDAGEPPVAAAPSPPPVASSIGPQPRPPSPSTAVGAAPQPTPPPPAPSQSQNIASISSSNVSLPTPSLDLPDVADLFAPPADNASRKRESNGSALHDSRSKFPRMQPQTRGVRSAAGNSLIPPQLRGRSNIVTEDMSKLFVAKRKE >PVH37529 pep chromosome:PHallii_v3.1:5:1581678:1584500:1 gene:PAHAL_5G024600 transcript:PVH37529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVAYDASSDEEDAGEPPVAAAPSPPPVASSIGPQPRPPSPSTAVGAAPQPTPPPPAPSQSQNIASISSSNVSLPTPSLDLPDVADLFAPPADNASRKRESNGSALHDSRSKFPRMQPQTRGVRSAAGNSLIPPQLRGRSNIVTEDMSKLFVAKRKE >PVH38214 pep chromosome:PHallii_v3.1:5:12128759:12129459:-1 gene:PAHAL_5G199500 transcript:PVH38214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLPFLPVLLFVFLAVHVPASHGDPAPPLTTYDASMCPESSRCGDVSIKYPFFLSNTVRYIANRNYDTPYVCGYTDLEISCEGDGPTGTRVIRLGSESYTVLNISYENKTIVLADSDMLRPGRSHNVSFSEVWLRYSTSSNGNLTFFLGCDPALAGLDTYRIDCNGLKSPFGDGGASFVPTPDDHGKASPRARVGRVLQEPLRAGEERGSDGDSKEQDQLMKRRIWGRA >PAN31958 pep chromosome:PHallii_v3.1:5:53810533:53814512:1 gene:PAHAL_5G452700 transcript:PAN31958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSSSAALRRAGAPSPAAPRRASPAGLLIARFAASSAAQPAPPSAAPSSSPPSAAGKGKGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >PAN29338 pep chromosome:PHallii_v3.1:5:13301218:13303789:-1 gene:PAHAL_5G217800 transcript:PAN29338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLSSAFTLLSRPSSSPCPSASLARSSVAVPHGRRGRRTVAAACTATESPKVLELGDAIAGLTLEEARSLVDHLQERLGVTAAAFAPAAVVAAPGAGGAGAAEEAAPVEKTEFDVVIDEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKLKEGVSKDEADDAKKQLEEVGAKVSIV >PAN28524 pep chromosome:PHallii_v3.1:5:9620863:9623966:-1 gene:PAHAL_5G159600 transcript:PAN28524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTIRSISITVSDEDGAAAAAAPTRRPRGGRRKAAARGLGQRAARLVARWWPVLLLLPAVALLLFEASRLRGSPPAPAAHASSLGRLDPTTRLVRGVREPCLKLLSPKSLANLVFPEGLKHDFVVKKITYKSDDDDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLSEREDSFKVNETVNVHCGFYSDNGGFKISEEDKRYMRTCKVVVSTCAFGGGDDLYQPIGMANSSFGRVCYTAFWDEVTLSTQEAEGKVIGDDGMIGRWRIIVVKSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIAVLEALLWRTNSTLAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPGEKRLHGLKALAEASIIVRELTPAPNHFMCAWFNEVVRFTSRDQLSFPYVLWRINMPGMSMFPVCSRKDLVNSLGHTRKVKPLAQTNPESSAS >PVH38044 pep chromosome:PHallii_v3.1:5:9621303:9623714:-1 gene:PAHAL_5G159600 transcript:PVH38044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTIRSISITVSDEDGAAAAAAPTRRPRGGRRKAAARGLGQRAARLVARWWPVLLLLPAVALLLFEASRLRGSPPAPAAHASSLGRLDPTTRLVRGVREPCLKLLSPKSLANLVFPEGLKHDFVVKKITYKSDDDDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLSEREDSFKVNETVNVHCGFYSDNGGFKISEEDKRYMRTCKVVVSTCAFGGGDDLYQPIGMANSSFGRQVCYTAFWDEVTLSTQEAEGKVIGDDGMIGRWRIIVVKSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIAVLEALLWRTNSTLAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPGEKRLHGLKALAEASIIVRELTPAPNHFMCAWFNEVVRFTSRDQLSFPYVLWRINMPGMSMFPVCSRKDLVNSLGHTRKVKPLAQTNPESSAS >PAN32758 pep chromosome:PHallii_v3.1:5:57386510:57387586:1 gene:PAHAL_5G509800 transcript:PAN32758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEPASSEGAQRRRPRVLLAASGSVAAIKFEGLCRSVAEWADVRAVATASALHFIDGASFPSAVPLYTDDDEWSRWRRVGDEVLHIELRRWADALVIAPLSANTLAKIAGGLCNNLLTCVVRAWDYGKPVYVAPAMNTFMWDNPFTARHLGVVRELGVSLIPPVTKRLACGDYGNGAMAEPSEICRTLMLFFGPQHL >PVH37900 pep chromosome:PHallii_v3.1:5:7050467:7051968:-1 gene:PAHAL_5G117100 transcript:PVH37900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDSDERLEEQPMMHGNGHGRVHPAASSSDFSGEMNQSVSAPSSDPSSSPLYSFHFEKPVPQQQPEQPPPPAAAQPSTYVVQVPKDKVFRVPPPENARLFEHYTRRAKRRSRCSCVRVCTCLLAAILALAVLLAAAAGVMYLVVRPRRPSYAVQALAVSGLAGVGNASAAPAAFSPGFDATVRADNPNGKIGVHYEGGRSHVSVSYDGVPLADGAWPALYQGPRNVTVFVAKAKGSGIRFSQGVRGQMAAAERLRSVPFDVDVEVPVRLQLGGVRTWAVPARARCTVAVDRLAADAKVVSSSCDVKVRFLSWRN >PAN31401 pep chromosome:PHallii_v3.1:5:51437529:51441092:1 gene:PAHAL_5G417000 transcript:PAN31401 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MTGGSGVVVIDNGGGLLKAGFGGDKDPIAVVPNCMAKPPGGNTKKWLVADQLQADDVDVTGMTLKRPIDRGYLINTEVQREVWERVVRNLLQVDPSNSSLLLVEPMFNPPALQHAADELVFEEFGFNSLCVADAPSLVHLYEASHQPTRFCAQCSLVVDCGFSFTHASPVLQNFILNYGVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSMDVPGDLRRARLSFKDNPFRCSYILPDGITYKKGFVKDMDEALRYCSPPLDQESDRKDHSVETNKFEDRKKPELSQNEFALTNERFLVPEMLFHPIDLGINQAGLAECIVRAVQACHPYLQPVLFESIILTGGSTLFPRFTERLEKELRPLVPDDYQVKIIRQENPILGAWRGGSILASSPDFESMCVTKSEYEEMGSARCRRRFFH >PAN32808 pep chromosome:PHallii_v3.1:5:57663389:57666091:-1 gene:PAHAL_5G513900 transcript:PAN32808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGGGGGAGGALGVAGAGRMPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGSKPQERMDAIGCSVSPSPCSSYQLSPRASYNASPTSSSFPSGASSPFLPPNEMANGIDGNPILPWLKTFSNGTPSKKHPLLPPLLIHGGSISAPVTPPLSSPSARTPRMKTDWDESAVQPPWHGANSPSIVNSTPPSPGRSMAPDPAWLAGIQISSTSPNSPTFSLVSTNPFSVFKESIPVGNSSRMCTPGQSGTCSPAIPGMPRSSDVHMMDVVSDEFAFGSSTNGPQQAAGLVRAWEGERIHEDSGSDDLELTLKL >PAN32313 pep chromosome:PHallii_v3.1:5:55442486:55443452:-1 gene:PAHAL_5G477600 transcript:PAN32313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGTAATPAASAPPPTMKLVVDAGAERVLFAEAGKDVVDFIFGVLAMPLGAADRLLVGRGGELGSIASAERMDAAACVQSAAARDALLLMVDPAAPPRHSHAPSAAAELSLSEPALSSPFAPAVPPLFEDNPTAPLFSAATAGFPFAGMPEPPQPPAPSTTSIKLSRPGPNRALPLYLNLCDACRAARLSKGSGGFVKDLATPYTVTDDLTVTPTMSSASTLALLKRLGVKDLDALEERTVSIGQEEALGILNAALRSKTVLTDAFLPKRKRPRVSGDEDGTRSTSE >PVH37572 pep chromosome:PHallii_v3.1:5:2108667:2109193:-1 gene:PAHAL_5G033900 transcript:PVH37572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIGTLAMRFHFGGDFVCDGSKKSYLGGREAMPYIDRDKVSLPEVVGHLRDHWNVFEGDCIVEGGVADIFVEDVPVEKGSGDESDGERNKSK >PVH38937 pep chromosome:PHallii_v3.1:5:48966647:48968920:-1 gene:PAHAL_5G392900 transcript:PVH38937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGYAVVQPTYVWSNTSHFRLPVPVGGDEITTLGEALGTRIQWSKHRILIPPRTRQPNSRTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQQQEKQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PAN31998 pep chromosome:PHallii_v3.1:5:53987796:53989258:1 gene:PAHAL_5G455900 transcript:PAN31998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSTESGFPEWDWLSSSSSGLPPAFPGSSLPTSGEPSPMENGERSSAKRVRVPSPPGNRVKQEVPAWEEEASGGGETEAMDGAAARAEVVVRIDKEMLHCPICTLPLKPPIFQCGVGHTACGSCHGQLPTNQCHSCDGGGGGLYGPCPVMDALVAKAVVPCPHQAYGCRASVAYYQASEHGSTCPHAPCACGEPGCAFVGSPPALLAHLAAAPHSWAVENFRYGETLRLSVPEPEARRLLVADGDDGSRRVFVLAVGDRASRTVPVSVACVRAPGAAAAGPQYTCKMWATGDKAPATGKVESVLVDMEVPSAAAAGAVAADDEKATFLGVPRKMLRGASRQMLLSVRIGRASG >PAN26626 pep chromosome:PHallii_v3.1:5:1706234:1706551:-1 gene:PAHAL_5G026900 transcript:PAN26626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGVARLASQRAVVIFGTSNCCMCHAVKTLFSDLGASWAVCELDKDPRGKDIEKALARMVGRSPPVPAVFIGGKLVGPTDQVMSLHLGGKLVPLLREAGALWL >PAN32501 pep chromosome:PHallii_v3.1:5:56173479:56174283:-1 gene:PAHAL_5G492600 transcript:PAN32501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEQEQEKQQAKTSTTSSLPSSSERSSSSAPNNLREGGVESDEEIRRVPEMGGASASASSGAGADERPKEDGKQGQLVAATGAQGQPPAAGKKRGRTAGDKEQNRLKRLLRNRVSAQQARERKKAYLTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHASKRSGGGAGGGKGGDGGGKKHHFTKS >PAN32502 pep chromosome:PHallii_v3.1:5:56172329:56174740:-1 gene:PAHAL_5G492600 transcript:PAN32502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEQEQEKQQAKTSTTSSLPSSSERSSSSAPNNLREGGVESDEEIRRVPEMGGASASASSGAGADERPKEDGKQGQLVAATGAQGQPPAAGKKRGRTAGDKEQNRLKRLLRNRVSAQQARERKKAYLTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHASKRSGGGAGGGKGGDGGGKKHHFTKS >PAN28474 pep chromosome:PHallii_v3.1:5:9409896:9411077:-1 gene:PAHAL_5G155600 transcript:PAN28474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQDETR >PAN28483 pep chromosome:PHallii_v3.1:5:9408412:9411179:-1 gene:PAHAL_5G155600 transcript:PAN28483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEAGNDDV >PAN28476 pep chromosome:PHallii_v3.1:5:9409122:9411077:-1 gene:PAHAL_5G155600 transcript:PAN28476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGL >PAN28475 pep chromosome:PHallii_v3.1:5:9408412:9411179:-1 gene:PAHAL_5G155600 transcript:PAN28475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEDG >PAN28482 pep chromosome:PHallii_v3.1:5:9409896:9411077:-1 gene:PAHAL_5G155600 transcript:PAN28482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQDETR >PAN28480 pep chromosome:PHallii_v3.1:5:9409896:9411077:-1 gene:PAHAL_5G155600 transcript:PAN28480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQDETR >PAN28479 pep chromosome:PHallii_v3.1:5:9409896:9411077:-1 gene:PAHAL_5G155600 transcript:PAN28479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQDETR >PAN28478 pep chromosome:PHallii_v3.1:5:9408412:9411179:-1 gene:PAHAL_5G155600 transcript:PAN28478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQDETR >PAN28481 pep chromosome:PHallii_v3.1:5:9409195:9411179:-1 gene:PAHAL_5G155600 transcript:PAN28481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEAGNDDV >PAN28477 pep chromosome:PHallii_v3.1:5:9409376:9411077:-1 gene:PAHAL_5G155600 transcript:PAN28477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPTSAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEAGNDDV >PAN27457 pep chromosome:PHallii_v3.1:5:4986308:4986736:1 gene:PAHAL_5G080800 transcript:PAN27457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGRLCILTALLVAAVLAAPAASVADAPWTGARRHRLAPAPAPVGRSPAMPPTKAHHLAPSPKARSFPPEGPAQAPSSPADGATIPSSGITPPGAASSTSPVVTAMIAPSEQRSIAAPAASSSWTSAFSSVAGMVALMLL >PAN28587 pep chromosome:PHallii_v3.1:5:9884175:9887950:1 gene:PAHAL_5G163900 transcript:PAN28587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGSELGSPAPPPPPPPKRRKIEPPRRTRPSQVTLDKDKSATSSNSSVSGVVAVRVDLNKVREAKRLVILQAQHEGCLGSYKSFDSVFGNYLVPVIPSNGVFDQIGRK >PAN28588 pep chromosome:PHallii_v3.1:5:9884839:9887950:1 gene:PAHAL_5G163900 transcript:PAN28588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGSELGSPAPPPPPPPKRRKIEPPRRTRPSQVTLDKDKSATSSNSSVSGVVAVRVDLNKVREAKRLVILQAQHEGCLGSYKSFDSVFGNYLVPVIPSNGVFDQIGRK >PVH38262 pep chromosome:PHallii_v3.1:5:13071162:13074959:1 gene:PAHAL_5G213900 transcript:PVH38262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLVNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRDLRKKLFGRYRRPNRGRSRSPSPHHRREHRDRDDYRGGGGGGRGRDDYRGGGGRGRDDYHGGGGGRRGGGSRHERYDDGGRRRHGGSPPPRRARSPIRENSEERRAKIEQWNREREEKQG >PAN29279 pep chromosome:PHallii_v3.1:5:13071691:13074959:1 gene:PAHAL_5G213900 transcript:PAN29279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLVNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRDLRKKLFGRYRRPNRGRSRSPSPHHRREHRDRDDYRGGGGGGRGRDDYRGGGGRGRDDYHGGGGGRRGGGSRHERYDDGGRRRHGGSPPPRRARSPIRENSEERRAKIEQWNREREEKQG >PAN29278 pep chromosome:PHallii_v3.1:5:13071691:13074959:1 gene:PAHAL_5G213900 transcript:PAN29278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLVNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRDLRKKLFGRYRRPNRGRSRSPSPHHRREHRDRDDYRGGGGGGRGRDDYRGGGGRGRDDYHGGGGGRRGGGSRHERYDDGGRRRHGGSPPPRRARSPIRENSEERRAKIEQWNREREEKQG >PVH38263 pep chromosome:PHallii_v3.1:5:13071162:13074959:1 gene:PAHAL_5G213900 transcript:PVH38263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLVNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRDLRKKLFGRYRRPNRGRSRSPSPHHRREHRDRDDYRGGGGGGRGRDDYRGGGGRGRDDYHGGGGGRRGGGSRHERYDDGGRRRHGGSPPPRRARSPIRENSEERRAKIEQWNREREEKQG >PAN29277 pep chromosome:PHallii_v3.1:5:13070292:13074959:1 gene:PAHAL_5G213900 transcript:PAN29277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLVNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRDLRKKLFGRYRRPNRGRSRSPSPHHRREHRDRDDYRGGGGGGRGRDDYRGGGGRGRDDYHGGGGGRRGGGSRHERYDDGGRRRHGGSPPPRRARSPIRENSEERRAKIEQWNREREEKQG >PVH38261 pep chromosome:PHallii_v3.1:5:13071660:13074972:1 gene:PAHAL_5G213900 transcript:PVH38261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLVNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRDLRKKLFGRYRRPNRGRSRSPSPHHRREHRDRDDYRGGGGGGRGRDDYRGGGGRGRDDYHGGGGGRRGGGSRHERYDDGGRRRHGGSPPPRRARSPIRENSEERRAKIEQWNREREEKQG >PVH37435 pep chromosome:PHallii_v3.1:5:115955:120434:1 gene:PAHAL_5G001400 transcript:PVH37435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDALCLDGTPPGYHFLPGFGDGSHSWLLHLEGGSWCRNFKSCARRKKTNLGSSAHMDTRVEFVGILSDDESQNPDFYNWNKVKIRYCDGASFSGHVQDEVKNGTGFFFRGQRIWEAVMADLLLKGLSRARQAFLTGCSAGGLSTYIHCDDFRALLPKTPTVKCLADGGTFLDVEDIAGRRYMRAFYNDVARLQDVRKKFPHCSPDMEPGQCFFPREVAKSITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWRNCRMDITKCSSKQLEILQGFRKALLDAINEFKNRRDWGMFIDSCFIHCQSMKAITWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNAVLDEPYPED >PAN26303 pep chromosome:PHallii_v3.1:5:115997:120431:1 gene:PAHAL_5G001400 transcript:PAN26303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLLSTSSSLLWRRLGRRSAAFALALLAAALLFTFFRYAAVPSASPSPSPTYGHRLPTLVDLTLVYDRDALCLDGTPPGYHFLPGFGDGSHSWLLHLEGGSWCRNFKSCARRKKTNLGSSAHMDTRVEFVGILSDDESQNPDFYNWNKVKIRYCDGASFSGHVQDEVKNGTGFFFRGQRIWEAVMADLLLKGLSRARQAFLTGCSAGGLSTYIHCDDFRALLPKTPTVKCLADGGTFLDVEDIAGRRYMRAFYNDVARLQDVRKKFPHCSPDMEPGQCFFPREVAKSITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWRNCRMDITKCSSKQLEILQGFRKALLDAINEFKNRRDWGMFIDSCFIHCQSMKAITWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNAVLDEPYPED >PAN26302 pep chromosome:PHallii_v3.1:5:115997:120431:1 gene:PAHAL_5G001400 transcript:PAN26302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDALCLDGTPPGYHFLPGFGDGSHSWLLHLEGGSWCRNFKSCARRKKTNLGSSAHMDTRVEFVGILSDDESQNPDFYNWNKVKIRYCDGASFSGHVQDEVKNGTGFFFRGQRIWEAVMADLLLKGLSRARQAFLTGCSAGGLSTYIHCDDFRALLPKTPTVKCLADGGTFLDVEDIAGRRYMRAFYNDVARLQDVRKKFPHCSPDMEPGQCFFPREVAKSITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWRNCRMDITKCSSKQLEILQGFRKALLDAINEFKNRRDWGMFIDSCFIHCQSMKAITWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNAVLDEPYPED >PAN26304 pep chromosome:PHallii_v3.1:5:115955:120468:1 gene:PAHAL_5G001400 transcript:PAN26304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLLSTSSSLLWRRLGRRSAAFALALLAAALLFTFFRYAAVPSASPSPSPTYGHRLPTLVDLTLVYDRDALCLDGTPPGYHFLPGFGDGSHSWLLHLEGGSWCRNFKSCARRKKTNLGSSAHMDTRVEFVGILSDDESQNPDFYNWNKVKIRYCDGASFSGHVQDEVKNGTGFFFRGQRIWEAVMADLLLKGLSRARQAFLTGCSAGGLSTYIHCDDFRALLPKTPTVKCLADGGTFLDVEDIAGRRYMRAFYNDVARLQDVRKKFPHCSPDMEPGQCFFPREVAKSITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWRNCRMDITKCSSKQLEILQGFRKALLDAINEFKNRRDWGMFIDSCFIHCQSMKAITWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNAVLDEPYPED >PVH39577 pep chromosome:PHallii_v3.1:5:59341320:59341543:1 gene:PAHAL_5G538500 transcript:PVH39577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEPEKAFAIYLYEFAKTEDFGKKKKELGSKRGRFEETYKKQNSFLICN >PAN26301 pep chromosome:PHallii_v3.1:5:114209:114487:1 gene:PAHAL_5G001200 transcript:PAN26301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDLSKLVKEKRFWVASFLVAWAAALQGHMMWMQRQETFKHKFGADASDASSSSDSSSN >PAN28646 pep chromosome:PHallii_v3.1:5:10227837:10229311:-1 gene:PAHAL_5G168700 transcript:PAN28646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAPKTVVLYPSLGVGHLNPMVELAKIFLRRGLAVAIAVVDSPDKDSVSADALARLAAANPNVAFRLLPIPPCGREDHPHLVMRIIDVMRAANPALREFLRAQVPAVDAVVLDMFCTDALDVAAELGVPAYLFFPSALGDLAVMLHLPDYYPAAPSSFKDMPEAVLHFPGVPPIRALDMPTTVQDRDSDVSKARLAQYARMLDARGILVNSFDWLESRALKALRCGLCTPGRSTPPVHCIGPLVLPGNAEGISERHACLEWLDSQPDRSVVFLSFGSLGRFSTPQLREMARGLENSGKRFLWVVRNPPEHQSNSVEPDLESLLPEGFLDRTRERRSVVKNWVPQSEALRHQSVGAFVTHCGWNSVLEGIVSGVPMICWPLYAEQRMNKTHMVEEMKVGVVVEGYEEELVKAEELETKVRLVMAPDNGKELRQRITTAREMAIDAIKKGGSSDVVFDEFLTDLQKNRICTKESEL >PAN30680 pep chromosome:PHallii_v3.1:5:30977459:30977797:1 gene:PAHAL_5G322200 transcript:PAN30680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALCRYLRDYAREKIDRAAAFSRSVSGSLHSHSRESGKRRRAAACSPSGLVRLDPQGVEAPRMDQRSCDGVRTLEMRPHITSIQSQAQSKTIVHLACVVSCSHATIRGDML >PAN27008 pep chromosome:PHallii_v3.1:5:3371600:3376137:1 gene:PAHAL_5G053200 transcript:PAN27008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALAQLQDLRERISDRLRPWSRSAQFWVRAADIYTSYKVCQLRTGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDRAPATPYDVVRDVVEKQFGKNFDDIFEFFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEHLMMVDIRNMQAMSLFLQKYDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRVTNKKPPVMVPRVIPGMVSREVLVMEFIKGTPIMNLGNEMAKRGIDPGGKIAAMAKQKILSDLTLAYGQMILKDGFFHADPHPGNILICKDTEVALLDYGQVKEMPEDLRLAYANLVVAMADDDLLRAEEGFRELGIKTRTIADNKLEELFQLSLRMFDTRLPPGVTVMSPFADDSSLTKIGVESFPEELFSVLRTIQLLRGLTVGMGLTFSCAQQWRPIAEEALLKAGRLRASKPKQKRSFLRRLFW >PAN28434 pep chromosome:PHallii_v3.1:5:9178938:9185781:1 gene:PAHAL_5G152600 transcript:PAN28434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNAVDKATSIDAQLRLLAPQKLSDDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKLDPKMLDEIGNVLTSLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFVDENSAATESDIEETLKRLVHQLKKSPMEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLSQLYAKDITPDEKQELDEALQREDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYNAQIEDLMFELSMWRCSDELRVKVDQLNHSSKKDTTKHYIEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLASGFSEIPEEATFTDVEQFLEPLELCYRSLCACGDRSVADGNLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITEYLGIGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKSDEIADVLETFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRISGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKLHGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAASLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFEEHRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLDKDIRNLQTLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGDRLRANYEETKRLLLQVAGHKDLLEGDPYLKQRLRIRDSYITALNVCQAYTLKRFRDPGFQVKPRPHLSKDIMDAGKPAAELVELNTTSEYAPGLEDTLILTMKGIAAGMQNTG >PAN28435 pep chromosome:PHallii_v3.1:5:9178938:9185781:1 gene:PAHAL_5G152600 transcript:PAN28435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNAVDKATSIDAQLRLLAPQKLSDDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKLDPKMLDEIGNVLTSLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFVDENSAATESDIEETLKRLVHQLKKSPMEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLSQLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYNAQIEDLMFELSMWRCSDELRVKVDQLNHSSKKDTTKHYIEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLASGFSEIPEEATFTDVEQFLEPLELCYRSLCACGDRSVADGNLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITEYLGIGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKSDEIADVLETFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRISGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKLHGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAASLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFEEHRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLDKDIRNLQTLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGDRLRANYEETKRLLLQVAGHKDLLEGDPYLKQRLRIRDSYITALNVCQAYTLKRFRDPGFQVKPRPHLSKDIMDAGKPAAELVELNTTSEYAPGLEDTLILTMKGIAAGMQNTG >PVH37969 pep chromosome:PHallii_v3.1:5:8242976:8249893:1 gene:PAHAL_5G138100 transcript:PVH37969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLKLPVDYSVNVDKRKAIFNSVLEGNALKAIELTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTSFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADILNRAVLAHANLPAYSSLERVVQQATVVRQYLQQEVGKAFLSK >PAN28204 pep chromosome:PHallii_v3.1:5:8242976:8249893:1 gene:PAHAL_5G138100 transcript:PAN28204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLKLPVDYSVNVDKRKAIFNSVLEGNALKAIELTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTSFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADILNRAVLAHANLPAYSSLERVVQQATVVRQYLQQEVGKDSYPPFSLKAFLSK >PVH38805 pep chromosome:PHallii_v3.1:5:40065447:40065659:-1 gene:PAHAL_5G356400 transcript:PVH38805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSLLHTIFLIDSHPRNLTDLRPELLPSMELGSAQIRPLLGRISCRPGCSLLDLPRGGHLRLGFPVPSR >PVH39101 pep chromosome:PHallii_v3.1:5:52562606:52563395:-1 gene:PAHAL_5G433800 transcript:PVH39101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLRKGSLEQNLCGERVLAGRYKNWILVECMYYQLTHTLAFLHHVAQLHFMTPHQLLIQGRLSKEQLAGK >PAN30740 pep chromosome:PHallii_v3.1:5:41322989:41330574:1 gene:PAHAL_5G359900 transcript:PAN30740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAALHPLPAVVGAGRRRDRSHRRFGLRASSLESPSVASTALNGVAGAPVERKEKVKDDAAVEEEEKKGLDALYDDGFGGVTVKDYFAAAKAVSRDDGGPPRWFCPVECGRPAVDNSPLLLFLPGTDGIGMGLILHHKSLGKVFEVRCLHIPVNDRTPFEGLLQTVENTIKHEHDLSPNRPIYLVGDSFGGCLAVAVAARNPQIDLLLILVNPATSFAKTPLQPILPLLESMPSAFHVTVPYLLSFVMGDPLKMASVSIENNLSPPETLQKLSDSLTSMLPLLSELADIIPRDTLLWKLKLLKAGAAYANSRLRAVQAEVLLLASGSDNLLPSGDEADRLFKALKNCRVRYFKDNGHTLLLEDGVNLLSVIKGANMYRRGRQRDFVTDYLPPTLSEFKKTFDEDHKLFHLALSPVMMSTLKNGKIVRSLSGIPDKGPVLFVGYHALMGIELSPLYEEFLREKKKIVRGLAHPILFGTKFESSRQELSRLDTVSMYGGLPVTPINMYRLFERNEFVLLYPGGVREALHRKNEEYKLFWPDQPEFVRMAARFGVTVIPFGCVGEDDVLELVLDYNDQKNIPGLREWIESINKEADRVRDSVKGEDGNQDMHLPALLPKVPGRFYYLFGKPIEMKGMNNLVRDRKSANDVYLRIKSEVEEIISYLKRKREEDPYRSIAQRALYQATWGVSAQVPTFEP >PAN28962 pep chromosome:PHallii_v3.1:5:11444293:11446424:1 gene:PAHAL_5G190000 transcript:PAN28962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGVRSHDLSKHRRHQDAREAAGASQLAAAYLYGDVLESVVERVPAPDLAAAARVSREWLRAVRAALRRRPRRLPWLVVHVQGRGGRRCAAAYDPCAGAWLAVPAPRRHATPSHVRLVRGARGDRVCALSLAGLAVAGDPLGAAACVAMGAPRVWRVDPVLAAVGDRVVALGGACRLALAEGEDDAVVEVHEGGGWAPCEPMPGALRDSASATWLAAAATDQRVYLVERATGWASWFDPAKRLWGPTRRLGPDPAVTAWGVAPGRAGADERLVLFGAKRADKEAECTAVIQAWEVDGDTLEPIPSASSDAMPPELLERLFPRDDDEEDPDDIDPEPLSIGVCGNATGGYVYNAAEPSHGAVLYELREGGKAAPAVGRWEWVPCAPAVQAEPLGRAILACSPVGLDELALAVGARGPQ >PAN29396 pep chromosome:PHallii_v3.1:5:13735890:13736424:1 gene:PAHAL_5G223100 transcript:PAN29396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGAHPVGQTTPTTYESVGGGENRTRTDLRSREDQGAIQIEKVQDKVDDAAGRGVDHGAFGDKKEGRHANADAGATGTGAGA >PVH38605 pep chromosome:PHallii_v3.1:5:23344524:23349687:1 gene:PAHAL_5G300200 transcript:PVH38605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSHCNTKCSPPQAQEVQIRATEKAIEKALKNSRNRATAHIFEPVLGMVFDSRAEAYKFFNLYSWEVGFGIRFGSSARNRVNKYRTMQEIVCEKEGFDHRCTSSSKRDHCKAMIWQHRTEDHGWEWNSHSKIEQCVKDMIRFLRENNVSLSRVHCIMGSMFGSMGNVPFNQKSLRAVCVEIARDHKDDDVAKTLEVFRKMRAEDPGFQFSVQLDEDRKIKTLSWTSGRSRSQHTYFGDAITFDTTYCTNLYKMPFGMGVNNHFQFVIFAGVLMRDETAESFKWVFNEFLSLMGGKSTHNHIDSAPEELGPVYRRNGPFRRDFHFVIIQMLTEDEFERAWDDLVERYNLRGNSFMEGCYCKKKMWAKPWCKDIICTRMASTQSSESANSILKKVIPRNCSMNRFVQQYRKLLFIRASAEEKAEHQTKQFQHRGKRVYAIEKHALSVYTKKVCQLFSSEVDKSADYNVAQGDSHDEMKVVHYNEEVRKHWARSVFNVKINEADGKLICECGMFEHFGILCCHAIKV >PAN31168 pep chromosome:PHallii_v3.1:5:49876983:49881780:1 gene:PAHAL_5G401700 transcript:PAN31168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNAQIGVFVSRRSWIILNMSCLACCGGEDTQRAPDSGGPYPGGYPARNDGYRTADPTPRGAQPVKVQPIAVPTIPVEEIREVTKGFGDEALIGEGSFGRVYFGELKNGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATLGSLHDMLHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFNDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPG >PAN31169 pep chromosome:PHallii_v3.1:5:49878919:49881780:1 gene:PAHAL_5G401700 transcript:PAN31169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLACCGGEDTQRAPDSGGPYPGGYPARNDGYRTADPTPRGAQPVKVQPIAVPTIPVEEIREVTKGFGDEALIGEGSFGRVYFGELKNGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATLGSLHDMLHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFNDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPG >PAN31170 pep chromosome:PHallii_v3.1:5:49876983:49881780:1 gene:PAHAL_5G401700 transcript:PAN31170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLACCGGEDTQRAPDSGGPYPGGYPARNDGYRTADPTPRGAQPVKVQPIAVPTIPVEEIREVTKGFGDEALIGEGSFGRVYFGELKNGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATLGSLHDMLHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFNDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPG >PVH37581 pep chromosome:PHallii_v3.1:5:2236276:2240369:-1 gene:PAHAL_5G036400 transcript:PVH37581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAAGGGGKGCAVDPSLPRFRCQECRRALVVVGVDSYSDRLPAHAAAGNHAPSVQGSVMGASRMDNSYVVLSRQSRSQGPGIPPRPPSAAAPHTEPNQSTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGLNSSSPSPGNNSGFHSNVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEACLQRLEQEPYNILSETDFQKEKQKIEEEEKKLKAAIEEAEKLYSEVSSEMKDLEIKSKQFEELEERYWHEFNSFQFQLTSHQEERDAVFARIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSSVENLQVEWDEINAAWGQAALLLHTMAQYFTPKFQYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAINLDKENNVPPEKSLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGPVHTQSLRNKN >PAN26758 pep chromosome:PHallii_v3.1:5:2235993:2240607:-1 gene:PAHAL_5G036400 transcript:PAN26758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAAGGGGKGCAVDPSLPRFRCQECRRALVVVGVDSYSDRLPAHAAAGNHAPSVQGSVMGASRMDNSYVVLSRQSRSQGPGIPPRPPSAAAPHTEPNQSTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGLNSSSPSPGNNSGFHSNVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEACLQRLEQEPYNILSETDFQKEKQKIEEEEKKLKAAIEEAEKLYSEVSSEMKDLEIKSKQFEELEERYWHEFNSFQFQLTSHQEERDAVFARIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSSVEVEWDEINAAWGQAALLLHTMAQYFTPKFQYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAINLDKENNVPPEKSLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGPVHTQSLRNKN >PAN26757 pep chromosome:PHallii_v3.1:5:2235993:2240160:-1 gene:PAHAL_5G036400 transcript:PAN26757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRMDNSYVVLSRQSRSQGPGIPPRPPSAAAPHTEPNQSTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGLNSSSPSPGNNSGFHSNVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEACLQRLEQEPYNILSETDFQKEKQKIEEEEKKLKAAIEEAEKLYSEVSSEMKDLEIKSKQFEELEERYWHEFNSFQFQLTSHQEERDAVFARIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSSVEVEWDEINAAWGQAALLLHTMAQYFTPKFQYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAINLDKENNVPPEKSLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGPVHTQSLRNKN >PVH37580 pep chromosome:PHallii_v3.1:5:2236276:2239651:-1 gene:PAHAL_5G036400 transcript:PVH37580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRMDNSYVVLSRQSRSQGPGIPPRPPSAAAPHTEPNQSTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGLNSSSPSPGNNSGFHSNVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEACLQRLEQEPYNILSETDFQKEKQKIEEEEKKLKAAIEEAEKLYSEVSSEMKDLEIKSKQFEELEERYWHEFNSFQFQLTSHQEERDAVFARIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSSVENLQVEWDEINAAWGQAALLLHTMAQYFTPKFQYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAINLDKENNVPPEKSLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGPVHTQSLRNKN >PVH38672 pep chromosome:PHallii_v3.1:5:31164000:31164929:1 gene:PAHAL_5G323100 transcript:PVH38672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYHEEGVPRCQVKMIIPQHPFRSSWHPIEVEVVGYCLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNLEWNFRTEHLGHMLGDLAEETVRSITRFMDVQHHCQILLRHSLSQLTGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINECYHIITQRDTVIEFLQAQIHDLILEADDAQARLEELQQHHILPAAPVMPEEEEEDPEEIEGVSELDSEHGDPVLSPYHSPSGSQSSVGNFDDF >PAN32030 pep chromosome:PHallii_v3.1:5:54141633:54142634:1 gene:PAHAL_5G458100 transcript:PAN32030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRTRALLALLVLQAVLVSATMADSNGVGASAKKACCSSCTSWSGVYTCDDLLTKCAATCKNCAAVPTDKGTRYRCRDFLPEGCPCKAN >PVH38031 pep chromosome:PHallii_v3.1:5:9482362:9485139:-1 gene:PAHAL_5G157100 transcript:PVH38031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHPIKHQASLPPESLQRSRTRIPSLHRSNPSLPGLRRDSTPDPMGRRPRKRRRRHPAAAAGEGPDCFSHLNEDLLRSILSRIPTRSAAMLAAVSRHFRKEIPPLLERVDSLTLHEPHAHPPLRTTPPLILRRLALAPHRAIPPSSFRPILDDAAQHGLSELAFRLTRRKRLPRNVLSVKSLAVLDLDTCAVPAWSHVACPCLRTLRLHRVAIRQEIINKILASASCLDTLEMVYCTGLGTGSGGGCTVESSSVRNLVFRPTLKLAQTTIRASALRTVTLYTRGKVKRLELAPAPEVRKAYLHIAKALTTQESFRVRPFLDAGVRLECLTLRGHAMKVLSSEYEDIPELTVMFQDLRILSVSLDLSSAQETVFLLKLLESCPNLQKFSLLVPNIPFLVHIHHLLFHSVVYR >PVH38030 pep chromosome:PHallii_v3.1:5:9481690:9485153:-1 gene:PAHAL_5G157100 transcript:PVH38030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHPIKHQASLPPESLQRSRTRIPSLHRSNPSLPGLRRDSTPDPMGRRPRKRRRRHPAAAAGEGPDCFSHLNEDLLRSILSRIPTRSAAMLAAVSRHFRKEIPPLLERVDSLTLHEPHAHPPLRTTPPLILRRLALAPHRAIPPSSFRPILDDAAQHGLSELAFRLTRRKRLPRNVLSVKSLAVLDLDTCAVPAWSHVACPCLRTLRLHRVAIRQEIINKILASASCLDTLEMVYCTGLGTGSGGGCTVESSSVRNLVFRPTLKLAQTTIRASALRTVTLYTRGKVKRLELAPAPEVRKAYLHIAKALTTQESFRVRPFLDAGVRLECLTLRGHAMKVLSSEYEDIPELTVMFQDLRILSVSLDLSSAQETVFLLKLLESCPNLQKFSLLAAGTDNDKYLPPFTGHKEKLASISCLTTSLVEFKFRGFRPQQYQKELMVFLLTQGKKLKKVEVEFEKGQADAVKKILSVKRAPIKTTSSKYGSHYMVLDYS >PAN29057 pep chromosome:PHallii_v3.1:5:11981542:11986119:1 gene:PAHAL_5G197600 transcript:PAN29057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWVRAVVEAIHSSRSQAVIYLAGGASQALGWLLSVPGASSTVLEVVVPYTRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTDNCLKTSHVTLSKGLRSREEEDKVSSYFLLKAIANTCRISATIQPDIQEPEIPEESMEPFDEDQELQQVINGQVCMKVYHFAAPLEKNFSRKVILPGSFNPLHDGHLRLLEVASSMCDDGLPFFEISAINADKPPLAIAEIKKRIEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLGILLECKSTGTTFLVGGRKIEGVFKVLEDLDIPKELRDMFISIPEEKFRMDISSTEIRKSQGL >PVH38565 pep chromosome:PHallii_v3.1:5:21162817:21164857:1 gene:PAHAL_5G289900 transcript:PVH38565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRADFVVAFLLRAIYGGMQIVTKNAFNEGMSTTIFVFYRHLVAVLFLVPVAFVLERKTAPALSFKVSLKLFVHALYGISGAINIYGLGLSYVSATSSSAIFNLLPAVAFFLAVLLGMETLNLKRFHGIAKVCGILFSLAGVIVLAFYQGPEMKSFNHHHLFHHVSNFHGGGTAHPTRTWILGIFLTTLSTTSWALWTVLQGPMLEAYPSKLLNTTLQMIFATIQCFFIALAAERDFAKWRLGLDARLIAVVYSVSNVCSIRLCTSTCLFVVNNR >PAN30524 pep chromosome:PHallii_v3.1:5:21162785:21166108:1 gene:PAHAL_5G289900 transcript:PAN30524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRADFVVAFLLRAIYGGMQIVTKNAFNEGMSTTIFVFYRHLVAVLFLVPVAFVLERKTAPALSFKVSLKLFVHALYGISGAINIYGLGLSYVSATSSSAIFNLLPAVAFFLAVLLGMETLNLKRFHGIAKVCGILFSLAGVIVLAFYQGPEMKSFNHHHLFHHVSNFHGGGTAHPTRTWILGIFLTTLSTTSWALWTVLQGPMLEAYPSKLLNTTLQMIFATIQCFFIALAAERDFAKWRLGLDARLIAVVYSGILASGVAYYMQVWVIDKSGPVFLAMTMPITLLVTLILSLFLGEAVTVGSILGGVIMVGGLYSVLWAKRSEHVDVRKQQMAAPAEAAEV >PAN30525 pep chromosome:PHallii_v3.1:5:21162785:21166108:1 gene:PAHAL_5G289900 transcript:PAN30525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRADFVVAFLLRAIYGGMQIVTKNAFNEGMSTTIFVFYRHLVAVLFLVPVAFVLERKTAPALSFKVSLKLFVHALYGMETLNLKRFHGIAKVCGILFSLAGVIVLAFYQGPEMKSFNHHHLFHHVSNFHGGGTAHPTRTWILGIFLTTLSTTSWALWTVLQGPMLEAYPSKLLNTTLQMIFATIQCFFIALAAERDFAKWRLGLDARLIAVVYSGILASGVAYYMQVWVIDKSGPVFLAMTMPITLLVTLILSLFLGEAVTVGSILGGVIMVGGLYSVLWAKRSEHVDVRKQQMAAPAEAAEV >PAN33067 pep chromosome:PHallii_v3.1:5:59170183:59172549:1 gene:PAHAL_5G535800 transcript:PAN33067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLWLLQRPSPALHNSLSLPSSHPSSSACPPLHRKPRDLLLCCASSGATSSVVAKEQEEAATAPSEEGSEPSLLSYKDDPNFRGCRGCGRDELERGCNGEGRIQGGIAAVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKVSPGKKKM >PAN31460 pep chromosome:PHallii_v3.1:5:51706513:51710084:-1 gene:PAHAL_5G421100 transcript:PAN31460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASSSETREVLMLEAPPPSDPALAPWRSPPDAEAVDALPYIDGDYGDPTVKREVDRLVEEEMRRGQRKPADFLRDLPPVPTVGFENHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQITRIENLELMLKYGVEVWKLQNRQMESVLSRMQKTAVEYNEKIETVNRERKFHQQHTGGQLHALTMEWQELCQKNIAIQAACVDLQNQIDQVKLEAKELGMPMEDNTGTNSQAS >PAN31458 pep chromosome:PHallii_v3.1:5:51707443:51709943:-1 gene:PAHAL_5G421100 transcript:PAN31458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASSSETREVLMLEAPPPSDPALAPWRSPPDAEAVDALPYIDGDYGDPTVKREVDRLVEEEMRRGQRKPADFLRDLPPVPTVGFENHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQITRIENLELMLKYGVEVWKLQNRQMESVLSRMQKTAVEYNEKIETVNRERKFHQQHTGGQLHALTMEWQELCQKNIAIQAACVDLQNQIDQVKLEAKELGMPMEDNTGTNSQAS >PAN31457 pep chromosome:PHallii_v3.1:5:51707443:51709943:-1 gene:PAHAL_5G421100 transcript:PAN31457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASSSETREVLMLEAPPPSDPALAPWRSPPDAEAVDALPYIDGDYGDPTVKREVDRLVEEEMRRGQRKPADFLRDLPPVPTVGFENHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQITRIENLELMLKYGVEVWKLQNRQMESVLSRMQKTAVEYNEKIETVNRERKFHQQHTGGQLHALTMEWQELCQKNIAIQAACVDLQNQIDQVKLEAKELGMPMEDNTGTNSQAS >PAN31527 pep chromosome:PHallii_v3.1:5:51706513:51710084:-1 gene:PAHAL_5G421100 transcript:PAN31527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASSSETREVLMLEAPPPSDPALAPWRSPPDAEAVDALPYIDGDYGDPTVKREVDRLVEEEMRRGQRKPADFLRDLPPVPTVGFENHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQITRIENLELMLKYGVEVWKLQNRQMESVLSRMQKTAVEYNEKIETVNRERKFHQQHTGGQLHALTMEWQELCQKNIAIQAACVDLQNQIDQVKLEAKELGMPMEDNTGTNSQAS >PAN31459 pep chromosome:PHallii_v3.1:5:51707443:51709943:-1 gene:PAHAL_5G421100 transcript:PAN31459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASSSETREVLMLEAPPPSDPALAPWRSPPDAEAVDALPYIDGDYGDPTVKREVDRLVEEEMRRGQRKPADFLRDLPPVPTVGFENHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQITRIENLELMLKYGVEVWKLQNRQMESVLSRMQKTAVEYNEKIETVNRERKFHQQHTGGQLHALTMEWQELCQKNIAIQAACVDLQNQIDQVKLEAKELGMPMEDNTGTNSQAS >PVH38466 pep chromosome:PHallii_v3.1:5:17176767:17177105:-1 gene:PAHAL_5G265800 transcript:PVH38466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNAQAPSSLSPQLHPGPTMSDTPCCLSPWRLAHTTRCGGGGSLLGSRSILGLYAAALRPLRSGRGAAPCCFNSWRLAHGARHHSRPTTAGAPT >PVH39587 pep chromosome:PHallii_v3.1:5:59487157:59490009:-1 gene:PAHAL_5G540100 transcript:PVH39587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDEAAAAASTVAVSLNNNDKPRRHHPAASGKEENRHRPWAMLTRFHAGYFRISLALSGQALLWRTLTSDDNSSTATELRPLPLVRSLPSAAFLLLWSLALLALLALAALYAARCLLRFHAVRAEFRHHVAMNYLFAPWISCLLLLQSAPFLRPDAPPYHLLWWAFSLPILALDVKIYGQWFTRGRRFLSMVANPASHITVIGNLVTARAAAKMGWHEGAVAIFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISSSFDTCCKMLFFLSLFLFASLVSRPALFKRAMRRFSVAWWAYSFPLSVLALASAEYAQEVRQAAANGLMLVLAVLSVAVTLALMLFTALRTADLLPHDDPFDHGCPQLPT >PAN29515 pep chromosome:PHallii_v3.1:5:14424080:14424616:-1 gene:PAHAL_5G231600 transcript:PAN29515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPRPYRFPALPEAAEQEEDDRVATRCSRQSCGTCSASAVASCVALCLCPCAVLSCLTLALVRAPYAAGRRCAARLARRRLRKARAWRVRDLGDDEQQKQQQGPRRSKEWGELARADAVGGAEARAKVSSRMDASDEVWADMYQVGLWGFGRLSFSAPVVGGGGGDPEKDGDAAPE >PAN30748 pep chromosome:PHallii_v3.1:5:39214483:39222820:-1 gene:PAHAL_5G352500 transcript:PAN30748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARLRVEELRAELQRRGLDDSGNKPALVRRLDAAIRKEEKPAVAAAADGDGVAADGKGNGGGKGNKRKRTGDGEEEGNGDASLEAAKLEGMSYPELQGLANSRGLAANGSKKDVIKRLLLASANAIDGVQDEKRAPKGGVEKVEEEVKKEKMVTAIKKGAAVLDQHISDHVKVNYHVLQVGDDIYDATLNQTNVGDNNNKFFIIQVLESDAGGSFMVYNRWGRVGARGQDKLHGPFSTQDQAIYEFEKKFQDKTNNLWCDRKKFKCYAKKYTWLEMDYGETDKETNKAEKKGSITDQIKETKLETRIAQFISLICNISMMKQQMVELGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMCEFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSSGQDDPLYARYKQLHCEFTPLEADSEEYSMIKAYLMNTHGKTHSGYTVDIVQIFKVSRHGETERFQKFASTGNRMLLWHGSRLSNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASEASRSGVLLLCEVALGDMNELLDADYDANNLPKGKLSTKGVGQTAPDLSESKTTDDGVVVPLGKPKQEPSKRGSLLYNEYIVYNVDQIRMRYVLHVSFNFKRR >PAN30749 pep chromosome:PHallii_v3.1:5:39214917:39222089:-1 gene:PAHAL_5G352500 transcript:PAN30749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPELQGLANSRGLAANGSKKDVIKRLLLASANAIDGVQDEKRAPKGGVEKVEEEVKKEKMVTAIKKGAAVLDQHISDHVKVNYHVLQVGDDIYDATLNQTNVGDNNNKFFIIQVLESDAGGSFMVYNRWGRVGARGQDKLHGPFSTQDQAIYEFEKKFQDKTNNLWCDRKKFKCYAKKYTWLEMDYGETDKETNKAEKKGSITDQIKETKLETRIAQFISLICNISMMKQQMVELGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMCEFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSSGQDDPLYARYKQLHCEFTPLEADSEEYSMIKAYLMNTHGKTHSGYTVDIVQIFKVSRHGETERFQKFASTGNRMLLWHGSRLSNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASEASRSGVLLLCEVALGDMNELLDADYDANNLPKGKLSTKGVGQTAPDLSESKTTDDGVVVPLGKPKQEPSKRGSLLYNEYIVYNVDQIRMRYVLHVSFNFKRR >PAN31440 pep chromosome:PHallii_v3.1:5:51601909:51608593:1 gene:PAHAL_5G419800 transcript:PAN31440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEKYSRGKGADLKALRDKKLKGQLAVKEKLYGQSAKVAAKAEKWLMPSEEGFLEPDNLEKTYRFPQESIVQEVDLLSSRKPFDMILPVLGPYTIEYTSNGRYMLVGGRKGHLAMMDMLNMDLIKEFQVRETVRDVAFLHNEQMYAVAQKKYPYIYNQHGAEIHCLKEHGKALKLQFLTKQFLLASINSFGQLHYQDVSTGEMIANYRTGLGRTDVMRANPYNAVIGLGHAAGKVTMWKPTSVKPLVTMLCHHGPVTALAFDRGGHLMATAGVDRKIKIWDLRKYEVVKSYAARGQSLDFSQKGLLACSNGSQVEIYRDFGGHDYRLYMKHRMLKGYQVGKVLFRPYEDILGIGHSMGLSSILVPGSGEPNFDTFIDNPMETTKQKREKEIHALLDKLPPDTIMLNPNLIATVRAPKKKEKKTKKEIEEEMEEAIEAAKNTEHKKKTKGRSKPSKRAKKKEEGVFRAKRPFLEQSKEVVGRPDKKQRIGEEVELPKALQRFAKKPQS >PVH39020 pep chromosome:PHallii_v3.1:5:51602769:51608593:1 gene:PAHAL_5G419800 transcript:PVH39020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARVNAEKQDAEDELEMKVEKYSRGKGADLKALRDKKLKGQLAVKEKLYGQSAKVAAKAEKWLMPSEEGFLEPDNLEKTYRFPQESIVQEVDLLSSRKPFDMILPVLGPYTIEYTSNGRYMLVGGRKGHLAMMDMLNMDLIKEFQVRETVRDVAFLHNEQMYAVAQKKYPYIYNQHGAEIHCLKEHGKALKLQFLTKQFLLASINSFGQLHYQDVSTGEMIANYRTGLGRTDVMRANPYNAVIGLGHAAGKVTMWKPTSVKPLVTMLCHHGPVTALAFDRGGHLMATAGVDRKIKIWDLRKYEVVKSYAARGQSLDFSQKGLLACSNGSQVEIYRDFGGHDYRLYMKHRMLKGYQVGKVLFRPYEDILGIGHSMGLSSILVPGSGEPNFDTFIDNPMETTKQKREKEIHALLDKLPPDTIMLNPNLIATVRAPKKKEKKTKKEIEEEMEEAIEAAKNTEHKKKTKGRSKPSKRAKKKEEGVFRAKRPFLEQSKEVVGRPDKKQRIGEEVELPKALQRFAKKPQS >PVH39019 pep chromosome:PHallii_v3.1:5:51602769:51608593:1 gene:PAHAL_5G419800 transcript:PVH39019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARVNAEKDAEDELEMKVEKYSRGKGADLKALRDKKLKGQLAVKEKLYGQSAKVAAKAEKWLMPSEEGFLEPDNLEKTYRFPQESIVQEVDLLSSRKPFDMILPVLGPYTIEYTSNGRYMLVGGRKGHLAMMDMLNMDLIKEFQVRETVRDVAFLHNEQMYAVAQKKYPYIYNQHGAEIHCLKEHGKALKLQFLTKQFLLASINSFGQLHYQDVSTGEMIANYRTGLGRTDVMRANPYNAVIGLGHAAGKVTMWKPTSVKPLVTMLCHHGPVTALAFDRGGHLMATAGVDRKIKIWDLRKYEVVKSYAARGQSLDFSQKGLLACSNGSQVEIYRDFGGHDYRLYMKHRMLKGYQVGKVLFRPYEDILGIGHSMGLSSILVPGSGEPNFDTFIDNPMETTKQKREKEIHALLDKLPPDTIMLNPNLIATVRAPKKKEKKTKKEIEEEMEEAIEAAKNTEHKKKTKGRSKPSKRAKKKEEGVFRAKRPFLEQSKEVVGRPDKKQRIGEEVELPKALQRFAKKPQS >PAN31918 pep chromosome:PHallii_v3.1:5:53616893:53621266:-1 gene:PAHAL_5G450200 transcript:PAN31918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPRPRAPPPPPTPPPVPAPSQAMVAPAAGVGGGGGEEQEQEQEFDICNDEGFVYKVPSGLYPDAAPSSTQAAAGPDPEIAGLRRRRRALLRLHAKRLRDLSRWEALASELLAPPPAPRPPASQAPPASPNPVAATANPASASVLDDLIAQADVQAELLRKAFQLCDEINALCDARETAIVDDIATLPVWGNPRELMMSLYSPDEQTPGTSGLDEGNGGFQSLDSQQGRRAIGVVSAVERVKISGDKRIQSPSQCKRCLQFGCTGKTCCETHAEPGDELPPPVLTENRKRKGAVSPSSSNGVAARAPDRPKRQKAPGSKSSPSGTPGSTTKRKAASTPRAPDTSRSPGPTTRRRAAALLVSPRS >PAN31917 pep chromosome:PHallii_v3.1:5:53616423:53621496:-1 gene:PAHAL_5G450200 transcript:PAN31917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPRPRAPPPPPTPPPVPAPSQAMVAPAAGVGGGGGEEQEQEQEFDICNDEGFVYKVPSGLYPDAAPSSTQAAAGPDPEIAGLRRRRRALLRLHAKRLRDLSRWEALASELLAPPPAPRPPASQAPPASPNPVAATANPASASVLDDLIAQADVQAELLRKAFQLCDEINALCDARETAIVDDIATLPVWGNPRELMMSLYSPDEQTPGGLDEGNGGFQSLDSQQGRRAIGVVSAVERVKISGDKRIQSPSQCKRCLQFGCTGKTCCETHAEPGDELPPPVLTENRKRKGAVSPSSSNGVAARAPDRPKRQKAPGSKSSPSGTPGSTTKRKAASTPRAPDTSRSPGPTTRRRAAALLVSPRS >PVH38161 pep chromosome:PHallii_v3.1:5:11423971:11425757:1 gene:PAHAL_5G189600 transcript:PVH38161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSSETFRRSGSSGTVWEDKHQPASGELAKPARAKQGAPAAARQQRRSGSGGHGGYRAGHVQPALDPPSPRVPACGFCGIFGKDKQPPPARGASGKGRRR >PAN28959 pep chromosome:PHallii_v3.1:5:11423971:11425757:1 gene:PAHAL_5G189600 transcript:PAN28959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSSETFRRSGSSGTVWEDKHQPASGELAKPARAKQGAPAAARQQRRSGSGGHGGYRAGHVQPALDPPSPRVPACGFCGIFGKDKQPPPARGASGKGRRR >PAN30690 pep chromosome:PHallii_v3.1:5:31659654:31661083:-1 gene:PAHAL_5G324000 transcript:PAN30690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVKVFGSAVFANAARVMACLEEVRVEYEVVEVDYMTKEHKGLKHLARNPFGQIPAFQDGDIMLFESRAISKYVLRKYAKSAQDDLLREGNPEEAAMVDVWTEVEVHHYLPAMAPIFYECVVYPARLGTTPDQKVVDESLEKFKKVLDVYEARLSKSNYLAGDFFSFADLNHFPFTFHIMATPHASVFDMYPHVKAWWERVMERPSLKKISAHMEIKA >PVH37590 pep chromosome:PHallii_v3.1:5:2446746:2451238:1 gene:PAHAL_5G038700 transcript:PVH37590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEATVSQPAMEKVYLAVGNDEKQCRFFLLWAKDFIPQQKPLVVLHIYRPATTIPHVGLGGPMVASMLREDLVHEYRKNERNKIKNSLKKCLQNCKVQAKMLIIDKHDVAPAILELINKLKITTLVLGAKNRHDWKSKTAITLEKQADPSCNILYLHEGSLISSRHQRVDVCTARKNEMSSLGGCHFSGSSNTTTSKSFSFFNSRSTANTFDAEQLDDPSLEINPTHIFSDNRFNAIIGLKSIGTFKELVSRRISAESSRDLYQEFHSKYCDILSRCDFVDGFDSVLGLDCQNLGKAHWKYMRSWPAVLEYIVSIINTFHVQLKQKHLSCDGFIHEDLLEAAREPLTRLFTVASAVCAHEIRKSPEKLFCILNMYTSLADATPTLRNVFHTESIGRDAEGLLSKLKDSAREIVKEAKILIQTYSSQIAVQDGGGITSLTGYLMRYLRLLVKHRSSLDTILGHGHSDDLLTVEGVNSTCCLVFGLIADLDTVLEKQSKLLSSKELQCLFLMNNTHFILQEIKQSDVRLIVGSRWIGKRRYCIKEYMKDYISAAWGPVTLNLLATKSISPSKRLRTNVLNFLSAGPTPLQNFTWSFNETCNTQMCWKVPCPVLRKELRLKIMEFVTPVYHAHLESLKQSGRGTAADFKLGLKSKINELFEG >PAN28152 pep chromosome:PHallii_v3.1:5:8040293:8044742:1 gene:PAHAL_5G134700 transcript:PAN28152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANGGAGAALFSEEELREVSGVRRGEDFVEMTCGCTSHRYGDAVGRLRVFASGDLEVSCECTPGCREEKLTPAAFEKHSGKETAGKWRNTIWVMVQGEKVPLSKTALLKYYYLAHKSGNGSHKGRNGRPSHRDEFIRCTRCGKDRRFRLRSKEECRVYHDALAKINWTCADLTTDRVTCDDDEERASRKVLRGCSRATSCAGCMKCVCFGCETCRFKDCGCQTCVDFYRNSKE >PVH38673 pep chromosome:PHallii_v3.1:5:31232221:31233613:-1 gene:PAHAL_5G323300 transcript:PVH38673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKDARRGDKAMRYVLIGDDMFYRTLEGLLLKCLGPIESNQLLHEVHEGTCGTHQSAHKMKWLIRRSGYYWPTMLEDCFKYYKGCQAYQRGWGMDMIGEINPPSSRGH >PAN32702 pep chromosome:PHallii_v3.1:5:57019471:57025063:1 gene:PAHAL_5G505300 transcript:PAN32702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAVSGLGALKRHRADAQMMNIAIRSASTSVAQSSSVGFWTWLTGARSNALPPPDFALPGVTIPPSLPDLVEPSKTKITTLSNGVKIASETSAGPSCSVGVYVDCGSVYEAPEATGASQLLKTMAFATTTNRSELRVVREIEAIGGSAKASASREMMSYTYGALKTYMPEMVEVLIDCVRNPAFLDWEVKEQILRLKAELAKASSNPEKLLLEALHSTGYSGALANPLIASESSISRLNTDVLEQFIAENYTAPRIVLAASGVDHDELVSIAEPLLSDIPSVTGTTRPKSTYVGGEYRRTADSLNTDVALAFEVPGGWLKEKDFATVSVLQTLLGGGGIFSWGRQGKGLHSRLNHLVTEFDQIKSITAFKDVHSNTGIFGIHTSTDASFVPKAIDLAARELTSLATPGQVDQSQLDRAKASAKYAILANLESKASLTEDMGRQVLAFGERKPVAHLLKAVDGVTLKDVATVAEKIISSPLTMASHGNVLNMPTYESVSGKFSSK >PAN26784 pep chromosome:PHallii_v3.1:5:2441660:2446745:1 gene:PAHAL_5G038600 transcript:PAN26784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAAAAAAAAGWLLSPFLDSLSDRIRSCADDLFRYLPSGSASADLERLQDYIVRLSAFASAVERARRRPPHPTLLAWLNRLKDAADDADNIFDEIRYRSLADALSGPGPDLRSVLDTPGSVCGHLVSVCSDHPFKRLPSVLDKLATACADYAGIASLVGLDRADSPQRGNRLTRSSSSIMPADDAFFGRQRELNVLVETLVRCNDSAQLGNQSVPDVGIVGDGGIGKTKLAQMAFHHPIILEHFDLRMWVCASSHVDDVSLTRGILQVAADWKVDYDGIVNFDRLQNLLVSAVAGRRFLLVLDDVWDDKEMSMSQNRERWRNLLAPLQHGKQESRIVVTTRMKVVADMLGVRMPMMLGGLGPKENWCLLKKCALGSEDSPEYPDLQVIGRKIASNLKGSPLAARIIGGMLSNTRNEREWNSISGTDIHGDIVSTLLSSYYHLPQHLQYCFAYCSIFPKNWKFDHKKLVRMWIAQGFLQTESGSLEDLGIKYFKQLLARSFFHTLRQGNRMHYVMHDLIHDLAQMVSHSDCARVEGNMSKSIPSTVRHISVSSSFLPHLKKQCDLRRLRTLIVYKDSSMTSSTIPVDFLSEVKNVRTLDLTGCLISELPEAIGYLIHLRYIALPGTIKVLPESVSVLLHLQTLDIPKKCQLDGFPEGMHRLVSLRHLGVDLKYISMIRGIGSLVKLQGSIEFHVKTESGHTLEELKDMNDLHGLLHIKNLENVRCEDEACNAQLSNKQYLKILKLEWTSAGSAFGPPMDAKVLACLRPNKKLEELHIKRYKGESSPSWLELKILEVENLSQLKSLYLTNCRRWKLLPPLGHLPSLKVLHLKEMSSVTEIGIEFYGGDTKTFPSLKDLEFDDMQNLISWTGGNGNLNFPRLRKLKILNCPKLVKVPLLPPTTKSVTIEGIQQISNLKLTPYCSSKSGKFVLEISSASMLGEGFLHQKHLEAIEVINIRGCWGLVHAEGLWLLPSLRKLRLSQCNMDGEQLSLCLEHLTGLASLDIVDCQNITSFLLPEGSRHFETLRHLCFQDCQMLSSLANLESFVFLKSLIIERCTRVTTESLPTELTGMTSLNKLSISHCPGFQSLPKNMPLSLEFLHLIGCHPLLTHWLHERKGPEWERLPLSQITVY >PAN26786 pep chromosome:PHallii_v3.1:5:2451289:2454644:1 gene:PAHAL_5G038800 transcript:PAN26786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAREHRTMQQLQAVLAPEASGEEEKVHLVLSMEDGHKLQQALQLVPPQRKVILVHIHRPAMMIPIPTLGGTVHASILKDNIVKGYRDEQRDQALQALEGYREICTRAEIQAETLMTENDYVSAGLLGLIAEHKITTLIIIGIGKSWVNRSKRNLAAALRKGADPSCNILFMHKGRLISVSQQDGSVFASETKGTPSSISSRRLSLSCSSNSSPSPFIWDSRSTPSSPSILWDSWSTPDSLDPSQLDDTSLEIAGSIVGDSRLIVILGESIDTFRELTGYLNLVRDSHDMHQAFQSKCTEIVRRCQFIGGIDTVLGADSESCGEDYWKTIKAWPAAFEHIVSVINKVLQPLKQDSFKYNGLTPERILLVAEKLIDRFLKVASAVTEVRKSPEKLFCTLYMCRAIVDSTPSLKKVFPSDFLSRIDSVHTVLNDSAREILREFKKLIQNYSSQKVAQDGSILLITGYVMRYIRMLINHAGSLDTILDYGQNSNLFFSKGNSDQLLFEGISLTGHLVRGLVGDLNKVIEQKSGLYACEGVRCLFLMNNANFIIQEIEHSDIKLIVGAEWLKQRRDDFDMHMRDYMSSTWEQVTSYLTSAASPPHKRLRPGLLGIFQTNARPLQIFDSAFKETCNSQMNWKVPCPVLRSKLRDSISEHVTQAYKAYLETPKQSSAGVGRDFKSKVSELFEG >PVH38745 pep chromosome:PHallii_v3.1:5:37533574:37535411:-1 gene:PAHAL_5G342900 transcript:PVH38745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALRCFGGEDDHHGGGGHYPYYQPQYYSHDPTAAVPRPHQQALGRHGVSPATVGGGALRQQAAGPNIGHLQWSNGVLANGVAASQYQNNTEDIDESSAEGLRDAKSYAKANPMLIQVPVLGTRRKFWRLSDNATRISRKLALILRSQHSVGKFLSAPLQVSNVWIGSTGTVKLRGVSFNGEGFSIERVRDDYKNLSKVLQALIRISGGNVAKLPPDYSGFLALLGDDNLRMKDEFLIVNNAALLPMKNRTEVFLMLHERIVKYLGRTNKAKKKSILSKLPYENDWLDTARANAQINQWVVNAQNEYKKTQSDLLRLNRNVRSHLHEYNDDDIEEILYCEWPELLAVMVKMLHLEGELEVTDIQNKFG >PAN30292 pep chromosome:PHallii_v3.1:5:37533050:37535584:-1 gene:PAHAL_5G342900 transcript:PAN30292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALRCFGGEDDHHGGGGHYPYYQPQYYSHDPTAAVPRPHQQALGRHGVSPATVGGGALRQAAGPNIGHLQWSNGVLANGVAASQYQNNTEDIDESSAEGLRDAKSYAKANPMLIQVPVLGTRRKFWRLSDNATRISRKLALILRSQHSVGKFLSAPLQVSNVWIGSTGTVKLRGVSFNGEGFSIERVRDDYKNLSKVLQALIRISGGNVAKLPPDYSGFLALLGDDNLRMKDEFLIVNNAALLPMKNRTEVFLMLHERIVKYLGRTNKAKKKSILSKLPYENDWLDTARANAQINQWVVNAQNEYKKTQSDLLRLNRNVRSHLHEYNDDDIEEILYCEWPELLAVMVKMLHLEGELEVTDIQNKFG >PAN29564 pep chromosome:PHallii_v3.1:5:14664345:14670509:-1 gene:PAHAL_5G234700 transcript:PAN29564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G06520) UniProtKB/Swiss-Prot;Acc:Q9SHJ5] MVFPAVLPKVAARWLFTFYRATRKLRRQAFQLYNRNAAAAKPPSSAAVSQQGHAATAKQSPAILDDAAAGAAADGTVVCDVHGALLRSTSLFPYFMLVAFEGGSLLRAALLLCAFPLVWALGERRGVRVMAFVTFAGLAPRDADLVARAVLPKHYMEQLNALVYERLWLPSRRKVAVTAAPRVMSEWFLREYTAADAVVGPELHHVAVGRRRYFTGLLAGPAPGRDVLQEALGAVADVGVVSSSSPVDQLLVPCSKEVYVVSRESTKSAKLPRDKYPKPLIFHDGRLAFLPTPAAMLAFFLFLPLGVILSVIRINIGIVLPYKINFVAGAIFGVRFRTSGLRAPPADGKRRRGVLYVCTHRTLVDPIMLTTALQKPVPALTYSLSRLSEVIAPIKTVRLTRDRARDAETMSRLLKQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVAFFANPVPSYRVEFLGAVPREWTRAGGRTGVEVANWVQRRLGEALEFECTGLTRRDKYMMLAGNDGVVAK >PVH38549 pep chromosome:PHallii_v3.1:5:20661326:20666307:-1 gene:PAHAL_5G287100 transcript:PVH38549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDEEKKGRAWMPATIIKMVGGINYAVSYGNREDSIEVLHSCFIRPQPVFDKTKFEYELVASEEVEVYQDGIWSVGVIEDICSCEPRRYKVRVKHHGNKDEDDYFLVSSTSLRPYSKWDGQEWRLCSSKKHARKRNDSVADYSEFSESGGDSDLYSSDYSPEFSTCAEFSDQCSSDYSPEFFTSDRDIDQYSYVPNKRVRKENVVKGELPLTHPLNLRQHTSKSSSKDTMHMETLPEKDASGVKQLGSESIGLGFRVAKGKDKKVATSVLKKLMYTQCGEKGSRFLPKAHTSKRKELHSVAPFDDIVKTGSKSNCIDVILISDDSGYGNSVEISDTSSSNPNRKKKRINLPYKELHSHHPESSQDPSHKDGRQLIPLSGCLLQGLLRINETKEPEFSDNLHLETAMMSIGDATSGDKLHETCQRVAEVKNWMAGASKGADISLINSTISNQKTVNQSCGGAFPIGKLEPCSSVQQEVNADLLCIEAPNDNKMEIKTEQSVKPSKEVNLLCIEAPNDNKLEINNKRTGIYPKEDAALPIGVLESSLTGQQDVKADNLCIQAPKDNKMEIKNERTVMSLEAAEGFHLETCMNSAETIADESHPQRNASSQNFFGQHQESISEKSTGPSSFVHPCMMMDLSKFMPLPAPSSSNLGTVFSTSSLLLMPVHKLEIFERLPQIPHFREVQNCPPEFREGKALGLMVSFANMAESIKNMRIQDEARLYQEKMNSLLVLEEDGFQVGPLKVRLHNLLCLRNRQINLKNRKASLEKEILEIEAVNCGLEQQVKFLDMCIMGMEEQKYQEMKGYVAMWKAANCSSISKLQADLRQVEDSLASVEADFCSIAAAPWQSDVGCSIL >PVH38551 pep chromosome:PHallii_v3.1:5:20661527:20666823:-1 gene:PAHAL_5G287100 transcript:PVH38551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPAEEEEEREEAGAGCRGRPRHAVGEEVEVLLNEEGFRGARFKATVAARLPGSGGYEVVFSTLDAHRRGPLLREVVAAADVRPRPPPPPPPGREVKLFDLVEAYDKGGWWPGVVSAVLPKWRREARYAVSLPLFREVLKRRASLVRPRREFVCGSWVDAQDVLRGIPLYAEGSSVEVMCDEEKKGRAWMPATIIKMVGGINYAVSYGNREDSIEVLHSCFIRPQPVFDKTKFEYELVASEEVEVYQDGIWSVGVIEDICSCEPRRYKVRVKHHGNKDEDDYFLVSSTSLRPYSKWDGQEWRLCSSKKHARKRNDSVADYSEFSESGGDSDLYSSDYSPEFSTCAEFSDQCSSDYSPEFFTSDRDIDQYSYVPNKRVRKENVVKGELPLTHPLNLRQHTSKSSSKDTMHMETLPEKDASGVKQLGSESIGLGFRVAKGKDKKVATSVLKKLMYTQCGEKGSRFLPKAHTSKRKELHSVAPFDDIVKTGSKSNCIDVILISDDSGYGNSVEISDTSSSNPNRKKKRINLPYKELHSHHPESSQDPSHKDGRQLIPLSGCLLQGLLRINETKEPEFSDNLHLETAMMSIGDATSGDKLHETCQRVAEVKNWMAGASKGADISLINSTISNQKTVNQSCGGAFPIGKLEPCSSVQQEVNADLLCIEAPNDNKMEIKTEQSVKPSKEVNLLCIEAPNDNKLEINNKRTGIYPKEDAALPIGVLESSLTGQQDVKADNLCIQAPKDNKMEIKNERTVMSLEAAEGFHLETCMNSAETIADESHPQRNASSQNFFGQHQESISEKSTGPSSFVHPCMMMDLSKFMPLPAPSSSNLGTVFSTSSLLLMPVHKLEIFERLPQIPHFREVQNCPPEFREGKALGLMVSFANMAESIKNMRIQDEARLYQEKMNSLLVLEEDGFQVGPLKVRLHNLLCLRNRQINLKNRKASLEKEILEIEAVNCGLEQQVKFLDMCIMGMEEQKYQEMKGYVAMWKAANCSSISKLQADLRQVEDSLASVEADFCSIAAAPWQSDVGCSIL >PVH38550 pep chromosome:PHallii_v3.1:5:20661326:20667071:-1 gene:PAHAL_5G287100 transcript:PVH38550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPAEEEEEREEAGAGCRGRPRHAVGEEVEVLLNEEGFRGARFKATVAARLPGSGGYEVVFSTLDAHRRGPLLREVVAAADVRPRPPPPPPPGREVKLFDLVEAYDKGGWWPGVVSAVLPKWRREARYAVSLPLFREVLKRRASLVRPRREFVCGSWVDAQDVLRGIPLYAEGSSVEVMCDEEKKGRAWMPATIIKMVGGINYAVSYGNREDSIEVLHSCFIRPQPVFDKTKFEYELVASEEVEVYQDGIWSVGVIEDICSCEPRRYKVRVKHHGNKDEDDYFLVSSTSLRPYSKWDGQEWRLCSSKKHARKRNDSVADYSEFSESGGDSDLYSSDYSPEFSTCAEFSDQCSSDYSPEFFTSDRDIDQYSYVPNKRVRKENVVKGELPLTHPLNLRQHTSKSSSKDTMHMETLPEKDASGVKQLGSESIGLGFRVAKGKDKKVATSVLKKLMYTQCGEKGSRFLPKAHTSKRKELHSVAPFDDIVKTGSKSNCIDVILISDDSGYGNSVEISDTSSSNPNRKKKRINLPYKELHSHHPESSQDPSHKDGRQLIPLSGCLLQGLLRINETKEPEFSDNLHLETAMMSIGDATSGDKLHETCQRVAEVKNWMAGASKGADISLINSTISNQKTVNQSCGGAFPIGKLEPCSSVQQEVNADLLCIEAPNDNKMEIKTEQSVKPSKEVNLLCIEAPNDNKLEINNKRTGIYPKEDAALPIGVLESSLTGQQDVKADNLCIQAPKDNKMEIKNERTVMSLEAAEGFHLETCMNSAETIADESHPQRNASSQNFFGQHQESISEKSTGPSSFVHPCMMMDLSKFMPLPAPSSSNLELSSRISRRKSSWTYGLVRKHG >PAN27521 pep chromosome:PHallii_v3.1:5:5361240:5363598:-1 gene:PAHAL_5G086600 transcript:PAN27521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAPPPASSYVVGPYVLLLGLRFDCYREIARSFPTFVNATNHRGRQPRRRPQGCLRRALMRKNAIALTAPKHSHQRCWHTQCSKGISGGWEYGTMGDRLSMLLKVPFRMDLKCLW >PAN28614 pep chromosome:PHallii_v3.1:5:10068586:10072289:-1 gene:PAHAL_5G166000 transcript:PAN28614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCAFVRLTVDQLLLKLPAVPRPSSGAGVHPSTSPCFCTLSLQDHPASPPRTALLPLAGASGAAAAHADPVVLSLDADAVRRLSARPAELVVSVHAGQTGTTCGVSAARALGRVRVPVDVARAAAGETVVARDGWVDVGKPGSAPAPSSARAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAGGGGQRQPVFTCRFSAGRRASRSRSLTPQSSMTRSASRRLRSWLSSTLHGDGRRDGSREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGSWLILQATGAGPSSWKPWARLEAWRERGPVDALGYRLELVFDSGPHECAVPIAESSISTRRGGQFVIDPATFPEAAAGAAWPFAGGFVMGSTVEGEGRASRPTVQVGVQHVTCMGDVALFVALSAAVDLCMDACKLFSQRLRKELCQDQDE >PAN29636 pep chromosome:PHallii_v3.1:5:15094908:15099603:1 gene:PAHAL_5G239900 transcript:PAN29636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICSSDEIIDEFELLTRDAGRVQQDTLRKILELNADSEYLNRFNLERRTDSKSFKSCIPLCVHSDIESYIQRIADGDNTLVLTGKPITSLSVSSGTTQGKPKLLPFNDELLESTIQIFRTSYAFRNREYPIGNGKSLQFVYGSKQVFTQGGILATTATTNLYRSSRFKEAMKDIMSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVQFVFSPFAHSLVHAFHTLEEVWEDLCADIRNGVLSKRITTPSIRQAVSKILRPNPELASSIYNKCRNLSSWYGVIPALWPNAKYIYGIMTGSMEPYLKKLRYYAGHLPLMSADYGASEGWVGSNVNPTLLPEEVTYAVLPNIAYFEFIPLEKPKGEEMENSSSIHYIESEPVGLTEVEVGKIYEVVITNFAGLFRYRLGDIVKIAGFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAIEEAEKLLAEEKLEVVDFTSLVDRSSDPGHYVIFLELSSGNASEEVLNSCANSLDLAFVDAGYVGSRKIKTIGALELRVLRKGTFGQVMNHYLSLGGAVSQFKTPRFVSQSNSKVLQILNRNVTQSYFSTAYGF >PVH38474 pep chromosome:PHallii_v3.1:5:17309927:17312040:1 gene:PAHAL_5G267600 transcript:PVH38474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAQHHIPPRSLQPCLRPMILVDPASGALPAPAPCLALKPPPGALPRPRTAPRWRARSRHPGAQAASWLARSRARDRHLAADQDAHQPPSQVARPIAARRRARVSKQLLLDFDGKGIDLEGMSPPGSWWD >PAN27126 pep chromosome:PHallii_v3.1:5:3828856:3831769:1 gene:PAHAL_5G060700 transcript:PAN27126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFGSSKFMEAVEFTGILSNRHQENPDFHNWNIVVVRYCDGASFAGDAEGEDLDGTKLFFRGLHIWEAVVDELMTKGMDTAKQALLTGCSAGSLAALLHCDNFRKQFPQDVSVKCLSDAGFFIDEKDLSGERSLRSLINAIVHLQNVREALPKGCLANKDPTECFFPAELIKSISTPTFILNSEYDSWQIRNALAPNGSYPGQAWSSCKADIRNCSSTQIDVLHGFRKKLVSELKVAEDKKDWGLFIDSCFTHCQTPFRISWISRISPRLGNKTIAESVGDWYFGRREEVKYIDCKYPCNPTCSSRLPTA >PAN29159 pep chromosome:PHallii_v3.1:5:12503474:12511034:1 gene:PAHAL_5G205500 transcript:PAN29159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLTGGKSGAAGQSVPRFRCQECHGALAVIGVADRLPASGPHASAVQGCNMGASGMNNSYVVLSKKNRSKDLGIPPRPRSAASPHIEPNQLTRPIEDSYIMLPPPTASIYDTSSSEGDSAQLLLPSCSSLGNNSGFFSSVTVLKRAFEIATSQTQVEQPLCVECMRVLSDKMDFEIEDINSDIKAYEAYLQQLEQESYSILSETDFQEENQKIEEEERKLKADIEEAEKQYSEVSSEMKDLETKYKQFEESEEQYWQEFNSFQLQLTSNQEERDAISAKIEDSQAHLETLNRTNILNDVFYISQHGVFGTINNLRLGHTHVVEWDEINAAWGQAALLLHTMAQYYTPKFQYRIKIHAVGSYPRITDINNKTHKLFGPANVLFSTQYDEAMTWFLTCLQEFVEFAVSLDKEKNVPPDKSFKLPYEIDGDKVGGYRVVLGDFNTRENSTKALKNMLCNLKWVLYWFIGTTSFATPS >PAN29160 pep chromosome:PHallii_v3.1:5:12504396:12511033:1 gene:PAHAL_5G205500 transcript:PAN29160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGMNNSYVVLSKKNRSKDLGIPPRPRSAASPHIEPNQLTRPIEDSYIMLPPPTASIYDTSSSEGDSAQLLLPSCSSLGNNSGFFSSVTVLKRAFEIATSQTQVEQPLCVECMRVLSDKMDFEIEDINSDIKAYEAYLQQLEQESYSILSETDFQEENQKIEEEERKLKADIEEAEKQYSEVSSEMKDLETKYKQFEESEEQYWQEFNSFQLQLTSNQEERDAISAKIEDSQAHLETLNRTNILNDVFYISQHGVFGTINNLRLGHTHVVEWDEINAAWGQAALLLHTMAQYYTPKFQYRIKIHAVGSYPRITDINNKTHKLFGPANVLFSTQYDEAMTWFLTCLQEFVEFAVSLDKEKNVPPDKSFKLPYEIDGDKVGGYRVVLGDFNTRENSTKALKNMLCNLKWVLYWFIGTTSFATPS >PVH38237 pep chromosome:PHallii_v3.1:5:12504396:12511034:1 gene:PAHAL_5G205500 transcript:PVH38237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGMNNSYVVLSKKNRSKDLGIPPRPRSAASPHIEPNQLTRPIEDSYIMLPPPTASIYDTSSSEGDSAQLLLPSCSSLGNNSGFFSSVTVLKRAFEIATSQTQVEQPLCVECMRVLSDKMDFEIEDINSDIKAYEAYLQQLEQESYSILSETDFQEENQKIEEEERKLKADIEEAEKQYSEVSSEMKDLETKYKQFEESEEQYWQEFNSFQLQLTSNQEERDAISAKIEDSQAHLETLNRTNILNDVFYISQHGVFGTINNLRLGHTHVVEWDEINAAWGQAALLLHTMAQYYTPKFQYRIKIHAVGSYPRITDINNKTHKLFGPANVLFSTQYDEAMTWFLTCLQEFVEFAVSLDKEKNVPPDKSFKLPYEIDGDKVGGYRVVLGDFNTRENSTKALKNMLCNLKWVLYWFIGTTSFATPS >PVH38245 pep chromosome:PHallii_v3.1:5:12727362:12727854:-1 gene:PAHAL_5G208500 transcript:PVH38245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWEPVERNRARGTAALSGHRFTRFLFPKSPVRVGLRLRLEHGTAYETKNNKGGCGWESDSESVSGLGEEENPHLPLA >PAN28942 pep chromosome:PHallii_v3.1:5:11347349:11347747:-1 gene:PAHAL_5G188300 transcript:PAN28942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSLLPDVRDTDTSAPDRYKTEPPAQDSPGKPVLRHMSVGEKTAEAPANDGGGSQPKAAPARGSARFERLLSGLGAGPLVDVEPDKVKDEIRRWAKKVAALVRQLSFGAWPEKGDGSSEQQGASDDTSAG >PAN32479 pep chromosome:PHallii_v3.1:5:56048702:56053932:-1 gene:PAHAL_5G489900 transcript:PAN32479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPVAPMWMRWWVAVAGLLAVILPPSTATLSPAGINYEVVALMAIKTELEDPHNVLDNWDINSVDPCSWRMVTCSSDGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGTIPSTIGRLGMLKTLDMSDNQLTGSIPGSLGNLKNLNYLKLNNNSLSGVLPDSLESIDGLALVDLSFNNLSGPLPKISARTFIIAGNPMICGDKSGDNCSSVSLDPLSYPPDDLKTQPQQTIGKGRRIATICGATVGSVAFVAIVVGMLLWWCHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLREHVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRVANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKYMDFAADESSLGLEAMELSGPR >PAN28363 pep chromosome:PHallii_v3.1:5:8880006:8881793:1 gene:PAHAL_5G147900 transcript:PAN28363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVRARRQRVPAFGEWNYDYGGAGDWPVTQYFDSAMQAGLVIAIPPSPKPPKKAVKWSDSATLEAEDEKQRQPVVVGLGEQGSVKKQGKQSRVADAGAHASPAYKACRVAVKAVDQDLYQIPPDMLCHDPRKRVTRRRSAWMGCLGFGCVA >PVH39386 pep chromosome:PHallii_v3.1:5:56041633:56045014:1 gene:PAHAL_5G489600 transcript:PVH39386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAANCGGRVVTRRGGGGLLGRALASRLLCTATPTPQQISHYLAHQPRATWEALSATLPAAAAGLGPHGHVDAVLLSLARHPHASPEPVAKNALTFFHWSAAAAAAASSPSSSHSLRSYCLLVHLLSRAALFRDASVLLEAAIAKHSSSSSPVSSFIDAFFAAYEDSGTAATTRGLHLLVHAYARLRLPREALEACRYLGQRGVLPSLSAFNAALQSAQRARTFDVAWEVFELMTQKRVYANQSTVELVIGVLSREGKLARTAALVERIHGKKCAPGIVAHVALALRMIEDVRVEQVILLLKRMLQKNILLDDIAYSLIVHAYCHAGDLKSAVEQRDDMVRRGCRLNAFVYTCLIRSHCCNGSMDKAVQFLQEMVSMGLKPYDATYSHLIAGCFRQGMVEKGLAYFDTMLHEGFLPDISYCNDMLGGLSNAGVVRKANDLLTALMDKGLVPDKDTYQRLIDGYGKAGDAEGIVKIYHEMEHRGLSSGVDVFTTLIRGLCQCGNLKEAEKFLVVMKKKAVAPTNDSYDLLISSYCEKGNTKRALWLYDMMISENEKLVPSADSFMMLVRRVIKVKNSCPPNS >PVH39450 pep chromosome:PHallii_v3.1:5:56835827:56836504:1 gene:PAHAL_5G503100 transcript:PVH39450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIASVLPALTQITSSIASTANYGLTRVLSFTGSFNDDRTSLLLAPAPAPAPPPEQEPTSPSVADETPAPGTFDIEAATPATPLAEGGGGGGQGHHETATHDQDAESKRVAKSVHTVCLFAASASLVLFVNLPGNKDDDVPSASKPAGASAAAAGEHGPGGGGLYSAGLAFISLGLFSSLGLSMLSIVARPGTAVQKWGMVVAVASVLVAFTLRMCMVLPAASL >PAN29868 pep chromosome:PHallii_v3.1:5:16203551:16206819:-1 gene:PAHAL_5G255700 transcript:PAN29868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPLVVDFPSMGTALCFSSLESLLRDSASGFLAAVSAAPAPGAADLTNFHRVFSRVLSAYPDPPLEAVWFFSALSFHDRPDDLRSLLQLLSAFAASSPGAAKPLALLAPVVSELFHSDKTRRETEALVEAVLSYISICSSRPAAASADGARTDAGSLLPAFGELVKVWSVRHSRDRCPFQVLFPLAGEEARQELMKEGCSVEYLAGVVVAEAFLLRLCLKVQNATGVSRAELQKELRIWVVSSIPVFQNQHFFGVLLNMLLKSPLPVYSLLSADDEILVRDVLYDALILVDYSFINNVSGVDQADLLPINLSRLIITLDAVNDARRKGDQGRAMSFVNAFLTSNVPNYLIKWATCQAGFGALNRPIANTPQALLQWLVDLEDKGLKVFGDSSSWVKGRLIYDEVKNGYGNRMGHSDADLFFIDKQSGGEVMDTKGSEDEEAVGMETSGNAFMAAAQLMKVETNGMRKRKGCGNEDAAAVKFVKYKVEDNSVKDYFSSANNGMSSGSEVENPQSDDEMQESD >PAN32153 pep chromosome:PHallii_v3.1:5:54763976:54768059:1 gene:PAHAL_5G467700 transcript:PAN32153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSQMGPSQIGDRMQGAQLGSHTIQTHGVRLARKHTHDWVALILLAAVVVALHYAPPFNRFVGKDMMTHIRYPVKPSTVPAWAVPIISILCPWVIFISIYVARRDVYDLHHATLGVLFAVLITAVFTDVIKAAVGRPRPDFFWRCFPHGKQLYDQVTGGVICHGNKSFLTDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRQGHVAKLCIVILPLLLASLVGISRVDDYRHHWEDVFVGGLIGFIMAVLCYLHFFPPPYHDQGWGPYAYFHMLEELQAANSNDAQNQQNTATGGQHIGLSRQEHEMKSRNDLESGSV >PVH39268 pep chromosome:PHallii_v3.1:5:54765082:54766601:1 gene:PAHAL_5G467700 transcript:PVH39268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGHWTCFQIISILCPWVIFISIYVARRDVYDLHHATLGVLFAVLITAVFTDVIKAAVGRPRPDFFWRCFPHGKQLYDQVTGGVICHGNKSFLTDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRQGHVAKLCIVILPLLLASLVGISRVDDYRHHWEDVFVGGLIGFIMAVLCYLHFFPPPYHDQGWGPYAYFHMLEELQAANSNDAQNQQNTATGGQHIGLSRQEHEMKSRNDLESGSV >PVH39102 pep chromosome:PHallii_v3.1:5:52563462:52568598:-1 gene:PAHAL_5G433900 transcript:PVH39102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRRKAAHAGGRQWPGCSGGVVGGSSSRWMLFPAFCEGDKNFPLEWEGPILCSKCL >PAN29586 pep chromosome:PHallii_v3.1:5:14756587:14757957:-1 gene:PAHAL_5G235500 transcript:PAN29586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKNHPDSKNKGKATTKSVKTTTFKKKTTTNTKGACFTCGDGGHFARDCPNCANRKEKASNGSGSKNVNTVTASGTGDGYGRSGFFRPNR >PAN29698 pep chromosome:PHallii_v3.1:5:15399766:15408733:1 gene:PAHAL_5G244900 transcript:PAN29698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPLYPYSRGAFPGGDSEPPPPPPPRYSDFEVDLIAARYAGAPPPPPYPSADASAFDSHVGAFDSHFGAFDSHVGAFDSHVGAGRSAGALYHQSFMGSHNTIGQNEALYSSNTMAKRPRLESSLPLYPQRPGEKDCAFYMRTRTCKYGEACKFDHPQWVPEGGVPNWKEVPNVEDSYPERPGEPDCPSNSCRFKSKCKFNHPKEKVNALWAGTDNRESLIADSAILPVRPFEPVCSFYAKTGKCKFGAKCKFNHPKDVEIAPVIAKETIYTATTDAAAHIGTADSSVPAKTHAPTASAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSTCRFNHPYRPALMAPLDHDTLPTLAPIVSAAVLNPAANIMQSFDFHATHMPIEPVPIIYPQRPGETVCDFYMKTGSCKYSQKCKFHHPINRSAPGANENGDPQQPVTLTLAGLPRREDAEICAFYMRSGTCRYGVHCKFDHPPPQEAIAKLQATGKEGENENEGEEKEGLSVVLR >PAN29693 pep chromosome:PHallii_v3.1:5:15399766:15408696:1 gene:PAHAL_5G244900 transcript:PAN29693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPLYPYSRGAFPGGDSEPPPPPPPRYSDFEVDLIAARYAGAPPPPPYPSADASAFDSHVGAFDSHFGAFDSHVGAFDSHVGAGRSAGALYHQSFMGSHNTIGQNEALYSSNTMAKRPRLESSLPLYPQRPGEKDCAFYMRTRTCKYGEACKFDHPQWVPEGGVPNWKEVPNVEDSYPERPGEPDCPYFMKSNSCRFKSKCKFNHPKEKVNALWAGTDNRESLIADSAILPVRPFEPVCSFYAKTGKCKFGAKCKFNHPKDVEIAPVIAKETIYTATTDAAAHIGTADSSVPAKTHAPTASAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSTCRFNHPYRPALMAPLDHDTLPTLAPIVSAAVLNPAANIMQSFDFHATHMPIEPVPIIYPQRPGETVCDDLASILRSASFTILSTALHLVPMKTGILSSL >PVH38369 pep chromosome:PHallii_v3.1:5:15399766:15408696:1 gene:PAHAL_5G244900 transcript:PVH38369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPLYPYSRGAFPGGDSEPPPPPPPRYSDFEVDLIAARYAGAPPPPPYPSADASAFDSHVGAFDSHFGAFDSHVGAFDSHVGAGRSAGALYHQSFMGSHNTIGQNEALYSSNTMAKRPRLESSLPLYPQRPGEKDCAFYMRTRTCKYGEACKFDHPQWVPEGGVPNWKEVPNVEDSYPERPGEPDCPYFMKSNSCRFKSKCKFNHPKEKVNALWAGTDNRESLIADSAILPVRPFEPVCSFYAKTGKCKFGAKCKFNHPKDVEIAPVIAKETIYTATTDAAAHIGTADSSVPAKTHAPTASAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSTCRFNHPYRPDSNFKILSHSIFNVQTALMAPLDHDTLPTLAPIVSAAVLNPAANIMQSFDFHATHMPIEPVPIIYPQRPGETVCDFYMKTGSCKYSQKCKFHHPINRSAPGANENGDPQQPVTLTLAGLPRREDAEICAFYMRSGTCRYGVHCKFDHPPPQEAIAKLQATGKEGENENEGEEKEGLSVVLR >PVH38370 pep chromosome:PHallii_v3.1:5:15401996:15408733:1 gene:PAHAL_5G244900 transcript:PVH38370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNVEDSYPERPGEPDCPYFMKSNSCRFKSKCKFNHPKEKVNALWAGTDNRESLIADSAILPVRPFEPVCSFYAKTGKCKFGAKCKFNHPKDVEIAPVIAKETIYTATTDAAAHIGTADSSVPAKTHAPTASAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSTCRFNHPYRPDSNFKILSHSIFNVQTALMAPLDHDTLPTLAPIVSAAVLNPAANIMQSFDFHATHMPIEPVPIIYPQRPGETVCDFYMKTGSCKYSQKCKFHHPINRSAPGANENGDPQQPVTLTLAGLPRREDAEICAFYMRSGTCRYGVHCKFDHPPPQEAIAKLQATGKEGENENEGEEKEGLSVVLR >PAN29697 pep chromosome:PHallii_v3.1:5:15399766:15408696:1 gene:PAHAL_5G244900 transcript:PAN29697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPLYPYSRGAFPGGDSEPPPPPPPRYSDFEVDLIAARYAGAPPPPPYPSADASAFDSHVGAFDSHFGAFDSHVGAFDSHVGAGRSAGALYHQSFMGSHNTIGQNEALYSSNTMAKRPRLESSLPLYPQRPGEKDCAFYMRTRTCKYGEACKFDHPQWVPEGGVPNWKEVPNVEDSYPERPGEPDCPYFMKSNSCRFKSKCKFNHPKEKVNALWAGTDNRESLIADSAILPVRPFEPVCSFYAKTGKCKFGAKCKFNHPKDVEIAPVIAKETIYTATTDAAAHIGTADSSVPAKTHAPTASAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSTCRFNHPYRPALMAPLDHDTLPTLAPIVSAAVLNPAANIMQSFDFHATHMPIEPVPIIYPQRPGETVCDFYMKTGSCKYSQKCKFHHPINRSAPGANENGDPQQPVTLTLAGLPRREDAEICAFYMRSGTCRYGVHCKFDHPPPQEAIAKLQATGKEGENENEGEEKEGLSVVLR >PAN29692 pep chromosome:PHallii_v3.1:5:15399766:15408733:1 gene:PAHAL_5G244900 transcript:PAN29692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPANLTIHNGFQRVGFQTGKRSRTLKILILSDQGNQIVLCRFKSKCKFNHPKEKVNALWAGTDNRESLIADSAILPVRPFEPVCSFYAKTGKCKFGAKCKFNHPKDVEIAPVIAKETIYTATTDAAAHIGTADSSVPAKTHAPTASAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSTCRFNHPYRPALMAPLDHDTLPTLAPIVSAAVLNPAANIMQSFDFHATHMPIEPVPIIYPQRPGETVCDFYMKTGSCKYSQKCKFHHPINRSAPGANENGDPQQPVTLTLAGLPRREDAEICAFYMRSGTCRYGVHCKFDHPPPQEAIAKLQATGKEGENENEGEEKEGLSVVLR >PAN32908 pep chromosome:PHallii_v3.1:5:58082681:58086851:-1 gene:PAHAL_5G521000 transcript:PAN32908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGDPLVVGRVIGDVVDPFVRRVPLRVAYTAREVSNGCELRPSAIAEQPRVEVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEIVCYESPRPVLGIHRLVFVLFQQLGRQTVYAPGWRQNFSTRDYAELYNLGPPVAAVYFNCQRESGTGGRRM >PVH37583 pep chromosome:PHallii_v3.1:5:2289938:2290387:-1 gene:PAHAL_5G037200 transcript:PVH37583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVMCTSASKDDTPPLCPCVRGCPDWSFSALAHHDIVCVLCFPHPECACRALWLGWPPAGNETFRSIYPPPCRRSGGEAEGGDAWIRGVAAASSGRPAGRRVVCPLDRQQVDAALDSCALLWCVDKVAGVRGKSESTQAWYAACTRM >PAN31964 pep chromosome:PHallii_v3.1:5:53842122:53843366:1 gene:PAHAL_5G453400 transcript:PAN31964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTADPPLARVADWAGLPGDLLACVRELLTAVPGRVCFRAVCRSWRAADGPRPVPRMPPPWVVLPIGSGGCSDAFTLLSVPTMQAFRWSPPGGAGLFCVGSSGGWIAGAYIDADLKIRLSLLNPLTDARVDVPAPFGRVYHMPSGSRSETEEISLCKTFQKVAFSPSPTEHDFAVAVVTRNRSGKAMAFARAGCNEVWLADLGPFERGGDYIRAQRDVAYHDGKFYYMTMSGQVWVVDMAAPSPSPAPFATFEPTMPGLIKRRHHLAFTGDGALHITLSVHADGDDGAWLRPDCVYFTNIPLCSLLAQSRDCSYGRAWVLYLATGDIRRPDSATGEPRNYRVERHWGEDYPKCVWIMPSMR >PVH38538 pep chromosome:PHallii_v3.1:5:20058614:20059286:1 gene:PAHAL_5G283500 transcript:PVH38538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSKNQREGDDDDWEGRGAVDEGPRERRDWGATRAERRRCGRRHSVDADGRREAGDRRGTAPSYTRIGELGYLTTQSGSH >PAN32516 pep chromosome:PHallii_v3.1:5:56222905:56223468:-1 gene:PAHAL_5G493600 transcript:PAN32516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKEKPETTSGPPPPRLDCIKCFDALWFCYSPFHQMQSYYRYGEFDNCFGKWGDLVDCLTLKTKRAAEVEEILIAREKAKPHIWTFRTVDEASDHWWRMYKHFVMMSPPLPGAAQPRPKSDKS >PAN31775 pep chromosome:PHallii_v3.1:5:53151239:53155414:1 gene:PAHAL_5G443300 transcript:PAN31775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRANGTETPHGPTDVAAPLPPSAAVRTPSPAAASSAMPHHANPPHPPAARSFLSRRRVVVVCAAAAAFALFLAAAPVAEDPSRQRAYLMGPFRGGSREETVVAGSLAGASSSPSPAEAPLSCLGETNLSGGIEPTPAPSMFLVPSPSPAENFDDGSMEEPERPEIKGNPPKDPAPFLQEPISTGLPTMSSDVNGEHDIDRKPVLPLRPEAPLWSTAADEELIYAKKEITNAPLTSDDPDLYAPLFRNVSIFKRSYELMEKLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEANQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRAPRRPLRDIGGRPVAQRTILAFFAGQMHGRVRPVLLKYWGDKDADMRIYSRLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYDCVPVIIADNFVLPFDDSLNWSAFSVVVLEKDVPKLKEILLAIPESRYITLQSNVKRVQRHFLWHPNPIKYDIFHMILHSVWFSRVNQMQIN >PAN31779 pep chromosome:PHallii_v3.1:5:53151239:53155414:1 gene:PAHAL_5G443300 transcript:PAN31779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRANGTETPHGPTDVAAPLPPSAAVRTPSPAAASSAMPHHANPPHPPAARSFLSRRRVVVVCAAAAAFALFLAAAPVAEDPSRQRAYLMGPFRGGSREETVVAGSLAGASSSPSPAEAPLSCLGETNLSGGIEPTPAPSMFLVPSPSPAENFDDGSMEEPERPEIKGNPPKDPAPFLQEQAPLWSTAADEELIYAKKEITNAPLTSDDPDLYAPLFRNVSIFKRSYELMEKLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEANQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRAPRRPLRDIGGRPVAQRTILAFFAGQMHGRVRPVLLKYWGDKDADMRIYSRLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYDCVPVIIADNFVLPFDDSLNWSAFSVVVLEKDVPKLKEILLAIPESRYITLQSNVKRVQRHFLWHPNPIKYDIFHMILHSVWFSRVNQMQIN >PAN31777 pep chromosome:PHallii_v3.1:5:53151239:53155414:1 gene:PAHAL_5G443300 transcript:PAN31777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRANGTETPHGPTDVAAPLPPSAAVRTPSPAAASSAMPHHANPPHPPAARSFLSRRRVVVVCAAAAAFALFLAAAPVAEDPSRQRAYLMGPFRGGSREETVVAGSLAGASSSPSPAEAPLSCLGETNLSGGIEPTPAPSMFLVPSPSPAENFDDGSMEEPERPEIKGNPPKDPAPFLQEPISTGLPTMSSDVNGEHDIDRKPVLPLRPEQAPLWSTAADEELIYAKKEITNAPLTSDDPDLYAPLFRNVSIFKRSYELMEKLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEANQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRAPRRPLRDIGGRPVAQRTILAFFAGQMHGRVRPVLLKYWGDKDADMRIYSRLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYDCVPVIIADNFVLPFDDSLNWSAFSVVVLEKDVPKLKEILLAIPESRYITLQSNVKRVQRHFLWHPNPIKYDIFHMILHSVWFSRVNQMQIN >PVH39133 pep chromosome:PHallii_v3.1:5:53151239:53155414:1 gene:PAHAL_5G443300 transcript:PVH39133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRANGTETPHGPTDVAAPLPPSAAVRTPSPAAASSAMPHHANPPHPPAARSFLSRRRVVVVCAAAAAFALFLAAAPVAEDPSRQRAYLMGPFRGGSREETVVAGSLAGASSSPSPAEAPLSCLGETNLSGGIEPTPAPSMFLVPSPSPAENFDDGSMEEPERPEIKGNPPKDPAPFLQEPISTGLPTMSSDVNGEHDIDRKPVLPLRPEQAPLWSTAADEELIYAKKEITNAPLTSDDPDLYAPLFRNVSIFKRSYELMEKLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEANQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRAPRRPLRDIGGRPVAQRTILAFFAGQMHGRVRPVLLKYWGDKDADMRIYSRLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYDCVPVIIADNFVLPFDDSLNWSAFSVVVLEKDVPKLKEILLAIPESRYITLQSNVKRVQRHFLWHPNPIKYDIFHMILHSVWFSRVNQMQIN >PAN31771 pep chromosome:PHallii_v3.1:5:53151239:53155414:1 gene:PAHAL_5G443300 transcript:PAN31771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRANGTETPHGPTDVAAPLPPSAAVRTPSPAAASSAMPHHANPPHPPAARSFLSRRRVVVVCAAAAAFALFLAAAPVAEDPSRQRAYLMGPFRGGSREETVVAGSLAGASSSPSPAEAPLSCLGETNLSGGIEPTPAPSMFLVPSPSPAENFDDGSMEEPERPEIKGNPPKDPAPFLQEPISTGLPTMSSDVNGEHDIDRKPVLPLRPEAPLWSTAADEELIYAKKEITNAPLTSDDPDLYAPLFRNVSIFKRSYELMEKLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEANQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRAPRRPLRDIGGRPVAQRTILAFFAGQMHGRVRPVLLKYWGDKDADMRIYSRLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYDCVPVIIADNFVLPFDDSLNWSAFSVVVLEKDVPKLKEILLAIPESRYITLQSNVKRVQRHFLWHPNPIKYDIFHMILHSVWFSRVNQMQIN >PAN31772 pep chromosome:PHallii_v3.1:5:53151238:53155415:1 gene:PAHAL_5G443300 transcript:PAN31772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRANGTETPHGPTDVAAPLPPSAAVRTPSPAAASSAMPHHANPPHPPAARSFLSRRRVVVVCAAAAAFALFLAAAPVAEDPSRQRAYLMGPFRGGSREETVVAGSLAGASSSPSPAEAPLSCLGETNLSGGIEPTPAPSMFLVPSPSPAENFDDGSMEEPERPEIKGNPPKDPAPFLQEAPLWSTAADEELIYAKKEITNAPLTSDDPDLYAPLFRNVSIFKRSYELMEKLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEANQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRAPRRPLRDIGGRPVAQRTILAFFAGQMHGRVRPVLLKYWGDKDADMRIYSRLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYDCVPVIIADNFVLPFDDSLNWSAFSVVVLEKDVPKLKEILLAIPESRYITLQSNVKRVQRHFLWHPNPIKYDIFHMILHSVWFSRVNQMQIN >PAN31780 pep chromosome:PHallii_v3.1:5:53151239:53155414:1 gene:PAHAL_5G443300 transcript:PAN31780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRANGTETPHGPTDVAAPLPPSAAVRTPSPAAASSAMPHHANPPHPPAARSFLSRRRVVVVCAAAAAFALFLAAAPVAEDPSRQRAYLMGPFRGGSREETVVAGSLAGASSSPSPAEAPLSCLGETNLSGGIEPTPAPSMFLVPSPSPAENFDDGSMEEPERPEIKGNPPKDPAPFLQEAPLWSTAADEELIYAKKEITNAPLTSDDPDLYAPLFRNVSIFKRSYELMEKLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEANQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRAPRRPLRDIGGRPVAQRTILAFFAGQMHGRVRPVLLKYWGDKDADMRIYSRLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYDCVPVIIADNFVLPFDDSLNWSAFSVVVLEKDVPKLKEILLAIPESRYITLQSNVKRVQRHFLWHPNPIKYDIFHMILHSVWFSRVNQMQIN >PVH39436 pep chromosome:PHallii_v3.1:5:56737592:56742261:-1 gene:PAHAL_5G501500 transcript:PVH39436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAGAAAAGRFLLLSRPSPLRLRLLRAALSTTAPTLAPTTTPAPPPRHELLLERLRLRHLKDASSAGSPNLPPRGKARGGERASQHPQQGKRIEAAESFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLDGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVYRVAKSISHHARFRSTMVSGGTRLRPQEDSLNMPVDMVVGTPGRVLDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLTPLKNRASKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVATARHDFIKLTGAENKLEALLQVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRKEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVALATRIEEAIKKNESLEALTTNNVRRANNTQSASIKGRTSRPVKTSSALKVVNQKGRRVVLTSKTSRTPPKDTTSTRRRSPPKIQPKATKSTAPRKAKVVKPAKNSVKVPKSKAKPDGRKAKGDILNKLGTKLSVVGFRGRSSGKSVQSS >PAN26729 pep chromosome:PHallii_v3.1:5:2123412:2127337:1 gene:PAHAL_5G034300 transcript:PAN26729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQRRPWAAAAAAAVLLVASCVAAAPLQQQHKHARISGDAGGVLDDNPVGKLKVFVYEMPRKYNQMLLAKDSRCLHHMFAAEIFMHQFLLSSAVRTLDPEEADWFYTPVYTTCDLTPQGFPLPFRAPRIMRSAIKYVATTWPYWNRTEGADHFFLTPHDFGACFHYQEERAMERGILPLLRRATLVQTFGQRNHVCLQDGSITIPPYANPHKMQAHLINPGTPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPSTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEQISVFVAERDVPRLDSILTSIPLQDILRKQRLLAGASVKQALLFHQPARPGDAFDQVLNGLARKLPHGKGVFLQPGEKVLDWDAGLESDLKPW >PAN27091 pep chromosome:PHallii_v3.1:5:3697819:3702341:-1 gene:PAHAL_5G058400 transcript:PAN27091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated protein VIPP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65260) UniProtKB/Swiss-Prot;Acc:O80796] MEIRAPPTSLRLAPPAASASFHRTALKTSFLNGSVSLRVVRIRQSNVNRFKCNANLFDRLSRVVKSYANAVLSTFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASGDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKSVVENLISNTKLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMAMESEAEALGQLATDDLEGKFALLETSSVDDDLAQMKKELSGSSLKGELPPGRTAVNNSGAARPFPDLEIESELNELRRKAKDY >PAN27092 pep chromosome:PHallii_v3.1:5:3699476:3702341:-1 gene:PAHAL_5G058400 transcript:PAN27092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated protein VIPP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65260) UniProtKB/Swiss-Prot;Acc:O80796] MEIRAPPTSLRLAPPAASASFHRTALKTSFLNGSVSLRVVRIRQSNVNRFKCNANLFDRLSRVVKSYANAVLSTFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASGDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKSVVENLISNTKLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKGIISLAIIITYPTYLRCGCAGLVPVEARDMLCVKCRLKLQEVK >PAN27258 pep chromosome:PHallii_v3.1:5:4332377:4335247:1 gene:PAHAL_5G070100 transcript:PAN27258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extradiol ring-cleavage dioxygenase [Source:Projected from Arabidopsis thaliana (AT4G15093) UniProtKB/Swiss-Prot;Acc:Q949R4] MGQSQARAGRARPKPDAQHATREEERRGDIARPDAATAGAEKEGEEGRRPPRSPAMDTFFLSHGSPTLSIDDAIPARHFFKSWLPARVAGDRPPRAILVVSGHWETATPAVNVIRGTNDTIHDFYGFPKPMYQLKYPAPGAPDLALRTKELLERAGFGPVKEDHSRGLDHGAWVPLMLMYPAADIPVCQLSVQTDRDGAYHYNLGRALAPLREEGVLVLGSGSATHNLRKMGPMDAPVPQWAAEFDAWLKDALLSGRYEDVNRYEEKAPHARVAHPWPDHFYPLHVALGAAGDAARAEQIHQSWTNATLSYASYRFSTSN >PVH38427 pep chromosome:PHallii_v3.1:5:16169318:16170408:-1 gene:PAHAL_5G255100 transcript:PVH38427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNNVVSWTTDISGCTRNGRPDAAAAMFVAMLESGVVPNDFACNAALAACAAAGALGLGEQVHSLAVRAGLARDAWIGSCLVELYSRCGSLRAAEEVFRRMESPDVVGYTSLVSALCRNDDEAGLQPNEHTMTSILAACPRVLGEQIHGYMVKAMGLQSVYASSALIDLYSRNGDSDMAKLVFQKLNSKNVVAWCSMMQLCVRDGRLEDALRVFSEMSSEGVEPTEYAFSIALGACGSVGLGRQIHCSAIKRNLMTDIRVSNALLSMYGRSGLAEELEAVLDKIENPDLVSWTAAISANFQNGFGEKAVELLSKMHSQSFTPNDYAFSSGLSSCADLALLDQGMQFHCWH >PAN26358 pep chromosome:PHallii_v3.1:5:294422:300663:-1 gene:PAHAL_5G004700 transcript:PAN26358 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MAFAGDGEDAAVAGDPVVLEITDTSSAAASGAPSLPRPAPVCVSDLARFDPLPSPTVAVRADRHRLIETSSYFRALLGGSFSESGRAYVQVGCNLEAAVQVLRYLFEPSESFTITPDNFLPLLEGALFLAVESLLVECERWFRTMCSQTSATIVPLDFLIEVWYFAQEHGVTFVQDTCPEYLAQNFAHVISRRLFNKIPYGLLCSTIECPHLMVDSEKQLCEAILYWVSENKKPCERPNPNSVDDHLFLLSKVKICLLPLEFATGTKRHWLDFGNNIVRTILNLLKDSLKTLMDAIADGNLEGYCIRITEYSKNIVLSGCPQITTAFLYISVIPTYLDVSFKRRIVSSYTQVDHQSFILYDELEKAGKTLLFRNVHMVDLSKCPNVHFGAAIDWLKLAFPELRILRALYCLSFQFVDLLYLFLRCPWIDEIDMAIDKSTITPRQSVVYSSSEVLGKLKQNPRKYYISRPSYDRQPNLVFSNISRLTLEGRDDIDDVDLLKISVLKNSLCYINIRNCTLLTDGGISNFLLRCTKIHSMVLSYTSFGNWSIQTLHYMTVNIQELHLSGCKGIDAAPMSLLMGIINITKFLCLRGTSLTDDALCKFSGSSLEYLDVSETAVSMVSLAPVIRRNCNLNCLKTAGCRSLLFEHDKVERIIGNKYGDFLQEIGSTCCLEDVEMGWGFCPIQTEDLIPSFSKVRNMTVGLGTTLAENILHALPVICPFLESLTLRFQVISDRVIRNLLESSVNLQVLCLHYCLGSLTSFSFQAKAPALRILRLQWVTPWITNDDLTILTKNCNLVELSLSGCKLLDSSSQQIIASGWPNLVLLHLEDCGQVTVGGVSSILNCKALEDVLLRHTGRGIGRSIVDDAIRELPLLRKLALDLCDACEEGYDSPSNAEGKMMRSVRMSRCKTLSGSCLELPREGSSKPVHKDTVVLEWSSTRMTTTIVKQRV >PAN26357 pep chromosome:PHallii_v3.1:5:294422:299298:-1 gene:PAHAL_5G004700 transcript:PAN26357 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MVDSEKQLCEAILYWVSENKKPCERPNPNSVDDHLFLLSKVKICLLPLEFATGTKRHWLDFGNNIVRTILNLLKDSLKTLMDAIADGNLEGYCIRITEYSKNIVLSGCPQITTAFLYISVIPTYLDVSFKRRIVSSYTQVDHQSFILYDELEKAGKTLLFRNVHMVDLSKCPNVHFGAAIDWLKLAFPELRILRALYCLSFQFVDLLYLFLRCPWIDEIDMAIDKSTITPRQSVVYSSSEVLGKLKQNPRKYYISRPSYDRQPNLVFSNISRLTLEGRDDIDDVDLLKISVLKNSLCYINIRNCTLLTDGGISNFLLRCTKIHSMVLSYTSFGNWSIQTLHYMTVNIQELHLSGCKGIDAAPMSLLMGIINITKFLCLRGTSLTDDALCKFSGSSLEYLDVSETAVSMVSLAPVIRRNCNLNCLKTAGCRSLLFEHDKVERIIGNKYGDFLQEIGSTCCLEDVEMGWGFCPIQTEDLIPSFSKVRNMTVGLGTTLAENILHALPVICPFLESLTLRFQVISDRVIRNLLESSVNLQVLCLHYCLGSLTSFSFQAKAPALRILRLQWVTPWITNDDLTILTKNCNLVELSLSGCKLLDSSSQQIIASGWPNLVLLHLEDCGQVTVGGVSSILNCKALEDVLLRHTGRGIGRSIVDDAIRELPLLRKLALDLCDACEEGYDSPSNAEGKMMRSVRMSRCKTLSGSCLELPREGSSKPVHKDTVVLEWSSTRMTTTIVKQRV >PAN28317 pep chromosome:PHallii_v3.1:5:8660708:8664657:-1 gene:PAHAL_5G144800 transcript:PAN28317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSITFASSSSYLSNGSSPPPGLPQAPPLAAGEGWGGGGAAVGSGSSVEAVSLNRLSKNLEQLLLDRDLDCSDADVEVPDGGPPIAVHRCILAARSAFFYDLFAARGRGGAARGDAAATAGGAGEGAGSGRPQYKMDELVPGGRVGREAFQAFLGYLYTGKLWGAPFDVVSCADPVCPHDSCPPAIRFAVEIMYAAWTFKIPELISVFQRRLLNFVDKTVVEDVIPILQVASHSELTQVLDKCIQRIARSDLDDISLDKELPPEVVEEIKKIRKNSQTADDDASILDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAASYCDSKVVSELLDLGLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGATVSQLTADGRSAIGICRRLTRAKDYNKKMEQGQESNKDRMCIDILEREMMRNPMSVEDAVTSPLLADNLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTEEFGGITAPSTSGKLREVDLNETPAIQNKRLCSRVDALMKTVELGRRYFPNCSQVLDKFLEDDMPDGGLDKFYLQRGTPDEQKVKRMRFSELKEDVRKAFSKDKADNSMISGLSSSSSCSPPQKVARK >PAN29705 pep chromosome:PHallii_v3.1:5:15494891:15497280:1 gene:PAHAL_5G245300 transcript:PAN29705 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MEATVMSQDSKAGGNGGGRRRPRYRIETRALSYVLPPRGAWLWGGGKGKAEERLLLRGVTCEAPPGELVAVVGPSGAGKTTLLSVLAGSADPARVAAGEVLVNGLPMDAARFRRASGYVPQDDALFPALTVEESLVYSARLRLRAAGGSGGGPAGAERARELMAELGLRHVAASRVADVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAAHGKTVVLTIHQPGFRILELIDRVVLLADGAVRHHGSLDFLQARLAATGHAIPAHVNVLEYAMEAIDSLKPDVAVAAAVTAPADRDGDAVAPVGRVPASARRAGYANSPAAEVRILAGRFMKTVLRSPQLFAARMAQSVLAGAFLGSIFLGTTDLQSRLGFFAFNLTYLLSSTTEALPVFLHERRILERETSRGAYRVSSYVASNAAVFLPFLLAAAVLYAAPVYWLVGLAREPAAFAYFALVVWLVMLTANSFVACLSALAPNYIVGNSVVAGLIGCFFLFSGYFVASKNIPRYWVFMHYASLFKYPFEALVVNEYGGARGARECLASAGGGGAGGLCVLDGAGLLRQQGMREGMRWSNLGVMLGFVVGYRVLCFVFLWFRCHRMRR >PAN32049 pep chromosome:PHallii_v3.1:5:54213844:54219490:-1 gene:PAHAL_5G459200 transcript:PAN32049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDVAGASSSSMAAAAASDPSHGWQTVSYPKRNRKQQQPPRAAAPDLALQANGKAGVFDAVEKRSQERHRALQQQLASRAADLDDARIAAAAGYSDDECSDEAAAPRQEGEPKKPKKPKVKKPKVTVAEAAALIDAENLAAHLIEISGSYENQQDIQLMRFADYLGRAFVTVSTAQFPWAKMFKESPVSKMVDIPLCHIPEPVIKTAGDWISQRSSSALGDFVLWCIDSIMSELSGPAAGTKGSKKVVQQSPRAQVAIFVVLAMTLRRKPEVLINIMPKIMGSNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHSLFPTLCVKSSGNPQARDLVLQLLERILSVPKARSILLNGAVRKGERLVPPASFDIFMRATFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQASQQLLPLCAKAMQENNAELTREAVDVFIWCLTQNTESYKQWERIYPENIEASASVLSKIAIDWKDVSPKLSVEALKATVKNLKAKNEAALESATDAGKQASIKEADKHCKVILGKLTRGATCLKSSLVVIALAVAAGFVLSPDMNIPSELEKLQAMVSSHLSF >PAN28827 pep chromosome:PHallii_v3.1:5:10872929:10878550:1 gene:PAHAL_5G180300 transcript:PAN28827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGLVVVVALFVAAAVAASAADDAQLLEQFKAEVPSQAADLRGWSAADGACRFPGAACRAGRLTSLSLASVPLNADFRAVAATLLQLGSIETLSLRGANVSGALAAAPRCGARLQTLDLSGNAGLRGSVADVEALAAACTGLRALNLSGDSVGAVRSGGGGGSGFAGLDALDLSGNKISGDGDLRWMVGAVRRLVLSGNKISGLPEFTNCSGLEYLDLSGNLITGELAGGSLSDCRGLSTLDLSGNHLVGPFPPDIAGLTSLAALNLSNNNFSSELPADAFTGLQQLKVLSLSFNHFNGTIPDSLAALPELDVLDLSSNAFSGTIPLSLCQDPNSSLRMLYLQNNYLSGAIPESITNCTRLESLDLSLNNINGTLPASLGKLGELRDLILWQNLLEGEIPASLENMHKLEHLILDYNGLTGTIPPELAKCKELNWISLASNQLSGPIPSWLGQLSNLAILKLSNNSFSGPIPAELGDCQSLVWLDLNSNQLNGSIPAELAKQSGKMNVGLVIGRPYVYLRNDELSSECHGKGSLLEFSSIRPEDLSRMPSKKICNFTRVYIGSTEYTFNKNGSMIFLDLSFNQLDSKIPKELGSMYYLMIMNLGHNLLSGLIPPELASAKKLAVLDLSHNQLEGPIPNSFSSLSLSEINLSNNQLNGSIPELGSLATFPRMSYENNSGLCGIPLPKCDHNAGSSSYDDHQSHRRKQASLIGSVTMALLLSLFCIFGIAIIAIECKKRKQKNEDASTARDIYIDSQTHSGTMNSAWRLSGTNALSINLAAFDNPLQKLTLPDVVEATNGFHNDSLIGSGGFGDVYKAQLKDGNTVAIKKLIHVSGQGDREFTAEMETIGKIRHRNLVRLLGYCKVGEERLLVYEYMKYGSLEDVLHDRKKIGIKLSWAARRKIAIGAARGLAFLHHNCTPHIIHRDMKSSNVLIDENLEAKVSDFGMARMVNVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSTDFGEDNNLVGWVKQHTKLNVTDVFDPELLEDDPALKIELLEHLKVACACLDDRPSRRPTMLKVMAMFKEIQAGSTVDSKTSSACTGSIDDAGFGGLEMVTLNEDKEEKD >PAN28826 pep chromosome:PHallii_v3.1:5:10873422:10878550:1 gene:PAHAL_5G180300 transcript:PAN28826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGLVVVVALFVAAAVAASAADDAQLLEQFKAEVPSQAADLRGWSAADGACRFPGAACRAGRLTSLSLASVPLNADFRAVAATLLQLGSIETLSLRGANVSGALAAAPRCGARLQTLDLSGNAGLRGSVADVEALAAACTGLRALNLSGDSVGAVRSGGGGGSGFAGLDALDLSGNKISGDGDLRWMVGAVRRLVLSGNKISGLPEFTNCSGLEYLDLSGNLITGELAGGSLSDCRGLSTLDLSGNHLVGPFPPDIAGLTSLAALNLSNNNFSSELPADAFTGLQQLKVLSLSFNHFNGTIPDSLAALPELDVLDLSSNAFSGTIPLSLCQDPNSSLRMLYLQNNYLSGAIPESITNCTRLESLDLSLNNINGTLPASLGKLGELRDLILWQNLLEGEIPASLENMHKLEHLILDYNGLTGTIPPELAKCKELNWISLASNQLSGPIPSWLGQLSNLAILKLSNNSFSGPIPAELGDCQSLVWLDLNSNQLNGSIPAELAKQSGKMNVGLVIGRPYVYLRNDELSSECHGKGSLLEFSSIRPEDLSRMPSKKICNFTRVYIGSTEYTFNKNGSMIFLDLSFNQLDSKIPKELGSMYYLMIMNLGHNLLSGLIPPELASAKKLAVLDLSHNQLEGPIPNSFSSLSLSEINLSNNQLNGSIPELGSLATFPRMSYENNSGLCGIPLPKCDHNAGSSSYDDHQSHRRKQASLIGSVTMALLLSLFCIFGIAIIAIECKKRKQKNEDASTARDIYIDSQTHSGTMNSAWRLSGTNALSINLAAFDNPLQKLTLPDVVEATNGFHNDSLIGSGGFGDVYKAQLKDGNTVAIKKLIHVSGQGDREFTAEMETIGKIRHRNLVRLLGYCKVGEERLLVYEYMKYGSLEDVLHDRKKIGIKLSWAARRKIAIGAARGLAFLHHNCTPHIIHRDMKSSNVLIDENLEAKVSDFGMARMVNVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSTDFGEDNNLVGWVKQHTKLNVTDVFDPELLEDDPALKIELLEHLKVACACLDDRPSRRPTMLKVMAMFKEIQAGSTVDSKTSSACTGSIDDAGFGGLEMVTLNEDKEEKD >PAN31034 pep chromosome:PHallii_v3.1:5:48666761:48672163:-1 gene:PAHAL_5G390600 transcript:PAN31034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLPKRPGPLLVLLVVAFAAATGAAASSDAAGTSVPFYPSAEAAAAAHCDGTLYPDVCLSTLSNIPDLHKKPLPDVICAAVNRTEDVVTATASNCSSYLRERSLSARDRLAISDCLELLSTTMDDLQATVADLESPSATNGSASAVGARRVTLDHVMTVLSAAITNQYTCLDGFAYQNGGRVRRYIEPTLHHVSRMVSNSLAMAKKLPGASPAAPRQPFTGYGQMVRGFPRWVRPGDRRLLQAPASGIKADAVVAQDGSGDYTTVSAAVAAAPTNSKRRYVIYIKAGAYMENVEVGKKHVNLMFVGDGIGKTVIKASRNVIDGSTTFRSATVAVVGKNFLGRDLTIENFAGPSKHQAVALRVGADLSAFYRCSFIGYQDTLYVHSLRQFFRDCDVYGTIDFIFGNAAVVLQSCNLYARKPLPNQSNIFTAQGREDPNQNTGISVQRCKVAAAADLVGREAATRTYLGRPWKQYSRTVFMQSELDSLIDPAGWLEWNGDFALDTLYYGEYKNTGAGAGTSGRVKWKGYRVITSAAEASAFTVGSFIDGDVWLAGTSIPFDTGL >PAN32505 pep chromosome:PHallii_v3.1:5:56179251:56179945:-1 gene:PAHAL_5G492700 transcript:PAN32505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSSQTFRRSGSSGLVWDERLMSSKDGYQGEPEGGATEFKELRHSRSVGSVGLLQRRRNDGAEHRRRRNDDGNQGFHTRRVAPALDPPSPKVPGCIFCGIFRKAGASEPSKPRRY >PAN33014 pep chromosome:PHallii_v3.1:5:58590116:58597034:-1 gene:PAHAL_5G529300 transcript:PAN33014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSVVMSALGIGIGVGVGLGLASAPWAGGGSASARAGLTVERVEQELRRLLVDGAASKVTFDEFPYYLSEQTRVVLTSAAYVHLKQADISKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFQAKLLLLDPTDFLIKIHSKYGTGGSTEQPFKRSISTTTLERVSGLLGSLSILPQKEQPKGTIRRQSSMTDVKLRSSESMSNLPKLRRNASTSSDMSSLASQGPSTNTAPLRRASSWNFDEKILVQALYKVLHSVSKKYPIVLYIRDVEKFLHKSPKMYLLFEKLLNKLEGPVLVLGSRIVEMDSDEELDERLSVLFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHILEVLAENDLECDDLGSICLSDTIGLSRYIEEIVVSAVSYHLMNKREPEYRNGKLVISAKSLSHALEIFQENKMSSKDSMKLELTVDALKAAEKGTATTAAKSETKPATLLPPVRPPIAASTAPGTAAAASAPAVASKTEAEKKDNSPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVSFDDIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSMESRELIMRRLLSKEKVDEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKLKREKGGTPSDLTKKKEKEGPIILRPLNMTDLKEAKNQVAASFAAEGSIMSELRQWNELYGEGGSRKKQQLTYFL >PVH39527 pep chromosome:PHallii_v3.1:5:58590571:58596456:-1 gene:PAHAL_5G529300 transcript:PVH39527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSVVMSALGIGIGVGVGLGLASAPWAGGGSASARAGLTVERVEQELRRLLVDGAASKVTFDEFPYYLSEQTRVVLTSAAYVHLKQADISKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFQAKLLLLDPTDFLIKIHSKYGTGGSTEQPFKRSISTTTLERVSGLLGSLSILPQKEQPKAGTIRRQSSMTDVKLRSSESMSNLPKLRRNASTSSDMSSLASQGPSTNTAPLRRASSWNFDEKILVQALYKVLHSVSKKYPIVLYIRDVEKFLHKSPKMYLLFEKLLNKLEGPVLVLGSRIVEMDSDEELDERLSVLFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHILEVLAENDLECDDLGSICLSDTIGLSRYIEEIVVSAVSYHLMNKREPEYRNGKLVISAKSLSHALEIFQENKMSSKDSMKLELTVDALKAAEKGTATTAAKSETKPATLLPPVRPPIAASTAPGTAAAASAPAVASKTEAEKKDNSPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVSFDDIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSMESRELIMRRLLSKEKVDEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKLKREKGGTPSDLTKKKEKEGPIILRPLNMTDLKEAKNQVAASFAAEGSIMSELRQWNELYGEGGSRKKQQLTYFL >PAN28941 pep chromosome:PHallii_v3.1:5:11348094:11350270:-1 gene:PAHAL_5G188400 transcript:PAN28941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPDSLIWEIVRKNNSFLVKQFGNGNAKVQFSKEPNNLYNVHSYKHSGLANKKTVTVQPAIGKEMAVVLSTTKTKKQNKPASLYHKSVMRKEFRKMAKAVKNQVGDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQANQIHEELF >PVH39270 pep chromosome:PHallii_v3.1:5:54812684:54813683:1 gene:PAHAL_5G468300 transcript:PVH39270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSHCAPVDEEPHVARNNPVDIDGDDETEEVRTEARLIWKPEEDGRVMSAWLKHSIDSISGNNKKSEKYWLNVQKEYNQSTPKIRWRTTKQVKDSWHKINRFTNSFNDCWLKARRVFTSGYSDEMWLEKAHKFYEEDNQGSRFQLMNWMCYNDQLQGKNQSKRKEMDSTATQGGGLDDIDLPRPMGQKAAKRAGYEGKGKSKDSAIHVDELDRFEKIQNNVQANRLKALEMQGKISNDKIKASKIALQAAKEEKEAKMFETYSNLLKLDVSEMPEDIRAEHVAALKCLRSKLFPV >PVH39558 pep chromosome:PHallii_v3.1:5:59180474:59184991:-1 gene:PAHAL_5G536200 transcript:PVH39558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHSFQDDDYFQEDMLLHGEQQVAGPSAGDILARVDLRVAFGSEKLLNLEMLVMEIARRAADIEPLMREPQSISAESVDKAFEFDALYGIVDSETNELEKLVASIQMDIASAEGKVSEEEPWSRLTDKLHTATDSLKRMQELISTIRRESATFEKTIQPSHDNQGTGEVMGYENGHMSTHTTMQAEDQRNVLQMLQQSIASELDLQQKIFDSQSSVEDLKMKLHYAEQESYFLEEFIGALYKRMFAAENASQLFLGASKELIGTIDTIQFSLTASVCREGDLKSKLEESLMKLNVNQSTRETVPGDSDKNASQEAVQMQVLSPPEFLTLRNKVQQLEEWLRDSGSHPQWSLLSRGETEEEQNTMQAEINPFGNIISDLKLAITNAESRTQNAEARCTQLTQTNVQLSGQLDSLKSQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEHMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVESLENSLHQANQLKMCTAKDIGSKTKTITDLVAKLALERERLHLQIVTLTKKNRILAKKCKENDSGATSLSEEVTATEGELRPLKVMEEASLNCSTTQHKVAPTGSTPQEEVEAEEVTTLEDESGARRTLETVRPIESLQLNWKHISVALLVLLAAVLVYQLYQSDDRVEQLLRKFLG >PVH39561 pep chromosome:PHallii_v3.1:5:59180674:59184727:-1 gene:PAHAL_5G536200 transcript:PVH39561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHSFQDDDYFQEDMLLHGEQQVAGPSAGDILARVDLRVAFGSEKLLNLEMLVMEIARRAADIEPLMREPQSISAESVDKAFEFDALYGIVDSETNELEKLVASIQMDIASAEGKVSEEEPWSRLTDKLHTATDSLKRMQELISTIRRESATFEKTIQPSHDNQGTGEVMGYENGHMSTHTTMQAEDQRNVLQMLQQSIASELDLQQKIFDSQSSVEDLKMKLHYAEQESYFLEEFIGALYKRMFAAENASQLFLGASKELIGTIDTIQFSLTASVCREGDLKSKLEESLMKLNVNQSTRETVPGDSDKNASQEAVQMQVLSPPEFLTLRNKVQQLEEWLRDSGSHPQWSLLSRGETEEEQNTMQAEINPFGNIISDLKLAITNAESRTQNAEARCTQLTQTNVQLSGQLDSLKSQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEHMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVESLENSLHQANQLKMCTAKDIGSKTKTITDLVAKLALERERLHLQIVTLTKKNRILAKKCKENDSGATSLSEEVTATEGELRPLKVMEEASLNCSTTQHKVAPTGSTPQEEVEAEEVTTLEDESGARRTLETVRPIESLQLNWKHISVALLVLLAAVLVYQLYQSDDRVEQLLRKFLG >PVH39560 pep chromosome:PHallii_v3.1:5:59180609:59184991:-1 gene:PAHAL_5G536200 transcript:PVH39560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHSFQDDDYFQEDMLLHGEQQVAGPSAGDILARVDLRVAFGSEKLLNLEMLVMEIARRAADIEPLMREPQSISAESVDKAFEFDALYGIVDSETNELEKLVASIQMDIASAEGKVSEEEPWSRLTDKLHTATDSLKRMQELISTIRRESATFEKTIQPSHDNQGTGEVMGYENGHMSTHTTMQAEDQRNVLQMLQQSIASELDLQQKIFDSQSSVEDLKMKLHYAEQESYFLEEFIGALYKRMFAAENASQLFLGASKELIGTIDTIQFSLTASVCREGDLKSKLEESLMKLNVNQSTRETVPGDSDKNASQEAVQMQVLSPPEFLTLRNKVQQLEEWLRDSGSHPQWSLLSRGETEEEQNTMQAEINPFGNIISDLKLAITNAESRTQNAEARCTQLTQTNVQLSGQLDSLKSQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEHMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVESLENSLHQANQLKMCTAKDIGSKTKTITDLVAKLALERERLHLQIVTLTKKNRILAKKCKENDSGATSLSEEVTATEGELRPLKVMEEASLNCSTTQHKVAPTGSTPQEEVEAEEVTTLEDESGARRTLETVRPIESLQLNWKHISVALLVLLAAVLVYQLYQSDDRVEQLLRKFLG >PVH39559 pep chromosome:PHallii_v3.1:5:59180532:59184933:-1 gene:PAHAL_5G536200 transcript:PVH39559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHSFQDDDYFQEDMLLHGEQQVAGPSAGDILARVDLRVAFGSEKLLNLEMLVMEIARRAADIEPLMREPQSISAESVDKAFEFDALYGIVDSETNELEKLVASIQMDIASAEGKVSEEEPWSRLTDKLHTATDSLKRMQELISTIRRESATFEKTIQPSHDNQGTGEVMGYENGHMSTHTTMQAEDQRNVLQMLQQSIASELDLQQKIFDSQSSVEDLKMKLHYAEQESYFLEEFIGALYKRMFAAENASQLFLGASKELIGTIDTIQFSLTASVCREGDLKSKLEESLMKLNVNQSTRETVPGDSDKNASQEAVQMQVLSPPEFLTLRNKVQQLEEWLRDSGSHPQWSLLSRGETEEEQNTMQAEINPFGNIISDLKLAITNAESRTQNAEARCTQLTQTNVQLSGQLDSLKSQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEHMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVESLENSLHQANQLKMCTAKDIGSKTKTITDLVAKLALERERLHLQIVTLTKKNRILAKKCKENDSGATSLSEEVTATEGELRPLKVMEEASLNCSTTQHKVAPTGSTPQEEVEAEEVTTLEDESGARRTLETVRPIESLQLNWKHISVALLVLLAAVLVYQLYQSDDRVEQLLRKFLG >PAN33063 pep chromosome:PHallii_v3.1:5:59180609:59184931:-1 gene:PAHAL_5G536200 transcript:PAN33063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHSFQDDDYFQEDMLLHGEQQVAGPSAGDILARVDLRVAFGSEKLLNLEMLVMEIARRAADIEPLMREPQSISAESVDKAFEFDALYGIVDSETNELEKLVASIQMDIASAEGKVSEEEPWSRLTDKLHTATDSLKRMQELISTIRRESATFEKTIQPSHDNQGTGEVMGYENGHMSTHTTMQAEDQRNVLQMLQQSIASELDLQQKIFDSQSSVEDLKMKLHYAEQESYFLEEFIGALYKRMFAAENASQLFLGASKELIGTIDTIQFSLTASVCREGDLKSKLEESLMKLNVNQSTRETVPGDSDKNASQEAVQMQVLSPPEFLTLRNKVQQLEEWLRDSGSHPQWSLLSRGETEEEQNTMQAEINPFGNIISDLKLAITNAESRTQNAEARCTQLTQTNVQLSGQLDSLKSQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEHMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVESLENSLHQANQLKMCTAKDIGSKTKTITDLVAKLALERERLHLQIVTLTKKNRILAKKCKENDSGATSLSEEVTATEGELRPLKVMEEASLNCSTTQHKVAPTGSTPQEEVEAEEVTTLEDESGARRTLETVRPIESLQLNWKHISVALLVLLAAVLVYQLYQSDDRVEQLLRKFLG >PVH39557 pep chromosome:PHallii_v3.1:5:59180500:59184727:-1 gene:PAHAL_5G536200 transcript:PVH39557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHSFQDDDYFQEDMLLHGEQQVAGPSAGDILARVDLRVAFGSEKLLNLEMLVMEIARRAADIEPLMREPQSISAESVDKAFEFDALYGIVDSETNELEKLVASIQMDIASAEGKVSEEEPWSRLTDKLHTATDSLKRMQELISTIRRESATFEKTIQPSHDNQGTGEVMGYENGHMSTHTTMQAEDQRNVLQMLQQSIASELDLQQKIFDSQSSVEDLKMKLHYAEQESYFLEEFIGALYKRMFAAENASQLFLGASKELIGTIDTIQFSLTASVCREGDLKSKLEESLMKLNVNQSTRETVPGDSDKNASQEAVQMQVLSPPEFLTLRNKVQQLEEWLRDSGSHPQWSLLSRGETEEEQNTMQAEINPFGNIISDLKLAITNAESRTQNAEARCTQLTQTNVQLSGQLDSLKSQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEHMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVESLENSLHQANQLKMCTAKDIGSKTKTITDLVAKLALERERLHLQIVTLTKKNRILAKKCKENDSGATSLSEEVTATEGELRPLKVMEEASLNCSTTQHKVAPTGSTPQEEVEAEEVTTLEDESGARRTLETVRPIESLQLNWKHISVALLVLLAAVLVYQLYQSDDRVEQLLRKFLG >PVH37555 pep chromosome:PHallii_v3.1:5:1894686:1895880:1 gene:PAHAL_5G029600 transcript:PVH37555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWIGVAHDRSPATAHSLAVRPRCKSNPPTAPRERVRAMPLPRRAALAVAAAVLLLAVAVAAPRAAGQSTAAADPDGWAAAADRGAAGTVEECAGGGGGGTARRELWGSQYISYDAMSRGRVPCSYRGASYYNCRPGGPANPYSRGCSQITRCRG >PAN30894 pep chromosome:PHallii_v3.1:5:37699284:37699919:-1 gene:PAHAL_5G345000 transcript:PAN30894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASAAVHGKQKDGDQITAGACHGSDSNDCKSRADLYAGAVAQRVLYGPASRCRGGSRRAATVNGKPPSRLSKMSGAAEAT >PAN32890 pep chromosome:PHallii_v3.1:5:58014843:58015328:-1 gene:PAHAL_5G519500 transcript:PAN32890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSESLPGDVIRCRRFTAVLAAGAASFTFCVLFVALFLYLRFLLRRWRARRGRGAASSSLVPQEQAGPGSPSGGLDAAAIALLPSLPYQRGAGVAEAECAVCLGALDEGQTLRQLPGCMHVFHLPCVDAWLSSNASCPVCRRRTEPARAEEAAAALGLA >PVH37596 pep chromosome:PHallii_v3.1:5:2520964:2532770:-1 gene:PAHAL_5G039900 transcript:PVH37596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQRQYPRIVYPLPSLVTTRRSHGPQQNGEIAGESFLMTGGGGGSTRRPATAARSRGRPSDSSEPELDARRAAAAAARRRGRGDHGPLRLMDVSPRTLAFLGLASFALMSVAFVAYTGGWWEEVEAEGAATLRTVMRSVTPLPAPKMMDLAQFQGDHKESLYWGTYRPNVYLGIRSRTPLSLIAGLMWIGLKNGQYFLRHVCQDSDELSTYGWTAHNGRDYGHQVLVDHGLFLTTSFLKEKGEGSGYGGDWAVRLDANCERSSISDAQGSTTHLFFYIADESGKSITMGSHVPSSRGPVLLASGSHDEIDDWELYLRSEDNLEIHRAGFKSTTMHNLSDLVQQAVATNAMQTGNLNLPDMTEDSSNIMIYQVSIKTPAQIDIVFLSGSASKNPTVEERISKLTGPMLSARLESKQKEFEERYDQIFNVNNKIDSKELSVGRAALSNLLGGVGYFYGQSKIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLDLLNSDGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLAIRDLASGIHAKQFSDDEAEKISTFLERAYIRLNSWFQWFNSTQSGKYEGTFYWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCIRSIAEFLKMDSALEKDYYKMSNQLSDFGTLNKWHLDDKIGAYFDYGNHTEKVRLRWYDVQDKDTMRQELLRETLQPPQLQLVPHVGYVSLFPFMMGAIPPESWVLEKQLDLISNTSMLWTDYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMILSALHHYSHEDGPYKGRAGELYDKLRSNLIRNIVKNYDETGFFWENFDQKNKGKGKGARSFTGWTSLLVLIMAESYPTLHR >PVH37722 pep chromosome:PHallii_v3.1:5:4242992:4243700:1 gene:PAHAL_5G068800 transcript:PVH37722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSIENLSTKLEGFDAVMQKILDKADDAAAPLQRLESTPPPPPPPPLPQPPIRPAPPPPPMSARVSPFDLNLAPEQVARQPAQTWERPRGHRHDSSNRDVGGGILGSPPPRLITGMSNNPLPRTHDFLFEEGSSSSRSAPKPKIEFPRFDGQNPRLWKDRCELYLEVYSVSDALKPRFAALNFEETAAAWLQTVELRDRISSWHALHTAVCERFD >PAN28757 pep chromosome:PHallii_v3.1:5:10673010:10676457:1 gene:PAHAL_5G177200 transcript:PAN28757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNPFDLLGADDGDDPSQLIAAAAAAAQKAEAKKAAAAPAGKAAQPAAAAKFPTKPAPPSQAVRDARGGGAPARGERGRGRGGRGYGQNRDLSGENANGFHGGYGGGGFGDGAVTGGAEGERERGPRPPYRGGGRRGGYRNGEFGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEVLAQETEEALKVEEGAPIAEKQGEQNDAPAADENKDNKDAAAEEEEKEEDKEMTLEEFEKIREEKRKALLALKTEERKVEVDKDLQSLQPLSNKKENDEVFIKLGSDKDKKKESAERDERAKKSLSINEFLKPAEGERYYGGRGRGRGRGERGGFRGGYGGGYNRGPAAAPSIEDQAQFPSLGGK >PAN30541 pep chromosome:PHallii_v3.1:5:21531607:21532017:-1 gene:PAHAL_5G291700 transcript:PAN30541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSMVSAVTTSPSTGSEPEAPCCDPQLAAVTAERKRKRKESNRLSAQRSRARKQQQLDDLTNQVTALRARNGAMAAAAYDVERRCAAVQAENALLQAMNLELGERLQSLTELIQCMEAAMYHQPQLLDANMYYY >PAN27330 pep chromosome:PHallii_v3.1:5:4593988:4595800:-1 gene:PAHAL_5G074300 transcript:PAN27330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGPQPHAAGGSPSSTMLAFFYVALYLLALAQAFHRPCVEALGADQFAPGDGGDPGASASRSSYFNWFHFSVSWGYAIATAGLSYVEDNVGWTAGFGACWATMALYLAVFLLGTRAYRAEKPVGVRSFTESVRSWGARVFRRRDATDAERLLLAQEHEEGKELIVKLLPIWLTSLIIAAIFSQVYTLFTKQGSTLDRRLGGATTGLVVPPAALQCLMSATFVTTLPVYDRVLVPLARRATGHHAGVTTLQRIGAGMAVSGVAMAVAALVEGRRLRVARDAGLVDRPDVALPMSLWWVVPQYVLLGVAAVLAQIGLEEFFYDQVPDTLRSVGLAMCLSIFGMGSYASSMLVSAVDWVTRSKGESWFSDNLNRARLDYFYWLLAGLAALEVAAFLHFASRYVYRNEV >PAN27329 pep chromosome:PHallii_v3.1:5:4594204:4596217:-1 gene:PAHAL_5G074300 transcript:PAN27329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGELLPGPWPSLGSKPADGRGGWRAARFVLAVGFLERIGFYGVQGNLIMYLTGPLGMSTASAAAGVNAWAGAVQVLPLAGALAADSRLGRYRAVLAAGGLYLLSLGLLTISSMLQGPQPHAAGGSPSSTMLAFFYVALYLLALAQAFHRPCVEALGADQFAPGDGGDPGASASRSSYFNWFHFSVSWGYAIATAGLSYVEDNVGWTAGFGACWATMALYLAVFLLGTRAYRAEKPVGVRSFTESVRSWGARVFRRRDATDAERLLLAQEHEEGKELIVKLLPIWLTSLIIAAIFSQVYTLFTKQGSTLDRRLGGATTGLVVPPAALQCLMSATFVTTLPVYDRVLVPLARRATGHHAGVTTLQRIGAGMAVSGVAMAVAALVEGRRLRVARDAGLVDRPDVALPMSLWWVVPQYVLLGVAAVLAQIGLEEFFYDQVPDTLRSVGLAMCLSIFGMGSYASSMLVSAVDWVTRSKGESWFSDNLNRARLDYFYWLLAGLAALEVAAFLHFASRYVYRNEV >PVH38583 pep chromosome:PHallii_v3.1:5:22067404:22075302:-1 gene:PAHAL_5G295200 transcript:PVH38583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMNFVYLAIGSGLASTLQVSCWTITGERQAARIRALYLEAILRQDITFFDMEMSTGQVIERMAGDTFLIQDAIGEKVGKSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPIAIAGAIVSKLMTRLSTRMQAKYGDAGNVVEQTLGAIRTVVSFNGEKQAITTYNKFIRKAYESALQEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMIISVIMAVMIGAMSLGQATPSVTAFAEGQGAAYRMFKIIERKPDIDIYDTTGIILDDIKGDVELKDVYFSYPTRSEHLVFDGFSLRIPSGTTMALVGESGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMKLGWIRGKISLVSQEPVLFSTTIRENIAYGMENLTLDEIKRAIELANAAKFIDKLPNGLDTMVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDLESERVVQEALNRIMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKVPEGAYSQLVHLQDTRQAEESSNVDPDMIVTNGFGSRSISNKPRSQSISRRSTSKGSSSFGHSGRHSFPAPLGLPDPMEFTEAPDIEETRDKMASTPKKAPIGRLFYLNKPEAFVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPAELRKDSRFWASMFVVLGACAFILIPIEYFLFGLAGGKLVERVRSLTFQSVMRQEINWFDKPEHSSGSIGARLSTDALNVKRLVGDNLALNVQTLSTVISGFTIAMVANWKLALIITVVVPLVGFQGYAQVKFLKGLNKNAKLKYEEASQVATDAVGGIRTVAAFSAEKKVMETYEKKCESPIKQGIREGVVGGLGFGFSFLAFYFTYALCFYVGAKFVQQGTATFPEVFRVFFVLVLATSGISRTSAVGADSTKANDAAASVFEILDRKSKINYGSEEGVIITSVRGDIDFQNVCFKYPSRPNVHIFKDLSLSIPSGKTVALVGESGSGKSTVIALLERFYDTDSG >PAN30804 pep chromosome:PHallii_v3.1:5:22068238:22075453:-1 gene:PAHAL_5G295200 transcript:PAN30804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMNFVYLAIGSGLASTLQVSCWTITGERQAARIRALYLEAILRQDITFFDMEMSTGQVIERMAGDTFLIQDAIGEKVGKSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPIAIAGAIVSKLMTRLSTRMQAKYGDAGNVVEQTLGAIRTVVSFNGEKQAITTYNKFIRKAYESALQEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMIISVIMAVMIGAMSLGQATPSVTAFAEGQGAAYRMFKIIERKPDIDIYDTTGIILDDIKGDVELKDVYFSYPTRSEHLVFDGFSLRIPSGTTMALVGESGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMKLGWIRGKISLVSQEPVLFSTTIRENIAYGMENLTLDEIKRAIELANAAKFIDKLPNGLDTMVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDLESERVVQEALNRIMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKVPEGAYSQLVHLQDTRQAEESSNVDPDMIVTNGFGSRSISNKPRSQSISRRSTSKGSSSFGHSGRHSFPAPLGLPDPMEFTEAPDIEETRDKMASTPKKAPIGRLFYLNKPEAFVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPAELRKDSRFWASMFVVLGACAFILIPIEYFLFGLAGGKLVERVRSLTFQSVMRQEINWFDKPEHSSGSIGARLSTDALNVKRLVGDNLALNVQTLSTVISGFTIAMVANWKLALIITVVVPLVGFQGYAQVKFLKGLNKNAKLKYEEASQVATDAVGGIRTVAAFSAEKKVMETYEKKCESPIKQGIREGVVGGLGFGFSFLAFYFTYALCFYVGAKFVQQGTATFPEVFRVFFVLVLATSGISRTSAVGADSTKANDAAASVFEILDRKSKINYGSEEGVIITSVRGDIDFQNVCFKYPSRPNVHIFKDLSLSIPSGKTVALVGESGSGKSTVIALLERFYDTDSGKILFDDVELQALKVSWLRQQVGLVAQEPVLFNDTIRANIAYGKQGEASEEEVVAAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLSTIRGADIIAVLKNGAVAEKGRHEELMRIKGGTYASLVQLSSSSA >PVH38585 pep chromosome:PHallii_v3.1:5:22068902:22077867:-1 gene:PAHAL_5G295200 transcript:PVH38585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGALAAVANGMAQPLMTFIFGDVIDAFGSAESSNHVLHRVVKVIMNFVYLAIGSGLASTLQVSCWTITGERQAARIRALYLEAILRQDITFFDMEMSTGQVIERMAGDTFLIQDAIGEKVGKSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPIAIAGAIVSKLMTRLSTRMQAKYGDAGNVVEQTLGAIRTVVSFNGEKQAITTYNKFIRKAYESALQEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMIISVIMAVMIGAMSLGQATPSVTAFAEGQGAAYRMFKIIERKPDIDIYDTTGIILDDIKGDVELKDVYFSYPTRSEHLVFDGFSLRIPSGTTMALVGESGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMKLGWIRGKISLVSQEPVLFSTTIRENIAYGMENLTLDEIKRAIELANAAKFIDKLPNGLDTMVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDLESERVVQEALNRIMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKVPEGAYSQLVHLQDTRQAEESSNVDPDMIVTNGFGSRSISNKPRSQSISRRSTSKGSSSFGHSGRHSFPAPLGLPDPMEFTEAPDIEETRDKMASTPKKAPIGRLFYLNKPEAFVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPAELRKDSRFWASMFVVLGACAFILIPIEYFLFGLAGGKLVERVRSLTFQSVMRQEINWFDKPEHSSGSIGARLSTDALNVKRLVGDNLALNVQTLSTVISGFTIAMVANWKLALIITVVVPLVGFQGYAQVKFLKGLNKNAKLKYEEASQVATDAVGGIRTVAAFSAEKKVMETYEKKCESPIKQGIREGVVGGLGFGFSFLAFYFTYALCFYVGAKFVQQGTATFPEVFRVFFVLVLATSGISRTSAVGADSTKANDAAASVFEILDRKSKINYGSEEGVIITSVRGDIDFQNVCFKYPSRPNVHIFKDLSLSIPSGKTVALVGESGSGKSTVIALLERFYDTDSGKILFDDVELQALKVSWLRQQVGLVAQEPVLFNDTIRANIAYGKQGEASEEEVVAAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLSTIRGADIIAVLKNGAVAEKGRHEELMRIKGGTYASLVQLSSSSA >PVH38584 pep chromosome:PHallii_v3.1:5:22068238:22077890:-1 gene:PAHAL_5G295200 transcript:PVH38584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGALAAVANGMAQPLMTFIFGDVIDAFGSAESSNHVLHRVVKVIMNFVYLAIGSGLASTLQVSCWTITGERQAARIRALYLEAILRQDITFFDMEMSTGQVIERMAGDTFLIQDAIGEKVGKSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPIAIAGAIVSKLMTRLSTRMQAKYGDAGNVVEQTLGAIRTVVSFNGEKQAITTYNKFIRKAYESALQEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMIISVIMAVMIGAMSLGQATPSVTAFAEGQGAAYRMFKIIERKPDIDIYDTTGIILDDIKGDVELKDVYFSYPTRSEHLVFDGFSLRIPSGTTMALVGESGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMKLGWIRGKISLVSQEPVLFSTTIRENIAYGMENLTLDEIKRAIELANAAKFIDKLPNGLDTMVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDLESERVVQEALNRIMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKVPEGAYSQLVHLQDTRQAEESSNVDPDMIVTNGFGSRSISNKPRSQSISRRSTSKGSSSFGHSGRHSFPAPLGLPDPMEFTEAPDIEETRDKMASTPKKAPIGRLFYLNKPEAFVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPAELRKDSRFWASMFVVLGACAFILIPIEYFLFGLAGGKLVERVRSLTFQSVMRQEINWFDKPEHSSGSIGARLSTDALNVKRLVGDNLALNVQTLSTVISGFTIAMVANWKLALIITVVVPLVGFQGYAQVKFLKGLNKNAKLKYEEASQVATDAVGGIRTVAAFSAEKKVMETYEKKCESPIKQGIREGVVGGLGFGFSFLAFYFTYALCFYVGAKFVQQGTATFPEVFRLVQTAPRLMMRLHLSSKFLIVNPRSITAARKV >PAN30805 pep chromosome:PHallii_v3.1:5:22067233:22075453:-1 gene:PAHAL_5G295200 transcript:PAN30805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMNFVYLAIGSGLASTLQVSCWTITGERQAARIRALYLEAILRQDITFFDMEMSTGQVIERMAGDTFLIQDAIGEKVGKSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPIAIAGAIVSKLMTRLSTRMQAKYGDAGNVVEQTLGAIRTVVSFNGEKQAITTYNKFIRKAYESALQEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMIISVIMAVMIGAMSLGQATPSVTAFAEGQGAAYRMFKIIERKPDIDIYDTTGIILDDIKGDVELKDVYFSYPTRSEHLVFDGFSLRIPSGTTMALVGESGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMKLGWIRGKISLVSQEPVLFSTTIRENIAYGMENLTLDEIKRAIELANAAKFIDKLPNGLDTMVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDLESERVVQEALNRIMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKVPEGAYSQLVHLQDTRQAEESSNVDPDMIVTNGFGSRSISNKPRSQSISRRSTSKGSSSFGHSGRHSFPAPLGLPDPMEFTEAPDIEETRDKMASTPKKAPIGRLFYLNKPEAFVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPAELRKDSRFWASMFVVLGACAFILIPIEYFLFGLAGGKLVERVRSLTFQSVMRQEINWFDKPEHSSGSIGARLSTDALNVKRLVGDNLALNVQTLSTVISGFTIAMVANWKLALIITVVVPLVGFQGYAQVKFLKGLNKNAKLKYEEASQVATDAVGGIRTVAAFSAEKKVMETYEKKCESPIKQGIREGVVGGLGFGFSFLAFYFTYALCFYVGAKFVQQGTATFPEVFRLVQTAPRLMMRLHLSSKFLIVNPRSITAARKV >PAN28130 pep chromosome:PHallii_v3.1:5:7914933:7920879:-1 gene:PAHAL_5G132800 transcript:PAN28130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNADGNNMMKEFYIPTYIFAPESPVEHVSQIPTCPVIVFINTKSGGLLGRNLIVTYRKLLNHAQVFDLLDEAPDKVLHKLYSNLERLKHGGDTLASEIYRRLRLIVAGGDGTAGWLLGTVSDLKLAHPPPVATVPLGTGNNLPYSFGWGKRNPGTDQDSVISFLQLVRESREMNIDSWHIVMRMESPKGSPCDPIAPPDLPHSLHAFRCVPKTDPQDMEYSYTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKQYLKLACTQGWFCASLFHPMSRNIACLAKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVRFEFLRGATDHAYMRMDGEPWKQPLPTDDGKVVVEISHTGQVKMLATKDCIAKGIHESCPAISTIHPDSSSSDDTDDDFEEERRNFGAALSFRYTGDVNKQ >PAN27098 pep chromosome:PHallii_v3.1:5:3720697:3722259:1 gene:PAHAL_5G058900 transcript:PAN27098 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLIYEILEKPASSSVIGICSLIWWFIQKRGIGYGDVGLSYEAAVDGGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHMMIQRFKVEYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNIFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLIHGMNNYWAITMLGWIALVFVLSLKRTGSMELSFIEIEPVTDPSLPSVGVVATRNGRTLQMDVLPARRVADIV >PAN32743 pep chromosome:PHallii_v3.1:5:57283233:57287257:-1 gene:PAHAL_5G508800 transcript:PAN32743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPDELIASSSSGGGGDKDVDKVGERLQGVGISDGSTSSAAGDASASKPEEVKRLPGGKVKKKDKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >PAN28509 pep chromosome:PHallii_v3.1:5:9518375:9522002:1 gene:PAHAL_5G157800 transcript:PAN28509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYFKARPDAGDYTIYMGADKYENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGETIDTISEGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKAPSMDVGQVGFHNPRMVRVLTVEKRVNEIINRLNKTRVERRPDLKAEKEASNAAEKAERKMQLKEKKRKEEMERLEKEKWAELRSYKGLMVAEKMTSNRQIASAGRSMQEMEDEFV >PAN28171 pep chromosome:PHallii_v3.1:5:8125109:8131794:1 gene:PAHAL_5G136000 transcript:PAN28171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMIEGCVGPSELHLKKELIALRKARFLRDPETCSSWRSPLSSKTFLTTSGVTHHNGIGSSLSQQHTEPAAAPPKSEKKRKNVYLYNWRHHSNKSSESGIKLDADDKQASADCSLESPCISNGVDSRSDTCLEVPVSIYSVQGSNSCTPVKRTVRRVRRSSFSKKGAMRNSTVSKLLDLHVNSGEQSEDTENYNSENHEQLQKTGYFSRSTSPLFAASGCFSSSNPSKLLRVPRREGSSFSCTPVSTSSYYRYKGRNPSTVGSWDGTTAASLDDDGLNQPELLTSRCGIPCYWSKRSRQKGSERSCSPSLSDTLRRKGSSLLCGSQTMHRRKKSSGSNKYGYLNKSSQGVPLLGDSSHFAYSSFDSASEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALSVSGADHVVPDHRSLSQKYRPRSFLEIVGQNFVVQSLGNAITRERIAPAYLFHGPRGTGKTSAARIFSAALSCTATGEAKPCGLCTECSDFFTGNGINLIEVDATNRKGINRVRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRSVISRCQKYMFAKIKDIDIVCRLRKIAMKENLDVELAALDLIALNSDGSLRDAETMLDQLCLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGDGIGGRNITDAELERLQQALRILSDAEKQIRLSSERSAWFTAALLQLGSGHNSEITQSRSSSKQSVKATSETMMESVRESSASRTTSHPLFTFRDSKKALDLKTTSGHSSPQVPSLSSRMKPNDNVIYGECRSVDRALLDSAQTSIPSEQRPTNSGISDNLTRVWLKCIENCHSKTLRQLLHDHGKLASVKECEGTIVAFIAFEDNDIKFRAQRFVSSIRNSMETVLKCNVEVRICLMQEFLAGGLQHQAYPDETGESDVLSCSTNSEHPKGVLNPSAAGGGLQSSNVPMVISDGNSGIHRTRSQEVSVEQLKTAGRDEQRLESAWLQAAAKHTSGVLNQARPERNQVLPQTGGQHHGRSSMATVVPSRHVDKDLTSGLKALKISDSHGPQICQNVQTENGYAISPSLLHRNNHLANCDNESVVSESGAPGCHGLFPCWKTEKTKRRKGKGQTRLRPS >PAN29081 pep chromosome:PHallii_v3.1:5:12218764:12221410:1 gene:PAHAL_5G201200 transcript:PAN29081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNSLFNRSTFGTKCKTCLNLVISRIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRNLFTTKYGKEFVAAAMELRPDSGVNRTIIEKLSVKAPSGESKLKVLKAIAQEYNVEWDSSNTEAEFNKKYEDLLDGSGSSVHQVQPPIIENSPVASASRDKPLALNPPVRDVEKHQVLESPSSPAGGSRAYVASKTIVATQEHHSPAEEISCTNPSSSDVLEKARAAIAAATRASAAARAAAELAKVKITSQ >PAN28443 pep chromosome:PHallii_v3.1:5:9206259:9210249:1 gene:PAHAL_5G153100 transcript:PAN28443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLRNYCSPAFALVREGATVGYPREGATVRHPLKFNSVVVCGARGPRPRYPRVWKTRKKIGTISKSQKLVECIKGLSNVKEEVYGALDSFVAWELEFPLIVVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRIEEAEELFGMIFSRYMEGLPRTFFMRMISLYYSVGAHDKMFEVFADMEELGVRPDGSIVRMLGDVFQKLEMMDKYEKLKKKYPPPKWEYRYIKGKRIRMRVYPESKIEEATKGDPGTDELEEPESIHLDNELEEAASLGLDRNVLDDAASGDLEYI >PAN28459 pep chromosome:PHallii_v3.1:5:9206955:9209403:1 gene:PAHAL_5G153100 transcript:PAN28459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEIKGLSNVKEEVYGALDSFVAWELEFPLIVVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRIEEAEELFGMIFSRYMEGLPRTFFMRMISLYYSVGAHDKMFEVFADMEELGVRPDGSIVRMLGDVFQKLEMMDKYEKLKKKYPPPKWEYRYIKGKRIRMRVYPESKIEEATKGDPGTDELEEPESIHLDNELEEAASLGLDRNVLDDAASGDLEYI >PAN31544 pep chromosome:PHallii_v3.1:5:51778928:51780582:-1 gene:PAHAL_5G421800 transcript:PAN31544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAANVTARQRGRRSGGAPRLAAAVLLLELLALCCLARADAAASLAGRAPAAEEKEVASALELQNARAQEVLERGHYTTLSAEAVAGEEDDGQVMPGRVELEVIQDYSTTANPRHNPHP >PAN32289 pep chromosome:PHallii_v3.1:5:55296859:55297341:1 gene:PAHAL_5G475700 transcript:PAN32289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLATAEVCNSNAGLILNDDLRALQPIFRIYGRRQIFAGPVVTLKIFEDNVLLCEFLEEKGHGRGLVVDGGGSKRCAVLGGNLAQLARNNGCIKDVDEINSCDVGIHALNSHPMKSNKKGVIEKHVPVTFAGTIISDGEWLYADSNGILLSNSELTL >PVH37441 pep chromosome:PHallii_v3.1:5:212466:215844:-1 gene:PAHAL_5G002500 transcript:PVH37441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVGPLSRAPSSVAYSCQARSLAQTLLSCLAGDRLRLLLPAAHARAVVSEGLGNLFLANLLLRGYSKRGLLHDARRLFDGMLHRNLVSWSSAISMYAQHGGDDQALFLFAAFRKSSDEVPNEFLLASVLRACTQSRAVPFGEQVHGTALKLGLDVNLYVGTALINLYAKVAFMDAAMRVFYALPAKNPVTWTAVITGYSQIGQGGHALDLFQKMGVQGVRPDRFVLASAVSACSALAFLQGGRQIHGYAYRSAAGMDASVINALMDLYCKCSRPLVARKLFDHTKNHNLVSWTTMISGYMQNSLDAEAMDMFWRMCRAGWQPDVFACTSILNSCGSLEAIWQGRQIHAHAIKANLETDEYVKNALIDMYAKCDHLTAARVVFDALAHDDAVSYNAMIEGYARQGDLTEALHIFHKMRYCSLRPNRLTFVSLLGVSSFQSASELSKQIHGLIIRSGTSVDLYVGSALIDAYSKCSLVDDAKAVFLMMQNRDMAIWNAMIFGHTQNEQGEEAVKLFNQLRISGVTPNEFTFVALVTVASNLATMFHGQQFHAQIIKAGADIDPHVSNALIDMYAKCGFIKEGWLLFESTCGKDVICWNSMISTYAQHGHAEEALRVFQLMGEARVEPNYVTFVGVLTACAHAGLVDEGLHHFNSMKTKYGIEPGTEHYASVVNLLGRSGKLHYAKEFIERMPIKPEAAVWRSLLSACRLFGNVEIGRYAAEMALLVDPSDSGPYVLLSNIYASKGLWADVQKLRLGMDYAGTVKEPGYSWVEVMKEVHTFIARGTEHPQAESVYAVLDELTSLLKDFGYLPDTCELPLLGDYG >PAN28860 pep chromosome:PHallii_v3.1:5:11047888:11049284:1 gene:PAHAL_5G183100 transcript:PAN28860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMALASCDSLPAADAACFFPAMAYHHPCDGGGLAASAFYGGASGPAAALFTGLAPPRRQPDAAFECLSKEDVSSVVPGTFGTPPPRMPVEQAVPDASGYAHLARGTAAVAGAEGSSTRTTDRIAFRVRSEEEVIGDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDRDDPSYVVTMYEGVHNHVSPGTIYYATQDAASGRFFVAGMHQALD >PVH38948 pep chromosome:PHallii_v3.1:5:49295843:49296309:1 gene:PAHAL_5G395800 transcript:PVH38948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWCPYSQTLTPLWPAKCRRCGGFGHLEKTCNEPVPDPEAPSPAPPKPKRSRNKHGCLSLRPLKHLPLLLPPSQGRSRRRCMSSVQHLKHLPLLQPPSQGRSQRRCMLSLLLLKHPSKHHRAN >PVH38095 pep chromosome:PHallii_v3.1:5:10653890:10654451:1 gene:PAHAL_5G176800 transcript:PVH38095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGVGLVESSPPYRRQEQVVSLDEQPRHGKRWRGGTARQHGRRRGHRVVLRGRHATRAERGNRRASIQRVQGHAVLVDTPGILQIGEWRPTPKDGRMDYFHGVSKISRLALYPTNYV >PVH39488 pep chromosome:PHallii_v3.1:5:57721904:57726258:1 gene:PAHAL_5G514800 transcript:PVH39488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAESAAVVPGPAPEAAAERKPRRLRGHKKGAVTCCVASSARPGVVASAGEDGCLCWFDLRTKDVLLTIEAANKPISSVCFKPGNEDFVYASAGNEILSFDVRMGPQSKPLETYNYNRDEINQIAVSSKGFLAAADDSGDVKIVNTTQKCLYKRLREAHTSICSTVQFIPWRPWTAITGGLDSKLAAWDFSKGRALFSIDYVSEEGILGGFYKVCAVARGDGAVDVVDLEYELAPAKSKGPPRTATSTMSSKRTEPGDGSSNQSQVKRIHLDFTMGGHTAAVSCVAFSAFGEKGKFLVSGGNDASVKIWDWSKGFSSETNSDAELVLDIDVKKKVNWLCTTPTDSDNLIVCDTSKVVKVYNFR >PVH39486 pep chromosome:PHallii_v3.1:5:57721983:57725406:1 gene:PAHAL_5G514800 transcript:PVH39486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAESAAVVPGPAPEAAAERKPRRLRGHKKGAVTCCVASSARPGVVASAGEDGCLCWFDLRTKDVLLTIEAANKPISSVCFKPGNEDFVYASAGNEILSFDVRMGPQSKPLETYNYNRDEINQIAVSSKGFLAAADDSGDVKIVNTTQKCLYKRLREAHTSICSTVQFIPWRPWTAITGGLDSKLAAWDFSKGRALFSIDYGSPELQNGSSSGSAGQCFNPAFVHSLAVSEEGILGGFYKVCAVARGDGAVDVVDLEYELAPAKSKGPPRTATSTMSSKRTEPGDGSSNQSQVKRIHLDFTMGGHTAAVSCVIW >PVH39487 pep chromosome:PHallii_v3.1:5:57721904:57726258:1 gene:PAHAL_5G514800 transcript:PVH39487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAESAAVVPGPAPEAAAERKPRRLRGHKKGAVTCCVASSARPGVVASAGEDGCLCWFDLRTKDVLLTIEAANKPISSVCFKPGNEDFVYASAGNEILSFDVRMGPQSKPLETYNYNRDEINQIAVSSKGFLAAADDSGDVKIVNTTQKCLYKRLREAHTSICSTVQFIPWRPWTAITGGLDSKLAAWDFSKGRALFSIDYGSPELQNGSSSGSAGQCFNPAFVHSLAVSEEGILGGFYKVCAVARGDGAVDVVDLEYELAPAKSKGPPRTATSTMSSKRTEPGDGSSNQSQVKRIHLDFTMGGHTAAVSCVRQ >PAN32825 pep chromosome:PHallii_v3.1:5:57721904:57726258:1 gene:PAHAL_5G514800 transcript:PAN32825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAESAAVVPGPAPEAAAERKPRRLRGHKKGAVTCCVASSARPGVVASAGEDGCLCWFDLRTKDVLLTIEAANKPISSVCFKPGNEDFVYASAGNEILSFDVRMGPQSKPLETYNYNRDEINQIAVSSKGFLAAADDSGDVKIVNTTQKCLYKRLREAHTSICSTVQFIPWRPWTAITGGLDSKLAAWDFSKGRALFSIDYGSPELQNGSSSGSAGQCFNPAFVHSLAVSEEGILGGFYKVCAVARGDGAVDVVDLEYELAPAKSKGPPRTATSTMSSKRTEPGDGSSNQSQVKRIHLDFTMGGHTAAVSCVAFSAFGEKGKFLVSGGNDASVKIWDWSKGFSSETNSDAELVLDIDVKKKVNWLCTTPTDSDNLIVCDTSKVVKVYNFR >PVH37909 pep chromosome:PHallii_v3.1:5:7188679:7189623:-1 gene:PAHAL_5G119500 transcript:PVH37909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGDGVRDAGASISAAGVLLPLLALSGAPCPVSASKSPKSNFLLPFVLM >PAN31358 pep chromosome:PHallii_v3.1:5:51048352:51056675:1 gene:PAHAL_5G413400 transcript:PAN31358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYHNGEADDLPPPPPLDASIEPFKAEETKKLLKPKRALVPRKGFGKKGQPIKLITNHFKVSLKNTEEFFYHYYVNLKYEDDTPVDRKGAGRSVIEKLQQTYATELANKDFAYDGEKSLFTIGALPQINNEFIVVVEDVSTGKTPVNGSPGNDSPPGSDRKRIRRPYNTKTYKVELSFAAKIPMSAISHALRGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVLDFLIANQKVDHPNKIDWAKAKRSLKNLRIKIITTNAENKIVGLSDRPCRETMFTLKRRNDDNGDTEEISVYDHFVKNRGIELRYSGDFPCINVGKPKRPSYFPIELCALVSLQRYTKSLSTLQRSSLVEKSRQKPQERMSVLSDVLHRSNYDSEPMLMACGISIAKSFTEVDGRVLQAPKLKAGNGEDIFTRNGRWNFNNKRLIRASSVEKWAVVNFSARCNVRDLVRDLIKCGGMKGIKVETPFDAFEENPSMRRAPAVRRVEDMFEQVKTKLPGAPKFLLCVLAERKNSDVYGPWKRKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGLNSILQVESSPAMPLISKVPTMILGMDVSHGSPGQSGVPSIAAVVSSREWPLISKYRASVRSQSPKMEMIDSLFKQQGTDDKGLIRECLIDFYTSSGKRKPDQIIIFRDGVSESQFSQVLNIELDQIIEACKFLDEKWDPKFTLIVAQKNHHTKFFIPGAPDNVPPGTVVDNKVCHPRNYDFYMCSHAGMIGTTRPTHYHILHDEIGFNPDELQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGQFIKFDEMSETSSSHGEHTSAGSVQVQELPRLHEKVRSSMFFC >PAN30975 pep chromosome:PHallii_v3.1:5:47451012:47452494:-1 gene:PAHAL_5G381900 transcript:PAN30975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGADELHGIIIVGGGTCGLATALALHRKGISSLVLERAETLRATGAGIGIQVNGWRALDQLEVGDELRKLAMPLSGYVRACLLLPLSIVLNSNKFSLYGW >PAN30383 pep chromosome:PHallii_v3.1:5:26952093:26957283:1 gene:PAHAL_5G312000 transcript:PAN30383 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MASAQPAPAPAHTAGPSLVDTLFQRSLDDLVKSLRADPSAAGESAAVARALSEIHREIRAPDAATKAVALQKLTYLSSLHFTPVASHPLAFPAIELLASPSLPHKRLAYLAASLSLHPASLSLLPLATHQLHKDLSPSASAAATHRHVSALALQLLGSPAAAAAPDLAVHLAHDLVPHLSRGSPHAIAAAARVIAGAPSAAVPVLFKPLAACLASPDPRASTAAAAAFCDLSAPPADAAPFLPLAPDLYNLLTTSRSNWELIKVLKLFARLAPLESRLAARIVDPVCQLLTRSAAMSLTFECVRTVLTALPAHDAAVRLAIGRVKEFLAAADDPNLRYLGLVALGMLGPGYATTVNDCRDVIMRSLGDADSSIRREALHLMMGMVDENNVLDIASMLVSHAAKSDPEFANDILGAVLAACGRNVYELVADFDWYASLLADMARSLHCAQGDEIGRQLVDVGLRVQDARSELVRSARTLLIDPALLGNHFLFPVLSAAAWISGEYVEFMKDHVELVEALLQPKTNLLPMSVRAVYIHAVFKVLTFCLSVYVEKLGDSSKEVDVVFGGLAIDQTVFGESKVALGSAQEQDIRASTVRKDPFSHESILYMINLIETTVGPLVECNEVEVQERAHNLIGFVHLLRHIQELKEKKVADDDKQSRVKELVKTMRTVFCQELGPVSVTAQMKVASPDGLDLNENLAELADIVSEDDTAPSTSIFFYPRSRDSEETRDEPAVSIGSSSLSEHRKRHELFYLPTGKSEDELSDYPHASDTLPSCSHETVSDDKSKTVEPVFAGKKSKSTKSRPKVVKLDGEDFLSSMMATANVPKEDPLSGALRGVLLGRDAMASPLQKASDVNSEAMLKKMGTNESSFQRIENLGSHPASNSVTSKQNHDKEKGTDPPESGAKESRKHRSSGRSGHRQGKHKHRERSSTQPDIVPQAPVIQDFLL >PVH38644 pep chromosome:PHallii_v3.1:5:26952093:26957432:1 gene:PAHAL_5G312000 transcript:PVH38644 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MASAQPAPAPAHTAGPSLVDTLFQRSLDDLVKSLRADPSAAGESAAVARALSEIHREIRAPDAATKAVALQKLTYLSSLHFTPVASHPLAFPAIELLASPSLPHKRLAYLAASLSLHPASLSLLPLATHQLHKDLSPSASAAATHRHVSALALQLLGSPAAAAAPDLAVHLAHDLVPHLSRGSPHAIAAAARVIAGAPSAAVPVLFKPLAACLASPDPRASTAAAAAFCDLSAPPADAAPFLPLAPDLYNLLTTSRSNWELIKVLKLFARLAPLESRLAARIVDPVCQLLTRSAAMSLTFECVRTVLTALPAHDAAVRLAIGRVKEFLAAADDPNLRYLGLVALGMLGPGYATTVNDCRDVIMRSLGDADSSIRREALHLMMGMVDENNVLDIASMLVSHAAKSDPEFANDILGAVLAACGRNVYELVADFDWYASLLADMARSLHCAQGDEIGRQLVDVGLRVQDARSELVRSARTLLIDPALLGNHFLFPVLSAAAWISGEYVEFMKDHVELVEALLQPKTNLLPMSVRAVYIHAVFKVLTFCLSVYVEKLGDSSKEVDVVFGGLAIDQTVFGESKVALGSAQEQDIRASTVRKDPFSHESILYMINLIETTVGPLVECNEVEVQERAHNLIGFVHLLRHIQELKEKKVADDDKQSRVKELVKTMRTVFCQELGPVSVTAQMKVASPDGLDLNENLAELADIVSEDDTAPSTSIFFYPRSRDSEETRDEPAVSIGSSSLSEHRKRHELFYLPTGKSEDELSDYPHASDTLPSCSHETVSDDKSKTVEPVFAGKKSKSTKSRPKVVKLDGEDFLSSMMATANVPKEDPLSGALRGVLLGRDAMASPLQKASDVNSEAMLKKMGTNESSFQRIENLGSHPASNSVTSKQNHDKEKGTDPPESGAKESRKHRSSGRSGHRQGKHKHRERSSTQPDIVPQAPVIQDFLL >PAN27495 pep chromosome:PHallii_v3.1:5:5207115:5208020:-1 gene:PAHAL_5G084500 transcript:PAN27495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFLPPSRVAAMFSRVRPAHAAAVFDGATRPSPSAGALHHPRPAAGARFPERSGNS >PAN32579 pep chromosome:PHallii_v3.1:5:56529617:56536006:-1 gene:PAHAL_5G497800 transcript:PAN32579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLLSPSPPLAFQYPCSSSSSGGAACRRRLHARRGAASVVASSASTPDGGPSPSSSSASADAYVLARRVVLLGASAVPLLRLREAAAAPTTVYGMSLSEGFIRRKGIDAPRVDREAVNCYKTLKIGRNSIICRPKEDKFDETKDVSKLDDPPSEGEKGIPKPDNTQLEGSQAETTTNEARQLESSLQSVQEQPLGNPLLGFLGTVGVAASGVLGGLYGTSLQEQKALQSIISSTESKLAENEAALSLMRENYEKRLLEQQAAQKKQSLKFQEQEASLSDQLASSKKALTSLSEEFRKEKKLAEELRDEIQRLESIIRQAGDDKDALESRLEEKQGEINSLQEKISLLSQEIDDKKKHIRELSASLSSKEVDYQKLSAFTNETKMSLELANSKIQQLEEELDRSKNALASKISLIDSLNAKLETLNSEKEEADKKINELIQEYTDLKAASETRASHASKLLSDRDDQIKQLEEKLSVALTDSSKDHETILELNKELDATKAMLESELVATETLKESIQSSEEALKDSRNEASKLSKELEEANKLNQDLVSQISELQDELNATQEGLTIKLGDAESVTKALSEELASVKEMVQKGQEELETTSNELASVAEARDNLKKELLDVYKNLESTTHELVEERKIVTTLNRELEALAKQLQVDSEARKALEADLDEATKSLDEMNNSALSLSKELESTHSRNDTLEAEKEMLSKALAEQMKITTEAQENTEDAQNLITRLQTEKESFELRSRHLEEELALAKGEILRLRRQISTNRSQTPRTSARPRAPPEANEILKEQAVNDHNQNTSGVVAGTPPSVKRTVRRRKGGAST >PVH38527 pep chromosome:PHallii_v3.1:5:19294425:19294815:-1 gene:PAHAL_5G278500 transcript:PVH38527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKDYLDRIQTDLRRLRDPSPSPTWRRIWIIPSRFETRETSRVGGPLFSTTTQTQMKSTHQLQVRLEKDSRMRERPLIGRPTS >PAN30938 pep chromosome:PHallii_v3.1:5:46281594:46288748:-1 gene:PAHAL_5G376000 transcript:PAN30938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-2 [Source:Projected from Arabidopsis thaliana (AT5G48460) UniProtKB/Swiss-Prot;Acc:O50064] MGFDGLVVVSDPYLQRRFTQADLRALQAQYAALRDASPSGRLRMRDLPAAISSLRGATVSAKADAEKENSAPEPGPGPGLTDEEWASVLKAVARADERPHQDASFELFLRVYAEMQLHLKAAGAGAGGKKAAGIIARSSSSSAVAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLKSALPIDPATDHIFHITKDGVLLCKLINLAVPGTIDERAINTKRVLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLVLGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSAMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFKKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILAWANKKVKDSGKHHSRMESFKDRSLSSGTFFLDLLSAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWYLKRPTSHSLDSENGSSCETSSTTTSDDSASESSFDDNAAR >PAN28326 pep chromosome:PHallii_v3.1:5:8687037:8691078:1 gene:PAHAL_5G145100 transcript:PAN28326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVRRAPVFSQAPPHSNELLCSTQARRKSEATSEHLTTTMRPLRRLAVLVLVLLPAAAWALAPPRFPGPQPRARPGASGVRGYEYETRYFRQRLDHFSFPGVGDEDEAATFFQQRYLVGRGGGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVVFAEHRYYGESMPFGSKAKAYNNSKSLAYLTAEQAIADFAVLLTDLKRNLSAEGSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDIVPSTIFYDLVSDDFKRESLSCFQTIKDSWKVLDEQGNGQDGLLKLSKTFHLCQTLKASGDLSDWLSSAYSYLAMVDYPIPSEFLMPLPANPIQEVCRNIDSQPEGTSILERIYAGVNVYYNYTGTVGCFDLNDDPHGMGGWDWQACTEMVMPMSYSENRSMYPPYKFDYASYAENCIKSYGVRPRPRWITTEFGGHNITTVLEKFGSNIIFFNGLLDPWSGGGVLKNISESVIAIVAPLGAHHIDLRPATPEDPDWLVSLRESELKIISGWLSEYYGARGGALIQPVAVKGSSAS >PAN27928 pep chromosome:PHallii_v3.1:5:7129739:7134431:-1 gene:PAHAL_5G118800 transcript:PAN27928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARAAGALALALVLALAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNNIQGTIPSELGSLKSLISLDLYKNNISGTIPPALGKLKSLVFLRLNGNHLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >PVH39079 pep chromosome:PHallii_v3.1:5:52228565:52230360:-1 gene:PAHAL_5G428700 transcript:PVH39079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVPRAQAKRMKPQQPDSEEPPPRGGREAGGPDLISLLPDEVLGSVISLLPIREGARTQILSSRWRPLWRSSPLNLDDRGRGITKAVVSRIVSEHQAAPAASACQFPNTAAHQVQFPNLQHLELEMITISEVSLHAMVSSCPALNCLMLSYSSGFRRFMINSLKLKHVEMYFGCSDTEIRLDELTVVNAPCLERLHHREPYEDNIYISIISAPKLKILGCITENIFRLELCTTVFKSGNVMRTVKFLALRLEYLDLDIVINFMKCFPCLEKLYIKTYLMDDENTRLHNSKDHVECLDLHLKKLRISYYHGTRSHVEFAKFFLSNARALESLQRQLQLEHRASIGAQVDFTSDDCFDYLNDAHEI >PAN30085 pep chromosome:PHallii_v3.1:5:17999641:18003703:-1 gene:PAHAL_5G272200 transcript:PAN30085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNLTSSASGGPNAPPAAGNKRKRSLPGNPDPDAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAQRKKVYVCPEASCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGLGAMAAAAPAHHHPLLYSPPPPVMQHQVQDLAALQENHHHHQEVMQPPPAQQQHHCNYAMKTEMPPWPSMAYDHHAHPLLQPLCNAAAAAAQSSATSAPPPPPPPAASAHLSATALLQKAAQMGATIGGAGAGAHYTQMAGPAASAPGSATFGLGLPGLSAQQQPVDGVMGSLARTASHGRSGEDGGGGGGGGDGMTRDFLGLRAFSHGDILGLAGFDSSCLGAVAANANMTCYEPQQQAQAQAQQQQQSSNEPWHGMGSHS >PAN28175 pep chromosome:PHallii_v3.1:5:8137861:8139248:-1 gene:PAHAL_5G136300 transcript:PAN28175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRRYGYSYPPPQGYYNNGPPVMAPPQYQYAAPPRRESSFLEGCLAALCCCCLLDECCCDPSVIFVS >PAN27824 pep chromosome:PHallii_v3.1:5:6677335:6681400:1 gene:PAHAL_5G110900 transcript:PAN27824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPLLLPLAALLLAAAATTGALTDDVLALVVFKTGVSDPSGRLATWTEDDDRPCSWPGVGCDARTGRVTSLALPAASLSGRLPRALLRLDALLSLALPRNNLSGPVLPNLLAALPRLRSLDLSSNHLAAPVPAQLFAQCRSVRAISLAHNQLSGYIPPAVASCASIVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRSSSLRDVDLSRNLLAGEIPADVGEAALLKSLDFGHNLFTGGLPDSLRRLTGLRFLGAGGNALAGELPAWIGEMWALERLDLSGNRFAGDIPYTIANCKNLVEVDLSRNALTGELPWWMFGLPLQRVSVAGNQLNGWVKVPEDAAIALRVLDLSSNAFSGEIPPRITAFAGLQSLNLSSNSLSGQLPAGIGGMRLLEVLDLSVNRLDGTVPSEIGGAVALRDLRMGRNSLTGRIPAQIGNCSSIVSLDLSHNSLTGPIPSTMGNLSSLQVVNLSQNKLNGTLPVELSNLPSLQIFDVSHNMLTGDLPNSRFFNNIPDSFIMDNSGLCSSRKNDSCSAVLPKPIVLNPNSSLNPSSQAAPSAPNNTHHKKIILSISTLIAIAGGAAIAIGVITISVLNRRVRARAAASRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLKDGQPVAIKKLTVSSLVKSKDDFERQVKMLSKVRHHNIVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECNEDNSLSWMERFDIILGVARGLTYLHQHGVIHYNLKSSNVLLDSNGEPKVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCIDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPGMGEVVSILELVRSPQDSAEEELV >PVH38341 pep chromosome:PHallii_v3.1:5:14838958:14839549:1 gene:PAHAL_5G236800 transcript:PVH38341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKVELKRFRVVKEVGRIVMNVRIFACYQLIEVCQAEYFRQLLKPIT >PVH39522 pep chromosome:PHallii_v3.1:5:58476735:58478485:1 gene:PAHAL_5G527300 transcript:PVH39522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAMEEEMFVAVRRTEHVEVTSRAVEVPPAAKESGGGAGPRTVRVFCDDYDATDSSGDDDDEEAAAAARRRVKRYVQEIRLERAVKEAPPAKAAASSARVKLVLPGRKRKADGAGAAEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDSAAIQLRGPDATTNFEQVDDAAPAVPAEVAERLPQPPQAAASKNASSSATSYDSGEESHAAAASPTSVLRSFPPSAVAAGTCSKKPAPAPVPAAPLPASRAPETDESSGVFGCPFMGDDCFAGEFPPLYTDFDLLADFPEPSLDFLADIPEEPLSLASFSATTTTSSSETSSEPPSPERWQQVDDFFQDITDLFQIDPLPVV >PAN31384 pep chromosome:PHallii_v3.1:5:51361045:51365085:-1 gene:PAHAL_5G415800 transcript:PAN31384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAPADPKAEAAKMDLLEDDDEFEEFEIDQEWDDKEEGNEAVQQWEDDWDDDDVNDDFSQQLRKELEGAQKS >PAN26774 pep chromosome:PHallii_v3.1:5:2381670:2386336:-1 gene:PAHAL_5G037900 transcript:PAN26774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGAAGHGGPGRRSISSAAASRRRLPPAENGHGHDGAASRRSSASISRANSTSLTGERTVKRLRLSKALTIPDHTTVHEACRRMASRRVDAVLLTDSNALLCGILTDKDITTRVIARGLKMEETPVSKVMTRNPVFVLADTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAVEKGKAIAAAVEGVEKHWGTAASGPNNFIETLRERMFRPSLSTIISENSKVVTVGPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATVDTPILDALHTMHDGKFLHLPVLDRDGNVVTVVDVLHITQAAIATVGNTGAAGSEATSAMMQRFWDSAMSVGPLDDDDDSRSEGSTKVASEATDIGRSALFPASGLSSTFGFKVQDKQGRMHRFNCETSSLTDLITSILQRVGDDIDRKNLPQILYEDEDHDKVILSSDSDLIAAVDHARQIGWKSLRLHLDYVGVGHRKRGGGSSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRAG >PAN26580 pep chromosome:PHallii_v3.1:5:1502525:1503668:1 gene:PAHAL_5G023400 transcript:PAN26580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMNGVASLLAFALFIIGFHSLVVRKGVESVGVCYGMVGDDLPPATDVVKLYKSLGINNMRVYAPDTRVLDALRGSGIRLVLGVANEDLAALAANRASAASWVRANVKPYYPAVNITYIAVSDEVQGGAAQSILPARRNLDAALAAAGLAGSIKVSTCVRLDVITNSFPPSSGVFARPYMAEIARRPPATTGAPLLANVYPYFSYRDNPRDISLSYAMFRPGTAVRDGGSGLVYANLLDALHAALEKAGAGGVRVVVSESGWPSAGGFAASADNARAYNQGVVEHAGKGTPRRPGAALEAFVFAMFNENRKPGEPTEKSFGLFHPNASPVYPIKFR >PVH38674 pep chromosome:PHallii_v3.1:5:31240167:31240919:-1 gene:PAHAL_5G323500 transcript:PVH38674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACSHCCYSTRAPKPLRSSTARDPLLCRHRAHLLPRHICSTLTRAAHEFASHPHAASLQGTRASAPGSSRAHFLRASAPSLARAHAFAPVHLHASRARSALLLSCTPEPSPFHSPPCAISGHLLLPSSHTCLLAPASACTPLLPGQPPPEPEPLARLRPAPLGLWPPSASHARGPLARRRAEPPRRAWCLLRARPRLPPPAARSSGSRRRPHGLLPPDAGEEKKEREREDTDRDCAAGGQKKEGNTREG >PAN28074 pep chromosome:PHallii_v3.1:5:7738651:7740263:1 gene:PAHAL_5G129700 transcript:PAN28074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQCVLVVSWWFPIWLARWSRESICFLSRGPRCAHGGRSFCLILMTSSAVFQQRRYHGHRMPCNESAALGVQGCCCKSHGPVIPRSLLHGCTAVRELQIRRGSTAIATS >PAN29904 pep chromosome:PHallii_v3.1:5:16512146:16516003:-1 gene:PAHAL_5G258000 transcript:PAN29904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETLAAVLSYLPSPAVSSSTYLSSPFPAASPGDDEEEDRVGRLPDAILRNIISRLPTKDAARTAVLSSRWRHLWTSIPLSLDDGAGGLAPTTAAAALASHPGPVRSARLAASQDPEGVASVFASLAARGVEDLLVVVNGSWPVEWRVPSDVLGCAALGRLWIGLCQFPDTTGVAPALLSLQELGIVHSSVPDRDLHAVIPRCPVLETLAFALTQDYPRYVHIWSESLSCVVIWKSMLREVHLDDAPDVDRLLVEPIADAATHIKIIKAPKLKILGYFDVGLHQLKIGNTVIKIDTKVKPSAMVRTLRTLALKVQFGVEDQVKLVPTLLKCFPCLETLYITSVPSDTPVNVDIEFWDQVGFTECVYSHLKKLVLEAVRGEDSELAFAKFVMERAQMLEDMRVLVDGSCSRDVLLSRLSSEGCVSADATVAVERYDGTAWTFQRAIDLLQSDPFGC >PAN29844 pep chromosome:PHallii_v3.1:5:16514320:16515861:-1 gene:PAHAL_5G258000 transcript:PAN29844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETLAAVLSYLPSPAVSSSTYLSSPFPAASPGDDEEEDRVGRLPDAILRNIISRLPTKDAARTAVLSSRWRHLWTSIPLSLDDGAGGLAPTTAAAALASHPGPVRSARLAASQDPEGVASVFASLAARGVEDLLVVVNGSWPVEWRVPSDVLGCAALGRLWIGLCQFPDTTGVAPALLSLQELGIVHSSVPDRDLHAVIPRCPVLETLAFALTQDYPRYVHIWSESLSCVVIWKSMLREVHLDDAPDVDRLLVEPIADAATHIKIIKAPKLKILGYFDVGLHQLKIGNTVIKIDTKVKPSAMVRTLRTLALKVQFGVEDQVKLVPTLLKCFPCLETLYITSVPSDTPVNVDIEFWDQVGFTECVYSHLKKLVLEAVRGEDSELAFAKFVMERAQMLEDMRVLVDGSCSRDVLLSRLSSEGCVSADATVAVERYDGTAWTFQRAIDLLQSDPFGC >PVH38888 pep chromosome:PHallii_v3.1:5:47348492:47365759:1 gene:PAHAL_5G380900 transcript:PVH38888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MGCSPEAAAAACPDCLERLVRSDLGGTGLSFVHGLSDSPLPFAASAVVQIASDGAEESNGRQHYSSFVLVGLCGAKVPFGSKKCDNNSLENGIHNDPQPEQTVYNDDDQFTEQSDSSCGKGCIEDHENSPGLSKQQQVISTIAKLTPVCYLSIDHTSEVKELILSYLNFSTEEDVINSLNLLSENKISGFTGLDFLSFVGFSAFDDLHPSGRVRHPNILPVLAVLETSDHCYMLHPKAPYTLENIMHYSPEAFCSDWHIRFLIYQIISALAYLHDSGVHHGNLRPSNILMSDSLWPYLSITDTCSVKHSWGFSDPQCSPNSCCFMEDCSSKAIYTGFKLQSSLDWQSYFKQWWKGELSNFEYLLVLNKLAGRRWGDPAFHTVMPWVIDFTVMPDESSDNGWRDLTKSKWRLAKGDEQLDFTYSSSEIPHHVSDECLSELAVCSYKARRLPKTILRSAVRSVYEPNEYPSSMQRLYQWTPDECIPEFYSDPRIFSSLHSEMSDLALPSWATSSEDFICLHRDALESDRVSQQLHHWIDITFGYKLSGEASVEAKNVMLPPSDPSRPKSIGRHQLFTRPHPKRLFSTPHAVYHNKMDSCARCCGKRSSATTDAALDGSSPIVLSEIGCLEDFEKATLFVEFEHHLNPIYDYADTSTWCSSAKYPKSQNADQILRHDYAMPVAADFDFGSFLECFESDGGSPIGYQELLLWNQKSHLENEHHANDVFSIGCMVAEIYLERPLFDTALLAAYKEIGIVPGALQELPSHVRLFVESCIQREWNRRPTAKHLLESPYFPPSIRSAYMFLAPLQVLCTSRERSKYAAKLASEGALKAMGEFAAEMCAPYCLSLVSSSLSDVDTESALSLLKEFIKSLSIQATKDLILHIIQKILQAAEYSHLKVALLQDSFVRDLWKKLGKQTYIEKVHPLVISNLYNSPNKITASAASTVLIVSSEELGVPITIHQTILPLIHCFGKGLCADGIDTLVRIGGLLGETFVVKQILPLLRNVILSCIDSSKMDKPEPQHSWNSFALVDSLSALEGLVSVLPIKTVLKELLQDHVCLHVKVLMQIHLDLRVIQVAATALADLCRRIGPDNTSIYVLPQLKELFAELAFSHETSGLSLLTKGLKTSEGNKSESITMESRIDLVFLLYPFFASLVGIEKLRECCSTWFLLEQALQRLYNWKWEPSGDCSKNAENMKAQRFQPGNYFSSESVPTNLLFNGAGRSTPQSETTKMGQKAASSNPGSKLENETSSDNLYTSSSGNQPWFWFPSPDSSWGAPEFLGRGGGVRDELPWKIKASALYSARAHPGALRSLAVHDDECTVFTGGVGPGFKGSIQRWELPNMNCTSGYYGHEEVVNSICILSITGRVASCDGTIHIWNGQTGKLIAAHAESSTTFPLQTASIEQANMLNQDALSGGILSNAFRGSLYTSMHYMESDGILVAGMGNGSIRFIDISRNQKLHLWKSDSAEISFSSLVSAICSCGSDRLTKGTPMASSWVAAGLSSGYCRLLDKRSGNIIAVWRAHDGHITKLAAPDDHLIVSSSLDKSLRVWDIRGNLAMQSNIFRSHSDGIPNFSVWGQDVISISRNKIALASLSRPASDIGHQQLALQNLYSADRGIKHKNLSVLSTIAVLPLSRLFVVGTEDGFLKICH >PAN26868 pep chromosome:PHallii_v3.1:5:2790319:2806195:1 gene:PAHAL_5G043800 transcript:PAN26868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLQAPDYKHITEECLREWKGQSAGAFRLPDPVPMARFLYELCWAIVRGDLPPQKSRVALESVVFVEEARREEELGSVLADIIAHLGQDITISGEYRSRLVKMTKSFVESSITAPRLLQERCEEDFLWEVEQSKLKGQDLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNSSSVTISIIKSLIGHFDLDPNRVFDIVLECFEVYPDSNIFHQLIPLFPKSHAAQILGFKFQYYQRLDVNSPVPSGLFRTAALLVKSGFIDLDNVYSHLLPNDDEAFEHFDSFISRRIDEANRIGKINLAATGKDLMDDEKQEVTVDLYTALEMENDIVGERAPEMEKNQKLGLLLGFLSVHDWYHAQLLFERLAHLNPVEHIEICDGLFRMIEKTMSSAYDIVCQLYYYLPPKNDADQTGTSALSLSSFDLSKEFFQMLTACGPYLHRDTQLLQKVCRVLKAYYHSSKESTRAANVVSPEFQIEEALGSCLFPSLQLIPANPAVDMEIWGILSLLPYEARYRLYGEWEKETEQNPIVLAARQTAKLDTRRLLKRLAKENLKPLGRMVAKLAHANPMTVLRTIVQQVEAYRDMITPVVDAFKYLTQLEYDILQYIVIERLAQGGRAKLKDDGLNLSDWLQCLASFWGHLCKKHNAVELKSLLQYLVNQLKKGVGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRQQASLFGATRNYKVLSKSTNRLRDSLLPKEEPKLAVPMLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSSAVTPIAYAQLIPPLQDLVHKYHIEPEVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSSDDLILHLDSSQEPIMWSDLINTVRSILPAKAWNSLSPDLYATFWGLTLYDLHFPKDRYDTEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNSESQKHQQHIASVVQRLAREKDKWLSSSPDALKINMEFLQRCIFPRCVFSTQDAVYCATFVQTLHSLGTPFFNTVNHIDVLVCKTLQPMICCCTEFEAGRLGRFLHDTLKMAYYWKSDESVYERECGNKPGFAVYFRYPNSQRVSYSQFVRVHWKWSSRITKALNQCMESKEYMEIRNALIVLTKISNVFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHIDLKPATTRSVPGNQSADPSTAKDQNARAKSESRHERLEGAMKPDVQQKKISVSANGSDNQIPSSAVQGKSSGVVRVADEPPKPVSDEGVKVSAKPSSESETRVPQKRAAHNAGKVLKHDVAKEDGKAGKSTSRNSNQQASTVPVDREAIQAVDSVQDTNSAGSNGNLHPAPRKVSSSQRNAMLATHNGAVNPSGESTDLTDSTVRQQKRSVPAEEQDRSSKRRKGETEPRDTDLSEHHIDKEKSLDSRAGDKFRSVDHEKSANEEQNLSRAEKIKEKFDDKYDRDPREKLDRAERRRGEDAIDRATDRLSDRRERSIERMQERVTDKASEKGREDRNKDERNKGKYAESSVDRTHSTDERFRGQSLPPPPPLPTSFVPQSVGANRREEDADRRGGSARHIQRSSPRRDEKERRQSEENASSFQDDGKHRREEDLRDRKREDRDVLSNKVDDRDREKGTAMKEDSDPSSASKRRKIKREQSSLEAGEYAPSAPQPPSHGTGSSQLSDVRERERKGAISQHRSSHTDDLPRTHGKDTSKLSRRESDQMHDREWEEEKRPRTETKRKHRK >PAN26866 pep chromosome:PHallii_v3.1:5:2790319:2806195:1 gene:PAHAL_5G043800 transcript:PAN26866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLQAPDYKHITEECLREWKGQSAGAFRLPDPVPMARFLYELCWAIVRGDLPPQKSRVALESVVFVEEARREEELGSVLADIIAHLGQDITISGEYRSRLVKMTKSFVESSITAPRLLQERCEEDFLWEVEQSKLKGQDLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNSSSVTISIIKSLIGHFDLDPNRVFDIVLECFEVYPDSNIFHQLIPLFPKSHAAQILGFKFQYYQRLDVNSPVPSGLFRTAALLVKSGFIDLDNVYSHLLPNDDEAFEHFDSFISRRIDEANRIGKINLAATGKDLMDDEKQEVTVDLYTALEMENDIVGERAPEMEKNQKLGLLLGFLSVHDWYHAQLLFERLAHLNPVEHIEICDGLFRMIEKTMSSAYDIVCQLYYYLPPKNDADQTGTSALSLSSFDLSKEFFQMLTACGPYLHRDTQLLQKVCRVLKAYYHSSKESTRAANVVSPEFQIEEALGSCLFPSLQLIPANPAVDMEIWGILSLLPYEARYRLYGEWEKETEQNPIVLAARQTAKLDTRRLLKRLAKENLKPLGRMVAKLAHANPMTVLRTIVQQVEAYRDMITPVVDAFKYLTQLEYDILQYIVIERLAQGGRAKLKDDGLNLSDWLQCLASFWGHLCKKHNAVELKSLLQYLVNQLKKGVGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRQQASLFGATRNYKVLSKSTNRLRDSLLPKEEPKLAVPMLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSSAVTPIAYAQLIPPLQDLVHKYHIEPEVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSSDDLILHLDSSQEPIMWSDLINTVRSILPAKAWNSLSPDLYATFWGLTLYDLHFPKDRYDTEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNSESQKHQQHIASVVQRLAREKDKWLSSSPDALKINMEFLQRCIFPRCVFSTQDAVYCATFVQTLHSLGTPFFNTVNHIDVLVCKTLQPMICCCTEFEAGRLGRFLHDTLKMAYYWKSDESVYERECGNKPGFAVYFRYPNSQRVSYSQFVRVHWKWSSRITKALNQCMESKEYMEIRNALIVLTKISNVFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHIDLKPATTRSVPGNQSADPSTAKDQNARAKSESRHERLEGAMKPDVQQKKISVSANGSDNQIPSSAVQGKSSGVVRVADEPPKPVSDEGVKVSAKPSSESETRVPQKRAAHNAGKVLKHDVAKEDGKAGKSTSRNSNQQASTVPVDREAIQAVDSVQDTNSAGSNGNLHPAPRKVSSSQRNAMLATHNGAVNPSGESTDLTDSTVRQQKRSVPAEEQDRSSKRRKGETEPRDTDLSEHHIDKEKSLDSRAGDKFRSVDHEKSANEEQNLSRAEKIKEKFDDKYDRDPREKLDRAERRRGEDAIDRATDRLSDRRERSIERMQERVTDKASEKGREDRNKDERNKGKYAESSVDRTHSTDERFRGQSLPPPPPLPTSFVPQSVGANRREEDADRRGGSARHIQRSSPRRDEKERRQSEENASSFQDDGKHRREEDLRDRKREDRDVLSNKVDDRDREKGTAMKEDSDPSSASKRRKIKREQSSLEAGEYAPSAPQPPSHGTGSSQLSDVRERERKGAISQHRSSHTDDLPRTHGKDTSKLSRRESDQMHDREWEEEKRPRTETKRKHRK >PAN28534 pep chromosome:PHallii_v3.1:5:9648178:9650793:-1 gene:PAHAL_5G160200 transcript:PAN28534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAAMRRPLLLILATAVAVVVVRAEPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFPGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGASNDQLLTGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVGILGDEDSAASHLSRCIFTVGMGSNDYLNNYFMPAVYSTSQQYTPEQYADALAGQYAQQLRTLYSFGARKVALMGVGQVGCSPNELAQRSPDGATCAAEINGAIDIFNRRLVALVDQFNALPGAHFTYINAYGIFEDILRAPGSHGLKVTNRGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPTEAANILVGRRAYSAALPSDVHPLDLRTLAQL >PAN28533 pep chromosome:PHallii_v3.1:5:9648178:9650830:-1 gene:PAHAL_5G160200 transcript:PAN28533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAAMRRPLLLILATAVAVVVVRAEPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFPGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGASNDQLLTGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVGILGDEDSAASHLSRCIFTVGMGSNDYLNNYFMPAVYSTSQQYTPEQYADALAGQYAQQLRTLYSFGARKVALMGVGQVGCSPNELAQRSPDGATCAAEINGAIDIFNRRLVALVDQFNALPGAHFTYINAYGIFEDILRAPGSHGLKVTNRGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPTEAANILVGRRAYSAALPSDVHPLDLRTLAQL >PAN33020 pep chromosome:PHallii_v3.1:5:58635899:58641088:1 gene:PAHAL_5G529900 transcript:PAN33020 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MFRPPNAFGAPPRPPQPPPWQWQQPPQPPPAVSFWQRDNVRDHVRKLQETIEVSTALINELEEIAATRNLSDAAAQESDSSSANLPSGSADSSEDKPLRFVELARSMGVSQDTHESMATDAANYLCHQLQHLLAPIASAINQSGPWEERSAMARLTQKLQKAKRNKRWRKRKRKHVAELFQKERADYDRIDQEADEWRAKQIAKDIAKRKVESMKQIARKKANEERKRLESELELALMVEKLQELRSIRVQKMKKQGHFLPEEDDKYLERVKAAVEEEERQAATAAWTDAVKDAILTAEESRKAPQNENHHEDGSEHLKSGPTEDKNLGDVGISEKNDQASQKTEHEGHKVEGKGHGHHDPVSNLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRPGGSRIPGHWVQPPPPSDEVWASYLVQPK >PAN33017 pep chromosome:PHallii_v3.1:5:58635871:58641297:1 gene:PAHAL_5G529900 transcript:PAN33017 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MFRPPNAFGAPPRPPQPPPWQWQQPPQPPPAVSFWQRDNVRDHVRKLQETIEVSTALINELEEIAATRNLSDAAAQESDSSSANLPSGSADSSEDKPLRFVELARSMGVSQDTHESMATDAANYLCHQLQHLLAPIASAINQSGPWEERSAMARLTQKLQKAKRNKRWRKRKRKHVAELFQKERADYDRIDQEADEWRAKQIAKDIAKRKVESMKQIARKKANEERKRLESELELALMVEKLQELRSIRVQKMKKQGHFLPEEDDKYLERVKAAVEEEERQAATAAWTDAVKDAILTAEESRKAPQNENHHEDGSEHLKSGPTEDKNLGDVGISEKNDQASQKTEHEGHKVEGKGHGHHDPVSNLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRPGGSRIPGHWVQPPPPSDEVWASYLVQPK >PAN33019 pep chromosome:PHallii_v3.1:5:58635894:58641342:1 gene:PAHAL_5G529900 transcript:PAN33019 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MFRPPNAFGAPPRPPQPPPWQWQQPPQPPPAVSFWQRDNVRDHVRKLQETIEVSTALINELEEIAATRNLSDAAAQESDSSSANLPSGSADSSEDKPLRFVELARSMGVSQDTHESMATDAANYLCHQLQHLLAPIASAINQSGPWEERSAMARLTQKLQKAKRNKRWRKRKRKHVAELFQKERADYDRIDQEADEWRAKQIAKDIAKRKVESMKQIARKKANEERKRLESELELALMVEKLQELRSIRVQKMKKQGHFLPEEDDKYLERVKAAVEEEERQAATAAWTDAVKDAILTAEESRKAPQNENHHEDGSEHLKSGPTEDKNLGDVGISEKNDQASQKTEHEGHKVEGKGHGHHDPVSNLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRPGGSRIPGHWVQPPPPSDEVWASYLVQPK >PVH38338 pep chromosome:PHallii_v3.1:5:14784334:14786425:-1 gene:PAHAL_5G236000 transcript:PVH38338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEAWSRPLPLRCHDIAPRVAPFLCSNIREHGKTCMSWFGPIPKVTIVDPDLAKDVLSNKFGHFEKLKFRALFKLMSDGLANQDGEKWAKHRRILNPGFHLEKLKRMLPAFTACCEELVRRWADSLGSDGSCELDVWPELQNLTGDVISRTAFSSSYLEGRRIFQLQAEQAERVMKSFQKIVVPGYMLLPTENNRKMHRNNKEIETILRDLIWKRIQAMKEGESTKDDLLGLLLESSMRERGRSGQSVMAMTIEDVIEECKLFYFAGMETTSVLLTWTMVVLGMHPEWQDRAREEVLSLFGKNKPDLEGLSRLKTVTMILYEVLPLYPPATAFSRKTYKEMEIGGIVYPAGVIVELPVLMIHHDPDIWGSDVHEFKPDRFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKIALCMILQRFEFELAPSYTHAPHTVVTVHPMHGAQVKLRAI >PVH39116 pep chromosome:PHallii_v3.1:5:52850784:52851083:-1 gene:PAHAL_5G439500 transcript:PVH39116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQNQNGEKNQAELVGGGFAFLINAAIAPKMDGKRTVSFFLVRHCIPIGKEGMFGIKRCGFFYTKTKCILVFLTIFPPIS >PAN33025 pep chromosome:PHallii_v3.1:5:58644538:58649881:1 gene:PAHAL_5G530000 transcript:PAN33025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEPAAPQRSAHVHPDAVAVHIPSSLSAEEPVLRERHGDMIAAAMAAASPGYSPSLPVARQVSISLPASPTGFGASRTEAELERHARTDAPRRMMMPPRAPVAVALAQPDKVVFRSQPIPAAPPGENGAAQGHGDPSRSAPHAARSKARRDKSYDSFKTWSGKLEKQITTHLLGGRPPPPQPQEEEPEEDDAASNRRLSSSMPQVQRFFAALEGPELDKLRSSEELILPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKRIAISASTRFLHITVKVNLVLWCVSVALMFVVSVLYACKVVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPDLVAASLPHWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPMFFFSVGLAHYMVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRVNLFRGFSFSLAWWAYTFPMTSAAIASIRYASEVKNAFTQCMCTGLTAAATLTVTALFLTTLLHAVVHRDLFPNDISIAITERRRKPIFAEEMRARKRRGWGTKQQAAAALDTAASDAADLEAARAATTLYT >PAN33023 pep chromosome:PHallii_v3.1:5:58644981:58649881:1 gene:PAHAL_5G530000 transcript:PAN33023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEPAAPQRSAHVHPDAVAVHIPSSLSAEEPVLRERHGDMIAAAMAAASPGYSPSLPVARQVSISLPASPTGFGASRTEAELERHARTDAPRRMMMPPRAPVAVALAQPDKVVFRSQPIPAAPPGENGAAQGHGDPSRSAPHAARSKARRDKSYDSFKTWSGKLEKQITTHLLGGRPPPPQPQEEEPEEDDAASNRRLSSSMPQVQRFFAALEGPELDKLRSSEELILPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKRIAISASTRFLHITVKVNLVLWCVSVALMFVVSVLYACKVVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPDLVAASLPHWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPMFFFSVGLAHYMVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRVNLFRGFSFSLAWWAYTFPMTSAAIASIRYASEVKNAFTQCMCTGLTAAATLTVTALFLTTLLHAVVHRDLFPNDISIAITERRRKPIFAEEMRARKRRGWGTKQQAAAALDTAASDAADLEAARAATTLYT >PAN33026 pep chromosome:PHallii_v3.1:5:58646462:58649881:1 gene:PAHAL_5G530000 transcript:PAN33026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCTACTRFARFMETDEPAAPQRSAHVHPDAVAVHIPSSLSAEEPVLRERHGDMIAAAMAAASPGYSPSLPVARQVSISLPASPTGFGASRTEAELERHARTDAPRRMMMPPRAPVAVALAQPDKVVFRSQPIPAAPPGENGAAQGHGDPSRSAPHAARSKARRDKSYDSFKTWSGKLEKQITTHLLGGRPPPPQPQEEEPEEDDAASNRRLSSSMPQVQRFFAALEGPELDKLRSSEELILPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKRIAISASTRFLHITVKVNLVLWCVSVALMFVVSVLYACKVVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPDLVAASLPHWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPMFFFSVGLAHYMVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRVNLFRGFSFSLAWWAYTFPMTSAAIASIRYASEVKNAFTQCMCTGLTAAATLTVTALFLTTLLHAVVHRDLFPNDISIAITERRRKPIFAEEMRARKRRGWGTKQQAAAALDTAASDAADLEAARAATTLYT >PAN33024 pep chromosome:PHallii_v3.1:5:58644577:58649881:1 gene:PAHAL_5G530000 transcript:PAN33024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEPAAPQRSAHVHPDAVAVHIPSSLSAEEPVLRERHGDMIAAAMAAASPGYSPSLPVARQVSISLPASPTGFGASRTEAELERHARTDAPRRMMMPPRAPVAVALAQPDKVVFRSQPIPAAPPGENGAAQGHGDPSRSAPHAARSKARRDKSYDSFKTWSGKLEKQITTHLLGGRPPPPQPQEEEPEEDDAASNRRLSSSMPQVQRFFAALEGPELDKLRSSEELILPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKRIAISASTRFLHITVKVNLVLWCVSVALMFVVSVLYACKVVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPDLVAASLPHWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPMFFFSVGLAHYMVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRVNLFRGFSFSLAWWAYTFPMTSAAIASIRYASEVKNAFTQCMCTGLTAAATLTVTALFLTTLLHAVVHRDLFPNDISIAITERRRKPIFAEEMRARKRRGWGTKQQAAAALDTAASDAADLEAARAATTLYT >PAN29429 pep chromosome:PHallii_v3.1:5:13885208:13890273:-1 gene:PAHAL_5G225600 transcript:PAN29429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALGRAGGRLISPVAAAAAVVLMVVVAGAEPAAACYPRVFSFGDSLADTGNFRYYYGNNSGEPALRPPYGETFFRRATGRFSNGRLILDFIADTMGLPFVRPYLSGRRAEDFACGANFAVGGATALGPDFFRNRGFNIGDGRVHLDLEMKWFRDLLDLLCPGGRSGCSDMMSQSLFLVGEIGGNDYNLPLLSRVPIEKIRSFTPSVVAKISSTITELIGLGARTLVVPGNLPIGCVPRYLSIFKSDNKEDYEPESGCLRWMNEFSEYHNKLLVEELEKLRKLHPGVSIIYSDYYGAAMEIFLSPERFGIEEPLVACCGGEGTYGVSPALACGYGEYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTSSCPKLTELASSVEYKSRGTVLHFTAVAQAISSNIQ >PVH38309 pep chromosome:PHallii_v3.1:5:13887034:13890171:-1 gene:PAHAL_5G225600 transcript:PVH38309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALGRAGGRLISPVAAAAAVVLMVVVAGAEPAAACYPRVFSFGDSLADTGNFRYYYGNNSGEPALRPPYGETFFRRATGRFSNGRLILDFIADTMGLPFVRPYLSGRRAEDFACGANFAVGGATALGPDFFRNRGFNIGDGRVHLDLEMKWFRDLLDLLCPGGRSGCSDMMSQSLFLVGEIGGNDYNLPLLSRVPIEKIRSFTPSVVAKISSTITELIGLGARTLVVPGNLPIGCVPRYLSIFKSDNKEDYEPESGCLRWMNEFSEYHNKLLVEELEKLRKLHPGVSIIYSDYYGAAMEIFLSPERFGIEEPLVACCGGEGTYGVSPALACGYGEYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTSSCPKLTELASSVEYKVLYDL >PAN29427 pep chromosome:PHallii_v3.1:5:13886596:13890273:-1 gene:PAHAL_5G225600 transcript:PAN29427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALGRAGGRLISPVAAAAAVVLMVVVAGAEPAAACYPRVFSFGDSLADTGNFRYYYGNNSGEPALRPPYGETFFRRATGRFSNGRLILDFIADTMGLPFVRPYLSGRRAEDFACGANFAVGGATALGPDFFRNRGFNIGDGRVHLDLEMKWFRDLLDLLCPGGRSGCSDMMSQSLFLVGEIGGNDYNLPLLSRVPIEKIRSFTPSVVAKISSTITELIGLGARTLVVPGNLPIGCVPRYLSIFKSDNKEDYEPESGCLRWMNEFSEYHNKLLVEELEKLRKLHPGVSIIYSDYYGAAMEIFLSPERFGIEEPLVACCGGEGTYGVSPALACGYGEYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTSSCPKLTELASSVEYKSRGTVLHFTAVAQVIGWVLFYLFFF >PAN29428 pep chromosome:PHallii_v3.1:5:13886598:13890273:-1 gene:PAHAL_5G225600 transcript:PAN29428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALGRAGGRLISPVAAAAAVVLMVVVAGAEPAAACYPRVFSFGDSLADTGNFRYYYGNNSGEPALRPPYGETFFRRATGRFSNGRLILDFIADTMGLPFVRPYLSGRRAEDFACGANFAVGGATALGPDFFRNRGFNIGDGRVHLDLEMKWFRDLLDLLCPGGRSGCSDMMSQSLFLVGEIGGNDYNLPLLSRVPIEKIRSFTPSVVAKISSTITELIGLGARTLVVPGNLPIGCVPRYLSIFKSDNKEDYEPESGCLRWMNEFSEYHNKLLVEELEKLRKLHPGVSIIYSDYYGAAMEIFLSPERFGIEEPLVACCGGEGTYGVSPALACGYGEYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTSSCPKLTELASSVEYKSRGTVLHFTAVAQVIGWVLFYLFFF >PAN31941 pep chromosome:PHallii_v3.1:5:53715953:53718775:-1 gene:PAHAL_5G451300 transcript:PAN31941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVQHGKSRAAAAAAAAWERKPRHGARAAARSPGKPELGRRQLAGRLLLAACLLAPVLCICAARLLSPLSSRVSSGDGVYLAEGNHVSSDDAGAGAGALSSLQRGTVDGHGPPGPIAPIPARFGTDPVRSSPELDKEVKSSNPENRTDLDNKSGIEGETVSSAKSGFPPENSLMNFRQSAAYIEVPKPKSKVYCDDKSKDEGFPYARPTICQMSGDVRVSPGSSSIALTMPMQQSVEGLHVMPYARRDDSLLPLVTEVGIRAAASENDAPKCSISHDVPAVIFSIGGYTGNFFHDMSDVLIPLYLTSFRFKGRVKFFITNYKQWWIQKYKPMLRRLSHYDIIDFDSNKDVHCFQEVILGLVRDRDLILRPHPTRNPKGYSMLDFTRFLRHSYGLKRSRSLVLGEEPGKKPRMLIISRRGTRKLLNLRQVAAISRALGFDVTISEARGNLKRFATMVNSCDVFLAVHGAGLTNQIFLPAQAVVIQIVPWGKMDWMATNFYGEPARGMNLRYLEYHISEQESSLAQRYPRDHMVFKDPMAIHGQGWNALADIFMTQDVKLNLRRFRPTLLQALDLLQL >PAN31938 pep chromosome:PHallii_v3.1:5:53715686:53719517:-1 gene:PAHAL_5G451300 transcript:PAN31938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVQHGKSRAAAAAAAAWERKPRHGARAAARSPGKPELGRRQLAGRLLLAACLLAPVLCICAARLLSPLSSRVSSGDGVYLAEGNHVSSDDAGGAGALSSLQRGTVDGHGPPGPIAPIPARFGTDPVRSSPELDKEVKSSNPENRTDLDNKSGIEGETVSSAKSGFPPENSLMNFRQSAAYIEVPKPKSKVYCDDKSKDEGFPYARPTICQMSGDVRVSPGSSSIALTMPMQQSVEGLHVMPYARRDDSLLPLVTEVGIRAAASENDAPKCSISHDVPAVIFSIGGYTGNFFHDMSDVLIPLYLTSFRFKGRVKFFITNYKQWWIQKYKPMLRRLSHYDIIDFDSNKDVHCFQEVILGLVRDRDLILRPHPTRNPKGYSMLDFTRFLRHSYGLKRSRSLVLGEEPGKKPRMLIISRRGTRKLLNLRQVAAISRALGFDVTISEARGNLKRFATMVNSCDVFLAVHGAGLTNQIFLPAQAVVIQIVPWGKMDWMATNFYGEPARGMNLRYLEYHISEQESSLAQRYPRDHMVFKDPMAIHGQGWNALADIFMTQDVKLNLRRFRPTLLQALDLLQL >PVH39189 pep chromosome:PHallii_v3.1:5:53715686:53718088:-1 gene:PAHAL_5G451300 transcript:PVH39189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFGIFCSSHWGQPCSCIIDKEVKSSNPENRTDLDNKSGIEGETVSSAKSGFPPENSLMNFRQSAAYIEVPKPKSKVYCDDKSKDEGFPYARPTICQMSGDVRVSPGSSSIALTMPMQQSVEGLHVMPYARRDDSLLPLVTEVGIRAAASENDAPKCSISHDVPAVIFSIGGYTGNFFHDMSDVLIPLYLTSFRFKGRVKFFITNYKQWWIQKYKPMLRRLSHYDIIDFDSNKDVHCFQEVILGLVRDRDLILRPHPTRNPKGYSMLDFTRFLRHSYGLKRSRSLVLGEEPGKKPRMLIISRRGTRKLLNLRQVAAISRALGFDVTISEARGNLKRFATMVNSCDVFLAVHGAGLTNQIFLPAQAVVIQIVPWGKMDWMATNFYGEPARGMNLRYLEYHISEQESSLAQRYPRDHMVFKDPMAIHGQGWNALADIFMTQDVKLNLRRFRPTLLQALDLLQL >PVH38999 pep chromosome:PHallii_v3.1:5:51024483:51031750:1 gene:PAHAL_5G413200 transcript:PVH38999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGPSCRFISWLTCFAACILLESIVSAHSWCTPHPSPRQEARFEQKTNRFWEYQEQSNTWVEISMPFDLMSCINGTCTKVGSITRKPASSPVHSQEEEDARLDEEDGEDRNDPVLPVRKRISLTRMSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGHATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMTFNSDQQFTNLGLKTQSQAMRIRNGIVSNDGRKLFLSIMNGSLLEVTEIQPLRWNYHGRPPGGDVSYISDAGNLRPGTLFTVSSTGDLYEFDKETKPSWKKHIWSEELAESISLSSSAGCALYGLSGSNSVSLFLISKDGILVERRLHRRKWKWDKHGAPMGQRLSSVTEVQQDELNDASSMFFTTTTGKVFEYQIPKYAGGAQSNKIRGQWLNHMSPEHAKVARNVRGVQVQVGRMIFPLDDGRLGELHFSGIGGADFGPSQQNTVRKKLSNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKSVATGTEPNDLSNTQPSRRRKVEEKQHYLHVNSHQSDETEPYNFLSRSIGLNFHMRVMHADRSLFLITDNGLTFEYLNSNGIWLWLRHEHTTAMKGTLGSYIGSLYLVDVHGNLHIRERNGDELLWINCTAMKKGRHVASGSPWDGIPGLLRRMTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKVAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHGHYQSPHLILSRSPGTAMRPSPLSLAGSLFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVTLVSAPGPCFDGSQLFVVGSDGHVYRRHMEGRTWRWTSHGHPPSEPAAADDQTCATPGAGAHHADGFSGSCDGKVAAVRPVPFSGDAVVFELRDGRLAELRRPPSAEGCGGWEWARIIGTPSSACMTSYWTAVAT >PVH38998 pep chromosome:PHallii_v3.1:5:51024483:51031750:1 gene:PAHAL_5G413200 transcript:PVH38998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGPSCRFISWLTCFAACILLESIVSAHSWCTPHPSPRQEARFEQKTNRFWEYQEQSNTWVEISMPFDLMSCINGTCTKVGSITRKPASSPVHSQEEEDARLDEEDGEDRNDPVLPVRKRISLTRMSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGHATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMTFNSDQQFTNLGLKTQSQAMRIRNGIVSNDGRKLFLSIMNGSLLEVTEIQPLRWNYHGRPPGGDVSYISDAGNLRPGTLFTVSSTGDLYEFDKETKPSWKKHIWSEELAESISLSSSAGCALYGLSGSNSVSLFLISKDGILVERRLHRRKWKWDKHGAPMGQRLSSVTEVQQDELNDASSMFFTTTTGKVFEYQIPKYAGGAQSNKIRGQWLNHMSPEHAKVARNVRGVQVQVGRMIFPLDDGRLGELHFSGIGGADFGPSQQNTVRKKLSNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKSVATGTEPNDLSNTQPSRRRKVEEKQHYLHVNSHQSDETEPYNFLSRSIGLNFHMRVMHADRSLFLITDNGLTFEYLNSNGIWLWLRHEHTTAMKGTLGSYIGSLYLVDVHGNLHIRERNGDELLWINCTAMKKGRHVASGSPWDGIPGLLRRMTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKVAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHGHYQSPHLILSRSPGTAMRPSPLSLAGSLFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVTLVSAPGPCFDGSQLFVVGSDGHVYRRHMEGRTWRWTSHGHPPSEPAAADDQTCATPGAGAHHADGFSGSCDGKVAAVRPVPFSGDAVVFELRDGRLAELRRPPSAEGCGGWEWARIIGTPSSACMTSYWTAVAT >PVH39000 pep chromosome:PHallii_v3.1:5:51027651:51031750:1 gene:PAHAL_5G413200 transcript:PVH39000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEHAKVARNVRGVQVQVGRMIFPLDDGRLGELHFSGIGGADFGPSQQNTVRKKLSNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKSVATGTEPNDLSNTQPSRRRKVEEKQHYLHVNSHQSDETEPYNFLSRSIGLNFHMRVMHADRSLFLITDNGLTFEYLNSNGIWLWLRHEHTTAMKGTLGSYIGSLYLVDVHGNLHIRERNGDELLWINCTAMKKGRHVASGSPWDGIPGLLRRMTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKVAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHGHYQSPHLILSRSPGTAMRPSPLSLAGSLFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVTLVSAPGPCFDGSQLFVVGSDGHVYRRHMEGRTWRWTSHGHPPSEPAAADDQTCATPGAGAHHADGFSGSCDGKVAAVRPVPFSGDAVVFELRDGRLAELRRPPSAEGCGGWEWARIIGTPSSACMTSYWTAVAT >PVH38997 pep chromosome:PHallii_v3.1:5:51024481:51031750:1 gene:PAHAL_5G413200 transcript:PVH38997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSLLEVTEIQPLRWNYHGRPPGGDVSYISDAGNLRPGTLFTVSSTGDLYEFDKETKPSWKKHIWSEELAESISLSSSAGCALYGLSGSNSVSLFLISKDGILVERRLHRRKWKWDKHGAPMGQRLSSVTEVQQDELNDASSMFFTTTTGKVFEYQIPKYAGGAQSNKIRGQWLNHMSPEHAKVARNVRGVQVQVGRMIFPLDDGRLGELHFSGIGGADFGPSQQNTVRKKLSNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKSVATGTEPNDLSNTQPSRRRKVEEKQHYLHVNSHQSDETEPYNFLSRSIGLNFHMRVMHADRSLFLITDNGLTFEYLNSNGIWLWLRHEHTTAMKGTLGSYIGSLYLVDVHGNLHIRERNGDELLWINCTAMKKGRHVASGSPWDGIPGLLRRMTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKVAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHGHYQSPHLILSRSPGTAMRPSPLSLAGSLFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVTLVSAPGPCFDGSQLFVVGSDGHVYRRHMEGRTWRWTSHGHPPSEPAAADDQTCATPGAGAHHADGFSGSCDGKVAAVRPVPFSGDAVVFELRDGRLAELRRPPSAEGCGGWEWARIIGTPSSACMTSYWTAVAT >PVH39320 pep chromosome:PHallii_v3.1:5:55405591:55407471:-1 gene:PAHAL_5G477000 transcript:PVH39320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTKGCSYDLTRSTSDELTTSNGGGEVLPYYLTRLNKRQKACRGEGEAIESLPSGTSFDGGLGSSGSGQDASECLSEAVSKMSRSAVLLSLFDGGINVFTCSGVPVERRSSHIKVLTSAKLATTLNDKRKAGCSMMIHVCDVSNKIARGWLHHYDLDLGIAFVKVMEFLDVSALIDSGALTPLVLSRDSSASEDGKELCKSLKAGDGAPLFDGDGNFAGMNLLLDTGSWSCVQMSVIIEQLEQLEKRIKLRVSRTVDRSRHKAPCTRDGWRRNGKHYSTNPKGEHTALQPVSKTIKLHC >PAN30903 pep chromosome:PHallii_v3.1:5:37621157:37623594:-1 gene:PAHAL_5G344000 transcript:PAN30903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAPNNPILYIPLLSMDQSTLQHNRNIYSSLSLSHTHTSRSRDQNMGSVSGALLAVLVSTLLIRVLFLLVWKPFTVARWFRGQGVGGPGYRFFVGSVPEIRRMKAAGSEVVLDAGSHDFIPIVQPQFRKWVADYGKTFLYWFGAVPTVCVADVDLVKQVLAERTGLFPKNYLNANLEALLGKGLVLVNGEDWKRHRKVVHPAFNLEKLKAMSVVMADLAERMMQQWQSQIQKAASHQAEVELSSEFSELTSNVIAHTAFGSSYKQGKEVFSAQKELQELAFSAALDIPIPDRLRKLKFPSSKRSMRVQKLDGKVRSMLMEIIQGRLAEKDTKGYGNDLLGLMLEARALEQEGHQMLTTQEIVDECKTFFFAGQDTTSHLLTWTMFLLSRYPDWQGKLREEVLRECGDAVPNPDTVAKLKLVNMVLLESLRLYSPVVFIRRAAGSDIQLGSIRVPKGTLLSIPIALLHRDKDVWGHDADEFNPARFEHGVSKAAANHPNALLSFSQGPRACIGQNFAMLEARIGIAMILQKFSFELSPKYVHAPKEAITLMPRFGLPMILRNLHE >PAN28583 pep chromosome:PHallii_v3.1:5:9878033:9879850:-1 gene:PAHAL_5G163800 transcript:PAN28583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVVRWLKHLLTGRNKEEHKGFGVNHVATDWSGGLGREKKRWSFAKHRRSESDGGARSWGQPAAATAGAESPQARPKEDARAREEKAAVVIQKTFRGYLARRALRALRSLVKIQALVRGYLVRRQAAMTLHRLQTLMRLQSDSIAVKNASHRKSMEQEERIFGQEARMKPPATPAHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSSRMTSHYTPDNSSEYHRLAAPTPSCSPLPGGNQQPARLSFRRSTPERDPRGSKTAHNTPRLVPPSHDSSPAKSIEHSLAGSTPRRASPRDRDALVSPRYMAGTASSAARTRSHSAPRQRLTVPPAEAPRSSVTARTGTSRRSCSHARGGGFCVQCSDATRTAGCSGIGASDEVARDYYLNSFW >PAN28585 pep chromosome:PHallii_v3.1:5:9877562:9881372:-1 gene:PAHAL_5G163800 transcript:PAN28585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVVRWLKHLLTGRNKEEHKGFGVNHVATDWSGGLGREKKRWSFAKHRRSESDGGARSWGQPAAATAGAESPQARPKEDARAREEKAAVVIQKTFRGYLARRALRALRSLVKIQALVRGYLVRRQAAMTLHRLQTLMRLQSDSIAVKNASHRKSMEQEERIFGQEARMKPPATPAHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSSRMTSHYTPDNSSEYHRLAAPTPSCSPLPGGNQQPARLSFRRSTPERDPRGSKTAHNTPRLVPPSHDSSPAKSIEHSLAGSTPRRASPRDRDALVSPRYMAGTASSAARTRSHSAPRQRLTVPPAEAPRSSVTARTGTSRRSCSHARGGGFCVQCSDATRTAGCSGIGASDEVARDYYLNSFW >PAN28584 pep chromosome:PHallii_v3.1:5:9878033:9879850:-1 gene:PAHAL_5G163800 transcript:PAN28584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVVRWLKHLLTGRNKEEHKGFGVNHVATDWSGGLGREKKRWSFAKHRRSESDGGARSWGQPAAATAGAESPQARPKEDARAREEKAAVVIQKTFRGYLARRALRALRSLVKIQALVRGYLVRRQAAMTLHRLQTLMRLQSDSIAVKNASHRKSMEQEERIFGQEARMKPPATPAHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSSRMTSHYTPDNSSEYHRLAAPTPSCSPLPGGNQQPARLSFRRSTPERDPRGSKTAHNTPRLVPPSHDSSPAKSIEHSLAGSTPRRASPRDRDALVSPRYMAGTASSAARTRSHSAPRQRLTVPPAEAPRSSVTARTGTSRRSCSHARGGGFCVQCSDATRTAGCSGIGASDEVARDYYLNSFW >PVH39288 pep chromosome:PHallii_v3.1:5:55042254:55042607:1 gene:PAHAL_5G471800 transcript:PVH39288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAINAPRQKEAPGGCAVSMFRQAALAGLATVSMAIALAMSSELPASPPSAGGLHSTACSIALSGAFLAGTAGVLAAVWVSGNPPARRRAAGRKLAYASVVPLAVVAGLLLASLLW >PAN32383 pep chromosome:PHallii_v3.1:5:55678963:55684884:1 gene:PAHAL_5G483600 transcript:PAN32383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTSEEEAALRTGVAKHGVGNWRTILNDPELSSILRYRSNVDLKDKWRNMNVTVTASSSRDKARTAVKKTRAAPKNNDHSAAISTVTSDADDEMVDAKPIASVSTEAWNTSTSKKSHSRLDNIIMEAIKNLNEPMGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLASSGKLIKVNRKYRIAPSSPRLEGRSPKMLLLEDVQKEPLKVMNDASKSLTRSQVDAELARMATMTPEAAAAAAARAVAEAEAIMAEAEAAAREAEVAEAEAQAAQAFADAALLTLKNRNAAKLMAQG >PVH39350 pep chromosome:PHallii_v3.1:5:55680895:55684884:1 gene:PAHAL_5G483600 transcript:PVH39350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTSEEEAALRTGVAKHGVGNWRTILNDPELSSILRYRSNVDLKDKWRNMNVTVTASSSRDKARTAVKKTRAAPKNNDHSAAISTVTSDADDEMVDAKPIASVSTEAWNTSTSKKSHSRLDNIIMEAIKNLNEPMGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLASSGKLIKVNRKYRIAPSSPRLEGRSPKMLLLEDVQKEPLKVMNDASKSLTRSQVDAELARMATMTPEAAAAAAARAVAEAEAIMAEAEAAAREAEVAEAEAQAAQAFADAALLTLKNRNAAKLMAQG >PAN32382 pep chromosome:PHallii_v3.1:5:55678963:55684884:1 gene:PAHAL_5G483600 transcript:PAN32382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTSEEEAALRTGVAKHGVGNWRTILNDPELSSILRYRSNVDLKDKWRNMNVTVTASSSRDKARTAVKKTRAAPKNNDHSAAISTVTSDADDEMVDAKPIASVSTEAWNTSTSKKSHSRLDNIIMEAIKNLNEPMGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLASSGKLIKVNRKYRIAPSSPRLEGRSPKMLLLEDVQKEPLKVMNDASKSLTRSQVDAELARMATMTPEAAAAAAARAVAEAEAIMAEAEAAAREAEVAEAEAQAAQAFADAALLTLKNRNAAKLMAQG >PVH38032 pep chromosome:PHallii_v3.1:5:9477951:9482585:1 gene:PAHAL_5G157000 transcript:PVH38032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSTTHGMPIPDLKSSCTYRRPTPPPPPPRPFSSGPATRELTIRTRFSFARPPTPSAAGRHLPSFPPLLPRTPPPFLRRPFSPRVPIPNHARSRDPGAQSLMAQQQTSPEEVVLGQETGSARVITLNRPRQLNGISDRVVYLIAQFLEKWEKDDNAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLVMGGGAAMVAPLKFAVVTEKTIFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMISAGLATHFVSSEKLEELEKRLLNLDSGDESAVRAVIEEFSTDVQPDEDSVLNKLSTINKCFSAETVEDIIKAFESEASIDGNQWVAPVLKGLRKSSPTALKITLRSIREGRKQSLPECLMKEFRLTMNILRSVVNGDVYEGIRALSIDKDNAPKWNPATLEEVKNEDIDRVFQPFSPAHELQVPSDDSNRWSGKYENTVYAKTSQ >PVH38033 pep chromosome:PHallii_v3.1:5:9478043:9481959:1 gene:PAHAL_5G157000 transcript:PVH38033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAGLATHFVSSEKLEELEKRLLNLDSGDESAVRAVIEEFSTDVQPDEDSVLNKLSTINKCFSAETVEDIIKAFESEASIDGNQWVAPVLKGLRKSSPTALKITLRSIREGRKQSLPECLMKEFRLTMNILRSVVNGDVYEGIRALSIDKDNAPKWNPATLEEVKNEDIDRVFQPFSPAHELQVPSDDSNRWSGKYENTVYAKTSQ >PVH38389 pep chromosome:PHallii_v3.1:5:15907234:15915385:-1 gene:PAHAL_5G250800 transcript:PVH38389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEARHGYSPLAAADEAVIRGATSDGDDVKLRLLGYKPQLKRDLSVVSNFAVSFSIVSVVTGVTTLFGTGLQFGGPATMVYGWPVAGAFTLAVGLAMAEICSAYPTSGGLYFWSARLCAHRPWGPFAAWLTGWFNIVGQWAVTTSVDYSLAQLIQVIILLGTGGKNGGGYLASKYVVIAFHAAILLSHAVINSLPITLLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAEFVFTHFNTDNGAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPIGIISAIGISIVVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGSGAGGIVCLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSVSVALCMALPSLGSLVAFQAMVSIATIGLYISYALPILFRVTLARKHFSPGPFNLGRYGALVGWVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFFLVLSSWVLSARHWFKGPVTNLDG >PVH38390 pep chromosome:PHallii_v3.1:5:15907799:15910836:-1 gene:PAHAL_5G250800 transcript:PVH38390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNKPPAGGAAVTAVDVGEDTGLARLQELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLTFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDYSLAQLIQVIILLGTGGKNGGGYLASKYVVIAFHAAILLSHAVINSLPITLLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAEFVFTHFNTDNGAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPIGIISAIGISIVVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGSGAGGIVCLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSVSVALCMALPSLGSLVAFQAMVSIATIGLYISYALPILFRVTLARKHFSPGPFNLGRYGALVGWVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFFLVLSSWVLSARHWFKGPVTNLDG >PAN30271 pep chromosome:PHallii_v3.1:5:34957238:34958007:1 gene:PAHAL_5G336400 transcript:PAN30271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARHGEGYDWRNAPIDPEAVYSSGGKPHGRYPLFEKVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIASQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVHPTSNWADQFIGSGGSVQPGDGDDQT >PAN31187 pep chromosome:PHallii_v3.1:5:50070069:50071148:-1 gene:PAHAL_5G403000 transcript:PAN31187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVASLSTPATFAAADVVPRRKVAGRVWFPTACRGGFAVRSSGPSTPPGISDKVSESIKKAEETCADEQVSGECAAGWDEVEELSAAASHARDKLKESSDPLENYCKENPETDECRIYDN >PVH37901 pep chromosome:PHallii_v3.1:5:7052247:7052522:-1 gene:PAHAL_5G117200 transcript:PVH37901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLRRLGGWAPAPAPPPYKTPSATGPCRLSRLPPRLRPLSSAPAIPAPLLYLLARPRLLRLTPAASRALRVSPPRRHWTTRRRSIADDDR >PAN26662 pep chromosome:PHallii_v3.1:5:1881828:1883418:1 gene:PAHAL_5G029300 transcript:PAN26662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKLYGMPLSPNVVRVATVLNEKGLDFEIVPVDLRTGAHKQPEFLALNPFGQIPALEDGDEVLYESRAINRYIASKYKSEGPDLLPTPSAKLEVWLEVEAHHFYPNVSPLVFQLLIKPLLGGAPDPVVVDKHAHQLAKVLDVYEAHLAGNKYLAGDEFSLADANHMSYLFCLSKTPKAGLVDERPHVKAWWEDIAARPAFKKTVAGIPLPPTPSA >PAN29416 pep chromosome:PHallii_v3.1:5:13841834:13847839:-1 gene:PAHAL_5G224500 transcript:PAN29416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTQQHALPFTCPHSTQLHKSAGDTQFNQQLQAQVSKMHRSFLLLLVCALAAASLSADTAIAQSQRSWAELSGRDNWDGLLDPLDADLRRAVIRYGELAQATSDAFIADPASPYAGASRYAPGAFLRRAQAGPDPGAYYRVTRFLYATSGARLPDGLLTRPAPPGAWSAESNWMGYVAVATDAGAAGLGRRDIVVAWRGTKRAVEWADDLDITLVPAAGVVGPGPGWSQPAVHRGFLSVYTSRNSTSRFNKQSAREQALAEIRRLLDEYKGENCSITLTGHSLGAALSTLAAIDIVGNGLNVRGANDTVPVAAIVFGSPRVGDDQFKKAFESTPGARLLRVRNAPDVVPTVLPAAFYKDVGAELLLDTRKSPYLKRPGPGPAAWHNLECYLHGVAGTQGAGGGAGFRLEVERDLALVNKEVDALADEYPVPAAWWVEGNKGMVKDASGRWVLQDHEEGNLAM >PVH39043 pep chromosome:PHallii_v3.1:5:51906814:51911540:-1 gene:PAHAL_5G423500 transcript:PVH39043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGIAPKPAAPPVASVPQPRHMFVFGAGFVGRYVSEHLLAQGWQVSGTCTSPAKKRELEMLGVKASVFDATESNSSLQSIHSLQQATHLLISIPPIPGIGDPLHNLNEDLRRILSHGNLEWLCYLSSTSVYGDCGGALVDEDHMVNPKSESAKLRYEAEKGWLNLVNHLNLSAFIFRLGGIYGPGRSALDTLAKSNSLSRRQKLRESKQYTARIHVADIYQAVLASMSTRCPRKIFNVVDDDPAPRAEVFAFARSLIEMRYPDLIAKAPDVNSAESGIQERIVPAEKRVSNARLKKELGVKLLHPTYRSGLQSILDSWPTEFQLPGRSR >PVH39044 pep chromosome:PHallii_v3.1:5:51908464:51911523:-1 gene:PAHAL_5G423500 transcript:PVH39044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGIAPKPAAPPVASVPQPRHMFVFGAGFVGRYVSEHLLAQGWQVSGTCTSPAKKRELEMLGVKASVFDATESNSSLQSIHSLQQATHLLISIPPIPGIGDPLHNLNEDLRRILSHGNLEWLCYLSSTSVYGDCGGALVDEDHMVNPKSESAKLRYEAEKGWLNLVNHLNLSAFIFRLGGIYGPGRSALDTLAKSNSLSRRQKLRESKQYTARIHVADIYQAVLASMSTRCPRFPFLMPFLPLQFFVIS >PVH39038 pep chromosome:PHallii_v3.1:5:51906815:51911540:-1 gene:PAHAL_5G423500 transcript:PVH39038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGIAPKPAAPPVASVPQPRHMFVFGAGFVGRYVSEHLLAQGWQVSGTCTSPAKKRELEMLGVKASVFDATESNSSLQSIHSLQQATHLLISIPPIPGIGDPLHNLNEDLRRILSHGNLEWLCYLSSTSVYGDCGGALVDEDHMVNPKSESAKLRYEAEKGWLNLVNHLNLSAFIFRLGGIYGPGRSD >PVH39041 pep chromosome:PHallii_v3.1:5:51909043:51911305:-1 gene:PAHAL_5G423500 transcript:PVH39041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGIAPKPAAPPVASVPQPRHMFVFGAGFVGRYVSEHLLAQGWQVSGTCTSPAKKRELEMLGVKASVFDATESNSSLQSIHSLQQATHLLISIPPIPGIGDPLHNLNEDLRRILSHGNLEWLCYLSSTSVYGDCGGALVDEDHMVNPKSESAKLRYEAEKGWLNLVNHLNLSAFIFRLGGIYGPGRSD >PVH39039 pep chromosome:PHallii_v3.1:5:51909043:51911305:-1 gene:PAHAL_5G423500 transcript:PVH39039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGIAPKPAAPPVASVPQPRHMFVFGAGFVGRYVSEHLLAQGWQVSGTCTSPAKKRELEMLGVKASVFDATESNSSLQSIHSLQQATHLLISIPPIPGIGDPLHNLNEDLRRILSHGNLEWLCYLSSTSVYGDCGGALVDEDHMVNPKSESAKLRYEAEKGWLNLVNHLNLSAFIFRLGGIYGPGRSD >PVH39045 pep chromosome:PHallii_v3.1:5:51908464:51911538:-1 gene:PAHAL_5G423500 transcript:PVH39045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGIAPKPAAPPVASVPQPRHMFVFGAGFVGRYVSEHLLAQGWQVSGTCTSPAKKRELEMLGVKASVFDATESNSSLQSIHSLQQATHLLISIPPIPGIGDPLHNLNEDLRRILSHGNLEWLCYLSSTSVYGDCGGALVDEDHMVNPKSESAKLRYEAEKGWLNLVNHLNLSAFIFRLGGIYGPGRSALDTLAKSNSLSRRQKLRESKQYTARIHVADIYQAVLASMSTRCPRFPFLMPFLPLQFFVIS >PVH39042 pep chromosome:PHallii_v3.1:5:51906835:51911523:-1 gene:PAHAL_5G423500 transcript:PVH39042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGIAPKPAAPPVASVPQPRHMFVFGAGFVGRYVSEHLLAQGWQVSGTCTSPAKKRELEMLGVKASVFDATESNSSLQSIHSLQQATHLLISIPPIPGIGDPLHNLNEDLRRILSHGNLEWLCYLSSTSVYGDCGGALVDEDHMVNPKSESAKLRYEAEKGWLNLVNHLNLSAFIFRLGGIYGPGRSD >PVH39040 pep chromosome:PHallii_v3.1:5:51906814:51911523:-1 gene:PAHAL_5G423500 transcript:PVH39040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGIAPKPAAPPVASVPQPRHMFVFGAGFVGRYVSEHLLAQGWQVSGTCTSPAKKRELEMLGVKASVFDATESNSSLQSIHSLQQATHLLISIPPIPGIGDPLHNLNEDLRRILSHGNLEWLCYLSSTSVYGDCGGALVDEDHMVNPKSESAKLRYEAEKGWLNLVNHLNLSAFIFRLGGIYGPGRSALDTLAKSNSLSRRQKLRESKQYTARIHVADIYQAVLASMSTRCPRKIFNVVDDDPAPRAEVFAFARSLIEMRYPDLIAKAPDVNSAESGIQERIVPAEKRVSNARLKKELGVKLLHPTYRSGLQSILDSWPTEFQLPGRSR >PVH37987 pep chromosome:PHallii_v3.1:5:8586673:8592231:1 gene:PAHAL_5G143600 transcript:PVH37987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRQEQEEAEEVEGRPCGEGGDHFDRLHDAVLLDVLNRIGDVKALGRCALVSRRFHALVPLVDSVFVRVDCVIPDDPPPSASAGPGSPQQHHHQAPAAAPVARGRGALAHFARVLLGGIARPIHALGQILSPAAAAVSRRAEPQPASPPAPAADVSHHSPSEVLRSFKELRRLHIELPTGELGIDDGVLLKWKADFGSTLGSCVILGASSVSSKTTSPSAQPEPAADDSTAASPDSNRETEDLGSLPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIISNHATLESLNLTDADGQGVLTMDKRQLQELRVRPVSASASSHRTLMPALSMRLHYAPLIELPGGTQLKGATLVAIRPSEDALREGQGVGAAGSVGTCWISEAFEEPYRTAAKVLLKRSPYCLEMNSF >PVH38476 pep chromosome:PHallii_v3.1:5:17336391:17336789:-1 gene:PAHAL_5G267900 transcript:PVH38476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHDTPVLPSTRLHPSRSNRSLPTTTMSTGGNSLPPQSCPDGAKRRVCYYYDRFIAGVDYGEDHVMVPPRVDMAHALIRSCGLLGDMARLRTRPATDAEISGFHDGRYVGLLRDLTPEGFGVGGEVARRAR >PAN29525 pep chromosome:PHallii_v3.1:5:14512600:14519064:-1 gene:PAHAL_5G232600 transcript:PAN29525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSPAGAGAANHNPNKSVEVTPAPEDSVSSLSFSSKANHLVATSWDKQVRCWEVLPGGVCQAKASISHDQPVLCSAWKDDGMTVFSGGCDKQIKMWPLLSGGQPTTLSGHEAPVKELAWVPQMNLLVSGSWDKTLRYWDIRQPQPVHVQQLPERCYALSLCYPLMVVGTADRNVIVFNLQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDSQQSKNFTFKCHRDGNDIFSVNSLNFHPVHGTFATTGSDGGFNFWDKDSKQRLKAFSKCPAPITCSTFNQDGSIFAYAVCYDWSRGAEKHNPSTAKTNIFIHSVQESDVKGKPRAGKK >PAN29526 pep chromosome:PHallii_v3.1:5:14513054:14519064:-1 gene:PAHAL_5G232600 transcript:PAN29526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSPAGAGAANHNPNKSVEVTPAPEDSVSSLSFSSKANHLVATSWDKQVRCWEVLPGGVCQAKASISHDQPVLCSAWKDDGMTVFSGGCDKQIKMWPLLSGGQPTTLSGHEAPVKELAWVPQMNLLVSGSWDKTLRYWDIRQPQPVHVQQLPERCYALSLCYPLMVVGTADRNVIVFNLQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDSQQSKNFTFKCHRDGNDIFSVNSLNFHPVHGTFATTGSDGGFNFWDKDSKQRLKAFSKCPAPITCSTFNQDGSIFAYAVCYDWSRGAEKHNPSTAKTNIFIHSVQESDVKGKPRAGKK >PVH38383 pep chromosome:PHallii_v3.1:5:15803785:15804786:-1 gene:PAHAL_5G249700 transcript:PVH38383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPALPVAPHEFFHRIPAAPRETTKKTPAAAAEGGELLRLSDLERVCYLGEGACGEVTKVRHRRTGAVFALKTAHYAGPSGAAADEEAEALRRSAGSPHVVRCHAVLGAAADAPAYVLELMDAGTLGGVLGRRGGRGVPERALAEVAARCLQGLAHVHSRGVAHLDLRPDNLLANSRGDVKIGDFSVSRVLYGRAGERRRVSVAVGSPMYLSPERFEPDAHAGPRGAIAADIWGFGVTVLELFLGRRPFLAPGVRPSFEKLRQAICDGEPLSALGSAAASPELRGFLDACLQKDPRRRATVAQLLAHPFVARRHVDESRRALRELIAETLEE >PVH39172 pep chromosome:PHallii_v3.1:5:53472978:53474317:1 gene:PAHAL_5G448200 transcript:PVH39172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRSWRPFLSHKLLAVLIFMVILAVMLIGFLTGAAESMGGRGRGEPPPAPVSGQSHHQFSRSRDHGLQSSSPDKS >PAN31984 pep chromosome:PHallii_v3.1:5:53914547:53920117:-1 gene:PAHAL_5G454700 transcript:PAN31984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARRAAAAVAVFAAAATALLVVAAGADASGDSLADLGGAAREIESAPEVKNLGPWAKGLLKGTPAAAAGPAAMAPSAKYPLVLAEDRTRRPDVLRHLRVYEGGWNVTNKHYWASVSFTGVSGFLLAALWFIFSGMAAVGRCYFGSRMAKRKVSRADIVQPVLLVVFALTLIAGCIVLLYGQSKFHEEATRTVDFVVNQSDFTIQSLRNVTEYLSFAQTITVAALYLPSDIQGQIDNLKGDLNKAADTISQKTAENYKRIRKVLHIMSVVLICIAALLPVLAFLGYVLELYGPRYAVYIFVTLCCKMVAALFILLGIFLILNSAAKDTCEAMDQWAQHPQAETALSNILPCVDESTTNRTLYQSKEVVVMLVRIVNRAISALSNRRPHHKHPGQLMPYLCSPYDSNFNDRPCKSREVTFENATTAWQNYTCLTQDTDLCSGNKTLTPEIYGQLVLAANVSYALYYYAPVLLSFQDCKFVRATFSAIASQYCPPVERDLGLVSAGLVLIASGLILYLVWMLFADRPQREEVSDLASVSRITPVDSSPLP >PAN29242 pep chromosome:PHallii_v3.1:5:12880632:12883548:1 gene:PAHAL_5G211400 transcript:PAN29242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHARPAAASVSGAFGLPPDARCSFDHPRRREDERAGRAFVGVYAQPLQPQQDGGFYPKDAVMAAVEECMRKQADALLHSLDGIGGRLSQLELYCYKLERSIGELRSDVMDYHSESTANFRCIDKNLRQVHKSVQILQDRQDLAETPKELSKLQIAHEVPSQKSEATGFSMLAPRENDHDTQVRKHEVALLPIHQVNGMQSPAVQVQSSNGFVLQHLVPVSLSMQHDQQQLNQAPVYYVQSQDHTKSTEEPLVQVVQPLVQNPEARVAVELPQKSSHSTEFYPQPQNHGPQMPTQQVDSHTWHSQQPMVQQQQYIIQQVPRQIVQQQSSSPQSQSAPQVTPLYPSYCSQKPVNANSDTISRSVAVQPPYSSPQQKHHEVAHSFYGQGNTILLPVADHNIQQQQPQSLQAHSQGPCPQPSQPSHCSVASYAVQGNGQTYSSSYKNPSNCPATVVAVLPQPPATAPMAFHHLGPQIVHNHPFGNMVETASVVGYPRDQVEILPVVTAAQPAMVDKLNAGSNVTSPRDWSA >PVH38073 pep chromosome:PHallii_v3.1:5:10297902:10299309:1 gene:PAHAL_5G169900 transcript:PVH38073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASGGGRCSSRCSSGGRWARLNFPDEIPALVLAEGGTDDGMLSAASIRKKAIEMVSRVDALQTGLMVTPPHHRGAPEAPPPPLEAGDLRGWLVRNDPRTTPH >PVH38450 pep chromosome:PHallii_v3.1:5:16878596:16883229:-1 gene:PAHAL_5G261700 transcript:PVH38450 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MESSRSRKRTRQAYDDPAAPPPEREVVLRGGASPPWREDDRDGHYVFDLGENLTRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRNARFHLPRSILGECHV >PAN29957 pep chromosome:PHallii_v3.1:5:16878556:16883390:-1 gene:PAHAL_5G261700 transcript:PAN29957 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MESSRSRKRTRQAYDDPAAPPPEREVVLRGGASPPWREDDRDGHYVFDLGENLTRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRNASSSAQKYFRRVSRLNWPEGAVSRESIRAVRKLDRLKDLVSRSADHSRAALVDLLYGLLRFEPSERLSAQEALDHPFFRNPT >PVH38451 pep chromosome:PHallii_v3.1:5:16878241:16883393:-1 gene:PAHAL_5G261700 transcript:PVH38451 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MESSRSRKRTRQAYDDPAAPPPEREVVLRGGASPPWREDDRDGHYVFDLGENLTRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRNASSSAQKYFRRVSRLNWPEGAVSRESIRAVRKLDRLKDLVSRSADHSRAALVDLLYGLLRFEPSERLSAQEALDHPFFRNPT >PAN29956 pep chromosome:PHallii_v3.1:5:16878596:16883387:-1 gene:PAHAL_5G261700 transcript:PAN29956 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MKSAASWIFVGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRNASSSAQKYFRRVSRLNWPEGAVSRESIRAVRKLDRLKDLVSRSADHSRAALVDLLYGLLRFEPSERLSAQEALDHPFFRNPT >PAN29959 pep chromosome:PHallii_v3.1:5:16879967:16883229:-1 gene:PAHAL_5G261700 transcript:PAN29959 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MESSRSRKRTRQAYDDPAAPPPEREVVLRGGASPPWREDDRDGHYVFDLGENLTRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRNARFHLPRSILGECHV >PAN29958 pep chromosome:PHallii_v3.1:5:16879967:16882453:-1 gene:PAHAL_5G261700 transcript:PAN29958 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MKSAASWIFVGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRNARFHLPRSILGECHV >PAN31972 pep chromosome:PHallii_v3.1:5:53866242:53868630:1 gene:PAHAL_5G453800 transcript:PAN31972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGSIYRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PVH38342 pep chromosome:PHallii_v3.1:5:14894876:14895613:-1 gene:PAHAL_5G237600 transcript:PVH38342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDTMIFVERSTRYPDMDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIHGIEKITQELEEQRTRAANAEYSVAALQVQIQEYENRNGIGGWIEEEGEEEPMETHWDKGTQTENEMDRFLPIKKRSIRAEEESP >PAN31856 pep chromosome:PHallii_v3.1:5:53477034:53481654:1 gene:PAHAL_5G448400 transcript:PAN31856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNALAAALVLSVLNYGITMPTASSDENFFQNCPTSNCREGGPEIRFPFRLETSPPSCGAPGMKLRCSKQADTILVHPNLGLCKVIAIEYRYGLIDVIPLAASKCPLQKIISTNLSTEVYRPYGASATLVSCSTEFRPRNQDGVAGPISCLSNTSQFPYLVSSLRNMDVLPLDCMVVSNDIWIHYPLINELFNETAKVTIAFGKTMFRWSVPNITNVCQDCEFGGRPCGFRSETRQAFCKKHRSHVKVIAATSSVAMILVASVIVAAALYLALKSKYNEEIHLKVEMFLNTYSTSKPTRYTFSEVKKITRRFNNKLGQGGFGSVYKGELSNGVPVAVKVLENSKGEGQEFINEIATIGTIHHANVVRLLGFCSEGSRRALIYEFMPNASLEKYIFSQASDTCCQEKLIPNRMLEIATGIAKGIEYLHQGCKQRILHFDIKPSNILLDYSFKPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSQNFGTVSYKSDVYSFGMVILEMMSGQSSSDPQTENRNDVYIPEWVYEKIITGQELELIREITQGEKDIMRKLAIVALWCIQWNPVNRPSMTKVVNMLTDNLQSLKMPPKPFVSSFG >PAN32622 pep chromosome:PHallii_v3.1:5:56709046:56711561:-1 gene:PAHAL_5G500400 transcript:PAN32622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAENGIASGSVRERDLPPSKRFKYVGAQLGSAPCVLLPAKKRMFPPPEDAAVSVCLPVKKRAAVARPPEAEAAAVPFCLPAKKRAIVATPLEDAALVCLPAKKRAYAPPADTVLPVCLPAKKCASAQPVPDVVASTYERVHAPAPRDAAAGSAPVRLPVNKRVCAAPPADTVASLGVVAKKRIHAPGPRQDSARSVPVCLPANKRVMPPPSVESDGPRFGTAKEARPQGSNKHGGGAIIPRVANGTEGCARGKDFKKPEKPINPKGTKEQVSMKPGKPRSPSKSKDLEKKSSKIINGKQSGVFAEVREKSGKAADAKGVALKEESRNGPAEAADAEGRVRGKEFKKPEMPINPKGTKEQVSMKPSKPRSPNESKDLETKACKIVNGKQTEAGVEVRKKSDKVADAKGAALKEELRNWDDEVVQEAVEEDDGVLCAVCASTDGDSSDPIVFCDGCDLMVHASCYGNPLAQAIPDGDWFCSLCTVKKSMPAARPSCCLCPARGGAMKRTTEGQWAHISCALLVPEVFFRDPDGRDGVDCSLVPAHRFAKDCYICESNNGCALECSQPKCALGFHVSCGLDASLCIEYREGKGGAIVAGFCREHTELWEKQQLTGKYKIVARGEE >PVH37874 pep chromosome:PHallii_v3.1:5:6610773:6611332:-1 gene:PAHAL_5G110000 transcript:PVH37874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIASILHVYTATVVYSSYHTIQSKEESTPAHSRNNRQRPRISCLSSV >PAN28633 pep chromosome:PHallii_v3.1:5:10171954:10191137:-1 gene:PAHAL_5G167800 transcript:PAN28633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MLVAPLSSLSLARSPGRLRFLLPASAARVARLAMSTAPQAAAAAVSVEYAKSGRSTCKGCSGAIASGALRLGASTPDPRGFDATKWYHVACFPSDASHPLGPVESINGFDSIKEADREELHELVKNRKRDQTAEPSPKKAKTQMSSPAEGVSDRASVSVEYAKSGRSACKGCSENIAKGALRLGASFHDPRGFENTKWYHIACFPTSSYPVFPVDNLKGFDSIEDHDREKLQELVEAQSVGDSNEVTEKNPEEVKNRDDKVDKTVDPLDEPSPKKVKAHMSSSMKWVSEKASVLVEYAKSGRSTCKGCSKNIAKGALRLGASAHDPRGYDSTKWYHVACFPASSYPLFPVENLKGFDSIKNNDREKLLELGENYKRDGNAADQSSEPSLKEEMVDSTRISKEGAENNLEEVKFSAGNNRIRPVISFSVSDTNKDYKGATLPTHWKAFETVIFREQEDGLHASAKIAAFDFDGCLAKTSVRIIGADKWSLQHQSIPKKLQSLYNDGYKLVIFTNESNIDRWKNKRQEAVDSKVGRLDNFIECVKVPIQVFIACGLGQGKGTPDDPYRKPNPGMWWLMAQHFNSGIEIDMDKSFYVGDAAGRENDHSDADIKFAEAIGLKFHVPEEYFGP >PAN29986 pep chromosome:PHallii_v3.1:5:17025836:17027234:1 gene:PAHAL_5G263900 transcript:PAN29986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSSSIQSSVDLPQLLCFVCVEQSTVAMEETCGRYDTVLEPGCHFMPWCVGRRVAGYLSLRVQQLDVRCETKSKDNVFVTVVASVQYRALADRAYDAFYRLSNTREQIQSYVFDVIRASVPNMNLDQVFEQKNEVARAVEEELAKAMAMYGYEIVQTLIVDIEPDEVVKRAMNDINAAARLRVAAAERAEAEKIQQVKRAEGEAESKYLAGVGVARQRQAIVEGLRRFVPDEKSVMDMVLATQYFDTIRDIGATSRAATVFIPHGPGAVHDLATQVRDGVLQAAAHAPAAGAR >PAN27860 pep chromosome:PHallii_v3.1:5:6827554:6834670:-1 gene:PAHAL_5G113300 transcript:PAN27860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGFSWTLPDHPKLPKGKPVAVVVLDGWGEANADQYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYEGDGFNYIKESFENGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFKSALEAVKTLRAEPNANDQYLPPFVIVDDSGKAVGPVLDGDAVVTINFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPEIERTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVAPKMKALEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKAGKPLLDKSGGIQILTSHTLQPVPVAIGGPGLHPGVKFRNDIQTPGLANVAATVMNLHGFEAPADYETTLIEVADN >PAN30751 pep chromosome:PHallii_v3.1:5:39207285:39211294:-1 gene:PAHAL_5G352400 transcript:PAN30751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC15B [Source:Projected from Arabidopsis thaliana (AT4G02350) UniProtKB/Swiss-Prot;Acc:F4JHH5] MRRKLPGDAPPSAAGGHASSASAPSEADLAQLSAAIAAGEDLGPFVRRAFACGRPEPLLASLRAAVRDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHSALLSSAAPLLASLESFLAARSLAGNLSSALSSSRRCVRLLALAARANAHLQAGNHGLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSVVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADAADGAAAASFDLTPLYRAMHIHQTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDAAVGKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLAKHRDKYHDLLLADCRRQVAEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFTEDSVSFMAHGGGGDTYASVKKYLGRILSEVVDASIQKLVDSGSGLSVSQAMQVAANMSVMERACEFFTRHAAQLCGVPLRAVERGRRDFPLRRSRDAAEALLLRLLRAKADEFMRQSDGVNWMADDPPPGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIVDLFLNDSVKRFNANAVTGIDTDLKMFEAFAEGMSSLFEDSDQESVKNEMKAALVEARQLVNLLMSNSPETFLNPVIRGKNYNKLDYRKVAIISEKFRDTSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >PAN29851 pep chromosome:PHallii_v3.1:5:16107830:16114047:1 gene:PAHAL_5G254000 transcript:PAN29851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MPPSKGKRRPQQQPAASPQPSPRTPSSRSARDPSVRSCGGGDGEGGVDLPSIAAAAAARFPALVPRGGDGCFAGAVAEVAPRSGSGGAGFGRLWLSEAAMVGAGMRPGCLVSVSLISSSSDQLDGFPLDDLFEECNKFFDLDVDNDLLYGEAGRNFVVAAVFPSREVQKNSIKLSWDLACVLGYPSVGRSLFVSPLYTHQTPKHSDDVGTLRVMKCKNIYLSLVPPGVRPSSGIERVSDSCPERTGMVMETPKKMPSTPLHRKESHYFASNSGSSMCLDPTTVRSALADEKVNELLQTSATRWLNGRYLLKGNFVPLSMCGKLSLFVVMGAEFDSSARDLCEKGNTLPNAEDSSNLGETLVSILVGRTTKVHLSDSVCTEKLGSDKPYLPSEFYDYYNKRNEDSNHAPMLGGLSKESATIKGIISFSLADQIGLPRYKGILLYGPPGTGKTSLASSCAYDAGANLFTINGPEIISHYYGESEQSLYDVFSSAKKAAPAVIFVDELDAIAPSREEGSEELSIRMVATLLKLMDEIGPCDRVLLIAATNRPDCIDPALRRYGRLDKEIEIGVPSPGQRLDILQHLLIGVQHSLDDEELKSIAQETHGFVGADLAALCNEAAFCALRRYISLKENSSNTLPASFSAMSLDDAPCTNSNAKSSESYDETDEKALSVNSEDFKKAKTKVRPSAMREVMLELPKVRWEDVGGQGSVKQQLIEAINLPQKCPEAFANLGVKPPKGVLMMGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARTNAPAIIFFDEIDGLAVTRGHENDGTSVADRVLSQLLVEMDGLDQRIGVTVIGATNRPDKIDPALTRPGRFDRLLDVQPPNEGDRADIFQIHTRCIPRGPDVDLKELARLTEGYTGADIKLVCREAAVAALDESFHIEEVSMRHFEFAISKVKPSDVKFYQKLAEEFRRFVDGPTQGTL >PAN31257 pep chromosome:PHallii_v3.1:5:50523826:50525453:1 gene:PAHAL_5G407600 transcript:PAN31257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLYDAGKARAIGVSNFSSKKLGDLLAVAPVPPAVVQVECHPGWQQTKLHNFCQSTGVHVTAYSPLGSPGTSWMNGNVLKEPVIISIAEKLGKTPAEVALRWNIQMGHSVLPKSTNEERIKQTLDVYDCSIPDDFLAKFSEIKQARLLKGNFIVNPQSVYKTHEELWDGEL >PAN27972 pep chromosome:PHallii_v3.1:5:7344462:7345284:-1 gene:PAHAL_5G121800 transcript:PAN27972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLADAYMSCAGWKLRLPMAACMDSQLEFEKLVKVKEQLVSGTLYYFTIEAKDGEAKKLYEAKVYECPWRNLMELRDFNPADC >PAN27974 pep chromosome:PHallii_v3.1:5:7344462:7346049:-1 gene:PAHAL_5G121800 transcript:PAN27974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRPRPLRRRCAQQECRWKLRLPMAACMDSQLEFEKLVKVKEQLVSGTLYYFTIEAKDGEAKKLYEAKVYECPWRNLMELRDFNPADC >PAN27975 pep chromosome:PHallii_v3.1:5:7343984:7346298:-1 gene:PAHAL_5G121800 transcript:PAN27975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAALALAGEIDLRCGGVRDAPGCENDPCAIDLARFAVDAHNKNADGSCVCRWPHACQLEFEKLVKVKEQLVSGTLYYFTIEAKDGEAKKLYEAKVYECPWRNLMELRDFNPADC >PVH38722 pep chromosome:PHallii_v3.1:5:33862037:33862619:1 gene:PAHAL_5G333700 transcript:PVH38722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPQTLLNVADNSGARKLMCIRVIGAAGNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIICYDDNAAVIIYQKGNPKGTRVFGAIAEELRELNFMKIVSLAPKVL >PAN26644 pep chromosome:PHallii_v3.1:5:1822636:1824092:1 gene:PAHAL_5G028200 transcript:PAN26644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLKEKKHTQTINLLARTPSSPLYKHQTLARQSIDRKPHTSTYSKSAMDGGGGGKKHFVLVHGLCHGAWCWYKVATALRAAGHRVTALDLAASGAHPARLDEVRSFEDYSRPLLDAVAAAAPGGERLILVGHSHGGLSLALAMERFPRKVAAAVFAAAAMPCVGKHMGVTTEEFMRRTASKGLLMDCQTVAINSRNSNNGVAIVMGPRFMEEKYYQESPAEDLTLAKLLVRPGNQFLEDPVMKDEELLTAGNYGSVRKVYVVAKADGSSTEEMQRWMVAMSPGTEVEEIAGADHAVMSSRPRELCDVLLRIANKYD >PVH38096 pep chromosome:PHallii_v3.1:5:10666815:10670968:-1 gene:PAHAL_5G177100 transcript:PVH38096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MSAAAAAARAARLLLPCLSQTTATRLLHASASQGAAREASGSFIHPAAVVHPDAVVGQGVSIGPFCTVGPSARIGDACQLHAGSHVVGDTELGEGCVVQTGAILGADIPGQTVIGENNIIGHYATVGIKCQDLKYKTGDECFLHIGRNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNLEGLRRNGFSDQEFEESLSESIYANYYY >PVH38098 pep chromosome:PHallii_v3.1:5:10668156:10670882:-1 gene:PAHAL_5G177100 transcript:PVH38098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MSAAAAAARAARLLLPCLSQTTATRLLHASASQGAAREASGSFIHPAAVVHPDAVVGQGVSIGPFCTVGPSARIGDACQLHAGSHVVGDTELGEGCVVQTGAILGADIPGQTVIGENNIIGHYATVGIKCQDLKYKTGDECFLHIGRNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNLEGLRRNGFSDQEVFIYY >PVH38097 pep chromosome:PHallii_v3.1:5:10666815:10670969:-1 gene:PAHAL_5G177100 transcript:PVH38097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MCCSNFSGSGAILGADIPGQTVIGENNIIGHYATVGIKCQDLKYKTGDECFLHIGRNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNLEGLRRNGFSDQEVRSLRKAYQKVFMPTITIESSFEERLAELEREELSKTPAVSCMVESIRMSFDQGRRGICKFRSWNSS >PAN28756 pep chromosome:PHallii_v3.1:5:10666815:10670969:-1 gene:PAHAL_5G177100 transcript:PAN28756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MSAAAAAARAARLLLPCLSQTTATRLLHASASQGAAREASGSFIHPAAVVHPDAVVGQGVSIGPFCTVGPSARIGDACQLHAGSHVVGDTELGEGCVVQTGAILGADIPGQTVIGENNIIGHYATVGIKCQDLKYKTGDECFLHIGRNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNLEGLRRNGFSDQEVRSLRKAYQKVFMPTITIESSFEERLAELEREELSKTPAVSCMVESIRMSFDQGRRGICKFRSWNSS >PVH38742 pep chromosome:PHallii_v3.1:5:36965773:36966964:-1 gene:PAHAL_5G340900 transcript:PVH38742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSESSSSARGRGKNKRIWTYYEDEDEGGFKNGYCSVLKNALTTKLPGSGLTAIPHIESRVRHFRTKYGAIEVMLAKSGFNWDDNRKMVQCEKQQYDAHCKDNPDAKGLYGIAFPHFDTLAAIYGKDIATGEGAKGLGESVSNMEKEIVLGEECQLVEEDRMSMDTPRRSLDRRKIKDTSRENEVNMSSDPFFDLARGIRGDLNKALHHFGRMAEAMEKEAKAQEEATQKDQMQVLQENSIAELTRLGVFVRVPNQMTMLFALSETLMREFIENMIAGKFTLFLPALP >PAN28324 pep chromosome:PHallii_v3.1:5:8679179:8682205:-1 gene:PAHAL_5G145000 transcript:PAN28324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tunicamycin induced protein [Source:Projected from Arabidopsis thaliana (AT5G64510) UniProtKB/TrEMBL;Acc:Q84JN2] MAARARWRILALPLALLLVIGSARGLAKPEAAAAPKPPVPKAISDLRDAIVKGLGFQAEGLKVSGFDVRDALVGHAVSYEFDIEVGRKVLPVRLLEDVNRWDFVDLPIFRSQADADDTALAEIWRAEKGSVVEPTLPPFQLAGPMELWIQDGDDVRLALPHDVDAGTLKKVVLSDGAVVTVKGARAVSLRLPLELPLPLNRTTYKGRLSSLLSIAQALRGAARSNQKPLLSLRIEGPTSLSSIPSMSPKDKLKLKRLAPGQVQLSSRTGAVPAATEDGDESPNTELWPLLSLNGSDGSLQGFEELLASVLGKKAGEKGTFKLLKARASAQTYVKMAFAVEKKLAEGEVNWSNFPEWKTKPKKLRAHYEVLARVEGGQAIPERIAQVQPFQAGEATAESVLTGNVTRSKMEIIHPPPVYFTL >PVH38249 pep chromosome:PHallii_v3.1:5:12851664:12853669:1 gene:PAHAL_5G210700 transcript:PVH38249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYKTWRCQGRIECYCLCTGRLYGYMFELIRRVKTPEPLCQASFILVTLSMLSNSIRRKFLSFCKVGLLQSPQEISGCQVSRRDGGA >PAN32723 pep chromosome:PHallii_v3.1:5:57168563:57177097:1 gene:PAHAL_5G507300 transcript:PAN32723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKGSRTINKTIIKGYEDQQQYDDPPSSSKAKQKKRKISDLDPKWSKDELTHFYEAYRQHGKDWKKISLAVGGKSSDMVRSLYSVHRTFLSLPERQATAMGFIALVTGHHNASEKSTSHIGDYQMVRASGKARRRGEATQQKATERPDLHDHHEGTISGFSSSFKKRYYGDFVRNSRNHAVRRRTPRIPVIAPAVRNTIDEASPGIENIINTTKRKYEAANKDCAIVPTNKCSPDRSSGINETNKAGQDHTFLDTKATGDTAICQKQLKKTRIQQPTEDGQTGKVEHETVMASDEGNKLVDSLNQHHIHSNIISEDDMLVLDVLNSLVNAPSKMSKLEIGAPSGSHGKTDSVLSDRRDKGHPTTDLSKQGKAIGKSGASKTGKKRHKKLLGAEVLAEAQNISVNNLVLPEVPRVGITADSSLCTDSAKVGIPEASEDISTKGPSVTTEIKSEIRMSRRTRRKHQMQCKTKHVSCNEDSDNLQAKKLLHCLSSESLRRWCTYEWFYSAVDYPWFSDNEFVHYLDHAKLSHLSRLTRSEWSAIRSSLGKPRRFSDHFLAVEKEKLEDYREKVRKIYAQLRDGSRDSLPADLARPFSIGQEVIVRHPSSRELCDGKVVMMGPDCYKVHFVNPDLGVDIVKDTDCMPVNWLYNRPDNMRRSCLSNNVYSILETEHIPDLAPSENWDRAVHGVTVTSDKQLKVESVVNGERPSYWSTSDGHPTKSRGRPDNNAGHNYELESYIAAFVQKSLSRARQMVDEAMQANSEGSDVRVWMPNQETDCVGPQSEAAVRGAQLPSSLISNCIATVLSIKRLSDSRHPPANIAGVLERASSMLRPSCPENLAIYKDIETYLSVIANQILALVPTALGNCGPPMSPMSPR >PAN32721 pep chromosome:PHallii_v3.1:5:57168563:57177097:1 gene:PAHAL_5G507300 transcript:PAN32721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKGSRTINKTIIKGYEDQQQYDDPPSSSKAKQKKRKISDLDPKWSKDELTHFYEAYRQHGKDWKKISLAVGGKSSDMVRSLYSVHRTFLSLPERQATAMGFIALVTGHHNASEKSTSHIGDYQMVRASGKARRRGEATQQKATERPDLHDHHEGTISGFSSSFKKRYYGDFVRNSRNHAVRRRTPRIPVIAPAVRNTIDEASPDRSSGINETNKAGQDHTFLDTKATGDTAICQKQLKKTRIQQPTEDGQTGKVEHETVMASDEGNKLVDSLNQHHIHSNIISEDDMLVLDVLNSLVNAPSKMSKLEIGAPSGSHGKTDSVLSDRRDKGHPTTDLSKQGKAIGKSGASKTGKKRHKKLLGAEVLAEAQNISVNNLVLPEVPRVGITADSSLCTDSAKVGIPEASEDISTKGPSVTTEIKSEIRMSRRTRRKHQMQCKTKHVSCNEDSDNLQAKKLLHCLSSESLRRWCTYEWFYSAVDYPWFSDNEFVHYLDHAKLSHLSRLTRSEWSAIRSSLGKPRRFSDHFLAVEKEKLEDYREKVRKIYAQLRDGSRDSLPADLARPFSIGQEVIVRHPSSRELCDGKVVMMGPDCYKVHFVNPDLGVDIVKDTDCMPVNWLYNRPDNMRRSCLSNNVYSILETEHIPDLAPSENWDRAVHGVTVTSDKQLKVESVVNGERPSYWSTSDGHPTKSRGRPDNNAGHNYELESYIAAFVQKSLSRARQMVDEAMQANSEGSDVRVWMPNQETDCVGPQSEAAVRGAQLPSSLISNCIATVLSIKRLSDSRHPPANIAGVLERASSMLRPSCPENLAIYKDIETYLSVIANQILALVPTALGNCGPPMSPMSPR >PAN32722 pep chromosome:PHallii_v3.1:5:57169560:57177098:1 gene:PAHAL_5G507300 transcript:PAN32722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKGSRTINKTIIKGYEDQQQYDDPPSSSKAKQKKRKISDLDPKWSKDELTHFYEAYRQHGKDWKKISLAVGGKSSDMVRSLYSVHRTFLSLPERQATAMGFIALVTGHHNASEKSTSHIGDYQMVRASGKARRRGEATQQKATERPDLHDHHEGTISGFSSSFKKRYYGDFVRNSRNHAVRRRTPRIPVIAPAVRNTIDEASPGIENIINTTKRKYEAANKDCAIVPTNKCSPDRSSGINETNKAGQDHTFLDTKATGDTAICQKQLKKTRIQQPTEDGQTGKVEHETVMASDEGNKLVDSLNQHHIHSNIISEDDMLVLDVLNSLVNAPSKMSKLEIGAPSGSHGKTDSVLSDRRDKGHPTTDLSKQGKAIGKSGASKTGKKRHKKLLGAEVLAEAQNISVNNLVLPEVPRVGITADSSLCTDSAKVGIPEASEDISTKGPSVTTEIKSEIRMSRRTRRKHQMQCKTKHVSCNEDSDNLQAKKLLHCLSSESLRRWCTYEWFYSAVDYPWFSDNEFVHYLDHAKLSHLSRLTRSEWSAIRSSLGKPRRFSDHFLAVEKEKLEDYREKVRKIYAQLRDGSRDSLPADLARPFSIGQEVIVRHPSSRELCDGKVVMMGPDCYKVHFVNPDLGVDIVKDTDCMPVNWLYNRPDNMRRSCLSNNVYSILETEHIPDLAPSENWDRAVHGVTVTSDKQLKVESVVNGERPSYWSTSDGHPTKSRGRPDNNAGHNYELESYIAAFVQKSLSRARQMVDEAMQANSEGSDVRVWMPNQETDCVGPQSEAAVRGAQLPSSLISNCIATVLSIKRLSDSRHPPANIAGVLERASSMLRPSCPENLAIYKDIETYLSVIANQILALVPTALGNCGPPMSPMSPR >PAN29182 pep chromosome:PHallii_v3.1:5:12601189:12601870:1 gene:PAHAL_5G206800 transcript:PAN29182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVLKVAITCKKCKTCVLGISSKIKGIKSLTYDDEKSTLTVVGEVDVVEIVAALRKAKHPAEVVSVTDEKKEAEEKKKKEEEEKKKKEAEAAKKKCCCPMPCPMCPKPCPTPPCPPPPCPPPYMKQCQPCYIPIEDEYPGPCTIV >PVH37840 pep chromosome:PHallii_v3.1:5:6223342:6227242:1 gene:PAHAL_5G102100 transcript:PVH37840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFSAVKKVFGSSAPDGKEAKAEKADKSKSRRRWPFRKSRHSDPSTSTVSGTAPVAPLPPPPPIQLTQPHSQEIKDVKPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTTAAPKMPVTSREELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKLEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQIEASLVMKQEAALRRERALAYAFSHQWRNSGRTITPTFTEPGNPNWGWSWMERWMTARPWESRLAASDKDPKERSLTKNSSTSSVRMSVPRAISIQRPATPNKSSRPPSRQSPSTPPSKAPSTSGKTRPASPRSSWLYREDDLRSITSIRSERPRRQSIGGGSVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLTEKLEVSERAPLAHSVVKKRLSFPIIDKPSGLPVDKPKERVRRHSDPPKVDPATLKDAPVA >PAN27729 pep chromosome:PHallii_v3.1:5:6223247:6227243:1 gene:PAHAL_5G102100 transcript:PAN27729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFSAVKKVFGSSAPDGKEAKAEKADKSKSRRRWPFRKSRHSDPSTSTVSGTAPVAPLPPPPPIQLTQPHSQEIKDVKPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTTAAPKMPVTSREELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKLEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQIEASLVMKQEAALRRERALAYAFSHQWRNSGRTITPTFTEPGNPNWGWSWMERWMTARPWESRLAASDKDPKERSLTKNSSTSSVRMSVPRAISIQRPATPNKSSRPPSRQSPSTPPSKAPSTSGKTRPASPRSSWLYREDDLRSITSIRSERPRRQSIGGGSVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLTEKLEVSERAPLAHSVVKKRLSFPIIDKPSGLPVDKPKERVRRHSDPPKVDPATLKDAPVA >PAN30070 pep chromosome:PHallii_v3.1:5:17785968:17803363:1 gene:PAHAL_5G270700 transcript:PAN30070 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MGSSSRIFEYFVVCGLGPEIRALDGAKGFHGAEEMYMPAFLDQFPPSNHALYPPPPPQLPTCVLPAGVRIYSSGLDTDDISTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIIEAYQIPANSFADKCICLVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDTISHMVSHVTLPTPGKNRVLFSIENCLLSAEAPPKEWLPHADISFQPLVQCLDVDKLILLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPIRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSAVTMDGVVVVDLEYNRITTSEEIPPIPETEHSFLRGEILKLLQPNVMGIDFMKINLGSMGDYSLRSGTKSWGQEHDFQLRLIFLRFFAQILSGYRNFIDTASTTGFNSQAFLKKRSRATNQPVESMSMIMQFIETQGFLDYLERCNSAEENANNLLDKLQDATGRGQNPLSIFPSEVADPEIITIADPKTDGSEPGNRHCYKRFPSNARTEEQEEKRKSILALASGASKQVPSSPSIRVHGGPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKMAITLANSRNDLATIRDALEVAAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDFYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGKSQPLQSYGMASPHAIDVSDESQQPAEASGLGRSWVHSMFSRDRSLRASSFNRANDTNAVASTGKTDISAAQKKTQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLKGHTRAIRTISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHEAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVMAHVWDIRSSKQMFKLQGHTKWIRSMRMNGETIITGSDDWTARVWSLNRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGLIRFWENEGGIKCVKNLTLHTASVLSISAGDHWLGIGAADNSMSLFHRPQERFGGLSNAGSKAAGWQLYRTPQKTAAVVRCIASDLDRKRICSGGRNGLLRLWDATTSI >PAN28470 pep chromosome:PHallii_v3.1:5:9395264:9402183:1 gene:PAHAL_5G155400 transcript:PAN28470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRNRRAAAAAAAAAAAAAGGGGGYPEPAAYNIIPIHDVVMHGEHPSLRFPEVRAAVEALAHAEDLPAPPLARAWDAFRADLFDWLGATFGFQLHNVRNQREHLVLLLANAQLRAGGTLPLDHPADVLHHSIARGIRKKLLKNYTSWCSYLGKRPHVYVPGAGRRVQGVGPDTRRDLLYTAMYLLIWGEAANLRFMPECLCYIFHYMALDLNHVIDQSIDVETGRPSIPAVHGEDAFLEKVVTPIYNVLKAEVEFSRNGTKPHSAWRNYDDVNEYFWSRRVFKRLQWPLSPARSFFIQPGNAGRIGKTGFVEQRSFWNVYRSFDRVWVMLILFFQAAMIVAWDGRTPWDSLRFRDIQVRVLSVFITWAALRIVQAVLDAGTQYSLVRRETALLAVRMVLKVFVAVGWTITFIVLYVRMWDQRWRDRRWSFAANSRVLNYLEAAAVFIIPQVLALVLFIVPWIRNFLEKTNWRILYVLTWWFQTRTFVGRGVREGLIDNIKYTTFWVCLLTAKFMFSYFLQIKPMVGPTKTILSLHDIRRNWFEFMPHTERIAVILLWIPVVLIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDAQRGGLRSKLYDAINRLKLRYGFGRPYRKIEANEVEAKRFALIWNEIIQTFREEDIISDKEVELLELPPVVWKIRVVRWPCFLLNNELLLALSQAKELVADDRTHWARICNNEYRRCAVIEAYDSIRHLLLEIIEERTVEHIIVSQLFLAFDAEMDNGKFSEEYKLELLPEIHSSVITLVELLLKEKKDQTKIVNTLQTLYVFAIHDFPKKKKDMEQLRQAKLAPSKPEESSLLFEDVIKCPSNDDVSFYKQVRRLHTILTSRDSMNNVPKNPEARQRITFFSNSLFMNMPRAPTVEKMMAFSVLTPYYNEDVMYNRDQLRRENEDGVSILFYLQKIYEDDWWNFLERMRREGMTNDDEIWTVKYQELRLWASYRGQTLARTVRGMMYYHRALKMLAFLDTASEVDITEGTKHLASFGSIRHENDVYPMNGGLQQRRQRRLDRGTSTVSQLFKGQEDGAALMKYTYVVACQIYGNQKIARDQRAEDILTLMKKNEALRVAYVDEVHHQGYTQYYSVLVKFDQSLHREVEIYRIRLPGPLRLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYNYYHGSRKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGLSKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRMLSVFYTTVGFYFNTMLVVLTVYTFVWGRLYLALSGLEAGIQGSANSTNNKALGTVLNQQFIIQIGLFTALPMIIENSLEQGFLPAIWDFFTMQMNFSSLFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIILTVYAAHSVIARNTLVYIVMNISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGGLFSKPEQSWEVWWYEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANNSRSIAVYLLSWICVAVIFGVFVLMSYARDKYAAKHHLYYRLVQTAVIILVVLVLVIFLKFTKFEIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWNSVISVARLYEILLGVLVMAPVALLSWLPGFQEMQTRVLFNEGFSRGLQISRILTGKKANTA >PVH37558 pep chromosome:PHallii_v3.1:5:1941966:1942901:-1 gene:PAHAL_5G030700 transcript:PVH37558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAPRPNGHDITAETTGLDLVALPGDGQRSELVLHLAVTETHEWFGIGGASGRLRRPRLLTRDKRLPLASSGPDYLRSADDCRRLIVRGLMHVFRDEGFRGLSAADWDAAVPQGVEARVAESSRALPAGRACRCEVSIRVGVEFVYSEPAALLRACTDAGDLMSSGTASGDGAAPPCSICLEEMARDAGATCLPGCAHGFHSRCIGRWFQKASTCPVCRRDKLQYLPPGYMAVHDMMHSDPEGSC >PVH37636 pep chromosome:PHallii_v3.1:5:3108848:3109267:-1 gene:PAHAL_5G049600 transcript:PVH37636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFFRPMLHLSSEVEVSERSELPRTLRNACWFSIYRSP >PVH37885 pep chromosome:PHallii_v3.1:5:6845895:6846208:-1 gene:PAHAL_5G113600 transcript:PVH37885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKVLEKNWFHHPQPWTISICGYISMSRIIQRGSFNVDLCDAVMRLWTYLDRRMYEYYVKYGEIHKP >PAN32774 pep chromosome:PHallii_v3.1:5:57478771:57485367:1 gene:PAHAL_5G511400 transcript:PAN32774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARLLNAPSAASTASSLPSAGARPRRAVWRPRGPAAAPPPPPPLSLRARASMQPAAPLPERQGVPPVHGVSNTVVGVLGGGQLGKMLCQAASQMGIKMVILDPLQGCPASSVCHEHVVGSFSDGDAVREFSKRCGVLTVEIEHVDAATLEKLEKQGVDCEPKASTITIIQDKYRQKKHFSKFGIPLPDFMEVDTLHSIEEAGERFGYPLMVKSKRLAYDGRGNAVAQKKEDLSSVVASLGGFEHGLYVERWTPFVKELSVIVARSRDGSTVCYPVVETIHKDNICHIVEAPAEVSAKIKKSATDVAEKAIKSLEGAGVFAVELFLTEDDEILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGMPLGDPSMKAPAAIMYNILGEDEGEAGFFLAHQLIERALSIPGASVHWYAKPEMRKQRKMGHITIVGPSKASVKSRLDKLLQRDTYDPKKASPRVSIIMGSDSDLNVMKDAEVILKKFNIPVETTIVSAHRTPERMYDFAKSAKDRGVEVIIAGAGGAAHLPGMVASLTSLPVIGVPVKTQSLSGLDSLLSIVQMPKGIPVATIAIGNAENAGLLAVKMLASRDPELTDKVLKYQDDLRDMVLEKAERLENIGWEEYLK >PVH38183 pep chromosome:PHallii_v3.1:5:11764055:11770529:-1 gene:PAHAL_5G194700 transcript:PVH38183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRRERVKRGRIRFQRSTKKPDGSIILLTSHARAVVATTHENTRRKTLSMAGRARDDTAGEGGETTTQGRRSGGGSNANASAGAKVRFLGMLRYADRADAALMAVGAAAAVANGMSEPLMTVVFAAVIECFGAGDDATVLHRVSKVVMYYIYLGIGTAVASFLQVSCWTVAGERQSTRLRSLYLEAVLRQDIAFFDVEMTTAEAASRMSADTVLIQDALGEKVGKYIQLVTTFVGGFIIGFVRGWMLALVVLACIPPSILSFATVSRLRAQISGKRQESYGDAGNIVEQTIGAIRTVVSFNGEKKAIAMYNNHIKRAYKATLMEGIITGLGVGCVFFVVFCSYSLAFWYGARLIIGKGYTGGQVINIVFAILTGSIAIGNASPSISAIAEGQSAAQRLFEVINRKPNIDITETSGIVLEDIKGDVELKDVFFRYPARPEQLILDGLCLQVPRGTTMAIVGESGSGKSTVISLVERFYDPQTGEVLVDGVNIKSLQLQWLRGKISLVSQEPLLFMTSIKDNITYGKEDATHEEIKRAAELANAANFIEKLPNAYETMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTISSADCIAVVHTGKVVEQGVHDELIKDPDGAYSQLVRLQQAHTKDMHEAPNTEVSGSIYKSRSLSLEQSIARDSPRNKGQHSFTKSIGLSGSDELNRQVFTDRQEDEESGDNKAPKKTPIKRLFKLNKPEAPVLILAVIAAFVHGLMFPSFSIMMSGGIRTFYYPPHQLRKDSRFWALVCLLFAVIALISIQLEYFLFGMAGGKLIQRVRSLTFQSIVHQEVAWFDEPSNSSGALGARLYIDALNIRRLVGDNLAILVQCIVTLLAGFSIAFASDWKLTLIVICVIPVVGSQNYIQVKFLKGFSEDSKVMYEDASQVVTEAIGNIRTVASFCAEKRVVTSYTQKCKASMKQGIRSGTVGGLGFSFSNLMMYLAYALCFYVGAVFVHEGKSTFKDVFRVYFALIFTAFGVSQTSGMATDSTKAQESTISILAIIDRKPKINSTSDEGIMLEKVDGNIDFRHVNFKYPSRPDVQVLSDFTLGIPARKTIALVGESGSGKSTIIALLERFYDPDSGTISLDGAELKKLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKQGEVKEDEIIAAAKAANAHEFISSLPQGYNTTVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAEAERIVQDALDQVMVSRTTIVVAHRLSTIKGADIIAVIKDGKVAEKGKHASLVGIKGGVYASLVELHSKSA >PVH38182 pep chromosome:PHallii_v3.1:5:11763898:11770582:-1 gene:PAHAL_5G194700 transcript:PVH38182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRRERVKRGRIRFQRSTKKPDGSIILLTSHARAVVATTHENTRRKTLSMAGRARDDTAGEGGETTTQGRRSGGGSNANASAGAKVVMYYIYLGIGTAVASFLQVSCWTVAGERQSTRLRSLYLEAVLRQDIAFFDVEMTTAEAASRMSADTVLIQDALGEKVGKYIQLVTTFVGGFIIGFVRGWMLALVVLACIPPSILSFATVSRLRAQISGKRQESYGDAGNIVEQTIGAIRTVVSFNGEKKAIAMYNNHIKRAYKATLMEGIITGLGVGCVFFVVFCSYSLAFWYGARLIIGKGYTGGQVINIVFAILTGSIAIGNASPSISAIAEGQSAAQRLFEVINRKPNIDITETSGIVLEDIKGDVELKDVFFRYPARPEQLILDGLCLQVPRGTTMAIVGESGSGKSTVISLVERFYDPQTGEVLVDGVNIKSLQLQWLRGKISLVSQEPLLFMTSIKDNITYGKEDATHEEIKRAAELANAANFIEKLPNAYETMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTISSADCIAVVHTGKVVEQGVHDELIKDPDGAYSQLVRLQQAHTKDMHEAPNTEVSGSIYKSRSLSLEQSIARDSPRNKGQHSFTKSIGLSGSDELNRQVFTDRQEDEESGDNKAPKKTPIKRLFKLNKPEAPVLILAVIAAFVHGLMFPSFSIMMSGGIRTFYYPPHQLRKDSRFWALVCLLFAVIALISIQLEYFLFGMAGGKLIQRVRSLTFQSIVHQEVAWFDEPSNSSGALGARLYIDALNIRRLVGDNLAILVQCIVTLLAGFSIAFASDWKLTLIVICVIPVVGSQNYIQVKFLKGFSEDSKVMYEDASQVVTEAIGNIRTVASFCAEKRVVTSYTQKCKASMKQGIRSGTVGGLGFSFSNLMMYLAYALCFYVGAVFVHEGKSTFKDVFRVYFALIFTAFGVSQTSGMATDSTKAQESTISILAIIDRKPKINSTSDEGIMLEKVDGNIDFRHVNFKYPSRPDVQVLSDFTLGIPARKTIALVGESGSGKSTIIALLERFYDPDSGTISLDGAELKKLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKQGEVKEDEIIAAAKAANAHEFISSLPQGYNTTVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAEAERIVQDALDQVMVSRTTIVVAHRLSTIKGADIIAVIKDGKVAEKGKHASLVGIKGGVYASLVELHSKSA >PVH38181 pep chromosome:PHallii_v3.1:5:11763844:11773055:-1 gene:PAHAL_5G194700 transcript:PVH38181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTARDDGGEDGKATTEGTATAKVPSLLVFRYADRGDVALMAVGTVAAVANGMSEPLMTLVFAAVIECFGAGDDSTVLHRISKVVMYYIYLGIGTAVASFLQVSCWTVAGERQSTRLRSLYLEAVLRQDIAFFDVEMTTAEAASRMSADTVLIQDALGEKVGKYIQLVTTFVGGFIIGFVRGWMLALVVLACIPPSILSFATVSRLRAQISGKRQESYGDAGNIVEQTIGAIRTVVSFNGEKKAIAMYNNHIKRAYKATLMEGIITGLGVGCVFFVVFCSYSLAFWYGARLIIGKGYTGGQVINIVFAILTGSIAIGNASPSISAIAEGQSAAQRLFEVINRKPNIDITETSGIVLEDIKGDVELKDVFFRYPARPEQLILDGLCLQVPRGTTMAIVGESGSGKSTVISLVERFYDPQTGEVLVDGVNIKSLQLQWLRGKISLVSQEPLLFMTSIKDNITYGKEDATHEEIKRAAELANAANFIEKLPNAYETMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTISSADCIAVVHTGKVVEQGVHDELIKDPDGAYSQLVRLQQAHTKDMHEAPNTEVSGSIYKSRSLSLEQSIARDSPRNKGQHSFTKSIGLSGSDELNRQVFTDRQEDEESGDNKAPKKTPIKRLFKLNKPEAPVLILAVIAAFVHGLMFPSFSIMMSGGIRTFYYPPHQLRKDSRFWALVCLLFAVIALISIQLEYFLFGMAGGKLIQRVRSLTFQSIVHQEVAWFDEPSNSSGALGARLYIDALNIRRLVGDNLAILVQCIVTLLAGFSIAFASDWKLTLIVICVIPVVGSQNYIQVKFLKGFSEDSKVMYEDASQVVTEAIGNIRTVASFCAEKRVVTSYTQKCKASMKQGIRSGTVGGLGFSFSNLMMYLAYALCFYVGAVFVHEGKSTFKDVFRVYFALIFTAFGVSQTSGMATDSTKAQESTISILAIIDRKPKINSTSDEGIMLEKVDGNIDFRHVNFKYPSRPDVQVLSDFTLGIPARKTIALVGESGSGKSTIIALLERFYDPDSGTISLDGAELKKLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKQGEVKEDEIIAAAKAANAHEFISSLPQGYNTTVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAEAERIVQDALDQVMVSRTTIVVAHRLSTIKGADIIAVIKDGKVAEKGKHASLVGIKGGVYASLVELHSKSA >PAN32730 pep chromosome:PHallii_v3.1:5:57200963:57204999:-1 gene:PAHAL_5G508000 transcript:PAN32730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] MEPMDSQVTALALSDSDSFSVDGADAADADLQALRRLSDNLAAAFRSPDDFAFLADARIAVPGAPDIRVHRCVLCARSPFLRDLFARRAAGAGEGKDKDKVELRELLGEEVQVGYEALQLVLEYLYSGRVGALPKAACLCVDEGGCSHVGCRPSVAFMSQVLFAASTFEVAELTSLFQRRLLDVLDRVEVDNLPLILSVANLCSISCVKLLERCLEIVVRSNLDMITLEKALPPDVVKQIVDARLSLGLVSPGDKGFPNIHVRRVHRALDSDDVELVRMLLKEGKTNLDDAYALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAAMRMEPKIIVSLLTKGARPSDLTSDNRKAVQISKRLTKHGDYFGPTEDGKPSPKDRLCIEILEQAERRDPQLGEASVSLAIAGDCLRGKLLYLENRVALARILFPMEAKVAMDIAQVDGTMEFNLGSSGNLPTGIQRTTVDLNDTPFIMKEEHLTRMRALSKTVELGKRFFPRCSKELDQIMDDETELASLGGETSTEKKRRFHDLQDVLQKAFSEDKEENDRSARSSSSSSTTSMGAVRPRR >PAN26597 pep chromosome:PHallii_v3.1:5:1437997:1442217:1 gene:PAHAL_5G022300 transcript:PAN26597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFNLVLEDELRGLLLDLASNKLSRHLPETSPKGYCTARTAELIICGAWSLWSGRNARNHGKQNWDSAAAARRISSMLEDFIYSGTEASSSRAGVRSRWSRPPSGGAKVNTDDAAFSMATGTGCTGAVPCDEMGGVMAAAARSYSNIADVLMAEALVAGDGMLLPVEQEAMKVILETDNATVVTLLHQMMESVAVSLVFGMKLESLACLLLLLFVLMLTGKAMRLPTCARACHRCLPLSCPGLGLFRTG >PAN29759 pep chromosome:PHallii_v3.1:5:15692524:15696189:1 gene:PAHAL_5G248300 transcript:PAN29759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAGDGVVLPEATDKDDVEASPAACCRICLQPDCARGDELISPCRCKGTQQFVYRTCLDHWRAVKEGTAFSRCTTCKAQFHLRVEFMEDDMCRRMKFQLFVARDVFLAIQAVIGTIAGVAYLLDRDGKLRNSFTKNGDHILSKHPVLFYYCLGVLVFFALIGLCGLLLHCFSSDNTDPSCLAGCSYECLECFAASGEASCLVLAIVVVIVFAIPGVIYGCIAATLAFQNIMQRHYHILNKKELTKVYVVEDLRGGYAAPPKMDPKHEQRLKMLQLM >PAN27094 pep chromosome:PHallii_v3.1:5:3705791:3709029:-1 gene:PAHAL_5G058600 transcript:PAN27094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 2 subunit of 20S proteasome (20S proteasome beta subunit) [Source: Projected from Oryza sativa (Os05g0187000)] MTGSMDLPAKGGFSFDLCRRNDFLEKKGLKVPGFRKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVADAIRAGIFNDLGSGSNVDVCVITKGKTEYLRNHELPNPRTYVSSKGYSFTKGQTEVLSTKITQLKQKVEVAEGGDAMEE >PVH37641 pep chromosome:PHallii_v3.1:5:3132967:3134334:-1 gene:PAHAL_5G050200 transcript:PVH37641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQARNGLPPFPRPGTKPYLIPYGSIPAHSRSRGASGAGGGRRGTSPTGTATTSHFSSAVSAAFSPLAGRVDHVFLDYFDNNPHQICREQKQPPPSAPRRLCEGADFVKEQRTYFADVGAFELPEEEVSDSELERELNLIPEYMTFLFFFLYRSSLLESRRSIVRV >PAN26342 pep chromosome:PHallii_v3.1:5:257306:261377:1 gene:PAHAL_5G003600 transcript:PAN26342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPVVARSAIRKPNESMRLIVVTIVGVVFGFFIGISFPTVSITKLHFPSSIVSYIEDRNSGLSAQAILNHAWTSARNARGNGSVPNTNDTLKIYVPTNPRGAESLAPGIVVPESDFHQHRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSRDFAILLFHYDGRVSEWDEFEWSKRAIHVSIRKQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVDHFNGDEYIKLVKKYQLEISQPGLEPDKGLTWQMTKRRGDRQVHMVTEEREGWCSDPHVPPCAGFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQSENGRAPWEGVRERCRKEWGIFQTRMAEAEKAYYEMMGVTPPNVTFVS >PAN27672 pep chromosome:PHallii_v3.1:5:5965390:5966982:-1 gene:PAHAL_5G097100 transcript:PAN27672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQAAATLMQPAKIGGRASASLPSRQPSHVARAFGVDTGAARITCSLQSDIREVASKCVDAAKLAGFALATSALLVSGASAEGTPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVDSFPFKAGKYQMKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVGSDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELVKENIKNAASSTGNITLSVTKSNPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGIWYAQLES >PAN26552 pep chromosome:PHallii_v3.1:5:1388842:1391716:-1 gene:PAHAL_5G021200 transcript:PAN26552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDEGSSSSVTSSPLHNFSNMPLHPAAAPTPPWLLRELRSDERGLCLIHLLLNCAAAAAAGRLDAANAALEHIASLAAPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRAAPTAAELAAARRHFLDLCPFLRLAGAAANQSILEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHFRLTAVHEHKDVLTQTAMVLTKEAERLDVPFQFNPVVSRLDALDVESLRVKTGEALAITSSLQLHCLLASDDDSAANGKDSNSKDRRSPESGLSPSTSRADAFLGALWGLSPKVMVVTEQEASHNAAALTERFVEALNYYAALFDCLEVVAARGSVERARVERWLLGEEIKNIVACEGGERRERHERLDRWAARMEGAGFGRVPLSYYALLQARRAAQALGCDGFKVREEKGSFFLCWQERAIFSVSAWRGRRFD >PAN31975 pep chromosome:PHallii_v3.1:5:53900004:53900900:1 gene:PAHAL_5G454400 transcript:PAN31975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAPSCIPLAPAGRGGGCASSSAAATKVIHADGTVTRLARPVRASELMLDHPGQFVCDSGRLAVGCRVPGVGADEILRPRHAYFLLPMDMLYSVLTDEEMAALSGCHVATAAASAWKRITFTAAAHRGARDRRSAAAGPAKDGCGSDGARVYPMLGLLESGDLGADNNKPESRAGAGASKSGGGGGAGLRRHRSWQPILDTIEEVP >PAN31812 pep chromosome:PHallii_v3.1:5:53268942:53278558:-1 gene:PAHAL_5G444600 transcript:PAN31812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGAREDALMGSQLKRPNLARSDPAAQPQHMPLPGSASAAAPPPQAGAAPPAQPQQPSGAGSANQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEQVYKAFLDILNMYRKDNKSIQDVYQEVAMLFAEHKDLLEEFQHFLPDTSVAPQAVASSRGGLVKREDRSSLVPPANRALHSDKRDRAYLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEREDKDGEHDSRELEIGQRKRKPFPRKMEDTAGAETHQGAPSENHGIHSVSASSYDDKDALKSVYTHEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMEGFNEFLEHCENIDGFLAGVFNKRPTARIIKTEDKEKDRERDREDRDRDREKEREKERERLDKGSTFNSKEGASHKPSMFSGKEKYNLSKPISELDLSNCQRCTPSYRLLPKNYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSVKPESPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDSEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFLEPILGVQPRTHGSEDPDLVKAKSRTAKSGLASVGGESNTGAGIVAKQGNGDESEQGPSSRARLANGVAADTQNGFHDADRTARRGEEQSNAALNGRLHGAVSAADEMPSISAQNMASTDRSAENATAVRIEQQKTNLELTAGVNASRSSHDVVETAGEGRTGNETLASAEGGETGRPGSSLNGTNEGNKGRLNNEGSAPHNNTSKVEREEGELSPNGDFEEDHFVPFEDGASKAKEGSTSKPFQGRPGEVVPSSEAAGENDADADDEGEESAQRSTEDSENASEAGEDASASESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLYSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKINSFTAEKKWRTSKDTNPPNLYAKFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSSGRFFDLVYHENARVLLHDESIYRFECCSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLFSEYLCSMSDKKLSEGVYLGRNKRKHSNNDEPSDSLKAMDGIKVVNGLECKISCKTSKVSYVLDTEDFLFRLRKRRKVLHGGNVPDRSRISKIYAAKVLRFNRFLSKP >PAN30963 pep chromosome:PHallii_v3.1:5:47796403:47800623:-1 gene:PAHAL_5G384100 transcript:PAN30963 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPPPPPQRPAPSRAGRANLFSAPPPPLCNRHYPQHCSLPLPPLSPRRRHPKNHAEQRSQEQQEPADGGPRATTSNDNPAFRAAHLRTAYRKPVPPVAAAGGGEALLAADPADAASGRAVVVGPSGLSFRLPGAPFDFQFSYSEGPRAPPLAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGQEPPQTVSPHGIMMEVRGRRQRDLARVSPGDGRTRDEVLGEPLTPAEVRALVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEKSGGKVIHRVGGVVFLYRGRHYDPRTRPRYPLMLWKPATPVYPKLIREVPEGLTKEEADEMRSKGRDLPPICKLGEAVWGLPTHSRNLNPVLHHILYYILSISKCPKNGIYITLVKDVRDAFEGNDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMYRGKEWKSRYSKPLTLIPKVPKNNPTVSSHVSGSDADEATDVGAQVAVREVLRPKMFKLWKSAVDSSLALLLDDAEANNLTPDSLLTRVEEFSITSQAVEHSFPALVVANHEVNTESISAEYINDESETSIAAGNQEENQLEQSPDLSNDEQFELDMLERLESSVPLGSLPIDTMMEQLNSE >PAN30962 pep chromosome:PHallii_v3.1:5:47796118:47800725:-1 gene:PAHAL_5G384100 transcript:PAN30962 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPPPPPQRPAPSRAGRANLFSAPPPPLCNRHYPQHCSLPLPPLSPRRRHPKNHAEQRSQEQQEPADGGPRATTSNDNPAFRAAHLRTAYRKPVPPVAAAGGGEALLAADPADAASGRAVVVGPSGLSFRLPGAPFDFQFSYSEGPRAPPLAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGQEPPQTVSPHGIMMEVRGRRQRDLARVSPGDGRTRDEVLGEPLTPAEVRALVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEKSGGKVIHRVGGVVFLYRGRHYDPRTRPRYPLMLWKPATPVYPKLIREVPEGLTKEEADEMRSKGRDLPPICKLAKNGIYITLVKDVRDAFEGNDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMYRGKEWKSRYSKPLTLIPKVPKNNPTVSSHVSGSDADEATDVGAQVAVREVLRPKMFKLWKSAVDSSLALLLDDAEANNLTPDSLLTRVEEFSITSQAVEHSFPALVVANHEVNTESISAEYINDESETSIAAGNQEENQLEQSPDLSNDEQFELDMLERLESSVPLGSLPIDTMMEQLNSE >PAN30290 pep chromosome:PHallii_v3.1:5:37537985:37542280:-1 gene:PAHAL_5G343000 transcript:PAN30290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRRAALLAAVILLLLHHAVPAFAGASYYDILQVSKDASEEQIKRAYRKLALKYHPDKNPNNEEADARFAEINNAYEVLMEQEKRKVYDSYGEDALKQFQSGHSGGGGRAMNVEHVFGNFFGGGGMEKEEEKIIKGDDVIVELDASLEDLYMGSSVKVWREKNVIKPAPGKRRCKCRNEIRQREIAPGTFFQISEQVCESCPNVKYVREGDFINVDIEKGMQDGQEILFYEDGEPKIDGEPGDLKFKIRTAWHERFRREGNGLHATATISLLEALVGFKKNFNHLDNHSVEIGTEGITKPKEVRKFEGEGTPLYKSNKKGDLYITFDVVFPEGLTDDQKAKLRNTFT >PAN26867 pep chromosome:PHallii_v3.1:5:2721704:2728755:-1 gene:PAHAL_5G043200 transcript:PAN26867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHGFFTLILLICSIPSSAFAGYSDISTLFNLRDAVTEGKGFLSNWFDSETPPCNWSGIACVGHAVVKIDLSSVPIYAPFPLCVGSFQSLVLLNFSGCGFSGELPDALGNLHHLRYLDLSRNQLTGVLPVSLYGLKRLQEVVLDNNFFSGQLSPAVAQLQYLKKLSVSMNSISGALPPELGSLQNLEFLDLHMNAFNGSIPASLGNLSRLLHLDASQNNLGGSIFPGITAMANLVTVDLSSNALVGPLPREIGQLQNLQLLILGHNGFSGSIPEEIGELKLLEELILPGCKLTGIPWTVGGLRSLKLLDISGNNFNTELPASIGNLGNLSRLLAKGAGLSGNIPRELGSCKKLVYVDLSTNSFSGSIPEELAGLEAIVNFNVGQNNLSGHIPEWIRNWVNLRSISLGQNMFYGPLPVFPLQHLVSFSAETNMLSGSIPVEICKGKSLQSLLLHNNNLTGNIMEAFKGCKNLTELNLQGNHLHGEIPQYLSELPLVSVELSQNNFTGKLPEKLWESSTILEIALSYNQLTGPIPESIGRLSTLQRLQIDNNYLEGPIPRSIGALRNLTTLSLHGNRLSGNIPLELFNCRNLVTLDLSSNNLSGHIPRAISQLTFLNTLNLSSNRLSGAIPAEICVGFGNAAHPDSEFIQHHGLLDLSYNRLTSHIPTAIKNCGMVTVLNLQGNMLNGTIPPELGELANVTAIYLSYNTLVGPMLPWSAPLLQLQGLFLSNNHLGGSIPTDIDQILPKIAKLDLSSNAFTGTLPESLLCIDDLTYLDVSNNSLSGQIPFSCPKEKESSSSLIFFNGSSNHFSGNLDQSISNFTKLSSLDIHNNSLTGSLPFSLSDLSYLNYLDLSSNNFHGAIPCGICNIFGLSFANFSGNHIGMYTLADCAAEGFCAGNGFDHKMLHSSDPRLSRAAIICVSIIIVIVVLVLLMVLLRWKLLRNRPLALVPASKAKATVEPTSSDELLGKKFREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYRAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADAIEALGWPDRLKICLGSARGLSFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGMTMKSSAKGDVYSFGVVMLELLTGRPPTGQEEGEGGGNLVGWVRWMIAHGKENELFDPCLPVSSLWREQMACVLAIARDCTADEPWKRPSMLEVVKGLKMAQTMECGPLVVTVTREV >PAN31644 pep chromosome:PHallii_v3.1:5:52566500:52567619:1 gene:PAHAL_5G434000 transcript:PAN31644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGFLTPVSWSVRGGSGACSPCRCTVGARRRPGPPPGHLRRRPRVNGFPCIEVPTADDFFSDLLALPPPNGGGSTRATGQVPAVGSVVTDANVTVVPGLNTQGVSLSRTDFAPGGVNPPHTHPRASEIIFVLAGTLDVGFITTANVLFAKTISAGQLFVFPRGLVHFQSNPGNVSAAAISAFNSQLPGTQFIPSTLFGASPDVPTDVLAKAFRVGAAVVDGIKASF >PAN29737 pep chromosome:PHallii_v3.1:5:15637268:15640537:-1 gene:PAHAL_5G247100 transcript:PAN29737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vb family protein [Source: Projected from Oryza sativa (Os01g0612200)] MWRRVQTLAPALRRAAAAAASTPAAPASSAARAAPLSSAAAAFRRTSPLLSGDKPARVEDVMPIATGLEREELEAELQGKKRFDMDAPVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEGHH >PAN30982 pep chromosome:PHallii_v3.1:5:47325049:47328944:-1 gene:PAHAL_5G380700 transcript:PAN30982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYLLLTVCPLGGWAGPCTFLLQFAFSPCLLPFSPSPSLSLRRRLRFRRPSRGSRSQQPPGRRGIDSAMASTSSAGDVGGSGAKGVVPDETLTSSANASSSHQESHDVGTKSRVEDIWKKMNSGLPNKMPPPTMTRLSNTTKEKKNKPTNNWMTVLGLSPSNTSTANQSPPNGQQQAQHETSEDAKKLAAAALAAAKNAAAMASGRGKVEITEVRDFAGKDIEIKKLVDANSKEAIEKAKAAGAAPSAVDNILEQIRKKQKLSVLDKTKKDWGEYKEENRGVEEELDAYKKSSNQYLAKQSFLQRADYREFERERDARLSMMAKRKTDMREDDA >PAN28551 pep chromosome:PHallii_v3.1:5:9715484:9718439:1 gene:PAHAL_5G161500 transcript:PAN28551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGGGLALYHVLGVATCVALLYFTFGEVDLRHISLPSLPGSGAASGPSPRAAAVAAPFVERRGSQLFLEGEPFYPNGWNSYWLMDQAVEARSRDRVSRMFRAAAEMGLTVCRSWAFNDGAYNALQVSPGHFDERVFKALDRVLVEAGRHGVRLILSLANNLEAYGGKTQYVRWAWEEGVGLSASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGVEYRDDPTILAWELMNEPRCTTDPSGDTLQRWIEEMAAYVKSIDRKHLLTVGTEGFYGPTSPPSKLAVNPGIWKDNNYGSDFIRNAKIPDIDFASIHLYPDTWLLQQRATVDEKLEFAKRWVASHIEDGDTELGKPVLTTEFGLSHRAQGFDPAHRDVLYRAIYDIVYGSAARGGAGAAAFVWQLAPEGMEEFHDDFSVVPSEHPSLRRLIKEQSCRLAKLRRGAGEEAKRALSACAAGSS >PAN28862 pep chromosome:PHallii_v3.1:5:11055001:11059712:1 gene:PAHAL_5G183300 transcript:PAN28862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFPRFVGVGGGDHRGGDAGDAALARDIVTLHKALSLDPSTSRRRSLPLPTSSPAATEQPRHKPGLKPSFSSSSSRRLLPSAASSSAASTSSSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSEVTVQFRRMSVSAYTHPVAAALGAAAFEEALTLRSPVYFSRGAKAAVKYEPRAFSVAVSTSTLELGKHEVDLTRLLPVSFADLEDGGDSGFGKWSTSFRLSGPARGARLNVTFSCSLVGGGGGGGEQHKAGGVAGLRRGSMARPVSVQPPTPVPARSRDVRVLHEVLPTLRSARALPFAGDGGLDARKEEVAALDSAEDGSPEAKHCTSVEVKKEDLVHPEGHCGAAEFNVVEHGVEVASDDTQRPEHAETSNAADQKEDLRFKIDDEGSFKPALVSDNVTEDQTVGVILEEAVSDVAVQRENVENKQNGIVKAASLPTAALEAENQFGRDTELKDLECIFNALSIAEPEEFESPIVEDKRSRRLSCMGVTDSYRSTSRKGRSRSMDASSDSVANEFLDMLGIEHSPFGQPSDSDSESPRERLWKQFEKEALASGNAILGLDFDDGMEEPICEDAVEDFDLSAMIHEAELELQNGSQPIDTRLRAKSLEDEETEALMRQFGLNEKSFQSSPPESRSGFGSPIHLPPEQPSELPPLAEGLGSFIQTKDGGFLRSMNPTLFKNAKNNCSLVMQASSPIVLPAEMGSGIMDILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWESAPSLESVERYDLLENHSIDALVGGVGNAASGKKKKGRVADMSSSLGGESASEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEEAPSNISAKPIGEFSSLQGKSAENTWSLGLEGTAGLQLLDVKQSGEEVDGLMGLSITLDEWMRLDSGVVDEEEQHSDRTSKILAAHHAKSMELVAEKRNGDKKSKKSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYNTVSDKGNSEQYDEEPKTEEVPGKALVTEQKVEELEDSIPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLIAAGMGKGNKHPLMKSKAITKPSQEAAGRPGDTLWSISSRVHGAGTRWGELAGAKNHSRNPNILLQKDKRFR >PAN28863 pep chromosome:PHallii_v3.1:5:11055709:11060301:1 gene:PAHAL_5G183300 transcript:PAN28863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFPRFVGVGGGDHRGGDAGDAALARDIVTLHKALSLDPSTSRRRSLPLPTSSPAATEQPRHKPGLKPSFSSSSSRRLLPSAASSSAASTSSSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSEVTVQFRRMSVSAYTHPVAAALGAAAFEEALTLRSPVYFSRGAKAAVKYEPRAFSVAVSTSTLELGKHEVDLTRLLPVSFADLEDGGDSGFGKWSTSFRLSGPARGARLNVTFSCSLVGGGGGGGEQHKAGGVAGLRRGSMARPVSVQPPTPVPARSRDVRVLHEVLPTLRSARALPFAGDGGLDARKEEVAALDSAEDGSPEAKHCTSVEVKKEDLVHPEGHCGAAEFNVVEHGVEVASDDTQRPEHAETSNAADQKEDLRFKIDDEGSFKPALVSDNVTEDQTVGVILEEAVSDVAVQRENVENKQNGIVKAASLPTAALEAENQFGRDTELKDLECIFNALSIAEPEEFESPIVEDKRSRRLSCMGVTDSYRSTSRKGRSRSMDASSDSVANEFLDMLGIEHSPFGQPSDSDSESPRERLWKQFEKEALASGNAILGLDFDDGMEEPICEDAVEDFDLSAMIHEAELELQNGSQPIDTRLRAKSLEDEETEALMRQFGLNEKSFQSSPPESRSGFGSPIHLPPEQPSELPPLAEGLGSFIQTKDGGFLRSMNPTLFKNAKNNCSLVMQASSPIVLPAEMGSGIMDILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWESAPSLESVERYDLLENHSIDALVGGVGNAASGKKKKGRVADMSSSLGGESASEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEEAPSNISAKPIGEFSSLQGKSAENTWSLGLEGTAGLQLLDVKQSGEEVDGLMGLSITLDEWMRLDSGVVDEEEQHSDRTSKILAAHHAKSMELVAEKRNGDKKSKKSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYNTVSDKGNSEQYDEEPKTEEVPGKALVTEQKVEELEDSIPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLIAAGMGKGNKHPLMKSKAITKPSQEAAGRPGDTLWSISSRVHGAGTRWGELAGAKNHSRNPNILLQKDKRFR >PAN28198 pep chromosome:PHallii_v3.1:5:8216625:8220880:1 gene:PAHAL_5G137700 transcript:PAN28198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHGVARIGAAAAPAWAALLLPPLLLLLAGARAGLTSEYRRHLGSAIDMPLDADVFRPPPGHNAPEQVHITQGNHDGTAMIISWVTTSEPGSSTVVYGTSEDNLNYTANGKHTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFMTPPKSGPDVPYTFGLIGDLGQSFDSNVTLAHYESNSKVQAVLFVGDLSYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYCNRYPTPYKASGSTAPYWYSIKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNVVNGLCTPVPDQSAPVYITIGDGGNQEGLATNMSQPQPRYSAFREASFGHAVLDIKNRTHAYYTWHRNQDGSAVAADAVWFTNRYWQPTDDSASSQ >PAN28518 pep chromosome:PHallii_v3.1:5:9600140:9601153:-1 gene:PAHAL_5G159000 transcript:PAN28518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGLRSCKQQQREALRPVEQERQQEGKGKSKAIFSSFSPLAWLSKLTAKNGAAAARHGHATPAAKTTAQAATAFPSCFHVPTSPSPASASRSSPADSSSPAAEAAHHDIVPHRDDTGATVAEVAPRRRSVGNDDTGSEAAAARQLCRRRHYSVGGDRDLPPLGHLASLSRPASPKALPTLAPVRTLTPVLTPLPSDTDEEKRPRSRRRRHRRVVSGRRSFSSARAPGARLAPAVRVRSPRPSCAAAAVSELERFAVVRRTRDPQREFRASMVEMIASKRMVGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFELNSATSRAATAAAGAPPPRT >PAN27885 pep chromosome:PHallii_v3.1:5:6956959:6960574:1 gene:PAHAL_5G115100 transcript:PAN27885 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASDLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSLHPALWSALLSRDFPSQSQPSSSSSTSTSQQQQQQQQQQQQVHPKSLYKTKFERHKVRIAEARRRAVFEAEARVLACRRRLAELEESMQAEGERMKAAVQELDNLERVRRASVALNVWQPQVVHGRQKQLVQQCTVSVDSRVSDLNMELKVCKQQIATYKNSYNKEKHKLNDYEEALKRAKYHPLQDSHTSGIINEPRAKRKKLK >PVH37893 pep chromosome:PHallii_v3.1:5:6956959:6960574:1 gene:PAHAL_5G115100 transcript:PVH37893 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASDLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSLHPALWSALLSRDFPSQSQPSSSSSTSTSQQQQQQQQQQQQVHPKSLYKTKFERHKVRIAEARRRAVFEAEARVLACRRRLAELEESMQAEGERMKAAVQELDNLERVRRASVALNVWQPQVVHGRQKQLVQQCTVSVDSRNKEKHKLNDYEEALKRAKYHPLQDSHTSGIINEPRAKRKKLK >PVH37891 pep chromosome:PHallii_v3.1:5:6956959:6960574:1 gene:PAHAL_5G115100 transcript:PVH37891 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASDLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSLHPALWSALLSRDFPSQSQPSSSSSTSTSQQQQQQQQQQQQVHPKSLYKTKFERHKVRIAEARRRAVFEAEARVLACRRRLAELEESMQAEGERMKAAVQELDNLERVRRASVALNVWQPQVVHGRQKQLVQQCTVSVDSRVSDLNMELKV >PVH37892 pep chromosome:PHallii_v3.1:5:6957317:6959511:1 gene:PAHAL_5G115100 transcript:PVH37892 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASDLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSLHPALWSALLSRDFPSQSQPSSSSSTSTSQQQQQQQQQQQQVHPKSLYKTKFERHKVRIAEARRRAVFEAEARVLACRRRLAELEESMQAEGERMKAAVQELDNLERVRRASVALNVWQPQVVHGRQKQLVQQCTVSVDSRVSDLNMELKVCKQQIATYKNKQGEAQAE >PAN32930 pep chromosome:PHallii_v3.1:5:58156002:58159529:-1 gene:PAHAL_5G522400 transcript:PAN32930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVAVLLLVVLPCLLHGADAAVRWPKKPLLFPAIFSFGDSYADTGNYIILEGGRPFNYSPYGETFGRPTGRASDGLLVIDYVAKGVGLPFVPPYLAKGQNFSEGANFAVIGARALDQAYFLEQNVTSPAPMMNSSLGVQLRWFEELKPSLCNNATKGGGCRDYLGRSLFVLGEFGGNDYLAFLTAKGVEQTTRAYVPVVIDTIAAGAERLIRHGARRLVLPGNIPMGCLPAILTLYASPDAADYDGYRCLRKFNALARYHNELLQGSVQALRAKHPHAAIAYANYYDSVLAFLTTPGFFGFNGSATLVACCGRYNFSPAAFCGFPPATACADPARAVSWDGIHMTEAAYRSIAGSWLLGPFAEPPILTLALAP >PAN30146 pep chromosome:PHallii_v3.1:5:19429093:19431603:-1 gene:PAHAL_5G279800 transcript:PAN30146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRWWRRRDGGDDDADDLVPLDTQEQEELVRSLEQKQAQQSSRWRRVFAGFLLGYAAFLVYSSFHHAWSPWELRYHAYFMEDLPAPMVIIADWIAALACLFAVKGLLQNSGPSKKWMWYSCYIGILVAIFWTYYILRLPRIRWDVAWLPLGPLIAGALSLYVDHVLLESMHDISTLRSYMYNFKAL >PAN29330 pep chromosome:PHallii_v3.1:5:13263098:13268550:1 gene:PAHAL_5G217200 transcript:PAN29330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRVPLLLILLATALLHALLAPPAEGLVRIPLKKRPADKNGRLHAERRRGFLGSSNDAAAAAALNKAEAEEEGDIVALKNYLNAQYYGEIGIGTPPQTFTVIFDTGSSNLWVPSSKCYASIACYFHERYKAGRSNSYKKNGKPASIRYGTGAMSGYFSRDSVKIGDVVVKNQDFIEATRETSVTFMVAKFDGILGLGFKEISVGAVVPVWYNMVKQGLVGDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFGMGDVLIGGKSTGFCASGCAAIADSGTSLLTGPTAIITQINENIGAAGVVSQECKAVVSQYGQRIIDQLLAETHPAKICSSVGVCTFDGAHGFSVAGIRSVVDDGVGESNGLFNEAMCNACETAVVWIQNQLAQNQTQDVVLQYINQLCERLPSPMGESSVDCSRVASMPDIAFTIGGRKFALKPEQYILKVGEGHAAQCISGFTAMDIPPPRGPLWILGDIFMGAYHTVFDYGNLKVGFAEAA >PAN29331 pep chromosome:PHallii_v3.1:5:13263098:13268550:1 gene:PAHAL_5G217200 transcript:PAN29331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRVPLLLILLATALLHALLAPPAEGLVRIPLKKRPADKNGRLHAERRRGFLGSSNDAAAAAALNKAEAEEEGDIVALKNYLNAQYYGEIGIGTPPQTFTVIFDTGSSNLWVPSSKCYASIACYFHERYKAGRSNSYKKNGKPASIRYGTGAMSGYFSRDSVKIGDVVVKNQDFIEATRETSVTFMVAKFDGILGLGFKEISVGAVVPVWYNMVKQGLVGDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFGMGDVLIGGKSTGFCASGCAAIADSGTSLLTGPTAIITQINENIGAAGVVSQECKAVVSQYGQRIIDQLLAETHPAKICSSVGVCTFDGAHGFSVAGIRSVVDDGVGESNGLFNEAMCNACETAVVWIQNQLAQNQTQDVVLQYINQLCERLPSPMGESSVDCSRVASMPDIAFTIGGRKFALKPEQYILKVGEGHAAQCISGFTAMDIPPPRGPLWILGDIFMGAYHTVFDYGNLKVGFAEAA >PAN27432 pep chromosome:PHallii_v3.1:5:4979513:4983071:1 gene:PAHAL_5G080600 transcript:PAN27432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRASSSLRSRLLSPSSGCSPCRLLLSSPVHSDAPHQTETLAFDEIQLSPEKPPTATAFVLHGLLGSGRNWRSFSRTLASELQNRSPSDEWRMVLVDLRNHGRSAGIKGFDPPHNMSTAAKDLADLVKARGWPWPDVVVGHSMGGKVALDFAESCSRGVYGEAAVLPKQLWVLDSVPGQVVTDNSDGEVERVLQTLASLPSSLPSRKWVVDHMLSLGFSKSLSEWIGSNLKKDNDHVTWAFDLQAAIDMFNSYRERSYWELLEHPPNDLEISMVQAERSDRWDPDDVQRLKALAKRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSAVKN >PVH38347 pep chromosome:PHallii_v3.1:5:15158427:15163698:1 gene:PAHAL_5G240800 transcript:PVH38347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MASGGQPQFRYTQPPSKVLHLRNLPWDCTPEELVELGTPFGKVVNTKCGVGANRNQAFIEFADQNQAIAMISYYASSAEPAQVRGKNVYLQYSNRQEIVNTKTTGEGSGNVLLVGMEGVAPDSVSIDVLHVVFSAFGFVHKIATFEKASGYQALIQFSDAETATSAKAALDGRCIPSYLLPELDGACTLRISYSAHSVLNVKYQSHRSRDFTNPYLPFLDSAKDGSGADGKKQEPESNILLASIENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLAFSRHTELNVKVNNERGRDYTKGNITASSNQPSILGPQPVPNVGAAIPQANNSVPSAATSAVMPPGVPSPAMPGEPSVALSSHPSSEPHSQTPVAPPGGPPQYSSQAILPGPPQQFPGYGSAQAPMLQPSGQGSQQMSNHVNYQLPPGSAQFMQYLGNGSHLVPNSHGPQAVPFPGLGGQQLPPGPQMMQAPGYGGLPFSQGPRQPMTQFPMYGNQQFPPGMEPQMMPFSELGGQQLPFAPQGPYRR >PAN29651 pep chromosome:PHallii_v3.1:5:15158427:15163698:1 gene:PAHAL_5G240800 transcript:PAN29651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MASGGQPQFRYTQPPSKVLHLRNLPWDCTPEELVELGTPFGKVVNTKCGVGANRNQAFIEFADQNQAIAMISYYASSAEPAQVRGKNVYLQYSNRQEIVNTKTTGEGSGNVLLVGMEGVAPDSVSIDVLHVVFSAFGFVHKIATFEKASGYQALIQFSDAETATSAKAALDGRCIPSYLLPELDGACTLRISYSAHSVLNVKYQSHRSRDFTNPYLPFLDSAKDGSGADGKKQEPESNILLASIENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLAFSRHTELNVKVNNERGRDYTKGNITASSNQPSILGPQPVPNVGAAIPQANNSVPSAATSAVMPPGVPSPAMPGEPSVALSSHPSSEPHSQTPVAPPGGPPQYSSQAILPGPPQQFPGYGSAQAPMLQPSGQGSQQMSNHVNYQLPPGSAQFMQYLGNGSHLVPNSHGPQAVPFPGLGGQQLPPGPQMMQAPGYGGLPFSQGPRQPMTQFPMYGNQQFPPGMEPQMMPFSELGGQQLPFAPQGPYRR >PAN30589 pep chromosome:PHallii_v3.1:5:32503047:32517409:1 gene:PAHAL_5G327000 transcript:PAN30589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKRKIHHGGPSKQQQVGPLADEICAVDEEVSHLCRIKSEPSQRTCASIYAGQKRPVSTFKLLSGRESNCSGMGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRVYNSENNWKVHKDITCKRLRWTISDIALSPDQQFLAYSSLSPTVHIVNVQNAVRESHANITEIHEGLEFSDDDDEFSFGIFSVKFSKDGRELVVGNNNESICIYDLGANKVTERINAHVADVNVATFADETSDVLYSGSDDSLCKVWDRRCHKKQKPVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDLRKMSSTTKDCTPKAYEWDYRWMTYPSEARYLKHPYDQSLATFRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDQCVYIYDVATGKVVEKLRWHGSIIRDCSWHPYLPTLVSSSWDGYLVRWEATEDDRDPSMLKTGKQRMHPEGYTMSFVL >PAN29104 pep chromosome:PHallii_v3.1:5:12030896:12031606:-1 gene:PAHAL_5G198700 transcript:PAN29104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLPFLPVLLFVFLAVHIPASHGGPAPPLTTYDASICPESSRCGDVSIKYPFFLSNTVRYIADRNYDTPYACGHPDLEISCEGDGPTGTPVIRLGSESYTVLNISNDNKTIVLADSDVLRPGRRCPAVSHNVSFDEVWLLYSTSSNGNLTFFLGYYPALAGLDTYRIDCNGLKSPFGNGGASFVPTPDDHESLRAGEERGSDGDGKEQDQLMKRRIWGRA >PAN32497 pep chromosome:PHallii_v3.1:5:56098221:56100219:-1 gene:PAHAL_5G491300 transcript:PAN32497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEEITEGVKNISVAGDAAASGGAAGGEGQKRGGSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRGRPFQKAKIEIVLGKSEKFDELMAAAAEERGEVEDGEEQA >PAN30547 pep chromosome:PHallii_v3.1:5:21749269:21752409:1 gene:PAHAL_5G292700 transcript:PAN30547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGVPALMNSVGDPSYRDLCCYGAGIAGNVFAFVLFISPLPTFRRIVRNGSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVTTVNSIGAAFQLAYTAVFIAFADAKERLKVSGLLAAVFVVFGLIVYVSLALLDHNTRQTFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFLYGLLLHDFFIYIPNGIGTILGIIQLLLYAYFRKGSRGEDRLPLLVTHT >PVH38430 pep chromosome:PHallii_v3.1:5:16202811:16203179:-1 gene:PAHAL_5G255600 transcript:PVH38430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGAPIPTPTRRSSGPGRGAAGLSHPPRAPTLPCGLQPYTSFTTRCSCSTPTFLLLRGLADARRAFDGMPHGGVAGVAHAALSLFVRMITGMRGTQRVCACGGGQGLYGYAWPRVYKAGAW >PVH38057 pep chromosome:PHallii_v3.1:5:9924273:9927761:-1 gene:PAHAL_5G164300 transcript:PVH38057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTPESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >PVH38319 pep chromosome:PHallii_v3.1:5:14123799:14124585:-1 gene:PAHAL_5G228400 transcript:PVH38319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNLLWHKDCKSNIAEFDDVEDHPGTDSQVTRFKRLIEDCNNHSSTEESVNRLILCSGKVYYELDDERKNSGRTNVAICRVEQLCPFPYDLVQRQLKRYPNAEIVWCQEEPMNMGAYSYVAPRLRTALRALGRGSFEDIKYVGRAPSASAATGFPSVHAQEQSELLKKALELEQIKNW >PVH38386 pep chromosome:PHallii_v3.1:5:15889905:15898976:1 gene:PAHAL_5G250400 transcript:PVH38386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQQPPQQRQQQPQHIQMQQMLLQRAVHQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQQPQQLQQQRRDGSHLLNGSANGISGNNPLMRQNQSTANVMATKMYEERLKVPSQRESLEESSMKQRYGENAGQLLDSNEASLLKAASSGQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQQGIKTEINQILTPRAAGAEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGILQQKSFIQNQQQLHQQIQMLTPQQQQQLMLQAQQNMSSPTSSDVDNRRLRMMLNSRNAVLGRDGQTNSGTDIIPNIASPSQSGGDIDILIKKKLAQQQQLLQQQSNSQQQLQQHQLQQPAVSSQQSQSSTQLLQQEKSGIGSMPVDGGMPNTFGGAEQTAKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSVQQLQHNGGSAKPMVMFGSDGTGSLTSPANPLDDVDRLLEDGSLDDNVESFLSQDDMDPRDSLGRCMDASKGFGFSEVAKARASSNKVVCCHFSSDGKLLATGGHDKKVVLWGTEPLKPKSSLEEHASLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPCKGKYLAAASEKTIYILDGETQHACRNPLQGHNKNIQSLCWDSAGDYLASVSEDSVRIWSFTSGHDGEFVHELNCSGNKFHSCVFHPTYPSLLVIGCYESLELWDIREKNTMTFNNAHEGMIAALAASSATGKVASVSHDRFVKLWK >PAN29807 pep chromosome:PHallii_v3.1:5:15889905:15898976:1 gene:PAHAL_5G250400 transcript:PAN29807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQQPPQQRQQQPQHIQMQQMLLQRAVHQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQQPQQLQQQRRDGSHLLNGSANGISGNNPLMRQNQSTANVMATKMYEERLKVPSQRESLEESSMKQRYGENAGQLLDSNEASLLKAASSGQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQGIKTEINQILTPRAAGAEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGILQQKSFIQNQQQLHQQIQMLTPQQQQQLMLQAQQNMSSPTSSDVDNRRLRMMLNSRNAVLGRDGQTNSGTDIIPNIASPSQSGGDIDILIKKKLAQQQQLLQQQSNSQQQLQQHQLQQPAVSSQQSQSSTQLLQQEKSGIGSMPVDGGMPNTFGGAEQTAKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSVQQLQHNGGSAKPMVMFGSDGTGSLTSPANPLDDVDRLLEDGSLDDNVESFLSQDDMDPRDSLGRCMDASKGFGFSEVAKARASSNKVVCCHFSSDGKLLATGGHDKKVVLWGTEPLKPKSSLEEHASLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPCKGKYLAAASEKTIYILDGETQHACRNPLQGHNKNIQSLCWDSAGDYLASVSEDSVRIWSFTSGHDGEFVHELNCSGNKFHSCVFHPTYPSLLVIGCYESLELWDIREKNTMTFNNAHEGMIAALAASSATGKVASVSHDRFVKLWK >PAN27289 pep chromosome:PHallii_v3.1:5:4442949:4450451:-1 gene:PAHAL_5G072100 transcript:PAN27289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MAAAAAPFTALPCLLLLLLLPSIDAASNVTYDHRSLIIDGRRRLVISTSIHYPRSVPEMWPKLVAEAKDGGADCIETYVFWNGHEIAPGQYYFEDRFDLVRFVKVVRDAGLLLILRIGPFVAAEWNFGGVPVWLHYVPGTVFRTNNEPFKSHMQSFTKYIVNMMKKEQLFASQGGNIILAQIENEYGGYLEEHYGPAGKAYAMWAASMALAQNTGVPWIMCQQPDAPNPVINTCNSFYCDTFQPNSPTKPKFWTENWPGWFQTFGESNPHRPPEDVAFAVARFFEKGGSVQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRFPKWAHLKELHKSIKLCEHTLLYGNTSFLSLGPKQEADIYTDQSGGCVAFLANIDSDKDKVVTFRNRQYDLPAWSVSILPDCKNVVFNTAKVQSQTSMVVMVPESLQALKPDQWSIFRETTGIWGKNDFIRNGFVDHINTTKDTTDYLWYTTRFSVDGSYSSKGSQAVLNIYSKGHGVHAFLNNELIGSAYGNGSKSSFTVGLPINLRTGKNDLALLSMTVGLQNAGPSYEWIGAGFTNVNISGLKNGAVDLSSNNWAYKIGLEGEYYSLFKPDQRSNKRWIPQSEPPKNQPLTWYKVNVDVPQGDDPVGIDMQSMGKGLAWLNGNAIGRYWPRTSSTDDRCTPSCNYRGTFDPDKCRTGCGQPTQRWYHVPRSWFHPSGNTLVVFEEKGGDPTKITLSRRVVSSVCSFVSEHYPSVDVDSWDKSTTNDATTAAKVQLSCPKGKNISSVKFASLGNPSGTCRSYQKGSCHHPNSLSVVEKACLNTNSCRVSLSDGGFGEDLCPGVTKTLAVEADCS >PVH38359 pep chromosome:PHallii_v3.1:5:15314847:15315384:-1 gene:PAHAL_5G243400 transcript:PVH38359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLCFLWRCNAVKDELFIGVKSRCGLQGSGVSNSIGPPQILVPVKEIAVNISAWSYLMHVWILSILLGFFKILSNWELWFKSLASRVPNKSSLLRSKCRYFSSRFQKFKAVAIMSSGTHFLCFSQSRSEILLECTCTSRMAFSCCSLNF >PVH38830 pep chromosome:PHallii_v3.1:5:43235058:43235347:-1 gene:PAHAL_5G365500 transcript:PVH38830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSPPSANRWPASRVWKTTTRRYPRRRRLHPTTPRRNLYATAVYVVGLQAGEIKEEDRLQTEGGEK >PVH39112 pep chromosome:PHallii_v3.1:5:52712950:52714993:1 gene:PAHAL_5G436800 transcript:PVH39112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFADKDAVRYIQSGSKRDEKKCMLRMDRPDGYKWSCSFFYRYQAGELLFERKIFITLNSGHLHFFWEEDASLPSLNYTTTQLNICTLLALNLILCNVTSP >PAN32854 pep chromosome:PHallii_v3.1:5:57825926:57827913:-1 gene:PAHAL_5G516600 transcript:PAN32854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYVLLLLLLLVNSLVPVSIASPSPKLRLSASEVAALEAAAPPPPRPDQPSTFFEVDRPHRPPPGSFGPCSTLLLSHSFAYTYKKPPVTAAYSPPPCLAAAGGRGSAISLAVLEWRATCRGVQFDRNFGVWLGGAELLRGCTAEPRQGGVEWTVSKDVTKYASLLAARNSTLAVYLGNIVDEQYTGVYHADVTLHLYFRHPPAPPPQPGLGPADVIVPISLNLPLNDGLWFQIKNGLDVGSASVAVPTNTFRAVLEVYLSYHSDDEFWYTNTPKDHGPFREVTVLIDGEIVGAVWPFPVFYTGGINPLLWRPITGIGSFNLPSYDIEVTPFLGKLLDGEEHEFAFQVTNAQDVWFVDANLHLWLDPRCAVTTASVISYDAPPLESTIAIRPERPGNEFYYTTAFRHVSASGWVQTASYGKITATWTQRLGYENTNMIQDSSLQAVNQTTDAYSGAHVADRAGVLYSQEAQQSFALYMFVGVVNQTSNSTFTVARNVRLEFGEERVAAGRSGFWSRSLRNAQDCEMDVDVEEGDAVGVSWGTRQTYRYEASDACYFRNVTSLGYTVVSDHSDEACVMGPPAGGVAEWATRLSS >PVH37836 pep chromosome:PHallii_v3.1:5:6182499:6182864:1 gene:PAHAL_5G101300 transcript:PVH37836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLHTPSQASQPALAFSTSSAAQATGSYQKLTHRASGQRERKEAEESSNGVRVPLQRLRRQQGEEAAAQAGAAQAADRQDPAGQPGAGRRRRRQQGAQLREMSKLLLADRYFSCMVCCA >PVH39194 pep chromosome:PHallii_v3.1:5:53807568:53810091:-1 gene:PAHAL_5G452600 transcript:PVH39194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSAALLQASTAFAPVFSPLPSRLQPAPRLHLRGSPNRRRRGVALAASSAASPEVEKEPSTSSSPQESESAVADSVKVLKEAAKTRKVPAPEVLSALSKIKKAKLDTSTFFETLGGTESPGRTWMLIFTAKGRLEKGQYFPVTAVQRFDAAGKRIENGIYLGPIGCLTFEGRLSWKKKILAFIFERVRIKVGPFGPLEIGLGNGRDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCQRVP >PAN31685 pep chromosome:PHallii_v3.1:5:52722895:52725801:-1 gene:PAHAL_5G437100 transcript:PAN31685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLAATPPTSSAAALPTGAGRVAFRTSWTVPRPVARRLRLRARGVRREGGGSSVRTEEQETEATTRTFYDLLGISAEGSADEVRAAYRRLALKYHPDVSPPGAAAENTRRFIEVQEAYETLSDPSRRASYDRALARGVCRLAFSGSRSHRAYYHHQDHEEKSGWRRSWEDQIAELKRRSMTKDSDENLSWGARMRRRRAEASSAE >PAN30321 pep chromosome:PHallii_v3.1:5:24495134:24498354:1 gene:PAHAL_5G304500 transcript:PAN30321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLHANLFSQYLMPLCIESFKINHFNNSSFIIVRQRNENFALGNFIMKNSIILLLMCGGTCHAEQTFPVPLPVDRVARFSLSGKPSASRHVQSMTDRTSFRSDGIHAANEHAHDLMRSISDLQEVVFSSFGKACLFSSCIIYILPPACLAELCEQEYSLPNMPLLFAIAMVGATVGHREGGFARLNQSRQINAALRRQAKFESYAPALSYAPVGSKKPESEVIVDPQKERQIAYLRTGKNYLRNQTPDKAFPEFKAALDLAQALGDHVEEKKAARGLGASLQRQGKDKEAIKYNSMVLNISKMTREDAGVTEAYGAIADCYTELGELEKTGKFYDKYIARLESD >PAN30233 pep chromosome:PHallii_v3.1:5:39565713:39578893:1 gene:PAHAL_5G354100 transcript:PAN30233 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MFRSKSLPRPKDTSVVVVVLETTEVYIVISLSTRSDTQVIYVDPTTGALRYLGKYGEDAFDSEAAALNYITDGSRILSKSTTYAKAVLGYAVLGSYALLLVATQLSATVPNLPGGGCIYTVAESQWIKIQLQNPQPQGTGEQKNIRELADLDIDGKYYFCETRDITRPLPSRMTLREPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWVPRNGGQCIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPYNGSLQYYQRLSRRYGNKSSEANVSRHKKSGMVPIICVNLLRYGEGKTESVLVDHFKESLQYMKSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGKYYDVRQQLKECKGSIICNDDINGGFCMESIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLGISLDIDAMFGLSSRYSEYDSRNARSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVRITVQRRYHNYLNDSSRQKQLEMFLGLRLYRHLPSIPMFPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWVCQQGADYVELFIYLGEPCQVCQLLLTVSHSVQDSSYPATVDVRLGSSIDALKLVVEGACIPQCSNGTNLLIPVTGRIDPEDLAVTGKSARPNVQESTYLPLLYDFEELEGELNFLNRIVALSFHPSAASRTPITLGEIEVLGVSLPWADMLNNSGRASEFMELLHKKLSHAHCDLGLKSFANSSGPGNDSHGSGGSYTKSSSSVQPGGSENLLDFLTGDFDMSKSHVTENTSFGNGEQTNFLDDGFDVNPFAPSLEVPAPIVNNQVEECGSTQLYLQFLESLSGYNKGKGLNFEQMMKLEIKRLHLGLSAAERDRALLSVGVIPATVDPNRSVDYSYLLKLSSLADNLVLLGHAVLEDRVNASIGLEKGSEHTIDFWNISENDACYDGACEVHAPSSLQASSTGENQSVFVECSQCERTVCKACCAGRGAFLLLNTYKDLKIYGGSQGGGYSALADSFVCKSCCSEIIKRALYVDYVRVLQSLRRKDRAEKAALNAVNQVCQLESSKISDLSHNIQTGQRQLKQILDGEESLAEFPYASFLQMVETADDSEPLLSLLAPLGTGDYKSYWKGPENNTSVEFSIILGGLSDVAGVAIIVSSCGYTTSDCPIVEIWASNKMHREDRTFIGKWDVQDIASSSPHLCGPEKSSSMIEEPRHVKFHFPNPIRCRIVSIRMTLPHIGSRSTKFSEEFDLLSLGDSSFYESKPINPQNSFIHAKRILVFGSSLRKEMEPDTSGGIMRMKSYLDRSPPLGRFRIPVEAERLRDNDLVLEQYVLPNSPGIAGFRLDFFSVIRPRVTHSPSSSELDTREFSLTRLEDRYVNQAILYMQVTIVKESGKVVVEEYRLPEVKANTSLYFDFPDLQQDVRCVIFRLLGDVTAFVDDISELDGLNFRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLSAV >PAN30232 pep chromosome:PHallii_v3.1:5:39565713:39578893:1 gene:PAHAL_5G354100 transcript:PAN30232 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MFRSKSLPRPKDTSVVVVVLETTEVYIVISLSTRSDTQVIYVDPTTGALRYLGKYGEDAFDSEAAALNYITDGSRILSKSTTYAKAVLGYAVLGSYALLLVATQLSATVPNLPGGGCIYTVAESQWIKIQLQNPQPQGTGEQKNIRELADLDIDGKYYFCETRDITRPLPSRMTLREPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWVPRNGGQCIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPYNGSLQYYQRLSRRYGNKSSEANVSRHKKSGMVPIICVNLLRYGEGKTESVLVDHFKESLQYMKSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGKYYDVRQQLKECKGSIICNDDINGGFCMESIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLGISLDIDAMFGLSSRYSEYDSRNARSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVRITVQRRYHNYLNDSSRQKQLEMFLGLRLYRHLPSIPMFPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWVCQQGADYVELFIYLGEPCQVCQLLLTVSHSVQDSSYPATVDVRLGSSIDALKLVVEGACIPQCSNGTNLLIPVTGRIDPEDLAVTGKSARPNVQESTYLPLLYDFEELEGELNFLNRIVALSFHPSAASRTPITLGEIEVLGVSLPWADMLNNSGRASEFMELLHKKLSHAHCDLGLKSFANSSGPGNDSHGSGGSYTKSSSSVQPGGSENLLDFLTGDFDMSKSHVTENTSFGNGEQTNFLDDGFDVNPFAPSLEVPAPIVNNQVEECGSTQLYLQFLESLSGYNKGKGLNFEQMMKLEIKRLHLGLSAAERDRALLSVGVIPATVDPNRSVDYSYLLKLSSLADNLVLLGHAVLEDRVNASIGLEKGSEHTIDFWNISENDACYDGACEVHAPSSLQASSTGENQSVFVECSQCERTVCKACCAGRGAFLLLNTYKDLKIYGGSQGGGYSALADSFVCKSCCSEIIKRALYVDYVRVLQSLRRKDRAEKAALNAVNQVCQLESSKISDLSHNIQTGQRQLKQILDGEESLAEFPYASFLQMVETADDSEPLLSLLAPLGTGDYKSYWKGPENNTSVEFSIILGGLSDVAGVAIIVSSCGYTTSDCPIVEIWASNKMHREDRTFIGKWDVQDIASSSPHLCGPEKSSSMIEEPRHVKFHFPNPIRCRIVSIRMTLPHIGSRSTNSLRKEMEPDTSGGIMRMKSYLDRSPPLGRFRIPVEAERLRDNDLVLEQYVLPNSPGIAGFRLDFFSVIRPRVTHSPSSSELDTREFSLTRLEDRYVNQAILYMQVTIVKESGKVVVEEYRLPEVKANTSLYFDFPDLQQDVRCVIFRLLGDVTAFVDDISELDGLNFRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLSAV >PAN26882 pep chromosome:PHallii_v3.1:5:2843993:2844799:-1 gene:PAHAL_5G044600 transcript:PAN26882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSISNAPPTLDEDSDISDVPLPNPMFTSLAEDNENLEQWAGENVGDTHLDKRKTKVLRPQRPEKKGKIIRSPPEEELVSNETTPEPSGGGDEGNTDDDDDDDDGGSGYPVSSQAGGRSDRSMSPIRFTGEIDFTYATQDQDHGQPMSQRRTTSNRRRSDPREGDSSSSVSSTFSYPRPPSYPYPYPQSYLYPYSQPYSHPPPYPSHFIQLPVHLGMSTSGQIGELQEYYYGYHTYQQEETEE >PVH37466 pep chromosome:PHallii_v3.1:5:791607:796308:1 gene:PAHAL_5G011500 transcript:PVH37466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGERTHHKHWHQGHGPSGSSKDKKHDKRQPKFIPDNYSSVDEVTTALREAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAINGNPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQDSRPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISAVENSNWQYHVLVIIADGQVTTANTNDGRLSPQEQATIQAIVEASHYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEIPSQYKATQGIRYQEKQAQRIGSPRILPPPNKVLEHDNAAASHPPPTASSRSTGIGKTAADEQVCPICLTNPKDMAFQCGHLTCKECGSILSTCPLCRVPITMRVRLFS >PVH37469 pep chromosome:PHallii_v3.1:5:791607:796308:1 gene:PAHAL_5G011500 transcript:PVH37469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGERTHHKHWHQGHGPSGSSKDKKHDKRQPKFIPDNYSSVDEVTTALREAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAINGNPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQDSRPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISAVENSNWQYHVLVIIADGQVTTANTNDGRLSPQEQATIQAIVEASHYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEIPSQYKATQGIRYQEKQAQRIGSPRILPPPNKVLEHDNAAASHPPPTASSRSTGIGKTAADEQVCPICLTNPKDMAFQCGHLTCKECGSILSTCPLCRVPITMRVRLFS >PVH37467 pep chromosome:PHallii_v3.1:5:791607:796308:1 gene:PAHAL_5G011500 transcript:PVH37467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRYSFGRKSLHAINGNPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQDSRPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISAVENSNWQYHVLVIIADGQVTTANTNDGRLSPQEQATIQAIVEASHYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEIPSQYKATQGIRYQEKQAQRIGSPRILPPPNKVLEHDNAAASHPPPTASSRSTGIGKTAADEQVCPICLTNPKDMAFQCGHLTCKECGSILSTCPLCRVPITMRVRLFS >PVH37468 pep chromosome:PHallii_v3.1:5:791557:796308:1 gene:PAHAL_5G011500 transcript:PVH37468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGERTHHKHWHQGHGPSGSSKDKKHDKRQPKFIPDNYSSVDEVTTALREAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAINGNPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQDSRPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISAVENSNWQYHVLVIIADGQVTTANTNDGRLSPQEQATIQAIVEASHYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEIPSQYKATQGIRYQEKQAQRIGSPRILPPPNKVLEHDNAAASHPPPTASSRSTGIGKTAADEQVCPICLTNPKDMAFQCGHLTCKECGSILSTCPLCRVPITMRVRLFS >PVH38026 pep chromosome:PHallii_v3.1:5:9352901:9356579:-1 gene:PAHAL_5G154500 transcript:PVH38026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERAEAAGDDGDAGDATRPGGTRGRPSKTNCLLKLEEDKNQLSKGLDPWSNNNPTATTSTLHYLLQEKERAQAQEQLQIYHQQGFSYLQHHRRQQQQQQSRAGAGGDGVSSGESTPVDALATAFGSGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLIRNAKSAIDELPDRAEAPPATEAADAAAEPAEQVTSTSYGFGNPGGAISGVAGSFVPHSVGADGVSGSVKSLFPSSSTASTTPAHDEYRGSPPDLLSRTTSSQPQELCLTLQSNQHHQIFSHVSNQNHQGMISGAGVPGWPEHGQRMPSWHASESSAGDGRGAGNGDGYMFGVQARQGLDHQSQLFSQGEPLQSSGGWASSARTWLDPLAAIHQPSAMAGQVGFSHLVGAGGGFMGFLAPAAAQRLQGEEEQGSEAMRE >PVH38303 pep chromosome:PHallii_v3.1:5:13744856:13750376:-1 gene:PAHAL_5G223300 transcript:PVH38303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MLQIHPLTIPPLHLPSSTLCPFCLSFQIASRWQQGGADLTASRHAAASRSSVARRCTLVEPSGRFDSIREGIPSDRAGRGGGGGCKLWLEGEEGRRKMILLQSPSRFLLQILQDRVLSGEKGMDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPSPEAILCDGLPLGAIEAIKAAYGLVVQILDPPKDGFDLTMKINLTKLPPDEEQRNSILTQIASVREVVLGAPLKVLLKHLASKTVAPNVEKLVALVHRPNESFFLAPQADKVTIVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNVPSCMWSPVPPLELKGVPAEALNANAGFVTFVVYPRHVEGKKLDRTVWNLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVNGGSFKRLSLKNEGN >PVH38302 pep chromosome:PHallii_v3.1:5:13744389:13750413:-1 gene:PAHAL_5G223300 transcript:PVH38302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MLQIHPLTIPPLHLPSSTLCPFCLSFQIASRWQQGGADLTASRHAAASRSSVARRCTLVEPSGRFDSIREGIPSDRAGRGGGGGCKLWLEGEEGRRKMILLQSPSRFLLQILQDRVLSGEKGMDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPSPEAILCDGLPLGAIEAIKAAYGLVVQILDPPKDGFDLTMKINLTKLPPDEEQRNSILTQIASVREVVLGAPLKVLLKHLASKTVAPNVEKLVALVHRPNESFFLAPQADKVTIVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNVPSCMWSPVPPLELKGVPAEALNANAGFVTFVVYPRHVEGKKLDRTVWNLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVNGGSFKRLSLKNEGN >PVH37761 pep chromosome:PHallii_v3.1:5:5015591:5017348:1 gene:PAHAL_5G081600 transcript:PVH37761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTHARFDLRREESLGGRRLEAHDGVGTWEAQHEAAAEEIRRGIEMGRRGLEKE >PAN32074 pep chromosome:PHallii_v3.1:5:54345448:54346390:1 gene:PAHAL_5G460500 transcript:PAN32074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLLAPRCRCVTSDRATHLWFICSRMNGQRHGLVWSRTEEDLVRSNRTRLSRWGHFATALVFSEETSGRLQHPESGQAGAGHVAEPE >PAN29889 pep chromosome:PHallii_v3.1:5:16349618:16351251:-1 gene:PAHAL_5G256900 transcript:PAN29889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKNACAAVLPVSTLTEPLLLPGKGAGLATADDPEAAQLPSYGGASFSRTCLNLTNAVSGIGVLSMPYAVAQGGWLSLALFALVGALCYYTGTLIERCMRADPGAVASYPDIGELAFGSAGRSAVAAFMYVELYLVAISFLVLEGDNLDKLFPGARVELLGGYNLQGKQLFIALAAAVVLPTMWLKNLGVLAYVSAVGLVASAVLTASLVWAGVAETGFHRNNTSALNLSGLPTSLGLYFVCFTGHAVFPTIYSSMKNNKHFSRVLLISSVLCSVNYGLTAVLGYMIYGDGVQSQVTLNLPSGKLYTKVAILTTIINPLAKYALLAVPITAAIEEKFSLPAGSGPARVSISTVVVVSTAVVASTVPFFGYLMSFIGSFLSVMATVIFPCLCFLKIYKAEGIRRIEIAAITGILMIGVFVAVTGTYTSLQQIIGTF >PAN28831 pep chromosome:PHallii_v3.1:5:10947876:10950929:-1 gene:PAHAL_5G181000 transcript:PAN28831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRHGKHYGRLLLPKDCCMYSFRVDVPAQFRFPCQIESLEKKERTARETRPVKMEPAAGGGGGRQQASRRRPADLGLLALLCSSLLLNAIFLARHFLPPSRLLAGSGGGGGTCGLSWALQAAREAEAVAAVDCSGHGQAFIDGVAGEDGRPGCECNACFGGPDCSLRTPGCTADADSGNPLFLEPYWRRHAAASAVVVSGWHRMSYTATDGMFQSVELERQIRRLHRAVGNAVADGKHVVFAAGSVQLINALVYALSPDANAASPPARVVATAPYYPTYRTQTKMFDGREYKWGGTTAQWGNASRSSTDGGFIEFVTSPNNPDAQLQRPVLGGSSAAAIFDHAYYWPHFTHIPAPSDEDVMMFTMSKPSGHAGSRFGWALIRDEKVAKKAYEYVQNSVMGASRDTQLRMLGIVKAMLANLHGEEDIFAFGHEVMRNRWRRLSAAVSRSRRISLQKMVPEYCTYFKRVREPSPAYAWVKCEREEDEDCHEALLKAKIITRTGVGNEAGSRYTRISLLKTDDDFEVLMERVTDLVDAEKNGDAPGSSSM >PAN28877 pep chromosome:PHallii_v3.1:5:10947875:10950680:-1 gene:PAHAL_5G181000 transcript:PAN28877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAGGGGGRQQASRRRPADLGLLALLCSSLLLNAIFLARHFLPPSRLLAGSGGGGGTCGLSWALQAAREAEAVAAVDCSGHGQAFIDGVAGEDGRPGCECNACFGGPDCSLRTPGCTADADSGNPLFLEPYWRRHAAASAVVVSGWHRMSYTATDGMFQSVELERQIRRLHRAVGNAVADGKHVVFAAGSVQLINALVYALSPDANAASPPARVVATAPYYPTYRTQTKMFDGREYKWGGTTAQWGNASRSSTDGGFIEFVTSPNNPDAQLQRPVLGGSSAAAIFDHAYYWPHFTHIPAPSDEDVMMFTMSKPSGHAGSRFGWALIRDEKVAKKAYEYVQNSVMGASRDTQLRMLGIVKAMLANLHGEEDIFAFGHEVMRNRWRRLSAAVSRSRRISLQKMVPEYCTYFKRVREPSPAYAWVKCEREEDEDCHEALLKAKIITRTGVGNEAGSRYTRISLLKTDDDFEVLMERVTDLVDAEKNGDAPGSSSM >PAN28832 pep chromosome:PHallii_v3.1:5:10948238:10949760:-1 gene:PAHAL_5G181000 transcript:PAN28832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPVPTPGPADAAGRVSNNDWWCSGNPLFLEPYWRRHAAASAVVVSGWHRMSYTATDGMFQSVELERQIRRLHRAVGNAVADGKHVVFAAGSVQLINALVYALSPDANAASPPARVVATAPYYPTYRTQTKMFDGREYKWGGTTAQWGNASRSSTDGGFIEFVTSPNNPDAQLQRPVLGGSSAAAIFDHAYYWPHFTHIPAPSDEDVMMFTMSKPSGHAGSRFGWALIRDEKVAKKAYEYVQNSVMGASRDTQLRMLGIVKAMLANLHGEEDIFAFGHEVMRNRWRRLSAAVSRSRRISLQKMVPEYCTYFKRVREPSPAYAWVKCEREEDEDCHEALLKAKIITRTGVGNEAGSRYTRISLLKTDDDFEVLMERVTDLVDAEKNGDAPGSSSM >PAN32760 pep chromosome:PHallii_v3.1:5:57389768:57392756:-1 gene:PAHAL_5G510000 transcript:PAN32760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRRASQLLKEIDSSEAGQLAPFNSDVFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQGLMEEFLE >PAN27463 pep chromosome:PHallii_v3.1:5:5069766:5072371:1 gene:PAHAL_5G082500 transcript:PAN27463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRACAMEGGEGVGSPFFQWLKPRRPSSPSSSASMAASSWQQVVVLGEEGRAGGGVEEARSMACLPLLSRLGEGKGADDHHKQCSAVKEEIAMSGASSDLARSGVDLNIGLPVGGSCIEDAVMEEKDEEEEEEEDNEVEEEEDDEDEREEEWKHQMHGGCKVEGMDQQHDNGEVVASAVEGPNSNGLGEFGVVGAESGLPIGCRYWIPTPAQILIGPVQFICHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLAMLKLPCYCCAPGCKNNVGHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGAHHHPVGESAAAGEVRRFRHI >PAN29017 pep chromosome:PHallii_v3.1:5:11735189:11742238:-1 gene:PAHAL_5G194100 transcript:PAN29017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAPGGRDGEEKEEKRGSGGGDAGDKRVSFTGLFRYADGTDVMLMLLGTVGALANGVTQPIMTLIFGQVIDAFGGSVAMGDVLQRVNKVILNFVYLGIATAVVSFLQVSCWTMTGERQATRIRSLYLKSVLRQEIAFFDVEMTTGQVVSRMSGDTVLVQDAIGEKVGKFQQLIATFVGGFVIAFVKGWLLSLVMLACIPPVVIAGGIVSKMLSKISSKGQTSYSDAGNVVEQTLGNIKTVVSFNGEKQAIATYNKLIHKAYKAAVEEGITNGFGMGSVFFIFFSSYGLAIWYGGKLILSRGYSGGDVINILFAIMTGAMSLGNATPCMAAFAEGQSAAYRLFATIKRKPEIDPDDPTGKQLEDIKGDVDLKHVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNLRLDWIRGKIGLVNQEPLLFMTSIKDNISYGKEDATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKDPRILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLSTVRNADCISVVQQGKIVEQGPHDELIMNPDGAYSQLIRLQESQEEEHQKDQRLSDPRSKSRSLSLKRSISRGSAGNSSRHSLTLPFGMPGSVELLEGNDANRENQKEQADDSEAPKKAPMGRLAGLNKPELPILLLGALAAGVHGVLFPMFGLMISNAIKTFYEPPHELRKHSSFWGLMCVVLGIVSIVSVPVEYFLFGVAGGKLIERIRALSFRSIVHQEVSWFDDPKNSSGALGAKLSVDALNVRRLVGDNLALLTQVISTLITGFVIAFVADWKLTLIILCVMPLSGAQGYAQVKFLKGFSQDAKMLYEDASQVATDAISSIRTVASFCAEKRVMTIYDHKCEASKNQGVRTGMVGGLGFGFSFMMMYLTYGLCFYVGGQFVRHNKSSFGDVFKVFFALMLATIGVSQTSALASDSTKAKDSSISIFALLDRKSKIDSGSDEGLTLDEVKGDIDFRHVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTVISLLERFYNPDSGTISLDGVEIKSLKLNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGEVTEEELIKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKSANIIAVLKDGAIVEKGRHETLMNIKDGFYASLVELRSASS >PVH38178 pep chromosome:PHallii_v3.1:5:11735187:11742242:-1 gene:PAHAL_5G194100 transcript:PVH38178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAPGGRDGEEKEEKRGSGGGDAGDKRVSFTGLFRYADGTDVMLMLLGTVGALANGVTQPIMTLIFGQVIDAFGGSVAMGDVLQRVNKVILNFVYLGIATAVVSFLQVSCWTMTGERQATRIRSLYLKSVLRQEIAFFDVEMTTGQVVSRMSGDTVLVQDAIGEKVGKFQQLIATFVGGFVIAFVKGWLLSLVMLACIPPVVIAGGIVSKMLSKISSKGQTSYSDAGNVVEQTLGNIKTVVSFNGEKQAIATYNKLIHKAYKAAVEEGITNGFGMGSVFFIFFSSYGLAIWYGGKLILSRGYSGGDVINILFAIMTGAMSLGNATPCMAAFAEGQSAAYRLFATIKRKPEIDPDDPTGKQLEDIKGDVDLKHVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNLRLDWIRGKIGLVNQEPLLFMTSIKDNISYGKEDATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKDPRILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLSTVRNADCISVVQQGKIVEQGPHDELIMNPDGAYSQLIRLQESQEEEHQKDQRLSDPRSKSRSLSLKRSISRGSAGNSSRHSLTLPFGMPGSVELLEGNDANRENQKEQADDSEAPKKAPMGRLAGLNKPELPILLLGALAAGVHGVLFPMFGLMISNAIKTFYEPPHELRKHSSFWGLMCVVLGIVSIVSVPVEYFLFGVAGGKLIERIRALSFRSIVHQEVSWFDDPKNSSGALGAKLSVDALNVRRLVGDNLALLTQVISTLITGFVIAFVADWKLTLIILCVMPLSGAQGYAQVKFLKGFSQDAKMLYEDASQVATDAISSIRTVASFCAEKRVMTIYDHKCEASKNQGVRTGMVGGLGFGFSFMMMYLTYGLCFYVGGQFVRHNKSSFGDVFKVFFALMLATIGVSQTSALASDSTKAKDSSISIFALLDRKSKIDSGSDEGLTLDEVKGDIDFRHVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTVISLLERFYNPDSGTISLDGVEIKSLKLNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGEVTEEELIKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKSANIIAVLKDGAIVEKGRHETLMNIKDGFYASLVELRSASS >PAN29016 pep chromosome:PHallii_v3.1:5:11735321:11742238:-1 gene:PAHAL_5G194100 transcript:PAN29016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAPGGRDGEEKEEKRGSGGGDAGDKRVSFTGLFRYADGTDVMLMLLGTVGALANGVTQPIMTLIFGQVIDAFGGSVAMGDVLQRVNKAVLNFVYLGIATAVVSFLQVSCWTMTGERQATRIRSLYLKSVLRQEIAFFDVEMTTGQVVSRMSGDTVLVQDAIGEKVGKFQQLIATFVGGFVIAFVKGWLLSLVMLACIPPVVIAGGIVSKMLSKISSKGQTSYSDAGNVVEQTLGNIKTVVSFNGEKQAIATYNKLIHKAYKAAVEEGITNGFGMGSVFFIFFSSYGLAIWYGGKLILSRGYSGGDVINILFAIMTGAMSLGNATPCMAAFAEGQSAAYRLFATIKRKPEIDPDDPTGKQLEDIKGDVDLKHVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNLRLDWIRGKIGLVNQEPLLFMTSIKDNISYGKEDATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKDPRILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLSTVRNADCISVVQQGKIVEQGPHDELIMNPDGAYSQLIRLQESQEEEHQKDQRLSDPRSKSRSLSLKRSISRGSAGNSSRHSLTLPFGMPGSVELLEGNDANRENQKEQADDSEAPKKAPMGRLAGLNKPELPILLLGALAAGVHGVLFPMFGLMISNAIKTFYEPPHELRKHSSFWGLMCVVLGIVSIVSVPVEYFLFGVAGGKLIERIRALSFRSIVHQEVSWFDDPKNSSGALGAKLSVDALNVRRLVGDNLALLTQVISTLITGFVIAFVADWKLTLIILCVMPLSGAQGYAQVKFLKGFSQDAKMLYEDASQVATDAISSIRTVASFCAEKRVMTIYDHKCEASKNQGVRTGMVGGLGFGFSFMMMYLTYGLCFYVGGQFVRHNKSSFGDVFKVFFALMLATIGVSQTSALASDSTKAKDSSISIFALLDRKSKIDSGSDEGLTLDEVKGDIDFRHVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTVISLLERFYNPDSGTISLDGVEIKSLKLNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGEVTEEELIKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKSANIIAVLKDGAIVEKGRHETLMNIKDGFYASLVELRSASS >PAN28703 pep chromosome:PHallii_v3.1:5:10476366:10480547:1 gene:PAHAL_5G173400 transcript:PAN28703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQANAAEPFSIRGFATRMRAVDTAKCYPFGGGCWAEGEPPPPPLPPMDPKPPSRWWKHELAAARARLSAGAKGGEPAAARGEGGGPRKGTKRKGFRSGSAAERAKKQRRLLQFRSFLKNKEKTCKPQSTSRLHQHMLHMALLRKHRSSIVHTRAELGSRKKLDEAWDCMLPHENSAKRRSRERMDPCNEMQSNLFGRKEPNSSVNKQGIEVNGATNYPTNTGCEVVKHATGPKDDIFGDLPLLELENSKTMFRTGVDELPTVIEESFITSQSEADSIPEAVPLRLIDASDITARTPSPLEDLVKSEVSLDNEPAFVSHNDGTGSHPAIFGIDCVPHHKNISVVKPGLGDTQLKFNGSTLSSHSDLRSKCGSSDPLQGCFDANKNCHQEIKKHGTSSTTISPAMRTRTEATKYKDVSIKGRKSTDISAVVALPAPMNHLSSQVSVLPSAVSQGVFNTRTNTNDMSSIRSMPAKEFIPSTRPSGNFRSNVDPCAPLSKECVPSTRPSGNFRSNVDPCVPLSTDKQGSWYSKLHPVCSPANIGMAFMKLPGLERMEISNCNVEIGENKFRSAQSMNTVRYQKQQLVSGMTNVMQCQKKIGRSNSQVGKTILDACPAQGNHHLQQPTVRLMGKTVSVCNHSKDHNVPTMGKMSPANVPIEANYHSTTSCQLPQKRSFPYQDSVVSRVHLNDSSDFSARIPNNSVSGQNTNFSCLHNQRLQPNNTASSTIKDSTWNFGSQFVRQAELNNSSMVSANSKIRHVELHQPPHRMSIPQNQQSHLWASESHMSRKDHSFVGSAADQCSPVPQSLIKASMKEKYQKSALLSYEDPSSMPIPQPYQIPGENLSSAPAISFLGYGADNSLSRSSSPGLSLSLTTGLANKSVSTGRPTYTGNLTNTDGRKVAGFADPISKGPAYTDNVSQQPAMRQLVTDRHDFTSMGLNIVNHSPGWSLSDAVGPRVLDFSKRIARDVQTARNESNSSRASSGPVPPVESRLRVGVVAGANTMLRQGQNLNDHSKLLYSTKFSVDNGINSVVL >PAN26350 pep chromosome:PHallii_v3.1:5:276255:276989:-1 gene:PAHAL_5G004000 transcript:PAN26350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGTCLTETLDDLVSSGAVSPELAIQVLVQFDKSMTQALESQVKSKVNIKGHLHTYRFCDNVWTFILTDATFRSEEISETLSKVKIVACDSKLLQPQQ >PVH38620 pep chromosome:PHallii_v3.1:5:24498036:24499644:-1 gene:PAHAL_5G304600 transcript:PVH38620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRHSPRLPSPPLPSSLRSQAQQLHREAAALHAARLRSAVCAAGGSACRPVRLRPRLPRAVGRWHSAAPLAASHRPAAATTAGARQATARSRSGAARPNPSANRPTRRVPNADSHQLQQAHGRRQGHPHPHPQFNIIIQKEHLHGGYSLRFFYTDRLGC >PVH38619 pep chromosome:PHallii_v3.1:5:24499100:24499531:-1 gene:PAHAL_5G304600 transcript:PVH38619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRHSPRLPSPPLPSSLRSQAQQLHREAAALHAARLRSAVCAAGGSACRPVRLRPRLPRAVGRWHSAAPLAASHRPAAATTAGARQATARSRSGAARPNPSANRPTRRVPNADSHQLQQAHGRRQGHPHPHPQFSNSSSFSL >PVH39500 pep chromosome:PHallii_v3.1:5:58045341:58049850:1 gene:PAHAL_5G520000 transcript:PVH39500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLAIHTFRAEQKIGVGSLFGERIALSTSHQMLPLRRLPPLPLPRGPAPRRLLSAAASAAAASPLPWPGLHAWRRAPPSDLRAWGPNGPCASDADEAAGGPSEADAGGSSLAEMGALVLSTADPLAKARLTHAAFSRWAAGLPVGQAAAPDHPARPDKPLVVTQKEIITHKKMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFAPLRDTLGDGFLADFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSSDVSARLAVIPLVQEARGLDAGPRLVQRLFGFGDHRSADIVARVAEEELAHVSVGLYWFLKVCQMMGREPGDTFKDLIKEYGVVLKGPFNYPARDEAGIPREWYDEKFKQESAKKLAEVHDRLACIVEMEKENASLNG >PVH39551 pep chromosome:PHallii_v3.1:5:58971057:58972703:-1 gene:PAHAL_5G534000 transcript:PVH39551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKFKMVKQDSSSSNEEDDPHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKTLESTHAKLELAHSDLLSKYNDLLKRHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKDVHERVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGQYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKPLVTHVQGPKLVWVPKTQK >PAN28562 pep chromosome:PHallii_v3.1:5:9767106:9773501:-1 gene:PAHAL_5G162200 transcript:PAN28562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGMPTDGGGAIVPFRREPGQGTPSPPPVRPIRHGVAPPIFRVYISWSSGNLLQVACLRQPNSEVCGGVEEVAGSVVEVNLGCGSSGGAEVEEEIDEAEMRRIEYGSVPAFALLQSRKNALADAAAMSRMSSVPDYAEWWQYVLEYSKTIGNLLGNPDSPAFMIEDPKTILKVREKPASLRAAWELLEIFYVDKQLQSWLPERLVDWLADFDCLLSAKESTVYSKLSNFQKKLINLQIVEDDPDYWNGLSAALSVGWLDIVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRLRPNLPTGKLGQCCKTRPDFVKAWEKWRGQVTKLECSAFWIQCGHKKTCDGLKNLLHIMMGNIKELTASTSHWLELFASHFLYIRPFTVGFEGMHHLAQKCIQLKPSSGTNGLTGLVIGVLSENPEVVLAECTQNFGPWLVTHAMELLTADNDYADTMLHEERPNLGGISIEELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLEICRLYELENVSANIMKIAGIYHWKHGRKGAGVYWFQQAHDKVRLDRIAQQLFERIGKSVTDDSFKQWEGLLELLGPDIGSAGGLEFLHRYRDFKRSLQQALDGRSGEAARQTVDFLIQVNFLHIICVFLNTSTLQAPYLVRHVKKGVSRGL >PAN28561 pep chromosome:PHallii_v3.1:5:9766246:9773501:-1 gene:PAHAL_5G162200 transcript:PAN28561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGMPTDGGGAIVPFRREPGQGTPSPPPVRPIRHGVAPPIFRVYISWSSGNLLQVACLRQPNSEVCGGVEEVAGSVVEVNLGCGSSGGAEVEEEIDEAEMRRIEYGSVPAFALLQSRKNALADAAAMSRMSSVPDYAEWWQYVLEYSKTIGNLLGNPDSPAFMIEDPKTILKVREKPASLRAAWELLEIFYVDKQLQSWLPERLVDWLADFDCLLSAKESTVYSKLSNFQKKLINLQIVEDDPDYWNGLSAALSVGWLDIVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRLRPNLPTGKLGQCCKTRPDFVKAWEKWRGQVTKLECSAFWIQCGHKKTCDGLKNLLHIMMGNIKELTASTSHWLELFASHFLYIRPFTVGFEGMHHLAQKCIQLKPSSGTNGLTGLVIGVLSENPEVVLAECTQNFGPWLVTHAMELLTADNDYADTMLHEERPNLGGISIEELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLEICRLYELENVSANIMKIAGIYHWKHGRKGAGVYWFQQAHDKVRLDRIAQQLFERIGKSVTDDSFKQWEGLLELLGPDIGSAGGLEFLHRYRDFKRSLQQALDGRSGEAARQTVDFLIQLMRNPSTPQRFWLPLLHDSVGLLNCKPSPLMNVAETTLLLNKLQELSLAKLRPDFSNNHLPSHALSSVRLALASNLARAILEDP >PAN29150 pep chromosome:PHallii_v3.1:5:12460402:12468095:-1 gene:PAHAL_5G204900 transcript:PAN29150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MASTVLEATRAAHEDLERLERLAVRELQRDPANARDRLFQSHRVRHMLDLVVSTSDKLVEIYEDKDNARKDEINTHLTAPTQTLLFSKYYERLKEIRDYHRRNPSARFISTTDDYEELLKEEPVIEFTGEEAFGRYLDLHELYNEFINSKFGSPMEYSAYVGSFSQIEKIPQSHKTTRQYREYLEHILEYLTSFLYRIEPLQDIEKIFSKLESEFEERWMNEEVPGWGNKSTEKESEAEDPEIDLDYYSTVEELVELGPEKLKQALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKVPRTKDVSNTASDGNNVKDDLKKEIALMEVKMRRLCELLDEVFVRTKENAEKKLTLTYEEMEAEREEEEVQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIQEAKGLWEKIQARQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >PAN32406 pep chromosome:PHallii_v3.1:5:55769673:55770738:1 gene:PAHAL_5G485300 transcript:PAN32406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSPQGVVRRILIVSPDSELERGEIYFLIPAASVPDAKKSGGGTPTRHVRSKSEGSVVVSDRQLGLAGASPPEKAPAQKRTAAQQHRRRMSTGSHAAPWQPHLACIAEDL >PVH38240 pep chromosome:PHallii_v3.1:5:12624243:12625172:1 gene:PAHAL_5G207200 transcript:PVH38240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSRARAAAPCLLRCCRSHAPVPRRRRPSSWPALVSARVRLAPPPRLQGPAVSGGGLPFPPVRRHRLLACPVPAAARLASRRHRPLLLSAGLWWLGFE >PAN30148 pep chromosome:PHallii_v3.1:5:22810561:22815120:1 gene:PAHAL_5G298400 transcript:PAN30148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLLRRACSAFLSSTTTGPLPRLRQPRAAMATDSSAAPFQKIQIQREDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAIHISQLGGGYRALIPDLYRGKVALDVAEAQHLMDGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPSKAKAPIQAHFGEHDSFVGFSDVTAAKSLEEKLKSSGVPYEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENQEAVDLAWSRFSAWMGRFLGSA >PAN29109 pep chromosome:PHallii_v3.1:5:12332494:12334313:-1 gene:PAHAL_5G202800 transcript:PAN29109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKTSVKRGPWSPEEDELLRSYVQNHGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAVAMHNQQQQQEYYNHHHHQHASGGRSRRGAGTPQSQCASMQPSPASASSAASAGDAACSFGAMYSPSTLQQATAVLARYDGAATAPLPPPPQQQASSLAEFSPAPPPPPAGSAINSWAGGLALDDMFLPELLGVCDFPPGDIFGGGFAPLLQDRASSLQELAACYFPNAQAAEMWTAADHVKPPPPAGLCPSLT >PAN29146 pep chromosome:PHallii_v3.1:5:12727879:12729673:1 gene:PAHAL_5G208600 transcript:PAN29146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPSAASKPPCAAFQSHPTDLELVNSYLRPFLETGKAAAFIHEGDVYAADPADLTRQFAPAVAQDGERAWYFLTPLRHKSVRGKRKARTVATGEGCWHNEAKSKPVCTAIHGKRQIGYRQSFSFIKKEDGSRVRTGWLMMELRLLKDDAGQGARAEEAALGNLVLCKVYRSPRNPEPGGGGPAPALKEEEAADGDDESSAATADDDDDDSSDTPGPTKKSDEQESSEATVAAPSRHSKADDEISGAAAAGAGREEKAAGDEDSAETSASSPARKRKAPDDEGSGAAAAAPKRASPGARAPASTEMQCPHCGTHLVVTLKISETKSETEIAKGEPGPGASDTAPRGDARGAPERKVRFHQFL >PVH37739 pep chromosome:PHallii_v3.1:5:4486343:4488438:1 gene:PAHAL_5G072800 transcript:PVH37739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSLTLPLPAVGAAVAASPVTAALVATPYRSRPLRLVATPAATASSSPSTSTSAVSSAPPSARHSRKHLAGRDGAPSKPTKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRARGVGTGADSRVVDVLRECNVPVVRVHGYEADDVVATLTEQVLQKGYRVVIASPDKDFKQLISDDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLNTAAIRTVGKGYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDSWLSTRNTYNDTSVLSDFILQFNNEGRS >PVH37736 pep chromosome:PHallii_v3.1:5:4486310:4488439:1 gene:PAHAL_5G072800 transcript:PVH37736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSLTLPLPAVGAAVAASPVTAALVATPYRSRPLRLVATPAATASSSPSTSTSAVSSAPPSARHSRKHLAGRDGAPSKPTKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRARGVGTGADSRVVDVLRECNVPVVRVHGYEADDVVATLTEQVLQKGYRVVIASPDKDFKQLISDDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLNTAAIRTVGKGYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDSWLSTRNTYNDTSVLSDFILQFNNEGRS >PVH37735 pep chromosome:PHallii_v3.1:5:4486311:4488438:1 gene:PAHAL_5G072800 transcript:PVH37735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSLTLPLPAVGAAVAASPVTAALVATPYRSRPLRLVATPAATASSSPSTSTSAVSSAPPSARHSRKHLAGRDGAPSKPTKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRARGVGTGADSRVVDVLRECNVPVVRVHGYEADDVVATLTEQVLQKGYRVVIASPDKDFKQLISDDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLNTAAIRTVGKGYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDSWLSTRNTYNDTSVLSDFILQFNNEGRS >PVH37737 pep chromosome:PHallii_v3.1:5:4486310:4488439:1 gene:PAHAL_5G072800 transcript:PVH37737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSLTLPLPAVGAAVAASPVTAALVATPYRSRPLRLVATPAATASSSPSTSTSAVSSAPPSARHSRKHLAGRDGAPSKPTKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRARGVGTGADSRVVDVLRECNVPVVRVHGYEADDVVATLTEQVLQKGYRVVIASPDKDFKQLISDDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLNTAAIRTVGKGYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDSWLSTRNTYNDTSVLSDFILQFNNEGRS >PVH37738 pep chromosome:PHallii_v3.1:5:4486343:4488438:1 gene:PAHAL_5G072800 transcript:PVH37738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSLTLPLPAVGAAVAASPVTAALVATPYRSRPLRLVATPAATASSSPSTSTSAVSSAPPSARHSRKHLAGRDGAPSKPTKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRARGVGTGADSRVVDVLRECNVPVVRVHGYEADDVVATLTEQVLQKGYRVVIASPDKDFKQLISDDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLNTAAIRTVGKGYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDSWLSTRNTYNDTSVLSDFILQFNNEGRS >PVH38416 pep chromosome:PHallii_v3.1:5:16057313:16058136:-1 gene:PAHAL_5G253400 transcript:PVH38416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSSPIQPPPAKRQKETSSAAVNSLGEDHPPAAMTGGLKRRRQRRQSKARRAPPLHKLGEDLLLEIFLRLPSLATLVRAALTCRAWRRAVASSPSFRRRFRVVHPPPLLGLFFEAPGPVQTPNTPAFPTFVPARRRDRDLTAAVRGGDFFLTSLEDLPDEGPCWYIVDRCRGRVLLVNWDDASLVVFNPLTRRIEDVFDLGPEDMFDDSRAIAPRSTLACCPLTRTLRHSEWCFLSMTNAGYGLPSFLRTHGNG >PAN31106 pep chromosome:PHallii_v3.1:5:49480171:49485285:-1 gene:PAHAL_5G397500 transcript:PAN31106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNVETMAWSSMATTGQRPGTRDSHGAALVGHRMLVFGGTNGGKKVNDLHVLDLRTGEWTRPQCKGAPPSPRESHTVTVVGGDRLVVFGGSGEGEGNYLSDVHVLDVPTMTWSTPEVKGDYAPAPRDSHGAVAVGGRLFVYGGDCGDRYHGEVDVLDVDTMAWSRFPVKGASPGVRAGHAAISVGSKVYIIGGVGDKQYYSDVWVLDVANRSWSQLEVCGQQPQGRFSHTAVAMNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRSETKDASVSNGEMVQKAREAEIEQRNPFLRGLENGHVKRRKTGEARPSEPESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPNTSVSALQPFVRLNANGTLRAPGGANGTLRAPGPGGVSSRSLKTDQFLRTMAPQHQQEVQFLSSDHKPQPRPPGPPPIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPAVHHQILSSSAVPPQQRPLLAHAIPVHARPVPRATGFVLPDCAHHARQGFPAKAVKSEPERGSSDLHDVVLTLGGPGGGK >PAN31107 pep chromosome:PHallii_v3.1:5:49480562:49484420:-1 gene:PAHAL_5G397500 transcript:PAN31107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNVETMAWSSMATTGQRPGTRDSHGAALVGHRMLVFGGTNGGKKVNDLHVLDLRTGEWTRPQCKGAPPSPRESHTVTVVGGDRLVVFGGSGEGEGNYLSDVHVLDVPTMTWSTPEVKGDYAPAPRDSHGAVAVGGRLFVYGGDCGDRYHGEVDVLDVDTMAWSRFPVKGASPGVRAGHAAISVGSKVYIIGGVGDKQYYSDVWVLDVANRSWSQLEVCGQQPQGRFSHTAVAMNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRSETQKDASVSNGEMVQKAREAEIEQRNPFLRGLENGHVKRRKTGEARPSEPESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPNTSVSALQPFVRLNANGTLRAPGGANGTLRAPGPGGVSSRSLKTDQFLRTMAPQHQQEVQFLSSDHKPQPRPPGPPPIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPAVHHQILSSSAVPPQQRPLLAHAIPVHARPVPRATGFVLPDCAHHARQGFPAKAVKSEPERGSSDLHDVVLTLGGPGGGK >PVH38618 pep chromosome:PHallii_v3.1:5:24193796:24194641:-1 gene:PAHAL_5G304000 transcript:PVH38618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQSDIPWCMLFADDVVLVNESRAGVNMKLELWRHTLESREFRLSRTKTEYIMCDFSSTRHEDGDVSLEGQVVAKKATFRYLRSMLQKDGDINEDVRHRISAGWLKWRQPSGVLCDKKVPQRIKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRIWVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRDDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAIKYLNRDLCYFLFNP >PAN32625 pep chromosome:PHallii_v3.1:5:56716863:56719270:-1 gene:PAHAL_5G500700 transcript:PAN32625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLPAPAPGRAELLKAFEESRTGVRGLVESGVSTVPEIFRHPDPYASIPLAPLGVSIPVVDLSLPFPDAAAAAAEAARTWGFFHLVNYHHALPQPADGGGGEYPARALAAVRAFNELPPAERAPHYGRAVDGGVNYSTNVDLYNSPAASWRDTIQILLGPNRRPDLAARIPAVCSAEVLEWEARATAAARAVMGLLSEGLGLGPAALEEASCLEGKVMACHYYPHCPEPERTMGIVPHTDPGVLTVLAQDEIGGLQVKHQDEEGRSCWVDVKPVPGALVINVGDLLQIMSNDKYPSVEHRVTMNTREEPRVSIAIFFSPGKRGDSIFYGPLPGLVSSENPPKYRNFTMGEFFGTFFSRDLASKALIDHFKL >PAN31295 pep chromosome:PHallii_v3.1:5:50786084:50792694:-1 gene:PAHAL_5G410400 transcript:PAN31295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEAVPLLGTKRRREGCPGCRLEEANKTSTGVPYLNFFYIWIVCLTSTLPIQSLFPYLYFMIRDLKIAKQEQDIGFYAGFVGATYFLARTFSSVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYATEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQPAEKYPNIFSKESIFGRFPYFLPSLVISILAAVSCIACIWLPETLHFHDDEKVEAIDALEAQVADSNLEGGKSKEASGTSTKNLLMNWQLMSAVILYCIFCLHDTAYLETFSLWAVSSRKFRGLSLTSQDVGTVLAVSGVGVLVYQLVVYPFLVKYFGVIRPLRPAAVLSILLLTTYPFMANLQGTELKILINVASLLKNVFSATITTACNILQNTAVTQEQRGVANGISVTLMSLFKGVAPAAAGILFSWAQKHMTGLFLPGDQILFLMINMVSVIGLLLTLKPFFNMSSALRRS >PAN26506 pep chromosome:PHallii_v3.1:5:1194216:1197646:-1 gene:PAHAL_5G017400 transcript:PAN26506 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os01g0952500)] MTVVDAESRFHVLAVDDSIIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDEAAESSPPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKKQQPRKCQPQIQPEPEQRLEAPPTQPAHRPEEALAEVTADGATTAVSDCNGSNKRKAAAMEQQEGLTPPESTKPRLSNSSLAVET >PVH38880 pep chromosome:PHallii_v3.1:5:46347012:46349026:-1 gene:PAHAL_5G376100 transcript:PVH38880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MNTVYSVSLCCCVYAGRRRTRSLDEMRSAPRLPPMSTLLRLLFSHPSLSTTVHAVLLKSSSLSSPIPIPATALLTAYANAGLPGAASRLFDEMPARDAVAWNALLACLVRHARPTAAAAAFRDMAASGFPPTAATLCTMLKACAASRAFRPGRQLHARSVVSCHGDVIMDTALVDLYMSCGLVEDAMRVFMLTKYPKDAALYNAVLSSCVENGWFREAFSMLRWTELNGISLTCALTACSATANLAYGMQVHCKALRCGFDSDTIICNALIDMYSKCGRTVGARIVFDWMAGRNVVSWSSMIDAYSRHGHGVDALDLFKMMEKAAPMVLPNAITFLVVLSACGHCGLVDEGRSMLHLMKSKYGIDPQPEHYACFIDMLGRAGQIDEAWNLYCSLTASQNKCSSAIYVAMLNACRVNMDVVRGKKVAVRMLEVDPQNPRIHVLISNFYSAIRQWSESDESRRVIVEKGLRKEAASSHVSVS >PAN28262 pep chromosome:PHallii_v3.1:5:8449464:8455078:-1 gene:PAHAL_5G140800 transcript:PAN28262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSYISWACLLLLCFASVADAEQMKYKDPKQPINTRIKDLLGRMTLAEKIGQMTQIERQVASADVMKKYFIGSILSGGGSVPAPQASPSIWVNMVNEFQKGALSTRLGIPVIYGIDAIHGNNNVYNATLFPHNIGLGATRDPDLVRRIGVATALEVRATGIQYTFAPCIAVCRDPRWGRCYESYSEDHTIVQQMTDIIPGLQGEIPVNHTKGVPYVAGKDKVAACAKHFVGDGGTHNGINENNTVIDEHGLLSIHMPPYYHSIIKGVATIMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPGANYTYSVQAGINAGIDMVMVPYNYTKYIDDLTSLVHKGIVDMSRIDDAVRRILRVKFMMGLFENSLADLSFADQLGKKEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARRILVAGSHASNLGYQCGGWSIEWMGASGNITTGTTILDAIKSTVADSTPVVYSENPDGSFMKHNDFSFAIVVVGELPYSETVGDSTDLTIIDPGPDTIRTVCSAVKCVVVIVSGRPVVIEPYVPLMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLYPFGFGLTINSSLPGFSGVDNLGDTKQRLIYVVMCSLLSLILINDLGIGLFQHPAARM >PVH38492 pep chromosome:PHallii_v3.1:5:17881216:17882244:-1 gene:PAHAL_5G271500 transcript:PVH38492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVHSAADGLLLCSRGSTWPAARYFVCNPVTRQQTALPELRDLCFKPLCGLLTVTAAAGTDGGGHGAAPRFQLVVIEEWQIEDTFLGLKIFSSDTGTWRSRMASLYRCSSHPFEHDFRRRPALGQSGAAYWIQRDGRTAIAYDSAGDSLRVIHLPESGARSKRCIGERHGGGGGLRYARADAAELEVWDSPTTEAARGGWRTGLASGSCWD >PAN30549 pep chromosome:PHallii_v3.1:5:21751357:21751857:1 gene:PAHAL_5G292800 transcript:PAN30549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSSSVASYPSSIIEFCSLLKATINTNKTAFLFCKGKWCILLAHAKSLSLNHVKENTSYHHRLIHQLSNVAYFEYKSWYKSNVQITCKQPHVYFYDLAIP >PAN31139 pep chromosome:PHallii_v3.1:5:49690569:49695915:-1 gene:PAHAL_5G399600 transcript:PAN31139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRASQLLLRTTAAATRRLQHPHPHPLPLPPPSRPLPLAPTWVASASSYATQAAAAAAAPAPARKPLRTVGSLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKETGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRTTARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKRDAARLSVTA >PAN29041 pep chromosome:PHallii_v3.1:5:11889276:11892596:1 gene:PAHAL_5G196100 transcript:PAN29041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNMTIPARTALPRRLSRAQLVISYIPAAAAAAATAMRLLPGASASRLPSPLLSLPRGRPRCVPASSSACRAASSSAAGAGDGGARKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGIPLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVIVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPPGKMDPANFVLRPFTKKEQEELDFAFHRGLEAVRIMVLEGFNKSATYVNTSQSSEMLNR >PAN31695 pep chromosome:PHallii_v3.1:5:52772385:52773150:-1 gene:PAHAL_5G438000 transcript:PAN31695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPVVVAVVLFVLLSPGLLLQLPAKGGRFVEFGNFQTSGASIFVHAIIFFALTAVFLIAIGVHITTD >PVH38363 pep chromosome:PHallii_v3.1:5:15336026:15336622:-1 gene:PAHAL_5G243800 transcript:PVH38363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGNLLAITQTSMKRMLAYSSIGQIRYVIIGIIVGDPNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQACLYFLVSIGLLTSVLKIIKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >PVH38346 pep chromosome:PHallii_v3.1:5:15148076:15149550:1 gene:PAHAL_5G240500 transcript:PVH38346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSRDKKSKDNDRHEAKEANSTAQNFVDFTEAEEDLVSRMHRLVGNRWELIAGRIPGRTAEEVEMFWSKKHQEK >PVH39496 pep chromosome:PHallii_v3.1:5:57972995:57985600:1 gene:PAHAL_5G518800 transcript:PVH39496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSPLALPTSPTASRANRQASAWAAMSRFWKPGSEKPSTLLVDDEEGGVVFLPSSTTSASSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWADGGRLIGCTQPRRLAVQAVASRVAEEVGVKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKIQRRRPELRLIISSATIEARSMSTFFNIRRKNSLLGSSDDLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLRAAVNTVLLIHEKEPPGDILVFLTGQDDIDAAVKLLNEEIQHLGRHYLDLLILPLYSGLPRGDQDLIFAPTSKGKRKVVLSTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQSEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLFSLGILDEDAKLTVPIGFQVAEIPLSVWVSVRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNYQALKKVVEIRGQLLRIVKGFGIPLKSCDRDMQAVRKAIIAGSFANACHLEEYSQNGMYKMLRTSKEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPTLH >PVH39495 pep chromosome:PHallii_v3.1:5:57972995:57985600:1 gene:PAHAL_5G518800 transcript:PVH39495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSPLALPTSPTASRANRQASAWAAMSRFWKPGSEKPSTLLVDDEEGGVVFLPSSTTSASSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWADGGRLIGCTQPRRLAVQAVASRVAEEVGVKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKIQRRRPELRLIISSATIEARSMSTFFNIRRKNSLLGSSDDLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLRAAVNTVLLIHEKEPPGDILVFLTGQDDIDAAVKLLNEEIQHLGRHYLDLLILPLYSGLPRGDQDLIFAPTSKGKRKVVLSTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQSEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLFSLGILDEDAKLTVPIGFQVAEIPLDPMISKMILSANDFGCSDEILTIASFLSVQSVWVSVRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNYQALKKVVEIRGQLLRIVKGFGIPLKSCDRDMQAVRKAIIAGSFANACHLEEYSQNGMYKMLRTSKEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPTLH >PAN26840 pep chromosome:PHallii_v3.1:5:2686231:2688781:-1 gene:PAHAL_5G042400 transcript:PAN26840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQSAAHATPEAEQQRRPPPEQKQPQVELAVAVASAAAENGDGPAEGGDGSPAAAVDTALLGGARRTGLHLFVMNIRSVFKLDELGGEVLGIAVPASLALTADPLASLIDTAFIGRLGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKDGAKVVDGEGQEENPDQHAAAAATDPEKQPSAEEATKNNGDSTAVLGDASPAELAGAEGCASAVVGRNSGKNRRFVPSVTSALIVSAFLGLFQTALLVAAGKPLLRLMGVKSGSSMMIPALRYLTLRALGAPAVLLSLAMQGVFRGFKDTKTHLYATVAGDLANIVLDPILIFGCRMGVTGAAIAHVLSQYLITLIMLSKLVRKVDVVPPSLRCLKFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGPTAMAAFQICSQVWLATSLLADGLAVAGQAMIASAFARKDHYKVTATTARVLQLGVVLGAALTVVLGLGMQFGAGVFTKDAAVIKTVQKGVPFVAGTQTLNTLAFVFDGINFGASDYAFSAYSMFGVAAVSIPSLILLSSHGGFVGIWIALTIYMSVRVLASTWRMGATRGPWKFLRL >PVH38459 pep chromosome:PHallii_v3.1:5:17042426:17042693:1 gene:PAHAL_5G264200 transcript:PVH38459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGLAEYWKTQTTSPKTSSRVSSCTQSCLWLRPPLEFRMAQDSDDPLFVASVVVWVLVVILTIVALHCPLPRRVVR >PVH38299 pep chromosome:PHallii_v3.1:5:13697427:13697726:-1 gene:PAHAL_5G222600 transcript:PVH38299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSYPMASSWPGVAFRSSASTAGAWRAWWPRRRGPFCGRNCGTWYGAELQAFGRADFDADGEIPGLGGHLYCKTYLFEFEDEPTDVPPPCWVQKRTK >PAN29511 pep chromosome:PHallii_v3.1:5:14390384:14391914:-1 gene:PAHAL_5G231400 transcript:PAN29511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMEDRRPPGGRRHEPAAAELPVPREHGGSLASDDEDSDGEFEFPSVSREPAAGGGAAAAADELFAGGRIRAFYPVFGRVLDDEAAAAPAAPRAPLGRLFQLEQARTSSVASTSSTSSSSSASTADGSADLDGAPPDSYCLWTPGSSPASSPSRPPRKSGSTGSVARWRRIGDLVVGRSHSDGKHKFLFLSAPSSPARDREHSPAGSKPKPPPPKGSKAAGATELDTVAAGRRMSYGGAKATPGGRRTFLPYRQDLMGLFANAHGLSRSPHPF >PAN29565 pep chromosome:PHallii_v3.1:5:14679115:14684020:-1 gene:PAHAL_5G234800 transcript:PAN29565 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALAVRVPRFQPRPASISAPASTSSLAAAAANARPRAGAAVRTAAASPFTEATSSSRYRRDAWSYAADGSSKPASSSSSSPSSSDAAAAAAAAGRRDDEIALQLPELRRLLDALRASRGKGAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYVAGNAADPDTTLVVYMGLSTLPSLAPKLMNHGLPLDTPAVAVERGTTPQQRMVFSLLKDLVDEVKSADLVSPTLIIIGKVVALSPFWVESSEHDALKIESSYASEAR >PAN32467 pep chromosome:PHallii_v3.1:5:55973999:55978515:-1 gene:PAHAL_5G488800 transcript:PAN32467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRQLGTAVEGNFQGAAGGMVPPSPRVLAPNGSAPRSPAASRREEAAGNGGGSPGLAALRPTPAKPPRGSGKKPFAAPAGGGKPRKRAPLWPAALFVSVAMNVGLVLHHYVNSTSPPHPPHHPPQEHQACLMHPEAAGSSSVRRTATQEEPVPKTRAAGAPSTGKPAVTPESVINLDHGDPTMFEEFWRGTGAAAEIVIPGWQTMSYFSDVGNVCWFLEPGLDHEVRRLHRLVGNAAVDGYHVLVGTGSTQLFMAALYALSPPAGAPMSVVSTAPYYSSYPAVTDFLQSGLFRWAGDASSFEGDTYIELVCSPNNPDGTIREAVLSSESGVAVHDLAYNWPQYTPITRRADHDIMLFTVSKSTGHAGTRIGWALVKDRDVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDAYELPGAGEEHRLFDYGRRKMAERWSMLREAAAASGIFSLPDETSGYCNFTKDMASTNPAFAWLRCDREDVEDCASFLRGHKILTRSGSQFGADPRYVRVSMLDRDDAYDIFVKRLASLK >PAN28062 pep chromosome:PHallii_v3.1:5:7676821:7677718:1 gene:PAHAL_5G128700 transcript:PAN28062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKMECVIELRSKKENLNKGCVFIKCPRNIEGELCASNYVEVNLEATEACMARAGVKSGGYEPFVEEEEAEAESMNFASVGVRSSSGGKIYDCEMEAKMDKLISAVKMLIVCICVSIALVVYMLK >PAN27153 pep chromosome:PHallii_v3.1:5:3890556:3893578:1 gene:PAHAL_5G062000 transcript:PAN27153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MALLRLQEQCSLLRISSSHLPNPLKPPRNPRRNQLLPPNAAKDAGPSEITVPRAPGAAPKAAIPARKAAAPWREALVPVAAAAASWPLPSLAAEGDGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLVEEYVFKKYKPVSAIDAFRKLRDAPEAQLLDIRRGKSVRFMPPPNLKLVDKSAVQVEFDEEDEKGFVKEVLTRFSDPANTVVCVLDNFDGNSLKVAELLIENGFKEAYAIKGGLRGPEGWQAVQENYLPPSVHVFPRRKKGKTLAPADVSSDGADGLQKANREPLDPPSSFVINTSNESKDVYENPNGSVTAAKHATTRPLSPYPNYADLKPPTSPTPSKPS >PVH38759 pep chromosome:PHallii_v3.1:5:37821432:37823112:1 gene:PAHAL_5G345900 transcript:PVH38759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLVAVAAVRRSSSTSVHSANSVDCAAIAAPMPWTVRPPQPPAAPHTPPPRPPAPQLTPLPRPPAPLWTPHAPPRTPPRRPHAPPSPHPHPASGSRIATTARPIRWRRRSTGTAPRRQPATAGRSPVVVIVHGHAMAPTHAGGGGGWGRCHEEVRRVQRHHRGGGDRGRRHKKVW >PAN26570 pep chromosome:PHallii_v3.1:5:1447193:1452365:1 gene:PAHAL_5G022500 transcript:PAN26570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLVVLGIPWDVDTEGLREYMAKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGSRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDVRHPPSRASQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGQEVAIDTAAPLESDSTGGAYIDPMDLYGAYGSMRSFGRFCGSLDYNYGYGPSSGSSRSRGDWRYRPY >PAN26569 pep chromosome:PHallii_v3.1:5:1447193:1452365:1 gene:PAHAL_5G022500 transcript:PAN26569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLVVLGIPWDVDTEGLREYMAKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGSRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDVRHPPSRASQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGQEVAIDTAAPLESDSTGGAYIDPMDLYGAYGSMRSFGRFCGSLDYNYGYGPSSGSSRSRGDWRYRPY >PAN28239 pep chromosome:PHallii_v3.1:5:8382675:8386901:-1 gene:PAHAL_5G139700 transcript:PAN28239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSISRALAQMSNATVIDEKVLNDCLNEISRALLQADVQFKMVRDMQTNIKRIVNLEALAAGTNKRRIMQQAVFTELCNMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSASVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGHEKESQAKIKRYMTMMDSMTDAELDSTNPKLMTESRIIRIARGSGRPVRDVMDMLEEYKRLAKIWGKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMSGMFGGMGGDR >PAN32999 pep chromosome:PHallii_v3.1:5:58525364:58532963:1 gene:PAHAL_5G528200 transcript:PAN32999 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMR domain-containing protein At5g58720 [Source:Projected from Arabidopsis thaliana (AT5G58720) UniProtKB/Swiss-Prot;Acc:O65573] MKPSASKKKSKKKKKPSPPAAAAAEAAPAEGVADSEARSPGPETLTLAAAVSETESSSSCEASTFTSASFTASCSGTASTSSFSAFSSSGSTASSSAAGEERRDLAWLLDAFASATIDQVDSAYREAGGDPFLAAGILGSTQDTQPPQPPQPAPQPPPPPDLSPRSGSGGRKAGRRPKRVAVAATGMVADVIGKDYTRPATPPVSAPNAWKVRDEERDSGSGARKYSVEEAEQFLCSMLGDNSELGMGVVRDVLGQYGYDVEKALDALLDISGVSSVQNMGTQHPNAGRNGTRHLTMFPGNGQSVDNLPAGNARSPRQLMDQVSNTSLQSELGHEFLWDESQFSYAKAVMEAPRSSTLPSRSTEVKADPQQVLDSLFKIPEMRTYEPSSMDWKKVVKKLQSFNSTATSNNQQRPKTGDGYREFRGVAARHYDKMKEYYQKAALAYSKGDKSYAAYLAEEGKHYRELARMEDEKASRNIFEVRNKHITNTVTIDLHGQHVQQAMKLLKVHMMICICMPSVLLRVITGCGSEGTGKGKIKRSVIELAEKEHIEWHEENSGTIALRLGGPREYRFLEYDSDSD >PVH38040 pep chromosome:PHallii_v3.1:5:9599497:9600379:-1 gene:PAHAL_5G158900 transcript:PVH38040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDQGATRDRRAPPAGVGIHHGVSGADVDADFHAFFYGVRADVAFSRVAGESCGAWYPAALAFEAALALLFARLARAGRRKLLYLYYAGRTVKNYCSRRTVSRVLCVCQPSFRVSEVQGRDKKNKATHFIIFTWIRMLQPRNLAACMTRRKIPRSPVSRIQIAAELRLLFERMSS >PVH38678 pep chromosome:PHallii_v3.1:5:32058576:32059156:-1 gene:PAHAL_5G325400 transcript:PVH38678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKDGRGHLIRLTSARVAWIELCSSKSSSTSPPSFSVMRSFMPAQRRYSSGTKGSSGTRWYTCCGRRPS >PAN29707 pep chromosome:PHallii_v3.1:5:15512509:15514858:1 gene:PAHAL_5G245400 transcript:PAN29707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAPTVTKSPPSLVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPASGGGDQASAIATMREGFAKALVPYYPVAGRIAEPVPGEPEIECTGEGVWFVEAEASCSLEEARNLERPLCIPKEELLPRPPAGVRVEDTVLLAQVTKFTCGGLSVGICFSHLVFDGQGAAQFLKAVGEMARGIPEPSIKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSIKHVKDQVASETNQKCSTFDVVTAIIFKCRALAVDFAPDAEVRLGFAASTRHLLSNVLPSVEGYYGNCVYPGGLTKTSQEVKEASLVEIVTAIREAKEALSSRFLDWLSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEGQHSAVFSEELQKHA >PAN31519 pep chromosome:PHallii_v3.1:5:51979979:51984815:-1 gene:PAHAL_5G424400 transcript:PAN31519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANCCIAAKERTQPCITPIEVSAYRNVRHSPSWSFRWDNRTHIEDIMEIPTLFSNHSSGSIRPETKSGSIAPTEGLSNGNSLGTSPSDVFRRTKWHKSDKKMEAPKATKADPHADCSTASNSSPEAKVSRKSLDMLSVASDLKTSASVPSTSPLVSRADPSSSRGNSQSTDSDSMKKARRSPGYQLYRQVSDSKIPSLRSLNEISSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVATSQRERWSLDSELLGSISSKMTRSNASNSTSLPPDQEVCKLCLKLLTERSAWNAQELAVVAVLLCGHVYHADCLDSITTEAEKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKDVVVDIDLDGNIKHQKKGRREPRLGTSSSMKVPFSHPFLRRHFSIGSRPPRSVSQNDSTRKKGFWARHWRE >PAN29538 pep chromosome:PHallii_v3.1:5:14566818:14569081:1 gene:PAHAL_5G233000 transcript:PAN29538 gene_biotype:protein_coding transcript_biotype:protein_coding description:PE11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/TrEMBL;Acc:A0A178W118] MPGPRRQLVLTVDQSGRGDHRRIQDAVDAAPANSSPGSVVIRIKPGVYREKVAVDKPCVTLVGTSASSTVITWNESWVAAESPTVSVLAPDFIAKRLTFQNTFGTSGPAVAMRVAGDRAAFYGCRFVSFQDTLLDETGRHYYRGCYIEGGTDFIFGNAKALFDKCHLHSTSLVGGAFTAHKRSAESEDTGFSFVGCKLTGVGKGTSILGRPWGPYSRVVFALSYMSSTVRPEGWDDWSDPTKQRTALYGQYQCYGEGSKTDGRVAWSRDLSQAEAARFITKVWVGGQEWLR >PAN27840 pep chromosome:PHallii_v3.1:5:6765709:6766760:1 gene:PAHAL_5G112200 transcript:PAN27840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIIWDSMVETGKISVTDYVRVTSTECAKIFNMYPRKGAILEGSDADIILLNPERSFVMGAHTHHSRSNTNVYVGRKGKGMVEITISRGRVVWEDGVLNIAPGSGTYVRMPPFGYIFDGIEKSDAAYRASLRAPVQRGKAAA >PAN29389 pep chromosome:PHallii_v3.1:5:13702486:13708382:-1 gene:PAHAL_5G222700 transcript:PAN29389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MAATAPPLPCTQPPRAPPLLKSSPRASLRCAAAISSLSQSQTNGDGTRYSTNKRRPHMPLAAAAAVAACPSPVGLGRPLCRVYAHPRRRRGFRLEASSSASAPAPAAADAGAGAGPCPVVKFDMDDFAIADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPGNAVEQEDVPFVLVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNNERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPILCQNSPSGNIGLQMLDRQWGAGWNLLALLLATKPEKRINCLDALRHPFLCGPKWRINPSTSTIRWGLGSTAVRMAEDYIYGNHQRRRLAYFVQLMEVLNPNLRTENWLQLLHGRWRLLYCTGRHIGLTLRQPSPRILISDVFLTVSSESADPVFSLSKDNCWEDLY >PAN29390 pep chromosome:PHallii_v3.1:5:13702816:13708311:-1 gene:PAHAL_5G222700 transcript:PAN29390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MAATAPPLPCTQPPRAPPLLKSSPRASLRCAAAISSLSQSQTNGDGTRYSTNKRRPHMPLAAAAAVAACPSPVGLGRPLCRVYAHPRRRRGFRLEASSSASAPAPAAADAGAGAGPCPVVKFDMDDFAIADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPGNAVEQEDVPFVLVHGLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNNERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPILCQNSPSGNIGLQMLDRQWGAGWNLLALLLATKPEKRINCLDALRHPFLCGPKWRINPSTSTIRWGLGSTAVRMAEDYIYGNHQRRRLAYFVQLMEVLNPNLRTENWLQLLHGRWRLLYCTGRHIGLTLRQPSPRILISDVFLTVSSESADPVFSLRSDIGFRIMPESNWPHDKSGTEGVLSVTTSARITAGRIYINEQDSKESRAASSRSSRRYIRGKWRTASKMKELPASLPSVNIAMDEVDVSMSCNSTLNVNSAQKVLQEIRMQTPPEMFDLSKIVCGTYIDARLMVLRGVNGSALLFIRSNPMTDS >PAN29388 pep chromosome:PHallii_v3.1:5:13702486:13708382:-1 gene:PAHAL_5G222700 transcript:PAN29388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MAATAPPLPCTQPPRAPPLLKSSPRASLRCAAAISSLSQSQTNGDGTRYSTNKRRPHMPLAAAAAVAACPSPVGLGRPLCRVYAHPRRRRGFRLEASSSASAPAPAAADAGAGAGPCPVVKFDMDDFAIADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPGNAVEQEDVPFVLVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNNERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPILCQNSPSGNIGLQLP >PAN29387 pep chromosome:PHallii_v3.1:5:13702486:13708382:-1 gene:PAHAL_5G222700 transcript:PAN29387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MAATAPPLPCTQPPRAPPLLKSSPRASLRCAAAISSLSQSQTNGDGTRYSTNKRRPHMPLAAAAAVAACPSPVGLGRPLCRVYAHPRRRRGFRLEASSSASAPAPAAADAGAGAGPCPVVKFDMDDFAIADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPGNAVEQEDVPFVLVHGLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNNERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPILCQNSPSGNIGLQLP >PAN29391 pep chromosome:PHallii_v3.1:5:13702816:13708311:-1 gene:PAHAL_5G222700 transcript:PAN29391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MAATAPPLPCTQPPRAPPLLKSSPRASLRCAAAISSLSQSQTNGDGTRYSTNKRRPHMPLAAAAAVAACPSPVGLGRPLCRVYAHPRRRRGFRLEASSSASAPAPAAADAGAGAGPCPVVKFDMDDFAIADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPGNAVEQEDVPFVLVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNNERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPILCQNSPSGNIGLQMLDRQWGAGWNLLALLLATKPEKRINCLDALRHPFLCGPKWRINPSTSTIRWGLGSTAVRMAEDYIYGNHQRRRLAYFVQLMEVLNPNLRTENWLQLLHGRWRLLYCTGRHIGLTLRQPSPRILISDVFLTVSSESADPVFSLRSDIGFRIMPESNWPHDKSGTEGVLSVTTSARITAGRIYINEQDSKESRAASSRSSRRYIRGKWRTASKMKELPASLPSVNIAMDEVDVSMSCNSTLNVNSAQKVLQEIRMQTPPEMFDLSKIVCGTYIDARLMVLRGVNGSALLFIRSNPMTDS >PAN30929 pep chromosome:PHallii_v3.1:5:45868254:45868828:1 gene:PAHAL_5G374500 transcript:PAN30929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTTQGIRADESTANEPASNAAATGSGAESGGSNHQGSKKRRKMRLLWKRVGVAVRCFASFRRRKVNWSESEPSDEEVDSKKCTKKRY >PAN28822 pep chromosome:PHallii_v3.1:5:10856805:10860652:1 gene:PAHAL_5G180000 transcript:PAN28822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDAAHELETLAAAMVDGAAAAAPPSPSPSPSSPSSSGASPSPSSPRTKRRRTDRYALGFEFAPRLAPYEVVAPRAGPKWTERSTFALLDAWGDRFVRPGAGRSGISADEWLEIARLASAAAERPPGYFSETHCRNRIDTLRKQFKKEKERARLSARRGVPSPFGPVKWVYYDKMESILCPSPPPPLLPPFQSPVVKRRRDTQPSPRLGWGMKAPECLLGGGAEAAPRVTRPVAESGEPEPQKISAVEGNRNGFMALTESIQKFAEVFARIESSKRRHMAEVEQMRRDLQRDLDAKWREILEKAQAEIASLNDEDEDEGDVEEDGDAGDDKILEDGGGEEQNNGAMDASP >PAN28821 pep chromosome:PHallii_v3.1:5:10856898:10860581:1 gene:PAHAL_5G180000 transcript:PAN28821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDAAHELETLAAAMVDGAAAAAPPSPSPSPSSPSSSGASPSPSSPRTKRRRTDRYALGFEFAPRLAPYEVVAPRAGPKWTERSTFALLDAWGDRFVRPGAGRSGISADEWLEIARLASAAAERPPGYFSETHCRNRIDTLRKQFKKEKERARLSARRGVPSPFGPVKWVYYDKMESILCPSPPPPLLPPFQSPVVKRRRDTQPSPRLGWGMKAPECLLGGGAEAAPRVTRPVAESGEPEPQKISAVEGNRNGFMALTESIQKFAEVFARIESSKRRHMAEVEQMRRDLQRDLDAKWREILEKAQAEIASLNDEDEDEGDVEEDGDAGDDKILEDGGGEEQNNGAMDASP >PAN28820 pep chromosome:PHallii_v3.1:5:10856812:10860588:1 gene:PAHAL_5G180000 transcript:PAN28820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDAAHELETLAAAMVDGAAAAAPPSPSPSPSSPSSSGASPSPSSPRTKRRRTDRYALGFEFAPRLAPYEVVAPRAGPKWTERSTFALLDAWGDRFVRPGAGRSGISADEWLEIARLASAAAERPPGYFSETHCRNRIDTLRKQFKKEKERARLSARRGVPSPFGPVKWVYYDKMESILCPSPPPPLLPPFQSPVVKRRRDTQPSPRLGWGMKAPECLLGGGAEAAPRVTRPVAESGEPEPQKISAVEGNRNGFMALTESIQKFAEVFARIESSKRRHMAEVEQMRRDLQRDLDAKWREILEKAQAEIASLNDEDEDEGDVEEDGDAGDDKILEDGGGEEQNNGAMDASP >PAN29345 pep chromosome:PHallii_v3.1:5:13335393:13339711:-1 gene:PAHAL_5G218300 transcript:PAN29345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRKPPPSPLVDSYVVPGDVVLDLSEMTNQTIKLGMGLRQECDTIQATSAGMLRLSKPNKYWVESSQKRYVPSVEDTVLGVVVDTKPDNFLVDIKGPNVAFLPVLSFEGGTRRNIPKFEIGTLIYARVVKANSFMNPELSCMDAAGKAAEFGQLKGGFMFDTSTGMSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPAPSTVILVSNAIMRSESLSGIKQRAMVENLLERLS >PAN31174 pep chromosome:PHallii_v3.1:5:49948111:49953131:-1 gene:PAHAL_5G402000 transcript:PAN31174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHIATGAHFAIKILDRSKILSLRINDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDCQIPKWLSPAAQDLLRKILEPNPMKRINITGIKEHEWFKKDYVPAVPYDDDEDLLPSSVLPIKEPIDESAQEKPTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSTHSPKDLFDKIENVVTEMGFKVHRGPRKLKILKNCKASKNSRSPASFLVCIEVFELGPSLYVVELKKSHGDSILYRQLCERLSDELGVCKTEEIMRTESLGDSDMSSLDGEALPLSGF >PAN31175 pep chromosome:PHallii_v3.1:5:49948016:49953270:-1 gene:PAHAL_5G402000 transcript:PAN31175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHIATGAHFAIKILDRSKILSLRINDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDCQIPKWLSPAAQDLLRKILEPNPMKRINITGIKEHEWFKKDYVPAVPYDDDEDLLPSSVLPIKEPIDESAQEKPTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSTHSPKDLFDKIENVVTEMGFKVHRGPRKLKILKNCKASKNSRSPASFLVCIEVFELGPSLYVVELKKSHGDSILYRQLCERLSDELGVCKTEEIMRTESLGDSDMSSLDGEALPLSGF >PAN31176 pep chromosome:PHallii_v3.1:5:49948967:49953131:-1 gene:PAHAL_5G402000 transcript:PAN31176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHIATGAHFAIKILDRSKILSLRINDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDCQIPKWLSPAAQDLLRKILEPNPMKRINITGIKEHEWFKKDYVPAVPYDDDEDLLPSSVLPIKEPIDESAQEKPTHINAFQLIGMASSLDLSGFFEEEVCICTVSFHLFLLCHPDPDPKLFCTVQLTS >PVH38128 pep chromosome:PHallii_v3.1:5:11117552:11117803:1 gene:PAHAL_5G184300 transcript:PVH38128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGPSEIRRILYALLAVALSPLVFYLWVNLPPKFSAQLTGIQGLDTAAPAAPLTTVFNLTLHASNKRGRVAACYRHGEAAVR >PAN26448 pep chromosome:PHallii_v3.1:5:850546:856079:-1 gene:PAHAL_5G012700 transcript:PAN26448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKAMLQSTAEAAIRSIGLGFDVVSDIRIKYCKQRSSPDPWLIQLDHGEVQDIVLPGGLTVAGVTKSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFTGSWQKDASNTKTLAFDGWYVTLYSVALSKGQIVLRDHVKQAVPSTWEPAALARFIKKFGTHIVVGLKMGGKDVIYLKQNHSSSLQAADVQKRLKDMSDRRFLDANGQSDMSFKDAYGNNKSDTREQQLRFVQSSPLNSYSSNEDLVMMPKRRGGKDKDFISHSEWLNTVQTEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRHKPPIEELHQFLEFQLPRQWAPVYSDLALGPQRRRQSSTSLPVNIIGPKLYVCTNMVDVGKRPVTGLRLFLEGRKSNKLAIHLQHLCSLPQIIQLEDDPYNHQTQEYDRKYYEPIGPWKRFSHVCTAPVESDDLSIVRGAQLEVVNHGFKKILFLRLHFSKACNAALVKNSEWENSPNLAQKSGLISTLISTHFSSVAQKPPPRPADVNINSAVFPGGPPVPVQTPKLLKFVDPTEMMRGPQDSPGYWVVSGAKLNLERGKISLRVKYSLLTAMIPDDEFSLDDDEC >PAN31840 pep chromosome:PHallii_v3.1:5:53407756:53409162:1 gene:PAHAL_5G446900 transcript:PAN31840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLRPAVLLVAAVWLCSAACAADDGGITTLLPPGTASPFPFCPVRPAGASTGPFPWMTPPPPPSTAMFPQDPGFLPSGACPVGSSAVAWLPLLAALSAFLVLLR >PAN27570 pep chromosome:PHallii_v3.1:5:5475854:5476804:-1 gene:PAHAL_5G088900 transcript:PAN27570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVLGRITVETPKHEVLHTGDGYEVRKYPPCVAAEFTYDPKEWRGDADGGFTVLANYIGALGKPQNTKPEKIAMTAPVITSGGGGGEAPSEKIAMTAPVISTGAGAEPEPVAMTAPVITDDQQAPGKVTMQFLLPSKYAKAEEAPRPTDERVVIREVPERKFGVVRFSGVAADRTVREKAEGLRAALEKDGYTIKGPFVLARYNPPFTLPPLRTNEVMIPVE >PAN32979 pep chromosome:PHallii_v3.1:5:58436247:58437961:-1 gene:PAHAL_5G526700 transcript:PAN32979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVALAICALVLLSSPEPATSSSSVHRRYHSIFSFGDSFADTGNNPAVFAWYSVFDHVMRPPYGSTFFGRPTGRNADGRLILDFIAESLELPYVPPYLGPPFASPSPANGGRFRQGASFAVGAATALDVEFFRERDIPGAPSKFPFNSSLGVQLEWFESLKPSLCRTTQECREFFGGSLFFVGEFGVNDYHVSFQRKSVREVRSYVPRVVRKISMAVERLIKHGATTLVVPGVIPSGCSPPVLAMFPDAGPAEYDSRTGCLRAQNELGRHHNALLQASMAKLRAKHPHARIICADFFSPVMEMVASPRKFGFRDDVLTVCCGGPGRNNYNGSVLCGDPLATTCGDPSGSLYWDGVHFTEAANRHIAGGWLSSIQRSEARACPGN >PAN32031 pep chromosome:PHallii_v3.1:5:54143613:54145309:1 gene:PAHAL_5G458200 transcript:PAN32031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYCVTGGTGFIAAHLIRALLAAGHTVRATVRDPEDEGKVGFLWELEGAAERLTLLRADLMVEGSFDEAVGGVDGVFHTASPVVVAGGGKDVQGELVDPIVKGAANVLRSCARAPDRARRVVFTSSCSCVRYCHAATLNESHWSDADYCKSYNLWYAYAKTVAEKEAWRLAKEHGIDLVVVNPSFVIGPALGPRPTSTILIVLAMLKGELGKYPNTTIGFVHVDDVVLCHVLAMEDARASGRLICSCDVAHWSEILGSLRERYPQYPIPTECSTQKGDDRPHRMDTSKVRALGFPPFLSVQQMFDDCIKSFQDKGLLP >PVH37579 pep chromosome:PHallii_v3.1:5:2234581:2235646:1 gene:PAHAL_5G036300 transcript:PVH37579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVKGGTVWTASQTTFVQAFLVNLVADGSKTSSGFKRVHLNTCAKALNEHFKINRTHEQISNHLKTLKKKYIRINQLRSKSGAVWDEENFIIRYDHEMYTSHFKDENGKERNKGDDEYINKSLPYYGNLAIIFGDSVATGQFVKTSSEPLAVDVEEDTQKDEMNLRTPSSATIDKDDTAASGNRPSKRAKKDDNGADSLLQAFDRGTQTLTSAIRDAASKKALPPGLFEAMDSLPGFELEQKAKYYSYLLNHPNVAHGFVDAPLLYKLSMITEFINANM >PAN33084 pep chromosome:PHallii_v3.1:5:59092575:59094104:-1 gene:PAHAL_5G534800 transcript:PAN33084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKPPVFFWPPSASAWPPTSPPSKTPALPPPPPPPLPPGFFPSSFSPLPLPPPCGLLMPPPPPAGGFPHPGGSRSPKGGRFVEHLEHGGGVSVTAPARCVPAEGDLAGVPPELLPLKKRVVRYHPYSAAAAIQEMASHRNHGGGGFLLAVDVVPVPTTGARLDLDLGERDEGGLRAELLRLRIMRPALVLTKQLTFSDRSRDKARLLLPDGLVAPSPLLGMLTPAERRLVFGAGLPVPAFDRLGRAYRMSLRRDPKARTYRLTGQWSLFVSRHHTRAGDAVEVRAFRPSAWQARLDKHGEGGLGMALLHRRDDAQGYRWCNRERDAADGLLRMAASASPAIAAVA >PAN29073 pep chromosome:PHallii_v3.1:5:12165395:12175828:1 gene:PAHAL_5G200400 transcript:PAN29073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTPMPGGEGTLAAVMPRSPSPTPAEAGTSAAETPVLIFLYFHKAIRAELEALHGAAVRLATERTGDVAALAERCRFFFNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGESDLFNQLFALLQLDIQNDDGLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSCEEQADLVWQFLCNIPVNMMADFLPWLSTSVSPDEHQDIRNCLCKVVPDEKLLQQVVFTWIEGKTTIEVADSSADGNSAEDVPDQGEKHICSHQGFKLGSRNCAESNDGQVYRHPIDDILHWHNAIRKDLHDIAEETRRVQQSGDFSDISAFNERLQFIADVCIYHSIAEDQVIFPAVDSELSFVQEHAEEERRFNNFRCLIQQIQIAGAKSTAVDFYSKLCSHADKILETIEKHFCNEETKVLPQARMLFSPEKQRELSYKSLCVMPLKLLERVLPWLVSKLSDEQASSFLQNMCLAASPSETALVTLFSGWACKARDKSNSGEYLCSTSGTARCLLDDIDDLGKCRSFCPCASRNGSGLPVQLQSENGSRPGKRGKDAESFPGTNGSYCFQPADIEASPCSKKPCCIPGLRVECSNLGIGSLASAKSFLSLSYNFNAPSLYSSLFSWEKDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEELFEDISNVLLELSHLYDSQSHAQTEVNEVERNCSDSCNEADWARKYNELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALSQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSAEASSAPDSHFQDKLEQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPNSEECTDGASIPGCVPSYRDEEKQIYGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKIQPVGPTCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYQDRCQDILCNDCEKKGRCRFHWLYHKCGSCGSYNTRVIKTDTADCSTAN >PVH39563 pep chromosome:PHallii_v3.1:5:59241485:59241987:-1 gene:PAHAL_5G536800 transcript:PVH39563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPALSAHKHSGPHVLTYLHHILGAYEMLDSTVYAMQPTSDVRWLLSLQTTSKLPRTYLVEFDSIIEFYRN >PVH38889 pep chromosome:PHallii_v3.1:5:47405375:47416064:-1 gene:PAHAL_5G381500 transcript:PVH38889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFSSSFTTAALIAILALLPAVAIGAGLKFGFYNKSCPSAEALVQQAVAAAFKNNSGIAAGLIRLHFHDCFVRSQRHSTTETTN >PAN30030 pep chromosome:PHallii_v3.1:5:17410945:17411433:1 gene:PAHAL_5G268500 transcript:PAN30030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVSSSIQKCMSMSPNEHLSAYKNSLLDFGMPLVALLMTLFFHHEWCTPHQLQVRVHVQHTPCCPRVHLHTHASQRLRLQLLPCCLLTLHVHHLCTRQVTGNTFSYD >PAN29999 pep chromosome:PHallii_v3.1:5:17162162:17166937:-1 gene:PAHAL_5G265700 transcript:PAN29999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTDAAAVAPVEEESPAPAAEAAAAAEGKPTKKFEAAAAAEEEKLEGQGEGFGGPEAENGEGEGAGGGGDGGEVEEAEEDGKGGSSGAAEADKDDFGGEAVAAPAPAVESKSETGESVEASLASPDASEGDEKDGLREQEEEEEGAALDAKAVDKVADSAESAVSEEKLVPEDDKAEEVCSGTGDGGELGNEKEIDFSAESMEVTKPEDKVAPVAEANAELDDKKGASDDVVSPGGEEALEESTNKGADVEEEAAKPEPASEASPVVLTDGSEEEPPPACAGSVVEDSPEIGQNAKDQAAASEAPKESTNKGADVDDDDESAKPEPASEMSPVVVNDVSEEEPAPACANSVVEDSPEKGQNAENQAAASEALKESTNMDSDLEYEDAEPQPDSEASPVVVNDGSAEEPAPASADSVLEDSPEKEQNAEDQAAASEAVEDVGAKKLTEVESAAAAPELAPESSNENNGADETEGDAEVADHEEEAGDSDIIEAEAVADDEDGVGNEADEDEDGANSDTSPARVAILESSEAAKQIMKELAEGSSSGSVSGSKDFNDSMDGQIMLDDSEDDEDDDGDEKGFDSAALAALLKAATGGSSDGKISVASQDGSRIFTMDRPAGLGSSATSLRPTAPRQPARSNPYSPSELAVTADPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARRKALILEAEGKEDLDFSCNILVLGKIGVGKSATINSIFGEEKTRTDAFSSATTNVREIVGDVDGVKIRIIDTPGLRPNVMDQGSNRKVLSAVKKFTKKCPPDIVLYVDRLDSMSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSAEQGGNEGDSDIELDDYSDVEQDDDEEEYDQLPPFKPLTKAQLLRLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKRRGKTDLDDDYGYANITGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLAILNRFPANVAVQVTKDKKEFSIHLDSSIAAKHGDNASSLAGFDIQTVGRQLAYILRGETKIKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLSLVASTGMMKAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFPIGRGSKVAVRLGLNNKLSGQITIRTSTSEQVQIALLGLVPVAASIYRSFRPSEPSFAY >PVH39081 pep chromosome:PHallii_v3.1:5:52249389:52253710:1 gene:PAHAL_5G429100 transcript:PVH39081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKEGVDKGTARKMIRSTEGCAKSITFKRNNKSDAVQLHDLLSDILGSILSRLTFRESSGMGLVSHIWRRLWRSCCWKLVFTRATMFQPRNKSIKRTRTNFAMRVNSFLRQLHTHSPLDKFVVKFGLRRKHTYHVNRWIHFCSASRARHITINFTPGVKDFAMGLANSKYIFPLNVFSGPDGSSTHVRFLHLGYVCLDTTSSGFMAFANLKKLTLHKISFLGGLQCLMLPEFNSLEWLSISYCSLPSLSTCQPVQRLRFPFMLGASLKVMEANIKLLSKDTPYGDNLDYIYTELPAALSHVHKLSITSALWIYDELQGFAKTSARFINLRHLTMYLPLHGELKSIDGILRLAYLLELAPALEELELHRRNMLPYPHNKLKRVLISGAFEWEGLMELAYYILRSANRLECMILDPMRRIGGPPLDGWMVDRGREMIKEFFEGEEFRSILTIL >PAN29601 pep chromosome:PHallii_v3.1:5:14856664:14867526:-1 gene:PAHAL_5G237300 transcript:PAN29601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVPSAAKSASPSQPSGKSEVADLKQQLRQLAGSRASDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVTKKMCYLYVGAHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLTTGLKDPSAYVRMIAAVGAAKLYHISATACIDADLPASLKALMLSDPDAQVVANCLHSLQEIWTLEAANSEAAAREIETLYSKPVVFYLLNKIKEFSEWAQCLVLELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLSTIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYAVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYVLESLVENWDEEHSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEMKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGTEAQENVISAQRYQENDNDLLLSTSDKEDDGTRASNGSATSTYNAPSDLIGSSLISSQTPAETSLINTGGPTYSSQSNFSLDDLLGLGVTETPAPPPPPALTLNSKPVLDPGTFQRKWGQLALALTQECSLSPQGAASLMNPQSLIRHMQSNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQLKIKADDGAAAEAFSTLFQSALSKFGLS >PVH38180 pep chromosome:PHallii_v3.1:5:11756970:11763004:1 gene:PAHAL_5G194600 transcript:PVH38180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATASGGGDQNGTGRDAGEKKDAAAAAKKVSLLGMFRYADRLDVLLMVVGAAGAVANGVAEPLMTILFGNVIDSFGDSTAQSIIRSVSKVVLNFIYLGIGAAVASFLQVSCWTMAGERQSARIRSLYLNAVLRQDIAFFDTELTTGQAVSRMSSDTIVIQDALGEKAGKLLQLASAFFGGFVIAFTRGWLLTLVMLTSLPLIAIAGAVSAQFLTRVSSKKLTSYGDAGDTVEQTIGAIRTVVSFNGEKKAVAMYKNLIKKAYKTDILEGLINGFGMGSVFCILFSSYGLAFWYGGKLIVDKGYTGGKIITVLFAVLTGATSLGNATPSLSAIAEGQSAAYRLFETIERKPEIDSGDTSGMVLEDIKGDVELKDVHFRYPSRPDQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNIRLSWIREKIGLVSQEPVLFMTSIKDNIMYGKEDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVVRQGKIVEQGPHDALVKDPNGAYSQLIRLQESHADERRKQADSGLPDSRSKSTSLSLRRSMNKDSFGNSNRYSFKNSLGLSAELHEDRITGGQKTEELSDVVAHKKASIGRLFKLNTPEVPVLLLGSIAAAVHGVIFPLFGILMSGVIKSFYEPPDKLKKDTSFWALISVVMGVASLISIPAEYSLFAIAGGKLIERIRTLSFQSIVHQEVGWFDHASNSSGALGTKLSVDALNVRRLAGDNLALIVQSIASLTTGFVIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFNEDAKEMYENASQVATDAVGSIRTVASFCAEKSVVATYNEKCEALRKQGIRSGIVGGLGYGFSFLILYLTYGLCFYVGAQFVRQGKTTFPDVFKVFFALVLASIGVSQASALASDATKARDSAISIFSILDRKSKIDSSSNDGMALDNVTGNIDFNNVSFKYPQRPDVQIFSDFTLHIPSGKTAALVGESGSGKSTIIALLERFYDPDSGGISLDGVEIKSLKVRWLRDQMGLVGQEPVLFNDTIRANITYGKHGEVTEEEVMAVAKAANAHEFISGLPQGYDTVVGEKGIQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIVEKGRHEALMRIKGGAYASLVELRSKS >PAN29588 pep chromosome:PHallii_v3.1:5:14815357:14818400:1 gene:PAHAL_5G236300 transcript:PAN29588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTWFGPIPRVMIPDPELVKEVLSNKFGHFGKPRSSRIGKLLANGVVNHDGEKWAKHRRILNPAFHHEKIKRMLPVFSACCAETITRWENSMPSEGRYEIDVWPEFQNLTGDVISRTAFGSSYQEGMKIFQLQGELAERLVQSFQTIFIPGYWFLPTKNNRRMREIDREIQKILREIIGKREKAMKSGETNNDDLLGLLLESNMNESKGNAKLGLSTEDVIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLNHFGRVKPDFDSLSRLKTVTMILYEVLRLYPPATFLVRRTYKEMELGSIRYPAGVNLLLPILFIHHDPEIWGKDASEFNPARFADGVSNATKHQGSFFPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYSHAPYTVITLHPQHGAQIRLKKL >PAN29590 pep chromosome:PHallii_v3.1:5:14815357:14818400:1 gene:PAHAL_5G236300 transcript:PAN29590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARALLMLREASPWALAGAAAAAALLWLVAWTAEWAWWTPRRLDRALRAQGLKGTRYSLFTGDLRENARINREARTKPLPLGYHDIAPRVQPMLHRATKEYGKMSFTWFGPIPRVMIPDPELVKEVLSNKFGHFGKPRSSRIGKLLANGVVNHDGEKWAKHRRILNPAFHHEKIKRMLPVFSACCAETITRWENSMPSEGRYEIDVWPEFQNLTGDVISRTAFGSSYQEGMKIFQLQGELAERLVQSFQTIFIPGYWFLPTKNNRRMREIDREIQKILREIIGKREKAMKSGETNNDDLLGLLLESNMNESKGNAKLGLSTEDVIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLNHFGRVKPDFDSLSRLKTVTMILYEVLRLYPPATFLVRRTYKEMELGSIRYPAGVNLLLPILFIHHDPEIWGKDASEFNPARFADGVSNATKHQGSFFPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYSHAPYTVITLHPQHGAQIRLKKL >PAN26826 pep chromosome:PHallii_v3.1:5:2618625:2622830:-1 gene:PAHAL_5G041300 transcript:PAN26826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCASVDKKDPGFPKKQFLASATNAKAANGKGGGVAPVGDGFGDLNSKAEAEQQWGGFGPKSPDSGSKDEMFFESRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMTNIFQPGNLDKSKSSEPSPTGRRKLAELLQEAMQNGDEESTDVSKNEKQQLQSVAADGKPVSESTSSSACSTEPTPTVVTKSRREKAWYTGHCCLPSFVHSLTLDGSERGQNVSSRPCAV >PAN29413 pep chromosome:PHallii_v3.1:5:13819540:13823285:-1 gene:PAHAL_5G224200 transcript:PAN29413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSSTTYLALARKTLNPAPSGAPGAAAGSVSFPAAQAPCHLAASAGRRRAVAAKVASPSVVGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFPLLPESFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPNNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGMVEALFRRYTEQGMDEDSAYKNTVESITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVSIDKKAPINQDLISNFMSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSS >PAN28251 pep chromosome:PHallii_v3.1:5:8418802:8426829:-1 gene:PAHAL_5G140100 transcript:PAN28251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGVRQSRLRAKKVEDLVAQDPADNLVAAAPTVAGRRGRGRGGRGGGRGTARGRGGRGRGVPVIDLDPDQPCEVLPGAAVGGRAAGGTLPIEEFADKALKMDGGSAEKIAGGEDEGAASPVPEKVQVGHSPQYKVERKLGKGGFGQVYVGRRISGGTERTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGVPWVHYKGRQGDYYVLVMDILGPSLWDVWNSFGQTMTANMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEGGLSGQHVEYDQKPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLETVTNMRFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWEHNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >PAN28368 pep chromosome:PHallii_v3.1:5:8897379:8900955:-1 gene:PAHAL_5G148200 transcript:PAN28368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLRACCRLRRLLAPPPPQAPPPGHPLARGPNATAAHGSPFSRLFSSASAVAAVAPHEARDSGLGGSAYWDWIRAATESAPAPTRPHEEEDDGPARYIPVKAYFLSTSIDLKSMQAEHGSDIVPPSTRTLNYIALRYSEFPPEIMEIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRNCLEKCKLCTNSGVSSGRI >PAN28366 pep chromosome:PHallii_v3.1:5:8898097:8900868:-1 gene:PAHAL_5G148200 transcript:PAN28366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLRACCRLRRLLAPPPPQAPPPGHPLARGPNATAAHGSPFSRLFSSASAVAAVAPHEARDSGLGGSAYWDWIRAATESAPAPTRPHEEEDDGPARYIPVKAYFLSTSIDLKSMQAEHGSDIVPPSTRTLNYIALRYSEFPPEIMEIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLTIEIAISLYEIIKDSNMIS >PAN31639 pep chromosome:PHallii_v3.1:5:52536276:52539313:-1 gene:PAHAL_5G433300 transcript:PAN31639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMTEKEREKQDLLSRATGGGAMQHSPVAFTLGQIKKAILPHCFQRSIIKSFSYVVHDLGIATALLYFALVIVPALPSPLHLAAWPLYWIALRGHRVWVIAHECGHHAFSDYSLLDDDVGLVLHSSLMVPYFSSKCSHRRHHSNTGSLDRDEAFVPRKKEALPWYAPYVYGNPVGRLLHITVQLTLGWPLYLTTNASGRPYPCFACHYDPYGPIYNDRERVQIFVSDAGVMAVSFGLYKLAATFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWMRGRVFHNITDAHVAHHLFSTMPHYHAMEATKAIKPILGEYYQSDPTPVAKATWREVRECIYVEPEDREGVFWYNHKF >PAN31943 pep chromosome:PHallii_v3.1:5:53719559:53723155:-1 gene:PAHAL_5G451400 transcript:PAN31943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDPGKLIKSVKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAISAPNAIRRSSPGHAHPSPSPITPFVEEKRQQAPVVEEKPHKAELAAEEKPPKAQHAAEEKPPAVDVEEAHAETEDQKLVADDGRRSGIGGDGIPTESAPAKKPACDIQGPWASDVCDVDGDVRIRGSAGTILIAPSIESGGNPNPQEWQIRPYSRKHQAGIKEVTVRELASAADAPACDVRSPVPALVFAMGGLTGNYWHDFSDIMIPLYLQAVRFKGEVQLVVENFQPWYAGKYRTILKRLSRYEIVDMDKDDRVRCFPGAVVGIRMHKEFSIDPAREPLGHSMPEFTRFLRETFSLPRDAPTRLAGADGEDNEKVRPRMMIISRRHPRKLVNVDAVVALAERVGFEVVIGDPPFNVDVGEFAREVNAADALVGVHGAGLTNSVFLPTGAVFVQVVPYGKMEHIGEVDFGVPAVDMGLRYIAYSAGVEESTLVDTLGRDHPAVRDPESIHRSGWGKVAEYYLGRQDIRLDLARFEPVLRKAMELLRERQ >PAN31942 pep chromosome:PHallii_v3.1:5:53719529:53723344:-1 gene:PAHAL_5G451400 transcript:PAN31942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDPGKLIKSVKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAISAPNAIRRSSPGHAHPSPSPITPFVEEKRQQAPVVEEKPHKAELAAEEKPPKAQHAAEEKPPAVDVEEAHAETEDQKLVADDGRRSGIGGDGIPTESAPAKKPACDIQGPWASDVCDVDGDVRIRGSAGTILIAPSIESGGNPNPQEWQIRPYSRKHQAGIKEVTVRELASAADAPACDVRSPVPALVFAMGGLTGNYWHDFSDIMIPLYLQAVRFKGEVQLVVENFQPWYAGKYRTILKRLSRYEIVDMDKDDRVRCFPGAVVGIRMHKEFSIDPAREPLGHSMPEFTRFLRETFSLPRDAPTRLAGADGEDNEKVRPRMMIISRRHPRKLVNVDAVVALAERVGFEVVIGDPPFNVDVGEFAREVNAADALVGVHGAGLTNSVFLPTGAVFVQVVPYGKMEHIGEVDFGVPAVDMGLRYIAYSAGVEESTLVDTLGRDHPAVRDPESIHRSGWGKVAEYYLGRQDIRLDLARFEPVLRKAMELLRERQ >PVH38434 pep chromosome:PHallii_v3.1:5:16364868:16366030:1 gene:PAHAL_5G257000 transcript:PVH38434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PVH38295 pep chromosome:PHallii_v3.1:5:13566382:13567342:-1 gene:PAHAL_5G221500 transcript:PVH38295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDPPAPPGRHADRRRAHSLLLVLIAPRASGRGRHPEQSVVLIGVCLRPTPPVPASTNKTPTMAPISRASVVLVVAVAAAANAQAPASAPASDGTSVDQGIAYVLMLVALVLTYLIHPLDASSAYKLF >PAN30470 pep chromosome:PHallii_v3.1:5:20297229:20304862:-1 gene:PAHAL_5G284200 transcript:PAN30470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVKWQKEVFSGIEIDTSQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVVLGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDTGRGNGIDQASHNLTLATRNTFGELDQSVRPVAPLQFLQTLRKKYPQFAQQHNNVYMQQDAEECWTQLVYTLSQTLTSDASESAALSMKQLFGIDLVSRVHCAESGEESTETESVYSLKCHISQDVNHLHEGLKHGLKTELEKVSPALGRTAIYTRESRINELPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYEFCSDELKQKLQAPRQMLRDAENAKFGLKTEVKASSSKENEGSSSTAGDSSSMDIDKADPSVPKKQLTGVYDLVAVLTHKGRSADSGHYVGWVKQDNGKWIEFDDDNPNIRKEEDILKLSGGGDWHMAYICLYKARVAESKS >PVH37479 pep chromosome:PHallii_v3.1:5:871111:876847:1 gene:PAHAL_5G013000 transcript:PVH37479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRKSRRSLKRNESADDLTRSSKKNKITYLTRSSTKNKIIRNEGETTELLISGDLHLESNQGVWSELSEQVVSKLSKSVVSLSLSNGRMVLCTCSGIAVQRERNVTRFLTSASLVKALFNETKYHDNLKVKVCHEDNVIMGFLGEYDVDCNFPVVNAKNFLDLETVNFSNLLEFPPDGNVVSVGREISGKLTTTSGILNCDLSRSVPSLVRTTCKISKVCEGGAVFDCDGNFLGMNLFFNTEGTLFMPKYRLLETLFRFCTPVENIRFPKKVRVEESSNGEMPNSDQEASRITLSAIYLIY >PVH37943 pep chromosome:PHallii_v3.1:5:7687904:7688638:-1 gene:PAHAL_5G129000 transcript:PVH37943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYVAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYQKTARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRTANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPVKKRSIRTEEESP >PVH38005 pep chromosome:PHallii_v3.1:5:8866944:8870297:-1 gene:PAHAL_5G147600 transcript:PVH38005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRMAISINLGRPNWAFQFLLLLFLLSAVPVSLTPPPPPPRRRRLRGCAAAAPNPSPAALRYTMRLLQAEELFRKVLEGGSKTKAARLLGLDVGSKYVGLAVSDEKNRIALPLSVLSRTKTNINLMADDFKTLASKYSLAGFVVGYPFNLHGQHSPNAVQVRLLAGELCKTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTITDKFAAVCILQGYLDNMNRKFRPAGKSEA >PVH38003 pep chromosome:PHallii_v3.1:5:8866866:8870381:-1 gene:PAHAL_5G147600 transcript:PVH38003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRMAISINLGRPNWAFQFLLLLFLLSAVPVSLTPPPPPPRRRRLRGCAAAAPNPSPAALRYTMRLLQAEELFRKVLEGGSKTKAARLLGLDVGSKYVGLAVSDEKNRIALPLSVLSRTKTNINLMADDFKTLASKYSLAGFVVGYPFNLHGQHSPNAVQVRLLAGELCKTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTITDKFAAVCILQGYLDNMNRKFRPAGKSEA >PVH38004 pep chromosome:PHallii_v3.1:5:8866944:8870297:-1 gene:PAHAL_5G147600 transcript:PVH38004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRMAISINLGRPNWAFQFLLLLFLLSAVPVSLTPPPPPPRRRRLRGCAAAAPNPSPAALRYTMRLLQAEELFRKVLEGGSKTKAARLLGLDVGSKYVGLAVSDEKNRIALPLSVLSRTKTNINLMADDFKTLASKYSLAGFVVGYPFNLHGQHSPNAVQVRLLAGELCKTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTITDKFAAVCILQLW >PVH37616 pep chromosome:PHallii_v3.1:5:2993135:2997073:1 gene:PAHAL_5G047500 transcript:PVH37616 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor ABI3 [Source:Projected from Arabidopsis thaliana (AT3G24650) UniProtKB/Swiss-Prot;Acc:Q01593] MDASAGSSPPHSQENPPEHGGDLGEAPAEEIGGEAADDFLFAEDTFPSLPDFPCLSSPSSSTFSSSSSSNSSCAYTNAAGGAGGGADGAAGERSGPVSAGEGFDALDDIDQLLDFASLSMPWDSEPTFPEVSMMLEDAMFPPPHPVGDGRREGKAVLEGTGGEEACMDAGAAGEDLPRFFMEWLTSNRENISAEDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQRKRPRDALEEAAGLHGHGHSQLSSPGANPGYEFPAGGQDMAAGGGTSWMPYQQPFTPPAYGGDAVYPGAAGQYPFHQSSSTSSVVVNSQPFSPPAVGDMHAAGGGNMAWTQQYVPFPGASTGSYPMPPVVPQPFSPGFGGQYAGAGHPMPPQLMAGVEASATKEARKKRMARQRRLSCLQQQRSQQLNLGQIQVPVLQHEPSARSTNSAPVTPSGGGWGFWPPGSQQQVQNPLSKSNSSRAPMQQVPRSPEAAAPPSAKPAPGARQDESPQRSTASDKRQGAKTDKNLRYLLQKVLKQSDVGSLGRIVLPKEAEVHLPELKTRDGISIPMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGEFVRSNELQEGDFIVIYSDVKSGKYLIRGVKVRPAQEQQGNGSSAVGKHKHGYPGPEKAGGASDEGGADGASKPDGGCKGRSSQGVRRARHQGAASMAVSI >PVH37617 pep chromosome:PHallii_v3.1:5:2993135:2997073:1 gene:PAHAL_5G047500 transcript:PVH37617 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor ABI3 [Source:Projected from Arabidopsis thaliana (AT3G24650) UniProtKB/Swiss-Prot;Acc:Q01593] MDASAGSSPPHSQENPPEHGGDLGEAPAEEIGGEAADDFLFAEDTFPSLPDFPCLSSPSSSTFSSSSSSNSSCAYTNAAGGAGGGADGAAGERSGPVSAGEGFDALDDIDQLLDFASLSMPWDSEPTFPEVSMMLEDAMFPPPHPVGDGRREGKAVLEGTGGEEACMDAGAAGEDLPRFFMEWLTSNRENISAEDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQRKRPRDALEEAAGLHGHGHSQLSSPGANPGYEFPAGGQDMAAGGGTSWMPYQQPFTPPAYGGDAVYPGAAGQYPFHQSSSTSSVVVNSQPFSPPAVGDMHAAGGGNMAWTQQYVPFPGASTGSYPMPPVVPQPFSPGFGGQYAGAGHPMPPQLMAGVEASATKEARKKRMARQRRLSCLQQQRSQQLNLGQIQVPVLQHEPSARSTNSAPVTPSGGGWGFWPPGSQQQVQNPLSKSNSSRAPMQQVPRSPEAAAPPSAKPAPGARQDESPQRSTASDKRQGAKTDKNLRYLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELKTRDGISIPMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGEFVRSNELQEGDFIVIYSDVKSGKYLIRGVKVRPAQEQQGNGSSAVGKHKHGYPGPEKAGGASDEGGADGASKPDGGCKGRSSQGVRRARHQGAASMAVSI >PAN27380 pep chromosome:PHallii_v3.1:5:4769977:4773566:1 gene:PAHAL_5G076900 transcript:PAN27380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFELADQRGAQRAGAGAGAWPVKGGGGGQQDERAAAGMAMAGVCPGEVMSEYHQARELSTMVSALAHVVAGGPWGDEAPAPRGSGGAPEQQAMHGGYVREVGSYHHSAPSPEFAGSEQGSDTQSAGAAAAAAAMEEHHAAALSGQEGPETPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLHPASTAAAAPPASAPLAGAAASTSPPGYHAGAAQGADYLRYQMLLQGATGNQGTLLPFYGGGGGMSNLYGGGGGAMSNPYGGGGGGGGNTSGFLGSYYSFPPSSVSVATVPSSASSASGYYYSSSYDSHQSESSAPASDWNWETSLLNWSDAGYPPPPHSQ >PVH37899 pep chromosome:PHallii_v3.1:5:7010932:7012970:-1 gene:PAHAL_5G116300 transcript:PVH37899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLPPTVYLGAFDNEEAAAHTYDLAALKYWGSESSECKLNFPLELYNHEHETMHRMSREAYLAALRRRSSSFSRGASEYRGVAKHHRNGRWEARIGYANCKKYLYLGIFGTQEEAARAYDLAALELRGHAAITNFDISSYTDYLQQLEQPVPKAQPKPALKPKAEPVDEEARLLPKAADPIPAPLLTPKPEPEDELAGPLAPLPPGPVLRDEDDVDNAIAEILPALGMDPADFEARYPARGARALGWPSDDHQLRGLPLPDAGRFEDDIETLFEAPGSAGPGQGGEVQPPAAVVPDAVSCAAAAISSLASGRWW >PAN27899 pep chromosome:PHallii_v3.1:5:7010417:7013761:-1 gene:PAHAL_5G116300 transcript:PAN27899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRPHCAATPLSPSSRASSSSSLSCVSSAASSSGSCYVPASWPPKCGGKKKRSSRRRAKNGAAGGAVAVLRRNSSIYRGVTRHRATGKFEAHLWDRHARCPAKSKKGRQVYLGAFDNEEAAAHTYDLAALKYWGSESSECKLNFPLELYNHEHETMHRMSREAYLAALRRRSSSFSRGASEYRGVAKHHRNGRWEARIGYANCKKYLYLGIFGTQEEAARAYDLAALELRGHAAITNFDISSYTDYLQQLEQPVPKAQPKPALKPKAEPVDEEARLLPKAADPIPAPLLTPKPEPEDELAGPLAPLPPGPVLRDEDDVDNAIAEILPALGMDPADFEARYPARGARALGWPSDDHQLRGLPLPDAGRFEDDIETLFEAPGSAGPGQGGEVQPPAAVVPDAVSCAAAAISSLASGRWW >PVH39373 pep chromosome:PHallii_v3.1:5:55831515:55833855:-1 gene:PAHAL_5G486600 transcript:PVH39373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPVAAATTTRVALYLRRARLIDSLRLRLRSSSPSSPPSPPPDDPVVALHAIRAAPTPSSALSLFRALPSAPPPPLPLYHALAARLASLAALPDLRAHLASFPLPAPPLARLRLLAAAGDRAAALEALGSLPAAPRRPAEAHNVVIELHARDGDHGAAVEAFRGMVREGALPNARTYTVVIAHLASAGFVDQALEVFRVLPSLRVRRTTRQYNVLAEALAAADRFDQLRWLVREMAAVDGVMPGPQMRAAIAAMRGAGHIDGTEDFVEELSPNARIGYAVDDVEGEGVSEEEDDDNGDANHGDRDRGNSEKQSLKPWLDPRELARALDGWDPREVADLEAAGLVWTPRLVCKLLRAFKKAETAWEFFCWVACRPGGFAHDRHTVARMVAILARAGHVELVERLLAKVRADGILLPFATVRLVIDFYGLSKKADAATRVFREAESICGPISRPNLALLCSSLLRTMAKCRRALDAMDLLEEMMARGVLPDLQTFSGLMEHLAGAGDLKGVHRLLGLVRQCELQPDGYMYSVLVRAYCKRERAALALRVFDEMRAAGVAPDAPTKALLVKSLWREGKLREAALVEERCEDVASGLPEASPGHVWTASAADLKKVLDIYSGCFAQLAAQGGTG >PAN27730 pep chromosome:PHallii_v3.1:5:6227762:6232538:1 gene:PAHAL_5G102200 transcript:PAN27730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRSRVEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLVITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCRAAFDLASAFDEAISLGNKENVTVAQVKQYCEMESHEEKLYKLVMQSKINETKDHMRKRVTEIEKSKIDRGKNEKAFGPLRPPNSFSDMSTKGTGLGGDPIFADLFAQKPKGLPSAPAPAPATSKVAGGMKLGKAKKTNQFLESLKAEGELISEDAQPTGIQSGPSSIPPSDPIAVAIEEKINVTVKKDGGIHNFDIQGTLALQVLNDTGGFIQLQIENQDLPGLSFKTHPYINKELFNSQKFVGAKDPNRPFPSGQNETPLVKWRIQELDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALRAAPSVRQIDGEWKYVSKPLPSCFKYSGNVYVSRITCTTQIMLRAIG >PVH37841 pep chromosome:PHallii_v3.1:5:6227762:6232538:1 gene:PAHAL_5G102200 transcript:PVH37841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRSRVEGLLAAFPKLVGTGKQHTYVETENVPEYSPSLDEEGVCRAAFDLASAFDEAISLGNKENVTVAQVKQYCEMESHEEKLYKLVMQSKINETKDHMRKRVTEIEKSKIDRGKNEKAFGPLRPPNSFSDMSTKGTGLGGDPIFADLFAQKPKGLPSAPAPAPATSKVAGGMKLGKAKKTNQFLESLKAEGELISEDAQPTGIQSGPSSIPPSDPIAVAIEEKINVTVKKDGGIHNFDIQGTLALQVLNDTGGFIQLQIENQDLPGLSFKTHPYINKELFNSQKFVGAKDPNRPFPSGQNETPLVKWRIQELDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALRAAPSVRQIDGEWKYVSKPLPSCFKYSGNVYVSRITCTTQIMLRAIG >PVH37842 pep chromosome:PHallii_v3.1:5:6227762:6234068:1 gene:PAHAL_5G102200 transcript:PVH37842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRSRVEGLLAAFPKLVGTGKQHTYVETENVPEYSPSLDEEGVCRAAFDLASAFDEAISLGNKENVTVAQVKQYCEMESHEEKLYKLVMQSKINETKDHMRKRVTEIEKSKIDRGKNEKAFGPLRPPNSFSDMSTKGTGLGGDPIFADLFAQKPKGLPSAPAPAPATSKVAGGMKLGKAKKTNQFLESLKAEGELISEDAQPTGIQSGPSSIPPSDPIAVAIEEKINVTVKKDGGIHNFDIQGTLALQVLNDTGGFIQLQIENQDLPGLSFKTHPYINKELFNSQKFVGAKDPNRPFPSGQNETPLVKWRIQELDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALRAAPSVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFAVPASDPSTFFPISVGFSASSTFSDLKVTAVLPLREGRPPKFSQRIRLVTDNYIVI >PAN27731 pep chromosome:PHallii_v3.1:5:6227762:6234068:1 gene:PAHAL_5G102200 transcript:PAN27731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRSRVEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLVITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCRAAFDLASAFDEAISLGNKENVTVAQVKQYCEMESHEEKLYKLVMQSKINETKDHMRKRVTEIEKSKIDRGKNEKAFGPLRPPNSFSDMSTKGTGLGGDPIFADLFAQKPKGLPSAPAPAPATSKVAGGMKLGKAKKTNQFLESLKAEGELISEDAQPTGIQSGPSSIPPSDPIAVAIEEKINVTVKKDGGIHNFDIQGTLALQVLNDTGGFIQLQIENQDLPGLSFKTHPYINKELFNSQKFVGAKDPNRPFPSGQNETPLVKWRIQELDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALRAAPSVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFAVPASDPSTFFPISVGFSASSTFSDLKVTAVLPLREGRPPKFSQRIRLVTDNYIVI >PVH37743 pep chromosome:PHallii_v3.1:5:4596700:4598899:-1 gene:PAHAL_5G074400 transcript:PVH37743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVAGAVDYRGQPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWIGRYRAIVLASLIFVVSMGALSVSSAFPVFRAGHVAIFYVALYLVALGEGAHKPCAQAFAADQFDEKDPRESVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIAASLAVFLLGSRSYRYYTTTEASPFSRVWKAFLALIESWTPNRCVIISKEDGNAAAVEEVKSVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGAKFKVPPAALQTFISVSIVVFIPVYDRLFVPLARRCTGRPTGITMLQRIGAGLALSLVAVALSALVEMKRLGVARDAGLVNTPKAQLPMSLWWMVPQYVLIGVADVFAMIGLQEFFYDQVPDAARSLGLALFLSIFGVGHLLSSLLISVIDKATAKSGTSWFSNNLNRAHLDYFYWLLTGLCAVELVAFVLFSRVYVYKRKGGNDNAGHGDFV >PVH38912 pep chromosome:PHallii_v3.1:5:48418377:48418691:1 gene:PAHAL_5G388100 transcript:PVH38912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFTFDTGEDSKVRNTFWAYGTSRKLYEHYGDVISFDTTYEINRYNLKFAPFVCINGHGDNLLFAGVVLSDETIPTFRWLFSIFLTCTGGKHQNLSLLIRMQQ >PAN27455 pep chromosome:PHallii_v3.1:5:5054234:5058096:-1 gene:PAHAL_5G082300 transcript:PAN27455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36270) TAIR;Acc:AT2G36270] MASEMSKNVKALDEQEVTSHQRGDQGVRARPGGAAAEDQADQLARQASIMSLTLEELQSSLCEPGRNFGSMNMDEFMANIWNAEEFQAATGGCKEDAAEQEAAAVAAAAGAGENGGSGLVRQGSFALPPPLSRKTVEEVWAEINQGPADAGAHSAPQAIVQPQVGSGGVAASGRQVTLGEMTLEDFLVKAGVVRGAFAAGHGQAVGMVPAAPMGHMQQGQLPAPMMYQVAPGNAVFPVMGDGMGYHNGYPGAMAVVPPPPPSQCVAPAVSPGSSDGMSAMTQAEMMSCIGNGGMVRNGGGARKRDSPEDGCTEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNHLKEENARLKAEEKTILLSKKKMLVEKMMEQARENVSAKKSGRGLRRCGSAMW >PAN27456 pep chromosome:PHallii_v3.1:5:5054234:5056266:-1 gene:PAHAL_5G082300 transcript:PAN27456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36270) TAIR;Acc:AT2G36270] MASEMSKNVKALDEQEVTSHQRGDQGVRARPGGAAAEDQADQLARQASIMSLTLEELQSSLCEPGRNFGSMNMDEFMANIWNAEEFQAATGGCKEDAAEQEAAAVAAAAGAGENGGSGLVRQGSFALPPPLSRKTVEEVWAEINQGPADAGAHSAPQAIVQPQVGSGGVAASGRQVTLGEMTLEDFLVKAGVVRGAFAAGHGQAVGMVPAAPMGHMQQGQLPAPMMYQVAPGNAVFPVMGDGMGYHNGYPGAMAVVPPPPPSQCVAPAVSPGSSDGMSAMTQAEMMSCIGNGGMVRNGGGARKRDSPEDGCTEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNHLKEENARLKAEEKTILLSKKKMLVEKMMEQARENVSAKKSGRGLRRCGSAMW >PAN31834 pep chromosome:PHallii_v3.1:5:53371678:53373673:-1 gene:PAHAL_5G446300 transcript:PAN31834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASKRSSAMQPQLRPVMYDEEPSSMSLELFGYHGGVVVDGDEGEGSAAAALSLQLAFDDDSFKHGASAGGDYYGSWAGYGGSGASSSSSSSVLSFEQAGSGGHHHHHLGYGDDGCALWMDAAAAGMVEHPPPQNGSACRFGLVSPGSSADDDAGGLRIQDLSSVQPPAKATNKRARPDGEVHAAAAKKQCGGGGGRKSKAKAAPAPTKDPQSVAAKVRRERIAEKLKVLQDLVPNGTKVDLVTMLEKAITYVKFLQLQVKVLAADEFWPAQGGKAPELSQVKDALDAILSSQQYPHK >PAN32994 pep chromosome:PHallii_v3.1:5:58503681:58507566:1 gene:PAHAL_5G527800 transcript:PAN32994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like enzyme, Auxin biosynthesi [Source: Projected from Oryza sativa (Os01g0224700)] MDRFAEIEGKRAHDPLYSPRRAARATATGFPVGEHGEVFAGPLIVGAGPAGLAVAACLTMRRVPYALLERHGCIASLWRHRTYRRLRLHLPKRYCELPLMPFPVSYPEYPTREQFLDYLEEYLRTFGIRPFFRQEVVSAEFDGEFWCVRTKEVVAAAIDGAQQEAVLSTATREYRSKWLVVATGENADPVLPEIDGLDGFKGQVMHSCDYRSGEPYQGSKVLVVGCGNSGMEVSLDLSNHNVHTSMVVRDTVHVLPREIMGLSTFRLSLWLLMCLSVQTVDRLLLLLTRFVLGDTARLGIPRPSMGPMELKKVCGKTPVLDVGTIAKIKSGDIKVLPGIQSFSEHGVEFIDGKTEDFDVVILATGYKSNVPYWLKENEFFSEKDGFPRKPNECKGKNGLYAAGFSRRGLLGVSIDATKIADDIAQCWKDIGYEKHKTK >PAN26845 pep chromosome:PHallii_v3.1:5:2707985:2711448:1 gene:PAHAL_5G042900 transcript:PAN26845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDGAIGDQGEIWGWQSQEYSLQKDLLADPCSSLWAEASNNLSDDWSMLDEQTPIKHCTDFEFQFCDIGDIIIKDFEEGKETLQAKRRRMLQFCPENVEMTCTMTEDGLSESLQVMDFPGANCLLNSDGTDELPEEWLVNCSQDSEPRLPAEEMTSPATAVEKDNSTSVHQNSSPCEQAIVVHNNPVQARPTPLKAGRNIIRAKKVRTSVAFPFELIKPCSILGAVTLNDINEKIHAPPPYKIKHKNDEELNSHQASAISGKPVVHMTKIHTEGGKGSITITRTIG >PVH38159 pep chromosome:PHallii_v3.1:5:11373280:11374148:-1 gene:PAHAL_5G188800 transcript:PVH38159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQTARGRVGLTTTEVKSQAIPIPIRPAIPIASAAAAKKRSTTAAAMGVMGRLRIFVVQEPVVAASCLIAGFVLFLWL >PVH38160 pep chromosome:PHallii_v3.1:5:11371114:11374156:-1 gene:PAHAL_5G188800 transcript:PVH38160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQTARGRVGLTTTEVKSQAIPIPIRPAIPIASAAAAKKRSTTAAAMGVMGRLRIFVVQEPVVAASCLIAGFGLFLPAVVRPILDSFETAKQVPQPALNDVVAGGTGKK >PAN32081 pep chromosome:PHallii_v3.1:5:54406875:54410309:-1 gene:PAHAL_5G461900 transcript:PAN32081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAAGAAGSAPGPTAAAAAAAVQKQKSLLQKADADVSSLVDNFSSLINIARVNDPPVRNSQEAFQMEMRAARMVHSADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLGQQAEGTEKMLERIGQEAAASLKELEAHYYSSVVRSPSYD >PVH37606 pep chromosome:PHallii_v3.1:5:2677598:2683454:1 gene:PAHAL_5G042200 transcript:PVH37606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MAGNEWINGYLEAILDSRTAPGGGGGGGGGGGGGGGGGDPKSPVAGASPPAAASPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLELEGLQRMSAKRKEQEQVRREATEDLAEDLSEAADTLGELVPVETAKKKFQRNFSDLTVWSDDNKEKKLYIVLISVHGLVRGENMELGRDSDTGGQVKYVVELARALSMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLTTGSIDGEGMGESGGAYIVRIPCGPRDKYLKKEALWPYLQEFVDGALGHILNMSKALGEQVGNGRPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRMSKEDIDSTYKIMRRIEGEELALDASELVITSTRQEIDEQWGLYDGFDVKLEKVLRARTRRGVSCHGRFMPRMVVIPPGMDFSNVIPEDIDGDGDSKDDIISLEGASPKSMPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDDMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHNQADVPEIYRLAAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTALNNGLLVDPHDQNAIADALLKLVADKNLWQECRRNGLRNIHLYSWPEHCRTYLTRIAGCRLRNPRWLKDTPADAGADEEELLEDSMDAQDLSLRLSIDGEKNSLCINEPPSSDPQDQVQKIMNKIKQSSALPPSMSSVSDGGKNAAEATGSVVNKYPLLRRRRRLFVIAVDCYQDDGRASKKMLQVIQEVLRAVRSDSQLSKISGFALSTAMPLSETLQLLQLGKIQATDFDALICGSGSEVYYPGTSHCIDAEGKLRPDQDYLLHISHRWSHDGARQTIAKLMVAQDGSGDVVEQDVASSNAHCVSFLIKDTKKVKTIDEMRERLRMRGLRCHIMYCRNSTRLQVVPLLASRSQALRYLFVRWGLAVGNMYLITGEHGDTDLEEMLSGLHKTVVLRGVTEKGSEALLRSSGSYHRTDVVPTESPLVSYTAGDLKADEIMRALKQVSKTSSGM >PAN27541 pep chromosome:PHallii_v3.1:5:5427520:5433459:1 gene:PAHAL_5G088000 transcript:PAN27541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSNCGAAEKVKPAASPAADKPADIAGNISYHAQYSPHFSPLAFGPEEAFYATAESVRDHLIERWNDTYVHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALVGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRITKEGQEEIAEDWLDKFSPWEIPRHDVVFPVRFFGHVEILPDGSRKWVGGEVLKALAYDVPIPGYKTKNAISLRLWEAKATAEDFNLFQFNDGQYESSAQLHARAEQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDMIARFKERKADRVSGKWSEFPSKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDITYRTVSYTNHTVLPEALEKWSQIVMRKLLPRQMEIIEEIDKRFREMVISKHKEMEGKIDSMKVLDGSNSQKPVVRMANLCVVSSHTVNGVAELHSNILKQELFADYVSIWPNKFQNKTNGITPRRWLKFCNPELSEIITRWLKTDQWTSDLDLLTGLRKFADDEKLHAEWAAAKLACKKRLAKHVLDATGVTIDPTSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEEKQKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPEVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGEDNFFLFGAKADQVAGLRKDRENGLFKPDPHFEEAKQLIRSGAFGSYNYEPLLDSLEGNSGFGRGDYFLVGYDFPGYIDAQDRVDAAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWDIKASPVA >PAN31003 pep chromosome:PHallii_v3.1:5:47105353:47109539:-1 gene:PAHAL_5G379500 transcript:PAN31003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAGAGGSAMRRRRAVLPAAAAALLLALAVSALAVPTAAAASSGFRCRPGSRPVLFNFGDSNSDTGGMAAARGWHLTRPEGRAFFPRPTGRFCDGRLTIDFLCESLNISYLSPFLKALGSNYSRGANFASAGAATQPRDVPFALHIQVQEFLYFRDRSLELIDQGLSGPIDAQGFQNALYMIDIGQNDVNALLSNLPYDQVIAKFPPILAEIKDAVQTLYSNGSRNFWIHGTGALGCLPQKLAIPRKNDSDLDQYGCLKTYNRAAVAFNTALSNLCDQLSVEMKDATIVHTDLFPIKYDLVANHTKYGFDKPLMTCCGYGGPPYNYDFNKGCQSKDVTACDDGSKFVSWDGVHLTEAANAVVAKAILSSQYSKPSLKFDQFCRV >PVH38283 pep chromosome:PHallii_v3.1:5:13395709:13402540:1 gene:PAHAL_5G219300 transcript:PVH38283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:Projected from Arabidopsis thaliana (AT5G52920) UniProtKB/TrEMBL;Acc:A0A178U9G2] MAAQVVAASGAAAAARPPCGGSGADGLRPSPRLQLGACASRERWGRAAAARARRGLQAVSVISRAPRTEAEVLPVSPDDDAAVKEEADFQHLKAIQQLATTANGVWSKPNVRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHASHQKVIDLVKEYNASHADNVIAIMLDTKGPEVRSGDLPQPIFLESGQEFTFTIKRGVGTDTCVSVNYDDFVNDVEVGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVDNQVDYYAVSFVKDAQVVHELKDYLRSCNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATLPGGETPADLGQVFKGESETTIGFVPRCMSSSNGFF >PVH38282 pep chromosome:PHallii_v3.1:5:13395709:13402525:1 gene:PAHAL_5G219300 transcript:PVH38282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:Projected from Arabidopsis thaliana (AT5G52920) UniProtKB/TrEMBL;Acc:A0A178U9G2] MAAQVVAASGAAAAARPPCGGSGADGLRPSPRLQLGACASRERWGRAAAARARRGLQAVSVISRAPRTEAEVLPVSPDDDAAVKEEADFQHLKAIQQLATTANGVWSKPNVRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHASHQKVIDLVKEYNASHADNVIAIMLDTKGPEVRSGDLPQPIFLESGQEFTFTIKRGVGTDTCVSVNYDDFVNDVEVGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVDNQVDYYAVSFVKDAQVVHELKDYLRSCNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATLPGGETPADLGQVFKNHMSEMFAYHATMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDEERVRQRLALYQGVCPVLMDFSDDAEKTFGDALSYLLKHGMVKDGEEVALVQSGKQPIWRSQSTHNIQVRKV >PAN31475 pep chromosome:PHallii_v3.1:5:51808096:51810649:-1 gene:PAHAL_5G422200 transcript:PAN31475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMGCLVLLCLVSPFLLASAIHGHPWGGLFPQFYDHSCPKAKEIVQSIVAQAVAKETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNRNSLRGFEVVDQIKAALEAACPGTVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRQGLNVVDVVALSGGHTIGMSRCTSFRQRLYNQTGNGMADSTLDVSYAAQLRQGCPRSGGDNNLFPLDFVTPAKFDNFYYKNLLAGKGLLSSDEVLLTKSAETAALVKAYAADVNLFFQHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNDH >PAN28790 pep chromosome:PHallii_v3.1:5:10763381:10765597:1 gene:PAHAL_5G178400 transcript:PAN28790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRTARLALLSTPRAYSAAAAAGASPTSPAPYGGAPPPAMSKTAEFVVSKVDDLMNWARKGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLDWWTK >PVH38691 pep chromosome:PHallii_v3.1:5:32868712:32869374:-1 gene:PAHAL_5G329000 transcript:PVH38691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNAVQIQIPASASQQESSSIQDAPATKPLPAAATKAAARPALSSASDLLKLLPTGTVLAFQALAPSFSNHGVCHVVNRYLVLSLVGACAASCVLLSFTDSFIGRDGKLYHGAATFGGFYPFNFTGTREEREATFKELYRLRITAMDFVHAFFSALVFLAVALADASIQGCLFPDAGPDVRELLVNLPLGAGFLSSVVFMIFPTTRKSVGYADMAPHAQ >PAN26486 pep chromosome:PHallii_v3.1:5:1036942:1039163:-1 gene:PAHAL_5G015600 transcript:PAN26486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASSSSEGFVGAPAALPLDKAAASGSGSGGGDRVVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQAPQCPQCKHPFEFLSVHRSLDGCLHDYLFEESVCLLLRAAWFEPLIVEAHEEALEEDEIYHQYQYDDDEDDLDEETYYMSRSPSIRIGNRRWGDNGYIRGGRREARPVNADAAGPSRTPKKKEKAASTSGSGSGSVSKDVSGRRAKRAQKREAADKAAAEKHLKHLQRLGLVKAPAPEVPAEVGPQVNE >PVH37818 pep chromosome:PHallii_v3.1:5:5968554:5969090:-1 gene:PAHAL_5G097200 transcript:PVH37818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGVFLGPTVTANNFAEAADGPASKSRSPPCPPNGSLHAALRRTARCPTGLAARGEINGELGTMPGTEPGIGGRGGLWQSRRGGRWRTGGGGADHNQGLI >PVH39158 pep chromosome:PHallii_v3.1:5:53303035:53304499:-1 gene:PAHAL_5G445100 transcript:PVH39158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLLRHWRRLAVIGAVLALHLAAAVAQSPATPAAPTTPAAPTTPAAPTTPAAPTTPAAPTTPAAPTTPAAPTTPAAPTTPAAPTTPAAPTTPAAPTTPAAPTPPATPAPTATPAAPANPPPTPATPAPAATPVPPSKPPPAAPAVAPAKPPPVVTPPPAATPPPSTPPPATPPAVLPPAAAPPPTATPPAEAPATLPPATPPPVAEAPATLPPAEAPSKGKNKHKRRKKQHGKKEAPAEAPQPLSPPAPAAPSPADLEDVSGPAPSAFDVNASSRQHQHWGVVVLQTAMAALLLSLAW >PAN31986 pep chromosome:PHallii_v3.1:5:53932075:53933605:1 gene:PAHAL_5G454900 transcript:PAN31986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCRCFIPLLVRCYVTLVYCYSPWPPRVHPRWGSWISHATSSSPHSTSSRWLAFLFLALSLSTWQICIRCSGVRSWIQHVAAAGAEAMGCSGVCRHAGEDDARCFFFKIGAQVTGRAWQPVYAFENRDRVSVLQTCNTHGFCMMWL >PAN29723 pep chromosome:PHallii_v3.1:5:15586359:15588074:-1 gene:PAHAL_5G246300 transcript:PAN29723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDWQDQAKPMMHTKFSSCRGVSFELKPSPGSPLALQADGHYPPRPPPDAPSAGRWVWLPQSFSRAPSKIFPAVFGRSMSRASSHFCDLDDEDAGDEPVHAGADEEMAVVTAAAAAAVDDVPSKKASAPASAKKAPSARSRLGVILLDQGLFTVYKRLFVLCAALNTVGLVLAATGHFPYAREHAAVFAMGNILALTLCRSEAVLRVVFWLAVTLFGRPWVPVVVKTGVTAILQSLGGVHSGCGVSSLAWLAYALVQALQQRDVTPGEVAGVASAILGLLALSCMAAFPLVRHLHHNVFERTHRFAGWSALALLWVFVVLSAGYDPVTASYDRLTGAVLVKRQDLWLAAAITFFTFLPWLTVRRVPVTVTARSNHASVITFQGGVKGGLLGRISRSPLSEWHAFGIISDNEETHAMLAGAVGDFTRALISDPPKHLWMRGVHFAGLPYLLNMYRRATMVATGSGICVFMSFLMQPGPAELSLVWVAKGIEANYGEEMKAAAYSSERLRGRVIVHDTAVMGRPNVAVLAVDAARRWGSEVVVVTSNPEGSRDVVTGCHKAGIPAFGPIWDS >PVH38505 pep chromosome:PHallii_v3.1:5:18164002:18167144:1 gene:PAHAL_5G273600 transcript:PVH38505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHLSGYGGNTNASEVFMANDFDLELQTTHMEFSEPNVPSQVNTEEIEEIDGEQFATGAANKKGFSHRGKAFLPQEDRVIVSGWLNISTDACTGASQTSNAFYARLHKYFLENWDIGSNRTQVSIQNRWTTIRKAVSKFTAIHSAIQRRNESGKNDLDKVKDAIRVYEQIKPWQFAHCWEIMREEPKWNNYLLECNKPKQPVNKDNQPAPALETPATRAQIERPEGRDSVKKRRAAVEESSSSVAVEMLQKIHNRGQQLDEQEAKQKEELIAIERAKFDLQQKALLAKIEQGEKKIELQREISHDQREVSLEQIKLQRDIMETNRFQTEAQIMFTDLNSFCPSVRFWIAKKQRDILEKEGINPAKSTSGATDDHHP >PAN28934 pep chromosome:PHallii_v3.1:5:11314686:11317469:1 gene:PAHAL_5G187500 transcript:PAN28934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATFGWAGASHHVDDYFSRQVGCGRFEMDEAFLGACFGQLQCDGVLPAAGGGDTGACQVSSNRGAFEGGAGMDSDPLAFLGAGTGDAFDAGLLDAALAFTRELGDGGAVSTGAMLSSYSGTTGGNISSGESNNYSGGHDAEVVSPTSIMSPTTAPRPFTHASSHQQALHAKRKVADEYPAGIATSAPAPAPLPLPGGAKRRAATSISFGQGAQHGARDPAAAGYEPDMEAMAQVKEMIYRAAAMRPVNLGPEIAAAASAEKPRRKNVRISSDPQTVAARLRRERVSERLRVLQKLVPGGSKMDTASMLDEAASYLKFLKSQVQALETLGTTSTNSSSTSISAPSMSPHYNYFGSSSSNPGFLGLARRSSNISPAGYVNPNGSTNTSSKLL >PAN31823 pep chromosome:PHallii_v3.1:5:53312469:53317495:-1 gene:PAHAL_5G445300 transcript:PAN31823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSPSPGTIRATLPPSTATPSPATTTPTPASPAPVTQPNATPADPSSSPAAPPPLPPASTPPPQLTPPPPSLPPPPDAVPPPPVVVASPPPAPAAVVPPPSPPVAVPPPPTPAAPPKASPILPPAAASPPPSNLPAPNPPADPTPPTVVQPPPPKHRPPPRKPGTQPDPPPLAPPPSGVPVKPSPTSPSPASGDPLIPTPTSPSPPGTTPSVPAPATAVDPVSPVTNADRGSNKSSSPTTQSSSSSGSSGGMSSGAKAGIGVFVAILVLSLVGVAFWYKKKRRRVHGYRAGFVMPSPASTPTQVLGYSAKTNFSAGSPESKDSMPEFSMGNCRFFTYEDLYQITNGFSAQNLLGEGGFGSVYKGRLADGKEVAVKKLKEGGGQGEREFHAEVEIISRVHHRHLVSLVGYCISDDQRLLVYDFVPNNTLHYHLHGRGVPVLEWPARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEALVADFGLARLALDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTQALESGNVGELVDTTLGKNYNEVEMFRMIEAAAACIRHSASRRPKMSQVVRVLDSLADVDLTNGVQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFSQSSWNSQSRGLDTSGSRPL >PAN27683 pep chromosome:PHallii_v3.1:5:6018807:6026532:1 gene:PAHAL_5G098200 transcript:PAN27683 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] MEAQWLAEYPHQGADKRPRKRPRLAWDVAPTVFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQEAVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSAESIRVPDYKVFIRPPKDGSFFKNLPKSSAIKLIDFGSTAFEHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFKRGVRLDWPEGAASRESMKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPNERLKAREALRHPFFTRCIRRCGF >PAN28728 pep chromosome:PHallii_v3.1:5:10557604:10558217:1 gene:PAHAL_5G174900 transcript:PAN28728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLARRLAVCLSLAVLAVVCLPSRGEAMGRLPQPPPDLNFTISVEGVVWCKSCRYAGYVRAMDASPLPNAAALLRCRRDDGRALSVWNATDADGYFLIQADWESAPFKSKDCKVHVPRPPASGCAAAVRPAARKGAPLKFRRFVPLPDQLQARYSAGNFTFAPEEPAKC >PAN32442 pep chromosome:PHallii_v3.1:5:55875692:55878829:-1 gene:PAHAL_5G487200 transcript:PAN32442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSSSRRALHTLHRRRLLHPAPSPTAARLSPPAPAPVPIPRHSPIPSSSPSSRFFCTARPDARLGHWMLPPLPQRVQRLVGGVRSVSTRGGFKLAPLGQGVKGLGRPVEAARTAAARYREAVGLQVEAFWRRNYMILVGAGGVIVCIALWRIMFGVASTFVGLSEGMAKYGFLALATAIVAFAGMYARARLTINPDKVYRMAMTKLNTSAAILEVMGAPLTGTDVRAYVMSGGGPKLKDFKFKLGGKRCFLIFPIKGSERRGLVSVEVKKKKGQYDMKLLAVDIPMESGPDQQLFLVGDEQEYKVGGGLISELRDPILKAMAAEKEFDYLDEREDAEDERREREEAERRQREEEEEALRREEERLREEAAERRRREAENLEKAS >PAN27827 pep chromosome:PHallii_v3.1:5:6692332:6696687:1 gene:PAHAL_5G111100 transcript:PAN27827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38550) UniProtKB/Swiss-Prot;Acc:Q9ZVH7] MAASLLHAAAAPAPLQSPGQPARACAFHPLASAPASLRLARSPSSSRRRLQASFRALSAGHRFDGRGAPRRVVAAFAGEETEGSETGDDKDNSKGEIKPEEAQEAWKVMLEQFKAEALRMQALSMQAYDVYSKRTREVLLEAADKLKIQADKAQKDLSVIATEVGQEGREYLMMAARNSPDSIKDITTTFRALGKLNWPSEYEDYHVGIPFGTFLTVGGFLNFMLTGSTSAIRFGIVLGFALLALGISSLRSQREGGRRPRLLLKGQAAIASIIFFREFSVLLQSCWFPKIFMVLLSGVVAAFYFYRIATGAPKDLSSKNDSAN >PVH38962 pep chromosome:PHallii_v3.1:5:50032885:50033606:-1 gene:PAHAL_5G402600 transcript:PVH38962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAGVWDRRGGTGTGSVRLELPRQQPPVAVDVGLLGSLLSALKSHAGGAGKAKSSPHASSSWSSSATTTTAFTPSVSATTATSASSAADHLHSWGPATYAVNASALYDDGDGVHDTDRARRQRRQRRRRRRRSSSRCRRAGQGRRAAAPGEEEEEAAVAVEVESAAPYEDFRDSMVAMVTEKEIYAWEDLNALLHQFLALNSPRHHPLILTAFADLWAPRGGLFCPPSPCLL >PAN27163 pep chromosome:PHallii_v3.1:5:3913461:3915037:-1 gene:PAHAL_5G062400 transcript:PAN27163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFILNLPSSPFAAGFPFHRRLIGALLPLAPRCLRLQRFASTASRGGAPGLAGNALPPFSARRISIASSSPSFFRRQGSKRTRSEDPKVIENEAECIVSRSPTSSRRFKWSKDRTKFLTDYLMQQASDTKGKEALFREDTLREAAEAVTQRFRRECGVADVQRRLTALGEKWQRIQKMKALGSVSWDHATRTISMREEDYQQYAMDHPKDSGMLNRPIEDYDELSFIFSDEYGPSADGIQLRKIQNTHSDDSKISEDPVEQKIACEDIRYLVLKIGELIDAIKSLKPRDFADDLWKAVTACGYNDRMSITAFEYFLKNEVEGKIFLVRSPDLRKEWLAKFFSSLL >PVH39213 pep chromosome:PHallii_v3.1:5:53957534:53960220:-1 gene:PAHAL_5G455500 transcript:PVH39213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKRPWPQVLRRLPGVKRTRGEDETETVTSQRRGEKITVAVDADLLQCCVCSGPLTTPLFQCTKGHISCSGCCTDGAMADECECLMCREPETATRCRAMERVLAGVSVPCPFRQHGCAEMIPYASKEAHEGSCRYAPCHRPIPSCTGYAGKSLVDHVQVDHPDVHRTRVKPGCLTALRMRSSEQARMLWLDNCAELLLVAGTDVPGGRSLSIVWLMDELFEVEDELKYRIEVVGKDGVLSLSGPTKGVERFDRPYQASAFLFVPNAIWDSHPEDIPVFIHLK >PAN31993 pep chromosome:PHallii_v3.1:5:53957715:53959344:-1 gene:PAHAL_5G455500 transcript:PAN31993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKRPWPQVLRRLPGVKRTRGEDETETVTSQRRGEKITVAVDADLLQCCVCSGPLTTPLFQCTKGHISCSGCCTDGAMADECECLMCREPETATRCRAMERVLAGVSVPCPFRQHGCAEMIPYASKEAHEGSCRYAPCHRPIPSCTGYAGKSLVDHVQVDHPDVHRTRVKPGCLTALRMRSSEQARMLWLDNCAELLLVAGTDVPGGRSLSIVWLMDELFEVEDELKYRIEVVGKDGVLSLSGPTKGVERFDRPYQASAFLFVPNAIWDSHPEDIPVFIHLK >PAN26706 pep chromosome:PHallii_v3.1:5:2028922:2035582:1 gene:PAHAL_5G032600 transcript:PAN26706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAAGAAGISNVRRTQNVAARAAAARLAQVMASQNAAAATGDDDDEDDYAADHPPPAPVRFGGGRTAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSSSAGRPAVASRPTTTVVPPIKTNMTLRTPSPIPPVSVEPPADRTRQKRFDAGLHNSRESGLKREASTLQDELDMLQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGREEVTTLRQELESAKEEVASAIDQLKEAESETKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYGVYPEIAVSKHEHWSALAPLPLEVVLSAGQKAKDEPRKQGDDAQGRNKLAREMSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDISPEEAEDVSFKQAWLIYFWRRAKTHGVEEDIADDRLQFWIGRNAQAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQASLAMENQ >PAN26707 pep chromosome:PHallii_v3.1:5:2028922:2035582:1 gene:PAHAL_5G032600 transcript:PAN26707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRTPSPIPPVSVEPPADRTRQKRFDAGLHNSRESGLKREASTLQDELDMLQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGREEVTTLRQELESAKEEVASAIDQLKEAESETKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYGVYPEIAVSKHEHWSALAPLPLEVVLSAGQKAKDEPRKQGDDAQGRNKLAREMSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDISPEEAEDVSFKQAWLIYFWRRAKTHGVEEDIADDRLQFWIGRNAQAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQASLAMENQ >PAN32409 pep chromosome:PHallii_v3.1:5:55778193:55781479:1 gene:PAHAL_5G485600 transcript:PAN32409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIYRFLCGLCAPSSEHGLHGAHPAVAALGRDILSFGANSQVPDGLSRHVVSSKKAQANWYKKLLVAWKKARPTPKTPEEAARFVVQTLKNHQKADVEGFLAFYGLPHPNAAAGAPAAPAHPPPKPQGAKFELHTLPIDPKSVADGDTINVYVDTADPRESGSVPREVQKAAAERAKARAAKNYQKADALQKVIVDAGYRPVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKVYVYDEDRYGRCVGDIYCDGVFVQEQMLKKGFAWHYTAYDQRPELAKWEKQAQAGRKGLWASSKPQKPWEWRRDKRNGTA >PVH39298 pep chromosome:PHallii_v3.1:5:55100843:55101157:1 gene:PAHAL_5G472900 transcript:PVH39298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIFASALSTLANVLKESLQLQGARGLDLERATSGDTSDEELPEELRLNVCLVTEVFVQILATGVGTLAFIWATVVLLGGFSTYLHKADFWVITGIVFVQAAK >PVH38110 pep chromosome:PHallii_v3.1:5:10957571:10962236:1 gene:PAHAL_5G181100 transcript:PVH38110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIENQSQVARAVAAEAGPRIPKEARRLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGVPSAGGEAEEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWERLRNWLKTAKNLCSSDEAKEFRLDSLENEITALHNDVSGDYHWIGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSANPHILDYSKYPDMDEQKRFVKTYLSISAGEEPDAEEVENLLQSIDKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKPANLQS >PAN28834 pep chromosome:PHallii_v3.1:5:10957571:10962236:1 gene:PAHAL_5G181100 transcript:PAN28834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIENQSQVARAVAAEAGPRIPKEARRLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGVPSAGGEAEEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWERLRNWLKTAKNLCSSDEAKEFRLDSLENEITALHNDVSGDYHWIGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSANPHILDYSKYPDMDEQKRFVKTYLSISGEEPDAEEVENLLQSIDKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKPANLQS >PVH38253 pep chromosome:PHallii_v3.1:5:12940405:12941248:1 gene:PAHAL_5G211800 transcript:PVH38253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDDVKSQVTLKLPEGRVSSKLAIYTTLINPFSKYALMVTPLATAVEERLLTAGTKSKRSFSVLIRTLLVVSTVVVALAVPFFGHLMALVGSLLSVMASMLLPCVFYLKIFGVARCGGAEVALIAAILVLGSLVAATGTYSSLKKIVHEF >PAN30083 pep chromosome:PHallii_v3.1:5:17935838:17940634:1 gene:PAHAL_5G272000 transcript:PAN30083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAEGGTAGRKSPATVLLLYVACAFILLLLLAFYSPRLQPHTHGRSLHRRLKLHPKSFPSSGAASVGREGQHHQQPHHHAASFDPAIAELERRLDDKEWEREHYRLLHGDEDGGEPDDHMKEWEEFLKEEEDFINDDDRFNLADRISALFPKIDVAPTDGFVSLDELTTWNLEQARANQHHRSSREMELYDKSGSGIVSFGTFNALRQESHGEGNMLGFPWWKEEHFNASDANGDGFLDKSEFNDFLNPSDSDNPKIINLLSRQELRQRDKDGDGKLNFDEYFSGLHDHIHGYDDESADISHIGNMTVAKDRFSKLDKDNDGFVSEHELEPVLDKLYLSERYYARQQAIHAMSEADNDHDGRLTLGEMIENPYAFYGSVYLSDEDYFHDEFR >PAN29468 pep chromosome:PHallii_v3.1:5:14154229:14158596:-1 gene:PAHAL_5G228800 transcript:PAN29468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTVQLSRQGEGGARMYPHTFRGSPPLRRLLFIRQAAHPKHFLLEVRCRDGTVDPVPLATSRCGYRFHPTSGNLPLWRQRQPHSVLHSASNVAYDLEELWHAWQADPSDVDELWGNFFGSFDAKASTYAVAASQTIQETMQLLHLVVDFQIHGHTMAKLDPLGLDVPEDIDLSLYHFTEADLDRKFFLGFSTTPGFLSDYSPVVTLREILRKLHQAYCGCVSYEFAHILDRDKCEWLRDRIETGKPHDDYDKNRRLILLESLIRTTLFENFLAARCPSSKRYGIDGGETLIPGVEALFDRAAELGVENVVIGTSHRGSLNLMANVLGRPISQIISELTVGPRPVQVADGQGPIFTGTGELYFQQGASCDRPTHGGKSVHLSLVAHPCHLESIDPVVLGKTRAKQFFSGDVGMTRTMSVLVHGDGAFTGQGVVYETLNLSALKNYTTGGTVHIVLNNRVAATADQSAGRSSRYCTDIARALGAPVFHVNGDDVEAVVGVCMLAAEWRQTFHSDVVVDLVCYRRFGHNELDDPTLTLPEMYQVIKNHPSSLNLYEQKLLGTGEVSNEDVQKIHEKVNIFLDEEFAKSKDFVANKRDWLSASWTGFKPPEQISRVFDTGVKQDRLKLVGRAITKLPVNFKPHRAVEKLLKQRVAMIETGKKIDWAFAEALAFATLLEEGNHIRLSGQDVERGNFNQRHAILHDQETGATY >PVH38320 pep chromosome:PHallii_v3.1:5:14154228:14158596:-1 gene:PAHAL_5G228800 transcript:PVH38320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDGTVDPVPLATSRCGYRFHPTSGNLPLWRQRQPHSVLHSASNVAYDLEELWHAWQADPSDVDELWGNFFGSFDAKASTYAVAASQTIQETMQLLHLVVDFQIHGHTMAKLDPLGLDVPEDIDLSLYHFTEADLDRKFFLGFSTTPGFLSDYSPVVTLREILRKLHQAYCGCVSYEFAHILDRDKCEWLRDRIETGKPHDDYDKNRRLILLESLIRTTLFENFLAARCPSSKRYGIDGGETLIPGVEALFDRAAELGVENVVIGTSHRGSLNLMANVLGRPISQIISELTVGPRPVQVADGQGPIFTGTGELYFQQGASCDRPTHGGKSVHLSLVAHPCHLESIDPVVLGKTRAKQFFSGDVGMTRTMSVLVHGDGAFTGQGVVYETLNLSALKNYTTGGTVHIVLNNRVAATADQSAGRSSRYCTDIARALGAPVFHVNGDDVEAVVGVCMLAAEWRQTFHSDVVVDLVCYRRFGHNELDDPTLTLPEMYQVIKNHPSSLNLYEQKLLGTGEVSNEDVQKIHEKVNIFLDEEFAKSKDFVANKRDWLSASWTGFKPPEQISRVFDTGVKQDRLKLVGRAITKLPVNFKPHRAVEKLLKQRVAMIETGKKIDWAFAEALAFATLLEEGNHIRLSGQDVERGNFNQRHAILHDQETGATY >PAN29467 pep chromosome:PHallii_v3.1:5:14154667:14157388:-1 gene:PAHAL_5G228800 transcript:PAN29467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHTFRGSPPLRRLLFIRQAAHPKHFLLEVRCRDGTVDPVPLATSRCGYRFHPTSGNLPLWRQRQPHSVLHSASNVAYDLEELWHAWQADPSDVDELWGNFFGSFDAKASTYAVAASQTIQETMQLLHLVVDFQIHGHTMAKLDPLGLDVPEDIDLSLYHFTEADLDRKFFLGFSTTPGFLSDYSPVVTLREILRKLHQAYCGCVSYEFAHILDRDKCEWLRDRIETGKPHDDYDKNRRLILLESLIRTTLFENFLAARCPSSKRYGIDGGETLIPGVEALFDRAAELGVENVVIGTSHRGSLNLMANVLGRPISQIISELTVGPRPVQVADGQGPIFTGTGELYFQQGASCDRPTHGGKSVHLSLVAHPCHLESIDPVVLGKTRAKQFFSGDVGMTRTMSVLVHGDGAFTGQGVVYETLNLSALKNYTTGGTVHIVLNNRVAATADQSAGRSSRYCTDIARALGAPVFHVNGDDVEAVVGVCMLAAEWRQTFHSDVVVDLVCYRRFGHNELDDPTLTLPEMYQVIKNHPSSLNLYEQKLLGTGEVSNEDVQKIHEKVNIFLDEEFAKSKDFVANKRDWLSASWTGFKPPEQISRVFDTGVKQDRLKLVGRAITKLPVNFKPHRAVEKLLKQRVAMIETGKKIDWAFAEALAFATLLEEGNHIRLSGQDVERGNFNQRHAILHDQETGATY >PAN31451 pep chromosome:PHallii_v3.1:5:51684981:51689968:1 gene:PAHAL_5G420700 transcript:PAN31451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHPKQAATGAEDAAMDTEAGAGEGRSAAAVAGLLRGFLAVQQRRAEAYSTLRRGFSEYMANGGELAYQQLCGNITAEFNDCSKQVLEMISVLSMPEFYRSDLVDLLKGVQAHEKEKLHLTAKIQVLKKAGRPSERLVNHADCRSRSLTQHVCVHVKEITEAAGTEDAEADAEYEAALKEAIQGVQEAVTSINEHMEEVRYEIDALEAETVGSRLMEVEEAFPSTLSIK >PAN31452 pep chromosome:PHallii_v3.1:5:51687612:51690649:1 gene:PAHAL_5G420700 transcript:PAN31452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGELAYQQLCGNITAEFNDCSKQVLEMISVLSMPEFYRSDLVDLLKGVQAHEKEKLHLTAKIQVLKKAGRPSERLVNHADCRSRSLTQHVCVHVKEITEAAGTEDAEADAEYEAALKEAIQGVQEAVTSINEHMEEVRYEIDALEAETVGSRLMEVEEAFPSTLSIK >PVH39022 pep chromosome:PHallii_v3.1:5:51686994:51690649:1 gene:PAHAL_5G420700 transcript:PVH39022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQGSGKILVNTSKSGGKLGQADAGLQPEPRGASFRGSTFCVEAARGSRCGSWPGAAAFCTLWQGSDWSRFWSPFWSPAKEAHYMFMLEWFRWGFSEYMANGGELAYQQLCGNITAEFNDCSKQVLEMISVLSMPEFYRSDLVDLLKGVQAHEKEKLHLTAKIQVLKKAGRPSERLVNHADCRSRSLTQHVCVHVKEITEAAGTEDAEADAEYEAALKEAIQGVQEAVTSINEHMEEVRYEIDALEAETVGSRLMEVEEAFPSTLSIK >PAN31450 pep chromosome:PHallii_v3.1:5:51684964:51690649:1 gene:PAHAL_5G420700 transcript:PAN31450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHPKQAATGAEDAAMDTEAGAGEGRSAAAVAGLLRGFLAVQQRRAEAYSTLRRGFSEYMANGGELAYQQLCGNITAEFNDCSKQVLEMISVLSMPEFYRSDLVDLLKGVQAHEKEKLHLTAKIQVLKKAGRPSERLVNHADCRSRSLTQHVCVHVKEITEAAGTEDAEADAEYEAALKEAIQGVQEAVTSINEHMEEVRYEIDALEAETVGSRLMEVEEAFPSTLSIK >PVH37577 pep chromosome:PHallii_v3.1:5:2152061:2153323:-1 gene:PAHAL_5G034900 transcript:PVH37577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASTVDGAEPRRRGWARARALGHSEVSTTGLPVQGQQGQESAGRRRGSKVAPEPTPGSPSFRYYCQKTAAVDKIVAEADNGDCSVSIVRATTRQASNRDEVAVTIAHEPSQVSEHKEGARWLRFRGLSMVTSAWGNLFSRHTSKHSPPTAAESHDPPPAAAAAV >PAN27878 pep chromosome:PHallii_v3.1:5:6932330:6934466:1 gene:PAHAL_5G114700 transcript:PAN27878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTSRKKALLVLFMFSCLLLPLASSAAPLSRTMSLANHRLPDPADGEVPSQGAERNLGEVAARMDIEVNDYPGSGANNRHEPRSPGRA >PVH38038 pep chromosome:PHallii_v3.1:5:9594377:9598842:-1 gene:PAHAL_5G158800 transcript:PVH38038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLLLSDARKAAKRASKTTSFTRSEVAATRCWILTCYQKSKRTKLPQNPWSAASRRLPTPPPYLSRPRRRCDQHRLPDPGACRSFSRRRPMGDCAVEDGPGSPHPKEEEGEPPEAAAELDERGGEPRDEASGHRKKAGGIRREPSFSRWCRDPSAAAPSNGLDAAATSDGDDSEEFELPLLPSAPGGGGSSSLPMDIEAGPAARSEGLPMSPWLVAKVIGLIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRAIVWFQHRGVEGGTRKMTWKDYSLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFIFRLEKPSFSLLGIMLIISFGVLLTVAKETEFNLWGFIFIMLAAVMSGFRWSMTQILLQKEEYGLKNPFTLMSNVAPVMAIVTAIISIAMDPWHDFRASHFFDSSAHIIRSSLLLLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNLYKYKRFKKGHHNKDAGSNIQSSNGTSKYVILDDDIEDQDDTG >PVH38039 pep chromosome:PHallii_v3.1:5:9593703:9598877:-1 gene:PAHAL_5G158800 transcript:PVH38039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLLLSDARKAAKRASKTTSFTRSEVAATRCWILTCYQKSKRTKLPQNPWSAASRRLPTPPPYLSRPRRRCDQHRLPDPGACRSFSRRRPMGDCAVEDGPGSPHPKEEEGEPPEAAAELDERGGEPRDEASGHRKKAGGIRREPSFSRWCRDPSAAAPSNGLDAAATSDGDDSEEFELPLLPSAPGGGGSSSLPMDIEAGPAARSEGLPMSPWLVAKVIGLIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRAIVWFQHRGVEGGTRKMTWKDYSLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFIFRLEKPSFSLLGIMLIISFGVLLTVAKETEFNLWGFIFIMLAAVMSGFRWSMTQILLQKEEYGLKNPFTLMSNVAPVMAIVTAIISIAMDPWHDFRASHFFDSSAHIIRSSLLLLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNLYKYKRFKKGHHNKDAGSNIQSSNGTSKYVILDDDIEDQDDTG >PAN27492 pep chromosome:PHallii_v3.1:5:5199670:5203028:-1 gene:PAHAL_5G084400 transcript:PAN27492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRSATANSPPAATPSPAAASTPRSVKRRLTPGRAGETPGASRHTSPHRSPHAGAGTVCSPKLLSASPKSSRKRLYGDLVAAEKPKWNPRDPAQMRAVKEALHVATVPSCELVCRDHEQRRVFEFCKGCVEQEKAGSLYICGCPGTGKTLSVNKVKESLMSWADEMGMETPDSLAINCTNLSNTSEIFSKILGQFQNRKKGSNKLSPLQQLQSMFSNKESAPRRMMLVIVDEMDYLITRDRAVLHDLFMLTTFPYSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEVLEAGLQDSDQELGIVTFDHMDIALSKAFKSAVVDSILCLPQHQQMVLCALANTFQHCKKKATTLGELNKSYIGICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVTLQIDISDIGFAFKGNRFFQKCLEQPRC >PAN27494 pep chromosome:PHallii_v3.1:5:5199183:5203028:-1 gene:PAHAL_5G084400 transcript:PAN27494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRSATANSPPAATPSPAAASTPRSVKRRLTPGRAGETPGASRHTSPHRSPHAGAGTVCSPKLLSASPKSSRKRLYGDLVAAEKPKWNPRDPAQMRAVKEALHVATVPSCELVCRDHEQRRVFEFCKGCVEQEKAGSLYICGCPGTGKTLSVNKVKESLMSWADEMGMETPDSLAINCTNLSNTSEIFSKILGQFQNRKKGSNKLSPLQQLQSMFSNKESAPRRMMLVIVDEMDYLITRDRAVLHDLFMLTTFPYSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEVLEAGLQDSDQELGIVTFDHMDIALSKAFKSAVVDSILCLPQHQQMVLCALANTFQHCKKKATTLGELNKSYIGICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVTLQIDISDIGFAFKGNRFFQKCLEQPRC >PAN28523 pep chromosome:PHallii_v3.1:5:9617579:9620543:-1 gene:PAHAL_5G159500 transcript:PAN28523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVAASAFLSSSFAPRHHHRRLARPVTRRAAPAGLAVRCEQSDKQKRQPLAALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDASDKILGRLASTIAVHIRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHVAQKPVPLPIRDKRIQKTD >PAN29470 pep chromosome:PHallii_v3.1:5:14222767:14223691:1 gene:PAHAL_5G229300 transcript:PAN29470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGMSALLSSLARRLVPLRRRKSITPSGFPARRPFFPCGAGHRDVSDDSPFVVKRGRTLRKVVKPRRVGERKRGRGGEHLLDDGDGDEPCVWRRTILLGRRCQPLEFTGAIHYDGEGQRLRQPRTPPQSSPLLMSPVRLHPSELGYMDRA >PVH38271 pep chromosome:PHallii_v3.1:5:13193230:13194751:-1 gene:PAHAL_5G215900 transcript:PVH38271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHEITERRRGRGREMPRRRGVVGTREASVETLAGTGARERMHASTEPKEAQRARKTVAANCERGTGVAACCSTQPPRHGPRPPDAVSESAGTSWLPCCKRTPPCRRSP >PVH38001 pep chromosome:PHallii_v3.1:5:8850568:8854132:1 gene:PAHAL_5G147400 transcript:PVH38001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAPLAPRLVVPIDVKKHPWEQKVPLHNRWHPDIPPVADVTEGEFFRIEMVDWSGGRVKDDNSADDMKSMNFTIAHYLSGPLRIVDSEGIPASPGDLLAVEICNLGPLPGDEWGYTAILKRENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGVVGTAPSVELLNIWNEREKRLAETNQETLKLCEVLHQRPLVHLPTPENSLLGKVQEGTAEWHKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEISLCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTPLHVNPIFEIGPVEPRFSE >PVH38000 pep chromosome:PHallii_v3.1:5:8850772:8852149:1 gene:PAHAL_5G147400 transcript:PVH38000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAPLAPRLVVPIDVKKHPWEQKVPLHNRWHPDIPPVADVTEGEFFRIEMVDWSGGRVKDDNSADDMKSMNFTIAHYLSGPLRIVDSEGIPASPGDLLAVEICNLGPLPGDEWGYTAILKRENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGVVGTAPSVELLNIWNEREKRLAETNQETLKLCEVLHQRPLVHLPTPENSLLGKVQEGTAEWHKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEISLCGAIEMSGFLELKL >PVH37999 pep chromosome:PHallii_v3.1:5:8850568:8854132:1 gene:PAHAL_5G147400 transcript:PVH37999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAPLAPRLVVPIDVKKHPWEQKVPLHNRWHPDIPPVADVTEGEFFRIEMVDWSGGRVKDDNSADDMKSMNFTIAHYLSGPLRIVDSEGIPASPGDLLAVEICNLGPLPGDEWGYTAILKRENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGVVGTAPSVELLNIWNEREKRLAETNQETLKLCEVLHQRPLVHLPTPENSLLGKVQEGTAEWHKIANEAARTIPGRENGGNCDIKNLSRGVRS >PAN27367 pep chromosome:PHallii_v3.1:5:4702527:4706025:1 gene:PAHAL_5G076000 transcript:PAN27367 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MASKQMEEIQRKLSLLAYPRANTPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENNRIQHLAEIANFLGITPSVDTEAIQGRGSYEERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVQLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNTIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYTAMAAGSLSASNEPSSVAKIISDCESALTFLNHSLSILSTSLAREQGETL >PVH38432 pep chromosome:PHallii_v3.1:5:16310024:16311577:-1 gene:PAHAL_5G256600 transcript:PVH38432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIADGFISGDDGSSCTSMEETARGYLNDLLARSLLQPVQDGDKTYYVVHEQIHSMIRSVSASYFRMIQPNYCSAAKIPHTVRHLSVTTGCVARLKKLSLLKRLRTFILLRDPDPSAVVTAIDEDLLKELEGVRVLDFTGTDIKDVPSEIGNLIHLRCLALPQTVQAFPEEFSKLFHLQTLIRSKSKYDQTDEVHYHTGLDVAFTLNATNRRSLRQLKRMNSLRGSLIITGLSLVASEEDAQKAQLNSKKSVRVLTLEWEAKQSATARFLEFVWEATARRPTSATDLDVLEGLQPHTGLQMLHIKGYRGISSPSWLLNNCLSQLTHLHIINCRNWNDLPRFGDLPLLNHLEITDLESVTEIDGCGPFKSLETLVLSDMTSLEEWWTAARATDSGGLFTALKKIEIFNCPILKLPPPFPPTLELLRICNCPELRDKVRKRYRGVPQPILDVDFLMW >PAN29607 pep chromosome:PHallii_v3.1:5:14925274:14927709:1 gene:PAHAL_5G237800 transcript:PAN29607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVLLLVMAEHFNDWDLQAVVRSCGSVAHPDQPAEPGRPRGAAAALRAEAAAEAEGAPAEPAAPPARAAQGHERAPPPPAAKGAALLYDLEYLDLDRKPFLLPVTPPPLGARAAGDDAREREVMISFPTAAAASTSGAQQRAVPLGRKAGARTPRPKRSKKSQLKKVVREMPVADGGASSSDPWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKLVERSPAKPGVLIVTYMAEHCHPVPTQLNALAGTTRHKSSSSSGAASSSPKSHDHEQQGPPSVGAAAEDAARCDVDSNGKSALEFGGEEMAAVDDENELWPAGMDLDELLAPVDDDFDFEHAIDDGDGVLGRRLSL >PAN31042 pep chromosome:PHallii_v3.1:5:48722964:48726282:-1 gene:PAHAL_5G391100 transcript:PAN31042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFIGVPENPTEKIVSNLQSYMEKKGLPKSLVLGSCTVLEAAGQGALGTLYEVLESAISDRKEGSQIIWIHFGVSGGLPRFALENQAVNDATFACPDELGWKPQRVPIVPSDGNISQIRKTTLPVNELVKMLRKIGHDVMRSDDADRFVCNYVYYHSLRFAEEHGIKSLFVHVPLFSTIDEAVQMHFVASLLEAVASLN >PAN31040 pep chromosome:PHallii_v3.1:5:48722964:48726292:-1 gene:PAHAL_5G391100 transcript:PAN31040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFIGVPENPTEKIVSNLQSYMEKKGLPKSLVLGSCTVLEAAGQGALGTLYEVLESAISDRKEGSQIIWIHFGVSGGLPRFALENQAVNDATFACPDELGWKPQRVPIVPSDGNISQIRKTTLPVNELVKMLRKIGHDVMRSDDADRFVCNYVYYHSLRFAEEHGIKSLFVHVPLFSTIDEAVQMHFVASLLEAVASLN >PAN31041 pep chromosome:PHallii_v3.1:5:48722964:48726290:-1 gene:PAHAL_5G391100 transcript:PAN31041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFIGVPENPTEKIVSNLQSYMEKKGLPKSLVLGSCTVLEAAGQGALGTLYEVLESAISDRKEGSQIIWIHFGVSGGLPRFALENQAVNDATFACPDELGWKPQRVPIVPSDGNISQIRKTTLPVNELVKMLRKIGHDVMRSDDADRFVCNYVYYHSLRFAEEHGIKSLFVHVPLFSTIDEAVQMHFVASLLEAVASLN >PAN26355 pep chromosome:PHallii_v3.1:5:290370:291018:-1 gene:PAHAL_5G004500 transcript:PAN26355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKGDSSSAASSSSPPTSQGRRLPQHQLPPKRRAGRKKTRHPVYRGVRDRAGPGGTRWVCEAQARIWLGTDPTPEMAARAHDVAAIALRGASTADLNFPDSVHTLPRARTAVPEDIRCAAAQAAELHRPSSGSSSGHQQHIIATAAEPCTPSWAFLDEDAIFDMPGLMDDMARGMLLTPPAMGRGIDWDAVDDEHTHVDGTLWMDMED >PAN32117 pep chromosome:PHallii_v3.1:5:54641194:54642021:-1 gene:PAHAL_5G465100 transcript:PAN32117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRRSNVFDPFADFWDPFDGVFRSLVPSIAPSDRDNDAFASARIDWKETPEAHVFKADLPGVKKDEVKVEVEDGNVLVISGQRSKEKEDKNDRWHRVERSSGQFMRRFRLPENTKVDQVKAGLENGVLTVTVPKAEEKKPEVKAIEISG >PVH38290 pep chromosome:PHallii_v3.1:5:13425599:13426629:-1 gene:PAHAL_5G219700 transcript:PVH38290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEFPESVAPNCFRRRSPPLLLMAACFRCAPYTPKAGSSPCPARNLATHVLPDRRPRPQLSSERPRLACCSHRIDLRCAPSQPASVGGRPCLGRSPTWRRVRKAVPSGNETCMHGLDLPSIFSATDCGHACSLLDC >PAN29366 pep chromosome:PHallii_v3.1:5:13540588:13541621:1 gene:PAHAL_5G221200 transcript:PAN29366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPCRGASWRAGVYLPAADAAASPLPSLPTAGESPGSRRTTAAALLPAVDQQSSSTRCSSKTKGPSTPLQQLPPPAASTGTGWPVTATGKALVHALNHQALLFWVTYWIIWVTIIMGQCNPKLQNNLHGLYGSITG >PVH37996 pep chromosome:PHallii_v3.1:5:8723585:8727361:-1 gene:PAHAL_5G145700 transcript:PVH37996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTGTTQKRVAPDHDRRFFFFFFFYPRCNRAEHAQIITSFSIPVLRHSTLPTAHRSSAPPPSRPHARHELAVMSWLARSIAATLSSAHSDDDESESASGDKSPPRASDPTNADAGESDEQPDTPSRGVKDDITELTESLTRRLWGVASFLAPPPVEGETDEGEEEEEEAAAAEGPQSPRIDGIRSDLAEIGGKVRTGISMLSNAGAVAEISRIASSFLPFGPGEEKEQVEGEAVGVTEEVVEFVSHISKHPKTWLDFPLFVDDRHADDFELSDAQYGHAVAIEHLVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLNKQDAEILSTLQILEAREELLQNSPTKNKLSPENRNSPSTQPEDSVMSPSSIQNESRMPEAPSFEEPTSAPVPNAEADKHPISTTEAEVIDKSVIQEELVVKTEVKSLPTGKSNPYPADDDDDEKEVDDWLQDMDPVSSKTGKTASAGEEEDVSFSDLEDD >PAN28370 pep chromosome:PHallii_v3.1:5:8911214:8912995:1 gene:PAHAL_5G148500 transcript:PAN28370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPVFVLLLLAMAFRGSDGSWCVCRPDATDTALQKTLDYACGHGADCAAVLPTGPCYSPTSVRAHCSYAANSYFQRNSQANGATCDFGGTANLTDTDPSSGTCKYPATPSEAGTSGNATGTGTGASSPGSASNPATTPSTGGSFTTPVGAFGPVPSTVSAATAAAFAGRHVLLLAVVSVLAFLAR >PAN26846 pep chromosome:PHallii_v3.1:5:2714281:2715985:1 gene:PAHAL_5G043000 transcript:PAN26846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRPEMRRTMTLSEQLSTPDPAIRDFLKIPQDADVGGSPGEDGNPAAGGGGWKPLRDRLRLRRAAGAWPPPKPRGPAAAAHARTSSADLSNSTSANSRSSKYNHAGEAAAAFSRTFSRAPSLRATPTFSRVASTRVGPSSTSSSSSRRPGSSNFRDHEEERRDDGDGEDSEEDDDDDEEEGKEEAPAAQMSLMALLEQSDSQWDDDDDEDGGGGGGARKNGDGDDDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >PVH39154 pep chromosome:PHallii_v3.1:5:53216936:53217828:1 gene:PAHAL_5G444000 transcript:PVH39154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTMSTSTSLAAAAANCRCSRVVFVGNIPFHASEKELRDACELIGPVRSLRVAADPATGRRRGYAFVEYPDDETARSACRNLSGHDLRGRELRVGLAGRRRRRPRGDGEPVGLEDAIHAASLVSGTPPARPRHPVPGGAVRAGAAGDGGRAGGRRPRRPDAARGARPGAGRCRRAGGPSARHGGRG >PVH38762 pep chromosome:PHallii_v3.1:5:38341653:38343737:1 gene:PAHAL_5G348300 transcript:PVH38762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIAHTRSVLDRYCMEKLVLIYPLSPIFVLQVLDPKMVYISSLPLMPAVILSFLSSQVASLMQSYKNHELYFTGMIPVVLWYMNARSYVDLELPLPPLNFLPSFLSLTFPKNIRIYIETAIVVVISYMSLLIVNWSYIWLVVFPIIAIGFIAALCSELSRQSGCGSQRRSDDGEAGAGRKLAEGMEAAALVPYWLLCVMGQFHADKFAVSQFLLFFSFMLGALTMMMSRLALTIAADRGVAPASELLRKASLVVLLVAVHAVAAELLGENVVLFCLPEIAPVLLWFSVRLDGDDGAGVITAAEIKLESRVLAVLGAASASAMPILANYMDESVLYWCAKALVSCGVSGLLVYYLVFLLCQWPGQDGTATPFGKEAVRLLIFWANALLTVVSTLLFTSLLVAARLVLQEPMVAESGSQIIRRICQKFLEFIV >PAN30773 pep chromosome:PHallii_v3.1:5:38341522:38343826:1 gene:PAHAL_5G348300 transcript:PAN30773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYISSLPLMPAVILSFLSSQVASLMQSYKNHELYFTGMIPVVLWYMNARSYVDLELPLPPLNFLPSFLSLTFPKNIRIYIETAIVVVISYMSLLIVNWSYIWLVVFPIIAIGFIAALCSELSRQSGCGSQRRSDDGEAGAGRKLAEGMEAAALVPYWLLCVMGQFHADKFAVSQFLLFFSFMLGALTMMMSRLALTIAADRGVAPASELLRKASLVVLLVAVHAVAAELLGENVVLFCLPEIAPVLLWFSVRLDGDDGAGVITAAEIKLESRVLAVLGAASASAMPILANYMDESVLYWCAKALVSCGVSGLLVYYLVFLLCQWPGQDGTATPFGKEAVRLLIFWANALLTVVSTLLFTSLLVAARLVLQEPMVAESGSQIIRRICQKFLEFIV >PAN32489 pep chromosome:PHallii_v3.1:5:56072617:56078054:-1 gene:PAHAL_5G490500 transcript:PAN32489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASAPASKGPRYAPPDPTLPKPWRALIDGNTGYLYFWNPETKVTQYERPVAAVPPSPSQPPGYSRPEERARSSGPSESRSEAAVSRSQYAPSSDNITRNDHSEPRSAAGANVSQSAQFTNQVSQAANGSQMSTEAYRAKHEITIIGNEAPAPFMTFQSTGFPSEILREVLQAGFSSPTPIQAQSWPIALKGRDIVAVAKTGSGKTLGYLIPGFVLLKRLQHNSREGPTVLVLSPTRELATQIQDEAIKFGRSSRISSTCLYGGAPKGPQLRELDRGADVVVATPGRLNDILEMNRVSLRQVSYLVLDEADRMLDMGFEPQIRKIVKQISSRRQTLMYTATWPKEVRKIASDLLVNPIQVNIGNTDQLVANKSITQHVEVISHSEKSRRLDQILRSQEPGSKVIIFCSTKRMCDQLARNLSRQYGASAIHGDKSQAERDSVLSDFRSGRCPVLVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGSAYTFFGDQDSKYASDLVKILEGADQSVPPQLKEMALRGGYGGRSRRWASSDNSYGGQGYGAKRSTDSFNNSNFGNQAGGGSSFHSSFYNNTSGSQFGDTPSFRGSYDNSSRNNKSGDASFPPSSGNNQSGDGLSFHERFYGPLGGDQSRTSNDGFRARSRSPPSKAVGVSNW >PVH38171 pep chromosome:PHallii_v3.1:5:11694014:11694427:1 gene:PAHAL_5G193400 transcript:PVH38171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVFIKLTNITAPNSPTHKRCSLVDKNMHNACIVCMCVVRCASVHFHS >PAN32969 pep chromosome:PHallii_v3.1:5:58373924:58376262:-1 gene:PAHAL_5G525800 transcript:PAN32969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGELDVFDAGRCADGYALGLAVGRRFADVIRSRMRLDLVLREQLLPFASTAKAAPLLAALQAANRERYPRYWDELVGTADGSGVPLLHVILVNFRKEILPFIPKEGGDHGREEEEEPDGDCSDVLIVSVSTAIAAHNEDGNVALLGHTYLVRATLPDGLSFTAYTCAGELPSCAFGFNSNGVAFTLDSVPPVNDEIVAGAIARNFVSRDLLEAKNLEDAMHRICSPGVSVGHSYNLMDVRGRSIVNVETASGNRFAVHEAGATPFFHANMYRHLQVKQVQDENSMSREKRAAQCSVDSKETALSLLGDTADDKYPIYMTGPTLHTLCTVLVDLDKKTMAIYKGNPKNGEMALVLPML >PAN28341 pep chromosome:PHallii_v3.1:5:8808127:8808803:1 gene:PAHAL_5G146600 transcript:PAN28341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHLGRAPDMEFDLESGPGIKGDEPIPEEDDAVDTVTCCANVSLVALFCILLGVAVLAYANLPFRPALALTAVAVTICLVLMLAITAARDRYIGKRMADNLGAQN >PVH39156 pep chromosome:PHallii_v3.1:5:53223069:53226246:1 gene:PAHAL_5G444200 transcript:PVH39156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPATDADEEAALLAFKAAAVGGNRDALTSWNRSTYGGYCNWEGVRCQGRHRRVVALRLQFHGLTGVLSPAIGNLSSLRALNLSSNGLSGNIPASLGRLGHLRTFSLSHNAFSGSIPTNLSFCTSLIIMVISFNNLSGNVPSEFGNKLTRLRTLYLVNNSLTGSIPASLGNLSSLRVLGLAFNQLEGTITYNLGVLKDLWYLGLAYNNLSGDLPASLYNLSSMEMMPIHHNMLTGSIATDIGSRFPSMRFLGFSANQFTGHIPASLANITSLQELYLSQNSFSGYNKLEANDQEGWEFITSLSNCSQLRLLVISGNPAFTGHIPSSIVNLSTTLQTLRFDTTGIWGSFPSAIGNLVGLQILYAGNTSISGRIPDSIGKLGNLTWLYLDHTNLSGQIPSSIGNLSKLAILHAKNSNLEGSIPASIGNLKSILSLDLSMNHLNGSISREIFKVPLSSSFYINLAYNSLSGPLPSEVGNLGNLNSMVLSGNKLSGPVPENIGGCIVLQELWLDNNLFNGSIPRSLNKGLVALILSMNELSGTIPDAIGNIHGLQQLTLAHNKLSGPIPAVLQSLTLLSKLDLSFNNLQGEVPKEGIFRNFTKLSITGNYKLCGGIPQLHLAPCKIDSAKQNRRRQMRCLTIALATTSTLLLLAMITALIRMIYKKKKSPFQPPIVDEQYERISYHALENGTDGFSEANLLGKGSFGAVYKCALQGVGTVVAVKVLNLEQSGSTKSFIAECEALRRVRHRCLVKIITCCSSINKQGQEFKALVFEFMSNGSLHSWLHKKSDMPTLENTLSLAQRLDIAVDIMEALEYLHNHCQPPIVHCDLKPSNILIAEDMSARVGDFGISRILPDGASRTLQNSNSTIGIRGSIGYVAPEYSEGTSITTLGDVYSLGILLLEMFSGRSPTDDMFTGSLDLHRYSKDALPDKIWEIADTTMWLHTDTYDNSTRKRMENCLGHVITLGISCSRKQPRERTLIQDATTEMHAIRDSYQYGGGTWRSRNNSTVICSSRE >PAN32887 pep chromosome:PHallii_v3.1:5:57998255:57999980:-1 gene:PAHAL_5G519000 transcript:PAN32887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEQSGSGHHHQQLPRYGSGAGAPTGVARASKKNKPKKIPQRGLGVAQLEKLRIEEQKKMEGAAVSGAAHAPHPHALGGGGGSLGHLLSMHPPPPPLSLSALPRPAADAGVHCGFPPVLWDPADPMRHPYKRSLCPQPPLPTTSAAWKPFAGQVSTGLSLTASSSHHPTEPPSNQMYSSSVSRSGAAAPAPADEDRDAAGVDRSWPFMFEGMNAAAFRTTGKAPFAARAAREAGLPEVCPDLSRYEFRATNYFSTNPNYSDWASEFAPCKSIKENGCTGEPAYLTLNAQPTPLIKQPHLIPSIHLPEYSDFGVMQSQQGSVSASSSSRPFYSFMPVGPVRCERPLNEIKADVSDSVDLELKL >PAN32886 pep chromosome:PHallii_v3.1:5:57997712:58000525:-1 gene:PAHAL_5G519000 transcript:PAN32886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEQSGSGHHHQQLPRYGSGAGAPTGVARASKKNKPKKIPQRGLGVAQLEKLRIEEQKKMEGAAVSGAAHAPHPHALGGGGGSLGHLLSMHPPPPPLSLSALPRPAADAGVHCGFPPVLWDPADPMRHPYKRSLCPQPPLPTTSAAWKPFAGQVSTGLSLTASSSHHPTEPPSNQMYSSSVSRSGAAAPAPADEDRDAAGVDRSWPFMFEGMNAAAFRTTGKAPFAARAAREAGLPEVCPDLSRYEFRATNYFSTNPNYSDWASEFAPCKSIKENGCTGEPAYLTLNAQPTPLIKQPHLIPSIHLPEYSDFGVMQSQGSVSASSSSRPFYSFMPVGPVRCERPLNEIKADVSDSVDLELKL >PAN27510 pep chromosome:PHallii_v3.1:5:5321803:5323054:-1 gene:PAHAL_5G085700 transcript:PAN27510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPTTDQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSGSGSGNEEDGRAASREPAAEAPDLVLQPPESKREARSYSHHHHRLLTCYVRDVVEQQAAEALWERPTREVETLELFPLKSYVDLEAETKVRYVRSGAASEQCREFSFDVAGGRDPPLELRLCSFGP >PVH39517 pep chromosome:PHallii_v3.1:5:58366882:58369878:1 gene:PAHAL_5G525600 transcript:PVH39517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHLPDLAAARPPARRRGVAVAAAGGRVKQGEAGKRRVIKVADPVREGRLPVPPPPPLFAAPVTPSESPPAARRREEDEEERQRYYLNMGYAIRTLREELPEVLYKEPSFDIYRDDIVFKDPLNTFKGLENYKMIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWDGHGRFDGASVYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRSLGCPSTAKPTYFEASSQYLYAAPSYLRLAWIKCYISLCRMLSLADLGEG >PAN27176 pep chromosome:PHallii_v3.1:5:3984743:3989209:1 gene:PAHAL_5G064000 transcript:PAN27176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGSGAAPYAARTAEEVFRDYRARRAGMIKALTTDVDKFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKEARRRLFSMINNMPTIFEVVTGSAKKQTKEKTLNSSSKSNKPSSKVQSRAESRSKAKVPKDEEESGDDDGDEEAEEHDNTLCGTCGTNDGKDQFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >PVH37708 pep chromosome:PHallii_v3.1:5:3984743:3989209:1 gene:PAHAL_5G064000 transcript:PVH37708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGSGAAPYAARTAEEVFRDYRARRAGMIKALTTDVDKFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKEARRRLFSMINNMPTIFEVVTGSAKKQTKEKTLNSSSKSNKPSSKVSRAESRSKAKVPKDEEESGDDDGDEEAEEHDNTLCGTCGTNDGKDQFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >PAN32782 pep chromosome:PHallii_v3.1:5:57516826:57520999:1 gene:PAHAL_5G512200 transcript:PAN32782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARPDHRRHHPPFLRDLSSPISSSLRLPPASLRRETQASTPPPPPPLLSLDDLSHLSPSPQPATPPQATMTPSPPPPRGAGLFASPLRSNGSPAPSAWWSPSMEEKPREGSPMDGVIQNQQQSPTTPSGQQSQQQKVALITLPPPREVARPEMPRDSAPAAGQVDEEEWVTVFGFSPGDTNLVLREFEKCGVVLRHVPGPRDANWMHILYQSRHGAQKALAKHGQQLNSVLIIGVKPVDTWQRLYLNENTNENYQGSATVPFPSQPVAPSSFATRNSLAPLPGNSMPNGSCSKSGPGASGAIASPSKSVLSKVMDLMFGL >PAN32781 pep chromosome:PHallii_v3.1:5:57516826:57519667:1 gene:PAHAL_5G512200 transcript:PAN32781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARPDHRRHHPPFLRDLSSPISSSLRLPPASLRRETQASTPPPPPPLLSLDDLSHLSPSPQPATPPQATMTPSPPPPRGAGLFASPLRSNGSPAPSAWWSPSMEEKPREGSPMDGVIQNQQQSPTTPSGQQSQQQKVALITLPPPREVARPEMPRDSAPAAGQVDEEEWVTVFGFSPGDTNLVLREFEKCGVVLRHVPGPRDANWMHILYQNYHRTSLNQTNAVGVIVICWTN >PVH39478 pep chromosome:PHallii_v3.1:5:57516826:57521000:1 gene:PAHAL_5G512200 transcript:PVH39478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARPDHRRHHPPFLRDLSSPISSSLRLPPASLRRETQASTPPPPPPLLSLDDLSHLSPSPQPATPPQATMTPSPPPPRGAGLFASPLRSNGSPAPSAWWSPSMEEKPREGSPMDGVIQNQQQSPTTPSGQQSQQQKVALITLPPPREVARPEMPRDSAPAAGQVDEEEWVTVFGFSPGDTNLVLREFEKCGVVLRHVPGPRDANWMHILYQNYHRTSLNQTNASRHGAQKALAKHGQQLNSVLIIGVKPVDTWQRLYLNENTNENYQGSATVPFPSQPVAPSSFATRNSLAPLPGNSMPNGSCSKSGPGASGAIASPSKSVLSKVMDLMFGL >PVH37704 pep chromosome:PHallii_v3.1:5:3932531:3933382:1 gene:PAHAL_5G063000 transcript:PVH37704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFMPPPPPAPTPPALTVPVPVPVAYGVEGAAASEGAAGRGSYGPVIAMLAVVAVLAAAALAVGRLCFGRRALGQAAGGGRDLEAWVERTCGPCVGAAILTPAKEEGAVSGAAAAAAEPPLEVTERGEITSVAS >PVH38995 pep chromosome:PHallii_v3.1:5:51020209:51021545:-1 gene:PAHAL_5G413000 transcript:PVH38995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRAFQALSLSPPRPRLSDCLGRHCFNSDGEELQRLQRRILCLRVASQAQAQRQAGRPSVPSPTRGLAGAGAAARCSSARLPDRRSRIREAAQPRRRQRAAVPPALPQLPRLARLLGPRSARPLPNSQAPTPCPGSPAAQSNRLPSTSPSPPALSVFLFPRGDMAQAATAGVTVFGS >PVH38043 pep chromosome:PHallii_v3.1:5:9614322:9616936:-1 gene:PAHAL_5G159400 transcript:PVH38043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGGGASSLPPFLSKTYEMVDDPATDAVVAWTPPGTSFVVANQAEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKIDPEQWEFANEDFIRGQRHRLKNIHRRKPIFSHSSHNQASALLADNERREYEEEIEKLKCENADLISELEKNAEKKLDMERRMQELENKLIFLEDRQKNLIAYVRDIVRAPGFRSSFVQQPDHHRKKRRLPIPVSLHQDANTEGNQIVHGGLTNPPVCRESFDKMESSLNTLENFFREASEAFDISYDDGVPGPSSAVVITELHSSGESDPRVPSPPSRMHTSSAGAGDSLSRMHTSSAGAGDSLSRMHTSSAGAGDSLSSHDVTESTSCAESPPLPQMQSCTDSRAKVSEIDVNLEPAITETGPSRDQPAEDHPSLATGANDGFWEQFLTEQPGSNVHQEVQSERRDGDSKDDQTRTGDRENLWWGKKNVEQMTEKLGHLTSAEKT >PVH38661 pep chromosome:PHallii_v3.1:5:30379293:30379838:-1 gene:PAHAL_5G320300 transcript:PVH38661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMYGFGFPGQGFHCLKIPGFAKKQNADHVGLIKIMSGEANVDRMDQELKNLIDEKWNWKVRKISESDYLAIFPNIMILDTFSRSKGFELALFNISESVSHSDLDPFASAVLQTRWVQLSNIPDMAKNTDAVTLIGELAGEVIAVDEVSLIKEGPVRVKLRARGMEKLKRLCGSIHRRDWI >PAN32495 pep chromosome:PHallii_v3.1:5:56078542:56081476:-1 gene:PAHAL_5G490600 transcript:PAN32495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFVASIFHQLVEVEGYLCGFVLSASGLCHARNLCFLPYLVPLVVFLIANCLPVQTPSKQSRGCFGCCDKAVKQLGELSRNLITHDQIPIGETFWSTTTIEVEPADLRGISPVNTSNWAFDQHGTGSSHNLPELGNNGPVLWEQTRQEWTEIRSLRPKVKQVREPVLSWNAAYESLLGSNKPFPQPIPLHEMVDFLVDIWEQEGLYD >PAN32491 pep chromosome:PHallii_v3.1:5:56078542:56082289:-1 gene:PAHAL_5G490600 transcript:PAN32491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRGGGSASRVGDGDVDLGEGWDWGAIPRLISSACLFLCSGGCFGCCDKAVKQLGELSRNLITHDQIPIGETFWSTTTIEVEPADLRGISPVNTSNWAFDQHGTGSSHNLPELGNNGPVLWEQTRQEWTEIRSLRPKVKQVREPVLSWNAAYESLLGSNKPFPQPIPLHEMVDFLVDIWEQEGLYD >PAN28237 pep chromosome:PHallii_v3.1:5:8311422:8316153:1 gene:PAHAL_5G138600 transcript:PAN28237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGKERSEDCCVDKPEDMEVGSCPTRCCKDASHGSASRKEVMASISENQVYCATTVHNERSWETNAWRARLIKAVCQKDSMLPKKTENALPHSTLGISCGAGEVSGKLVGFLDNRNVQSPGDDSNVISNVPVIPSCDNHQDPVLQESHNDEPVVARGGSASAVNAVAKCESAPGVDARRLEKGKEKVVYNDSNCVGNTKKSDDSNESIESCTSTKPPKRKHAQCSEAMMPSGNKRFRRGDNESSCSGLLEKCGSSFFNWMSSLTNGLPMFDEATAAVALDQKFSASTGEGSAAPPLPLQNNSGIPMHCVGFNSLFQSLYTHTVMITSRNNCRQPESNCTGHVFNRLTLELNNSNSMLDKQIGMGRETLDVTADTLAAERFQMVSGGSRGNFHNQIDISPMRPEKNMKLPNSSQFCSKPLEEKQTDCAVGCSNDATRNKGGFMESLWVSRLLPKTSLEVMEATPCNVESAVNPKAVGDMLYCPSVQNLNEKELNIIQNFTGRGSSDGATSSKCPAMPPEEPKQSESMASVFAKRLDALRHAKTSALRLTVACDHGIPKLNNHKTNSLVVSYSSHDELEVGHGTHKSSSGNGRIVLCADDKGKGQLCTQSNEELRGNFLSEREHQDHGGSTAGKSTPQHNLEVNTLAEDVDRRRVELKGGVSDFVASLPDNKQIVPYGIIPNVVSDESSVVFGALHRLRLSRSDIIRWLRSPIMDTTLDGFFVRLRFGKWEEALGGTGYHVARLNGALDRNHLSVTIRNSTCQVDSRFVSNHDFHEDELRAWWSAAMKGEWKLPSKEELSMKLRERELLRS >PAN28218 pep chromosome:PHallii_v3.1:5:8311422:8316211:1 gene:PAHAL_5G138600 transcript:PAN28218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSELVWSPDEGLSIKIAASSLSTRKASLRWNADTLSIVISSPQQSGAGGAKSSDNIYDNLLEVSEKMPSQLRAHSDSSLRVTANPNRITNLDALQSTSMRSQEQDSRINVMNEGKERSEDCCVDKPEDMEVGSCPTRCCKDASHGSASRKEVMASISENQVYCATTVHNERSWETNAWRARLIKAVCQKDSMLPKKTENALPHSTLGISCGAGEVSGKLVGFLDNRNVQSPGDDSNVISNVPVIPSCDNHQDPVLQESHNDEPVVARGGSASAVNAVAKCESAPGVDARRLEKGKEKVVYNDSNCVGNTKKSDDSNESIESCTSTKPPKRKHAQCSEAMMPSGNKRFRRGDNESSCSGLLEKCGSSFFNWMSSLTNGLPMFDEATAAVALDQKFSASTGEGSAAPPLPLQNNSGIPMHCVGFNSLFQSLYTHTVMITSRNNCRQPESNCTGHVFNRLTLELNNSNSMLDKQIGMGRETLDVTADTLAAERFQMVSGGSRGNFHNQIDISPMRPEKNMKLPNSSQFCSKPLEEKQTDCAVGCSNDATRNKGGFMESLWVSRLLPKTSLEVMEATPCNVESAVNPKAVGDMLYCPSVQNLNEKELNIIQNFTGRGSSDGATSSKCPAMPPEEPKQSESMASVFAKRLDALRHAKTSALRLTVACDHGIPKLNNHKTNSLVVSYSSHDELEVGHGTHKSSSGNGRIVLCADDKGKGQLCTQSNEELRGNFLSEREHQDHGGSTAGKSTPQHNLEVNTLAEDVDRRRVELKGGVSDFVASLPDNKQIVPYGIIPNVVSDESSVVFGALHRLRLSRSDIIRWLRSPIMDTTLDGFFVRLRFGKWEEALGGTGYHVARLNGALDRNHLSVTIRNSTCQVDSRFVSNHDFHEDELRAWWSAAMKGEWKLPSKEELSMKLRERELLRS >PVH39025 pep chromosome:PHallii_v3.1:5:51795569:51800137:-1 gene:PAHAL_5G422000 transcript:PVH39025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MQQPQGQASALAVAPSASAVAHPNDPAGGDAPPKQVAQAMERLGRAGRLIAEIRLGADRLLEALFVAGGAPPYSAPQHIDRTSRAIVQEEAAMRRHFQDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGVTKKPRLSASNGELKEESLSEILKSLENEVPNMKIFTYRHLDWSKRALSLASLMDDEFVDPSKELNLQNMGKSRSTALTTSIDQVAVIELLVPSIFRAIVSLHPAGSTDPDAVAFFSTTEGGSYLHARGTSMHHVFKHVKEHADKALQYFISVEPSKALSLFLRWIASYQTLFTKVCSKCRRLLMMDKSLALLLPPVHRPYHQTSNVGPDLQEAYHIGCSSYEG >PAN29335 pep chromosome:PHallii_v3.1:5:13290081:13291223:-1 gene:PAHAL_5G217500 transcript:PAN29335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEAAPCTCGLLYGTCGGGCSLMFAASAGDHRSHYYERCGGGGGEAGFGTGSYVGSVDCTLSLGTSSTRRAEAGAARAQAPSGALHWDAAPVSSCNNGSSQQEANAAGARRCANCGTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAAAPAAMASDGGVEYAPSYGHARQQQQWGCYGPASFGMVGDAAGEVGGPCLPWGLGVMLSPSPAFGAVREVPSLFQYY >PAN32829 pep chromosome:PHallii_v3.1:5:57735155:57736551:1 gene:PAHAL_5G515200 transcript:PAN32829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKDLCAVERRGRVHLITITGAGDHRLNPALLAALRSAVAASAGAGALVLAAEGKYFSNGFDQAWVRTAPPHLHATMDGGFRALVADLLALPVPTVAAVTGHAAAAGCALALAHDAVVMRGSRGFLYMSEVDAGLKIVDFFAELIREKVPDAAARRDLVLRGDKMTAAEAKRRGIVDAAVDGGVGDVVAAAVAEAERLAARGWDGEVLAEIRKAAWPKVWGKVKDHGAGPAAARPRL >PVH38401 pep chromosome:PHallii_v3.1:5:15945466:15946960:1 gene:PAHAL_5G251400 transcript:PVH38401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLPNLLLLLVLAAVAPLPSRARHIVTFAPARGVGPASLAWDPTAQHFVVAGGGDAILSVSDAGVTESIISSGASVVAVDDRRRRLLVASPGSVSAFDLRSPRPHRLILSTPVPDTAPPGGVAVDPHTGNAFLTVGARIYKLSLEGDLAPLSSAPALGSEPLASLTAHVSRGFLLVGQPSTGSLLRVDMEDGATRTVSGVLTPPTPVAVAVRTDGAVAVGGAAGLRLIVSNDGWASCGVRDEAAPAPDGPVAAVAVRERRRVYALVEAATTEGGKEWRIEEAAWKSESEGEMVVGLVFVGVALAIFMFWRFQMRQLAGNMNKKIR >PAN26986 pep chromosome:PHallii_v3.1:5:3277250:3286309:1 gene:PAHAL_5G051700 transcript:PAN26986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFSSLGTGKETEDPMLLQQLSDACFVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLVDILNNMKEKPDVATLLLAFQRTIEFEEELAEKFSGGTGTARNKESASDDEEEGGEHNKIVSDIRKKYEKKLAAPNHEAEQDKDKQKDLSVPGAGFNFHGIISSCFEPYMTVYIELEEKSLVDQLEKLVQEERWETEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTSGELAENVAKMINPQFADKVDMSEVQDEFSAVITKALMTLVHGLETKFDAEMVAMTRVPWATLESVGDQSEYVNGISSILSSSIPVLGSLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQSTGAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQAILEDFNKHAPAPAPAIKHPAVAPTVAPPVATASIQMAPSVATPAVSITPSMASLTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >PAN27567 pep chromosome:PHallii_v3.1:5:5472988:5475407:-1 gene:PAHAL_5G088800 transcript:PAN27567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MAMATPAFLLPALFLKPNFIAAPLHPCLPRGRQLRCSPNGAAVPESPKPASRRGRKKSPSPSPSEPKAKATRRRTKKEVQDSDSESEEEPAKRASRRTTKSKEEAKQEEVVAQTASSGTEETIQESEEEDGLEVGSDFDDGEDFANDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSTWRHLQWEPPEFARAPGSAASNVAIALTRLGGRGAVLGKVGDDDFGRELVYRMNCERVQTRAIKFDGKAATATARMKVSFRDRKDGKGGTKLVAETVKSAAEDTLRKTEINVDVLKEARMFHFNSEVLLNPSMHDTLFRAIELSKKFGSKIFFDLNLPLPLWTSRDETKKVINRAWKEANIIEVSRDELEFLLDHEYYEYKRNTPPQYYLEGFHFTRNWPQYYHYSPEEIAPIWHDGIKILLVTYGTLRIHYYTPKFHGCVVGTEDALITPYTTDRTGSGDAVVAAAIRKLTTCPEMYEDQDILERQLRFAIAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >PAN31407 pep chromosome:PHallii_v3.1:5:51465002:51468176:-1 gene:PAHAL_5G417500 transcript:PAN31407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAGAASAAVAANQDPMNALSAAALRRSAPHWSAAAAAASFFSPPFRPRRCHIRRAPAPAPAAAARTPRSRASAKARAKLLVGAEPRDPWLASLSLLPADDNAGADAAPNGWAIGVDPDTGGAIAVVSPDGSSQVFDNPFVHIVVSEVIRKRLDTKSIIELLRRLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVASGFSVVPVASQTWKAYFGLSRSESPKDDSRQAASILFPDKALSLKLKKHHGRAEALLLAAYGKGLVLPSGKFSKTPT >PAN31408 pep chromosome:PHallii_v3.1:5:51465204:51468162:-1 gene:PAHAL_5G417500 transcript:PAN31408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAGAASAAVAANQDPMNALSAAALRRSAPHWSAAAAAASFFSPPFRPRRCHIRRAPAPAPAAAARTPRSRASAKARAKLLVGAEPRDPWLASLSLLPADDNAGADAAPNGWAIGVDPDTGGAIAVVSPDGSSQVFDNPFVHIVVSEVIRKRLDTKSIIELLRRLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVASGFSVVPVASQTWKAYFGLSRSESPKDDSRQAASILFPDKALSLKLKKHHGRAEALLLAAYGKGLVLPSGKFSKTPT >PVH39219 pep chromosome:PHallii_v3.1:5:54059227:54061375:1 gene:PAHAL_5G456900 transcript:PVH39219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGAAATSVTLELDVLDCTVCWLPLCRPCAVGHLIRSSCLAKLPNRKKCHTCSRKGYYNRCYSMEKVLGSMQVPCSNARYGCTVKTSYHQKQDHEATCPHELCFCPGSGCDFSGRSPATLLRHFTDHGWPSTEFSYGASFRVAAQEEVRVLVGDDDHLFLLAVEPSEPSGCVLVSVVSVRPRDAKPEFRCSMYLGSWKNRSRTESKFQVPSTTFSGGMGTPQDCVMFSVPKFYLEKDSKISVIRSADLINWELGCHSMFLFPFWMLIGVSKCHLV >PAN30689 pep chromosome:PHallii_v3.1:5:31219537:31221039:-1 gene:PAHAL_5G323200 transcript:PAN30689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVKVFGHPMSTNVARVLVCLEEVGAEYELVTVDFLAGEHNVSDHVARNPFGKIPALQDGDLILFESRAIAKYILRKYKSSEVDLLRESDIEAAAMVDVWTEVEAQQYYPALSPVVFECIIFPIVRRTATDQKAVDESLEKLKKVLEVYEARLSKHRYLCGDFFSFADLNHFPFTFYFMATPYASVFDAYPRVKAWWQCLISRPSIKKVSASMPTKF >PVH38519 pep chromosome:PHallii_v3.1:5:18936370:18937302:1 gene:PAHAL_5G277200 transcript:PVH38519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDEEAGLGLPKGKRLMEASTRTQSDWELTLVSNRADTGDSAPVHTSSCVYVRPRSLMTPVPPSYLWAKMTTTSKLTCQMELNMFELLQKMVPCWSLLPLILFWCCMCICFPSNWSYHKKSFIMLH >PAN28296 pep chromosome:PHallii_v3.1:5:8581026:8584409:1 gene:PAHAL_5G143400 transcript:PAN28296 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MAHGLAGGVGLGPLRCCPSVFSSPTTVRPALDAPRRSLRVRASASPPPATPAIEGRDVGLSVTTRRGRVLPVLKACSLHVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSAGTVYINRPCSYVFQNPDHQVVMPTVESDVSFGLGKLNLPLDEVRSRVSKSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEHDQMGVIKAVRNSVAADGEVAALWVTHRLEELKYADGAIYMEDGQIIIQGDVSTISRFIKRKQARYFGHFEL >PVH38494 pep chromosome:PHallii_v3.1:5:17925307:17926062:-1 gene:PAHAL_5G271700 transcript:PVH38494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLGEMAEFVQLWDLVSNFSLDADQPDTVTWKWTRMSAFNGPSIWTTEAVGKHKFFARVWTTDMVPMLDPASTVEAWWRSSQRGLPNKLRRLKAAVLMYTTWNIWKKRNRRIFEHRSCQPTQAFELIKEEMELRKKPAGAGDFF >PAN32978 pep chromosome:PHallii_v3.1:5:58322612:58327239:-1 gene:PAHAL_5G525300 transcript:PAN32978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKKSNEEGGAFCGEAVIAEFEALTRDAAAVQRETLRRILSENAGTEYLRGRGLAGRTDAASFRACVPLATHADVEPYIARIADGDASAVLTAVPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYRTSYAFRNRAFPVEDGKALQFIYGSRQFTTKGGLTATTATTNVYRSEEFMPTMRAIQSQVCSPDAVIFGPDFAQSLYCHLLCGLLFADEVRIVSATFAHSVVLAFQTFERVWEEICADIRAGALSPTRVTAPAVRKAVSALLAAPNPALADEVARRCAALSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPETPPESATFTVLPNIGYFEFIPLKASDGGAAAADTSFAEAEPVGLTEVTVGEHYEVVMTTFAVLFFLEPTLSTA >PAN32959 pep chromosome:PHallii_v3.1:5:58321657:58327239:-1 gene:PAHAL_5G525300 transcript:PAN32959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKKSNEEGGAFCGEAVIAEFEALTRDAAAVQRETLRRILSENAGTEYLRGRGLAGRTDAASFRACVPLATHADVEPYIARIADGDASAVLTAVPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYRTSYAFRNRAFPVEDGKALQFIYGSRQFTTKGGLTATTATTNVYRSEEFMPTMRAIQSQVCSPDAVIFGPDFAQSLYCHLLCGLLFADEVRIVSATFAHSVVLAFQTFERVWEEICADIRAGALSPTRVTAPAVRKAVSALLAAPNPALADEVARRCAALSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPETPPESATFTVLPNIGYFEFIPLKASDGGAAAADTSFAEAEPVGLTEVTVGEHYEVVMTTFAGLYRYRLGDVVKVAGFYNSTPKLKFVCRRNLMLTINIDKNSEHDLQLAVDSAAKILAAEKLEVVDYSSHADVSRDPGHYVVFWELNADGNDDVLQSCCDELDRAFMDPGYVGSRKASAIGPLELRVLQRGTFQKVLRHYLSHGAPVSQFKSPRCVGRNNAGVLQILSGNVVKVFFSAAYD >PAN29855 pep chromosome:PHallii_v3.1:5:16133660:16138975:-1 gene:PAHAL_5G254500 transcript:PAN29855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGSSQQQLLQRKGKAVDEKGAATAAAAEKVVVAVRAATREISTTAIVWALTHVVQPGGSIILLVVIPAHTSGRKFWGFPLFAGDCASGHKSSMLDQKYDISEQCNQMMNKLNVYDIEKINVKTKLVSGSPSGAVAAECKRAQASWVVLDKELKHEEKRCVEELQCNIVVMKRSQPKVLRLNLVGSPDKESKSTCTIPPVLDGSTGKTATDVKEARSSTRGPAVTPNSSPDLETPFGSTEVGTSSVSSSDPGTSPFSASETNGSLKKEVQTTKDQIQHSDVNISDSDSESLSPPATFSLQPWMANILQGSASSRSLGKGPRKTRTATADALLEKISKLDLLNEISAMRCRSDLNFRGDVRDAVSLARNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNRETLEWASRQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLESYAIDELIDPRLGDRYCENEVYCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVDSGSVMASSDSGSRSWRMLNEQQHFQEYSSPGQQDSQRAVDGKRSYNALRASWDRDKQSISNRY >PAN27511 pep chromosome:PHallii_v3.1:5:5326976:5330213:-1 gene:PAHAL_5G085800 transcript:PAN27511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHHHTCSRAHQVGALLLVAATFLLTRLFDRLLLDASSSSSPSFPLSIARPASSSADLRIYVYSEDEIQGLRALLRGRDGTVAAATCLKGQWGTQVKIHQLLLKSRFRTFNKDQANLFFVPSYVKCVRMTGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVQPIPLRKRKYLANFLGRAQGKAGRLQLVKLAKQYPDKLESPELKLSGPNKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYSEISIKWPSSRIGPELFEYLEAIPDERIEEMIGRGREIRCMWVYAVDTEPCSAMSSILSELQRKVRRFHQSPETFWLHNRSIVNRDLVEFHSWRTPVPLP >PAN27513 pep chromosome:PHallii_v3.1:5:5327247:5329392:-1 gene:PAHAL_5G085800 transcript:PAN27513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVQPIPLRKRKYLANFLGRAQGKAGRLQLVKLAKQYPDKLESPELKLSGPNKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYSEISIKWPSSRIGPELFEYLEAIPDERIEEMIGRGREIRCMWVYAVDTEPCSAMSSILSELQRKVRRFHQSPETFWLHNRSIVNRDLVEFHSWRTPVPLP >PVH37769 pep chromosome:PHallii_v3.1:5:5326976:5329688:-1 gene:PAHAL_5G085800 transcript:PVH37769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVQPIPLRKRKYLANFLGRAQGKAGRLQLVKLAKQYPDKLESPELKLSGPNKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYSEISIKWPSSRIGPELFEYLEAIPDERIEEMIGRGREIRCMWVYAVDTEPCSAMSSILSELQRKVRRFHQSPETFWLHNRSIVNRDLVEFHSWRTPVPLP >PAN27512 pep chromosome:PHallii_v3.1:5:5326976:5330212:-1 gene:PAHAL_5G085800 transcript:PAN27512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHHHTCSRAHQVGALLLVAATFLLTRLFDRLLLDASSSSSPSFPLSIARPASSSADLRIYVYSEDEIQGLRALLRGRDGTVAAATCLKGQWGTQVKIHQLLLKSRFRTFNKDQANLFFVPSYVKCVRMTGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVQPIPLRKRKYLANFLGRAQGKAGRLQLVKLAKQYPDKLESPELKLSGPNKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVMKLSFLSRM >PAN28737 pep chromosome:PHallii_v3.1:5:10442919:10445542:1 gene:PAHAL_5G172900 transcript:PAN28737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTIDEAGMFWISYGGQKGFKVRKMYTNKRKSDGKVRSCRYVCANEGHRKKDKRAHLTKCLRAGTRTDCQVRMGLIDREKETYKVADLILEHNHMLQLPQTSHLMVSQRKISELQGFEIETDDDAGIEPKAAHELVYTLRDHKNYLRAKRQREMAYGQAGSMLMYFQDKIAENPSFQYALQMDIEEQIANIFWVDAKMLTDYVYFGDVVSFDTTFGINKESRPFEKVVFGAVLLYDETFESFKWLFETFLKAHNGKQPKIIYTDQDFAMGKAVNEEELSILSDFSACMYEYEDEATFEHAFQLMKTKASKQTWLDSIYKVREKWAECYMQDVFTLGMRSTQLSESLNSELKRHFKSDFDITRFFKHFERVVADKRKKELDAEFESRRKQPRIKMKTPMLLQASKLYTPIIFEAFQGEYERSLVACTTTLEGNNEYLVAIGSLDENFTCFEKEYKVTGDPLKQTSTCSCGQFNRFGILCGHALKVLDLMNIKSLPAQYVLKRWTREARCGIVQDNKGRNIIENTKLDDMLRYKDMTRKFLNLALRAASNPGCTLLVNNTLGVLSKQVEEEINGCTDNVEPVTLPINVAPPSDLVSTARLKKKEVQTKTSKRQKTWLDKKRKFTKKGSKKKGQGSMEQENIKVPSVDGVPVQNISTSTSLPKEGMSEAYMTINTFSQLLTGAITDVVLDDF >PAN29447 pep chromosome:PHallii_v3.1:5:14018215:14020979:-1 gene:PAHAL_5G227000 transcript:PAN29447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHPLAGEHHPSPASSAATALGPLLLLPSELLHEILLRLAVPELLRVRSVARPLSSLISSPDFRRLYHLSSASSGPGPAAAWLLLFKKLPPRDAAIRGFHGPSGRWFRIPVSAILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLTARAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSNRFRFMFAELVNNTPFLFEYRSGTDTWQRSEAVLAEGTSPASPGGTYLCAAHAGPDCVMVYSGPGADDRPVFFRPRFPNAAGAGGHGDRLHVYGDGSAAVVRSAAVDDPTSRTRVKVVTGVELYGFAAVGGDWELVASVPGELVEGFRKPYAVMTGLLAEREGVVRLVLISNCRGAWDLVWLSYDRARGGEWRWVPVPDWGSSKGLNMAGIAVSSTFSRLWPLAAPASSSCGGRQ >PVH39114 pep chromosome:PHallii_v3.1:5:52779032:52779315:1 gene:PAHAL_5G438200 transcript:PVH39114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIYCDLDLKSLGCYGFRPLLDFSNTCYAKQHQILDNESDSLLVDHNFMDKLDSLSNYKMF >PVH39459 pep chromosome:PHallii_v3.1:5:57114577:57117713:-1 gene:PAHAL_5G506600 transcript:PVH39459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKDLGGSQPSRAKPAEGGEREREREGRGRGSEAVEVGGVGGASGGGLREASAMGDSSGSVSIDVERIFFGGKEHRVRTRRGTLSVSVYGDEDKPALITYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPISSDVPVPSVDDLADQVADVLDFFSLGAVMCLGVTAGAYVLTLFATKYRERVLGLMLVSPLCKAPSWSEWLYNKVLLNLLYYYGTRGLVKESLLQRYFSMEVRGNGQDPESEIVQACRSLLDERQGANVWRFLQAINRRHDLTESLMKLQCRTLIFVGENSQFHADAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPPQQETSPRSTLSPFCISPELLSPESMGVKLKPIKTRISLNV >PAN27477 pep chromosome:PHallii_v3.1:5:5131280:5135711:-1 gene:PAHAL_5G083500 transcript:PAN27477 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSASGALPQPRRGRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESLPSASAPNSGQVPLPRPVEAPADLESGPPPARPNSVLPPPPAAAAVAGNGERRHPPPPPPQPRTRRRDSDGGRPNGQAAAPSLPQLQEEEEAPERPAHVKYELRDTPGIFPLVIYGFQHYISMVGSIILIPLVMVPAMGGSADDMAAVVSTVLLVTGMNTLLHMFTGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGTFQVILGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFAKLGTCIEMGILQLLMVVIFALYLRKIKLFGYRVFLIYAVPLGLGITWAIAFVLTATGVYSYKGCDANIPASNNVSAFCRKHVLRMKSCRVDTSHALRSSPWFRFPYPLQWGTPVFSWKMGLVMCVVSVIASVDSVGSYHASSLFVATRPPTSGVVSRGIGVEGVSTILAGLWGTGVGSSTITENVHTIAVTKMGSRRAVGFGAILLLLLSIVGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSATGSSRNSIIVGLALFLSLSVPSYFQQYGVHPSANSSVPTYFQPYIVASHGPVHTGSGGVNYVLNTLLSLNMVIAFLVALILDNTVPGGRQERGLYVWSESEAAKRESAFMKDYELPFKIGRAFRWVKCVGL >PAN27476 pep chromosome:PHallii_v3.1:5:5130763:5135822:-1 gene:PAHAL_5G083500 transcript:PAN27476 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSASGALPQPRRGRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESLPSASAPNSGQVPLPRPVEAPADLESGPPPARPNSVLPPPPAAAAVAGNGERRHPPPPPPQPRTRRRDSDGGRPNGQAAAPSLPQLQEEEEAPERPAHVKYELRDTPGIFPLVIYGFQHYISMVGSIILIPLVMVPAMGGSADDMAAVVSTVLLVTGMNTLLHMFTGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGTFQVILGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFAKLGTCIEMGILQLLMVVIFALYLRKIKLFGYRVFLIYAVPLGLGITWAIAFVLTATGVYSYKGCDANIPASNNVSAFCRKHVLRMKSCRVDTSHALRSSPWFRFPYPLQWGTPVFSWKMGLVMCVVSVIASVDSVGSYHASSLFVATRPPTSGVVSRGIGVEGVSTILAGLWGTGVGSSTITENVHTIAVTKMGSRRAVGFGAILLLLLSIVGELCPQHVTVTQHGHCISGCSHS >PAN28663 pep chromosome:PHallii_v3.1:5:10316170:10317884:-1 gene:PAHAL_5G170200 transcript:PAN28663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECKEHLQLHAGFGGGGGGAQQNQQQKQEAAAVAPFVAKTFHMVSDPATDAVVRWGGASNTFLVLDPAAFSDYLLPSYFKHRNFASFVRQLNTYGFRKVDTDRWEFAHESFLRGQAHLLPLIVRKKKKAGCGGRELGEEGEEVRGTIRAVQRLREEQRGMEEELRAMDSRLRAAESRPGQMMAFLGKLADDPGVVLRAMLAKKEELVAAGKGAPPPLPAVEAPGKRRRVGAGTGAEAADAGEAAAELAAQGRGAVPFPFSVLGQVFY >PAN33004 pep chromosome:PHallii_v3.1:5:58557537:58558864:-1 gene:PAHAL_5G528700 transcript:PAN33004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLVDKAKGFVADKVAKIEKPEAELADMSVGHVGRDGATLAGRIDVRNPYSHAIPVCEISYSLKSAGREVASGTMPDPGSLTAKDTTRLDVPVKVPYDFLVSLVKDAGRDWDIDYEMRVGLTVDLPVVGNFTLPLTKSGELKLPTLSSIF >PAN26759 pep chromosome:PHallii_v3.1:5:2240903:2247279:-1 gene:PAHAL_5G036500 transcript:PAN26759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGQMTASNLLRTEGFPGNMGKRHGLIGARSLGSRRLQMSQHCFHQQHLCWPRAQRVTVRNIRMSSSPGAVESKGFDSPLVEKSDSALNGGIIHLYRTPFLQESETMELLKKVKAKVSANIADIMTEQCFNIQLDNPLTPEKLSTLHWLLAETYEPEKLQTRSFLEEEVSRSSSAVIVEVGPRMTFSTAFSSNAVSICKSISLVEVTRLERSRRYLLRLEPGSDPLDESQLKEFSALVHDRMTECVYPNKLTSFQSDVVPEPVCVVPVIERGEEALEEINVKMGLAFDKQDIDYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLLIDGETMPNTLFQLVKRPLKANLNNSVIGFKDNSSAIKGFPVNQLRPTIPGSTSPLSIMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIESSYAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCQRERVSMAVIGQIDGCGKIVLIDSAAVEHAKLNGLPPPNPVEELELEKVLGDMPQKTFEFKRVSQVTEPLDVAPEVTLLDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGSLQIPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGNDGILLHIDLAKGNRRLGGSALAQAFDQIGNDCPDIDDVPYLKKVFEAIQELITQHLISAGHDISDGGLIVSVLEMAFAGNCGVKLDIDLEDRSLLEGLFAEELGLIIEVNSKYLNVVKQKLEAAGVSANVIGEVTSSPEIEVFVDGNLHLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWSLSFTPRFTDENLLIASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTDFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYHRPDTFSLGVCNGCQLMALLGWVPGSDIGGSLGLGGEMSQPRFIHNESGRFECRFTSVSIGNSPAIMFKGMEGSTLGVWSAHGEGRAFFPDEGVLATVVKSNLAPVRYCDDANNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQVEKSGPSPWLRMFQNAREWCS >PAN27426 pep chromosome:PHallii_v3.1:5:4949421:4951355:-1 gene:PAHAL_5G080100 transcript:PAN27426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHHNHQQHSVRAAGPPAPAARPWSKAEDKVFEGALVMWPEHAPDRWALVAAQLPGRTPREAWEHYEALVADVDLIERGAVDVPTSWDDDDDSSSGGGGGEEGGPTRRAGPNRPRRESRRPGIPWSEEEHRLFLQGLEKYGRGDWRNISRFSVRTRTPTQVASHAQKYFNRQLNPASRDSKRKSIHDITTP >PAN31231 pep chromosome:PHallii_v3.1:5:50315595:50317072:1 gene:PAHAL_5G406100 transcript:PAN31231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIHLYVAAACAVVLALAAPTLAGDPDMLQDVCVADYASPIKINGFPCKANISADDFFFPGLRNPGNTNNPAGSVVTAANVEKFPGVNTLGVSIARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKIVNKGDVFVFPRGLVHFQQNRGHGPAAVVAAFNSQLQGTQAIAMTLFGATPPVSSDILAKAFRIDNGEVDAIKAKFAPK >PAN30259 pep chromosome:PHallii_v3.1:5:39706887:39716014:1 gene:PAHAL_5G354900 transcript:PAN30259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACVCIFKLVSCSGVRQGHQQQRNVSPSLKAISEPPSNSTPPLPRTQSLIPLALASAPNSIRPGPRSPSIPVPTRRRPDRHCAPAASARSGRRLGRQIPPPSRLAVPAPDANLAPQKRSQRGGGGGGGASAAAAASSAAAGDSGDAVMARWLQSAGLQHLAASSAAGGVGAGDLRGGGLAGGGAGGLLPSLLMQGYGPQSIEEKQKLYTLLRSLNFNGELASASLSEPYTPTAQSFGGGASVDGFYSPELRGEFGAGLLDLHAMDDSELLSENVASEPFEASPFMPKEMDDDEDDLIPENQQSLVDNCSSAFISEKENTGVSVRESNVAKIKVVVRKRPLNKKEVSRKEEDIIDVHNSQFLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYCETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAHDMVRLLHQPMYRNQHFKLWLSYFEIYGGKLFDLLSERRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGTTGANEESSRSHAILQLAVKKHIPVADTRRQRDRDAIEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNIKKEQVTMQPVTSGKESTYNSYPLSGESEEIMEQTQERPVDGSRKGIDNFTSNSSMEPERNSFSIIPSYPQRGKEESSSRSGLSDRERGDLKPSQAGITSKTQSLQDSVNTQEDVKVTKVSPPQRKANRDEKSERQSNYVKKESGHEISRTVLKQQQQLKQQQLQRPSSTSAPQVSSKQSEKEAMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLSFLLSRKASGLVSLQARLARFQQRLKEQEILSRQKTSR >PAN28008 pep chromosome:PHallii_v3.1:5:7471676:7474453:-1 gene:PAHAL_5G124500 transcript:PAN28008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRKRALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFDEDDIRVLSDKDRSGPQPTGVNIRRALARLVADARPGDFLFFHYSGHGTRLPAETGQHDDTGYDECIVPCDMNLITDQDFRELVQKVPDGCLFTIVSDSCHSGGLLDSAKEQIGNSTRQNKTQSREPEERPDSGSGSSFRSFLKETVRDAFESEGIHIPHSRRGHSHHGGDDQDEASEQPSGNGRIKNRSLPLSTLIEMLKEQTGKDDINVGSIRMTLFNIFGDDASPKIKKFMKVMLGKFHQGQSGEHGGGGGVMGMVGALAQEFLKAKLEGNEEEAFKPAIEQEVHSVDEVYAGTKAWAPNNGILISGCQTNQTSADATTPQGVSFGALSNAIQTILAGKHGKVTNKDLVMKAREILSKQGYTQQPGLYCGDENVHVAFIC >PVH39457 pep chromosome:PHallii_v3.1:5:57051907:57053511:-1 gene:PAHAL_5G505800 transcript:PVH39457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGWWCSPPGHVTPPRTASVNGRYAAWRCVHGHGVEAEGCGCGLWVGDQAAAGGLRESVNRTAGAQRIRFRGFQAGRADAMHTMRPGCWINILLQVCNKHRAERLCLQLRPELAVGRLSIETQPVARHVPLSELRP >PAN32674 pep chromosome:PHallii_v3.1:5:56921025:56923478:-1 gene:PAHAL_5G503800 transcript:PAN32674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVYLLLAAVIASCHAQATPGDLPWPASLAALAAEGKLRTDSNATVPASMDFGNITSALPAAVLYPSSTADLAALLGVAHATPGWPYTLAFRGRGHSLMGQAFAPGGVVVNMPSLGSDAAAPRVNVSADGRYVDAGGEQLWIDVLRASLERGVAPRSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVFELDVITGRGETVTCSKELNADLFDAVLGGLGQFGVITRARIALEPAPARARWVRLVYTDFATFTADQERLVRPLPGGTFGPMSYVEGSVFVNQSLASDLKNTGFFSDADVARVVALAKERNATTVYSIEATLNYDNATAAASVDQVLKSVLDGLRFEPGFAFERDVAYVEFLERVHNEEVALNKIGLWRVPHPWLNMFVPGSRIADFDRGVFKGILQGTDIVGPLIVYPVNKAKWDDGMSAATPAEDVFYVVSLLFSSVAGDLAKLQAQNQRILRFCDLAGIQYKSYLARYTNRGDWVRHFGADKWSRFVEMKNKYDPKKLLSPGQDIFN >PVH37730 pep chromosome:PHallii_v3.1:5:4408944:4412212:-1 gene:PAHAL_5G071400 transcript:PVH37730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNPGRTVYIGNFDEKVSERILYEILIQAGPVIDLHIPFDKENSRLKGYAFAEYETEEIAQYAVKLFSGLVRINDKALKFVIAGHVKPSSDGKNPVMPELNTIPSSNGNNPVMPKLNPIPLPKRTQFARCSDMPVSHTPAYPVVNGRIAGYGFCPTPYPYGVHPQALSGGPVHNHGQFHLSSYV >PAN27281 pep chromosome:PHallii_v3.1:5:4409911:4411966:-1 gene:PAHAL_5G071400 transcript:PAN27281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNPGRTVYIGNFDEKVSERILYEILIQAGPVIDLHIPFDKENSRLKGYAFAEYETEEIAQYAVKLFSGLVRINDKALKFVIAGHVKPSSDGKNPVMPELNTIPSSNGNNPVMPKLNPIPLPKRTQFARCSDMPVSHTPAYPVVNGRIAGYGFCPTPYPYGVHPQALSGGPVHNHGQVSNGTYDYSRQAFGSVLNIAHRGPVMNAFAQGAANQPIMYPSY >PAN27280 pep chromosome:PHallii_v3.1:5:4409911:4411966:-1 gene:PAHAL_5G071400 transcript:PAN27280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNPGRTVYIGNFDEKVSERILYEILIQAGPVIDLHIPFDKENSRLKGYAFAEYETEEIAQYAVKLFSGLVRINDKALKFVIAGHVKPSSDGKNPVMPELNTIPSSNGNNPVMPKLNPIPLPKRTQFARCSDMPVSHTPAYPVVNGRIAGYGFCPTPYPYGVHPQGGPVHNHGQVSNGTYDYSRQAFGSVLNIAHRGPVMNAFAQGAANQPIMYPSY >PVH37731 pep chromosome:PHallii_v3.1:5:4408944:4412212:-1 gene:PAHAL_5G071400 transcript:PVH37731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNPGRTVYIGNFDEKVSERILYEILIQAGPVIDLHIPFDKENSRLKGYAFAEYETEEIAQYAVKLFSGLVRINDKALKFVIAGHVKPSSDGKNPVMPELNTIPSSNGNNPVMPKLNPIPLPKRTQFARCSDMPVSHTPAYPVVNGRIAGYGFCPTPYPYGVHPQGGPVHNHGQFHLSSYV >PAN29708 pep chromosome:PHallii_v3.1:5:15515224:15517301:1 gene:PAHAL_5G245500 transcript:PAN29708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAPTVAKSAPELVPPAGPTPGGTLPLSSIDKTAAVRVSVDFVQVFPRAAGDVGDQDAAVEAMRDGFARALVPYYPVAGRIADVSPGEPVVDCTGQGVWFVEAAASCALADVNYLERPLLIPKEELLPRPPPEEKLEDLIIMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSVAPVWDRDAIPDPPKLPRGPPPSFTAFSFVTQVVDVSPESIARIKEEFSAATGQTCSTFDAVTAVVFKCRALAAGLPDDAEVRLGFAASTRHLLQGVLPSVEGYYGNCVYPVGITRTSKAIREAPLLEVIGLMRGAKEALTARFTDWMHGGAKEDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDHVNIVASVIYFKPPAPKRGIRLMLRCVEEPHAAAFAEELAKFA >PVH39104 pep chromosome:PHallii_v3.1:5:52588203:52591868:1 gene:PAHAL_5G434700 transcript:PVH39104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQRKQRTREEQGGKHLHDRTQHVWLVTHCPTYPPGQPLVIHWSRSEQLSSEQNHARDRRWTHRENPCMAGPMEPEGRDAAGKPLLVRVSGSSGGDSHGSAASSSIAVVVGSTAVAVAGSFEFGLSIGYSSPSQLGIMRDLHLSLAEYSVFGSILTIGAMLGAIISGSIADRAGRRGAMAISDVICTLGSLDSLFPGFTPLLVPVYISEITPKNLRGGFATVNQFMICCGGSLAFVLGTFITWRTLAIVLAPCLLQLVGLLAIPESPRWLARSGYPGAFVAALQKLRGHGTDISEEASEIKVFTEKLHRLPKSKMLDLFQKDYIHAVTVGVGLMAFQQLGGVNGILFYASEVFVSAGFSSGNTGTVAMAIVQIPMIGLGVLLMDKAGRRPLLMVSAAGTCLGCLLVALSFLSKEQHWERDLNVFALAGLLVFIGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLINWLGSWIVSYAFNFLMMWSTYGTFFIFASICGITVVFVERLVPETKGRTLEEIQASMSSSLTPFHK >PVH37835 pep chromosome:PHallii_v3.1:5:6176372:6177730:1 gene:PAHAL_5G101100 transcript:PVH37835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENPGYKIQTHPSLPNPGILHPALAFSTPPASSSAGHQELSRSSRAREEGGGEEASNGVRVPLQRLRRQQGEEAAAQAGAAQAADRQDPAGQPRGAGRQEQGPHYRSFGR >PVH39438 pep chromosome:PHallii_v3.1:5:56748804:56751145:1 gene:PAHAL_5G501700 transcript:PVH39438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLMQDPPAGISGAPQDSNILLWNAVIFGPDDTPWDGGTFKLTLQFTEEYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >PAN27712 pep chromosome:PHallii_v3.1:5:6152181:6161031:-1 gene:PAHAL_5G100900 transcript:PAN27712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASTLVGPPVAVAFNADPKPQPKPTYGSFPDYPSDKNSSMDEDSPAPGPATEKEVGRPLAYASSGDPCVDFFFQIVPGVTSDADLAALLDAAWSRDARTALKLVCHLRGVRGLGKSDRDGFYAAALWMRACHPLTLAANLARFGCLKDLPEILYLGRLNKEAQLAQAALARYATDEAFRHLYDRVADTFAELLKSDIEHLRAGDTAKIGLEAKWCPSLRSSYDRSTLLCEAIARRIFPRESNQEYLNLSNKHYTYRVHNQLRREVLVPLRKVLELLEEAFQKRDKPRVSGFFDEVRTGHARVAACAVLPHDMIAEALKGEHDGAAELQWRSMVSALAARPIRPVFRGWPPTPRCVLALGLLISELSQEPWKGRVITFEETHQLHKLHGANLKVKLQPLVAALGPRKKGANLQGVFSKILSTAVAGRLRKDMMVKRVFVLSDMDFDGWAGPAAAWVTEYQSISNQFSAEGFAEPEVVFWNVGTSKASMPVVAAQEGAALVSGYSKNLVRLFLEADGNLTPATVMAGAISDPEYDALEVFD >PAN31520 pep chromosome:PHallii_v3.1:5:51987561:51992117:-1 gene:PAHAL_5G424500 transcript:PAN31520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANCCIAAKERTQPCMAQIEVSTYRVRHSPSWSFRWDNRTHIEDMMENTMVFPNQSSGNVQPEVKNGFIAPTEGHNSGDSRSDVFRRVKWQKSDKKMEVSKLSKVDPGDQSTANDLSPEAKSCKSPSVVTVASDAKTLKSHPSTTTPVLQEDSEGPSSCHSIRMDPNSTRKALQSSGGQLYTQISDGSNPSLKPLGENSSAERRLSNSMLSACSNDMFTGQSQGETSDGWATRAPSELVAISQGDRWSVDNELFGSITSKGSFSNASHPTALSPDQEVCRLCSRLLKERSSWNGHELAVVAVLFCGHAYHANCLDSITAESEKYDPPCPVCTHGETITAKLFGKTELKVKNKASKNMADNDLDRSSEHQKKVKREPRLVTSSSMKNTFSRPFLRRHFSTGSRPPTPVLGSEPTRKKGFWSRNWRE >PAN31521 pep chromosome:PHallii_v3.1:5:51988282:51990251:-1 gene:PAHAL_5G424500 transcript:PAN31521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANCCIAAKERTQPCMAQIEVSTYRVRHSPSWSFRWDNRTHIEDMMENTMVFPNQSSGNVQPEVKNGFIAPTEGHNSGDSRSDVFRRVKWQKSDKKMEVSKLSKVDPGADQSTANDLSPEAKSCKSPSVVTVASDAKTLKSHPSTTTPVLQEDSEGPSSCHSIRMDPNSTRKALQSSGGQLYTQISDGSNPSLKPLGENSSAERRLSNSMLSACSNDMFTGQSQGETSDGWATRAPSELVAISQGDRWSVDNELFGSITSKGSFSNASHPTALSPDQEVCRLCSRLLKERSSWNGHELAVVAVLFCGHAYHANCLDSITAESEKYDPPCPVCTHGETITAKLFGKTELKVKNKASKNMADNDLDRSSEHQKKVKREPRLVTSSSMKNTFSRPFLRRHFSTGSRPPTPVLGSEPTRKKGFWSRNWRE >PAN30521 pep chromosome:PHallii_v3.1:5:21139315:21140943:1 gene:PAHAL_5G289600 transcript:PAN30521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQIPARSGALRRLLLLGGAGGLPRLYSTGDRRRRVIREAQQEEEDEAFLRTLNFGADPENNPLPPPPRRGRGGPDASGSAGRQPQPQQERPERSAQKAVGETLLEKLKLGDGPSGAAAIKGGGAERKQPEDETAPAQPVDVDEIFRKMKETGLIPNAVSMLDGLCKNGLVQDAMKLFGLMREKGAIPEVVIYTAVVEAFCKAAKLDDAVRIFRKMQGNGVIPNAFSYWLLIQGLYKGGRLDDAIGFCVEMFEAGLAPNAETFVGLVDAVCKMKGVDEGEKLVRSFQDRSFAIDEKSIREHLDKKGPFSPVVWEVIFGKRKSGLPF >PAN28980 pep chromosome:PHallii_v3.1:5:11563868:11565950:-1 gene:PAHAL_5G191500 transcript:PAN28980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWCEQRRGGLTAGSIIQPRRGRRRPALLRAASGASSSAGRMVSQAGCERRAAVAKASRPRASDAMTGQTQVARARGVGAGDGGGSGGAAQADD >PVH37905 pep chromosome:PHallii_v3.1:5:7117307:7120290:-1 gene:PAHAL_5G118400 transcript:PVH37905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRAIALHVQTQPPPLPISAAALPPHSLASSLLHFLKRPASFPFLLSLFVLLTWLSLRFHRPSPPPSLGGRPAVVHDPQANLVRFPAELHPTPIARDGRGWLLDPVAAARDAGLPGGAFSCLSLHVGQVQPGGLRGNHRHHTCNETFVIWGAKTKFRLENAGVKDKGYGEAIIAADEVGIVASTRSTAHALINMDVRPTFFIGCQDTPIYPNSSNTDYKVWKDL >PAN28468 pep chromosome:PHallii_v3.1:5:9386325:9386558:1 gene:PAHAL_5G155200 transcript:PAN28468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAGIVLFLFVLVVGAALAAGARVVPAGGYAAPGIDVAGRAAGGGGIRRGRWNVQSLDDARKREVPGGPDPQHHN >PVH38843 pep chromosome:PHallii_v3.1:5:43585423:43596844:-1 gene:PAHAL_5G367300 transcript:PVH38843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLEGIPESFMELKLLISILILLITLLNAKKCTMTLFVDSSSPEGGLPTLFLSLPRISFLLGEFIFFKCFGSIELRMEIKILIVVPEFVNRSQRLMHWRKIILPQKSYLLFQGLQLFIYKAQNVVMINSDFSLQLMGFVQEAVMEAFIKLFNNNHKQIAGTNCSISKTVFPVDLVYKLCSDPTTFVPDFIQHQVLKIHEDMDISSADIVQNFQSTCQRANVFQREISNYPLQVPRCPKH >PAN29631 pep chromosome:PHallii_v3.1:5:15062016:15069971:1 gene:PAHAL_5G239500 transcript:PAN29631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGAAAAAAGSCKRGLAPAPQPLRAGSARRVRARSRLARFLLFEKVDYLQWIAASAAFFFVAIVFVAFLPGSGVVERPRLMLPSRRAGPGRGGGEHPSLPRVDFGLVGREAGVAFEPTRLREKWARERREEARSLAELGTPVRRLGVRKPRLAMVFGDLYPSAMQLQMVSVASVLEAMGYEMKVFSLEDGPCGNIWRAIGAPVSILPEEANQPNSVDWLHYDGILVNSIEARPVFSSLLHEPFKSVPVIWTVHEYSLAHRIEEYNASGMIQIIDAWKEVFSRANVIVFPNYILPVMYAAFDSGNYFIVPGSPSEVFQADNFIANHSHQDARISLGLSTKDFVIAIVGTPFSYGENLMEETLVLQAIGPLLQQYHSENSTESELKVKIFTGNKTEKHKMVLESAALSVGFPRGAVEHVAAGDKHDLLHTADLVIYGSCLEEQSFPSVLVQAMSLEKLVIAPDLAIVRKHIDDGVNGLLFPKKNIGMLTQVLLRAVSNGKVSVSGQKIASVGKAYAKNLMASETIEGYAELLENVIKFPTDALSPLTAGEIPLALKQEWKWHLFEDVKHLHHVNGSLSGYKILQKLEQEWHSNLMERPPVGTSKNSEAFSAIAWEEQRANEVMDIKRKMEEDELKDRNDQLHGTWEEVYRNVKRVERLKNELHERDDKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGVGLSSKGRRPGADDIDASSRLPLLNNVYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRVTARKENLSENAESAILEAIQSQKHGDAFYFWVRMDQDPRNHANKDFWSFCDAINAGNCRLAFSEAFQRMYGVQLDHELDSLLHMPSDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYDKHHRTGHCILSLHKDQHCYCRLLEVIVNVWAFHSARRMVYVNPETGVMQEQHQLSGRKGQMSVQWFSYATLKSMDEELAEEFDSDHPDRRWLWPQTGEVFWQGLYERERTMRQQEKERRKQQSRDKIQRIKKRARQKTLGKYIKPPPEDIGASNHTMTVDL >PAN29649 pep chromosome:PHallii_v3.1:5:15149551:15158290:-1 gene:PAHAL_5G240600 transcript:PAN29649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSIGSPRAAPRRPRPTAGEMPPRRRDRRRPRDPSPPPSDARAPPSASGIRLTLLAPVVVLLLVLAALGFSGRLSRSPPHPQTLQTTAHSVYERGLVKRDVSSREILAERTRISEKRSQRQFPNPVLAYVTPWNSKGYDMAKLFSAKLTHISPVWYDLKSDRNMLVLEGEHNFDATWVSELQSNGSLVVPRVVLEAFPAVILLEKMQKAKAIDLIVSECRDKGYDGIVLESWSRWAVYGVLDDPELRYMALQFVKQLGEALHSISSKSSNRHLELIYVIPAPRMQELSNQDFRPEDLLQLADSLDGFSLMTYDFSGPQNPGPSAPLKWVQHSLTTLLSAKGSSPSRNHSRMIFLGINFYGNDFLLSRGSGGGAITGRDFVHLLEKYKPSLQWDEKSLEHFFIYSHEGVRHAVFFPTLMSLSLRLDEARNWGTGLSIWEIGQGLDYFFDVL >PAN33097 pep chromosome:PHallii_v3.1:5:58937479:58944118:1 gene:PAHAL_5G533700 transcript:PAN33097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIVGGKFRLGRKIGCGSFGEIYLATHIDTYEIVAVKIESSKTKHPQLFYEAKLYNTLQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLLVYCGRKFTLKTVLLLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKTQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLKYKQGQKQKRSPGAPARPIQEDVQKQAGVNGIFHSEAREHVETSHPTWQAAESRGKQATDKDWNSSMQHTVKLRQDVDDGKVQMTSVPLPSSLWKDDGDSRQKGQFDAVHHTQGFVNITGSSNSWPPTFQRNAPAK >PAN27816 pep chromosome:PHallii_v3.1:5:6630538:6634359:-1 gene:PAHAL_5G110300 transcript:PAN27816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEDWLQQTEMERDEHQRQMEMELDDLEDFTLLTMSMWGPQVPRERVPRQIVPRDHHEGYRRIWADCFAPEPVYGDRLEECDSSSFGAGRGEGERDSRPSSAKGGGSGEGRRKRRRSAHPRRRFISRSADIPSSSKRRWRGRVMDRVTNYAMQGCSNNDEREEVEAAANAASRQQWMGSFHPYGMPPPVPPYFYPAPPTMPPPVPPYFYPAPPTMPPPAGPPMQQFSEGPSVRVGDPDAGKSGPKVKLPNFNPEEDVNLTKWWLNISTDPVVNTGQRKEGFWLQIMKGYNSSRGVYPERSQKSLTTRWDYIKECCTKFSEFYSSVLRLNPSGMSDADKTTEAMARYAGALQKPFTQMHSWKLLKDEPKWEACIGAHPKVHVLDDDSSDAAAGGANEVGSPAESDVPASSGSKRPIGRDATKAARKKAATSSSSSEYISQMNDLWGNKLSLIKESHAEMASHHATMAVLQEKKMTTERELEERRLALEERRLEMEKNDWESRMEMERSRAAKEERAEEERILSIELDKCSLALRLFYKRQQEQILAKYSLPPP >PAN27817 pep chromosome:PHallii_v3.1:5:6628934:6634359:-1 gene:PAHAL_5G110300 transcript:PAN27817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEDWLQQTEMERDEHQRQMEMELDDLEDFTLLTMSMWGPQVPRERVPRQIVPRDHHEGYRRIWADCFAPEPVYGDRLEECDSSSFGAGRGEGERDSRPSSAKGGGSGEGRRKRRRSAHPRRRFISRSADIPSSSKRRWRGRVMDRVTNYAMQGCSNNDEREEVEAAANAASRQQWMGSFHPYGMPPPVPPYFYPAPPTMPPPVPPYFYPAPPTMPPPAGPPMQQFSEGPSVRVGDPDAGKSGPKVKLPNFNPEEDVNLTKWWLNISTDPVVNTGQRKEGFWLQIMKGYNSSRGVYPERSQKSLTTRWDYIKECCTKFSEFYSSVLRLNPSGMSDADKTTEAMARYAGALQKPFTQMHSWKLLKDEPKWEACIGAHPKVHVLDDDSSDAAAGGANEVGSPAESDVPASSGSKRPIGRDATKAARKKAATSSSSSEYISQMNDLWGNKLSLIKESHAEMASHHATMAVLQEKKMTTERELEERRLALEERRLEMEKNDWESRMEMERSRAAKEERAEEERILSIELDKCSLALRLFYKRQQEQILAKYSLPPP >PAN32571 pep chromosome:PHallii_v3.1:5:56417189:56420675:-1 gene:PAHAL_5G496200 transcript:PAN32571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTPRNMASDPGPPTITKPSPLSLPPHPLPSLSPARGTAAPPSPPAMSVETERSSTESSAASGLDFEDTALTLTLRLPGSASSSSSSSAFPDPDRKRASSDADADRSSPLAAASSDAPPAPKARVVGWPPVRSYRKNALADVAGSSKAKQAAKFVKVAVDGAPYLRKVDLEAYAGYDQLLRALQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLAPRAAR >PVH39425 pep chromosome:PHallii_v3.1:5:56565095:56567344:-1 gene:PAHAL_5G498300 transcript:PVH39425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSETGAQSAAVEELCVLKRKSEDVGWEYGVLADPTNMKRRSACFVAMRAQEGFIILSNMWLMLVLLWLVQEKHYRS >PAN29129 pep chromosome:PHallii_v3.1:5:12406515:12409318:-1 gene:PAHAL_5G203900 transcript:PAN29129 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MDGNPTFLSCPDHSPGRALAPPRITPSSSPALVASVKDLYDFICSGPLVDRIGYTKEKIAESIDRWLRCGGQIARLFRLNELQLSEAEKVRIYHFYIPVFLWCEDQVIEHRAKYKEGDDIPPLVIGVSAPQGSGKTTLVFALNYLFRVAGRNSTTLSIDDFYLTAKEQNELRDRNPGNALLELRGNAGSHDLQFSAETLESLTKLTKEGMKMKVPRYDKSAFGGRGDRADPSVWPEVEGPLEVILFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLEAYYNAWDRFIGSWIVIKIREPSCVFQWRLQAEIAMRADGKPGMSNEEVMDFVSRYLPAYHAYLPTLYKEGPIGSKPEQLLVIDIDEERNPLWGN >PAN29128 pep chromosome:PHallii_v3.1:5:12406294:12410207:-1 gene:PAHAL_5G203900 transcript:PAN29128 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MAPLHAAPPPSSTCSSSSAVGPGFLARPHHHHHRRAVAASCCSPAVTAAAAPSRKAFLSCPDHSPGRALAPPRITPSSSPALVASVKDLYDFICSGPLVDRIGYTKEKIAESIDRWLRCGGQIARLFRLNELQLSEAEKVRIYHFYIPVFLWCEDQVIEHRAKYKEGDDIPPLVIGVSAPQGSGKTTLVFALNYLFRVAGRNSTTLSIDDFYLTAKEQNELRDRNPGNALLELRGNAGSHDLQFSAETLESLTKLTKEGMKMKVPRYDKSAFGGRGDRADPSVWPEVEGPLEVILFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLEAYYNAWDRFIGSWIVIKIREPSCVFQWRLQAEIAMRADGKPGMSNEEVMDFVSRYLPAYHAYLPTLYKEGPIGSKPEQLLVIDIDEERNPLWGN >PVH37710 pep chromosome:PHallii_v3.1:5:4042262:4043399:-1 gene:PAHAL_5G065200 transcript:PVH37710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAERGDEQEAERRRGYKGVRRRRWGKWVSEIRVPGTRGRLWLGSYATPEAAAVAHDTAVYFLRGGAGAGVAGGGDVAALNFPERAAAAYGAGAGVGSAGAGAGRLSPQSVQRMASDAGMAADAQLVAARDGARAPAHGHVDCTGIGNAQGGGPSARPRDQDADAYTSRARATSNSAGASREQQHPVSGEISVDDIEVLV >PVH37962 pep chromosome:PHallii_v3.1:5:8119039:8119934:1 gene:PAHAL_5G135900 transcript:PVH37962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGQLKAKILAAAAAAVVVASSLIGMASAADAPAPAPTSGATAAAPAFAAVSVAVAALGFLFY >PVH38993 pep chromosome:PHallii_v3.1:5:51012698:51013200:-1 gene:PAHAL_5G412800 transcript:PVH38993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYKSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKKEEWIQLVKYWCL >PAN31031 pep chromosome:PHallii_v3.1:5:48640330:48642802:-1 gene:PAHAL_5G390300 transcript:PAN31031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRCLFVLALLLAQLPGDAIAGRGHHGPAAHDYRDALAKSILFFEGQRSGRLPPSQRMSWRRDSGLSDGASAKVDLVGGYHDAGDNVKFGFPMAFSMTMLAWSVVEFGGLMKAELQHAREAVRWGADYLLKATAHPDTIYVQVGDATKDHACWERPEDMDTPRTVYKVDPGTPGSDVAAETAAALAAASLVFRKSDPAYASRLLARAKRVFAFADKHRGSYSTGLAADVCPYYCSYSGYQDELLWGAAWLHRATKSPSYLGYIQANGQVLGAGESDNTFGWDNKHAGARVLIAKSFLVQRLGALHEYKSHADAFICSMVPGTPTDQTQYTRGGLLFKLSDSNMQYVTSSAFLLLTYAKYLAFARQTVSCGGGGGVVTPQRLRAIARRQVDYLLGSNPAGMSYMVGYGARYPRRVHHRASSLPSVAAHPGRIGCSQGFAALYAGAANPNVLVGAVVGGPDLQDRFPDQRDDHEHSEPATYINAPLVGALAYLAHSYGQL >PVH39396 pep chromosome:PHallii_v3.1:5:56140987:56142386:-1 gene:PAHAL_5G492000 transcript:PVH39396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFASSALRSRADDDGNRRSVLLLALHVAHPAAPPTARPPAAAAATSRAKRAVAEVAGGGGGRRGSAEDSIKAATDAEFFQTSDTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRYVPLQSESGRKLLQSSGRSPDDISSVVLVEKERSYIKSEAVLRIMEYLNLPFPQLAIFLKFVPLFLRDFAYDNVANNRYTVFGRSETEACEIL >PAN32676 pep chromosome:PHallii_v3.1:5:56938355:56939515:1 gene:PAHAL_5G504100 transcript:PAN32676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPWMVIKRKADSYTLLASESGYNTSSRRRHERLLANEELRRSFSRRKKKELCRGGGGTCRSRTSASFRGLGKQRARAAPTSASLSRSPPIFRMGCGGRRGGCISGCWCRCSEQGTWVMLKK >PAN29700 pep chromosome:PHallii_v3.1:5:15408869:15412847:-1 gene:PAHAL_5G245000 transcript:PAN29700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFNRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSRAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVHVPANKQPARPAPQKATAEDDELAALQAEMAL >PVH38682 pep chromosome:PHallii_v3.1:5:32164517:32165141:1 gene:PAHAL_5G326300 transcript:PVH38682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGLFRLAGRSGLGRLNLRVETGRSGLEWFFVDFVRRGDLALAFAAEAGFACAFVTADAAVSTASLEMAPASASMTSNLKTTASAARAPRSLRPRASSGAAAGARASRKQTASWPHGEDATAAGCPPPSPLLLCSTRRFAGTGNDAPRLRSSPGRSGVVSALLSHSRVHCHRRCRRCAGGGSV >PAN27826 pep chromosome:PHallii_v3.1:5:6696718:6698961:-1 gene:PAHAL_5G111200 transcript:PAN27826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDIPAAVVLELMTMGQQSAAHLGDLLRAASPASPHQELAAEILRCCGRVIDALRATSGGRKRKAPEYQAEAAPPPKRRGRGAEAVKEVVTSGTTVDGFIWRKYGQKDINGRKHPRLYYRCAHRQQGCNATRRVQRTRDQPAAYEIAYYGEHTCRGAAACHPQGAAPPPAVVDFGSNSWGSADAGRGGSPAASMSQGQQGGWSPSSVSASPSSEVGLELEGAHAHEWEWHDAATVDELIGAAPRYGPDPVTEFLDGCLGWEAVLNDPLDLAGLHHVATFQ >PVH38818 pep chromosome:PHallii_v3.1:5:42314988:42315728:1 gene:PAHAL_5G361900 transcript:PVH38818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPSLPKLLLLSLERVGIMEPPEYAYREYVAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPTEGRGRTWIACMRGLGREEEDLEDTVSHLSIYLTGLDALCREQAAQLKQLIRGIEKITQELEKQRTRAASAEYSLVALQAQMQEYKSRSGIGGWIEEEEEEEPMETHWDKGTQTEDEEMDRSLPIKKRPIRTEEESP >PAN28061 pep chromosome:PHallii_v3.1:5:7672573:7675243:1 gene:PAHAL_5G128600 transcript:PAN28061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAFLKNLNPLIRRTTTPISNPRPLLSLHTFLASSSPTTITPAAACPAAGPFAGAAQTHVPVRSGGPLFLSSPPWMLSQSATPLTAAAAALRDKLRRAQALAGGRAQAVADAVRWDHRRISGGEAEAAPSSGVVGGGGERFLNAPNLVSIGRMVSGPVIGWMIVNEWYLPAFATLAVSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAVAMVQNDLLHPGLVGLVVMRDLLLVGGAFYKRASSLGWKWNSWSEYVNLDAIHREKVEPLFISKVNTVFQLMLVAAALLQPEFGTDETQNYITFLSGCHMSFCSVNLVYLQFPTHNKY >PAN28060 pep chromosome:PHallii_v3.1:5:7672458:7675968:1 gene:PAHAL_5G128600 transcript:PAN28060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAFLKNLNPLIRRTTTPISNPRPLLSLHTFLASSSPTTITPAAACPAAGPFAGAAQTHVPVRSGGPLFLSSPPWMLSQSATPLTAAAAALRDKLRRAQALAGGRAQAVADAVRWDHRRISGGEAEAAPSSGVVGGGGERFLNAPNLVSIGRMVSGPVIGWMIVNEWYLPAFATLAVSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAVAMVQNDLLHPGLVGLVVMRDLLLVGGAFYKRASSLGWKWNSWSEYVNLDAIHREKVEPLFISKVNTVFQLMLVAAALLQPEFGTDETQNYITFLSWLVATTTITSTIGYGVKYYRIKPRSR >PVH39250 pep chromosome:PHallii_v3.1:5:54579880:54582638:1 gene:PAHAL_5G463800 transcript:PVH39250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRLHDGYEHDGDQPDDKRMRRLPSFSTVIREAMMQRHMTNLFRFLEPLFRRVVQEEIQAGLMQSPRYIERSPATPPAERPAWKLAFRTPPQLPIFTGSKIEDASGAPLEIVLVDADTGSPAALPQALRVELVPLFGDFPPDGREDWAPEEFQKGVVKEREGKRPLLTGDVGLTVREGRATVSDLQFTDNSSWVRCRKFRIGVRVVPGSYDGARIQEAMTEAFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLRHNNVVTVQEFVRMLMVKPDELRAILGEGMTDRMWEATTNHARTCEPDDKVYVYAAPHGTIYVNSVFKLVRVEIGGVEWPLQQLRGHTMIVEQLMLEAYEQRHSLQEAQAFMLHGHAANNVPLLQNAAHVAVPAPAETPLWYPNAPEIEFPAPVVDNVVSIPQANSFGYQWPGQVFHMPG >PAN32106 pep chromosome:PHallii_v3.1:5:54579880:54582638:1 gene:PAHAL_5G463800 transcript:PAN32106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRLHDGYEHDGDQPDDKRMRRLPSFSTVIREAMMQRHMTNLFRFLEPLFRRVVQEEIQAGLMQSPRYIERSPATPPAERPAWKLAFRTPPQLPIFTGSKIEDASGAPLEIVLVDADTGSPAALPQALRVELVPLFGDFPPDGREDWAPEEFQKGVVKEREGKRPLLTGDVGLTVREGRATVSDLQFTDNSSWVRCRKFRIGVRVVPGSYDGARIQEAMTEAFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLRHNNVVTVQEFVRMLMVKPDELRAILGEGMTDRMWEATTNHARTCEPDDKVYVYAAPHGTIYVNSVFKLVRVEIGGVEWPLQQLRGHTQMIVEQLMLEAYEQRHSLQEAQAFMLHGHAANNVPLLQNAAHVAVPAPAETPLWYPNAPEIEFPAPVVDNVVSIPQANSFGYQWPGQVFHMPG >PVH39389 pep chromosome:PHallii_v3.1:5:56062797:56065116:1 gene:PAHAL_5G490200 transcript:PVH39389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREESPNHGLQFLTLVFQTRTIDGTVRRTQPHLLNRQNRKRPNMRASTPICRIIGGSRMNPTNASSGNYNQFGLPPTTTGDSSSLLQSQTAYTGVVPQDGVTKAYLYLPELLCTTSKNAATSGSPYREDSFYHVVPQTNGNNDWNHPQHQQVAQYHCSQPRRNEQLTLPSYWSSQHTSNSNILHTPRTRATSSSVVGKCPTPPPSSSSVLGKRPTTSNPVRSFFQPPPPLSSSNADDMVPQCRMETDELLRLFQSMGTPEFLAISDRVLRSGSSQHDPLRAASAHIPVRGTSAASAPNLLLGLGNGGGIDKGKGKEGTSYWDLDAMVEMMESMNKRQRKVTGQQVPDLTLGSDGQGGSKDKRN >PAN28973 pep chromosome:PHallii_v3.1:5:11511641:11515714:-1 gene:PAHAL_5G190800 transcript:PAN28973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGVADAKGTGGTDKMAALKEIYNKLKAEVLEDHAVEITNESAVQWIDRMVDYNVLGGKCNRVLFVIDSYNILKSADVLSQEEIFLACTLGWCIEWLQAYFIVLDDIMDNSQTRRGRPCWFTVPQVGLTAINDAIILRNHVPRILRHHFKGKPYYIDIIDLFNEAEFKVVSGELLDFIITHEGRKDLTRYNETIYRHIADYKCAYHSFYIPVACALLLAGENLGNFGDVKNILIEIGIYFQIQDDYLDCFGDPEFTGKIGTDIEESKCSWLVVQALEHSDENQKSILFENYGKSDPACVAKVKDLYKELNLELVYREYEREIYIKLIADIEAQPNKAVQTILKSFLHKIYKRQK >PAN32744 pep chromosome:PHallii_v3.1:5:57290176:57293826:1 gene:PAHAL_5G508900 transcript:PAN32744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSWLHGYIGNAGGGNNGFMCGYAVGSCTPAELQYREEEQQQFLISAQIQHHLNQISMRMNMDDEASAYDVPSNDGGGGVSPPVGVHNTIGVDGGLLDPRHAGSFPSSSSSSSLSLPSASLSCSPESSAHVLAAPGAAATTACSQYLEVSSQVPLPPAVPYDHQQYANLHVPAPAAAMVPEPPATNTGAFKRYARHLGPKRPPKPGACGQRMFKTAMSVLSKMHAAARYSAQQQYYYQQAASAEAAAPPPSVNQLQHMFSERKRREKLNDSFHALKAVLPPGAKKDKTSILIRAREYVRSLESKVSELEEKNRLLETRLVRGDDSSDDGASKDAAAGNDHDSGAKVQVEITRAANEERPAVAEPRDLCTLKIAVSSPCNMTDVAVRTLQCLKEQIGDGVSLVAMSTSGSAEPSEAKNTTSARADLTLQIKSPPGWEEQPVKDAVAKVVADALTLPPAAPR >PAN32004 pep chromosome:PHallii_v3.1:5:54006840:54007672:-1 gene:PAHAL_5G456300 transcript:PAN32004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEAGHLLCAACRGDRCDEGHCRRCGSAAAFAHCGPELDLYVGDARVPCPFKAYGCDRSVVYHATAAHQDACAYAPCHCSVPGCPFMASPPRLRDHLAFDHAWPLDRLPGYGKPLPLCVPAAGPHRLLVVEGDERRLFALSVRPRGAASLAVSVSCVRTAAAAGAGARFTCMLWAQAPAAPGTPAGGTGRRLMMEADVASCAVPGGTAVEEGMALYVPPPMLRGPAKEMHLRVCIDVVDPTLASLRSANAASRKA >PVH38328 pep chromosome:PHallii_v3.1:5:14360392:14362487:1 gene:PAHAL_5G230700 transcript:PVH38328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVRMLQILFSLEDGPVIETVIIPCARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGAITNVVFMGMGEPFHNIDNVMKASAIMVDDQGLHFSPRKVTVSTSGLVPQLKRFLCESNCSLAVSLNATTDEVRNWIMPINRKYNLNLLLGTLREELCHRKNYRVLFEYVMLSGVNDSMDDAKRLIELVQGIPCKINLISFNPYSGSQFKPTPDEKIIEFRNILIQGGLIVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >PAN29504 pep chromosome:PHallii_v3.1:5:14359351:14363484:1 gene:PAHAL_5G230700 transcript:PAN29504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQALPFLRWSRSAASLRARSSTTAAPHRLFSTLRRPSAAARCEAGSKVMLKGMDYSELENWVQAQGFRPGQAMMLWKCLYGHNVWAHCHDELTGLNKDFRKMITERADLRALTVKDILTATDGTRKILFSLEDGPVIETVIIPCARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGAITNVVFMGMGEPFHNIDNVMKASAIMVDDQGLHFSPRKVTVSTSGLVPQLKRFLCESNCSLAVSLNATTDEVRNWIMPINRKYNLNLLLGTLREELCHRKNYRVLFEYVMLSGVNDSMDDAKRLIELVQGIPCKINLISFNPYSGSQFKPTPDEKIIEFRNILIQGGLIVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >PVH37493 pep chromosome:PHallii_v3.1:5:1174125:1178327:-1 gene:PAHAL_5G017200 transcript:PVH37493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MALPAQSAALLAAAVAVAAAAFLLPPSSRLSWTPRGRFADMILANATIYTADDARPFAGAMAVRAGRVLRVGAYESVKELKGRHTHELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVRSREDFISRVKEATRDKHPGQWILGGGWNNDVWGGDFPAASWLDDISPDNPVWLSRMDGHMGIANSLAMKIAGIDRSTNDPIGGAIMRTAEGEPTGLLVDTAMVLMFDVIEKVSTHERREALLRASRHALMRGVTTVVDVGNYFPGVSAEKTWQDFSDVYEWAHSMQKMMIRVCLFFPMPTWARVSDLIGKNGRSLSQWIHLGGVKAFLDGSLGSSSALFHEPYEGDPGNYGLQVTDMDSLLNRTSESDKSGLQVAIHAIGDKANDMLLDMVDKVVDLNGVRDRRFRVQQTALANKVLSHRCSQIIY >PVH37492 pep chromosome:PHallii_v3.1:5:1174663:1177040:-1 gene:PAHAL_5G017200 transcript:PVH37492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MMFGEVISRLLLGWTIYHQIIQCVFKLVWLSRMDGHMGIANSLAMKIAGIDRSTNDPIGGAIMRTAEGEPTGLLVDTAMVLMFDVIEKVSTHERREALLRASRHALMRGVTTVVDVGNYFPGVSAEKTWQDFSDVYEWAHSMQKMMIRVCLFFPMPTWARVSDLIGKNGRSLSQWIHLGGVKAFLDGSLGSSSALFHEPYEGDPGNYGLQVTDMDSLLNRTSESDKSGLQVAIHAIGDKANDMLLDMVDKVVDLNGVRDRRFRIEHAQHLAPGAANRFGKQGIIASVQPDHLLDDADSAAKKIGFDRAERSSYTFRSLLAGGAQLAFGSDWPVSDINPLQAIQTAISRKPPGWEVPWIPAERLSLDESLKAHTISAAYACFLDHAVGSLSERKYADFVVLPSTSWDDFAGDLPAHVLATYVSGKLAYP >PVH37494 pep chromosome:PHallii_v3.1:5:1174017:1178327:-1 gene:PAHAL_5G017200 transcript:PVH37494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MALPAQSAALLAAAVAVAAAAFLLPPSSRLSCTPTLQTASSRAYYPWSSRLKVSTLVSPHRVAGTPRGRFADMILANATIYTADDARPFAGAMAVRAGRVLRVGAYESVKELKGRHTHELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVRSREDFISRVKEATRDKHPGQWILGGGWNNDVWGGDFPAASWLDDISPDNPVWLSRMDGHMGIANSLAMKIAGIDRSTNDPIGGAIMRTAEGEPTGLLVDTAMVLMFDVIEKVSTHERREALLRASRHALMRGVTTVVDVGNYFPGVSAEKTWQDFSDVYEWAHSMQKMMIRVCLFFPMPTWARVSDLIGKNGRSLSQWIHLGGVKAFLDGSLGSSSALFHEPYEGDPGNYGLQVTDMDSLLNRTSESDKSGLQVAIHAIGDKANDMLLDMVDKVVDLNGVRDRRFRIEHAQHLAPGAANRFGKQGIIASVQPDHLLDDADSAAKKIGFDRAERSSYTFRSLLAGGAQLAFGSDWPVSDINPLQAIQTAISRKPPGWEVPWIPAERLSLDESLKAHTISAAYACFLDHAVGSLSERKYADFVVLPSTSWDDFAGDLPAHVLATYVSGKLAYP >PAN26502 pep chromosome:PHallii_v3.1:5:1174663:1178221:-1 gene:PAHAL_5G017200 transcript:PAN26502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MALPAQSAALLAAAVAVAAAAFLLPPSSRLSWTPRGRFADMILANATIYTADDARPFAGAMAVRAGRVLRVGAYESVKELKGRHTHELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVRSREDFISRVKEATRDKHPGQWILGGGWNNDVWGGDFPAASWLDDISPDNPVWLSRMDGHMGIANSLAMKIAGIDRSTNDPIGGAIMRTAEGEPTGLLVDTAMVLMFDVIEKVSTHERREALLRASRHALMRGVTTVVDVGNYFPGVSAEKTWQDFSDVYEWAHSMQKMMIRVCLFFPMPTWARVSDLIGKNGRSLSQWIHLGGVKAFLDGSLGSSSALFHEPYEGDPGNYGLQVTDMDSLLNRTSESDKSGLQVAIHAIGDKANDMLLDMVDKVVDLNGVRDRRFRIEHAQHLAPGAANRFGKQGIIASVQPDHLLDDADSAAKKIGFDRAERSSYTFRSLLAGGAQLAFGSDWPVSDINPLQAIQTAISRKPPGWEVPWIPAERLSLDESLKAHTISAAYACFLDHAVGSLSERKYADFVVLPSTSWDDFAGDLPAHVLATYVSGKLAYP >PVH39491 pep chromosome:PHallii_v3.1:5:57870085:57871158:1 gene:PAHAL_5G517000 transcript:PVH39491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASADFSMSGHGTPVRRRRRGVRLRPHRRCSPTPTSIDATSQELARSSSAVSVSQQPSLPYPDDAPGSYFAVAPLPVFRGDPGECPDAHLARFDRVCRANIFPAVTPAAAARIFTASLDDDAALWYDLTTSGDEASPPPPWHAVRAAFLGFFRRPDAADRARAELTSLRQGPGETVNRYHLRMQGTLRRCSDVGAGIPDDALLKAAFVDGLRAEFQDWVVPQQLETLEDAVALALSLERAESVREARRVAKAACAAAGRCSFCDAEGHEEARCEVRVRMKKLWRSSSSSGRGGGAVAAKDGERAEEEGGGSTALERLASAVSTQCQCRKHQCGKKAVAPSEITGGGDVDGVVWDD >PVH37431 pep chromosome:PHallii_v3.1:5:100832:106481:1 gene:PAHAL_5G001000 transcript:PVH37431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPNPNPNATDAQPQAEAGARLAALSLRGGRDLPPDFEIHEHHLDDDDDDDDDEGYLTAASRGGSSTCAWKGLRDDDRDGDGDDVSPPSPSSSGYAGERGSSLDDDPDPEPAQDWPRNKKHLDEDDASSSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRSGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQLLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPAAFLHAYTCLPLSQSTRQAASAVLQDVADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYVTLLTTRSDAFYDLKDSRSLIQNVLLKSNVLIEVQRSLHESALHVEDLPIDLSSQSASQPPQSSQDMSSQSLSSEMTIGGPAGLWHFIYKSIYLDQYVSSEFPSSISNQKQQKRLYKAYQKLYASMHDKATGPHKTQFKRDEDYVLFCWITQDFELYAAFNPLADKTQAIKMCNRVCQWVRDLENEIFVYGESALSW >PVH37433 pep chromosome:PHallii_v3.1:5:100738:106481:1 gene:PAHAL_5G001000 transcript:PVH37433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPNPNPNATDAQPQAEAGARLAALSLRGGRDLPPDFEIHEHHLDDDDDDDDDEGYLTAASRGGSSTCAWKGLRDDDRDGDGDDVSPPSPSSSGYAGERGSSLDDDPDPEPAQDWPRNKKHLDEDDASSSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRSGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQLLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPAAFLHAYTCLPLSQSTRQAASAVLQDVADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYVTLLTTRSDAFYDLKDSRSLIQNVLLKSNVLIEVQRSLHESALHVEDLPIDLSSQSASQPPQSSQDMSSQSLSSEMTIGGPAGLWHFIYKSIYLDQYVSSEFPSSISNQKQQKRLYKAYQKLYASMHDKATGPHKTQFKRDEDYVLFCWITQDFELYAAFNPLADKTQAIKMCNRVCQWVRDLENEIFVYGESALSWQYHHCWGGK >PVH37432 pep chromosome:PHallii_v3.1:5:100922:105832:1 gene:PAHAL_5G001000 transcript:PVH37432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPNPNPNATDAQPQAEAGARLAALSLRGGRDLPPDFEIHEHHLDDDDDDDDDEGYLTAASRGGSSTCAWKGLRDDDRDGDGDDVSPPSPSSSGYAGERGSSLDDDPDPEPAQDWPRNKKHLDEDDASSSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRSGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQLLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPAAFLHAYTCLPLSQSTRQAASAVLQDVADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYVTLLTTRSDAFYDLKDSRSLIQNVLLKSNVLIEVQRSLHESALHVEDLPIDLSSQSASQPPQSSQDMSSQSLSSEMTIGGPAGLWHFIYKSIYLDQYVSSEFPSSISNQKQQKRLYKAYQKLYASMHDKATGPHKTQFKRDEDYVLFCWITQDFELYAAFNPLADKTQAIKMCNRVCQWVRDLENEIFVYGESALSW >PAN27923 pep chromosome:PHallii_v3.1:5:7114567:7117212:-1 gene:PAHAL_5G118300 transcript:PAN27923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLSDDQIAEFKEAFTLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMIAEVDADSNGTVDFPEFLNLMARRMKDSDSEEELREAFRVFDKDQNGFISAAELRHVMANLGERLTDAEVDEMVREADVDGDGRINFDEFVKVMMAKRRSKRTEEKAARGKKKLAGPSDAGKRPQKCVIL >PAN27981 pep chromosome:PHallii_v3.1:5:7382470:7387319:-1 gene:PAHAL_5G122500 transcript:PAN27981 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE277 [Source:Projected from Arabidopsis thaliana (AT2G26930) UniProtKB/TrEMBL;Acc:A0A178VZZ2] MACSAHLLSQSLYSSHRASPAARGNLRSGARPPARASPAARPGSSARGCRGVSLRVAASFEQGRRQVEVSYDPQAKFNQLADQIDKDAGMTRLNLFSPCKINLFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSRDRLSTNVPGVPVDESNLIIKALNLYRQKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFAGSIASEKDLQEWSGEIGSDIPFFFSRGAAYCTGRGEIVEDIPNLLPENLSMALIKPPEACSTAEVYKRFRLEQASKADPLTLLKEITQNGISQHVCVNDLEPPAFEVLPSLKRLKKRIIAASRDEYNAVFMSGSGSTLVGIGSPDPPAFVYDDEDYKDVFVSEACFLTRDENEWYREPISSKAAFSKDDLPASVTD >PAN28684 pep chromosome:PHallii_v3.1:5:10409273:10411762:1 gene:PAHAL_5G172000 transcript:PAN28684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLSPSSPSATATSSRRGQIVASEWLPGPSNGSNPHSEGPPPSPGPPPRAVDTDDEGFGGRPAKRARPESGCGDGVCTADRPAGDGRDRISDLPDAVLLSVLSFLPLRDAGRTAVLSWRWRGLFDQSLLDFNACQPFPPEEGRGCDWFIRAITDILAARPRIRIRSFRFVMYGRGFDGRLDVVDGWLCALARHGLRELDVDMFYAGPMPTLPGSLLKLASLETLKVFNCRFSNAGSAPAPLLPALKILNLSNVDASEESLQAILSHGTSLESAKLKNITGVDKICLRSKSLTRLYGDFGDLKELVVEDAPNLEELVGIGLPSGKAKVKIVFAPKLQMLGYLGISVRPLVLHDTVFDGGIVQLRTLMHSVKTLVIQVPFSEKGYTVFVSQLLKCFPCLEALHVEPNKRSISRRVNVEEWDTANSVQCIEHSISRVVFECFGGEDCQWGFLTFLLGMARALKLVELYCWTGTDWASDQLELLDPKNRASPDAEIQFFRICKPISDLYLCHCCTQRCHKENRVALI >PAN28238 pep chromosome:PHallii_v3.1:5:8368999:8375807:-1 gene:PAHAL_5G139500 transcript:PAN28238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPSTPRLGAEGAPPSPGAAEQMFAALVGDKAYPISSEFWKQLLELPLPQQWPRDRVLQACHAFAQNNYQTKHLAKILIHLVWCLQECTLTTSVSSVVYGRAINAAYISSIFLKFIIENAKADNWQELCLDIDKNEKGMEKFPAENTVEYFLMRGVLNYIGSVDVSLESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVVSVVRKLLLNFVTRPKFPQNGSHPVFSDDGRPGVLQRVGSAAANFVLLPYYTFNYLVSSTPEGATSQLADNSLLVLLVMIHYRKCISTNDSIPSNNTYTGSDTNDKEAQVFHENPYCKALNNAKDIQYDHADVEGNAQDGPVVRLSFASLFDALGRCLNNESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPAVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDQSHKVISDQMEADNIADDMSTELHVYTDFLRIVLEIINAILTYALPRNPEVVYAVLHRQEVFEPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLVLSRGFSFNPGAINLFPVEIHLDDAPPSEQKV >PAN30477 pep chromosome:PHallii_v3.1:5:20401402:20405045:1 gene:PAHAL_5G284800 transcript:PAN30477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTAKKPPPPPSLPLPPAQFLGRARSRASRVADLGDTGVAAWPSGPPDTPPRRSPVTVPFLWEEAPGKPKAPPQPSDGATGAPAAPAGPVVPSDVGAATSTTTTTLATGAGDRDNGGGNAMAPRPAPLKLPPRLQRVASAKQRDGSLSPRTVLHGSHYYGCAGGGRRPPRRTASGFAAFRRTPSAGVGLFSRSKTTSSAAAAGTKRNKGGGGGGHDHLSTAAPDAPWGSPAASSASSSSSSSLSASCFGDDHGHGGHRRAADGREDGSSEEDECAGGSVRITRFRRNRSLPTMTTSHLWASVRKSFKQITPWS >PVH39410 pep chromosome:PHallii_v3.1:5:56300785:56303123:1 gene:PAHAL_5G495000 transcript:PVH39410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDVRCEPRNAPDGESSARVSAVFRAVPPWEHKYTAGKRSGLSGMTLSAEGVWRASAGQLCMVGCLGVGDKACHSRVCLYVQTTFTATRRSITVGQITRIDGGGGVAHFPLTIKRTVHPTELWNRFGVSGGAPLSMVYNYTKVKQAGEFLRRSEPFDFGTVLAKSLLSYPRVAAADAMGLSNLADDLTLHVPAVPDPFPRERFERPFFQLEVLSLGSLVGRNSLAAQSTPFSGMPGERGMGRASSASSSQPESSSTSSQPAEASLLNVSAELSLSGEPYANVSSLFLEGVYNPVNGRMYLIGCRSVQASRQAFSTLKGVEDGMDCSIEMRVEYPPTTARWLINPTAKVHIASTRDAGDPLRFNTTALQTLPILYREQRQDILSRRSVEGILRIATLAAAIAAEFSQLMYIKANTDVMPYVSLVMLGVQAVGYSVPLITGAEALFARIAAGSDGAVPPSYEVDKSTLYWTIDCIVKILILAAFLLTLRLAQKVWRSRIRLLTRSPLEPGRVPSDRKVLLYSSGAHLVGFTVILLAHYVSVYSRPVRDEGSYMDARGRTHALREWAVTLEEYIGMAQDFFLLPQVIGNVVWRINCKPLKKSYYAGVTAVRLLPHLYDYIKAPAINPYFAEEYEFVNTSLDFYSRFGDVAIPLVAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVSGVNEGVGLRRDTSLS >PAN28134 pep chromosome:PHallii_v3.1:5:7936025:7939884:1 gene:PAHAL_5G133300 transcript:PAN28134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLADLSVRPAASAPSPQGAARRAATGEGDEKPTSSPGAGRGAWAAALDQRAGWAREWDRAYLLACAAGLVVDPLFLYAVCLSAPLMCVFLDGWFAAAVTALRCAADAMHAWNLMLRLRDARAPPARGDADEEAARPGRDDALGGGAVPERRARSRNKKGIILDVFVILPVMQVIVWAAAPAMIRAGSTTAVMTVLLVAFLFEYLPKIYHSVCVLRRSQDASGYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLREQCAQAGSGCAPGALACAEPLYYGAAAAAPGAGRLAWAGNATARGTCLDSGDNYQYGAYKWTVMLVANPSRVERILLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSVELWMKRKNLPRSFRQRVRQYERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEVIVREGDPVRRMLFIVRGHLQSSQALRTGATSRCTLGPGNFSGDELLSWCLRRPFLERLPASSSTLATLESTEAFGLDAADVRYVTRHFRYTFTNDRVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPNQDDLL >PAN28497 pep chromosome:PHallii_v3.1:5:9474565:9477986:1 gene:PAHAL_5G156900 transcript:PAN28497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTPSPSRRVVSSAPATLLLRLLLLAGAADAAPPLVGVSPQDEAYFATQVIACRDGSGSFPRSRLNDGYCDCADGTDEPGTSACPEGKFYCRNIGDTPRLLFSSYVNDKICDCCDGSDEYESGIHCQNTCRNRKDIDEADDGGGELSVTRLDATNEFTIKHTNINKDLIQKLRGLRIALVIELGLVVCIFAFCVARRHTGTRRRQHILKR >PAN32029 pep chromosome:PHallii_v3.1:5:54130791:54132608:1 gene:PAHAL_5G458000 transcript:PAN32029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSARPHRYAVVRRQPYSIPPANQIKPAAGGAFAAHRSVTYDAYICWQVDAFTDVPFRGNPAAVCLLELDGAGARIRDVDRKWMLAVAAEFNAPVTAFLAPAAAGGGTAATPRFHIRWFTTVAEVELCGHATLAAAHFLLTGGGVGAGTGAIEFVTMAGLVLTARRRVKVVGKRACDDFAAHGVDRGGGGFCIELDFPVASVEECGSGELPALMPDTLNGASIVNVCKTSTIGDLMVELSSGEEIARLRPNFIEIRECAKRAMVVTGPAPAGSQVDFVTRLFAPNVGVDEDQVCGSVHCALVPYWAKKLGKTRLTAQMASSRGGTLRLELDEDAQRVRICGEAATVMAGTLLA >PAN32026 pep chromosome:PHallii_v3.1:5:54130722:54132685:1 gene:PAHAL_5G458000 transcript:PAN32026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSARPHRYAVVDAFTDVPFRGNPAAVCLLELDGAGARIRDVDRKWMLAVAAEFNAPVTAFLAPAAAGGGTAATPRFHIRWFTTVAEVELCGHATLAAAHFLLTGGGVGAGTGAIEFVTMAGLVLTARRRVKVVGKRACDDFAAHGVDRGGGGFCIELDFPVASVEECGSGELPALMPDTLNGASIVNVCKTSTIGDLMVELSSGEEIARLRPNFIEIRECAKRAMVVTGPAPAGSQVDFVTRLFAPNVGVDEDQVCGSVHCALVPYWAKKLGKTRLTAQMASSRGGTLRLELDEDAQRVRICGEAATVMAGTLLA >PAN32028 pep chromosome:PHallii_v3.1:5:54130791:54132608:1 gene:PAHAL_5G458000 transcript:PAN32028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSARPHRYAVVRRQPYSIPPANQIKPAAGGAFAAHRSVTYDAYICWQVDAFTDVPFRGNPAAVCLLELDGAGARIRDVDRKWMLAVAAEFNAPVTAFLAPAAAGGGTAATPRFHIRWFTTVAEVELCGHATLAAAHFLLTGGGVGAGTGAIEFVTMAGLVLTARRRVKVVGKRACDDFAAHGVDRGGGGFCIELDFPVASVEECGSGELPALMPDTLNGASIVNVCKTSTIGDLMVELSSGEEIARLRPNFIEIRECAKRAMVVTGPAPAGSQVDFVTRLFAPNVGVDEDQVCGSVHCALVPYWAKKLGKTRLTAQMENVRTLTLAFAVHVTMEPRLLQGAGRCAWSSTKMRSGCGSAEKLRPSWLALC >PAN32027 pep chromosome:PHallii_v3.1:5:54130722:54132686:1 gene:PAHAL_5G458000 transcript:PAN32027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSARPHRYAVVDAFTDVPFRGNPAAVCLLELDGAGARIRDVDRKWMLAVAAEFNAPVTAFLAPAAAGGGTAATPRFHIRWFTTVAEVELCGHATLAAAHFLLTGGGVGAGTGAIEFVTMAGLVLTARRRVKVVGKRACDDFAAHGVDRGGGGFCIELDFPVASVEECGSGELPALMPDTLNGASIVNVCKTSTIGDLMVELSSGEEIARLRPNFIEIRECAKRAMVVTGPAPAGSQVDFVTRLFAPNVGVDEDQVCGSVHCALVPYWAKKLGKTRLTAQMENVRTLTLAFAVHVTMEPRLLQGAGRCAWSSTKMRSGCGSAEKLRPSWLALC >PAN27095 pep chromosome:PHallii_v3.1:5:3715010:3716286:1 gene:PAHAL_5G058700 transcript:PAN27095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRSCSSSISSALLVLLLCCLCSSVARSQTADSCGSGSSLAAVSRLIPFDTSNLTCFDAWPSQGFIVRYGKSGQDTWSFVLSAPDADGYVSVGFSSNGAMVGSSAVAGWTTGGGVGVAKQYRLGGTSPGSCPPDQGSLALLPGSTLLAAQSSRLHLAFQFTAARPAPFLIYAVGPSGAQLSNNYLVRHRSYGSAAVDYATGVASSAGGGASSFDARKWHGAMAGLGWGVLMPVGVALARYFKRHDPFWFYAHISVQGVGFVLGAAGVVAGFRLNDDDVPGADSHQALGVAVLVFGCLQVLAFLARPGKGSKVRRYWNWYHHYVGRAAVACAVANVFVGLSVAHEASAAGAFYGVSLAVWVLASVVLEVRLWRSAA >PVH38760 pep chromosome:PHallii_v3.1:5:37833545:37840559:1 gene:PAHAL_5G346100 transcript:PVH38760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVRHPSLLMVVSDGSESGGSTDGHSSQPDRGSSGRIRGAPRWRHRVHGQGGQTGGPLRWTTVGCRISGAGDAGGGLAYCRGAGGGCRRWVFSGCGRANVGAACGQ >PAN29298 pep chromosome:PHallii_v3.1:5:13147106:13149284:-1 gene:PAHAL_5G215400 transcript:PAN29298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSQTTPKPLKTVALAPRPDSPKRRPRPMPKPAGKGDPRAPKKPPTAFFYFMEDFRKTYKLENPSVKSMREIGKACGEKWNTMSFEVSISSLQPDSCVALGKGEVL >PAN29299 pep chromosome:PHallii_v3.1:5:13146565:13149560:-1 gene:PAHAL_5G215400 transcript:PAN29299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSQTTPKPLKTVALAPRPDSPKRRPRPMPKPAGKGDPRAPKKPPTAFFYFMEDFRKTYKLENPSVKSMREIGKACGEKWNTMSFEEKVKYYDIATEKRAEFEKAMIEYNKKKESGEMSEESD >PAN28629 pep chromosome:PHallii_v3.1:5:10147604:10151075:-1 gene:PAHAL_5G167300 transcript:PAN28629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVGARRALLAARYSPRGAIAASATPVPPYRLADSPPRLPAERSCVQSWIPHRAAGNLASERTDEDYHREWGQNNARSYGNSRSNHFDHQLKRDAHSAGSTSGVNIGGSSEQPYRSGASYGFPNSNQNQTHSGARANNEQPGYNATQPYYRTSSAYSQQSLGGHLPNAHQQYGYGKSTPAGYGKGQTYHHPNGYGTYDSGYNTQSNRKTYKGEGATTAQYGYGPSGQGPNSVGNGQQVFQQQQYVDHRSRGGYPDRPGNPTSQYANPTHFNKEHVTGFQQGSNSNYGYNAPQANQSPYVTSQVDTRSTQVYSMYLNTDVQRLPNGIHQEKHSHVQPTTSFGNHLNSAPHQGGVYYQPLPGNPSNDSSPSEVSNEVSSKHKGTVEELEKLCEDGNVKEAMEVLALLQENGTVLHAYQYFRLMQACGDATALEEARVIHSQISESSITVDTDVQNKILEMYAKCGSMEDAKKLFSTMDHHNLASWNTMISGFVQNGHGEEATDFFDQFKQTGDKPDHVMFTHIFLACGIMGSVDEGMLHFEAMQKDFGIIPTMEHYASIVSMLGQSGYIAEAHEFVEWMPVEPSIEVWENLMNMCRLNGFLELGDRCAQIIEHLDSSRLNEQSKMGLFPVDASDLAKEKERKKASVAEARSKVHEYRAGDRSHPDTHKIYEELRYLSAHMKEAGYIADTRFVLHDVDQETKEEALLAHSERLAISYGLITSAVRSPIRVIKNLRSCGDCHTAFKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >PAN29871 pep chromosome:PHallii_v3.1:5:16219966:16223187:1 gene:PAHAL_5G255900 transcript:PAN29871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGGSDPFSQTRDYRRSPLETVGGGPRGSIPMMAGDDDLAALREQIALTSSAAISASDLDHAFQLQLAEAIQASLLVSGPNAAAASSSSQAAPALPVPESSSDAAYAFAVQAANLARAEQDRRDAEACRAAHARAAASARVAAHDALFARELAAIPEDQWAHDGDYFERPLESDKSHRPLFRVLSKGMASREVVGPRDRDPSVAVLAAAICGPQGEVLLRIHKPVERFVGGRMIVEVMALMEGLDAALGLGIRSVTIVTGYRPLYNHMLGIWRPSGRNLADMINQVLSVRRKFDQCAISLVEPSQVSYVVKLATDSITVLITKARAANANMAKRENCTICMEDTDITKIHMVEGCAHRFCFSCMKEHVKVKLLNGMLPGCPQGGCTTKLTVEGSKIFLSPRLLGIMVQRVREGQIPPNQKVYCPYPKCSALMSLKEVMHPMQESSSNYTATDAATLRKCVKCRGSFCINCKVPWHDRMTCYDYKRRYPHARPEDAKLQNLARQRLWRQCVKCKHMIELAEGCYHMICVCGFEFCYTCGKEWKEKKATCSCPLWDEHNIIREVDEDDDDYEEDEDDVYF >PVH39567 pep chromosome:PHallii_v3.1:5:59275679:59285144:-1 gene:PAHAL_5G537400 transcript:PVH39567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSLPTRPSPSAFSRPLPIPGRARPTRLRSLTTGKLRAFSIKPSHSGLSEVSVQSLPDTEVTGAAYSFTGATTSLTNKILTSSKKITLVRHGLSSWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDVCFSSPISRAKSTAEIIWQGKEEPLVFLDSLKEAHLFFLEGMTNADAKEQYPELYTKWREDPAHFHVNGIYPIRELWGTARQAWEQILLTPGENLLVVTHKSILRALICTALGLPPERFRAIDVNNGGMCVFTVNRQGEAMLQALNMTAHMIPLPQWVLEEMDKVPDLSYTNRYQQRNKEYISLGCDSLPVLKGRSPMQAYSDFMRSFRNTFEDYLGAIVTEVQVGMGPGGELRYPSCPTEKLSQPGSSSELGEFQCYDKFMQASLSAHAQILGIQKWGSGGSIGRDGSQQNLEESSFFRADGGYWDTPYGRFFLEWYSGMLVLHGERLCMIADTIFSGTGVTISGKVAGIHWHYYTCAHPSELTAGYYNTLLRDGYLPIAQMFAKYKAALCCGCFDLRDTEKTNPESSPEGTLRQLVGAAKMCNLPLNGENSVTRLDDASLNQVIRSSRLYSGRSSGTSFSFNYVRMNKSLFEFHNWSRFTKFVRQMSDARTFLARLDVRRGQQYLSSMSVVWVVSRACAYT >PVH38603 pep chromosome:PHallii_v3.1:5:23328036:23329729:1 gene:PAHAL_5G299800 transcript:PVH38603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCLDRYAVKILLFHFSVLQILVVYKFEAFFTTSVAVWKQSSSLCCSFSNFWCHFPLLIFVHCYFRLGGPSHSLRLCCMFYEGACISIIKFILLVSRL >PAN33083 pep chromosome:PHallii_v3.1:5:59094428:59098560:-1 gene:PAHAL_5G534900 transcript:PAN33083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRGASSASSAAGGGAGAAAFATRMLLLLTLLPLALAAFAFVLQWRGGMRDPAGAAWPADTQSFPGMENSPLGSSSSSPVVRASYFAVSKSSSAAADCAEILGRSASSHGISLYRGWNFDSESSITPKICITGSTSAGLHQILPWLYYHKVIGVSHFILFVEGEAAKPAVTSVLEAIRGVKIIYRTKELKEKQDKSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWYKEHVVWTDKDTNLKLLKKGVLTRIYAPMAIIRGLKESGVFTSAVTSAKAQSETKSSNMGLGEESIVPNVTAGHEKLQATVRKILEMVDAQEEAMAPMSPPGFVELTESALS >PVH37496 pep chromosome:PHallii_v3.1:5:1181740:1192536:-1 gene:PAHAL_5G017300 transcript:PVH37496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASASAMFPPSNLSYKAWEDPSFFKWRKREAHVPLRSQDTLEGALKYWHERRNVNYLNANTAVWNDDAVRSALESAALWSKGLPYAKSLSGYWKFLLAPSAESVPEKFYDAHFDDSNWEALPVPSNWQMHGFDHPIYTNITYPFPINPPFVPTDNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWVNGVPIGYSQDSRLPAEFEVTDCCHPCDSDKENILAVQVMRWSDGSYLEDQDHWRLSGIHRDVLLLSKPQIFITDYFFKATMDENFSLADIEVEVEIDSHKQDWEHVSTLSIEAALYDNSGLSNSLDADLSSANVVNLKPKPKPTRSPCLGFHGYVLGGKIENPKLWSSEHPNLYTLVVLLKDAKGKLIECESCQVGIRNVVLAHKQMLVNGCPVVLRGVNRHEHHPRLGKTNIEACMIKDLILMRQNNINAVRNSHYPQHSRWYELCDIFGLYVIDEANIETHGFDENSHFKHPTLEPIWANAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSSMSGWIRERDPTRLLHYEGGGSRTSSTDIVCPMYMRVWDIIKIAKDPSETRPLILCEYSHAMGNSNGNIDAYWMAIDSTFGLQGGFIWDWVDQGLLKEDSDGSKFWAYGGDFGDTPNDSNFCLNGIVWPDRTIHPAVHEVKYLYQPIKISSADNMLKIKNGHFFETTEALDFSWVLQGDGCVLGSGSLNVPTLAPQTSHLINMESSPWFSLWSTYAAKEVFLSVNVKQRYQTRWAKDGHLLASAQLCLPQENGFVPHAIAFSGSPLACERTGDSVIISKNNAWKIKVNSQLGTIDSWKVNGVELMSKGIFPCFWRAPTDNDKGGFYTKPYVSRWREASLDNVSFYSSQFSVKELPDNTVELSTVYYGLPGNLPKPDDAALSQAPESTLFQVNMLCRIYESGDMILEYEANPRADLPPLPRVGVVFNAEKSLSHVTWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIAPGECGGRADVRWMALRSADGLGLYASVHGESPPMQMSASYYGTAELDRATHVHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYMLPPTRYTFSMRLCPLLPSSSCHDIYKSQLPQVK >PVH37497 pep chromosome:PHallii_v3.1:5:1181738:1192536:-1 gene:PAHAL_5G017300 transcript:PVH37497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASASAMFPPSNLSYKAWEDPSFFKWRKREAHVPLRSQDTLEGALKYWHERRNVNYLNANTAVWNDDAVRSALESAALWSKGLPYAKSLSGYWKFLLAPSAESVPEKFYDAHFDDSNWEALPVPSNWQMHGFDHPIYTNITYPFPINPPFVPTDNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWVNGVPIGYSQDSRLPAEFEVTDCCHPCDSDKENILAVQVMRWSDGSYLEDQDHWRLSGIHRDVLLLSKPQIFITDYFFKATMDENFSLADIEVEVEIDSHKQDWEHVSTLSIEAALYDNSGLSNSLDADLSSANVVNLKPKPKPTRSPCLGFHGYVLGGKIENPKLWSSEHPNLYTLVVLLKDAKGKLIECESCQVGIRNVVLAHKQMLVNGCPVVLRGVNRHEHHPRLGKTNIEACMIKDLILMRQNNINAVRNSHYPQHSRWYELCDIFGLYVIDEANIETHGFDENSHFKHPTLEPIWANAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSSMSGWIRERDPTRLLHYEGGGSRTSSTDIVCPMYMRVWDIIKIAKDPSETRPLILCEYSHAMGNSNGNIDAYWMAIDSTFGLQGGFIWDWVDQGLLKEDSDGSKFWAYGGDFGDTPNDSNFCLNGIVWPDRTIHPAVHEVKYLYQPIKISSADNMLKIKNGHFFETTEALDFSWVLQGDGCVLGSGSLNVPTLAPQTSHLINMESSPWFSLWSTYAAKEVFLSVNVKQRYQTRWAKDGHLLASAQLCLPQENGFVPHAIAFSGSPLACERTGDSVIISKNNAWKIKVNSQLGTIDSWKVNGVELMSKGIFPCFWRAPTDNDKGGFYTKPYVSRWREASLDNVSFYSSQFSVKELPDNTVELSTVYYGLPGNLPKPDDAALSQAPESTLFQVNMLCRIYESGDMILEYEANPRADLPPLPRVGVVFNAEKSLSHVTWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIAPGECGGRADVRWMALRSADGLGLYASVHGESPPMQMSASYYGTAELDRATHVHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYMLPPTRYTFSMRLCPLLPSSSCHDIYKSQLPQVK >PVH37495 pep chromosome:PHallii_v3.1:5:1181751:1192536:-1 gene:PAHAL_5G017300 transcript:PVH37495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASASAMFPPSNLSYKAWEDPSFFKWRKREAHVPLRSQDTLEGALKYWHERRNVNYLNANTAVWNDDAVRSALESAALWSKGLPYAKSLSGYWKFLLAPSAESVPEKFYDAHFDDSNWEALPVPSNWQMHGFDHPIYTNITYPFPINPPFVPTDNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWVNGVPIGYSQDSRLPAEFEVTDCCHPCDSDKENILAVQVMRWSDGSYLEDQDHWRLSGIHRDVLLLSKPQIFITDYFFKATMDENFSLADIEVEVEIDSHKQDWEHVSTLSIEAALYDNSGLSNSLDADLSSANVVNLKPKPKPTRSPCLGFHGYVLGGKIENPKLWSSEHPNLYTLVVLLKDAKGKLIECESCQVGIRNVVLAHKQMLVNGCPVVLRGVNRHEHHPRLGKTNIEACMIKDLILMRQNNINAVRNSHYPQHSRWYELCDIFGLYVIDEANIETHGFDENSHFKHPTLEPIWANAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSSMSGWIRERDPTRLLHYEGGGSRTSSTDIVCPMYMRVWDIIKIAKDPSETRPLILCEYSHAMGNSNGNIDAYWMAIDSTFGLQGGFIWDWVDQGLLKEDSDGSKFWAYGGDFGDTPNDSNFCLNGIVWPDRTIHPAVHEVKYLYQPIKISSADNMLKIKNGHFFETTEALDFSWVLQGDGCVLGSGSLNVPTLAPQTSHLINMESSPWFSLWSTYAAKEVFLSVNVKQRYQTRWAKDGHLLASAQLCLPQENGFVPHAIAFSGSPLACERTGDSVIISKNNAWKIKVNSQLGTIDSWKVNGVELMSKGIFPCFWRAPTDNDKGGFYTKPYVSRWREASLDNVSFYSSQFSVKELPDNTVELSTVYYGLPGNLPKPDDAALSQAPESTLFQVNMLCRIYESGDMILEYEANPRADLPPLPRVGVVFNAEKSLSHVTWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIAPGECGGRADVRWMALRSADGLGLYASVHGESPPMQMSASYYGTAELDRATHVHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYMLPPTRYTFSMRLCPLLPSSSCHDIYKSQLPQVK >PAN29719 pep chromosome:PHallii_v3.1:5:15569153:15574058:-1 gene:PAHAL_5G246000 transcript:PAN29719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSTKPAAALPASSTRRWVLATSLCSLACLFLLSAGLMLVAAGYRPFQPGTAAPWDRFSRVQSASHAAAVAPAPDSSSSDALDLGHEDEEDAEPPAPAPAAAEEAGDDDSECDLFDGKWVEEPVGYPLYGAAECPFLSDQVACRRNGRPDSGYERWRWRPRGCGGRTRLGGAEALELCRDKRLVFVGDSLNRNMWESLACILYAAVPDRSRTRIVDDAGSEYRIFRAMDYNCSAEFFWSPFLVKLETKINQTRALKLDQLPVMLQRTVRADVLVFNTGHWWTHTSKLRAWDHFERDGKMVEMAGEEAFNRALRTWARWVDRNIDPSRTRVFFRSVSPEHKSVNWCYNQTSPISTGTVAPGFPKSLVTIVERNIKNMRTPVTYLNITHLSELRIDAHPSVYTITREGKLLSTEQQQQPLTYADCSHWCLPGLPDTWNMLLLDSLMRPPSNVHLPG >PAN30833 pep chromosome:PHallii_v3.1:5:22366934:22390716:1 gene:PAHAL_5G296500 transcript:PAN30833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGAGPSGPVPRSMSGRQRTMGRAPTRAFTMRPDGFSGEDGVEIVELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHTMDPNSSGRGVRQFKTYLLHRLEKDEQETKRRLATTDAREIQRFYEHYCKKYLEDDHEKRKPEEMARYYQVASVLYDVLKTVTPGQHEYDKYAKGIEKEKASFSQYNILPLNISVPRQPIMEIPEIKAAVRLLRHMDGLPMPRVEVPQSSDGKTVPDEMDRPMVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRQRGNAHQSESHVHMIRNSTVIDLMDKIFQNYNSWCRYLHLESNIRIPRDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMARDLHDIISDRREGLFDPPFQREGSDDAFLQLVIQPIYSVMQKEAARSKRGTVSHSKWRNYDDLNEYFWSKKCFKKLGWPMDEAADFFAETTRTKNETEQHDHAIRRRRASKTNFVEVRTFLHLFRSFDRMWAFFILSFQAMVIIAWSPSGTLSSIFEADVFRNVSTIFITAAFLNFLQAMLEIILNWKAWKSLECSQRIRCLLKFVVAIAWLIILPITYSSSIQNPTGLVKFFSSWIRNVQSESIYNFAVALYMLPNILSALFFIFLPIRGILERSNSRIIRFFLWWTQPKLYVARGMYEDICSLLKYTTFWILLLICKLAFSFYVEISPLVEPTRIIMSLERPPYEWHEFFPNLQHNLGVVTTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFAKKLVPYHGSHFKREEEDKTPPFDKFADIWNAFINSLREEDLLSNREKNLLIVPSSGGETSVFQWPPFLLASKIPIALDMAKSVKKKDEELMKRIKQDPYTEYAVIECYETLLDILYSIIVEQSDKKVVDRIRESIKDSMERKSLVKEFRLDELPQLSAKFDKLLTLLKDYDENDPVKKNTQIANLLQDIMEIITQDIMKDGQGILKDEGQKQLFANLNLNSLRHVAWREKCIRLQLLLTTKESAIYVPTNLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYFKEEVLFSPEDLDRPNEDGISILFYLRKIYPDEFRNFLERIHFKPRDEDHLKERMKEICYWASYRGQTLTRTVRGMMYYRRALEIQCLQDTIDPAKFGRDRSIESYQELQSGSEMAQDIADIKFTYVVSCQVYGMQKTSKDEKDKSCYLNILNLMITNPSLRVAYIDEVEAPTGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIIFTRGEALQAIDMNQDNYIEEAFKMRNVLAEFESRKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMRGGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILMDPRNQQNVKALENAMASQSIFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFAENYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSNLYLFVTCSMWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVEQSWEAWWISEQDHLRKTSIRALLLEIIISLRFLIYQYGIVYHLNIAVARHNRSIMVYAVSWVVMLLVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVLNLTISDVFASILGFLPTGWCILLIGQACSPLLRRTMLWDSIMELGRSYENIMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGEKNIGEFSDFE >PAN30558 pep chromosome:PHallii_v3.1:5:21834361:21835789:-1 gene:PAHAL_5G293500 transcript:PAN30558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAKVCTHVIFTQLFSVSIYTLNQETLYLQGQKRTSTVGFGALFHNHLFSIIFIFTGIFLVDYVLINFAVAWYKYSTFKSTGRFRHSSYFLLCTGQKLQIPKGHDPSIIIHLTISLCFININTN >PVH38469 pep chromosome:PHallii_v3.1:5:17225189:17225602:-1 gene:PAHAL_5G266800 transcript:PVH38469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSAALAALGSALFLLSSAAAARRALGRGDARAAASVAAATALVAALLAAVRAHDRARGRRRRGLLRAAAWALSAALTAMFARRVAALAPGPAAAALVWALAGATVAGGFCCLFVHGRGGDGDPDHVGGGRDARPA >PAN26977 pep chromosome:PHallii_v3.1:5:3138521:3140631:1 gene:PAHAL_5G050300 transcript:PAN26977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLLLSRRRRSRRQNSPIRRGEPERGAQPERSEKRKKMVRVATYFAMTFGAFLFWQSMDRVHVWIALHQDEKKERMERELEIKRMQAELMAQAKESDS >PVH37906 pep chromosome:PHallii_v3.1:5:7136431:7137183:-1 gene:PAHAL_5G118900 transcript:PVH37906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSNVLSLHVLLYYSRFNYLYIYVKGMFLSRFWRGMYWQLVLSVPILLATEAVLTRGACLELSLHKYRDGSVGDDGPHATALQDLHSQTDVNI >PAN28743 pep chromosome:PHallii_v3.1:5:10617401:10620028:-1 gene:PAHAL_5G176000 transcript:PAN28743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGRRSPWATGERRPHFFKVLMGDFKKRLIPPDFCKHIPWEASRRKARAVKEASMAATLEGPSGRTWLVVIRRSVEGTFFTSGWPKFVQDQALRELEFLVFRYDGDTRFTAMVFDTSACEREDLLLGGGGGGDPRRGRQGNKRGRETTGGPSRDAKPKRARKGSVGKELVPYRAATAGDRQLQVACSNWTPESGAGAVKTEIEDADELALCVVIPAPPLPPSPQDDDPAPARRRGPHQPQDHGAEPRRAVKTRSIHEDLQASAALAADADIPASVRRYKGYVSRRRPVTGAERQLAMELAYAFRSALPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKAWVVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVGAAEFRVHVFRVVDPPVPAVRLRTAASDPSTADLDLTSASHV >PAN28742 pep chromosome:PHallii_v3.1:5:10617793:10619766:-1 gene:PAHAL_5G176000 transcript:PAN28742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGRRSPWATGERRPHFFKVLMGDFKKRLKIPPDFCKHIPWEASRRKARAVKEASMAATLEGPSGRTWLVVIRRSVEGTFFTSGWPKFVQDQALRELEFLVFRYDGDTRFTAMVFDTSACEREDLLLGGGGGGDPRRGRQGNKRGRETTGGPSRDAKPKRARKGSVGKELVPYRAATAGDRQLQVACSNWTPESGAGAVKTEIEDADELALCVVIPAPPLPPSPQDDDPAPARRRGPHQPQDHGAEPRRAVKTRSIHEDLQASAALAADADIPASVRRYKGYVSRRRPVTGAERQLAMELAYAFRSALPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKAWVVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVGAAEFRVHVFRVVDPPVPAVRLRTAASDPSTADLDLTSASHV >PAN32386 pep chromosome:PHallii_v3.1:5:55691376:55693645:-1 gene:PAHAL_5G483800 transcript:PAN32386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIPSTPPAPYTLPPATPKPAPYTPPAAPAHSDEKKLVVVRVEGLVLCQSCAQRGSQSLEGAAPLPGAKVTVTCRDKKNLVMSWRSPAANYNGYFHAEFGVERAGNYFGRDPRAACFVRLLSSPDARCNGITNIGGGTEGVPIRDEGKRWTDQRGIENVVYTAGPLAFKPAMCAPTRHY >PVH37824 pep chromosome:PHallii_v3.1:5:6063205:6065607:-1 gene:PAHAL_5G099000 transcript:PVH37824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYRDNIKYEEGFVVNSRGNRLFTCRWMPKALEPRALIFICHGYGAECSISMGDTAARLVHSGFAVYGIDHEGHGKSSGPKGYISNFSDVVKDCSDHFKSVCEKQENRSKKRFLYGFSMGGTVVLQLHRKDPLYWDGAVLLAPMCKIFDSMRPHPIVVSALKMISAVVPSWRVIPATDMIDKVCKDPQFKKEIRSNPYMYKGNLALQTGRELLTVSLDIEKSLHEVSLPFLVVHGTDDVVADPLGSKLLHERASSRDKTLKLYPGMWHVLMGEGPEDVERVFGDVISWLEDRVGGTVPDSTTKRHEIW >PVH39313 pep chromosome:PHallii_v3.1:5:55327169:55327961:1 gene:PAHAL_5G475900 transcript:PVH39313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRRAFRSTGCATHSRFLAMPESVSNSDIILVRLYGRGRRMFSSLGRRSLS >PAN32913 pep chromosome:PHallii_v3.1:5:58108316:58111837:-1 gene:PAHAL_5G521400 transcript:PAN32913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSLVRRAAAAASRGLLHARAFASGGGGETAKRVLVPVAAGTEPIEAAATADVLNRAGARVTVATADPAGDDGLVVEAAYGVKLVADGRVADLEGEAFDLIALPGGMPGSVNLRDCKALEKMVKNHADNGGLYGAICAAPAVTLAYWGMLKGLKATCYPSFMEKFTAEVIPVNSRVVVDRNAVTSQGPGTAIEFALALVERLYGKEKMEEVAGPLYVHPQHGVEYTIEELNSVEWKCSDTPQVLVPVANGSEEMEAINLIDVLRRAGVNVTVASVEEKLQIVTRRHKFNLIADMMLDEASEMQFDLIVMPGGLQGAQKFASTKKLVDLLKKQAESNKPYGAICASPAHVLEPHGLLKGKKATAFPPMSHLLTDQSACDQRVVVDGNLITSQAPGTATEFSLAIVEKLLGGDKAISIAKELIFM >PAN32912 pep chromosome:PHallii_v3.1:5:58108071:58111837:-1 gene:PAHAL_5G521400 transcript:PAN32912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSLVRRAAAAASRGLLHARAFASGGGGETAKRVLVPVAAGTEPIEAAATADVLNRAGARVTVATADPAGDDGLVVEAAYGVKLVADGRVADLEGEAFDLIALPGGMPGSVNLRDCKALEKMVKNHADNGGLYGAICAAPAVTLAYWGMLKGLKATCYPSFMEKFTAEVIPVNSRVVVDRNAVTSQGPGTAIEFALALVERLYGKEKMEEVAGPLYVHPQHGVEYTIEELNSVEWKCSDTPQVLVPVANGSEEMEAINLIDVLRRAGVNVTVASVEEKLQIVTRRHKFNLIADMMLDEASEMQFDLIVMPGGLQGAQKFASTKKLVDLLKKQAESNKPYGAICASPAHVLEPHGLLKGKKATAFPPMSHLLTDQSACDQRVVVDGNLITSQAPGTATEFSLAIVEKLLGGDKAISIAKELIFM >PVH39552 pep chromosome:PHallii_v3.1:5:59028730:59029633:1 gene:PAHAL_5G534200 transcript:PVH39552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNNISISLLLSTMLLGFVAFPAQCRLQIIADADQSSVAAAISNSTTSLDDDAGRKISSSFCTQVRCNYFNPRYDFCYCCPADIRRENCYAELEECRAHCASCKPQCPLG >PAN28752 pep chromosome:PHallii_v3.1:5:10647143:10651973:1 gene:PAHAL_5G176600 transcript:PAN28752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGKKPLKDLKLSVPAQETPVDKFLTASGTFKDGELRLNQSGLRLISEENGDEDETTKLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTVLEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLLNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAQRMSASELLNHPFLKKFEDKDLDLRILVESLEPPMNIPE >PAN32319 pep chromosome:PHallii_v3.1:5:55456896:55459783:-1 gene:PAHAL_5G478000 transcript:PAN32319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDGLVIKLLIDTKAQKVCFAEAGNDVIELLSCLLCLPMGTVVNLLTKEHMVGSIGNVLDSMQELDAKYVCSSKSKEPYLSPTVAPDILCPLQQLLDAPLNVNSSVFTCLGKTDSHTGTRITCGYFSVIKGSTCPSCFHHMNEEMPHVRNNGFAFGTSSKGFVVGTTTYTISDALSITPASSVSSINLLARCGVKDLSTLQQRTVTIGSEEALEILLASLKSKTVLTDVFLPKKKVRCKKEVAA >PAN26870 pep chromosome:PHallii_v3.1:5:2808478:2810730:-1 gene:PAHAL_5G044000 transcript:PAN26870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNKLGSLLRHSTLTSGAPASSSPALFNAARLMSTKLFVGGLSWGTDEQTLRQAFSSFGEVTEARIITDRETGRSRGFGFVNFSNSDDAKAAVSQMDGQELEGRSVRVNFANERPAGNRGGGGGFGGGGYGSGGGGYGGSYGGGNQSYSSGGGQDAF >PVH39062 pep chromosome:PHallii_v3.1:5:52062949:52066992:-1 gene:PAHAL_5G426200 transcript:PVH39062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAAARVRYEPGPAFEEVKEEPMLDISPTDSTEFWLIQWPKDQLDASDFHGKELSLKLNEDGNLGNLESSSGKSYELVSYAAQQPDATVFQPSGSEIKPVGKISRRVCLVRYPEPEELVKPNFGGLTPSSKISAGSSRKTKSRFTSASKNRSSQGSARSLGQWSAEPTPKHKQKRNYESSLGHSNMSGKVSQGSQAPGAQSNTTSEMPQSSSEKSKKKKKVKIVE >PVH39063 pep chromosome:PHallii_v3.1:5:52062949:52067509:-1 gene:PAHAL_5G426200 transcript:PVH39063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAAARVRYEPGPAFEEVKEEPMLDISPTDSTEFWLIQWPKDQLDASDFHGKELSLKLNEDGNLGNLESSSGKSYELVSYAAQQPDATVFQPSGSEIKPVGKISRRVCLVRYPEPEELVKPNFGGLTPSSKISAGSSRKTKSRFTSASKNRSSQGSARSLGQWSAEPTPKHKQKRNYESSLGHSNMSGKVSQGSQAPGAQSNTTSEMPQSSSEKSKKKKKVKIVE >PVH38428 pep chromosome:PHallii_v3.1:5:16170645:16171203:-1 gene:PAHAL_5G255200 transcript:PVH38428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQFCVFPRPKRIASAAASWVEALIQLAHEKLGESATAAANCCCSSLARRADSSTYFSASSSSRRRRAAVVLELGEADVLGAAVPNQTS >PAN29216 pep chromosome:PHallii_v3.1:5:12784338:12790334:-1 gene:PAHAL_5G209700 transcript:PAN29216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFISSWMVFVALALHLAVDGCSAINFEGAALLKFQSRVEEDPHGAMAGWSPRNGDPCSWDGVRCVDGRVVMLNLKGLSLRGTLGPELGTLSHLRALVLSNNFFSGPIPKELSALAMLEILDLSSNNLSGEVPQEIAEMQSLKHLLLFNNSFRWPLIQNSYGNFDQENDFDIYDYIGRGNMNQGAENGFESGSSSEENKKDTSNLSAQLPSQYAARNPAAHFSERRLLQDSNLAAPSSANAPVPAAVPVPSTGTGSFSAFSPNSAPAPAVNPPDSPPTVPNTATEEVPKGRSIKWVIIVLPLVAILLIVITCMLLLCRTKSGTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRLNHKNFINLLGYCEEEEPFMRMMVIEYVPNGTLYEHLHVEGFDPIDWNGRMRIIMGVAYCTQHMHELNPPITHPDIKSSAILLSEDGAAKIADLSVWHEVYSRGNIPKDDDFVDHHEPVAADMAGNVYSFGLLMLEIISGKPSYSEQKGSLANLALECIRDNRSMSCLLDPNLKDHKEKDLEIICELVQDCIQSDPKKRPTMREVTTRLREVLSISPEAATPRLSPLWWAELEILSVEAS >PAN29215 pep chromosome:PHallii_v3.1:5:12784373:12790329:-1 gene:PAHAL_5G209700 transcript:PAN29215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFISSWMVFVALALHLAVDGCSAINFEGAALLKFQSRVEEDPHGAMAGWSPRNGDPCSWDGVRCVDGRVVMLNLKGLSLRGTLGPELGTLSHLRALVLSNNFFSGPIPKELSALAMLEILDLSSNNLSGEVPQEIAEMQSLKHLFRWPLIQNSYGNFDQENDFDIYDYIGRGNMNQGAENGFESGSSSEENKKDTSNLSAQLPSQYAARNPAAHFSERRLLQDSNLAAPSSANAPVPAAVPVPSTGTGSFSAFSPNSAPAPAVNPPDSPPTVPNTATEEVPKGRSIKWVIIVLPLVAILLIVITCMLLLCRTKSGTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRLNHKNFINLLGYCEEEEPFMRMMVIEYVPNGTLYEHLHVEGFDPIDWNGRMRIIMGVAYCTQHMHELNPPITHPDIKSSAILLSEDGAAKIADLSVWHEVYSRGNIPKDDDFVDHHEPVAADMAGNVYSFGLLMLEIISGKPSYSEQKGSLANLALECIRDNRSMSCLLDPNLKDHKEKDLEIICELVQDCIQSDPKKRPTMREVTTRLREVLSISPEAATPRLSPLWWAELEILSVEAS >PAN29214 pep chromosome:PHallii_v3.1:5:12784373:12790900:-1 gene:PAHAL_5G209700 transcript:PAN29214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFISSWMVFVALALHLAVDGCSAINFEGAALLKFQSRVEEDPHGAMAGWSPRNGDPCSWDGVRCVDGRVVMLNLKGLSLRGTLGPELGTLSHLRALVLSNNFFSGPIPKELSALAMLEILDLSSNNLSGEVPQEIAEMQSLKHLLLFNNSFRWPLIQNSYGNFDQENDFDIYDYIGRGNMNQGAENGFESGSSSEENKKDTSNLSAQLPSQYAARNPAAHFSERRLLQDSNLAAPSSANAPVPAAVPVPSTGTGSFSAFSPNSAPAPAVNPPDSPPTVPNTATEEVPKGRSIKWVIIVLPLVAILLIVITCMLLLCRTKSGTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRLNHKNFINLLGYCEEEEPFMRMMVIEYVPNGTLYEHLHVEGFDPIDWNGRMRIIMGVAYCTQHMHELNPPITHPDIKSSAILLSEDGAAKIADLSVWHEVYSRGNIPKDDDFVDHHEPVAADMAGNVYSFGLLMLEIISGKPSYSEQKGSLANLALECIRDNRSMSCLLDPNLKDHKEKDLEIICELVQDCIQSDPKKRPTMREVTTRLREVLSISPEAATPRLSPLWWAELEILSVEAS >PAN29217 pep chromosome:PHallii_v3.1:5:12784351:12790652:-1 gene:PAHAL_5G209700 transcript:PAN29217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFISSWMVFVALALHLAVDGCSAINFEGAALLKFQSRVEEDPHGAMAGWSPRNGDPCSWDGVRCVDGRVVMLNLKGLSLRGTLGPELGTLSHLRALVLSNNFFSGPIPKELSALAMLEILDLSSNNLSGEVPQEIAEMQSLKHLLLFNNSFRWPLIQNSYGNFDQENDFDIYDYIGRGNMNQGAENGFESGSSSEENKKDTSNLSAQLPSQYAARNPAAHFSERRLLQDSNLAAPSSANAPVPAAVPVPSTGTGSFSAFSPNSAPAPAVNPPDSPPTVPNTATEEVPKGRSIKWVIIVLPLVAILLIVITCMLLLCRTKSGTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRLNHKNFINLLGYCEEEEPFMRMMVIEYVPNGTLYEHLHVEGFDPIDWNGRMRIIMGVAYCTQHMHELNPPITHPDIKSSAILLSEDGAAKIADLSVWHEVYSRGNIPKDDDFVDHHEPVAADMAGNVYSFGLLMLEIISGKPSYSEQKGSLANLALECIRDNRSMSCLLDPNLKDHKEKDLEIICELVQDCIQSDPKKRPTMREVTTRLREVLSISPEAATPRLSPLWWAELEILSVEAS >PAN28336 pep chromosome:PHallii_v3.1:5:8788064:8789740:1 gene:PAHAL_5G146200 transcript:PAN28336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGNAWSSLSSHGFGSDSSGMASRAGEPVARTNSDGGGSGSKDSGSFECNICLDLAQDPVVTLCGHLFCWPCLYEWLHVHAHSQECPVCKAVVEEGKLVPLYGRGGTSAAPRARSVAGVQIPSRPTGQRPSTAPQADHNNHYPHQNPWFMGAGGTPVAGGRWGNYTFSAAFGGLFPLLSFQVHGFPHATAYEPAAGFPYGYGHSFHGWHGYGFPRQAPQGQQVDVYLKVLLLVVGVLVIASLIAF >PAN28337 pep chromosome:PHallii_v3.1:5:8788100:8789702:1 gene:PAHAL_5G146200 transcript:PAN28337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRNRFPQYYSRITKLRGYRSDSSGMASRAGEPVARTNSDGGGSGSKDSGSFECNICLDLAQDPVVTLCGHLFCWPCLYEWLHVHAHSQECPVCKAVVEEGKLVPLYGRGGTSAAPRARSVAGVQIPSRPTGQRPSTAPQADHNNHYPHQNPWFMGAGGTPVAGGRWGNYTFSAAFGGLFPLLSFQVHGFPHATAYEPAAGFPYGYGHSFHGWHGYGFPRQAPQGQQVDVYLKVLLLVVGVLVIASLIAF >PVH38588 pep chromosome:PHallii_v3.1:5:22164828:22165688:-1 gene:PAHAL_5G295500 transcript:PVH38588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQCNCQAAPGFPSLLINALESLGVTERPRYYSREYEHHGTLRYRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPVWEARMRSLERRRQEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQELSQSGTPAPAIGEDHVLLGTPVIGWGPLFENTQAPPENPESSAAAVERGAAAQPLTDGNTENGEQGLPTLPAPEEGKPHE >PAN29669 pep chromosome:PHallii_v3.1:5:15269179:15273096:-1 gene:PAHAL_5G242900 transcript:PAN29669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDASGGEVSIRMPSEAANPVCGVLQRKRRSTITKQERRLNSFVLFVASGEWVGNAFGALAFLWATVVLLGGYGKELNDKDFGIAAGIIFIEAFRMFSRNYRLDDQSMFRTTRAFRAISSPFACMLVRPQEWNELAAIMGSSICLVYFLPTLPSEVVLANIIMAALLLLMSKLQFPGALQLMSRPRRYRRLLLWAVLAALLIKAAYHISELVKQKTKLLLLALEPWYAFESAAIVALVIAVLLLNFRPPSIARLIDSRCGRRLLSLAKVVIIIYLIGNLVLLASVKMASAYYYRLPMKYLHLLRLQGLLCLPLATLVLSLVSLQTPANSPSSQPIDITLHICFFVQLVSTLANIGSDMIGTAIMLGVLLIGNLQIPGAIIRIMLSSFGLANLQHNKYEEKKLLGSMDAFYVLTLCQGTLYTVACICDLFSFFLRRSLARQSGLRGKRGARAVDLYYHCAYLKCMETGILTAGKEISLTSFAIESLSSGSRKKQLAGVLIVDSLLQQREELVSRIACSSKAVSSLSNMLGWTDAPDREIRLLAARVTAKLAAGLRVAAIPGMLQLVSSLLDAEDQLARGLNSAKDAGDDKSPGSAGIKPRRHDSYVSQHWQRMKERWTVPAEPPLTHQDSFPVLGMLILEKLASDPDNCAEIGRATDLISKISGFISYTSSSRAQQKAVVCSSLNLVRRLAITGGKVGALLRQELWEDPFLLDNLAGILEDSRSSIKAWEPAMDIIAKLALAEDARKEIGSNKLIIFKLMHAFLGRYGPTNMHYSQPLRLAAGEALGNLAIENTANCLIILEEPGYKLIKDLKDMLWHDKYRYVAATLLQNLCAHSRDKMQSLDAYEHLSCALPVVVENIMAVEGKQMETLIGLASQICNIIPECVVHSLESHLGVSAFVKKMVNALHANKKPSPEYPRMRRVIVELTISIIESHPCYTTIFIEGGMMEALSKIESIPSKVERYRIFLGNAGAVLESGLPLPNMVSRAKGLFDSATRTPELIIGHRLFIDDISLCCCNETYGTEAIFNQLGKKVESLR >PVH39169 pep chromosome:PHallii_v3.1:5:53439136:53440246:1 gene:PAHAL_5G447500 transcript:PVH39169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFSSSLPVMMAIILVVTAVVSSSVVHGSEARAQADIDGAVLPHPDGGHKGPAPPAPQGKPPHRPQPPCCVRPGA >PAN27720 pep chromosome:PHallii_v3.1:5:6197008:6199320:1 gene:PAHAL_5G101700 transcript:PAN27720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGGSHEGGGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYVEPLKMYLQKYREMEGDSKLSTKAGEGSVKKDAISPHGGTSSSSNQLVQHGVYNQGMGYMQPQYHNGDT >PAN28571 pep chromosome:PHallii_v3.1:5:9816791:9824332:-1 gene:PAHAL_5G162800 transcript:PAN28571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVDGEYGEFHAANRRAEVIDWLGELLPEFDLPLDSSDEELREYLIDGTALCYIAEKLMPDVQEGMWGGNASDQRSNVKKFLSVVAEMGLPGFSVKDLEEGSMSSVVECLLALKDNVTTGSGQNNVKTPLRRRLELRESDGPIISVVTPGKRSPREERQKGHWDLKSQQRNNLHSGQKVHDAFQLKRGPYTDLPAAKISEMMHSSSLDNAPTQSLLRIVNGILDESIERKRGEIPHRVVYLLRNVVQEIEHRIAIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMTVNRLELIEVEKSKIDEKRKLGEQDMVRLMHEKDNAENTIASLQQEIQILSRMHEQYRERTETEARQMEEHLTSRIKEAEFLLMQSKKKAEEIESASQLRSQLWSRKANIFQSFMDNQQMSIKDIRLSSQSIKQELFVLQMKWRDEISNIGHDLKGLVDAADNYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKTTTVDYIGENGEILITNPFKQGKDGCRMFKFNKVFKTHASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSKEDWGVNYRALNDLFDISLSRRNAFSYEVEVQMVEIYNEQVRDLLSNNVAQKRLGIWSTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQTNRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVERSEAIGDRLKEAQYINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDTESYSETMSTLKFAERVSGVELGAARSNKEGKDIKELLEQVSYLKDTISRKDMEIEQLLKEKSKSPSSSTDRNDSRQQIRRLSGAAGSGEAECEDNASDDGCSVAGTEYSVGGASEAAGEQMQKTPSRIARLFLTKNGQPVNSKPKPRESALKPPGRTKSTGSQVTGGGSSSMKPPKRR >PVH39286 pep chromosome:PHallii_v3.1:5:55016891:55019745:1 gene:PAHAL_5G471400 transcript:PVH39286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKREISSTLRNLKFMQRGAAAQKVEEKAKVEVQEEVVVASSGGSGSSAQVARKCIVIMEGNPHPGAVKGRMSFQNFNPSIDKLNEEARGDRESESASPSNHHQDSANSSRGDEVPGSRFRGFDIESSESISLNELKRKEPELDMETPSDNPQKTNVDGRTSSQSNGRGSHKSNKREKNCTGLAICPVLWIISGVLVTMPWRSCS >PAN32207 pep chromosome:PHallii_v3.1:5:55017361:55019322:1 gene:PAHAL_5G471400 transcript:PAN32207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKREISSTLRNLKFMQRGAAAQKVEEKAKVEVQEEVVVASSGGSGSSAQVARKCIVIMEGNPHPGAVKGRMSFQNFNPSIDKLNEEARGDRESESASPSNHHQDSANSSRGDEVPGSRFRGFDIESSESISLNELKRKEPELDMETPSDNPQKTNVDGRTSSQSNGRGSHKSNKREKKYDFNHLRQKK >PAN29972 pep chromosome:PHallii_v3.1:5:16957251:16961164:-1 gene:PAHAL_5G262800 transcript:PAN29972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGPAAALLRRLAPQVSGGGCGGATHRRVPPPIASSLLARFSSAPTTFSSSPPSSSSAAGRDEAADEEEPPEISNGDGGAPLSIAVDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGERGDFITSPEVSQMFGEMIGVWAICLWEQMGRPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKALNINLVECSPALQKIQYNTLKCEDEPVDDGKRTVSKLCGAPVCWHASLEQVPSGSPTIIIAHEFYDALPIHQFQKASRGWSEKMVDLAEDSSFRFVLSPHPTASLLYLSKRCGWASSEELEKVEHIEVCPKAMELTEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHKFVHILDDPGSADLSAYVDFASIRHSALEASDDVSVHGPMTQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPEDQAPIGMGTRYLAMAIVNKKQGTPVPFE >PAN31096 pep chromosome:PHallii_v3.1:5:49347553:49350097:1 gene:PAHAL_5G396200 transcript:PAN31096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKNNKKSGEAEAEE >PAN31095 pep chromosome:PHallii_v3.1:5:49347553:49350097:1 gene:PAHAL_5G396200 transcript:PAN31095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKNNKKSGEAEAEE >PAN32036 pep chromosome:PHallii_v3.1:5:54158735:54166604:1 gene:PAHAL_5G458500 transcript:PAN32036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPPPPPPPEPEPEPAAAAASPRRDVEPSPPPPQPRRRLLRRLRSAVQHYEWGRRGDASLVARLAAQDPDPARPYAELWMGTHPSGPSTFLDDGELLGDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRVLCGFASIEELKDILRTVPEVEGLVGHEDAGKLMSMKEYDGGSEVRSILQSAFAKLMTASKDMVSEAISKLISRLNIESKEMLPMDCCSNTVRSGSAIVSNEFRVTDFPSPNENELIFFSSPQLELYTVGVNFQLTDSASAKRM >PAN32037 pep chromosome:PHallii_v3.1:5:54158735:54168778:1 gene:PAHAL_5G458500 transcript:PAN32037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPPPPPPPEPEPEPAAAAASPRRDVEPSPPPPQPRRRLLRRLRSAVQHYEWGRRGDASLVARLAAQDPDPARPYAELWMGTHPSGPSTFLDDGELLGDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRVLCGFASIEELKDILRTVPEVEGLVGHEDAGKLMSMKEYDGGSEVRSILQSAFAKLMTASKDMVSEAISKLISRLNIESKEMLPMDCCSNTVRSGSAIVSNEFRVTDFPSPNENELIFFSSPQLELYTVD >PAN32038 pep chromosome:PHallii_v3.1:5:54158735:54170285:1 gene:PAHAL_5G458500 transcript:PAN32038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPPPPPPPEPEPEPAAAAASPRRDVEPSPPPPQPRRRLLRRLRSAVQHYEWGRRGDASLVARLAAQDPDPARPYAELWMGTHPSGPSTFLDDGELLGDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRVLCGFASIEELKDILRTVPEVEGLVGHEDAGKLMSMKEYDGGSEVRSILQSAFAKLMTASKDMVSEAISKLISRLNIESKIRTLTDKEQLVLSLERQYQEDVGVLAALFFNYVKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQGFPEILRGVPVQPHVRRYTPPFDEFEVDSCLVPPGELVIISPVPSPSIFLVMTGVGELQADSLSDGGKAKEGDVFFVPAYTEVKLSTCGLESMKLYRAGVNSRSFN >PAN32035 pep chromosome:PHallii_v3.1:5:54158735:54170285:1 gene:PAHAL_5G458500 transcript:PAN32035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPPPPPPPEPEPEPAAAAASPRRDVEPSPPPPQPRRRLLRRLRSAVQHYEWGRRGDASLVARLAAQDPDPARPYAELWMGTHPSGPSTFLDDGELLGDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRVLCGFASIEELKDILRTVPEVEGLVGHEDAGKLMSMKEYDGGSEVRSILQSAFAKLMTASKDMVSEAISKLISRLNIESKIRTLTDKEQLVLSLERQYQEDVGVLAALFFNYVKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQGFPEILRGVPVQPHVRRYTPPFDEFEVDSCLVPPGELVIISPVPSPSIFLVMTGVGELQADSLSDGGKAKEGDVFFVPAYTEVKLSTCGLESMKLYRAGVNSRSFN >PAN29127 pep chromosome:PHallii_v3.1:5:12402393:12405685:1 gene:PAHAL_5G203800 transcript:PAN29127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQFLHKLPRKSSASGKGDHAAGSSPGRNAAGNGSAIQRTSSCPSAGPARPAPGVKRMSSAVFPSSVVAGIEPLVPFKDVPNGEKPNLFVSMVSLCCVVFDFSDPNKNSAEKDFKRQALVDLVDYVESASSCFTEPMVIACCRMFAINLFRVFPPNCRSSSSGGGEGEEEEPMFDPAWAHLHLVYDLLLKFIGSSSLDAKVGKKYFDHTFIVKLLELFNSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYHFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKTVGVYLQQLTYCVTQFVEKEPRLASSVILGLLRYWPITNSQKEVMFLSEIEEVLEATNMVEFQKCMVPLFRRIAHCINSFHFQVAERALFMWNNDHIVSLVAQNRQAIVPIVTPTLEENIQNHWNVSVLNLTANVKKMLSEMDEELFSTCLARHKEDGERQASLEQKRRLAWERLESAAAFQPVTGNTAVLVSR >PVH39532 pep chromosome:PHallii_v3.1:5:58711697:58714346:1 gene:PAHAL_5G531000 transcript:PVH39532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKYKDRFDLNHAAVGGGGCLTSTHLFPCSSCHLLILSISLRFAPQNGRQFHSVSLNSTSSLLGATSINSASLMAALLVALLAVLIIPLLTFLLFAAKRPYSHLQRSHGRRLPPSPPGGLPLLGHLHLLGSLPHRGLRSMAASCGPVMLLRLGQVPTVVASSAAASEEAMKTRNLAFASRPSLRMADRLYYGTRDMVFAPYGERWRQLRRVCVSHLLTQRRVLCFRAVREQEVAALLDRVRGAGGAVVNLSHLLITFANAVVSRATFGDAGYGLGGGDGGGGAKLRKVFAEFEELLGTVPMAEMVPWLWLVDVVTGLERKARRTSEEIDRLLERVITDHRRRRRGARRVGDGEDDGRDFVDVLLDLSETEDEVVGEVQLDTVTIKATILDMLAAGTDTSYTLLEWAMAELINHPTQMRKLQDEIRTAIGVADRITEDDLPKLPYLKAVIKETLRLHPPVPLLLPRETLEDTELQGYHVPARTRVVINAWAIGRDAAIWEHAEEFMPERFAADGEAEYHKMGVDFRFLPFGAGRRGCPGVGFAVPANELALASLLYHFDWEVPVPGGGRPGTTASLVDMSEVNGLSVRLKRALLLVAKPWSG >PVH39531 pep chromosome:PHallii_v3.1:5:58711696:58715084:1 gene:PAHAL_5G531000 transcript:PVH39531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKYKDRFDLNHAAVGGGGCLTSTHLFPCSSCHLLILSISLRFAPQNGRQFHSVSLNSTSSLLGATSINSASLMAALLVALLAVLIIPLLTFLLFAAKRPYSHLQRSHGRRLPPSPPGGLPLLGHLHLLGSLPHRGLRSMAASCGPVMLLRLGQVPTVVASSAAASEEAMKTRNLAFASRPSLRMADRLYYGTRDMVFAPYGERWRQLRRVCVSHLLTQRRVLCFRAVREQEVAALLDRVRGAGGAVVNLSHLLITFANAVVSRATFGDAGYGLGGGDGGGGAKLRKVFAEFEELLGTVPMAEMVPWLWLVDVVTGLERKARRTSEEIDRLLERVITDHRRRRRGARRVGDGEDDGRDFVDVLLDLSETEDEVVGEVQLDTVTIKATILDMLAAGTDTSYTLLEWAMAELINHPTQMRKLQDEIRTAIGVADRITEDDLPKLPYLKAVIKETLRLHPPVPLLLPRETLEDTELQGYHVPARTRVVINAWAIGRDAAIWEHAEEFMPERFAADGEAEYHKMGVDFRFLPFGAGRRGCPGVGFAVPANELALASLLYHFDWEVPVPGGGRPGTTASLVDMSEAHCMGR >PAN32083 pep chromosome:PHallii_v3.1:5:54429456:54433563:-1 gene:PAHAL_5G462300 transcript:PAN32083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLATATPTISAHFSAPLVPSSHAHRRSVAAPLRRIRRPRLVTVVASVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVGFEMVDRIAREEGITMNTIQSKSLLGVGSIGEVPVLLVKPQSYMNYSGEAIGPLAAYYQVPLRHVLLVYDDMSLPNGVLRIQRKGGHGRHNGLQNVIEQLDGRREFPRLSIGIGNPPGKMDTRAFLLQKFSSEERVQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHRV >PVH38988 pep chromosome:PHallii_v3.1:5:50867561:50867765:1 gene:PAHAL_5G411100 transcript:PVH38988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLPGRCGCPLVIYGDLSSRIERDSKGTATVGASSSDLGF >PVH39464 pep chromosome:PHallii_v3.1:5:57191413:57191881:1 gene:PAHAL_5G507600 transcript:PVH39464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADPPAPAAAAILLASCSIRKSRDQVLILHEIFTPLHCSSSNSRRSPRPSVPSEIRTQAEASTGASSPPGTRQPTRPPSSLFIGPCAATTHLSGVATVPGSSAMADDRQEAGEQRSMLLLAASE >PAN27433 pep chromosome:PHallii_v3.1:5:4983334:4985703:1 gene:PAHAL_5G080700 transcript:PAN27433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASGSAGAELDDAAEWRTRAPGGTEYSWCRAVPGGTGTTLLALRLARGADAAAEAAQAALRSLQNAHPVLRARIRTTPSGPTLAFPPAAPPPPPLLPLEPLPAPESAADFHALLEHELNRNPWADPESSDDAPVLFATLYELPPATGGAALFVRIHTTACDRSAANALARELVALLGGGEEGEGERVPEDAAAAAALEQRIPQRDTWKPFWARGLDMVGYSINGLRTSTLPFVETGTERSTQMLRLGLGRDETTRLLDACRENGVRLCSAMAAATMLAARQSKQLESGQQETYSVVTLINCRKFLEPALDDHNVGFFYSAITNTHAIHGEEGLWELAKRCHDSYTNAKAGKKHLTDISDLNFLMCRAIENPQLTTAGALRTALVSVFEEPVAADVTKLRSKAGVEDCICCATVHGIGPSIGVFDSIRDGRLECACMYPSPLHSRKQIQEIFGKVKEILHLASDENFEDCS >PAN30062 pep chromosome:PHallii_v3.1:5:17579683:17585985:-1 gene:PAHAL_5G269600 transcript:PAN30062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAGLAAIMLAVALLAASASAAVTYDRKAVVVNGQRRILLSGSIHYPRSVPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAEMQKFTTKIVDMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPARAYASWAANMAVALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFERTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKELHKAIKLCEPALVARDPIVTSLGNAQQASVFKSSTGSCVAFLENKDKVSYARVAFNGMHYDLPPWSISILPDCKTTVYNTARVGSQISQMKMEWAGGFTWQSYNEDINSLGEESFTTVGLLEQINLTRDKTDYLWYTTSVEIAQDEQFLSNGKNPTLTVMSAGHALHIFINGQLTGTVYGNVEDPRLTYRGNVKLWPGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGETLSLHSLTGSSSVEWGEPMQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGTCGICDYRGEYDEKKCQTNCGDSSQRWYHVPRPWLNPTGNLLVIFEEWGGDPTRISMVKRTTGSICADVSEWQPSMKNWHTKDYEKAKIHLQCDRGRKITEIKFASFGTPQGSCGGYSEGACHAHKSYDIFWKNCIGQERCGVSVVSDVFGGDPCPGTMKRAVVEAICG >PAN31263 pep chromosome:PHallii_v3.1:5:50558225:50561935:1 gene:PAHAL_5G407800 transcript:PAN31263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEAIHDE >PAN28502 pep chromosome:PHallii_v3.1:5:9486805:9489963:1 gene:PAHAL_5G157200 transcript:PAN28502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGRCPLPEIPNTPPARSRTWHPRIAALAHLAFPAPTPARPLPIPLRARALHLAIPAPHREHGGGPGRMACAAAMPIDLSPAPKAVAAGNLKAVEEEDEEVEVEGGGCGGGAVVVAASDAEAEGHPYDFHVSGPRNLPPPNWKEIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEDDGLAPKWWKPFKYKVTQALVDERDGSIYGAVLEWDRSSALSDFILIRPSGAPRAVLALRGTLLQKPTMKRDLQDDLRFLVWESLKGSVRYVGALAALKSAVEKFGSANVCVAGHSLGAGFALQICKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLKEEATTPLESTEEEASDKKRLRAEKKWVPHLYVNNSDYICCHYNAPCPSAADGASDEQQQQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLEQWWSDGMELQLALYDSKLINRQLKSIYTAAAVSSPAKS >PAN28508 pep chromosome:PHallii_v3.1:5:9512799:9516072:1 gene:PAHAL_5G157700 transcript:PAN28508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRTQRSSGCC >PVH39377 pep chromosome:PHallii_v3.1:5:55893607:55897420:1 gene:PAHAL_5G487500 transcript:PVH39377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIARHLNLTLVVPELDKKSFWADPSDFGEIFDVDHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLARKHKVIHFDKSDSRLANNGLPIQLQMLRCRVNFEALRFTPQIEALGKKLVSILQRNGQFVVLHLRYEMDMLSFSGCTHGCSSKETEELTRMRYAYPWWKEKEIDSEAKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGERRLAVLKAAYPKLVRKEKILSPDELRPFQNHSTQMAALDYMVSLASDIFIPSYDGNMARVVEGHRRYMGFRKTIVLDRKKLVELLDLFQGGALSWDEFSGAVKEAHKSRMGQPTERKIIPGQPKEEDYFYANPQECLGSNGGLRDVS >PAN32447 pep chromosome:PHallii_v3.1:5:55893607:55897421:1 gene:PAHAL_5G487500 transcript:PAN32447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVGGGGRRRRAWRWAMRAAASAVLWTAVVQLASIAGLFRPRVLADCGGGGAAGGGGGGAAAGLAALAGEDSVPARLSPPALVPKRIYKSNGYLRVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKKSFWADPSDFGEIFDVDHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLARKHKVIHFDKSDSRLANNGLPIQLQMLRCRVNFEALRFTPQIEALGKKLVSILQRNGQFVVLHLRYEMDMLSFSGCTHGCSSKETEELTRMRYAYPWWKEKEIDSEAKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGERRLAVLKAAYPKLVRKEKILSPDELRPFQNHSTQMAALDYMVSLASDIFIPSYDGNMARVVEGHRRYMGFRKTIVLDRKKLVELLDLFQGGALSWDEFSGAVKEAHKSRMGQPTERKIIPGQPKEEDYFYANPQECLGSNGGLRDVS >PVH38254 pep chromosome:PHallii_v3.1:5:12945210:12948641:1 gene:PAHAL_5G212000 transcript:PVH38254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDESTDSTVQSKIGTQARFPSCGAVFTRGVVFTRRDIGYNDRIIFLLFPVSFSFLLIHELPPPLQVLPPPPPPPPGRPPRRPPPPPNAPPAAPAARPRRAPRRPPGPLPTDALPPPPHPRRAARRPRRAPSAPPASPAAPRPPSLPTPAAPAARPPRRAPRRPKRARKATRRAAPAARPAAAPAGPAAPPNAPRRPPPRPALPPAQVCWLLFSMPSRRATNARSAACALSSTSAHSALSVRRVRGSARGSCHGRYGHRVRTGLIEGRPAAFLSAGPFLFKDHLDSVWLILCSVVPCSSPCSVCGIIDLLFCDLFASVPICMAVLIGLPALSGAASSAVPCWGIIVRHHYCPLVLRVDSNENHVKRMC >PAN27227 pep chromosome:PHallii_v3.1:5:4190364:4191526:1 gene:PAHAL_5G067700 transcript:PAN27227 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MWSAAAVRAVSPLPAPSLPCGGRRGGGAARGGGRAATVSVRSTLWDFVGGDLVKPDIGQWLDDVEKHKALAVYPPHEGGYEGRYLNHLRYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWIMEAKVLSKAELQFLAMLPDLRPKVRVIAECGNWRKFVWKPLKQIAGLEPNPDA >PAN32358 pep chromosome:PHallii_v3.1:5:55575580:55576649:-1 gene:PAHAL_5G481400 transcript:PAN32358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLFVPRPAPGSRPAPGPAARLRQGASTITCGPRDNRGPLQRGRSLSTEAILAIQSLKRLTAADRSPAAASAAASSALGRLLKADLVAAIAELQRQGHWSLALAALHVARAEPWYRPDPALYATFVSSAPAASDDAVDALVEAFLEEKARGGGFVDGEEDVYKLTRLLRALVAKGRGRAAWKVYEAAVRRGGLDVDEYVYRVMARGMRRLGLDEEASEAEADLAEWEATISPPARDVLDQMRARENSKTKASAS >PAN29840 pep chromosome:PHallii_v3.1:5:16042444:16046542:-1 gene:PAHAL_5G252900 transcript:PAN29840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSCSVLNCGKHANWVSISEKRTNRDPSTTEISHFCTAAAVMARDRSPTNSPPAKRRKDSSTTTTIASLGEDILLEIFLRLPCLATLVRAALTCRGWRRAVASSPAFRRRFRQLHPAPLLGLFFNPPGAVQEPGLPAFPSFVPTRGTDRDQAAAVRGGDFFLTSLQERPGVLNGWDIHDCRGGYVLLANGGQETMAVVNPLARRSERFFDSLDGHRVYAVGHRACLLCSDEDPTAFRVVIVAHDKSRDLVRATVFCSDTGEWSVRPWVHIPGKPRRGRGEGWMSCNMQAKGFLYWVYKNRKYMLTLDTATMDFSVDELPIFLQNRFCSFVVGEMKNGEPCIVYAINFIVGVLLRRTEKHGVDRWVLDRAEPLETQLCRVLGKVTLDYNKVKVVAVRDGFVYLATSDDLNDSRTPSWFLSLCLETMILEKLFQRTYDSSVHPYVMQWPPSLVGNYGRFAPEDGT >PVH38413 pep chromosome:PHallii_v3.1:5:16044431:16045828:-1 gene:PAHAL_5G252900 transcript:PVH38413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSCSVLNCGKHANWVSISEKRTNRDPSTTEISHFCTAAAVMARDRSPTNSPPAKRRKDSSTTTTIASLGEDILLEIFLRLPCLATLVRAALTCRGWRRAVASSPAFRRRFRQLHPAPLLGLFFNPPGAVQEPGLPAFPSFVPTRGTDRDQAAAVRGGDFFLTSLQERPGVLNGWDIHDCRGGYVLLANGGQETMAVVNPLARRSERFFDSLDGHRVYAVGHRACLLCSDEDPTAFRVVIVAHDKSRDLVRATVFCSDTGEWSVRPWVHIPGKPRRGRGEGWMSCNMQAKGFLYWVYKNRKYMLTLDTATMDFSVDELPIFLQNRFCSFVVGEMKNGEPCIVYAINFIVGVLLRRTEKHGVDRWVLDRAEPLETQLCRVLGKVTLDYNKVKVVAVRDGFVYLATSDDLNDSRTPSWFLSLCLETMILEKLFQRTYDSSVHPYVMQWPPSLVGNYGRFAPEDGT >PVH38414 pep chromosome:PHallii_v3.1:5:16044431:16045828:-1 gene:PAHAL_5G252900 transcript:PVH38414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSCSVLNCGKHANWVSISEKRTNRDPSTTEISHFCTAAAVMARDRSPTNSPPAKRRKDSSTTTTIASLGEDILLEIFLRLPCLATLVRAALTCRGWRRAVASSPAFRRRFRQLHPAPLLGLFFNPPGAVQEPGLPAFPSFVPTRGTDRDQAAAVRGGDFFLTSLQERPGVLNGWDIHDCRGGYVLLANGGQETMAVVNPLARRSERFFDSLDGHRVYAVGHRACLLCSDEDPTAFRVVIVAHDKSRDLVRATVFCSDTGEWSVRPWVHIPGKPRRGRGEGWMSCNMQAKGFLYWVYKNRKYMLTLDTATMDFSVDELPIFLQNRFCSFVVGEMKNGEPCIVYAINFIVGVLLRRTEKHGVDRWVLDRAEPLETQLCRVLGKVTLDYNKVKVVAVRDGFVYLATSDDLNDSRTPSWFLSLCLETMILEKLFQRTYDSSVHPYVMQWPPSLVGNYGRFAPEDGT >PVH38412 pep chromosome:PHallii_v3.1:5:16042444:16046542:-1 gene:PAHAL_5G252900 transcript:PVH38412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSCSVLNCGKHANWVSISEKRTNRDPSTTEISHFCTAAAVMARDRSPTNSPPAKRRKDSSTTTTIASLGEDILLEIFLRLPCLATLVRAALTCRGWRRAVASSPAFRRRFRQLHPAPLLGLFFNPPGAVQEPGLPAFPSFVPTRGTDRDQAAAVRGGDFFLTSLQERPGVLNGWDIHDCRGGYVLLANGGQETMAVVNPLARRSERFFDSLDGHRVYAVGHRACLLCSDEDPTAFRVVIVAHDKSRDLVRATVFCSDTGEWSVRPWVHIPGKPRRGRGEGWMSCNMQAKGFLYWVYKNRKYMLTLDTATMDFSVDELPIFLQNRFCSFVVGEMKNGEPCIVYAINFIVGVLLRRTEKHGVDRWVLDRAEPLETQLCRVLGKVTLDYNKVKVVAVRDGFVYLATSDDLNDSRTPSWFLSLCLETMILEKLFQRTYDSSVHPYVMQWPPSLVGNYGRFAPEDGT >PVH39537 pep chromosome:PHallii_v3.1:5:58773689:58777208:-1 gene:PAHAL_5G531900 transcript:PVH39537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLWRWYQQCLASHPVRTQVVSSGILWASGDIGAQAVTHYSARRPDRRANNTPEDKDKDKEFKVDWRRVGITSSFGFAFVGPVGHYWYEYLDRFIRRRFQPNTFKFVASKVAADGFLFGPLDLLLFFSYVGLGQGRSVEQVKEDVKRDFIPALALGGMIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDASWKQWFTSFHKIEDQKSKV >PVH39536 pep chromosome:PHallii_v3.1:5:58772925:58777577:-1 gene:PAHAL_5G531900 transcript:PVH39536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLWRWYQQCLASHPVRTQVVSSGILWASGDIGAQAVTHYSARRPDRRANNTPEDKDKDKEFKVDWRRVGITSSFGFAFVGPVGHYWYEYLDRFIRRRFQPNTFKFVASKVAADGFLFGPLDLLLFFSYVGLGQGRSVEQVKEDVKRDFIPALALAVSCHGLSSRETLLGSSGSRRSIKSKTRRVRFDFTSYEPCVVHVDQH >PVH38441 pep chromosome:PHallii_v3.1:5:16585143:16586584:1 gene:PAHAL_5G258600 transcript:PVH38441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAQVCCDSASAAAAVVGPEAEVRARARAERRRRAGEAGRWKHAPVAAGTAEAATRKRRVEAGELLVARKHGAASVAGRRREMEDALSVHEAFAAGGAGRCDFYGVFDGHGCSHVAEACRDRMHELLAEELAAGADEEGPAAQRHPAAWTAAMERCFARMDAEVTSASGRAAASASCRCDAHKCDHVGSTAVVAVVEERRLVVAHCGDSRAVLCRGGDGTAPVPLSSDHKPDRPDEQERIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPYVCSVPEVTVADLADGDECLILASDGLWDVVSNEAACEVARACLRRGREKWCAEAAALLTKLALARRSSDNVSVVVVDLRRKNH >PAN32100 pep chromosome:PHallii_v3.1:5:54497211:54504187:1 gene:PAHAL_5G463200 transcript:PAN32100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFADVDVSLLLLIVLATAQHYSFARNASRVRRSLSAAIAPSAWSWKADEARQLALPLPTTTGRLPVLTTSNHLAAQPRGAEEMGSPSYRLAVAITVPSTGEFLVARQPRPASPPEEDEDYRRFVDSDLYDLPAAPLEPLAGEPRSEVAVAGADSATGLDLSRLDVSAALDQIFDRSGLPDGMRGEWRLLKYVEEAEFGPDAGVNTVFLIGSLVSKLDGLPESCKWMTKECALGLLSEAKPGSDRIGQYAYIGLMNSRLSSNSTAVPALPSQEYPPGITLVPMKSKTLQPFRTTNLVVVRATNGAGESTCSDFFACGDALLLDPGCSSQVHAELADLVDSLPKKLLVLVTHHHHDHIEGLYVVQRCNPDSVLLAHQNTMNRIGKGNWQIDYTSVTGGEKICIGDQELQVIYAPGHTDGHMGLLHVNTNTLIVGDHCVGHGSATLDSRSGGNMKDYFETTYKFLDLSPHVIIPMHGRINLWPKHMLCGYLRNRRSREASILQSIESGAQTLFEVVSKTYSDVDRKLWIPASFNVRLHVDHLNSQHKLPKDFSLENFKASCGVHFIFRLAVAYVQSKSSPAILAASALAGGLAIACALRRNGGKQS >PAN28528 pep chromosome:PHallii_v3.1:5:9631200:9635688:-1 gene:PAHAL_5G159900 transcript:PAN28528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAGSALEAAVQAVGRGLDAAGDHRLLYCKGAGRLVALDEDRARDIPLRSGGVLSSVPRDVAVEQWSSDPLRIRPPVPHGVPPAALDEPFVCSFQQMAEYFNRKSGLLETVPLGSFNSLFSFTGSWKNDAAATKALAIDGYSLPLFRAIIKSDELTLLESVKRAIPNVWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFKNYVREIGRERFSEVENKSNATPINYSEKDMTVIFRRRGGCDLVQSFSDWKGTVASAPDVIAMTFLSIVSLVDDIPGKKHLARAVELYLTYKPPIEELQYFLDFQVPLVWAPAPPGIAGHHRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVVGLKLLLEGAKQNRLAIHLQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFETIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHNPSSSSTQRTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGRIVVRAKYSLLNY >PAN28527 pep chromosome:PHallii_v3.1:5:9629348:9636011:-1 gene:PAHAL_5G159900 transcript:PAN28527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAGSALEAAVQAVGRGLDAAGDHRLLYCKGAGRLVALDEDRARDIPLRSGGVLSSVPRDVAVEQWSSDPLRIRPPVPHGVPPAALDEPFVCSFQQMAEYFNRKSGLLETVPLGSFNSLFSFTGSWKNDAAATKALAIDGYSLPLFRAIIKSDELTLLESVKRAIPNVWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFKNYVREIGRERFSEVENKSNATPINYSEKDMTVIFRRRGGCDLVQSFSDWKGTVASAPDVIAMTFLSIVSLVDDIPGKKHLARAVELYLTYKPPIEELQYFLDFQVPLVWAPAPPGIAGHHRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVVGLKLLLEGAKQNRLAIHLQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFETIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHNPSSSSTQRTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGRIVVRAKYSLLNY >PAN27100 pep chromosome:PHallii_v3.1:5:3727746:3729081:1 gene:PAHAL_5G059100 transcript:PAN27100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTMVLDLFNAMTAANSSRGHYEDDYEELLVPRMITIKPAYRWAIRGFATTCAIMLAIGIITLSEGPTGAKIAGVVIIICSVWCLFVVTADAVVYRFQRRIAIPDESMV >PVH37819 pep chromosome:PHallii_v3.1:5:5989786:5990778:-1 gene:PAHAL_5G097500 transcript:PVH37819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQLNCGTWSGRQIRVAEFGAEKLRLAVANFEMGSWRQSSPWQERKLPGGMSRRGENGERKLGCVEPRAATLIFAGLPSHLQLTRSIHLEEVRINLQLSEYSHSI >PVH38855 pep chromosome:PHallii_v3.1:5:44905202:44905366:1 gene:PAHAL_5G370900 transcript:PVH38855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWMDARALRRKDAFRGERPWGERASVIHGSPIGKPYPSSVASLRSLDFSNLAN >PAN32500 pep chromosome:PHallii_v3.1:5:56108731:56116071:-1 gene:PAHAL_5G491500 transcript:PAN32500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRGMVAGSRDGVVTIRHDGDGPTAKQLKNANEQICQICGDTVGFSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSSRVPGDEEEDGVDDLDNEFNYAQGNGKGPQWQLQGQGEDVDLSSSSRHEPHHRIPCLTSGQQISGDIPDASPDRHSIRSPTPSYVDPSIPVPVRIVDPSKDLNSYGVGSVDWKERVESWRVKQEKNMIQVTHKYAAEGKGDIEGTGSNGEDLQMADDARLPLSRIVPISPNELNLYRIVIVLRLIILCFFFQYRITHPVWDAYGLWLVSVICEVWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKVQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMADGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIIKSCCGGRKKKDKSYIDSKNRAMKRTESSAPIFNMEDIEEGFEGYEDERSLLMSQKSLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPLRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKATDDEGDFSELYVFKWTSLLIPPTTVLVINLVGIVAGVSYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKALSRGQCGVNC >PAN26705 pep chromosome:PHallii_v3.1:5:2025357:2025500:-1 gene:PAHAL_5G032500 transcript:PAN26705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFQCPCCSCFCFMKASNKPQGKDAAGHQPGKMQGGVVGKTIEMI >PVH38526 pep chromosome:PHallii_v3.1:5:19240793:19253964:-1 gene:PAHAL_5G278400 transcript:PVH38526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGSPGSGKTTLLKALIGKLDSGVKVSGKITYNGREMNEIVREKIAAYVSQSDLHSEEMTVRETLAFSAKCQGAGDGYDLLTELMRREREANVTPDVHISLFMKVKLPYQCPTIIAFV >PVH37955 pep chromosome:PHallii_v3.1:5:7961244:7961735:-1 gene:PAHAL_5G133600 transcript:PVH37955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESETTREPTPEYDPIAAYEVRAPLHWDAEEWDFHYQSEDDESLTDGEDLALLLGAELEEEEDDALWGEDLSLSEEETDSISSEEDPMAGTFLFDRSSDDTSDGREGADDDDSFTSSSGGDDDDGHNGSSSSGTSVAPPSKRRKTSDVYWW >PAN28132 pep chromosome:PHallii_v3.1:5:7928536:7929006:1 gene:PAHAL_5G133100 transcript:PAN28132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHRAAALLTIASLLVAVALADARLTVHLDALGRGRVYFASDAAAVPALSCSEVHGVKAGETCSSVAQAAGLTQDVFLGFNPNINCEKVFVGQWVCLAATSA >PVH38074 pep chromosome:PHallii_v3.1:5:10300741:10306825:1 gene:PAHAL_5G170000 transcript:PVH38074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAEADSAASSSGRLLVLYASQTGNAMDAAERVGREAERGGCPAVDVLSMDGFDPSRLPSERFVVFVVSTTGQGDPPDSMKGFWRDMLRKDLGAQWLEGVRHAVFGLGDSGYQKYNFAAKKLDRRLSHLGAERVVEIGLGDDQHPSGYEGALDPWLLSLWKSLNETNQSLLPRVSDINDPNLSTLGDPKVHVIYYSSNEVPQDSILSDPQKIMNSARTMSPALQFHDDGGPPYMLQMVANQRLTEEGSDRDVRHFELEDPSSIRGKSVDKISKGSAVSSLMDRIKLKTFVALTMDIASASPRRYFFEVMSFFSTAEHEKEKLQYFASPEGRDDLYQYNQKESRTVLEVLEDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTVSIVSWLTPFKRTRHGLCSTWLAGLNPRKDNTIPCWIHQGSLPPPHPSVALVLIGPGTGCAPFRAFVEERAAQTVAEPTAPVLFFFGCRNQDNDFLYKDFWLTHAQDEGVLSSKKGGGLFVAFSRDQPQKVYVQHKIKEQSARVWNLLLSGAAVYIAGSSTKMPADVTAALEEVICKEHGVKKEDASKWLRDLERVGRFNIEAWS >PAN28660 pep chromosome:PHallii_v3.1:5:10300741:10306824:1 gene:PAHAL_5G170000 transcript:PAN28660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAEADSAASSSGRLLVLYASQTGNAMDAAERVGREAERGGCPAVDVLSMDGFDPSRLPSERFVVFVVSTTGQGDPPDSMKGFWRDMLRKDLGAQWLEGVRHAVFGLGDSGYQKYNFAAKKLDRRLSHLGAERVVEIGLGDDQHPSGYEGALDPWLLSLWKSLNETNQSLLPRVSDINDPNLSTLGDPKVHVIYYSSNEVPQDSILSDPQKIMNSARTMSPALQFHDDGGPPYMLQMVANQRLTEEGSDRDVRHFELEDPSSAISYKTGDALEILASQNPSAVDAFIERCNLDPDCYVTIRGKSVDKISKGSAVSSLMDRIKLKTFVALTMDIASASPRRYFFEVMSFFSTAEHEKEKLQYFASPEGRDDLYQYNQKESRTVLEVLEDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTVSIVSWLTPFKRTRHGLCSTWLAGLNPRKDNTIPCWIHQGSLPPPHPSVALVLIGPGTGCAPFRAFVEERAAQTVAEPTAPVLFFFGCRNQDNDFLYKDFWLTHAQDEGVLSSKKGGGLFVAFSRDQPQKVYVQHKIKEQSARVWNLLLSGAAVYIAGSSTKMPADVTAALEEVICKEHGVKKEDASKWLRDLERVGRFNIEAWS >PAN28946 pep chromosome:PHallii_v3.1:5:11365633:11368018:-1 gene:PAHAL_5G188700 transcript:PAN28946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSETDAALFAAVLGRDAAHHLATTPPHLDGPAASAASAPPELLARLQDLVERGGVWAYGIFWQESRGAGRPVLGWGDGHCRDGGAPHDAGEAGAAAAERSVARKRALLRLHALYGGGDDDEGADYALRLDRVTGAEMYFLASMYFSFTEGAGGPGHALASGRHAWAAVDPRPRGAGAGEPAPGWYVRASLAQSAGLRTVVFLPCKGGVLELGSDVAVRETPEALRAIQSALAVAPPAAREECMRIFGKDLSPSGRTPRPQAVGLGVTSDNWAPQLGGHAAASAAAANKEAAKPRPPEPPKSIDFTKPGKAEQAGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEDRLRGGGGAAGAGARAESPAVEVKAMQDEVVLRVTTPLYAHPVSRVFHAIRDAQLSVAASDVAVADDAVTHTLVLRSPGPEQLTAETVLAAMSRGMTSATPSP >PAN29994 pep chromosome:PHallii_v3.1:5:17136351:17139179:1 gene:PAHAL_5G265300 transcript:PAN29994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTLVFKELMMRGAEKMNLVDEPAGPFLTLSLGPTNAIGASCRATGGDIAAKLRAHAGDDEVTPTAGLALGLRCDSGEPPVRAVVSAGTKRQRAASDDHQHGTSGANKRKALMLPAPPQQERPADRVSFRARSSAATVNDGCQWRKYGQKVAKGNPCPRAYYRCTGAPDCPVRKKVQRCAADMSVLVTTYDGAHNHPLTPYAAAMASAILASAAAASSSSSSSSAPADATGTATAARARDAPLLVGPVAVLPPRRYPSSGAVAFSGGAPAAASSQNVVPVASIMQKAVADPKFRAAVMAAVASYVGEQCGGSAINDLLTSAPPC >PVH38462 pep chromosome:PHallii_v3.1:5:17136530:17137558:1 gene:PAHAL_5G265300 transcript:PVH38462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRVISLFIQFPPKKIFTIISQFTENTSYICVQMNLVDEPAGPFLTLSLGPTNAIGASCRATGGDIAAKLRAHAGDDEVTPTAGLALGLRCDSGEPPVRAVVSAGTKRQRAASDDHQHGTSGANKRKALMLPAPPQQERPADRVSFRARSSAATVNDGCQWRKYGQKVAKGNPCPRAYYRCTGAPDCPVRKKVQRCAADMSVLVTTYDGAHNHPLTPYAAAMASAILASAAAASSSSSSSSAPADATGTATAARARDAPLLVGPVAVLPPRRYPSSGAVAFSGGAPAAASSQNVVPVASIMQKAVADPKFRAAVMAAVASYVGEQCGGSAINDLLTSAPPC >PVH38461 pep chromosome:PHallii_v3.1:5:17136351:17139179:1 gene:PAHAL_5G265300 transcript:PVH38461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTLVFKELMMRGAEKMNLVDEPAGPFLTLSLGPTNAIGASCRATGGDIAAKLRAHAGDDEVTPTAGLALGLRCDSGEPPVRAVVSAGTKRQRAASDDHQHGTSGANKRKALMLPAPPQQERPADRVSFRARSSAATVNDGCQWRKYGQKVAKGNPCPRAYYRCTGAPDCPVRKKVQRCAADMSVLVTTYDGAHNHPLTPYAAAMASAILASAAAASSSSSSSSAPADATGTATAARARDAPLLVGPVAVLPPRRYPSSGAVAFSGGAPAAASSQNVVPVASIMQKAVADPKFRAAVMAAVASYVGEQCGGSAINDLLTSAPPC >PAN26388 pep chromosome:PHallii_v3.1:5:614329:615012:-1 gene:PAHAL_5G008800 transcript:PAN26388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVSVYMTLPSLVPVPMLRRKKRISSFCVYTIAAMHRPICDDLLLHAIYSTVLLSINYLLDTLMAANCVTSNLIYYYSLLFGSVFRATC >PAN32714 pep chromosome:PHallii_v3.1:5:57135379:57137496:-1 gene:PAHAL_5G506800 transcript:PAN32714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLLLDAGHEASPTLSKVATSGLHGEDSPYFAGWKAYDENPYDAASNPGGVIQMGLAENQVSFDLLEGYLRDHPEAAGWGGAAAGSGVASFRDNALFQDYHGLKAFRKAMASFMEKIRGGKASFDPDRIVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCDSANGFQVTVAAMQAAYEEAEAAGMRVRAVLLTNPSNPLGTTVKRSVLEDVLDFVSRKNIHLISDEIYSGSVFAAPDLVSVAELVEARARRGDGAAVAGRVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTTARRMSSFTLVSSQTQKTLAAMLADDAFAAAYIRTNRERLRVRHDHIVAGLARAGMPCLRGNAGLFVWMDMRRLLGEATVAGELRLWDRMLREVKLNISPGSSCHCSEPGWFRLCFANMSLDTLDVALARMSRFMDRWNKERTMSTQHEQN >PAN27594 pep chromosome:PHallii_v3.1:5:5585382:5585796:1 gene:PAHAL_5G090800 transcript:PAN27594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQVLLLAVAVLSVLAALPLAESKGRGIGERAEAPKASAWPCCDTCGACTRSLPPQCLCADEAPGGCHPACRNCVKSTVDGGSDVFRCADRITNFCKHGCTPAA >PAN30858 pep chromosome:PHallii_v3.1:5:33183958:33185574:1 gene:PAHAL_5G330100 transcript:PAN30858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRLVRSPSHSFYLFVAILAIFFREAACTEEHLPFDRVTLDLINLLHCWKRIQIISLVPNDRIIRLSIEQFVKGSPKGRICSIFFLCTFCIVCANNRTCMHVPTM >PAN27222 pep chromosome:PHallii_v3.1:5:4178999:4181617:-1 gene:PAHAL_5G067500 transcript:PAN27222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAWRHLAILLLVLTPRPPAAEADDANSTAAAACPLDLGYVATLPWDHAPCAPPVANRTACCMTLLSVLGVGLAARVRATGRFRLPSAAASAACLRAFSAALAAPPLSLPASLVPGCFPVPSQFAITPDYCAGVTTAAEYAEVAGAASVAGLNASCGADITSLSLCTRCVNAGIGASASLTAAAGNSSKSQNCFYLTVLYAAGISSSAGPDSPATASCALGLALSSPPAMSSPTSSSTNHTNIAVAATIPIATVLLVSLVALLLWRKRRQDIKRRSIQISESRRSRPRPNTGSVMFNICELAKATGGFAERNLIGRGGFGVVYRGVLADGTVVAVKKMLDPDVEGGDEEFTNEVEIISLLRHRNLVPLRGCCIADDDPDEGKQMFLVYDYMPKGSLDQYIFADGEGRRRPAFSWAQRRTVILDVARGLEYLHYGVKPGIYHRDIKATNILLDADMRACVADFGLARRSREGQSHLTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVLVLEVISGRRALDLADPSGVVLITDWAWTHVKAGRPREVLAEALRKESSNVMVAMERFVLVGILCAHVTVACRPTMPEALRMLEGDIDVPDLPDRPQPFGQRIAFDEGESNFSASSILSSPFVDFGDMLR >PAN29148 pep chromosome:PHallii_v3.1:5:12723808:12724539:-1 gene:PAHAL_5G208300 transcript:PAN29148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFLRPLLPPTTFLSARRPHLLAAPATSTTTVRCTAAPKPATSTPKLSQEEAVSRELQRVPREPSGAATPDEANANPNSIPDEETPAAAAATTSFAVARRVPSAISPYRRRRTALTQEEPPNYEIGWKRTKELPLEKPRGWAIADFLEKLDGLMARGRYGSGQLLGTVAGVVTERAREEAEILVAEGGVEERVVTELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >PAN28015 pep chromosome:PHallii_v3.1:5:7489995:7494707:1 gene:PAHAL_5G124800 transcript:PAN28015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQERTLEEVVSPFLMQLEKARAVPFVPDEDSSYSDITFLFENIKKEACEVKNILQRVSTWENEIINDFGGIARHLDEIIEEDSQLNSIRSKLQIVNTELSKLKDRMQIPLHVPVIEAAAPTTLPSVPSKWVHAKVSEQWKRLEIERKILESSTMSNLQLSYDNLDLQLKLCLLCFSIFPENSIISKRAMIHWWIGEGLVAATRNQTAEDVGKECFEKLIAREMIEPVYQKRRYGVNQCKMHPWIRRMLITVARQARFFEFDSNGNATWDFSATYRACLVEEHQLEIDVASLRNILTIFNVSERYLQFEKSWFLDLRKISVLQLGRWHNLYRHHIEVDSTEFLEGLQSSNQLKYLCLRGISRIIELPASIGRLSNLRILDLHACHNLERLTESITSLQMLTHLDVSECYLLEGMPRGISLLTELQVLKGFVIGGSAGNYNCRVAELARLDKLKKLSIYIGSKVTVTEDELNELQNIKGLCILKITWAVSLSKKERVHQTSDSTSLLASLSLPLNLEKLDLCCFPGEKIPDWLSPSKLLKLKRLYFTGGMLNTFGDKNTSEVWNIEVLRLKFLNDLSVQWTQVHDMFPKLTFLEVFRCMKLKSFPYDKDGVWMNNDTQQVNK >PVH38902 pep chromosome:PHallii_v3.1:5:48094777:48097297:-1 gene:PAHAL_5G385700 transcript:PVH38902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDGGGGMAPRGGGGGVVGSAAMLGLDMHLAPQQMHQAAAFQQQPQAEHHHGGFQLHQPAPVRHQQQQQQQQPPSFSPYSNTSSSRVVTSAAGVGHDDEMVGNGCFGGKAGVVQQQQQQMAAAAGCPWTRMKWTDSMVRLLITVVYNVGDDGDGVAPAGGAGGGKPAKAGSSAHGGHGHGHAAAAQQQQKKGKWKSVSRAMGEKGFTVSPQQCEDKFNDLNKRYKRVVDLLGRGRACRVVENHALLDAIDDLSAKAKDEARKLLSSKHLFFREMCAYHNGGGPHAPPHAAAGGDAACLHHPPPAPAASSAARHAQQQQHLAAPSPPGMKDSSADDDDDSDDALSNNGDDDEEDYGDDDEDGHVYPLNHHAHHHHHHNGGGRGKRGRGEDSGAAEDDNEDDAGGKRARAAASVEQSAVVQQLKGELAAATAAAADPQQFRCWVRRRAVEVEEQQVALESRGFELETQRLKWERFRANKERDMERARLENDRLRIEGRRMLLLLRHKDIELDMAEANSSSVDHHPAASPLAAHYQPQPMGSSPSTAGHPN >PAN31934 pep chromosome:PHallii_v3.1:5:53708580:53711523:1 gene:PAHAL_5G451100 transcript:PAN31934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRGAAAGTKGGGGGKQVGSRVLFVTVGCFLFFLVFLLSARPDAATVLGARRGDVRPRQRVGSHGDLQRSAEQSSGMAATERAGGAVIGAERSDDVERDAAPEDAERESNAAAAATPNSDEGAEPPAAEDADRDKSLRTAAAVQTTPPPHGLPGDTTTRADAADRQRRPLCDTSGFRADVCDLAGDVRLDANASAFVVVVDDAARSAGGQTYKVRPYPRKGDATSMGRVTEIAVRATAGAGAGAAPRCTATHAEPAVVFSIGGYAGNLFHDFTDVIVPLYSTAQRFRGDVRLVVADAAPRWLAKYGALLRGLSRHAPLDLAKAAAAGEVHCFRRAVVGLRAHRELMIERESGLDGGGMPDFTMFLRRALSLPRHAPTRPGGLVPARKPRLLIVSRRGTRLLLNTDAVVRAAEEVGFDAVVHELSAGNGDDIARAGRLVNSFDALVGVHGADLTNMVFLPPGSAMVQIVPWGGLRWIARLDFGEPAEAMGLRYTQYEVAVHESTLKDKYPRDHEVFTNPTALHRKGFTFMRRTFLNGQDIIVDVDRFRAVLVQALENLAQ >PAN31935 pep chromosome:PHallii_v3.1:5:53708580:53711523:1 gene:PAHAL_5G451100 transcript:PAN31935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRGAAAGTKGGGGGKQVGSRVLFVTVGCFLFFLVFLLSARPDAATVLGARRGDVRPRQRVGSHGDLQRSAEQSSGMAATEPFSSGAGGAVIGAERSDDVERDAAPEDAERESNAAAAATPNSDEGAEPPAAEDADRDKSLRTAAAVQTTPPPHGLPGDTTTRADAADRQRRPLCDTSGFRADVCDLAGDVRLDANASAFVVVVDDAARSAGGQTYKVRPYPRKGDATSMGRVTEIAVRATAGAGAGAAPRCTATHAEPAVVFSIGGYAGNLFHDFTDVIVPLYSTAQRFRGDVRLVVADAAPRWLAKYGALLRGLSRHAPLDLAKAAAAGEVHCFRRAVVGLRAHRELMIERESGLDGGGMPDFTMFLRRALSLPRHAPTRPGGLVPARKPRLLIVSRRGTRLLLNTDAVVRAAEEVGFDAVVHELSAGNGDDIARAGRLVNSFDALVGVHGADLTNMVFLPPGSAMVQIVPWGGLRWIARLDFGEPAEAMGLRYTQYEVAVHESTLKDKYPRDHEVFTNPTALHRKGFTFMRRTFLNGQDIIVDVDRFRAVLVQALENLAQ >PAN27043 pep chromosome:PHallii_v3.1:5:3489066:3490876:-1 gene:PAHAL_5G055200 transcript:PAN27043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative triacylglycerol (TAG) lipase, Phospholipase A1, Specification of empty-glume identity, Regulation of spikelet development, Endogenous jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os01g0900400)] MALAAAATTTRAAAMAAAAVHRQCGGATVTRAQQRVVRCRAAGGSVAAATAPACAPVEAGARRGPARRSAATVAGMWRQVQGCDDWEGLLEPGVHPVLRAELARYSELVDACYKAFDLDPASRRYLNCKYGRERMLEEVGMPGAGYEITRYVYAAPDVTVPTMEPSTSGRGRWIGYVAVSTDEMTRRLGRRDVLVSFRGTVTPAEWMANLMSSLEPARLDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLIDSCAKDRPGEDVSVTLAGHSMGSALALLFAYDLAELGLNRGAPVTVFSFGGPRVGNAAFKARCDELGVKALRVANVHDPITKLPGIFLNEATTGVLRPWRASCYTHVGVELPLNFVKVGDLASVHDLSTYVALLKSGGDKQAAAPRSAPDGGVLARVMEFVGRQRAGAVPWQDAALQMGGLVQTLGLI >PAN27366 pep chromosome:PHallii_v3.1:5:4699699:4702446:-1 gene:PAHAL_5G075900 transcript:PAN27366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQRAGGAAAGGDTSAFFAATLVLWAVSVGFEIGVRGRRELAPVAAGFAFFQAANAAVRAAVSRDPLFVNTAVSLLHSSLTFASVIFVLVNQWRNKGLENMFEHHELFGGSWVGAYSALCFSCGYFAYDQLDMLRYRLYSGWIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSIFLHIRKVRRMAGFRDFNRKVVKLEWALNWSTFVTARVVCHILITYKLIADAHKFDKGIELPLALLGMAGMNLLNIFLGLDLFKAYGRERNQQRHQD >PVH37868 pep chromosome:PHallii_v3.1:5:6511450:6515239:-1 gene:PAHAL_5G108100 transcript:PVH37868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALNTLTPSRPSPSTEPTKLRKRRPLNLRLAAISPTTAMAAAALRSSSAARRLLRLAPAASSALSAAFRPAAGVAPLSRPIAALSGGNNPISWNLRRFFSSNEKHLPAISDPEIESAFKDLLAASWNELPDSLVGEAKKAVSKATDDKAGQEVLKNVFSAAEACEEFSGTLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKISLIGTSGISGSYVELRA >PAN27573 pep chromosome:PHallii_v3.1:5:5487294:5490968:-1 gene:PAHAL_5G089200 transcript:PAN27573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSCLILVLAAVSSAAALAHAATVEHTFNVAALSWPRICQPGNISITAVDGVPGPVIEAYEGDTVVVHVINDSPYDVTIHWHGVFQRGTPWADGPSMVTQCPIRPGSRYTYRFSVAGQEGTLWWHAHSSFLRATVHGALIIRPRLAAGAYPFPQPDGEAVVLLGEWWDADIVLLERQAFLSGTPIPSADAYTINGKPNRTEKFSMRGNSTYLLRIINAALNTAFFFKVAGHTFTVVAADASYTSPYETDVIVIAPGQTVDALMAADATPGCYYMAISSYQSAIPLRPGSFNGDVTTAVVEYVGAAPAPDGQQAAPAQPEMPELTDTATANRFYTGMIALVLPGRPTVPLAVDTRMFVTVGLGFSSCQPEQTTCNRNAPVVVASMNNESFTRPTTTSLLDARYGNASDGVYTSDFPDRPPVAFDYTNATELVAGSVAAALSSTGRPTTKVRALRYNATVEVVLQNTALVGRESHPMHLHGFNFFVVAQGFGNYGGGAAASERLNLVNPQQRNTIAVPTGGWAVIRFVADNPGMWFMHCHIDSHLSIGLAMVFEVEDGPTPDTKLPPPPPDLPRC >PAN28582 pep chromosome:PHallii_v3.1:5:9868653:9872024:1 gene:PAHAL_5G163500 transcript:PAN28582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRARFLACIAVAAVALLAPPCGVAGHSRGLRPGRGAAAAPFPANATRAEMIERQFMEWVRYMGGLRHSTFQHALARAFPSYSLVVDKNPAFGDFTTIQAAIDSLPAINLVRVVIRVNAGTYTEKVTISPMRAFITLEGAGADSTIVQWGDTADSPTGSKGRPLGTFNSATFAVNAQYFLARNVTFKNTSPVPKPGATGKQAVALRVSADNAAFVGCKFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEDGVLRAVQVHGPRRELRRPGGVVARAHRRRGQAVHLAQLHRRHRVGQAVILVSL >PAN28581 pep chromosome:PHallii_v3.1:5:9868653:9872024:1 gene:PAHAL_5G163500 transcript:PAN28581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRARFLACIAVAAVALLAPPCGVAGHSRGLRPGRGAAAAPFPANATRAEMIERQFMEWVRYMGGLRHSTFQHALARAFPSYSLVVDKNPAFGDFTTIQAAIDSLPAINLVRVVIRVNAGTYTEKVTISPMRAFITLEGAGADSTIVQWGDTADSPTGSKGRPLGTFNSATFAVNAQYFLARNVTFKNTSPVPKPGATGKQAVALRVSADNAAFVGCKFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPNREMTVFYGQYKCTGPGASYAGRVAWSHELTDDEAKPFISLSFIDGTEWVRL >PAN28286 pep chromosome:PHallii_v3.1:5:8529867:8531846:-1 gene:PAHAL_5G142600 transcript:PAN28286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRWHDDDGDSGRGLAGGGDEGAQCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTEEMKNGSLSLGFPTDEPFAFPGLRSDIEALEKGLFGSLDSVLNEAERMTNDFFKSFGFPSTHDRQPSPFQRQPAERHIEEGTTKKAKEGDYSEFSSQISDV >PVH38938 pep chromosome:PHallii_v3.1:5:49051227:49052473:-1 gene:PAHAL_5G393300 transcript:PVH38938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPGGKGPRPPALRASGESSGGWQLSEPASRRVPIVTSRTLAGTHAKRRRFSRAPAPPSSIPSSNARTAVLLRSVGNSSCPLFFY >PVH37980 pep chromosome:PHallii_v3.1:5:8503141:8503725:1 gene:PAHAL_5G142200 transcript:PVH37980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLLEDDDQRDFMEKHPCIAKALAILLCLALVPFFCIWGSQADFPPSEYWVKAPGVEGLERSADAVASPTFNIILRVNNKAVWQVVCGRGDRVDVAYEGVPLAHGELPDVCVPPGTVGSVPVVATGEGLGPPDELYERMEGQRRRRERVQVRMREITGNRGAPLLLWCPAILHGRPKGPFLCAVFGQPDDP >PVH37984 pep chromosome:PHallii_v3.1:5:8534161:8534547:-1 gene:PAHAL_5G142700 transcript:PVH37984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPPVSRMAVSASGGVPMPRSTRYVAVLACTAAVAATATAVALRRRCGGRRDRGEEAAHPAARRTRSAAARRPRASTCVRSSRMPRPGLLGMAKNGNWNSRQRRSHRLEAIRHGTKGLVIPVVRMDS >PAN29479 pep chromosome:PHallii_v3.1:5:14097301:14098662:1 gene:PAHAL_5G228100 transcript:PAN29479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRPEKRTRTEPEAEAESKQLLPDDLVLEIVARCPTIAGVIRCAAASKPVRRGILHASFLRRLRGFLNRSGGEHGGQAPVSVRKLLLGLYHQSGDPRGQPAFVPVPDGASLPPSVAALPPASACHGAAGGSACEFGPYLPVASRRSLLVLRRRCRNRATDHLVGRHGLHPVELSVCNPSTGERRVLPPHDVLDMSHSLLDVDPIAPSSFKLLVAELSDGDPSTLYIFSSEEGDWGPPLACPITISRGDREDSCEFACAARRPRPVVLGDTVHWLCTTDSGDRILTWRWRGGAAQKASIVKLPRGNKFGRPEKCLAVLPSPAGDAAGSQAALLSLIVLDRCKIEVWAREKSGAGSARKWKLWHRVQEASIPRPTDFCNGWLSGAELSWFCEGSGTLFLQAGDETVSPLLLDLESMEVSKLEARKWELNPEPEFCPYEVDLLSYMLFVMKRF >PAN30336 pep chromosome:PHallii_v3.1:5:23797019:23802693:1 gene:PAHAL_5G302400 transcript:PAN30336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGAAAPPPAPRAGDLVITQVSLGGFDATVKARDLADFLETEVGQVWRCRVKTSWTPPDAYPDFLLPAVAPAAGQPPHYDRVPPHAFVHFARPEAARRAADAAGRSELILSRKPLRAASAPDSSLRASRRRSVTPFRFTDSRLEVGDLTAPDAFIAAWRGPDAGLEFSVDPFDGSCRFVFTRDTAFAYPEYPQAAVVMRCDVKLEFPIREVAEVRVFQLDSSLLLRLSSTPQVYYRTADDDIHESVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRVTFSRRFWPKMERALAYMRERRVEIVECGGGWGSRRGLTVRDEPEYAERMQDLFFCVQHAEGLKFPVLFLVNALVHKGVINQHQLTPEFFGLLQRREDEVNLAALREFWGDKCPVFDACRRLKNLQDRVARNPKLLRKKIGDENSEVRRVVITPTRAYCLPPEVERSNRVIRHYREVADRFLRVTFMDEGMQQLNSNVLHFSAAQIVKDLMSKSIPHKTTVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDRTRTVESIRTWMGRFTSKNVAKHAARMGQCFSSTYATVVMQPHEVDECLEEVEHNGYIFSDGIGKITPDLAMEVAKTLQLTDNPPSAYQIRYAGFKGVIAVWQGENDGIRLSLRPSMHKFESAHTVLEVVSWTKFQPGFLNRQIITLLSSLNVSDAIFSQMQEAMLSNLNNILSDTDVAFDVVTTSCAEQGNTAALMLSAGFSPGTEPHLKAMLLAIRSSQLLGLLEKTRIFVPKGRWLMGCLDELGILEQGQCFIRASSPSLNNCLVKHGPRFSSANKNAETIVGTVVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPRKGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYTPAEAKQLPRQVLQHDIVDFFLKNMVNEKLGPISNAHVVHADTSEYGAMDEKCIQLAELAATAVDFPKTGKIVSMPASLRPKLYPDFMQKDEAITYKSDKILGRLYRSIQEASNGNLVPEETCTLNDLPYDTDMEVPGATDFLSSAWQCKCSYEAQLNALLNQYGVRTEAELVTEHIWSLPKYSSRKQGDIKERLKNAYSALRKELRSTFQSIETDQTEISDDEKNRVYEMKASAWYQVTYHPIWVQKSREMLGPDFEEMPARLSFAWIAVDYLARIKIRCRGGVKANSQRPVERLAAYICETM >PAN32248 pep chromosome:PHallii_v3.1:5:55127922:55131387:-1 gene:PAHAL_5G473300 transcript:PAN32248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTPLRPAAAGGGASSYSSSLHHRRRGWPGAGAGLRRPRVRRCKMKLMYFLMDRDEQREKRLELEFEVSELETVLEKEQRLGRVLQCSLQGRVVCHCCLSTLVPTNVRGLLGELAMVEDEIFCLEKKVEDLRLRLRREQKWTDKCIQQQQSWPQNLQPRHSVSRRELQGAQQLPKLPCPGSDEALECESKASVGSASAKGDEAEHARRSSHCRSSETTPAPPERKVCLNSPNKLSEELIRLMVAIFHKLNKAADVGELELSGTSKLNISCIGPRSLVPRVAVHGAAAMSPLKNRRASAKAAGHGAEKEAAAGCQRRFVEFTRASVDVSRISLCLVDIKNLRGLMQKLGTADPSLLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPEKLLALLNQASVNVGGTVLNVVSIEHLILRHSPAGKQLDDDDKGIVDEGQRDLLRTYGLGYPEPNVVFALCRGSRSSPALRVYTAEDVSNELERAKVEYLESTVRVAGRRQRAAVVVPKLLHWHMRDFADDAASLLEWVHSQLPRASGPLRRAIREVLGASSGSGSGRGAATPAPAAKMVEVEPYDAEFCYLLPVW >PAN32247 pep chromosome:PHallii_v3.1:5:55127600:55131713:-1 gene:PAHAL_5G473300 transcript:PAN32247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTPLRPAAAGGGASSYSSSLHHRRRGWPGAGAGLRRPRVRRCKMKLMYFLMDRDEQREKRLELEFEVSELETVLEKEQRLGRVLQCSLQGRVVCHCCLSTLVPTNVRGLLGELAMVEDEIFCLEKKVEDLRLRLRREQKWTDKCIQQQQSWPQNLQPRHSVSRRELQGAQQLPKLPCPGSDEALECESKASVGSASAKGDEAEHARRSSHCRSSETTPAPPERKVCLNSPNKLSEELIRLMVAIFHKLNKAADVGELELSGTSKLNISCIGPRSLVPRVAVHGAAAMSPLKNRRASAKAAGHGAEKEAAAGCQRRFVEFTRASVDVSRISLCLVDIKNLRGLMQKLGTADPSLLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPEKLLALLNQASVNVGGTVLNVVSIEHLILRHSPAGKQGIVDEGQRDLLRTYGLGYPEPNVVFALCRGSRSSPALRVYTAEDVSNELERAKVEYLESTVRVAGRRQRAAVVVPKLLHWHMRDFADDAASLLEWVHSQLPRASGPLRRAIREVLGASSGSGSGRGAATPAPAAKMVEVEPYDAEFCYLLPVW >PAN28265 pep chromosome:PHallii_v3.1:5:8459671:8466047:-1 gene:PAHAL_5G140900 transcript:PAN28265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSAPPTKERGRPRRRTTSPPWPPSSTSPRSRPRPLSRFRPLQRLRSLLPYKTQLQIYAQKRGKNLPSYRPIYGGSLHAPLFKSEVTIDGQTFESPEYFRTMKEAETAAAKVALMSLPQEASPPQQSLVPSVSYKNLLQELAQKEGFPLPVYATTSDVLNHSAAFISTVEIQGTAFQGEPGNTKKQAEMNAAKVAFQHFKDRDRGSAVHGGSSMQQGNENLFSGQNIKILSSMQEGTDNLFSGQKIKILEPKSLVPIVSTAKHGKDNDFDAEPSTEVDKLPLLESSRGMKVMDSSSKVDKLSLPEQSMDVKVTNSSLKVDKLPLPEPSTEVEVMNSSLQDAEPPIAEPSTEVEVIDSSLKVSEPPIPKACSEVEAMDTSLEHTSTVNGHSPLIAPTSTSTLTVPTTTMPVSSDRCGCYMLTNRIQVYPRNTNMAIPEGATMLPFSNDAWMAVSLPYCNNNEHEGSRTAT >PAN28267 pep chromosome:PHallii_v3.1:5:8459671:8466047:-1 gene:PAHAL_5G140900 transcript:PAN28267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSRLQELCQQRRWAPPVYEHTREGPDHVPLFRATVLVHDEKFSSPDEGARSTKEAYNLAAMAAFEHLAALPAAALVPVPAAPAPPQPATQLPYKTQLQIYAQKRGKNLPSYRPIYGGSLHAPLFKSEVTIDGQTFESPEYFRTMKEAETAAAKVALMSLPQEASPPQQSLVPSVSYKNLLQELAQKEGFPLPVYATTSDVLNHSAAFISTVEIQGTAFQGEPGNTKKQAEMNAAKVAFQHFKDRDRGSAVHGGSSMQQGNENLFSGQNIKILSSMQEGTDNLFSGQKIKILEPKSLVPIVSTAKHGKDNDFDAEPSTEVDKLPLLESSRGMKVMDSSSKVDKLSLPEQSMDVKVTNSSLKVDKLPLPEPSTEVEVMNSSLQDAEPPIAEPSTEVEVIDSSLKVSEPPIPKACSEVEAMDTSLEHTSTVNGHSPLIAPTSTSTLTVPTTTMPVSSDRCGCYMLTNRIQVYPRNTNMAIPEGATMLPFSNDAWMAVSLPYCNNNEHEGSRTAT >PAN28266 pep chromosome:PHallii_v3.1:5:8460255:8465550:-1 gene:PAHAL_5G140900 transcript:PAN28266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSAPPTKERGRPRRRTTSPPWPPSSTSPRSRPRPLSRFRPLQRLRSLLPYKTQLQIYAQKRGKNLPSYRPIYGGSLHAPLFKSEVTIDGQTFESPEYFRTMKEAETAAAKVALMSLPQEASPPQQSLVPSVSYKNLLQELAQKEGFPLPVYATTSDVLNHSAAFISTVEIQGTAFQGEPGNTKKQAEMNAAKVAFQHFKDRDRGSAVHGGSSMQQGNENLFSGQNIKILSSMQEGTDNLFSGQKIKILEPKSLVPIVSTAKHGKDNDFDAVNHDARSAGSANPLPVAATTQFLDENAQSAKVEVDKLFLPEPSTEVDKLPLLESSRGMKVMDSSSKVDKLSLPEQSMDVKVTNSSLKVDKLPLPEPSTEVEVMNSSLQDAEPPIAEPSTEVEVIDSSLKVSEPPIPKACSEVEAMDTSLEHTSTVNGHSPLIAPTSTSTLTVPTTTMPVSSDRCGCYMLTNRIQVYPRNTNMAIPEGATMLPFSNDAWMAVSLPYCNNNEHEGSRTAT >PAN28268 pep chromosome:PHallii_v3.1:5:8460255:8465668:-1 gene:PAHAL_5G140900 transcript:PAN28268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSRLQELCQQRRWAPPVYEHTREGPDHVPLFRATVLVHDEKFSSPDEGARSTKEAYNLAAMAAFEHLAALPAAALVPVPAAPAPPQPATQLPYKTQLQIYAQKRGKNLPSYRPIYGGSLHAPLFKSEVTIDGQTFESPEYFRTMKEAETAAAKVALMSLPQEASPPQQSLVPSVSYKNLLQELAQKEGFPLPVYATTSDVLNHSAAFISTVEIQGTAFQGEPGNTKKQAEMNAAKVAFQHFKDRDRGSAVHGGSSMQQGNENLFSGQNIKILSSMQEGTDNLFSGQKIKILEPKSLVPIVSTAKHGKDNDFDAVNHDARSAGSANPLPVAATTQFLDENAQSAKVEVDKLFLPEPSTEVDKLPLLESSRGMKVMDSSSKVDKLSLPEQSMDVKVTNSSLKVDKLPLPEPSTEVEVMNSSLQDAEPPIAEPSTEVEVIDSSLKVSEPPIPKACSEVEAMDTSLEHTSTVNGHSPLIAPTSTSTLTVPTTTMPVSSDRCGCYMLTNRIQVYPRNTNMAIPEGATMLPFSNDAWMAVSLPYCNNNEHEGSRTAT >PVH39004 pep chromosome:PHallii_v3.1:5:51294271:51298506:-1 gene:PAHAL_5G414700 transcript:PVH39004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPNAKMVQDWGHFRALGMKTIEAEIAINPWSPSIGAKGELQHAWFKVSGIPPDQRSLRTIAKVGGLVGKTLEIDEKTRYGDDYVRMRIACRDVTRVPVVAESSLGLFIYDFFFERDMVAPAQLPVHPVEASKMDNCETWRLRGNQAYTKERLTEAEECYIHGIDSFSSNEDSRKALMLCYSNRAATRMSLGKMREALSDCREAIGIDSSFLKAQVRAANCLLALGDVEEAQKAFEMCLKSNHLSSLDRKIVEEASDGLQKTQKVSGFILQSKEYLIKKAFDKIPSALQMISDALSISIYSDNLMAMKAEALLLLQQYEEVIEFCEETLYLAERNSVCLCLDENTESNNLDNNTCSVKLWRRYLIAKSYFFLGKLEEAHQFLKKYDQVKVMECRCGKQSQKSISSFSMTISELLRLKAAGNEAFQSGKYLEAVEYYTTALMSNGESLRLLAVCFCNRAAAYQVMGQILDAIADCSLAIALDADYAKVGVLSSCCTCIK >PAN30610 pep chromosome:PHallii_v3.1:5:24796064:24806562:1 gene:PAHAL_5G305500 transcript:PAN30610 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MATAPLASRSLLAPAQQSHPRLPASLRLDLSYHKQPSANPKRRRHHAPLHPAFSAVARGRAKKILIPDTDEPAAGVRVTDRGLSYHLDGAPFEFQYSYTEAPRARPVALREAPFLPFGPEATPRPWTGRKPLPKSRKELPEFDSFVLPPPGKKGVKPVQSPGPFLAGMEPRYQAASGEEVLGEPLTKEEVAELVKGSLKTKRQLNMGRDGLTHNMLENIHSHWKRKRVCKIKCKGVCTVDMDNVCRQLEEKVGGKVIHRQGGVIFLFRGRNYNYRTRPCFPLMLWKPVAPVYPRLVKKVPDGLTPDEATEMRTRGRQLPPICRLGKNGVYINLVKQVREAFEACDLVRIDCSDLNKSDCRKIGAKLKDLVPCILLSFEFEHILMWRGSDWKSALPPLEENNFEVTKAQECFIIKELNEKDRRSRTVLTQIELAGIATSQKNCNLGEGEEKLKDTMKPNHGSDMVLSSAMEVPGLFHSTGIPVTEPSAEAPTECSPLNPVCDFMDPSLKSTLNCQSVPSDKSENRGLVEKSLDHSARSEHCQDLEPHAGVTSISHDLETQRNCRSKVPSYMVGVLSLLEQAIDSGRALVLSEDELVNSDLVYQKCVAFTKSIPRGQVSKYTQSKSSARRNGPDKHIRTKKHLVENKLSSSHVENKDNANGGLAVQKNGHEQEFLSDVVPQGTLRVDELAKLLA >PAN31315 pep chromosome:PHallii_v3.1:5:50926397:50931420:1 gene:PAHAL_5G411500 transcript:PAN31315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEPAWGEAPAGRRRPKTKIVCTLGPASRSVEMISRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMEQTGILCAVMLDTKGPEIRTGFLKDGKPVQLKKGQEITISTDYSIKGDEKMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTSMLGERKNVNLPGVIVDLPTLTDKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDYGAVFKSIMASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPIISVVVPELKTDSFDWTCSDEGPARQSLIVRGVIPMLSAATAKAFDSEATEEALGFAIENAKAMGICNTGESVVALHRIGTASVIKLLTVN >PAN31314 pep chromosome:PHallii_v3.1:5:50926372:50931420:1 gene:PAHAL_5G411500 transcript:PAN31314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEPAWGEAPAGRRRPKTKIVCTLGPASRSVEMISRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMEQTGILCAVMLDTKGPEIRTGFLKDGKPVQLKKGQEITISTDYSIKGDEKMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTSMLGERKNVNLPGVIVDLPTLTDKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDYGAVFKSIMASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPIISVVVPELKTDSFDWTCSDEGPARQSLIVRGVIPMLSAATAKAFDSEATEEALGFAIENAKAMGICNTGESVVALHRIGTASVIKLLTVN >PVH37540 pep chromosome:PHallii_v3.1:5:1633784:1635408:1 gene:PAHAL_5G025600 transcript:PVH37540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVARRSTRHGAAARTAPVPNDVGDLTLTDVAKNATDGKTPTAEAGLGRGSLGLPAQLYAKLSLTKRQFAICLPSTAAEPGVAFFGSGPYGLMPPTQFDAGAALSYTDLVRDPRRPTAYGIRLRGIAVNQEAVPLPAGALGRGGGVTLDTALPYTVNLRRDVYRPLVDAFRRATALVLRAPSVPPFELCFDSGALGFTRVGYAVAPVAPDLACLAFVDGGWAAPSAVAVGGFQMENNFLLFDEAASRLGFSGTLLFIRTTCGNFNFSRT >PAN32677 pep chromosome:PHallii_v3.1:5:56945296:56949591:1 gene:PAHAL_5G504200 transcript:PAN32677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSISRTELNCISLADPDIQKSVALLKQACLDSGFFYVVDHGISQEFMDEVFAQSKKFFDLPHSEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADNPEANKPFYGPNQWPTEEVLPKWREVMEQYHREALRVAKSVARIIALALDLDVDFFDRPEMLAKPIATLRLLHYEGRVSNPAKGVYGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPMKGEFIVNLGDMLERWSNSIFRSTLHRVVLDGRERYSIAYFVEPSHDCVVECLPTCKSETNPPKFPPITCSAYLSQRYKDTHADLSSYSDGKA >PAN32678 pep chromosome:PHallii_v3.1:5:56945296:56949591:1 gene:PAHAL_5G504200 transcript:PAN32678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSISRTELNCISLADPDIQKSVALLKQACLDSGFFYVVDHGISQEFMDEVFAQSKKFFDLPHSEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADNPEANKPFYGPNQWPTEEVLPKWREVMEQYHREALRVAKSVARIIALALDLDVDFFDRPEMLAKPIATLRLLHYEGGQNTGRVSNPAKGVYGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPMKGEFIVNLGDMLERWSNSIFRSTLHRVVLDGRERYSIAYFVEPSHDCVVECLPTCKSETNPPKFPPITCSAYLSQRYKDTHADLSSYSDGKA >PVH38255 pep chromosome:PHallii_v3.1:5:12958509:12959222:-1 gene:PAHAL_5G212300 transcript:PVH38255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPHLNAELQHYQSRPLLSNRADGNYNMSPMQKAIGQTYQSTGHLAKLLPSGTVLSFQLLAPSLAKQGHCGDMNRIMTGGLVVFCALSCFVLSFTDSFRDVEGKVRYGFATFKGLWVIDGGAPLEPLAAAEYRMRFLDFVHAIVSAMIFVAIALFDQNVVSCFNPVPSEDTKQLLTALPVVIGVIGSMLFVSFPTTRHSIGSTLSSSAQ >PVH37534 pep chromosome:PHallii_v3.1:5:1598100:1600642:-1 gene:PAHAL_5G024900 transcript:PVH37534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGDGDMTTPPPPRRSRGTPPLPGRRPAAAAAARPDTAFIDIDDDMDDCDALFGPGFGNDDTAGGSQPQSSPAMPTDSASPSTANTTSVSKRGRKTTSDVWNDFEQLYKVTREIIGCMPMSYTGSASERRSVCASLAQGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYTAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PVH37532 pep chromosome:PHallii_v3.1:5:1598092:1600642:-1 gene:PAHAL_5G024900 transcript:PVH37532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGDGDMTTPPPPRRSRGTPPLPGRRPAAAAAARPDTAFIDIDDDMDDCDALFGPGFGNDDTAGGSQPQSSPAMPTDSASPSTANTTSVSKRGRKTTSDVWNDFEQLYKVTREIIGCMPMSYTGSASERRSVCASLAQGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYTAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PVH37533 pep chromosome:PHallii_v3.1:5:1598976:1600522:-1 gene:PAHAL_5G024900 transcript:PVH37533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGDGDMTTPPPPRRSRGTPPLPGRRPAAAAAARPDTAFIDIDDDMDDCDALFGPGFGNDDTAGGSQPQSSPAMPTDSASPSTANTTSVSKREIIGCMPMSYTGSASERRSVCASLAQGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYTAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PVH37535 pep chromosome:PHallii_v3.1:5:1598100:1600642:-1 gene:PAHAL_5G024900 transcript:PVH37535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGDGDMTTPPPPRRSRGTPPLPGRRPAAAAAARPDTAFIDIDDDMDDCDALFGPGFGNDDTAGGSQPQSSPAMPTDSASPSTANTTSVSKREIIGCMPMSYTGSASERRSVCASLAQGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYTAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PAN27889 pep chromosome:PHallii_v3.1:5:6917682:6920812:1 gene:PAHAL_5G114400 transcript:PAN27889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFSPSQLLGQQRPPFRLAASPHLHSLIALLLGHQDRRRQLLQIHAQLVAHQPFGQSPAPWRVLLKAYSHGPFPQEALHLFRHARRFLADDTFAFAFALKACAGLGWRRAGAQLHGLVIRKGFEFHAYVHTSLVNAYVVCRCLEEARRAFDEMPVKNVVTWNVMITGLAAWGQVENARILFEHMPCKNVVSWTGLIDGYTRACLYGEAVALFCHMMARGVSPSEITVLAVIPAMSNLGGILMGEMLHGYCEKNGLVSDVRVRNSLIDMYAKIGSVQNSLKVFNEMLGRRNLVSWTSIISGFAMNGLSIEAVELFAEMRREGIRPNRITFLSVLNACNHGGLVEKGLAFFESMVYEYNINPEVKHFGCIIDMLGRAGRLSEAEQIIEGLPVEVNVVVWRTLLGCCSKYGEVEMGQRAIKKILNLERESGGDFAVLSNMLNELGWFSDAEQARKLVDGRKTVKVPGLALVGEIR >PVH38838 pep chromosome:PHallii_v3.1:5:43385720:43387144:1 gene:PAHAL_5G366600 transcript:PVH38838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLDFALGINMAGVASRRQSKDGEADPSNRPQKEKKEYVTERQIRYQRLHRESEEEEYERRTGKRLRKHEDARDHWHCPFFRYCWDSGMSRLPTIKDCPECGPRRPEARDSVFQRMGPAPIRQARVRSPRKEDEEEDRYHRPRWVQRLPSLEEAEARYIETLRKAQPDLAEQVHYVQEKESRPPRKEWRPKSTKADKEVSADTHMVFVLPAEFHAQTQGEPSVSQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPINKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTILTSFFVVNSKSTYNVLLGRDWIHTNCCIPSMMHQCLIQWDGDEVEVVQADDSIEISHADMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PVH37798 pep chromosome:PHallii_v3.1:5:5684844:5689645:-1 gene:PAHAL_5G092200 transcript:PVH37798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRLHSFEVLKACIEDILSTIKPEEDDRKKRLCAIQELADSIYSVGALRGAVVKPFGSFISNLYAKSGDLDVSVDLSSSSRIRTSKQKKQNALRELMRALQIRGVARYVEFIPNARVPIIQYMSNQFDISCDISINNYPGRIKSRIFYWINTIDERFRDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVIFYFQRCEPAILPPLKEIYDGDVAKETVFYDEKYVDEVCAANIARFLRQNMVQRNQTSLPRLFTSFFHEFLGIRGLSSKVISTYTGQFERIQDNPSWMAKSYSLFVEDPFERPDNAARAVDAEEMERIEQAFNHMSSRFIGGALDDWDELVSLLCTPAVGSILGGVRANHRTNTLPSHQLYSPASANLYDNQHHQQARGSMRSRSSSQSQGYARGHQTARSDQYHKQSQAYNTEGMTAGQFQNVHRPEAYITGLQTAVPLQYNDYVRSHASGSRTVGRYQNQQQRREYSPYQHAGTTRHEPAGGRWLGEAPARDSGYQASSSNTAWQW >PVH37799 pep chromosome:PHallii_v3.1:5:5685089:5689447:-1 gene:PAHAL_5G092200 transcript:PVH37799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQCVMLGGFGDTGRLRLTTPDTPPPPARLCVVVFSMIYRLHSFEVLKACIEDILSTIKPEEDDRKKRLCAIQELADSIYSVGALRGAVVKPFGSFISNLYAKSGDLDVSVDLSSSSRIRTSKQKKQNALRELMRALQIRGVARYVEFIPNARVPIIQYMSNQFDISCDISINNYPGRIKSRIFYWINTIDERFRDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVIFYFQRCEPAILPPLKEIYDGDVAKETVFYDEKYVDEVCAANIARFLRQNMVQRNQTSLPRLFTSFFHEFLGIRGLSSKVISTYTGQFERIQDNPSWMAKSYSLFVEDPFERPDNAARAVDAEEMERIEQAFNHMSSRFIGGALDDWDELVSLLCTPAVGSILGGVRANHRTNTLPSHQLYSPASANLYDNQHHQQARGSMRSRSSSQSQGYARGHQTARSDQYHKQSQAYNTEGMTAGQFQNVHRPEAYITGLQTAVPLQYNDYVRSHASGSRTVGRYQNQQQRREYSPYQHAGTTRHEPAGGRWLGEAPARDSGYQASSSNTAWQW >PAN28577 pep chromosome:PHallii_v3.1:5:9846163:9849514:1 gene:PAHAL_5G163100 transcript:PAN28577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREWREMVENRMSHLPAFPLTILPEITTCCVSKHECYYLQYWTEITSETAANHPYFKPCEMVQVFSLRLSNPIDHPVNIYGTFSVRDGWEPLRNYLFKRSRDDPATIPEGCSFLPLRSPCRGIYVLQYCLIDVDLRIKEEGDGSADKLLFCGYVELNTFLEGFGSKLIGRFQGDCHGLDMHYAYLPKGMDTAIEVLAEAGHVSYLKFSASTSSFDDEISLYDGTFCGSGAVFKHCMAVNKKDELHIVLKMDGSLYKWTFKAGVGVVVAPEHPVPLSTLS >PAN28896 pep chromosome:PHallii_v3.1:5:11133463:11134074:1 gene:PAHAL_5G184700 transcript:PAN28896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDDGDFFFARPPVAVRPRDPWEKAMFEAQMTLALATLLSVVVLIMVSASATHAREATEFSLEVSDFAGLDLNATLGGTASSSFALKARVENRCILQPWCYHGGEVVVSYSGVALTWSRVPRFCVRRVAPAAEFRVLSWGREIGSPEDLRRRLASDCRMGTARIVVEMKVFNDDKGMSSSGRFNGPSLRSFQLMLRSRTST >PVH38586 pep chromosome:PHallii_v3.1:5:22096895:22101433:-1 gene:PAHAL_5G295300 transcript:PVH38586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGCATAGLHRCGHLSREQVARAWAVQLLNVQLLVASTSIFNQELKIGQLLRCAF >PAN33112 pep chromosome:PHallii_v3.1:5:58860771:58868183:1 gene:PAHAL_5G532600 transcript:PAN33112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKAKVAKKGGEDPGLPPAPREGENSHEPQRQRSLNGRTTGPTRRSTKGNWTPEEDAILSRAVQTYQGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKYGPKKWSTIAQALPGRIGKQCRERWHNHLNPAINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYISSGLLSQAPCLPVIECPAQCNSLSAMNLQNNGDSGCNAHNTNVALNCELQVNVDANKGEAHDSHSSMCQGACYTSIEAVGSALPDGHHHLSSSFSQRTDLQMDVNEGSGNSVFADDQTLCSTSNQEKSMVPYGVVQEMPVSVLSSVSEAEQTLHLISDADSLKSELWKDVSLQILISGDTIDGDSSSGLNQLPDTSETDTNFLAQPYPLHTSNPSSVMETVYEQSTLETISPSSICLHSLPDAPENRSEPIEMPDSEAEMITCSNNSFCDAEQSAKPGSSDDRPGAFTMTESITNCRSQQSTDAEEPVASTAKERLPKDMDTVPDEKKGEGALFYEPPRFPGLDVPFISCDLVTSADMQEFSPLGIRQWMRSTMNVPTPLRLWGSPTHDESPGVLKSAAESFPCAPSIMKKRQRGLLSPTPDKRIEKKSGIAKEMTDISYMIAATCSMNATKDEATAPESAACAEQYSSFKHLDEKENFNGAPEQARDPQNGGNEQGGQQCSSLNVANPNTDLPDNLQPVGILVEHNCNDITAADHGAKPESLSFCKEAVSSKPKPAELVVEKSSPCINADYEYVNLLADTPGVKRGLESPSAWKSPWYIDMHMHFQGFVSPADRTYDALGLVKQISKQSAAAAVEACEVLASGSRISDKENKENRDDKEPGTRKSQTKIMAEARVLDFDEYSTPAKAADKRLGSCLGRSVSSPILSSPNLRSFR >PVH39525 pep chromosome:PHallii_v3.1:5:58533052:58538489:1 gene:PAHAL_5G528300 transcript:PVH39525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKTSAAPRTSIGFTSARSQINVDAEGPAWCPAAKQNGVWPICVPSFPPAPHFFPNTMAAAAAVLRAAVRRCRPAAGALLHHRPIPSARSPPSSPPLGRGRPPLPRLPFSAGFGYSTASEELVGPKAKAKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTLVAMNKSESLDEHGVLTAAAGEAYKLGFGDPVAISAETGLGMAELYEVLRPLFEEYMSQLPNNGLNQGDPTTELETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKIAKSKSSMNHPEVVIARQAIEEGRGLVVVVNKMDLLRDNQRLFEKVMDAVPREIQTVIPQVTGIPVVFVSALEGRGRIAVMRQVIDTYENWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFNIGGIPIRIVQRSIPRKASAKSNTRKVGPRVARMKTDKRVTVSDPSLS >PVH38358 pep chromosome:PHallii_v3.1:5:15247495:15251829:-1 gene:PAHAL_5G242500 transcript:PVH38358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRFHLSPVPSSRRTLLAGSDSRPIWPRLARPGPNRFKRQEQHRTGRTAPPPRSHTPSAMSQRPRPEAGSTAEGDEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVGRDLKLLLGAGLAEVLNAVFPVLACRKPANTVLVPTKQTKKKPGANHSHHERLLGVARLLSQMAEPVMKAATQITFLLARSFFIDLCTAVLSLLARIRVLVQQMLLDVVSLYNKVTDLTDRKQAVKISIGGVQAFREYYPSTNDACTILDCVWVKDKFVLHEKMKGSCQETQVEDQKSFGPESSIQYETLALISEGLQLWCSLRSKD >PAN26501 pep chromosome:PHallii_v3.1:5:1135544:1136737:-1 gene:PAHAL_5G016700 transcript:PAN26501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGLSLPPCLHLPAAVRLVYWGGRARLVAADASLTAGDVAAELPAPATDHAVCPADAFFVGLPIPVISPGEKLLPGRTYFVLPAARFPSVKVLTAATLAALSAAPAPASRGRSKAAALPLLAGQCPFEYVKGDGGAPLIRVLPEFIEKVITCDGGAPAVAAAPKTAAELCSTPELKRHYAQLVGPRSRPWAPRLETIAEGDRSRWLRATARMLSSR >PAN27393 pep chromosome:PHallii_v3.1:5:4810496:4814108:-1 gene:PAHAL_5G077600 transcript:PAN27393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVTLASIYGLTGHHKGKTLSSSHELNDSPSIIRESHWASLPPELIRDIIRRLEADESTWPARKHVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSLKQPGPREGSTMIQCFIKRNKSKSTYHLYLCLSNVVTSESGKFLLSARRHRKTTCTEYTISMDSGSTSRSSRTYIGKIRSNFLGTKFLIYDTQPPYNGAVVSPVGRTSRRFNSTKVSPKLPSVSYNIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTASFSQSFRSTTSLSKSIMDSSMDFNSARFSDIAGSSARFSGIGSSARISGIASGRLDHDEDSEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATTPPPAGAPTPSQPAPSDPDKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >PAN26776 pep chromosome:PHallii_v3.1:5:2390150:2390509:-1 gene:PAHAL_5G038100 transcript:PAN26776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTGGGDEHYSRLIRELCALLLTVVPPSPAPAPGRMSPAAAASMLLGASVALMLCGSVTFAIGLLLMPWVAGLALLFGFAGAVSNLSSGFFGKPAALPCKDAARGRICSPIDSDLLVA >PVH37698 pep chromosome:PHallii_v3.1:5:3911074:3913542:1 gene:PAHAL_5G062300 transcript:PVH37698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGSSKRSRPVRRAVKKLKFKPKVPPQKPKKLTTETPQQEEPKPIDEQLMKILRTRQAPVNIVPKTKDERSTQKPPSTPPSADLVSLLPAQSGVHKQNQSPLQIPRSFPVAINSGKFDSEESGDDDDDDDDNDNDNVELQETQPSSIECEASTCPAEELHLLQEEQDSKERIFLFQLPKSLPLPRRSSNIVETKGKATGKEVKEGSNLQQLPQGYLGKMLVYKSGKVKMKLGDVLFDVNPGAESRMPQHVVALNTREKHCCLLGEIENRHVIVTPDVDSLLNDK >PVH37697 pep chromosome:PHallii_v3.1:5:3910622:3913632:1 gene:PAHAL_5G062300 transcript:PVH37697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGSSKRSRPVRRAVKKLKFKPKVPPQKPKKLTTETPQQEEPKPIDEQLMKILRTRQAPVNIVPKTKDERSTQKPPSTPPSADLVSLLPAQSGVHKQNQSPLQIPRSFPVAINSGKFDSEESGDDDDDDDDNDNDNVELQETQPSSIECEASTCPAEELHLLEEQDSKERIFLFQLPKSLPLPRRSSNIVETKGKATGKEVKEGSNLQQLPQGYLGKMLVYKSGKVKMKLGDVLFDVNPGAESRMPQHVVALNTREKHCCLLGEIENRHVIVTPDVDSLLNDK >PVH37696 pep chromosome:PHallii_v3.1:5:3910622:3913632:1 gene:PAHAL_5G062300 transcript:PVH37696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGSSKRSRPVRRAVKKLKFKPKVPPQKPKKLTTETPQQEEPKPIDEQLMKILRTRQAPVNIVPKTKDERSTQKPPSTPPSADLVSLLPAQSGVHKQNQSPLQIPRSFPVAINSGKFDSEESGDDDDDDDDNDNDNVELQETQPSSIECEASTCPAEELHLLQEEQDSKERIFLFQLPKSLPLPRRSSNIVETKGKATGKEVKEGSNLQQLPQGYLGKMLVYKSGKVKMKLGDVLFDVNPGAESRMPQHVVALNTREKHCCLLGEIENRHVIVTPDVDSLLNDK >PAN27158 pep chromosome:PHallii_v3.1:5:3910622:3913632:1 gene:PAHAL_5G062300 transcript:PAN27158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGSSKRSRPVRRAVKKLKFKPKVPPQKPKKLTTETPQQEEPKPIDEQLMKILRTRQAPVNIVPKTKDERSTQKPPSTPPSADLVSLLPAQSGVHKQNQSKPLQIPRSFPVAINSGKFDSEESGDDDDDDDDNDNDNVELQETQPSSIECEASTCPAEELHLLEEQDSKERIFLFQLPKSLPLPRRSSNIVETKGKATGKEVKEGSNLQQLPQGYLGKMLVYKSGKVKMKLGDVLFDVNPGAESRMPQHVVALNTREKHCCLLGEIENRHVIVTPDVDSLLNDK >PAN27157 pep chromosome:PHallii_v3.1:5:3911074:3913542:1 gene:PAHAL_5G062300 transcript:PAN27157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGSSKRSRPVRRAVKKLKFKPKVPPQKPKKLTTETPQQEEPKPIDEQLMKILRTRQAPVNIVPKTKDERSTQKPPSTPPSADLVSLLPAQSGVHKQNQSKPLQIPRSFPVAINSGKFDSEESGDDDDDDDDNDNDNVELQETQPSSIECEASTCPAEELHLLQEEQDSKERIFLFQLPKSLPLPRRSSNIVETKGKATGKEVKEGSNLQQLPQGYLGKMLVYKSGKVKMKLGDVLFDVNPGAESRMPQHVVALNTREKHCCLLGEIENRHVIVTPDVDSLLNDK >PAN27161 pep chromosome:PHallii_v3.1:5:3910622:3913632:1 gene:PAHAL_5G062300 transcript:PAN27161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGSSKRSRPVRRAVKKLKFKPKVPPQKPKKLTTETPQQEEPKPIDEQLMKILRTRQAPVNIVPKTKDERSTQKPPSTPPSADLVSLLPAQSGVHKQNQSKPLQIPRSFPVAINSGKFDSEESGDDDDDDDDNDNDNVELQETQPSSIECEASTCPAEELHLLQEEQDSKERIFLFQLPKSLPLPRRSSNIVETKGKATGKEVKEGSNLQQLPQGYLGKMLVYKSGKVKMKLGDVLFDVNPGAESRMPQHVVALNTREKHCCLLGEIENRHVIVTPDVDSLLNDK >PVH38627 pep chromosome:PHallii_v3.1:5:25351474:25352584:1 gene:PAHAL_5G307800 transcript:PVH38627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLKPSSLVSDPDCSRLHHLAAVGTTRCSSRRRSPDPARGEQHGHPWKSRSIGPWSYGCGGSRSRQRHGRSWFGDVANGLLVADTSNRDEI >PVH38628 pep chromosome:PHallii_v3.1:5:25351475:25352583:1 gene:PAHAL_5G307800 transcript:PVH38628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLKPSSLVSDPVSDYSDLDCSRLHHLAAVGTTRCSSRRRSPDPARGEQHGHPWKSRSIGPWSYGCGGSRSRQRHGRSWFGDVANGLLVADTSNRDEI >PAN26539 pep chromosome:PHallii_v3.1:5:1306772:1308202:1 gene:PAHAL_5G019900 transcript:PAN26539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVKLIGAFGSPAVHRAEAALRLKGVPYELILEDLENKSELLLTRNPIHKKVPVLLHGDRTVCESLVILEYVDEAYDGPPLLPADPYDRAMARFWAHFMDHKCAKPFMLSVWSEGETQEKSAKESKENLALLEEQLKGKRFFGGDSIGYLDIAACGVAHWLSVLEESAGVSLMSEDEFPALRRWAEEYASDEAIKRCLPDRDRLLAHYVANSDKYRLMVKAI >PAN30300 pep chromosome:PHallii_v3.1:5:37459794:37463054:-1 gene:PAHAL_5G342200 transcript:PAN30300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVVGALSGMVDALPGKLGELLEQEYALLSGVRGDVVFLQAELGSMRAAIHHCVSLDHPDTQTRAWIGRVRELAHDIEDWVDLFAIRVDAGAGASSSGFFGFFRRGMDKLRTLPARHDIANELQDLKKRIVELSEQRNRYSCVLPVPEAARPMDPRLTALFIDPGSLVGLEGPVEELSKIVMDTDGRTDLKIVSIVGMAGAGKTTLANAFYRRLEVQNGFHCRAFVSVGQKPDLIRILTDIFSQLGDRHRGGQDINQVIDSIRNLLKDKRYLIVVDDLWSKEHWGTIRCCFPENNHGSRIITTTRNDTLPMDSYPVSRKIVHKIDCLSDADAKKLFLKKAFANEHPCPQHLEAVFAQIMRRCSGLPLAVVSIASKLAHKQLRDEWEKIGLNSLCSPHSDGSDGLKQILNLSYHDLSPHLRTCLLYLSIFPENFEVDMERLVRRWIAEGFIAEARSVSTEETARNYLNELISRNLVEPLDLNHDGVPRSCRVHPLIHNFIVCKSIEENFATLMDAQQQHVPDSSTVRRLSLRNSSKQDQPAVRNESTDLSHARSITIFGHANAAPQLTYLKVLRVLDLEGCHGPVCLDGLCKLVLLRYLSLRGSDTSELPATIGDLRCLETLDVSSTKVKELPPSIVRLEKLMYLLAGSAKVPDGIANMKALQTLSCGGSTKSSVNFIEEISKHDNLRELELYSDATETPGNKKRVRFPAHGLRNVKKLCIRCPSPLVIFEPNALPTVQVLELRFQKGLADGSSGMSGMENLSNLKHLLLEFEQNDAGAMATVNAVRNAPQGVLPDHQSITIKVDRRSY >PVH38705 pep chromosome:PHallii_v3.1:5:33614890:33616326:-1 gene:PAHAL_5G331200 transcript:PVH38705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGTQPFPSVNVVESYDQSAKRQLDFMLGINMAGVTSRHQVKNKEANPSDRPQKEGKGYVTEEQVRYVRNQRPTSSDLLRKYEYQYQQRLQRESEEEEYERRTGKHLKKHEDVRDHWYCPFFRYCWDSGMARLPTTRDCPECRPVKLDAEGISVFRRLRPVPTRQEWVRSPRREENFDEEEDRYHRPRWCPDGLNHSQKRRVQRLRSLEEAEAKYIESLRKARPNLAEQVHYVQKKESRPSRKEWRPNQELTKHLKALFLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTIPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVHWDAEDQEPISGLSLEGCDRIEATKNGVRLILSTGLIE >PAN30868 pep chromosome:PHallii_v3.1:5:30435467:30435793:-1 gene:PAHAL_5G320900 transcript:PAN30868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILRRGAFLATQTCSSSRAAPAAAVPASRVMFSYTPPPSAAAASAPYVANNHGERVVHGSPLASSGSKISSSSSFLLLSLSPLFPSFTFFCDLLVVPFFGCSCVLEH >PAN32172 pep chromosome:PHallii_v3.1:5:54889626:54894243:1 gene:PAHAL_5G469300 transcript:PAN32172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGKQIKEDLEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPEEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLSVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSAAISKDFETAQVISANRIRLWNKGVDSASFHPRFHSHEMRIRLSDGEPEKPLIIHVGRFGREKNLDFVKMVMDRLPGARIAFIGDGPYRTELEKMFEGMPAVFTGMMQGEELSQAYASGDVFIMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLDDCVGKVQLLLTNREFRDNMGRTARAEMEKCDWRAASKTIRNEFYNAAIWYWRKKRADMVPPLQWLAQMFLPAPEVRRITQC >PAN28606 pep chromosome:PHallii_v3.1:5:10037361:10043065:1 gene:PAHAL_5G165300 transcript:PAN28606 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MPPHRLLPLLVLLLAASGAAAAAAGGNATSAPLACSELSRVCTAFLAFPAAGAGAANATLLESMFDAAPGDITADAAASPGYAFVRKNCSCLPSRTYLANTTYTIPSSAAASGAPNATAADVAAAAYAGLAVPPPGGAAQRPPRPGAVVALHLLCGCSSGPWNYLLSYVGVDGDTVESLSSRFGASMDAIEAANAMAGPDPITAGKVYYIPLNSVPGQAYVALPPPPAPAPAPTDNTLPETPDHHSTKFPYGWVIGSMGVALALIAVALLALALWKFFGHNLQDPNNQGKSPDQHKFQLLKSGSFCYGSGRYLCCQFGNAKPTRADGGDHHTSVPKGVAADVFDREKPIVFTYEEILTSTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMMATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELFLVYEYSQNGSLKNHLHDPESKGYSSLSWIFRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLVVKSSDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGIVLFELISGKEAITRAEGMGTSSTERRSLASVMLTALRKCPSSMYMGNLKDCIDRNLRDLYPHDCVYKMAMLAKQCVDEDPVLRPDMKQVVITLSQILLSSIEWESTQAGNSQVFSGLVAGR >PAN28674 pep chromosome:PHallii_v3.1:5:10369651:10375972:1 gene:PAHAL_5G171100 transcript:PAN28674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPDRAAAGGGAGAHLRGHAHLASCVHLRHHHGHGHAHGGAAGGGASSSGRRRSPASVASAALMRDLLALQRSRSLRDPSTRRSVESSRVAADPDADTDDGDDLPAKSRRSAGASTTTGALKTLLDQLAENPHPKPGRRPPRRFKRRAGRRATAVSKLQDRAAALSVNSSSQEAVCGNKYLFHGGEDDDDDGYDGEELRHHVSQDSRNVCGIPWNWSRLHHRGKSILDMAGRSLSCGLSDSKSAAGRKSEAAAASGGRVSASRPLFPVKSERFASSTSSDSDALPLLVEAATSGARNRIGGISGSYSGELGIFSNQTSEMDSDLLSESQSGQKSQASQHRRGRHQSLTQKFAPKTFKDVVGQSLVVQALSNAILRRKIGLVYVFYGPHGTGKTSCARVFAKALNCISPEHPRPCDSCTSCIAHNLGKSRSLMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSVISKVVDRAPRRVVFILISPSLELPHIILSRCQKFFFPKLRECDIVNTLQWICTSESLDVDKDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTINTVKTLRDITETGVEPLALMSQLATIITDILAGSYAFTRERPRRKFFKRPTLSKEDMEKLRQALKTLSEAEKQLRVSSDKTTWLTAALLQLAPDKQYLLPSSSTSTSLNHGVFGGSFPDRDMVRTSAIEHKGNMAGTSYGKRRTVEHTENGHLLSTSSVRADEVTKHGKTENEIIWQAVLESIQSDTLRKMMAKEARLSSVSLGTAPTVQLIFSSRVNKSKAENYRAQILQAFESVLRSAIILEIRYESKNDARASHAPSMFPYPENDSSNTTVRRSFTKHSPLSSGGENLSRRLKKDSVVKGASSSKTRWMQSDPHILTEGEIIEVGPSHMHWHAQTNNGVLDVNERKKENAWVEEASSSSNQESMTNRKGRSGNRQHRQNSIVKRKVSLAHVIGRAEACSQRGGWSRRKAISIAEKLEQENLRLEPKSRSLLCWRTSRTRRKLSSIKVRNRRSSAMSRLILCGRCISTRSPR >PAN31157 pep chromosome:PHallii_v3.1:5:49848283:49848743:1 gene:PAHAL_5G401200 transcript:PAN31157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNEKLLFSQSFLLVHLPTLFLSIAFDMLTSIIVKNSFIELLLVQFMRLTRLKFILCSIELYHFDMELYLCSILHKSLFLPSPCQELSLLFSIVIFVSSSAVSRINI >PAN26659 pep chromosome:PHallii_v3.1:5:1870546:1871909:1 gene:PAHAL_5G029100 transcript:PAN26659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTLELTLISAKDLKEVNLLSKMEVYAVVSLSGDPRSRQRIQADRTGGRNPTWNATLRFNVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPDGPVPAKFVAYQVRKISSGKPQGVLNFSYKLGEVTQSAAGYAPTPAQSAYTQPPSAYPPAGKADAYPPPSAYPPAAKADAYPPPTAYPPAGKTDVPATAYPPPSGYPPASGKPAKAGEPVTAYPAAGPSTAAPYAAPSPQYGYGYPPQQPAGYGYPPPPPQAGYGYGGYPPQAGYGYQQQAVKPQKKKNNFGMGLGAGLLGGAVGGLLIGDMMSDASAYDAGYDAGFDDGGFDF >PAN32764 pep chromosome:PHallii_v3.1:5:57414031:57420743:-1 gene:PAHAL_5G510400 transcript:PAN32764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKWRFRALIVKLPSVFQTMHGSSLRALSRRCLADCRMSRVEVLVLLQTWMEWRLRARRIWTSHGGLQNQPPFSPVANRRRTEARCHENEMPDTLARGDTPSPVRDITRRVQQMSGPSGSLGVTTPRSLATGDGLRAIESPPPKTDSRTEERVSHPSPQVIALGELEFDQIFLIHVYLAGKKLEEVIEDVNYIRYLKSLPMDCFEPEIWSRFGHESLPASDRRKNLDRDPSKTRLYHCIVEKRHDSTVTIFKGPYVDNTRTYLQKIVSDDNVLIVNFADIPGLTNSDDNFGIYCTYYHQVVEDGILLGLRRYRFLICKDGGKEDKQKEEKKKAKNKKCSPSVRCFFVHTESGWDRDYPYILSGCTIDQARRRFMHIHTAPTVSKYLTRFSLILSKTITLDVNFSEVNVIIIEDEPCKDEHGNIVTNDDGEPLIHTDGTGLII >PVH39484 pep chromosome:PHallii_v3.1:5:57655702:57659663:1 gene:PAHAL_5G513700 transcript:PVH39484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSRDGLVLGRVIGDVVDQFSPTVALQVSYNGRRLLNGADFRPSAVAARPRVEVGGTDLRQCYTLVMVDPDAPNPSNPTLREYLHWFVTDIPGTTDVGYGREVICYESPRPPAGIHRVVFVLFRQMTRGSVEVAPLLRHNFCTRNFAEDYGLGAPVAAAFFTCQPEGGTGGRRLVVRPPRTTPAP >PVH39483 pep chromosome:PHallii_v3.1:5:57656312:57659663:1 gene:PAHAL_5G513700 transcript:PVH39483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSRDGLVLGRVIGDVVDQFSPTVALQVSYNGRRLLNGADFRPSAVAARPRVEVGGTDLRQCYTLVMVDPDAPNPSNPTLREYLHWFVTDIPGTTDVGYGREVICYESPRPPAGIHRVVFVLFRQMTRGSVEVAPLLRHNFCTRNFAEDYGLGAPVAAAFFTCQPEGGTGGRRLVVRPPRTTPAP >PVH39261 pep chromosome:PHallii_v3.1:5:54705552:54711787:1 gene:PAHAL_5G466600 transcript:PVH39261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFFLLFLVSSAPLMLAAAADGQGAEPCPTVPVPCGKVNISFPFSIVPEEATTRSCGAIGLQVVCLNNIPFLGYNRHYHQFQILDIYYGNASLLVVDTYKLEQFDSSGPKPCLAPENNSSNKLGLPFSISSKNQNLIFYNCTKPLTEKVQRSGGLVETRCGNKTFARVAERLDESGSYGGSFLVGCTATLVPVLVGSGKANASRYEELVKHGFLLSWQVPPLSGGNWKNKKKMMLIVSMSVVASLLLPCIYVLRRHRKRETLHLFICEKTSSNIEKKIEALIVLHGSLAPNRYKYSEVTKITSSLNHKLGEGGYGMVLKGRLDDNRLVAVKFLHDSKEGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEFMPNGSLDKYIYSENPKAVLGWENLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQNFHPKIADFGLAKLCRTKESKLSMTGARGTVGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVNSVAQKSSEKYFPHWIYDHFGHDDGLQACEVSSQNEGIARKMTLIGLWCIQILPMHRPTITKVLEMFERGLDELDMPPRQNFSQILEDPFYSLNAESTRTISGAETQVFSEVLKMKEISVVNSKTLQRLPTL >PVH39262 pep chromosome:PHallii_v3.1:5:54706643:54711787:1 gene:PAHAL_5G466600 transcript:PVH39262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSQPCRVLPAPAQPRKLLQVSIKKQRMNILAAPHSHGNCFKFLIVHLQYPTPPAVLPPVVVSHAEMPACPVPAAAAALLCPFMLLLALLLLASSTPAAAVAALNTNTSTPCAAASCGGNRTVARNTSNPCAPALCGSLSIAYPFWLAGTHPPECGYKAFQVICDSQGNVSLANSYWRYQILDIFYPSNSFRAILMSNDTCDLDTLFNASSDLGLSPFNISSKNQELFVLYSCDLGRRRVPPSWTRVVCSTPDDSPPVFALLGKEYAPGGTGMPPPMNCSVSMIPVLGYDGATGADYQRLLRGGSLLEYTDAGACKACTDTGGQCRVDVSEDAFKCYGCTDGSSWFVCSEAGGNWKNKKKMMLIVSMSVVASLLLPCIYVLRRHRKRETLHLFICEKTSSNIEKKIEALIVLHGSLAPNRYKYSEVTKITSSLNHKLGEGGYGMVLKGRLDDNRLVAVKFLHDSKEGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEFMPNGSLDKYIYSENPKAVLGWENLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQNFHPKIADFGLAKLCRTKESKLSMTGARGTVGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVNSVAQKSSEKYFPHWIYDHFGHDDGLQACEVSSQNEGIARKMTLIGLWCIQILPMHRPTITKVLEMFERGLDELDMPPRQNFSQILEDPFYSLNAESTRTISGAETQVFSEVLKMKEISVVNSKTLQRLPTL >PAN26678 pep chromosome:PHallii_v3.1:5:1938673:1941965:1 gene:PAHAL_5G030600 transcript:PAN26678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGQKVEQLHGDVAEEDPGRRQRSMVDEADGDEKAPRRSKRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGILLQGGYSHAPDKLTYGVDIKHVRWGGILQRIALAYLVVAVLEIVTKDAKIQDRSSSGFSIFRMYFSQWIVACCILVIYLSLIYGIYVPDWEFRVQNADSPDYGKVLTVTCGTRGKLNPPCNSVGYIDRKILGINHMYQKPAWRRHWACTDNSPHEGPFKNDAPAWCAAPFEPEGILSSFSAVLSTIIGVHYGHVLVHMKSHTDRLRQWVTMGIALLVLGIILHFSHAIQLNKQLYTFSYICVTAGAAGIVFSLLYFLVDILNLRYAFAPLQWIGMNAMLVYVMAAEGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVSGVLHRAGLYWKL >PAN27767 pep chromosome:PHallii_v3.1:5:6396114:6399626:-1 gene:PAHAL_5G105600 transcript:PAN27767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPTLTALKPSAPAVSLNPIGGSHGRRRKPIFASSAPPPPSPASRPPKPTPDPEPPKPTHEARSRNPERPTDAGFATTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKWKDEEQVPDEEEGEELGEEEQKPVVEEEDPSWPLDADVGWGIRASEYFDKHSIRNVTVDGVEIDWEGEVDEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAADNWKFLQELEKAAKVYWNAKDRLPPRTVKVDINIERDLAYALQVRECPQLLFLRGNKILYREKELRTSEELVQMIAHFYYNAKRPPCVNPEAVASL >PAN27768 pep chromosome:PHallii_v3.1:5:6395577:6399674:-1 gene:PAHAL_5G105600 transcript:PAN27768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPTLTALKPSAPAVSLNPIGGSHGRRRKPIFASSAPPPPSPASRPPKPTPDPEPPKPTHEARSRNPERPTDAGFATTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKWKDEEQVPDEEEGEELGEEEQKPVVEEEDPSWPLDADVGWGIRASEYFDKHSIRNVTVDGVEIDWEGEVDEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAADNWKFLQELEKAAKVYWNAKDRLPPRTVKVDINIERDLAYALQVRECPQLLFLRGNKILYREKELRTSEELVQMIAHFYYNAKRPPCVNPEAVASL >PAN27769 pep chromosome:PHallii_v3.1:5:6395482:6399721:-1 gene:PAHAL_5G105600 transcript:PAN27769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPTLTALKPSAPAVSLNPIGGSHGRRRKPIFASSAPPPPSPASRPPKPTPDPEPPKPTHEARSRNPERPTDAGFATTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKWKDEEQVPDEEEGEELGEEEQKPVVEEEDPSWPLDADVGWGIRASEYFDKHSIRNVTVDGVEIDWEGEVDEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAADNWKFLQELEKAAKVYWNAKDRLPPRTVKVDINIERDLAYALQVRECPQLLFLRGNKILYREKELRTSEELVQMIAHFYYNAKRPPCVNPEAVASL >PVH38431 pep chromosome:PHallii_v3.1:5:16283193:16283486:1 gene:PAHAL_5G256500 transcript:PVH38431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMMSLGTLSYAFSRSIKTICKSFFSSLYLSINCRTKEIASMVDLPGMNPNWFWVTLINLLRRCSITLSQSFIVWLISLIPR >PAN28162 pep chromosome:PHallii_v3.1:5:8091386:8103494:-1 gene:PAHAL_5G135500 transcript:PAN28162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAAPAAAGSRDVEFIRARSDKREYRRVVLPNALEILLISDPDTDKAAACMEVEVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEDEYKKYITEHGGSCNAYTSSETTNFYFDVNVDNFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFSTGSLETLEIKPKGRDLDIRHELLKFYENYSANLMHLVVYGKESLDCIQGLVDRLFSDIKNTDQRSFKCPSHPLSEEHLQLLVKALPIEEGDYLRIIWPITPSIQFYKEGPCHYLSHLIGHEGEGSIFHIIKELGWAMNLMAGESTDSTEYSFFSISMRLTDAGHEHVEDIVGLIFKYLVLLKEDGVHEWIFNELVAINGTEFHYQDKIDPISYVTGTVSSMRLFPPEEWLVGSALPSKYAPQRIMMILDQLSPERVRIFWESKKFEGSTTSAEPWYNTSYSVENVIPSVIQQWIQKAPTEKLHLPEPNMFIPKDLALKEVNEKVTFPKVLRKTPLSQLWYKPDLLFSTPKVHVIIDFHCPLSSHSPEAYVSTDLFVDLLVDYLNAYAFDAQIAGLYYSIYLTSAGFQVSLGGYNDKMRVLLNAILKQIANFEVKPNRFSALKETTVKDYQNFNFRQPHSQASFYVSLILEDKKWPVPEKLEALSKLESDSLAKFLPNLLSKTFLECYIQGNIEPSEAKSIAQEIEDTIFNTPNTMFKSMSPSQYLTERVIMLEDELKCYYQIEGLNQKNENSSVIQYIQVHQDDASSNIKLQLFSLIASQPAFNQLRTVEQLGYITRLSLRSDYGVWALQVVIQSTVKDPSYLDARVDEFFKMFESKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESHFYWGEIGAGTLKFDRVESEVALLRELKKEEFIAFFDQYIKLDAPQRRTVSVQVFSGNHSAEFKKAVAEADPPKTYRITDIFGFKRSRPLYSSLKGGPGRITMD >PAN28163 pep chromosome:PHallii_v3.1:5:8091563:8102485:-1 gene:PAHAL_5G135500 transcript:PAN28163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAAPAAAGSRDVEFIRARSDKREYRRVVLPNALEILLISDPDTDKAAACMEVEVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEDEYKKYITEHGGSCNAYTSSETTNFYFDVNVDNFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQVPASSALLVMIDQIIFLHPMPYLQRKQQSLQKHLASKDHPYHKFSTGSLETLEIKPKGRDLDIRHELLKFYENYSANLMHLVVYGKESLDCIQGLVDRLFSDIKNTDQRSFKCPSHPLSEEHLQLLVKALPIEEGDYLRIIWPITPSIQFYKEGPCHYLSHLIGHEGEGSIFHIIKELGWAMNLMAGESTDSTEYSFFSISMRLTDAGHEHVEDIVGLIFKYLVLLKEDGVHEWIFNELVAINGTEFHYQDKIDPISYVTGTVSSMRLFPPEEWLVGSALPSKYAPQRIMMILDQLSPERVRIFWESKKFEGSTTSAEPWYNTSYSVENVIPSVIQQWIQKAPTEKLHLPEPNMFIPKDLALKEVNEKVTFPKVLRKTPLSQLWYKPDLLFSTPKVHVIIDFHCPLSSHSPEAYVSTDLFVDLLVDYLNAYAFDAQIAGLYYSIYLTSAGFQVSLGGYNDKMRVLLNAILKQIANFEVKPNRFSALKETTVKDYQNFNFRQPHSQASFYVSLILEDKKWPVPEKLEALSKLESDSLAKFLPNLLSKTFLECYIQGNIEPSEAKSIAQEIEDTIFNTPNTMFKSMSPSQYLTERVIMLEDELKCYYQIEGLNQKNENSSVIQYIQVHQDDASSNIKLQLFSLIASQPAFNQLRTVEQLGYITRLSLRSDYGVWALQVVIQSTVKDPSYLDARVDEFFKMFESKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESHFYWGEIGAGTLKFDRVESEVALLRELKKEEFIAFFDQYIKLDAPQRRTVSVQVFSGNHSAEFKKAVAEADPPKTYRITDIFGFKRSRPLYSSLKGGPGRITMD >PAN28164 pep chromosome:PHallii_v3.1:5:8091396:8103494:-1 gene:PAHAL_5G135500 transcript:PAN28164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAAPAAAGSRDVEFIRARSDKREYRRVVLPNALEILLISDPDTDKAAACMEVEVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEDEYKKYITEHGGSCNAYTSSETTNFYFDVNVDNFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFSTGSLETLEIKPKGRDLDIRHELLKFYENYSANLMHLVVYGKESLDCIQGLVDRLFSDIKNTDQRSFKCPSHPLSEEHLQLLVKALPIEEGDYLRIIWPITPSIQFYKEGPCHYLSHLIGHEGEGSIFHIIKELGWAMNLMAGESTDSTEYSFFSISMRLTDAGHEHVEDIVGLIFKYLVLLKEDGVHEWIFNELFPPEEWLVGSALPSKYAPQRIMMILDQLSPERVRIFWESKKFEGSTTSAEPWYNTSYSVENVIPSVIQQWIQKAPTEKLHLPEPNMFIPKDLALKEVNEKVTFPKVLRKTPLSQLWYKPDLLFSTPKVHVIIDFHCPLSSHSPEAYVSTDLFVDLLVDYLNAYAFDAQIAGLYYSIYLTSAGFQVSLGGYNDKMRVLLNAILKQIANFEVKPNRFSALKETTVKDYQNFNFRQPHSQASFYVSLILEDKKWPVPEKLEALSKLESDSLAKFLPNLLSKTFLECYIQGNIEPSEAKSIAQEIEDTIFNTPNTMFKSMSPSQYLTERVIMLEDELKCYYQIEGLNQKNENSSVIQYIQVHQDDASSNIKLQLFSLIASQPAFNQLRTVEQLGYITRLSLRSDYGVWALQVVIQSTVKDPSYLDARVDEFFKMFESKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESHFYWGEIGAGTLKFDRVESEVALLRELKKEEFIAFFDQYIKLDAPQRRTVSVQVFSGNHSAEFKKAVAEADPPKTYRITDIFGFKRSRPLYSSLKGGPGRITMD >PAN27812 pep chromosome:PHallii_v3.1:5:6602842:6604093:-1 gene:PAHAL_5G109800 transcript:PAN27812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRALPVTPSKSSTFGAHQTMPSFRPSGGSPRSVRAYAKGNEEEGNKQSLFGSITEALDFSQVRSEKDAELLYEAREKTKGGGRMTREQYGALRRKIGGTYNDFFKSYVDVDGEYVEDGWVDKTCKICKKDTRGEPRQVDKLGRYAHVACLENPKPANFFTKLFAR >PAN32205 pep chromosome:PHallii_v3.1:5:55007228:55013646:-1 gene:PAHAL_5G471200 transcript:PAN32205 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MSSMTRPRRESDFARFPSSTPIVIDNGASTFRIGWAGETEPRVAFRNVVQRPRHRSTGETVSIVGDTDPTLMKFFDCTRSAVRSPFDDDVVYQFEYMEYILDYGFDRLGASSEVGHPILMTECECNPSFSRARMAELLFETYGVPSIAFGIDNAFSYKFNQKLGNCGEDGLAISCEHGTCHVVPFLKGQPVLGACCRTNVGGFHVTDFLRQLLSLKYPYHTANFTWEKAEELKKEHCYVALDYLSELQIFKNNKEEAEEKTRYWQLPWVPPPKEEPPSEEELARKAALKEKAGQRLREMAAAKRSQKIVELEKQLSYLEELTEQLDEAEESEATAILGRSGYLSQQEIKSAIVKATQSLRKAKGESNGNEEKADASGADKYPLVSVPDEELTPEQLKEKKKQILLKTTTEGKLRAKQKRAEEEALREKQEEQKRAENPELYLEELRARYSELSEKSEQRKRQKVNGGQTNGNHGSSGGVGRGERLNAAQKERMRLLASAAFDRGKGEDTFGMRDEDWLVYNKMSKDNDDDGNDDDESELVRIASKLQEIDPTFVSKSEAVQLTPEPPKVRPLTAEDYRIAIGIERFRCPEVLFQPGMIGIDQAGIDEMVSISLRRLMEDESVKQRLCQSILVTGGSSLFPGMIPRLESGIRQYRPYLSPLKLVRAADPILDAWRGAAAFGASSKFGKQTFSLADYREHGENLFHRYNIVYSL >PAN27436 pep chromosome:PHallii_v3.1:5:4993552:4995403:1 gene:PAHAL_5G081000 transcript:PAN27436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGNGETASPPQQEPAPVPAEGATSGGGVIAKLEEQLRKTKEHAETYPYVWGSYILVYGGLGAYLAWRWRKLRRTEDRVRVLQDRLRKLAAAEESQTASGSASTAPTPPPPQQPAAGPPKPAPGP >PVH39115 pep chromosome:PHallii_v3.1:5:52793593:52795841:1 gene:PAHAL_5G438500 transcript:PVH39115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYWPRLQSGGAWSRGEIRSIRVVNGGDTRPREMPAPPCPPPAPAAAPILPRLLSSVAPVALAAALVCGAGPAAVVAPAAQAVPFVRPPPLQGKPFASSTPYAQAQKLQLGLDKLGKIRPCPSTNPGCVSTNPLGSSGSFASPLLIPESSAGDKAVASLRQAIEKTQSNVDFKVDQDTPYGHYIEAEMDGGVGRDVMEFLVKRDAGVVAYRCMATKVTFVYPFTTAVGDSKGQKQRVAAISQELGWYAPDIQSSMDSDDAGYPP >PAN28513 pep chromosome:PHallii_v3.1:5:9560943:9561314:1 gene:PAHAL_5G158300 transcript:PAN28513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRIHPQLSLPTCGARAVRPLLPVTAGSSICFCATGTEPAGTAAPRTRQGGAKPRRGTGGRLLPIAAATFRAAVIGMDASNSACVAVRRVGVAHDAVRARRSEREFCEQSGQRRGGDPKAR >PVH37544 pep chromosome:PHallii_v3.1:5:1669343:1670738:1 gene:PAHAL_5G026100 transcript:PVH37544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKPAALPLAVSLCCLSALLPWSAAASYGGGKPLVTAITKDAATKLYTAPLKDSRPLVLDLSGPLIWSTCPPKHPSFECHHHVCAHAHSYHPPDCPRTGHGVADEDDPFRCKCTAHPYNPFARKAGSGDLTRVTVTANDTDGKNPLRPVSFPAVAACAPHSLLAKLPAGAVGVAGLARSRVALPAQVSRAQKVANKFALCLPSGGQGVAIFGGGPLFLLPPGRPDVTTTLAGTTPLRRNPALPGYFISARGIAVNQGQVQPGPLVVGLSSRVPYTELRADVYGPFVKAFDRATAERKRVTPAAAPFELCYDSRELGSTRLGYAVPQVDLMLEGGANWTVFGGNSMVQVDDNTACFGFVRMAREEKGAPAVVIGGFQMENNLLVFDEEKRQLGFSSLLFGRQTTCSNFNFTLAA >PAN28131 pep chromosome:PHallii_v3.1:5:7925601:7926036:1 gene:PAHAL_5G133000 transcript:PAN28131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHGAAAALLIASLLVAAALAAGADVRRPLNARFPVNRGATRVSVEKKQDAAAVPTLSCSKVHGVQAGETCFAVAQAAGLTQDVFLGFNPNINCEKVFVGQWVCLAATSA >PAN32919 pep chromosome:PHallii_v3.1:5:58134720:58139409:1 gene:PAHAL_5G521900 transcript:PAN32919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSIDSDYIDFSHMSGFDMGIDFDGFEENVRKFMELPAKYLDSAHDKAVEFIEDVQAIIFAPFTDDEVPNEEDQSSSSVITESSPTSVETALVGPNTEASTPASLITVENRSTGCVDTDAHGTESFSRKSTDSSEEVILWNPETSVKPRPLGLTAIPQDDHAPHALETDQVAEQAGHCSGHSDSSVCDREIPLENFRADYEEEAVLHSANDPVEVTIHDSTTITQDDYVPHILHKDQQAGLDCSVHSDTLVDSAAFFQALLLEDSSANYEDERVSYSANGPVEATQDNYVPQALNKEEKAGLHCSGYSDILVDSAVFFQALLQEDYSANCEDERVSYSANGSVEATQDNYVPQALNKEEQAGLHCSGHSDILVDSAVFFEALLLEDSSANSEEQMVSHGANGPVEATTHGTSISHESSSDVPSCADVPNMPTDTMVKSVDIEDLRDGQEHMENDEIVPPVPQRNNASFQKMFMRSLSSKLRWSKKQVSTRQAMPAGSQDSENIGYQLVSSSADLEHDWEVL >PAN32920 pep chromosome:PHallii_v3.1:5:58134720:58139409:1 gene:PAHAL_5G521900 transcript:PAN32920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSIDSDYIDFSHMSGFDMGIDFDGFEENVRKFMELPAKYLDSAHDKAVEFIEDVQAIIFAPFTDDEVPNEEDQSSSSVITESSPTSVETALVGPNTEASTPASLITVENRSTGCVDTDAHGTESFSRKSTDSSEEVILWNPETSVKPRPLGLTAIPQDDHAPHALETDQVAEQAGHCSGHSDSSVCDREIPLENFRADYEEEAVLHSANDPVEVTIHDSTTITQDDYVPHILHKDQQAGLDCSVHSDTLVDSAAFFQALLLEDSSANYEDERVSYSANGPVEATQDNYVPQALNKEEKAGLHCSGYSDILVDSAVFFQALLQEDYSANCEDERVSYSANGSVEATQDNYVPQALNKEEQAGLHCSGHSDILVDSAVFFEALLLEDSSANSEEQMVSHGANGPVEATTHGTSISHESSSDVPSCADVPNMPTDTMVKSVDIEDLRDGQEHMENDEIVPPVPQRNNASFQKMFMRSLSSKLRWSKKQVSTRQAMPAGSQDSENIGYQLVSSSADLEHDWEVL >PAN30765 pep chromosome:PHallii_v3.1:5:38584138:38590762:-1 gene:PAHAL_5G349100 transcript:PAN30765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASGNGGKEGPAAFSVDLAAAARRLLAFLRAAPPGVGPRSVQRYEELWLPLAAAEGGGPGGEAAMLLPPPDVQLVWLCHCFHHESYSAYCLSRFGHLIDRPSILDAENEEYAEDRCRDVWAAHYPSELFDLCSNEIDGNSVDDIACDSANSEIMEMVRWYAGLAARFASPFVREGVYHVAAWRRYVRFLDLIKKVVCTTQEHIRLVPSLDILLMWLAHQSFPVSYAKDMVAMAIIENLAKVGLSYGEMVSDEEVERTKALWEDAYDEPYDMAGSEIDAGAVSTAREAFYWAPAASEEDANRLYKSLQPRFLMEVYVFLKGDIDKEHINKEFLRLRTQRSHRSLKLNKSMVNLACKNWQKTWHLYCEFATRGVVIEVRRTTSGCFRNSKFLKSISFSWSDMLHEKALALTEELEVRMKAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRMRNYRPQEGRWLTRTVLDHGGRECFVVRMRIGRGIWRRGAETPVAVKWEDRSIEVREGSWSYVASTSSVGYAPEKVVGTAMAMKDQQENKVVWRLSTGDILTVCLGDELSFQLKNEKLEEEARLLVGRKLSYKVNTDGVSSNHAEEQQYLTLVRKSPDHHGDRATVLINWKLLAVEFLPEEDAVFVLLLCMVIARTMTEIRREDVAGLLVRRRIGEARVGQRDWGSVMLPDLLSPDPNLQPWYRNAAQVLSSAETGTMLAKYSPADGKDLLYRQALIT >PAN27249 pep chromosome:PHallii_v3.1:5:4270193:4271754:-1 gene:PAHAL_5G069300 transcript:PAN27249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stearoyl-[acyl-carrier-protein] 9-desaturase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G43800) UniProtKB/Swiss-Prot;Acc:Q84VY3] MQAHGMAVRARAPVAAAPAPARRGRCRVSAAAVAVAARARVTHSMPPEKAEVFRSLEGWAARSLLPLLKPVEDCWQPTDFLPDSSSEMFEHEVRELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRAWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLAKAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLQLDPDGAMLAIADMMRKRITMPAHLMHDGRDMGLFEHFAAVAQRLRVYTARDYTDIVEFLVKRWKLETMESGLSGEGRRARDFVCGLAPRMRRAAERAEDRAKKDEPRKVKFSWIFDREVVV >PAN30505 pep chromosome:PHallii_v3.1:5:20765960:20766492:-1 gene:PAHAL_5G288100 transcript:PAN30505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPLPDDRRAWPPVGLSCSPSRRAPWPAPLLPPSLPRCFPIRARSAPGRPNSGPPQNPTCRSRSSPSSTLLSDTPSSIAIVALLLPIKILPAVSRWG >PAN29030 pep chromosome:PHallii_v3.1:5:11795972:11800461:-1 gene:PAHAL_5G195000 transcript:PAN29030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAANAAAVVPANGKMEVEERHAQKSYWEEHSKDLTVEAMMLDSRAADLDKEERPEVLSLLPPYEGKSVLELGAGIGRFTGELAKTAGNVLALDFIESAIKKNQSINGHYKNTSFMCADVTSQDLVIQANSIDLIFSNWLLMYLSDEEVEQLVQRMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHAFDQDGNSFELSLVTYKCIGAYVKNKKNQNQICWLWQKVKSMEDRGFQRFLDNVQYKTSGILRYERIFGEGYVSTGGIETTKEFVDKLDLKPGHKVLDVGCGIGGGDFYMAENYDAHVVGIDLSINMISFALERAIGRSCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKNAGFHDVIAEDRTDQFLNVLEKEVAKFEKNKDDFLSDFSQEDYGDIVNGWKAKLQRSSAGEQRWGLFIATK >PAN26839 pep chromosome:PHallii_v3.1:5:2685187:2685869:1 gene:PAHAL_5G042300 transcript:PAN26839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSGELRMTLLGVTLLGLLLLSQHAAAAETTGAPATGKKTNSFSFNSAGGRTLTSFSMNAADPEKKQGGKGV >PAN27232 pep chromosome:PHallii_v3.1:5:4206099:4211777:1 gene:PAHAL_5G068200 transcript:PAN27232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAISRIYYAARRRLEAFITALPGGHDGCGGSRRGSHRQKRRRRWRSPFSTPLGSPMSMSSIIGAGDDQPTPASSGAAYATPQGGAMTPSKALSSPLPPPQMVVVALDATRDHRDDEIRTALRGLVDRGDILRAGDSLLVLGVLYSITHPMGYQAKPCTESFSGTSDRYLGDQVAKIAEIYKNKLHQLAEAFRKVGITVTLKVTPGAPAKIVIIHEVNSSKAAWVVLDRHFRRDFRHLEKHIVCKVAAFQDHLQVQTLKPIWSNPSSKSTAEVKDLQRFAVTIDLSSETPSATHRVPNMSSPVSYFASLSNHGIHETSSMDACSMPYFSGMSLTIDDTQSLSNGKYEEQMTAQYDSSERPVLCIGCGLKSVLYIKESMKFPFSEIQAATSDFSNENLLGEGGFGHVYKGQLKDGQAIAAKVRKEASTQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSASLLEWHKRHAIAIGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAASDSIETRILGQSGYLAPEYAQYGMVSVRTDVYAFGIVLFQLISGRKVLDEYEGQSTHILQWAEPLVVNLAAHELIDERIKDTYDAYGLYQLARAAYLCVRANPEQRPSMGEVVSLIETENEHIRDLSRQFIPHFMK >PAN30964 pep chromosome:PHallii_v3.1:5:47787208:47788902:1 gene:PAHAL_5G383900 transcript:PAN30964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRGIGFTSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYLNEGGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVAAGLARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMITINLDLRRGGNRFIKTAAYGHFGRDDADFTWEVVKPLKFDKASA >PAN29864 pep chromosome:PHallii_v3.1:5:16190227:16191021:-1 gene:PAHAL_5G255400 transcript:PAN29864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATMALSSRALVGKPAASSRDVFGEGRITMRKTAAKPKPAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >PAN29557 pep chromosome:PHallii_v3.1:5:14632359:14637191:-1 gene:PAHAL_5G234100 transcript:PAN29557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHRAWGAICILLSVLSASTVLASSNTDGLIRIRLKKRSIMESIYGELLPKTSTLESQAAAAREVGDPVRAAIAQAREREHQMLVEAAALERRRQYYWSYGGGRGNGSSLRGGVQSELVPLKNFLNAQYFGQIGVGCPPQNFTVVFDTGSANLWVPSAKCYFSLACFFHPKYESSLSSTYRPNGTPASIHYGTGAIAGFYSQDQVTVGNLVVQNQEFIEATHEPGFTFLLAKFDGILGLGFQEISVEGSVPVWYNMVSQRLVEEPVFSFWLNRNPIEGDGGEIVFGGADPQHYKGDHTYTRVTRKGYWQFEMGDFLIGGKSTGTSLIAGPLVAIAQIHEQIGAAGVVNHECKQVVAGYGQQMLELLKAQTPPAQVCSKIGLCTFDGKHGVSAGIESEAGSVDGMSDAICNACEMIVFWMQSELNLNKTNEGTLEYVDRLCGNMPDPVGSHVDCRRVHSLQTVAFSIGGRAFELRPEQYILKVGEGFMAHCISGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGKMRVGFADSA >PAN29558 pep chromosome:PHallii_v3.1:5:14633202:14636664:-1 gene:PAHAL_5G234100 transcript:PAN29558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHRAWGAICILLSVLSASTVLASSNTDGLIRIRLKKRSIMESIYGELLPKTSTLESQAAAAREVGDPVRAAIAQAREREHQMLVEAAALERRRQYYWSYGGGRGNGSSLRGGVQSELVPLKNFLNAQYFGQIGVGCPPQNFTVVFDTGSANLWVPSAKCYFSLACFFHPKYESSLSSTYRPNGTPASIHYGTGAIAGFYSQDQVTVGNLVVQNQEFIEATHEPGFTFLLAKFDGILGLGFQEISVEGSVPVWYNMVSQRLVEEPVFSFWLNRNPIEGDGGEIVFGGADPQHYKGDHTYTRVTRKGYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPLVAIAQIHEQIGAAGVVNHECKQVVAGYGQQMLELLKAQTPPAQVCSKIGLCTFDGKHGVSAGIESEAGSVDGMSDAICNACEMIVFWMQSELNLNKTNEGTLEYVDRLCGNMPDPVGSHVDCRRVHSLQTVAFSIGGRAFELRPEQYILKVGEGFMAHCISGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGKMRVGFADSA >PAN31093 pep chromosome:PHallii_v3.1:5:48828937:48838022:1 gene:PAHAL_5G391800 transcript:PAN31093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRVVSFIRSASHPREASPTDVPPRVPPHLAVPGQVLLLDVVFLSLIETRTRLIICKYAPVSYSFVLQKLQQLVLVT >PVH39535 pep chromosome:PHallii_v3.1:5:58769341:58771270:1 gene:PAHAL_5G531700 transcript:PVH39535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLVAAAALLLAVFVLLPLSYLLLLAVNKPASPQRHGGSVHGRRPRLPPSPPGLPLLGHLHLLGSLPHRALRSMARAHGPVMLLRFGRVPAVVVSSAAGAEEVMRARDLDFASRPRSAMAERLMYGRDVAFAPYGEYWRQARRVCVVHLLSARRTRSFRRIREQEAAALVQRVRAKAGAGAAAVGLSELLAECANNVVCRAAFGDESASGLFDGGDRGRERVRKVLTDFQKLMGTEPMGDLVPWLGWVDAVRGLEGKITRTFQALDGLLEKVIDDHRRRPPNRDDGDDHRDFVDVLLDLHKHDKEYGIQLETNEIKAIILDMFAAGTDTTSAAMEWAMAELVTHPRAMRKLQDEIRAAAGSTGVDEGHVAQLHYLKAVVKETLRLHAPIPLLVPREPPADAEVLGYHVPARTRVVVNAWAVGRDPAAWGEDAEEFVPERFLGSAVDFRGQHLELVPFGAGRRGCPGVGFAEASIEMALASLLCHFDWEAAKGKGSRTGASSLDMSEVNGLSVHIKSGLPLVAKPWVP >PVH37693 pep chromosome:PHallii_v3.1:5:3860507:3864343:-1 gene:PAHAL_5G061400 transcript:PVH37693 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MMKPVRSFIRSLPVQNAPGIKNGEPARQPATISKFEFSPTSPCLNPFSSLRPLPPLPRLTPRLPRRAIPPNSIGSCRILSPMKISELSPEYRQPPPHAGLLIDLNRVVADVEAFDTSDSSSPEKLAADLRRLLTNLASAASSSSGLDEAFRLKVWHLAFRLWNACVDRANHSFPSRVAEAEIRQAAPELLLVAGLPEDIPNAPAKAASFFHRTGLVWLDLGRADLASACFEKATPLVSAADTEEDRAVLLDLNLARARAASIAGEQALAVALLSRSKPLAAASPEGVKALAEEYLRIGQAALATKPPDPALDASNLLTEALDLCEKAAASPTTRTTPGSTPATPNLQVIKDQCLRFLAVERLEAKDYEGTLRCISVWRTSLGLGEEHPSIGFMALRACLGCGNLVEAERELQTLMANAGAPDFVCVSAAELYLASTGPDAAFKVLVALAARCRAGAAAAAVRVLKKVVETAGGGTGRARAIAELASDERVVKLFDGPANSHERNTMHALLWTCGTEHFHAKNYEIGADLIERSMLYVSRDEESRSRRANCFRVLCLCHMALRHLDRAQEFITEAEKVEPNIRCAFLKFKILLQKEEEDEAIKLMKTMVGYVDFNPEFLTLAIHEAIACKSVRVAIAASTFLLGLYSAGKPMPMTEAAVLRNLIALLLREPGSEAEILKYSRRAKLRMAELGVEAVCGKGTVGLRERNWFAVNIWNMAIKMAKEKKYDYCTEFFELAAEFFSSGNGEDDANRLLVCKSLIMSVSAMFLTEELNKSPLSDSDLKKGIEMLSRAGKLPSTPVTSDQLEDNNLPFLHTINFYKLLNRMDTTAHPQQLQLVKNFAASKACAPGHLLKLEEIASQGTQPNLQVAEFLLKTCITTALASHSPNYGIVSFALRRLVCLAGLQDSSGSMSDAVYDVFRQAYQIVVGLRDGEYPFEEGHWLTTTAWNKSSLASRLGQRSIARKWMKMGIDLARHFESMKQYVSGMEEYFERFQKVSGKEPDECSQQDGAPSTSLSGSVSQPGLA >PAN27256 pep chromosome:PHallii_v3.1:5:4323988:4327347:-1 gene:PAHAL_5G069900 transcript:PAN27256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISKGRKKMRNKQRKSSALGFDAGRGYSLSCIAWSLVGFGLVICFLSFKHQADSGQAHIYLSHLPATRELEDIEEDHFRLPPPHKVNPRAVKRRGPRKQRKVIDDYLEESSAVHALFFPDQRTAVDPTKGGNDTMYFYPGRVWLDTNGNAIQAHGGGVMYDDKTAKFYWYGENKNGPTYQAHPKGAQRVDIIGVSCYSSKDLWSWTHEGIVLPGEPTNVTHDLHKSKVLERPKVIYNDHTGKYIMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTVFKDDDGAAYLFYSSRDNTELHISPLTEDYLHITASVKRILIRRHREAPAVFKHQGTYYMITSGCSGWAPNRALAHAADSIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWSPSNLRDSRYVWLPLFIGGLADEPLDYSFGFPLWSRVSIYWHKKWRLPEAWRVGYT >PVH39051 pep chromosome:PHallii_v3.1:5:51999777:52000083:-1 gene:PAHAL_5G424800 transcript:PVH39051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLYHKNHPDEQYQYVHVRLNEVYSFIEYWLQDPHHTHMNFIARDVKTG >PAN31951 pep chromosome:PHallii_v3.1:5:53778982:53782156:-1 gene:PAHAL_5G452200 transcript:PAN31951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDGGGGKLPYSYAGVGHQDGKLVKSFSRVEPRKFGMGLVAGFLLVTCAYFSTAKFDAIHIAMTVNPISTDAAGIGSPATAAADTSKQQLDLGVQDRNALSKAGSRAEVLEKDDGNASSSGPDSGRNAPLEDTRRDGTFVGDSGDAGGVDASSAAAANPAGKGEVPAKDDDATAAVLPPVSSEEAANSTQESGVLEDQELQFQEAVAEPPSKKSDDSAAAAAGSGNGSSPSVVHSDRAILPAPVQQIPPTAQEVKALADQQISAVPEVKQADSETPAREWKPLCDLTSNRRIDWCELDGDVRVLGANASITLVAPPGADDRTFREESWRIKPYPRKADPNAMRFIREVTVQSVSGEAPACTDRHDVPALVFSDRGYAGNYFHAYTDVILPLFLTARQYAGEVLLLVTDLQMWWVGKFLPVFKSISNYDPIDLDHDPRVHCFRHVQVGLTNHDDFSIDPSRAPNGYSMLDFTKFLRTTYGLPRDVAWPAAAAAANATAGRSRPRLLLIARARTRRFVNTDEIVRGAEKVGFEVVVSEGEHEVAPFAELANSCDAIMGVHGAGLTNMVFVPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHVIFTDPEGVKKKGWESLKGAYLDKQDVRLDMKRFRPTLKKAIAHLRKASASAN >PAN31952 pep chromosome:PHallii_v3.1:5:53778431:53782532:-1 gene:PAHAL_5G452200 transcript:PAN31952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDGGGGKLPYSYAGVGHQDGKLVKSFSRVEPRKFGMGLVAGFLLVTCAYFSTAKFDAIHIAMINPISTDAAGIGSPATAAADTSKQQLDLGVQDRNALSKAGSRAEVLEKDDGNASSSGPDSGRNAPLEDTRRDGTFVGDSGDAGGVDASSAAAANPAGKGEVPAKDDDATAAVLPPVSSEEAANSTQESGVLEDQELQFQEAVAEPPSKKSDDSAAAAAGSGNGSSPSVVHSDRAILPAPVQQIPPTAQEVKALADQQISAVPEVKQADSETPAREWKPLCDLTSNRRIDWCELDGDVRVLGANASITLVAPPGADDRTFREESWRIKPYPRKADPNAMRFIREVTVQSVSGEAPACTDRHDVPALVFSDRGYAGNYFHAYTDVILPLFLTARQYAGEVLLLVTDLQMWWVGKFLPVFKSISNYDPIDLDHDPRVHCFRHVQVGLTNHDDFSIDPSRAPNGYSMLDFTKFLRTTYGLPRDVAWPAAAAAANATAGRSRPRLLLIARARTRRFVNTDEIVRGAEKVGFEVVVSEGEHEVAPFAELANSCDAIMGVHGAGLTNMVFVPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHVIFTDPEGVKKKGWESLKGAYLDKQDVRLDMKRFRPTLKKAIAHLRKASASAN >PVH39193 pep chromosome:PHallii_v3.1:5:53791604:53794227:-1 gene:PAHAL_5G452300 transcript:PVH39193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEKKSVSNFTVKVGLVLLAGCILAPISLATMFRHYAVPLQTLSLLFSVGSASSVMWGGERIGSHRSGRNERPVLCDFSSSRSDVCELKGDVRVLPNATIVLHHPLASRQSWRMKPHARKNDRHSLARVTEVTVTSSHATNRAAPRCTANHTAPAVVFSVGGYAGNMFHDLTDVLVPLFITTRRFGGDVHLLVSDAQPWWLDKFRPLLRGLSRHDVVDMDRGGRGVLCYPHVVVGLEFHKEMSVDAARTAGEYSMADFALLARRSYGLTRDTAIRLHGRSDGDRSSGRPRLLLISRRSTRAFTNVGAIARAATALGYEVVVGEPARHAELPSFARVVNSCDVLVGVHGAGLANLVFLPAGAVVVQVVPLGGLDTMAAEDFGAPARDAGLRYVHYRIAVAESTLARRYPRDHRVLRDPAAVRREGWMALRAAYLVGQNVTLDVRRFGDALRRAMELLR >PAN28292 pep chromosome:PHallii_v3.1:5:8560550:8564829:1 gene:PAHAL_5G143100 transcript:PAN28292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAPLLDSRPADDGHQQERRRRCPSWLGRVVDTEEACAQLRFSGPMILMNMFYYGIPLVSVMFSGHLGDVELAGATLGNSWVTVTGYAFVIGLSGGLETLCGQAYGARLYRRLGLYLQSSLIMSAAASALVSVLWVFTEPVLLLLRQEPRVSRAAAGFVRAQIPGLFAFASLQCLLRYLQMQSVVLPLVAFSAASFALHVALAYLLVGVLGLGIAGASAAVSVTFWAACLMLLAYVLRSEVFNETWKGFSVEAFRYVLPTVKLAAPSAVMVCFEYWAFELLVLVAGLLPNSTVSTSLIAICTSTETIAYMITYGFSAAVSTRVSNEIGAGNVDKAKNAVSVTMKLSVLLAISFVLFLAFCHNLWASLYSGSAVIMSEFAAIAPLLIVSIVLDSAQGVLSGVSRGCGWQHLAALTNLVAFYLIGMPLAIFFAFKLKLYTKGLWAGLICGLVCQACSLLVITVRTKWSKIAEAMQQEKANYGGLA >PAN28440 pep chromosome:PHallii_v3.1:5:9190860:9192628:1 gene:PAHAL_5G152700 transcript:PAN28440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHVEMAPAAGGFKLFGKVITQCAESAPPVPAQQQEPPARSARERDDPDERDQPMVKREAAAADHDFVEKQQHSGGGATESGESKGQQQHPRPRQQQQHQDTAEARAAAAASSAPPLPCPRCRSRNTKFCYFNNYNVNQPRHFCKDCHRYWTAGGALRNVPVGAGRRKNRPLGPVVATGAVPAHLHHQHRAAAAVAAAAAAAGAGFVLGFPGGQHPSSPTSPSPVYADRWPVCPDRRF >PAN29628 pep chromosome:PHallii_v3.1:5:15044553:15049317:-1 gene:PAHAL_5G239200 transcript:PAN29628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRGSRHHRHAADQQPPPAPPKPQAHAPPPPQQPKPKPAAAPAAADAGAVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRETGQRFACKSIATRKLVHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLNDKEDSPLKATDFGLSVFFKPGETFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVMLYILLSGVPPFWAENEDGIFDAVLHGHIDFSSDPWPSISNGAKDLVKKMLRQDPKERLTASEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAENLSDEEIMGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHLNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNSPEIVPNRRRMF >PAN32654 pep chromosome:PHallii_v3.1:5:56818342:56819989:-1 gene:PAHAL_5G502800 transcript:PAN32654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLGLVGREAYYAACSYPPTAGAASSSYLFPPELVADSGGAVMELPPPAAMADFCLPEIMGARTPDYYCSPPAPVFANGGAAAAENEMMNTSYVDCDGRMMMMSGSAGSGGRPPPRIGFRTRSEVDVLDDGFKWRKYGKKAVKSSPNPRNYYRCSAEGCGVKKRVERDRDDPRYVVTTYDGVHNHAVPSRGAQRPACSAPLVAAPWSAPAAHCDPWGTQLHAAAAGAHSSESSY >PAN31624 pep chromosome:PHallii_v3.1:5:52482064:52482941:1 gene:PAHAL_5G432100 transcript:PAN31624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAKMMAAAALLVLAVAAATAEARNIKTADKKQDDPAVQPQTFPPFDRLGGGMPGSSLPGSSIPGSSMPGFSLPGSGGLTPGFSLPGSGSIGSMPLFGGGSPGFSGFGGLPGSPAAGGSVPEHANKP >PVH38684 pep chromosome:PHallii_v3.1:5:32699738:32700386:-1 gene:PAHAL_5G327700 transcript:PVH38684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGTRKPSAGKRSLRSLAAAGSLSVVLIALSFFNTSSSGPGKAAPASMVAIVRVRSVASEAVLALAVWMAWAEGGLHARPAAILLPYTARLACVPAWAPLMLGHGTARGPHVLRGHGRRRRGVRTRVRRREPHRRGPLQARRHRGRAPRCRQLQDALSSHVCCSCSTIVLRILCSFV >PAN29353 pep chromosome:PHallii_v3.1:5:13358259:13358906:1 gene:PAHAL_5G219000 transcript:PAN29353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTYTYEYDTDVVMDDGAVIRTTVTNSGDAVKRYLREVRKHGQRLTVGLDTEWVVHGKGGRGAHRMAVLQLCVGRRCLVFQIARADYVPAALRAFLACPDHSFAGVGVGGDVERLYVDCGLVVAGAVDLRHVAAEVLARPELRNAGLKTLAREVMGVHVDKEKRVTLSGWDQPLSTEQVRYACIDAFVSYEVGRLLLLRAGGAALSQFVSFELP >PVH38501 pep chromosome:PHallii_v3.1:5:18049219:18049616:-1 gene:PAHAL_5G272800 transcript:PVH38501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTFSGRFASAITTTEGEKDMRQRRQQTRPFACNFVVLIHGVCHKGTW >PAN29295 pep chromosome:PHallii_v3.1:5:13134113:13135937:-1 gene:PAHAL_5G215100 transcript:PAN29295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSFSPSSATRLQALDAVAAAGVRNGRVPVRGSVAPAQRSLGCCRATARQEGATQEPSVAVSSARTQLDLLEQLTSTTPDGTAGLENGTLPEPRQRSTIREQLLALANGKVGDDEFTLPLGKKLKEGLKRLNSLTVSQRRNIKRQAMLTQVSGRNDSVFFATVGAFVLVPPFAILAIAVLTGYIQLLP >PVH37789 pep chromosome:PHallii_v3.1:5:5452840:5455252:1 gene:PAHAL_5G088600 transcript:PVH37789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPDSRSRWFKCIGESHLTLLVFLLFLRLFSAPLPYPPVRLRPLGTSGAEIPGASARDQPVGPLLAVAPPEFCPRVLHHRAHWRRSHRAPRPTPATSSPTAGTSPASTPRHPVRCLLLLSSRPFFF >PAN32932 pep chromosome:PHallii_v3.1:5:58170970:58178946:-1 gene:PAHAL_5G522700 transcript:PAN32932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASRIPLKRCTAAVPGEGEPGPAETMPPAKRRRERVAPSRLEDSGLPPAKKRGAVVAPAPAPAGEGEDRDGEVYDVEVRVVEPKGDSFGPVETAVWAPEQPPASDADVYRACRNINKSGSCGGSASGSVLTSVSNAGSDGGATGNGGLEGRPAVVECKPKREGVEKKEKEGFYWPEDFVLGDVVWARSGRKCPAWPALVIDPLLHAPEVVLNSCIPGALCVMFFGYSGGGHSRDYGWIKQGMIFPFVDYLDRFQGQPLYKLRPSKFRAAIEEAFLAERGFFYLEMDGVCSQPKSVNDQSDPNGFQEEAASNNEQECQSEAQVASKSASCCDSCGNRLPSKGSKKKKQDGEQLLCKHCEKLLQSKQYCGICKKIWHHTDGGNWVCCDECHIWVHVECDLTCNNMEDLENTDYFCPDCKSKRKTVVATEKMNTSNNSECASTSKEKLSGMIPVCCNGEEALYIPEKHMILCQCKSCKERMMSLNEWEKHTGSRKKNWKMSIKLKSTGEPLINLLDDIPCGTSKSSTPGIKKEELLQLQANAYSPVCAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARAVQDLTTWLCRACESSQRKRECCLCPIKGGALKPTDINGLWVHITCAWFQPKVSFPVEETMEPAMGILSIPAEYFKKTCIICKQIHGACTQCYKCSTYYHAMCASRAGYRMELQYSERNGRHITKMVSYCNLHSTPDPDNVLIVKTPEGVFSTKFFLQDGEKQTAARLVRKENHQEKVLPVPAKVSDCLSARCQTYEILKYKKEPREAIAHRIMGPRHHSQDFIDGLNACMDCKDDQSFSTFKERLCYLQKTENKRVSCGLSGIHGWGLFAARNIQEGQMVIEYRGEQVRRSVADLREARYHREKKDCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVSDDRSQIILIAKRDVSAGEELTYDYLFDPDESEDCKVPCLCKAPNCRGYMN >PAN26513 pep chromosome:PHallii_v3.1:5:1225308:1226561:-1 gene:PAHAL_5G017800 transcript:PAN26513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKLPAAILLAALLALAAPLVVVAGDPDTLQDVCVADYHSLKGPLRLNGFPCKRHENVTANDFISVLLAKPGNTGNAVGSAVTAANVERLPGLNTLGVSMARIDFAPWGVNPPHTHPRATEIIFVLQGSLDVGFVTTANRLFARTVCRGEVFVFPRGLVHYQRNNGGTPAAVISAFNSQLPGTQAVAQTLFGASPAAPTDVLARAFQIDAGLVEAIKSKFPPM >PAN31653 pep chromosome:PHallii_v3.1:5:52592816:52596881:1 gene:PAHAL_5G434800 transcript:PAN31653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEDQEAQKPLLATAAGSSGDGRQGAAASSSSSSIAVVVASTAVAVAGSFEFGISVGYSSPSQPGIMRDLDLSLAEYSLFGSILTIGAMLGAIVSGTVADHVGRRCTMAISDLLCILGYLLITFSQNFWWLDIGRVSIGCGIGLLSYVVPVYISEITPKNLRGGFATVNQFMICCGASLAYVLGTFITWRTLAIIGVAPCLLQLVGLLVTPESPRWLARFGHPGAFEAELQKLRGKGTDISEEAVEIKDFTEKLQHLPKSKMLDLFEKDYIHAVTVGVGLMALQQFGGVNAICFYASEIFVSAGFSSGNTGMLAMVAVQIPMTGLGVLLMEKAGRRPLLMVSAAGTCLGCLLVGLSFLAKEHHWGKDLNILLALAGILTFGGSFSLGMGGIPWVIMSEIFPINMKGAAGSLVTLVSWLGSWTVSYSFNFLLVWNSYGTFFIFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLTGPPHK >PAN29509 pep chromosome:PHallii_v3.1:5:14378433:14385469:-1 gene:PAHAL_5G231200 transcript:PAN29509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRVARIHRPSRAARRRRSRPAVCCRHAVAAQLFLGGARREAAPTKGAPPHMLTLAVRASTAASSIVAGLGTPAPSKSGIPASARPRLIMSAPVFAAPSAIVGMDPVERLKSGFEKFKTEVYDKKPELFEPLKAGQAPKYMVFACSDSRCCPSVTLGLQPGEAFTVRNIAAMVPPYDKNKYTGIGSAIEYAVCALKVEVLTVIGHSRCGGIKALLSLQDGAPDTFHFVEDWVRIGFLAKKKVLSQHPTVPFDDQCSILEKEAVNVSLLNLLTYPWVKEGVANGTLKLVGARYDFVNGIFDTWEK >PAN30184 pep chromosome:PHallii_v3.1:5:36690080:36697192:-1 gene:PAHAL_5G339700 transcript:PAN30184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSNGEPSTAPQPNRWYDLRLGSSCRDSSPTAKFCTLRYEFKPASIDKTQAGSLQSTKDNRVTVEFHNNQPGKPKATFEGSQEEYKDSDGVLFFDGETFRLERLHRAVKRLRYVRVPGESAAANLATTTTGMGAESHSPPLAKVSKSQAMSKPAVHAVPVEVERIDIGEPENPGPRYNNKSTTYQPVTTDSFALSPDPNDEEENLDILGDDDDNVSPNNMTSGHGTSVCGFDINLPDQGNMDDEIADVDVNDEADEGLNAAEALRAQVNAEGEQEEQDSSSSSGSGSSSSSSSSGSGSGSGSSDSDGSDGDSASSGADVDI >PVH39306 pep chromosome:PHallii_v3.1:5:55179307:55183215:-1 gene:PAHAL_5G473900 transcript:PVH39306 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSC13 [Source:Projected from Arabidopsis thaliana (AT3G55360) UniProtKB/TrEMBL;Acc:A0A178VGP2] MKVTVVSRSGREVVKGGIDLKESAKVADLQEAIHARTKKYYPSRQRLTLPLQPGKSGKPVVLNPKASLSEYCEKGSGSLTVVFKDLGPQVYYSTLFFFEYLGPLIIYPMFYYLPVYKFFGYEGERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGFGIICQIANFYCHILLRNLRSPSGSGGYQIPRGFLFNIVTCANYTTEIYQWIGFNIATQTVAGYIFLVVAASIMTNWALGKHSRLKKLFDGKDGRPKYPRRWVILPPFL >PAN30490 pep chromosome:PHallii_v3.1:5:20537434:20541373:-1 gene:PAHAL_5G285800 transcript:PAN30490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTRRERAAAAAAMSSSSVPAPAGPAPIEVQRRRVGGGWTSRRISFYASRAYFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNDIFPPAVVKAMLYPGAIMSSLTKSIAFPRWSDLFDIYNLTEAKNASAVVDLQRLEILAGSYFCVAGALVGIINPGRMTLFGTLLVIWGLVKEALFGKPMNSDPTQSTYVYPTILIALICAFMSITYNVKKTAKSSPPVSIAKPLKSSAKSKLK >PAN27465 pep chromosome:PHallii_v3.1:5:5078528:5080622:-1 gene:PAHAL_5G082600 transcript:PAN27465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPLRRSFAVLLFVVLVGAASFSAALRRAVAPAPAREPPLPLDPARLNATLLRLAAVDPSEAPLRRDVDELLDGRLPASAARARAWRRDRLLHPLHLHHQRFPLPRRGRYPDEDHDTLLHPLPRHEQQLHIDPALRRALRSWHRLRRYDPTVLGSLPSLLSLPGRIPSCAVVGNSGILLRANHGALIDSHDAVFRLNNARIAGYAAHVGSKTNLSFINSNILHLCARRPGCFCHPYGHGVPILLYICQAAHFLDIAACNTTSSSRHGSPISVTDARLDVLCARIVKYYSIRRFVAETGRAAEDWDRAHDAAMFHYSSGMQAIMVAVGVCDRVSVFGFGKSSDAKHHYHSNQKAELDLHDYEAEYAFYHDLAEHPQVVPFLKDAGFTVPPVIFYH >PVH39088 pep chromosome:PHallii_v3.1:5:52413820:52420531:1 gene:PAHAL_5G431200 transcript:PVH39088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGHPASIVCANIIYSSAHCTYVLKEYSDRLLYNFFSGISEDTLRNFSFNFLAAVLMLEFLSGINLIIQTSALEVVAPATPPSQGRRPFHYMAFRDEVLISVSVQPADGQQRQKKLYSPTVALSSTHPPISAPSYSSMPGALDLAIYSSDLSLPLVHRDRRIAMAAPAHVDADAPDAASNSSAAPSGLVQPPVSPHNGCCAPNMVQKRGTQDCHCVYPVRVELFLRNVSLTSNWSNEFLQELASQLNLRVNQFEIVNFYVVGASGLNITMDIAPHTGISFAANQVNTMNYSLSQHTVRIDPVLVGDYNLLNLTWFRPLAPSPAPAFTIAPKASPSTAHKLPRSSEDPSNNRHTSLITVIIICVGALIGVLLIVLTICFCTFRKGKKKVPRVETPMQKTPDAVSAVESLPRPTSTRFLSYEELKAATNNFEPSSVLGEGGFGRVFKGVLSDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGALGANCPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDQDRLDELADTRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVEFQESMPTPAARPNIRQSSTTYESDGTSSMFSSGPFSGFSPFDTETIPRTTVFSEDLHEGR >PAN31611 pep chromosome:PHallii_v3.1:5:52413263:52420531:1 gene:PAHAL_5G431200 transcript:PAN31611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGRAGCRAGRGISEDTLRNFSFNFLAAVLMLEFLSGINLIIQTSALEVVAPATPPSQGRRPFHYMAFRDEVLISVSVQPADGQQRQKKLYSPTVALSSTHPPISAPSYSSMPGALDLAIYSSDLSLPLVHRDRRIAMAAPAHVDADAPDAASNSSAAPSGLVQPPVSPHNGCCAPNMVQKRGTQDCHCVYPVRVELFLRNVSLTSNWSNEFLQELASQLNLRVNQFEIVNFYVVGASGLNITMDIAPHTGISFAANQVNTMNYSLSQHTVRIDPVLVGDYNLLNLTWFRPLAPSPAPAFTIAPKASPSTAHKLPRSSEDPSNNRHTSLITVIIICVGALIGVLLIVLTICFCTFRKGKKKVPRVETPMQKTPDAVSAVESLPRPTSTRFLSYEELKAATNNFEPSSVLGEGGFGRVFKGVLSDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGALGANCPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDQDRLDELADTRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVEFQESMPTPAARPNIRQSSTTYESDGTSSMFSSGPFSGFSPFDTETIPRTTVFSEDLHEGR >PAN28641 pep chromosome:PHallii_v3.1:5:10210717:10211861:-1 gene:PAHAL_5G168300 transcript:PAN28641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATATAGPYNNAATEAGKEEPPTRPLATASPTVYPAAADGAAGDEEVATSSKRWRSAQYLRKRRCALWCCGCCGAAVVLLGIAALVLALTVFKVRDPVLTMNGVTLEGVDGDLGTAAGHPVSVNATLSADVSIENPNAASFRFGRSETDFYYAGETVGVAYAPGGEVGAGRTVRMNVTLDVLADRISPNVNATDLIFGQDYNLTSYTEIAGRVSVLGIYKRDLDIKMNCSITLEVGAFTTVQSKSTTCVANVS >PAN32312 pep chromosome:PHallii_v3.1:5:55425823:55426751:1 gene:PAHAL_5G477400 transcript:PAN32312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTTPVLRMKLLIDANSRRVLFAEAGKDAVDFLFSLLALPLATAAALVGAGSVAGSVGTLYASVQRLDDSYILPGADKGDLLRPALAASPVTTARSSLLPPPPSPSCGRRPKAFFKCENSSRYVTDSGGAACPNCHRPMTSPLEYVSPSAPGSGGFGQWVPPNASTGGAARGGFVQGVVTYTVRDDLTVTPMSAISRITLLNAFAVTDLAALQEKTVRLGYHEGLAILKASLQSKTVLTDVFLVSGRA >PAN26514 pep chromosome:PHallii_v3.1:5:1230209:1231428:-1 gene:PAHAL_5G017900 transcript:PAN26514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLPALPLLAAVLLALAAPSLAGDPDMLQDICVAEYKSLNGPLRLNGFPCKRPENVTADDFFSGLLASPGDTGNAAGSAVTAANVERLPGINTLGVSMARIDFAPWGVNPPHTHPRATEIIFVLQGSLDVGFVTTANRLFARTVCRGEVFVFPRGLVHYQRNNGGTPAAVISAFNSQLPGTQAVAQTLFGASPAAPTDVLARAFQIDAGLVEAIKSKFPPM >PAN31484 pep chromosome:PHallii_v3.1:5:51834109:51851535:-1 gene:PAHAL_5G422500 transcript:PAN31484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVASCPMHAIHLSIVVLLAATYMHVVATAIIVVPSSNCYTFDNESRLIDFTHLVGKEYEYNEQGSQPSDLVVEFCKDVQRRSQEGYIEFGRFVSSRSFLFGSGSTDYIQKFHDGDLVHCETTFEKMGRTAQVNIICGRCSNKVCRDEQGCICSISYDERMCRVLVELAIPCAKSGPRVFKGFTVGFHPRSSEIVYNGLTQLGFEQLHHGFSFQTEQIHVSLYLSAMSSLADLVGKPTFKRRQAANMTRDPLCDFIHCTSRASPSTVTVNPAKGLSVTLTGSGLNGAMPTTLSPTVLNVDWRCEIARSSPYEVNILIPVEGYDPIEFTLTKKCGYAQEKESDPMKGWATFGIISCIFIVLSTLLCCGGFIYKTRVEHLYGLDALPGMAFLSAFLDAAGRPRGYLPADNPSESHASQASWEHTPGTTQAAQRTNDRAYGSI >PAN29554 pep chromosome:PHallii_v3.1:5:14616187:14621200:-1 gene:PAHAL_5G233800 transcript:PAN29554 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase large subunit, Controll of starch biosynthesis in endosperm developmen [Source: Projected from Oryza sativa (Os01g0633100)] MQFTLALDGNTSPHLIRRSSEGGGSERSMERLNIGVINQEKALRNRCFNGGAARTQCVLTSDSYPETLHFQTHSSRKSYADANRVSAVILGGGTGAQLFPLTSTRATPAVPLGGCHRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVQVLAATQMPEEPAGWFQGTADAIRKFVWVLEDYYYHKDIEHIVILSGDQLYRMNYMELVQKHVDDNADITISCAPIDESRASNYGLVKFDYTGRVLQFFEKPKGADLESMRVDTNFLSYAIADTQKYPYIASMGVYVFKRDALLNLLKSKYSQLHDFGSEILPRAVLEHNVQAYIFTGYWEDVGTIKSFFDANLALTEQPSKFEFYDPKTPFFTAPRNLPPTQLDKCKIKDAFISDGCLLSECSIKHSVIGVCSRVSSRCELMDTMMMGADIYETEEETAKLLLAGKVPIGIGENTKIRNCIIDMNARIGKNVVIANSKGIQEADHPEEGYYIRFGIVLILKNATIKDGSVI >PAN32328 pep chromosome:PHallii_v3.1:5:55484563:55487430:-1 gene:PAHAL_5G478700 transcript:PAN32328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASATLRTLVVGLLALLGACRSAAATDTISPGRALAGGGGGRILSNNSKFTLGFFRAPDGSADSSPGATAPPDKWYLGVWFTAVPRLTTVWVANGANPVVDADADAASPELTVSGGGDLVVVNQATRSVTWSARSAHDTAKNATSTTVAVLLNSGNLVLLDASNSSAPRTLWQSFDHPTDTLLPGAKLGRDKATGVNRRLVSRKSAATPSPGPYCFEVDPDAPQLVVKLCDSPVAYWATGAWNGRYFRNIPELAGNVPSFHLAFVDDAREEYLQFNVTAEATVTRNIVDVTGQNKHQVWIDASQDWLTLYAGPKAPCDVYAACGPFTVCSYAGLQPCSCMKGFSVRSPMDWEQGDRTGGCVRDATLDCTPGNSTSSAASSTDGFFSMPGIGLPDRGRGLQNVRSSAECSTACLKNCSCTAYSYASQGCLVWLDRLINAKQSQSNATNTVSDEEILYLRLAAREFQTSGSKNRVIIIGVVTGACTVALILLVLFIVLMTRRKKAKNNIQGGDGARLVAFSYRELRSATDNFSEKLGQGGFGSVFMGQLRDSTAIAAKRLDSSLQGEKQFRAEMSSIGIIQHINLVKLVGFCCEGENRFLVYEHMPNRSLDIHLFQSTGGTGGVFLDWAARYQIAVGVARGLSYLHDGCRDRIIHCDVKPENILLDASLLPKLADFGMAKFVGRGFSRVLTTMRGTKGYLAPEWIGGAAITPKVDVYSYGMVLLELVSGRRNTSGAGEECRSTASDGGHRLVYFPMKAARELIEGDVGTLLDERLRGDANLEEVERACKVACWCIQDDEADRPAMGEVVQILEGVMDRGMPPLPRLLEAILGRPHSSTQQMTTVSNASATFTSGSGS >PAN29062 pep chromosome:PHallii_v3.1:5:11988277:11988582:1 gene:PAHAL_5G197900 transcript:PAN29062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYALQLLLLVAVLAAPCTTVCRASGAGPPPPPQCDPLALRPCAAAVIDGARPSGECCAKVREQEPCLCRYSRNPGLTRYINSREGRRIAAVCRVRRLRC >PAN26415 pep chromosome:PHallii_v3.1:5:732389:737587:-1 gene:PAHAL_5G011000 transcript:PAN26415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVRRAAHAGSWYTNNARKLEEELDGWLGAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGSIDPTNISRVFLLGPSHHYYTPKCALTRATVYCTPIGDLPVDQEVIEELSATGQFEYMDLSVDEAEHSMEMHLPYLSKVFQGHTVKVVPILVGALSSQNEAMYGQLLSKYVDDPTNFFSVSSDFCHWGSRFSYTYYDKKHGAIHKSIEALDRMGMEIIETGDPDAFKAYLREYENTICGRHPISVFLHMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDASGEEEKKN >PAN29566 pep chromosome:PHallii_v3.1:5:14687932:14689636:1 gene:PAHAL_5G234900 transcript:PAN29566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRAAILEAGGQEGVERRKQQQLVRERGRRIKAAAELGLARSSQGSQWGRALGRRVDPKDDPFPFEVTVTSSSTTGNHLQVQKKAASPEEDEEEKEEEVAVEEKVALLRRLVPGGEGMAVEGLLEETADYIAALKAQVGVMRALACLLSGSGLDALPGKGDGLLTPEKPQ >PVH37449 pep chromosome:PHallii_v3.1:5:512444:514649:-1 gene:PAHAL_5G006700 transcript:PVH37449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVQTYGSGLWHTWFDRDLTLAGRVILKAADGSFKHKLVKVTRPLIRVPTLAIHLNRTVNSDGFKPNLETHLVPLLATKHEEATMNSDDKSSSSTKVAHHSLLLQILSEEIGCESNEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKEAEQLSSEKAIRMVAMFDNEEVGSDSMQGAGAPTMFQAMRRIVDSLMHQSMGEGALERAIHSSFLVSADMAHALHPNYSDKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHKLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSIREMCGKEDVDTTYRHFKAFFEMFSDIDKKLNVDF >PVH37448 pep chromosome:PHallii_v3.1:5:512237:515981:-1 gene:PAHAL_5G006700 transcript:PVH37448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLHLPRPPLPAQPYSRSPLSSYTSSWLRRSRLPAVSTRLLCSSHPASPLDAAPPSIVAGLLDYLNESWTQFHATAEAKRQLLDAGFKLLSESDDWDLQPGGRYFFTRNMSCLVAFAIGEKVGNGFNIIAAHTDSPCLKLKPRSATFKSGHQMVNVQTYGSGLWHTWFDRDLTLAGRVILKAADGSFKHKLVKVTRPLIRVPTLAIHLNRTVNSDGFKPNLETHLVPLLATKHEEATMNSDDKSSSSTKVAHHSLLLQILSEEIGCESNEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKEAEQLSSEKAIRMVAMFDNEEVGSDSMQGAGAPTMFQAMRRIVDSLMHQSMGEGALERAIHSSFLVSADMAHALHPNYSDKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHKLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSIREMCGKEDVDTTYRHFKAFFEMFSDIDKKLNVDF >PAN26367 pep chromosome:PHallii_v3.1:5:512444:515340:-1 gene:PAHAL_5G006700 transcript:PAN26367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLHLPRPPLPAQPYSRSPLSSYTSSWLRRSRLPAVSTRLLCSSHPASPLDAAPPSIVAGLLDYLNESWTQFHATAEAKRQLLDAGFKLLSESDDWDLQPGGRYFFTRNMSCLVAFAIGEKYRVGNGFNIIAAHTDSPCLKLKPRSATFKSGHQMVNVQTYGSGLWHTWFDRDLTLAGRVILKAADGSFKHKLVKVTRPLIRVPTLAIHLNRTVNSDGFKPNLETHLVPLLATKHEEATMNSDDKSSSSTKVAHHSLLLQILSEEIGCESNEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKEAEQLSSEKAIRMVAMFDNEEVGSDSMQGAGAPTMFQAMRRIVDSLMHQSMGEGALERAIHSSFLVSADMAHALHPNYSDKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHKLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSIREMCGKEDVDTTYRHFKAFFEMFSDIDKKLNVDF >PAN29111 pep chromosome:PHallii_v3.1:5:12337082:12341440:1 gene:PAHAL_5G202900 transcript:PAN29111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATREGGEAALPLLLPRPQPLRAGAAVGDDGKEAAASWWRLWAREAGRVGYLALPMVVVGMSQYVVQVSSNMMVGHLPGVLPLSSAAIATSLANVTGFSLLIGMASALETLCGQAYGAKQCHKLAVDTYRAVFTLLAVCIPLSLIWVFMDKILMLIGQDPLISRGAGRYMVWLIPGLFANAVIQPITKFLQTQSLIYPLLLSSIATMAIHIPLCYVMVFRTGFGYTGAALTIGISYWLNVAMLVGYIVFSSSCKETRARPTMEAFKGVDAFLRLALPSALMICLEWWSFEILILLSGFLPNPELQTSVLSICLTSVTLLFTIPFGLGAAGSTRVANELGAGNADGARSAVRVVLSMAAMDAIIVAGTLLAARRLVGIAYSSEEEVVSSVAAMVPLVCITVVTDCVQGALSGVARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGARGLWMGVVCGSLSQSTLLAAINFFTDWPRMAEKARERVFSEKAPEPRP >PAN29827 pep chromosome:PHallii_v3.1:5:15999350:16004310:1 gene:PAHAL_5G252200 transcript:PAN29827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8A [Source:Projected from Arabidopsis thaliana (AT1G18550) UniProtKB/Swiss-Prot;Acc:F4ICA0] MPVATRSRVTAACGGGGEGSQAWGSASAAPAPRDGVASHHHGLKEKMRALTLLYEQHKQQVAASQAGGVAARQHRRSIRSLSAAEVVNDENAKNAEEEQGGEVAMRHHNAFALVPEAVVLRENVAPPQPRARSKDSHVVVFARPAEPQEKENVVGHAGNAMSCPIKKAVPALPVLPAPPARKLSLGGAVGGKLKAAAEIGAGTAEAAENRILVFVRLRPMSRKEKEAGSRSCVKIVNKKEVYLTEYASENDYLRLKRVRGRHFCFDSAFPDSTTQAEIYSTSTANLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMENPGVMVLAIKDLFSKVRQRSYDGNHSIQLSYLEIYNETVRDLLSPGRPLHLREDKQGTVAAGLTQYRAYSTDEVMKLLQQGNKNRTTEPTRVNETSSRSHAILQVIVEYRFMDGVNIVTRVGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSNCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSNLTFGETQNTLHWADRAKEIKTKALTTANEEVLNVPDSETDQAKLLLELQKENSVLREQLVKQQQKLLTVQAQSLASNTSPQQSPVPSSHVSTPCSTQRKVKRSILAGNCFNTPDSKRPAADNTKVGELQRKVKTLEAEIEKMKKEHILQLKQKDEFIRDLINRKASNYCEEATGDRRVVTRASLRKVQKDASAAGELKSPSHRFTSPAPTAKKRTFWDIGGNSPSVLAANGRKTRSHVATETPKKGPSMLRQPGFARQRAIH >PAN33029 pep chromosome:PHallii_v3.1:5:58685409:58686896:1 gene:PAHAL_5G530400 transcript:PAN33029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHGGGGGGRSSSRLRDRLARMFRPASLLRSTCNTTASACSSSSSSTVTPGAAASSKAPPPPASACSSSRALLAADAAVARDGRDFLLASSRRDLAAAPVGRTESFSTAVDRLNRRAGAAPPSRFSVDAPPLLETSAKDKSPREYRLGGKNNSSDKMKMKLLSNPYGFSTSDDDDDDAHSDVFSSDAEDLAGRGGAAKKLTSESAETFFCSSRSFSSDSSEFYTNKKKTKTRRSPAAASSKPPPPKPPKQQRGQAAGSRRHRRAASSACDTCGVRDGFRPVVCAAEEQVRKGFAVVKRSRDPYADFRSSMVEMIVGRQLFGAPDMERLLRSYLSLNAPRHHPVILQAFSDIWVVVHGG >PVH38989 pep chromosome:PHallii_v3.1:5:50935261:50938658:1 gene:PAHAL_5G411700 transcript:PVH38989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNIGLLLCLSKNQVDKLLDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALAKTISTKKATFFSRSRSSLWTKGETSMNFINVHDIFLDCDHDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGSKPNESRQVTTTLYSLEDTISRRQEEATEGSGKPSWTKKLLLDNQLLCSKIREEADELIQTLLENEDQSRTALEMADLLYHAMVLLRVKDVKMEEVLEVLRKRFSQSGIEEKASRNKS >PAN31318 pep chromosome:PHallii_v3.1:5:50934892:50938658:1 gene:PAHAL_5G411700 transcript:PAN31318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPATAPARALVSSSSSSSRGVNFFSGTSRPNLVAAAASASAPGWRRRDPAVSVAAGSAQSALGALAVDPKVDKLLDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALAKTISTKKATFFSRSRSSLWTKGETSMNFINVHDIFLDCDHDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGSKPNESRQVTTTLYSLEDTISRRQEEATEGSGKPSWTKKLLLDNQLLCSKIREEADELIQTLLENEDQSRTALEMADLLYHAMVLLRVKDVKMEEVLEVLRKRFSQSGIEEKASRNKS >PVH39192 pep chromosome:PHallii_v3.1:5:53768825:53771554:-1 gene:PAHAL_5G452100 transcript:PVH39192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWVQSHLNVGLIVGVLFVVLTYLLVSQQAATTGGTRVVTTVAQWLVDKQLNEGERPSEKVVTKGAQQIVDKQLIQAPGETEVVTKVMCSTEERLSDYCELDGDVRIRGRAWSVDIVPSSWSSERRAWKIRPYSRRSATHVDMLNVTQLQGPGAAPACTVTYSKPAIIFALGGYSGNVFHDHADVLLPLFYLSRQYGREVQLLVINRVQPWWLGKYRLALRAMSRYDVVNLDGDTHVRCFRRVTVGLRLHKDFGIVPERVPGVRLAMPDFTRFLRETYALPRSAAANPAREPHRRPRLMLIQRQPHRRFLNEREIVRAAEEAGFEVAVTELHIDAAVDEQARLVNSFDAILGLHGAGMTNEVFLPPGGVLIQVVPLGKLGLMARVEYGEPATEMGLKYLCYNITVGESSLLETLGPDDPAITDPDSVHRRGWAALYDIYLTKQDVRLDIGRFALTLAEAMDHLRRQ >PVH38109 pep chromosome:PHallii_v3.1:5:10939327:10939815:-1 gene:PAHAL_5G180900 transcript:PVH38109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKQAELAERHPPNYGARGGRAAAGDTTACRPRATRLPLLLAAAPRAVPRAPLPLAVPAPRRWRRLRAELGAAGGQGGRGGGRRRLLGPRAGVPGRRRRRGRAAGVNVLSPFRVLAVAMGVGLFPSVHRREASRVP >PVH38337 pep chromosome:PHallii_v3.1:5:14774062:14776357:-1 gene:PAHAL_5G235800 transcript:PVH38337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIMQQILIQSKSTLFASAAAMVFGALFAAVASVPRSFLVYGVPGLVLLWQGGRLLNKLWWQPRRLERALRAQGLHGTSYRFLTGDLKEFNRLNKEAWARPLPLGCHDIVPRVTPFLCNHVREHGKTCFSWFGPIPNVTITDPALVKDLLSNKFGHFEKPQLPALTKLLSDGLTSHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSKWAQSLGSDGSCELDVWPELQALTGDVISRTAFGSSYLEGRRLFQLQSEQAERFVGAIQNIVIPGYMHLPTKNNRRMRQINKEVNSILRGLVGKRIQAMKEGEPTKDDLLGLLLESNMRDTDENGQSSLGMTIEDVIEECKVFYFAGMETTSVLMTWAMVLLSMHREWQDRAREEVIGLFGKTKPEYEGLSRLKTVNMILYEVLRLYPPAIVFSRRTYKEMKIGDVTYPAGAFIEIPILYIHHDPDTWGSDVNDFKPERFAEGISKASKDSGAFLPFGWGPRICIGQNFALLEARMAMCMILQRFELELAPSYTHAPHTVMTLHPMHGAQIKLRAI >PVH38863 pep chromosome:PHallii_v3.1:5:44934268:44934678:1 gene:PAHAL_5G371700 transcript:PVH38863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMLTGFISYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKAITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPKNCLSMTEEYELSTYDRHELN >PVH39455 pep chromosome:PHallii_v3.1:5:56964618:56965748:-1 gene:PAHAL_5G504500 transcript:PVH39455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAAPAAGALAAVLCGVGSCLGAQGSCAGCRRSSPSSRAATLSTSGERWDERREEQREKMVPRGGGWEERGRGGSADGGLSKVTGRPDEGGLVPHHRNMVYRLAAGVRHRPSLEPSRYLQPRPWANPASRPHTPPRHARGARGTARRKRLNLDGGPLGTHGGAEQSEDGGGGRVSGLFL >PAN27471 pep chromosome:PHallii_v3.1:5:5109083:5120169:1 gene:PAHAL_5G083100 transcript:PAN27471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPEATEAPPPPEDREGTAKDGVEVCLFDESADGFSRTVRAISELADLEPEPDFPDAEVERFASSITFLREWRHFSYEPKDISFNTGIESAPSRDGMHSIILPQFSAATVPQVTQQEDRRDNTVSFDSILFAGGNVWALDWCPRLCDKPGSSINCEYLAVSAHPPGSSYHKIGMPLTGRGIIQIWCLLAPFEDAHSRRPLDACNSISRRGRPRKIPDGTNSVGCSSNPPKPRGRPRKRPYNDQLEPVPKRPRGRPRKYPLPVAKLEDSSQNSGCQAIVLFDPLATSTGIPDDLPLAYVMPTTKSEKSTPKIGRGRPRKNPSDKLADSSAAVSKEDVRTEPSPTSAICAKPKRPRGRPRKYPVPINDKSVSGADFDLGQETTFQPVSFSGSLDHTVCKEFNAIPRKKPSDKLIGSSGAVSKEDACIEPSPTTSICAKPKRPRGRPRKHPVPINNTSVSGAVVDLGQEATCQPVSFSCSLDHTVCTEFNANLSIVAVDAALPVVHKLTSSADTIVKENACIESPTTSTRKQPKRTRVRPRKYSDTDIELGKDTIGWSVSSACSLDHTACTESNSNLSIVAVDAASLFTSSSTAISEKSKGQRSRAQNKKKTISYELCSPVVSGVEPWSMGSIETVPNGPMASVENTLISAQNMVSVTSDLCSANMLNSDDNVHKGALSGDLVQPIHISPKSRQSSGRRGRGRPKRNPLSVGTSSLVASGASSTKTTSVLTSSDNLTSLDKSDGEFIASNLGSIGSSGCSIEKSSVRLGVVSSDAASPRHGLCNANCKEESSTKRGSGSRKKPVSTEHSHFTDFNGKEQKMQTNLKSSDPVVLVENCMKGPCPRKGGGQPQRIPASNESNGTSVRGETHTMERFSTSVTTASPRNDDMADEAGLIQSNNGIVGCEGMKVNASSTSNVTSHCNGNAQANQAAPSFKNSDRVIDEVEATELIPLKEPREDDNMFSCAENSNSSPVPKDIALPRVVLCLAHNGKVAWDIKWKPPLLSQPEQKSRLGFLAVLLGNGSLEVWEVPSPCMIQKLYSPSKVEGSDPRFLKLQPVFRSVKVKCGNRQSIPLTVDWSPSPPHDMILAGCHDGTVALWNFSTNLSSQDSKPFMCITAESVPIRALSWAPYISEENINTFVTAGADGLKFWDLRDPYRPLWELTTAPRAVLSVQWLKDGRGIVISMEDGTLKFLSLPRIANDVPATGRPFVGTKTQGVATYQLSEYLIWSVHASDTTGCAAYCGADGTAVCFQLTPRFWEKEPGRNRVPYFLCGSLSEEGENIKIGSGLQMSPLPNVPVVNKKGTKPCQNIVQGLPASDVTGPPACQLNTPTGNRDIVNPELGYDQDGGHCEEQGTGAVDTELADDQDDGHGEEQGAGAVNPELGDDQDNGHRKGQGAGAVNPELGDDQDGHSEEPGAGAIVLAGPTEQEDAGTLNSKGGESPKDFEVLPPKSVALHRVRWNMNKGSERWLCYGGAAGIIRCQRI >PAN31637 pep chromosome:PHallii_v3.1:5:52526635:52527734:-1 gene:PAHAL_5G433100 transcript:PAN31637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIVIKADLVGKACMSDILSVVATLQGIKSMEIDAEKCTLTVVGAVDPVRIAQKLKKKCFAATIISVADDKPKPPEPKDPCKEACEKLCKERCDKIACCKECKDKCEKACKERCERRCKAWIEGGSCSCGRCCRTSPGFCYTPGYPYCGCGRGCGGWPGPFGC >PAN32251 pep chromosome:PHallii_v3.1:5:55141159:55153667:1 gene:PAHAL_5G473500 transcript:PAN32251 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MYVEKHKEQIPDIVSLILSAGTDILEARKPSKKEASSSSSGDAYSESLSWLQWLMFNYEPEAMLDDLEHSSAGERAVCGSVWGQSDLAYRCRTCENDSTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGADQIKPLPEELAQSVGPVLDVLLQFWKERICLVEPPPAKGDGSSSCKRVAEELTMSIANMMLEFCTCSESLLSFLSLRIRECQDLLDALIRSERLLDKKVAKKLHELLLKLISDPAFKYEFAKVFIRYYPVTFGEVIKGGNDSLLEEYPLMSTFSVQIFTVPTLTPRLVRDPEVNLLGVLLGCLTDLFVSCIGEDGRLQTNKWGNLFDASIRLLDDTHYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYFGIVQNLLIKGSFSPPDQHESTDVTVCSTAIKGMESAENQRHAKVGRVSQESSVSNLSCRDSSLTCGLPSPAVWLMLQCLKAIESWLEPDIALRSKLSSLDASSSDSRNFMASLEDWTSDKGTSSNTKIGVMGVKINEGSQPDGIADYHGTSSSPIQDHCDRMQIDQEGIPPASNRTGKGKMLDSSNTTDIRLHDENAITYTLTDGSLLYAHPDSRIEELGILNTRGWPHVIFDVSSQETSFHIPLHRMLSLLLRKAMKRCFGEDARPDEHSVVQSCEFFSHVLRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQRLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTSLDLAEQNEYESVLMQEMLTFLIQLVKERRFCGLSTADNLKRELIYKLAIGDATHSQIVKSLPRDLSSSDQLQNVLDSLAVYSNPCGMKQGKYVLSKSCWKELDLYHPRWNSRELQIAEERYYRFCKISALNAQLPRWTHVFSPLSSISNIATSKAVLQIVRAVLFYAVYSEASSASRAPDNVLVTGLHLLWLALDICESERQVHANQYGMDVVQHDDESWVVLSSYAEDAFPILTYSTEVVSPESDKVKKESLLTLLVSLMHKYKEENDATFSGSKYCNIPSLIESLLKKFAKLSKECMFTLRQLAPHIVPSTPDSSSIKESLGTSSDSMEKKAKARQRQAAIMAKMRAEQSKFAESMKSSENEGHDVPMLETDVSSSNGVVSEESVPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGNPSWDNPIQANKTSGSVKKEDSTDSSVSGSSTSEELVHDATVEPSFDLDSMEVDAFLDFSNEQHPLIRYISSFPSGHCNSNADETITLEAIEADIYNSILDDLFVSSNAYIQNAEQTSPSAASNITFDSKKTRSPKRSVLGTYVSCLSAKHRHSSLYDVPSKSSASVSTRNRFGPINCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASPDFSSKTMKVKPFVETLTPEVVTTSHAKTSNLQFPRALSLLESAGKIVGQSKFLKALSGKLNDTTEPALEPSLRRLAMLYYYRGPSGFSASERKRLNPSLFLWDTLRYSVVSTEIASRGRMLSQSIEPKSCLESLRGELNSSSGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLAGSICSCISGYKDVLNATKRKGSLPPMVDPGEGGPLFPDVQFWKQCADPVLAQDPFSSLMSTLFCLPVQFLSSAEFFIPFVHLFYVVCAIQAMITCYGEETFDRSSFSDCLLNDVCKTMSGYDIAREYFVSKYIDPSCHPKDMVRKLTYPYLRRCALLWELLRSSATSPLYDNSNIWEGSHLYLSNSMQDGSSSLTVELNGIGELENLFQIPSLDLILQDVSVHMLALKWSQHFCEDYSSRKYRGTLFSTPAVPFRLMQLPPVYQVLLERYIKMQCPDCGIVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHASQCGAGVGIFLLVRKTTILLQRSARLAFWPSPYLDMFGEEDHEMQRGKPLYLSQERYTALTYLVASHSLDRTSEVLRQTTISFYGSD >PAN32250 pep chromosome:PHallii_v3.1:5:55140436:55153667:1 gene:PAHAL_5G473500 transcript:PAN32250 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MAGIDAGEGAAAAAPPPEMTPEQRIQQKLILYGVPEEQLQEHQEGLLMYVEKHKEQIPDIVSLILSAGTDILEARKPSKKEASSSSSGDAYSESLSWLQWLMFNYEPEAMLDDLEHSSAGERAVCGSVWGQSDLAYRCRTCENDSTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGADQIKPLPEELAQSVGPVLDVLLQFWKERICLVEPPPAKGDGSSSCKRVAEELTMSIANMMLEFCTCSESLLSFLSLRIRECQDLLDALIRSERLLDKKVAKKLHELLLKLISDPAFKYEFAKVFIRYYPVTFGEVIKGGNDSLLEEYPLMSTFSVQIFTVPTLTPRLVRDPEVNLLGVLLGCLTDLFVSCIGEDGRLQTNKWGNLFDASIRLLDDTHYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYFGIVQNLLIKGSFSPPDQHESTDVTVCSTAIKGMESAENQRHAKVGRVSQESSVSNLSCRDSSLTCGLPSPAVWLMLQCLKAIESWLEPDIALRSKLSSLDASSSDSRNFMASLEDWTSDKGTSSNTKIGVMGVKINEGSQPDGIADYHGTSSSPIQDHCDRMQIDQEGIPPASNRTGKGKMLDSSNTTDIRLHDENAITYTLTDGSLLYAHPDSRIEELGILNTRGWPHVIFDVSSQETSFHIPLHRMLSLLLRKAMKRCFGEDARPDEHSVVQSCEFFSHVLRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQRLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTSLDLAEQNEYESVLMQEMLTFLIQLVKERRFCGLSTADNLKRELIYKLAIGDATHSQIVKSLPRDLSSSDQLQNVLDSLAVYSNPCGMKQGKYVLSKSCWKELDLYHPRWNSRELQIAEERYYRFCKISALNAQLPRWTHVFSPLSSISNIATSKAVLQIVRAVLFYAVYSEASSASRAPDNVLVTGLHLLWLALDICESERQVHANQYGMDVVQHDDESWVVLSSYAEDAFPILTYSTEVVSPESDKVKKESLLTLLVSLMHKYKEENDATFSGSKYCNIPSLIESLLKKFAKLSKECMFTLRQLAPHIVPSTPDSSSIKESLGTSSDSMEKKAKARQRQAAIMAKMRAEQSKFAESMKSSENEGHDVPMLETDVSSSNGVVSEESVPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGNPSWDNPIQANKTSGSVKKEDSTDSSVSGSSTSEELVHDATVEPSFDLDSMEVDAFLDFSNEQHPLIRYISSFPSGHCNSNADETITLEAIEADIYNSILDDLFVSSNAYIQNAEQTSPSAASNITFDSKKTRSPKRSVLGTYVSCLSAKHRHSSLYDVPSKSSASVSTRNRFGPINCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASPDFSSKTMKVKPFVETLTPEVVTTSHAKTSNLQFPRALSLLESAGKIVGQSKFLKALSGKLNDTTEPALEPSLRRLAMLYYYRGPSGFSASERKRLNPSLFLWDTLRYSVVSTEIASRGRMLSQSIEPKSCLESLRGELNSSSGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLAGSICSCISGYKDVLNATKRKGSLPPMVDPGEGGPLFPDVQFWKQCADPVLAQDPFSSLMSTLFCLPVQFLSSAEFFIPFVHLFYVVCAIQAMITCYGEETFDRSSFSDCLLNDVCKTMSGYDIAREYFVSKYIDPSCHPKDMVRKLTYPYLRRCALLWELLRSSATSPLYDNSNIWEGSHLYLSNSMQDGSSSLTVELNGIGELENLFQIPSLDLILQDVSVHMLALKWSQHFCEDYSSRKYRGTLFSTPAVPFRLMQLPPVYQVLLERYIKMQCPDCGIVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHASQCGAGVGIFLLVRKTTILLQRSARLAFWPSPYLDMFGEEDHEMQRGKPLYLSQERYTALTYLVASHSLDRTSEVLRQTTISFYGSD >PAN32871 pep chromosome:PHallii_v3.1:5:57935469:57936700:-1 gene:PAHAL_5G518000 transcript:PAN32871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRSSLLLVAATALLAVAAAAAVPPTCERIECPAYEVVDSANGFEIRRYTDAMWVSTAPIEDISFVAATRAGFLQLFNYIQGKNAYNETIEMTAPVLTEVSPSDGPFCASSFVVSFYVPAENQADPPPAEGLRVRRWAGARYAAVRRFGGFVADADVGEQAARLDASLQGTRWAAAVNEGRGASYTVAQYNSPFEFSGRVNEIWMLFDGAKVGSDVN >PAN31325 pep chromosome:PHallii_v3.1:5:50975178:50980944:1 gene:PAHAL_5G412400 transcript:PAN31325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSASQAAAPPPPAYGAPPPFAAADAAAAAPPPKPHEEEAAEEKVDYLNLPCPVPYEEIQREAFMALKADTFEGMRFDFTKMISPHFALSHSVNMGSVEVPAQGNDVIKIPTSNYEFGANFINEKMMLMGRVSHEGRENIRVKFDLTENLSVKINAQLTSEPHYSQGMFNFDYKGKDFRTQIQLGNNAFYGANYIQSVTKNLSLGTEAFWLGQQRKSGVGVVARYDTKKWVATGQIATTGMVALSYVQKVSEKVSLASDFMYNQMTKDVTASFGYDYILRQCRLRGKLDTNGVISALLEERLTPGVTFQLSAEIDHWKKDYKFGFGMAVGE >PAN31578 pep chromosome:PHallii_v3.1:5:51935524:51936773:1 gene:PAHAL_5G423800 transcript:PAN31578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFHPYGMPPPVPPYFYPAPPTMPPPAGPPMQQVSEGPRVRGGDPDAGKSGPKVKLPNFNPEEDVNLTKWWLNISTDPVFNIGQRKEGFWLRIMKGYNSSRGVYPERSQKSLTTRWDYIKECCTKFSEFYSSVLRLNPSGMSDVDKTTEAMARYAAALQKPFTQMHSWKLLKDEPKWEACIGAHSKVHVLDDDSSNAAAGGANGVGGPAESDAPASSGSKRPIGRDATKATRKKAATSSSSSEYISQMNDMWGNKLSLIKESHAEMASHHATMAVLQEKKITTDRELQEKKMSTERELEERRLALEERRLEMEMNDRESRMEMERSRAAKEERAEDKRILSIDLDRCSPALRLFYKRQQEQILAKYSLPPP >PAN32958 pep chromosome:PHallii_v3.1:5:58224288:58224691:1 gene:PAHAL_5G523200 transcript:PAN32958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNVNCLHFTLLCSLLLIWSIKFAVVFTFGNILAWEHNLHYGAPEQQLRTMFDPVRLYATAIYVGCAVLALILALWVRYI >PAN27744 pep chromosome:PHallii_v3.1:5:6296462:6299263:1 gene:PAHAL_5G103500 transcript:PAN27744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGAHVSALPPCPPHAPLLYSRRLSPSPTAPPAAAASAPRSLCFLRRGRSRFAAERTRRPTMAEAIPLEAAGGLAHDLGSAAVTASVALALLKFFEELAKRGVFDQKLSRKLVHISVGLVFLLFWPLFSSGTYAPFLAALAPGVNIIRMLLLGLGLVKNEAMVKSMSRSGDHRELLKGPLYYATTITFATSVLWRSSPIAIAVICNLCAGDGIADVLGRRLGKEKLPYNPNKSYAGSIAMAVAGFLASVGYMHYFHTFGFIEETWNMALGFLVVSIAATLVESHPISTELDDNLTVPVTSFLVGSLIF >PAN30004 pep chromosome:PHallii_v3.1:5:17194019:17195735:1 gene:PAHAL_5G266300 transcript:PAN30004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTWYSTLHPSRSNRSLPTTTMDTGGNSLPSQSCPDGAKRRVCYYYDRHIAGVDYGEDHVMVPRRVDMAHALIRSYGLLGDMARLRSSPATDAEISGFHDGRYVGLLRDLTPEGFGAGGEVASRARCFNVGVVSTDGRSVDNPPVAGLWDYCQRYAGGSLAAARALASGEADVAVNWSGGMHHACRDRASGFCYVNDIVLAIDELLGHFRRVLYVDIDVHHGDGVETAFVGSNRVMTVSFHQRTEGFFPERRGLLEHVGEGDGRHRAVNVPMKKGMDDDGYRRLFEPIMSKVMEVFQPEAIVMQCGGDSLSGDRLGHLNLSIDGHARCVSFMRSFNTPLLLLGGGGYTINHVAACWCYETAVAIGKEIANDMPAHCYDGYYRSQGYKLRYPVDKNLKNDNTDTYVTRTKCAVLRNLSELEAAPSVEFKEPAGGSIDAEALFYRPAPREDDDPMERLHRRCGEMEERGFLMELGKRQLDLAKDDRESGGHHHAHRPEPVKKHRSGKLHMEYHAHDHEF >PAN28506 pep chromosome:PHallii_v3.1:5:9503695:9504002:1 gene:PAHAL_5G157500 transcript:PAN28506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGMEVHKNRWIEEWNAGRENLEFNFRWSRRSLAVVGLFGLAVPILVYKGIVREFHMQDEDAGRPYRKFL >PAN28916 pep chromosome:PHallii_v3.1:5:11224902:11228610:-1 gene:PAHAL_5G186100 transcript:PAN28916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSGEGAPKRKPLPSALVSNLESVLAARRPPPAEEVSTAAASAEAEAPAAEASDAPPDDGAPARPVVLLTCAGGIQSPGLAALVDALVAGGRCDVHMCAPDSDKPACGHSITVRETITATSVDFKGAKAFEISGTPVDCVSLALSGRLFPWSAPALVISGINAGPNCGYEMFHSSAIAAAREALVYGVPSIAISLNWKKDESKDSDFKDAAQACLPLINAALADIEKGNFVKGCLLNIGVPSSPSANKGFKLTKQSGYSPAQSWQAVSTNRPSSAVHFMGMHQSLGIQLAQLGKDASAAGAARRVSAQRKTVEVESVAAAGKQEAREVVKKLFRAEFVEKKHEDLNEDIDLRAMENGFISVTPLNVHGQVEAEIGAPVSDWLSAVVAEEKEDPSAA >PAN28504 pep chromosome:PHallii_v3.1:5:9361141:9370500:1 gene:PAHAL_5G154600 transcript:PAN28504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESRKRDVPTASAENISSPLDEDFGNDFLSSWKLPKSGKDTIDFTVDSVPKSSKRFSFGNLDDFGLDGAFDKLPSFKMGMSDLDFSSPLKKKVKHSSSNGDDLSDGKKESEKNNFSFSFDFNELGKFCLDAKLGIEEKSMSKFTGKVDPVSSEGNKDAQRGLSAKASGILEDNNSKDKPQTQGVCTLRPSHPTNRESVKNARLPASNINAADSSDKIQEHTSVSPAIMKQTKVDSVPNGNHREHPKEIYPTKAAVNIPSQNFSGSAPSGEDPTQVLADPMSTKGATIADIGKVHISRESNDKEQSIGSQSKDTSTINPNVSGRLVGQFDSRNEVVEESVSLNEGSQGNRSFSDVHKKLLKKTSCGTKDTDEGTSGHKSLSSSMQRGITNVESALANERGSFSILSKSTNMKASRVELTSETALNQLSGASKVIKKMTLHPTDLKREHKQANAGPDKCKNALSKTYSKQASHGLLTTSINSKGDRNAKSGIAKLGIRSPMSDRVPEKESVQLTGTKGSPLTTSQTLNSVPEGKPALRSPAIMQKVPEESFLDPKAPTVLKHIMRSPAVRKSPQTVPELGNEMILGSGTPKAHVDIAISSRMPSEMGDISDLELPMLLENDGNLEKAEACRKELEDICILLKRKHAEAKELAVRAIVNNNTMLMLNHPMFEEKICSIKKFANGLRSKKYLFEEVGSINANLLCATSTATSKAIISLIGSAVYSWRPKACYLSVHQRAFSASG >PVH39214 pep chromosome:PHallii_v3.1:5:53999604:54002410:-1 gene:PAHAL_5G456100 transcript:PVH39214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPRRPPLLCGEGEPRQLLLPHGFPRAGRRRSSERRLWRAAPRGCRGAEKVAIPVLAFGQYGGRRGWSDQLAAGDGGRRAQRQEGNERRPLLFGARLIEHELVGAEAEAAPQGAEAIVVPSETSPRPPAEVQVDRGWLYCLLCSSSLKPPIYQRLSHVPRRRRRRLHVPCHFAEYGCESFVPYFRSDEHRNACGHAPCHCPEPGFYLVCSPRALAAHLADHLRHAPHALPPGSGGAGVVPGVAGAAPAGLRLLRGDDASVFVVAAGPLGGGAAVSVVLVRASFPGHPRFACTFCAKPPPGPAAAVLEGACFFATVPVRSSALADGAGVAPEKELYFAVPGEMLCEGGSRELAVSVRIDRSCGPEPPLEDYQMITQN >PAN31206 pep chromosome:PHallii_v3.1:5:50224854:50226015:-1 gene:PAHAL_5G404500 transcript:PAN31206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTANCIDILIAIILPPLGVFLKFGCKVEFWLCLLLTILGYLPGIIYAIYAITK >PAN29559 pep chromosome:PHallii_v3.1:5:14637259:14638710:-1 gene:PAHAL_5G234200 transcript:PAN29559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSASKVREWMRKRMAPRRKAAGIGDSGSSGDHQSAPASPGRKLLAGAVPSALRWRKPRGNALAALFRWATYHLLWLVESVVVVAQLVFFFVRFGFRL >PAN31467 pep chromosome:PHallii_v3.1:5:51773266:51775881:-1 gene:PAHAL_5G421700 transcript:PAN31467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPGDAARCPEIPRRCHHCAGPLSKDMETSSWTVSPMVRDSFSMIGSAVGGIAGAFYGFNHTMPVVRRYIKGPMWIHFLVGAPPVIVFSSACAGLAGCHGAHASPGAATP >PAN31541 pep chromosome:PHallii_v3.1:5:51770660:51776065:-1 gene:PAHAL_5G421700 transcript:PAN31541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPGDAARCPEIPRRCHHCAGPLSKDMETSSWTVSPMVRDSFSMIGSAVGGIAGAFYGFNHTMPVVRRYIKGPMWIHFLVGAPPVIVFSSACAGLAGGTIPAAAQLVSSSYHAAMSSPSGRSSPHTAMASQSFACSASHDDMHKARSSSPL >PAN27856 pep chromosome:PHallii_v3.1:5:6808746:6811673:-1 gene:PAHAL_5G112900 transcript:PAN27856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTATDKCFSPARSMSPMPIMRPPTSPDAASQYLEELLQEQQKLGPFVQVLPICGRLLNQEIMRISSMISHLGLRGNERLPPIASPNHMHPLPRVPNFCGNGFGPWNGMQPECSCSQVLCGRQDAANGTNKIPSHLLNMLSSMDRNGFPRGAMGWQGAAQNPSSYIVKKIVRLEVPTDAYPNFNFIGRLLGPRGHSLKRVEATTGCRVFIRGKGSIKDPVKEEQYKGRPGYEHLGDPTHILIEAELPADVIDARLAQAQEILEELLKPVDESQDNIKRQQLRELAMLNSVYREDSPHQNGSASPFSNGGTKQ >PAN27855 pep chromosome:PHallii_v3.1:5:6808746:6810987:-1 gene:PAHAL_5G112900 transcript:PAN27855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCHQLQVQIICIHCPGCPISVETVLVRGMGCNLSCSQVLCGRQDAANGTNKIPSHLLNMLSSMDRNGFPRGAMGWQGAAQNPSSYIVKKIVRLEVPTDAYPNFNFIGRLLGPRGHSLKRVEATTGCRVFIRGKGSIKDPVKEEQYKGRPGYEHLGDPTHILIEAELPADVIDARLAQAQEILEELLKPVDESQDNIKRQQLRELAMLNSVYREDSPHQNGSASPFSNGGTKQ >PAN27854 pep chromosome:PHallii_v3.1:5:6808345:6811997:-1 gene:PAHAL_5G112900 transcript:PAN27854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTATDKCFSPARSMSPMPIMRPPTSPDAASQYLEELLQEQQKLGPFVQVLPICGRLLNQEIMRISSMISHLGLRGNERLPPIASPNHMHPLPRVPNFCGNGFGPWNGMQPERNGFPRGAMGWQGAAQNPSSYIVKKIVRLEVPTDAYPNFNFIGRLLGPRGHSLKRVEATTGCRVFIRGKGSIKDPVKEEQYKGRPGYEHLGDPTHILIEAELPADVIDARLAQAQEILEELLKPVDESQDNIKRQQLRELAMLNSVYREDSPHQNGSASPFSNGGTKQ >PAN31063 pep chromosome:PHallii_v3.1:5:48897928:48902191:-1 gene:PAHAL_5G392200 transcript:PAN31063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTATMATAVGAAMVLYFVLSRRLAGEDVSAGGGGGGGAGKRRRGRAARRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASIYAGSNCIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFRELAGFSQDDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHLSLLDEGGVSKLVLGYAHCGMVAAARWIARSVTPCLREAVRQCPDYQIKIVGHSLGGGTAALLTYILREHTEFSSTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARERVAGAGAFLRPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVTASSKDDMTTETHVTSTVESESFIVDQHGTKTIEELQYTAASVSVHEEAGEEEALLSEHETSQEHAEEEITDGELWYEYEKDLDRQVEVEAQTREEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLERQQFYPPGRIMHMVAMPPTDADPDDSVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKMMEILIEKFAKDEDNFCTGSTVQQYEGTNDLYTS >PVH38932 pep chromosome:PHallii_v3.1:5:48898218:48900419:-1 gene:PAHAL_5G392200 transcript:PVH38932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTLTAATGAVVPFHLSLLDEGGVSKLVLGYAHCGMVAAARWIARSVTPCLREAVRQCPDYQIKIVGHSLGGGTAALLTYILREHTEFSSTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARERVAGAGAFLRPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVTASSKDDMTTETHVTSTVESESFIVDQHGTKTIEELQYTAASVSVHEEAGEEEALLSEHETSQEHAEEEITDGELWYEYEKDLDRQVEVEAQTREEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLERQQFYPPGRIMHMVAMPPTDADPDDSVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKMMEILIEKFAKDEDNFCTGSTVQQYEGTNDLYTS >PAN31087 pep chromosome:PHallii_v3.1:5:49242749:49244161:1 gene:PAHAL_5G395400 transcript:PAN31087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGGAKPTTRFGFSWADEVEREEREQAAMQQQQQQPQEAKREQIKADPFGAARPREVVLAEKGVDWRARDRELDLGAAPRPPRSAARGRRHAAATAAASACAATPARGVPLDRDAGRTPHPRRQAAAAAAASTPRTRPTGRRNVASVGCSAGGGSKRKFAGEGPVRRARPVGDHGEQGRRVFGELNVGNGCGSSFCDSTAGNGSNCNPGGSRTEGMKAAGAAAADGAPSAAVTATGLDESAAAQKRRRGAKRRKGRGLGKAKEQQKAQPV >PVH38311 pep chromosome:PHallii_v3.1:5:13929072:13929569:1 gene:PAHAL_5G226000 transcript:PVH38311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRRRDHSFFYWGRVHERQLQTSDIHRLYLPFRWHQQDPAKVRNFVSRLLHSAAVF >PVH37929 pep chromosome:PHallii_v3.1:5:7526450:7527566:-1 gene:PAHAL_5G125800 transcript:PVH37929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSADKSPVPPATGLGLGVGGGVGGVGLGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQCPVAAGAGSPSSNSTIDSSGGGSGCGVQAPMQAMPLPPALDLDLFHRAAAVTAVSPGGMRFPFKGYPVARPTSTPHPYFFYEQAAAAAAAAAAAGYRMLKVAPPPVTVAAVAQSDSDSSSVVDHTPSPPAVTAKEVSFDLDLNWPPPAEN >PVH39008 pep chromosome:PHallii_v3.1:5:51377131:51377872:1 gene:PAHAL_5G416200 transcript:PVH39008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQQAVFLSFGTACSICRPSTIVGRTQVGSRPRERTNIWRRPPRGSCWPRACPRRAAAAAAASASCRCPAGCRAGGSWCGPRRRPRRRRRPRRKGRASWPPSPRPRSRRRSGPSGVPSDSDESDQANGWKKSWEFGVTLWDLGKQAPDIEQAHS >PAN32252 pep chromosome:PHallii_v3.1:5:55161954:55163839:-1 gene:PAHAL_5G473600 transcript:PAN32252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGNCGCGSGCKCGSGCGGCKMYPDTAEQGTTTTQTVIMGVAPTNKGHAEGGFEAGAGAENGCKCGDNCTCNPCTCGK >PAN28636 pep chromosome:PHallii_v3.1:5:10193931:10195207:1 gene:PAHAL_5G167900 transcript:PAN28636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAASHPVPDVGSFSYSWPTSKPERQPEERLDHAGVDGSIATDPASASQCSFDFRLSPPQQTADAGQMFLDGLLLPLQLASRHGQDGGDSGRKGPALARSLSLDSSQRMVASAAASSRRHRLPRPASQTSSPCGRGAVTPARSAVFRASKLRLPSFGRCGKRRKWMSFRLLAPLCRKIARCVWRRKVMDVSHRTQYGGDNVKLCDSGQENAIRDAILHCKRSL >PAN32636 pep chromosome:PHallii_v3.1:5:56751386:56752686:1 gene:PAHAL_5G501800 transcript:PAN32636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGESLAAPLLERSAGRRDPFVEVRLYRRGAGPVAIFRSDLSGPRRDRLDVRRIQANHGLRALYAFKPEGSRRGLRIRCDPAAGYSALPFRDGAAIALDGEPKESWTKPVSVIVAGLLVPALMAAVAVNGVPEPLRSSRVINGIFPPWILVSAVIIFARARTRPRAP >PVH39570 pep chromosome:PHallii_v3.1:5:59320045:59322126:-1 gene:PAHAL_5G537900 transcript:PVH39570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARSLLRSSASLLRAAPARSSASSAAARPPLRRALAAPPRLLRSPVESSFCVESLLPLHSATAGARMTSMLAIPGRGLGWLTQAETDGV >PVH39258 pep chromosome:PHallii_v3.1:5:54661203:54661904:-1 gene:PAHAL_5G465800 transcript:PVH39258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFFLLFLVSSAPLMLAAAADGQGAEPCPTVPVPCGKVNISFPFAIVPEEATTRSCGAIGLQVVCLNNIPFLGYNRHNHQFQILDIFYGNASLLVVDTHKLEHFDSSGPKPCHAPENNSSNKLGLPFSISSKNQNLIFYNCPKPLTEKVQRSGGLVETRCGNKTFARVAERLDESGSYGGYFLEGCTATLVPVLVGSGKANASRYEELVKHGFLLSWQVPPPSPSGMQHLA >PAN29236 pep chromosome:PHallii_v3.1:5:12859812:12866665:1 gene:PAHAL_5G210900 transcript:PAN29236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEPSITRWTFEDFEAYYEARLGVRHEPADDGDDGAPLRGSDHLAGRPAVPRANGGADLAVFEQFERMVLERKVEVLNGAIEDGPPVKPLLPSFESAEMRNLAESLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARHAMFEELLPSAPGRMEIPYEVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMTVLERNQEEVPEDELPEVGPVTAEDIELALRNTRPSAHLHAHRYEKFNQDYGSHVHG >PVH37683 pep chromosome:PHallii_v3.1:5:3641913:3645110:1 gene:PAHAL_5G057600 transcript:PVH37683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLLDPSIPTISSRDLAAVDGLVAVHIGRSGHAPRAPEVSIRSGSYGGRGVMETRRHRRGRKKRLLLPLSLLCLVVLLPGALLAPSASASSSSSPAAGGGRKRRWAEFDYYVLALQWPGTICRQTSNCCETNGCCRSKPLKWFTIHGLWPQYNYGGWPSCCRPTRFNINKILMLMPILEKYWPSLYCGSSSTCFGGRGPFWVHEWETHGTCAYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIRPASGRKYAVGHIVAVIEYAFGAMPSLVCKNGSVQELRLCFHKDYQPRDCTFETDKAPNSRSQCPRYVTFPSYKPSVLANATEGISDQAIGELHAYG >PAN28301 pep chromosome:PHallii_v3.1:5:8602226:8607957:-1 gene:PAHAL_5G143900 transcript:PAN28301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASCSHPWRLFPGMSPPPAAGPISAPAPLRAQKYSMFARLPHRRRPLFLGTRRARIRCVKDDSLHFDPSKIEPPPYSSYLDSTSGQLEPASGARASIPGKEYWPEGTAARVRAARAPAPVGESAGAPSFGTKPGSRRRGYKEQVTSASGTEGAQTDDGKDDGEPVVAIVDPGDDASEEPKDSADEYVIYETPEEEELSEYDMDKMMGRPHPFIDPAKAMSLGEPKTSEELWWNWRRKSQKEEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPSRTEAALAKTRRHLYKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAIQKHFEETGEDENAQLIKMFQHQTAGEYRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVQDPEEVIDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEERDDINQDITYIPEVKDPMATAVDIGEHSFNEDSDDEDEDVDKAAAQPESVEDGEDDAEEGEDKVRQNWSVLKSTGQAEKPKEKSKKGEMSLKEAIDDSENLTDFLMDFEEDE >PVH37988 pep chromosome:PHallii_v3.1:5:8603529:8607738:-1 gene:PAHAL_5G143900 transcript:PVH37988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASCSHPWRLFPGMSPPPAAGPISAPAPLRAQKYSKMFARLPHRRRPLFLGTRRARIRCVKDDSLHFDPSKIEPPPYSSYLDSTSGQLEPASGARASIPGKEYWPEGTAARVRAARAPAPVGESAGAPSFGTKPGSRRRGYKEQVTSASGTEGAQTDDGKDDGEPVVAIVDPGDDASEEPKDSADEYVIYETPEEEELSEYDMDKMMGRPHPFIDPAKAMSLGEPKTSEELWWNWRRKSQKEEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPSRTEAALAKTRRHLYKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAIQKHFEETGEDENAQLIKMFQHQTAGEYRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVQDPEEVIDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEERDDINQDITYIPEVKDPMATAVDIGEHSFNEDSDDEDEDVDKAAAQPESVEDGEDDAEEGEDKVRQNWSVLKSTGQAEKPKEKSKKGEMSLKEAIDDSENLTDFLMDFEEDE >PAN28302 pep chromosome:PHallii_v3.1:5:8603295:8607957:-1 gene:PAHAL_5G143900 transcript:PAN28302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASCSHPWRLFPGMSPPPAAGPISAPAPLRAQKYSKMFARLPHRRRPLFLGTRRARIRCVKDDSLHFDPSKIEPPPYSSYLDSTSGQLEPASGARASIPGKEYWPEGTAARVRAARAPAPVGESAGAPSFGTKPGSRRRGYKEQVTSASGTEGAQTDDGKDDGEPVVAIVDPGDDASEEPKDSADEYVIYETPEEEELSEYDMDKMMGRPHPFIDPAKAMSLGEPKTSEELWWNWRRKSQKEEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPSRTEAALAKTRRHLYKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAIQKHFEETGEDENAQLIKMFQHQTAGEYRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVQDPEEVIDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEERDDINQDITYIPEVKDPMATAVDIGEHSFNEDSDDEDEDVDKAAAQPESVEDGEDDAEEGEDKVRQNWSVLKSTGQAEKPKEKSKKGEMSLKEAIDDSENLTDFLMDFEEDE >PAN28304 pep chromosome:PHallii_v3.1:5:8603529:8607738:-1 gene:PAHAL_5G143900 transcript:PAN28304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASCSHPWRLFPGMSPPPAAGPISAPAPLRAQKYSKMFARLPHRRRPLFLGTRRARIRCVKDDSLHFDPSKIEPPPYSSYLDSTSGQLEPASGARASIPGKEYWPEGTAARVRAARAPAPVGESAGAPSFGTKPGSRRRGYKEQVTSASGTEGAQTDDGKDDGEPVVAIVDPGDDASEEPKDSADEYVIYETPEEEELSEYDMDKMMGRPHPFIDPAKAMSLGEPKTSEELWWNWRRKSQKEEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPSRTEAALAKTRRHLYKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAIQKHFEETGEDENAQLIKMFQHQTAGEYRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVQDPEEVIDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEERDDINQDITYIPEVKDPMATAVDIGEHSFNEDSDDEDEDVDKAAAQPESVEDGEDDAEEGEDKVRQNWSVLKSTGQAEKPKEKSKKGEMSLKEAIDDSENLTDFLMDFEEDE >PAN31275 pep chromosome:PHallii_v3.1:5:50610218:50614270:-1 gene:PAHAL_5G408500 transcript:PAN31275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALLTAFVVACLLFLSKPCARDMRLFLASLFQQLALSLLGFLAGLRLLGGVAAAPETMPLMPSFKRKRAAAMVENVEEVPAAAGEPSVLDLPELAIDCILERLPPAELRNMAAVCRSMRERCRSDHLWERHMSEKWGRVLGRAARDEWRAHLASASESSAAGSVSGGGGKRRRWLAALSCVCPVVSWMRPRADSGKSSGPMLDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDYHSDTFRARYPPHGRRTVVLEDGVQWDRVRAPPVNTLAHDLHASDCLHELRPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNEHFCRCHFSDTVVLEFNQYTHGSRWRQALVNRKDHREEGNEGDGFYGGLRKLRSKDDISKWRQLWPTDILE >PAN27702 pep chromosome:PHallii_v3.1:5:6049055:6057538:-1 gene:PAHAL_5G098500 transcript:PAN27702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSHVLHSLPPCFPSAPKFVAAGERDTARRNRRRRAVAAMRIEEVQSTSKKQRIATHTHIKGLGLDANGAAIAMASGFVGQVEAREACGLIVDMIRQKKMAGRALLLAGPPATGKTALALGVSQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYAKSISHVIIGLKTVKGTKQLKLDPSIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKQRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAYLGEIGQQTSLRHAIQLLSPASVVAKTNGREKICKADLEEVSGLYLDAKSSARLLQEQQERYIT >PAN27686 pep chromosome:PHallii_v3.1:5:6049585:6057431:-1 gene:PAHAL_5G098500 transcript:PAN27686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSHVLHSLPPCFPSAPKFVAAGERDTARRNRRRRAVAAMRIEEVQSTSKKQRIATHTHIKGLGLDANGAAIAMASGFVGQVEAREACGLIVDMIRQKKMAGRALLLAGPPATGKTALALGVSQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYAKSISHVIIGLKTVKGTKQLKLDPSIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQRWICRGHAVAPPSAHDVTLHDLDAANAQPQGGQDILSLMGQMMKQRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAYLGEIGQQTSLRHAIQLLSPASVVAKTNGREKICKADLEEVSGLYLDAKSSARLLQEQQERYIT >PVH37450 pep chromosome:PHallii_v3.1:5:522415:523996:1 gene:PAHAL_5G006800 transcript:PVH37450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGRSDGELASYRYSSGAAHVAGWLQLRMRPAMYYCTACIPNHLLPLPPRFLPLPTRSPSTPLSSLLASSSDRSINCLTRPFIIASASCGPPIRSDPIEREERQLTTIYMMQGGGGDDDETAAAAGGLVDNHRRRQQQQQPLMMEIGWPTDVRHVAHVTFDRFHGFRGVPEDLQPEVEVRGAPSASKTVFGVSTESMQCSYDARGNSVPTILLHLQRRLYDQGGLATEGIFRITAEAGQEQRARDQLNTSGVVPDGVDVHCLAGLIKAWFRELPGGLLDALPADEVMRCQTEDDCVRLCATRLTPPKAALLDWAVNLMADVAREEKANKMGTRNVAMVFAPNMTQVMNLLNMLIERALKKHQPSPPDHPSSSSSSAAAARP >PVH37451 pep chromosome:PHallii_v3.1:5:522416:523995:1 gene:PAHAL_5G006800 transcript:PVH37451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGRSDGELASYRYSSGAAHVAGWLQLRMRPAMYYCTACIPNHLLPLPPRFLPLPTRSPSTPLSSLLASSSDRSINCLTRPFIIASASCGPPIRSDPIEREERQLTTIYMMQGGGGDDDETAAAAGGLVDNHRRRQQQQQPLMMEIGWPTDVRHVAHVTFDRFHGFRGVPEDLQPEVEVRGAPSASKTVFGVSTESMQCSYDARGNSVPTILLHLQRRLYDQGGLATEGIFRITAEAGQEQRARDQLNTSGVVPDGVDVHCLAGLIKAWFRELPGGLLDALPADEVMRCQTEDDCVRLCATRLTPPKAALLDWAVNLMADVAREEKANKMGTRNVAMVFAPNMTQSVDPLTALKYAVQVMNLLNMLIERALKKHQPSPPDHPSSSSSSAAAARP >PAN30339 pep chromosome:PHallii_v3.1:5:23778615:23783385:-1 gene:PAHAL_5G302100 transcript:PAN30339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLQLHSLKKHSILASFSSSSHFVLGCWRALSSAPSTRPPPWSSKYTSLKSDRISGPPDSFINIAGSPSATMESADEETPLIHYLRPQEEGPQYTSDGTVDIDKKPALKRSTGNWRACYLILGAEISESLAFSGIQKNLVTYLTSVLHESNVDAATNVSTWLGSCFFTPLAGAFLADTYWGRYWTVVIFISIQAVGMIALTVSAWLPLLMGSSFNSSSTHRAAVYLGLYLIAIGSGGIKPCTSALGADQFDGADPGERVNKGSFFNWFFFSINLGSLLSSTALVWVQDNVGWEVGFAIPMALTVFGLAVFVAGRKVYRYKKLEGSPLTRVSQVVVAAVRNHHLKLPKDSSALHEVPSPNEANCKTAHTCQFRFFDKAAIVARSLSDEKGAASTVSPWRLCTVSQVEELKMLLRLFPVWASMVLFFAVTSQASSTFIEQGMAMDNRVGPFTVPPASLSIFHTISIIVGIPIYDAVLVPLARRVTGDDRGLSQLRRLGVGLALSVAAMAYAALVEARRLAAASAPTTSMSIVWQAPSFALVGAAEVFTTTGVLEFFYDQSPGGMKSMGTSLAHLAIAAGSYLNSAVLGGVAWATARGGAPGWIPDDLNEGHLDYFFWLLTALSVVNLLHFVHCSRRYRGNKTAS >PAN30340 pep chromosome:PHallii_v3.1:5:23780219:23783293:-1 gene:PAHAL_5G302100 transcript:PAN30340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLQLHSLKKHSILASFSSSSHFVLGCWRALSSAPSTRPPPWSSKYTSLKQSDRISGPPDSFINIAGSPSATMESADEETPLIHYLRPQEEGPQYTSDGTVDIDKKPALKRSTGNWRACYLILGAEISESLAFSGIQKNLVTYLTSVLHESNVDAATNVSTWLGSCFFTPLAGAFLADTYWGRYWTVVIFISIQAVGMIALTVSAWLPLLMGSSFNSSSTHRAAVYLGLYLIAIGSGGIKPCTSALGADQFDGADPGERVNKGSFFNWFFFSINLGSLLSSTALVWVQDNVGWEVGFAIPMALTVFGLAVFVAGRKVYRYKKLEGSPLTRVSQVVVAAVRNHHLKLPKDSSALHEVPSPNEANCKTAHTCQFRFFDKAAIVARSLSDEKGAASTVSPWRLCTVSQVEELKMLLRLFPVWASMVLFFAVTSQASSTFIEQGMAMDNRVGPFTVPPASLSIFHTISIIVGIPIYDAVLVPLARRVTGDDRGLSQLRRLGVGLALSVAAMAYAALVEARRLAAASAPTTSMSIVWQAPSFALVGAAEVFTTTGVLEFFYDQSPGGMKSMGTSLAHLAIAAGSYLNSAVLGGVAWATARGGAPGWIPDDLNEGHLDYFFWLLTALSVVNLLHFVHCSRRYRGNKTAS >PAN27042 pep chromosome:PHallii_v3.1:5:3481414:3482763:-1 gene:PAHAL_5G055100 transcript:PAN27042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTIQPRCSLHHATSSRRPKPCRARVAVVAAATVRAAATAVATAAAERACAPAASVARASTGRTASIASMWRQVQGSHDWDGLLQPLHPVVRDEVARYGELVGACYKVLDMDPSSARHMCCKYGKESVLEEAGVAGAGYEVTRYIYATPDVAGPPSTSGRSSWVGYVAVSTDEMTRRLGRRDVLVSLRGTVTQAEWAANLMSALEPARLDARGAHPDVKVESGFLNLYTSSAESAGGMGSCRHQLLREVSRLVTSLSRDHPREDMSVTLAGHSMGSALATLLGYDLAELGLNRDASGRRVPVTVFSFGGPRVGNAAFKDRCDELGVKVLRVANVRDPVTMLPGALLNEGTRGLLAAWGGDRYTHVGVELALDFLRLRDPGSVHDLGTYVSSIKAETCGKAPNAAADGRGGVLAKAVEFVEGQRAAASAWKEAALQMGGLVQTLGLI >PVH37716 pep chromosome:PHallii_v3.1:5:4115816:4116668:1 gene:PAHAL_5G066600 transcript:PVH37716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSNSNHPRPSTNSVWCSRSIRKRKKKADRQVRAAGDVETCLRTRSHGPALSRGSCTLI >PVH37526 pep chromosome:PHallii_v3.1:5:1470273:1473953:1 gene:PAHAL_5G023000 transcript:PVH37526 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10140) UniProtKB/Swiss-Prot;Acc:Q8RY99] MRGLLSSSSTLLRRAAGAAAKLSRAGWSNSAASAPSSLRRFPRQSGERETFCPFWSKGCSMSTTVDMQLDYESDPPLDDAKALEKESSLNVAVSQLASDFDRESNLCLERFSRTRRASVISTGSLKLDLALSTGGLPKGRMVEIFGKEASGKTTLALHVVKEAQKNGGYCAYIDAENAFNPSFAEAIGVDSERLLIAQPDSAENSLSIVNTLVGGSVAVVVVDSVAALIPKCEMEGEIYTNFEDIQSRLMTRALRKIQYTLCRSESLIIFVNQVRTKLSSNHFPGIYKEVPCGGNALGFYAAVRMRTSRRELRYNEDQATGISISVQIMKNKLAPASLKEAGIDIRFGKGICHESEILEMASSVGVVVKEGSGYWINGVFLPGKAEAEKFLHENTGVADEICNTVRNQFLRR >PVH37527 pep chromosome:PHallii_v3.1:5:1470273:1473959:1 gene:PAHAL_5G023000 transcript:PVH37527 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10140) UniProtKB/Swiss-Prot;Acc:Q8RY99] MRGLLSSSSTLLRRAAGAAAKLSRAGWSNSAASAPSSLRRFPRQSGERETFCPFWSKGCSMSTTVDMQLDYESDPPLDDAKALEKESSLNVAVSQLASDFDRESNLCLERFSRTRRASVISTGSLKLDLALSTGGLPKGRMVEIFGKEASGKTTLALHVVKEAQKNGGYCAYIDAENAFNPSFAEAIGVDSERLLIAQPDSAENSLSIVNTLVGGSVAVVVVDSVAALIPKCEMEGEIYTNFEDIQSRLMTRALRKIQYTLCRSESLIIFVNQNEVELKSLSWDLQGGALRW >PAN29764 pep chromosome:PHallii_v3.1:5:15715226:15717933:-1 gene:PAHAL_5G248600 transcript:PAN29764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLPLKKRALNDGGGRGSSPDDSPKKTRVGGCVGAENKDDDAGGREAPVPRPVPAAGAVAAASAKADAKAEACSAATKWLKKGKWIAPKQGSPAVVAPQPPAKKFMHDGIRSFAPSNERRRHAAEALAAAAAAGDEKKRSRSDGVPRPDADDLGEPLRRRLAELGATRPRFVYRKALQKSDVCTNQNRLLVSCKRETGLEGCPITACFSPREWQRVRNKDVGLVVTALDRDGVAQTLTCKFLQSNGGYRFISGWKDFLKRNGMELDSRGRWTRDVDVELRAFRSRALKRQPPVDENGKLVRAIGDGGMPDKERQQQLQVPDHFHPDGSLGLVLLHHEHRRRAVDRDDDDDFQGTGPSLPVASKKPKKQRVKPDAPAQTAASSPAARAGAAAEPGESAPPETMSKVEMTDKYGEPISSAMIGLIMLRGVSSEERRTSRQAPSRWRSRTTPTARADMALD >PVH38425 pep chromosome:PHallii_v3.1:5:16160043:16160740:-1 gene:PAHAL_5G254800 transcript:PVH38425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCGGRMWRMCHHQFQRRSSCPVNCGLCASSSPLLVPILLGGRSDGGTVERSSYKERCREYNGHNVAGKYVARWWH >PAN27013 pep chromosome:PHallii_v3.1:5:3404964:3406105:1 gene:PAHAL_5G053800 transcript:PAN27013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADDCDGLPMYIEDDEEEAAAEKQKRRQQSQKLRAKLPWEDETPEEKATRELNLAMVKKLYEYDPEMRSGYYTRVWFVDLTLMKRVIPLFLNFIHIRRPKSQMRSDR >PAN26946 pep chromosome:PHallii_v3.1:5:3089524:3092479:1 gene:PAHAL_5G049000 transcript:PAN26946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGEEVKPGTKVSCKADEGYVIHLSQAALGETKKGSENVVVSVKVDDKKLVLGTLSAEKHPQIMCDLIFNSYFELSHSSKTTSVFLCGYKSQIPVFEDDSGDDEISSDEELETDEIPIKKDEITAVPVKDGKNAKQDVDEEMSSGDDGFSDGSDGSEMSEDESSDEETSSGADLSGDSEDESDESEEEQTPTPKKPDLGGKKRAIEAVTPSGKKAKVEPSGQKTGDKKGHVSTPHPTKQASKTPADKSGKTPATDKKSKEKSPKSGSHACKTCSKTFGSESALESHKKAKHEA >PAN26945 pep chromosome:PHallii_v3.1:5:3089524:3092479:1 gene:PAHAL_5G049000 transcript:PAN26945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGEEVKPGTKVSCKADEGYVIHLSQAALGETKKGSENVVVSVKVDDKKLVLGTLSAEKHPQIMCDLIFNSYFELSHSSKTTSVFLCGYKSQIPVFEDDSGDDEISSDEELETDEIPIKKDEITKAAVPVKDGKNAKQDVDEEMSSGDDGFSDGSDGSEMSEDESSDEETSSGADLSGDSEDESDESEEEQTPTPKKPDLGGKKRAIEAVTPSGKKAKVEPSGQKTGDKKGHVSTPHPTKQASKTPADKSGKTPATDKKSKEKSPKSGSHACKTCSKTFGSESALESHKKAKHEA >PAN29595 pep chromosome:PHallii_v3.1:5:14832248:14835492:-1 gene:PAHAL_5G236700 transcript:PAN29595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAAALLREASPGSLLAGAAAVLLLWWAAAVLEWACLAPRRMERALRAQGLRGTRYRFLWGDLKEERRLTAAALARPVPMDRPHDVLPRVSPLLHRAVEEHGKLSFTWFGTTPRITIIDPELAREVTSNKDGHFVKTKLATRMIKLLIGGVAILDGEKWVKHRRIMNPAFHAEKLKGMLPAFSAACSDLICRWENLLADSAGTIELDVWSEFQNLSGDVISRAVFGVSYQEGRRIFLLQAEQLVRVTQAFGTSHIPGFSLLPTKSNRRMKDINRETKTILRGIIEKRHEAMKNGEPAKDDLLGMLMESNMNYSNSDGKSRRGITVEEVIEECKLFYFAGTETTAVLLTYTMVVLSMHPEWLDRARDEVLQVFGQNKPDFSGASRLKVVTMVLYEVLRLYPPALFVNRRTHKQTELGGVTYPPDVMFVVPIMFIHRDPALWGHDAGEFNPGRFADGVSKACSDPGAFIPFSWGPRICIGQNFALLEAKLAISMILQRFAFELSPEYVHAPFSILTLHPQHSVLVRVRRL >PAN26436 pep chromosome:PHallii_v3.1:5:833900:840697:-1 gene:PAHAL_5G012400 transcript:PAN26436 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MLH1 [Source:Projected from Arabidopsis thaliana (AT4G09140) UniProtKB/Swiss-Prot;Acc:Q9ZRV4] MDVDNPSPRVGGGGADPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYKDGVMENEPKPCAAVKGTQVMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTPSRLDAIRNVYGASVVRDLMEIEVSDENAGDAVFKMDGYISNANYVAKKITMILFINDRLVDCTSLKRAIEFVYSATLPQASKPFIYMSINLPPEHVDVNIHPTKKEVSLLNQERLIEIIKNTIEEKLRSSNTTRIFQTQAVNPSALTQANMQKEKGTEVKMSSGMKSQKIPVSQMVRTDPRDPSGRLHTYWHGQSSNHEKKSDLVSVRNVVRSRRNPKDACDLSSRHELLTEVDSSCHPGLLDVVKNCTYVGLADEVFALIQHNTRLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLRELLLMALKDDELMGDENDEEKLEIAEVNSEILKENAEMINEYFSIHIDKDGNLTRLPVVLDQYTPDMDRLPEFVLTMGNDVTWDDEKECFRTAAAAIGNFYALHPPILPNPSGSGIQLYKKNKDCMASGEHVDNTDEDDIDQELLVEAETAWSQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >PAN31673 pep chromosome:PHallii_v3.1:5:52665726:52668355:-1 gene:PAHAL_5G436100 transcript:PAN31673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVPAAGSWEAQAPLLLCAAVLAVAWCAARALAEWAWLRPRRLGRALRAQGLRGTAYRPLAGDAPLADRLAREARSRPPLPPGCHAVVPRAVPLFHHAMSEHGKTSVTWSGPVPKVTIAEPELVRQVLSNKFGHFEKVGFGQLQRLLHSGVSTHEGDKWARHRRIITPAFHLDKLKRMLPVFASCCTDLVRRWEGLAAGGGEPSREVDVWPEMQRLTGDVISRAAFGSSYLEGRRIFELQEEQVKLAMLVANKIHIPGYIMLPTRINRRMKRIAREIEGILRGIIAKREGAMRAGDDLLGLLLESNMEHSRGDGEGSGGGMSTEDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLRVIGGAGTTPDYDGLSRLKIVTMVLYEVLRLYSPLPAVHRRTYKPMELGGVRYPAGVVLVLPLLCIHHDRDAWGPDADEFRPERFAEGVARASGDRQPAFFSFGGGPRTCVGQSFALLEAKMGLAMILRSFAFELSPSYSHAPISVPLLKPEHGAQVTLRKLP >PVH39580 pep chromosome:PHallii_v3.1:5:59346506:59350121:-1 gene:PAHAL_5G538700 transcript:PVH39580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALGALSGGAAGVAGLLSLRRRAAPPPSGLASSPHLPPLKRTVVPDAGQLVWGRQLRPALLPAALLPAQPARRHTLRPPAAAAEEAKPAGFFDKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSLIHLVVGVVYCLISWSVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFVLGQQVPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIISLIVCIPPALIFEGPKLMQHGFSDAIAKVGLQKFVTDLFLVGLFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTTIAIAGVAMYSYIKAKIEEEKRKKSA >PVH39581 pep chromosome:PHallii_v3.1:5:59346506:59349797:-1 gene:PAHAL_5G538700 transcript:PVH39581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYFLNVIFNILNKKIYNYFPYPYFVSLIHLVVGVVYCLISWSVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFVLGQQVPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIISLIVCIPPALIFEGPKLMQHGFSDAIAKVGLQKFVTDLFLVGLFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTTIAIAGVAMYSYIKAKIEEEKRKKSA >PAN28726 pep chromosome:PHallii_v3.1:5:10547238:10549982:1 gene:PAHAL_5G174700 transcript:PAN28726 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulation of floral organ identit [Source: Projected from Oryza sativa (Os01g0726400)] MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELSVLCDVDLLLLLFSTSGKLYHYLSPTVPSVKDLVERYEAATHTKVWTDIRQERRAELEKAEQMCELMEKELRFMTVDDGEQYTVPSLELLEHNLEAAMHKVRSEKDRKIGGEITYLENIIRGRQEERYGLCDKLAHSQALNNDEEAGSTSLSNGLELKLGFN >PAN31601 pep chromosome:PHallii_v3.1:5:52371706:52372653:1 gene:PAHAL_5G430400 transcript:PAN31601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSRPLCCFLLACISWCGLLIPCCRSDGSAAAAAAAFHGQKRDAPDIVGRALICFDDRYIYSRCQGSFRLGPQGALDVPPGSADAFCSGPCLAETELVLRCVDGIMGNFRFYNGATAADVRSALDSGCGRSGLRGDFDVLRRLGGAGGGGDNYGDGYFYGRAAGGRRPASLVGSLAPPLLLGAAAAVLAWA >PAN27911 pep chromosome:PHallii_v3.1:5:7072753:7078704:-1 gene:PAHAL_5G117400 transcript:PAN27911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAKQAAQCIKRVLRLSIRKGYRFVSEHPILFGLGVLLYLLYRSSPGFFAFLLSSSPVIICTTLLLGVLLSYGEINLPEASEDHKGTPEISAFKVGNSSSDIPFEANQRLPVPEFRQDTSNFKEREIKQTISFKERASEHVDVDDDVPLLKRADEEDERGDWRNIPRTLTPFSSMVNLHQESGIKEGLIFNKKRESEGSFFIQDRADRQTSLFDGAHLSGLNHKDTSLGLFSSNENVNKHVDMEENLNQERVTDSAASKDREVSEEKQTEERSGTSKSASSISFHQCEQTVRLNVDTRNIAEDKLLDSSLGSPWARVGSQDGSSGFDSDGDESSSPDASMTDIAPVLDEIDPLLGADSARPDPIPKDDSDTDSHASEDHQIDDDSNDEGDDNDAKDNVEGKKKDDGREAAFLWTADDEKNLMDLGYSEMERNRRLEILMARRRSRKNIRFEIDNNLIDVDSNGAGRSLDDLSRFRAQVPPIAVPRRNPFDLPYDSEEAAIPGSAPSILHARKNPFDLPLEQPHDTGVPARDNINAGESVMSPRRDMIFRRHESFNFGGTDAIQERRFSRLKPYFVPETVEWNASNFQRQFSDKSESKLSSVTESDMASSVADQEDHKDHDEKDLHMEHESPALVRQDSDLTDVGSECSDGINSIDVELDNSDIDDREIALHHFVFERSQEREAHLASTKGKGHEEDYTPKSAGNSKMPFHPVPDLLSWEDGDGDSSLGAKPSFQLNTEVKCSEWVSSSLPTVEGESHSGDLPEYLDTDVASSSNTVVLGGSNTAEKDGNVDLMSYSNNEMPLDNLIHGSMELPSEFVTETLPVISRDLHPIPEERVVENFSMQEKHETAIFTESVASLTGLHVIEEHFDVGFDRSLSSVSSYPRASDAIESPSSEYAAVSNPFVSMASEPNKVDIGDMNNEATAGYLLDSDDEAGKIYPEPMEESGIDESFLSELDTVGDFGVEPMRLDQQVPDQGSHDVNPANGVAAYSMISPQTSDNVSLTISEASTGDSREQSPVVDDLNGPEFRWSLGASHGDPEQTVYNPQRRILEASPSEAIHMELKQPHNESEAPSDDTPAAASSELEVATNELVTSTTNPEMTILDAKSLEDIKTAFNLVSDVPAMDTEILPISGVDVDSEPKESGELHVIDARSVDDIHAAFKEHCDSVENRSLEENEGKAGYDESETAESTKHDELTEALHAESPHSVGDAREVLPVESTINMISNETKTQDEIDAVFSKVSDSSAKSTAQAVESEGSREREEENEHH >PVH39226 pep chromosome:PHallii_v3.1:5:54254022:54255146:-1 gene:PAHAL_5G459500 transcript:PVH39226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTDDYNSIICELMREQVKNGNRPNTHLNTLGYTEVSDRFFQMTGIELKGDWTIWQKLMRMQTGVGWDAEKGVICMDNEWWKKAKKDIPGCGKFRKKALQNQECLREMFGDISSDETDHWNPMTDNPIVPESQQDLINVDSGDVEEEEEHQDMVHDWNYSPEEEELGVQESFVILEIPKKPKSSTALLIQDQITKIAESTSSFTSKKQGEVTISEIMDLVLDCGADYGSNEHDIATQLFVKKDQREMFLTLPTREIRFSWLTRRFNDNLFALEHVYVFLTLFVQFQLI >PAN32474 pep chromosome:PHallii_v3.1:5:56035144:56039184:1 gene:PAHAL_5G489400 transcript:PAN32474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRRLAREAVAASLRRGAGSAPIAPVRAFSSSSSSAASCSSRAPVAASPVRHFLARYSSPAFQPRASEFGPSLAARVALGLRPQLPGLNLLKGFGISTVLGMSLHQGKVTAATREQPSEPITRPPPGSLKNELGSFWPLVRKLQLPIGLMFLILSGWQYPLGLVINILLLIYCSRPSRYSIYLFLQELRHREMGQSRAMRKEEFVCTRNVDVQDYKFFSIGTVELPDGRVLHLVGMLGSWWIYRVSFVK >PAN30285 pep chromosome:PHallii_v3.1:5:37556113:37556664:-1 gene:PAHAL_5G343300 transcript:PAN30285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGGGHGRLNSDAAAAILLAVLLGNLAISASCTTRQLLPGVAGGRHGCGRGWTAPRRRRREQDLPDILPEVEMRRRRPRVHLLLLLALEVGVLEDDEACRSICPVCDPKCHPPLPQAVRRLGSSMVAPVAGVYVRMCKFVGR >PVH39083 pep chromosome:PHallii_v3.1:5:52278235:52279056:-1 gene:PAHAL_5G429400 transcript:PVH39083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSEETVAGDGSMCAARHQEKKRPRKADKPSKKEKRSEEKKKKRSKKKKKRIEKFASKLGKQAAGEQEKRTKKPTMGDEVMREMEDDSAAGGGMTKLSQELLDYLRTKEVMGLLATEAPLPLWAFDLDQRLKEEIAAEFQEKREFDAHVLYQYRTYGYAYAEIIKGTETEAKNKTMKLVTQEINNQAEMGHYLSNEVWSYVMSIGVSTFEQAVTREMDTFDDLATEGRRLASRIRHIENQANLLRQYRTNGHAVLQYQVTDDERDDVEEV >PAN30976 pep chromosome:PHallii_v3.1:5:47438197:47440739:-1 gene:PAHAL_5G381700 transcript:PAN30976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVASKGMDGAAAVAAAAAMAAAVALLCLQLPAVAQGQLQVGFYNTSCPNAESLVRQAVANAFANDSSIAAGLIRLHFHDCFVRGCDASVLLTSPNNTAERDAAPNNPSLRGFQVIDAAKAAVEQSCPRTVSCADIVAFAARDSVNLTGSLPYQVPSGRRDGNVSLDTDAVNNLPPPTFNASQLVANFAAKNLTAEEMVILSGAHTVGRSFCTSFLNRIYNGSTPIVDSGLSSGYATLLRALCPSNANASTPTTTVLDPSTPAVLDNNYYKLLPLNLGLFFSDNQLRVNSTLNASVNSFAANETLWKEKFVSAMIKMGSIEVLTGSQGQIRLNCSVVNNGSSSSVAAPRIETTVPYSGSTASLEEIATS >PAN32866 pep chromosome:PHallii_v3.1:5:57905939:57908367:-1 gene:PAHAL_5G517500 transcript:PAN32866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAKGELEQIALPAAQPPVADVRSVDLSAPAGPAREAAARALVAACEEHGFFRVTGHGVPAELVRAAEAAASGFFARPQGEKEEEAPTLGYGSKRVGGNGDIGWVEYLLLGVTPAGAVPVASASSSTLPCAAAAAAAAAASSSSSTPAGPLRDLLDEYTVAVRRMASAVLDLMAEGLGLAGGGEVGALARLVTRADSDCVLRVNHYPPRPAAAGAPSLTGFGEHTDPQIISVLRSNGTSGLEIALRGGAWASVPPDGDAFFVNVGDTLQVLTNGRFRSVRHRVVVNSERSRVSMIFFGGPPPGERLAPLPQLLGDGGRSRYREFTWAEFKNSGCRTRLAEDRLSRFEN >PAN30986 pep chromosome:PHallii_v3.1:5:47307262:47316165:1 gene:PAHAL_5G380400 transcript:PAN30986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase GALT3 [Source:Projected from Arabidopsis thaliana (AT3G06440) UniProtKB/Swiss-Prot;Acc:Q9ASW1] MRKCSGVLLILTLAVVLLLSPSPSPVPPPTTAATGPVAHLLPSLPGLSDLYPPPANSTAQLSWALLRPLLCRSDALPGTAAGVLEAADAWRNLTLAVADAAAAGEEGRTGGPSCPASVEGDLGAGRARIPCGLAEGAAVTVIGVPREGAARFQVEMVGAGGQVLMQVNVSLGAAGMVVEQNSWTPEEGWGKWERCPPVGDVGSSNGSLQRSLVDGLVRCNEKVGASIIQENNNTMVNVTGNQPEDGQRPKERRQLSGSFSIVEGEPFTVTVWAGVEGFHMTLNGWHETSFAYRERSEPWLIAEVKVSGDLELLSFLANGLPVSEEIDMASVALLKAPPLPKKRTFLLVGVFSTGNNFKRRMALRRTWMQYEAVRSGDVVVRFFSGLHKSEQVNMELWREAQLYGDIQLMPFVDYYSLITFKTISICMFGTKIVPAKYIMKTDDDAFVRIDEVISSLKKSNSHGLLYGLISFQSSPHRDKDSKWFISRKEWPFDMYPPWAHGPGYIISRDIAKFVVRGHQELTLQLFKLEDVAMGIWIQQYKSSGQQVNIVTDDRFYSEGCESDYVLAHYQTPRLMMCLWEKLKTDYQAVCCE >PAN26592 pep chromosome:PHallii_v3.1:5:1531564:1535303:1 gene:PAHAL_5G024100 transcript:PAN26592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATPRPSLILSRASSHSHSQPSAGGLTSDRVTASNRRRGDFVFVVNPSGANGRTGKQWKQLLPHLRARLADQCNICECITSGPSHAIDVTREAIKDGVDAVIAVGGDGTLHEVVNGFFWKGSPVCALDRGPDHSTALGLIPLGTGSDFARTFGWTNDPRDATDRIVRGVKSKLDIGVMEGANREPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRAFWGHNNRDMRIKVNGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPFSGNLEVVILQDFKWHDFLLKLHRLYGGTHLSVNGVSSIRVQSIEVAEVTASGDIFVQSDGEHFGFLPTKFSVLPGAVDFFC >PAN26594 pep chromosome:PHallii_v3.1:5:1531564:1535303:1 gene:PAHAL_5G024100 transcript:PAN26594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATPRPSLILSRASSHSHSQPSAGGLTSDRVTASNRRRGDFVFVVNPSGANGRTGKQWKQLLPHLRARLADQCNICECITSGPSHAIDVTREAIKDGVDAVIAVGGDGTLHEVVNGFFWKGSPVCALDRGPDHSTALGLIPLGTGSDFARTFGWTNDPRDATDRIVRGVKSKLDIGVMEGANREPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRAFWGHNNRDMRIKVNGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPFSGNLEVVILQDFKWHDFLLKLHRLYGGTHLSVNGVSSIRVQSIEVAEVTASGDIFVQSDGEHFGFLPTKFSVLPGAVDFFC >PAN26593 pep chromosome:PHallii_v3.1:5:1531198:1535303:1 gene:PAHAL_5G024100 transcript:PAN26593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATPRPSLILSRASSHSHSQPSAGGLTSDRVTASNRRRGDFVFVVNPSGANGRTGKQWKQLLPHLRARLADQCNICECITSGPSHAIDVTREAIKDGVDAVIAVGGDGTLHEVVNGFFWKGSPVCALDRGPDHSTALGLIPLGTGSDFARTFGWTNDPRDATDRIVRGVKSKLDIGVMEGANREPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRAFWGHNNRDMRIKVNGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPFSGNLEVVILQDFKWHDFLLKLHRLYGGTHLSVNGVSSIRVQSIEVAEVTASGDIFVQSDGEHFGFLPTKFSVLPGAVDFFC >PVH39080 pep chromosome:PHallii_v3.1:5:52239031:52240945:1 gene:PAHAL_5G428900 transcript:PVH39080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDDGLEELDASGARVAAAAAAARRAEDDLHAWLSAALGNQDTCLEGFRGTDGRLLRRVEAAVAQLSQLVSNLLAMHKRLRSITPLLHHAPPRNNGTGGGGGDPGSELPPWVMDIEGGGGGGRDEELLLKRARGGGRSTRVDVVVAQDGSGRYRTVSEAVARAPSHSKRKYVIYVKRGVYHENVEVRKKKTNIVLVGEGMGETVISGSRSFSGGWTTFRSATFAVAGAGFVARDLTIRNTAGPAAHQAVALRVDSDRSAFFRVAVEGHQDTLYAHSLRQFYRDCRVAGTVDFVFGNGIAVLQRTLVATLPLAPGQAGSVTAQGRRDPNQNTGFAFHGCVVEARHPTFLGRPWKPFSRVVVMESYLGPGVQARGWLEWAATGAGERGAALATLFYGEYGNYGPGAGVAGRVKWPGYHVIADAAVAGRFTVRRFIDGLAWLPATGVTFTADLFRK >PVH38061 pep chromosome:PHallii_v3.1:5:10043628:10044223:-1 gene:PAHAL_5G165400 transcript:PVH38061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDPDSYSTSRLSPPAAAAILGSVPAKWENNQTPIFQSGPSRPRDLNPAASERQALSSMVMAGRASPSPSPAAWSACSATRRVGGTPGLSRRRWTTCARRWCSRCRARRAPSTTACPTSRPPIPACARALSNRQTKSRWRGDW >PVH38062 pep chromosome:PHallii_v3.1:5:10042801:10044223:-1 gene:PAHAL_5G165400 transcript:PVH38062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDPDSYSTSRLSPPAAAAILGSVPAKWENNQTPIFQSGPSRPRDLNPAASERQALSSMVMAGRASPSPSPAAWSACSATRRVGGTPGLSRRRWTTCARRWCSRCRARRAPSTTACPTSRPPIPACARALRRSRDGGATGEPEGEAGGCGGGGARKKGSGELVGIGRQWVTAA >PVH38703 pep chromosome:PHallii_v3.1:5:33552382:33553368:-1 gene:PAHAL_5G331000 transcript:PVH38703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGKMEHHLSVPHRGCVLHPGRGARIFASFRAGAGEATGGGRRAPGRRGAGRQARRGRGEAEEGGARGRRGGRGGAEEEGSGAAGDGGRGGGRRRRGAEEEGAEGEGAAGAEEEGRGGGGGGGRGGARRGRGRRAAEEDGRAEEEGGGGGGGAGGGGGAGGGGGEEGPRRGGRRRRREAEERGGRAAEAEEGGAEAEGGAEAEGGGRRGRGRRARRGAEEEGRGGGGGGGGGAAGAEEEGREGGGGGGRGGARRGRGRRARRGRGAGRGGGGAAGEARRRRGAEGRGRRAAAEEGVAGRRRRGGAEGRGRHGGWGLGKEQLEDRIEN >PVH39333 pep chromosome:PHallii_v3.1:5:55554358:55554880:1 gene:PAHAL_5G480800 transcript:PVH39333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGYPWREQIIKLLEEAGERSDSAGSLLAAALPHLESPSAWCTGDAKHGGVRLITLAEGKLEDASSELARGPATRHPPAREAALSASLNEVPGARARVEDALRAIDWYRGHLGAAKLLLGHPGVPGADGCVEAERVAAVRGVEAALGALGLGGEGG >PVH38364 pep chromosome:PHallii_v3.1:5:15337200:15338432:-1 gene:PAHAL_5G243900 transcript:PVH38364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVINITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAIIEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYSKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSEGEIELQEIVNGLINTQMYNSPGISIALIFITVGLGFKLSPAPFHQLTPDVYKGVWFVRQIPTSISISELFGFCKTP >PAN26430 pep chromosome:PHallii_v3.1:5:811464:813784:-1 gene:PAHAL_5G011800 transcript:PAN26430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVAGVPEDEESGLLPRQSAAGRRPSSRGPRFPPPPAVWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPAASSPAAFGPIRPYVARSAIGFTIFSVVLITWATTFIVGGEQLFGPAWNDLVMYNVADKLGLSGFMG >PAN27382 pep chromosome:PHallii_v3.1:5:4776206:4778674:1 gene:PAHAL_5G077000 transcript:PAN27382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASMEPMPRKSQNIRLNRMA >PAN27381 pep chromosome:PHallii_v3.1:5:4775029:4778674:1 gene:PAHAL_5G077000 transcript:PAN27381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASMEPMPRKSQNIRLNRMA >PAN30187 pep chromosome:PHallii_v3.1:5:29555884:29559493:-1 gene:PAHAL_5G318900 transcript:PAN30187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRMDGEDEVVLVVCGEECDAAPMFDINTGEEILRIYDCLAPPSGLACVAGCLLATSRPDKDPLVFGGAIYFWDLNKIQVTSKSCIGEAIGPITCSKDGIYLVGGAHSGNAYIWEVASGALLKCWRAHKNAISCLSFSQDSSLIISGSEEGTVHVWCMISLFQAEEPQPHEAIKYCPNFYNSIEHEASITGILTILGGPCPMLITSSLDSSCKVCPLQDAILCALQT >PAN27829 pep chromosome:PHallii_v3.1:5:6707006:6709633:1 gene:PAHAL_5G111300 transcript:PAN27829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQSRLGVSGGGGMSASEDEHEAVIRELTRGRQLTARLRAEALRALRGQGQAEATAALILQEVSRAFTVCLNIMGSPASAPPPPEMPGVDAAAAVPFSLAPPRRNREDSIPREQTVTTSPYYDGYQWRKYGQKRITKTHYPRCYYRCSFHRERGCRATKQVQQCGGGDPPQYLVMYFNDHTCDTAAWEPEAAAGASAAMRLDLPGAALVAPRRGGLLDERGVQEEHERQVLVSSLACVLGGQQQFHHQYPPDAPAAAGSSAAAAAVNVAQAAGPAPIVDAAAGMPRLDVDVVGLDVMDYDDVPGELCFGDSYGLPGGGGGLPF >PAN29426 pep chromosome:PHallii_v3.1:5:13881005:13882547:-1 gene:PAHAL_5G225400 transcript:PAN29426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFKCLSALLVSAVLLLNLPPGWCGCYKRIFSFGDSIIDTGNFVHMSGNGSSRYKELPYGMTFFKNATGRICDGRVLVDFYAQAFQLPMIPPNLPEQDSGRFPNGANFAVAGATAMPPAYYRRWNHSVPMPHSLGVQIGWFKEMLQRLAPGDDDGAKIRQLLNESLIVLGEIGGNDYNFWFWFGDAAKPREQANQFIPDIVAYIGSSVQELIGLGARSILIPNNFPIGCVPSYLSMFSGSSNPADLDEHRCLRWFNDFSTRHNQALRGEVGRLKARNPGAKLIYADYYGAAMELVKHPGRFGIGNPLVACCGGGGPYHTGAACARTAKVWGDPSGFANWDGVHMTEKAYQVIAQGVLNGTFADPPLLSC >PAN31522 pep chromosome:PHallii_v3.1:5:51993114:51995462:-1 gene:PAHAL_5G424600 transcript:PAN31522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKSSYLVAVVLASLLLSAMAGGHRKKLLSKDGAGSMETTEESMQPLQEDDEAAAAVHERILKQVRMDDYGRYDPTPAMSKPHFKDIPN >PAN29922 pep chromosome:PHallii_v3.1:5:16731436:16732618:1 gene:PAHAL_5G259900 transcript:PAN29922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAAGVVLLAAAALLAAVARAGDADETWKCFSSCSKACHGNDDDAAAKDDGPYGGVANVSAVTVGAVTGECKSGCRSDECFEGVQAIGYHQCVYTACLSYSPHKEEKRMCLKHCCHKCFHHHSPPGPGPTPKPPSPPPPGPTPEPPSPTPPGPAPEPPSPPSPAPEPPSPTPPEPAPEPPSPPGPAPEPPSPTPPEPAPEPPSPPGPAPEPPSPPS >PAN26497 pep chromosome:PHallii_v3.1:5:1103004:1105318:1 gene:PAHAL_5G016400 transcript:PAN26497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAARLRYGRPDEGGGAEAESSSQAEQEVPCLLDIYVHHARGIHNICIYADQDVYAKFALTSAPDHAPALSTRVAAGGGAGPRFDERLPPLRVRAGRVAVDVLKCEVWMRSCAKRMLEDQLLGFALVPLAAIAAADGARLDRDFELSSTDLFHSPAGTIRLSLALHSGIPGDACPLPERGAESSIASEVVILEPAPPVDYSRIEFDDLKVDQENQAMAVQYLPFLHLGETPRPPEATEDAEMSTSPRWEKAAFSDDGSKNASTTSTVSEDRVISASAGAVEKPVADVDKATSVPMSCRSPDTPTSSSGEKADDVFKSPLGDIDMEAEQSAMQRQIMEMYVKSMQQFSESLAKVQLPLELDGVVVQKEEKPGNKVIHMQQQPQQQQVKKDGTRVFYGSRAFF >PAN30353 pep chromosome:PHallii_v3.1:5:23604627:23605632:-1 gene:PAHAL_5G301300 transcript:PAN30353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQPDSDSMICYFALGNFIVLVAEHGRTQGPASATLGACPGSGMVTAKRHAEHARIKLLKSAARAPAAEGTQQRTNRGAPVIDPTIPRRPGYQIDDANRERGRASNAPRTPRPWAINYATARVGVRQVQRMGRGAVGLMQSV >PAN32192 pep chromosome:PHallii_v3.1:5:54969434:54971299:1 gene:PAHAL_5G470100 transcript:PAN32192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSRKKQRVPSLSDDLIVEIISRVPYRSLCRFKCVSRSWLALCADPDLRKKSPQTLSGFFCFPVMKTTTPTSTASGSSIFLGEVGSWSTPPPLSWRAMRVPPCSWSAAAAFSSGKSGDRLRMSQSTSCRIPRLRNGSCCRVQRLGTLCTLSVWVATRPCPPASMCLCS >PAN30371 pep chromosome:PHallii_v3.1:5:45053199:45058729:1 gene:PAHAL_5G373000 transcript:PAN30371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLRLPQAVMATAQVLFHRFYCKKSFVRFSAKRVAASCVWLAGKLEESPRKSKHIIFVFHRMECRRENLPIEYLDVFSKKYSELRHDLIRTERHLLKEMGFICHVEHPHKFISNYLVTLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRRGIALPEDPPWWTVFDADEAGIQEVCRVLAYLYSLPKSEYIPVYKDNDSFTVRRTPEPQVSKESPASAVASDKGTPIPSSSSQEKDSVTKAAPDKVKEKSDDEGKPLTAELNGQQDAAAKSKNEKSESGVDRSRERERERSRGRDRDGRGRDSDRDSRGRDSDRERDRRRRSRERSSGHSDKERSRRHSSRDRGDYYSSHSSREKDRHRHH >PAN30370 pep chromosome:PHallii_v3.1:5:45054582:45058729:1 gene:PAHAL_5G373000 transcript:PAN30370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCFSVYLKFICFVDSLTNLRPQAVMATAQVLFHRFYCKKSFVRFSAKRVAASCVWLAGKLEESPRKSKHIIFVFHRMECRRENLPIEYLDVFSKKYSELRHDLIRTERHLLKEMGFICHVEHPHKFISNYLVTLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRRGIALPEDPPWWTVFDADEAGIQEVCRVLAYLYSLPKSEYIPVYKDNDSFTVRRTPEPQVSKESPASAVASDKGTPIPSSSSQEKDSVTKAAPDKVKEKSDDEGKPLTAELNGQQDAAAKSKNEKSESGVDRSRERERERSRGRDRDGRGRDSDRDSRGRDSDRERDRRRRSRERSSGHSDKERSRRHSSRDRGDYYSSHSSREKDRHRHH >PVH37811 pep chromosome:PHallii_v3.1:5:5860013:5860785:1 gene:PAHAL_5G094800 transcript:PVH37811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARRPLPHEQNRRALSSSWKRRDGSPNYPRMIPLASPSCELVITADLSDDAFSDLQNAARTKYAHTGELIDEDLYFGLYFGFGILERFDRFYTGNSCAPSYASLDLLATC >PVH38054 pep chromosome:PHallii_v3.1:5:9840320:9845768:1 gene:PAHAL_5G163000 transcript:PVH38054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLALLRSLQLTHLWFPCKFTPILVDGTRGKFAFLDYDKVLNLPMLYLQGVVLFPEASLPLRVIQPRLAEAIDKAVNHVDAPCMIGVVHAYRRANDGHHTIASVGTTAEIQEIQQLDDGSSCVFSRGQQRFRLVRHWLDVDGIPWGEVQIIKEDTPQRTPRDAFGQLAASNSFRQCASSMPSVYVSGSKQLDLVDSEVDGESLSPTSTSSDHSVTDKRVYLSGLVACGSLDESSNEDEDPIHEQSCWCHDSVKEIDGCGQQDKHTNTGDWDDLCLTSFLGVRKKNTEQQRHYWGGYNTKMASQAPLSFWPRWTYEMYDSYSLARRAADLWRQVIVNPSMDDHVRKPNHLSFYIGNNLPISLSLRQELLEIDGISYRLQREIQLLKAFNIIQCRNCLTSIARRSDMVMISSVDAIGSYSSVKEMITVHTVTSLALRGDPSKVHSWFPGYTWTIALCAACESNIGWLFRADEKNLHPKSFWGIRNSQISDNTQSGQV >PAN28575 pep chromosome:PHallii_v3.1:5:9840490:9844418:1 gene:PAHAL_5G163000 transcript:PAN28575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPDWILARERRQMEQILELDMEELQVEEVDDDGSSSSSVDTFLRNTRRDGGISTSEELTVDTSMVSLQVHTYLEAKVDGTRGKFAFLDYDKVLNLPMLYLQGVVLFPEASLPLRVIQPRLAEAIDKAVNHVDAPCMIGVVHAYRRANDGHHTIASVGTTAEIQEIQQLDDGSSCVFSRGQQRFRLVRHWLDVDGIPWGEVQIIKEDTPQRTPRDAFGQLAASNSFRQCASSMPSVYVSGSKQLDLVDSEVDGESLSPTSTSSDHSVTDKRVYLSGLVACGSLDESSNEDEDPIHEQSCWCHDSVKEIDGCGQQDKHTNTGDWDDLCLTSFLGVRKKNTEQQRHYWGGYNTKMASQAPLSFWPRWTYEMYDSYSLARRAADLWRQVIVNPSMDDHVRKPNHLSFYIGNNLPISLSLRQELLEIDGISYRLQREIQLLKAFNIIQCRNCLIQGRKLIASLNG >PAN28576 pep chromosome:PHallii_v3.1:5:9840320:9845768:1 gene:PAHAL_5G163000 transcript:PAN28576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPDWILARERRQMEQILELDMEELQVEEVDDDGSSSSSVDTFLRNTRRDGGISTSEELTVDTSMVSLQVHTYLEAKVDGTRGKFAFLDYDKVLNLPMLYLQGVVLFPEASLPLRVIQPRLAEAIDKAVNHVDAPCMIGVVHAYRRANDGHHTIASVGTTAEIQEIQQLDDGSSCVFSRGQQRFRLVRHWLDVDGIPWGEVQIIKEDTPQRTPRDAFGQLAASNSFRQCASSMPSVYVSGSKQLDLVDSEVDGESLSPTSTSSDHSVTDKRVYLSGLVACGSLDESSNEDEDPIHEQSCWCHDSVKEIDGCGQQDKHTNTGDWDDLCLTSFLGVRKKNTEQQRHYWGGYNTKMASQAPLSFWPRWTYEMYDSYSLARRAADLWRQVIVNPSMDDHVRKPNHLSFYIGNNLPISLSLRQELLEIDGISYRLQREIQLLKAFNIIQCRNCLTSIARRSDMVMISSVDAIGSYSSVKEMITVHTVTSLALRGDPSKVHSWFPGYTWTIALCAACESNIGWLFRADEKNLHPKSFWGIRNSQISDNTQSGQV >PVH38055 pep chromosome:PHallii_v3.1:5:9840320:9845768:1 gene:PAHAL_5G163000 transcript:PVH38055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPDWILARERRQMEQILELDMEELQVEEVDDDGSSSSSVDTFLRNTRRDGGISTSEELTVDTSMVSLQVHTYLAKVDGTRGKFAFLDYDKVLNLPMLYLQGVVLFPEASLPLRVIQPRLAEAIDKAVNHVDAPCMIGVVHAYRRANDGHHTIASVGTTAEIQEIQQLDDGSSCVFSRGQQRFRLVRHWLDVDGIPWGEVQIIKEDTPQRTPRDAFGQLAASNSFRQCASSMPSVYVSGSKQLDLVDSEVDGESLSPTSTSSDHSVTDKRVYLSGLVACGSLDESSNEDEDPIHEQSCWCHDSVKEIDGCGQQDKHTNTGDWDDLCLTSFLGVRKKNTEQQRHYWGGYNTKMASQAPLSFWPRWTYEMYDSYSLARRAADLWRQVIVNPSMDDHVRKPNHLSFYIGNNLPISLSLRQELLEIDGISYRLQREIQLLKAFNIIQCRNCLTSIARRSDMVMISSVDAIGSYSSVKEMITVHTVTSLALRGDPSKVHSWFPGYTWTIALCAACESNIGWLFRADEKNLHPKSFWGIRNSQISDNTQSGQV >PVH37705 pep chromosome:PHallii_v3.1:5:3937133:3938289:-1 gene:PAHAL_5G063100 transcript:PVH37705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRAAMRSGPHRKGAVAHCAEHKPPGYFTAELVMAFLLVAASLVLLPLVLPPLSPPPSLLLLVPVGLLAVLAALAFVPLDAQSHLVGSSR >PAN28937 pep chromosome:PHallii_v3.1:5:11334313:11337970:1 gene:PAHAL_5G187900 transcript:PAN28937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPRPARTLLALALAVAAVVAWPGASGAAAGGAGPSSDVLRRARRPEFAAWMAGVRRAIHERPELAFQEYETSALVRRELDAMGVAYRYPVAGTGVAASVGTGGPPFVALRADMDALPLQEEVEWEHKSKEERKMHACGHDAHTAMLLGAARILHERRHELQGTVILLFQPGEEVGIGAKKMVEAGAVDNVEAIFGFHVTVMLPTGVVGSRAGPLLAGCGFFEAVITGVGGHAAIPQSSIDPVVAASSVVLSLQSLVSREADPLDSQVVTVTRFQGGGAFNVIPDSVTIGGTFRCFSSEGFMRLKRRIEEVIVAQSAVHRCGASVDFGAGGSPLLPPTVNTPSLHAHFEAVAADTVGAGNVRGAMEPCMGSEDFSSFSEAVPSSHFYFVGIGNEGIGAVHAAHSPHFLIDDDALPYGAAMHANLATGYLRRRAASSGPGDSHDEL >PAN29323 pep chromosome:PHallii_v3.1:5:13233403:13237112:1 gene:PAHAL_5G216600 transcript:PAN29323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPNNSVSAVLSRTTTVFQLRVWELIAIGVGVFMAILLVVALCLSLRKKKKTVKGFDNTSLAEIPIVSKEINVDRVDAQSLHEAPFMPVHDKYTQMKGAGQLGESRSVDVDTFSQCSSVYNIEKAGSSYSEDYSYSSSGPARKGSSPYAYSASPLVGLPELSHLGWGHWFTLRDLECATNRFAKSNVLGEGGYGVVYKGQLVNGTDIAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGVNQRGVLSWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDKSHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLECVTARDPVDYAKPADEVNLIEWLKMMVTNKRAEEVVDPKLEVKPPKRALKRAILVGFKCVDPDADKRPKMSHVVQMLEAVQNAYHQDQRKLSQVGSMDIESQQSEEASNSADA >PAN27374 pep chromosome:PHallii_v3.1:5:4737524:4743294:1 gene:PAHAL_5G076500 transcript:PAN27374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLHPPRFLPLPRRRLAGRRRRARPALALNSKWKLPDVDTGAVRERVRSWMSVARGAIADAAHAARERASHKEDPEAGKKKQRKEVAVEEQALVTVPEVTVEPRVAQGWLSLDAIVSIEQFARLNGLTGRQVQRIFEALAPKHLHNDAQSLVEYSCFRYLSRDNSDFHPNLKELAFQKLVFVTMLAWEDPYKEDDSPLSSLDNYSVLGRLVGEDAFVRIAPAVAGVADVSTAHHLFRALVGAEKGLSFDLWTTYLAELLKVHHGRQTHQMGDNFLSDEQVLCIGSSKKRPVLKWEENTAWPGNLTLTDKALYFEAIGLSGTKKPMRLDLTDQDSRVEKAKVGPFGSKLFDSAVTVSSGSTSDEWTLEFVDFSGEMRRDVWLAFISEIISVYGFIREYGPGDDPANHHVYGAHKGKKRAVSSAAHSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLVTKAKSANHRSPQWHRSSEDPSSGHAHVYDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVILSKSLVVGDKNLVEKAMVNCKEKSKVVERTQATIVAATIEGIPSNIDLFKELMLPFAIMAENFKKLQRWENPRSTICCLLLVHTVIFRNMLSYVFPFTLMMMALSMLAMKGLKEQGRLGRSFGKVTIRDQPPSNTIQKILALKEAMASVENYLQNLNVSLLKIRTIFLAGQPEVTTQVALVLLASSAVLLVFPFKYVLAFFTFDLFTRELEFRREMVRAFMNFLKERWESIHAAPVVVLPYEGAESSPKTLPAKASGQSEPQTVQRGGSYVTSKNGISSS >PVH37750 pep chromosome:PHallii_v3.1:5:4737524:4743294:1 gene:PAHAL_5G076500 transcript:PVH37750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLHPPRFLPLPRRRLAGRRRRARPALALNSKWKLPDVDTGAVRERVRSWMSVARGAIADAAHAARERASHKEDPEAGKKKQRKEVAVEEQALVTVPEVTVEPRVAQGWLSLDAIVSIEQFARLNGLTGRQVQRIFEALAPKHLHNDAQSLVEYSCFRYLSRDNSDFHPNLKELAFQKLVFVTMLAWEDPYKEDDSPLSSLDNYSVLGRLVGEDAFVRIAPAVAGVADVSTAHHLFRALVGAEKGLSFDLWTTYLAELLKVHHGRQTHQMGDNFLSDEQVLCIGSSKKRPVLKWEENTAWPGNLTLTDKALYFEAIGLSGTKKPMRLDLTDQDSRVEKAKVGPFGSKLFDSAVTVSSGSTSDEWTLEFVDFSGEMRRDVWLAFISEIISVYGFIREYGPGDDPANHHVYGAHKGKKRAVSSAAHSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLVTKAKSANHRSPQWHRSSEDPSSGHAHVYDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVILSKSLVVGDKNLVEKAMVNCKEKSKVVERTQATIVAATIEGTYASFCNYG >PAN27236 pep chromosome:PHallii_v3.1:5:4230122:4233377:-1 gene:PAHAL_5G068600 transcript:PAN27236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDMPRGLPFAVDTWGPSSRHRRHRFLTHAHRDHLVGAGAAADGSGRTVYATRLTLALSLRHFPQLESWEFVEMELGRTVAVDDPAGAFAVTAYDANHCPGAVMFLFEGQFGTILHTGDCRLTSNCVQNLPVKYIVKKGKENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPYVYLACDLLGHEDILVEVSRTFGLKIYVDQKLDCFKALSLTAPEIITDDPSSRFQIVGFHQLYDTASRKLEEAKASLQPEPLFIHPSTQWYAICARSQKPSLAEAEQDEFGVWHICFSIHSSRDELEQALQLLQPQWVVSTTPPCFAIELSYVKKHCFKTRLKADDLLWKIFRDPLQKSVSSPCSVLASETHRDKDHSDFVDEDDHLSSSSEECTYLNVRTLELKFVPSPPPEEPDITLFGRARFGSQAIDIMKEELCNQYNAFELEEGCLEEARACAPADLVHGNSKDVETNSATGCVMQDAPTSHHDHMKAGDEMGSCQHEAYSRQLETFQAQSLPTVQSNILVLADQHGKFEAAVESKSLSSSEDSSLSIVRSGEATTCQKEPLCIIGSSKCLNPSLKKLYRSRNIPVPRPLPSLVRLLDSSKRVKMQPSTNYSSLNSRHSLP >PAN27874 pep chromosome:PHallii_v3.1:5:6915659:6918253:-1 gene:PAHAL_5G114300 transcript:PAN27874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTKLLSSAFSTNESQNYKARCFRSQNCNFLSTSKPCNRENGASHYPGSGNRRLSPPSMLRMVLARAIPLSAASTGLPKPLSPLFIGASRLATSSGDHVTDGGEDDPFSFPDHHQQQLPPDVTRGVDSVVAAAEANASNAADAARALDRCGAEASEPLVVAALARLRNSCAAAHAAFRWAAAQPGYAPGRRASHSMLAILAKHRHFDDARALLDEMRRASTASPAAVLLLIRRHCAARDVAGAVAAFRGLPTFGFRPGVAEFHGLLSALCRYKNVQDAEHLLMSSRKEFPFETKSFNIVLNGWCNIIGSVREAKRFWNSMGNLGIDRDVVSYGSMISCFSKSGSLDSVMKLFNRMKEAGIAPDRKVYNAVVYALAKGRCMEEAKMLVQTMEEEEVAPDTATFNSLIGPLCKARRVHQAMETFYAMLGRGLPPSVRTFHALLNVASRPIEVFDMLLKMKELRCEPEMDTYIMLIRKFCRWRQYECVEKLWSAMPANGLSPDRSAYIVLIHGLFLNGRLEEAAKYYEEMKAKGFAPEQKTQEMIQAWLAGRELAKASASGRSKDGSVSFRLPRK >PVH37908 pep chromosome:PHallii_v3.1:5:7174749:7179518:1 gene:PAHAL_5G119200 transcript:PVH37908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMQPSRVTDFGALAQSAGFRIEDLANLNTSTLFNLKPNTHTINNNPLQFGSYGKPISPSHINATTAATAAARIDPQSLEQQTGAQQNLVALPTGNIENWGESAMADSPMTDTSTDPDTDERNQMFEQGLVAGPTASDSSDKSRDKLDQKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQLEQELQRARQQGIFISTSGDQPQSANGNGALAFDMEYARWLEEHNKHVNELRAAVNAHAGDNDLRGIVDSIMAHYDEIFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTDQQLVGISNLQQSSQQAEDALSQGMEALQQALAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >PAN27932 pep chromosome:PHallii_v3.1:5:7173759:7179518:1 gene:PAHAL_5G119200 transcript:PAN27932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRQGGSAAEDAGGAMPSFGPPQHSIHTDMNNMQPSRVTDFGALAQSAGFRIEDLANLNTSTLFNLKPNTHTINNNPLQFGSYGKPISPSHINATTAATAAARIDPQSLEQQTGAQQNLVALPTGNIENWGESAMADSPMTDTSTDPDTDERNQMFEQGLVAGPTASDSSDKSRDKLDQKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQLEQELQRARQQGIFISTSGDQPQSANGNGALAFDMEYARWLEEHNKHVNELRAAVNAHAGDNDLRGIVDSIMAHYDEIFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTDQQLVGISNLQQSSQQAEDALSQGMEALQQALAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >PAN27934 pep chromosome:PHallii_v3.1:5:7173759:7179518:1 gene:PAHAL_5G119200 transcript:PAN27934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMQPSRVTDFGALAQSAGFRIEDLANLNTSTLFNLKPNTHTINNNPLQFGSYGKPISPSHINATTAATAAARIDPQSLEQQTGAQQNLVALPTGNIENWGESAMADSPMTDTSTDPDTDERNQMFEQGLVAGPTASDSSDKSRDKLDQKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQLEQELQRARQQGIFISTSGDQPQSANGNGALAFDMEYARWLEEHNKHVNELRAAVNAHAGDNDLRGIVDSIMAHYDEIFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTDQQLVGISNLQQSSQQAEDALSQGMEALQQALAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >PAN31216 pep chromosome:PHallii_v3.1:5:50251543:50255538:-1 gene:PAHAL_5G404900 transcript:PAN31216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MVAAAAMATAASAGVPPLSGPRGPARLRSRGVIVRCAAVAGGAAEAPTSTGARVSADCVVVGGGISGLCTAQALATKHGVGDVLVTEARARPGGNITTVERPEEGYLWEEGPNSFQPSEPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGFGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTIQERGKNPKPPRDPRLPKPKGQTVASFRKGLAMLPNAITSSLGSKVKLSWKLTSITKSDGKGYVLVYETPEGVVSVQAKSVIMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKSESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPQAIPQFLVGHLDLLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDFLTKYAYK >PAN26851 pep chromosome:PHallii_v3.1:5:2658505:2660758:1 gene:PAHAL_5G041900 transcript:PAN26851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPVFSRAAWRCAWHMVQNDLVYGWGIDFKLGYCALGDRSRNIGVVDSQFVLHRGIPTLGGGGGAGPVSASASTAATDRSAVRQRSSTELQVFNRRWKEAVAEDGCWTDDGDQWLTDGSINASPSPVLPRLIHHTFAGRRRRSPPATRLRHVSHGSLNGTGAGEDRDEGGGDLRLALQGAGQHRPHALIRAAAEICEESIPGLQVDHVDIYELRLLNTDLEVDGGFPPAVEACQARHGDLPGCPADGGAGARNFPRRVSVAPSPAVFAGEGESESPFASPEPADHHGLRWKTTPLCAESRP >PAN28651 pep chromosome:PHallii_v3.1:5:10254787:10257126:1 gene:PAHAL_5G169300 transcript:PAN28651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRVVLYPGLGVGHLTPMVELAKVFLHHGAAVTVALVEPPTNSPDFSAAVARAAASNPSVTFHVLPPAPADPSSSDGGISSEHHIVLMFDYLKAMCAPLRDFLRSLPAVDALVLDMFCGDALDVAAELNLPVYYFYASGAGDLAVFLNLARMDTRTTFAELGDSLVSLPGAPPFKASDLPKEISQGSPGAKPILGALYRLPEANGILVNTFESLETRAVRALRDGLCVPDHPTPPVYCIGPLVSGGGEKEHECLRWLDTQPENSVVFLSFGSMGTFPKKQLEEIAIGLEKSGQRFLWVVRSPGNPEDMLRPLPEPDLDALLPEGFLGRTKDTGLVLKSWAPQVDVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRMNKVFIVEEMKLGVEMKGYNEELVEAEEVETKVRWVMEYEGGQALRKRVAEVKGRATEAIEEGGSSHAAFVEFLKDLDNMSLLYH >PAN31699 pep chromosome:PHallii_v3.1:5:52795842:52799502:1 gene:PAHAL_5G438600 transcript:PAN31699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDPSAAAVAEALPPSPAPAPPPPLLSWPSPGPAPALEASTAPAGWDAVWALEDQQRRRLLRIWERGVAWKPSPPGGEEAAPAPVVFRLDHGGEVDADGNCLFTAARTAAAAKADARELRHRAVRRFAEVYDAAGEDDKGAVDAAVRHLYAPDLKAGWGVHVVQEIKVLAPKAQRDALDAAIQELVDLGIQREIAAETIYKERCIAVNNGESWAKYMSISGSAEDEHDIITLQYTEEGLLTIDENRDGRAAAFGDDIAIECLATEFKREVYVVQAHGADAMIDEDNCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLIATVLQHVTPDKAAVVL >PVH39121 pep chromosome:PHallii_v3.1:5:53013320:53016606:1 gene:PAHAL_5G442100 transcript:PVH39121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTPAPDADADAAAAVAQTLAAGELVWAKPSKPRRHCWWPARMLASCPATGSSAARGAEVCYFGEPPAAASSGATPAPPAQVRRFADPDADAMARGSTARGFLAAVEEAHASAVAALRAQLTCACVPPPPPGEERCAVVGVANLEPAEFLAALRAAALGARASPVGLVDRARLKSWARAFGEGWGPDGAQHYPRRPLDDLVDKIDLDVPAGEDRDADDWLAQDDNLPLKRPEETPVQKKRSVTSLMKELDVGEDEDKSDSPGPVTSRKRERKKSKYLSPPYTNLGVVVLPRKPVDTPKASVPKAAEDDSKVLPFLDSIVVEDVLLLVRGLGKDPHHMGIFPEAAEGFLGLFRSSTFIEGYDNASYKAHECPVAHPFRNASMDIAPGLVSDTHPVLEQDKRVSKRGRKKDGDGSGGSSIKRKKREKTSPAGTLGSGISITPAIPIRQVKAEDIRTLMKAGSSTRGIIQDEKSKPSLFKCPISAAVPGATKPGQEQVQENDKSVLEKSQGVGNTLPEETAKYNDDAKLEATKSETNMQNVIVGVPVRSVQTETMESEANIHIDVSAQSVDADIPVGCASKESTESEASVHRDKNVQGDVAVPERIISKEATESEVDASVDETVQSAVAGVPDRSVSKEATKLEANIRIVENLGGAVADAPIGCGPSPMHEDMAQPIDENKEPGSVEMRTVQQSYASLQALVPEMLKKENTNGTDVVALSHTLKDECPKDEAPVQKVKLPAGAASNHSPGEVVNGTYPDPVNPTPNKKKKKTAQDFYNPAAILVEFTQGVVVPSREELLSTFGKYGYLIESRTEIVKAARNARVVFGKSTEAEAAYRDRELLGQFGAPFATVSLQYLPPIQLSVPSPSPSPSPSPSPSLASKPPLTDIRKNLEKMIAARHSALNKATSSDGLNPVPDKLLGEMHGLLAKVDKMLSKPSANTAP >PVH39231 pep chromosome:PHallii_v3.1:5:54360433:54361663:1 gene:PAHAL_5G460800 transcript:PVH39231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGALLLLVVGSEFNRRAQHPQQFVRSCSRVGAGRRRLALGLQGLMLCHRPSVPSCSSHENTMSSFKSTPRRTFCLSITYVVCNASDAHFLNGASTLLCLVTHIR >PAN29774 pep chromosome:PHallii_v3.1:5:15779490:15787360:1 gene:PAHAL_5G249400 transcript:PAN29774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGDIQKVMSMRRGDSGSIWRRGDDVFSRSSRDEDDEEALRWAALEKLPTYDRVRRALVPSGLGLDDGGAEAGAAGGKGLVDVDVLSLGPQQRRALLERLVRVADEDNERFLLKLKDRIERVGIDMPTIEVRFHNLDAEAEVRVGSSGLPTVLNSVVNTVEEAANALHLLPSRKRTMPILHDVSGIIKPRRLTLLLGPPGSGKTTFLLALAGRLDKSLKTKGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKASAMGGQDANVVTEYILKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREDVLEFFESMGFRCPERKGVADFLQEVTSKKDQKQYWAQRDEPYRFVPVKEFATAFKSFHTGRAIANELAVPFDKSKSHPAALTTTRYGVSGKELLKANINREYLLMKRNSFVYIFRTFQLMVVSIIAMTLFFRTKMKHDTIADGGLYMGALFFGVLMIMFNGFTEMALTVFKLPVFFKQRDLLFFPAWAYTIPSWILKIPITFIEVGGYVFMTYYVIGFDPNAGRFFKHYLLLLAINQMSASIFRFIGGVARNMIVANVFASFMLLVFMVLGGFILVREKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTTSNETLGVQALKSRGIFPEAKWYWIGFAAMIGYILLFNALFTLALTYLKPYGNSRPSISEEELNEKRASMNGGVPDGNHLASQSSHRSTGINADTDSALTEKGMILPFVPLSLTFDNIRYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKRQETFARISGYCEQNDIHSPQVTVYESLLFSAWLRLPGDVDSNKRKIFIEEVMELVELKQLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFEAFDDLFLMKRGGEEIYAGPLGHHSSELIKYLEGIQGVSKIKDGYNPATWMLEVTTASQEHVLGVDFSDIYKKSELYQRNKALIKELSQPAPGSSDLYFPTKYPRSSITQCIACLWKMNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVRTSQDLMNAMGSMYAAVLFIGIMNCTSVQPMVAVERTVFYRERAAGMYSAFPYAFGQIVIELPYALAQDIVYGLIVYSMIGFEWTVAKFFWYLFFGYFTLLYFTFYGMMAVGITPNAHIAAIVSSAFYAIWNLFSGFIVPRPRVPIWWRWYCWVCPVAWTLYGLVVSQFGDVMTEMDDGRTVKAFVEDYFDFKHSWLGWVAAVVVGFTVLFGALFGFAIMKLNFQKR >PAN27669 pep chromosome:PHallii_v3.1:5:5950833:5953449:-1 gene:PAHAL_5G096900 transcript:PAN27669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFRKLNRPAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRAAAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAEMAYIEFVDRENELREAKPATPQPPQRAPLDPWTKSRASQQWAGPKLTKNSASDGL >PAN28830 pep chromosome:PHallii_v3.1:5:10938249:10939619:-1 gene:PAHAL_5G180800 transcript:PAN28830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSWQKDYGAYRLQSAMFDGREYRWGGTTARWANASRNSSTDSGFIEFVTSPNNPDAMLREPALRGSPAAIFDHAYYWPHFTHIPAPADEDVMLFTISKPSGHAGSRFGYVRATRTGRAN >PVH37654 pep chromosome:PHallii_v3.1:5:3395262:3403593:1 gene:PAHAL_5G053700 transcript:PVH37654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVNTTSMMTQTLQGKVMASNMPGSFSIRQQMSPSDFEMLQPDNMDQSTSPIRSIIIQKIATSLKKREQFAKVSPDYLLKVSRNIDEVLYRSAPKVNYMDLNTLEVRVNSLLSSVSNRNRRGSGISSAASSTKNLQQLPGIQMADSSVYHDIVAPAFANLPACARDVPTHTIFTSQRYVPHNCNVAAANFPQLESFRSTIVAPCVPALPKCSSGLGEIASAGLPNGHVKDHCPGDAHPDDSPISSMSGSSSPLSAVFDPTTSSSAMIRPSVDSVSKSTGQKLSAGSDVYSTNEGQSFQQYREYEKELDGAWSLPVEQSIPSNNTTERHEIYLKEECHLDRCMEMEEKYWRASDCEDLCRDKYSNLSSPCAQHQCFMTECDPCDPERERVERSEQTSNSTISKPTSTVSDESYGKRPAKRLKADVPSLANENQAETAKEQKPVVKENHGCGETVQSEITELPNKSPHSSLGDINTDTDNTLEQVSEDVHNMEIVAEEGLYCVKGDIEMKESKTVALDQTVSGVNVTSRRKRGASILYALTAEELRDHMSSLINQHTCLSKVTSQEIQVIEGLPDQNTCSLCGMERLLFEPPPRFCALCFKIINSTGCYYAEVENEKDKTSICSKCHHLSSSRAKYVKKYNYAETDAEAEWWVQCDKCKAWQHEICALFNRKCEGAKDEYTCAKCFLKEKDSGDIHALESSTVLGARELPRTKLSDHIEQRLSERLEQDRQQRARASGKGAEEVPRVEGLTVRVVSSADRVLQVQPRFHEFFKQEEYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWTCPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLSDFFLQPTNECKANISAACLPYCENDFWPGEAERLLEKKNDKTSQKKETQVGRLLRVAKRDERKGNLEDILLVHKLGERMRTMKEDFIMLCLQQFCKHCHQPIVSGRSWVCTSCKNFHLCDKCHAEEQNTAQKDRHPATTKQKHAFQRIEVEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSTCSACHRAVDQCLVWRCLECLGCTFCDPCYKQNGENLHIHELRQIDTSKTMQKNTIQQDYIKCLVHASRCFDPCNCTLQVCLTLKKLFFHGVRCDIRARNQGGCNKCVFMWKLLLYHSKHCNDEDCKVPRCRDIKAYVAEKVKLAGPVL >PAN27012 pep chromosome:PHallii_v3.1:5:3390836:3403593:1 gene:PAHAL_5G053700 transcript:PAN27012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTQTLQGKVMASNMPGSFSIRQQMSPSDFEMLQPDNMDQSTSPIRSIIIQKIATSLKKREQFAKVSPDYLLKVSRNIDEVLYRSAPKVNYMDLNTLEVRVNSLLSSVSNRNRRGSGISSAASSTKNLQQLPGIQMADSSVYHDIVAPAFANLPACARDVPTHTIFTSQRYVPHNCNVAAANFPQLESFRSTIVAPCVPALPKCSSGLGEIASAGLPNGHVKDHCPGDAHPDDSPISSMSGSSSPLSAVFDPTTSSSAMIRPSVDSVSKSTGQKLSAGSDVYSTNEGQSFQQYREYEKELDGAWSLPVEQSIPSNNTTERHEIYLKEECHLDRCMEMEEKYWRASDCEDLCRDKYSNLSSPCAQHQCFMTECDPCDPERERVERSEQTSNSTISKPTSTVSDESYGKRPAKRLKADVPSLANENQAETAKEQKPVVKENHGCGETVQSEITELPNKSPHSSLGDINTDTDNTLEQVSEDVHNMEIVAEEGLYCVKGDIEMKESKTVALDQTVSGVNVTSRRKRGASILYALTAEELRDHMSSLINQHTCLSKVTSQEIQVIEGLPDQNTCSLCGMERLLFEPPPRFCALCFKIINSTGCYYAEVENEKDKTSICSKCHHLSSSRAKYVKKYNYAETDAEAEWWVQCDKCKAWQHEICALFNRKCEGAKDEYTCAKCFLKEKDSGDIHALESSTVLGARELPRTKLSDHIEQRLSERLEQDRQQRARASGKGAEEVPRVEGLTVRVVSSADRVLQVQPRFHEFFKQEEYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWTCPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLSDFFLQPTNECKANISAACLPYCENDFWPGEAERLLEKKNDKTSQKKETQVGRLLRVAKRDERKGNLEDILLVHKLGERMRTMKEDFIMLCLQQFCKHCHQPIVSGRSWVCTSCKNFHLCDKCHAEEQNTAQKDRHPATTKQKHAFQRIEVEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSTCSACHRAVDQCLVWRCLECLGCTFCDPCYKQNGENLHIHELRQIDTSKTMQKNTIQQDYIKCLVHASRCFDPCNCTLQVCLTLKKLFFHGVRCDIRARNQGGCNKCVFMWKLLLYHSKHCNDEDCKVPRCRDIKAYVAEKVKLAGPVL >PVH37653 pep chromosome:PHallii_v3.1:5:3390836:3403596:1 gene:PAHAL_5G053700 transcript:PVH37653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTQTLQGKVMASNMPGSFSIRQQMSPSDFEMLQPDNMDQSTSPIRSIIIQKIATSLKKREQFAKVSPDYLLKVSRNIDEVLYRSAPKVNYMDLNTLEVRVNSLLSSVSNRNRRGSGISSAASSTKNLQQLPGIQMADSSVYHDIVAPAFANLPACARDVPTHTIFTSQRYVPHNCNVAAANFPQLESFRSTIVAPCVPALPKCSSGLGEIASAGLPNGHVKDHCPGDAHPDDSPISSMSGSSSPLSAVFDPTTSSSAMIRPSVDSVSKSTGQKLSAGSDVYSTNEGQSFQQYREYEKELDGAWSLPVEQSIPSNNTTERHEIYLKEECHLDRCMEMEEKYWRASDCEDLCRDKYSNLSSPCAQHQCFMTECDPCDPERERVERSEQTSNSTISKPTSTVSDESYGKRPAKRLKADVPSLANENQAETAKEQKPVVKENHGCGETVQSEITELPNKSPHSSLGDINTDTDNTLEQVSEDVHNMEIVAEEGLYCVKGDIEMKESKTVALDQTVSGVNVTSRRKRGASILYALTAEELRDHMSSLINQHTCLSKVTSQEIQVIEGLPDQNTCSLCGMERLLFEPPPRFCALCFKIINSTGCYYAEVENEKDKTSICSKCHHLSSSRAKYVKKYNYAETDAEAEWWVQCDKCKAWQHEICALFNRKCEGAKDEYTCAKCFLKEKDSGDIHALESSTVLGARELPRTKLSDHIEQRLSERLEQDRQQRARASGKGAEEVPRVEGLTVRVVSSADRVLQVQPRFHEFFKQEEYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWTCPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLSDFFLQPTNECKANISAACLPYCENDFWPGEAERLLEKKNDKTSQKKETQVGRLLRVAKRDERKGNLEDILLVHKLGERMRTMKEDFIMLCLQQFCKHCHQPIVSGRSWVCTSCKNFHLCDKCHAEEQNTAQKDRHPATTKQKHAFQRIEVEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSTCSACHRAVDQCLVWRCLECLGCTFCDPCYKQNGENLHIHELRQIDTSKTMQKNTIQDYIKCLVHASRCFDPCNCTLQVCLTLKKLFFHGVRCDIRARNQGGCNKCVFMWKLLLYHSKHCNDEDCKVPRCRDIKAYVAEKVKLAGPVL >PVH37655 pep chromosome:PHallii_v3.1:5:3395262:3403596:1 gene:PAHAL_5G053700 transcript:PVH37655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVNTTSMMTQTLQGKVMASNMPGSFSIRQQMSPSDFEMLQPDNMDQSTSPIRSIIIQKIATSLKKREQFAKVSPDYLLKVSRNIDEVLYRSAPKVNYMDLNTLEVRVNSLLSSVSNRNRRGSGISSAASSTKNLQQLPGIQMADSSVYHDIVAPAFANLPACARDVPTHTIFTSQRYVPHNCNVAAANFPQLESFRSTIVAPCVPALPKCSSGLGEIASAGLPNGHVKDHCPGDAHPDDSPISSMSGSSSPLSAVFDPTTSSSAMIRPSVDSVSKSTGQKLSAGSDVYSTNEGQSFQQYREYEKELDGAWSLPVEQSIPSNNTTERHEIYLKEECHLDRCMEMEEKYWRASDCEDLCRDKYSNLSSPCAQHQCFMTECDPCDPERERVERSEQTSNSTISKPTSTVSDESYGKRPAKRLKADVPSLANENQAETAKEQKPVVKENHGCGETVQSEITELPNKSPHSSLGDINTDTDNTLEQVSEDVHNMEIVAEEGLYCVKGDIEMKESKTVALDQTVSGVNVTSRRKRGASILYALTAEELRDHMSSLINQHTCLSKVTSQEIQVIEGLPDQNTCSLCGMERLLFEPPPRFCALCFKIINSTGCYYAEVENEKDKTSICSKCHHLSSSRAKYVKKYNYAETDAEAEWWVQCDKCKAWQHEICALFNRKCEGAKDEYTCAKCFLKEKDSGDIHALESSTVLGARELPRTKLSDHIEQRLSERLEQDRQQRARASGKGAEEVPRVEGLTVRVVSSADRVLQVQPRFHEFFKQEEYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWTCPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLSDFFLQPTNECKANISAACLPYCENDFWPGEAERLLEKKNDKTSQKKETQVGRLLRVAKRDERKGNLEDILLVHKLGERMRTMKEDFIMLCLQQFCKHCHQPIVSGRSWVCTSCKNFHLCDKCHAEEQNTAQKDRHPATTKQKHAFQRIEVEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSTCSACHRAVDQCLVWRCLECLGCTFCDPCYKQNGENLHIHELRQIDTSKTMQKNTIQDYIKCLVHASRCFDPCNCTLQVCLTLKKLFFHGVRCDIRARNQGGCNKCVFMWKLLLYHSKHCNDEDCKVPRCRDIKAYVAEKVKLAGPVL >PAN28047 pep chromosome:PHallii_v3.1:5:7621438:7624317:1 gene:PAHAL_5G127300 transcript:PAN28047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSVFDPFAEANAEDSSAGAGSKDYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKESIKIHGF >PAN30702 pep chromosome:PHallii_v3.1:5:43422421:43426027:1 gene:PAHAL_5G366800 transcript:PAN30702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHPSPFTIVTKMVPTSMNFLGHRTWNVLSCCCSFLFLCSMGMYRLYLEMLLMIPTNGHCLIKILDHCSFLLSIVHLRSYQVSRWFTRWINHESSSRFLHNCMGRVCRADTVAVGGVLM >PAN32114 pep chromosome:PHallii_v3.1:5:54619712:54622679:1 gene:PAHAL_5G464300 transcript:PAN32114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPVSSASLAIFLFILLPTFSSSSCPSELQCNSTEPIEIRPPFFVGTPGLDPACGNSINVSCGQLGPELDLATDSKLLLKEIRYDSHTVVVQDVQLSILNNSACSQTFIFRPPVDNFESSYPDLVRWFSLISCGHSNVTIFHSIFGDDKEVHQSIQPDERGLASCHASLQFEWILSFSEVGSAGQIPLVNFSVQSGSIRKYLLSLSDCVSPSPGDGGHSKKYGTSLLMAVFISASSGMLLGCFFVVLKPLWIKSSLVRRKDCETKENTELILSRYGIRPKRYRYTDLKRITRSFSEKLGEGGYGMVFKGELRDGHPVAVKLLHNSRGDGEEFANEVVSIVNTSHVNIVCLLGFCIEGSRRGLIYEYMPNGSLERYIYSENPKSTLGWEKLYDIAIGIARGLEYLHRGCNRRIIHFDVKPHNILLIIVQKLLILAWPNSVTSRRASFHQWLAHEEPLASLLQKCSLEVLVLYQPSRTSIALEWYFWRWLGGEEMCKQILTILAKFIFRNGFMVTYLMGVHSKPLK >PAN29997 pep chromosome:PHallii_v3.1:5:17159163:17161655:-1 gene:PAHAL_5G265600 transcript:PAN29997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVYLRFRSAFMIFFTSCYASCDCFLLGGILVVRFLQVGDGSLCAWRCCFLWTMTGIEQLSFSISVTSSGVQYFNKLDNTERPEEGGGQEGMAEMMQTSGTRKRTRRPPGGR >PAN29142 pep chromosome:PHallii_v3.1:5:12733124:12735000:-1 gene:PAHAL_5G208700 transcript:PAN29142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLEPHDYIGLSAASAAPPTPTSSSSSSSSPAPRLTLRLGLPGSDSPDREDVAAALSLGPPPAAAACSAKRAFPDPSPRAGAAKAGDGKQAPPAAPPAAKAQVVGWPPVRNYRKNTLAASASKSKAPAEEAASGGGPMYVKVSMDGAPYLRKVDIKMYSSYEDLSAALEKMFSCFIAGQSGLRKPSSKDRLTNGTKADALQDQEYVLTYEDKDADWMLVGDLPWE >PAN29143 pep chromosome:PHallii_v3.1:5:12731715:12735000:-1 gene:PAHAL_5G208700 transcript:PAN29143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLEPHDYIGLSAASAAPPTPTSSSSSSSSPAPRLTLRLGLPGSDSPDREDVAAALSLGPPPAAAACSAKRAFPDPSPRAGAAKAGDGKQAPPAAPPAAKAQVVGWPPVRNYRKNTLAASASKSKAPAEEAASGGGPMYVKVSMDGAPYLRKVDIKMYSSYEDLSAALEKMFSCFIAGQSGLRKPSSKDRLTNGTKADALQDQEYVLTYEDKDADWMLVGDLPWDLFTTICRKLKIMRGSDAVGKAPRTIEQTGQNK >PAN28579 pep chromosome:PHallii_v3.1:5:9854631:9860391:1 gene:PAHAL_5G163300 transcript:PAN28579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MSRALLAHVLHRPPLLASRSGAGARGLLACRIHPLRRLNCSAAEAAAGPTEEAPASPARKKRVVSGVQPTGMVHLGNYLGAIKNWVALQDLYETFFFIVDLHAITLPYDAPELSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVEMMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKLGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIVNKIKRCKTDSFPGLEFDNPERPECRNLLSIYQIITGKTKEEVVSECQYMNWGTFKTTLTDALIEHLQPIQAHYEEIMSDPGYLDNVLLEGAGKASEIADITLNNVYQAMGFLRR >PAN30513 pep chromosome:PHallii_v3.1:5:21076737:21080004:1 gene:PAHAL_5G289300 transcript:PAN30513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASPSSAALALLIALLLLAGWASAANQTQGFRPRDELRRYRRVQALLRRLNKPALRTIQSPDGDLIDCVAAHLQPAFDHPRLRGQRPLDPPVRPKGHHRRRPNDTAGAGAQLWAASGESCPEGSVPIRRTTEADVLRASSVRRFGRAPAARVRRDSVAGGHEHAVGYVAGDEYYGAKASINVWAPKVSTASEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYNAGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSLLFSHLASHASMVQFGGEVVNTRASGSHTATQMGSGHFAGEGFGRASYFRNLEVVDWDNSLVPLAAGFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >PAN26471 pep chromosome:PHallii_v3.1:5:971220:973436:1 gene:PAHAL_5G014300 transcript:PAN26471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLAVPKGLGAVEDAVAAKNKPRWGFVQFFFVLSVVLCVLLYAPRVFVLAPRGIDVVGFFTPNSSKGTSSSGSSVLSSQSVGGTAGHDGGRLVLDNQVHSPCSSMRDHTICCDRSSVHTDVCFMAGDVRTGAASLSLLLFPPHDHHQHQAPPNGTSSTEEERVRPYPRKWERLIMAKVPEVRLRVARPEEEAAAEHRCDVRHDAPLLVMSAGGYTGNLFHAFNDGFLPSWLTVQHLRRRVVLGVLSYNPWWAGTFSEIISGLSDYHVVDLVHDKRTHCFPGAIVGTRFHGVLVVDPARLRDNKTIVDFHQLLADAYEKPKAEQQGRSSSTSSIRPRLGIVSRKGTRVIENQAAVARLASSIGFDVDVLETATGLPLSAWYASVSACDALVGVHGADLTKFLFLRPGRASLAQIAPLGVSAIARDCFGGPAARMGVAYEQYEVGGEESSLARRYAADDVVVADPERAKREKGGWGLVARVYLGGQNVSLDLGRFGETLARLHAHALLQRRQR >PAN27527 pep chromosome:PHallii_v3.1:5:5372747:5374470:1 gene:PAHAL_5G086900 transcript:PAN27527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLHTIQSSLPSLRRSSPFQRALLGRKRSFIYASGSEDAESGSSPPLGGDKHRQEVLAQIAMLQAQKVRITDFLDERSAYLTKFAKDADSEFDLIGQNAMKELDAVGDQILERLDSKMQAFEETAEVQRQEIEMNDKVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKEIKLKAKVEAQKVKEIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALALIFIGLVAQVIYEQDISPPKAEKTEKREE >PAN30958 pep chromosome:PHallii_v3.1:5:47826759:47831811:-1 gene:PAHAL_5G384300 transcript:PAN30958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMASPTLKDHLSAPTGPLHLKVWEVVCIALGVFMVVVFFVAVWLTIRSKKRVGRASANIPITQIPAISKEIKEVRVEQVPASDYAAHDGVLLTIQDKSSDRDSDKVMAHLGVSKSRRGDESHSGSFRYMDKDAGFQSAEEGGSGTFRQASSHAITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGVVYRGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSQHGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFESKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHHRGGSTEMDSQRDNNSDTEKSDNPDSKPSRSRASSSK >PAN33031 pep chromosome:PHallii_v3.1:5:58700665:58705552:1 gene:PAHAL_5G530700 transcript:PAN33031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPQPATSPPPNGTAAAPPPAPPPVNSSSSPSTPTPTPTPATPSPPPPGAPATPSTPSPAPPGPPSAPSPPAAPAFPTPSSRATPAPATVAPPPPPSSSGLSTPVVVGIAVGGLAVLLLGSLLCVCLFRNKKKRHHHHPPPPPPPPHHLHYYGHPPPPPPPPPPHKGDQYQNWQHNAPPPPPDHVVKMHSHLSPPPPPLNVHSSGSASNHSGGESRPPLSPGTAFSFSRSTFTYEQLMAATNGFSDANLLGQGGFGFVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGVNRLLVYEFVPNNTLEFHLHGRGRPTLDWPTRLKVALGSAKGLAYLHEDCHPKIIHRDIKASNILLDLRFEAKVADFGLAKFTSDTNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSFGVMLLELITGRRPVSSRQAPMDDNLVDWARPLMTKAFEDGNHDILVDPRLGSEYNDNEMARMIACAAACVRHSARRRPRMGQVVRALEGDVSLDDLNEGVRPGHSRFMGSYGSSASDYDTNQYNEDLKKFRRMALGGSGVQSGSQRTPTSEHGQNPSVSSGDGHQTTQGTETGSMRKEHGDSAGDSQSQVS >PAN32120 pep chromosome:PHallii_v3.1:5:54652949:54654442:-1 gene:PAHAL_5G465500 transcript:PAN32120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLHRPKAKSFWVLVRRLLLRRSHRPAAAGGAAEDRREEKSGLLSRSSLEQLLVTDAGAPGDGDVCAKKHGQPVAVLARPPPAAATAIGGRDGAAAHRRFVFGGFRRRLLMRRPWRPVLVAIPE >PAN31438 pep chromosome:PHallii_v3.1:5:51596305:51601127:1 gene:PAHAL_5G419700 transcript:PAN31438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLTTALDGQHSSQHDATTTTHSHKRNLEPEGYPPLHAKLPEQQPTTATAQSPGVLVVARMAKSGIQYAVVDAFTAEPFKGNPAAVCLLQDAAKAADERWMQSVAAEFNLSETAFLLRDSSPAAAAAPRFQLRWFTPVAEVKLCGHATLASAHFLFTSVLAEHETLVEFATKSGILTAKKVAAPASAVASGEGKLFIELDFPMIDLVDCHPAELPSIPETLNGASVVSVHKSATAGDLIVELSSGKEVADIIPNIHEIEKCSGRGVIVTGPAPAGSGFDFFTRFFCPKFGVDEDPVCGSAHCVLAPYWGGKLGKQRLTAFQASPRSGILHLELEPASSRVRIQGEAVTVMTGTLLGSERNSSPCFEG >PVH39381 pep chromosome:PHallii_v3.1:5:55930025:55930386:1 gene:PAHAL_5G488300 transcript:PVH39381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAPRSSSLLALALALLLLASSPYPLDAYGSAPQAGGKYGGRRSLAGYLRESPPPPAPAGGPPIGPLPNIPAPPPSPGP >PAN29122 pep chromosome:PHallii_v3.1:5:12381845:12387443:-1 gene:PAHAL_5G203700 transcript:PAN29122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALVRTKWMNCKKAISEEVEIVKQLDAQLKSLKEAPGARRSSSPPIRSNKSFHFQPLDEYPTSSPAPFDDPDVWAPPRDAPNRRSARGQSSARKSSQDGAWARGASRTGTPSRSAKPNGSKGSSAVRSSTASSTGGRKGKPSSSKADSASSDAEEGKSKKGQYEGPDTDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDFESRKALININLRTVQIAADVNIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMAKDEIAKDPVAMCDFVEALGKVQKSVSPADIEKHEKWMAEFGSA >PAN29124 pep chromosome:PHallii_v3.1:5:12379855:12387695:-1 gene:PAHAL_5G203700 transcript:PAN29124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALVRTKWMNCKKAISEEVEIVKQLDAQLKSLKEAPGARRSSSPPIRSNKSFHFQPLDEYPTSSPAPFDDPDVWAPPRDAPNRRSARGQSSARKSSQDGAWARGASRTGTPSRSAKPNGSKGSSAVRSSTASSTGGRKGKPSSSKADSASSDAEEGKSKKGQYEGPDTDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDFESRKALININLRTVQIAADVNIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMAKDEIAKDPVAMCDFVEALGKVQKSVSPADIEKHEKWMAEFGSA >PAN29123 pep chromosome:PHallii_v3.1:5:12379855:12387704:-1 gene:PAHAL_5G203700 transcript:PAN29123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALVRTKWMNCKKAISEEVEIVKQLDAQLKSLKEAPGARRSSSPPIRSNKSFHFQPLDEYPTSSPAPFDDPDVWAPPRDAPNRRSARGQSSARKSSQDGAWARGASRTGTPSRSAKPNGSKGSSAVRSSTASSTGGRKGKPSSSKADSASSDAEEGKSKKGQYEGPDTDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDFESRKALININLRTVQIAADVNIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMAKDEIAKDPVAMCDFVEALGKVQKSVSPADIEKHEKWMAEFGSA >PVH39519 pep chromosome:PHallii_v3.1:5:58390486:58391552:1 gene:PAHAL_5G526000 transcript:PVH39519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQHLATVVFSLAWNIRSHYSLVFSNVEQSLTTMINHAIRGGGGGGGGGKEEEEDDDNDDDDDGGDGGDKDEEKTEELPSIQSRRGSGRMQLPVHGYLSCAAMMDVLLHLHGCA >PAN26891 pep chromosome:PHallii_v3.1:5:2894093:2896158:1 gene:PAHAL_5G045300 transcript:PAN26891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYIRLNDAVRARLRGDAAGCTSSGSDHDASACLSGLVQAFLETDAGVAEEGAGPPPKGAGAYDSDDGDGPERAAAAAASVRELLDPPAEEDVFRIRLAAAVAAAVEAEAALRAHGAAFRRAVMRRLRGAGYDAGVCKSRWEASGGITAGTYEYVDVVAPPTARGGPRSRYIVDADFRAGLEVARATPEYAAVVAAVPASAVVAREESVGRAVRVASDAARRSLRAHGLHVPPWRKTRYMLAKWLGPYKRSTTTSPSAAGGAMPMPGAAGLDVKCRAVGFFPHPAAAPAARIK >PAN32494 pep chromosome:PHallii_v3.1:5:56090571:56092480:1 gene:PAHAL_5G490900 transcript:PAN32494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MAALLFSFTALLLLRGSSVHSQGLQIGFYDSYCPDAEDIVRSTVEQYYDKDATTAPALLRLHFHDCFVQGCDASVLISGASSERSAPQNFGLRGFEVIDDAKSQLEAVCPGVVSCADILALAARDAVDLTGGPSWSVPLGRRDGRISLASGAKALPSPADPVSVQRQKFADQGLSDHDLVTLVGAHTIGQTDCQFFSYRLFNFTATGNADPTISQAFLPQLRALCPPGGDPGRRVALDKDSPGAFDVGFFKNVRDGNAVLESDQRLWSDAATQGAVQKYAGNVRGLLGLRFAYEFPKAMVRMSSVAVKTGGQGEVRRRCSRIN >PAN27496 pep chromosome:PHallii_v3.1:5:5208008:5210855:1 gene:PAHAL_5G084600 transcript:PAN27496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKLSPVSPLRRDDTPRAQPPSSAVLRVQDASAAEAYERYLRLPELSALREPGRFPGWAGEALVTPALQALEVTFRLASLALSDPRGYASRRELARRLECLAAREVELAAALCEGDLGAPLAELSASGGVLPRERSASEVWQLPGSAAAVVCQPSEASLLPRLAAWDKSETLAARITYAIEGQMQGCAFTLGLGEPNFAGKPVLEYDRVVRPHELHALKPKPEPEPRSGYRNRENETLFTMYQILESWLCAASQLLARLNERIEARNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAIRAAPGSDASFCFRSRALLHVANTTRDLKKRVPWVLGVEVDPNGGPRVQEAAMRLYHSRRRGEGEEAGKVELLQAFQAVEAGVRRFFFAYRQLVAAVMGTAEASGNRALFVPAEGMDPLAQMFLEPPYYPSLDAAKTFLADYWVQQMAGASAPSRPS >PVH38071 pep chromosome:PHallii_v3.1:5:10239303:10240746:-1 gene:PAHAL_5G169100 transcript:PVH38071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGCAKQTVVLFPGGGVGHVGPMTQLAKVFLDHGYDVTMVIIEPPIKSTDSGAGFIERVAASNPSITFHVLPPIPPPDFASSRKHPFLLMIEFMRQYNEKLESFLRSIVPRARLHSLVIDMFCTQAIDVAAKLGVPVYTFFASGAGVLAVFTQLPALLAGRRTGLKELGDTPLEFLGVPPMPASHLVRELLEDPDDELCRTMMNIWKRNTDTLGVLSRALQAFRDPLCVPGRVLPPVYSIGPLVGKGGSGADEEEAERPECIVWLDAQPERSVVLLSWGSKGSLPEEQIKEIAAGLEKSGQRFLWVVRTPAGSDDPKRYLEQRPEPDLDALLPEGFLERTKGRGFVIKSWAPQADILTHPATGAFVTHCGWNSTLEAIAAGVPMLCWPLGAEQKMNKVLMTADMGVGVELEGYKAGLIKAEEVEAKVRLVLESEEGRELRERAAERKKEAEEALEDGGSSRAAFLQFLSDVKNLRA >PVH38776 pep chromosome:PHallii_v3.1:5:38784186:38785613:-1 gene:PAHAL_5G350100 transcript:PVH38776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNKSVAYPPLLLRPKQTICVLSPRSKQTLSLLFLSLTHAESQRRFTSLTLAAKKRRTAMGSGSCCCMGCNGGDDDSGGDAGGGLDPKGFLLAMMIALVLFMLCHVRPPRRNSYVVYRCY >PVH39061 pep chromosome:PHallii_v3.1:5:52060475:52060702:-1 gene:PAHAL_5G426100 transcript:PVH39061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTALLSVLVELHNNGDDAQNGWKPHVYNAAIKNVRESCNVEITKENIASRCKIFDKHYEIISKILSQSGFGWD >PAN26565 pep chromosome:PHallii_v3.1:5:1432805:1436233:-1 gene:PAHAL_5G022100 transcript:PAN26565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEPNLSAEEDGADHLAAGDLGGFEPTEDELVLHFLRPQLRGFPPRVAGAVLEADPCAAAPWELLARYGLRDQGHFFAARGRGRGRPSVRRAVAGAGAWMHSSTRAGRSVTDLGVVVRWSRVKFCFYAGGPAGAGQGQQRSTGWVMEEYEITDPRCYRRCDEEGEEDDYWVLCRVRKSKRPAAASPPARQRWRRLDELEPDSGAKAKISRPLRT >PVH38633 pep chromosome:PHallii_v3.1:5:25789583:25790012:-1 gene:PAHAL_5G308800 transcript:PVH38633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVTQRKPVACAGVERSARGGRWRRGWRAREWFGRGRSTPKWREHAYASVGVTRQGRANTGSAAGSGGAAAQRAGRRCAGRSRAMREEL >PAN27191 pep chromosome:PHallii_v3.1:5:4045041:4046032:1 gene:PAHAL_5G065300 transcript:PAN27191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTNAPAGAGNCPPLGTLPVLVYDHGRGPNNRQTAFAIGDESLHTSVVPELANNYYHATPHGWVLLVAPGPSPCTRLWDPRSGHGARAARGLRKPRFLYCRVGDSLWSVHEYDIGDEKLPPEYAPPRKLVSQQTAAVDGKFYFGETGKLGYIDRPCPEFPDGCNCLNEQLVESRGELFSVCICLKGFTPEILTVRVYRIDLMSGRPTLSKVDDLGDRVFLLSYTNGNRIYFNHNAMGDMDGGLLCVFDLDDQSLKTVRPCQEMAELLRNPFWMLPTDQDITREY >PAN28519 pep chromosome:PHallii_v3.1:5:9455268:9464594:-1 gene:PAHAL_5G156600 transcript:PAN28519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLLRGLRSSARRAHAHSLSHASSPSALGSSLLRRLYSSAAASTAASPALTPSGVMDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKETELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKNPPDNAKNMSSLLDSIIQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVIKLMKKKGTSMVTVEAAGAGDIISMAGLASPAIGHTVANSEVLTALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGSRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTERGERLEPIEEVTVEVDEEHVGFVLETLTHRKGEVVDMGPVPGTTGRTRIFMTCPSRGLVGVKGIFSSFTRGTGFMHRAFQAYAKYRGPLGSVRKGVLISVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRDSDLEVNPVRTKELTNIRAPGKDENVRLSPPRLMTLEEAIGYVAADELIEVTPKVIRLRKKYLDSTKRKMMKNKPMV >PAN30417 pep chromosome:PHallii_v3.1:5:19637776:19638702:1 gene:PAHAL_5G280900 transcript:PAN30417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGSKSLPARRADEGGENGDGAAVRDDEGGGGGSGGNRKQQKAARYGRCFSGLELSIGPAPLKDMDAGRLKDQIRRWAKAVVAFARQISFGSPRSVTTSSGGNTPRSATFPAAVSRSSRLGGAKNDEPPPDMRA >PVH38825 pep chromosome:PHallii_v3.1:5:43118567:43132877:-1 gene:PAHAL_5G364700 transcript:PVH38825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 50 [Source:Projected from Arabidopsis thaliana (AT1G61770) UniProtKB/Swiss-Prot;Acc:Q8GUN6] MAAAAPLLSLLLLLLLLPASNAIYCDEDDCYDLLGLKQDANASEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTREQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIVSAFQYINQLTRYNQAIESVKQTPAYRNRLKALEFERTGGIASKKKGHKQMDKKVEEAVSNEVELQIHGVEKPSVWRLYGVQFILLPYFIGKVLTWEICWFWRYQVKKLPYAWEDACYLTQTSLKIPASTWKNIDESRKEDLVTRRLWEKSNMERYIAETRKESKRRR >PVH37602 pep chromosome:PHallii_v3.1:5:2639679:2640817:1 gene:PAHAL_5G041500 transcript:PVH37602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARTRRHIAISGPGACAGVDGIRIIRSGAYASAPAARHFGLLLLRGRRPRARHVPRPRKGSGRAVAGHWTSRGAEPPHTCHRGASCPSAVAGWLAPAPRRRRQSASAWHGAACRDLGEAVPRRDATAARPGTGDDVRCLWRGTARRPPPTATTARPDAPLRQRGYCTEAKLAKRDVPRRGPWEGARWLAALVKIYVWPGREDSQGFSRGIPVVPTCGFCPRAGVFLK >PVH37573 pep chromosome:PHallii_v3.1:5:2121387:2121900:-1 gene:PAHAL_5G034200 transcript:PVH37573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHWLRPSHAHQQLRTCPFLFGSFALPKPLLVLDLWTGGGFDLGLILACHYSKNT >PAN27897 pep chromosome:PHallii_v3.1:5:6997496:7003432:1 gene:PAHAL_5G116000 transcript:PAN27897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MSAAAADWLPSASVTASGRPVLSAGEVERNLLPLVDLEPEENPCLAPLRGCLLALTSHRLIFLHEPSRSARGLPLATVVHAYPPHRRHSHNPLRSLFSSSSSSSSQHHRIRLQISMPPARSEVVAIVVTCKADVDVFFGRLIEAIRARAWEMTPAAAPASGAPVAEGAAPAEDIAIRMPVVGVSGILRMEQESWESAGQNLQDAFQDLNALMSKAKEMMQLAEKMRLKLLTNSSTESNSNDEEMGSKQDMQDLLLSVGIVSPVTKETAGALYHQQLSLQLADFVRIPLEKAGGMMALVDVYCLFNRARGTELISPEDLLQACSLWEKVDVPVMLRKFDSGVKVIQTKTHSDEEVFARISSLAQKPDALQKGISPSDAAFTLGIAPALAKEHLLNAENKGLLCRDVSPDGFRFFVNLFNEIDAQNIYTQKPHGLYHAWISVAMASQ >PAN29709 pep chromosome:PHallii_v3.1:5:15518242:15525568:1 gene:PAHAL_5G245600 transcript:PAN29709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MAGAGAAGFPRLLKAQALQCLADEGFWDALRRHKLDILGTDDSPIPITGYYTPRQFRPMASFFNLRPGSLNNSSGDRNGCPVPGTLINTNNMRGFQDLDRELLLKAEAKKILHDIISGNVEENPALLLRFLATSFADLKNWKVYYNVAFPSLVLNSRMTLLSLHCASELLSKEEAASLYEALQEWRASSETTVVPFFLVGISSDSSASVRPLKDWKTCQGNYQKLLFGFYDHGCLSDCPGWAIRNYITFLTIRWKIEKAQFFCYREYRGNPDLEQSFIGEASFPSPHGWDNPDHVPDAIGWEGLKPGKGTKEMKPKEIDLQSMSPASQDEEKQLMHLKLMGWRHFPVNIDKLSQVRVLVLGAGTLGCEVARLLMTWGVRKLTVVDSGSVATSDLIKQSLYIDKDCGVPRVTAIVQHLKARCPAVEVEGIQMEIPVPGHPDSPSKMASVLDDCKHLQTLVAANDAVFLLTDTWESRWLPTVLCASENKIAITAVLGCDSYLVMRHGAGPGTSEVTNELAAQIEKMSTEDALGNQRLGCCFCNDAVSLFNPIPNGTLPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGMETEHQLGLLPHQLRGSLPKCVLSMELGNSSRNCTACSVAVLSEYRRRGLDFVMQAINYPTYLKDLTGISDSKRPDSCPKMLASISVNSDKISDVRCLLLGAGTLGCDVARILMDCGVRKLTVVDSGRVVVSNLARQSLYTSDDRGAPKATAMVKHLVERCPSVDAQGIQMEIPMPGHPVSPGEAAGVLQDCKRLKELVASHDAIFLLTDTRESRWLPTLLCTNENKIAITAALGYDSYLVMRHGAGPGRSCEASNVTAATDKLSTKDSLGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGCAADLFTRMLHHPDGIHAPGDIAGASSGHPLGLLPHQMRGSLSQYNLLTLLGYSSSNCTACSNVVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSAACSQVEWVETDEDDFVEI >PAN32917 pep chromosome:PHallii_v3.1:5:58016525:58018067:-1 gene:PAHAL_5G519600 transcript:PAN32917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAAGSGGPFTRRQEQEYSFSGRVLLTAVVILAILTVFFVLVRLLLYQFVARGRGGLTAGIRRSFGSLGRSARRGLDASALAALPVTAYRRKPQQADDGGGSASAASTSADGATECAVCLSELADGEKVRALPSCGHVFHVECVDAWLRSRTTCPVCRAEVRPNPDARPPAPALFGAGGTLVVTVEGGGAETRAGLRGQRAGAVVG >PAN27049 pep chromosome:PHallii_v3.1:5:3460180:3461946:1 gene:PAHAL_5G054700 transcript:PAN27049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVRQPPALSGRAACRARHPPVLSLVSGLWVVVAAARPAASRDRAGELSPSRPITTAAWPAGRPHVPLAFPAPAAARLPSHSLLSPTPHLSVAPPNLQSSRDFSFPSDSSPDRCKHSRPSTAVRTVNINRWPARRSMPPRG >PAN29682 pep chromosome:PHallii_v3.1:5:33856660:33857442:1 gene:PAHAL_5G333200 transcript:PAN29682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYIRMIITLQPGYSIPLLDREMN >PAN31785 pep chromosome:PHallii_v3.1:5:53156209:53160805:-1 gene:PAHAL_5G443400 transcript:PAN31785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAATACATPAAARPPLNTVPARRSPPSAARPPSAAPAPARRAAGCCFCTAASASSPSTWDWTRWSRHFDEVEQAESYASLLQFQLEEAVENEDFAEAAKLKRTILEATGSDTVAHVMAELKNAIEEQRYQDASRLSRLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGTPLFEIFLVRENDETYTMKVVHLRPTKGTSSASSISAIATEGPAKVENESSSESSAMSDSVTEEASTDTTVKGSEDVEEKEQDVGSNKDSSVEGLKSVLNFFKSRIPEFKVQVINIDVSEETELAANSSEELVQDDVKSTSENSLEETTAEELEQEEDVSEEDMDEESKSTEVKLFISGVVHNKEDAGAKSYVRVPAEINNMEKDSFELYIPGKGSDRDLAETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLRLTLSKARVKLTENTIFNRIITDTNSTDPFSGLYVGAFSPYGPEIVQLRRKFGHWNSTDDVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >PAN29455 pep chromosome:PHallii_v3.1:5:14059996:14064901:1 gene:PAHAL_5G227700 transcript:PAN29455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDFVRTSNSCHTLSFGPAKVLSRQILCKAEANVSSNLPESLPAGVSKYEKKVEPESLPAEVSQYEKIVELLTTLFPVWVIIGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFKRCLRNPWTVGVGFLAQYLIKPLLGLAIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTVLGVLAHEYFPKFTERIITVTPLIGVLLTTLLCASPIGQVAEVLKTQGAQLIIPVALLHVAAFGIGYGLSRLCTFGESTSRTVSIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNIGLPANDKDDFKE >PAN29454 pep chromosome:PHallii_v3.1:5:14058640:14064901:1 gene:PAHAL_5G227700 transcript:PAN29454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSASCSMASLSRALRPRPLPAACPAPRLGCGLGIACSLPSHGGRNEKRGLGLPVASALSATAAPVLRSRQILCKAEANVSSNLPESLPAGVSKYEKKVEPESLPAEVSQYEKIVELLTTLFPVWVIIGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFKRCLRNPWTVGVGFLAQYLIKPLLGLAIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTVLGVLAHEYFPKFTERIITVTPLIGVLLTTLLCASPIGQVAEVLKTQGAQLIIPVALLHVAAFGIGYGLSRLCTFGESTSRTVSIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNIGLPANDKDDFKE >PVH38897 pep chromosome:PHallii_v3.1:5:47858062:47858382:1 gene:PAHAL_5G384600 transcript:PVH38897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSNGDLTHRQDWVQDGTLLDVLGDVVGIFHCKSKNGVSTNVLSKSNHTRGGGIAELYAQDNPRIRSWFIYGKLSCMQRFVLKYFQNKFSSTKEHTVSIRTGSKF >PAN26509 pep chromosome:PHallii_v3.1:5:1208032:1215820:1 gene:PAHAL_5G017500 transcript:PAN26509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDASSDLGGIRAGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDILSSGATSPRTYTRRSSPLSSPFSSNDSIHKDGSDNYRLRTPYGSPPKNGLEKAFSDVMLYAVPPRGFFPSDSNAGSVHSMSSGHSDNTNGHPRSVPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVSQPKLIDALSHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVNGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRESISVPREVESLRGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGRLGHGDKEPRLVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNAQTDGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDMHSSAKRAASVPGFSDTIEEDLETRSNAQLSRLSSMESFKHVDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLTSPRVVANDGKPTNDALSQEVLNLRSQVESLTRKSQLLEVELERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGAAKNNKLPPLPGISIPNDISSMGTESLGSPSSSGEQITNGHNGLLASNGPSSVRNKASHAEVTKNGSRLPDAESCHDAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIASN >PAN30905 pep chromosome:PHallii_v3.1:5:37586255:37587229:1 gene:PAHAL_5G343600 transcript:PAN30905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTTPTTMVLAVLAVGLTLLIAAGPTAAHRCGCRPGLCCNSAYCGDGCKSGPCWGSSGSRGGGAGSVASIVTRSFFNGIKSHDGSWCEGTGFYKRGAFLEAIAAYPGFARGGSEADGEREIAAFFAHVTHETGHLRYINESDGASSNYCNSSNTQWPQGYYGRGPLQLSWNYNYGPAGRSIGFDGLAQDPVVSFKSALWYWMTNVHRFMPQGFGATIRAMNGADECHGGKNAAEMSARVRFYLQYCKHFGINPGSNLTC >PAN32196 pep chromosome:PHallii_v3.1:5:54978986:54983980:-1 gene:PAHAL_5G470500 transcript:PAN32196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSVNPSRRVSDGGLPSVGGLFHHKSRSPPVLTIALVVLGVILLIAYFNSGSGVTVTSREAVSRSEGSCTSEVMRALPYLKKAYGTAIKKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMSDIKFPLPYRSDSFNLVVVSDALDYLTPRYLNKTLPDLARVSTDGLVIFAGNPGQQKPKVSELPKFGRPAKLRSSSWWTRYFVQTGLTENEGPLKKFEEATSKNEYKPDCQIFHLST >PAN29091 pep chromosome:PHallii_v3.1:5:12241608:12244207:-1 gene:PAHAL_5G201700 transcript:PAN29091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQETLVLAGVMRGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLSNPVQAAGDGTTTADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVLSVAFSVDNRQIVSAARDRTIKLWNTLGECKYTIGGDHGASEGHTGWVSCVRFSPNTFQPTIVSGSWDRSVKVWNLTNCKLRCTLQGHGGYVNAVAVSPDGSLCASGGKDHVTLLWDLTEGKRLYALDAGSIINSLCFSPNRYWLCAATQDSIKIWDLESKHVVQDLRPEVPAGKNQILYCTSLSWSADGSTLYAGYTDGTIRIFKISGFSYSV >PAN28894 pep chromosome:PHallii_v3.1:5:11128419:11132572:-1 gene:PAHAL_5G184600 transcript:PAN28894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGGDALDLASKAELTPEQKAALVMSLKNKLEGLVAQHTDVLESLAPKVRKRVDVLRAIQRSEIVTGVVEVDGITKGGDETPAEPKEKGVPDFWLNAMKNNEILAEEIQKRDEEALKYLKNIEWCRIDDPKGFKIVFSFDANPFFKNSVLTKTYHMIDEDDPILEKAIGTEIEWYPGKCLTKRVLQKKPKKGSKDTKPIIMTQERKSFFNFFNPPQVPDVDEKIDEDTAVQLQDQMEQDYDIGSAIREKIIPHAVSWFTGEAQDEDYDGTILEDDENNSEDEEDDYDEDDEEDEEDE >PAN28895 pep chromosome:PHallii_v3.1:5:11128419:11132323:-1 gene:PAHAL_5G184600 transcript:PAN28895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGGDALDLASKAELTPEQKAALVMSLKNKLEGLVAQHTDVLESLAPKVRKRVDVLRAIQSKHDELEAKFIEERTALEAKYLKLYEPLYSKRSEIVTGVVEVDGITKGGDETPAEPKEKGVPDFWLNAMKNNEILAEEIQKRDEEALKYLKNIEWCRIDDPKGFKIVFSFDANPFFKNSVLTKTYHMIDEDDPILEKAIGTEIEWYPGKCLTKRVLQKKPKKGSKDTKPIIMTQERKSFFNFFNPPQVPDVDEKIDEDTAVQLQDQMEQDYDIGSAIREKIIPHAVSWFTGEAQDEDYDGTILEDDENNSEDEEDDYDEDDEEDEEDE >PAN27365 pep chromosome:PHallii_v3.1:5:4696474:4698543:-1 gene:PAHAL_5G075800 transcript:PAN27365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSMLMSTSVSGGRALPSLQAGRPAPYLRLALPSAYRHSRSVSVKTLALFGKSKAAKAPAKKAPAPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGTFVDDVTGLDKAVIPPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVLFFFIAAINPGTGKFIIGEDEE >PVH38278 pep chromosome:PHallii_v3.1:5:13318789:13319380:-1 gene:PAHAL_5G217900 transcript:PVH38278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHLLAHIPAAGRPYPSTTIRRTAANHSVVSSSSSSHPSQITVDTAMVVVQIQVVMDSNEELPGRDWEWLPDGRKQADIFFF >PVH39224 pep chromosome:PHallii_v3.1:5:54211703:54212598:-1 gene:PAHAL_5G459100 transcript:PVH39224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSQIASAAFTWGTVAVLPFYTLMVVAPNASITKRTVESSAPYVALGLLYAYLLYLSWTPDTLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAASVCNAGRCTTTASRTRSKPGTRSRFACSSARLGSCAMWRLRYWRGQLAAHIDDWNWVVVRRIQLFSWWILCDLNINKMIQCTDSFHIWAHTNYRRLNLLIVRVS >PVH39225 pep chromosome:PHallii_v3.1:5:54211593:54213634:-1 gene:PAHAL_5G459100 transcript:PVH39225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCASPSALALSSSTRVSLPQTLALRQRPEARVPRAPSALLRPSTARSWLGPLPPKLAAAYPRAGARGTGRGPPPFRPRALMTTSQIASAAFTWGTVAVLPFYTLMVVAPNASITKRTVESSAPYVALGLLYAYLLYLSWTPDTLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAASVCNAGRCTTTASRTRSKPGTRSRFACSSARLGSCAMWRLRYWRGQLAAHIDDWNWVVVRRIQLFSWWILCDLNINKMIQCTDSFHIWAHTNYRRLNLLIVRVS >PAN32047 pep chromosome:PHallii_v3.1:5:54211593:54213633:-1 gene:PAHAL_5G459100 transcript:PAN32047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCASPSALALSSSTRVSLPQTLALRQRPEARVPRAPSALLRPSTARSWLGPLPPKLAAAYPRAGARGTGRGPPPFRPRALMTTSQIASAAFTWGTVAVLPFYTLMVVAPNASITKRTVESSAPYVALGLLYAYLLYLSWTPDTLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGLKNKIETRHSVSLCLLFCPVGILCHVATKVLAGAVGRSH >PAN27225 pep chromosome:PHallii_v3.1:5:4187207:4189866:1 gene:PAHAL_5G067600 transcript:PAN27225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNVVATYYYPTAAPGGMEVCGAELGRGAGDKCFDDDGRPKRSGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLADCYRSGDPTTGKRNYTYMDAVNANLSGVKVKICGFLQYANIVGVAIGYTIAASISMLAIRRANCFHQKGHGNPCAISSMPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVIANKGVQGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATVVSVAVTTLFYILCGCMGYAAFGDGAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAARRWPGSAFVTGEVEVPLLPGRRRYKVNPFRATWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSLGCLVISVAAAAGSIAGIASDLKVYRPFKSY >PAN27224 pep chromosome:PHallii_v3.1:5:4186625:4189865:1 gene:PAHAL_5G067600 transcript:PAN27224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMTHHDRHQLQLSLYISCRHRPPSICLQEEEPEEHQQTLPERKREIVGPEMAAGNVVATYYYPTAAPGGMEVCGAELGRGAGDKCFDDDGRPKRSGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLADCYRSGDPTTGKRNYTYMDAVNANLSGVKVKICGFLQYANIVGVAIGYTIAASISMLAIRRANCFHQKGHGNPCAISSMPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVIANKGVQGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATVVSVAVTTLFYILCGCMGYAAFGDGAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAARRWPGSAFVTGEVEVPLLPGRRRYKVNPFRATWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSLGCLVISVAAAAGSIAGIASDLKVYRPFKSY >PAN27478 pep chromosome:PHallii_v3.1:5:5139049:5144864:1 gene:PAHAL_5G083600 transcript:PAN27478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEPVAASREDEEAKKMEAGGDTIGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFAALGWTAGIICLVIGAAVTFYSYNLISRVLEHHAQQGRRQLRFRDMATDILGPGWGKYYIGPIQFLVCFGAVIACTLLAGQSMKAIYLLANPGGTIKLYVFVAIFGVFMMILAQLPSFHSLRHVNLISLLLCLAYSFCAVAGSVYLGNSDKAPPKDYSITGDAQNRVFGVFNAIAIIATTYGNGIIPEIQATVAAPVTGKMFRGLCLCYAVVVTTFFSVAISGYWAVGNQAQGTLLSNFMVDGAAVIPEWLLLITQLFTLLQLSAVGVVYLQPTNEVLEGLFSDAKQGQYAARNVAPRLASRTAAVALATTVAAMVPFFGDMNSLIGAFGFLPLDFAVPAVFYNATFKPSKKGVVFWLNTAIAVVFSALAAIASVAAVRQIALDAKTYKLFANV >PAN27479 pep chromosome:PHallii_v3.1:5:5140183:5144864:1 gene:PAHAL_5G083600 transcript:PAN27479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDILGPGWGKYYIGPIQFLVCFGAVIACTLLAGQSMKAIYLLANPGGTIKLYVFVAIFGVFMMILAQLPSFHSLRHVNLISLLLCLAYSFCAVAGSVYLGNSDKAPPKDYSITGDAQNRVFGVFNAIAIIATTYGNGIIPEIQATVAAPVTGKMFRGLCLCYAVVVTTFFSVAISGYWAVGNQAQGTLLSNFMVDGAAVIPEWLLLITQLFTLLQLSAVGVVYLQPTNEVLEGLFSDAKQGQYAARNVAPRLASRTAAVALATTVAAMVPFFGDMNSLIGAFGFLPLDFAVPAVFYNATFKPSKKGVVFWLNTAIAVVFSALAAIASVAAVRQIALDAKTYKLFANV >PAN29274 pep chromosome:PHallii_v3.1:5:13052291:13053964:1 gene:PAHAL_5G213600 transcript:PAN29274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIAVAAAFLALAVVPAALAQAPGPAAAPSGPPNVTAILEKGGQYTTFIRLMKETQQDTQLNNQLNSSFGNNGGGYTVFAPTDNAFNNLKPGTLNSLTQQQQVSLVQGHVLANFYSMDSFQTASNPVRTQASGRDGPYTLNITSDTNNQVNVSSGVVDVRINNALYSTKPLAVYSVDKVLLPLELFGAKAPAAAPTASEGKPKKGGSSDASSPAGSEDSEPTGAASARAVGWSVAGLAAVLGYLL >PAN31146 pep chromosome:PHallii_v3.1:5:49765208:49766836:1 gene:PAHAL_5G400000 transcript:PAN31146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAALLCALVAVQAAVLLAAAPSAQAGELQVGYYGKKCKGLENVVKWHVIRALKANRRTGAALIRLLFHDCFVRGCDGSVLLDASYDNPHPEKEAPVNIGLAAFDLLEEIKAAVEDRCPGVVSCSDLLIYAARDAASILSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSADDVQKLIANFARKNFTVEELVILSGAHSIGQGHCSSFAGRLSEPADQITQAYRELLKYKCPQGSNPPVDNNVRDEDYDVVARYMPGFTSRVRKIPDFLDNSYYHNNLAKIVTFHSDWTLLTHKEAFGHVKEYAENGTLWDEDFADSLVKLSKLPMPAGSKGEIRKKCSVINHRLY >PAN31392 pep chromosome:PHallii_v3.1:5:51409358:51412064:-1 gene:PAHAL_5G416700 transcript:PAN31392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRQQAAGGSRWRADGGAAAAWWWWVAVVLGHLVASARAGLLETNPGLAYNFYQKSCPSVDSIVRSVTWAQVAANPALPARLLRLHFHDCFVKGCDASILLDNAQSEKTAGPNQSVGGYEVIDAIKAQLEQACPGVVSCADILALAARDAVSYQFKASLWQVETGRRDGTVSLASNTGALPSPFAGFAGVLQSFQNRGLNLTDLVALSGAHTIGVASCSSVTPRLYQGNATNVDPLLDAAYAKTLMSSCPSSSSSGTVNLDGGTAAKFDSRYFTNVLNKQGTLASDAALTQNAAAAQMVQDLTNPIKFYAAFSMSMKKMGRVEVLTGTSGQIRKQCRQVNSS >PAN30899 pep chromosome:PHallii_v3.1:5:37654848:37656173:1 gene:PAHAL_5G344500 transcript:PAN30899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKVSMGLIPNRRVRAGTFGKRKEGLKKKAGELSVLCGIDVALVVAAGDGGAAADVWESREGVLARYRALGAEVRARHTHRAYLDAELGKGEAKLARVRQGGPDALARWDRALDGVATEEEARRLLDAVDAAMRAAEDRRRALGLPPVDDAEDGVVLDEVAPLNFACAGDDDYLLHAPGSDANNDQQAMWGSHDFQFQQGGAADMQHTGYGFQQYTSGAGMEGYHLQMGPDMYSSGDNNNGHLADAYQQYQPRDTMRQHGYGFQCAHASYFDVPSGYAQPSLPTWSADEPRHAMLPLEYLSADAGLNYADTPASQGVGGSSFTMGAASGNFFHSPPALSLAMGTASGGGDGDGDFINNAPLAAVPSYTMGGSGDNFTNAMTAQPVAMSYGGDLTIAGRYAAQWQAPPHPQRAGGDQRPSIEQLHYLSDLEDTQLHLSGN >PAN26606 pep chromosome:PHallii_v3.1:5:1607071:1608850:1 gene:PAHAL_5G025100 transcript:PAN26606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVSSYLAAMRRGRSFAYHKLKKLPPPAPPPIATTFEQQDHHQAPSAAAIQESYHSYYSALGAAAARGRWRQQWQRRARRPRLRISGLARALRRRAVAVGGRVRASVAKVARRLREGRPYIGDLFAGNYMFMQVAPSPTMVPGIDDKGGYAPFADYYYGEVAKGIKPPAPAAGPLQVQVHHAAAAGVLYKV >PVH38595 pep chromosome:PHallii_v3.1:5:22394609:22400921:-1 gene:PAHAL_5G296700 transcript:PVH38595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MDLFQNCIKLASENKINQKNTWELGLIDHLSEIIQVGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADVEDGSNVESAQDEGIGKKDADRRISPASTLESSFEALNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCRVLFDSFEAPDKCILSDMQTDSAEVIDLSFAKEQIEEMVAQMPLCNDISPTLRDIIALFDEENQRPSHGLSSGQVPVMEDGVVDGNEADNNDSMLPDSDSWDFGGCDDHEDAFDENYNPVGSNTTNYQEFGEYSVEIPQGTVADEKLDKIADLLLLGMGSSKTNAWAGPEHWKYRKAKDLEATPTSSGESEVPIKKKKKRAKDEPDVDFTKALDNEPLTIFAPPKNPKSLLLPANRAICSNKLPEDCHYQPESLVKLCLLPDVLCCAKRRGKSHDTPVENNDDFIPSETWDDDNFCTNHVDEGHTCSDVEESVNLVDKPRQVNKIDIQYDKVSKQVDVHALKEVLWKHIHTSAETDNKENEEAESTLRLSQVLHDLPSRNPDAAATDISPHLYFICLLHLANEHGLTLRDRPTLDEIDIYMPTSPLHGG >PVH39453 pep chromosome:PHallii_v3.1:5:56914559:56920206:1 gene:PAHAL_5G503700 transcript:PVH39453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVWLWLAFEARPLGAVDIYVLPVTASATPPPSTIAITASTKPFPGRNPAPPLPTTRPRDRRPPATAMPISSTHAGRLGTGPARAPAPARWSPYARSSVPEPQGGRGGAKASRPPLRLDPATERLLGPAQKAAPSGSRRRAEPAAPDGASAAPAGRSRGGEMTSPEPVLKPKPKPDAGIDAPPVKKPRCSGGGGFVFLCALAGHTAAISGISVPRCSDKLYSGSVDGSIRVWDRNSGKCIDVIKLGGKVGCMITHGPWVLIGIPKSVEAWNTQTGMKLSLQGPSGLVCSMTITDGMLFAGMGDGRIMAWKFPSKESNMEPVSILTGHQRPVISLSISATRLYSGSLDKTIKVWDLMTLQCVQTLSEHKAPVTSVLCWDEKLLSCSLDKTVKLWTLSESGDLQVKYTHAEEHGLRTLFGMHRVGKTPVLFCSLHNSNRIRQLDLPSFEEVGTLSSKKEVRTIELADGGPLFTGDCSGELKVWRWALQQDQDQEAASAAHS >PAN26586 pep chromosome:PHallii_v3.1:5:1515497:1517521:1 gene:PAHAL_5G023700 transcript:PAN26586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MRWWRGLAAAGAMLAALAAAAGLRPTAKERGGYPSAADAYSRPPLRFGSGGLFKVALFADLHYGENAWTDWGPAQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLPIPNASLYWDRAVSAARGRGVPWAAVFGNHDDMPFEWPPEWFSPDGVPPLRCPPTSMSASGVDQGCSFRGTPRIDLITTEISKNRLSYSSNGPKDLWPGVSNYVLQVLSRSHGDPALLMYFLDSGGGSYTEVLSSAQVKWFQSQSQFLNPNGRIPELIFWHIPSTAYVKVAPKANSEIKKPCVGSINKENVAPQAAEWGMMVALAKRPSVKAVFVGHNHGLDWCCPYEKMWLCFSRHTGYGGYGNWPKGARIIQITESPFSTVSWIRMENGTKHSDVTLSS >PAN31656 pep chromosome:PHallii_v3.1:5:52605298:52607132:1 gene:PAHAL_5G435100 transcript:PAN31656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLQLRPQVAAGGGGGGGPVRPRGLPGRLGIRSCCSDKMGIRKAQVAVAATTRGGVNKTTAATAADVVRAFYDGVNRRDLAAVEPLIAEGCVYEDLVFPRPFVGRDRVIGFFGEFMGTISADLQFVIDDISAEDPAAVGVTWHLEWRGRPFPFSRGCSFYRLLESSEQDQPPQIVYGRDCVEPAAKPGDLALVIIRGVTWILERFPSLADRF >PVH37504 pep chromosome:PHallii_v3.1:5:1265032:1268613:-1 gene:PAHAL_5G018700 transcript:PVH37504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor GTPase-activating protein AGD11 [Source:Projected from Arabidopsis thaliana (AT3G07940) UniProtKB/Swiss-Prot;Acc:Q8L7A4] MDGRNSSQRFADSPKPCNRRNVSGKPTRLDSNDHGHRQGSKACGMPARMYSNDHGDRQGLKACELAAKMDADDYGDRQGSKACGGDRQGSKACGLGTREDTKDHGDCQGLKACGLGTRTDANDHGDGQALKACGLGTRMDADDHGNRQGLNACGVSTTMDDANEYGARQGLKACGTATKTDANDHGDHRSFKVCGMIAKPDANDHGSHQGPVKPAERLDHLLNHPANRCCADCGAPDPKWVSMTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEHVDLLADSGGNAAVNMRYEAFVPENYTKPRQDCSSEERSDFIRRKYEVQQFLSNPQLSCPPRRNDKHSHQQQHSGSSRHGLGHSFKNSWRRKAEHEQKAVKKTMELGMVEFIGLMKVDVIRGTNLAIRDVMSSDPYVIINLGHQSMKTKVIKSSLNPVWNERLLLSIPDPIPLLKLNNTCLKPPGSKSWTRTRSPPTTGWGRLRSTSSRWLLQPEPMRPPLSQILRSLTNGWQKMASGSRGTAPSPSSTAR >PVH37505 pep chromosome:PHallii_v3.1:5:1264572:1268956:-1 gene:PAHAL_5G018700 transcript:PVH37505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor GTPase-activating protein AGD11 [Source:Projected from Arabidopsis thaliana (AT3G07940) UniProtKB/Swiss-Prot;Acc:Q8L7A4] MDGRNSSQRFADSPKPCNRRNVSGKPTRLDSNDHGHRQGSKACGMPARMYSNDHGDRQGLKACELAAKMDADDYGDRQGSKACGGDRQGSKACGLGTREDTKDHGDCQGLKACGLGTRTDANDHGDGQALKACGLGTRMDADDHGNRQGLNACGVSTTMDDANEYGARQGLKACGTATKTDANDHGDHRSFKVCGMIAKPDANDHGSHQGPVKPAERLDHLLNHPANRCCADCGAPDPKWVSMTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEHVDLLADSGGNAAVNMRYEAFVPENYTKPRQDCSSEERSDFIRRKYEVQQFLSNPQLSCPPRRNDKHSHQQQHSGSSRHGLGHSFKNSWRRKAEHEQKAVKKTMELGMVEFIGLMKVDVIRGTNLAIRDVMSSDPYVIINLGHQSMKTKVIKSSLNPVWNERLLLSIPDPIPLLKLQVLDKDTFTTDDRMGEAEINIEPLVAAARAYEAATITDTAQLNKWMAKDGIWIPRDSAISIVNGKVKQAVTVRLQNVERGHLEMELECVPLTQ >PVH38887 pep chromosome:PHallii_v3.1:5:47328961:47329767:1 gene:PAHAL_5G380800 transcript:PVH38887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQQA >PVH38908 pep chromosome:PHallii_v3.1:5:48414964:48415871:-1 gene:PAHAL_5G387800 transcript:PVH38908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRREPRPRHWEESWRGSSSSPQEPEPVPTGNSAFWDEVIGGSQAPSSPPRAERLLLGVKKPVQGDEGGEKPVQGDKDGEKSDVQKPAPGDEASDKPVPDDEIGEKQHFKRKKD >PAN28882 pep chromosome:PHallii_v3.1:5:11095544:11099425:-1 gene:PAHAL_5G183900 transcript:PAN28882 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor FUS3 [Source:Projected from Arabidopsis thaliana (AT3G26790) UniProtKB/Swiss-Prot;Acc:Q9LW31] MAGISKRRTSPASTSSSSGDGMPRRITRKPRSARRGPRGAARRPPAPRPVNALDLNTAALDPDHYATGLRVLLQKELRNSDVSQLGRIILPKKEAESYLPILLSKDGKGLCMYDLLNAQLWTFKYRYWPNNKSRMYVLENTGDYIKAHELQQGDFIVIYKDDENDRFVIGAKKAGYEQTATVPQIIQEHMHISAATLPAPQVFHDYASPVAPEADMLALVPQADEIFDGILNSLPEIPVANVRYSDFFDPFGDSMDMANPLGSSHSVNLATHFHDEKAGCSSFPYYPKSGPLM >PAN26894 pep chromosome:PHallii_v3.1:5:2911916:2913483:-1 gene:PAHAL_5G045700 transcript:PAN26894 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 9 [Source:Projected from Arabidopsis thaliana (AT4G12970) UniProtKB/Swiss-Prot;Acc:Q9SV72] MAHGCPTTTTSSQLLFFILSCLIIIGHALCNHGHHGRTPGADYVEQYPHQDLPDKHIVSQETMKGLNKDILPNYARRMLIGSIAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >PVH37612 pep chromosome:PHallii_v3.1:5:2911569:2914423:-1 gene:PAHAL_5G045700 transcript:PVH37612 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 9 [Source:Projected from Arabidopsis thaliana (AT4G12970) UniProtKB/Swiss-Prot;Acc:Q9SV72] MAHGCPTTTTSSQLLFFILSCLIIIGHALCNHGHHGRTPDYVEQYPHQDLPDKHIVSQETMKGLNKDILPNYARRMLIGSIAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >PAN26902 pep chromosome:PHallii_v3.1:5:2934769:2936507:1 gene:PAHAL_5G046100 transcript:PAN26902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQDDCKWRQIPAFGDWNLWDDMPVTQYLQAGPFFFTAPVDKDDEDLFKVPQFPAKPYSYKKRVVRVKGERTNAGPARKKGARRQYVSEQQKWKPKGAVDEDLYKISPQLLCKKKLLRNLLGGCLGLSCIA >PAN26903 pep chromosome:PHallii_v3.1:5:2934769:2936507:1 gene:PAHAL_5G046100 transcript:PAN26903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQDDCKWRQIPAFGDWNLWDDMPVTQYLQAGPFFFTAPVDKDDEDLFKVPQFPAKPYSYKKRVVRVKGERTNAGPARKKGARRQYVSEQQKWKPKGAVDEDLYKISPQLLCKVKKKKLLRNLLGGCLGLSCIA >PAN29993 pep chromosome:PHallii_v3.1:5:17126636:17128494:1 gene:PAHAL_5G265200 transcript:PAN29993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQGSKVAGKSASLVASSSSNRRRRRRVNRSMSSSMISTPTNSTTSTIQKIYDISRDVFAAATPGFVPPPSDVERLTGFLDSLTLQDIGLDATMSCFTENPQDHPKVTYVHFANSPTLSLCVFCFPQSAVIPLHDHPGMTVFSKILLGSMHIKSYDWVTASSSNQVTRMPNGARLAKLNTDAIFDATSKTVVLYPEDGGNLHCFNAMSPCAVLDVMGPPYCLEEGRDCSYFDSEAVHAGGDGQYAWLNKVPRTIEMNGFPMHLNIRM >PAN28053 pep chromosome:PHallii_v3.1:5:7646390:7647154:1 gene:PAHAL_5G128000 transcript:PAN28053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCTASQRAVESWADDGEWEEEASSEEGDHYHGHHDHHERREEHASEVTIRITKRQLHELMEKKGSGHGLQLPGLGSRRSTEQLLADIMNSGEVHHHHHREEHWHWKPALQSIPEAVES >PAN27212 pep chromosome:PHallii_v3.1:5:4139201:4144928:1 gene:PAHAL_5G066900 transcript:PAN27212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGRGAKMDGRRPSSSSSSFCTTTTVVVFVALCLVGAWMMTSSTIFPLEISSNKKPLVKQQPAPVNFGASEDAASGNAGEGSGKFEDTDNNDTTVPEEPSNNETPGEEKSTENIVEKPEEKELEPPKEKEETKNMFDDANGKSEGRSDDVKNGDDGDKSEEKKDDEITNESGDEKPDGERKDDQEEKSEGDATQEEQPQIEEKVEENGEKEQSSNSNEVFPDGAQSELLKESNTQNGSFPTQAAESKNEKEVQASSKSSGDETSYRWKLCNSSASMDYIPCLDNEKAIKKLRSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDYLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRILRPGGYFVWSATPVYQKLPEDVEIWNAMSTLTKSMCWKMVNKTKDKLNQVGMAIYQKPMDNNCYEKRSENNPPLCKESDAADAAWNVPLEACMHKLPVGPTVRGAKWPESWPQRLDKTPFWIDGAQVGVYGKPANEDFEADNAHWKRVVSKSYLNGMGIDWSKVRNVMDMKAVYGGFAAALKDQKVWVMNIVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLAVFAEVDRVLRPQGKLIVRDSADTINELESMAKSLKWEVRMTYTKGNEGLLCVEKSTWRPK >PAN26940 pep chromosome:PHallii_v3.1:5:3064140:3065979:1 gene:PAHAL_5G048700 transcript:PAN26940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDLFSVPSCFSAGEKLPDVPAPAAATRSGQSAVTLVYRAGIAGHDRLVTVTWCRNLLTHGLSVSIEGSAGGGKDKSGSREWGEAGGADGGGKSCSSACKVEMQPWHFWRKYGAKQFQVDGKAIDVVWDLRSARYSDEPEPLSDYYVAVVSGEEVVLLLGNLKKEAFRRTGSRPSLQDAVLVCKKEHVFSKKRFLTKARFHEKGKLHDISIECSTSNLGGGVDVDMVIKIDGSVNVLVRHLQWKFRGNECISINQLKVQVYWDAHDWLFGTGMRNALFIFKPEPPSTSSDFHADECSDFCLFLYAWKVE >PAN28835 pep chromosome:PHallii_v3.1:5:10961718:10968417:-1 gene:PAHAL_5G181200 transcript:PAN28835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHPSSSARARAPLPPAAARPLHPLAGLEEGEAVAAAAHPGAHRVRRRGRKQKQLWPKTVLRKWLNIRSPESDFSADEGDTTDDTDSEVEYEEMCAWERKLHDEERSHCGLGAETIGNQLGPVPYGLHRRRKSETLRAQYIDVRELRICAGTWNVAGRLPPDDLDIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPIAMWENIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDAFIMEDELISESDSESDGEVHPFNDQDLIASVDGIDGNKREHPTDAPETILQYDNFSKLPSMKTFDRSHNLSFKESNLEEQICQKLLTKTLSHSERLGMVWPEPPLDMLAQCLPDSTKSLASGKALRTYLSFKSVNGDSGPFPEDNLVPDLNINYAAAKRKKPYFIRIISKQMVGVYLSIWVRRSLRKHIQSLKVSTVGVGAMGYIGNKGSISVSMSIYQTHFCFICCHLTSGEKEGDELKRNADVQEIHRRTVFNPVSRLNMPKTIYDHERIVWLGDLNYRINLSYEKTHELISRQDWNKLFAFDQLKVELKKGHLFEGWTEGVIHFPPTYKYKVNSEKYISDEHKSGRRTPAWCDRILSHGKGMRLLSYKTVDLRLSDHRPVTAVYMVDVEVFSSKKLQRALTFTDAEAEEQLSFEEDSTSGVYNLGLY >PAN32563 pep chromosome:PHallii_v3.1:5:56433618:56433785:1 gene:PAHAL_5G496600 transcript:PAN32563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMCSAYRRRRRTTRPVGGLAGRCNAVLKQHKTRLYILGRCVSMLVCWRDHDAD >PAN26530 pep chromosome:PHallii_v3.1:5:1286362:1288606:-1 gene:PAHAL_5G019200 transcript:PAN26530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKQARKKPKDPGAVLEGDAVPPKGNPGSRGGGMARAPLPGYMRATSCSDAKAGTGGRAATPAAPPPKREPVREKVVFTAAPPRVGRATCSSTMKGPGAGEAHACPYGYCSLKGHVHASVAPLSSFVASRRRLIKTQQSMKLKGASPFRKPNSGGGDGFFVEIRAGAGAAAPPAGSDVTCSDLSTEEVDAMVRRMEYVMFDQLSRGDDAEGRAKGSGASVDGSCGSSDVISDGSVELLGASKHRRRGEEAALVDLEDGDFGACKSDISEELDAKHETNIPEDSVGNTPKESSVDSISSALSGISFEDVSSDCADAASSQRSKLSIARRRKTSEEGGEQMRPFKPKPPNFLPAETGPEAEKVDLRHHMVDDRRTAEEWMVDYALRKAVKKLARAQKRKVEMLVQAFETVLPTDANEKKQLQQDGDKASFTLTRPSQACS >PVH38692 pep chromosome:PHallii_v3.1:5:32872353:32875440:-1 gene:PAHAL_5G329100 transcript:PVH38692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHALSRRELQALCKRNAVRANMSNAAMADALQALPSVDGLDEIGRRAPPPPAMKSADEVIIEEEKTDGSPLPRGGRARSKARTAAADKMDQDVQDQVTLQGSQGAASREVVAPVQVEEVIGQEQGHRCPLPRGGRARVKTRKAAAHKEEVTVLAPDTLQGSQRTAAGEGMALVETEEVATAKRRMRRSARSKMKMALDQKGAEEAAVLEEPKADSCDGAIGSAVVSEKNCDDPKTHNMVVVLEEDVTKSQNVTKTHVVHEMEEVPAPAILRRSQRTAVPIEAEEVGTKKRTRRSTRSKVAAAAPKGQKDDSSEVAIGSTDRSCDCPKEDEVVTVVEEQATKPLEGGNETRRTVAYKMDMPAPATFQGSQRTSAPEAAVPVEVEEAATTKRRRRRAKSKVAGAALNRKKVAIGSPVLVSDQNCDDSKEEQLVAAMEKQVTKPKEVIAEEQEQRSTVHKSASMVKLEDPPTLSISPKVEATDIPDETAHADEETIKEDAFTVTCEVDQSNLLVNTLSRFAKPVHKFTVKSEKKEGECWWMLM >PVH38728 pep chromosome:PHallii_v3.1:5:34576225:34576566:-1 gene:PAHAL_5G335200 transcript:PVH38728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSCSDQQEQNNQGTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQQIHAQPPPPPPPQPRDRRADFLRGHPPTFSHATDPLQADDWFRSVERQLVVAQCDDRE >PAN32457 pep chromosome:PHallii_v3.1:5:55935067:55938486:-1 gene:PAHAL_5G488400 transcript:PAN32457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSQEPGNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLAKAKRYLEDVMAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESERFGCRQPLCFTHPGEPSPEAEAPHIPCSWAHQSLHVLTLPH >PAN32456 pep chromosome:PHallii_v3.1:5:55936094:55938367:-1 gene:PAHAL_5G488400 transcript:PAN32456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSQEPGNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLAKAKRYLEDVMAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKETDNIVAARKQ >PAN31454 pep chromosome:PHallii_v3.1:5:51691368:51695877:1 gene:PAHAL_5G420800 transcript:PAN31454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRRVEACDSWRPPRSHGGPAILLRAGPRLPAFASLSVSEGGEAAAVAKAVEEAVVARAGEGREEQRAAAAAGAEDASGRKERRRLPPAARLARHPLALLALVPHGAALFAAGAAAGAAAKTVTAPLDRVKILMQTHSVRVAGESAKKAVGFLEAIADIGKEEGLKGYWKGNLPQVIRIIPYSAVQLFSYEVYKKIFRRKDGELSVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVALNMLREEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKNRPETSLATALLSATFATLMCYPLDTVRRQMQMKGTPYNTIFDAIPGIVERDGLVGLYRGFVPNALKNLPNSSIKLTAFDTVKTLLATGQKELDKLIQENEEKTS >PAN31704 pep chromosome:PHallii_v3.1:5:52830160:52832469:-1 gene:PAHAL_5G439000 transcript:PAN31704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein, Regulation of light-dependent attachment of LEAF-TYPE FERREDOXIN-NADP+ OXIDOREDUCTASE (LFNR) to the thylakoid membran [Source: Projected from Oryza sativa (Os01g0102900)] MQAAATAVGFSAAAPVKGRPTAARSTAVARVPATRRSVRAVAAAVAAETAEVDYSSSFSVFPMEACDLLGGDTCSAQMYPEAKLAGAAALEAAASRMEEVERDYLSYDEPKTVFPGEACDDLGGEFCEAPYQAGVSRELAHA >PVH39265 pep chromosome:PHallii_v3.1:5:54742638:54746345:-1 gene:PAHAL_5G467300 transcript:PVH39265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSISSASSPLIRLSGNPAASPGASPRPGLVAAARQPGSSSSSSTRLRAVSPSPSPSPPSPVESFGFGALKETFSVDVAAAEARPLDVPLAAPFTIASSRLEAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALAAAGRACDALAGAPAAPLGAMLQEVAGVLPGHAFASARAGVEMALIDAVANSIRIPLWRLFGGASDTVTTDITIPIVAPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTADQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAMEKHKVAVAADESCRNLLDAQKIIHGNLAHVINIKLAKLGVLGALEIIDAARKANVALMIGGMVETRIAMGFAGHLAAGLGCFSFIDLDTPLLLSEDPVYGGYEAFGPLYKFTNARGHGGFLHLDNNGSK >PAN30301 pep chromosome:PHallii_v3.1:5:37337542:37338348:-1 gene:PAHAL_5G341800 transcript:PAN30301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHACCDVVADGATTPAGAAASGRLRLRRPSRALMKKARKLRKAAGGKRPRPAAASRTKRVAAIRRKMEALRRLVPAAPCGEERADGRLEELLLHAAGYILRLQMQVRVMQVMVHALNDNPED >PVH39475 pep chromosome:PHallii_v3.1:5:57488052:57488725:-1 gene:PAHAL_5G511600 transcript:PVH39475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACLIRCPLRGLGRSGVSARGDPEKLDGGERGKHGGCTTGGRRRPRDRPPPASMSPAQNFCIYAY >PAN31427 pep chromosome:PHallii_v3.1:5:51554736:51558376:1 gene:PAHAL_5G419000 transcript:PAN31427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLAAAAASPATSPLTLDAIPLASRPPPAAAAPRKRPVLLLDTRPHPASPTPPLLSSTAAGAAAAAAAPAHARRKKPSHPPKPRWQTVLSVAAKNAALLAALLYLGDLAWRWSHPPPPSPPPDRAALEGYAARVDEVEASLSRTFRMVQVQLEAVDRKIDGEVGAARADLAALLEEKRLALEGGLARLDARADELGDALAGLGRMEFLRKDEFEKFWEEVKGSLASGSGSEVDLDQVRALAREIAMREIEKHAADGIGRVDYAVASGGGRVVRHSEPYVPKRGILNGWLGGGNADPQKMIQPSFGEPGQCFPLQGSSGFVEIKLKTGIIPEAVTLEHVSKDVAYDRSTAPKGCRVYGWYDETPGETQSGHAAKMAALAEFTYDLDKNNIQTFDITAPHVGMINMIRLDFTSNHGSSLLTCIYRLRVHGHEPVSPGTAGFQA >PAN32323 pep chromosome:PHallii_v3.1:5:55468523:55472941:-1 gene:PAHAL_5G478400 transcript:PAN32323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSQQRGGAFDIEALAPAIAVDHSIRLPNYFRIADSLLTQANVYREEKNHIQLYVLLLRYSRLLFETIPKHRDYRTFKSGEKDFFKKKLSDVIGELELLKPVVQQRIAELDVGDITEPHCLNGTYAASCRIDKHSADSNAPQDVMRHQMASSPSVKPVRQICKQNVGLPYPKEDTLSRHSILGPDGLSRQGVGSVFAMKVQYPTSDEITQSDISSLLPAILNQDGLNGDSKALLYGSKTDNEIRLSVPVEEELYQLSTQQPSYPPMLPQGHVDRPIFSSRVADSKPECAISDIDHYRRVDVPEELTACFLKAAEANTIKTLETCGLIFGALLMDPDVGEYFKVTALIIPKQKSTSDTCEATCEEEIPGVVQSIGSPYQLGWIHTHPTQDCFMSSVDLHNHYSYQKELHEAFAIVLAPSKQSKKDREKIFQLTDPGGMRAIGDCAKRSFHPHDFGTYKECSHIKLKSALAFRVIDLR >PVH38561 pep chromosome:PHallii_v3.1:5:21114339:21119580:-1 gene:PAHAL_5G289400 transcript:PVH38561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHARAETTARSACRPQLRRLRDCALPTTPPPEPERSCRRSKRASLPSPSVDQMDGRVKVVGQVERVDGASLTYAEFVDRFMAPNCPVVLTGLTASWRACEDWTLPGPGDRRRPDLSFFARNFPSPLVQVADCSSREFTDQKRLEMSMQEFIDHWVGDAHGGSSAGDRESSLLYLKDWHFVKEYPDYVAYTTPTFFIDDWLNMYLDSHPIHRDSDIAKHKNETNCSDYRFVYMGEKGTWTPLHADVFRSYSWSANVCGRKQWLFLPPSQSHRVFDRYMRSSVYNLNDDVSEKLFPEFNKAEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFIVRFALANVIELYHLQQPEVATFSTETAHHFVYNLKSIRNVASKMITTEAFTTENLRSISEDNRSAFSNVKQILEEESFRRLLVTLSKAYEHIDRGQRNCLKSCTSYQKSCLSMICLKSDCNVVDHITSLIGEVCVPADLVTLIDSALSDG >PVH38560 pep chromosome:PHallii_v3.1:5:21113469:21119593:-1 gene:PAHAL_5G289400 transcript:PVH38560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHARAETTARSACRPQLRRLRDCALPTTPPPEPERSCRRSKRASLPSPSVDQMDGRVKVVGQVERVDGASLTYAEFVDRFMAPNCPVVLTGLTASWRACEDWTLPGPGDRRRPDLSFFARNFPSPLVQVADCSSREFTDQKRLEMSMQEFIDHWVGDAHGGSSAGDRESSLLYLKDWHFVKEYPDYVAYTTPTFFIDDWLNMYLDSHPIHRDSDIAKHKNETNCSDYRFVYMGEKGTWTPLHADVFRSYSWSANVCGRKQWLFLPPSQSHRVFDRYMRSSVYNLNDDVSEKLFPEFNKAEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFIVRFALANVIELYHLQQPEVATFSTETAHHFVYNLKSIRNVASKMITTEAFTTENLRSISEDNRSAFSNVKQILEEESFRRLLVTLSKAYEHIDRGQRNCLKSCTSYQKSCLSMICLKSDCNVVDHITSLIGEVCVPADLVTLIDSALSDG >PVH38559 pep chromosome:PHallii_v3.1:5:21113469:21119593:-1 gene:PAHAL_5G289400 transcript:PVH38559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHARAETTARSACRPQLRRLRDCALPTTPPPEPERSCRRSKRASLPSPSVDQMDGRVKVVGQVERVDGASLTYAEFVDRFMAPNCPVVLTGLTASWRACEDWTLPGPGDRRRPDLSFFARNFPSPLVQVADCSSREFTDQKRLEMSMQEFIDHWVGDAHGGSSAGDRESSLLYLKDWHFEYPDYVAYTTPTFFIDDWLNMYLDSHPIHRDSDIAKHKNETNCSDYRFVYMGEKGTWTPLHADVFRSYSWSANVCGRKQWLFLPPSQSHRVFDRYMRSSVYNLNDDVSEKLFPEFNKAEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFIVRFALANVIELYHLQQPEVATFSTETAHHFVYNLKSIRNVASKMITTEAFTTENLRSISEDNRSAFSNVKQILEEESFRRLLVTLSKAYEHIDRGQRNCLKSCTSYQKSCLSMICLKSDCNVVDHITSLIGEVCVPADLVTLIDSALSDG >PAN29924 pep chromosome:PHallii_v3.1:5:16740743:16742101:1 gene:PAHAL_5G260100 transcript:PAN29924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSKAVLFAALLAVAALSSAAALEDYDHHVYRRCYRSCVRRCDDDYDDDDDDAFKNGVRPLRAAVSNDPDHSDRDRVHDLDDDWDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDEECRVSCREDCTEYVPGVCYHHCVSHSCFFLPPYSFRRVACFRGCGHRCYHHGRHHHHHHHHHDDDDDDDDDDDEDSPKPRPPKPGPKPTPPKPRPVPVPPRPEPKPPVDVPKPGTPPKPAPPSTPKPAPRKPKPPVPRPTPPKPPVPNPGPRSSEPPPPPSN >PVH37585 pep chromosome:PHallii_v3.1:5:2298013:2302038:1 gene:PAHAL_5G037400 transcript:PVH37585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MSNQAVEKRRESLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKSPSAIDQMTFARYAERTAFERPLTSGVAYAVRVTHAEREQFERQQGWSIKKMYSSKKQSSPSPGPGDAEVREPAEEYAPVIFAQDAYKHVISFDMLSGNEDRENVLRARESGKGVLTAPFKLLNNRLGVILTYTVYKTELPPNARPQERIQAAIGYLGGIFDIEALVDKLLHQLAGKQSIMVNVYDTTNERPISMYGSNDTGSGMYYKSTLNFGDPSRKHEMHCRFMQKPPWPWLAITSSFGTLVIALLIGYIFHATVKRIAKVEDDFQKMTELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRSVCDDILSLFCGKAQEKGLELAVYVSDQVPETLIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVIEEVMPSLDVETGIQYANTLSGYPVVNRKRSWENFRYFNRELNSCEMPFAPGASDSVSLIISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPQVGSTFTFTAVLTRARSSGNENKPSEFKEISALVFDHRLARAKVTKYHLQRLGVQTELTTDLDQYISKVNCGLRVAKLVLIDKETWLKESHSMPMLVSKLRNKDQPDSPKLFLLENPKSSVRNSSHISREFHLNVIMKPLRASMLQVSLQRAMGGIDKVNCRNGVVGNSTLGSLLHKKQILVIDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHCFDACFMDIQMPEMDGFEATKRIRVMERDLNEQIERGEVPLECADVQRWRTPILAMTADVIQATYEECLKSEMDGYVSKPFEGEQLYREVARFFLNQDQVQ >PVH38419 pep chromosome:PHallii_v3.1:5:16065596:16066390:-1 gene:PAHAL_5G253600 transcript:PVH38419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPLPRRRLNGIAAGCRGYLTCLRNVHWLSSDEDKDPMAFRLIWLCHDESRVRAAVFSSDTWGWRVLPWVDDVEARTPPYDEDVTDWLHPGTEANGLVCWRVRNQERLLAVNTRTMAFSVWELPPPCWQLEGWPRGSFGVVVGETTHGEPCIVRDTAFGIDVLMRRGVDGGAAAEKWLVDDGILNWHDAALFDNPGRLDLLGVRDGFLYLATAEMVLSLRLETLEIAKLFPTPTGHHFNMARFYPYSMAWPAFLVATAAAGGL >PAN29213 pep chromosome:PHallii_v3.1:5:12777255:12779887:1 gene:PAHAL_5G209500 transcript:PAN29213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDSLPDGQLGWFDESDQLQQVSVHALAAGKKVILFGVPGAFTPTCSMQHVPGFITQAEQLKAKGVDEILLISVNDPFVMKAWAKTYPENKHVKFLADGSGNYTKALGLELDLTEKGLGIRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >PAN31668 pep chromosome:PHallii_v3.1:5:52648925:52653037:1 gene:PAHAL_5G435800 transcript:PAN31668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWSSPSAAAASSGARFGLLPGRAQRQPLPSPSLPRGAPNPRLVLSRGHGFLTHPGAASPSSSLRCRAVAAEVEGLNIANDVTQLIGKTPMVYLNNIVKGAVANVAAKLEIMEPCCSVKDRIGYSMINDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLLRAFGAELVLTDAAKGMKGALDKATEILNKTPNSYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPEIKVIGVEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSDVLDEVIEISSDEAVETAKQLAVQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVIFPSFGERYLSSVLYQSIREECENLQPEP >PAN28800 pep chromosome:PHallii_v3.1:5:10783027:10788359:-1 gene:PAHAL_5G178900 transcript:PAN28800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFHAAPASFLAPPAPHLLPPVSPALRGGLSAKGPVPAAVASRSLACFPSSRRASRRRRCSRAASSGAAATVTMVDDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEINEALNEVSFRLELTTPACPIKDEFEQKANEVVAALPWVKKVEVTMSAQPAQPVYGGELPEGLQKISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRYYPFGQGSGTQVVEQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDVPDDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDAPRAATPAVASS >PAN28957 pep chromosome:PHallii_v3.1:5:11425337:11430617:-1 gene:PAHAL_5G189700 transcript:PAN28957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MAPSARLLLASPHSPPALLGLRGCGRRSTVGLARRGGGCARRLRVVRRGAADEQAGATTRGEQAAEEAIEDPAPGRDLVTLAACLVGLLTGVSVVLFNLSVHEIRDIFWDGIPLRGASWLREEPIGEVWQRVIFVPVSGGAIVGGLNALRSSVKTNSNGPMSKIKSAIMPFLKAVAASFTLGTGNSLGPEGPSVEIGSSIAKGFGNVFDLEGGKRLSLVAAGSAAGISSGFNAAVSGCFFAVESVLWPSSTDSSSLANSTPMVILSSVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGVFCGLVSITLSRCTSLAMETVERLQRATGLPKAASPALGGLIVGLLALMYPEVLYWGFENVDILLESRPFTSGLSATILVQLIGVKLLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKFMRFTFTGPEPLLHIPFLDVASPQAYGLVGMAATLAGVCKVPLTSALLLFELTHDYRIVLPLLGAVGLSSWIASPQRFSKRIRSNLVEEKSSIAQQANSLPTQNKQVKCMDTADSSQELCKIESSLCVYDASDENMFENLTVAAAMKTNYLSVSMTTPLVEALDLMLAEKQPFVMVTENNRSVIGLLALKNIQDFCRAAKDTRAQDEVKEFLVSHVYQAGECKSCSVTPQMPLTTAEKIMDSHGLDHLPVVSEHANLQDSGLLIGFVDRECITIARRAVAMKEFFSSTYEIGKEERSSTDESR >PVH37591 pep chromosome:PHallii_v3.1:5:2459388:2462034:1 gene:PAHAL_5G038900 transcript:PVH37591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAAADDKIYLVMGGSEIHYYISLGWALQNVPLHKTVVPVYIFRPAFDIPMLWKELQPSDNFLINAYRRGRREHVLTSSELHVRICARKKVRAEKLIVENDDVAAGLLDLIVQHQVTTLILSSMIDRYMLEKQAHPSCSIFYLHNGNLISTSASRVAATTLSPFFRESCNTGSGFDVSLLDNLSLENTTSILHDTRFSVVFDHESLNTFKGETASHLNVVGQSQEFHQAFHAKCVEMGIESVFRLDCKNFQKKQWMPIYRWHRVLLFTIRVLEAILTESKQNHISEAVKEPIRLLLNLASKVAKVKKSPEKLFCILNMHGALFDATPILIRVFDAEFVKIEVGGVVAALNESARGMLLELKILVQTYRPQHELTQGSVLTITEFLVKYIKLLVNHTGNLDPILCQGQADDLLNIEGVNLTGRLVSGIIADLESVVQESSSYVAEGLKFLFLVNNTDFVLRQVEESDVRLMVGAQWIKKRHNNIKQYMRDYLSSSWKQVVRPLETATTSSQKRLKNSFLKIFYPTPSPLRSFESALNKACKSQMHWKVCSPVRRVELRTNVIEYVVQAYQAYRDSLEESVRGDLEDLEPNLKSDLFEG >PAN31047 pep chromosome:PHallii_v3.1:5:48753519:48754581:-1 gene:PAHAL_5G391200 transcript:PAN31047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRASFAGVRAVLSVFLVAAASAGPAPAPTAAPSSKYSVEEACKQAAGHHDLCVATLSADPSTKTANTAGLARVAIQAAQRNASETATYLSSIYDDDSLESKTAQLQQCLEDCGERYESAVEQLSDATSAVDSGAFSESEALVAASQAEVKLCQRGCQAVPDQRNILTARNREVDQLCSIALAITKLIRGPPS >PAN30046 pep chromosome:PHallii_v3.1:5:17677905:17679786:1 gene:PAHAL_5G270100 transcript:PAN30046 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MSYTGSAPASSSLYWKGMESSGDPHPPILAGAQNAWDMLSDEQSQKHITTGSGDLNNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEHFPHSHGKSSSGQKQLQPEHFLADIYYFRICSYTEQIAAINYLEKFLGEHKDILMIWLCGPECSVDCH >PAN30045 pep chromosome:PHallii_v3.1:5:17677789:17681752:1 gene:PAHAL_5G270100 transcript:PAN30045 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MSYTGSAPASSSLYWKGMESSGDPHPPILAGAQNAWDMLSDEQSQKHITTGSGDLNNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEHFPHSHGKSSSGQKQLQPEHFLADIYYFRICSYTEQIAAINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDLALRTRVLSGLSLKLMKIAKAFNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNDRYAYLDKSPSLPVASAPYAVTGKGVRDAVSSNHKRVRVT >PAN30044 pep chromosome:PHallii_v3.1:5:17677789:17681752:1 gene:PAHAL_5G270100 transcript:PAN30044 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MLSDEQSQKHITTGSGDLNNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEHFPHSHGKSSSGQKQLQPEHFLADIYYFRICSYTEQIAAINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDLALRTRVLSGLSLKLMKIAKAFNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNDRYAYLDKSPSLPVASAPYAVTGKGVRDAVSSNHKRVRVT >PAN30047 pep chromosome:PHallii_v3.1:5:17677789:17681754:1 gene:PAHAL_5G270100 transcript:PAN30047 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MSYTGSAPASSSLYWKGMESSGDPHPPILAGAQNAWDMLSDEQSQKHITTGSGDLNNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEHFPHSHDFDDLALRTRVLSGLSLKLMKIAKAFNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNDRYAYLDKSPSLPVASAPYAVTGKGVRDAVSSNHKRVRVT >PAN32972 pep chromosome:PHallii_v3.1:5:58394076:58398476:-1 gene:PAHAL_5G526100 transcript:PAN32972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGAGEPRRVVLLLDLDPLLPSPGSSAPAPAASSYLAAVLPAATSLLAASPSPASLSAGRLFFSSLSPILSSSLLPRPLPAAPNPLSFDLHPTTLAALAPLRRLALRAPSHPRVPASSSIAKSLLQLEHDYSWDPDPQRTPRRGFDPPPNLVVLFTAAAEFAEFGDDAGYFEKFRKVFGPARDRLSANGLQVCWVAVASACEGIRRAVTKLGWWFTTADAVALGSAVAPPALVWGGVGLGVAEAGRRGELVLEIADVEGKPLLCKGCEVEVVGSARWQASGNGVSRIHVKSVCEVGNWERLMGGDGDSAMVRGLLRDGGKGDGEEPVDKEYFPYRILELVLGDEKDRLGGAKPIWQLLLVFLSRRNYCALVSVSDGDRKCLEGVMVPFSMNCALLHFAKNSSGLGQVAAKGPETADSCVSDAAKVQSARRKRSRLVNKLIEATTWNTFCDVLLKHADGSMPVVDFEDLYFSRYGATSKKLRFLKCWMKQVKQSYLNTLPSLHTERDNYLPSKDDSEARVLVSEEDASAGRVNFSVDNEDSNKVDTPLNKSDCNKVDIPVDETDCSGVDRPVGEESSMFTSMEELEAFLGSVPHKIEQALCSEDADLGTLAERLVGLSVHALLLKHGKIAVRYIERKEAEDASGTKITCELSNILLRKPKELVLKYRGRDSASVASEQNTKHSTCYKIREHELQILLRMEIIKSELGSAIEEGSKQKMIKEICSLLQFIDINLQGDSFQSDSILEYAEKTIKSRYINSMEGVIKKIYTQMEFDLFDDEDEVDCSDSIPSSSNQEDCRVDRCRSHWNSAGASTSASALQLVQRDARSSRQRDDDRHDALMVRAQERRDRQRRLSSFTSWVPDLRRVWALKHPGKEPSVTVPRSRSTSKRKKRRRAACTDVVFETPMTAKRLDSGSESPPESDGDEGARAAALGTVSKTLFDDEEIETDVSSSSM >PAN32616 pep chromosome:PHallii_v3.1:5:56695216:56696598:1 gene:PAHAL_5G499900 transcript:PAN32616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAADEPTVVVEEPLGKEDERIDEPAEAMEQIAEEWEETGEPAETIGQIEKEQVEAAEPADPMEQVEEEHVEAAEPVEPMEQEAAVAEAEAEEGASLRPTLPVGRVKRIMRVDRDIKKVTSEATLLIAAATELFLGSLASGAYTAAARRGRRAVRAAHVRAAARAHRPTADFLLDCLPAEVEAPRARPAAGSAGCGGGGAREAKPLPRGTRRIDAFFQKVT >PAN30529 pep chromosome:PHallii_v3.1:5:21203326:21207060:-1 gene:PAHAL_5G290300 transcript:PAN30529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGSLFFLTLFLQHHHTSASLIDRQRSIASTAEWQPMHCNAVPINPSCNSFLYVTPEGRNLSEIVSVFSGNASLIQPIKRLSGSEDLLVGVSCMCEAINDTLTSFFHDTQYKVEKGDTPDTVKTKKFSGLAMNIGDGKVLIANETETITVHLPCGCSPTASDGVLSYAVQEEDTLSTISSLFRSSSQDILNLNPSVTNPDFIKPGWILFIPMGVTGSSKKKIGNLSIIIAASISAAILLLCVFTVILRLKRRSSQHNVEAPEIKMERAPSNTSIAALESRFFPSIRIPDIDPFQTERPVIFSLKVVGDATANFDEKRKIGEGGYGSVYLGFIGAHEIAVKKMKASKSKEFFAELKVLCKVHHINVVELIGYAAGDDHLYLVYEYVQNGSLNDHLHDPLLKGHQPLSWTARTQVALDAARGIEYIHDHTKACYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEEECVATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALMRDNKEVNKMKSLISIMRKAFKSEDLESSMETIIDPNLKDNYPIEEVCKMANISMWCLSEDPMNRPEMRDIMPTLSQIHLTSIEWEASLGGDGEVFSGVSIGR >PAN30984 pep chromosome:PHallii_v3.1:5:47316808:47322108:1 gene:PAHAL_5G380500 transcript:PAN30984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPFRFLPVLLSWPPAPLPPLLSFQSTRGLFRSRPQRSPNRRGREMSLAILARRRAAEAVLRRPQAAAVSAWRAYAAAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKTSFAHHGVKISNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLIDGGNTVVKGKNIIIATGSDVKSLPGITIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGDQTILEADVVLVSAGRTPFTSGIGLETIGVETDKAGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIAYRVGKFPLLANSRAKAIDDAEGLVKVVAEKETDKILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTFSKAIHI >PAN28978 pep chromosome:PHallii_v3.1:5:11548210:11555440:-1 gene:PAHAL_5G191300 transcript:PAN28978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMAPQYQAVTLIASPSYPNAIAWSSDNLVAVASGHIVTILNPAALDGPRGLVGLRCSDPFPIGVVNREDLFEPCLVPTCLARDAEPCTRSISWSPQGFAPNSGCLLAVCTVDGHVKLYRSPIWEVCDEWVQVADISQLLFSYYKTINFGEDNGSHLTSLKNTNTEETEVLGSTCELQDPLFRRGPGQRKRKPPRVDGYIYDGNKDDLDASNDADFSLKSCSKSKKKSSKKTAKHRHEPVSVNGQGSTENAKASLSTNGENKSLPLITAKQYACRDACLSSLVVAWSPLVSSNDKSSSLLRHWCILAVGSKSGNVSFWKLYKPEYYTIDAGVVNSDPMLIGVLQAHKSWVSAITWEVSSEGSSKSSLLLATGCSGGSVKIWLANIEGLNRCTIAEEVPFALVAEVTTDLSAPVSSISLAVPARSQYEVNLAIGRVSGSLETWIWNTCSCKIENTNACHAHDQVVTGLSWGMDGYCLYSCSQDNSARCWIYHGNHIEEIPVHTNFPESKESTDLSEVSNRCFGLTLAPGGQMIAVVRGLDLNLLDQMYQARTQKAVVEFIWIGGQFVGIPLDRRIDVCNTQSTIFSSSNFLWWGSNILWSLKKYENVEKGLALWDVVAALQGFKKYAPTFLETLMDMWISALFSGDPQCVSINAPSFSRHDMLPSVSLRKLHLLNIICRKVMLSNHAQLGPDAENGNDSTTEFWNTLLIRSERELRERLVGFTFAAVLKRTAYSFNDTSTENSWFPVGVAQMDSWVTMNDEVHDQLKYLRSRIKDLGNRINSACGYSVEETCPYCSAPVHFESADVAICRDKHTLTRCRASMILCSVLQPVWHCVCCGGMVDKLLPQSFFAMQASPLDANQDEGSLDLSGPAVPLCPFCGILLQRSTPVFLLSTSPV >PVH39014 pep chromosome:PHallii_v3.1:5:51521796:51522305:-1 gene:PAHAL_5G418400 transcript:PVH39014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAQLGQRIPPTVRASGCTVTASSSRPLRALPIGPAGSAPALRIGIHSKSRGADGPGGGGGGGRRGGPVAHDRRDGPRRDARRLLAGDLRQSRPPGGGGTRPERISRVCAGGRHMRRGRRPRGSPDRSRAVVYVVPAVWSGPLERQGRRRHVLCWSCRPTSLRSDLAA >PAN32924 pep chromosome:PHallii_v3.1:5:58141563:58146918:1 gene:PAHAL_5G522100 transcript:PAN32924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQPAPPPDAPGDAAAGGRGAGGAHEPPGAKPTAPLPRAGMMRQRPAALWAGALVAVLALLAACTAAAAVTITRRQHQRGASAASARSSCDAFAAGRWVADASYPLYDSSRCPFIRDEFACARFGRPDTAYLKYRWQPDPPCAQPRFDGLALLRMWGGKTVMFVGDSLALNQYESLLCLLHAAAPGARTTVSPASGKIDPSYTVRFEDYNVTVVYYLTHYLVDLVPEKAGRVLKLDAIDQASNWLGADVLVFDSWHWWPRTGPTQPWDFIQEGSTVVRDMDRTRAFARALRTWATWVDANLLHTDTKVFFQGISPSHYRGQDWGASPKKTCMGETRPLNGTGPYPGGPIPQQAILRSVLAGMAKPVYLLDFTYLSQLRKDAHPTKYDGGIFAGDCTHWCVAGLPDTWNVLFYAALTGQI >PAN32925 pep chromosome:PHallii_v3.1:5:58141965:58146869:1 gene:PAHAL_5G522100 transcript:PAN32925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQRPAALWAGALVAVLALLAACTAAAAVTITRRQHQRGASAASARSSCDAFAAGRWVADASYPLYDSSRCPFIRDEFACARFGRPDTAYLKYRWQPDPPCAQPRFDGLALLRMWGGKTVMFVGDSLALNQYESLLCLLHAAAPGARTTVSPASGKIDPSYTVRFEDYNVTVVYYLTHYLVDLVPEKAGRVLKLDAIDQASNWLGADVLVFDSWHWWPRTGPTQPWDFIQEGSTVVRDMDRTRAFARALRTWATWVDANLLHTDTKVFFQGISPSHYRGQDWGASPKKTCMGETRPLNGTGPYPGGPIPQQAILRSVLAGMAKPVYLLDFTYLSQLRKDAHPTKYDGGIFAGDCTHWCVAGLPDTWNVLFYAALTGQI >PVH39506 pep chromosome:PHallii_v3.1:5:58143666:58146919:1 gene:PAHAL_5G522100 transcript:PVH39506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGKTVMFVGDSLALNQYESLLCLLHAAAPGARTTVSPASGKIDPSYTVRFEDYNVTVVYYLTHYLVDLVPEKAGRVLKLDAIDQASNWLGADVLVFDSWHWWPRTGPTQPWDFIQEGSTVVRDMDRTRAFARALRTWATWVDANLLHTDTKVFFQGISPSHYRGQDWGASPKKTCMGETRPLNGTGPYPGGPIPQQAILRSVLAGMAKPVYLLDFTYLSQLRKDAHPTKYDGGIFAGDCTHWCVAGLPDTWNVLFYAALTGQI >PAN26872 pep chromosome:PHallii_v3.1:5:2815852:2820222:1 gene:PAHAL_5G044200 transcript:PAN26872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVYYQYKSEKEICSIPVPYAFISVSELKQLIMTSGKYGRGRTRGRPMDDIVISNAQTGEEYADERAMVLQNTHVLVRRINIPGQLSEKIVLSPTRKVTEGCSVPSSESVVTDLNSKSCSSIGVQDEDAAITAVIDAAELKLDQYPAKRGQGSGRFTSGRNYGREVETPPLGYVCRSCGVPGHFIQHCPQESKTPPPGYICYRCRIPGHFIHHCPTIGDPKFNNNKMSRSLAPVVTVSPINGIMEALVPAAPVSAVDDLPAELHCRLCKKVMIDAALTSKCCFDSFCDKCIRDYIITESKCICGVKALADDLIPNQTLRSTINNMLGTRASSGGSGTTTHRSSSGSNPDPRLQSHTPSDASERVMKQSTDLELSAASAPDDGLQVATGGDLMNQPQEKLAANVDIVSKDEGNSTEVSAEKTVAGSVVIEVKDGSGSTSKVTTVSGALEHNATRTDQPKKKRKKADSTKNVQPNDVGYGYNVPFDQAYYNPFITGYSWLTEPYMYSSMGMPYGGYPMVPYSVNSFNGMPPQAPGMPGYPASYQRPETQPTHHRGTEAVVSHSRQAERPKDTRAQPQSTENKRQLGSHGSESRNRTRSSSERRDHGRSGRASDDYHEEQSSRKRMRDSSPMYGDKHSGRRSTHSSRSMTREEDASDDERNFKRRWGCRSSVGVDTRH >PAN26873 pep chromosome:PHallii_v3.1:5:2817111:2820222:1 gene:PAHAL_5G044200 transcript:PAN26873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNTHVLVRRINIPGQLSEKIVLSPTRKVTEGCSVPSSESVVTDLNSKSCSSIGVQDEDAAITAVIDAAELKLDQYPAKRGQGSGRFTSGRNYGREVETPPLGYVCRSCGVPGHFIQHCPQESKTPPPGYICYRCRIPGHFIHHCPTIGDPKFNNNKMSRSLAPVVTVSPINGIMEALVPAAPVSAVDDLPAELHCRLCKKVMIDAALTSKCCFDSFCDKCIRDYIITESKCICGVKALADDLIPNQTLRSTINNMLGTRASSGGSGTTTHRSSSGSNPDPRLQSHTPSDASERVMKQSTDLELSAASAPDDGLQVATGGDLMNQPQEKLAANVDIVSKDEGNSTEVSAEKTVAGSVVIEVKDGSGSTSKVTTVSGALEHNATRTDQPKKKRKKADSTKNVQPNDVGYGYNVPFDQAYYNPFITGYSWLTEPYMYSSMGMPYGGYPMVPYSVNSFNGMPPQAPGMPGYPASYQRPETQPTHHRGTEAVVSHSRQAERPKDTRAQPQSTENKRQLGSHGSESRNRTRSSSERRDHGRSGRASDDYHEEQSSRKRMRDSSPMYGDKHSGRRSTHSSRSMTREEDASDDERNFKRRWGCRSSVGVDTRH >PVH37610 pep chromosome:PHallii_v3.1:5:2817111:2820222:1 gene:PAHAL_5G044200 transcript:PVH37610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLAPVVTVSPINGIMEALVPAAPVSAVDDLPAELHCRLCKKVMIDAALTSKCCFDSFCDKCIRDYIITESKCICGVKALADDLIPNQTLRSTINNMLGTRASSGGSGTTTHRSSSGSNPDPRLQSHTPSDASERVMKQSTDLELSAASAPDDGLQVATGGDLMNQPQEKLAANVDIVSKDEGNSTEVSAEKTVAGSVVIEVKDGSGSTSKVTTVSGALEHNATRTDQPKKKRKKADSTKNVQPNDVGYGYNVPFDQAYYNPFITGYSWLTEPYMYSSMGMPYGGYPMVPYSVNSFNGMPPQAPGMPGYPASYQRPETQPTHHRGTEAVVSHSRQAERPKDTRAQPQSTENKRQLGSHGSESRNRTRSSSERRDHGRSGRASDDYHEEQSSRKRMRDSSPMYGDKHSGRRSTHSSRSMTREEDASDDERNFKRRWGCRSSVGVDTRH >PAN29099 pep chromosome:PHallii_v3.1:5:11997324:12005021:-1 gene:PAHAL_5G198300 transcript:PAN29099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLHRRLAPPPLLLAILVAASSHGASSSADDGYDASMCVRQPYTCGGVSIKYPFYSRTGALLGSASSYCGYPGLEIQCEEGQAFLELQSGNYTISRIDYDDLTVRLVDPEVLDGRSCPRADHNVTFRNVSWLYYPSTTVDYLLFFINCNFLSDLSRPSSSNSTACKFDVGQASTGMSFVFLEQDVPYPNMNWWRSCSDVIEVPVLKSGLPSDSRNDPTWKNGGYGSSLRDGFQLALNQSQKLQACAQCEQSEGTCGHNQTGAFVACLCSDGRVGHPNCTTDSSGRSHMSRMVIVASTSGLLFLCLLIPVVFLTCKYGLLPFKSKNEPRIESKSKNEPRIESFLQKNGNLHPKRYHYADVKRMTKSFAVKLGQGGFGAVYKGNLSNGCQVAVKMLKDTMGDGEEFMNEVASISRTSHVNVVTLLGFCLEGSKRALIYEYMPNGSLERYAFSNNINSENTLSWEKLFDITIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKVSDFGLAKLCLNKESAISIGGARGTIGYIAPEVYSKQFGTVSSKSDVYSYGMMVLEMVGARDKKINPDSESSSQYFPQWIYEHLDDYCISASEINGEITELVRRMIVVGLWCIQVIPTNRPTMTRVVEMLEGSTIDLELPPKVFLS >PVH38205 pep chromosome:PHallii_v3.1:5:11997324:12009408:-1 gene:PAHAL_5G198300 transcript:PVH38205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRLLAAMAAHLPRFPVLLFFFLAVHVAAAHGDPAPLLTTYDGSMCPESSSCGNVYIRYPFYLSSTTRNITGYNTSYSCGYTDLEIFCQDEGPTRTPVIFLGGYMYTILNIDYDSNTTILADSDVLVGGSCPAVRHGVSFNKMWLHNTSSNDNLTFYFSCYSTRSHGVELPPDDLITYKIGCNLKSPYADGASFVFTPDDHDKAKEHALDQDWSCKEVVSVPVRSDVLMARNQSVLVTGGYAEVLRYGFELEWNRATTDKCELREQSGGKCAYSQKREFTACLCSNGKVGHPECRPTRRSHMSRMVIVASTSGLLFLCLLIPVVFLTCKYGLLPFKSKNEPRIESKSKNEPRIESFLQKNGNLHPKRYHYADVKRMTKSFAVKLGQGGFGAVYKGNLSNGCQVAVKMLKDTMGDGEEFMNEVASISRTSHVNVVTLLGFCLEGSKRALIYEYMPNGSLERGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKVSDFGLAKLCLNKESAISIGGARGTIGYIAPEVYSKQFGTVSSKSDVYSYGMMVLEMVGARDKKINPDSESSSQYFPQWIYEHLDDYCISASEINGEITELVRRMIVVGLWCIQVIPTNRPTMTRVVEMLEGSTIDLELPPKVFLS >PVH38206 pep chromosome:PHallii_v3.1:5:11997324:12009408:-1 gene:PAHAL_5G198300 transcript:PVH38206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRLLAAMAAHLPRFPVLLFFFLAVHVAAAHGDPAPLLTTYDGSMCPESSSCGNVYIRYPFYLSSTTRNITGYNTSYSCGYTDLEIFCQDEGPTRTPVIFLGGYMYTILNIDYDSNTTILADSDVLVGGSCPAVRHGVSFNKMWLHNTSSNDNLTFYFSCYSTRSHGVELPPDDLITYKIGCNLKSPYADGASFVFTPDDHDKAKEHALDQDWSCKEVVSVPVRSDVLMARNQSVLVTGGYAEVLRYGFELEWNRATTDKCELREQSGGKCAYSQKREFTACLCSNGKVGHPECRPTRRSHMSRMVIVASTSGLLFLCLLIPVVFLTCKYGLLPFKSKNEPRIESKSKNEPRIESFLQKNGNLHPKRYHYADVKRMTKSFAVKLGQGGFGAVYKGNLSNGCQVAVKMLKDTMGDGEEFMNEVASISRTSHVNVVTLLGFCLEGSKRALIYEYMPNGSLERYAFSNNINSENTLSWEKLFDITIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKVSDFGLAKLCLNKESAISIGGARGTIGYIAPEVYSKQFGTVSSKSDVYSYGMMVLEMVGARDKKINPDSESSSQYFPQWIYEHLDDYCISASEINGEITELVRRMIVVGLWCIQVIPTNRPTMTRVVEMLEGSTIDLELPPKVFLS >PVH38207 pep chromosome:PHallii_v3.1:5:11997081:12010175:-1 gene:PAHAL_5G198300 transcript:PVH38207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRLLAAMAAHLPRFPVLLFFFLAVHVAAAHGDPAPLLTTYDGSMCPESSSCGNVYIRYPFYLSSTTRNITGYNTSYSCGYTDLEIFCQDEGPTRTPVIFLGGYMYTILNIDYDSNTTILADSDVLVGGSCPAVRHGVSFNKMWLHNTSSNDNLTFYFSCYSTRSHGVELPPDDLITYKIGCNLKSPYADGASFVFTPDDHDKAKEHALDQDWSCKEVVSVPVRSDVLMARNQSVLVTGGYAEVLRYGFELEWNRATTDKCELREQSGGKCAYSQKREFTACLCSNGKVGHPECRPTRRSHMSRMVIVASTSGLLFLCLLIPVVFLTCKYGLLPFKSKNEPRIESKSKNEPRIESFLQKNGNLHPKRYHYADVKRMTKSFAVKLGQGGFGAVYKGFCLEGSKRALIYEYMPNGSLERGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKVSDFGLAKLCLNKESAISIGGARGTIGYIAPEVYSKQFGTVSSKSDVYSYGMMVLEMVGARDKKINPDSESSSQYFPQWIYEHLDDYCISASEINGEITELVRRMIVVGLWCIQVIPTNRPTMTRVVEMLEGSTIDLELPPKVFLS >PAN29758 pep chromosome:PHallii_v3.1:5:15688812:15691431:1 gene:PAHAL_5G248200 transcript:PAN29758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPQSILRDAGGGFPATTLAPLLAHPPLQSEPSPATVGSPEITDEEINAATAACCRICLECESEPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGTAFSHCTTCKARFHLRVECLEDDICRRMKFRLFVARDVILVFLIIQAAIAAIGGMAYLLDKDGNFRNKFSDDWDRFLSKHPVPFYYCVGVVVFFVLVGFFGLIVHLSSFNNNDPCLAGCHNGCYGCGIVELPASIEACFAFAVIFVIIFAILGVAYGLLAATLAIQRILQRHYHILTKRELTKEYVVEDLPGGYTPPKMDPEHEQRLKMLQLM >PVH38382 pep chromosome:PHallii_v3.1:5:15748339:15755200:1 gene:PAHAL_5G249200 transcript:PVH38382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMNEFVPERTAAYISQHDLHIGEMTVRETLQFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTDYILKILGLEVCADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGHVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWYRQDRPYRFVPVKKFADAFRTFHVGRSIQNELSEPFDRTRSHPAALATSKFGVKRMELLKATIDRELLLMKRNAFMYIFKSVNLTLMSLVVMTTFFRTNMHRNVTYGGIYMGALYFALDTVMFNGFAELAMTVLKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFSTYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLALLAFQTLGGYVLARPNVKKWWIWGYWISPLSYAQNAISTNEFLGKSWSQIQNGTTLGVTVLKNRGIFTEAKWYWIGLGALIGYTLLFNLLYTLALSVLSPFADSHGSMSEEELKEKHATLTGEVIEGQKEKKSRRQEVELSNSVGPNSVTTSDNSSQNRKGMVLPFAPLSLTFNDIRYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVDLETRKMFIEEIMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIEGVSKIKDGYNPATWMLEVSSSAQEEMLGVDFCEIYKQSELYQRNKELIEELSTPPPGFSDLNFPTQYSRSLFTQCLACLWKQKLSYWRNPSYTAVRIMFTVIIALLFGTMFWDLGSRTKKQQDLFNAMGSMYAAVLYLGVQNSGSVQPVVVVERTVFYRERAAGMYSALPYAFGQVLIELPYIFVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGFLIPRPRIPVWWRWYSWICPVAWTLYGLVASQFGDITHALEDSDKGQTVAQFITEYYGFHHDLLWVVALVHVALACFFAFLFSFAIMKFNFQKR >PAN29772 pep chromosome:PHallii_v3.1:5:15747013:15755200:1 gene:PAHAL_5G249200 transcript:PAN29772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGEIHRVTSLRRDSSLWRRGDDVFSRQSSRFQDDEDDEEALRWAALERLPTYDRVRRGMLALDEDGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLKERMDRVGIDYPTIEVRYEHLEVEAQVHVGNRGLPTLINSVTNTIESIGNALHILPTRKQPMTVLHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMNEFVPERTAAYISQHDLHIGEMTVRETLQFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTDYILKILGLEVCADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGHVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWYRQDRPYRFVPVKKFADAFRTFHVGRSIQNELSEPFDRTRSHPAALATSKFGVKRMELLKATIDRELLLMKRNAFMYIFKSVNLTLMSLVVMTTFFRTNMHRNVTYGGIYMGALYFALDTVMFNGFAELAMTVLKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFSTYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLALLAFQTLGGYVLARPNVKKWWIWGYWISPLSYAQNAISTNEFLGKSWSQIQNGTTLGVTVLKNRGIFTEAKWYWIGLGALIGYTLLFNLLYTLALSVLSPFADSHGSMSEEELKEKHATLTGEVIEGQKEKKSRRQEVELSNSVGPNSVTTSDNSSQNRKGMVLPFAPLSLTFNDIRYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVDLETRKMFIEEIMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIEGVSKIKDGYNPATWMLEVSSSAQEEMLGVDFCEIYKQSELYQRNKELIEELSTPPPGFSDLNFPTQYSRSLFTQCLACLWKQKLSYWRNPSYTAVRIMFTVIIALLFGTMFWDLGSRTKKQQDLFNAMGSMYAAVLYLGVQNSGSVQPVVVVERTVFYRERAAGMYSALPYAFGQVLIELPYIFVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGFLIPRPRIPVWWRWYSWICPVAWTLYGLVASQFGDITHALEDSDKGQTVAQFITEYYGFHHDLLWVVALVHVALACFFAFLFSFAIMKFNFQKR >PVH38687 pep chromosome:PHallii_v3.1:5:32768940:32790455:1 gene:PAHAL_5G328100 transcript:PVH38687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNGNCFSSHFKGFRTWRLYLLLWCTIIYSVLAILAQVTFHIIWCIEGMGWSVAYSWWVKLVGLARGHPWESPSVIYFLALQLSATVLALVEVLGSRLHQDSCWLNFSFGFEQIGYHLRVTCCFLLPAAQLVVSISHPSWISLPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEMYSVFSILLLYIYQLPVQFPYVVLAFADFIGLFKVSSKSEWPELSSGISLLVYYFMLSSVKQDIQETDSLISLEDGSLTEHLLPSGNAFFSCQSRADRRHTNILLRGSVFRNFSINFFTYGFPVLLLALAFWSFNFTSICAFGLLAYVGYILYAFPSLFQMHRLNGSLLVFVLLWAASTYVFNVAFTFFNKRFQKDMKIWETVGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFLYVQTVDGASSSDDHLIDEKEDTMVLIVATLAWGLRKLSRAITLTLLFLLVMKRGFIHAVYMCFFLVFLVNHSINKRLRQILVLFSEVHFSILYILQLDLVSSALERSGSLTMEVLSQLGLSNNATTKDFIEIGSIVCFCAVHSHGFKMLFSLSAVLRHTPCPPVGFTILKAGLNKSVLLSVYSSQSSRNDEACRNSHERKIASYLSKIGQKFLSVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTKRRLWFPLKVYAAMVFIFTYSLSVSPIFAESVSKFVKLYPDLGFDPKASLLENVWQSLAVLVVMQLYSYERRQNSDKNFGVSDALVSGLLGFLRRFLIWHSDKILSVSVFYACLSSISLSGLIYLLGLIVFCTLPKMSRIPSKVYLVYTGLLAASEYLFQMVCKPAQMCPDQHFYGLSAFLGLKYYDSGFWGVEYGLRGKVLVIVACTIQYNVFHWLDMMPTSLVHNGKWEEPCQLFISSNPPYSSVRSNEENHSSSRFTSLFSKVQGLIGSSSSSSLGPGNTYQKSEYVDNAIKGSDEDKRYSFAKIWGLSKESHKWDKKRVISLKRERFETQKITFKCYMKFWIENLFKLRGLEINMVVLLLASFTLLNVVSIFYIMCLVVCILMNRDLIQKLWPLFVFLFASVLILEYFALWNNLMPWFHDINNIEVHCRECWKNSRIFFDYCSKCWLGIIADDPRMLISYYVVFIFSSFKLRSDRFSGFSDSDTYHEMMSQRKNAVVWRDLSLETKCFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFVLIVLSLAYQSPYVGQFSSGTCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEHKRQRNMQVERMKSEMYNLQSQLNRMNSFTPINDTSYNEGLRRRRNTKLYSDTNTPDVGIETGSPTKQDKIGSTESAQSFEFSVTDTQKNIRNLMFQGSSDTMRSPIRGRSDEFVLTDNIGNSLGSTPEITELEESDEKVNYNLSKWEKAMGQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPDEPHSNEHPAEGDIYDVVESQVETQDGQLLRTHSVSDTSGTKVKSSMPIGVIFRYIWYQMRSNYDYVCYCCFVLVFLWNFSLLSMVYLGALFLYALCVNYGPSYLFWVIILIYTELNILSQYIYQIIIQHCGLNIHLPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSTRNNIEEKHCMPYSWRERLKSLHLPVMNLIRMITRGLSRYWMSLTHGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLTIAHEERCQANLSASCHCCSKVRIQSIEKSKENSSMALAVLEVVYAAPAVCQQPGWYNSLTPAADVEREIHESQKAGLFEEINFPYPIVSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMVLFFLIVVDRIIYLWSFATGKVVFYIFNLVLFTYSVTEYAWGMELAHRDVGGLVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDAILNRANHRQGEKQSKMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSIQIDIKALGGRLTLFKTTACEKIPWKYLKAYDDVDPLGYLGSYNVDDIQLICCQPDASTMWLIPPPVQSRFIQSLEREIPFEKMELILNWDFLRARPKGKELVRYESPIEHCPSVDDVKQVLNGTTHSFSIVDAYPRYFRVTGSGEVRRLEAAIDSVSGELLLNNGTPPWWSFYTKPSDLAGCQVLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >PVH38688 pep chromosome:PHallii_v3.1:5:32774922:32790455:1 gene:PAHAL_5G328100 transcript:PVH38688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLSAVLRHTPCPPVGFTILKAGLNKSVLLSVYSSQSSRNDEACRNSHERKIASYLSKIGQKFLSVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTKRRLWFPLKVYAAMVFIFTYSLSVSPIFAESVSKFVKLYPDLGFDPKASLLENVWQSLAVLVVMQLYSYERRQNSDKNFGVSDALVSGLLGFLRRFLIWHSDKILSVSVFYACLSSISLSGLIYLLGLIVFCTLPKMSRIPSKVYLVYTGLLAASEYLFQMVCKPAQMCPDQHFYGLSAFLGLKYYDSGFWGVEYGLRGKVLVIVACTIQYNVFHWLDMMPTSLVHNGKWEEPCQLFISSNPPYSSVRSNEENHSSSRFTSLFSKVQGLIGSSSSSSLGPGNTYQKSEYVDNAIKGSDEDKRYSFAKIWGLSKESHKWDKKRVISLKRERFETQKITFKCYMKFWIENLFKLRGLEINMVVLLLASFTLLNVVSIFYIMCLVVCILMNRDLIQKLWPLFVFLFASVLILEYFALWNNLMPWFHDINNIEVHCRECWKNSRIFFDYCSKCWLGIIADDPRMLISYYVVFIFSSFKLRSDRFSGFSDSDTYHEMMSQRKNAVVWRDLSLETKCFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFVLIVLSLAYQSPYVGQFSSGTCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEHKRQRNMQVERMKSEMYNLQSQLNRMNSFTPINDTSYNEGLRRRRNTKLYSDTNTPDVGIETGSPTKQDKIGSTESAQSFEFSVTDTQKNIRNLMFQGSSDTMRSPIRGRSDEFVLTDNIGNSLGSTPEITELEESDEKVNYNLSKWEKAMGQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPDEPHSNEHPAEGDIYDVVESQVETQDGQLLRTHSVSDTSGTKVKSSMPIGVIFRYIWYQMRSNYDYVCYCCFVLVFLWNFSLLSMVYLGALFLYALCVNYGPSYLFWVIILIYTELNILSQYIYQIIIQHCGLNIHLPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSTRNNIEEKHCMPYSWRERLKSLHLPVMNLIRMITRGLSRYWMSLTHGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLTIAHEERCQANLSASCHCCSKVRIQSIEKSKENSSMALAVLEVVYAAPAVCQQPGWYNSLTPAADVEREIHESQKAGLFEEINFPYPIVSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMVLFFLIVVDRIIYLWSFATGKVVFYIFNLVLFTYSVTEYAWGMELAHRDVGGLVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDAILNRANHRQGEKQSKMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSIQIDIKALGGRLTLFKTTACEKIPWKYLKAYDDVDPLGYLGSYNVDDIQLICCQPDASTMWLIPPPVQSRFIQSLEREIPFEKMELILNWDFLRARPKGKELVRYESPIEHCPSVDDVKQVLNGTTHSFSIVDAYPRYFRVTGSGEVRRLEAAIDSVSGELLLNNGTPPWWSFYTKPSDLAGCQVLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >PAN30565 pep chromosome:PHallii_v3.1:5:32765485:32790455:1 gene:PAHAL_5G328100 transcript:PAN30565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTGAAGFLLPLVLLAASLLDWNLISLTNMIIFFAIRFVAPTRGFRTWRLYLLLWCTIIYSVLAILAQVTFHIIWCIEGMGWSVAYSWWVKLVGLARGHPWESPSVIYFLALQLSATVLALVEVLGSRLHQDSCWLNFSFGFEQIGYHLRVTCCFLLPAAQLVVSISHPSWISLPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEMYSVFSILLLYIYQLPVQFPYVVLAFADFIGLFKVSSKSEWPELSSGISLLVYYFMLSSVKQDIQETDSLISLEDGSLTEHLLPSGNAFFSCQSRADRRHTNILLRGSVFRNFSINFFTYGFPVLLLALAFWSFNFTSICAFGLLAYVGYILYAFPSLFQMHRLNGSLLVFVLLWAASTYVFNVAFTFFNKRFQKDMKIWETVGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFLYVQTVDGASSSDDHLIDEKEDTMVLIVATLAWGLRKLSRAITLTLLFLLVMKRGFIHAVYMCFFLVFLVNHSINKRLRQILVLFSEVHFSILYILQLDLVSSALERSGSLTMEVLSQLGLSNNATTKDFIEIGSIVCFCAVHSHGFKMLFSLSAVLRHTPCPPVGFTILKAGLNKSVLLSVYSSQSSRNDEACRNSHERKIASYLSKIGQKFLSVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTKRRLWFPLKVYAAMVFIFTYSLSVSPIFAESVSKFVKLYPDLGFDPKASLLENVWQSLAVLVVMQLYSYERRQNSDKNFGVSDALVSGLLGFLRRFLIWHSDKILSVSVFYACLSSISLSGLIYLLGLIVFCTLPKMSRIPSKVYLVYTGLLAASEYLFQMVCKPAQMCPDQHFYGLSAFLGLKYYDSGFWGVEYGLRGKVLVIVACTIQYNVFHWLDMMPTSLVHNGKWEEPCQLFISSNPPYSSVRSNEENHSSSRFTSLFSKVQGLIGSSSSSSLGPGNTYQKSEYVDNAIKGSDEDKRYSFAKIWGLSKESHKWDKKRVISLKRERFETQKITFKCYMKFWIENLFKLRGLEINMVVLLLASFTLLNVVSIFYIMCLVVCILMNRDLIQKLWPLFVFLFASVLILEYFALWNNLMPWFHDINNIEVHCRECWKNSRIFFDYCSKCWLGIIADDPRMLISYYVVFIFSSFKLRSDRFSGFSDSDTYHEMMSQRKNAVVWRDLSLETKCFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFVLIVLSLAYQSPYVGQFSSGTCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEHKRQRNMQVERMKSEMYNLQSQLNRMNSFTPINDTSYNEGLRRRRNTKLYSDTNTPDVGIETGSPTKQDKIGSTESAQSFEFSVTDTQKNIRNLMFQGSSDTMRSPIRGRSDEFVLTDNIGNSLGSTPEITELEESDEKVNYNLSKWEKAMGQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPDEPHSNEHPAEGDIYDVVESQVETQDGQLLRTHSVSDTSGTKVKSSMPIGVIFRYIWYQMRSNYDYVCYCCFVLVFLWNFSLLSMVYLGALFLYALCVNYGPSYLFWVIILIYTELNILSQYIYQIIIQHCGLNIHLPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSTRNNIEEKHCMPYSWRERLKSLHLPVMNLIRMITRGLSRYWMSLTHGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLTIAHEERCQANLSASCHCCSKVRIQSIEKSKENSSMALAVLEVVYAAPAVCQQPGWYNSLTPAADVEREIHESQKAGLFEEINFPYPIVSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMVLFFLIVVDRIIYLWSFATGKVVFYIFNLVLFTYSVTEYAWGMELAHRDVGGLVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDAILNRANHRQGEKQSKMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSIQIDIKALGGRLTLFKTTACEKIPWKYLKAYDDVDPLGYLGSYNVDDIQLICCQPDASTMWLIPPPVQSRFIQSLEREIPFEKMELILNWDFLRARPKGKELVRYESPIEHCPSVDDVKQVLNGTTHSFSIVDAYPRYFRVTGSGEVRRLEAAIDSVSGELLLNNGTPPWWSFYTKPSDLAGCQVLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >PAN29756 pep chromosome:PHallii_v3.1:5:15566457:15572385:1 gene:PAHAL_5G245900 transcript:PAN29756 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP1-associated protein 2C [Source:Projected from Arabidopsis thaliana (AT3G15010) UniProtKB/Swiss-Prot;Acc:Q9LKA4] MLAETQTRIDKNSPIPPRFSTSPFSSRPRPPLHLQTLARVLPSHPLLAPMDPFSKKRKPDENGAATASPAGGAAALGLTRDDVLRLLEPLSRDQLADIAAAAALVSAHALDAVRAAADRDPALRKLFVRGLGWETTSDSLRAIFSAYGDLEEAVVITDKNTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGPSGGAAGSGVAPVADVSLRKIFVGNVPADMSSERLLAHFASYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIEGHQLVCKLAIEGKKGKQGQSQQSGAANQQQQQQMMQGGPQEMQGGLGLGPGPQMGAQYGGPGSGLSSFGAFGGVGGGFGGPNPYGNMPSSMGGGSTGGLGSMGGQVPTGLGGAGAGAFGPGGLGGGSFGGSSQFGAGGMGAYGGIGMGGGSMYRMQQGGGGLPAGAFGEGGNYPLPGSGFRGQDPQGGMSPGPGGRAPPMYPNVPPYF >PVH39387 pep chromosome:PHallii_v3.1:5:56045322:56046085:1 gene:PAHAL_5G489700 transcript:PVH39387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAHKVATHAAAGRRPPSVLREIVYGMSLGLLAGYLWKLHHWSNQRRTREFYSMLDQGKITVVADVPLPGAND >PAN29743 pep chromosome:PHallii_v3.1:5:15648612:15653537:1 gene:PAHAL_5G247300 transcript:PAN29743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAPWRVLEFYSGIGGMRYSLMASGARAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMQNMSYPPQMLFVENVVGFEVSDTHDQLLEVLSSLNFNLQEFILSPLQFGVPYSRPRYFCLAKREPMQFQNASVNNKLLRTPMCLSQTVNSTSQDSDHQTEEEQDPVCKPVKDFLVKEADGCIQNGTILQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSENLKWVPEEKLPISSLKELRLRFFTPREVANFHSFPSSFWFPDHISFRQQFWDVWLVVTF >PAN29746 pep chromosome:PHallii_v3.1:5:15650098:15653537:1 gene:PAHAL_5G247300 transcript:PAN29746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFLSETRNCVFMVHFMKNPLDCVKQFCDYLPILPRQAKREPMQFQNASVNNKLLRTPMCLSQTVNSTSQDSDHQTEEEQDPVCKPVKDFLVKEADGCIQNGTILQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSENLKWVPEEKLPISSLKELRLRFFTPREVANFHSFPSSFWFPDHISFRQQYAMLGNSLSVAVVGPLLRYLFAEA >PVH38375 pep chromosome:PHallii_v3.1:5:15648612:15653537:1 gene:PAHAL_5G247300 transcript:PVH38375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFFLLHVSHTHGLQKHSADARAFSFIKILNLMQNMSYPPQMLFVENVVGFEVSDTHDQLLEVLSSLNFNLQEFILSPLQFGVPYSRPRYFCLAKREPMQFQNASVNNKLLRTPMCLSQTVNSTSQDSDHQTEEEQDPVCKPVKDFLVKEADGCIQNGTILQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSENLKWVPEEKLPISSLKELRLRFFTPREVANFHSFPSSFWFPDHISFRQQYAMLGNSLSVAVVGPLLRYLFAEA >PAN29745 pep chromosome:PHallii_v3.1:5:15648612:15653537:1 gene:PAHAL_5G247300 transcript:PAN29745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAPWRVLEFYSGIGGMRYSLMASGARAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMQNMSYPPQMLFVENVVGFEVSDTHDQLLEVLSSLNFNLQEFILSPLQFGVPYSRPRYFCLAKREPMQFQNASVNNKLLRTPMCLSQTVNSTSQDSDHQTEEEQDPVCKPVKDFLVKEADGCIQNGTILQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSENLKWVPEEKLPISSLKELRLRFFTPREVANFHSFPSSFWFPDHISFRQQYAMLGNSLSVAVVGPLLRYLFAEA >PAN29190 pep chromosome:PHallii_v3.1:5:12647077:12649881:-1 gene:PAHAL_5G207500 transcript:PAN29190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKNHNHLATYHLCFLLVLSVAALSSVVSATDSSLCTIPSPAPEHVAAGNDKLPLIYSFRLSAGYFSGGEDIHFARDESGGDDDGSFLHARRSFTLLPLRVDRTTDATVVHVSATLRLSGGRALHAVAAHRRRDRFAGGAHSVSFHLDGYYSSTSAELCMIGSGTYAEGDDWLEHLPGVVLRLRVPSPPSLSDPFVTGQLKGAGFDAITLVAYAEGDLYKYGQHASCPPPPSTARSALQALGASFSCAHLKEQLVSSYKLQYGGGGGAHASSTSPAPLRLQEPRMHVGQVQCTPDGAVRVYATFSSSTNMFLAGQLRPGFMVNEAAVVAEGRWDSALSTLCLRACRVVRSGPAALAVQEQDCGIGMSFWFPSVWTVRDRSIVAGTLWNASHETDGSSAAAAAGAISASSIDFDASSIDFDSNRGNFSDVEYRYTMVDEAKQRYFADVLRSHRNKTKGRFPAATYSYRDFEFRFYMDKGNGGSGYGHGEAHPVTIGSAMVYGDRLAAADSFSRHAEVDMELELLTVSYNIHIRRVPPNLNPMRLNLTSPVAIEERVVTAEGVYDPRTGVLCMIGCQELAGGSMDCRTLITVQFASLDAKAQGHGRGVISSLRPKADPLFFDKMDVVLFGMYAEQVAESVSRMDMESVMLVVSMTLPCLFTALQILRAKRSPEASAATSITMLVLLALGYAAPLVIGSEALFVSRGTEYAPFLRRVPHELRQAMLRAPTLIAFVLQLRLLQLAWSARRSAAGRSKAETAAERRALLWVCLPMYLLGGALTVAHHASISRRAALEDSLAVRVGPEPATLWEDLASSTGLALDGFLLPQVAMNAFLSGGACAVSPWFYVGGTVVRAMPHVYDAIRARGYVPSVTPSNVYASPRDDRFGVAWDVAVPWGAALLAVLLFLQQRLGAFSFGSRRRSGEYEMASTQQQH >PAN26300 pep chromosome:PHallii_v3.1:5:115007:117178:-1 gene:PAHAL_5G001300 transcript:PAN26300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRRGWTLLRLRCAATPFGKLFNRTAASSSGCTSKLFVGGLSYDTNETALKDAFSRHGDVIAVKVICHPTTGKSKGFGFVTFSSQDEAAAAAHKMNGEVLDGRHIRVHYSNSG >PVH37436 pep chromosome:PHallii_v3.1:5:115007:117178:-1 gene:PAHAL_5G001300 transcript:PVH37436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRRGWTLLRLRCAATPFGKLFNRTAASSSGCTSKLFVGGLSYDTNETALKDAFSRHGDVIAGRLYAIQRRASQKDLALSRFLHKMKLQQQRTR >PAN30412 pep chromosome:PHallii_v3.1:5:19514348:19521748:-1 gene:PAHAL_5G280400 transcript:PAN30412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPPPTDAPAASGPGAGGSGRGLRRGVGFRSLKLVSVAMDEPLPANPVGATYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVKRFYRKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGQKAPAPLPPPVIPEFPVPSHEEPRFSCFVESEAAGSAVVISCKMPAGEIKTVKDYKDSLAESMFHCALNQRLFKISRRKDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARIRLHGFSDREISIVRALMMSEMESAYLERDQMQSTSLRDEFLQHFLREEPVVGIEYEAQLQKTLLPHISSAEVAKFAENFSTASSCVIKIVEPRAHASLEDLKAVVLKVNSLEEEKSIPPWDEEKIPEEIVAEAPEPGSIIDEVEHPGIGATEMILSNGMRICYKYTDFLDDQVIFTGFAYGGLSELSEAEYTSCSMGSTIAGEIGTFGYRPSVLMDMLAGKRAEVGTKVGAYMRTFSGDCSPSDLETALQLVYQLFTTNVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRVREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGKIDPAISLPLILQYLGGIPRVEDAAQPLSRDDLRGLPFKFPESIVREVVRSPMVEAQCFVQLAFPVVLKNTMMTEDIHYVGFLSKLLETKIMQVLRFKYGQIYSVNVAVFLGGNKPSRTGDVRGDISVNFSCDPEISSKLVDFVLEEISYLQAEGPSEEDVLTILEIEQRAHENGLQENYFWLDRILRSYQSRLFSGDIGSTFAFQEEGRMKVRETLTPQTMQSALRRVLPFPCRNQYTVVILMPKSSCWALVKSMLSWSSNGVSRDAKILAGMAGALVLAVSLWRYSRSTLKS >PAN30411 pep chromosome:PHallii_v3.1:5:19513740:19521905:-1 gene:PAHAL_5G280400 transcript:PAN30411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVKRFYRKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGQKAPAPLPPPVIPEFPVPSHEEPRFSCFVESEAAGSAVVISCKMPAGEIKTVKDYKDSLAESMFHCALNQRLFKISRRKDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARIRLHGFSDREISIVRALMMSEMESAYLERDQMQSTSLRDEFLQHFLREEPVVGIEYEAQLQKTLLPHISSAEVAKFAENFSTASSCVIKIVEPRAHASLEDLKAVVLKVNSLEEEKSIPPWDEEKIPEEIVAEAPEPGSIIDEVEHPGIGATEMILSNGMRICYKYTDFLDDQVIFTGFAYGGLSELSEAEYTSCSMGSTIAGEIGTFGYRPSVLMDMLAGKRAEVGTKVGAYMRTFSGDCSPSDLETALQLVYQLFTTNVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRVREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGKIDPAISLPLILQYLGGIPRVEDAAQPLSRDDLRGLPFKFPESIVREVVRSPMVEAQCFVQLAFPVVLKNTMMTEDIHYVGFLSKLLETKIMQVLRFKYGQIYSVNVAVFLGGNKPSRTGDVRGDISVNFSCDPEISSKLVDFVLEEISYLQAEGPSEEDVLTILEIEQRAHENGLQENYFWLDRILRSYQSRLFSGDIGSTFAFQEEGRMKVRETLTPQTMQSALRRVLPFPCRNQYTVVILMPKSSCWALVKSMLSWSSNGVSRDAKILAGMAGALVLAVSLWRYSRSTLKS >PVH37989 pep chromosome:PHallii_v3.1:5:8608352:8615372:-1 gene:PAHAL_5G144000 transcript:PVH37989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYNNYVVLGNNLTIQGVGLAPGTDGDSMYTLITAPHALKNNTVSPTEMSLGECQDSSRLDADLIKGKILVCSYSIRFVLGLSSVKQALDTANNVSAAGVIFYLDPFVLGFQLNPTPMRMPGLIIPSSDDSKVFLTYYNDSLVRDETSGQIVSFGGVAKILGGLNPNYGNSAPKVMFYSARGPDPEDNSLSNADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFRSFSPAAIASALSTTTTLSDRQGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGIIFDCGYNDFFSFLCGINGSNPVVTNYTGNSCAASTMTGADLNLPSITIAVLNQTRTITRTVTNVAADESYTVSYSAPYGTVVSVAPAQFVIPSGQKQLVTFVVNATMNSSTASFGSIGFYGDKGHRAIIPFSVISTVVYSS >PVH37990 pep chromosome:PHallii_v3.1:5:8608353:8615378:-1 gene:PAHAL_5G144000 transcript:PVH37990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARRKEHRAAAAACLFAVVVLGVLAGGAGVGAFEDGTAVYIITMKQAPVFHRRLNLEKFGSSRVANAGGGRGAASGGGGGGGAGDTPSTSVLRKPRHGSPKPMNYVSYLIRLQNLLLKKTLRGEHYIKLYSYRYLVNGFAVVITPQQADKLSRRNEVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYNNYVVLGNNLTIQGVGLAPGTDGDSMYTLITAPHALKNNTVSPTEMSLGECQDSSRLDADLIKGKILVCSYSIRFVLGLSSVKQALDTANNVSAAGVIFYLDPFVLGFQLNPTPMRMPGLIIPSSDDSKVFLTYYNDSLVRDETSGQIVSFGGVAKILGGLNPNYGNSAPKVMFYSARGPDPEDNSLSNADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFRSFSPAAIASALSTTTTLSDRQGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGIIFDCGYNDFFSFLCGINGSNPVVTNYTGNSCAASTMTGADLNLPSITIAVLNQTRTITRTVTNVAADESYTVSYSAPYGTVVSVAPAQFVIPSGQKQLVTFVVNATMNSSTASFGSIGFYGDKGHRAIIPFSVISTVVYSS >PAN28305 pep chromosome:PHallii_v3.1:5:8608393:8614986:-1 gene:PAHAL_5G144000 transcript:PAN28305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARRKEHRAAAAACLFAVVVLGVLAGGAGVGAFEDGTAVYIITMKQAPVFHRRLNLEKFGSSRVANAGGGRGAASGGGGGGGAGDTPSTSVLRKPRHGSPKPMNYVSYLIRLQNLLLKKTLRGEHYIKLYSYRYLVNGFAVVITPQQADKLSRRNEVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYNNYVVLGNNLTIQGVGLAPGTDGDSMYTLITAPHALKNNTVSPTEMSLGECQDSSRLDADLIKGKILVCSYSIRFVLGLSSVKQALDTANNVSAAGVIFYLDPFVLGFQLNPTPMRMPGLIIPSSDDSKVFLTYYNDSLVRDETSGQIVSFGGVAKILGGLNPNYGNSAPKVMFYSARGPDPEDNSLSNADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFRSFSPAAIASALSTTTTLSDRQGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGIIFDCGYNDFFSFLCGINGSNPVVTNYTGNSCAASTMTGADLNLPSITIAVLNQTRTITRTVTNVAADESYTVSYSAPYGTVVSVAPAQFVIPSGQKQLVTFVVNATMNSSTASFGSIGFYGDKGHRAIIPFSVISTVVYSS >PAN28306 pep chromosome:PHallii_v3.1:5:8608396:8614986:-1 gene:PAHAL_5G144000 transcript:PAN28306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYNNYVVLGNNLTIQGVGLAPGTDGDSMYTLITAPHALKNNTVSPTEMSLGECQDSSRLDADLIKGKILVCSYSIRFVLGLSSVKQALDTANNVSAAGVIFYLDPFVLGFQLNPTPMRMPGLIIPSSDDSKVFLTYYNDSLVRDETSGQIVSFGGVAKILGGLNPNYGNSAPKVMFYSARGPDPEDNSLSNADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFRSFSPAAIASALSTTTTLSDRQGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGIIFDCGYNDFFSFLCGINGSNPVVTNYTGNSCAASTMTGADLNLPSITIAVLNQTRTITRTVTNVAADESYTVSYSAPYGTVVSVAPAQFVIPSGQKQLVTFVVNATMNSSTASFGSIGFYGDKGHRAIIPFSVISTVVYSS >PAN28307 pep chromosome:PHallii_v3.1:5:8608327:8615578:-1 gene:PAHAL_5G144000 transcript:PAN28307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARRKEHRAAAAACLFAVVVLGVLAGGAGVGAFEDGTAVYIITMKQAPVFHRRLNLEKFGSSRVANAGGGRGAASGGGGGGGAGDTPSTSVLRKPRHGSPKPMNYVSYLIRLQNLLLKKTLRGEHYIKLYSYRYLVNGFAVVITPQQADKLSRRNEVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYNNYVVLGNNLTIQGVGLAPGTDGDSMYTLITAPHALKNNTVSPTEMSLGECQDSSRLDADLIKGKILVCSYSIRFVLGLSSVKQALDTANNVSAAGVIFYLDPFVLGFQLNPTPMRMPGLIIPSSDDSKVFLTYYNDSLVRDETSGQIVSFGGVAKILGGLNPNYGNSAPKVMFYSARGPDPEDNSLSNADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFRSFSPAAIASALSTTTTLSDRQGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGIIFDCGYNDFFSFLCGINGSNPVVTNYTGNSCAASTMTGADLNLPSITIAVLNQTRTITRTVTNVAADESYTVSYSAPYGTVVSVAPAQFVIPSGQKQLVTFVVNATMNSSTASFGSIGFYGDKGHRAIIPFSVISTVVYSS >PVH39553 pep chromosome:PHallii_v3.1:5:59132124:59136332:-1 gene:PAHAL_5G535300 transcript:PVH39553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKLLQLFCVSKKDSKKKGKSIDPLWRASAPHSSLSVTANKSRLDPCSSRHECSSMISSLARTEHVTGSNDYMSFNQFDVVQDFSDHHYAKTSPGKATKDWVKAIQSEWNLLQKNLPESIYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTTAGEKNSLVYNQTAFVLSCKTMLYSLRKPPKHFETLVAGHFHDREHAFLDTCSAYMSGTVVGSSAGSSDRYACDKCFADFKKSLTLYTEHLRTEFAANRSRLLELERQASAVDEIVPTS >PVH39554 pep chromosome:PHallii_v3.1:5:59132185:59137005:-1 gene:PAHAL_5G535300 transcript:PVH39554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKLLQLFCVSKKDSKKKGKSIDPLWRASAPHSSLSVTANKSRLDPCSSRHECSSMISSLARTEHVTGSNDYMSFNQFDVVQDFSDHHYAKTSPGKATKDWVKAIQSEWNLLQKNLPESIYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTTAGEKNSLVYNQTAFVLSCKTMLYSLRKPPKHFETLVAGHFHDREHAFLDTCSAYMSGTVVGSSAGSSDRYACDKCFADFKKSLTLYTEHLRTEFAANRSRLLELERQASAVDEIVPTS >PAN33075 pep chromosome:PHallii_v3.1:5:59132124:59136335:-1 gene:PAHAL_5G535300 transcript:PAN33075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKLLQLFCVSKKDSKKKGKSIDPLWRASAPHSSLSVTANKSRLDPCSSRHECSSMISSLARTEHVTGSNDYMSFNQFDVVQDFSDHHYAKTSPGKATKDWVKAIQSEWNLLQKNLPESIYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTTAGEKNSLVYNQTAFVLSCKTMLYSLRKPPKHFETLVAGHFHDREHAFLDTCSAYMSGTVVGSSAGSSDRYACDKCFADFKKSLTLYTEHLRTEFAANRSRLLELERQASAVDEIVPTS >PVH37877 pep chromosome:PHallii_v3.1:5:6657982:6662567:1 gene:PAHAL_5G110600 transcript:PVH37877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDRAAASMGVHGRSMGWYLGPPESPAPGSAVAGAQHALSSSPGSGDASFDTNMVIILAALLFALLFALGLNQLARCLIRWARRASEAEAGAGAGGRGRGLKRRALRSIPVEVYGACGADGAAAVAADVCAICLGEFADGEKVRVLPRCAHGFHVRCVDTWLLSHDSCPTCRGTVLEAAEPGKAKAASSAAGGSRRQGSEAAAIAVAFGEVIRRMGTVGDPWAEALSSLTAAVLPALRAPIPAPACRLQPHWEMDRDGHDGYPDNLLQPDPATCFDLFSQAVFSDPVIHDPQRGMAALDLNSQMDFPYMDQYQQIHQPTLGSGGATMKIPSVRPQCAARSAQGGRGGGQVGEGIARSRDFGAHPRGHGIGGRGVDSCGSVHGSRVGLRAARSGSDSAGPAGSNAADDWDGDDDDLEEVAQGSSNVSLSFTSH >PAN28001 pep chromosome:PHallii_v3.1:5:7441333:7445618:-1 gene:PAHAL_5G123900 transcript:PAN28001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSSGVVPPLLVLLGLFGAAASPSPPPPEMLHGSYAGKSEFRTVNRKELQSCLNPSPYLTINVSTGGAPLPDEALLEVTVAGVLRPDASDWVAMITPSNSSVSGCPLSGVNYVETGDLANLPLLCHYPVKAQYLTSDPGYMGCKNAGCGKRDASGACKARTCAATLTFHVVNFRTDVEFVLFSGGFKTPCLLKRSGALRFANPSSPLYGHLSSTDSKATSMRLTWVSGDGNPQQVQYGDGKSSTSEVATFTQEDMCSISVLPSPAKDFGWHDPGYIHSSVMTGLQPSQSYSYRYGSDSVGWSDTIKFRTPPAAGSDELSFVIYGDMGKAPLDPSVEHYIQPGSISVAKAVAKEIQTGKIDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYANSASVYVTPDSGGECGVAYESYFPMPAVSKDKPWYSIEQGSVHFIVMSTEHEWSEKSEQYNWMDEDLSSIDRSRTPWVIFIGHRPMYSSHGGILPNVDSNFVASVEPLLLNYQVDLVFFGHVHNYERTCAVYQGNCNGMPTKDANGIDVYDNSNYTAPVHAIVGAGGFSLDNFPNNGETWSLSRVSEFGYARVHATRTDMLVQFVNSSTTEVRDQFRIVKGSSAKKSPSLIIQQ >PAN31617 pep chromosome:PHallii_v3.1:5:52438784:52443353:-1 gene:PAHAL_5G431600 transcript:PAN31617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPLSLSKPVLSLFFLLLRKLAGRHHRGRMAAMTKPSPPPPPLPGLDRLHGRTLVVDVEAWILRPPVCAFPYFMLVAIEAGGFLRGLLLLLLYPLLCLLGDGARARAMATVALVGLEEKEVARVGRAVLPKFFLEAAAAEGVAAVQAAARVVAVSATFPRVMLDGFLREYVGVDAVVGPEVRSVGGVLAGLVDEEHAAEMAAKRLRALFGAELEEAGKKGAVGLVGAASSGRVHYLFSPYYCKETFAVGEADTRGWRPLPRDRYPRPLVFHDGRLAFAPTPPAALAMYTFLPFGIALVAFRSIALSFLPYRICFPVGALTGMHYRLVAGHVPCGAGGGGGRLYVCNHRTLLDPVFVAAALGKPVTAVTYSLSPVSELLAPIRTARLTRDREKDRRNMAAVLARGDLVVCPEGTTCREEYLLRLSPLFAELGVDVNPVALDTRVGMFYGTSTKPGAKWMDPFYFMMNPRPAYRVEFLPRAAASRGGRGDSIDVANRVQRELGRALGFELTGLTRKDKYMTLAGNEGVVPPAP >PAN27758 pep chromosome:PHallii_v3.1:5:6359910:6364527:-1 gene:PAHAL_5G104800 transcript:PAN27758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAADSTFDQAPNPAPDKSVSEAVKAPTHPDIGEPNAEAADEEYEEEEELDGPAAEAAEREKIEAVFQRLSDAPVGIRVHDVIIEGNTKTRDALIEAEVVELIRSAATVQDLVRAAIIANARLRGLEVFDSVHVTLDAGPPELPGTTNVHIEVVEANPIDASVGFFSKPEAKSWSVEGSLRLENIFGYGDIWNASGAYGWDQSSEIGVGVSLPRFRSIPTPLMARASLLSHDWLEFSSYKECLLGLSFGLLSTMHHNLSYKLTWRTLTDPSQMASKSIRKQLGHNLLSAMKYTYTIDQRDSHLRPTKGYAFVSTSQVGGLWGSKGLRFFRQEFDIRGAVPFGFYNAALNAGISAGVVLPLGRGFWGSPSSVPDRFFLGGHSSPVCSLGGLTSLLGFKTRAIGPTEVRRFVPSESVMDDSADSPGQDYLGGDFAVSAFADLSFDLPLKLFRDAGIHGHAFLTAGNLAKLSESEFRNFSFSEFGRAFRSSAGVGIIIPTMLFRVEVNYCCILKQFEHDLGKTGIQFSFSSPM >PAN27488 pep chromosome:PHallii_v3.1:5:5174978:5181657:-1 gene:PAHAL_5G084300 transcript:PAN27488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLEEAIVADGNAKEEEVGVMGIAGAGDGDEQHGGGKFSMKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQIFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVAHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLNHSNAFSLLPKNGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVVNVFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAAPLPHH >PAN27489 pep chromosome:PHallii_v3.1:5:5174980:5181608:-1 gene:PAHAL_5G084300 transcript:PAN27489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLEEAIVADGNAKEEEVGVMGIAGAGDGDEQHGGGKFSMKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQIFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVAHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLNHSNAFSLLPKNGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVVNVFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAAPLPHH >PVH39469 pep chromosome:PHallii_v3.1:5:57373989:57377418:-1 gene:PAHAL_5G509500 transcript:PVH39469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFDGRAADPGSYRDRRSEGAFGGGSKAFAAPSKADASAAAELDGLPRFEKNFYVESPAVAGMTEDEVEVYRRRREITVEGRDVPKPVRDFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKCTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQMKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVTIGSEELKANHSIVQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELINILEEAGQKVSSELAAMGRGAPPPSGYRDRYRGHGAGRSWS >PAN32755 pep chromosome:PHallii_v3.1:5:57372011:57377666:-1 gene:PAHAL_5G509500 transcript:PAN32755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFDGRAADPGSYRDRRSEGAFGGGSKAFAAPSKADASAAAELDGLPRFEKNFYVESPAVAGMTEDEVEVYRRRREITVEGRDVPKPVRDFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKCTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQMKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVTIGSEELKANHSIVQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELINILEEAGQKVSSELAAMGRGAPPPSGYRDRYRGHGAGRSWS >PAN30177 pep chromosome:PHallii_v3.1:5:34357361:34361472:1 gene:PAHAL_5G334400 transcript:PAN30177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTAVELGRRHGDARFYDAARARRGHQRGSPKSRWSPAADAAQEKVPSPPAAPGVSGNLERFVAAVTPSVPAQYPSKRASRGWRTSGVDGDQDRPYFVLRDVWEAYSEWSAYGAGVPLMLDGCDGVVQYYVPYLSAIQLYGDPAVLRAPTSTRHMMNDSDGDSHDSSSDASSDCGHGRLKHFTQEGFSSDDGESGDPHDRLLFQYLEFDSPFCREPLTDKVSSLSARFPGLKSLKSCDLSLRSWISVAWYPIYRIPTGPTLKDLDACFLTFHRLSTCSGDHVGVIQYPWGGDSTANVSLPVFGMASYKFSKSVWSPNDGDWQLASVLLQAAADWLRDSRASHPDYQFFVSRGAYNR >PAN27859 pep chromosome:PHallii_v3.1:5:6819070:6823292:-1 gene:PAHAL_5G113200 transcript:PAN27859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 6 [Source:Projected from Arabidopsis thaliana (AT5G01990) UniProtKB/Swiss-Prot;Acc:Q9LZN2] MMERSLMEALATAAQGGTSGTSVLSMLKYAVLPIAKVFTVCFMGFLMASKYINILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMIQWWYIPVNIIVGAVSGSLIGFVVASIIRPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCNQDGNAYISFGQWVGAIIVYTYVFKMLAPPPGRTFDGSEDDELPVKGSEENVVPQLAKYPIPTSTHTNTVPEDEPLLSAGEVQKECATSVGSKIMGHVKCVIKFLKDKQLLQPPIIASAFAIVIGIVPFLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLILVPLAGVGIILLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIFYLSLLF >PAN29380 pep chromosome:PHallii_v3.1:5:13650011:13651560:-1 gene:PAHAL_5G222100 transcript:PAN29380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASDRCCAPPSTTRGRSLDRRPPPLRCSPPGAAAPARVFPPPPLSFPSPKPCRPPSSPPPVVGVQIWPPFRRIWVPPPRGCRRGAALPDSPAPPSVPSVLRSVSPAVAGACFRSRWPPPSPLEPRLQCQGVAVAPSGALSGAAPVLGGGICRPLVAGPVAGPRPPRWGRLLYRPDAAGAGGRRPFARLRRGRCWCIRGWIEILCR >PVH39230 pep chromosome:PHallii_v3.1:5:54357458:54359965:1 gene:PAHAL_5G460700 transcript:PVH39230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSHKRAREAADLAAAGEGALPEADAKRLRPEDLLDLLDDDADAAAAGDLASVMRSLEEEIGSFDEAGAEAVAPPAHQPELGFLLEASDDELGLPPAGASSSEEEAGAAGGPDVPAGFDGQIWGFEDEIDGGFGGYSPEAAAAAAAAAAWDDDGFDAGLFAFGDDACGPSDLAALRHETMPAV >PAN28600 pep chromosome:PHallii_v3.1:5:10010303:10012615:1 gene:PAHAL_5G164800 transcript:PAN28600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGISRSLARRGPPFPLAATAAAAAAAMSSSSTRANVSDRPISPDTTRVAWVGTGVMGQSMAGHLLGAGYALTVFNRTASKAQGLVSRGASLADSPRAAAAAADVIFLMVGFPSDVRYTALDPSTGALAGLAPGGVLVDMTTSDPTLAAEIAAAAAAAGCSAVDAPVSGGDRGARNATLSIFAGGDAALVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLELYGKRILERDMAAGFYVRHFVKDLGICLSECQAMGLSLPGLALAQQLYVSLIAHGEGGLGTQALILAIERLNNTSLEKD >PAN27143 pep chromosome:PHallii_v3.1:5:3854679:3860439:1 gene:PAHAL_5G061300 transcript:PAN27143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALRLLLAFLAIGSCIAADNIDLWPMPKTVSHGTQKLYIKKDITMSMVGSTYSDEKSILKDAFQRMVDLITLNHAIDGINPSSLVLTCVNIVVHTPEDELKFGADESYNLTVPTTGDPLYAQIEAQTVFGALHGLQTFGQLCYFDFTSRLIGLNSAPWIITDTPRFPYRGLLIDTARHYLPVKIIKGVIDAMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDATDIVRYAERRGVNVLAEIDVPGHARSWGVGYPSLWPSDSCREPLDVSKNFTFEVIDGILSDFSKVFKFKFAHLGGDEVNTSCWTKTPHIEGWLNNNHMNVSDAYRDFVLKSQKLAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDASWEGFYMNEPLKGIDDTKQQQLVIGGEVCMWGEEIDASDIEQTIWPRAAAAAERLWTPIEKLANDTRLVTSRLARFRCLLNQRGVAAAPLAGYGRASPAEPGPCVRQ >PAN27144 pep chromosome:PHallii_v3.1:5:3855138:3860439:1 gene:PAHAL_5G061300 transcript:PAN27144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALRLLLAFLAIGSCIAADNIDLWPMPKTVSHGTQKLYIKKDITMSMVGSTYSDEKSILKDAFQRMVDLITLNHAIDGINPSSLVLTCVNIVVHTPEDELKFGADESYNLTVPTTGDPLYAQIEAQTVFGALHGLQTFGQLCYFDFTSRLIGLNSAPWIITDTPRFPYRGLLIDTARHYLPVKIIKGVIDAMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDATDIVRYAERRGVNVLAEIDVPGHARSWGVGYPSLWPSDSCREPLDVSKNFTFEVIDGILSDFSKVFKFKFAHLGGDEVNTSCWTKTPHIEGWLNNNHMNVSDAYRDFVLKSQKLAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDASWEGFYMNEPLKGIDDTKQQQLVIGGEVCMWGEEIDASDIEQTIWPRAAAAAERLWTPIEKLANDTRLVTSRLARFRCLLNQRGVAAAPLAGYGRASPAEPGPCVRQ >PAN27145 pep chromosome:PHallii_v3.1:5:3854679:3860439:1 gene:PAHAL_5G061300 transcript:PAN27145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALRLLLAFLAIGSCIAADNIDLWPMPKTVSHGTQKLYIKKDITMSMVGSTYSDEKSILKDAFQRMVDLITLNHAIDGINPSSLVLTCVNIVVHTPEDELKFGADESYNLTVPTTGDPLYAQIEAQTVFGALHGLQTFGQLCYFDFTSRLIGLNSAPWIITDTPRFPYRGLLIDTARHYLPVKIIKGVIDAMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDATDIVRYAERRGVNVLAEIDVPGHARSWGVGYPSLWPSDSCREPLDVSKNFTFEVIDGILSDFSKVFKFKFAHLGGDEVNTSCWTKTPHIEGWLNNNHMNVSDAYRDFVLKSQKLAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDASWEGFYMNEPLKGIDDTKQQQLVIGGEVCMWGEEIDASDIEQTIWPRAAAAAERLWTPIEKLANDTRLVTSRLARFRCLLNQRGVAAAPLAGYGRASPAEPGPCVRQ >PAN32168 pep chromosome:PHallii_v3.1:5:54867185:54870964:-1 gene:PAHAL_5G468900 transcript:PAN32168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MGPTTRAQFVYNRRRRRSGGRHAVEEASDEEEQRQDSSSSSSDEEDEAEASGEEVADDDDDDEEVEEEAAVVEAAAKEHPAAAAAGEKRGGRKGRITISLKKVCKVCKRTGHEAGFKGAVYIDCPMKPCFLCKMPGHTTLTCPHRVAMEHGVIPAPRRNINTSLDYVFQSQVKGKISMVKPKFLIPNQLECGNIKFHQRRVTCLEFHPTRNNVLLSGDKKGLLGIWDYVKLHEKITYDSVHSCILNSMKIDNANDGILYTASSDGTISCTDLDTGIGSPLLNLNPNGWNGPSSWRMIYGMDLNTDKGLILVADNFGFLYFLDRRSKTRIGHPILIHKKGSKVTTLHCSPAHPEVLLSSGNDHYARIWDTRKLEANSPLASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGDLQSPSREIVHSHDFNRHLTPFKAEWDPKDYTETVAVVGRYISENYNGVALHPIDFIDTSSGKLLAEVMDPDITTISPVNKLHPQDDILATGSSRSIFIWKPKTEDELTEERTKQKAKEYVYGSGSRKKTNGKHDNSSDDDSDGDSGRKNKKTKKTRFTCITKGKGKSKV >PVH39280 pep chromosome:PHallii_v3.1:5:54866833:54871129:-1 gene:PAHAL_5G468900 transcript:PVH39280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MGPTTRAQFVYNRRRRRSGGRHAVEEASDEEEQRQDSSSSSSDEEDEAEASGEEVADDDDDDEEVEEEAAVVEAAAKEHPAAAAAGEKRGGRKGRITISLKKVCKVCKRTGHEAGFKGAVYIDCPMKPCFLCKMPGHTTLTCPHRVAMEHGVIPAPRRNINTSLDYVFQSQVKGKISMVKPKFLIPNQLECGNIKFHQRRVTCLEFHPTRNNVLLSGDKKGLLGIWDYVKLHEKITYDSVHSCILNSMKIDNANDGILYTASSDGTISCTDLDTGIGSPLLNLNPNGWNGPSSWRMIYGMDLNTDKGLILVADNFGFLYFLDRRSKTRIGHPILIHKKGSKVTTLHCSPAHPEVLLSSGNDHYARIWDTRKLEANSPLASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGDLQSPSREIVHSHDFNRHLTPFKAEWDPKDYTETVAVVGRYISENYNGVALHPIDFIDTSSGKLLAEVMDPDITTISPVNKLHPQDDILATGSSRSIFIWKPKTEDELTEERTKQKAKEYVYGSGSRKKTNAAILTRIQPFTSPT >PVH39416 pep chromosome:PHallii_v3.1:5:56432043:56432652:1 gene:PAHAL_5G496500 transcript:PVH39416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFASVHLSLSLSLSFCRPASAWRCRAKAAQGRQPDWPDARARGGGVAPQLSAGAYVWARGHSQAIRGGNVVCRRKTTARCEARPARMRVLLASLCAGQRGACASSLAARVGPPPSLVTPLPSCRRDGNWGGCGNASCARGDPGTIWAASSDRRSPGCEATELAEVAGGGAGSPATPAPPWSICEPPGY >PVH38088 pep chromosome:PHallii_v3.1:5:10569006:10574403:-1 gene:PAHAL_5G175200 transcript:PVH38088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVVAIAAGEVHTLALTGDGEVYSWGRGPFGRLGTGREDDELVPTAVAPADGARGGRPRPRFVAVAAGAYHSLALDDEGSLWSWGYNLYGQLGYGDQNSLFPCLIDQFQDLDPPETLDDEAQGAHDWTSLKLSSIKAGGMMSFAIDSLGALWMWGNCPQRTDAREFCIASSSVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEESSWEVYEIACGASHTAVLTNKKSFDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDAGDALYPIRVQSPETNGFKFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPIGENFQEIHEGQAEASTSRVNDRTSTEFEQKLSAASDELQFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDAADTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQQCIISLSAGRQTPRDQ >PVH38089 pep chromosome:PHallii_v3.1:5:10569006:10574651:-1 gene:PAHAL_5G175200 transcript:PVH38089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVVAIAAGEVHTLALTGDGEVYSWGRGPFGRLGTGREDDELVPTAVAPADGARGGRPRPRFVAVAAGAYHSLALDDEGSLWSWGYNLYGQLGYGDQNSLFPCLIDQFQDLDPPETLDDEAQGAHDWTSLKLSSIKAGGMMSFAIDSLGALWMWGNCPQRTDAREFCIASSSVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEESSWEVYEIACGASHTAVLTNKKSFDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDAGDALYPIRVQSPETNGFKFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPIGENFQEIHEGQAEASTSRVNDRTSTEFEQKLSAASDELQFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDAADTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQQCIISLSAGRQTPRDQ >PAN26427 pep chromosome:PHallii_v3.1:5:796500:804525:1 gene:PAHAL_5G011600 transcript:PAN26427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLRPPPQPATAAAAAAASLSSFLPSPSFRGLLPSTSWRSPPRRRLSTTATASSKDSRGRLDSERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYALEMKNIVESTENLFIREAMATEVLIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGREIGLIDDRRWELYQSKQARIKQEKERLKSTKVPGGELAAEVSAVSNQPVKDSSTLEAILKKPHVLYKLLDKHGYGNENLSRIEKECVEIDIKYEGFIARQQSQLHQIVNQEHRKLPEDLDYHSMKNLSIEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESKRRMANHRRQQDQLRSAAVKLDDSSEEVAHASAA >PAN26428 pep chromosome:PHallii_v3.1:5:796500:804525:1 gene:PAHAL_5G011600 transcript:PAN26428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLRPPPQPATAAAAAAASLSSFLPSPSFRGLLPSTSWRSPPRRRLSTTATASSKDSRGRLDSERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYALEMKNIVESTENLFIREAMATEVLIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGREIGLIDDRRWELYQSKQARIKQEKERLKSTKVPGGELAAEVSAVSNQPVKDSSTLEAILKKPHVLYKLLDKHGYGNENLSRIEKECVEIDIKYEGFIARQQSQLHQETS >PAN26461 pep chromosome:PHallii_v3.1:5:796500:804525:1 gene:PAHAL_5G011600 transcript:PAN26461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLRPPPQPATAAAAAAASLSSFLPSPSFRGLLPSTSWRSPPRRRLSTTATASSKDSRGRLDSERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYALEMKNIVESTENLFIREAMATEVLIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTRR >PAN28313 pep chromosome:PHallii_v3.1:5:8638746:8640453:1 gene:PAHAL_5G144400 transcript:PAN28313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARADLPACLSARHRASRPTLTAAGRGKGPKAPRPRGKRGAKKGGRVASREPWRGVRCRAPSPAATGFSCANPFRDRVKPRTIRPRALPSLIRHSSPEPDSDGRRGTGRACRLRLPLRPCPDQDRPRQRTAPPGLRARAALIPRVGSGTRPRGRASTPTHVGRSTSGAGGGGGVGWQGRRKESEAARGVRTPRGPGRAPRACAARRAVHAAPTDRYTERTHQRPPRRTSRGGRPSRRARGPGAGISPVPTAWCLGGRLLPRFRHVCGF >PAN33141 pep chromosome:PHallii_v3.1:5:59417374:59418306:1 gene:PAHAL_5G539200 transcript:PAN33141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSPSPPQDGDHHGRRPLDLLDEYWFFSNTLANSNKPPRLPRSSAKDQREQQKQGSTTSAGPSRLLHASGGRRLLRTPSLPSPHVGMMEEMPKDKDDDLLLVAGSGGANREQQHQPPEQVEVDDDDLNWSSIYEGVLRTRMAEGGASGRSALRRAPSMPVPSSSATDHDHNQITKSTATPPSISRAGESRRRRGEVRSLSARSRSVFQDKKWRSSSDLESIEVQGFRDLGFVFDKEELRESLAGVLPGLKQAKSSRSRSSGGRPYLSEAWQQRPPALVRVQSEARSAAEMKDQLRMWAQAVACNVRQEC >PAN31988 pep chromosome:PHallii_v3.1:5:53940471:53941399:-1 gene:PAHAL_5G455000 transcript:PAN31988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASCELPAAARCAGAAAGRSGRRPSLTTAAPALTAIKKPAAASPPPRAVRCRCSSSRRDDDAEFGCSGGGGLVDEGMVVLRRRIHEMRAAESNWEPPEEWAAWEKEWYGTYDADVCELVGALQAFLVSSRPGVGVGLLAVLVLAVPASAFALVSHLLDASRAIITSLHH >PAN27540 pep chromosome:PHallii_v3.1:5:5418305:5421943:1 gene:PAHAL_5G087800 transcript:PAN27540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MTPSGQLLPLTRPPPPPTAPPVLSSRRSRCLPPARAHARGSPPPLWRAHRLHDRFLHPTPQFRAPARAPRPPTPPGVSAAGGGEAQAAAVAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLMSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAGRSIWLFLFTRVLLGIAEGVALPSMNNMVLRWFPHTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRTGIFGPFVIFGLFGFLWVLVWIPAISGTPGEHAQISAYELEYITKDQKLVKPQIGSAKTKKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLRDAAWFSALPWVMMAVLGYVAGLVSDMLIRNGTNITLTRKIMQSIGFLGPGIALLGLNAAKSPIIASAWLTVAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSALFWDIFATGERVDFDGTG >PAN28413 pep chromosome:PHallii_v3.1:5:9088899:9090904:-1 gene:PAHAL_5G151300 transcript:PAN28413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAMVEDTDMPAKMQAQAMAAASRALDRFDVLDCRSIAAHIKKEFDTIHGPGWQCVVGSSFGCYFTHSKGSFIYFRLESLRFLIFKGAAA >PVH39024 pep chromosome:PHallii_v3.1:5:51751655:51752081:-1 gene:PAHAL_5G421600 transcript:PVH39024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKFNSQEWSLPGASTMKELEKYHLTGAFSGSMHNMSVPIQPVNLAVTASFHQHPTEDPEVQEVDKSAVANNKKKKKVSQQGKAFSNEEDRAICSAFLHVSTDPIIGANQSAAG >PAN27340 pep chromosome:PHallii_v3.1:5:4659015:4666792:-1 gene:PAHAL_5G075300 transcript:PAN27340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSQAVAGGESPAELLFRAAAMVPAERYALAAIAVVSVFLYRFLELHVVGDVLRGFRGGRVELTFHPASEIYHRVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVRDGGTIALDWLLASDLEDADGFISKDDSTPLVVVVPGLTSDSAAAYVKHLVYSIASKGWNVVVSNHRGLGGVSITSDCFYNGGWTEDVREVIKYLHHRYPKTPLFCIGTSIGANIVIGDRFISRKLVQRIYDKALAIGLKGYAKLHQPVLARLANWEGIKKSRSIREFDHHATCVVASYETVDTYYRRCSSASFVGNVSVPLLCINALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAASEFLFALHESSYMHWQKANDHVLHSSLESSIDKSPYVNIMEDGMVAPVTKDGPSNNDGSPSDHEVDGVQLSNGAGDRQQLEVSGDKHNEHVSEAGNESPAGSANRQGDDIYSNKLHEIIAPVKRSINQLTRHQGRSIWLLAYIAFVTSWPLLGSLAFITFRKKFRNPLRAK >PAN27341 pep chromosome:PHallii_v3.1:5:4659244:4666413:-1 gene:PAHAL_5G075300 transcript:PAN27341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSQAVAGGESPAELLFRAAAMVPAERYALAAIAVVSVFLYRFLELHVVGDVLRGFRGGRVELTFHPASEIYHRVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVRDGGTIALDWLLASDLEDADGFISKDDSTPLVVVVPGLTSDSAAAYVKHLVYSIASKGWNVVVSNHRGLGGVSITSDCFYNGGWTEDVREVIKYLHHRYPKTPLFCIGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLLIGDRFISRKLVQRIYDKALAIGLKGYAKLHQPVLARLANWEGIKKSRSIREFDHHATCVVASYETVDTYYRRCSSASFVGNVSVPLLCINALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAASEFLFALHESSYMHWQKANDHVLHSSLESSIDKSPYVNIMEDGMVAPVTKDGPSNNDGSPSDHEVDGVQLSNGAGDRQQLEVSGDKHNEHVSEAGNESPAGSANRQGDDIYSNKLHEIIAPVKRSINQLTRHQGRSIWLLAYIAFVTSWPLLGSLAFITFRKKFRNPLRAK >PAN27339 pep chromosome:PHallii_v3.1:5:4659015:4665474:-1 gene:PAHAL_5G075300 transcript:PAN27339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAERERKRKQLYTVRDGGTIALDWLLASDLEDADGFISKDDSTPLVVVVPGLTSDSAAAYVKHLVYSIASKGWNVVVSNHRGLGGVSITSDCFYNGGWTEDVREVIKYLHHRYPKTPLFCIGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLLIGDRFISRKLVQRIYDKALAIGLKGYAKLHQPVLARLANWEGIKKSRSIREFDHHATCVVASYETVDTYYRRCSSASFVGNVSVPLLCINALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAASEFLFALHESSYMHWQKANDHVLHSSLESSIDKSPYVNIMEDGMVAPVTKDGPSNNDGSPSDHEVDGVQLSNGAGDRQQLEVSGDKHNEHVSEAGNESPAGSANRQGDDIYSNKLHEIIAPVKRSINQLTRHQGRSIWLLAYIAFVTSWPLLGSLAFITFRKKFRNPLRAK >PAN32867 pep chromosome:PHallii_v3.1:5:57919449:57921675:-1 gene:PAHAL_5G517600 transcript:PAN32867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVETSSPEQHEDAGKAAAAATRSYWRWHKDDFFPEPSFASWGAYRRALGATPARLRDRFAGRSTDAAELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEARDHAGPAILLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASLINRPASALRIQAPSLVEGYNELDPIAVVVIAVTAALAMLSAKGTSRVNWVASAVHVLVIGFVIVAGFIHANPSNLRPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFSSVGMHWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATALIAVASACIALFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTTRAHARRFAALLLLVIASSAGIAAYWGTSPERWQGYVVLVPAWAAGTLGIQLLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGKDAFIRFGVCTAVMLVYYVLVGLHATYDVAHGACAGEEEDEFAAADDDKKVAAADVEKADAEDGVR >PAN29202 pep chromosome:PHallii_v3.1:5:12692667:12694724:-1 gene:PAHAL_5G208200 transcript:PAN29202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNFGDSMGWSSSGRSSGSRRGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFHPLSQPPSLIHRTGSLNLMAYGERSGDLRYGEFQAPIIRSPSSSAIYGAPHYAHPNNITLPLFEPQESTRLRGHHDRSRSADSTSMNSDDPQDVDLELKL >PAN29201 pep chromosome:PHallii_v3.1:5:12693090:12694640:-1 gene:PAHAL_5G208200 transcript:PAN29201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNFGDSMGWSSSGRSSGSRRGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFHPLSQPPSLIHRTGSLNLEDARASTSSLSSSPSSSFYATAVSSPFPVNPNFSMAYGERSGDLRYGEFQAPIIRSPSSSAIYGAPHYAHPNNITLPLFEPQESTRLRGHHDRSRSADSTSMNSDDPQDVDLELKL >PVH38202 pep chromosome:PHallii_v3.1:5:11928587:11929023:-1 gene:PAHAL_5G196500 transcript:PVH38202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFFNRRRRRWRAGGGFCASRRPSFFFSTRKREPGVRTAKETPARRDFSGPTRVDVFLHGFAWYQQSLQEETRKGKLGRRGREEARSPYAATRRGRREETSVGVRDKAVDPSANSLV >PAN29361 pep chromosome:PHallii_v3.1:5:13518599:13522197:1 gene:PAHAL_5G220800 transcript:PAN29361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGHCSEQRPGFEVTKDWNGADQVAIRSPRGASVRVCLHGGQVVSWRNDRGEELLFTSSKAIFKPPRATRGGIPICFPQFGNCGTLEQHGFARNKIWTIDQEAPPLNYGDNNNNKASVDLLLKPSEDDLKCWPHCFELRLRVSLSMDGDLSLISRVRNVNGKPFSFAFAYHTHLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPGVIAVLDHEKKRTFIVRKEGLPDIVVWNPWEKKSKTMADFGDDEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVPSTNCSDHLDHPGRL >PVH38259 pep chromosome:PHallii_v3.1:5:13016178:13017568:1 gene:PAHAL_5G213000 transcript:PVH38259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFIHCMCGLLYGATGCRLKPDRQELLGSTADALKPQNCGTSFLLDVVQ >PAN30015 pep chromosome:PHallii_v3.1:5:17226316:17230262:-1 gene:PAHAL_5G266900 transcript:PAN30015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEVDDEAGAGSPLVANGAADVRRRRDQAKAMLSKQAVKIATKAEEHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVENKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDKNRQVMYILLQALFTVATMALTVPIFLSYWMHVVFQILKVCAATWNGGSFILEVMPRQVVQKQQKKKLNMKPIEQANSAQHVEQDDMPGNQHEHTTEEQKQ >PVH39314 pep chromosome:PHallii_v3.1:5:55337534:55340503:1 gene:PAHAL_5G476100 transcript:PVH39314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPRRHPAGHTNAAPTTSDSGLVKLLADILHHTAPSTWPSALAAPLLRSRLTQAHVSSLLLLPASLSRPDLSRRFLLLLPPELVSPVSLSLLALSFLSSSPSPSSSASSPHAASLLLSLATSTPSASSSFSSLSNANSLATFRPGTATAATTLLASSYLRLRRARDAAAVLRLSLSSGIAMKQYTTSQILFALIKIRQFALARELFDEMLGTGVRVDEYVYTAGIRAYCETNNLDGAKDLLARMESKGINGSAVPYNVLMYGFCKNNRVHEAVEVKNGMVERGVTTDEVTYRTLVYGFCRTDELEMALETTDDMLRLGFVPSEASCSIMLDGLRKRGHVEEAFRLACHLGELGMVPNLFASNALMDKLCKDGRFSEAERLFTGMEKRGLQPNEVTYAILIHSLCKRGMMNDALHMFDRMREKGIRVTAYPYNSLINGYCQCDDFDQAWRFLREMVEKGLSPNAASYSPLIAGLCRKGDTGSAVELHNEMDRNGVSGNIYTLTALINCFCNDRNMDEAARLFDKMIDSSIVPSEVTFNVMIEGYCRAGNIRKAFELYDQMVDRGLTPDNYTYRSMISVLCLTLGALKAKEFVDDLENNCAVLNSFSLTALMHGLCKEGRLTETYHVWNVMGAQGVKLDLISFTAIMNGALKQNDNEKVSMLIREMEEKGLKPDIVFHTCMIDAHSKEANIAQALNCWDNMIADGCPPNVITYTVLINNLCRSGHLSSAEILCKEMLAGRFLPNSFTYNCFLDYLATEGELEKAKVLHAAMLEGCLANTVTFNTLIKGFCKVGQIQEAIDLMQKITESGFFPDCISYSTIINELCKMGDTNKAFGLWNEMLYKGLKPDIVAYNILIRWCSIHGEFDKGLGIYSDMFKNGVQPNWDTYRALFIGASLMVR >PVH38481 pep chromosome:PHallii_v3.1:5:17436326:17437555:1 gene:PAHAL_5G268800 transcript:PVH38481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFRMPRRRERWCGAAWAGLARADGGTTVGGRGMGGRNSNRKQVATGTEDTRCGGDASCRRRARMARSDRRRWVALRGREAGRDRDERAARRAGPATNAASLICSPQAAATNGARAGPPQRRRLPVRGTRGRSWYKV >PAN28207 pep chromosome:PHallii_v3.1:5:8263810:8268470:1 gene:PAHAL_5G138300 transcript:PAN28207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCLVIMLLFLISPLISTVGLPVEPPAELLQLGDAAGRGRLSVDASDVREASGDFGGLARAEPAAVFQPRGAGDVAALVRAAYGSARGFRVSARGHGHSISGQAQAPGGVVVDMSHGPGAAARALPAYSPALGGHYVDVWGGDLWVDVLNWTLSHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSEAENPDLFFGALGGLGQFGIITRARIALERAPQRVRWIRALYSNFAEFTADQERLISLGAGGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHRSGVLYCLEVTKNYDDGDAASVDQDVDALLGELNFLPGTVFTTDLPYVDFLDRVHKAELKLRAKGMWEVPHPWLNLFVPASRIADFDRGVFRGILGGRTAGAGGPILIYPMIKHKWDPRSSVVTPDEEVFYLVAFLRSAVPGAPESLEALSRQNQRILDFCAEAGIGAKQYLPNHKAPREWAEHFGAARWERFARLKAEFDPRGILATGQGIFPPPGSPALVSDS >PAN28995 pep chromosome:PHallii_v3.1:5:11618015:11620502:-1 gene:PAHAL_5G192600 transcript:PAN28995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYDISCFAAGLAGNIFALALFLSPVPTFKRVVKAKSTERFDGLPYLLSLLNCCICLWYGLPWVSDGGRALVATVNGTGALFQLAYISLFVFYADSRSTRLKIMGLLALEAFVFAIIAHASIAFFDQPARQLFVGSVSMASLISMFASPLAVMGLVIRTECVEFMPFYLSLSTFLMSASFAMYGLLLRDFFIYLPNVIGVILGAMQLVLYAYYSRKWNGSESSAPLLA >PAN29362 pep chromosome:PHallii_v3.1:5:13522198:13525488:-1 gene:PAHAL_5G220900 transcript:PAN29362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMSGEELVVTLAPVAVYWVYAGIYEALLQHTTVLDRYRLHSRRDEETKNIASRKDVVRGVLLQQAIQVAISVAVLKLEGRGAAAAAGDGRAAQPEPFLVAAARFGVAMLVLDAWQYFMHRLMHSVPCMYRRFHSWHHRVAAPYAYAAQYGHPVDGVLTETLSGAAAYVASGMTPRAAAAFFAFATVKGVDDHCGVAAPWNPIQAAFRNNAAYHDVHHQRGGGRRNFSQPFFVVWDRLLGTHAPYALRQRDGGGLEVRAFKPDPTR >PVH39355 pep chromosome:PHallii_v3.1:5:55739176:55749625:-1 gene:PAHAL_5G484800 transcript:PVH39355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLILQALDTLTEDGTDALTEGVNTGGLFSTGSGRLVTVSERAIRRASALVGEEMEEAANGNRKRKQPFGDDAGLQGDRTNLDVPLGGGAHKDNLLPMFQTGSGKIVPLCKDSFQKARAVLEENVENAAGARQPMFHTGTGRSVLVSKSSIDKARAVLEGQTVANEGDAGDMEQFPMFQTGSGRAMSISMASVQKAKAVLDENNVNTGNVEGPGYPDQSLIFQTGSGRPVLISERSIERSRAVLMDEGAENTGQRDTGYQLPIFQTGMGRPVAVKHGSIKKAKAVLEDGDVKRSGNGDTDICATSFQFETPTSVLMSSSLIMNDRTVTPKENTSVQGKCYEGDGHLPLFQTGLGRSVTVSKSSIKRASVILEPRNIAKELEDEAHLNDVCATSIIKTGLGRSVLSENSRENAQVVSEAVKRVNSDIGDGFAEAPMFQAGIQQFSPENGSSKHRATLLEQGKLATKGYEDCGNSLPMFQTGSGKSVLVSESSVRKARAVLEEEGDVNRENCKLVKMDKKFPVFASPFKTSCARTVNVSSAGVSRAATLLGLEEDTFSTQFFGHVGDKLGTKITVKLENPERMLDVASAHAISGGSHKGFCPTEKPILIERHQQFGFSKTASDAVEHSIRFSTAGGRSMAISTDALQRAKSLLNDSGSEVSPNDSVGCSLASAKEKQPDSTISPKGDESNLLHGTKVIGYAVPDIPVTKGNANKFHMGRQYHSINEIPKVPKLPRYLSEGDNAIGTKDKTQRHHMPAGPLVDITNYMATCSGKNTDHFANGKRVIGGRNSISPFKRPRSSRFITPIKTNKVSSAGESKVASTQISPCRTKLSARYPFQHQRKSCKEYFGGPPRFLQTEHVTDDVKLMDAKGAEKFKFQHMGTGAEDFQKMLLTCGASLSYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLTVDNVFEELKYRYDREVNHGHRSAIKKILEGNASPSLMMVLCISAIYSCPAQSDNKLEVDKIDNNEDSNGNKSLSASNRNMSAKIELTDGWYSLEASLDMALSEQLEKRKLFLGQKLRIWGASLCGWSGPVSFHEASGIVKLMVHINGTYRARWNETLGFCKHAGLPLAFKCIKASGGRVPRTLVGVTRIYPVLFRERLPDGCSIVRSERMERKALQLYHQRVSKIAEDIMFEQQENCDSTDDNEEGAKICKMLERTAEPEVIMAGMTSEQLMHFSSYKEKQKEVMQNEVAKKVQKALEVADLSSRDVTPFLKVRVMGLVSRLSASTSSKKEGLITIWNPTEMQKADLVEGQIYSVTGLRPSNHCTEILYLHGRGSSTKWKPLASAQTTDFEPFFTPRKAIELSKFGEVPLSSEFDIAGVILYVGNMYLCNNQKRQWLFITDGSKFISEQKSEEQDCLLAVSFSSPTTGEDSALFSNTLSGNTVGFSNLVKRQKDQMRQIWVAEATESSTYTLSHEIPRKSHLKEAAASAERWASRSCHKIQELKEMVLCIVGDSGG >PAN32399 pep chromosome:PHallii_v3.1:5:55739312:55749918:-1 gene:PAHAL_5G484800 transcript:PAN32399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCKWQVWTQPDGSLVWVPATETPPTPPPPPAAAPAAAGPPDPAPPHPPPPDGVPIEEYLGADGAKGGRLPLMADLILQALDTLTEDGTDALTEGVNTGGLFSTGSGRLVTVSERAIRRASALVGEEMEEAANGNRKRKQPFGDDAGLQGDRTNLDVPLGGGAHKDNLLPMFQTGSGKIVPLCKDSFQKARAVLEENVENAAGARQPMFHTGTGRSVLVSKSSIDKARAVLEGQTVANEGDMEQFPMFQTGSGRAMSISMASVQKAKAVLDENNVNTGNVEGPGYPDQSLIFQTGSGRPVLISERSIERSRAVLMDEGAENTGQRDTGYQLPIFQTGMGRPVAVKHGSIKKAKAVLEDGDVKRSGNGDTDICATSFQFETPTSVLMSSSLIMNDRTVTPKENTSVQGKCYEGDGHLPLFQTGLGRSVTVSKSSIKRASVILEPRNIAKELEDEAHLNDVCATSIIKTGLGRSVLSENSRENAQVVSEAVKRVNSDIGDGFAEAPMFQAGIQQFSPENGSSKHRATLLEQGKLATKGYEDCGNSLPMFQTGSGKSVLVSESSVRKARAVLEEEGDVNRENCKLVKMDKKFPVFASPFKTSCARTVNVSSAGVSRAATLLGLEEDTFSTQFFGHVGDKLGTKITVKLENPERMLDVASAHAISGGSHKGFCPTEKPILIERHQQFGFSKTASDAVEHSIRFSTAGGRSMAISTDALQRAKSLLNDSGSEVSPNDSVGCSLASAKEKQPDSTISPKGDESNLLHGTKVIGYAVPDIPVTKGNANKFHMGRQYHSINEIPKVPKLPRYLSEGDNAIGTKDKTQRHHMPAGPLVDITNYMATCSGKNTDHFANGKRVIGGRNSISPFKRPRSSRFITPIKTNKVSSAGESKVASTQISPCRTKLSARYPFQHQRKSCKEYFGGPPRFLQTEHVTDDVKLMDAKGAEKFKFQHMGTGAEDFQKMLLTCGASLSYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLTVDNVFEELKYRYDREVNHGHRSAIKKILEGNASPSLMMVLCISAIYSCPAQSDNKLEVDKIDNNEDSNGNKSLSASNRNMSAKIELTDGWYSLEASLDMALSEQLEKRKLFLGQKLRIWGASLCGWSGPVSFHEASGIVKLMVHINGTYRARWNETLGFCKHAGLPLAFKCIKASGGRVPRTLVGVTRIYPVLFRERLPDGCSIVRSERMERKALQLYHQRVSKIAEDIMFEQQENCDSTDDNEEGAKICKMLERTAEPEVIMAGMTSEQLMHFSSYKEKQKEVMQNEVAKKVQKALEVADLSSRDVTPFLKVRVMGLVSRLSASTSSKKEGLITIWNPTEMQKADLVEGQIYSVTGLRPSNHCTEILYLHGRGSSTKWKPLASAQTTDFEPFFTPRKAIELSKFGEVPLSSEFDIAGVILYVGNMYLCNNQKRQWLFITDGSKFISEQKSEEQDCLLAVSFSSPTTGEDSALFSNTLSGNTVGFSNLVKRQKDQMRQIWVAEATESSTYTLSHEIPRKSHLKEAAASAERWASRSCHKIQELKEMVLCIVGDSGG >PAN32401 pep chromosome:PHallii_v3.1:5:55740113:55749838:-1 gene:PAHAL_5G484800 transcript:PAN32401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCKWQVWTQPDGSLVWVPATETPPTPPPPPAAAPAAAGPPDPAPPHPPPPDGVPIEEYLGADGAKGGRLPLMADLILQALDTLTEDGTDALTEGVNTGGLFSTGSGRLVTVSERAIRRASALVGEEMEEAANGNRKRKQPFGDDAGLQGDRTNLDVPLGGGAHKDNLLPMFQTGSGKIVPLCKDSFQKARAVLEENVENAAGARQPMFHTGTGRSVLVSKSSIDKARAVLEGQTVANEGDAGDMEQFPMFQTGSGRAMSISMASVQKAKAVLDENNVNTGNVEGPGYPDQSLIFQTGSGRPVLISERSIERSRAVLMDEGAENTGQRDTGYQLPIFQTGMGRPVAVKHGSIKKAKAVLEDGDVKRSGNGDTDICATSFQFETPTSVLMSSSLIMNDRTVTPKENTSVQGKCYEGDGHLPLFQTGLGRSVTVSKSSIKRASVILEPRNIAKELEDEAHLNDVCATSIIKTGLGRSVLSENSRENAQVVSEAVKRVNSDIGDGFAEAPMFQAGIQQFSPENGSSKHRATLLEQGKLATKGYEDCGNSLPMFQTGSGKSVLVSESSVRKARAVLEEEGDVNRENCKLVKMDKKFPVFASPFKTSCARTVNVSSAGVSRAATLLGLEEDTFSTQFFGHVGDKLGTKITVKLENPERMLDVASAHAISGGSHKGFCPTEKPILIERHQQFGFSKTASDAVEHSIRFSTAGGRSMAISTDALQRAKSLLNDSGSEVSPNDSVGCSLASAKEKQPDSTISPKGDESNLLHGTKVIGYAVPDIPVTKGNANKFHMGRQYHSINEIPKVPKLPRYLSEGDNAIGTKDKTQRHHMPAGPLVDITNYMATCSGKNTDHFANGKRVIGGRNSISPFKRPRSSRFITPIKTNKVSSAGESKVASTQISPCRTKLSARYPFQHQRKSCKEYFGGPPRFLQTEHVTDDVKLMDAKGAEKFKFQHMGTGAEDFQKMLLTCGASLSYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLTVDNVFEELKYRYDREVNHGHRSAIKKILEGNASPSLMMVLCISAIYSCPAQSDNKLEVDKIDNNEDSNGNKSLSASNRNMSAKIELTDGWYSLEASLDMALSEQLEKRKLFLGQKLRIWGASLCGWSGPVSFHEASGIVKLMVHINGTYRARWNETLGFCKHAGLPLAFKCIKASGGRVPRTLVGVTRIYPVLFRERLPDGCSIVRSERMERKALQLYHQRVSKIAEDIMFEQQENCDSTDDNEEGAKICKMLERTAEPEVIMAGMTSEQLMHFSSYKEKQKEVMQNEVAKKVQKALEVADLSSRDVTPFLKVRVMGLVSRLSASTSSKKEGLITIWNPTEMQKADLVEGQIYSVTGLRPSNHCTEILYLHGRGSSTKWKPLASAQTTDFEPFFTPRKAIELSKFGEVPLSSEFDIAGVILYVGNMYLCNNQKRQWLFITDGSKFISEQKSEEQDCLLAVSFSSPTTGEDSALFSNTLSGNTVGFSNLVKRQKDQMRQIWVAEATESSTYTLSHEIPRKSHLKEAAASAERWASRSCHKIQELKEMVLCIVGDSGG >PAN29224 pep chromosome:PHallii_v3.1:5:12803992:12805324:-1 gene:PAHAL_5G210000 transcript:PAN29224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRAARGGPSSTATKPPPHAEGAAVRRTGGSTVVADVADAGQEVTVSQFVAQLDEAARRRLDSMHRRLRLLEQQMETLEAEVGKASSTSTMGTYA >PVH39330 pep chromosome:PHallii_v3.1:5:55545734:55546290:1 gene:PAHAL_5G480400 transcript:PVH39330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARWTVLFRLRAVEVAVRCVGAREVLADAAELLALRMHGADAHDLAHGGASDDLALAASSMKAAELFALYGASANPMLPLPSVQHVPDRNHPVRLALSLFQSARAYTEEACGCMQTCCVHLRTADDLLAVPALPCMDGLLDVERFIALHAGVKAALDLARVSAALAITAHWLVS >PVH38156 pep chromosome:PHallii_v3.1:5:11321122:11323617:1 gene:PAHAL_5G187600 transcript:PVH38156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAQQCPLQPRFNQVLCASSDFISTRNRCVACIFTKRDVTIPLYNEENKAMKSSTLIYKIRNQAYTVLAALRLRIFPLLIQSSVLCHAYKNVEISQDSEQINKCMLTLTGWTNRSTHEFRNITPQSKFLKKY >PVH38024 pep chromosome:PHallii_v3.1:5:9287485:9288138:-1 gene:PAHAL_5G153700 transcript:PVH38024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTKTAFVLLAAVLLALVASAAASRKLEDEDALLGSLARLPRRPWAPPRGSPPPRPGRGPSQLSSRSSHSSRTEGAAWPCWDPSAGGERWKVVESCDLDLLGEERGMFVFVLFVIGVPVLLISLLPV >PAN33142 pep chromosome:PHallii_v3.1:5:59391412:59392758:-1 gene:PAHAL_5G539000 transcript:PAN33142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAGGGSSSSLVFRGCPLPPGFRFQPTDQEIIVYYLKKKIAAAAAAVTSIIADVDIYKFDPWELPADKAVFGDGEWFFFSPRDRKYPNGARPNRTAGSGYWKATGTDKPILAPGGAHCLGVKKALVFYQGRSPKGTKTEWVMHEYRLLDTDAALLTRPPTNSMRLDDWVLCRVRKKQHGVDDWVHYSSSPSEPTTTTTPTRNAAVVLPPAEAVVPLPPATATYDAGIDWMNSDGQLLHYLIGGHGAGAGAGSGSPPPDPSAGASAHPAGDSAPPHSSLVSVLETIKRNLSFQAIDELYLLQPSKRANCMMVRGDDEQTSSFSVSEADDEVFF >PAN27713 pep chromosome:PHallii_v3.1:5:6165572:6174786:1 gene:PAHAL_5G101000 transcript:PAN27713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKALPFIAFEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDRQAEDGESSGEDVKVAPSNEDDGPPGKNAEDARPDEKTLRQQRPHKIQIWSEIRPSLGHIGEMMNSRVKKKQFSSVKEGYTGDELHPDNPEESKPSEDSDDEFYDVEKVDPSQEVPAADIANADSGTNRGADQEDYYPWKEELECLVRDGLPMALRGELWQAFIGIGARRVKGYYEGLLAADDEKEDSKCSDSPTREGGNGKPKASQPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAIGEARLQELRNKHRPSVISSMEQRARGLRVWRDTNSLASKLYNFKRETEPLVSLSEEQSNDSTDGDRNQETSSGNMNDMYRGLTINSEIDSLPDPKDQVVWLKGELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQALSDKQEQEQAMLQILMRVEQEQKVTEDARICAEQDAASQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSGQQKAQLPSPSPSPRTPTRDGSPGQANQDSSQEFQPRRISLLAPFSHGWRDKNKGKQNGTDESTNGKLNNNTGRVETPKKYDERQGDSPKEDEERIETPKRESEPRLEVPKMDGDIPSVERSTKDMDGQEDQLEEIKLD >PAN30991 pep chromosome:PHallii_v3.1:5:47184364:47189241:1 gene:PAHAL_5G380000 transcript:PAN30991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAADTSEASAAGLALAEANINWERLDKTRFHVIGAILFTAQQGALHPTAVVKTRMQVAEGGIAHMSGFAVFRRILRSDGIPGVFRGFGTSAVGALPGRVLALTSLEVSKEMTFKYSERFDMSEASKIALANGVGGLASSICSSSYFVPLDVICQRLMVQGLPGMATYRGPFDVINKVVRMEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGNDSQTKPSQSELVAVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKSE >PAN30990 pep chromosome:PHallii_v3.1:5:47184608:47187556:1 gene:PAHAL_5G380000 transcript:PAN30990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAADTSEASAAGLALAEANINWERLDKTRFHVIGAILFTAQQGALHPTAVVKTRMQVAEGGIAHMSGFAVFRRILRSDGIPGVFRGFGTSAVGALPGRVLALTSLEVSKEMTFKYSERFDMSEASKIALANGVGGLASSICSSSYFVPLDVICQRLMVQGLPGMATYRGPFDVINKVVRMEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGNDSQTKPSQSELVAVQATAGTIAGACSSIITTPIDTIKTRLQAIASVLQLPSYTSV >PVH38885 pep chromosome:PHallii_v3.1:5:47184364:47189239:1 gene:PAHAL_5G380000 transcript:PVH38885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAEGGIAHMSGFAVFRRILRSDGIPGVFRGFGTSAVGALPGRVLALTSLEVSKEMTFKYSERFDMSEASKIALANGVGGLASSICSSSYFVPLDVICQRLMVQGLPGMATYRGPFDVINKVVRMEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGNDSQTKPSQSELVAVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKSE >PAN30597 pep chromosome:PHallii_v3.1:5:32132150:32138161:-1 gene:PAHAL_5G325800 transcript:PAN30597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTMRAVKVPPNSASLEEARHRVFDFFKQACRSIPTVMEIYNLDDVVTPSQLRSTIAKEIRKNQNITNPKVIDMLLFKGMEELNNIVEHAKQRHHVIGQYVIGQEGLVQELGSKDQGSSDFLKKFYSSNYF >PAN26725 pep chromosome:PHallii_v3.1:5:2090289:2093938:-1 gene:PAHAL_5G033700 transcript:PAN26725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGGGAAGEGEWLKVAELRATVQAQDPHAKELDNLTLRRFLRARDHNVDKAAAMFLKFLQWRREVAPDGFVPEEQVRRELAQDKVCMGGVDRTGRPFLVGFPARHYSANRDMAEFKSFVVYFFDKICARIPRGQEKFLCVVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALMINVPYIFMKAWKMIYPFIDNNTRDKFVFVDNKSLQETLRREIDESQLPEFLGGKTPLIPLKDCAQQPESL >PAN26364 pep chromosome:PHallii_v3.1:5:326174:327661:-1 gene:PAHAL_5G005400 transcript:PAN26364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHLAACLVAALVALCAAAASVAAQPPRPLPSNYHVITPGKYRRDQQLACNDDRTNNTACLAKCDRRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCILSDANLHINAHFIGKRNPAMSRDFTWIQALGVRFADHRLYMGAKKTAKWNNDVDRLDLAFDGAPIDIPTEIGAVWQSAAAPGLTVTRTATTNGVRVHLTGMFDIMANVVPISEEDSRIHNYGVTDDDSLAHFDLGFKFIDLSDDVHGVLGQTYRSDYVNHLSVSSKMPVMGGAPNYVVSDIFATDCPVARFGAGRAGISMVTAEAS >PAN31927 pep chromosome:PHallii_v3.1:5:53686288:53687238:1 gene:PAHAL_5G450800 transcript:PAN31927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATARRRLTTGDQSGRRRRIRPCTYNAWRTAGRPGRRCPYTGTASFTSSCIGGPAGPPLLCTAGGCRCHACSCLSFPCRPSLHPPDLNPDSRVVVVVEQPNHLAGAP >PAN31112 pep chromosome:PHallii_v3.1:5:49498614:49511192:1 gene:PAHAL_5G397600 transcript:PAN31112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRLPVLLVLLLAFFSAAAASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDHNGCNGNADINFQFTGFMISGKVVGAVGGKSCSKRGGPSGVKVELLTDSDELVASALTSSSGEYSFTNIIPGRYRLSASHPDYDIELRGSPEVDLRFGNVVADDVFFVSGYNIYGTVVAQGNPILGVHLYLYSNDLTEVPCPQDFSDAPREGALCHAISGADGKFMFRSLPCGSYELLPYYKGENTVFDISPSSLPVSVEHGHMTISQKFQVTGFSVGGRVVDGYGAGVEGANVIVDGQLRAVTDSLGYYRLDQVTSKKYTITAEKDHYKFNRLENFMILPNLASIDDIRSVRYDVCGIVRTVTPNSKAMVTITHGPENVKPQRKLVGENGRFCFEVPAGEYQLSALPVDSERSSSLMFSPGSISVNVNSPLLDLAFSQSQVNVHGKVSCKEECNQNVLVSLVRLAGGVEQEKKTTTLEQDNVNFVFTKVFPGKYRIEVKHSSSEGSTQDGWCWDQNTFNVDIGTDDVRDIVFVQKGYWIELVSTHDTEAYIQLPDSSKLDLSIKKGSQRICVETSGQHEIHLTNPCISFGSSSVLFDTANPMPVHISAKKYLVKGEIHVDAGSLQENIDSKDIVVDVLKSDGSFVEKISTKPALGKSNQNGFAAFEYSIWADLGEDFIFVPHDSSTGRKKVLFYPARQQYSVSVNGCQDTVPQITAKTGLYLEGSVSPATSDVDVKILSARKSSYSHLNKGDVATETKTDSDGSFFAGPLYDDIEYKVEASKDGYHLKRTGPYTFACQKLGQISVRIYGENSELLPSVLLSLSGEEGYRNNSISSSGGTFIFDNLFPGSFYLRPLLKEYKFNPSAVAIDLNSGESREAEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESTGYYEEATTDSFGRFRLRGLVPGSTYSIRVAAKDNLQFAAVERASPGYLSVDVGHEDITGIDFVVFERPEVTILSGHVEGDGIDMLQPHLSVEIRSAAEPSRVESVLPVPLSYYFEVRDLPKGKHLVQLRSGLPSHTHRFESELVEVDLEKQPQIHVGPLKYKTEERHQKQELTPAPVFPLIVGVSVIALVISMPRLKDLYQSAVGMTSLGSGVAPTKKEPRKNIIRKRV >PAN31810 pep chromosome:PHallii_v3.1:5:53261684:53267886:1 gene:PAHAL_5G444500 transcript:PAN31810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAARAKALAERKMLEKDFAGAKKMIIKVQKLSKEVDDIDISKMLTVCDVHCAAGAKVNAEIDWYGVLQVPVDADDVLIKKQYRKLALLLHPDKNKFGGAEAAFKLVGEANITLTDKSKREMYNMKRNIFRGVAARPPHQHSRRTAPARPSSTPVNLHNKHQQQQQQQQQQATNPAGPQTTFWTICPSCGMRYQYYLSILKKALRCQNCLKPFIAHDLKEQAIPSGANQRSAGVWKNAGTPQNFPGPQTNVTGQKAWSTTPGVHVNIGSHHSDVNTKRETDGNTGGLKNKMKSDQTNRKHSKAKSSSGLKRGRRAVIESSESSMSETSSDSEEEILEHGPAANSAGLGQQTRRSSRQKQEVKYNEDSDDEDIEDDTKKVDDDFVNSPVLKRLRKSGVFHGDHSNTTAKLNKDIAGHNGPRNGVKECSNTVDEKKGGTSCGEKTFNGIEQMKRGTMHAGENSDGKEKVFHSVSNNGLGLNDNGAPGDHPYTFIDPEFFDFDQHRDVNQFRANQIWAVYDDQDCMPRFYARITKVKTTPKFMLHFVWLEFDPTNRAEEAWSYGGLPVACGRFKHGQSETAKETAIFSRTISFEKSKTRNSYEIYPRKGEVWALFKGWDIGWSSDADSHKNLNYQYEVVEVLSDLTTSTSIIVMPLVKIKGYVSLFIQSREAAPYVISQGETLRFSHCVPHHLMSGTEKEGIPEGSLELDPAALPLNLEEAFPSVSPECSSVRSQGRDAKHAGSSSGNSSHKGSRNVGEGQHTASMNVGIAAKTPKEENSKHNAGTAEATDVDDDNVCQTEYVCAESEFYDFSEIRLLQKFLPGQIWALYSDLDKFPNYYAYIQKVDLNNDKVQVRWLDGCPQGEEERRLLQEERTIGCGTFRLSSIHEMMTYTGTDAFSHPVEARSTGRKGEYEVIPHLGEIWAVYKNWRAGWTAHDFKNCEYELVEIFGHTDSSIQVQHLRKVAGYRTVFMPYRAEGSVKTIRKDEYPKFSHKIPCFHLTHEKGGKLRGYLELDPSSIPEEFLFTD >PAN31809 pep chromosome:PHallii_v3.1:5:53261684:53267886:1 gene:PAHAL_5G444500 transcript:PAN31809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAARAKALAERKMLEKDFAGAKKMIIKVQKLSKEVDDIDISKMLTVCDVHCAAGAKVNAEIDWYGVLQVPVDADDVLIKKQYRKLALLLHPDKNKFGGAEAAFKLVGEANITLTDKSKREMYNMKRNIFRGVAARPPHQHSRRTAPARPSSTPVNLHNKHQQQQQQQQQQATNPAGPQTTFWTICPSCGMRYQYYLSILKKALRCQNCLKPFIAHDLKEQAIPSGANQRSAGVWKNAGTPQNFPGPQTNVTGQKAWSTTPGVHVNIGSHHSDVNTKRETDGNTGGLKNKMKSDQTNRKHSKAKSSSGLKRGRRAVIESSESSMSETSSDSEEEILEHGPAANSAGLGQQTRRSSRQKQEVKYNEDSDDEDIEDDTKKVDDDFVNSPVLKRLRKSGVFHGDHSNTTAKLNKDIAGHNGPRNGVKECSNTVDEKKGGTSCGEKTFNGIEQMKRGTMHAGENSDGKEKVFHSVSNNGLGLNDNGAPGDHPYTFIDPEFFDFDQHRDVNQFRANQIWAVYDDQDCMPRFYARITKVKTTPKFMLHFVWLEFDPTNRAEEAWSYGGLPVACGRFKHGQSETAKETAIFSRTISFEKSKTRNSYEIYPRKGEVWALFKGWDIGWSSDADSHKNLNYQYEVVEVLSDLTTSTSIIVMPLVKIKGYVSLFIQSREAAPYVISQGETLRFSHCVPHHLMSGTEKEGIPEGSLELDPAALPLNLEEAFPSVSPECSSVRSQGRDAKHAGSSSGNSSHKGSRNVGEGQHTASMNVGIAAKTPKEENSKHNAEIRLLQKFLPGQIWALYSDLDKFPNYYAYIQKVDLNNDKVQVRWLDGCPQGEEERRLLQEERTIGCGTFRLSSIHEMMTYTGTDAFSHPVEARSTGRKGEYEVIPHLGEIWAVYKNWRAGWTAHDFKNCEYELVEIFGHTDSSIQVQHLRKVAGYRTVFMPYRAEGSVKTIRKDEYPKFSHKIPCFHLTHEKGGKLRGYLELDPSSIPEEFLFTD >PAN29083 pep chromosome:PHallii_v3.1:5:12221712:12226223:1 gene:PAHAL_5G201300 transcript:PAN29083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKAAAYYDELNRKGEGARRFKQGLGFSSSSDATSFPSKPTAASSFLSGFVRAGAAPAPTQPSNLAKPPPPHSERPSSTRPCSRSPPPSRSRTRSRSRSPSRSSRRRSRSRSRSRSRERRRRSRSRERDRRSSRRRSRSRSRSPSRRSGRSSLSEGRRDRHGDRRHDDGRDSSKARGGRDGGKVDYSRLIEGYDRMTPAERVKAKMKLQLSETSAKDSTLGTASVRWERFDFNKDAPLDEDNDDVEVANDDASLVKNIGKSFRLSAVESKHEDKVRDAHEEAIFGVPTTSFVNTEADEDELKANDEDKAEDIEAQPSSSLISDKVLAMQKGSWRERAQKLRQDSNT >PAN28759 pep chromosome:PHallii_v3.1:5:10679322:10681148:-1 gene:PAHAL_5G177400 transcript:PAN28759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIVSAIMSDLLSRALSMVIRRYKRSTEEEAEHKLQRLGRVLLRIAAMVEEAEGRHITNQAMLRQLEMLRQGMYEGHYMLDTVKCRGQEGDGENTMLNTESLNKLEKMLDGLETLMGDTVEFAVFLEGYPRIPRQPYSTHLILDKVMFGRQTEKETIINFLLRRGVAAGDEGPKVLPIVGAAWVGKTTLIEHVCLDERVREHFSSIVFFTEDDLGAKSMACLRDIGVIKHQDLTATSYGRSLAVIELAGDMDEETWRRLYSSAARSMAHGSKIIVTSRSEKIAALGTTQQALRLRYLPRDAYWYFFRTLAFGSANPEDQPKLASLAMEIAVLLNGTLGANIIASLMRANLNARFWSRLLQCLRDYTSRHVLMFGEHPEGLMQKGKPVYACRMTQQSQNSILVGTTYQKCSTQSDASMLTVQDIITGCVTDQAKFTAVLWRSRIPPYYTYLVNCESQKAGCSTVGKKRPRQARF >PVH38099 pep chromosome:PHallii_v3.1:5:10679546:10681072:-1 gene:PAHAL_5G177400 transcript:PVH38099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIVSAIMSDLLSRALSMVIRRYKRSTEEEAEHKLQRLGRVLLRIAAMVEEAEGRHITNQAMLRQLEMLRQGMYEGHYMLDTVKCRGQEGDGEVSGDLPVTLPRFSSARPRLRLPSFPFISSKKNLQNTMLNTESLNKLEKMLDGLETLMGDTVEFAVFLEGYPRIPRQPYSTHLILDKVMFGRQTEKETIINFLLRRGVAAGDEGPKVLPIVGAAWVGKTTLIEHVCLDERVREHFSSIVFFTEDDLGAKSMACLRDIGVIKHQDLTATSYGRSLAVIELAGDMDEETWRRLYSSAARSMAHGSKIIVTSRSEKIAALGTTQQALRLRYLPRDAYWYFFRTLAFGSANPEDQPKLASLAMEIAVLLNGTLGANIIASLMRANLNARFWSRLLQCLRDYTSRHVLMFGEHPEGLMQKGKPVYACRMTQQSQNSILVGTTYQKCSTQSDASMLTVQDIITGCVTDQAKFTAVLWRSRIPPYYTYLVNCESQKAGCSTVGKKRPRQARF >PAN32619 pep chromosome:PHallii_v3.1:5:56703078:56706105:-1 gene:PAHAL_5G500200 transcript:PAN32619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAHSDEFCQCQGCVGKYTLLRDEENPRLAIFERRLPCCGCGIGWSSFLLGFVCPLIWYFAATLYCCKYYNRDPRERPGLAASAVAALIFTVLAIIALTVTLIICAHK >PVH38857 pep chromosome:PHallii_v3.1:5:44909557:44910546:1 gene:PAHAL_5G371100 transcript:PVH38857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLDKLTYFSQFFWLCLLLFTFYILFINNNNGILGISRILKLRNQLLSHRGNKIRSKDPKNLEDISRKGFSTGLSYMYSSLSEVSQWCQTVDYLRKRRKITQISDFGEIRGSFYIDISVGKIIGKFLPVRTCLPLLVLLTATRCFLLWQISSILYAIIGLVVGSLTPCFVGDFSPSLSNVGFCVSPPQLPDSPGAMVSYSSFKESTGEIDVLMEDTSMSTGTGEQSVNQTFRDIEKEHFEQQRHLCIREIKAEMLQQYKKDVGKRHFLMKISFSCYNVFNQGRMESDIDSICHDLEIYEFSLPDLQNFLFDIKKNKNLLNSFFLNAWP >PVH39588 pep chromosome:PHallii_v3.1:5:59492286:59492673:1 gene:PAHAL_5G540200 transcript:PVH39588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQRVQWYCSFSTRAVVSPISSLACVWTRTRVCTCGVSMVCVVVCVLCMYPLKKMQENFNVEYRCNT >PVH39432 pep chromosome:PHallii_v3.1:5:56699978:56701931:1 gene:PAHAL_5G500100 transcript:PVH39432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSLLLGFLGRYPELMVSLACFLLLFHRLNRRRGLPTNWPVIGAVPAITVNAGRVHEWATEFLSAAGLSYVIKGPWGSPVDVVITADPANVAHVFTTNFGNYPKGEEFAALFDVLGDGIFNADGESWAFQRRKAHALLSDARFRAAVAASTARKLREGLVPLLDGLAASGAVVDLQDVFVRLTFDLTAMFVFGIDPGCLAPDFPYVPFAAAMDTIEEVLFYRHVTPVPWLKLQKYLKIGHNKRMRKARRVLDASIAEFISLRRERAAVSTGEADADLLTSYLACQDEVGKSGTAFDRFLRDTTFNLMVAGRDTTSSALTWFFWLLTKHPDVEAKILEELRANPPSSGAGHHTAAELKGLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGVPVGPTRRVIVSFYSMGRMEAVWGKGCLEFRPERWLTAAGRLRHEPSYKFVAFNVGPRTCLGRDLAFAQMKAVVAAVLPRFRVEVDAGAVVRPKLSIILHMKDGLRVRVHKREEIA >PVH39431 pep chromosome:PHallii_v3.1:5:56699978:56701931:1 gene:PAHAL_5G500100 transcript:PVH39431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSLLLGFLGRYPELMVSLACFLLLFHRLNRRRGLPTNWPVIGAVPAITVNAGRVHEWATEFLSAAGLSYVIKGPWGSPVDVVITADPANVAHVFTTNFGNYPKGEEFAALFDVLGDGIFNADGESWAFQRRKAHALLSDARFRAAVAASTARKLREGLVPLLDGLAASGAVVDLQDVFVRLTFDLTAMFVFGIDPGCLAPDFPYVPFAAAMDTIEEVLFYRHVTPVPWLKLQKYLKIGHNKRMRKARRVLDASIAEFISLRRERAAVSTGEADADLLTSYLACQDEHPDVEAKILEELRANPPSSGAGHHTAAELKGLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGVPVGPTRRVIVSFYSMGRMEAVWGKGCLEFRPERWLTAAGRLRHEPSYKFVAFNVGPRTCLGRDLAFAQMKAVVAAVLPRFRVEVDAGAVVRPKLSIILHMKDGLRVRVHKREEIA >PAN32985 pep chromosome:PHallii_v3.1:5:58445586:58447533:-1 gene:PAHAL_5G526900 transcript:PAN32985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSKSSLRCAAGRSARSTRSRSSDTSRAPGSIGSSSIYGGRSKMMPMRSLQCATVVLVLLWPWEPASALAASSSAPGARRRYDSIFSLGDSFTDTGNNPAVFAWYSIPDPVTRPPYGTTFFGRPTGRNCDGRLIIDFIAEALGLPYVPPYLGPPFGSPPPPPASSFRRGASLAVGGATALDAGFFRSRGLLPAPSKFPLNASLSVQLEWFESLLKPSLCRTTQECEELFGRSLFFVGEFGVNDYLFSFGKMSLQDIRSVVVPSIVEIIRQAIERLIKHGAKALVVPGVIPLGCSPPVLFLFPEADPAGYDARTGCMLKHNEVGRHHNAALQESLKDLRAKHPGVSIIYADFFGPVMDMVQSPRKLGFREDVLSVCCGGHGRYNYNVSVPCGDANATTCSRPSASIYWDGVHFTEAANRHISSTWLSSIS >PAN29012 pep chromosome:PHallii_v3.1:5:11712059:11712589:1 gene:PAHAL_5G193700 transcript:PAN29012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPIFENPIVAAAIDAFNGRVGDADAVYAVQGLLDFVRAEIGLNAARYGEVEAQLAVARRRYAEALLEAASELAPEQAVVAGGPELAARAAAYAELTDRAHRALLLHRDLRQALVFFLLLRAGDYAWTRAPLVPGVLAAAYAIAYAATGGAVAPGPASLLRIAVLVLCFLFGFLD >PAN27656 pep chromosome:PHallii_v3.1:5:5893691:5896016:1 gene:PAHAL_5G095600 transcript:PAN27656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEDGWPLGLGALNARAGALRGVDLSGSASFSTTFTSSHSASSLPSTDFDTESAWSLSRRRGGGGGGMTLASLIGLVDAMESRRRPSARAGRSGRLRALLLSLCLRSHLDNGSGAPSLREFLEMERRASGATSHAHGL >PVH38968 pep chromosome:PHallii_v3.1:5:50196987:50198940:-1 gene:PAHAL_5G404200 transcript:PVH38968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNANFTKQQVQEKEKELKGNYRIIREARKSGVGWNDTLGMINAEPKIWAKLIDDNPKVSKFRKKAFPLFNSLESLYEGSIATGDLNFTSSEPAPQRTQQQVDPTPQRSISEQSTHNTAPSRNPFNSGLDGIESTEVQSAATNQSLEDVEGVSGKKRK >PVH38125 pep chromosome:PHallii_v3.1:5:11064271:11064672:1 gene:PAHAL_5G183500 transcript:PVH38125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAPGWLRLAVAPLTPPSSLSLLLSCRGRVALLHHHHPISPPASASLLLLPPSRASTPPLARERARALPSRGGSRRRRGDRRSDSARGEEIARRRRRCRRRPCRDSSRPVPLQRFKPGSVPRGRAGRLDRRR >PVH38132 pep chromosome:PHallii_v3.1:5:11138695:11140589:-1 gene:PAHAL_5G184800 transcript:PVH38132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPDPPLVVRHLGARHRGPQSTANRLVRRRRGCEVVPPMARRRRKGRKTLRDDLRAAARRTRSGDEQHGPVGLQRRRTRAAVLASRLYVPPAQGTRQLLAAAPGRPSPTSSDGTPAHPSRQTFRPPHLLARSLSASSDGPTAGAANHLGRSRS >PVH38131 pep chromosome:PHallii_v3.1:5:11140048:11140589:-1 gene:PAHAL_5G184800 transcript:PVH38131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPDPPLVVRHLGARHRGPQSTANRLVRRRRGCEVVPPMARRRRKGRKTLRDDLRAAARRTSTRQIWRRATRPSWSPASSHPRCRAGLEALRAASTGHAPAAGRRTRSPVAYIIGWHTRTPEQADLPAAAPACSLVVCLIRWPDRRCRQPLG >PAN30343 pep chromosome:PHallii_v3.1:5:23769430:23777847:1 gene:PAHAL_5G302000 transcript:PAN30343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILLALDYVRLSAFAILLVWVIGKIVILKNRQHETGSGVMVSPERKGVTPLPSHIIAVCNASVTSIYIGFAVLGVWKDQTISLGFIFSSLSWLLVTLFSLYCKHKGAGVVSNWPAVLVSWWVFSSLSESLLTSLHLLHLINSAPVVNFTSLPFCAIICLCLVATAMRISKTNHEEELKQPLIREDSGDSSRDRLSSSGWWSQLTFQWLNLVFEKGHKVRLELEHLPSVPQSETAEQSYALLQETLHKQKPEPMSLQRAIICAVWTPLVINAVFAGLNTLASYMGPFLITYLVELLSDKNPDRGHGHGYILASLFLISKTVESLSQRQWYFGARRIGFRVRAALMVSIYKKSLMIKNSTTGTGKIVNFLDVDIEKIGEFFWYIHGIWLLPLQVSLALVILYNSLGMAASVSALITTVLVMVSNTPLAKSQKNLNVKIMEAKDSRIKATAEALKSMRILKLHAWETAYLDKLLKLRDVERAWLRRYLYTCSAIAFLFWASPMLVSVVTFGICILVDVPLSAGTILSALATFRILQDPIHNLPELVSMATQTKVSLDRIEEFIKEDQHGKPNSYGNRSSTEKQSVAGIVEIEAGQYSWEATDNILKKTKFTLKIDRKMDIMNGQKVAVCGSVGSGKSSLLCAIMGEIPRVSGAETTVVGSRAYVPQSAWIQTGTIQDNVLFGKAMDKAQYDEVLQACALDKDVELWANGDMTVVGERGMNLSGGQKQRIQLARALYSDADVYLLDDPFSAVDAHTGAHLFKECLMRQMSSKTVIYVTHQLEFLRDADLVLVMKEGRIVQSGKYDDLIADKDGELSKQMDAHNKSLSQVTPAQVHGLTRNKKHKNKQKELTEIEPDHNVLGRESEEERESGRVKWGIYHKFVTSAYRGALIPVILACQVLFQALQICSNYWIAWASESQEQVSREKMIGIFVLLSAGSSAFILGRAFVLSAIAIETAQQLFLSMIKNIFRAPINFFDSTPSSRILNRVSTDQSTLDIDIPYRLAGLIFALIQLLSIIFIMSQIAWPIFFLFIIIIIISTFYQSYYISSARELARLVGIKKAPVLHHFSETVSGAATIRCFNQGENFFRKSLALIDDYSCITFHNAAAIEWLCVRINFLFNLVFFVMLAILVSLPRNSIDPSLAGLAATYGLNLNVLQAWVIWNLCDVENKMISVERILQFSNIPSESPLVIEEYKPTEIWPWYGTIQIDGLQIKYNHDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSAGRIIIDGVDISLMGLHDLRSRLSIIPQEPTLFQGTVRSNLDPLQQHTDAEIWEVVRKCRLEEIIREDNRLLGAPVVEDGGNWSVGQRQLVCLARVLLMKRKILVLDEATASVDTATDNIIQRTIRQETKSCTVITIAHRIPTVINSDLVLVLGEGRILEYDSPNNLLRDESSAFSKLVMEFVGRTEDINQR >PAN31246 pep chromosome:PHallii_v3.1:5:50426727:50430584:-1 gene:PAHAL_5G407100 transcript:PAN31246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGPLGRYCSGHPLFADLVGREKEREREVNMGRGKIAIQRIDNRTNRQVTFSKRRNGLMKKARELAILCDADVGLIVFSCTGRLYEFTSSSMKSIIERYQEAREDNNCRLLNPISEAKFWQMEVATLRQQVQNLQNNNRKLMGEELSGLAIRDLQFLQSQIEMSLQSIRKKKEQLLTEEIMQLNKKGIVLQKENVELKKEVSSAHQHKLELQKKLSGESTSGDCDRASGSGSDKVPGRSIAHDASEHISLALSIEGHADE >PAN28839 pep chromosome:PHallii_v3.1:5:10974878:10977573:-1 gene:PAHAL_5G181500 transcript:PAN28839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALPATAAACLLQLSRRRLPGTTPLPSPLRAATFRCYSGSSVACACSSGPPPAVPAEQRGGGAGQATSPEGTVRIVAVVGEATISPIKDTPWEEVMQHTADRLKWVDEGFEMLVFADNSIEHDNLRKELSCCDMLVNVAITNQDSVQWLINNSKDISNVICFQSSPALLNKLGGTYVQYTGEQDMFGKLASIGKPSGTKESAEVLKTISNAWERHNSDDIRFCLLVVVNAYIRPVAMLKNLRAKGLSTLSCMIKNCGPQILNCLFDSNCRKALQCLNSCSPTDQVCNYRCIASYESPHLEAFSLCVLQKNNCLDLNAEIPNKPNVIPLTMFREQKLSHEIAEDLFVGWLDSMEWSWRVAAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGKLVWRRRRYRVRRASTPGTFYFSVLDNGVVSKEFWTIVDVAEDFGWGLFHYHGAAQVAGLSYTGAVLVTPDGSYPDVDDPRLASALEKCGIKKWELYTVDNSCCMGAPLGTPEGSKLHHQISPGKEAGILQTR >PAN32626 pep chromosome:PHallii_v3.1:5:56720947:56726884:1 gene:PAHAL_5G500900 transcript:PAN32626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGWIVRLASLLALGLVLSSAEASLGDADPRYRTCVKECQTTGIIGENIISHCQNKENDTSVGGSWYNQEQIYMQWKQLTCTTDCRYFCMMQREGERQSLGLTPVKYHGKWPFLRISVFQEPLSAALSAINLLMHFVGWLSFYLLVKYKLPLRPQTKRTYYEYTSLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRAFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLTWAVWAGVTRHPSRLKIWTVVFGGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >PAN32874 pep chromosome:PHallii_v3.1:5:57939598:57945778:-1 gene:PAHAL_5G518300 transcript:PAN32874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVSNIFHNDLLPSLGARANQSIKLRKFIISPYDPRYRIWETLLLVLVVYSAWICPFELAFLRYLSWELFLVENIVDSIFAIDIILTFFIAYLDQKSYLLVDNPKRIAARYISSWFIFDVCSTIPYQPFGLLFKKHANGLAYRMLGMLRLWRLRRLSALFARIEKDIRLNYYWIRCIKLISVTLFAVHCAGCFNYLIADRYPNPVRTWIGAAIPNYRSESLWVRYVTSIYWAITTLTTTGYGDLHAENPREMLFSTCYMLFNLGLTAYIIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPKHIRDEMLAHICLRYKTEGLKQKETLDSLPKGIRSSIAYHLFFPIIEKVYLFRGVSFTCMLQLVTAMETEYFPPRELVILQNEAPTDVYILVSGAVEERIEMDEGEKVQGVLSTGEIFGEIGALCNVPQPFTVCTTKVSQLLRVSTTVLKTVIGDNKEDEQIVLSNIFQKIGRDPIFSAVFMAKSMHNQHFRKYNSCSTFNQVSQENESEGKGRLTSCCGDEHCKKLEETDRHEPLHKTANHSDCNRINYLNAKSGGIEKHIPTKTMCTESMDKGKADVHQPKLTDSSITGSEKARVITQNTKEHTKIRQSRIPDKILAFSVPEDLQEITDKYTEGGTCSYKDGGSVVTENKRVTIHGYSQKNTNLKVPCAKVINLPGSMDELFTVASQKFMGFCPIKLFNQEFAEIDDITVIRDGDHLFLMES >PAN29114 pep chromosome:PHallii_v3.1:5:12349404:12351580:-1 gene:PAHAL_5G203100 transcript:PAN29114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAPDQQQVPAGGSSAPPRLLCVHDTTLVPPSPSPPETSLPLTFFDIIWLHSPPVERLFFYRLAPDADIDNIVSNLKDSLHQAVRAFYPLAGRLRLTPGASDRYELYYRPGDAVTFTVAECDDDDADIDGLATDDPREVAKIAALVPPLPEGGRLLALQATLLSARRGLAIGVTVHHAACDGSASTHFLHTWAAACIGAETPPPPPVIDRTLLTDPRGLYDTFCQAAPSTDEMEFVKMSADQLFATFTLSKNDLQRVKDIVANEAMRRGVAPPRCSSLVATFGFVWSCYLQRAKENCGSDEGSMTCLLFPVDHRSRMKPPLPDKYLGNCVGPAIGMAPKGELAAAGAGCLFSACAAVASAIDEAVRDIGTPSMDAWLDRIREASAKGVLSVAGSPRFRVYELDFGFGRPAKVDIVSVARTGAVAVAESRRSTGGMEVGVSLQPAGMERYRKCFADGIAWLHQPS >PAN30659 pep chromosome:PHallii_v3.1:5:38793661:38797785:-1 gene:PAHAL_5G350300 transcript:PAN30659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAPARLPLPLPRPPRLHSHQSTPIPYLHATAPAAASSSTLSVPRSAPSPSVRVARPRRRAAMSAAAAASSSSIGVSDGTGIGALSLESVGHNDLLVVGPGVLGRIVAEMWKQEYPGCKVYGQTATTDHHSELTDIGIIPSLKGSIIGPKFPYVIFCAPPYRSEDYAGDLRVAASNWNGEGSFLFTSSTAVYDCSDNGLCSEDSPCVQIGQSPRTDVLLKAENVVLEAGGCVLRLVGLYKSDRGPHVYWLSKGTLDARPDHVLNLIHYEDAASLAIAIMKKGLRSRVFVGCDNEPLSRQEIMDRVNRSGKFDTEFQGFTGTDGPLGKRMENSKTRAEIGWRPKYPSFREFLGLSNL >PAN27592 pep chromosome:PHallii_v3.1:5:5568688:5571234:1 gene:PAHAL_5G090500 transcript:PAN27592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MSAPASPHTSLLHLPHHPFGPRRPRLTRLRCLASLAPASSGASPANENHLIQTLCAHGHLARAAALLQGLPAPTQRTYESLLLAAARAGDAALAAAVHRRLEADPVFRSDPFLSTRLIDAYATLSALPAARQVFDEAPVKNIFVWNAMLKALALADHGEEALARLVDMGRLGVPVDSYSYAHGLKACIAASASHAPASARVREMHAHAIRRGYGLHTHVATTLIDCYAKLGTVTYAERVFAWMPERNVVSWSAMIGCYAKNERPGDAIVLFQEMMASDADLVPNSITIVSVLNACAGVNALGQGKVLHAYILRRGFDSLVSVLNTLMAMYMKCGCLEVGRHIFNLIGCRRNVVSWNSLISGYGMHGFGHESLQVFEEMIKEGVSPSIITFISLLGACSHAGLVEEGKKLFESMVEYNVTPRAEHYACMVDLLGRAGCLDEAVELIQSMRIEPSPQVWGSLLGACRIHGHVEYAEMACSHLFDLEPRNAGNYVLLAEIYARAKLQNQVDVLKELLEEHALEKVPGCSWIEVKKKLYAFVSVDNKNPQVDELQALIGEFVTQMKNEGYVPDIRSVLYDIEEEEKERILLGHSEKLAVAFGLINTGRGEVIRITKNLRLCEDCHSVTKFISKFTEREIVVRDVNRFHHFRDGVCSCRDYW >PAN31605 pep chromosome:PHallii_v3.1:5:52392254:52393988:1 gene:PAHAL_5G430800 transcript:PAN31605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAPTAAAVAMPAAVTHDDLSLRKAHERRAARSSGQAAVALVALSVICGLVAFVLCLAAEGSRSEVSYYLMSVGGSRDQLDVCFYGSSGRAALAYAVGAFVLLAVAMFAEHAYMLVAVAAPESASAGLAVAQDNPRVASTAATLTWQTCCLFFLTWICFGLAEVLLMIGIGVESGHISDWRKPRPVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVQAQRLRLRGQQHYGGGYFVGHGAPHPGVQHPHPPVPHPHPHPHAHPHPAPSAPEITAAPCQVEPSRASLITKEVAEV >PAN32268 pep chromosome:PHallii_v3.1:5:55188930:55192305:1 gene:PAHAL_5G474100 transcript:PAN32268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGSALRRLYLSVYNWVVFVGWAQVLYYAVLVLRKSGHEAVYAAVERPLQFAQTAAIMEILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETRSHILVTTLVISWSITEIIRYSFFGMKEALGFTPSWLLWLRYSTFMVLYPTGISSEVGLIWIALPYIKASEKYCFRLPNRWNFSYDYYFTSILALMIYIPGSPHMFTYMLSQRKKALSKAKTA >PVH39428 pep chromosome:PHallii_v3.1:5:56621384:56624127:1 gene:PAHAL_5G499200 transcript:PVH39428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASKKQRPEEEAEEEMHLAFRGAANALSQVYTHAVAHQKASFLAGERRAMEHIYQWLSSQHEEATEVPVAAVLTFLQNEIEHRTEESLASPQHPGPQPAYNVAAANAHSNPFSFGNIAAALDCRMDETDLTRNAGISNALPCPLQQNFHSNHLIQPSGYGPTNSLPNVNGPRNNHSSQNQDIMHYNL >PVH39427 pep chromosome:PHallii_v3.1:5:56621384:56624127:1 gene:PAHAL_5G499200 transcript:PVH39427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASKKQRPEEEAEEEMHLAFRGAANALSQVYTHAVAHQKASFLAGERRAMEHIYQWLSSQHEEATENEIEHRTEESLASPQHPGPQPAYNVAAANAHSNPFSFGNIAAALDCRMDETDLTRNAGISNALPCPLQQNFHSNHLIQPSGYGPTNSLPNVNGPRNNHSSQNQDIMHYNL >PAN31944 pep chromosome:PHallii_v3.1:5:53730691:53733767:-1 gene:PAHAL_5G451500 transcript:PAN31944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAKPGTGRGIKSWAQRHLNAGFAAGFLLVLLTYLVVSQQFAVTAPNAVATKGSRIADKKQVIGGAGDAEVEKKREQEWQPKTAAEELDKKDASGAVPTEESPKRDDADPKPLETDKVVCNTDGPVSETCDLDGDVRVNGSALSVTFVPSSPSERREWRIRPYSRRTMTGVDRVTVTRLGSPQDPAAAAAAPCAVTHDLPAVLFALGGLTGNYWHDFSDVLVPLFAASRRYGGEVLFLVSNIQPWWLGKYEAVVRRLSRYDAVDLDRDKQMRCFRHLTVGLRLHKELSIVPELAPGGHRLTMADFTAFLRETYELPRATPLSLRQFPDRKPRLLLIHRAHYRRFENVPEIRKAAEAAGFEVTVASPRADAPLEETARAVNSHDVLLGVHGAGLTNAVFLPPGSVVIQVVPYGKLERMARTDFGEPVADMGLRYLEYSVAAEESTLLGMLGPDHPVIKDPEAVHRSGWDKVAEYYLGKQDVRIDVNRFAPTLAQAIDHLRQR >PVH39006 pep chromosome:PHallii_v3.1:5:51316970:51322861:1 gene:PAHAL_5G415000 transcript:PVH39006 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G19180) UniProtKB/Swiss-Prot;Acc:O65041] MASPDAEQAAPTEPARWRDLDMLLSRPGNLVAASFDPSPTLRDLLGSLVEVLVVGAGGLGCELLKDLALSGFKKLHVIDMDTIDVSNLNRQFLFRVQDVGKPKAEVAANRVMERVSGVNIVPHFCRIEDKEIEFYSQFHIIVLGLDSIEARSYINSVACGFLEYDSNDNPLEETVKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHPGKPFDADDAEHMQWIYSEALKRAELFGISGVTYSLTQGVVKNIIPAIASTNAIISAACALEAFKLISGCSKNVSNYLTYNGLEGTHIKVTEFVRDKDCLVCGPGTLIEMDTSSTLAEFIKMLEEHPKLRISKASVTHEGNNLYMQSPEVLEQMTRPNLSLPMFELLKEVPYATVHATGMAENNGKKVSSLRKLRVAFKGVGASKMDTAESS >PVH37812 pep chromosome:PHallii_v3.1:5:5884652:5887581:1 gene:PAHAL_5G095300 transcript:PVH37812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSGAAPVSPRLALEVAVLALLAAAAALPSPAAGVNVTAVLSAFPNFADFARLLASTPVAGELTGRSSLTLLAVPNANLPQSPSAFAAGAGADIADVLRYHVLLEYLSPSDLARLPASGKLVTTLFQTTGRAPSDLGAVNLTAGANSTVVVRSPAPSPGSNATVLGAVTAVPYNLSVLAVGGLIVPSGFDLAASESRPPPPVNITRVLTDARGFNVAASMLQASGVASEFEADEHGAGITVFVPTDDAFAGLPATDRLQSLPAERKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEYTEAGRFTLNITRVNGSIAIDTGVVQASITRTVFDQNPVSVFAVSKVLLPKEMFSRGDSDSTTMAPPSAAMAPGDAGNEQTPPTRLSSPPDLHGEDSESLAALMTAKGASWWCIGLMYLQLHLLLSLV >PAN27590 pep chromosome:PHallii_v3.1:5:5549300:5552083:1 gene:PAHAL_5G090300 transcript:PAN27590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGQAFSEKEGLSFLETSALEAVNVEKAFHTILSEIHQTVSKKALAAQESASANGRSMQGTTINVAESSAPTKGSCCSSG >PAN30682 pep chromosome:PHallii_v3.1:5:30985119:30985507:1 gene:PAHAL_5G322400 transcript:PAN30682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMHYSRLGQKALSQCKKMQQQLLATIKILLSRNLFNEKTTNLFNEKTTNGTQVAYISQHQLMNKTRNKKRRR >PAN31509 pep chromosome:PHallii_v3.1:5:51617502:51619092:1 gene:PAHAL_5G420000 transcript:PAN31509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSHEWDRTEQASRDAPDGRNGTLSLPVLPFRGHRPSPTPHPPQLLPIRHRRSGLHRSDFVISVRHRCTSRASAHLPHPDTGGAAPELGRPSPDGVPRRCARILLHLPVRTQELVHPSPTPRPPRLHPVRPSSPSRRSPAHLDMPSSRAPSGIGDPRGTRRFRGRGGECESGIGSRTGIAPPAPPRPVANGASTDAWVCR >PAN26683 pep chromosome:PHallii_v3.1:5:1962626:1962928:1 gene:PAHAL_5G031100 transcript:PAN26683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEQQQQLGTTQRSGLGSPIRREDAHAPWTSSGPSPHHQCTSRFSFRDPRGPRPAPRLRVPFRRRGASFKFLSPPRFPGNRRRSLRSLDHAFAGGSFL >PAN27327 pep chromosome:PHallii_v3.1:5:4579477:4585835:1 gene:PAHAL_5G074200 transcript:PAN27327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLPGSGKMARKRPRIFADAFVSDDSGGSDYEVDEHEIPNDHIGGVSFSEEENDDGSNSSDSEVLKSALYQKYKEQYNKVKKIEKEMLRALNHEDKRAKPVIEKKKDAFTRFSASYFSKVIDSLDENKRSIIEKHGFGSLIEFDKCFVPNKFAMWVAQPIDYRSGDIIVKDKVISLIEDAVHYVLDLPMGCIEFPIDYSSGKSRLLSQFNLQSLPPAKYFGDMLIDKKPVMDEEAVTCFLIVALNCFPCPNCSVTPSNRYLGIFEDINNVRKYNWCSFVRSWLLERIKSFNKTKFSADDPPSLGGCLYLIAVMYLDFVNCNARQPSLQIPRILVWKGTMLRDFSDLDLVSTGVYGFRPLLEISATCYSKQSIFLHTSASSASMNSEFKNKLDEYSQCKLPEKLKTGICKAIEEHCFNSSLSVNMDITSLASLSSDLEQTFSTLLEHVYSVDSRSMMLVLKVIGLIAENGPAVSEASHQTSEQNTTPESDVRNYSTPHMRGAQTV >PVH37936 pep chromosome:PHallii_v3.1:5:7638840:7639153:1 gene:PAHAL_5G127700 transcript:PVH37936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAHPLPVYPARRPLLKRLRRRDGVGRNRNADMYVSPIESRSQRANRASYLAWHHREVAT >PAN26522 pep chromosome:PHallii_v3.1:5:1255540:1259105:-1 gene:PAHAL_5G018500 transcript:PAN26522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uridine 5'-monophosphate synthase (UMP synthase) [Source: Projected from Oryza sativa (Os01g0951400)] MHRPLLPKDQATMDAGAKEALILDLHAVEAVKLGSFVLKSGITSPIYLDLRVLVSHPRLLASVASLLRTLPPTRPYDLLCGVPYTALPFAAVLSVAASVPMLLSRYDDKSIEGTFTAGQAVLIVEDLVTSGASVLETVGPLRGEGLVVADAVVVIDREQGGRENLAASGVTLHSLMTLTEMLAVLLRHGKVSEEKAGEVSRFLDANRKVAVPVKHKVARKSFAERAGLAIINPMGRKLFETMEAKQSNLCVAADVGTAKELLELADKVGPEICMLKTHVDILSDFTPDFGVKLRMIAEKHSFLIFEDRKFADIGNTVTMQSEGGIFRILDWADIVNAHIVPGPGIVDGLKLKGLPKGRGLLLVAEMSSAGNLAYGEYTAAAVKIAEQHSDFVIGFISVNPASWSAAPSSPAFIHATPGVQMASGGDAFGQQYNTPYSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWQAYQCSLS >PVH37663 pep chromosome:PHallii_v3.1:5:3515715:3517016:-1 gene:PAHAL_5G055500 transcript:PVH37663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQSKRTSAPPPPAVPPVGGQAGQPPAIPSMVSPGAWCPPCPLPQPMVFSVGLNLHNGLQQPGMVGSTTTQSPWWAPTGVGAPVAEDLNVQSWGVDSHPPGGFVNFLKQNASSTAQYMSKASSSQPIDVVDDTNCGSRTEKRLRWTKEEDLVLIGAWFNNSKDPIQSNYKKNDQYWKSVTAAYNSAGPKSRARQLKQFCASWKEANAMWTSGEFNVNLMDRAVKLYEDERKNDGPFMFKHCWELDKRKFQEDFQEHFSLNNVVDERPIGGKKAKEQQKRKRKDQACIIDIEDELHAFVEAQNKANEDRKEMLEAQKRVSSDNLEARKLAYLAAKENTESGMLET >PAN30320 pep chromosome:PHallii_v3.1:5:24516088:24516713:-1 gene:PAHAL_5G304700 transcript:PAN30320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVGSSKRRGGLGRALKEHKARLYIIRRCVVMLLRWDD >PAN28975 pep chromosome:PHallii_v3.1:5:11538878:11540805:1 gene:PAHAL_5G191000 transcript:PAN28975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHAHAGSAAAGVQLKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPTTHKPISANAAAALDQPASQEHKPPPAADSGGFEPKQQQQQQQQVFDPFPLTDSFGGGFEAAGAALYGHLGGGKQDAAGFVDYSSVLDVSENLGYGESSSNSSNWNCAPEAGNALDGGEAPLHWASSEEQSLEHKFLLPCHGQQEQSLPHFDFDIGRGAVVGEFNLEFF >PAN31948 pep chromosome:PHallii_v3.1:5:53752487:53754326:-1 gene:PAHAL_5G451900 transcript:PAN31948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFGSSKFMQRHINFTGILSKDPALNPDFYSWNRVFVRYCDGASFAGDSQHVDQDGNATLFFRGRRIWEAVLDELMQKGLAHSEQALLTGCSAGGLATLLHCNDFRARFPPEVPVKCLPDAGFFLNVEDISGQRSMRSVYSGVVRLQNVTEVLPKGCLLAKKDPTECFFPGEVIKSIRTPTFILNSAYDSWQVQNVVAPDISSPDEPWRRCRADIRSCNSSQIQVLNGFRKAMVDDLKAVGDNNNCSWFIDSCFSHCQSWFDNSPWNTPVAPRLGNKTLVEAVGDWYFGRSQRQVVREIGCEYPCNPTCNSHQLPA >PAN31949 pep chromosome:PHallii_v3.1:5:53751994:53755158:-1 gene:PAHAL_5G451900 transcript:PAN31949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDEFRSPLLPPQRPRRVIRAAAAWAAALSVAATLALLLVAGLGPLPSACCGTSRSRHRPRSSPPDPVELTLLAAARDKGAVCLDGSPPGYHLQTGSGAGSRSWLIHLEGGGWCDTVRSCSSRRMTYFGSSKFMQRHINFTGILSKDPALNPDFYSWNRVFVRYCDGASFAGDSQHVDQDGNATLFFRGRRIWEAVLDELMQKGLAHSEQALLTGCSAGGLATLLHCNDFRARFPPEVPVKCLPDAGFFLNVEDISGQRSMRSVYSGVVRLQNVTEVLPKGCLLAKKDPTECFFPGEVIKSIRTPTFILNSAYDSWQVQNVVAPDISSPDEPWRRCRADIRSCNSSQIQVLNGFRKAMVDDLKAVGDNNNCSWFIDSCFSHCQSWFDNSPWNTPVAPRLGNKTLVEAVGDWYFGRSQRQVVREIGCEYPCNPTCNSHQLPA >PVH39191 pep chromosome:PHallii_v3.1:5:53752085:53755158:-1 gene:PAHAL_5G451900 transcript:PVH39191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDEFRSPLLPPQRPRRVIRAAAAWAAALSVAATLALLLVAGLGPLPSACCGTSRSRHRPRSSPPDPVELTLLAAARDKGAVCLDGSPPGYHLQTGSGAGSRSWLIHLEGGGWCDTVRSCSSRRMTYFGSSKFMQRHINFTGILSKDPALNPDFYSWNRVFVRYCDGASFAGDSQHVDQDGNATLFFRGRRIWEAVLDELMQKGLAHSEQALLTGCSAGGLATLLHCNDFRARFPPEVPVKCLPDAGFFLNVEDISGQRSMRSVYSGVVRLQNVTEVLPKGCLLAKKDPTECFFPGEVIKSIRTPTFILNSAYDSWYRMLLRRIYPLLMSHGAAAELTFAAATPRRYKSSMDLGRRWWMTSRL >PAN32991 pep chromosome:PHallii_v3.1:5:58492622:58494247:1 gene:PAHAL_5G527500 transcript:PAN32991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTTTPKPVCAQESLALLNCVAAVPYDRDRCLALVDALRDCITQKRVKKFSLAEPSSSTSTEAPKSDPKP >PVH39524 pep chromosome:PHallii_v3.1:5:58512412:58516167:-1 gene:PAHAL_5G528000 transcript:PVH39524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MKVTDKERMGPVPCPLLCFLWWRAEQKLCQDDPSADAEPAAGAARLVTGPAAWACTSSHRGGSICFSCPADAVTRATPAPTRKAKALPVLALGRFLGANPAARPQIPPATPFAAPASLSPPSSQAGRQPSPPPPPSPLPLPADPDPPRAHQSSAFGYAVSVVSSFQGRRAFCSISIRPFTGAPSIRLAPSVRQDDPSDPSHRVRPLDGRWSCRRAHPATAAMEFWPEFLATSGGREFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPVSPGIVDAPSRPPSGARLLRGILRAEGPAALYRGMAAPLASVAFQNAMVFQVYAILSRSLGQESSTSEPPSYSSVALAGVGTGALQTLILSPVELVKIRLQLEAAGHKHRRPGDHHGPVDMARDIFRREGLRGIYRGLTVTALRDAPAHGVYFWTYEYARERLHPGCRRGGGESLATMLVSGGIAGVASWVCCYPLDVVKSRLQASPAARYRGVVDCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLGSGNGNGQRMVMEEN >PAN29574 pep chromosome:PHallii_v3.1:5:14723817:14726713:-1 gene:PAHAL_5G235200 transcript:PAN29574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGLLQDESDAATTSLLPLPLLSCGPRSMVPISVPSSSDLESILSPDPIYSDLQLKEINFNAPAMDESTEFLHLILSGNDEGYNNTAEFQVWDALDFYVTENFSTFQFDSLMGFSNEVSTSYNDCMNLVDMVERPVARLSLDDSVEPNDTSIEVPVDHTTLDPDDTSLYLQTKPTDSETESSSAAGDVVETEYLDQKLLSRGLPDLMDVDSPSHLTKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPYLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGKYISRRIYRESCLFSDGCYTKDLTILGVDLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLESLVDAEDVRPMISKTFHDKLEQN >PAN29575 pep chromosome:PHallii_v3.1:5:14723415:14728961:-1 gene:PAHAL_5G235200 transcript:PAN29575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGLLQDESDAATTSLLPLPLLSCGPRSMVPISVPSSSDLESILSPDPIYSDLQLKEINFNAPAMDESTEFLHLILSGNDEGYNNTAEFQVWDALDFYVTENFSTFQFDSLMGFSNEVSTSYNDCMNLVDMVERPVARLSLDDSVEPNDTSIEVPVDHTTLDPDDTSLYLQTKPTDSETESSSAAGDVVETEYLDQKLLSRGLPDLMDVDSPSHLTKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPYLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGKYISRRIYRESCLFSDGCYTKDLTILGVDLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLESLVDAEDVRPMISKTFHDKLEQN >PAN29573 pep chromosome:PHallii_v3.1:5:14723415:14728025:-1 gene:PAHAL_5G235200 transcript:PAN29573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMLSNDCLGTQELHTFCKTTETSEHSHSQQITLDKTAVGSTLISHQNVCCTAEVSRENAEIMEIGLLQDESDAATTSLLPLPLLSCGPRSMVPISVPSSSDLESILSPDPIYSDLQLKEINFNAPAMDESTEFLHLILSGNDEGYNNTAEFQVWDALDFYVTENFSTFQFDSLMGFSNEVSTSYNDCMNLVDMVERPVARLSLDDSVEPNDTSIEVPVDHTTLDPDDTSLYLQTKPTDSETESSSAAGDVVETEYLDQKLLSRGLPDLMDVDSPSHLTKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPYLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGKYISRRIYRESCLFSDGCYTKDLTILGVDLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLESLVDAEDVRPMISKTFHDKLEQN >PAN29582 pep chromosome:PHallii_v3.1:5:14723817:14727558:-1 gene:PAHAL_5G235200 transcript:PAN29582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMLSNDCLGTQELHTFCKTTETSEHSHSQQITLDKTAVGSTLISHQNVCCTAEVSRENAEIMEIGLLQDESDAATTSLLPLPLLSCGPRSMVPISVPSSSDLESILSPDPIYSDLQLKEINFNAPAMDESTEFLHLILSGNDEGYNNTAEFQVWDALDFYVTENFSTFQFDSLMGFSNEVSTSYNDCMNLVDMVERPVARLSLDDSVEPNDTSIEVPVDHTTLDPDDTSLYLQTKPTDSETESSSAAGDVVETEYLDQKLLSRGLPDLMDVDSPSHLTKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPYLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGKYISRRIYRESCLFSDGCYTKDLTILGVDLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLESLVDAEDVRPMISKTFHDKLEQN >PAN32948 pep chromosome:PHallii_v3.1:5:58280400:58280753:-1 gene:PAHAL_5G524300 transcript:PAN32948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGNGSPDGAGPSGSGGQGPGRRARYCSHFSLASRSGRSDPGRRRRVPRPHACARPGTRAHGAYMARPDVGAATTTKISRAGTPPRRGNRERRRERAPVRKGTSPVGLAVALAMAP >PVH38060 pep chromosome:PHallii_v3.1:5:10032837:10033784:-1 gene:PAHAL_5G165200 transcript:PVH38060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPWGVDRSGRRGREGRKDDGAISCEGNGGDREERSPRRENGVSRRPPPENLMHDVIKGRNNHGEAPGSSHGKDRTSLLVRAAASVDAEKAASASACHDFKFGDNQVSDALLARLFELGASAPRFVDAKRLHSTDVRVNQNRLQLPGRSPISRAFTDAEKARLRTSAGMPVPALDRRGREYEMTCKLWKADKHYRFMGQGWRSFREAHHLTIPKGARLTRRVEVELWAFRSRALPPPEGDGGGEEAGQHPDGALALVVLLRDDGEQEGVAAAAAPAESYTSMFRQLAAAAALLTVLIIMGGANKRKRDDDSKTGV >PVH38116 pep chromosome:PHallii_v3.1:5:10998213:11000779:1 gene:PAHAL_5G182100 transcript:PVH38116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component 8 [Source:Projected from Arabidopsis thaliana (AT5G15100) UniProtKB/Swiss-Prot;Acc:Q9LFP6] MISWPTIYHVLEETVPLYVAMIVAYLSIQWWKLFTPEQCSGINKFVAKFSIPLLSFQILSTNNPYDMNLKLIFSDILQKSISLLGFAVISKACCVEKFDWLITGFSLSTLPNTLIVGIPLLKGMYGNEAVKLLSQIVALQSLIWYTLLLLLFEFRAARGMDTTTSSETANEAESGTPAPMQERHEEGQTKGVSARCYSAFRFLLVVGRKLVMNPNMYASLIGLIWALISFRWRIQLPSIVSNSIRILSDGGLGMAMFSLGLFTALQTKMIACGTKKMLLSLGIRFFLGPAMMVISSYAIGMHGTLLKVAIIQVDSSPFICIVHSNTTAWTSTTTVMLHLSSSAQAALPQGIVPFVFAKEYNVHADILSTAIIVGMMVAVPVALGYYFVIDHPRL >PVH39398 pep chromosome:PHallii_v3.1:5:56160015:56160355:1 gene:PAHAL_5G492400 transcript:PVH39398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRTAAEAPPPPLSCPLLTQHASRWRCECALAGGESVADVLLVLRSLSCRPPHASPSAASRGLAR >PAN30601 pep chromosome:PHallii_v3.1:5:32079457:32080213:-1 gene:PAHAL_5G325600 transcript:PAN30601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSPAFVQLLLVLVTLLVFVGGILARGGRSTCANNPTRQEACPSIPGKGQ >PAN32395 pep chromosome:PHallii_v3.1:5:55718828:55719889:1 gene:PAHAL_5G484300 transcript:PAN32395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLMPVEAKISPHLPHRVLHQVIFDVAIICFSVFQHVNSDAALAFCFNVAQVSLRMGITLFIYMLQPASLDVVLMCLQKTVGNK >PVH38727 pep chromosome:PHallii_v3.1:5:34026287:34026586:1 gene:PAHAL_5G334000 transcript:PVH38727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEGGEDEGEIDLDSLIMGVEDMNVANTQKELNNWRRSDIEGVSGDANVIQRAFAKSVPEPNDDDLSDEEDDTNETYIDDGLIVPINSSSQGPDDDFFA >PAN32243 pep chromosome:PHallii_v3.1:5:55118164:55122353:-1 gene:PAHAL_5G473200 transcript:PAN32243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDMDDYIDPYEEAEAEAAAEAAGIAGPNAEDSDDDPDSDDSDAESDYEEQSFGLLTSGKHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHRRHGRERASHRAFARFVRTDPSFAEDLVGITGILGAIKPAPANSNGSGSATGEAKANAAPARSSSVPAENGVLPLEVERYAWPWACVLAAGSGFNAEEFAGRVAMFSFVEVVPLFVDGMDSIGTFAIVRFTNDWSGFNDALTLENHFSVNRLGKKEFEISSSGMGAAEGEGGECEVKVYGWVAREGDYNGGTVVGRFLRKHTILKTIDEVSKTESEKSGEMVARLASQIEEKNRYLHDLETKKNATELSISRLEEDNRKLHEAYNEEMRNLHRRARENALRIFQENENLRQELDNKRRELNSRAKQLEKLSAENANDRKTLDDERQKAKDDNSELELASIEQQRADEDVLKLLDDQKREKEDVFARMLQLEKELLEKQQLELEVARLNGTLQVMKHLEGDDDGDIHEKMEKLSERLEHEKKRLEDLSGDLVRKERESNDELQQARKELILGLEDELNGRTAIGVKRMGELDEKPFQNACKRKYGNDDYLAKAAELVSSWQEELKKPSWHPFKVVQVNGEDKEVLDDDDAKLKYLWIEYGDDVCNAVKTALMEINEYNPSGRYVVSELWNFSKGRKATMKEVLKYLFRQMETTTKRRRG >PAN32244 pep chromosome:PHallii_v3.1:5:55118201:55122334:-1 gene:PAHAL_5G473200 transcript:PAN32244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDMDDYIDPYEEAEAEAAAEAAGIAGPNAEDSDDDPDSDDSDAESDYEEQSFGLLTSGKHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHRRHGRERASHRAFARFVRTDPSFAEDLVGITGILGAIKPAPANSNGSGSATGEAKANAAPARSSSVPAENGVLPLEVERYAWPWACVLAAGSGFNAEEFAGRVAMFSFVEVVPLFVDGMDSIGTFAIVRFTNDWSGFNDALTLENHFSVNRLGKKEFEISSSGMGAAEGEGGECEVKVYGWVAREGDYNGGTVVGRFLRKHTILKTIDEVSKTESEKSGEMVARLASQIEEKNRYLHDLETKKNATELSISRLEEDNRKLHEAYNEEMRNLHRRARENALRIFQENENLRQELDNKRRELNSRAKQLEKLSAENANDRKTLDDERQKAKDDNSELELASIEQQRADEDVLKLLDDQKREKEDVFARMLQLEKELLEKQQLELEVARLNGTLQVMKHLEGDDDGDIHEKMEKLSERLEHEKKRLEDLSGDLVRKERESNDELQQARKELILGLEDELNGRTAIGVKRMGELDEKPFQNACKRKYGNDDYLAKAAELVSSWQEELKKPSWHPFKVVQVNGEDKEVLDDDDAKLKYLWIEYGDDVCNAVKTALMEINEYNPSGRYVVSELWNFSKGRKATMKEVLKYLFRQMETTTKRRRG >PVH39353 pep chromosome:PHallii_v3.1:5:55724846:55725325:-1 gene:PAHAL_5G484500 transcript:PVH39353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTNGSGSGVFARWDDGWRRRRRVRAMGRWMAAAPCYVRAASTGSRTTRHPLPPRDTPDDGRRQLRARGVWRRWHDGAAASMGLRWRCSCVGTTRLHGGNAGQGNRQPRRESGGGGSAAGWGPRIGRGGGGSAAAVGVGAGRSRIRGGGTTARVAAGR >PVH38629 pep chromosome:PHallii_v3.1:5:25451648:25452358:-1 gene:PAHAL_5G307900 transcript:PVH38629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLKLFTQFLSAAQILLCSATSLSRRPPPAPPPPQPSAPAAPRPAPRAASRLRGHRRLPFASTGAIRYRRPPRPPPQAGHLPPASARVVGSRPPPRPPPPAGHPCPPPRAWRAPRPLRARCLRPATSRAPPRAWWAPGHLRADRPVTSRPRPLVPRAASVRAANSRPPLCSLCAPPCSLFGRAPISPLPPTVRLPQHAEIRQQHGAPISDGSKLVHLLPKWVQLVVHLRRQLNC >PAN32842 pep chromosome:PHallii_v3.1:5:57778167:57782880:-1 gene:PAHAL_5G516000 transcript:PAN32842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSSLYRRVLPSPPAVDFTSPDGKRLFVEALEGGTMEGFFSLASCFQTQSEPAFCGLASLAIVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAQGITFGKVACLAHCSGADVQPFRASQITVDDLRRHLIRCTSSRDCHLIASYHRKPLKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTIDESTGLLRGFMLISRHNAAPSALYTVSCRDESWKSMAKYCVKDLPNILKAESLDSVPTLLSHFIDSLPANAGSLIKWVVEVRRKEEEGPYLSKEEKERLFVKENVLQQVHDTKLFMIVHDLQCAHIPCCNCSSSSEDSITRIAASVRSQGASMLSGNLVSSDGFCCRETCFKGVQANGGGPNTVVSGSVVSEGNEQGVDMLLPMPSLSMSSCNSNLCNEIIKYPSSAVVLTILLLALHPSTWFSIMDEKLKTEFQTLVSTDNLPDVLKQEIIHLRRQLYYLKACKDEEYEDPVPPSP >PAN32843 pep chromosome:PHallii_v3.1:5:57779821:57782664:-1 gene:PAHAL_5G516000 transcript:PAN32843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSSLYRRVLPSPPAVDFTSPDGKRLFVEALEGGTMEGFFSLASCFQTQSEPAFCGLASLAIVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAQGITFGKVACLAHCSGADVQPFRASQITVDDLRRHLIRCTSSRDCHLIASYHRKPLKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTIDESTGLLRGFMLISRHNAAPSALYTVSCRDESWKSMAKYCVKDLPNILKAESLDSVPTLLSHFIDSLPANAGSLIKWVVEVRRKEEEGPYLSKEEKERLFVKENVLQQVHDTKLFMIVHDLQCAHIPCCNCSSSSEDSITRIAASVRSQGASMLSGNLVSSDGFCCRETCFKGVQANGGGPNTVVSGSVVSEGNEQGVDMLLPMPSLSMSSCNSNLCNEIIKYPSSAVVLTILLLALHPSTWFSIMDEKLKTEFQTLVSTDNLPDVLKQEHIICSRWPSEVT >PVH38421 pep chromosome:PHallii_v3.1:5:16080083:16080466:1 gene:PAHAL_5G253800 transcript:PVH38421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWPVLLLHLTPLHWQQSLPLHEARRFCGSLVMPALNESSASLSFVMQCAYTEQEVVVATISRRRRAKAASMEGTGVVRLCCCFWFFCDTNAYVSVELLKLRVKFIAVCIRSYVVQRKVGACRRTSQ >PVH38579 pep chromosome:PHallii_v3.1:5:22007719:22008777:-1 gene:PAHAL_5G294300 transcript:PVH38579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRIPTTTPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH38072 pep chromosome:PHallii_v3.1:5:10243507:10244489:-1 gene:PAHAL_5G169200 transcript:PVH38072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVLIAEEMKLWVEMRGYDGDMVTAEEVETKLGWVMESEERGALRERVLVERERADGALKEGGSSYDAFVEFLKDLEIVNRL >PVH38558 pep chromosome:PHallii_v3.1:5:20797319:20799009:-1 gene:PAHAL_5G288200 transcript:PVH38558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNKSRNKLKVGTVQVDGSKTYVLKFDMHCQCNGCIKKINDGVKEISLSDEGVERADLLIETGEVKVSGRMDPEKLLSLLHAVTKKCVEIVTQSTLSEGHNAASPQNKNAPPDQFGFPVTSSAPPLPEEAWSETMPSGRCWYRWSAPLSSFGVWAASDITATLAMYEL >PVH38715 pep chromosome:PHallii_v3.1:5:33818113:33818445:1 gene:PAHAL_5G332900 transcript:PVH38715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLTPVISNPEVNRTLATLRKVELGFLGLIVEKSTDKSSLLSLYRTVHEIFTSYGSSFNYFEGILFLVRESPPFFHSVPKTK >PVH38973 pep chromosome:PHallii_v3.1:5:50279976:50283365:1 gene:PAHAL_5G405500 transcript:PVH38973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWTERCNGQRTTKGMGLGTCLGIRRGRRRTGNRTARAPTRPPLPPGCCLPRAWQRRQPAVVGPTANARLSGAPVRAVRRRWPAGAGAVDLARSDVGAMRYYVTFRAASTATRPGRPRPAVARSVAGAHRRCLSFFPAPHWSLSFFLSFFPGRLRSRPLCADSRAGRWTVTREPAWKQVSDGWPPCMHMRVRARPLSVHWRHVLVGPRRRRLLRPRRELGR >PAN26915 pep chromosome:PHallii_v3.1:5:2978090:2979043:-1 gene:PAHAL_5G047200 transcript:PAN26915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKVGSVRAAVNLRDRLARFMKRKDGLKRKAEELSTRCAVDVAVVCTGPGGRGDPDCWPSKEAATEVARRYSALDPAQRAKHTEDHAAGVARRLAEERDKLVRAREGGVPGSLGSWDGSLEGVSEEKLRELLASIDGSLVAVKNRVLELQAPLGAAADRATLWSVLVHDDNDIFVPPHGEADAGGGGLHPPPSKNPNSPNAVPVDAGEEVVAENIVTAEDAGDEVQMLQPPRDADEWMRGPVDDIKKRPQPYNPAAYDTRIQYISVGRFMMELDTYEFIRFDLGMPPPCIAPNSLDDDDGEPLKLWSWDNTMPRF >PAN30560 pep chromosome:PHallii_v3.1:5:21970540:21973579:1 gene:PAHAL_5G294000 transcript:PAN30560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTATGTLCCRLIRLPSARCPPPSRARCSATSAAHSPDAVDMEYADLNLRPLYPIRGQHLRIRQHVNPLSSSFSEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSDQRRNYLGVEIRQKLVERTQFWVNELGLRNVYFMFANATVSFEQIISSYPGPLSLASILCPDPHFKKRHHKRRVLQPPLVDSITKNLCLGGRVLIQSDVLEVAADMRKRFDGYSDILAHVDRVDKDLHCDNEGWLLDNPMGIRTEREIHAELEGATIYRRMYQKIRDASH >PAN30979 pep chromosome:PHallii_v3.1:5:47365760:47366995:1 gene:PAHAL_5G381000 transcript:PAN30979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRSSSGSASLVLALLLAASLALQPCHGARGVPAGAGPEEKPLRPQNVFGFGGFYPGPTVNWVFPGPNGVTPQVGFGGMPGSGAFPGVGGSGGVSPFTPGGGGAAAGAAAKKP >PAN30430 pep chromosome:PHallii_v3.1:5:19735162:19736654:1 gene:PAHAL_5G281700 transcript:PAN30430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRAPTPQIELQIKQEAGAEGRRGVQATGGDERWPGMDGEKMRPVRTGAADWNERTSEMSGWGQAGARVVDGDERTSDEGAGERPATRGGRATVGCGWG >PAN29069 pep chromosome:PHallii_v3.1:5:12145171:12149878:-1 gene:PAHAL_5G200100 transcript:PAN29069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLAIPEISRAPGRRRRRDGGGGDSSSAAAAEEEDGTEVVRLRTRRGNEIVGVYVRHARASATMLYSHGNAADLGQMYGLFVELSRRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRAVVLHSPILSGLRVLYPVKRTFWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWEHCKVKYSPLWLSGGGHCNLELYPDYIRHLKKFVSSLNKKSPKPDPQEITANDDTTTKATEAACSEKEKPKEAAKCSQISRKSLDSRVGKSKTVDVAEKPRMSSDDIDKFRRRRCLVW >PAN32335 pep chromosome:PHallii_v3.1:5:55506330:55507280:1 gene:PAHAL_5G479300 transcript:PAN32335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHDMHGHNGGVKGFVSNLVHGEKGHGGHGYEHGYGHGYPPPAAGAYPPPHGGYPPAAYPAHSAQYGHMGSYHTGHGGYGGGHHHGGYGGGKHKGGGMFGGGKYRKWK >PAN27274 pep chromosome:PHallii_v3.1:5:4393731:4399355:-1 gene:PAHAL_5G071200 transcript:PAN27274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYGYPTNLYNIYGGAQYPFYGGAAAGMVTGTSPFYPYFQFGQSGNTTTNYTSGQGYNLQYPQMFHFSTVSSTAAAVTGFAQQFGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >PAN27273 pep chromosome:PHallii_v3.1:5:4395092:4399124:-1 gene:PAHAL_5G071200 transcript:PAN27273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYGYPTNLYNIYGGAQYPFYGGAAAGMVTGTSPFYPYFQFGQSGNTTTNYTSGQGYNLQYPQMFHFSTVSSTAAAVTGFAQQFGGPLSLAASPQAQAVCVPIKQA >PAN30178 pep chromosome:PHallii_v3.1:5:22198238:22204245:-1 gene:PAHAL_5G295800 transcript:PAN30178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVYLAIGAGLASTLQVSCWTITGERQAARIRALYLKAILRQDIAYFDMEMSTGQVTERMAGDTFLIQDAIGEKVGRSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPIAISFAIITKLRIRLSTHMQAKYGDAGNVVEQTLGAIRTVVSFSGEKHAIKMYNKLIRKVYESALLEGIVSGLGLGSMELSLFCSYGLAVWYGSRLIVEHGYNGGSVISIIMAVTIGAMCLGLATPSVTAFAEGQGAAYRMFKIIERQPDIDIYDTTGIILEDIKGDVELKDVYFSYPTRPEYLVFIGFSLRVPSSTIMALVGESGCGKSTVISLVERFYDPQAGQVLIDGVDIRRMKLGWIRGKIGLVSQEPVLFSTTIRENIAYGMENLTLEDIKAATELANAAKFIDNFPSGLDTMVGERGIQLSGGQKQRIAIARAIVKNPKILLLDEATSALDMGSERVVQEALNRVMLQRTTIIVAHRLSTVKNADVISVLQNGKMVEQGSHVELMKIPEGSYSKLIHLQETQPEAESSHIDNDMISTNDFGSTTINTEPRSKSFSARSVSKGSSSIGHSDRHSFSASPRIPDPTEFSPAPNIEDDKTHNVMTNGPKKAPIARLFYLNKPEVFVLSLGSITAAMHGVILPLFGTLISIAIKTFYEPPEKLPKDSRFWASMFVTLGAYSFVLNPVEYFLFGLAGGKLVERIRSLMFQSVMSQDINWFDKPEHSSGLIGARLSTNALIVKQLVGDNLALNVQTLSTIVSGLTIAMVANWKMTLIITFVLPFVGLQAYAQMLFLKGVNKNAKLKYEEASQVATDAVGGIRTVASFCAEKNVMETYEMKCKSPIRQGIREGVVGGLGFGFSLAVFYFAYALCFYVGAKFVQQGKATFPEIFKVFFVLVLGAEGISRSSAIGADSNKVNDAATSVFEILDCKSKIDYSSEEGVTIASVRGDIDFQNVCFSYPLRPSIQIFKDLSLSIPSGKTVALVGESGSGKSTVIALLERFYDPDSGSIFLDDINLQTFKVSWLRQQVGLVSQEPVLFNDTIRANIAYGRQGEASEEEIVAAAEAANAHEFISALPDGYNTIVGERGIQLSGGQKQRVAISRAIIKNPKVLLLDEATSALDVESERVVQEALDNVVVGRTTVVVAHRLSTIRGADAIAVLKNGTVVEKGSHGELMRIKDGIYASLVELSSSSS >PAN31256 pep chromosome:PHallii_v3.1:5:50006983:50013992:1 gene:PAHAL_5G402400 transcript:PAN31256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESWRPAEASAAALPDAGTPGAPARSRGAGNGGKGGGPGASAATRVPFHKLFAFADSTDVALMLLGALGAVANGAAMPFMTVLFGNLIDAFGGAMAIHDVVNRVSNVSLEFIYLAIASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTSTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFFGGFIVAFAQGWLLTLVMMATIPPLVLAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVEKYNSSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRTPEIDAYSTTGRKLEDIRGDIEFRDVYFSYPTRPDEQIFKGFSLTIPSGMTIALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANAAKFIDKMPQGFDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHNELLRDPEGAYSQLIRLQEANRQDTRKGDSNARSGKQMSINKSASRRSSRDNSSHHSFSVPFGMPLGIDIQDGSSNKLCDEMPQEVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPLSSYLFSVAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNSSTLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEDRKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIVDRKSRIDPSEDAGVTVETLRGNIEFQHISFKYPTRPDVQIFRDLCLTIHAGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKDGQATESEIIAAAELANAHKFISSALQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVRNGVIIEKGKHDALINIKDGAYASLVALHSAASS >PVH37539 pep chromosome:PHallii_v3.1:5:1626690:1627800:1 gene:PAHAL_5G025500 transcript:PVH37539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTPLHRPHGQQRHGERPRHGRHRGRRRRGGLRGDGGRVAEGREGRVGLERVRVGRARAGRDGGLRVGRRRGGAVLCGGGQHGHNVRRQRHRRRGCGVGVAVLPGEPRERRVRGRRGDGRRPERGRGGAGRRVVERQHGREDGEEETAARARPRHWSLPARCVGVRGAERSEDC >PAN31113 pep chromosome:PHallii_v3.1:5:49520073:49524782:1 gene:PAHAL_5G397800 transcript:PAN31113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDAKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALREAGAIVPTSYEALESAIKETFDKLAEEGKISPVAEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRCLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >PAN27425 pep chromosome:PHallii_v3.1:5:4946795:4949748:1 gene:PAHAL_5G080000 transcript:PAN27425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGAGTRRRRLVERGSDRLAFITGQTRSLSCDPIPGSPSSYDSASTHQSELQQTEGDFGGEKFSDRTQLQKSVPRASIRQETRARTLSYDNLVPELKRADAPQEIKAKTISYEDELFHKFKTGSASAVPEIQPVNETPSRRPDPGTLSKKTNHDEAQSVQPRREVEMKSRAAPPSQSNQVEMKSRAAPPSQSNQADDAGWSVETLKELMNFTPQEITKAISATESNRFLASIAIAFLVVLSSWGLDIGGVITRVLVGTRPLLFLIITSITIVITQLLENKDPNARGRPVGPNLGSADSLGQMLDIGLLLQKALGALLIDCSVCAVIMICFLGF >PAN31226 pep chromosome:PHallii_v3.1:5:50290718:50293162:1 gene:PAHAL_5G405700 transcript:PAN31226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVDRKAAPTISTERTSESSDVDPSSGGALGNLSHILSETAQSPELLPVLGKHRKETTSLAHLRVPPKELFLDQLVSSHDNLPGCRSTGPIPNFPFQQLMCYSNELASKHGGSTNSLWFNQNESSCSTVSTVMAPVSPSTLSTSTGLNRSPENPHSGGTGIHSTQFYWDTTNPSSSSSKGSSGSNSLGFELQSTSSILENSIFPWTDLSPDKNSHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVVKAESQFNMEGLCAAWSQNLQPQQHLQVVSDLYDKDLQRMSLSFENI >PAN27778 pep chromosome:PHallii_v3.1:5:6452979:6455090:-1 gene:PAHAL_5G106700 transcript:PAN27778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGDSGGAARRDLVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLDDEGETKHLLALGGADTRLRLFQMDLLDPASVRPAVEGARGVFHLASPFILQAEDPENELLEPAVKGTLNVLRAAKDCGAGRVVLMSSQAAMLPNPDWPADKVIDEDCWADVEFLKKLQLWYSVSKTLAEKAAWDFAAEEGLQMAVLNPGMVLGPMLTPSVNASLQLLLQLLAGEKLDLADIYIGCVDVRDVAHSLMVLYENPSAQGRHLCLESIERLVDFTNNIADLYPEHRVQRIREDKQGWVVRAKDPSKKLIGLGVRFTPFDKTIRDTVGCLRSKGLI >PAN28631 pep chromosome:PHallii_v3.1:5:10157668:10158534:-1 gene:PAHAL_5G167500 transcript:PAN28631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIQGYYEDGTTVVSFDEDYIDTTLTDSGDVVDWWVAETYRMHRRGHVAGLDVEWRPAGRAPPGPVAVLQVCVDHRCLVFQILRADYVPAKLSAFLADRRFTFVGVGIRDDAAKLRAGYGLRVASAVDLRRLAADALGRPDLLRAGLQALVLEVMGVQMEKPHHVRVSAWDAAALSYDQLMYACADAFASFEVGRRLYDGDY >PVH37880 pep chromosome:PHallii_v3.1:5:6759602:6763190:-1 gene:PAHAL_5G112100 transcript:PVH37880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRDGDGEQRQQEEESAGGRCHGTAARAAGGVVRGRAASGEAEQMRVAVAASLGVPEKASPHIFRRDSRITPGPPCIFGSPRTNRGQRPPPPPSSFPSSAGSSPPTLRFGDAPIQIQGLIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKYAMG >PVH39408 pep chromosome:PHallii_v3.1:5:56283978:56284331:1 gene:PAHAL_5G494700 transcript:PVH39408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDMCIATVSLAGGLASTNFSSFSSSTLMLEPSTPLAPVCGLVFLDPLYGIVACWPEFAGDATGV >PVH39010 pep chromosome:PHallii_v3.1:5:51382568:51384509:1 gene:PAHAL_5G416400 transcript:PVH39010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEVSLTCRILTRHSRILFTTAATLNLIIVLVQFILEQGASKNLNLMEWDKLWTINKKIIDPLCARHTAVLKDQRVLLILTNGPEEPFVRILPRHKKYEGAGNKATTFTSRIWLEYADASVLITGQEVTLMDWGNTIIKENKTESGVITQLVGELHLEGSVKLTKLKLTWLPDIEDLVSLSLVGFDFVITKKKLEKDDDFVNVKFINPCTRRETSALGDPNMRNLKQGEIIQLEREGYYRCDVPFIRPFKPIVLFAIPDGRQQPPAN >PAN28206 pep chromosome:PHallii_v3.1:5:8250425:8253862:1 gene:PAHAL_5G138200 transcript:PAN28206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 3 [Source:Projected from Arabidopsis thaliana (AT1G19715) UniProtKB/Swiss-Prot;Acc:F4HQX1] MSFRGLTGKNSTTVGPWGGLAGDPWDDGVNSGVRQIIITHGVAIDSIQIEYDLRGGAVWSEKHGTASGNSKTDQVKLDHPHEVLTSISGCYGAVGTSVVIRSLTFQSNCSKYGPFGTEQGTSFSLPVSSGKIVGFHGRSGSCLHSIGCHLNKENNTKLSKNAPSALRSITRSYDRNGHRYADGSAGYDMVLAVKDRGDNYSVLTSSLPKEQYPHPSQMNKMVSIPSFYSDNGTMTISTPVRFGPWGGNSGTIFDDGIFTGVRQINLTRGLGISSMKLLYDRNGQAIWGDKRGTSGGSRPEKVVFDFPSEILTHITGYFGSTMIMGPTVIKSLTFHTTKKSHGPFGDEHGTFFSSCLTDGRIVGFHGRAGWYIDSIGVHVLEGKVLSQRVDTEISDTSPSGQSDMLALARREIGDEVTYGVVKEPIPIGPGPWGGDGGKPWDDGVYTGVKQIYIMRNDFIGSIQIEYDRSGQSIWSTKHGNGGQITHRIKLDYPHEVLTCIYGYYNTCVQEGPRVLRSITLVSSRGKYGPFGDEIGTYFTSATTEGKVVGFHGRSSLYLDAIGVHMQHWLGDVKTASASNSKYYISRYLF >PAN31556 pep chromosome:PHallii_v3.1:5:52152033:52159290:-1 gene:PAHAL_5G427500 transcript:PAN31556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLLPRVAMLLVAAAAEAVGSAAPAGSRELGGGLSRDDFPRGFVFGAGTSAYQWEGAAAEDGRAPSVWDTFAHAAGHHPGDGDVAANGYHKYKEDVKLMKETGLDAFRFSISWPRLIPNGRGEVNPKGLEYYNNLINELLDHGIEPHATLFQYDLPQVLEDEYNGWLSPQIIDDFTAYSDVCFREFGDRVTNWTTLNEPNAAALLGYDVGFAPPGRCSEPFGNCPNGNSVTEPYIVGHHSLLAHSSAVSLYRKKYQEKQHGVIGMNIFIYDFIPLTNSTEDTTATERAKAFYTGWFLDPLYHGDYPDIMKKNAGSKLPKFSNNQSEQLVNSVDFLGVNYYSIMYVKDDPQAASSNERDFLADISAKTIYTNTATIQGVLEYFKQYYGNPPIYIHENGYPMNQDVVFDDGPRVEFLSEHLTSLADSVRNGSNTKGYFAWSLMDLYELLGGSTYGLYYVDFADQELQRYPRRSAIWYADFLKGRRAAVPGRSSDSSLPVSSV >PAN31553 pep chromosome:PHallii_v3.1:5:52152367:52159178:-1 gene:PAHAL_5G427500 transcript:PAN31553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLLPRVAMLLVAAAAEAVGSAAPAGSRELGGGLSRDDFPRGFVFGAGTSAYQWEGAAAEDGRAPSVWDTFAHAAGHHPGDGDVAANGYHKYKEDVKLMKETGLDAFRFSISWPRLIPNGRGEVNPKGLEYYNNLINELLDHGIEPHATLFQYDLPQVLEDEYNGWLSPQIIDDFTAYSDVCFREFGDRVTNWTTLNEPNAAALLGYDVGFAPPGRCSEPFGNCPNGNSVTEPYIVGHHSLLAHSSAVSLYRKKYQEKQHGVIGMNIFIYDFIPLTNSTEDTTATERAKAFYTGWFLDPLYHGDYPDIMKKNAGSKLPKFSNNQSEQLVNSVDFLGVNYYSIMYVKDDPQAASSNERDFLADISAKTIYTNTATIQYHVPPYGLQGVLEYFKQYYGNPPIYIHENGYPMNQDVVFDDGPRVEFLSEHLTSLADSVRNGSNTKGYFAWSLMDLYELLGGSTYGLYYVDFADQELQRYPRRSAIWYADFLKGRRAAVPGRSSDSSLPVSSV >PAN31555 pep chromosome:PHallii_v3.1:5:52152033:52159290:-1 gene:PAHAL_5G427500 transcript:PAN31555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETGLDAFRFSISWPRLIPNGRGEVNPKGLEYYNNLINELLDHGIEPHATLFQYDLPQVLEDEYNGWLSPQIIDDFTAYSDVCFREFGDRVTNWTTLNEPNAAALLGYDVGFAPPGRCSEPFGNCPNGNSVTEPYIVGHHSLLAHSSAVSLYRKKYQEKQHGVIGMNIFIYDFIPLTNSTEDTTATERAKAFYTGWFLDPLYHGDYPDIMKKNAGSKLPKFSNNQSEQLVNSVDFLGVNYYSIMYVKDDPQAASSNERDFLADISAKTIYTNTATIQGVLEYFKQYYGNPPIYIHENGYPMNQDVVFDDGPRVEFLSEHLTSLADSVRNGSNTKGYFAWSLMDLYELLGGSTYGLYYVDFADQELQRYPRRSAIWYADFLKGRRAAVPGRSSDSSLPVSSV >PAN31554 pep chromosome:PHallii_v3.1:5:52152367:52157650:-1 gene:PAHAL_5G427500 transcript:PAN31554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETGLDAFRFSISWPRLIPNGRGEVNPKGLEYYNNLINELLDHGIEPHATLFQYDLPQVLEDEYNGWLSPQIIDDFTAYSDVCFREFGDRVTNWTTLNEPNAAALLGYDVGFAPPGRCSEPFGNCPNGNSVTEPYIVGHHSLLAHSSAVSLYRKKYQEKQHGVIGMNIFIYDFIPLTNSTEDTTATERAKAFYTGWFLDPLYHGDYPDIMKKNAGSKLPKFSNNQSEQLVNSVDFLGVNYYSIMYVKDDPQAASSNERDFLADISAKTIYTNTATIQYHVPPYGLQGVLEYFKQYYGNPPIYIHENGYPMNQDVVFDDGPRVEFLSEHLTSLADSVRNGSNTKGYFAWSLMDLYELLGGSTYGLYYVDFADQELQRYPRRSAIWYADFLKGRRAAVPGRSSDSSLPVSSV >PAN28950 pep chromosome:PHallii_v3.1:5:11374243:11379607:1 gene:PAHAL_5G188900 transcript:PAN28950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMASFAVVSHHRVGHMAAGFPSTAREGGRVGRSGVTISMRAQKKKSTGDSGSSSGGGGAGGDGRASSGRRVWRRRKLTKDDDMLRYKLDRIPFLEEKVRKVRENGKIVCLDINQLMLSQENRFAFTMEVAEEANAYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >PAN30712 pep chromosome:PHallii_v3.1:5:43130596:43131030:-1 gene:PAHAL_5G364800 transcript:PAN30712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGSCLLHNNISTASNHEYAGDNSLAPVSSKLWCSESENKAAAAPSTRSIIVVAAAKSSSYPTSSSCVAGAELHLVLQRWPWTRCLVVMKMLSTAGIRDDAEQQATDRGGAVAAQVLRLVRRFGEVPRARGEEGIRRGRQQGC >PAN27285 pep chromosome:PHallii_v3.1:5:4421642:4426034:-1 gene:PAHAL_5G071700 transcript:PAN27285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAKEGGLGGAESSPSTPLATPVATPVSTRSVKWEKDVEDAAGALDRPLLHKRCTNTTSQMAVVGANTCPIESLDYEVVENEVYNQDWRSRGKLQIFQYQALKWALALFVGLVVALVGFFNNIAVENIAGFKLLLTSDLMLKDRYMMAFLVYIGCNTTLAAAAAALCAYVAPAAAGSGIPEVKAYLNGIDAHSILAPSTLLVKIFGSVLGVSAGFVLGKEGPMVHTGACVASFLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVTSWWRSALLWRTFSTTAVVAMVLRGLISYCRGGHCGLFGKGGLIMFDLSSRQATYTATDLAAVMLLGILGGLLGALFNYFVDRILRVYSLLNEKGARSKIILTVTISVITSCCTFGLPWLTSCTPCPPELAGKCPTIGRSGNFKNFQCPAGHYNALASLFFNTNDDAIRNLFSAGTDREFGVATLLTFFVTVYALGILTYGVAVPSGLFIPVILAGASFGRLTGSLVGSISGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHLLPLIMLVLLIAKTVADCFNKGVYEQMVRMKGLPYLEVHAEPCMRSLVAGDVVSGPLITLSSVERVGTVVETLRHTGHNGFPVIEEPPFAAAPELCGLVLRSHLLVLLQGRIFTRGRAKASAAEVFRTLAPFDFAKAGSGKGLKVEDLGLSEEEMDMYVDLHPITNRSPYTVVENMSLAKAAVLFRGLALRHMCVVPMTQGRPPVVGILTRHDFMPQYIRGLYPNTIPR >PAN27284 pep chromosome:PHallii_v3.1:5:4421971:4425267:-1 gene:PAHAL_5G071700 transcript:PAN27284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAKEGGLGGAESSPSTPLATPVATPVSTRSVKWEKDVEDAAGALDRPLLHKRCTNTTSQMAVVGANTCPIESLDYEVVENEVYNQDWRSRGKLQIFQYQALKWALALFVGLVVALVGFFNNIAVENIAGFKLLLTSDLMLKDRYMMAFLVYIGCNTTLAAAAAALCAYVAPAAAGSGIPEVKAYLNGIDAHSILAPSTLLVKIFGSVLGVSAGFVLGKEGPMVHTGACVASFLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVTSWWRSALLWRTFSTTAVVAMVLRGLISYCRGGHCGLFGKGGLIMFDLSSRQATYTATDLAAVMLLGILGGLLGALFNYFVDRILRVYSLLNEKGARSKIILTVTISVITSCCTFGLPWLTSCTPCPPELAGKCPTIGRSGNFKNFQCPAGHYNALASLFFNTNDDAIRNLFSAGTDREFGVATLLTFFVTVYALGILTYGVAVPSGLFIPVILAGASFGRLTGSLVGSISGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHLLPLIMLVLLIAKTVADCFNKGVYEQMVRMKGLPYLEVHAEPCMRSLVAGDVVSGPLITLSSVERVGTVVETLRHTGHNGFPVIEEPPFAAAPELCGLVLRSHLLVLLQGRIFTRGRAKASAAEVFRTLAPFDFAKAGSGKGLKVEDLGLSEEEMDMYVDLHPITNRSPYTVVENMSLAKAAVLFRGLALRHMCVVPMTQGRPPVVGILTRHDFMPQYIRGLYPNTIPR >PAN26529 pep chromosome:PHallii_v3.1:5:1283095:1285433:1 gene:PAHAL_5G019100 transcript:PAN26529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRMAGPGNAEGVTASMGELSVEAAPSSSRTEIAQHANGGDVAAAEDDDIWDDASDSPGHASNLDREWIHRQNQFHKMGYRDGITEGQKDSAQEGFNVGFRQSVNVGYKWGLVRGVTSAIASLPDNLKEKLVPDAQCRRKFLDVHTSVQEMSADDALQMFLENICQSDHPSDDSHVTSTADGATESNMIKSLSNDLVLLLHECPDIKVTEELA >PVH37511 pep chromosome:PHallii_v3.1:5:1283095:1285433:1 gene:PAHAL_5G019100 transcript:PVH37511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRMAGPGNAEGVTASMGELSVEAAPSSSRTEIAQHANGGDVAAAEDDDIWDDASDSPGHASNLDREWIHRQNQFHKMGYRDGITEGQKDSAQEGFNVGFRQSVNVGYKWGLVRGVTSAIASLPDNLKEKLVPDAQCRRKFLDVHTSVQEMSADDALQMFLENICQSDHPSDDSHVTSTADGATESNMIKSLSNDLVLLLHECPDIKVTEELA >PAN28739 pep chromosome:PHallii_v3.1:5:10603077:10608868:1 gene:PAHAL_5G175700 transcript:PAN28739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSAPAGTGGGERPKSVRGMFRFADRVDVALMALGTLGAIGDGCSTNLLLIFASDVMNALGYGRGGGGGATTVDFMHEVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRHLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFVSGLAFATYFCWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYAKANSLVEQALGSIKTVYSFTAEKRIIHKYTAILDKTIELGIKQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVTHRQASGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPEINADDPKGLVLDQIRGELQFESVRFVYPSRPNMPVLRDFNLQISAGQTIALVGSSGSGKSTAIALVQRFYDASEGTVKIDGFDIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATTDEVYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAIIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELISKGGPYSRLVKLQKMVSYIDQENEQFRASSVARTSTSRHSVSRASPMPLTPAVLKEISSDVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDQNEMNAIIRRYALIFCSLSMVSIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRMSLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPSTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHAQEEPLKRARKKSWVAGLTTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKEDQKKKIQGRIEFKKVDFSYPTRPECLILQDFSLDVKAGTSVGLVGRSGCGKSTIIGLIQRFYDVDRGAVRIDGMDVREMNILWFRGFTALVSQEPAMFSGSVRDNIAFGKPEADEEEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGSYPQLMNKKGAFYNLATLQK >PVH39490 pep chromosome:PHallii_v3.1:5:57768701:57770685:1 gene:PAHAL_5G515700 transcript:PVH39490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMMAGKVGSNGSIGRESGKKPALLLGRYEVGKLLGQGNFAKVYHARNVRTGEEVAIKVMEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVAEGRLREDDARRYFQQLVSSVAFCHARGVYHRDIKPENLLVDDAGDLKVSDFGLSAVADQMRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLYVLMAGYLPFQDRNLVGMYRKIHKGEFRCPKWFSPELKHLLRRVLDTNPQRRATADEIMDNEWFKIGFRRFSFRIESDRSFTCFELDDDADSVDASTSPPEPETPRTDAGSDAAMRSIRKAGGLTSCGSAPSLIQLEARNGLGRSSRRRSSLNAFDIISFSRGFDLSGLFEDGEAAGSGGGPEQQQNHPAAARFVSAAPVEQILAALEGAASAVGLVVRELDDGSVSMEGTREGEHGALVVAAEIYELTPELVVVEVRRKSGGAAEYEEFFRAQLKPGLRDLVCDEPTLLQSDERSRSL >PVH37759 pep chromosome:PHallii_v3.1:5:4919406:4920684:1 gene:PAHAL_5G079600 transcript:PVH37759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASHNHEHKIDHLDQPYYGPPIPPGAAPGAAAGVHRPPPRDTYALLCRAFRALTLVLIALGVVALVLWLVYQPSSLKAYVDSAQLTRFDLADNGTRLRYDLTVGVSVGNPNRKQAVLYRRLEAVALYGSERFGYADLPRMRQPRKSTVEIRTSFRGREAIVSGAAAALFRREKEEGFFGISVKLHARVRLKVIVVNSVEYRPDVDCYIRVPDPSNATAVAQGFAPTQCHVDDFS >PAN32199 pep chromosome:PHallii_v3.1:5:54985362:54987489:-1 gene:PAHAL_5G470600 transcript:PAN32199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGALKLAPSPPALAGAAPPATPARSSVHFHLANAGAAALVAASLLVADPSLAFIGGGPYGKQVTRGQDLTGKDFSGQTLVKQDFKTSILRQANFKGANLLGASFFDADLTSADLSEADLRGADFSLANLSKANLTNANLEGALVTGNTSFKGANITGADFTDVPLRDDQREYLCKIADGLNSTTGNATKETLFCS >PAN28667 pep chromosome:PHallii_v3.1:5:10343699:10348791:-1 gene:PAHAL_5G170500 transcript:PAN28667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHHRLLPRLLLLPSTHTSTSTLLRPSRLPRRLFLSPRFSALSHLAAPETVDQSDNEGATHEDVQVKLPLDRLFVPPGATVDAGNQEAVSARVLKGSNIVLGPYARGDAQVVNADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQEARTNWDEFTRNYFLSRENLVSVFLLIDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGRRPEENVETFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >PVH38076 pep chromosome:PHallii_v3.1:5:10345279:10348791:-1 gene:PAHAL_5G170500 transcript:PVH38076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHHRLLPRLLLLPSTHTSTSTLLRPSRLPRRLFLSPRFSALSHLAAPETVDQSDNEGATHEDVQVKLPLDRLFVPPGATVDAGNQEAVSARVLKGSNIVLGPYARGDAQVVNADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQEARTNWDEFTRNYFLSRENLVSVFLLIDASIPAKKIDLDYASWLGQNKQQSMFFLRFRSEGMFLFWQANKTLLLTY >PAN28655 pep chromosome:PHallii_v3.1:5:10283917:10284279:-1 gene:PAHAL_5G169700 transcript:PAN28655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCSNGICIQGIVSSCATCKAMWCLSKSRTRGRNSDSRECASSHRLHQSFFRFPIRSEHHFCLHASEVRTLHVDRSSKANHAAGAL >PAN27442 pep chromosome:PHallii_v3.1:5:5017409:5018402:-1 gene:PAHAL_5G081700 transcript:PAN27442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALTPFQLMATLLVAFLATCHAGGIAVYWGQNDGEASLSDTCASGNYKFVILAFVYKFGKGQTPQLDLASHCDPSSGGCTGLSDDIRSCQSSGVKVLLSIGGGDGSYGLTSEGDARDVAAYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGGAKYWDSLARDLKNMGKNQGGKGVLLSAAPQCPFPDEWDGNAIRTGLFDFVWVQFYNNPPCQVSAGRGAFMDAWKQWESVPAGQIFLGLPAAKDAAGTGFVPAGDLNSNVLPLIRGSPKYGGVMLWSKYYDDRTGYSSDIKSHV >PAN29303 pep chromosome:PHallii_v3.1:5:13172507:13176452:1 gene:PAHAL_5G215700 transcript:PAN29303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEFHFHDELASLFAQRPAAGPGQGEMMAQQQHQAPASCFADYLHAGAPGVGMDYDLLWRALDLPVPGDDVVKRELLVVDTGGGGGGFAAPAPTPSGGGTAPVTPNTTSSMSSSSSEAAGGSGGGGGGGGGGGGGGGGFGAGEGDSLKKEEGEGEESKELGGKGEDDADKSKKGAAAAGKAKGKGEKRQRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAQLHHHHHAGGHHLGDAFPPPPLPQMGAPFGRPGVGGGVIDVLGLLPPRGGPHNNHNVPPATGLASSHGMSGPMSTVAGATTTTSSSAPSLQMQHFMAQDFGLLQDMLPPPFVHSNIQP >PVH38223 pep chromosome:PHallii_v3.1:5:12284676:12286106:-1 gene:PAHAL_5G202300 transcript:PVH38223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQEAPPPTMSSSPPPPTHVLLVSAPLQGHVSPLLVLGRRLASAGLLVTFTTAPHAGLKLKHRHGEVDGGVGRGALRFEHLRGEELWAPEDRRYRVADDVARHLDDAAPAALAGLIRRQADAGRPVSCVVANAFAPWALRAAGATGVPGAMLWTQSCAVLSLYYHYFHSLAAFPSKEAGPDAPVDLIPGLPTLAAGDLPALIHAPEEFVWRQALLSDIASLRGTVSWVLVNTFDELEHAAIEALGAHLPVVFPVGPLFETENDGGGVDECTAWLDARPPRSVVFVAFGSLVKLGRDEMAEVAAGLATTGRPFLWVVRDDNRDLLPPGTAASSGGNGGGKVVPWCEQRRVLLHRAVGCFVTHCGWNSTTEALAAGVPVVTYPALSDQRTNAAFLVDVCGVGVRLPTPPTRDDLRQCVEAAMRGTLDLDGEGMRARAEGWKAKASAAVAEDGSSYRATWDFVDAVSSLSIGAGNSGN >PAN27517 pep chromosome:PHallii_v3.1:5:5347466:5348891:-1 gene:PAHAL_5G086200 transcript:PAN27517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPSSPLHPHQHQHQHPLPPNAHPQFQAPPPSMPPPPPPAPPKALDLEVTVISGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDAGGCKPVWNERLVLPLPPHLSPHDPSILLSLDVFHSKPSDSPKPLVGSARSPLRDLLFPTNPNPSHDSAASALVSLPLLRPSGRPQGKLRIRVAIRERQPPPPPEPQYPPPSSSPYYFPPPPAFSVPPQYGSEQYYRPSGYYSAPPPPPPPQYEYTGGPSAPVDYSRQYEQRGRTGGGGSESGRYGLGTGLAVGAVAGTLGGLAIDEGVKYKEEKAAERVEEKVVPAGRDGYKEYRDDY >PAN29231 pep chromosome:PHallii_v3.1:5:12842402:12846432:1 gene:PAHAL_5G210500 transcript:PAN29231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MPLLAVASSTRAAAVRPLRASAASGEAVPAPAAAAAETAGRRPVKVILPKKKPQKWSTGMEPGEYGGGPATIKPRKYWWGKEDRDPVANTDDFIWNKDFLPHMERVIANAEPTITRLTPVDEEESGFLSINRAMSLDSVEVDLSKELQAPTKPILQTQVEAARRGRAIGAEAVNGATSARWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPKVLAARSREEYLKLKQRLQLLTLGIGGVGVVSAYVSYSPEIAASFGAGLIGSLVYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVVLVMMYNRWNGILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQDSIPAVGNREV >PVH38801 pep chromosome:PHallii_v3.1:5:39789244:39790668:1 gene:PAHAL_5G355300 transcript:PVH38801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDTQPFPGVNMVEHHDRSARRQLDFALGINMAGMASRHQANNKEADSSNRPQKEKKEYITEERVRYVRNQRPTSSDLLRKYEYQYQQRLRRESKDEEYEHRTGKRLRKHEDTRDHWHCPFFRYCWDSGMNRLPTVRDCPECGSMKTEARESVFGRLGPAPTQQERVRSPRREDEEEDRYHHPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLKKAQPDLAEQVHYEKEWRPKSTKADKKVSADTHMVFVLPAEFHARTYEEPSVAQLDLGPWPVVFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSESQGVLSVDLTIGNKTVPTSFFIVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMRLEGCDRIEATKNGVRLVLSTDLTE >PVH38228 pep chromosome:PHallii_v3.1:5:12370827:12371737:1 gene:PAHAL_5G203600 transcript:PVH38228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLLLALLPVPMVELGAAVTTRSAAAATWPAFGDPSEQPVRNKPHR >PAN29773 pep chromosome:PHallii_v3.1:5:15768797:15776555:1 gene:PAHAL_5G249300 transcript:PAN29773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGDIQKVASMRRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAIVPLGDGAEAGAGGGKGLVDVDVLSLGPQQRRALLERLVRVADEDNERFLLKLKDRVDRVGIDMPTIEVRFQNLEAEAEVRVGSSGLPTVLNSIVNTVEEAANALKLLPSRKQTMPILHNVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVVTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVLEFFDSMGFRCPERKGVADFLQEVTSKKDQKQYWARRDEPYRFVTVKEFATAFKSFHTGRAIENELAVPFDKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLMLMSIIAMTLFFRTKMKHDTVTDGGLYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFFPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDPNVGRFFKQYLLLLAVNQMAAALFRFIGGAARNMIVANVFASFMLLVVMVLGGFILVREKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTASNETLGVETLKSRGVFTEAKWYWIGFGAMIGFTILFNALFTLTLTYLKPYGNSRPSVSEEELKEKHANMKGEVLDGNHLASASSHRSTGINTETDSAIVEDDSALTKRGMILPFVPLSLTFDNVRYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNKRKIFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFEGIQGVSKIKDGYNPATWMLEVTTVSQEQILGVDFSELYKKSELYQRNKALIKELSQPAPGSSDLHFPSKYAQSSITQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVTTSQDLLNAMGSMYSAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALAQDILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVVSQFGDMMTPMDDGRTVKVFVEDYFDFKHSWLGWVAAVVVGFAVLFAALFGFAIMKLNFQKR >PVH39171 pep chromosome:PHallii_v3.1:5:53470079:53470582:1 gene:PAHAL_5G448000 transcript:PVH39171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVWHKESLWTQGLIKVPELSSSIDVWWQQELAGSPKKMRRTKAAIMMYTAWNIWKERNRSIFEHTRADAVQILQEIMAEISVRKLACGGPEFSVVSRLLLL >PVH37439 pep chromosome:PHallii_v3.1:5:146175:148964:1 gene:PAHAL_5G001900 transcript:PVH37439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWGTNQQQTSSSSRRVSAAASIIAAVAPRSEGDILQSANVRSFTFNELRTSTRNFRPDSVLGEGGFGFVFKGWIDEKTFAPARPGTGMVIAVKKLNQEGFQGHREWLAEVNYLGQLSHPNLVRLVGYCLEDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHGEKAKVIYRDFKTSNVLLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLELLSGRRALDKNRPSSEHNLVEWARPFLRNKRRIFRILDARLGGQYSLPGSQKAAALALQCLSGDSKNRPTMDQVVAALQQLQQHDDEDDDDDAAAAAKDTMEQQRGRLM >PAN31189 pep chromosome:PHallii_v3.1:5:50081667:50088127:1 gene:PAHAL_5G403200 transcript:PAN31189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPPPDDAARAAAANGHGNGNGPSPAKRPRAVISAAEIRAEFAHHDAAVARVNNGSFGCCPASVLAAQARWQRLFLAQPDAFYFHGLQQGLLRSRAAVAGLVGAGDVSEVSLVDNATTAAAIVLQHAAWSFAEGHFARGDAVLMLHYAYGAVKKSIHAYVARAGANVVEVPLPFPVASADAIIAEFRAALAVAKEGGRRVRLAVIDHITSMPSVIIPVKELVAICREEGVDKVFVDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVSEAIDFVNRFEGGIEAIRSRNHEKVIEMGRMLAEAWGTFLGSPPELCGSMVMVGMPGCLGVESDDDAMRVRTMLRKDFQVEVPIYYNSRRVEGQEMAKDKSGDPVTGYVRISHQVYNVREDYERLRDAINKLVSEGFTSSKLRPSEKREA >PAN31185 pep chromosome:PHallii_v3.1:5:50061136:50061613:-1 gene:PAHAL_5G402800 transcript:PAN31185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALAWQATVIKKIFLCPWEEIARGLIFLAPGSPPPLVSLCPLLSVLRCSSALRKSCIIYLGAAAKIWSYSETPKLSRKEIPMAPRTPKNTSPNFHETSKSIFRCAHKF >PAN28144 pep chromosome:PHallii_v3.1:5:7974714:7979789:-1 gene:PAHAL_5G134000 transcript:PAN28144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVALAGLRWAASPIINKLLADASTYLGVDMARELQELETTVLPHFDLVIEAAEKSPHKDKLKAWLQRLKDAFYDAEDLLDKHEYNLLKRKAKSGDDSSVGDDDASSIKSTILKPFRATASRARNLLPENRRLIRKLNELKDILLKAKDFRELLGLPAGNTCAAGPVVATAVVPPTTSLQPRKVFGRDMDRDRIIDLLTKRTAAGASSTNYSGVAIVGHGGAGKSTLAQYAYNDGRVKDHFDARMWVCISRKLDVHRHTRELIESATNGECPRVENIDTLQCKLRDTLQKSERFLLVLDDVWFEGSSNEREWDQLLEPLVSQKEGSKVLVTSRRDTFPAALHCEKVVRLEELKNAEFLALFKHHAFCGAEIGDQQLKVQLEEIGEKIAKRLGKSPLAAKVVGSNLSRKKDVSSWRDALGIENLSEPRRALLWSYEKLDPVLQRCFLYCSLFPKGHKYDVNEVVHLWVAEGLVESCNHNRSLEDVGRDYINELMSGSFFQPVYVGKEIATYVYTMHDLLHDLAESLSREDSFILDDDMEEIPLTVRHLSVRVKSMLQHKQSICKLRHLRTIICLDPLVDDISDLFHVLLRNLKKLRVLLLRFCNRSKLPESVSELKHLRFLDLSETSISELPESLCTLFHLQFISSGTKVKYLPGQFCNLRKLRHVWFPNAVDGTLPIPNIGRLTSVQRLGTFCVKKQKGYELHQLRNMNELHGSLRITNLEAVTGKEEALRAALHQKKHLKELQLVWIEENGSREENTTHLEILEGLMPPPQLNGLVIGGYKSSSYPSWLLDGSCFESLKSFGLTKCAVLECLPVNTGLFRNCRNLGLCKIPNLKILPCLPAGLEKLEINQCPLLMFISNEELQKHGQRENTIRADHLASQLALLWEVDSGSTIRRVLSHEHSSMKQQMMALMDDDVSEYLQTIKSAAEEGMLPWILTKENIINAWICCHEQRIGLIYGQRVGLPLVPPSRLTKLDLSSCSITDGALASCLGGLTSLRDLSLGRIMNLTALPSEKIFQHLNALESVSITDCWCLRSLGGLRAAASVSDLYLSSCPSLELARGAEYMPLSLTELRIDLCILAADSLSASLPHLRYLQIHDSRSSASLSIGHLTSLESLSIRGIQDLCFLEGLSSLQLLRVYLRDVPKLTAECVSQFRVQRALTIGSSVLLSHMLSSESFTVPADLSLQYWKDQSFDFEESAKFSSVEELSLDACDMKSLPRNLNCLSSLKRLNIWLCPDISSLPDLPCSLQHIGISGCKLLMESCRAPDGESWPKIAHIRWKDIRL >PVH38485 pep chromosome:PHallii_v3.1:5:17504547:17508269:-1 gene:PAHAL_5G269200 transcript:PVH38485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene epsilon cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G57030) UniProtKB/Swiss-Prot;Acc:Q38932] MGLSGAAISAPLGCRGLPRSAFGGGGKARGAEAERWRRAGAGRRSGGAKVRCVATEKHDETAAAVGVEFADEEDYRKGGGGEMLYVQMQATKPMESQSKIASKLLPISDEDSVLDLVIIGCGPAGLSLASESAKKGLTVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTIVYLDNNKPILIGRSYGRVHRDLLHEELLRRCYEAGVTYLNSKVDKIIESPDGHRVVCCERGREILCRLAIVASGAASGRLLEYEVGGPPVCVQTAYGVEVEVENNPYDPSLMVFMDYRDCFQEKFSHSEQENPTFLYAMPMSSTRVFFEETCLASKDAVPFDVLKKRLMYRLDAMGVRILKVHEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPRYASVISNILRNRVPAQYLPGNSQNYSPSMLAWRTLWPQERKRQRSFFLFGLALIIQLNNEGIQTFFEAFFRVPKWMWQGFLGSTLSSVDLILFSFYMFAIAPNQLRMNLVRHLLSDPTGSTMIKTYLTL >PVH39119 pep chromosome:PHallii_v3.1:5:52981194:52982705:-1 gene:PAHAL_5G441600 transcript:PVH39119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTEDKEMEKSRVLIIGGTCHIGKHIVAASLCLGHPTAVLIREFAPSDQVKVKELGWVNSGASLIKSLVKAIKCADAVISLVGPCQIAEQTRIIAAIKEAGNVKRFVPSEFGSDGDRLHTDPAASLTLIGDATAISAGPPASKLTIVGDGNSKAVFVVDDDIADDTRRAVGDERTLNKILYVRPAASVVSHNELISMWEKKAGRTLQKVHLPEEEILKWIKAAAGQAIHVVTKI >PAN30425 pep chromosome:PHallii_v3.1:5:19726945:19733623:-1 gene:PAHAL_5G281600 transcript:PAN30425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAMDEINLLRQAQRQHQHHLMVRGMGEEIDLEIGPGDDPSFSGADLVAVASGHHDTVVPADEHKSLLIPCSGAVDGHAQPPAPQPQLAHGEEHDGMLRLPSAHTKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKEKLQPPEIERPVYVKALSKTAASILESVLRRDPHEAEFIQSIQEVVHSLEPVLVKNTQYVQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPDQDFPAEDIGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARLLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPVTVSGSNMPCTVQAVDILRKAKVLVAPAKATAAGGVALGELELNPEFNLMQLSVEDFENKIQDAIKQTYERSIKAAQDYGIMKENPESLVHGANICAFLNISQAMTDQGCV >PAN30427 pep chromosome:PHallii_v3.1:5:19726945:19733623:-1 gene:PAHAL_5G281600 transcript:PAN30427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAMDEINLLRQAQRQHQHHLMVRGMGEEIDLEIGPGDDPSFSGADLVAVASGHHDTVVPADEHKSLLIPCSGAVDGHAQPPAPQPQLAHGEEHDGMLRLPSAHTKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKEKLQPPEIERPVYVKALSKTAASILESVLRRDPHEAEFIQSIQEVVHSLEPVLVKNTQYVQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEDFPAEDIGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARLLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPVTVSDSKGYLLDEDGFDYMKYSLLRDIKAQQKSLKEYLKSYPHAKYIDDAKPWSEQCDVAFPCASHNEIDQGEAVAIIKSGCRVLIECSNMPCTVQAVDILRKAKVLVAPAKATAAGGVALGELELNPEFNLMQLSVEDFENKIQDAIKQTYERSIKAAQDYGIMKENPESLVHGANICAFLNISQAMTDQGCV >PAN30426 pep chromosome:PHallii_v3.1:5:19727918:19733293:-1 gene:PAHAL_5G281600 transcript:PAN30426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAMDEINLLRQAQRQHQHHLMVRGMGEEIDLEIGPGDDPSFSGADLVAVASGHHDTVVPADEHKSLLIPCSGAVDGHAQPPAPQPQLAHGEEHDGMLRLPSAHTKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKEKLQPPEIERPVYVKALSKTAASILESVLRRDPHEAEFIQSIQEVVHSLEPVLVKNTQYVQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPDQDFPAEDIGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARLLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPVTVSDSKGYLLDEDGFDYMKYSLLRDIKAQQKSLKEYLKSYPHAKYIDDAKPWSEQCDVAFPCASHNEIDQGEAVAIIKSGCRVLIECSNMPCTVQAVDILRKAKVLVAPAKATAAGGVALGELELNPEFNLMQLSVEDFENKIQDAIKQTYERSIKAAQDYGIMKENPESLVHGANICAFLNISQAMTDQGCV >PAN26549 pep chromosome:PHallii_v3.1:5:1379042:1382412:1 gene:PAHAL_5G020900 transcript:PAN26549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTAVDVEDLLVRVKNGDDAELAGVAREVAALAEEGRLGEDDDDDGLLVPALLARLAAAGSAEARVSVMAALRRLAGCVGGESKERLASIEALSSIVRSLSRDADERGEAIAVLLDLSYIPQVRQRIGRIKGCIVMLVTLRNAHESGTNDDAEKLLHILSSNPQNVLLMAEAGYFRPLIHYLKEGSDMNKVLMATAISKMFLSEHMKSSLGEDGVIEPLVQTFKYGNLEAKHSALGAIRNLSSSLQNAELLINSGITGPLLQLLFSVTSALMTLREPASAILAAIAQSERILLYKDVAPQMLSLLNLSSPVIQLHLLRALISISGHKNAKRARSKIRQNGGVQLLLPFLTEKNVEIKIAALDLMFHLSKDSSQELAEQFRETHLDILVKIISSPTSRDEKAAAVGILSNLPVTDKKITEILTRANLLPILISLFEANITASVTPQRMRLLEGIAGVSIRFTVTWDKKLQSLAVGCGVVPCLIKLLTEGSVDAKSKAATSLAQLSQSTMALRKSKSPRWLCVPPSAESYCIVHSCQCTVKGTFCLVKAGAVKPLLRILEGEEREADVAVLEALATLMQDEIWENGSRAIEKASGIHALLRVAEAGDLSSQDKAIWMLERIFRLELHRERYGEIAQALLIDLAQKGDPSLKPMIGRILAHLELLQTQSSYF >PVH37536 pep chromosome:PHallii_v3.1:5:1601845:1606746:1 gene:PAHAL_5G025000 transcript:PVH37536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDAGEAQQQPPDGAGGGGGAGGGGGRGGRCCCGGTRVVRLQCVAALVLGVAVLLSAVFWLPPFARRGRGAEGPDPGDEFGAAIVASFRLHKTVPELNGNKSKLEFDIYEEIGIPNSTVVVNTLHPLDGSNWTNVIFSIVPFPEDLTISSTWLSILRSYFMSLVVRQSTLHLTGSLFGNSSSFEVVKFPGGITIIPPQTAFLLQKPHATFNFTLNYPIYKIQDRTNELKDQMKAGLLLSPYENLYIKLTNSQGSTILPPTIVETSIVLEVGNHQPSEPSVPRMKQLARTITNSSSSSGNLGLNHTVFGKVKQISLSSYLRHSLHSGGGSDAPSPAPMHHHAHHGHHHHHHSPDNNRHLAPAPAPTHFPVPQPRYGAPPPSGCPYSKNKPKKRGPITPAAEPAANDHRSASSAPPPHPWSPSPGSRSPHHPTMRGRSPVPSPPVLPEPPLPTVSFAHAHPPSEHGRRTSPAPSEQATRAGPAGMSQVAPAPHSSDTTRMQGDSCRWVLFVFISCILMSLL >PAN26605 pep chromosome:PHallii_v3.1:5:1603524:1606746:1 gene:PAHAL_5G025000 transcript:PAN26605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLQKHSIIVYCLLTYLHFAAAIVASFRLHKTVPELNGNKSKLEFDIYEEIGIPNSTVVVNTLHPLDGSNWTNVIFSIVPFPEDLTISSTWLSILRSYFMSLVVRQSTLHLTGSLFGNSSSFEVVKFPGGITIIPPQTAFLLQKPHATFNFTLNYPIYKIQDRTNELKDQMKAGLLLSPYENLYIKLTNSQGSTILPPTIVETSIVLEVGNHQPSEPSVPRMKQLARTITNSSSSSGNLGLNHTVFGKVKQISLSSYLRHSLHSGGGSDAPSPAPMHHHAHHGHHHHHHSPDNNRHLAPAPAPTHFPVPQPRYGAPPPSGCPYSKNKPKKRGPITPAAEPAANDHRSASSAPPPHPWSPSPGSRSPHHPTMRGRSPVPSPPVLPEPPLPTVSFAHAHPPSEHGRRTSPAPSEQATRAGPAGMSQVAPAPHSSDTTRMQGDSCRWVLFVFISCILMSLL >PAN29520 pep chromosome:PHallii_v3.1:5:14446178:14447270:-1 gene:PAHAL_5G231900 transcript:PAN29520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTCGRAGGEPAVRKGPWTLEEDLVLVGYISQHGEGSWDNLARAAGLNRNGKSCRLRWLNYLRPGVRRGSITPAEDAAIRELHASLGNKWSKIAEHLPGRTDNEIKNYWRTRIQRRPAASAQQQQAYRAPATAAAIAASEGASSSSSASASHGSSAAGDWWYVEPNHPEQGADRSQKSVAAAAAGVDSGSASSALTRRDSSATAGDGYMKTSYSDRYYSELSSVAADGVKMVDAESFWNVVDDFWGTLPVPDATF >PVH38053 pep chromosome:PHallii_v3.1:5:9800499:9806699:1 gene:PAHAL_5G162500 transcript:PVH38053 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKVAEDLLEVVDRRAKIVATELSDEQSISQPSGSNSQEVDGKKGKPREKGPLKLTSADGGNKTGAQRERKSRQPPRERMKIEKIRPSAPADSASVDASASEPEIAPIDVKEMNNESTLEKGEKATDDLKTDGAGTVADNVVEVQPMEINSEDAVPTVDHVARSRNSEIAVESSSSVPDEKSESSSSNQTAEIGPVVNLEERDSAVAVIQDRNMSELSNTEGTVKLQESKKENVSDSPESIENQHGQKSDSVSAKEQDQLEEAQGLLKSAVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKSSSYEVHIKQLQQELSMSRVEGSRAESNMVDALTAKNAEIESLVKSLDSWKKRAASSEEKLASLEEDMDGLKRNRELTETRVIQALREELATAERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRALELEHKVAVLEVECASLQQELQEMEARNRRVQKKPSEEANQVLQMQAWQEEVERARQSQRDAETKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSLKQFHEVQIEAERSKSTRRSASSWEEDTDIKALEPLPLHHRHMATANQQLQKAAKLLDTGAVRATRFLWRHPVARVSLLFYLVFVHLFLMHLLHRLQDFASREGASGMGDLANVNLP >PAN29446 pep chromosome:PHallii_v3.1:5:14010619:14015501:1 gene:PAHAL_5G226900 transcript:PAN29446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRAILHARPAALPPRAGLRLALPRPRAASLSAAAADTPAALHPPLLASRGPFLPRRDAVLGHGFLKRRAGAAGSGGAPQAAAAAAAVPAPQPEEAANKFLGVDVKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRDAIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSIVVLLGLVISSIYWGVNKFVLNDPSLPKSDRKKKKEKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRFILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGAMQNIFSKSEKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAANSLDKQFSSLAKEDLKKEKAAQEKVEPSLLKAPAEGTDVLVEQTNGSLKGETESSPSNSSPIQ >PVH38540 pep chromosome:PHallii_v3.1:5:20228291:20229184:-1 gene:PAHAL_5G284000 transcript:PVH38540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPNIRWDHEGHAHTNALHWEGFPRLLWESLQIFGYDAPPLYDGYEFVEAGVPRCRVKMTIPQHPSRYLWQPVTICTVGHRLVDTFESTALEAIHIFCDKHPEEVVAYPIGLFPATDPRDSEWTFRISFYSHLLGDLSHETLQALIRFMNVQHQYQLLQRRSINQLSNLAQAHHGTITQQLDELNELHAVTNAQADLLAQRDVIINNQENQIHERETVIAQRNTIIEFLQDQVQNLTIELDDAVNHINDLHEQPAPLVMSNESESEEEEEEEPEEIEGESGLDSEHGDPAPKSPI >PAN31595 pep chromosome:PHallii_v3.1:5:52349027:52358235:1 gene:PAHAL_5G430100 transcript:PAN31595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAASSIPVPPNQAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLREMLLSKPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYTGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRSFGSRPFEAFRPQYEASSMKVSGAPVVASISPNIGRMDHLDRNSPAKPTPILNGGDTASRSIDIKPRISEEKPEKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDSWEKRRTVSIQMPAGKTPSGDTRVQFSSDQSHLLVVHETQLAIYDASKMERIYQWIPQDTLSAPISHASYSCNSQLVFAAFTDGNIGVFDAENLRLRCRIAPSVYMSSTAINSNPPVHPLVVAAHPHEPNQFAVGLSDGCVKVLEPLESDGKWGTPAPADNGIPNGKTPASSATSNPAADQIQR >PAN31594 pep chromosome:PHallii_v3.1:5:52349027:52358235:1 gene:PAHAL_5G430100 transcript:PAN31594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAASSIPVPPNQAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLREMLLSKPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYTGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRSFGSRPFEAFRPQYEASSMKVSGAPVVASISPNIGRMDHLDRNSPAKPTPILNGGDTASRSIDIKPRISEEKPEKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDSWEKRRTVSIQMPAGKTPSGDTRVQFSSDQSHLLVVHETQLAIYDASKMERIYQWIPQDTLSAPISHASYSCNSQLVFAAFTDGNIGVFDAENLRLRCRIAPSVYMSSTAINSNPPVHPLVVAAHPHEPNQFAVGLSDGCVKVLEPLESDGKWGTPAPADNGIPNGKTPASSATSNPAADQIQR >PAN31596 pep chromosome:PHallii_v3.1:5:52352607:52358235:1 gene:PAHAL_5G430100 transcript:PAN31596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLREMLLSKPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYTGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRSFGSRPFEAFRPQYEASSMKVSGAPVVASISPNIGRMDHLDRNSPAKPTPILNGGDTASRSIDIKPRISEEKPEKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDSWEKRRTVSIQMPAGKTPSGDTRVQFSSDQSHLLVVHETQLAIYDASKMERIYQWIPQDTLSAPISHASYSCNSQLVFAAFTDGNIGVFDAENLRLRCRIAPSVYMSSTAINSNPPVHPLVVAAHPHEPNQFAVGLSDGCVKVLEPLESDGKWGTPAPADNGIPNGKTPASSATSNPAADQIQR >PAN31593 pep chromosome:PHallii_v3.1:5:52349027:52358235:1 gene:PAHAL_5G430100 transcript:PAN31593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAASSIPVPPNQAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLREMLLSKPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYTGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRSFGSRPFEAFRPQYEASSMKVSGAPVVASISPNIGRMDHLDRNSPAKPTPILNGGDTASRSIDIKPRISEEKPEKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDSWEKRRTVSIQMPAGKTPSGDTRVQFSSDQSHLLVVHETQLAIYDASKMERIYQWIPQDTLSAPISHASYSCNSQLVFAAFTDGNIGVFDAENLRLRCRIAPSVYMSSTAINSNPPVHPLVVAAHPHEPNQFAVGLSDGCVKVLEPLESDGKWGTPAPADNGIPNGKTPASSATSNPAADQIQR >PVH38919 pep chromosome:PHallii_v3.1:5:48631833:48632334:-1 gene:PAHAL_5G390200 transcript:PVH38919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVPDDTARERWWTVSWLSHKTKIPDVRCGGRGRRRGQLSIARRFRIIVRRDHGTPWC >PVH38890 pep chromosome:PHallii_v3.1:5:47449640:47450573:-1 gene:PAHAL_5G381800 transcript:PVH38890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFPEETIYLVRHCDTASLSFTQFCYRAPWHMALEPFQQGTVTVAGDAMHVIGSFLGQGGACGLEDAVVLARCLARTTMSEGVNHSGDDRKLGKSIENGLRLYVKERRWRILTLSFQTFLMGVLVAASSGFKKVLATTVLALLFRRSTVTLSTTVVHCSANHIRFRSKMFSAEWDLELTASITMTLLLIPYRFSATL >PVH38108 pep chromosome:PHallii_v3.1:5:10932759:10937246:-1 gene:PAHAL_5G180700 transcript:PVH38108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAGGGGGRQQASRRRPADLGLLALLCSSLLLNAIFLARHFLPPSRLLAGSGGGGGTCGLSWALQAAREAEAVAAVDCSGHGQAFIDGVAGEDGRPGCECNACFGGPDCSLRTPGCTADADSGNPLFLEPYWRRHAAASAVVFSGWHRMSYVTTDGRFQSVELERQIRRLHRAVGNAVADGKHMVFAAGSLQLINALVHALSPDADAGSPPARVVATAPYYGVYRLQTAMFDGREYRWGGTTARWANASRNSSTDGGFIEFVTSPNNPDAMLREPALRGSPAAIFDHAYYWPHFTHIPAPADEDVMLFTISKPSGHAGSRFGWALIRDEKVAKRALEYVSDSIMGASRDTQLRMLGIVKAMLASLHGEDDIFAFGHDVMRSRWRRLNAAVSRSRRISLQKIAPGYCTYFKRIREPSPAYAWVRCEREEDEDCHDALLKANIITRAGVGFEAGSRYTRISLLKSDDDFDVLMERVTDLVDAEKNDDADPGSSSM >PAN30094 pep chromosome:PHallii_v3.1:5:18095758:18101567:-1 gene:PAHAL_5G273300 transcript:PAN30094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSTPSQLRPSPSQAGRRGHLLAPHPRVSVARRAAPRRAGALSVRAGAAPADGADAVNVRRFPAAPTKAGRLEGVKKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLINSNPATIMTDPDLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLAESGALDRLGVRLIGASLPAIRAAEDRQLFKQAMNRIGLKTPPSGIGTTLEECLAIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLIEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCGPIKELDWDWEKIKYSLRVPNPDRIHAIYAAFKKGMRVDDIHEISFIDKWFLTELKELVDVEQFLISRNLDQLSKDDLYQVKRRGFSDKQIAFATSSAESDVRSRRLALGVTPTYKRVDTCAAEFEANTPYMYSTYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGFETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVSNVLDLEHPDGIIVQFGGQTPLKLALPIQRYIEENKMVSASGNGNVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAQCLEVIRSWTTKLAKRLNVCGLMNCQYAISTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGFTQEVVPKHISVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLTLSGTVFLSLNDLTKRHLAEIGRGFRDLGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATMDAIKSLKNKSIETLALQDYFQTADASPDLQAAAQTTP >PAN28708 pep chromosome:PHallii_v3.1:5:10492885:10497223:-1 gene:PAHAL_5G173700 transcript:PAN28708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTPMSYAGSANVGRDDEPVDSMEIDGQQQLKVEGPAEVPEGFNADYLRVYYGKLFPYGDFFKWLCYANDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDARYCCSGADVCSDCWPLMTIAIKILDTSLRDDFGFNHILWVYSGRRGVHCWVCDTRARKLSNEQRAAVADYFRVYKGGENTLKKVSLAGPVLHPFLARSYTDVLESFFEDKLLLSQQLFASEERCQKILDLIPDENVASELHDKWQGNRRSSISKEDVNAARWKQLKMTLQSGKHKAQGLRRCVEEIIFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCDDFDPTAVPTLSQLLGELNAAGFQTDSENNWERTSLEKSIRFFRTSFLQPLLKACKEELESAYNAKLQQSKNSLDW >PAN28707 pep chromosome:PHallii_v3.1:5:10492885:10497222:-1 gene:PAHAL_5G173700 transcript:PAN28707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTPMSYAGSANVGRDDEPVDSMEIDGQQQLKVEGPAEVPEGFNADYLRVYYGKLFPYGDFFKWLCYANDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDARYCCSGADVCSDCWPLMTIAIKILDTSLRDDFGFNHILWVYSGRRGVHCWVCDTRARKLSNEQRAAVADYFRVYKGGENTLKKVSLAGPVLHPFLARSYTDVLESFFEDKLLLSQQLFASEERCQKILDLIPDENVASELHDKWQGNRRSSISKEDVNAARWKQLKMTLQSGKHKAQGLRRCVEEIIFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCDDFDPTAVPTLSQLLGELNAAGFQTDSENNWERTSLEKSIRFFRTSFLQPLLKACKEELESAYNAKLQQSKNSLDW >PAN27502 pep chromosome:PHallii_v3.1:5:5167688:5173422:1 gene:PAHAL_5G084200 transcript:PAN27502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKATKPRENFDICKRPSGQRGQAPRRAPTPPKAARPWSHRQNHAKISPKSPPPPAMATSFGFGSSAAAGSTASSPFSFSSTPSAFSFSQPPAASSPAPAFGSSLFSSSSAAASSAPTFGSSLFGASSAAAPASTAASSPFGFGSTGFSFGQSTAAASSAAPAPSLFGQTAAASPAGTTPSLFGAATSAASSPGLFGAASAPASSPGLFGASAAGSVTTTPGLFGATTSAATTPGLFGATSAAATTPNLFGATSAAATTPSLFGAASSAASTPSLFSGASTGFSFGSSASGSTTTTAAASAPSFGFSFSSGAAASSTASTAASSPALGFGGATGSSLFGSTTSAPLFSTTTASSPAPAATTTPSFGFSASPATVSAPSFGFTPSTASSATGNTAASLFSSASTAPAFAFAKSTSATPTTSVSSPATSLSLATSQASPAPSLFSNTTAAGSSSATTSGFSFGSSSSASTPTIASVSATGASTAPSATSASATSGSLFPAASTSGFSFSVAPSSAAAAAATATTTTVTSASTSAGMTTTSPLTGTTGFPSFNLQTTTPASTSSSTLSLAASTSATTTSTSQATSSAVQASSTGPATTTAITPAVSQAPKLPSEIVGKSVEEIIRDWNNELQDRTAKFRKHATAIAEWDKRILQNRNVLIKLEAEVAKVVETQTSLERQLELIETHQREVDNALQGMEEEAERIFQDERVLLRGDEAASARDTMYEQAEVVEHELQHMTEQVKSIIQSMNATQGGELEASDSMTPFDVAVRILDNQLRSLMWIDEKVNEFSGRIQRLPNNSASAERDSGIPRFWLS >PAN28966 pep chromosome:PHallii_v3.1:5:11453627:11455447:-1 gene:PAHAL_5G190300 transcript:PAN28966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEFKPAAMGVEGAETASSKPRFRMPVDSDNKATEFWLFSFARPHMSAFHMAWFSFFCCFVSTFAAPPLLPLIRDTLDLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASAAIILLTTPAVYCSSIMNSAAAFLLVRFFTGIALASFVSTQFWMSSMFSSNKVGLANGYAGGWGNLGGGVVQLLMPLVYQAILKAGSTPFTAWRLAFFIPAFMQSCSAIAVLAFGQDMPDGNYRKLHKSGEMHKASVGSVLRHGVGNYRAWILALLYGYCFGVELTVNNIIAQYFFDLFGVNLQTAGLIAASFGLVNLVSRPVGGSLSDGLSRRFGMRGRLWGLWAIQTIEGVLCIVLGLVSGSFAASVSVMIVFSLFVQAAEGLTFGVVPFVSRRALGLVNGMTGGGGSVGAVLTQYIFFHGTKYKTETGIMYMGVMIIACTLPTALIYFPQWGGMLAGPRPGVTAEDYYNREWTAEERDKGYNTGSVRFAENSVREGGRSAASGIIQPMESSQADV >PVH37520 pep chromosome:PHallii_v3.1:5:1452897:1455944:1 gene:PAHAL_5G022600 transcript:PVH37520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRNAELPVADPAADPDRARLQQLGYKQELKRGLSLLSNFAFSFSIISVLAGVTTTYNTGLRYGGPASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGEDWAPLASWVTGWFNIVGQWACTTSVDFSLAQFVQVIVLLSTGGANGGGYMASKYVVLAIYCAILILHGLINSLSIQWLAWFGQFGAFWNLAGVFALTILIPAVAKERATMEFVFTHCYTDNGVGIHSKVYALAIGLLTSQYSLLGYDTSAHMSEETKNAAWSGPMGIVVSVALSSVFGWVYLVSLTSIVTNIPILLDPSNDAGGNAIAQALHAAFRTRFGSGAGGIVCLAAMAVAIFLCGTASVTSNSRMGYAFSRDGAMPFSRFWYRVNKQEVPFNVVWLSVSVAFVMALTSLGSQVAFQAMVSITTLGMYIAYGLPIFFRVTTARRSFVPGPFHLGKYGVLIGWAAVAWVALVTVLFCLPVAYPVAVDNFNYTPAAVGGVLLLSLGAWALHARFWFRGPVTNVDA >PVH37521 pep chromosome:PHallii_v3.1:5:1454427:1457594:1 gene:PAHAL_5G022600 transcript:PVH37521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKYERATMEFVFTHCYTDNGVGIHSKVYALAIGLLTSQYSLLGYDTSAHMSEETKNAAWSGPMGIVVSVALSSVFGWVYLVSLTSIVTNIPILLDPSNDAGGNAIAQALHAAFRTRFGSGAGGIVCLAAMAVAIFLCGTASVTSNSRMGYAFSRDGAMPFSRFWYRVNKQEVPFNVVWLSVSVAFVMALTAMVSITTLGMYIAYGLPIFFRVTTARRSFVPGPFHLGKYGVLIGWAAVAWVALVTVLFCLPVAYPVAVDNFNYTPAAVGGVLLLSLGAWALHARFWFRAACGQLRAPGRGLRAVGGGLRAPGGGLRAPGSGHAAGGKRRAAGAGRRAAGPSFAAPPPAAGRIHHGVHASPKRASRLTILRCGRSARFAEPPRLQAACGPEEHVAIDIPAAVVTQPALVLDAAATPPP >PAN26822 pep chromosome:PHallii_v3.1:5:2602063:2609628:1 gene:PAHAL_5G041000 transcript:PAN26822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHYSSASSAAPSAAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPTDAEADEWGEFLHLSGRRFYDFREIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFRTQPAYHGLTQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLATELQQFPILRRSMDEVIGKFLRDGLKPAESMIAHIIEMEEDYINTSHPNFIGGSKAVELAQQQVRSAKMSSSVVRKDGVDADKVQASEKTQKTRAVLGRTVNGVITDHIQGVRPASEAERPGSSGSGSTSFWNSIFTSNEDRTHASAKDNSANRSYAVPTPNLEHSFSTIQLREPPVVLKPSEHQSEQEALEIAITKFLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYRDDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAEAVERGGYSLDSDVIGLPRAHGLSSSLHGFNDGSSPYSTPKQSRSRRSNHSGEQPPFNTNMSGNGF >PVH39324 pep chromosome:PHallii_v3.1:5:55505215:55505580:-1 gene:PAHAL_5G479200 transcript:PVH39324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSARFRAYPFQRFLLLDGGSICRCKNVIWNTPWDVLALMDASCITMRQPFFAL >PAN30151 pep chromosome:PHallii_v3.1:5:29536759:29547246:1 gene:PAHAL_5G318600 transcript:PAN30151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSAAAHQHPHHHHRRQGGGSGLVPLAALIKEEASTERCAGGGSRICARDEDAGGSGVCGEPAEEEARRQRPLLRYGCAAQSKKGEDFFLLRTDCPRPSTSASSSAASPHPTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRALVAGFVKTDKEFQSKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGTVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPGQTIRPPSPPKKMNKLKSLIFRKKAKEPSQKLTKQHSGAGAVVEIFEEGSAMLSERLGPDWNGGHTFSSLFTCAICQVDLEPSEGISVHAGSIFSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >PAN27226 pep chromosome:PHallii_v3.1:5:4191626:4192291:-1 gene:PAHAL_5G067800 transcript:PAN27226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEECKVLIEQASKDTADPPREDEDDDDEDDNSSFIVLLNLVLGGTARLNVLLPTATILAFAIFAPLLTDDGKCTRPNRILTGAFVVLCAASCVFFTLTDSFRSPSGRLRYGVATPSGIRTFCGGGGHRRKGPREPARYRLRWSDLFHTSLALVAFVTFAASHHDIVQCYYPGAPRKVVNTVPLVIGFAVSLLFVLFPSRRRGIGYPFLLRTDLVYLRR >PAN29273 pep chromosome:PHallii_v3.1:5:13038345:13049101:-1 gene:PAHAL_5G213500 transcript:PAN29273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSKPKRRRGGASSRGRKKQKRLDAIHDVAPPPPPPAPLGVGGGGAVDDDSDAEGIRRSTRLRRAPVVLDTSPLPSPRRKRPRRGGAVGASGSSRKGRRGRARDEADAGGMEDEEEEEDYEGSVAWRSRLRDRVKGKAKLEGRARSLWFEDEEYGDEEEEAEEEEDEEEDEARMLVVDVRERAEDDEVSEESGGLQSQGRELTDREINLTIDLNVDSHEAVEGVNVVEKEEGEKGEKVGEEAVSAEQEEEEEGPTVGARNDLEEGKGEEMVTEKGLQREEKIEELELPVSVGNVSNELPCDESNEEVRVSNSGGTEQLDMQSEQITEESNLPAEQQMQLDPSGPAEQEEEVHQDEQMGHVPDVILAKDGPKERMRKSPISDEKRGAKVVKEGRRCGLCGGGTDGRPPKIALHDSVDSENEAYEGALPSEEPNYDIWDGFGDDPGWLGRLLGPIHDRFGIARVWVHQNCAVWSPEVYFAGLGCLRNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRKFLIACNDHRHLFQPQGDKYVELLRKMKIKKMKADIRKLSHDAWRKDREAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKSYCGWESVAGLSDVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRSRQQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTRNWPSPISGAFLSLIATQTVGYAGADLQAICTQAAINALKRTCPLHEMLRSAEKGVEHGRVPLPSVLVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLDSCLVPSLLKPLVHLLISLYLDERVWLPSSLLKASGSIKEVVFSSMEKNSVPRTFWSTYLNSLIQQKDIANRIKPILSSCGLVSAQLGSHDSMLPSHVETQDNFCGSRLNSTGSHRKGGLPHKLLGFRVLVAGAPRSGQQHLIRCLLHGFTGQIVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAVDKVHSQLEDNMLNMGTSDLASSTTNHTRKCSEVWNALVEQMDSLLASVSISVLSTSDVRFQDLPSGVRGFFSTHVVDQCFASSEHTIPRFSVNIDSRSSWDEMIDSCAFRLSHDLIQHHVQFLHDKSHKNNRHEQKEVFTSMEISIHGESKSSESEQPLCGVASRENPTQLAAGRAQQDPPPNNAKDSVENVQKLFEDTVQRYPSSRMVKGNESLAIVAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLHTSASPDKSVNGGNNVVVKGKEKTLYVRGLVAVGLLAYRGVYESVIEVCAEVRKVLELFVGQIRTKLLEKRNRFRYFHILSQVAYLDDIVNSWAYTFQRLHVGSRTGTSGTKSSCSKEHQSSRHAAETNVQGAPTGNSAEVEDIPAQHIQDREVVPGPNEMQDNPVQCTAEQVGIHTTVCDLDDDHVTSISCKDAVEHNLIHSASPEVHRRNLTHADTSANDGECSGANNDGKVSDLTYDEENHRPDIQRSENHTESVEYLSDLQKAGNSIGSSASADNTEIPRKVVSSEACGDDNELKENNPLNDVESSHLIDGQLEYDMNNLSVPKSSCLYKCCSPCFRAVYKMVHGTLSNSLRSNLHCLTVDDMHDILSSWCMNLLATVRKCYSSQDEVSCEENFETKTFLEHCACKSDLRHLSRECICHLESNEGTETANTDCLSGQSLSFFFKDGVWMPSNVTAETTLHCSFRRFCICSILGTISMLSQFSS >PAN26476 pep chromosome:PHallii_v3.1:5:999155:999635:-1 gene:PAHAL_5G014900 transcript:PAN26476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVVLKMDVHCLRCARRIRKVIKSLYGVEDLWVSLETGFVVVAGSSLDASQLKWRIQSRTGKPVAVVSDGTAEEAPPDNGQMVHLGPPPQGYGYVGGAWVPAPHAFQYEAPARFNDDNPNGCCVMQ >PVH39379 pep chromosome:PHallii_v3.1:5:55918245:55918630:1 gene:PAHAL_5G488000 transcript:PVH39379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATRTSSLLVIVSLLVLISFANVSAEARTSSDDLAGALHGARKLLGRAAPPPPAPASRPPYSASAALPPPPPAAI >PAN27155 pep chromosome:PHallii_v3.1:5:3897542:3901052:-1 gene:PAHAL_5G062100 transcript:PAN27155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVPAPSGSVITVASSSSSAAAAAVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVGVISILQHNLRQLQQDLARAKYELSKYQAAAAAASASTGPNGPQAMAEFIGSAMPNGAHNFINIGHSAALGSIGGSPAVFGQEQFANSQMLSRSYDGEPIARLGINGGYEFGYSTAMGGAGPVSGLGTLGISPFLKSGTAGGDEKPSAGQ >PAN27154 pep chromosome:PHallii_v3.1:5:3897587:3900873:-1 gene:PAHAL_5G062100 transcript:PAN27154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVPAPSGSVITVASSSSSAAAAAVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVGVISILQHNLRQLQQDLARAKYELSKYQAAAAAASASTGPNGPQAMAEFIGSAMPNGAHNFINIGHSAALGSIGGSPAVFGQEQFANSQMLSRSYDGEPIARLGINGGYEFGYSTAMGGAGPVSGLGTLGISPFLKSGTAGGDEKPSAGQ >PVH38270 pep chromosome:PHallii_v3.1:5:13155154:13156746:-1 gene:PAHAL_5G215600 transcript:PVH38270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAHMDHQPDIALAEAAAGGIASPVRQRRGWLRRMYSPVGAPEQAAAVGVGAANDDRRPRRGGWLRRRLVPLEHALRRRWKVLSGAPSRLAAGLPRWKRVSVGGGWAPALLDAAAFRVMYVVEAVVLGLALSCFFCCCGCQI >PAN27228 pep chromosome:PHallii_v3.1:5:4192573:4197373:-1 gene:PAHAL_5G067900 transcript:PAN27228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEASTSAAVSGGGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQERAIESVAEVWDLATEPAFLSYAAIVLAATFVLIYYFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWLFTIVVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDLVDGLPPTLPVRISKHADEDDYAAEGIPLRSAAEGIPLRSPRAAESFRSTSL >PAN29038 pep chromosome:PHallii_v3.1:5:11872948:11877720:-1 gene:PAHAL_5G195900 transcript:PAN29038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVPDELGSLPWLQTIDLSYNDLSGTIPRILGNLTRLEMLDLAWNNFFGGIPHELQNLHSLLMLRLQGNDLSGPIPEGLFNNAPNLSSIYLGVNRLTGAIPDSVSSLLKLEILVLEKNFLSGPMPPSLFNMSQLQLLYVGRNNLSGPIPGNRNFHLPMLQVLSLQDNQFSGPIPLGLSTCKNLEQLSLSGNNFTGTVPSWLATLPNLTRISLSMNDLTGKIPIELSNNTELLVLDLSENNLEGGIPPELGKLRNLRFLGLANNQITGVIPESIGNLSNLTQIDLVGNRLTGSIPVSFQNLLNLHRIFVFGNQLSGNLDFLAVLSKCRSLNTINIAANKFTGILPPYMGNLSTVLQYFIADKNMITGSIPSTLANLSNLLVLSISGNNLSGKIPTTITAMKNLQELNLSNNSLSGTIVVEISRLTSLVRLDLGGNKLIGSIPSSVSSLSQLQVMILSQNSLSSTIPTSLWRLQKLVMLDLSRNSLSGSLPADVGKLTAITKMDLSSNKLSGEIPTSIGDLQMMIYLNLSSNLFEGSLPDKIGKLLSIEDLDLSSNVLSGTIPETLTNLTHLTNLNLSFNSLGGQIPEGGVFSNITLPSLMGNNALCGLPHLGIAPCQSNSDQSWVKSQLLKVILPAVLAFFVLAVCLYMLVRAKVNIGRKMTVPSDTDLLNYQLISYHELVRATSNFTDDNLLGAGSFGKVFKGELDDGSVIAIKVLNMQHELASKSFDTECRALRMARHRNLVKIISTCSNLDFRALILEYMPHGSLDDWLYSNDGRQLSFLQRVGIMLDVAMAMEYLHHQHFEAVLHCDLKPSNILLDQDMIAHVSDFGISKLLAGTENSITLTSMPGTVGYMAPEFGSTGKASRASDIYSYGIVLLEVFTRKKPTDAMFVGELSLRRWVSQAFPHELSNVVDSSILQDGIEDESRPPENFSILNINLISIIELALLCSSVVPEERMPMKDVVVKLNKIKSNYNLQLGKHGYIHQKT >PVH38317 pep chromosome:PHallii_v3.1:5:14085764:14087418:-1 gene:PAHAL_5G227900 transcript:PVH38317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPVAPVLALAIVALASAVATAAAAAQAEVKCGGCSPCGGADCPVLYPSPPPPPPYYYYSPPPPAAYPGSGCPPPPGAYIQIGSTPPGKGPLYPQDPGFMPSAAPGRAAPLAAGVLAAVATVWAAFL >PAN28395 pep chromosome:PHallii_v3.1:5:9020984:9023425:-1 gene:PAHAL_5G150400 transcript:PAN28395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACALAAVQPAAALAPCGRRSLSGHLRRLPSPRISGRIMSRSVVTKVARDSSESSGSVVRYVKSSFSTAEDIFALAGIGFASVAALWASINLVEIIDKLPVLPLFFELIGIVVAWLFIYNNLLFKPKRQEFLENIKSTISRILGQ >PAN32605 pep chromosome:PHallii_v3.1:5:56642677:56648167:-1 gene:PAHAL_5G499500 transcript:PAN32605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSPGRPVMALGHGQHTSSTAGAAMAAAAASSSTPSITFSFQPSPPPTSSLAHHGVLGYSSLLLEHPPTATTSSSSSHAPSSAIPPPNLHHLHAAHVSPPTRSSSTPPHPWSTCDEGHDQGQGRQRGKGSAAAGEGLAAGHNGAAAALGVGAVRMKKAGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDEEDDAARANAEMSFIW >PAN30803 pep chromosome:PHallii_v3.1:5:22063247:22066267:-1 gene:PAHAL_5G295100 transcript:PAN30803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELRQAREKLEREQRERMQRAKAKAERERRAKAEAARRQEALEASHRERRLDAARVQEEADQKMEEVMQLGKGVSFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDTVPGATMEQDTEEATCSGVLEFTAREGSAELPLHVWNNLFRSDTPDIPLIEVKYVSLPKGTYAKLKPEGAGFSDLPNHRAVLETALRNHATLSENDIVVVNYGLLQYKLKVLELKPASSVSVLETDVEVDIEGSDSVLDNEENQHVLAPLAIGKVESSVVEEGKFRYYKFSVEESVGEKVASGRVNIEVKIDTDASGGDTDIYISRHPLVFPTQHRHEWSSHEMGSKVLILKPRDSNLVSGVYSIGVYGFKGTSKYQLSVAIKDVNGQRIGEHASASGSVDADSVLCSNCKRHIASRSAHLHEAYCMRHNVACPHDGCGVVLRKEEAADHVHCNKCGRAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSTCPLRLIVCRFCGDTVHAGGEPVDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHLIAVHQKS >PVH38298 pep chromosome:PHallii_v3.1:5:13664304:13666082:1 gene:PAHAL_5G222300 transcript:PVH38298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLARCETSGVDWVTRLPHDYSPCASAPSSYRPRSACRLRLRGARETARNKRRPDLAGEVKPTLPIPTLNSSSSWCPRRRWDSGARRSDSDFLLQFTPPGQRSPTVMAGGSSEDRWRRPTSRCHADPRDDEPEEGEVVPGYHSDVDTEEYYNRHSCSSSDSDETISDSNAACSVPPKYGEDTSPCSVAANSAGSSSPVAARAALACPVCGKEFRSQKAVCGHMKVHAIGTHEQGIGEGKGIKRDVASLASWGGTGKRGCSGLGGRAAASTNAESYQSVAIVVAEPKIVLQPKPLAFATPIRNAHSVVRAHDVVNGNAQAQSVVCVHGVRIAQSVARARGVCNDQCFRPEQQRSVAMDAVVAGPANQPSEAVVHPHAAPPTPPAAGEQSPSSIQRHPTARPPPPPAAGRQNPNGYTCKECNEWFPMHQGLGGHVAAHRSREVAAAAEGTLEDGAVACRRNAKPEKAHVCKVCGAAFPGGVQLGGHMRKHYAGPPIVPNKKRRLVQPPVPPPALTLALPASAYADGASPAPAVDAAAQPGPAPAVERTPEPAPGPAVAGRMLLFGVDIGVRVQKPAAQEGPSATEGPASTGGEQ >PAN31201 pep chromosome:PHallii_v3.1:5:50191778:50192771:-1 gene:PAHAL_5G404000 transcript:PAN31201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTANCFDILIAIILPPLGVFLKFGCKLEFWLCLLLTFLGYLPGIIYAVYAITK >PAN31445 pep chromosome:PHallii_v3.1:5:51627892:51636455:-1 gene:PAHAL_5G420300 transcript:PAN31445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPNVGGGAAAAAAADPAGGGAGVGAGPSPWRKTTPPPPAGQAAVMGAESWPALEEARQKVAPESPAKAGAGNAGAGDSAKGPEGSPPPPPPSSQVASRTHKFDGHGNPNRNHQAHHKNGPKRRFPAANGAPSYPPAMPYHQHPGQPIFYPVPVLPSPMLLQEYPYQPFPVPVPNHERHVGKSGYENSVPPFVPVDQVGTNEGNRPMPPHPRGDPHAWRPPVGVRPHPGPEGHGHFSQTWQNPQVFGTRENTSLPQGVGPRAFVRPMVPPLGYINGPPYPGPMHPMYYYMPAVPMESMRGPLRYIQNRPVPSPVLSPEDAELRSNILTQVEYYFSDTNLDRDDFLKSLMDENGWVPVSKVADFNRLKKMTTDIHLIVDALANSSLLEVQDDKIRRRSDWSKWVSLSGTSSIASPSSASMDSSMGERNIGGFSNKDADSEDQKKHGFFNAKVADEQVQDSHSCSLNRDLSALSIYEKPKSLAAHPIKSSKHEPSFRSGDFKVQKVKSKTHIPDSQNDFSSFGGDQSTFMLDEELELEHADHSRDGHKRGDDEDEDFFVDDQDVNRLIIVTQDPRLAKDDKSRTSIPQAFSTEEASRISDALYHYEALHGRRTNNQRGSQADTPDTDSKPPGGSKENHISSGPNGTEETGQPIPRKRQSRGNRKAHNSRKQRFFAGNFMSNPDQYGGVSESPPGNSVGYFYGSTPENHSYKSSKLSSSPHGIPTGSSPVGSMPKSSPQSQHLTYHLLEKNKLQQQRYNKFKHHCLMERKKLGTGHSEQMNSLYRFWSYYLRDNFNEDMYKHFKKFALEDAAASYRYGLECLFRFYSYGLEKNFQPNVYEDFEKLTLEFYHNGDLYGLEKYWAFHHYRNPESGPVDKHPELERLLGEEFRTLDDFKAKEKAHEAAEKQTGGGGSTVAVAAHSKAETK >PAN31446 pep chromosome:PHallii_v3.1:5:51627892:51636456:-1 gene:PAHAL_5G420300 transcript:PAN31446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPNVGGGAAAAAAADPAGGGAGVGAGPSPWRKTTPPPPAGQAAVMGAESWPALEEARQKVAPESPAKAGAGNAGAGDSAKGPEGSPPPPPPSSQVASRTHKFDGHGNPNRNHQAHHKNGPKRRFPAANGAPSYPPAMPYHQHPGQPIFYPVPVLPSPMLLQEYPYQPFPVPVPNHERHVGKSGYENSVPPFVPVDQVGTNEGNRPMPPHPRGDPHAWRPPVGVRPHPGPEGHGHFSQTWQNPQVFGTRENTSLPQGVGPRAFVRPMVPPLGYINGPPYPGPMHPMYYYMPAVPMESMRGPLRYIQNRPVPSPVLSPEDAELRSNILTQVEYYFSDTNLDRDDFLKSLMDENGWVPVSKVADFNRLKKMTTDIHLIVDALANSSLLEVQDDKIRRRSDWSKWVSLSGTSSIASPSSASMDSSMGERNIGGFSNKDADSEDQKKHGFFNAKVADEQVQDSHSCSLNRDLSALSIYEKPKSLAAHPIKSSKHEPSFRSGDFKVQKVKSKTHIPDSQNDFSSFGGDQSTFMLDEELELEHADHSRDGHKRGDDEDEDFFVDDQDVNRLIIVTQDPRLAKDDKSRTSIPQAFSTEEASRISDALYHYEALHGRRTNNQRGSQADTPDTDSKPPGGSKENHISSGPNGTEETGQPIPRKRQSRGNRKAHNSRKQRFFAGNFMSNPDQYGGVSESPPGNSVGYFYGSTPENHRYNKFKHHCLMERKKLGTGHSEQMNSLYRFWSYYLRDNFNEDMYKHFKKFALEDAAASYRYGLECLFRFYSYGLEKNFQPNVYEDFEKLTLEFYHNGDLYGLEKYWAFHHYRNPESGPVDKHPELERLLGEEFRTLDDFKAKEKAHEAAEKQTGGGGSTVAVAAHSKAETK >PAN30786 pep chromosome:PHallii_v3.1:5:48357866:48362799:1 gene:PAHAL_5G387300 transcript:PAN30786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPPPPCPLPNPNPNSDASPPPAPMTPRAPQLRHHPPHVLLAEAVASWHPFHKKPCLSDRSTAPASSAHLPDAETPTPAPSGGGSGGSFRWLGLRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHFTCGAGGGGATDSSGEMWASDVGEVRMRDVPMVTEFGPAPVGGAAAGAGGVGAAVEAAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFWGGVIGDMNKMRIVGDNNFGEQKTHHRGRRKKHDVRMLDSLR >PVH38823 pep chromosome:PHallii_v3.1:5:42952006:42953081:1 gene:PAHAL_5G363800 transcript:PVH38823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGHRTACAKRGRRSVAMALGGRQSKRVRSIPPIEVTHGASSRMLKRLDIAGAPQQPEHQELLPIAENSSGCDNAVQEEPPAVAENTSYYENLNGCSTPLRDWTPYPDDGNSLNKDETGQNTDSGADAPTQRGGPRKARLATRGIMLDKMNKAMGRRMPISIPKGNQRPHVPMQAAKFASEAGVVVRSQVPIFTHWREYKAQSEHFDGFVGRLSGRLAIDKRHQSIVDACLKQQYFVGVPANEVPTTSPVSYMTDAHWCKLVDKWSTALSGPGLRDCVHNVV >PAN32019 pep chromosome:PHallii_v3.1:5:54064163:54064582:-1 gene:PAHAL_5G457000 transcript:PAN32019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFPTIIWKSESSPLNYYLLKEQKNVSTQFKSSRFEKVDRRCLLTKVRSKSSKCINAQNQSCFSN >PAN28632 pep chromosome:PHallii_v3.1:5:10160246:10160875:-1 gene:PAHAL_5G167600 transcript:PAN28632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSICSANDDGHYVVSFDEDAIRTTLADSGDTVDSWLDEIYRVHRRRLRRLVVDLDVEWRPSHYGHYYTPPVALLQLCVGRRCLVFQILHADYIPGSLFDFLADERFTFVGVGIGDDAAKLRAGYGLEVGCAEDLRGLAADTLGNPALRSAGLQELVWEVMGVQMQKPHHVRVSAWDARSLSYSQLMYASVDAFASFEVGRRLYDGDY >PAN31804 pep chromosome:PHallii_v3.1:5:53210522:53213884:1 gene:PAHAL_5G443900 transcript:PAN31804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRQSSGGSSAGATAAGEGNTHSSGGCKLERKDVEKNRRLHMKGLCLKLSSLIPPAARHASLLSEAAASASNPNKDAATQLDQLDSAAAYIKQLKERIESLKRRKEGGGKVGAAAAGSGAAAAVRMPVVEVRCQDGATLDVVLISAAGRPFKLHEVITALEEEGAEVVSASFSVVGDKIFYTIHSQALSPRIGLDATRVSERLHDLMLLV >PVH37838 pep chromosome:PHallii_v3.1:5:6193906:6195961:1 gene:PAHAL_5G101600 transcript:PVH37838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >PAN27195 pep chromosome:PHallii_v3.1:5:4056153:4056390:1 gene:PAHAL_5G065500 transcript:PAN27195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIRELLQQAVLRVLHGGGFMSDLEAFTPMLVTRRR >PAN29218 pep chromosome:PHallii_v3.1:5:12792794:12799440:-1 gene:PAHAL_5G209800 transcript:PAN29218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MAPPPNASMGMLNMGGCGALLPTPQPNSSQGRGFLVPGRSVSVLPLRWGLARKRGRVLDSRTDGAVAGGEAGAGSSDLRHIEKELTFSPTFTDYVKIMESVKLDRSKNLHGSDSDGRSSRRRFTGDGDRRGDGRSSDARNKPFERNQGPRRDRGSDRGRGVKLATDENRKDVNGLVERRATGDVENSPRGQGEVEEYVQRRRIRGDTRGNGGNGQFSSHAKAKDASSSMFDHQSVRNRQTQSVTGRDLEGQVSYTQHRTSALLNNRISSKNTKFQMGKGDFTSTSSSLDFKYPGESTSSNTEVNANSKVQRQQQRVESSRRNLVVRRFGEIDKKPIVSKRYGNVQPVPENDSHSSDSLKSYKPRKIQMQRGANVNMGKFVRRDAEATYFDDRAAFKTFEVFTDVRDRPRILRMEMEERIQKLASRLNATDVNTPEWKFSKMIHDAQIKFSDHSILRIVQMLGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPIEALNVFYTMQKQLSSYPDMAAYHCIAVTLGQAGLLKELFDVIDCMCSPPRKKFKLDPLQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFKKVEKSSIPGALNYKVLVNALWRERKIDEAVMAVKDMESRGIVGSASLYYDLARCLCSVGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFSEMCSYCSPNTVTCNIMLKSFLEHGMLEDAKDLLQDILNGRIRSKADSSQTATADKFTFNTFMEACAAAQRWDDFEYTFHGMLSKGYHFDERRHLRMVLDAYRNGKEQLLDDLWRYLCHHNRAPPAPVIMERFCLKLVQGDTMAAISCVSRFQEGKIQNTSSMSWLNLLNRNADRLKEEHVTKLAHELSNFVSSRSSSDNISLYQKIQSSCTAFLSGATVVEKAPSDQQMSMALHHS >PAN32080 pep chromosome:PHallii_v3.1:5:54401852:54403466:1 gene:PAHAL_5G461800 transcript:PAN32080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITAEPRPPPPPDPLADAVAVEAAAAAAATSNARQAAPAFSSFPSFKTWGSHRVLRCAAHVNRAGEAIASANAAARRSPGKVDAGAEKKAPSHLNGVEAGSSDAAAADHHVAAAAAAEDAESAAASRPWKLRTRRRPKVAAPSASASPPPERRPSRARAEPLDRARFSVTLTTEEIEEDIYAVTGARPRRRPRRRPRVVQKQLDMLFPGSWLSEITGESYRVPDDR >PAN27588 pep chromosome:PHallii_v3.1:5:5541567:5543042:-1 gene:PAHAL_5G090200 transcript:PAN27588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKSSAQHQPHAMEPKKSSPRRTGAATAAANDPESPLSSLFYPPAPGANGKDQDLYSILYKGQSGSAQPGMTDGKTQWAPSRSRSTCTKDSKHSPPQDPVDTSCFGSSVHYGGRDYFYGSSTTKQGTEPPTDYKADKKDPAADSHGDWWQGSFYY >PAN27587 pep chromosome:PHallii_v3.1:5:5539587:5544294:-1 gene:PAHAL_5G090200 transcript:PAN27587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKSSAQHQPHAMEPKKSSPRRTGAATAAANDPESPLSSLFYPPAPGANGKDQDLYSILYKGQSGSAQPGMTDGKTQWAPSRSRSTCTKDSKHSPPQDPVDTSCFGSSVHYGGRDYFYGSSTTKQGTEPPTDYKADKKDPAADSHGDWWQGSFYY >PAN28441 pep chromosome:PHallii_v3.1:5:9195764:9197024:1 gene:PAHAL_5G152800 transcript:PAN28441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVEMCVHMDCPGCEKKVRKAVQRLEGVHDVEVDMAQQKVTVNGDVEQKKVLKAVRRTGRRAVLWPQPFAGAAGGAAHVLAQQQLLYDPAGAAGPAHAAYAARPGSSYNYHKHGYDDSRLYGAYQHHGASSAIAGTRATDYFSDENAQGCAVM >PVH38426 pep chromosome:PHallii_v3.1:5:16164045:16166840:-1 gene:PAHAL_5G255000 transcript:PVH38426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLLLLQGEAAAIFVFVLFVTQALSSLPVHGRAISGGGCVASERDALLGFKESLLDPAGRLSSWRGEDCCQWKGVRCRDRIGHVVRLDLRCQNSSEMMMLRSGMSSSLATLHHLIYLDLSSNYFNFINIPLFLGSLSNLRYLDLSDAGFSGSVPPQLGNLSRLQYLNLSGYYDLKVSGLSWLRHLSSLESLDMGGVDLSLAGDWVRMVNMLPNLKIISLIECGLNSTVSALPHLNLTHLEVLDLSRNPFYSLLQHNWFWKVTTLKELRLSACDWFGPIPNELGNMSSLEVLYLDINELSGIMPMTLKNLCNLQLLTLSFNDIKGDMLERLPACSWSKLRELHLSRANLTGQLPVWIGNLTNLSYLDISQNMLVGPVPFGMGNMRSLSYLDLSQNMLTGDVPRGLGALSNLTYFSLGLNNFSGVLSKDLFAGLVNLEYLKLSHNSLKLDFGEGWVPPFRLTEGHFGSCDMGPRFPAWLRCQTGIRNLDISSTRINDVLPHWFWVVVSNAFSLDLSRNQLSGDLPANLELPFIREMDLSRNSLTGKLPANLTAPYLMNLLLYNNNFTGAIPTYVCYNFFEINLSNNQLTGDSPQCSGDTSLLQMVDLKNNNLSGEFPRFVQNARDLRFLDLSHNKFFGVVPTWIADKMPNLEVLILRSNMFHGHLPKQLTMLVGLHYLDIAHNNISGSLPSSLARLTAMVHSYGTGENNYSTDSISTFIKDRELNYTHQLIQHVVLIDMSSNSFTGYIPKELSLLKGLRSLNLSNNQISGPIPDDIGVLRELESLDLSYNYLSGEIPSSLSDLSFLSCLNLSYNDLSGRIPSGQQLQTLNNQYMYIGNPGLCGPPLLNYCSVNGTNPNVSQEHEGGRSYLYLSMSMGFVMGLWSGFCAMLFMKTWRIAYFQLLDQLYDKVYVRVATSKAAFLRKRGDEET >PAN29860 pep chromosome:PHallii_v3.1:5:16163789:16166891:-1 gene:PAHAL_5G255000 transcript:PAN29860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLLLLQGEAAAIFVFVLFVTQALSSLPVHGRAISGGGCVASERDALLGFKESLLDPAGRLSSWRGEDCCQWKGVRCRDRIGHVVRLDLSLSNLRYLDLSDAGFSGSVPPQLGNLSRLQYLNLSGYYDLKVSGLSWLRHLSSLESLDMGGVDLSLAGDWVRMVNMLPNLKIISLIECGLNSTVSALPHLNLTHLEVLDLSRNPFYSLLQHNWFWKVTTLKELRLSACDWFGPIPNELGNMSSLEVLYLDINELSGIMPMTLKNLCNLQLLTLSFNDIKGDMLERLPACSWSKLRELHLSRANLTGQLPVWIGNLTNLSYLDISQNMLVGPVPFGMGNMRSLSYLDLSQNMLTGDVPRGLGALSNLTYFSLGLNNFSGVLSKDLFAGLVNLEYLKLSHNSLKLDFGEGWVPPFRLTEGHFGSCDMGPRFPAWLRCQTGIRNLDISSTRINDVLPHWFWVVVSNAFSLDLSRNQLSGDLPANLELPFIREMDLSRNSLTGKLPANLTAPYLMNLLLYNNNFTGAIPTYVCYNFFEINLSNNQLTGDSPQCSGDTSLLQMVDLKNNNLSGEFPRFVQNARDLRFLDLSHNKFFGVVPTWIADKMPNLEVLILRSNMFHGHLPKQLTMLVGLHYLDIAHNNISGSLPSSLARLTAMVHSYGTGENNYSTDSISTFIKDRELNYTHQLIQHVVLIDMSSNSFTGYIPKELSLLKGLRSLNLSNNQISGPIPDDIGVLRELESLDLSYNYLSGEIPSSLSDLSFLSCLNLSYNDLSGRIPSGQQLQTLNNQYMYIGNPGLCGPPLLNYCSVNGTNPNVSQEHEGGRSYLYLSMSMGFVMGLWSGFCAMLFMKTWRIAYFQLLDQLYDKVYVRVATSKAAFLRKRGDEET >PAN31807 pep chromosome:PHallii_v3.1:5:53246828:53249762:1 gene:PAHAL_5G444300 transcript:PAN31807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSSGVLLKLLDGMKTGASKPVGEHRTAVLQVTDIVPAELDEKDLFPKHGKFYVKVSDASHSIYATLPLAQADLVLSNKLHLGQFVHVDRLDPASPVPVIVGAKPLPGRHPLVVGTPDPGAKAKPAAPRRGSWGPEQNASIKPTTLNFDAEKTPVKERPALSAATPVRERVGAATPVRERGVAATPARERVTASPSLSSASVRKSSSVVPRLLTRSKSFVADRDQHPKIPKSPFPTEKSSVSCTTSRATRRVAKVEEPSSPPSDDELRSSATSSKKRPSTAARVPVPGKLSLLGKDAIEQREQAQKAALEALRNASATDNVVRIYKIFSELSKTARPDTPASCFDSFLSFHQEAVQAVTDIEAIQAATSMAAAVASDELPEDAPPVLQEIAQNSAVVRRRGIGGGGGVSKSVSFAPGTLDPRQDDGGGKTGRSSSASRKCLSMDKIGEDGGDEKRSSSSSAPPSATTTAHSALGSSLKLAKQIQAEAGSWFMEFLEAALETGLKKSKASATGDGRKQNSCCCPQSLMLRVINWVEMEQSGGDSSGRRPAHPRAAAIARKLRIKAKNP >PAN30567 pep chromosome:PHallii_v3.1:5:32762854:32764720:1 gene:PAHAL_5G328000 transcript:PAN30567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVLRAHDILLPAPHRTRPAASHRRPSNSNNPAVATRRSPPAPASGRRHHGRKAARPAAEVYAGPAFSTSPEPSALPLPQFPVKKAAAAADLLVDDAATRDLRRILRLE >PVH39403 pep chromosome:PHallii_v3.1:5:56209158:56210996:1 gene:PAHAL_5G493500 transcript:PVH39403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPLSLPGFLFASQPAPCLYQLGAYRIPAPIMAGLPRAAAAVSSPLTVAASGSGARCGWDEGDGVVGLRISAEVRAKFAPIELIVPPSKNAQ >PVH37576 pep chromosome:PHallii_v3.1:5:2139537:2142832:1 gene:PAHAL_5G034700 transcript:PVH37576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MNSDKQTAREGVRNSRHHKAGGYPFEPPKMQFVTKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSSPAPDDPQDAVVAQQYLRDYPTFAATARYWTEAFAKSTSTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCSG >PAN26735 pep chromosome:PHallii_v3.1:5:2139539:2142832:1 gene:PAHAL_5G034700 transcript:PAN26735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MVDVSRVQKELTECNRDREVSGVSIALHDGANISHLTGTIAGPADSPYEGGTFVIDIRLPGGYPFEPPKMQFVTKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSSPAPDDPQDAVVAQQYLRDYPTFAATARYWTEAFAKSTSTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCSG >PVH38895 pep chromosome:PHallii_v3.1:5:47772079:47772813:-1 gene:PAHAL_5G383800 transcript:PVH38895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGIMDPPEYAYREYIAGGTLRCDIMVFLERSTRYPDVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRATNAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEVESP >PAN32742 pep chromosome:PHallii_v3.1:5:57277732:57283220:1 gene:PAHAL_5G508700 transcript:PAN32742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAAAQLLACSARPFLPGHHHHHARRPLLLLNSRSKTSSSSNFPRPSSPRDPGSSLFHEISDLVASARNGSALRPEMNDEACHHDRGAAAGQRAEGARGIAPESATAASSSVFCSISNMGHLGGLPDDGSQPSDTAGSAVNPDADNVSEAVHRIMGVLRSQAPVSSMEERLESLGVTYTPQLVNMVLKRCFKVRQLGFWFFHWVKRLPRFRHTTETYNTMLYITGEAWTFAIMEELVGEMDRQMCPKDVKTWTIILSSYGKAGLVGKMLSTFEAMRKSGSIGIDSKVYMTVLHALCNAKKPELALEFYKDMPRNMEVGTGILRLLMCCLATSDNAAEAVCSIRDDMIKGMKHPEEYCYMEALRSFCIAGKVEEAWKVFQQMKRKSMASPFAFQNLLRGLCKAGKMAEALQIIEYMKGTLGINSAEFAFLIDGYLRKGEHTKALDLLRVMREHGCVPLVSSYTQLMQHLFAIDQYEEACGLFEEMLKNGVEPDIITLTALIGGHVRSGHISEAWDAFRNINKSGQKPTLKAYTVFIQELCKASRPLEAAELLKEMLESDFRPSEGTFCRVISALRDKFYLEEVSNVERMWASFNLRSPRGGLQFKPLDGIDTVDKFRRLHKSNPEEKELGLEFIGYSSDQNGKLSGFKLSDDTHKREQEHDYSDGDVEKICQILSSSDDWGPMQQALEMRSVHFSPNLVDAILKRCKRNSRAALQFFSWVGKRSYYMPTTKTYTTAMKLAGSAKDFKCMRYLYREMLRTGCSPTVDTWNVMVCQYGNAGLSEKALETFREMKQHGFLPNKTTYQHLIMYLTRSEGRKVDEAAIIFKEMCHAGHIPDNDTVFKYISALCECRKIAEARSSVVFLCERGFSVKFGYSIFLRSLCRADRVEEALSLFNCIEKHGCSRDQYMYASLIHALLRRDQFEDAVAKLTEMKKAGIPQNTYMYTSFIVYYFQKGDVAKALDVLKEMEENGCEPTVVTHSALIRGYMAMDMVSEAWDVFQQMKLKGPAPDFETYSMFISRLCKAGRSEGGLQLIHDMLECGFIPSTVNFMTVVHGLNMEGKHGLAESVLRSKWHLRRQRTISY >PVH37680 pep chromosome:PHallii_v3.1:5:3571544:3572050:1 gene:PAHAL_5G056400 transcript:PVH37680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRSSSGPPLLLPLHFSSVPFPATLPPAPPLSPSSLCPVASLATPAWPRSPIPITSHVLLRISQYLRPSGGRALVWRGDAQLAGGWLKQNVTGRTPARVPSSSMSTGCESQPLTAADSLFSVLHLARRRCLVVLCSSDSQTLLTLLC >PAN27935 pep chromosome:PHallii_v3.1:5:7179606:7184086:-1 gene:PAHAL_5G119300 transcript:PAN27935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSCRLLLLLPLLAVAVAASHPAHEFCAAGGDAGCGGGGDGARILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAANAAMDYGFHMAITKWNDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQQMIDLGITGPEGHALSRPPILEGEATARAIRLAKFINTPLYVVHVMSVDAMEEIAKAKREGQKVIGEPVVSGLVLDDSWLWNPDFTIASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGLEERMHIIWDSMVETGKISVTDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAHTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGVLNIAPGSGRYVRMLPFGYIFDGIEKSDAAYRASLRAPVQRGKVAA >PAN27166 pep chromosome:PHallii_v3.1:5:3938647:3942294:1 gene:PAHAL_5G063200 transcript:PAN27166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNALRYLTKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVSRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLRHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHCNAQMFFDRDIECIYKFFNKRFNLTSEKNEEQAGSESDGEGNSRPSFLSVKKSAGSLDKELAASGFTRKEQVEMEKCIEEDAEGHDSSSDDDDEIGDAVPIDSLKIDQDHCDEPDCNLASRDSDGAGTFSEEHGTSCSGEDRLESPPSGSNGDAKEPLESEGKMLSQEDGDNDSSSNDDDDDEEDAELTKKLNRQRKKAIAAAHGRRRPVSSRNAYKDKGKGTMNSKIQRQACKW >PAN30449 pep chromosome:PHallii_v3.1:5:19875924:19888572:-1 gene:PAHAL_5G282700 transcript:PAN30449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAEVIELSSDEDEEPVPSTTALARRAPSSPPDVKPRLLADADVKPLLLHPPGYGALVPVKTEEPVPVPVATASPPPRALPPPRVCRQFWKSGDYVVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVNKFTNPRDGNPSLLIQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNGVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYIQMLRHDQKLFSSNLRILLKWSPFASGAELLKQFDDMGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGAHKKVKTNKLEKIATQNYISTRLRYSLRAYASILYLHVPDNFRIVLCGCDVEPHNIVNDLMYRECVLYKPQIAGLAESSVITTIGFVKGAPDIDVQGFNVYHKNRLISPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSALYHRLEIRLKEMTYEYWGLHCHRVGYDNKSLSKATRALNRANHMNAGSSPISAPPRLLAANIPTSSCGIPRLSASAAREKINSLGSHSNSKMGLKRKFDSYAMTDNADHDGQNHTDGVDVCQRKRFSEYRTLTLENDKLRNECLQYEESKKQLVMKFAVFQRRQGDQGTKAPI >PAN30450 pep chromosome:PHallii_v3.1:5:19875132:19888935:-1 gene:PAHAL_5G282700 transcript:PAN30450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAEVIELSSDEDEEPVPSTTALARRAPSSPPDVKPRLLADADVKPLLLHPPGYGALVPVKTEEPVPVPVATASPPPRALPPPRVCRQFWKSGDYVVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVNKFTNPRDGNPSLLIQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNGSSSQEFLELATPRSKKFGAGALGTSKGCDDVLVPTVDYQYDLTTASYIQMLRHDQKLFSSNLRILLKWSPFASGAELLKQFDDMGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGAHKKVKTNKLEKIATQNYISTRLRYSLRAYASILYLHVPDNFRIVLCGCDVEPHNIVNDLMYRECVLYKPQIAGLAESSVITTIGFVKGAPDIDVQGFNVYHKNRLISPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSALYHRLEIRLKEMTYEYWGLHCHRVGYDNKSLSKATRALNRANHMNAGSSPISAPPRLLAANIPTSSCGIPRLSASAAREKINSLGSHSNSKMGLKRKFDSYAMTDNADHDGQNHTDGVDVCQRKRFSEYRTLTLENDKLRNECLQYEESKKQLVMKEQKLRSEIAEANKKYQELLKELGSLDVKEEKS >PAN30447 pep chromosome:PHallii_v3.1:5:19875132:19887315:-1 gene:PAHAL_5G282700 transcript:PAN30447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNGVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYIQMLRHDQKLFSSNLRILLKWSPFASGAELLKQFDDMGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGAHKKVKTNKLEKIATQNYISTRLRYSLRAYASILYLHVPDNFRIVLCGCDVEPHNIVNDLMYRECVLYKPQIAGLAESSVITTIGFVKGAPDIDVQGFNVYHKNRLISPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSALYHRLEIRLKEMTYEYWGLHCHRVGYDNKSLSKATRALNRANHMNAGSSPISAPPRLLAANIPTSSCGIPRLSASAAREKINSLGSHSNSKMGLKRKFDSYAMTDNADHDGQNHTDGVDVCQRKRFSEYRTLTLENDKLRNECLQYEESKKQLVMKEQKLRSEIAEANKKYQELLKELGSLDVKEEKS >PAN30451 pep chromosome:PHallii_v3.1:5:19875132:19888935:-1 gene:PAHAL_5G282700 transcript:PAN30451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAEVIELSSDEDEEPVPSTTALARRAPSSPPDVKPRLLADADVKPLLLHPPGYGALVPVKTEEPVPVPVATASPPPRALPPPRVCRQFWKSGDYVVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVNKFTNPRDGNPSLLIQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNGVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYIQMLRHDQKLFSSNLRILLKWSPFASGAELLKQFDDMGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGAHKKVKTNKLEKIATQNYISTRLRYSLRAYASILYLHVPDNFRIVLCGCDVEPHNIVNDLMYRECVLYKPQIAGLAESSVITTIGFVKGAPDIDVQGFNVYHKNRLISPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSALYHRLEIRLKEMTYEYWGLHCHRVGYDNKSLSKATRALNRANHMNAGSSPISAPPRLLAANIPTSSCGIPRLSASAAREKINSLGSHSNSKMGLKRKFDSYAMTDNADHDGQNHTDGVDVCQRKRFSEYRTLTLENDKLRNECLQYEESKKQLVMKEQKLRSEIAEANKKYQELLKELGSLDVKEEKS >PAN30452 pep chromosome:PHallii_v3.1:5:19877296:19888935:-1 gene:PAHAL_5G282700 transcript:PAN30452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAEVIELSSDEDEEPVPSTTALARRAPSSPPDVKPRLLADADVKPLLLHPPGYGALVPVKTEEPVPVPVATASPPPRALPPPRVCRQFWKSGDYVVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVNKFTNPRDGNPSLLIQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNGVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYIQMLRHDQKLFSSNLRILLKWSPFASGAELLKQFDDMGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGAHKKVKTNKLEKIATQNYISTRLRYSLRAYASILYLHVPDNFRIVLCGCDVEPHNIVNDLMYRECVLYKPQIAGLAESSVITTIGFVKGAPDIDVQGFNVYHKNRLISPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSALYHRLEIRLKEMTYEYWGLHCHRVGYDNKSLSKATRALNRANHMNAGSSPISAPPRLLAANIPTSSCGIPRLSASAAREKINSLGSHSNSKMGLKRKFDSYAMTDNADHDGQNHTDGVQELNGHLILSGVYKPMSTSHLPHHKKGENQITSFSGAINL >PAN30884 pep chromosome:PHallii_v3.1:5:37830787:37833091:1 gene:PAHAL_5G346000 transcript:PAN30884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSADHSEIDGIVVAERGARSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDHKQQQQQRSQHNGEATTEVKDSSSSSSGSSNLQAVQKRRLLMGVEEAALLLMTLSSSPTSTLLHG >PAN26929 pep chromosome:PHallii_v3.1:5:3030780:3033674:1 gene:PAHAL_5G047900 transcript:PAN26929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAAAEANDAPKLLAALKEMKDGLDLVRGKVEAITRKVKENQLPTANGIGYLEAKNHLLLGYCQDIVYYLLRKAKGLSVDAHPVVRSLVEIRLFLEKIRPIDKKAEYQIQKLTNAADGATAREKAGNAEVKGKGEHSDEEDLLKYRPNPDMMDTKSAPDGQDNDGVYRPPKFMPAIMDDEDKRRKQDSRRDKAIARMAKENPYIKEMIDDTAGRPEEWKETVGDESREFVKYMRQREEQEKQEEELFTRAPVTKRDKQIEKRIRRQLHGLGGLADGFDLGMNMLFDGDKEDDGGSSKSRGKRKKHLKSSSKKRKKH >PAN29204 pep chromosome:PHallii_v3.1:5:12755968:12760037:1 gene:PAHAL_5G209000 transcript:PAN29204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNAGAMPREGSAGSVKDWSEFDPSPSPKLAYSQSHVAMRGLLTSVASLDPILMSSSLKSLWAALSSHRHARSLERPKSKGVNWRRPMVHLLVCFLVGIFIGFTPLFSVDISNKLDSENEMLPFDGDVVDRQMLEFKSTKLEPFAAETEAVEEQQVDESPPVPAMLDDEVDFIEASHVVPSVNDSDFVVRKQLIIVTATSVRPHQAYYLNRLAHVLKNVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICNRNTTNIRKIIVCQKNNAIFHIKKHRLDGIVHFADEERAYSVDLFEEMRKIRRFGTWPVAIHVGARYRVVLEGPLCRGNQVTGWHTNQRRGVPRRFPIGFSGFAFNSTILWDPQRWNSPTLESIILHSGGRGGLQESRFIEKLVEDETQMEGLADNCSRVMVWNFDLEPPQLHYPTGWLLQKNLDVVVPIT >PVH37720 pep chromosome:PHallii_v3.1:5:4202511:4205549:1 gene:PAHAL_5G068100 transcript:PVH37720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISMYCNIGAGDDQASPQGSLALADTDQSASLLEWHKRHAIAIGIAKGLRFLHEECRAGPIIHLDLQPSNVLLTHDFVPMLGDFGFAKCKACNDSTKTMILGQSGYWAPEYAQYGIASVKTDVFAFGILLFQLISGRKVLDEHNGRCTHILQWAEPLIKTLALNELVDDRIKDTYDAYGLYHLARAAYLCVRANPEQRPSMGEVVRLIEVENEHIKRFKKYKK >PVH38286 pep chromosome:PHallii_v3.1:5:13407700:13407987:-1 gene:PAHAL_5G219500 transcript:PVH38286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTAWPPPPPAAPCTPPPRPPTPPWTPRRRPCPPPSLRPGSVSWTTATSRPARRRRSSTGTASRRRRRRRVGALVVIVVHGGGRWRREAGVRGQ >PAN32735 pep chromosome:PHallii_v3.1:5:57246163:57253540:-1 gene:PAHAL_5G508200 transcript:PAN32735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLALVALLLASALGSRGTTLAPSPAVTDSPASQGQASSPPEPAFALGPVTVPTAPSTPSAPSPEKSAVSPAAPTEPRNAPSPVTSSKEYNAPPPTEVAPPDPTVEVAAPVAPPQASVENPRPILPGTPAVLPSVQAPAPSVALNPNPPAVPPPSVNNQPNRPVGSVPPHPPPALPPPANEVPPYPPSGSFPAIPPSASVPHVNPPIASPVIVQAPQQQAVAPSTEHKNVPPANISPPANFKKHHDPHASPPKESTGQTVPVHKSPVTGSAPATSPLPQNPNMPSIPKNASSVSHAQPSPPSLAPKSAPTSRSHARGWKSNSPKNGANPSIAPSFPPSRAQGPEISRPPRQTEAKRQNHHAPPPVPQGHPHFPVHPPSPSPASSRGPTNGKKRHHISPTLPPIPPLPEPKAPSAHPIWALPPPPPNSDCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVASDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPEGVGDGAFGNNRNARAMKPLGVDVRRPKRKVNGSLIAIAVLSTVIALIICCLAAWLLILRFRGPSDTAQGFAHSVLPKFSRSSGTGHMLLAGAGRYSSPSGPSGSLGSSIATYTGQAKTFKFAEIHKATNGFDDSKVLGEGGFGCVYQGTLEDGTTVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICIEENARCLVYELIPNGSVESHLHGADRETAPLDWNSRMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVAWARPLLTNVLSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSEGDDGLASGRFSQELPMHSTAVYDVTGMEAERVLISEIFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGVSPDYETRSQYSGR >PAN32736 pep chromosome:PHallii_v3.1:5:57246639:57252110:-1 gene:PAHAL_5G508200 transcript:PAN32736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLALVALLLASALGSRGTTLAPSPAVTDSPASQGQASSPPEPAFALGPVTVPTAPSTPSAPSPEKSAVSPAAPTEPRNAPSPVTSSKEYNAPPPTEVAPPDPTVEVAAPVAPPQASVENPRPILPGTPAVLPSVQAPAPSVALNPNPPAVPPPSVNNQPNRPVGSVPPHPPPALPPPANEVPPYPPSGSFPAIPPSASVPHVNPPIASPVIVQAPQQQAVAPSTEHKNGNTVPPANISPPANFKKHHDPHASPPKESTGQTVPVHKSPVTGSAPATSPLPQNPNMPSIPKNASSVSHAQPSPPSLAPKSAPTSRSHARGWKSNSPKNGANPSIAPSFPPSRAQGPEISRPPRQTEAKRQNHHAPPPVPQGHPHFPVHPPSPSPASSRGPTNGKKRHHISPTLPPIPPLPEPKAPSAHPIWALPPPPPNSDCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVASDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPEGVGDGAFGNNRNARAMKPLGVDVRRPKRKVNGSLIAIAVLSTVIALIICCLAAWLLILRFRGPSDTAQGFAHSVLPKFSRSSGTGHMLLAGAGRYSSPSGPSGSLGSSIATYTGQAKTFKFAEIHKATNGFDDSKVLGEGGFGCVYQGTLEDGTTVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICIEENARCLVYELIPNGSVESHLHGADRETAPLDWNSRMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVAWARPLLTNVLSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSEGDDGLASGRFSQELPMHSTAVYDVTGMEAERVLISEIFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGVSPDYETRSQYSGR >PAN26722 pep chromosome:PHallii_v3.1:5:2078963:2080102:-1 gene:PAHAL_5G033500 transcript:PAN26722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSANENSPPVEAAAATSMPRSRLPRWTRHETLVLIQARRAMERRGLPLPVRPRPKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEGKAGAAPTQLHESFWDMRGDQRRARQLPSSFDREVYDALLCGTSAALPDFGDGELDGLCRQPPIMVMPISARKYEPPPASSEHECSGPVTESDKKAGAAASDKNSTSQHDGGGGFKDSDATFVAEAEGTTTATPAATVSIGKQVIEALERGNRALAQQLEAQKSSWRADREQRAALLGALDRLAGAVARIADKL >PAN33107 pep chromosome:PHallii_v3.1:5:58896124:58898652:1 gene:PAHAL_5G533000 transcript:PAN33107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDAWMADAARRWLEDAGATVEGGPDRAFNALPLSGVRVSLAERGRAVCSLRVPAHLTDAEGNWHTGAIAAATDDVCAAAIMSVEGIIKVSVHYDISYFAPAKLHEEVEMDGRVVEQKGRMTAVTVEIRKKESGELVAIGRQWMTASRPRGSRSKI >PVH37837 pep chromosome:PHallii_v3.1:5:6187663:6191840:-1 gene:PAHAL_5G101500 transcript:PVH37837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKAAPSKAELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDPTKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKAGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVESKKTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYILKSQMKALLEEESEKIAIEDEIEDQRKKTKTTTPMTTELFMEWKRKKAEEREAGQAALKAERAKNDRMSGRELFMADASVFVDDAEAYEVYEREEESEANAESSKKSQDAGPSSSTSNGKEVEEPDDEDIDVDDDLDIDELNELEASLSRTSIQIREPGEGTSS >PAN29690 pep chromosome:PHallii_v3.1:5:15392489:15395168:-1 gene:PAHAL_5G244800 transcript:PAN29690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWRAAGARAVLRRLGAAAEAAGRCDGGVLPAICSSSGNATSGLGQYANLFRPQAFASRSISVNFHQLIRNAGISTTRNLLADDAMVPISSPLTPPLGDSEETDKKGAVVKRLKVQAIKKDIRQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEEAGATV >PVH38484 pep chromosome:PHallii_v3.1:5:17501096:17503846:-1 gene:PAHAL_5G269100 transcript:PVH38484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGPLSPDDYRAASPPPLLHQAAPTIVVAIDRDRNSQLAAKWVVDYLLSSASHIILLHVAAHHHPSNHGFSMAESTHGPHGSLEAEMKELFAPYRGLFSRNAQVDVSEVVLEEPDVSKAILAYITANKIQSIALGGACRNAFTKKFKNADVPSTLMKCAPDYCNIYVVAKGKSVNVRLARCGVPPFGGADILRDAESLRGSALYARRGSRGYLPPATPPDATRRSVDGRTLPELTTRPPFRERSLAGSATKNLMPLSKDHSDASIRSARHDSLSELDFGSSMDFGENLDMSTTLTASPGHEPMSPASGQAHRELEAEMRRLRLELRQTMDMYNAACKEAINAKQRAKEMQMLKLEDARRLEEARHAEEAALALADMEKAKCRTAMEAAEAAQRLADLEAQRRRNAEMRARREADEKVRALDAISNHDFRYRKYHIDDIEMATERFSDGLKIGEGGYGPVYRASLDHTPVAIKVLRPDAHQGRKQFQQEVEVLSCIRHPNMVLLVGACPEYGCLVYEYMDNGSLEDRLYRRGGTPPIPWSQRFRIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAIDAGTFHQMLDITVKDWPVEEALGFAKLALKCTEMRRRDRPDLATVILPELNRLRNLGIAYDARMTAAASCGGGGDGGVHASVSSTTLGGSWKTAES >PVH38483 pep chromosome:PHallii_v3.1:5:17500867:17504196:-1 gene:PAHAL_5G269100 transcript:PVH38483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGPLSPDDYRAASPPPLLHQAAPTIVVAIDRDRNSQLAAKWVVDYLLSSASHIILLHVAAHHHPSNHGFSMAESTHGPHGSLEAEMKELFAPYRGLFSRNAVDVSEVVLEEPDVSKAILAYITANKIQSIALGGACRNAFTKKFKNADVPSTLMKCAPDYCNIYVVAKGKSVNVRLARCGVPPFGGADILRDAESLRGSALYARRGSRGYLPPATPPDATRRSVDGRTLPELTTRPPFRERSLAGSATKNLMPLSKDHSDASIRSARHDSLSELDFGSSMDFGENLDMSTTLTASPGHEPMSPASGQAHRELEAEMRRLRLELRQTMDMYNAACKEAINAKQRAKEMQMLKLEDARRLEEARHAEEAALALADMEKAKCRTAMEAAEAAQRLADLEAQRRRNAEMRARREADEKVRALDAISNHDFRYRKYHIDDIEMATERFSDGLKIGEGGYGPVYRASLDHTPVAIKVLRPDAHQGRKQFQQEVEVLSCIRHPNMVLLVGACPEYGCLVYEYMDNGSLEDRLYRRGGTPPIPWSQRFRIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAIDAGTFHQMLDITVKDWPVEEALGFAKLALKCTEMRRRDRPDLATVILPELNRLRNLGIAYDARMTAAASCGGGGDGGVHASVSSTTLGGSWKTAES >PVH38385 pep chromosome:PHallii_v3.1:5:15843568:15844134:1 gene:PAHAL_5G250100 transcript:PVH38385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKARRSGVKFIEDERNRSLTFFKRRSGLFKAVSDLSALTGARVVMVLECENERFSSFGTPKADPIVDAFLSGDAPTESDTSEEQKAVIINLQNELFQLEKDKAMEDKWKKENMLRAKEIQGTSRKAKYVYGKVEDLDATELYEMYHELSRIKQEISDRLPWFRSMPSNVATLPMYFPYAPSQISFQ >PAN29351 pep chromosome:PHallii_v3.1:5:13353677:13356028:1 gene:PAHAL_5G218800 transcript:PAN29351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSWSELPPELLGVVFLHLRCLADRAYFAAACRSWRSAAARASAPTPPPPQLPWLLLVPSAGAPCFVSLLAGSARRRISLPHGAHGARLCGAHTGGWLGVAANGWRAYALVNAFSRAWVPLPDRMRVPGHGITTCLVVRAVALSAPPTSQGCIAAALVCGVSNLAFVRPGTDRQWLASGSGHGLQDILYHDGEAVRGFHAVANDEAVTVFVQEGLQGAPALRMAWRSYRMQRRRNAPGPRQSPSAGVSRYLVESRGKLLMVVRRFPSVQHGGGAATSRGFEVLELEVQALPSGDHAASWVELDGGLDGRVLFLARGCSRAFEASQFGGFQEGIYFLDDTRFDISLALSCGGNFPCSDIGWYSGSEIMPGIKGFPSEFQSTFSSPTWFYP >PAN27977 pep chromosome:PHallii_v3.1:5:7363114:7365231:1 gene:PAHAL_5G122100 transcript:PAN27977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGAGSGGGGGGDGGMSESVIRKVLVSYMYVAVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVLRVVDLPSSPAMTPQLYVASVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFKSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVVPWVFVELPRLRAIGTFQPDLFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSHADRKTDNQA >PVH37839 pep chromosome:PHallii_v3.1:5:6220355:6221748:1 gene:PAHAL_5G102000 transcript:PVH37839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLPLHRGHMPRILPSNVIPSHMQGHPPAVLAHSRRRRCAIQGFPRCIPARPSCARENLTLRTITSPSIRPFPFNHSILRFQNSPALAARTLPARRRRRLSAPLQLLLAPLLRAGVLPSSSPGGHNGGPRLHSHSNPPPSCCDSQEHSANLIRGRGQACLKAYRALVAPPPASPSDLLLNQQRFKLINNHGLKKGEVIEK >PVH39544 pep chromosome:PHallii_v3.1:5:58888846:58895892:1 gene:PAHAL_5G532900 transcript:PVH39544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVAPFQLFKLCHIMLVPEDCFLAHNNHLKGMMLISQLFQRVSKNAIRNAVLLLTTKQLLQRMFQPHPLKKVFQTHSSKKVFQPHKGSPNNLDVPENILNAVSMDVTDLPDVPQMISSKEGNGTQSQCSPKPCEGPNEDENRTVQDVPDVDCNESSVHKSISGHEGSKQLSGQKSNQVRNQGPRRAALKRNVGSDGKKKKNKSTGLADISDLKFSQRKPKKTRLISELIDSQIGGCADAIETDHVKTGDICESHKSKMPLEVGKDDDTPVSNQKVCEFQSMAVKNKAKLRGADNVDDGSSLMNWLRKTHKKVRKEKRGSGHKNCDSSAVSNSNPDIPASSDMQDGSLPVGDLAQEKVLSTTSANHGNENTQKNSLEQNMQKADDLCQNESENLKQRFLSNGKSTILLKRKVLLPATSCDDNTENSSIKRSMLRTDDLRRMESEGTVQRCLAKVSLGKRQIPGSAFHKQNIPKNKKKRRLKAHEKQNVIDDIPMDIVELLARNQHARQLMTDTDSLENRHTQPKIAEVDCAEISAKDGPIDASTVLDTNFQKSLASESKRKSLQGSASSSTVAATVHLQDLHTQKSSQGHAASSTEIPNGHRPEPHMQNSLQVHALPITGSFNVYPPKLRVPDILECTQEQQTHFCRDEEVTIACTSPIFSHHQHIAEVPTQSWSNKREKKLMWDSFKTASRNSPTPTYGFQFRNRVREVDPTPIPVYGASNDYATHQPVTAAVDQYTKEAVNQVHPRSVPSTALAMEVGRLYDQSIAGQSGLYPKEPMAATHLLGLMDSSTARGFTGYQRASRRQMELETQNLGSQYAQHNHYNVSPSTSYGSHLTEKVPLRLQDLARHQVEKNFHRPLRPHPRVGVLGSLLQKDIANWSENCGTQSGYRLGVSKGTSSFDINGKGNYETLNSGMFSAGWNALQLGSVTSVANPERPLPRYGVTQPWTGGTGKSVHPLDKLVKKDICQTNRNPADFTVISDKNEYMINL >PVH39542 pep chromosome:PHallii_v3.1:5:58889062:58895892:1 gene:PAHAL_5G532900 transcript:PVH39542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAQTIGNEAVEELGRLPTVAEPDDRPTELECDHFSMRGYVALRQKKDPKLRSLQIFRNQQQYDEHHNNSSPLLVAKYQRWNCSSCLDRVKNSGHRTTSETVSMQQDGDGCSISIIQTLPYNVGSRRLFSGTQQSSQGNDADQSTVSKSVQECNSKCSSPSDNKAVTAENVPATSTEKSVPDTFVEKSVPATQDLQGSPNNLDVPENILNAVSMDVTDLPDVPQMISSKEGNGTQSQCSPKPCEGPNEDENRTVQDVPDVDCNESSVHKSISGHEGSKQLSGQKSNQVRNQGPRRAALKRNVGSDGKKKKNKSTGLADISDLKFSQRKPKKTRLISELIDSQIGGCADAIETDHVKTGDICESHKSKMPLEVGKDDDTPVSNQKVCEFQSMAVKNKAKLRGADNVDDGSSLMNWLRKTHKKVRKEKRGSGHKNCDSSAVSNSNPDIPASSDMQDGSLPVGDLAQEKVLSTTSANHGNENTQKNSLEQNMQKADDLCQNESENLKQRFLSNGKSTILLKRKVLLPATSCDDNTENSSIKRSMLRTDDLRRMESEGTVQRCLAKVSLGKRQIPGSAFHKQNIPKNKKKRRLKAHEKQNVIDDIPMDIVELLARNQHARQLMTDTDSLENRHTQPKIAEVDCAEISAKDGPIDASTVLDTNFQKSLASESKRKSLQGSASSSTVAATVHLQDLHTQKSSQGHAASSTEIPNGHRPEPHMQNSLQVHALPITGSFNVYPPKLRVPDILECTQEQQTHFCRDEEVTIACTSPIFSHHQHIAEVPTQSWSNKREKKLMWDSFKTASRNSPTPTYGFQFRNRVREVDPTPIPVYGASNDYATHQPVTAAVDQYTKEAVNQVHPRSVPSTALAMEVGRLYDQSIAGQSGLYPKEPMAATHLLGLMDSSTARGFTGYQRASRRQMELETQNLGSQYAQHNHYNVSPSTSYGSHLTEKVPLRLQDLARHQVEKNFHRPLRPHPRVGVLGSLLQKDIANWSENCGTQSGYRLGVSKGTSSFDINGKGNYETLNSGMFSAGWNALQLGSVTSVANPERPLPRYGVTQPWTGGTGKSVHPLDKLVKKDICQTNRNPADFTVISDKNEYMINL >PAN33108 pep chromosome:PHallii_v3.1:5:58888527:58895892:1 gene:PAHAL_5G532900 transcript:PAN33108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAQTIGNEAVEELGRLPTVAEPDDRPTELECDHFSMRGYVALRQKKDPKLRSLQIFRNQQQYDEHHNNSSPLLVAKYQRWNCSSCLDRVKNSGHRTTSETVSMQQDGDGCSISIIQTLPYNVGSRRLFSGTQQSSQGNDADQSTVSKSVQECNSKCSSPSDNKAVTAENVPATSTEKSVPDTFVEKSVPATQDLQGSPNNLDVPENILNAVSMDVTDLPDVPQMISSKEGNGTQSQCSPKPCEGPNEDENRTVQDVPDVDCNESSVHKSISGHEGSKQLSGQKSNQVRNQGPRRAALKRNVGSDGKKKKNKSTGLADISDLKFSQRKPKKTRLISELIDSQIGGCADAIETDHVKTGDICESHKSKMPLEVGKDDDTPVSNQKVCEFQSMAVKNKAKLRGADNVDDGSSLMNWLRKTHKKVRKEKRGSGHKNCDSSAVSNSNPDIPASSDMQDGSLPVGDLAQEKVLSTTSANHGNENTQKNSLEQNMQKADDLCQNESENLKQRFLSNGKSTILLKRKVLLPATSCDDNTENSSIKRSMLRTDDLRRMESEGTVQRCLAKVSLGKRQIPGSAFHKQNIPKNKKKRRLKAHEKQNVIDDIPMDIVELLARNQHARQLMTDTDSLENRHTQPKIAEVDCAEISAKDGPIDASTVLDTNFQKSLASESKRKSLQGSASSSTVAATVHLQDLHTQKSSQGHAASSTEIPNGHRPEPHMQNSLQVHALPITGSFNVYPPKLRVPDILECTQEQQTHFCRDEEVTIACTSPIFSHHQHIAEVPTQSWSNKREKKLMWDSFKTASRNSPTPTYGFQFRNRVREVDPTPIPVYGASNDYATHQPVTAAVDQYTKEAVNQVHPRSVPSTALAMEVGRLYDQSIAGQSGLYPKEPMAATHLLGLMDSSTARGFTGYQRASRRQMELETQNLGSQYAQHNHYNVSPSTSYGSHLTEKVPLRLQDLARHQVEKNFHRPLRPHPRVGVLGSLLQKDIANWSENCGTQSGYRLGVSKGTSSFDINGKGNYETLNSGMFSAGWNALQLGSVTSVANPERPLPRYGVTQPWTGGTGKSVHPLDKLVKKDICQTNRNPADFTVISDKNEYMINL >PVH39545 pep chromosome:PHallii_v3.1:5:58888527:58895892:1 gene:PAHAL_5G532900 transcript:PVH39545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAQTIGNEAVEELGRLPTVAEPDDRPTELECDHFSMRGYVALRQKKDPKLRSLQIFRNQQQYDEHHNNSSPLLVAKYQRWNCSSCLDRVKNSGHRTTSETVSMQQDGDGCSISIIQTLPYNVGSRRLFSGTQQSSQGNDADQSTVSKSVQECNSKCSSPSDNKAVTAENVPATSTEKSVPDTFVEKSVPATQDLQGSPNNLDVPENILNAVSMDVTDLPDVPQMISSKEGNGTQSQCSPKPCEGPNEDENRTVQDVPDVDCNESSVHKSISGHEGSKQLSGQKSNQVRNQGPRRAALKRNVGSDGKKKKNKSTGLADISDLKFSQRKPKKTRLISELIDSQIGGCADAIETDHVKTGDICESHKSKMPLEVGKDDDTPVSNQKVCEFQSMAVKNKAKLRGADNVDDGSSLMNWLRKTHKKVRKEKRGSGHKNCDSSAVSNSNPDIPASSDMQDGSLPVGDLAQEKVLSTTSANHGNENTQKNSLEQNMQKADDLCQNESENLKQRFLSNGKSTILLKRKVLLPATSCDDNTENSSIKRSMLRTDDLRRMESEGTVQRCLAKVSLGKRQIPGSAFHKQNIPKNKKKRRLKAHEKQNVIDDIPMDIVELLARNQHARQLMTDTDSLENRHTQPKIAEVDCAEISAKDGPIDASTVLDTNFQKSLASESKRKSLQGSASSSTVAATVHLQDLHTQKSSQGHAASSTEIPNGHRPEPHMQNSLQVHALPITGSFNVYPPKLRVPDILECTQEQQTHFCRDEEVTIACTSPIFSHHQHIAEVPTQSWSNKREKKLMWDSFKTASRNSPTPTYGFQFRNRVREVDPTPIPVYGASNDYATHQPVTAAVDQYTKEAVNQVHPRSVPSTALAMEVGRLYDQSIAGQSGLYPKEPMAATHLLGLMDSSTARGFTGYQRASRRQMELETQNLGSQYAQHNHYNVSPSTSYGSHLTEKVPLRLQDLARHQVEKNFHRPLRPHPRVGVLGSLLQKDIANWSENCGTQSGYRLGVSKGTSSFDINGKGNYETLNSGMFSAGWNALQLGSVTSVANPERPLPRYGVTQPWTGGTGKSVHPLDKLVKKDICQTNRNPADFTVISDKNEYMINL >PVH39543 pep chromosome:PHallii_v3.1:5:58888572:58895892:1 gene:PAHAL_5G532900 transcript:PVH39543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVAPFQLFKLCHIMLVPEDCFLAHNNHLKGMMLISQLFQRVSKNAIRNAVLLLTTKQLLQRMFQPHPLKKVFQTHSSKKVFQPHKGSPNNLDVPENILNAVSMDVTDLPDVPQMISSKEGNGTQSQCSPKPCEGPNEDENRTVQDVPDVDCNESSVHKSISGHEGSKQLSGQKSNQVRNQGPRRAALKRNVGSDGKKKKNKSTGLADISDLKFSQRKPKKTRLISELIDSQIGGCADAIETDHVKTGDICESHKSKMPLEVGKDDDTPVSNQKVCEFQSMAVKNKAKLRGADNVDDGSSLMNWLRKTHKKVRKEKRGSGHKNCDSSAVSNSNPDIPASSDMQDGSLPVGDLAQEKVLSTTSANHGNENTQKNSLEQNMQKADDLCQNESENLKQRFLSNGKSTILLKRKVLLPATSCDDNTENSSIKRSMLRTDDLRRMESEGTVQRCLAKVSLGKRQIPGSAFHKQNIPKNKKKRRLKAHEKQNVIDDIPMDIVELLARNQHARQLMTDTDSLENRHTQPKIAEVDCAEISAKDGPIDASTVLDTNFQKSLASESKRKSLQGSASSSTVAATVHLQDLHTQKSSQGHAASSTEIPNGHRPEPHMQNSLQVHALPITGSFNVYPPKLRVPDILECTQEQQTHFCRDEEVTIACTSPIFSHHQHIAEVPTQSWSNKREKKLMWDSFKTASRNSPTPTYGFQFRNRVREVDPTPIPVYGASNDYATHQPVTAAVDQYTKEAVNQVHPRSVPSTALAMEVGRLYDQSIAGQSGLYPKEPMAATHLLGLMDSSTARGFTGYQRASRRQMELETQNLGSQYAQHNHYNVSPSTSYGSHLTEKVPLRLQDLARHQVEKNFHRPLRPHPRVGVLGSLLQKDIANWSENCGTQSGYRLGVSKGTSSFDINGKGNYETLNSGMFSAGWNALQLGSVTSVANPERPLPRYGVTQPWTGGTGKSVHPLDKLVKKDICQTNRNPADFTVISDKNEYMINL >PAN30738 pep chromosome:PHallii_v3.1:5:41159730:41162023:1 gene:PAHAL_5G359600 transcript:PAN30738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGAKEQRDPAWWGRRAWSLLSAVRARAPLVQCITNLVSMDIAANALLAAGASPAMVHSLREVPDFTPRCDAVYVNVGTLSEDWLPSMRAAASAGRPWVLDPVAAAASGFRMEACVELLALRPAVVRGNASEILALADRSVAAASNFKGADSSHESVDALEAAKALALSSGAVVAVSGAVDFITNGEKVVGASNGVPMMQKITATGCAVTALIAAFVAIEPSDALVAAACALAIFGLAGEIGMESSKGPASLRMHLIDTLYCLDEETVTSRVRIALRP >PAN27716 pep chromosome:PHallii_v3.1:5:6179814:6180541:1 gene:PAHAL_5G101200 transcript:PAN27716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGYPCNGCGCNKEKRPPLKRGQLKLQIARTLLGGLVAPAGAKNRDRSFGR >PAN32113 pep chromosome:PHallii_v3.1:5:54629394:54630618:1 gene:PAHAL_5G464600 transcript:PAN32113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRLFDTLAPDSWRNPFSIFGTAVAADAWLASDTSAFANTYIESRDTAGAYVFSAALPPGVKKEEVRVEVDEGNVLVITGERSLRREERSDRWHHIERSCATFLGRFHLPGDAAVDGVRAAMDAGVLTVTVPKVGAIAAAAAEKTAEAATTMPVDAGPC >PAN27369 pep chromosome:PHallii_v3.1:5:4715733:4717002:1 gene:PAHAL_5G076200 transcript:PAN27369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCWKERRARQNRELAEEALKYGVNVEETQLPGAGKNRKAAEEVQPAGAAEDGQPAGARKKRKKVVKTIMPRALIEYMILNPHNPFVDFREDKLGKRPQWFRDFYDEQKAMADNIMEYQQILIKQYRTKGYAEDYTEVEVTGEEDCTDDKDNRIEKQRGYATNLCVCLHDPTTQA >PAN31151 pep chromosome:PHallii_v3.1:5:49824231:49825741:1 gene:PAHAL_5G400800 transcript:PAN31151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRGGAGVALLAAALPVLLSWIVVSSVTSPEARLQVGYYNRTCPRAEDLIRNIVLAAIRRDPGNGPGLVRLFFHDCFVRGCDASVLLDTAPGSNATSVEKASQANSPSLRGFAVINRAKRVLERRCRRTVSCADILAFAARDACRVMGGIDFVMPAGRRDGRASNVSEVLGNLPGPFANASSLVGAFAAKNLTADDMVTLSGAHSFGRSHCSAFSFRLYPQIAGDLNTTYGRHLRSRCPAATGRRDRVVDLDPRTELLLDNQYYRNVQTREVLFTSDVTLLSQNDTAALVDLYARNRTLWEWRFAAAMVKMGHLDVLTGSQGEIRKFCNRVN >PAN32706 pep chromosome:PHallii_v3.1:5:57047720:57049439:-1 gene:PAHAL_5G505700 transcript:PAN32706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDRTAVKRGPWSPEEDEALRSYVQRHGSGGNWISMPLKAGLKRCGKSCRLRWLNYLRPDIRHGGFTDEEDAVIISLYSQLGSKWSLIASQLEGRTDNDVKNYWNTKLKKRLLAAAATAGIVSTRSPPSVPRLPAPPASTPSAAATFATHASSLFPSLAIPTVKTEMYNCDDFLVPAAFRDDPFAAAGGSADAAADGSTSASAASSASNWSADNGAVGSGEGSFLLDFCAGSGLGAADDHLQLPGDYYYPLDPSLSLV >PAN31020 pep chromosome:PHallii_v3.1:5:48511738:48511923:-1 gene:PAHAL_5G389200 transcript:PAN31020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARFHGEHNDLLPLANSVRSRGDEKRGDRCRITRSEGGISPSHPVCTIIPFQTAPSLGEN >PAN31021 pep chromosome:PHallii_v3.1:5:48510879:48512247:-1 gene:PAHAL_5G389200 transcript:PAN31021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARFHGEHNDLLPLANSVRSRGDEKRGDRCRITRSEGGISPSHPVCTIIPFQTAPSLGEN >PAN27523 pep chromosome:PHallii_v3.1:5:5366650:5370064:-1 gene:PAHAL_5G086800 transcript:PAN27523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVPGPRLLSRALSFGGGGGVPEEALHLVFGYVDDPRDREAASLVCRRWHRIDALSRKHVTVGFCYAVEPARLLARFPRLESLALKGKPRAAMYGLIPEDWGAYAAPWVAQLAAPLECLKAVHLRRMTITDQDIAVLVRARGHMLQVLKLDKCSDFSTDALRLIARSCRSLRTLFLEECIIDDKGSEWLHELAVNNSVLVTLNFYMTDLKVEPSDLELLAKNCKSLLSLKMSDCDLSDLIGFLQTSKALQEFAGGSFSEVGEYTKYEKKLDLQYTLLTTEDHCQIIAKCPNLLVLEVRNVIGDRGLEVVADTCKKLRRLRIERGEDDPGQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDQQKEITDLPLDNGVRALLRNCTKLRRFAFYLRPGGLSDVGLGYIGRYSGNIQYMLLGNVGESDNGLIQFAMGCTNLRKLELRSCCFSERALAVAVLQMPSLRYIWVQGYRASPTGQDLLLMARPFWNIEFAPPSPENVYRMMADGQPCVDNQAQVLAYYSLAGRRPDCPQWLVILHPA >PAN27522 pep chromosome:PHallii_v3.1:5:5366927:5369750:-1 gene:PAHAL_5G086800 transcript:PAN27522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVPGPRLLSRALSFGGGGGVPEEALHLVFGYVDDPRDREAASLVCRRWHRIDALSRKHVTVGFCYAVEPARLLARFPRLESLALKGKPRAAMYGLIPEDWGAYAAPWVAQLAAPLECLKAVHLRRMTITDQDIAVLVRARGHMLQVLKLDKCSDFSTDALRLIARSCRSLRTLFLEECIIDDKGSEWLHELAVNNSVLVTLNFYMTDLKVEPSDLELLAKNCKSLLSLKMSDCDLSDLIGFLQTSKALQEFAGGSFSEVGEYTKYEKVKFPPRLCFLGSLTFMGKNEMPIIFPFSATLKKLDLQYTLLTTEDHCQIIAKCPNLLVLEVRNVIGDRGLEVVADTCKKLRRLRIERGEDDPGQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDQQKEITDLPLDNGVRALLRNCTKLRRFAFYLRPGGLSDVGLGYIGRYSGNIQYMLLGNVGESDNGLIQFAMGCTNLRKLELRSCCFSERALAVAVLQMPSLRYIWVQGYRASPTGQDLLLMARPFWNIEFAPPSPENVYRMMADGQPCVDNQAQVLAYYSLAGRRPDCPQWLVILHPA >PAN33051 pep chromosome:PHallii_v3.1:5:59259720:59263940:1 gene:PAHAL_5G537200 transcript:PAN33051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAMSPSSVSSHIHDAASITDDMSLQEGLLFSDTLKDLRNLRSQLYSAAEYFEVFYRNNSHKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVSEKADEVNETEFLVSSVEQRVRICQQTMDQEGRSQQALLIKGPKYHRRYILPGMDLLESSIHPVSEPPRYNRQYTSRKMHKSQSSISTPGCRQATMRRARSPSPTPKDAYHRSRSLSPSQKARAKSPSPRIVNSNTKEIRAGSPIPDSNPLARSSTVARKPPVNSKHLRQTSMQLHTDWDHHKEQEKSSSKGRGFLKSLLTRRRWRNDESLYSYLDEY >PVH39566 pep chromosome:PHallii_v3.1:5:59261025:59263940:1 gene:PAHAL_5G537200 transcript:PVH39566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKDYTVEALVSTVDHLGFVSYKVDNLVSEKADEVNETEFLVSSVEQRVRICQQTMDQEGRSQQALLIKGPKYHRRYILPGMDLLESSIHPVSEPPRYNRQYTSRKMHKSQSSISTPGCRQATMRRARSPSPTPKDAYHRSRSLSPSQKARAKSPSPRIVNSNTKEIRAGSPIPDSNPLARSSTVARKPPVNSKHLRQTSMQLHTDWDHHKEQEKSSSKGRGFLKSLLTRRRWRNDESLYSYLDEY >PVH38671 pep chromosome:PHallii_v3.1:5:30983670:30984172:1 gene:PAHAL_5G322300 transcript:PVH38671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQIEAEPDRQMLTTAEENMIFLSSYKETTQIKSSKIHGQGYLAKYRTHMELIKENLEVHARAEAANKEKRLAFEVEVEKMKEQLAHQAAEREREKEKNRRKMQEDLENANIALKEELKQEFLNMLAQQKEETINQYHASLC >PAN32389 pep chromosome:PHallii_v3.1:5:55687422:55691814:1 gene:PAHAL_5G483700 transcript:PAN32389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTMAHHGPPAVALHLLLQIHLAVMVCSLLPSSAGAFTSSNTTAAAVAPRVPCLRSQEMALLQLKRSFTATADSITAFRSWKVGTDCCGWAGVHCGNADGRVISLDLGSWGLESAGLNPALFDLTSLRANSISARSFDTLGVFGPVL >PVH39485 pep chromosome:PHallii_v3.1:5:57718072:57719076:-1 gene:PAHAL_5G514700 transcript:PVH39485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEWLTSQITKKVDVYSFGVVVMEIICGRSNLDYSQPERSIQLISLLQEKAKKNELDDMIDRNSEDMYIHKEEVIEMMNLAIWCLESGSNRRPAMSLVVKVLEGESWRDQFVNSAYSISAFCPESKKLDAAFHRTGGRRANGNGLRSGVRPGR >PAN27603 pep chromosome:PHallii_v3.1:5:5649357:5652244:-1 gene:PAHAL_5G091700 transcript:PAN27603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVDRFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQKLYAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSAARLATGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCIVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >PVH39312 pep chromosome:PHallii_v3.1:5:55279195:55282949:1 gene:PAHAL_5G475400 transcript:PVH39312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNLSFCASLLFFDLSSNRLHGRIPAELGRELANLQKLSLANNSMTGSIPASLANMSSLYHLDLGTNQLEGPVPPELGSIGGLRFLYLNMNNLSGVLPHSLYNLSMLQELSVVNNSLSGTIPANVGERFPNIEVLHFSANQFHGAIPGSLSNLSALKDLQLVRNSFSGRVPPTLGRLKGLVSLNLFSNKLEADDREGWEFITALANCSQLGFLDLDDNSFSGKLPSSIANLSTTLQLLGLGDNRISGSIPSNIGDLVGLQSLGMENTSISGVIPESIGRLENMAVLEIYSTRLSGLIPSSLGNLTQLNVLALYNCNLEGPIPASLGNLKNMFVLDLSANRLNGSVPREIFKLPALSYYLDLSYNLLSGPLPSDVGRLANLNQLILSGNQFSGSIPDSIGNCISLERLLLDQNLLEGSIPQSLKNVKGLALLNLTMNKLSGNIPDALSSIGGLKQLYLAHNNLSGLIPLGLQNLKFLLKLDLSFNDLQGEVPKGGVFANATYMSIHGNDQLCGGIPQLHLAPCFMSSAEKKKKNLLKPLVITLTSISAVIFSVSAVALIVLIRKKLRKRHESQLISTIEERYGRVSYHALSDGTNGFSEANFLGQGSYGMVYKCILHDQGTTVAVKVFNTQQSGTTRSFVAECEALRRVRHRCLVKVITCCSSIDYQGQEFKALVFEFMPNGSLNNWLHPESDTPARTNSLSLEQRLHIAVDIMDALDYLHNHCQPPIIHCDIKPSNILLAEDMSARVGDFGISKILPESASKTLQSSNSIAGIRGTIGYVAPEYGEGSSVSTQGDVYSLGILLIEMFTGRSPTDEMFNGSLDLHKFAENALPEKIWDIVDPTIWMHTNGYNSTIRSGIQNCLVSVVSLGISCSKKQPKERILIQDAAIEMHAIRDSYLKFANSLLVENGVIAPNHNDFPQQ >PVH37547 pep chromosome:PHallii_v3.1:5:1758547:1758976:1 gene:PAHAL_5G027200 transcript:PVH37547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLGVSSSATGRTDGFPTMGCRHAMTNCFGVLSLRRGKFQRGNRQTCVSYLILFHFLHVGCGVLDN >PAN26815 pep chromosome:PHallii_v3.1:5:2580819:2583388:-1 gene:PAHAL_5G040400 transcript:PAN26815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLGSNKVGGSHAAAFLLGVALPTALLFMLASDRLGDGLSSISRSWGSAGTTQLLPVADDGAAPTRDQEVEFAGLAELLPKVAMEDRTVIITPVNEAWARPGSLLDLYLESFRNGENIAHLLNHVLVVALDTLGFERCKAVHPHCYLLRVATTTANMSSAKGFMSRDYLELVWTKLTFQQRVLELGYNFLFTDADMIWFRNPFRHIALYADMSCSSDDFKPSRAPMAQPLNTGLYYMKSTRRTIEMVRYWRAARARFPGRHDQWVFVVIKRELVSKLQVRIEPLDTVYFGGFCEYHDDPEKACTMHADCCIGLENKVHDLRDMAADWKNYTSLPPEERKKEGGFKWTYPDRCRKSSHWRKP >PAN31086 pep chromosome:PHallii_v3.1:5:49201336:49201725:-1 gene:PAHAL_5G395300 transcript:PAN31086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWQDWALSRSTHEQGTVYSSGQLRFDWVDAEAELMVCREDLRLAIHWLQLPITLQIRLPHACSGIQLKDGDRLQLALQVKVKISR >PAN29184 pep chromosome:PHallii_v3.1:5:12606975:12609775:-1 gene:PAHAL_5G207000 transcript:PAN29184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTSPLFSLSSLSASLPSPTRLPATLSLRALSPRTRLSVSLPFASPHGVYGAWAAMSTSSAGRLRRRGLEVVCEAATGRRPDSVAKRERQNEKHRIRNHARKAEMRTRMKKVFRALEKLRKKADAQPEEIIEIEKMISEAYKAIDKTVQVGALHRNTGNHRKSRLARRKKAIEILRGWYVPNAEPVAAT >PAN28492 pep chromosome:PHallii_v3.1:5:9446207:9448570:1 gene:PAHAL_5G156400 transcript:PAN28492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGNGGGLYVSIVFCMQHAMDIGNGGGQYISLVLFEVNYIILLPNCVQGCFASVLMYYVNQELTVEDFLYDDDYKINLSGSNPDVLNNLEGIGQLEFPQFNFPQELPPNVYLDMSNCGQSTGDVFLHMSDLLTTMTTAPSAFLRPKCALWDCPRPAIGSERWHDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPICEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKSAKSKLTCNPLSEIQQQMVRLSADSPVDTKRTARGRTKANPIDVSTNIYAVPNTTVQANVPNAYQPVSQVDQMTYLNGSVVYGPHLPYGYSVERGDFYWNSNDGT >PVH38029 pep chromosome:PHallii_v3.1:5:9446207:9448570:1 gene:PAHAL_5G156400 transcript:PVH38029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYVNQELTVEDFLYDDDYKINLSGSNPDVLNNLEGIGQLEFPQFNFPQELPPNVYLDMSNCGQSTGDVFLHMSDLLTTMTTAPSAFLRPKCALWDCPRPAIGSERWHDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPICEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKSAKSKLTCNPLSEIQQQMVRLSADSPVDTKRTARGRTKANPIDVSTNIYAVPNTTVQANVPNAYQPVSQVDQMTYLNGSVVYGPHLPYGYSVERGDFYWNSNDGT >PAN28491 pep chromosome:PHallii_v3.1:5:9442913:9448570:1 gene:PAHAL_5G156400 transcript:PAN28491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPSSRAASRHHQFRARAKTRVDDLQEMFSGLQSARKDSRPADAAVLEAQLQQMLREWRSELSAPSPASSLQGNTRELSDPPSETLRLLQLATAEEEDDATSKPVEQQQQQQQPPPPPPANQNQGHALGCQDMKPEPREEAVDIAVAQPQPQLLGQGVLPNGAPAAAAVFHDQMYYVNQELTVEDFLYDDDYKINLSGSNPDVLNNLEGIGQLEFPQFNFPQELPPNVYLDMSNCGQSTGDVFLHMSDLLTTMTTAPSAFLRPKCALWDCPRPAIGSERWHDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPICEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKSAKSKLTCNPLSEIQQQMVRLSADSPVDTKRTARGRTKANPIDVSTNIYAVPNTTVQANVPNAYQPVSQVDQMTYLNGSVVYGPHLPYGYSVERGDFYWNSNDGT >PVH38737 pep chromosome:PHallii_v3.1:5:35915958:35917327:-1 gene:PAHAL_5G338400 transcript:PVH38737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRSGTTGEGLVACAAQAPSVERAAYTGRAAGAANARCSHVSSHVSKRAVPQGSGRGGGSSAQCGMWMLRVRGDGACGVRARQDAEAWEETATRAARVAATEAAATRAAEVELLAAVVAATAAQRHAAAEATREALEAMEAEAARTAERERQAAVVAEATAAAAATLRLEAIDSEADAQMALQTPNVQAVLQACERGWRSRGSASSPRTTGAWRWSATGSGGCTALAVTGGWTATATPTSTPSSTATSTLHGTADASRHSARQLLLGTMKLSTGEIVLGTTEALVEVSAVAAGERHISAGHREL >PAN31827 pep chromosome:PHallii_v3.1:5:53335570:53339048:-1 gene:PAHAL_5G445500 transcript:PAN31827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARGRRVLALGLALLVVLRACSGQGEDGGGSDAPAAAATAPMEEKERAALYAAIEGFVGKGWNGSGLYPDPCGWSPIQGVSCDLFNGLWYPTAISIGPVLDNSLQCAPDARFSPQLFDLRRLRTLSFYSCFPASNPTAIPAASWEKLSGSLETLEFRTNPGLAGAIPASLGRLASLQSLVLVENNLTGPVPAELGALLKLRRLVLSGNGLSGPIPATLGGLRGLLKMDLSNNLLQGSIPPELGRLRNLTLLDLRNNSLTGGLPQFVQGMASLQDLLLSNNPLGGSLPPSGWEALGDLATLDLSNVGLTGAIPESMAALAGLRYLALDHNRLTGTVPARLAQLPNIGALYLNGNNLTGALEFAPGFYQRMGRRFASWDNPGLCYNVAAVDAAHAPSGVVVCKDLQEPSVPQDGGRKPEASSSLVAASSAVGFSAARGGGFWSVVAVQGTVAAFLGSVLL >PVH39160 pep chromosome:PHallii_v3.1:5:53335564:53339048:-1 gene:PAHAL_5G445500 transcript:PVH39160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARGRRVLALGLALLVVLRACSGQGEDGGGSDAPAAAATAPMEEKERAALYAAIEGFVGKGWNGSGLYPDPCGWSPIQGVSCDLFNGLWYPTAISIGPVLDNSLQCAPDARFSPQLFDLRRLRTLSFYSCFPASNPTAIPAASWEKLSGSLETLEFRTNPGLAGAIPASLGRLASLQSLVLVENNLTGPVPAELGALLKLRRLVLSGNGLSGPIPATLGNARHDELLIVDLSKNHLTGSLPSSLGGLRGLLKMDLSNNLLQGSIPPELGRLRNLTLLDLRNNSLTGGLPQFVQGMASLQDLLLSNNPLGGSLPPSGWEALGDLATLDLSNVGLTGAIPESMAALAGLRYLALDHNRLTGTVPARLAQLPNIGALYLNGNNLTGALEFAPGFYQRMGRRFASWDNPGLCYNVAAVDAAHAPSGVVVCKDLQEPSVPQDGGRKPEASSSLVAASSAVGFSAARGGGFWSVVAVQGTVAAFLGSVLL >PAN27917 pep chromosome:PHallii_v3.1:5:7097774:7097977:-1 gene:PAHAL_5G117800 transcript:PAN27917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMPSNRSSMQFRIQNSLLLLLSPIAIFCINHMRVAWHNIAPALGSYWSKVKKMLFMSRTSNSQMPG >PAN31896 pep chromosome:PHallii_v3.1:5:40030784:40031116:-1 gene:PAHAL_5G356100 transcript:PAN31896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTLLVPLLLALLSLAAAAARAGDVPAGLGWELGVVGAAEDEEFGFPAGGDSVARRVLQGGSGYISYGALRRDNVPCSVRGASYYNCRPGAQGNPYSRGCSAIARCRG >PVH38655 pep chromosome:PHallii_v3.1:5:29404281:29404889:1 gene:PAHAL_5G318200 transcript:PVH38655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDFNLMRKPEDKNKPGGDVTKMFLFNEVFFTSQSWTNNFPGTFVSPLTMETSDHLPCLISVNTSIHKGRVFIFENYLMEHDHFMDIVQHGWSLPTYQMDADKHITAKFKNLRRVIKAWQAHLSSLKANIANVKLILSFLGILEEFRDVSFMEWNFKSLLEQKLLFLLR >PAN30308 pep chromosome:PHallii_v3.1:5:46742194:46746745:1 gene:PAHAL_5G377300 transcript:PAN30308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMAARLLPRSSSSKRQQFSFAVLLLFFQTLCCHAAAVGNSQQEGDRVRFLPGQPPRPPVSQFAGYVTVNERNGRALFYWFFEAHASPAKKPLLLWLNGGPGCSSVGYGAASELGPLRVTSYGAELKFNKFAWNREANLLFLESPVGVGFSYTNTSSDLTKLDDAFVAEDAYNFLVNWFKRFPQYKGREFYISGESYAGHYVPQLAELVHDRNKDKDSPYINLKGFMVGNPLTDDYCDSKGLAEYAWSHAVVSDEIYERIKKVCDFRLSNWSDDCDKAMSTVFSQYHEIDIYNIYAPRCNLPQSSAVLAVDRALTANDQEHFRRRIRMFSGYDPCYSSYAERYFNKEDVQRAFHANVSGTRKWQVCSDSILRSYNFSVLSILPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALGLPIKTKWQPWYLNKQVAGRFVEYHGMTMVTIRGAGHLVPLNKPEEGLALIDTFLLGKQLPTHR >PAN27910 pep chromosome:PHallii_v3.1:5:7022800:7023273:-1 gene:PAHAL_5G116400 transcript:PAN27910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGRESRTALPRQNPSTRATFFPLSRLLSAYPLPPSPRSPFLRRRPEPSVPSSPHGSPLEEPGALKKLPAALKKPGRRPLEDHGRAAPRRPGAAVLGKPFLRRSHASALKKQRHRHQEAAPPPSEAAPPPSGRPRAGGPSTKRPINKKPSGAGRI >PAN28798 pep chromosome:PHallii_v3.1:5:10779485:10782659:-1 gene:PAHAL_5G178800 transcript:PAN28798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFFFQGPEPGASEMPSLKDLFDASGPTYLTFVNWNCPHHRRSVMASLVQGVYVLERDRQWNRQGPDARAPAWWRFFHFELRQVLVDAADGSIFGAVYAFQPPFHLMDPTAAASAPYYVAAFRGTITKKGSAKRDLELDLLLVRNGLEGKSRFRVAMQAIHDTVAVAAGQHYRVWLAGHSLGSAITTLAAKTLARAGAAVLPTFLFNAPFVSAPVERIGDRRVRQGIRIANSFVTAGVAALLQGGGGGVHDASFAALAAWVPNLFVNPADPISAEYVGYFDHRRKMEAIGAGAVGRLATRNSVKDLLLGIGKGGGGCEPLHLFPSAVLTVNRGPSPDFKTAHGIHQWWRPDLALECTAHYYS >PVH39105 pep chromosome:PHallii_v3.1:5:52598025:52599831:1 gene:PAHAL_5G434900 transcript:PVH39105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARHHERFRPLHTRVHSVFGSILTVGAMVGAFVSGTVADRVGRRCVKAMAVSDLLCILGYLLITFSQNFLWLDIGRLTIGCGIGLLSYVVPVYI >PAN27268 pep chromosome:PHallii_v3.1:5:4371435:4375343:-1 gene:PAHAL_5G070800 transcript:PAN27268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGRPLKRARARVEARDFAGFPAAGDGGAAGTFREAVRGFLAKHARLLPLPSIFSPAAAASPPHLLIWRVSLRVGEAGEEETGGRVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDCNQLSGHRRTCCLRCGTRMVAGESRCALCNFDMDGEEIEECAYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRFLTGRDIMSLWDRLCKVLYVRKVTVMDISKKHGMDYRLLHAVTSGHPWYGEWGYKFGAGSFALTSETYRTAVDMLSSIHLALYFSNRSPIRTPLQNTIALYWALSDRQLVTLRDLFRFIMHLLHQTQKMSKPSTYKRMDLTSNVLCVWTKDDLDRAEAAMLKVLRVVQTGQWVSWRALRGAASKAVDSQELLDYSLRELGGKQLDDGHFVAVRCNAETSAIEYRLESSSIQSPVNAAMFEPSVEHLLHDLRFLYDALLNPESMLSSQPEVVGAASHSAAAKILDCKQFIKHYDEYALRTPSNPFLLCVRCSIELLDHPKDYTAPPDELVFLPASATLAELKVQASRAFQETYLMFQSFQAEELPDFPNFSDTTPVKHVLGSGQLVRLRGRCTGDYRRIVQFRMERGLENWTVDCSCGAKDDDGERMLACDMCGVWQHTRCSGISDFEEVPENFICRKCASPHKGKGRGGGGSNGGGRVEVSAGAGRCKDEIGSSVGGAGKFGRMATVG >PVH39540 pep chromosome:PHallii_v3.1:5:58811848:58820076:1 gene:PAHAL_5G532200 transcript:PVH39540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSIEVGTRPTKVTPPSVASDVKEKEKGVGILTLCRRLERTGGLAANHTACKVTMKEEMCICFNLITSSAMLTHVGGICG >PVH38735 pep chromosome:PHallii_v3.1:5:35046475:35046994:1 gene:PAHAL_5G336800 transcript:PVH38735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPWRIPTTSPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVVSVVVWVFVVILAIVALHCPLPRRVVR >PAN32553 pep chromosome:PHallii_v3.1:5:56408926:56411713:1 gene:PAHAL_5G496000 transcript:PAN32553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGGDGGIWIRAAVAVAAGGAIAARAVRRKSVDFSAVFVGVPAMVAHTVAGYRFAGLLLVFFFTSSRVTRVGEARKRALDPEFKEGGQRNWKQVLSNSGIASILVVLIASVTGGTDRCLDSKESTLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVQKGTNGGVTIDGLLAAAAAGFSIGLAFVLIGFLTTQCASDIFWRQLLVIPLATAAGLCGSLIDSILGATVQYSGFCSVRKKVVGVDGPTVTRISGMNILDNNGVNVVSVTLTTVLTAVACRYIF >PAN29630 pep chromosome:PHallii_v3.1:5:15055461:15057264:1 gene:PAHAL_5G239400 transcript:PAN29630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGPCVHMLSRFSISQISGRFPGLKNGLINTLSENGITFLKMAQALLVMFFIQATYLAISVIRLRYFLYGWLDNSYILSDYRPMPFVTTQSPLYVQELLQITCALYNPVLISDD >PVH38497 pep chromosome:PHallii_v3.1:5:18012534:18014054:-1 gene:PAHAL_5G272300 transcript:PVH38497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWLLHPTKTRFFLGAKLAGKVPVGLLLRQLPSRSGTEAYHQRISRTRCRLRRLRRSLNPGLCLVLMLIIYHLTIYANTRTSPHQEVYFFLFRRRQNAQFIVQGSVCLRCRSDCIPAFMAHVA >PVH37733 pep chromosome:PHallii_v3.1:5:4433981:4436523:-1 gene:PAHAL_5G072000 transcript:PVH37733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPPELYHKILNIPKDTSPQEIRAAYKNLVKKWHPDKHPPSSKPEAEARFKAISEAYEALLDQQENRAVFGLCNDGRAGERAGGAFGGGLGGGVGPRMERTRSDDFCTRSAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLECTLEELCRGCKKEVKFTRDVVTKNGSIVKKEVTQAVVVKPGWRKGKQVVFEGMGDERPGCLPADAIFTVSEKKHPTFKRVGNDLVLKAEVPLAGALTGWSFSFRLLSGKKVSLAFQDEVVCPGFEKVIKGEGMPIPEQKGARGDLKVKLEVVFPKELTDEQRAGLAEILKGSC >PVH39195 pep chromosome:PHallii_v3.1:5:53814513:53817453:1 gene:PAHAL_5G452800 transcript:PVH39195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSAPRRLHLLRPHHLRLLSAAALASAAPAPVPPQAPTEWAEAPLATVRPATADASLYHVSLDLSAHGDLLASHAAAGQFLPFRLPAAPYPIFLAIASPPPSPGSSSAAFDFLVKRLPGTPSARLCDLRPGDLVRVGASVVGRGFEVARIADARDVLVFATGSGISPIRSLIESGFVENNKTGLSLFYGVRNLQRMAYQERFNDWEAKGVKIVPVLSRPDCQWTGERGYVQNVFSRMKNIVNPSSVGAILCGHKQMTEEITRVLVADGLSKDRILTNF >PAN28419 pep chromosome:PHallii_v3.1:5:9097858:9103405:-1 gene:PAHAL_5G151500 transcript:PAN28419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLMGILKNELPQNQVGTNMAVDVTRAEIEDAQMEETTDVSTNGENDDPLSRFLPPPVTRKCSAALQQKINRFLAYKRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDKADYYDEIEADMKRELERKEQERKKSPKVEFIPGGVQPPIGASMPKIPALAGVATLPVPAEGVKKETRPNKKSKWDKVDGDVKNPAVPSGHDNLSATVSAALLTSAANVGAGYAAFAQQKRKEAEEKRSDYKSDRRS >PAN28420 pep chromosome:PHallii_v3.1:5:9098149:9102857:-1 gene:PAHAL_5G151500 transcript:PAN28420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTRWPCRPSRSVDWLQDGEIMSGAHRSGSDAQAADGNLEERTPSGTVHIMTSNTQAEMSQHPDAPEQNQVGTNMAVDVTRAEIEDAQMEETTDVSTNGENDDPLSRFLPPPVTRKCSAALQQKINRFLAYKRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDKADYYDEIEADMKRELERKEQERKKSPKVEFIPGGVQPPIGASMPKIPALAGVATLPVPAEGVKKETRPNKKSKWDKVDGDVKNPAVPSGHDNLSATVSAALLTSAANVGAGYAAFAQQKRKEAEEKRSDYKSDRRS >PAN28421 pep chromosome:PHallii_v3.1:5:9097858:9103404:-1 gene:PAHAL_5G151500 transcript:PAN28421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTEGIAALFSMYNDDEEEEEDADEPNPPSPAPPAATAAPAITSLSPLPSQAGGEDPNPSLAPPSPSLPEESAGRKTLASPHPSPARGQLPPLPSRRSSSPFAVSSPSPLRAPSSALPPDLPRPPRRGALAIVDYAHDEMAMSPEQEDGEIMSGAHRSGSDAQAADGNLEERTPSGTVHIMTSNTQAEMSQHPDAPEQNQVGTNMAVDVTRAEIEDAQMEETTDVSTNGENDDPLSRFLPPPVTRKCSAALQQKINRFLAYKRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDKADYYDEIEADMKRELERKEQERKKSPKVEFIPGGVQPPIGASMPKIPALAGVATLPVPAEGVKKETRPNKKSKWDKVDGDVKNPAVPSGHDNLSATVSAALLTSAANVGAGYAAFAQQKRKEAEEKRSDYKSDRRS >PAN28888 pep chromosome:PHallii_v3.1:5:11114182:11117144:1 gene:PAHAL_5G184200 transcript:PAN28888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAPRPRLPLALRRLHASAAAPCARRAPVPQQAARPRRVFLGLGATVIDQVARMASGGTSSRSFVAGARPRQGVSPVEQILKNVEWPDEFPFKPEDFSRFDESSDSQFYSVPRFVTHIDDEAIRVLTEYYSEVLPPSNTPGVTILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNSVLTEYVVQDLNVNPKLPFEDNSFDVITNVVSVDYLTKPIDVFKEIRRILKPAGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGGFEPPQAVDISPNPGRTDPMYVVYSRKNTA >PAN31274 pep chromosome:PHallii_v3.1:5:50606419:50609619:1 gene:PAHAL_5G408400 transcript:PAN31274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAWYPLPQSGSALLAGDEFFENQSAGWSLWSFSSSDDQNTAATACSEEQSVPAPLEPHQCTHPTDDIFLSQFSDEEMRRMDTPFEALDMFPDSMHRLLSYEDMLSGVLTGNSSEDQDAKLDRNGVDTMDTCGFPLFSHDLQDAEPNNSEEVLADTPSMDKDGMGTTKRSRSFAHDESPRGFEALVLEELEDVVFQLTKKTRICFRDAFFRLAECSSKAPRCSGPSRQSVQRAAEGTASSAPAAAGCPPERGTNAIDRTVADLTMRPPCSPPLQVHGSCFAGGSGAAAQSATGWTARA >PAN26637 pep chromosome:PHallii_v3.1:5:1780579:1782853:1 gene:PAHAL_5G027600 transcript:PAN26637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAEIKIGQVDDVQELHRAGLETVPDRYIRDGDDRPGGDNVCALAHIPVIDVGELTRDDELNKLRLACEEWGFFQVVNHGIEDELLDEMEKLAREFFMLPLEEKEKYPMAPGGIQGYGHAFVFSEDQKLDWCNMLALGVEPAFIRQPKLWPTTPAKFKETLEKYSVEIRGLCRRLLAHIAETLGLAPGTFGDLFGEAVQAVRMNFYPPCPRPELVLGLSAHSDGSAVTVLQQDMSCAGLQVIKDGAWVPVHPIPHALVINLGDSLEERGAPGGDEQRAGPAIGGDVLRAGLRRRAGPAAGVRHRRGAVPVPEVQPRRVQPPLCHQAARGQEDARVRQDQSDHYRCS >PAN26636 pep chromosome:PHallii_v3.1:5:1780579:1782853:1 gene:PAHAL_5G027600 transcript:PAN26636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAEIKIGQVDDVQELHRAGLETVPDRYIRDGDDRPGGDNVCALAHIPVIDVGELTRDDELNKLRLACEEWGFFQVVNHGIEDELLDEMEKLAREFFMLPLEEKEKYPMAPGGIQGYGHAFVFSEDQKLDWCNMLALGVEPAFIRQPKLWPTTPAKFKETLEKYSVEIRGLCRRLLAHIAETLGLAPGTFGDLFGEAVQAVRMNFYPPCPRPELVLGLSAHSDGSAVTVLQQDMSCAGLQVIKDGAWVPVHPIPHALVINLGDSLEVLTNGRYRSVEHRAVTNSEQDRLSVVTFYAPAYDVELGPLPEFVTDEAPCRYRRFNHGEYSRHYVTRRLEGKKTLEFAKINQITTAAPEELCSCK >PVH39139 pep chromosome:PHallii_v3.1:5:53177155:53177358:1 gene:PAHAL_5G443600 transcript:PVH39139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIVKDTDKRCVHHGWEEHGWSSIFIFMFIFNKIEIYLYTPSVHLKRDEGSIPHGKALFIFLLLVF >PAN30223 pep chromosome:PHallii_v3.1:5:44796631:44804424:-1 gene:PAHAL_5G370300 transcript:PAN30223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIMRNARNNSTRAPREDRRGDEMASLARAAAVAVRSAARSAPLTGRVLGGPLPSPASPSAAARSARILRRSAAAASAGLETLMPLHSAVAAARLRSCIAVDSSCWSSLSQGYALPL >PVH38869 pep chromosome:PHallii_v3.1:5:45089662:45090378:1 gene:PAHAL_5G373300 transcript:PVH38869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKSIFSIYYGEENVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHIVSVQCVINRTTHALIWELIPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPLINIEAAPGDENIDEEVEEANIEAGGTVAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWSLSYARV >PAN30644 pep chromosome:PHallii_v3.1:5:26100026:26101055:-1 gene:PAHAL_5G309700 transcript:PAN30644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEHPLALSLAGGRTKGSPAGTTTVALAPPEQRQAMVEIERARRRHMSRLYAELGALLPDLPPRAPKARILEEAIAYVGALRGTVAKLEAPGAVEGAGRRTAAVAAEVLAAEKASCFAARLPAARRPGALTRVLEVFRRYGVPVLAATVTSNAGEAAVTVTTGAVAPSVVEGIKADISRSIA >PAN30563 pep chromosome:PHallii_v3.1:5:21973911:21982691:-1 gene:PAHAL_5G294100 transcript:PAN30563 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVGGGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHNKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGTSLLRTTCGTPNYVAPEVLSHKGYNGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPPWFSGGAKSLIRRILDPNPDTRIRIEEIRNDEWFQKNYEPIKEIESEEVNLDDVNAAFDDPEEDKEDAFDDEAGPLTLNAFDLIILSRGLNLAALFDRRQDYDKLRNRFLSCKPPKVILSSMEVVAQSMGFKTHIRNYKMRVEGLNANKTSHLSVMVEVFEVAPSIFMVELQRAAGDTSEYNTFVNNYCSKLDDIIWKYPTEKGKSRISRLSKC >PVH38091 pep chromosome:PHallii_v3.1:5:10578149:10579716:-1 gene:PAHAL_5G175400 transcript:PVH38091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g77170, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G77170) UniProtKB/Swiss-Prot;Acc:Q3ECB8] MPAADTPLSPRPRDTAHRDLLPPHHLADLAAARLESCADARLPPRIHASLLRRGLLLLPFHWNALTRAYLRHGSPRPALRAAACMTAYGAAPDRYTFPLALKAAAQAEPPGSSLRLQLHAAAVKRGLARHPFTESALISGYAKDGDLGAARRVFDENPRRGLGTWNAIISGVSQEGEYKEALALFHELRRGGMVPDDLTMVSVASACCALGDIGLAEQLHKCILQCQRSGRLDVTLSNTLVDMYAKCGRTDLARRVFDRMTVRDVSSWTAMITGLAMHGEEQGALDMFDDMQREAVPSNRVTMLAVLSACAHGGLVDRGLGLLKRMEEGEIKVVPTVEHYGCVVDMLGRVGRVDEARALVEQRMPMEANVVIWGTLLGACEKHGNVSVGEWAAERLVEAEPWNDGVYVVLSNIYAAAGMWGEVERVRKIMSERKVMKSPGCSL >PAN27955 pep chromosome:PHallii_v3.1:5:7254875:7258983:1 gene:PAHAL_5G120500 transcript:PAN27955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLTARDVEATMRNQHRVRNVSIVAHVDHGKSTLTDSMLAAAGLMALEDAGKVRATDTRDDEAERGMSIKATAVTLGYDFRAMRTGTATSDRYVVNLVDCPGHVDFSPEVTAALRLTDGALVVVDCVEGVRVQTQTVLRQALGERIKPVLVVNKLDRFFLELELDDGEVAYQALRRVVEDANAVVAMYSDNELGNCHMSPENGTVAFAAGLHGWAFTLSSFAKLHSTNSSWSGVDEAEMRGRFWGENFFDAETNLWTTCRTGSATCERGFVGFCYNPLRNVIKACMNDKTSLWPLLNKFGVEIKAEVKDLSGKQLLRRVMQAWLPAGEVLLDMLVLHLPSPATAQRYRVDNIYDGPLDDPYANAIRNCDADGPLMIYIAKVVPAGDRMGRMYAFGRVFSGKVASGNKVRVISNKYLPGGGGKGDVFVKTVQRTGMLVGKTFQAVDGVPCGNTVALAGLDGVIVKTATLTDERAAVAAGTIKPLKFSVAPILRKSVACRNPADLPKLLKGLERLAKADPLIECSLEETGEHIVAGAGELHLEVCLDGLKRMAGVDILFGAPVVPYRETVVASSSRAVVTKSPNRHNRLYMDARPLEEELLQAIEDGRVGPRDEVTSRAKLLREEFGWDAGDAKKLWCFGPATTGPNLLVNTCKGVYYTGEIRESVVVAFQAASKGGVLAGEPLRGIRFHLCDAVLHSDCVHRGSGQIIPTARRAIHAAQLAASPRLMEPIYLVEIRVPKSAVSEVYNLLKTKVFEHQDLGFGTVVLKAFLPVSESSQFVQQLRLKTSGKAFPELAFHGWRLINSDPLEDGSKAAMVVGKARERKGLGPVPNLEELDATF >PAN29671 pep chromosome:PHallii_v3.1:5:15280595:15284361:-1 gene:PAHAL_5G243100 transcript:PAN29671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKCRRPAPPDGDGDATAVLDDADLEEILLRFPSAADLARTAALVCRRWRRVASGPAFLRRFRRLHPPQHLGFFICKGGRPYRYDVLDRSPLPVLDPTFLPLVEPNPGVGGAVSRCRSFSLTSLPSVDHWSLADSSDGLLLFCSSCDRSRYGYYLGLPDRRDIPKHFAVCDPLSGHSVLLPAPDSGLHLGSYYLGAALVISDKDEGDVVSFEVLVVTYVHKEGPCLCAFYSSSRQWAVLPCPRLYKYSDRMPWFDDGARASGCVYWVVHDWELDFEHILVLDSQTKKFSTINLPCSHMCEKYDSNIKVVRSEGDRDLRIVAMAWSSCKLHFWRRDRSRSAKGRWLKEDVKFLSVDGVGHLLTGGVAWCNNACAVKIIDAGEGFVFIKHSETTWVFVLNLKEMTLQKLPNRKHYSGHALPYRMALSPPLAKFR >PAN31312 pep chromosome:PHallii_v3.1:5:50918892:50924774:1 gene:PAHAL_5G411400 transcript:PAN31312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLVAAVLLWASAASASKPPLDTLGIPPQDEAYYRGGVIKCRDGSGKFSRDQLNDDFCDCPDGTDEPGTSACPEGKFYCKNAGHTPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKITTYKSGVVIRKQEVERAKEAFAKDELELAKLKGEEKLLQGLVNNLKEQKKLIEKAEEEERLRKEKEEKRIKEEAEKKATVEKEAQDASREVDSKETAPPVQEDESKVGEQHDGHATDHDNHVPESRISVEQHGSESQDDSGTVVESPPKESTPTLEKETSSDNPEGLSREELGRLVASRWTGESVNEVSKDDKKGHEDVHEIPEPAEEGPEDELEIPEPAEESYAGYHSEVEDDRHKYDDEEFDHESEDEYADDHDEHVGSYKSDDDQKGGHHSDLTASGHSSWMDKIQQTVQNVLQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISTLTEKLKHDFGKDKEFYSFYDQCFESKEGKYTYKVCAYKKATQAEGHSSTNLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLSNELNDVDEPSRCEYVAVLSTPALCIEEKLKELQNKLDAMSSKQPGHDEL >PAN31313 pep chromosome:PHallii_v3.1:5:50918890:50924783:1 gene:PAHAL_5G411400 transcript:PAN31313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLVAAVLLWASAASASKPPLDTLGIPPQDEAYYRGGVIKCRDGSGKFSRDQLNDDFCDCPDGTDEPGTSACPEGKFYCKNAGHTPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKITTYKSGVVIRKQEVERAKEAFAKDELELAKLKGEEKLLQGLVNNLKEQKKLIEKAEEEERLRKEKEEKRIKEEAEKKATVEKEAQDASREVDSKETAPPVQEDESKVGEQHDGHATDHDNHVPESRISVEQHGSESQDDSGTVVESPPKESTPTLEKETSSDNPEGLSREELGRLVASRWTGESVNEVSKDDKKGHEDVHEIPEPAEEGPEDELEIPEPAEESYAGYHSEVEDDRHKYDDEEFDHESEDEYADDHDEHVGSYKSDDDQKGGHHSDLTASGHSSWMDKIQQTVQNVLQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISTLTEKLKHDFGKDKEFYSFYDQCFESKEGKYTYKVCAYKKATQAEGHSSTNLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLSNELNDVDEPSRCEYVAVLSTPALCIEEKLKELQNKLDAMSSKQPGHDEL >PAN30613 pep chromosome:PHallii_v3.1:5:24899397:24907851:-1 gene:PAHAL_5G305800 transcript:PAN30613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVLEGPRNPIATHDTRRGGRSSVFCFPNFLSFAMLPAVVAASPALSHLPRHQHLGRRFHLRCHRLLPATPAKASCGARRRLLAGAFAAGDGPSGQDVDYSTGTTTSGSAYLGLFVRLLGLDNDACDREHAVCTLYQYSLGGRKSIDEIMQFPGCIVLIISLLKSESTRAREAAAGLLRNITSVQIYRKMAIESGAMEEIISLLCKSTITPEMMEQCLCTIWNFSIDESWRYKILRSDVLTKIVRYLDEEDIKVKEAAGGIISNLALSPSNHGALVEAGVIPKLVHLLQTKEDDYKIIRKEARSSLILLARDDHYHSLIIEEGLVRVPLVGSAAYKAFKPLPHMWPTFPDGSEIQRSSRPSKYGATELLLGLSVNEKDTEPNEAKINAMIGRSNQQFLARVGAIELDDEGNERSGSEKSDLYTILPWVDGVARLVLILGLEDVSAIKKAARAIGNASINEHMRTSFKEAGAVKPLLQLLKHDDMSVREAAAYALEKLSVSATICQKIKADGGLELLVNTVKDPNTPVKQLEKMIYVLSRMFDMGISMVAVPESYAHEDVTSAERSIQGDTTTGSSVISHTFVNQEMASEMIVDFDAISRLAKVLKEASPSLQAKVCSVLEHLAASEQHATAMTATCTGSVIEAILEIGVIHGTKADSEDFDNLPSVVTEEVSQAVSAAVRLLTKLLNFDIFTRSINSEKFTSLLRRMLKSSFPLQSKDWLAACLVKLESRAGLSGDHGVSSVDMEITIYQTIPRLVDQMLTSFSFENKRSAVIELNKIISSGVLEYTRAMADSGGIFPLVKMLEEGDEDVLEAALPILYNLSMDPENHPAIIAAGAVPLLKRIVLAKGPHGTNALQLLRTLPV >PAN32860 pep chromosome:PHallii_v3.1:5:57873629:57877735:-1 gene:PAHAL_5G517100 transcript:PAN32860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVADGSASAAAAKEVEYQAGVQKLVDLLSKLNPAAKEFVPSSAASASPPKKALSADAPVFDYRSIGAGNGGAKDSATDASFYIGSPQRRRWNGYLNQGRRRANDRVRRTEREDSIRRTVYVSELDHTVTEERLAEIFATCGQVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTIFGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVMRTVYCTNIDKMVTQLDVKNFFEGLCGEVSRLRLLGDNVHSTRIAFVEFVHAECAIMALNCSGMILGTLPVRVSPSKTPVKPRVNRVGSD >PAN29906 pep chromosome:PHallii_v3.1:5:16592301:16602176:-1 gene:PAHAL_5G258700 transcript:PAN29906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSRHLRAAARQRSPRPAAGAGDTSFSAKPDAEVIRRNKAITAHMRAGRVPDAERLFAAMPHRSTSTYNAMLAGYASNGRLPLAISFFRSIPRPDTFSYNTLLHALAVSSSLADARSLFDEMPVKDSVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRIQEARGLFDSRTEWDSISWNALMAGYVQWGQMAEAQEMFNRMPQRDVVSWNTMVSGYARRGDMMEARRFFDATPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMQEKNAVSWNAMMAAYVQRRMMKEANELFDAMPCRNVASWNTMLTGYAQAGMLEEARTIFDTMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHSRLIKAGYGVGCFVGNALLAMYFKCGNMEEAHNAFGEMEERDVVSWNTMIAGYARHGFGKEALKVFDTMRKTSTKPDDITLVGVLAACSHSGLIEKGVSYFYSMHHDFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWRDVDKMRVMMYERGVKKVPGFSWIEVQNKVHTFSAGDCIHPEKEDIYAFLENLGMRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAIAYGILKIPPGRPIRVIKNLRVCEDCHTAFKYISAIEGRLIILRDSNRFHHFRDGSCSCGDYW >PAN33057 pep chromosome:PHallii_v3.1:5:59233858:59235951:1 gene:PAHAL_5G536600 transcript:PAN33057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWGSKASADVAVADVYRPPPTSVSLFDISAVEEPWHIAKNKAGDEEEDEEEEEEETDDDADDEDEEKPAAKTVLPLPFLDKLEGYELAPASWSEVSKALEDMKPVLDSKQANTKPRAPPKKKKKKKTAMKKKEEEEPAAAAAGGDAADEEAGKKAPPPPPPAGLIGNVVEPECTKTALPELAGRRVVKDNPFLMRDRESTGSAPRWKRRDPFEGCPERRPPGLAGGGVVLYTTTLHGVRRTFEDCERARELVEACAEASGVGAVDERDVSLHGEYLRELRELTGDGASAPPRLFVMGRYVGGAEECVKLAESGKLREMMRWVKARGEACAAKDGRGCEGCGGARFVPCWECGGSRKVVVAEGGGEVERCAKCNENGLMLCPLCH >PAN31173 pep chromosome:PHallii_v3.1:5:49945848:49947693:1 gene:PAHAL_5G401900 transcript:PAN31173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSRTWPFGEPHGCDGDGCASNLDTWPLHHAYRRGERCRLCSSCILLSDRSVYCCCCFLLITSPSFHYDDDDPLMAPPGPTVTCQVCCSAVAHLACLYELYPAGYGVFVCPACSAAEEGRPFTYAPPCRQPLDARAARVLLLGARMALALLQREAAAARAEAERLAREAGEARRRAYRALREALGVDTQEAAWYFNADQPPVVPTQAPENNRPAAPEQCRETNIVDAPQPHEDHLAASEAGSQANMEDALLVQRYAMPPLAGLTIGTGCAMAVAMAPAESSHTPPWSSWSLPPFGAHEVTKTAAESSKSSRACPAPPRTLDLFSIREMAMAAAEAARASPPAPRTLQLFPADKPSASPKMQRTLQLFEDKIPDDEEEM >PAN26595 pep chromosome:PHallii_v3.1:5:1544568:1551494:1 gene:PAHAL_5G024200 transcript:PAN26595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVGLGVAAGCAAATCAIAAVLVARRASARARWRRAVALLREFEEGCATPPARLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPTRNEEGIYYAIDLGGTIFRALRVEVGAGSVVTSRKVELPIPEELTKGTIEELFNFVAISLKDFVEREDGKDEQKALGFTFSFPVRQTSVSSGSLIRWTKGFSIENAVGKDVAQCLNEALAGSGLNVRVTALVNDTVGTLALGHYHDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDISLDDETQNRNDQGFEKMISGIYLGEIARLVLHRMALESDVFGDAADNLSIPFTLSTPLLAAIREDDSPDLSEVRRILQEHLKIPDTPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGVASSGRMRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEIMGEEVARTVALRVTEDGSGVGAALLAAVHSSNRQQGSI >PVH37976 pep chromosome:PHallii_v3.1:5:8489608:8491055:-1 gene:PAHAL_5G141700 transcript:PVH37976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSATNAKEKKKPVPPRSFETKRTRRGRTEMENILFKLFERQPNWSLKQLMQETDQPEQFLKDILNDLCVYNKRGPNQGTHELKPEYKKSTEDNNAT >PVH37975 pep chromosome:PHallii_v3.1:5:8491056:8493905:-1 gene:PAHAL_5G141800 transcript:PVH37975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVKHLETRAAERPVWLMKCPTVVSRAWQEAAAAAATTSNPEAGGPNPNPVVAKVVLSLDPLRDEQPTKFKMEMAQTNNGNTPKSYSLNMYNDFVPMCIFSESNQGKYACEGKVENKFDMKLHSENLADYGKLCRERTTKCMAKPRKSGDDWYLRMTMEVA >PAN29598 pep chromosome:PHallii_v3.1:5:14848766:14852234:-1 gene:PAHAL_5G237000 transcript:PAN29598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGGGGGIGGGGGGDHHGVYHQHGHDHLARADGAEFAFNNNDMESFFFSQPASGVVGSSRTGADELMPPYSSITDYLQGFLDPTGLARHLDAPCPPAEDAPVVKHELSLDVMSHDSQGTSGGAAGEGGGALLTPNSSVSLSSSDREGDGQPRRCKKKAGGEVAAEGDEKDQDDGENSTKASKPNKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRAGGAHLFMAGAHLVPPGAGFRPDHLMGMMHHHPATIPTGAISPSMFLPSMPPPPQMPAPSPPLQQHHFTDYDLLQDLFPSSTMPNNP >PVH38134 pep chromosome:PHallii_v3.1:5:11166194:11167421:1 gene:PAHAL_5G185300 transcript:PVH38134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVSNRAKGEGGNFAQDSRIWNGQSHLESTPTGGPKALEPRRAHHRACGWILGTKKAKCQISIALAMQWPAQRHRQHQRSLPPKKDPAIQVKKKEWSALPTTLKTEPEQEKKRNLKTIRGRNKQRQGKNEQHGAKKQLRARSREEASREADESSNLPKRNRGRMGAGTCRGEGKEMALVGGAERSQVGPSREKKRRLVAH >PVH38977 pep chromosome:PHallii_v3.1:5:50358364:50359582:-1 gene:PAHAL_5G406600 transcript:PVH38977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRLLSSSLPLLLLLAAGSPAAAAASALGDSSGTDSDMVPRFGRILQQLPQPMPQPDPNPQPQPGVNPPVPQPLPQPNPNPPQPLPQPQPMPQPQPQPQPMPQPNPQPGLSPPQPLPQPQPGPQPDPTAPQPQPLPQPDPTLQPQPVPVPQPDPAMQQPQPGTTQAAPNGQQNPQAQPDQLLPSGGSRMLVKLQDLMYILLPSLACLLM >PAN29320 pep chromosome:PHallii_v3.1:5:13023191:13025506:-1 gene:PAHAL_5G213100 transcript:PAN29320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGSSLLVENYKQTFLTSPNSDFSCGFYEVGGNAFSFSIWFTNTIEKTVVWSANPKSPVNGHGSMVLLNHGGNLVLTDVNGTVTWDSKTGSGKGTTVVLLDTGNLIIKDSNGAVLYESFSSPTDTLLPFQPLTKATRLVSGYYSLYFDNDNVLRLMYDGPDISSIYWPSADYSVFESGRTNYNSSRIAVLDAEGYFLSSDGLNVKSSDWGIQIKRRLTIDYDGNLRMYSLNASSGNWIISWVAIAKMCDVHGLCGQNGICQSLPSFHCSCPPGHEMIDPQIWNKGCRPQFSKTCNNTEEFEFIKLPKTDFYGFDLSYNQSISLEECKKICLDACSCSAFTYKVGPALCYTKAVLFNGYSYPSFPGDNYIKLPKNLGITTSLVSRKSELTCNRDIPEIVEGYASMYGMNSVDKNWTTYYVFAAILGALVLLFTGTSWWFLSSKQYIPKSMEAGYRMVTSQFRMFTHRELREATGKFKEEIGRGGSGIVYRGVLDDKRVVAVKKLTNFSHSEEELWAEMSIIGRINHMNLVRMWGFCSEGQHKLLVYEHVENESLDRYLFGNVSSERLIAWSQRFKIALGTARGLAYLHHECLEWVIHCDVKPENILLTRDFEAKIADFGLAKLSKRDSSSFKLTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGSRVSSGITADGREIELRQFVQVLKQFVESEDVKDIVDHRLQGHFNPEQAMIMLKVAIACLEERNSRPTMNDIVISLLACAEQDDHPAYSW >PVH37514 pep chromosome:PHallii_v3.1:5:1382413:1385750:1 gene:PAHAL_5G021000 transcript:PVH37514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MIVVADRVYQQISICAANSFNSEMLVSRRDHDWTVCPSPRMPQHNRDTEDLMRRTHVRCYLELSIVVAYRMSYESGEWQPASQICSRKATKSAPIFTIKPPLDRQKSLVGPCHLHKPPNPLRSVPHSVSLAMAAPPLQPVPPPAAVNGDAFRVGFIGAGNLAESIARGVAASGVLPASAICTAPHRRPERGTAFASIGACLLDTNAQVVDDSDVIVISVKPQIVKQVLLELKPLLSDEKLLVSIAAGVKMKDLQDWSGQRRIIRVMPNTPSAVGQAASVMCLGEMATQKDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVSETGKHPGQLKDQVTSPAGTTIAGVQELEKGAFRGTLISAVVAAAKRCRELS >PVH39423 pep chromosome:PHallii_v3.1:5:56548595:56550076:-1 gene:PAHAL_5G498000 transcript:PVH39423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVVVSVVLWAASTDAARREPMAWRPGMIGAEDCDNRHGKGGGGGAGAGMGGGIGGAAGGGMGGGVGGGAGGGAGGGLGGGVGAGGGAGGGMGGGGGFGGGGGVGGGAGFGGGAGGGGGLGGAGGLGGGGAGGGGGLGGGDGVGAGGGGGFGGGGGVGAAGGFGVGGGAGFGVGAGAGGGLGGGSGLGGGGGGGLGGGDGLGSGAGGGFGAGGGAGGSLGDGAGAGSGMGGGGGFGGGGGFGGGAGGGMGFGGGKGSGVGGGGSGGVGGGFGGGAGAGGGAGIGGGMGGSFGAGGGAGGGIGTSGGTGLGGGGGLGGGGGFGGGGGAGGGFGGGMGGGFGGGMGGGAGGGHGGSGGTGGGIGAGGGASVGGGMGGGAGGGHGAGAGGGAGGGFGAGAGGGIGGGAGGGHGAGAGGGMGNGAVAGHGAGAGGGAGGGFGAGVGGGMGGAHGASTGTGTGGGGHKGGGNHHGGSSIGIGRSARAFDLN >PAN31320 pep chromosome:PHallii_v3.1:5:50943813:50948263:-1 gene:PAHAL_5G411900 transcript:PAN31320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDTVLKREDHSGSGAGPVILQTSRCTNEENESRNRRYHQLKCSESNSGESRLDYIPNFHCKSLPTRSRKTSVEQSAVGKRGSMYQSSSEISRIKKIQEGRRKIDSAFDGDAFLLFDIVDASSRPSTSGAYLHSHWNRRSGAKSSVETSHRINRASKDFLDLSFRELPDDNFKLDRPRLDSTLLKNDGGDGFLEISFEKETTEGGPCRLAAPDLLDTQSGKCTETNYQPKTSGCPSENKCGEEGRDSASSSKSMSAKVSSFDGTRPSGSIQHHIIENNAKARSSPFKKMLDPIMKSKSRRSPSLIEKGDPNSITGTGSRKNSMSRKSLLGDFSRTEQASNSQPNGEIQCITSALSPAHLQAVLRLDSKNGVQVLEFCVEGLEETISARNWKTGNELNSIYTFHSGGKRSSAAGRISKDGGWNLPPIVGQLQVSSCLCSEVGKDGRVNNSVITEFVSYDIAHARRIVEKTQCTESPQQPLCSTVDKSVSGESPQMINPMGQHKIGRNNSDISTSCPWSEEDLYPHLEIAATVIEVPFSKDKSKEMKNGSYPCTVKVVTPSGLHGLPSESGAIPSPLLDRWRYGGGCDCGGWDMACPIDVLCNAYDDNWAESITTNAKHPMELFVQGSKEELPALSMKANGKEQFLVDFHARLSALQAFSVCISLLHCSEASIAISLEKGKHKLYSSSRKLLLEEDVRRLIEAVTTEEKKQQKKSRRQKAPPSVVLDPPFSPIGRV >PAN32540 pep chromosome:PHallii_v3.1:5:56265616:56267251:-1 gene:PAHAL_5G494200 transcript:PAN32540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPNPEIFGSSQEVEALEDSRSTSKQGICATYHVTVVEIAHAGQAVHMALLVEAVECSRPRTHCTLHGNVCISSGLVIHILVLLLKIAVQRSLPLHCDHTTWFRGVACGDSHERPRVTRTPETATTELRSAPTSALQPLLDHRSFPLLLEQLRPPQAPPAVVAKLPSASRSLATAATSRVALDQPCHSKLQTQRYTTQARSLQ >PAN32094 pep chromosome:PHallii_v3.1:5:54473709:54476087:-1 gene:PAHAL_5G462900 transcript:PAN32094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRRPLASPCRGRVILLVPALLLLSSAARSAEESTRVLSVGKELVGETMALCHGRRVYRVDGLRPSAWYEVKISYPASIPSSFSIRLVDDPKDADRSSKNRRLLNTEKIIFKAEGSSPVYVLVTVEPEGVVAKPNVPERALVLFNIVCDELMLGIPVFAWWVGIAALLCIMLASLAPLVLPLHKLLNYEGLDLSKADAAKMS >PAN30181 pep chromosome:PHallii_v3.1:5:26413594:26421775:1 gene:PAHAL_5G310700 transcript:PAN30181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAATMAALPAPPCTSSSPSSVFLTPNSSTGRRMAPWAVSVRASAATSVRGTHSVGWDPSGLAAARPAARKVRLEELDTTNMLLRQRIVFLGSPVDDTSADLIISQLLLLDAEDQTKDITLFVNSPGGSITAGMGVYDAMKFCKADVSTVCFGLAASMGAFLLAAGTKGKRYCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSIIDEGKPGLVAPLAGAVPPPKSRVWYLWKASGPTRKIMKDLPSEEKLVLNGNGSATGDDGKVKKTSAS >PAN31716 pep chromosome:PHallii_v3.1:5:52872786:52878498:1 gene:PAHAL_5G439900 transcript:PAN31716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLCFLLDLRNIPPPLLHRLKQCLLHLANLYAAATPPPQPHASSSADAGGLPDRLALSYVHAPSSRSSSSSSSSWPELKIGYRPGEKFSLRDFHHAVNNIPLDGFLHDQHGGPPPTGDVSLTDLFSNRAIYSWATDDISKKVIAICISAQDTEALRRSLMNAAEQCVAVEFIMLELEAAFMYGDVPENANSFVNRICDLENCVVRRYNPETQVLHGLVKRWLEELKDDMEETPQAVFLFRYPIINSVKHIYCNFYASANQITDGFPSCQACRCHGRPIDLVTPNKIKWTCPITSRQLAASDVTDTAVRIGEQTVLFLPTSEGGSNLQRVSASISFDVIERTELASMNEGVIMGRSFVVIPCSNDVEVALTDESSDQNTQIFYGLCETLFRFDQGLVCSSSCNIETMKIGTLQCYYLLQPSEKGPMLLRRLAGSEEILPLPDVSRDRNSKVTMEIKKSVETSLSKIALKDYNPLQHERGFHSKLNLLVKDSLQFGSIDPDSAPKDPYLVDSFSEPQIPTCQGLEGSRFLNQPEENAEGLNDHLHSFSEPQTSTFRTPKENKLSNQSKKGKASPSISEEWEKFIIIDDLDDDLATPAAPRPTANKPPRAKPPSPVKPLDEKTSRILERLEPPRAKKQRPANAGKASTKAAPAPGRVAGTQNRKPLLPVEPSASQPLKPTFNRLRRKLNT >PAN29449 pep chromosome:PHallii_v3.1:5:14036726:14039408:-1 gene:PAHAL_5G227200 transcript:PAN29449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLYFRPNAAGHARPHRHPLLAHLDSCASRAHVAELHGRLIRAHLAADPAVAGRLVALLASPAGGRDMRRARRVLDGMSPPNAPAWNCVIRGQTSRGAPRDALAAFRAMVRRGVAPDSYTMAAAVSATAAADGWAWEWRATGDAIHAMVRKIGCAADLFVTSGLVNLYGTFGSVEYARKVFGEMQERDVVSWTSMISAFAQRGIWDDALRFLAEMQADGIAPNKVTIISMLTACGRGQDVDRGRWVYGQLSEYEIEADTDIGNALVSMYAKCGCMSDALEAFKVMPARNTKSWNTLIDGFVQNQKHKEALKMFEEMLSSDFTPDAVTLVCVLSACTQLGDLQQGRNLHSYIRSSEICCDTILTNSLINMYAKCGDMAAAEVVFKAMKQRDVVSWTTMVCGYVHGRQFTAAFIFFEEMKDAGIVASEMALVSLLSACSQLGALGRGREIHAYIEEKNIKLDVFLESALVDMYAKCGCIDMAAEIFSKMQHKQTLTWNSMVGGLASNGHGKEAVHLFDQMLKFGDPKPDGITFKTVLGACAHVGMVSEGLCYFHSMPSFGIAPDIEHYGCIVDLLSRAGLVEEAFEFIKKMPIDPNPVIWGSLLSACRFHEKMDLVRRVRLHVIKLDPNDVGTHVMIANLYAEGGQWDDVQQIREQMVSRGIEKSPGYSSIQV >PVH39223 pep chromosome:PHallii_v3.1:5:54162972:54163888:-1 gene:PAHAL_5G458600 transcript:PVH39223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLGRNPLSDALHRNPGVVLNRQGPGRLPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGEDWTILEPNRFYPC >PVH38281 pep chromosome:PHallii_v3.1:5:13380364:13381186:-1 gene:PAHAL_5G219200 transcript:PVH38281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSYELVQKGFHLTCTSMFHVDLLLLFQMFADNNLEVHRESLDAIPQQLSTPSRIF >PVH38979 pep chromosome:PHallii_v3.1:5:50417373:50418593:-1 gene:PAHAL_5G407000 transcript:PVH38979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKQIWDKLQVNHEDMFNRLNKIVNKIRALSGNKWSDKDGVDKILTAYMARNVNLPTLIREKRGFKRFTPTDVIGRIEQHLTTMKEAKISQELSRIHKEIEKNNGVALKKKEGDSDIDEDMALFIKRFKKVMKKDGYFKDKRRSKITRRSNKPCFGCGEVGHFIADCPNPKNKNKGEKKEHGKGKKKYMSEAHLGVEWNSSEESSSNDEGVATMAVKAHIIKSSLFGDLTDDEDDFTPTCFIAKGAKVASRPNPNDNDDDDGLDDNECQNMIKELSKKATNKIIKLMIEIEDRDETLEAQDELIRLEQEKTVGLEKSLSKERKSFKVQEDLLNDKISKILELEKSLAKDKEKVENLTK >PVH38810 pep chromosome:PHallii_v3.1:5:40860626:40884765:-1 gene:PAHAL_5G358700 transcript:PVH38810 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MLQHLVRLRWEELSAAERNEFANLTINLISDVVGPHEVWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNSGPIEAELVAMILRWLPEDITVHNEDLEGDRRRSLLRGLTESLPEILPLLYSLLEKHFVAALSEHSKQQIDLAKQHAGTVTAVLNAVNAYAEWAPVTDLAKHGLIHGCGSLLSYSDFRLHVCEFFKVICQRKRPLDVAVAEYDAAICNIFQVLMNISQQFLTRSGMQPSSIDESEYEFATCVCETMVALGSSNMQCILADGARTFQFLKQMLEYYQHYKIALHFQSLLFWLVVLREPSKAKSVARVSGDNSTAGNSASTGDLSTEKEKKGVSLFVTDEIYSTILDVSFKRMLKNSGGASSGLLELWSEELEGKSDFCNYRTKLLDLIKVIASQRPVIAAASIVQRINVVFGDANPATKSPQDLDAMEGAQLGLEAVVSAIFDGSVDYGKTDLEMKSQLHKIFEGLLQQLLSLKWTGPNLAVIHGHYLDALGPFLRHYPDAVASVVNKLFELLTSLPITFQDPSNNSRLARLQICSSFIRISRAADKALLPHMKNIADTMAHLQGEGRLLRGEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPLNKMWTQVEWQTAYLSDPTGLTNMFADTQFMWSIYHTVTFFEKALKRSGTKKSTTTPQAPTTTAAPGYLHPMSSHLAWILPPLLRLLRCIHALWAEPFAQSQTGEIKAAKSITVAEQASLLGETGKLTKGQVAPADGLLEVQRDGESKENNIKNWLRGIRDSGYNVLGLAATLGEAFFRCAEASSVTLALMENVQVMEFRHLRQLIHLVIIPFVKYCPADLWQVWLVNLLQPLLVHCQQALHFSWSSLLHEGRAKVPDSIGNLSGSELKAEVMEEKLLRDLTREVCSVLWALASPGLNSGLPSLEQLGPSNRMDSLKNLESFASSSLAGFLMLHVGTALPALRISVEVFSWTDTDSVTKVIPFCGALIHLAVASNQAELRQFVAKDLFSSIIRGLSVESNSVMSAELVGLCREIYVYLSDRDPAPRQVLLSLPHIKQEDLLAFDDSLSKTASPKEQKQHMRSLLLLATGNKLRALAAQKTTNVITNVTTRNRSSIPHHGIAEEDGQIGLATLSST >PAN30736 pep chromosome:PHallii_v3.1:5:40860626:40885685:-1 gene:PAHAL_5G358700 transcript:PAN30736 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MAADPAAAAAASAAAAISAVMDWRSSPDARAAAFAYLESVKSGDVRALASTSFLLVRKDQTSEIRLHGFKMLQHLVRLRWEELSAAERNEFANLTINLISDVVGPHEVWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNSGPIEAELVAMILRWLPEDITVHNEDLEGDRRRSLLRGLTESLPEILPLLYSLLEKHFVAALSEHSKQQIDLAKQHAGTVTAVLNAVNAYAEWAPVTDLAKHGLIHGCGSLLSYSDFRLHVCEFFKVICQRKRPLDVAVAEYDAAICNIFQVLMNISQQFLTRSGMQPSSIDESEYEFATCVCETMVALGSSNMQCILADGARTFQFLKQMLEYYQHYKIALHFQSLLFWLVVLREPSKAKSVARVSGDNSTAGNSASTGDLSTEKEKKGVSLFVTDEIYSTILDVSFKRMLKNSGGASSGLLELWSEELEGKSDFCNYRTKLLDLIKVIASQRPVIAAASIVQRINVVFGDANPATKSPQDLDAMEGAQLGLEAVVSAIFDGSVDYGKTDLEMKSQLHKIFEGLLQQLLSLKWTGPNLAVIHGHYLDALGPFLRHYPDAVASVVNKLFELLTSLPITFQDPSNNSRLARLQICSSFIRISRAADKALLPHMKNIADTMAHLQGEGRLLRGEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPLNKMWTQVEWQTAYLSDPTGLTNMFADTQFMWSIYHTVTFFEKALKRSGTKKSTTTPQAPTTTAAPGYLHPMSSHLAWILPPLLRLLRCIHALWAEPFAQSQTGEIKAAKSITVAEQASLLGETGKLTKGQVAPADGLLEVQRDGESKENNIKNWLRGIRDSGYNVLGLAATLGEAFFRCAEASSVTLALMENVQVMEFRHLRQLIHLVIIPFVKYCPADLWQVWLVNLLQPLLVHCQQALHFSWSSLLHEGRAKVPDSIGNLSGSELKAEVMEEKLLRDLTREVCSVLWALASPGLNSGLPSLEQLGPSNRMDSLKNLESFASSSLAGFLMLHVGTALPALRISVEVFSWTDTDSVTKVIPFCGALIHLAVASNQAELRQFVAKDLFSSIIRGLSVESNSVMSAELVGLCREIYVYLSDRDPAPRQVLLSLPHIKQEDLLAFDDSLSKTASPKEQKQHMRSLLLLATGNKLRALAAQKTTNVITNVTTRNRSSIPHHGIAEEDGQIGLATLSST >PVH38639 pep chromosome:PHallii_v3.1:5:26651881:26657034:1 gene:PAHAL_5G311100 transcript:PVH38639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLLSPLLSSARKLLDLLWSSAAASGDPQGEHSTFPADLQRLERLLRRIQATLDDVGEREVQESYVKLWIAELTVLARDAEDVLDDYRYEMLRRRVQELQGSAAAAASSASRKRNHDEDGSISERIRKITRRFQISTDRAALPLRPEEDDDGGTSERIGDIIRRFEEISRDRAALQLGPDVGRRIAWRDSQWESRSSSHLFDESLVFGRADEKERVIELVLSCSQGSKTHVLPVVGMGGIGKTTMAQMVYNDGRVQERFDLRGWVHVSETFDLRRLTIAIYESLTRGPCEYSELSSVHDVLKQKLCGKSVFMVLDDLWNERQSFWQDLLCPLKDAESVMILVTTRSKEVARLVQTVEPLVLGSLSEEHCWLLFQHYAFGDRIIDEESSLVQVGRKIMQKCGGLPLAVKSIGCLLRSKRNMQTWLEISESEFWEYSDDNDEIFCALRLSFYRLPARLKPCFLLCVLYPKGEPFTKDDMIHLWIAHGYIQTTGCKTPERIAGEYFDELNERSLIEPYLVHLDIRERPSFWKNSPGIRGSQSIRNANNGISLLETDASYSDIISLLETSLGTTKASLAFRNFRLHDMTWELAKSLSTSLLSAVVVDGGSLYLGQNMERLPFLVGGGRQNTHRYNTYNQINGSPGIGMNPSGQKNWLIDSMDDHVNLMSVACSTGNKHVNILTIENRVSQLHDMNYLRTLMLKQCTFFHIGIHEYRYLRILVLDSCNSGCISATQYLKLLRYLHVSNCDSMFGKNLKHLTESICHLYSLEKLIVTTCWKEFSMTSCHLFSLRYLQLSVRFSDWSLHPFCQFYNLDTLCLKKCDSIADLPICIGNLMSLKRLQLIQISNIKKLDHYSFRCQSNSYKSDLTKAIFPALEELEFDSLCDLQDWCGLQDSDCPKIQNITLRNCYKLRRIPYFGSVRNLIIRKLALADLQLSAYNELSQLQTLDIRYCKNLKSLMGLKNLCSLGSLYIAHCPQLIVLCKDKLPFRPQHVFVDDCPGLKEWCDEQELYYQAKELPALRLPSELVNTSVLEADMRQLSRRTRQRGRETQTGVARWKERKDLPSNHKAALQAYSASRGKRLGCATLGCNRDASRGPQNGEDFRYKMGKGIWRCLFPIL >PVH38640 pep chromosome:PHallii_v3.1:5:26651799:26659558:1 gene:PAHAL_5G311100 transcript:PVH38640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLLSPLLSSARKLLDLLWSSAAASGDPQGEHSTFPADLQRLERLLRRIQATLDDVGEREVQESYVKLWIAELTVLARDAEDVLDDYRYEMLRRRVQELQGSAAAAASSASRKRNHDEDGSISERIRKITRRFQISTDRAALPLRPEEDDDGGTSERIGDIIRRFEEISRDRAALQLGPDVGRRIAWRDSQWESRSSSHLFDESLVFGRADEKERVIELVLSCSQGSKTHVLPVVGMGGIGKTTMAQMVYNDGRVQERFDLRGWVHVSETFDLRRLTIAIYESLTRGPCEYSELSSVHDVLKQKLCGKSVFMVLDDLWNERQSFWQDLLCPLKDAESVMILVTTRSKEVARLVQTVEPLVLGSLSEEHCWLLFQHYAFGDRIIDEESSLVQVGRKIMQKCGGLPLAVKSIGCLLRSKRNMQTWLEISESEFWEYSDDNDEIFCALRLSFYRLPARLKPCFLLCVLYPKGEPFTKDDMIHLWIAHGYIQTTGCKTPERIAGEYFDELNERSLIEPYLVHLDIRERPSFWKNSPGIRGSQSIRNANNGISLLETDASYSDIISLLETSLGTTKASLAFRNFRLHDMTWELAKSLSTSLLSAVVVDGGSLYLGQNMERLPFLVGGGRQNTHRYNTYNQINGSPGIGMNPSGQKNWLIDSMDDHVNLMSVACSTGNKHVNILTIENRVSQLHDMNYLRTLMLKQCTFFHIGIHEYRYLRILVLDSCNSGCISATQYLKLLRYLHVSNCDSMFGKNLKHLTESICHLYSLEKLIVTTCWKEFSMTSCHLFSLRYLQLSVRFSDWSLHPFCQFYNLDTLCLKKCDSIADLPICIGNLMSLKRLQLIQISNIKKLDHYSFRCQSNSYKSDLTKAIFPALEELEFDSLCDLQDWCGLQDSDCPKIQNITLRNCYKLRRIPYFGSVRNLIIRKLALADLQLSAYNELSQLQTLDIRYCKNLKSLMGLKNLCSLGSLYIAHCPQLIVLCKDKLPFRPQHVFVDDCPGLKEWCDEQELYYQVPKMVKISDIKWAKEYGVAYFQSCEHICLDILPEHKPELILSPDNLLPSELRLLKIGLFESSDDVPSFHRALSTLGKLEIRGCPKLVALMDLQELNVLHSLVIADCPLLQILAETKFPPLLASLIIEGCHKLPSLYLNSISNPSMFTELEVSDCQGLMYVGGLGCLGNLESLALLHCPLLELRELLLVIPESVAVFLCPKLKKWCELQSIEYLESLPDPSREVNV >PVH38638 pep chromosome:PHallii_v3.1:5:26651799:26655567:1 gene:PAHAL_5G311100 transcript:PVH38638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLLSPLLSSARKLLDLLWSSAAASGDPQGEHSTFPADLQRLERLLRRIQATLDDVGEREVQESYVKLWIAELTVLARDAEDVLDDYRYEMLRRRVQELQGSAAAAASSASRKRNHDEDGSISERIRKITRRFQISTDRAALPLRPEEDDDGGTSERIGDIIRRFEEISRDRAALQLGPDVGRRIAWRDSQWESRSSSHLFDESLVFGRADEKERVIELVLSCSQGSKTHVLPVVGMGGIGKTTMAQMVYNDGRVQERFDLRGWVHVSETFDLRRLTIAIYESLTRGPCEYSELSSVHDVLKQKLCGKSVFMVLDDLWNERQSFWQDLLCPLKDAESVMILVTTRSKEVARLVQTVEPLVLGSLSEEHCWLLFQHYAFGDRIIDEESSLVQVGRKIMQKCGGLPLAVKSIGCLLRSKRNMQTWLEISESEFWEYSDDNDEIFCALRLSFYRLPARLKPCFLLCVLYPKGEPFTKDDMIHLWIAHGYIQTTGCKTPERIAGEYFDELNERSLIEPYLVHLDIRERPSFWKNSPGIRGSQSIRNANNGISLLETDASYSDIISLLETSLGTTKASLAFRNFRLHDMTWELAKSLSTSLLSAVVVDGGSLYLGQNMERLPFLVGGGRQNTHRYNTYNQINGSPGIGMNPSGQKNWLIDSMDDHVNLMSVACSTGNKHVNILTIENRVSQLHDMNYLRTLMLKQCTFFHIGIHEYRYLRILVLDSCNSGCISATQYLKLLRYLHVSNCDSMFGKNLKHLTESICHLYSLEKLIVTTCWKEFSMTSCHLFSLRYLQLSVRFSDWSLHPFCQFYNLDTLCLKKCDSIADLPICIGNLMSLKRLQLIQISNIKKLDHYSFRCQSNSYKSDLTKAIFPALEELEFDSLCDLQDWCGLQDSDCPKIQNITLRNCYKLRRIPYFGSVRNLIIRKLALADLQLSAYNELSQLQTLDIRYCKNLKSLMGLKNLCSLGSLYIAHCPQLIVLCKDKLPFRPQHVFVDDCPGLKEWCDEQELYYQAKELPALRLPSELVNTSVLEADMRQLSRRTRQRGRETQTGVARWKERKDLPSNHKAALQAYSASRGKRLGCATLGCNRDASRGI >PVH38966 pep chromosome:PHallii_v3.1:5:50174929:50175731:1 gene:PAHAL_5G403700 transcript:PVH38966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGRAGPGSDGRSTTMERRCPPQKYARLRTSCTGSATGPSAPRARGAAEPTRLRAWSTTPPNQSCSHLSRPLARSRIGRCPPGEAAATARQHVQPLRGPQHGRARRLSPGSCLPDPSPFSNQSPPPVVVPPERPVLLLSSPPLPSPGRRHNIYSRDAHTAP >PAN27891 pep chromosome:PHallii_v3.1:5:6974843:6977054:-1 gene:PAHAL_5G115600 transcript:PAN27891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLPRSTVLVAAVAVALAFAMAAAQGPAAAPGPAPGISDECLNAVLNMSDCLSYVTNGSTVRHPDKACCPELAGLLESHPICLCQLLAGGADSYGVSVDYKRALALPGICRLTAPPVSACAAFGVPIPAGLVPTAAPSPKSSSGPSPSIGPEVPANTPAGSAAKSTNHAPGRVTAGGLVALAALPLAVAAAAGML >PAN30395 pep chromosome:PHallii_v3.1:5:18968570:18970889:1 gene:PAHAL_5G277400 transcript:PAN30395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQCLEHLLFLFNKLDEAQMEAIVAEPTKEGHVPKTLAEAVAKVLPTSKFLKNVGLESAAPKWSAKSTVSACAQELETEVESEKQCSASLKEKFDMQQYELEVLKLKFGELEQARKKQLGEIEELKNQGEETNTLLRCLLCLNKE >PAN30394 pep chromosome:PHallii_v3.1:5:18968570:18970889:1 gene:PAHAL_5G277400 transcript:PAN30394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQCLEHLLFLFNKLDEAQMEAIVAEPTKEGHVPKTLAEAVAKVLPTSKFLKNVGLESAAPKWSAKSTVSACAQELETEVESEKQCSASLKEKFDMQQYELEVLKLKFGELEQARKKQLGEIEELKNQGEETNTLLRCLLCLNKE >PAN30396 pep chromosome:PHallii_v3.1:5:18968570:18970889:1 gene:PAHAL_5G277400 transcript:PAN30396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQCLEHLLFLFNKLDEAQMEAIVAEPTKEGHVPKTLAEAVAKVLPTSKFLKNVGLESAAPKWSAKSTVSACAQELETEVESEKQCSASLKEKFDMQQYELEVLKLKFGELEQARKKQLGEIEELKNQGEETNTLLRCLLCLNKE >PVH38891 pep chromosome:PHallii_v3.1:5:47631248:47641250:-1 gene:PAHAL_5G383000 transcript:PVH38891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNMYELERDERVREVQELFASLGIPILAEDVRDVFSKKEKCMGKTIESDNEYDPSSDIDNQCDSDDDYDDDLNNEDTTEVRAMVPRTRPKKQKMTQMAAAKQLPPCSPTKFTRKQAARPTGGRPPPRDANQLPPCTPTRLTRQQAAMALPGGRPPPRDANQLPPCTPTRLTRQQAAMASPGGRPPPRDVNQLPPIGLGIEKMIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQHRYHLKRKYFDESLTLEQLLAKEPPPKMKKEEWIQLVKYWCDPKNQEKSAKNKVNRSKVQLHQKTGSRSYIAYRYSLRPKYNNSDPDAVEFFGECMNSSKNGRTPLANEIYERMVAEKDREPEEGEAKKSPTKIVDETLSEISRSSTFLPNMAAQARIRAEFEASLQAEREEAARKREELQTQLEAQQAALEENQNLLWQTQEEVRGMIRRFEETNALLRAVLKLQKD >PAN26630 pep chromosome:PHallii_v3.1:5:1759370:1760812:-1 gene:PAHAL_5G027300 transcript:PAN26630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARYANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSILEGLHYNPYFPGGAIAMPKMLMDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSIFKSLASWSLM >PVH37548 pep chromosome:PHallii_v3.1:5:1759073:1761550:-1 gene:PAHAL_5G027300 transcript:PVH37548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARYANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSILEGLHYNPYFPGGAIAMPKMLMDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSIFKSLASWSLM >PAN30528 pep chromosome:PHallii_v3.1:5:21210435:21212422:-1 gene:PAHAL_5G290400 transcript:PAN30528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MRGNLPCAAAAAAAASSNGAALPLSFSHTKQLHARLILASPAPADLRLLVLRSYAARGDLALARRLLDEAPRPASPLLYNAIIRAHARRLDLPAALALFARMRRSATPPDARTFACVVRACVDCSCPGAVKVVHGVASSRGMCRHPIVGSALVSGYAKLGLVDDARRVFDGLRDPDLILWNSMMSAYGYQGMWHDGLQMFSAMWRAGEQPDGYSMVSLVSCFWDPEALAFGQVVHGLCVKGGFDSGHHVRSALVSMYMRCGCMESGQSLFRNFPDADLVTWSSLITGLLQTGKYMESFDLFRQMCFTGRRPDRILIASVLSACASTTAISCTREVHCYVVRLGADKDIRVSSSLMDAYAKCGFADLGYWVFRQIPNKNSVMYNTVISNLGSHGFATKAIEVLDEMVNDDLRPDSATFSALLAACCHVGNLEEGWKLFRRMRDEFEIVVEMEHYVYMVRLLATFGQLKEAYDLIKTIQIQPDCGVWGALLWGCCVHRDSSLGRIVAEKLFEFNPDKAAYRVLLSNLYASQEMWWDAEEIRDELSKEEMYKNIGISWVGEVKK >PAN28224 pep chromosome:PHallii_v3.1:5:8332275:8332927:1 gene:PAHAL_5G138900 transcript:PAN28224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSILTSDLQHLLAARAVDQLAVAGLLDDGPDNEGRSPSDDQVAIAGNGNDAGDDLRSARADMTPASQGRSSCRGPFCKLLGY >PVH38285 pep chromosome:PHallii_v3.1:5:13405746:13407362:-1 gene:PAHAL_5G219400 transcript:PVH38285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLKWPSVLGLLVVAGMVGVASAGNIAVYWGQNVNEGTLADACSSGNYAYVIISFLSTFGNGQAPVLNLAGHCNPGECAGLASDIQSCKSQGVRVLLSLGGGGGNYGLSSTDDANSLADYLWDNFLGGTGSSSRPLGAAALDGIDLDIENGNSAHYDELASALKAKDGGVLLTAAPQCRYPDEWLGPALQTGLFDAVWVQFYNNLGCAYAGGDDTNLVAAWRTWTGGVRAGSFYLGLPASAEAAGSGYIAPGDLTGTVLPAIQGVGGGYGGIMLWSRYYDVLNNYSGQVKGSV >PVH38284 pep chromosome:PHallii_v3.1:5:13405742:13407061:-1 gene:PAHAL_5G219400 transcript:PVH38284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLHHQAKARILLHTARSPPPNQQLSKMATNLKWPSVLGLLVVAGMVGVASAGNIAVYWGQNVNEGTLADACSSGNYAYVIISFLSTFGNGQAPVLNLAGHCNPGECAGLASDIQSCKSQGVRVLLSLGGGGGNYGLSSTDDANSLADYLWDNFLGGTGSSSRPLGAAALDGIDLDIENGNSAHYDELASALKAKDGGVLLTAAPQCRYPDEWLGPALQTGLFDAVWVQFYNNLGCAYAGGDDTNLVAAWRTWTGGVRAGSFYLGLPASAEAAGSGYIAPGDLTGTVLPAIQGVGGGYGGIMLWSRYYDVLNNYSGQVKGSV >PVH38068 pep chromosome:PHallii_v3.1:5:10081143:10083852:-1 gene:PAHAL_5G166200 transcript:PVH38068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIVLALPALIFLLLVGHCRGGKIGVCYGRNADDLPAPDKVAQLIQQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYSNMFDAQVDSIFFALMALNFKTLKIMVTESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDKSSIYSLDWTGRGNVDVMTGANITSANGTWCIASANASETDLQNALNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGIRTTKDPSYDTCVYLAAGSKMSTTNSTSLPARSGSSPHPSAKCFTPLLFVLSVAMAAGML >PVH38066 pep chromosome:PHallii_v3.1:5:10080446:10084199:-1 gene:PAHAL_5G166200 transcript:PVH38066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIVLALPALIFLLLVGHCRGGKIGVCYGRNADDLPAPDKVAQLIQQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYSNMFDAQVDSIFFALMALNFKTLKIMVTESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDKSSIYSLDWTGRGNVDVMTGANITSANGTWCIASANASETDLQNALNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGIRTTKDPIR >PVH38067 pep chromosome:PHallii_v3.1:5:10081143:10083852:-1 gene:PAHAL_5G166200 transcript:PVH38067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIVLALPALIFLLLVGHCRGGKIGVCYGRNADDLPAPDKVAQLIQQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYSNMFDAQVDSIFFALMALNFKTLKIMVTESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDKSSIYSLDWTGRGNVDVMTGANITSANGTWCIASANASETDLQNALNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGIRTTKDPSYDTCVYLAAGKMSTTNSTSLPARSGSSPHPSAKCFTPLLFVLSVAMAAGML >PAN30381 pep chromosome:PHallii_v3.1:5:26957315:26958886:-1 gene:PAHAL_5G312100 transcript:PAN30381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGLLEQLAMGVGYKDDEKKKLLIELSTNEVLTCVGSSSLFLIWSLLWRLQLLWWLQITFCWKMHKHSVFDASQVMNKSFPKVWERSSLD >PAN31066 pep chromosome:PHallii_v3.1:5:48960530:48965283:1 gene:PAHAL_5G392700 transcript:PAN31066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISCKAEDPLYQLENRRAAARAFRAAARELCLIPTLSPPYELYINKQACPPQHTRFVPPRPSPSPPLRLPAERAPQVAGKGEIGGTEQEMAGCDGARSPASKEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCASS >PVH38934 pep chromosome:PHallii_v3.1:5:48960531:48965283:1 gene:PAHAL_5G392700 transcript:PVH38934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISCKAEDPLYQLENRRAAARAFRAAARELCLIPTLSPPYELYINKQACPPQHTRFVPPRPSPSPPLRLPAERAPQVAGKGEIGGTEQEMAGCDGARSPASKEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCASS >PVH38935 pep chromosome:PHallii_v3.1:5:48960531:48965283:1 gene:PAHAL_5G392700 transcript:PVH38935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISCKAEDPLYQLENRRAAARAFRAAARELCLIPTLSPPYELYINKQACPPQHTRFVPPRPSPSPPLRLPAERAPQVAGKGEIGGTEQEMAGCDGARSPASKEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCASS >PAN28423 pep chromosome:PHallii_v3.1:5:9123905:9126014:1 gene:PAHAL_5G151800 transcript:PAN28423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEKNQDTQLIMGRYRLGRLLGCGNFAKVYKAHNVATGEAVAIKVFDKDAVHQSGMSGKVKTEVHVMRRVHHPNVVRLHEVMATRSRIYFVMEYAGGGELFERLAQSTRLPEPVARRYFQQLITAVEFCHSRGVYHRDLKPENLLLDARGDLKVSDFGLSALQDAGARLRGDGLLHTACGTPAYVAPEVLLKCGYDGAKADIWSCGVILFVLLAGYLPFNDTSLPLLYRKITQSNYKCPPWFSIDARKLLARMLDPKPRTRMTISKLTAHPWFQKGPCPLTDRPLIARETPVLLGKEACRCHHHRDDDEEEDARQRKRSKVTASSPAVAVMRPSSMNAFDIISRSSGLDLSKMFDEEHRPEEARFASRESTAAIVSRLEEIAEARNLSVRVGEKGRVEMEGGRRGALAIEAEIFEVAPSVHVVEMRKTGGDPLEFRDFYRQDLKPSIGDIVWSWQGGDSPPPASVARRRATM >PVH38216 pep chromosome:PHallii_v3.1:5:12132334:12132874:-1 gene:PAHAL_5G199700 transcript:PVH38216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVQSTELAAEVSVPPKTKPNVTGNGVLASAMLRSIQHGVERPMGLSRARRVRWAIDR >PVH37804 pep chromosome:PHallii_v3.1:5:5788247:5788912:-1 gene:PAHAL_5G093800 transcript:PVH37804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSRSARARERVNLAIHGETVPVISVGVSPVRAVMARQVSLRPCKNYF >PAN26581 pep chromosome:PHallii_v3.1:5:1505173:1507160:1 gene:PAHAL_5G023500 transcript:PAN26581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQQVASSMLALALIVGAFASIPTAVQSIGVCYGVLGNNLPSRSDVVQLYKSRGINGMRIYFPDRQALDALRGSGIGLILDTGNDVLAQLASSASSATSWVQSNVRPYYPAVNIKYIAVGNEVQGGAAQSILPAMRNINAALAAAGLSAIKVSTSVRFDVVANSFPPSSGVFAQGYMADIARYLAGTGAPLLANVYPYFAYRGNPRDISLGYATFRPGTTVRDSGNGLTYRNLFDAMVDAVVAALEKAGAGGVRVVVSESGWPSGGGFGASVDNARSYNQGLIDHVGRGTPKRPGALETFIFAMFNENQKGGDPTERNFGLFYPNKQPVYPIRF >PAN32594 pep chromosome:PHallii_v3.1:5:56596417:56601499:-1 gene:PAHAL_5G498800 transcript:PAN32594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSKRARAPSPAKPPRAPAPDEASAASEDPIVLLRRRWELASVLHFLRVFEPVIKADLGLSAEEIETALASNNRNLARIHVAVLKGIPPVNKHLKDEDGWIIVTSKKLTDWWSWVAEGVNPFKSNPGNEVEMYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKKGTNISYFRKDKLGSGSNGTVYWYDGDSTIGHRLYTEDVAVSFKQNWKGKSGRLTKPDINIHWETVATNLEEFLEISEKLSRKGRSESAIAEHLKAEIIPAVEKLQKKKERDLKRQEKKDKLLAFANSLQSRSLRNRRPVNYNYSSYDRSIEEAIRAASKAKHDSHEAGTKEKRASHLGDKGANGRSDINSKQNKDGLDDAKYLSELSSGDEEDADYTDLDGGYADSDGNSNASDTYRSDLEEEDVFVPRKRTRLAARLLKEKPRQGLRRSQRNVKSDEEDVHPGQLTPPPMTKKTLRQRPTPVSKQPDTTLSGSEDDIAQSYSDSEDESE >PAN32593 pep chromosome:PHallii_v3.1:5:56596417:56599799:-1 gene:PAHAL_5G498800 transcript:PAN32593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSNILIILLLQPICACRNEVEMYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKKGTNISYFRKDKLGSGSNGTVYWYDGDSTIGHRLYTEDVAVSFKQNWKGKSGRLTKPDINIHWETVATNLEEFLEISEKLSRKGRSESAIAEHLKAEIIPAVEKLQKKKERDLKRQEKKDKLLAFANSLQSRSLRNRRPVNYNYSSYDRSIEEAIRAASKAKHDSHEAGTKEKRASHLGDKGANGRSDINSKQNKDGLDDAKYLSELSSGDEEDADYTDLDGGYADSDGNSNASDTYRSDLEEEDVFVPRKRTRLAARLLKEKPRQGLRRSQRNVKSDEEDVHPGQLTPPPMTKKTLRQRPTPVSKQPDTTLSGSEDDIAQSYSDSEDESE >PVH37445 pep chromosome:PHallii_v3.1:5:313108:313625:-1 gene:PAHAL_5G005200 transcript:PVH37445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCTESLLEVPASRCSKLALFCSLTRPSHLRTAVRFREESELHARQPPTGSEFFACLENFRFGAPFSLPLRSAR >PAN31286 pep chromosome:PHallii_v3.1:5:50700931:50702065:1 gene:PAHAL_5G409600 transcript:PAN31286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTIEEELDHFAEASMGLLPDDLQELPTGSFSMEVDAAAAAAFGDQQQQQDGEEFVAFWEKLIGGGGGGGLEPNDGSPPRLLHHDDHGLMTRDRALPRGIVEPRTEEGLHEHGLDDDDLFFLAAMEEV >PVH38813 pep chromosome:PHallii_v3.1:5:41011409:41011740:1 gene:PAHAL_5G359200 transcript:PVH38813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIVRDAKYVQRQPLGNDHCEFYVMHFMHVHSGDDMTVANRKLGTSELLLSDILALQEELAGFIMDHVVNPGAEFCLI >PVH38059 pep chromosome:PHallii_v3.1:5:10029834:10030496:1 gene:PAHAL_5G165100 transcript:PVH38059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALVAAAVCTKSKRKLMLTRCPGVFDDGVFNCWACPQPCKLIGVPSSCPAIQGLKLFFWQHVLLDLLTLFFIIQVLNF >PAN29009 pep chromosome:PHallii_v3.1:5:11705266:11709270:1 gene:PAHAL_5G193600 transcript:PAN29009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDETLASPAAAAEKTAPNGGVAEEEQAPVTHLAKSYAAVAAENPAPNGGVAKEEEEGAAGTHVTARSYAAVAAHAEIEDLRAAKLDLEGKLAEARRENEASAEEAHRIEGIFMQAREEVTIAELAAASAEKEAASLRAVVERLQAALKIEKGERDVDKRRHEELARQVEAFRQEKLKLEEEIKALKASAAVATMEEREAAPAEAPQEVEGVWQAMAVAAAVGAASTAAFVLIFLRLKR >PVH37702 pep chromosome:PHallii_v3.1:5:3921910:3927355:-1 gene:PAHAL_5G062800 transcript:PVH37702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAERLPIDLSYYKAYCYYRQNKLQEALDLLRGQEEDAAILQLESQILYRLGRMNDCMNSYEKLQKFKIDSMDLKINIIAALVAAGRASEVQTAMKAQKVDLTTRALRDTRSFELAYNSACSLIEDKKYSEAKEQLDLAKRIGKEELMVEDYGEDEIEYELAPVSAQLAYVQQLQGQSQEAMQTYVNMINKKAADTSSLAVATTNLISLKGTKDAADSLKKLDRLIEKSTAPNHLQLVESLDFKLSQRQKEALYSARVLLLLHTNKTDQAHELVSALLGMFRDSVFPVLLQAAVHVKEKKVQKAEEVLSQYSEKHPENSKGVLLALAQIAANANHFQLAADSLSKIPDIQHMPATVATLVALRERLGDSNAAASVLDSAIQWWKNSMTGDNKLDLFTREAAEFKLSHGRDEEACLLYEELVKSHGSTEALAGLVVTSARTNLEKAEQYEKKLKPLPGLKGVNVESLEKTSAARHVEGPQDMTVDVPEEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAQVRGAQGAVSRETAATNASGSSKGSQTTSSSKTPAANTDQPKASNKSRKKKSRS >PAN27164 pep chromosome:PHallii_v3.1:5:3921910:3927358:-1 gene:PAHAL_5G062800 transcript:PAN27164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSKAAAAAAEPVSVEDLFTSLHRHIQASEFEQAAKVADQVIKAAPGDEDAVRCKVVAHIKADEIDKALAAMRAAERLPIDLSYYKAYCYYRQNKLQEALDLLRGQEEDAAILQLESQILYRLGRMNDCMNSYEKLQKFKIDSMDLKINIIAALVAAGRASEVQTAMKAQKVDLTTRALRDTRSFELAYNSACSLIEDKKYSEAKEQLDLAKRIGKEELMVEDYGEDEIEYELAPVSAQLAYVQQLQGQSQEAMQTYVNMINKKAADTSSLAVATTNLISLKGTKDAADSLKKLDRLIEKSTAPNHLQLVESLDFKLSQRQKEALYSARVLLLLHTNKTDQAHELVSALLGMFRDSVFPVLLQAAVHVKEKKVQKAEEVLSQYSEKHPENSKGVLLALAQIAANANHFQLAADSLSKIPDIQHMPATVATLVALRERLGDSNAAASVLDSAIQWWKNSMTGDNKLDLFTREAAEFKLSHGRDEEACLLYEELVKSHGSTEALAGLVVTSARTNLEKAEQYEKKLKPLPGLKGVNVESLEKTSAARHVEGPQDMTVDVPEEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAQVRGAQGAVSRETAATNASGSSKGSQTTSSSKTPAANTDQPKASNKSRKKKSRS >PAN27639 pep chromosome:PHallii_v3.1:5:5829399:5832216:1 gene:PAHAL_5G094200 transcript:PAN27639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSYLLPCSALVAVLVLLFSVGMAEGAIREYQFDVQMTNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVVRVVNHVPYNMSIHWHGIRQLRSGWADGPAYITQCPIQSGQSYVYKFTITGQRGTLWWHAHISWLRATVYGPIVILPKPGVPYPFPAPDKEVPIMFGEWWTADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVQPGKTYMLRIINAALNDELFFSIAGHPLTIVDVDAVYIKPITVETILITPGQTTNVLLTTKPSYPGATYYMLAAPYSTGRPGTFDNTTVAGILEYEDPASPSPAAFNKNLPVLRPTLPQINDTSFVANYTGKLRSLATAEYPADVPREVDRRFFFTVGLGTHPCAVNGTCQGPTNDTRFAASVNNVSFVLPTTALLQSHFTGRSNGVYSPNFPAAPLIPFNYTGTPPNNTNVSNGTKLVVLPYGASVELVMQGTSILGAESHPLHLHGFNFFVVGQGFGNFDPVKDPAKYNLVDPVERNTVGVPAAGWVAIRFRADNPGVWFMHCHLEVHVSWGLKMAWLVLDGDRPNEKLLPPPSDLPKC >PAN28383 pep chromosome:PHallii_v3.1:5:8971098:8975926:1 gene:PAHAL_5G149500 transcript:PAN28383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVARSRLLPRISALSFCAASPAAAAASSSSTSAAAAAASEPSSTSGDPSSQQPPVARKPWGTLKVAAFAAVSAAVGATGYASYAYSLEELDQMTREFRKKSKHPIPEDASGFEKFQAMAYSAAMKAPVATIELYLDVRSQIEDQIRGFSEPVSDKLLPDRPPQEQHVLTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVVDRLDPKGNIRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISAHALESSLQPENSVQIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGSDIAAEFIERSKEHQRRMQEQKHSGRIWRR >PVH38854 pep chromosome:PHallii_v3.1:5:44800449:44802322:-1 gene:PAHAL_5G370400 transcript:PVH38854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIRNLLGRNPLSDALHRNPGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNSHVGATNVGYERVHGGFGYGSRNEGGGSNTLFRKRESHLVTFHKDRRACLDCKVIPGECVVPQHKLVVADFVFGGGKQEVKESWWWNDEVQRAIKEKECFKRLHLDKSATNIEGYRLAKRSAKRAVSVAKGQAFDDLYQRLGTKEGEKDIYRIARTRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTFELDDSFDDTNRCFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEVWRCLGERAVVWLTKLFNLIFRSNKMPEEWRRSRCSKLY >PAN32448 pep chromosome:PHallii_v3.1:5:55900711:55903542:-1 gene:PAHAL_5G487700 transcript:PAN32448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGVVSLLNAVFRRMFTSAGLRPASATVDADDTTIHFWAHPSLLQPSSSSEQQQPPRPVVVLIHGFGPDPTWQWAAQAGPLSRHFDLVVPTLLFFGASATRAPARSDAFQAGALAALLTGGGGASSNLPGLGAGRTVHVVGTSYGGLVAYHLARELHRRGGGVRVGKVALCDSDACKGAEDDRALAARSGVAEVAELLAPADTRALRRLMAVCAHRPVKYIPECLLRDMLRKYFGDKREDKIALIKGITTGEGFQLAPLPQEVLIIWGEFDQIFPVEKAHKMKEKLGEKATVRVIPNTGHLPQQEDPKLFNQTLLDFLLHPSASNGSAAAK >PAN29587 pep chromosome:PHallii_v3.1:5:14757958:14760109:-1 gene:PAHAL_5G235600 transcript:PAN29587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHGASPAALRWSSLICALGALAALWCGWRRWSGSGSARAQGLPGTVYSFPSGDMKEFGRLAAAACSRRPGPCRLRRTPSLPERFPSTTASPGSTVMSP >PAN27771 pep chromosome:PHallii_v3.1:5:6402093:6403993:1 gene:PAHAL_5G105700 transcript:PAN27771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGPGPSSAVGGGGGGGDAPAVAPQQRPAQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHAAGCAYYGQPAPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGTPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRAQRQAAEPSSSSSAAAAGGSGSIGRAAAATAAAHQAGGGSAAPSNT >PVH38706 pep chromosome:PHallii_v3.1:5:33628478:33629068:-1 gene:PAHAL_5G331300 transcript:PVH38706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRMEREAQVTGGAREGGAQEGGGARDGGEAREGRPRAGRSAGGGRAGGRRGAGGGAAGGTGGGARDGGGAREGRPRAGRAAGRGRAGGRRGAGGGAAGGTGGAAREGRRRAGGGAAGGGAREEGPRAEGRGRRGAGRRGRGRRGAGRTRQRAEGLGRRGTGRRRQRAEGRGLLRQRGAGGGRRRRRWRLQLGRG >PVH39052 pep chromosome:PHallii_v3.1:5:52000503:52003114:-1 gene:PAHAL_5G424900 transcript:PVH39052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSLQAKENELSKSIDSCNVEAGVVKTWVNFLEDTWQLQSSYNEQKENKTIDELERCTTNFLKLTKRHLSNFKDVLSPSIDRIRTYVDNLAVLNSREESIEHGADDEISEKTSPQKSLEEEYLETEKKIVIAFNIVDRMKKMFYSEQGPNSRQDDEEIRNLFSEIEKLRSGTYIMLFHCVQRSMDVVYSLQGRWMLYTHFVLIMYMST >PAN27516 pep chromosome:PHallii_v3.1:5:5335497:5338049:-1 gene:PAHAL_5G086100 transcript:PAN27516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMVSTMPMVDAAPAVSAIMPKLQAACLDAPASGITVVSRQHVRPDAASAIGDLTLSVSDLPMLSCHYIQKGLFFPAPDLPMSSLVSLLASSLARALAAVPALAGRLVTLPDDRIVIRCNDAGVDFLHAVAPGLSLDDFLVPDADVPTKLTKDLFPMDRTVSYEGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAAICRGESPKLLDFRRNFFGDSTAVLRFPSGVGPAVTFDVDAPLRERVFHFSADAIREMKAIANRRPSGGHDAEVYGKMAHDPKNPSEISSFQSLCAQIWLAVTRARKRLAPDATTTFRMAVNCRHRLRPAISPVYFGNAIQSAVTTASVSELARHDLRWAAGKLNASLAAYGDGAIRRAAAAWQAKPGCFPLGNPDGSVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPGRAGDGSVDIEVCLPPETMAALLRDAEFMQYVSCPSHLL >PAN28031 pep chromosome:PHallii_v3.1:5:7541790:7547203:1 gene:PAHAL_5G125900 transcript:PAN28031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRRLPSPSSKPRDHVAAPGSDAATAAGGGAGEGRGGRPPLPSGGAAKRRLTEPKPQRGLEDDSDAEDGGGADGDSESSQSDGGGYDEFMLVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFNEQEKTRNKKIQETIEETFRRQSEAIGKKRSTTKATDTAFARKYRRNMRTRGRGRTITPDIAPIGSDDEDREEESANEVTKEPSSADDHSPDLRQKKCRKRSASQPSPARTIGSSDHSFEENDEVIGGKEILATSPLRGEMLAWGKNGTRSQNRHGSASSNVRMGRSGRIAKLVDHLRTTDEMDKEFPLYLVLLPIDGQSIPNLEKPYLSCRPTLSIQHLVKFIAHQLSRKIEELEMYIRMDRHNGSVGSKASSTVESKSHPFDDLERLSGDKLLSDLHPSFASSNGDLELLYALKTQD >PAN30475 pep chromosome:PHallii_v3.1:5:20341447:20345170:1 gene:PAHAL_5G284600 transcript:PAN30475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADHALPLAPAPSSSAAVSMHCAAGYCVGFSCALAALGAQGRVAGGCDLGAGRRGCRWSRARELALREKVAALERQVEELRHRRADDAKANEKVAGIFASHEQRWFTERKSLRRQVHAVVAAARAREAKREEEAAELRRQLEEQRDAAALKDTALEQEIQRREGAEERLRAAEQAAEELRERAGKEAAEHAAELRKHKAAFVELASAQRQLEADLARAARLADTAEAELREALERRDEAASAAADLSAEAARLRRDADHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEAERWRKMWESRGHRRGSSRSSARCAADQPQPAGCSDKLLAPDAAAHETSDTKILFVDHVEGDGKKYHRQATAKELTTIECVDRYASHVDDKPAVEEYQGLQEWFQMETEKYTAMIKHRHATEIEAFTEQLRLKDEKLEAFRWRAVSMDVEATRLRSRIQELEARLAQHEKHSAGVEVLLLDRENENRALKEQLKTLQAQALGVEICTAAGDQDDADDRCIPCSPVKIHRTLWAEADRLSSGSWRHQETKLDGPASPDDHKEKAFDVEAAEALVVPVRDLACAAAATSTEHDAPARQSFRSEIEEEKEVYTDPGNAQTQASTSSSQEVTSELALVAVPPDQKTNSAWKTDIHALAVSYKIKRLKQQLLVLEKLAAESREEAAAAKPSGSEASCSSNSRQHPRTRYQTMMSFLSKHVKRYQSLDDKIDDLCARMGESKRSVGREERRHGGGEQSAALGQFLEETFQLQRFMVATGQKLLETQSRIAPGLSRGGGGGDGVDMKRLMDVAGALLRDVQRGLEVRIARIIGDLEGTLTFHGILRTSR >PVH38274 pep chromosome:PHallii_v3.1:5:13228036:13229031:-1 gene:PAHAL_5G216500 transcript:PVH38274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATGTTVRRSLLVPLFALQAPSSTVSLIKGDYGRWIAFVGILLRLFYSIPGELELPLSTVLLVMTAPYQFMDSRYESSCLLVILVLCLYMSVSCRLFFTG >PAN29322 pep chromosome:PHallii_v3.1:5:13226884:13229031:-1 gene:PAHAL_5G216500 transcript:PAN29322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATGTTVRRSLLVPLFALQAPSSTVSLIKGDYGRWIAFVGILLRLFYSIPGELELPLSTVLLVMTAPYQFMDSRESQGGAILSAAIAIYLTFQHFNGVGSLRRAFRRESIIATVSIICLIFFLQCPY >PAN30671 pep chromosome:PHallii_v3.1:5:37237173:37237810:-1 gene:PAHAL_5G341600 transcript:PAN30671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTKLASQRAVVIFSMSSCCMCHTVTRLFRELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLVGSTDKVMSLHLGGNLVPLLRNAGALWV >PAN32878 pep chromosome:PHallii_v3.1:5:57964442:57967099:-1 gene:PAHAL_5G518700 transcript:PAN32878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPAMAPAWPEFGGGHHHHHHQRSPSVGTFLAAPMPPLPPPQQHQQQPSWVEEFLDFTAAKRGAHRRTVSDSVAFLEPGPDDGNAGVGAHDFDRLDDDQLLSMFSDDLPPPPQQQAAPAPAPVASSSSPSDHNSINDEKTDRGETEEAQSNCHGDAAAVPGQPASAAAVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSTLSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKNAESSPTADAAPIRGDKDLIASEGTAAPCPPPS >PVH37727 pep chromosome:PHallii_v3.1:5:4380353:4388535:-1 gene:PAHAL_5G071000 transcript:PVH37727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRTPPQRKRRADSEADADADLVAVMSGAGASAAGRSPVSDRRLVLYDRPTALVPAGAPGEPFDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYQTKIGGLEEQLSKSEDERMQFLDKLNYVEQELAATKGRESALQERLVKELADYQERYHNQVKKINELEVQLNKETDSRISAESSASSAKELIKDLEGNLQRSSESSEREKKTLKKELSYMKEDLTLSASKLNAELEKTRLKAENYESEAKLLNEQLVDLKKQLDECLREKNEMELKLLNSSALPDQRAPTDDQKLIKLLQEELRNYEKEVHEARRLKSSHTNVELLKEKLLEEQGRRERAEQESSKLQEIEAKAYKLELELASCTELLSNIPDVSSYADIPQKFADLQKQALTNLNKVGEVTSRLKELEVALEFSDLSKQHAEGEATLAKERAESAAKEVKRLELMLAAISEERDKLRKEHAMESDQSGMEKMIRELESTMHEQKELISHKDAELNMMNERLSLEARKAKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDSEAKQTIEALQAELKKTKERLQAVEELKGQADAGTVVDANFAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQSDDEKLEFDYESGSTNIVVNDYTSQQEIAQQVDVFIRRMNSIPAFTANLTIESFNKRSIC >PAN26361 pep chromosome:PHallii_v3.1:5:308089:309640:-1 gene:PAHAL_5G005000 transcript:PAN26361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMARRGRSLAAAGCNLVLVALLVCAGVASAAGQAGGTPQPRPSGRLPPRNSKFVTLTPKAFGHKRNYQVSCSDEGGPDCYVGCPKECPNKCLVFCAYCLSFCMCDIFPGTSCGDPRFTGGDGNTFYFHGKKDQNFCIVSDADLHINAHFIGNHNPDLKRDFTWVQALGITFGAGHRLYIGARRAVEWDEDEDHVQITFDAEPVDIDAVKKARWVSKALPGLSVMRMDTVNTVMVELDGVFSISANAVPITDKDNRIHKYGRTEGDSLVHLDLGFQFHNLTKDVDGVLGQTYRPGYVSKVDIKAKMPIMGGAPKYLSKDGLFSTDCAVSRFRRTAGNGVTTFAY >PAN27578 pep chromosome:PHallii_v3.1:5:5503190:5507976:-1 gene:PAHAL_5G089500 transcript:PAN27578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIAREATKLWRKVSAETTAELQLLLEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQEKGYLSESVFTFIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNNALEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYYKYGSNRLIKLLAWLMAIIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKKLPEMPDRTNGLPLLPVSSKDKDGRTKEELHKLDGRMRDEFHKLLNGNTVDATDRRQRLQMNGKHGEDMSHSVSDATPTGT >PAN27580 pep chromosome:PHallii_v3.1:5:5503676:5505633:-1 gene:PAHAL_5G089500 transcript:PAN27580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPELGQEKGYLSESVFTFIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNNALEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYYKYGSNRLIKLLAWLMAIIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKKLPEMPDRTNGLPLLPVSSKDKDGRTKEELHKLDGRMRDEFHKLLNGNTVDATDRRQRLQMNGKHGEDMSHSVSDATPTGT >PVH39017 pep chromosome:PHallii_v3.1:5:51562550:51563533:-1 gene:PAHAL_5G419200 transcript:PVH39017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASLFGFKEQRVAVFPGFKALNMPYKIGGGARQAAFYMLLLLPDGEALGIDDLYDKAVSTPGFIRKHTPSDEVPVGRFMVPKFKFTFEFEASVDMQELGVTRAFGGGDFSGMVAGGNGLSISGVYHKATIEVDELGTVAAAATAVCIQECARAPRPPVDFVADRPFLFAIVERRSGVVLFLGHVVNPLAE >PAN29326 pep chromosome:PHallii_v3.1:5:13243428:13248964:-1 gene:PAHAL_5G216800 transcript:PAN29326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRPLSSVPRAPQSRASPGPGRGPRPPPCALPFPDALRAAAAAAAVSLSLLTGDAVGAVVAQPVEVCQDGGAAVVEEVRGEAVTNEQLVEEAWEVVNESFLPDAGSHPWSPEMWMQRKQDILQGTIKSRARAHDIIQKMLASLGDPYTRFLSPSEFSKMSKYDMTGIGLNLREIPDDNGSIKLMVLGLLLDGPAYSAGVRQGDELLSVNGIDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQLVARTPVLYRLEKRENDDYSVGYIRIKEFNAVAKKDLVSALKRLQNSGATYFVLDLRDNLGGLVQAGIETAKLFLNKGDTVIYTAGRDRQVQNTIVGESGPMISTPLMLLVNNRTASASEIVASALHDNCKAVLVGERTFGKGLIQSVFELHDGSGIVVTIGKYVTPNHKDINGNGIEPDYNRLPDFNEARDYLSRCRLKELS >PAN27051 pep chromosome:PHallii_v3.1:5:3531074:3536947:1 gene:PAHAL_5G055800 transcript:PAN27051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATLNWVKTPFDSRRFHDLSSLSFRCRNPFGSIQPCWLPTNQDSTLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNQPHGHVAWSEFQYVIDDYGDIFFEVPDSENLLEDDTANNPVTVLIGMDGPIIGESSVAISDFSDYMDGENFMGVPDEHYSKIDTEITDILIEWGMPATMRAIHPVYFAKCLTKAVHENHGEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECKSDSYSSDWKVNETDEYNRETHSISGTDRLVDDDKSRFDFSDTRTSTDSMIYKLEIMTIELFSMYGKQLMIDPQDFQDSEPDILANSASAIIERIKENSDQCAVALRSLCRRKKSLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRCQRKSVKTSTEDES >PVH37668 pep chromosome:PHallii_v3.1:5:3531074:3536947:1 gene:PAHAL_5G055800 transcript:PVH37668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATLNWVKTPFDSRRFHDLSSLSFRCRNPFGSIQPCWLPTNQDSTLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNQPHGHVAWSEFQYVIDDYGDIFFEVPDSENLLEDDTANNPVTVLIGMDGPIIGESSVAISDFSDYMDGENFMGVPDEHYSKIDTEITDILIEWGMPATMRAIHPVYFAKCLTKAVHENHGEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECKSDSYSSDWKDEYNRETHSISGTDRLVDDDKSRFDFSDTRTSTDSMIYKLEIMTIELFSMYGKQLMIDPQDFQDSEPDILANSASAIIERIKENSDQCAVALRSLCRRKKSLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRCQRKSVKTSTEDES >PVH37666 pep chromosome:PHallii_v3.1:5:3530762:3536968:1 gene:PAHAL_5G055800 transcript:PVH37666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATLNWVKTPFDSRRFHDLSSLSFRCRNPFGSIQPCWLPTNQDSTLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNQPHGHVAWSEFQYVIDDYGDIFFEVPDSENLLEDDTANNPVTVLIGMDGPIIGESSVAISDFSDYMDGENFMGVPDEHYSKIDTEITDILIEWGMPATMRAIHPVYFAKCLTKAVHENHGEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECKSDSYSSDWKDEYNRETHSISGTDRLVDDDKSRFDFSDTRTSTDSMIYKLEIMTIELFSMYGKQLMIDPQDFQDSEPDILANSASAIIERIKENSDQCAVALRSLCRRKKSLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRCQRKSVKTSTEDES >PVH37667 pep chromosome:PHallii_v3.1:5:3530762:3536968:1 gene:PAHAL_5G055800 transcript:PVH37667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATLNWVKTPFDSRRFHDLSSLSFRCRNPFGSIQPCWLPTNQDSTLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNQPHGHVAWSEFQYVIDDYGDIFFEVPDSENLLEDDTANNPVTVLIGMDGPIIGESSVAISDFSDYMDGENFMGVPDEHYSKIDTEITDILIEWGMPATMRAIHPVYFAKCLTKAVHENHGEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECKSDSYSSDWKVNETDEYNRETHSISGTDRLVDDDKSRFDFSDTRTSTDSMIYKLEIMTIELFSMYGKQLMIDPQDFQDSEPDILANSASAIIERIKENSDQCAVALRSLCRRKKSLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRCQRKSVKTSTEDES >PVH38592 pep chromosome:PHallii_v3.1:5:22284451:22285271:1 gene:PAHAL_5G296200 transcript:PVH38592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVLSHSPKLVHGGWVLMRGQGSNQWREAEITGMRREGEPRRVAHLASNGQDSRTGAVSYPIGMSSFSTFKAGIQLFGGRPSPVSFQDLLMRASNGVLNPSVCNEALNITTSSTSKDDACIQRKDVQILSIQFVATEMLE >PAN32715 pep chromosome:PHallii_v3.1:5:57149132:57150608:1 gene:PAHAL_5G506900 transcript:PAN32715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCAADMEAGHQAAEGGALAVVASVAPAAGGGRGGGAGGGREPEGLPCPRCESVNTKFCYYNNYNLSQPRYFCRACRRYWTRGGALRNVPVGGGTRKATPATRRKRPASSPPEPAPPAAGVALPPLTMSGPHGALLRQYGMPFPAPAMASPLAAVDPDRRLLDLGGSFSSLIAAAPGPDVVGGHFSAGFLVGGLAPAMVHSPAGAAPAATLPPPPPPQQQVSQALPEGLLWSMGWPDLSI >PVH39328 pep chromosome:PHallii_v3.1:5:55538815:55540068:1 gene:PAHAL_5G480100 transcript:PVH39328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAESSRAREEAAEREARQQHPWVALALIPLVYGADDAGAEAMAPGIADLMLHSEAPPRASYLVLPDRLAPDARRRDNHGLCIVGSAPARLLVRASHQGEGRDDADIAEYLLCDTITRTGTRLPALPPEFPIKPFPRRTMGLVADPRCPGHHMVVRLHPTPSTCFRRHAALLCYSTATGRWALKPLASAPNHEPWDEHGVFAHDGLLWWVDVAYGLLACDPFDNYPRLRFVPLPAGCEMHGLGDRPRPTARLMDQRRLIRPSQGMLRYVEIQGLSYDRAAVDDAWINPTVSMWTLVDPEGPHPWRFECEASFADIWAHDSYVAAGLPQGKVPKLALVDPNNHDVVYFFQDKVLFALHVRAGRVLACQECLVDCQVQNLEFQYSRFVQAWEWELPPKFPGEDPSSSDGTHIDQLF >PAN31250 pep chromosome:PHallii_v3.1:5:50444445:50449856:1 gene:PAHAL_5G407400 transcript:PAN31250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRRRSLPLRFLLIIIPVPLFLVFLLHHRSTHPTTSLLRTADSGPSPDPRRFSLLIKLLAYDRPTALRRCLRSLAAADYDGDRVALHVLLDHRPPNSSLSSLAASHEILDFVDAFPWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLQVSPLYYRFLKRVVMKYYYDRENYSPYVFGASLQRPRFVAGKHGNKIQLDSETRLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKAKGLKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSVSHRDAGVNYGKSVGPDSTLLDGKKLDFNLWELQHLKKLRWYDFCFDEVLPGRVVRKVSELVSMLKSVQLKSTVVLISLYSVEERFARNLICHLDKADMQNYIFLGDDSEFLDDIAHRGYPVINGIGFLQSIKMSGFVGSDDFAKQTLVKSHVIKACLDLGYNLWLLNGNLISLGTKLIEPSDQSADFFTADVGLVFIRSSLESKKAWSELTMSRVQTMCTSSDFSASLKQKSFVHILTEVLASSARARLGKLDEGIRVIELGPNTSSKSISEGQSNVLFWSHSMASDSVQKQLENMDLWLIDSDSSCSAVVCHQKQR >PAN31249 pep chromosome:PHallii_v3.1:5:50444445:50449857:1 gene:PAHAL_5G407400 transcript:PAN31249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRRRSLPLRFLLIIIPVPLFLVFLLHHRSTHPTTSLLRTADSGPSPDPRRFSLLIKLLAYDRPTALRRCLRSLAAADYDGDRVALHVLLDHRPPNSSLSSLAASHEILDFVDAFPWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLQVSPLYYRFLKRVVMKYYYDRENYSPYVFGASLQRPRFVAGKHGNKIQLDSETRLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKAKGLKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSVSHRDAGVNYGKSVGPDSTLLDGKKLDFNLWELQHLKKLRWYDFCFDEVLPGRVVRKVSELVSMLKSVQLKSTVVLISLYSVEERFARNLICHLDKADMQNYIFLGDDSEFLDDIAHRGYPVINGIGFLQSIKMSGFVGSDDFAKQTLVKSHVIKACLDLGYNLWLLNGNLISLGTKLIEPSDQSADFFTADVGLVFIRSSLESKKAWSELTMSRVQTMCTSSDFSASLKQKSFVHILTEVLASSARARLGKLDEGIRVIELGPNTSSKSISEGQSNVLFWSHSMASDSVQKQLENMDLWLIDSDSSCSAVVCHQKQR >PAN30019 pep chromosome:PHallii_v3.1:5:17286933:17288175:-1 gene:PAHAL_5G267400 transcript:PAN30019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEGELTFAATPQQRTSPRAAAAEAAAPSWADVPDNILVRVSAFLRCRAVRGVGRPLPPLPPLLPWLIFPNTETPTFYSPITRRYHRLRLLPHDVRAARFCGSGDGGWLVLAFGSRNGYALYNLDNGERIPLPPGFRTARNAQFPLVVRAATLSGAPSPDTPYMVAAIVLAASGNIAAFWSPGSESWFSPGGLRRMRPQDVIFFNGGFISVGANEGVITFWPVHGPQGNVSFALVDYDMQLREDYAEDLVFVQGHGMMNRYLVGSRGRLLMVVRYIYDDGTLTLRVFGLLVTAPATADVPRPRATWVNLGQELDGQMLFLGRGCSRSFEVADYEGFQDSMIYYLDEEHMVSGPLHGDRRLYSFTDTGRYDMDEVATAPWPEGLYPTTSDNAPPTWWLH >PAN31103 pep chromosome:PHallii_v3.1:5:49436743:49444379:-1 gene:PAHAL_5G397200 transcript:PAN31103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYSIHPGISRAGGAASEASVAGVGGPAPSDLTELMKAQIASHPRYPSLLSAYIECRKVGAPPQVASLLEEVNRERRGSPGAGAGKIGVDPELDEFMDSYCRVLVRYKEELSRPFDEAASFLSSIQAQLSNLCSGGSSPAATTATHSDDMMGSSEDEQCSGDTDVPDIGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARTVLLEWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVAGGSSGTTLYFDTGTIGP >PAN31928 pep chromosome:PHallii_v3.1:5:53688472:53695554:1 gene:PAHAL_5G450900 transcript:PAN31928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNGHHKPRGEYNGTGCNGTVGSPNNFGDVDPLTEWFYKPRTISLLLMGTGFLIWESGVLDPERSLSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVNEIIFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGKTYVWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMAVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPFKKVGSFCWLSLAICIVELLICIKFGHGLFPKSMPSWLITFWTGVALLLVLFLLVWTFKIYRTMIRKRL >PAN31929 pep chromosome:PHallii_v3.1:5:53688450:53695554:1 gene:PAHAL_5G450900 transcript:PAN31929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNGHHKPRGEYNGTGCNGTVGSPNNFGDVDPLTEWFYKPRTISLLLMGTGFLIWESGVLDPERSLSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVNEIIFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGKTYVWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMAVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPFKKVGSFCWLSLAICIVELLICIKFGHGLFPKSMPSWLITFWTGVALLLVLFLLVWTFKIYRTMIRKRL >PAN26912 pep chromosome:PHallii_v3.1:5:2965330:2966432:-1 gene:PAHAL_5G046900 transcript:PAN26912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLSISSSHGPASSPAISTCRPGACRRFPALLGGSAASQKRSLTVMTGSEMRGVTSVRSSSLETTSVGAEAVTGQVTEVSKDTFWPIVKAAGDKVVVLDMYTQWCGPCKVMAPKFQEMSEKNLDVVFLKLDCNQDNKPLAKELGIKVVPTFKILKDGKVVKEVTGAKIDELAHAIETVKSS >PVH38634 pep chromosome:PHallii_v3.1:5:25966189:25966540:-1 gene:PAHAL_5G309300 transcript:PVH38634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLAAALPAHATSAPISAAPLPFHARTYDAQQQRSTMGALIASMLVSSGHTLASAIAQARGTPLCSCRDMSESCAQAG >PAN29635 pep chromosome:PHallii_v3.1:5:15088532:15092110:1 gene:PAHAL_5G239800 transcript:PAN29635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRQLGEAVGSISALMAFESELLVNPRQCRLLADACAAAFAAVTGEVRASLRFEERGAKWRAVQAPLRELHRAFRDAEGYVRQCLDPRGGGGWWARAAAVAHGTECVEQHLHAILWCVAVAVEAVEAAAEIAGSDADEIARRRTLLAKKYDRDMVEPRLFQSAYGKLYLVSQELVARMDMAWKEDRWLLSQLLDEMKSPAAPKPLTKTEQRLADVLTAPRGKLHPASILLSGDYNVRRRLGGRLKEAQWMGESFAVKHFIGDAAAEVAMLSSVAHPNVAHAAHCFRDEDRKEYFVVMDQLMAKDLGSYVKEMSCPRRRIPFPLVVAVDIMLQIARGMEYLHAKKIYHGELNPSNVLVKPRQPEGYVHVKVAGFERSGTVTAGAKAAANGNANANATGGGDNTCIWHAPEVLEKESGDPAARRTEKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNIRAGERPLFPFQAPKYLVALTKRCWHADPAQRPTFASVCRVLRYVKRFLVMNPDQQQGQADAPPAAPPADYLDIEAQLLRRIPAWQRGEGTAARVADVPFQMFAYRAVEREKIPGAHAGRDRAWDSGSEGNSLCGEENGGGATTPDDASTVSGGTVRSRPDSSDGKKTPVTKADGKAQPRQTGSQQKVKPASAVKPPLTARKTLGVKPDVPARRPTSGHASD >PVH38596 pep chromosome:PHallii_v3.1:5:22565069:22565824:1 gene:PAHAL_5G297700 transcript:PVH38596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEVDVVGYRLVDTIETAALEAIHILCNQHPMEVAGHPIGLFPAIDSSDPEWNFRIAHYGHMLGDSAEETLRGTIRFMNVQHHYQILLRRGMGQLTGIAQGHYRNADRQKEEIIAAREETILHREDQINESDAIITQRNTIIEFLQEQIHDLILEVDDAHAHIDELQQQPVPPAVPVVPEGGEEDPEEIEGVSDLDSEHGDPEPNPQQDHSSSGSQSSVGNLDDF >PVH39374 pep chromosome:PHallii_v3.1:5:55853783:55858440:-1 gene:PAHAL_5G486800 transcript:PVH39374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRMPTKKSHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLKLIEDEGDSFAKKAEMYYQRRPLLVTHVENFYRMYRALAERYDNVTGELRKNLPSSLQSQGSGISETDSETQSISPSPEPNMEQKTPKQKRKTRAVGFDVFLGSGGSSDISKKGSDGSSSSSSDSDSEVDEGSEENGNGISYIMNGRINELEEELQEARQQIEALEEKNMHCQCEKLEESLKQVSSEKEDLVAAVLANKNNIEGLKGDLAKVTGEKLQLEAQVKELEQASQSLDDSSAEIMKLQEIIKDLQARLQNDSNEKSILEERALEFEQVHKQLEDSRTEVRELQATINNLKDDLGKSLQEKALLQDRVKDLEQASCDLNASVASLEGKLTATEAQLEQLRAEKAEASLKSEEQISELNETIADLKKKLDLLSSEKSAVDNKMSILLIDVTTRDEKLKEMDSHLHQLHLEHVKLLEEADVARKAVSDLRARVCELEEEVEKQKLMISDSAEGKREAIRQLCFSLDHYRHGYEQLRQLLQGHKRPMVMAS >PAN32427 pep chromosome:PHallii_v3.1:5:55853680:55858453:-1 gene:PAHAL_5G486800 transcript:PAN32427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMHRMPTKKSHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLKLIEDEGDSFAKKAEMYYQRRPLLVTHVENFYRMYRALAERYDNVTGELRKNLPSSLQSQGSGISETDSETQSISPSPEPNMEQKTPKQKRKTRAVGFDVFLGSGGSSDISKKGSDGSSSSSSDSDSEVDEGSEENGNGISYIMNGRINELEEELQEARQQIEALEEKNMHCQCEKLEESLKQVSSEKEDLVAAVLANKNNIEGLKGDLAKVTGEKLQLEAQVKELEQASQSLDDSSAEIMKLQEIIKDLQARLQNDSNEKSILEERALEFEQVHKQLEDSRTEVRELQATINNLKDDLGKSLQEKALLQDRVKDLEQASCDLNASVASLEGKLTATEAQLEQLRAEKAEASLKSEEQISELNETIADLKKKLDLLSSEKSAVDNKMSILLIDVTTRDEKLKEMDSHLHQLHLEHVKLLEEADVARKAVSDLRARVCELEEEVEKQKLMISDSAEGKREAIRQLCFSLDHYRHGYEQLRQLLQGHKRPMVMAS >PAN29110 pep chromosome:PHallii_v3.1:5:12058850:12062220:-1 gene:PAHAL_5G199000 transcript:PAN29110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCMSRRRKTRADRRGQPIHPPPARRFRLLPAPLSLSLSLSLSLAITEHSPSIDSSPPPPAPAATARPSTTTINIMQQQQQTTTTTTTQLQTTTPRRMRQQEEAAAKATEDSRRFLFDAGKPPPFRIGDVRAAVPPHCWRKSPLRSLSYVARDVAVVAVLAMAAAALDSWALWPIYWAAQGTMFWALFVLGHDCGHGSFSDSATLNSAVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKLYRQLEPRTKKLRFTVPFPLLAFPVYLWYRSPGKNGSHFLPSSDLFSPKERADVMLSTTCWCIMLASLLAMACAFGPAQVIKLYGVPYLVFVMWLDLVTYLHHHGSHERLPWYRGEEWSYLRGGLTTVDRDYGWINKIHHDIGTHVIHHLFPQIPHYHLVEATEAARPVLGRYYREPQKSAGPLPLHLFGVLLRSLRVDHFVSDHGDVVYYQTDHTLNTQDQKQK >PVH39087 pep chromosome:PHallii_v3.1:5:52330174:52331584:1 gene:PAHAL_5G429900 transcript:PVH39087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSTEGCAKSITFKRNNKSDAVQLHDLPTDILGSILSRLTFRESSGMGLVSHIWRRLWRSCCWKLVFTRATMFQPRNKSIKRTRTNFAMRVDSFLRQLHTHSPLDKFVIKFGLRRKHTCHVNRWIRFCSASRARHITIDFTPGVKGFAMGLANSKYIFPLNVFSGPDGSSTHVRFLHLGYVCLDTTSSGFMAFANLKKLTLHKISFLGGLQCLMLPEFNSLEWLSISYCSLPGLSTCQPLQRLRCVRLHYCYLKKIELEAPNLTSFDLTNPPIPFVLGASLKVMEANIKLLSYDDNLDYIYTELPAALSHVHKLSITSPLCIFDELQGFAKISARFINLRHLTMYLPLYGDLESIDGILRLAYLLELAPALEELELHVSGLLTL >PVH39086 pep chromosome:PHallii_v3.1:5:52330174:52334338:1 gene:PAHAL_5G429900 transcript:PVH39086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSTEGCAKSITFKRNNKSDAVQLHDLPTDILGSILSRLTFRESSGMGLVSHIWRRLWRSCCWKLVFTRATMFQPRNKSIKRTRTNFAMRVDSFLRQLHTHSPLDKFVIKFGLRRKHTCHVNRWIRFCSASRARHITIDFTPGVKGFAMGLANSKYIFPLNVFSGPDGSSTHVRFLHLGYVCLDTTSSGFMAFANLKKLTLHKISFLGGLQCLMLPEFNSLEWLSISYCSLPGLSTCQPLQRLRCVRLHYCYLKKIELEAPNLTSFDLTNPPIPFVLGASLKVMEANIKLLSYDDNLDYIYTELPAALSHVHKLSITSPLCIFDELQGFAKISARFINLRHLTMYLPLYGDLESIDGILRLAYLLELAPALEELELHANGGDVSVGWALRRNMLPYPHNKLKRVLISGAFEWEGLMELAYYILRSANRLECMILDPMRRIGGPPLDGWMVDKGWEMIKEFFEGEEFRSILTIL >PAN28541 pep chromosome:PHallii_v3.1:5:9665462:9674063:1 gene:PAHAL_5G160700 transcript:PAN28541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSEVSPSKRYPLRSAHGSGRVLRSASAKDNKACNEPPNASAAAQSAVSKRRNGSPSVRPNNSVRVLRSASKNKDDACSEPLNDWTTAKPAANERKSASPSHMGSTTNTVRVLRSALKSKDGVCSEPITNRTAGEPAANKRKNVSPSKLRSPSNSVRVLLSASKYKEETYTEPLNDNTAVDPGTNRRKIASPSKVGSPISPNNTVRVLRSALKSKNEACSEPLNDSTVGQPTVKRRKTGSPLKVASPVSSARVLRSTSERKNDACNEPLNDSTAAQPAAGKGKGETLLKTDSPNIDVRVLRSASGNKNEACSEPVNDSTSAQKAVRKRKGCRPLNDRSPKKEYVKICQRVRYILNRMNYQQSFIQAYASEGWKGQSLEKIRPEKELERAKEEILQCKLRIREAFRNMDAILSEGKLEESLFDSAGQISSEDIFCGICGSKDVTLQNDIILCDGACDRGFHQNCLKPPLLTEDIPPGDEGWLCPACVCKADSIDALNELQGNKLSIHDSWEKVFPEAASPANGSKQVGSSDLPPDRIEDNDYNPPLAEGHMNQDRFSAEDDGKVDDLGLPSEDSEDDDFDPAGPDSSEDPKNELNSEESDFTSDSDDFCAEIAKSCGQDEVSASPLLDVINRTDRMKTKAVGNHSNEETSNRAFADMELDQGMVLPISSRRQVERLDYKKLYDEAYGKESSGSSDDEEWSGKELLEGSETDSHGEQLHPAKRCSRRAPAGQQNNEHTPQRERLHGSESEQQTKVFRSNGSSSTGRKFGPIVTQKLKVHFEEDPYPSHATKENLAQELGLTFNQVCRWFSSTRHYSRVASAKKEKHPDNHTSENNDSTNVHSMQTREPSAGVMEKLTLDRNDIVPEKPMVQNNLNQCNKKDMPLSGTEIEMESYGQESSDSSDEEWSAFSTPRKARLQDNETASPAESLHPAKRCSRRAPDRGQNNEHTPQSEQRHGSASEQQTDVLCSNSSSSKASKYHFGPIVNQKLKAHFEKDPYPSRSTKESLAQELGLTFNQVSKWFSAIRHYSRGAAAKNKKHPGKNTTENDNSTTFDGVQIREGLVEKTSTDINDMISEKLMVQINLNEGIEEDIPPSQYTRCEERATMTPTTISRDVGPPGYGPGENFLQVGSRNTCEQNVITTPTPILRVDPPGYVRGENQGNDAPWNTSCEQSMFMSSATISREVGPPGYGPEQNQGVSMSSTTISREAGPPGYGSGENQGSGTSWNTSCEQGMFTSPAIISREVGPPGYGPGENQGNGTSPNTSCELRMFTSPMAISREVSLPGYEPGENQNNGTSWNMSYKKGVFMSPTAISREVGPPGFGPGENQGSSTSWNMRCEQRMYTIPTAISREARPPGYGGENQGNGASWSMSYEHGVFSSPTAISREIGPPGYGPGENQGNTTSWVTSRKQRMFTSPTTVSSEGGLPGYSIVENQGTGGSRNIDLEQRVFVTPATISREVFPPGYNLEQRVAATPATISREVFPPGYGPGENQGSGASGSVRIPQGRSAEKVGFSDEARKKAIQRELRRRQKFR >PVH38046 pep chromosome:PHallii_v3.1:5:9665722:9674063:1 gene:PAHAL_5G160700 transcript:PVH38046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSEVSPSKRYPLRSAHGSGRVLRSASAKDNKACNEPPNASAAAQSAVSKRRNGSPSVRPNNSVRVLRSASKNKDDACSEPLNDWTTAKPAANERKSASPSHMGSTTNTVRVLRSALKSKDGVCSEPITNRTAGEPAANKRKNVSPSKLRSPSNSVRVLLSASKYKEETYTEPLNDNTAVDPGTNRRKIASPSKVGSPISPNNTVRVLRSALKSKNEACSEPLNDSTVGQPTVKRRKTGSPLKVASPVSSARVLRSTSERKNDACNEPLNDSTAAQPAAGKGKGETLLKTDSPNIDVRVLRSASGNKNEACSEPVNDSTSAQKAVRKRKGCRPLNDRSPKKEYVKICQRVRYILNRMNYQQSFIQAYASEGWKGQSLEKIRPEKELERAKEEILQCKLRIREAFRNMDAILSEGKLEESLFDSAGQISSEDIFCGICGSKDVTLQNDIILCDGACDRGFHQNCLKPPLLTEDIPPGDEGWLCPACVCKADSIDALNELQGNKLSIHDSWEKVFPEAASPANGSKQVGSSDLPPDRIEDNDYNPPLAEGHMNQDRFSAEDDGKVDDLGLPSEDSEDDDFDPAGPDSSEDPKNELNSEESDFTSDSDDFCAEIAKSCGQDEVSASPLLDVINRTDRMKTKAVGNHSNEETSNRAFADMELDQGMVLPISSRRQVERLDYKKLYDEAYGKESSGSSDDEEWSGKELLEGSETDSHGEQLHPAKRCSRRAPAGQQNNEHTPQRERLHGSESEQQTKVFRSNGSSSTGRKFGPIVTQKLKVHFEEDPYPSHATKENLAQELGLTFNQVCRWFSSTRHYSRVASAKKEKHPDNHTSENNDSTNVHSMQTREPSAGVMEKLTLDRNDIVPEKPMVQNNLNQCNKKDMPLSGTEIEMESYGQESSDSSDEEWSAFSTPRKARLQDNETASPAESLHPAKRCSRRAPDRGQNNEHTPQSEQRHGSASEQQTDVLCSNSSSSKASKYHFGPIVNQKLKAHFEKDPYPSRSTKESLAQELGLTFNQVSKWFSAIRHYSRGAAAKNKKHPGKNTTENDNSTTFDGVQIREGLVEKTSTDINDMISEKLMVQINLNEGIEEDIPPSQYTRCEERATMTPTTISRDVGPPGYGPGENFLQVGSRNTCEQNVITTPTPILRVDPPGYVRGENQGNDAPWNTSCEQSMFMSSATISREVGPPGYGPEQNQGVSMSSTTISREAGPPGYGSGENQGSGTSWNTSCEQGMFTSPAIISREVGPPGYGPGENQGNGTSPNTSCELRMFTSPMAISREVSLPGYEPGENQNNGTSWNMSYKKGVFMSPTAISREVGPPGFGPGENQGSSTSWNMRCEQRMYTIPTAISREARPPGYGGENQGNGASWSMSYEHGVFSSPTAISREIGPPGYGPGENQGNTTSWVTSRKQRMFTSPTTVSSEGGLPGYSIVENQGTGGSRNIDLEQRVFVTPATISREVFPPGYNLEQRVAATPATISREVFPPGYGPGENQGSGASGSVRIPQGRSAEKVGFSDEARKKAIQRELRRRQKFR >PAN28540 pep chromosome:PHallii_v3.1:5:9665476:9674063:1 gene:PAHAL_5G160700 transcript:PAN28540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSEVSPSKRYPLRSAHGSGRVLRSASAKDNKACNEPPNASAAAQSAVSKRRNGSPSVRPNNSVRVLRSASKNKDDACSEPLNDWTTAKPAANERKSASPSHMGSTTNTVRVLRSALKSKDGVCSEPITNRTAGEPAANKRKNVSPSKLRSPSNSVRVLLSASKYKEETYTEPLNDNTAVDPGTNRRKIASPSKVGSPISPNNTVRVLRSALKSKNEACSEPLNDSTVGQPTVKRRKTGSPLKVASPVSSARVLRSTSERKNDACNEPLNDSTAAQPAAGKGKGETLLKTDSPNIDVRVLRSASGNKNEACSEPVNDSTSAQKAVRKRKGCRPLNDRSPKKEYVKICQRVRYILNRMNYQQSFIQAYASEGWKGQSLEKIRPEKELERAKEEILQCKLRIREAFRNMDAILSEGKLEESLFDSAGQISSEDIFCGICGSKDVTLQNDIILCDGACDRGFHQNCLKPPLLTEDIPPGDEGWLCPACVCKADSIDALNELQGNKLSIHDSWEKVFPEAASPANGSKQVGSSDLPPDRIEDNDYNPPLAEGHMNQDRFSAEDDGKVDDLGLPSEDSEDDDFDPADMELDQGMVLPISSRRQVERLDYKKLYDEAYGKESSGSSDDEEWSGKELLEGSETDSHGEQLHPAKRCSRRAPAGQQNNEHTPQRERLHGSESEQQTKVFRSNGSSSTGRKFGPIVTQKLKVHFEEDPYPSHATKENLAQELGLTFNQVCRWFSSTRHYSRVASAKKEKHPDNHTSENNDSTNVHSMQTREPSAGVMEKLTLDRNDIVPEKPMVQNNLNQCNKKDMPLSGTEIEMESYGQESSDSSDEEWSAFSTPRKARLQDNETASPAESLHPAKRCSRRAPDRGQNNEHTPQSEQRHGSASEQQTDVLCSNSSSSKASKYHFGPIVNQKLKAHFEKDPYPSRSTKESLAQELGLTFNQVSKWFSAIRHYSRGAAAKNKKHPGKNTTENDNSTTFDGVQIREGLVEKTSTDINDMISEKLMVQINLNEGIEEDIPPSQYTRCEERATMTPTTISRDVGPPGYGPGENFLQVGSRNTCEQNVITTPTPILRVDPPGYVRGENQGNDAPWNTSCEQSMFMSSATISREVGPPGYGPEQNQGVSMSSTTISREAGPPGYGSGENQGSGTSWNTSCEQGMFTSPAIISREVGPPGYGPGENQGNGTSPNTSCELRMFTSPMAISREVSLPGYEPGENQNNGTSWNMSYKKGVFMSPTAISREVGPPGFGPGENQGSSTSWNMRCEQRMYTIPTAISREARPPGYGGENQGNGASWSMSYEHGVFSSPTAISREIGPPGYGPGENQGNTTSWVTSRKQRMFTSPTTVSSEGGLPGYSIVENQGTGGSRNIDLEQRVFVTPATISREVFPPGYNLEQRVAATPATISREVFPPGYGPGENQGSGASGSVRIPQGRSAEKVGFSDEARKKAIQRELRRRQKFR >PVH38567 pep chromosome:PHallii_v3.1:5:21244754:21247722:-1 gene:PAHAL_5G290700 transcript:PVH38567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPPPPVPEKEPIYDINQLPLDPGERQSIANYPVNDQDAVRRAYIIKGPYQPYAHDFDNDSRKIGGKDRKFNPLWFYKYPWIEYSVKKEASFCFVCYLFGKGRSKTSAFVDGGWNNWNRTDALDIHVGGVTSAHNAAQERYNLFMTPHGAIDDKIVKVDSEERRLYKMRLTYSLRCLRFLLQQGLAFRGHDESEESSNRGNFIELLKWLAANNEEVDKYVLKNAPYNCTLTSPDIQKEIIQCSAMETRDQIIKEIGDDHFTILANESSDVSHKEQLALCVRYIDKSGRPCERYLGIVHVDDTTSSSLKEAIQSLLINNGLTMTQIRGQGYDGASNMKGEIKGLKTLIMKESPSAYYVHCFAHQLQLVLVAVAKDNDDCVWFFDRVSLLLNIIGSSCKHHRMIRDHQYDNVMKALQCGILESGSGLNQEMGLPRPGETRWGSHYKTVVNLIAMYPTIYDVLIALGRDTSARGGWPKIHTMVGVLESFDFIFNAHLMLDILGHTNELSECLQRKDQDILNAMSLVHLAKSKIQQMRSDGWVSFLQRVTIFCNKYGIQVPEMEHNYVPYGRSARFAPDQTNDDHFRREVYIGVIDKISQELDSRFDEVNMELLTCMAALNPADSFASFDANKVHRLAEFYPNEFSSSDLLRLDLQLETFIDDMRKDELFKGINNLVDLSVKLVETKRDKVYHWVYLLIKLVLLLPVSIASVERIFSAMTFIKNKLRNKMGDSLLDHCLMTFIERDIFLKLSEEEIINTFMAIKRRLLETQQRNAHV >PVH38482 pep chromosome:PHallii_v3.1:5:17462170:17463330:1 gene:PAHAL_5G269000 transcript:PVH38482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGSLDWTRLPALALRLVSERLADPQDLVSFRAACPEWRQAVAEDAHQRFQPWIVESDHHDDSGNVLFYSPASGDYHLIHVAALEGRRVAGYGAGLLLGIDTDDELSAVLVNPLTGESTEAPRLPECFHGTLTYGFATDPGMTGESEVVVVVYNRQAGQARGHVALWRRRDAAGWATVHTETFWMRMPQLRARLLTHGPQVLEGEEAAIAAVNGHGHGHVEWVPGMRGAHVIEHGGQVRVLIRQEQPAAIAADDAPEGPVSPGDPLPRLSFELRNTLGADGEAVDWANAPELHGTVIFQSPDSSCYVLPASDRFAGLSRNCVYFLSWQRQEQVDEDGGVGDGNPFGYFLCKWDMIGRVATVVEKVPGNWQRLKPGRWFLPTYKY >PAN27263 pep chromosome:PHallii_v3.1:5:4351150:4354449:-1 gene:PAHAL_5G070400 transcript:PAN27263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSDFHMYFWLFLVLCNFGISKSLPLDGDALLDIKGYLKDPQNYLHNWDKFHSPCQFYGVTCDHNSGDVIGISLSNISLSGTISSSFSLLQQLRTLELGANSISGTVPAAMANCTNLQVLNLSMNSLTGQLPDLSALLNLQVLDLSTNSFTGAFPVWVSKLSGLTELGLGENNFDEGDVPKSIGDLKNLTWLFLGQCNLRGEIPASVFDLVSLGTLDFSRNQITGIFPKAISKMRNLWKIELYQNNLTGEIPQELANLTLLSEFDVSRNQLTGMLPKEIGGLKKLRIFHIYHNNFSGELPEGLGELQFLESFSTYENQFSGKFPANLGRFSPLNTIDISENYFSGEFPRFLCQNNKLQFLLALTNNFSGEFPGSYSSCKTLQRFRISQNQFNGSIPSGLWGLPNAVIIDVADNGFTGEISSHIGFSVTLNQLYVQNNNFIGVLPVELGRLSQLQKLVASNNRFSGQIPIQIGSLKQLTYLHLEHNALEGPIPPDIGMCSSMVDLNLAENSLTGEIPDTLVSLVTLNSLNISHNMISGDIPDGLQSLKLSDIDFSHNELSGPVPRQFLMIAGDDAFSENAGLCVADTSEEWKKSFSNLKPCQWSDNHHNFSMRRLFLVLITVTSLVVLLSGLACLSYENYKLEELNRKGDIESGGGTDLKWVLETFHPPELDPEEICNLDVENLIGCGGTGKVYRLELSKGRGTVAVKELWKRDDAKVLKTEINTLGKIRHRNILKLNAFLTGGASNFLVYEYVVNGNLYDAIRREFKAGQPELDWDKRCRIAVGVAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIARLVEDSPLSCFAGTHGYMAPELAYSLKATEKSDVYSFGVVLLELLTGRSPTDQQFDGEMDIVSWVSFHLAEQNPTAVLDPKASNDSSEYMIKVLNIAILCTAQLPSERPTMREVVKMLIDNDPSSTTGRAKNKNDK >PAN27262 pep chromosome:PHallii_v3.1:5:4350132:4356147:-1 gene:PAHAL_5G070400 transcript:PAN27262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSDFHMYFWLFLVLCNFGISKSLPLDGDALLDIKGYLKDPQNYLHNWDKFHSPCQFYGVTCDHNSGDVIGISLSNISLSGTISSSFSLLQQLRTLELGANSISGTVPAAMANCTNLQVLNLSMNSLTGQLPDLSALLNLQVLDLSTNSFTGAFPVWVSKLSGLTELGLGENNFDEGDVPKSIGDLKNLTWLFLGQCNLRGEIPASVFDLVSLGTLDFSRNQITGIFPKAISKMRNLWKIELYQNNLTGEIPQELANLTLLSEFDVSRNQLTGMLPKEIGGLKKLRIFHIYHNNFSGELPEGLGELQFLESFSTYENQFSGKFPANLGRFSPLNTIDISENYFSGEFPRFLCQNNKLQFLLALTNNFSGEFPGSYSSCKTLQRFRISQNQFNGSIPSGLWGLPNAVIIDVADNGFTGEISSHIGFSVTLNQLYVQNNNFIGVLPVELGRLSQLQKLVASNNRFSGQIPIQIGSLKQLTYLHLEHNALEGPIPPDIGMCSSMVDLNLAENSLTGEIPDTLVSLVTLNSLNISHNMISGDIPDGLQSLKLSDIDFSHNELSGPVPRQFLMIAGDDAFSENAGLCVADTSEEWKKSFSNLKPCQWSDNHHNFSMRRLFLVLITVTSLVVLLSGLACLSYENYKLEELNRKGDIESGGGTDLKWVLETFHPPELDPEEICNLDVENLIGCGGTGKVYRLELSKGRGTVAVKELWKRDDAKVLKTEINTLGKIRHRNILKLNAFLTGGASNFLVYEYVVNGNLYDAIRREFKAGQPELDWDKRCRIAVGVAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIARLVEDSPLSCFAGTHGYMAPASI >PAN30861 pep chromosome:PHallii_v3.1:5:43889645:43890836:-1 gene:PAHAL_5G368100 transcript:PAN30861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGPHTPGQAGAPPNHCKCKDLVPAAAAGDEAEAEAHALGREVEELEEGLADLDRRVLEHVRGTATRLADATVDRLAALRPPACQPGRRSRATSKLNILKSKIEANIADLPKVLEKMHESDARCEKLENLHVNIHPIFRTRHLYRG >PAN29033 pep chromosome:PHallii_v3.1:5:11819747:11819893:-1 gene:PAHAL_5G195300 transcript:PAN29033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAVVRRQPQQGRRGGSSRGLRGAVKEHRARLRIICRCVALLLFHRD >PAN28767 pep chromosome:PHallii_v3.1:5:10728778:10731565:-1 gene:PAHAL_5G177900 transcript:PAN28767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLFNFQQLEATDPGLLIMDSAIIETFASTRKGTSCDWLFLGDLLSRSISFVIDGCFRQQKGVEVYLLQLRHVLLRIQATMEEAEGRHITNKAMLRQLQMLREMMYKGCYLLDTFTYQVLQQQRDNDQVSGHPLSIFCRAKRLCFSTRGMNLAVQVDGVKEVQKMLESLQSTIVDMTEFIVFLKFYPPINREPYKKYLYLENCMFGRQAEMEKIISFLLLPEPPGAESLQVLPIIGPARVGKSTLVEHLCYDERVLNHFSTIILCSGGPTAPEGSGVVKKRTHGSHGRSLIVMELADDFVLDERQCKNFYSSSNHMPPGSKVIVTSRSESIIKLGTTGAIKLDFLSREAYWYFFKVMAFGSTNPADHPELASIAMEIAAGLEGCFVGAHVICGFLRANMHRRFWSKILEYERDNIDRNILIFGEHPQALIRKNKTAYVWSLSNISMRLKVLYRQTHSALNDVPKITLHEAQTSAKAHGKLEVLVLKSRIPPYHSYSMTCEIEVPQDMMTKKKRPRSYLEI >PAN31643 pep chromosome:PHallii_v3.1:5:52556830:52557994:1 gene:PAHAL_5G433700 transcript:PAN31643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAILLLLLSLCAARLDGAVAQQYWTPATATFYGGSDASGTMGGSCGYGNLYSAGYGTQTTALSTALYGDGASCGACFLVTCDASRTQYCKPGSPSVTVTATNFCPPNYGDPSGWCNSPRQHFDMSQPAWETIGVYQAGVVPVNYRRVPCQRSGGVRFGISGHDYFELVLVTNVGGAGAVAAAWIKGSSTDWLPMSRNWGANWQSSAYVTGQSLSFKVQTDDGRSVVAYDVAPANWQFGCTYQASANFY >PAN29936 pep chromosome:PHallii_v3.1:5:16780219:16804432:-1 gene:PAHAL_5G260800 transcript:PAN29936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEREAALLARVAANHLFLAQFEALRAALLSLRRRADPGLAADFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLVLLQPIADDPAVGAEARETLTRLLDLGVVRLRREVEGGGGEAGAGVQEASVTEEDLKGLWGVFLDNALVFDALCVGVSRQIGLDGGFGADVLLLLRQNVQLAHLDAVKTLLAEDDLDGAAGHLRFLCLDHGVQEDEYKLVISELLRKGWAKASNYGGRWSQLRDRITKMYGAALQSTSPQLVQLFQVILDDIVSEEIEDHNVSDTNRMPLPFTKFVETLSLERGTDSDDTDDRTLLDAAVTSCKKDLYHYCRLSGKHMLEVVLETALSSIKREQLQEAVDVVSLFPLLQPLVAVLGWDILKGKTALRKKLMQLFWTSKSQALRLQEYSHYRSKTDETSCEEYLCDLLCFHLDLACFVSSVNSGRPWNLRNSLLFSQQHQGSDVNNAEILDPFVENLILERLAVQTPMRVLFDVVPGIKFQDAIELVGMQPLPSTTAAWKRIHDIELMHMRYALQSVVLALGEMEKCAGDGNECYYHKALSYLREMQNFMEAIKSTPRKIFMVSIILSLLHMDDSVKLSQAVPSECHVTHECHDSNIESEGKNMVVYFVGLLLDILRHNLQVKGPDMDHLSSTSLSSAGRQALEWRLKHAKHSIEDLDWRLSVLKRLQPLSERQWSWKEALVLLRAAPSKLLNVCMQRENYDIGEEAIQRFSLPAEDKASLELAEWVAGAYKRALVEDAVNRATNNTNAAQELDILSLRAQLGSLTTILLCVDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGTTYWDQVQELAMISVIKRILQRLHDILDLEALPYLQVFFTEMSISSTTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAIVDEDFDSVYAKEGVNLEKKDVLSSEKGVVLGHGLRILKQASRSDLASSNVLESSSEHKGSANRYMSPLSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVLPPRTGHGWACVPVIPILCNISSENRSSAIPKSLPPAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSLLSGDNESSPTYVKDTAQTPEIERSFFEFALEQSERYPTLNRWIQMQSNLHRVSESSVTDKSESEVSLHQSKGKFSMKRAREPDSDGESELEDIVISGNTTSSPLESPKHEDTRLEPTTFISFDWENEGPYEKAVERLISEGKLTDALAVSDRCLRNGASDKLLQLLIEQKEERSLGTGQIRAYGSHNLGSDTWQYCLRLRDKKLAAQLALKYLRSWDLDAASNVLTMCMCHLPEKDPMRSEQVLHMKQSLQRYGHIMSADDHYTRWQEVEADCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGSLSDAEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLIIEVLLMMKQFQSASLILKEFPALRDDRLIISYAKKAISINVSSTPRERRLSISASRAKQKKVTTPVKTNFAQSFGNFQREARKAFSWVPRDSGTKTPPKDTPRKRKSSGSGGDRSSWDAMPGVQEERTPVYSSEGQDRLPFVSAPDEWVLTGDPDKDDATRSSHRYETSPDITLFKALISLCTDESVAAKGALELCMTQMKVVLSSQQLPLDASMDNIARAYHATETYVQALSYAKNLLKKLVGSSDLSSGSERSRDVDDISVDTGSSSAGSQYLDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSTRLRDRLVSDERYSMAVYTCRKCKIDAFPVWVAWGHALVRMEHYAQARVKFKQALQQYKGDAATVVTEIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSESSRQSRDPIDNQFTSASLYLEDGPRSNLDSVRYAECIHYLHDYARPQMLAFMFRHGHYAEACSLFFPFNQPTTEGETSLSSVPWSDPLTTDYGTIDDLCDLCLGYGAMAVLENTIRAVTQSPAYHETPVIQYMNTVLTRICNYCETHRHFNYLYNFLVLKDDHVASGLCCIQLFMNSMSQEEALRHLGHAKTHFEEALSVRDRTMEATKLVLRSARNKSTPGKMTRETIMKYSTRVSYQMDVVKALNNIDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLISHHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >PAN29935 pep chromosome:PHallii_v3.1:5:16779328:16804696:-1 gene:PAHAL_5G260800 transcript:PAN29935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEREAALLARVAANHLFLAQFEALRAALLSLRRRADPGLAADFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLVLLQPIADDPAVGAEARETLTRLLDLGVVRLRREVEGGGGEAGAGVQEASVTEEDLKGLWGVFLDNALVFDALCVGVSRQIGLDGGFGADVLLLLRQNVQLAHLDAVKTLLAEDDLDGAAGHLRFLCLDHGVQEDEYKLVISELLRKGWAKASNYGGRWSQLRDRITKMYGAALQSTSPQLVQLFQVILDDIVSEEIEDHNVSDTNRMPLPFTKFVETLSLERGTDSDDTDDRTLLDAAVTSCKKDLYHYCRLSGKHMLEVVLETALSSIKREQLQEAVDVVSLFPLLQPLVAVLGWDILKGKTALRKKLMQLFWTSKSQALRLQEYSHYRSKTDETSCEEYLCDLLCFHLDLACFVSSVNSGRPWNLRNSLLFSQQHQGSDVNNAEILDPFVENLILERLAVQTPMRVLFDVVPGIKFQDAIELVGMQPLPSTTAAWKRIHDIELMHMRYALQSVVLALGEMEKCAGDGNECYYHKALSYLREMQNFMEAIKSTPRKIFMVSIILSLLHMDDSVKLSQAVPSECHVTHECHDSNIESEGKNMVVYFVGLLLDILRHNLQVKGPDMDHLSSTSLSSAGRQALEWRLKHAKHSIEDLDWRLSVLKRLQPLSERQWSWKEALVLLRAAPSKLLNVCMQRENYDIGEEAIQRFSLPAEDKASLELAEWVAGAYKRALVEDAVNRATNNTNAAQELDILSLRAQLGSLTTILLCVDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGTTYWDQVQELAMISVIKRILQRLHDILDLEALPYLQVFFTEMSISSTTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAIVDEDFDSVYAKEGVNLEKKDVLSSEKGVVLGHGLRILKQASRSDLASSNVLESSSEHKGSANRYMSPLSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVLPPRTGHGWACVPVIPILCNISSENRSSAIPKSLPPAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSLLSGDNESSPTYVKDTAQTPEIERSFFEFALEQSERYPTLNRWIQMQSNLHRVSESSVTDKSESEVSLHQSKGKFSMKRAREPDSDGESELEDIVISGNTTSSPLESPKHEDTRLEPTTFISFDWENEGPYEKAVERLISEGKLTDALAVSDRCLRNGASDKLLQLLIEQKEERSLGTGQIRAYGSHNLGSDTWQYCLRLRDKKLAAQLALKYLRSWDLDAASNVLTMCMCHLPEKDPMRSEVLHMKQSLQRYGHIMSADDHYTRWQEVEADCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGSLSDAEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLIIEVLLMMKQFQSASLILKEFPALRDDRLIISYAKKAISINVSSTPRERRLSISASRAKQKKVTTPVKTNFAQSFGNFQREARKAFSWVPRDSGTKTPPKDTPRKRKSSGSGGDRSSWDAMPGVQEERTPVYSSEGQDRLPFVSAPDEWVLTGDPDKDDATRSSHRYETSPDITLFKALISLCTDESVAAKGALELCMTQMKVVLSSQQLPLDASMDNIARAYHATETYVQALSYAKNLLKKLVGSSDLSSGSERSRDVDDISVDTGSSSAGSQYLDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSTRLRDRLVSDERYSMAVYTCRKCKIDAFPVWVAWGHALVRMEHYAQARVKFKQALQQYKGDAATVVTEIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSESSRQSRDPIDNQFTSASLYLEDGPRSNLDSVRYAECIHYLHDYARPQMLAFMFRHGHYAEACSLFFPFNQPTTEGETSLSSVPWSDPLTTDYGTIDDLCDLCLGYGAMAVLENTIRAVTQSPAYHETPVIQYMNTVLTRICNYCETHRHFNYLYNFLVLKDDHVASGLCCIQLFMNSMSQEEALRHLGHAKTHFEEALSVRDRTMEATKLVLRSARNKSTPGKMTRETIMKYSTRVSYQMDVVKALNNIDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLISHHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >PAN27997 pep chromosome:PHallii_v3.1:5:7434557:7435278:-1 gene:PAHAL_5G123700 transcript:PAN27997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLFLACVVLLSVAMAAAAARPATATDDDAPTGNCDQDLQDLIANCQDYVKFPADPKIPPSSACCGVIQRANIPCLCSKVTPTVETFICMDKVVYVASYCKRPLQPGSNCGSYHVPGGSLP >PVH39187 pep chromosome:PHallii_v3.1:5:53649474:53650960:1 gene:PAHAL_5G450500 transcript:PVH39187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRQRRCTDGIAAFPDDLLLEVFSRVGNVKDLFRFAATCRRCLRRFTDPAFLRQLCPGQGEGHRARLLGFFFQQTRFALPRGSMNKTLTMRMRMAQRTSVSAPSFLPAPGSPLGGRRPPTSTDHHRALTSFPLAARRGIVLMRLVPRTFDDLKRMTRTRAASHLLGLCNPITGERHVLPPLEGPNDLGRCDSYAIVTAADSDDLDGKQTAGRRFEFSQLLLITITTQMESDDDYAYLHSYSADTRSWSTPTMCLDGSQFSMVGERSAVVHQGAAHWLCIDRPSGPRGGDECLLYKLSADVGTAACTSSPPHASMAKIPIHGGGSRPLLFVSIDGKLSVACVYLLHVTVWTQQQAGGDKSGGGDTPATWLRTSVMRIPMAGPTPGPLCEPCGRCTWLDFNGGSMLVASGGVFVPDLDRKVVEKVMDGLLPQKFSSERNNPFVPERSCRGTTCVAYEMDLVEFFVRQLQLARWSMPQVGVYRSTE >PAN28825 pep chromosome:PHallii_v3.1:5:10867236:10868314:-1 gene:PAHAL_5G180200 transcript:PAN28825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQAPVLAVFLAAALLCLGAAAALPRSVAGRFAMEGLTVDKGIDHFLVLTAAFVMYIFR >PAN32631 pep chromosome:PHallii_v3.1:5:56736268:56737193:-1 gene:PAHAL_5G501400 transcript:PAN32631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARMFGLETPLMAALQHLLDVPDGEAGAGGDKAGAAASGPTRTYVRDARAMAATPADVKELPGAYSFVVDMPGLGTGDIKVHVEDERVLVISGERRREEREDAKYLRMERRMGKFMRKFVLPDNADMDKISAVCKDGVLTVNVEKLPPPEPKKPKTIEVKVA >PAN31838 pep chromosome:PHallii_v3.1:5:53396700:53400071:-1 gene:PAHAL_5G446700 transcript:PAN31838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLVLQCCIFALWSVDSGLYAVHYARAFDGEILCFQLKQPCESNLSEARAKNPYWLFTMFTMAGNVGYLPEDLFEVLGIYARLPIHTKFSLMLLSLVMCRLVCVGRLISLDLELGILLLLIFIICIWVYAMFPLHTNLPLVWLCALLRQCLLLIVGCKPYVCSKQFNLMSSLQFY >PVH38599 pep chromosome:PHallii_v3.1:5:22967860:22969861:1 gene:PAHAL_5G299000 transcript:PVH38599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPLHVRFLRTMYRLVVDNFLAIAAVATAAGALQRTSPEAALALARAIRPVHWLLALLLAAAAAKLRRLGRPKDVYLVEYGCFRPKAWFRAPFATCLEHAHLMPYLVDEESVSFAIRLLERSGLGEETCVPEAYHYMPPDRSLKASRDETELVIFSAVDDVFARTTVKPEEIDVLIVNCSIFTPTPVFADMVVNRYNLRADVQNVNLSGMGCSAGLVSVGLAKNLLHVAPPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSSERARFKLTRAVRTVTAARDADYRCVFQEEDDKGNTGIRLSKDLATTAGHALKSNIAAFGPLVLPASEQLLVALSFLKRKLLSGRAKVRLYRPDFRTAFEHICIHAGGRGVIDEVQAGLGLSDEDVEASRMTLHRFGNTSSSSVLYELAYIEAKGMMKKGDRVWMISFGAGFDCNSVAWECVKPAANADGPWVDCIHRYPVQLPEIAKDISNS >PAN27277 pep chromosome:PHallii_v3.1:5:4406582:4407298:-1 gene:PAHAL_5G071300 transcript:PAN27277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQSAPGAEDGVDDVFFCVAATSRGNRSSISYFHTNASDEDAQSALALAAVCLDHAPEHHRWHHHTVAGARTFAFLSAGDGRTYFAAADPTPGAAEVVRFLERVRDACDAAPRKRRRDEAVAHVARQFAQQLRAAAAGASSGAGGSASLPGASPWELTLPTPMAPACAADAGGEKDEEHQRAGAQRRAVQPGRESARPGWRSWWRHAVVVIGVDVVVCLVLFAVWMGVCKGFRCLTR >PAN31436 pep chromosome:PHallii_v3.1:5:51593036:51596211:1 gene:PAHAL_5G419600 transcript:PAN31436 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASALARRARGSAAVLWGATRGFASVGSDIISAAPGVSLQKARSWDEGVATKFSTTPLKDIFYGKKVVIFGLPGAYTGVCSQSHVPSYKNNIDKLKAKGVDSVICVAVNDPYVMNGWAEKLQAKDAIEFYGDFDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDDGKIKSFNVEEAPSNFKVSSAEVILDQI >PVH38670 pep chromosome:PHallii_v3.1:5:30666754:30668500:-1 gene:PAHAL_5G321400 transcript:PVH38670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASGAKAASGLCQWTPTQSTFVLTFLTNIVADGTKTSTGFKKVHLNACAKALNDQFKVTRTGDQVSNHLKTWKKKYARINYLKNLSAALWDEDEFIVSLDHDHYKGHMADPKNKADDEYLNKPLPYYGFLATIFGNSVATGQYAKSSNDPIGTDRSEGVSHGGDATAENDGLNHGIDKSVINDDISSSARPAKRAKTIDDTGRKTDGLVEAFQCGTQMLANAIAQASSALPHGLFEAVDSLPGFELHHKTRYYQYLVRHPNDAHAFVNLPSDWKLSWFSSFVAENF >PAN29611 pep chromosome:PHallii_v3.1:5:14957626:14958536:1 gene:PAHAL_5G238200 transcript:PAN29611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDEEQLQASPPPPRVVARPCRRPAAICAAAHPRRCRTAVGGHLFSCSPHVGTVDAHLCRRLSCSPSSCRRSWNTISWRNPSVVTSHGAALLFSVWACLRCFASRCIWIRGRGLLAKQELAGESSICLGSRRSSRGICLCLLFRRNRREAREIRFFLF >PAN26892 pep chromosome:PHallii_v3.1:5:2899885:2903241:-1 gene:PAHAL_5G045400 transcript:PAN26892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPGRKAPAGVSGVRRWLVTIVVSVLALVLTLVVISLSVGSSLPRTSLQDYLPVIVSGLGKLSSPEDAGGNRNSTAVGEELVQGGREPLVEQNGQGGDVNSGQPSPVTEKIESKEPDPVAPGDKTSTPDEDSSKDSRKAEQGTCDLYHGRWVLDSAGPLYTNNSCPIITQMQNCQGNGRPDKEYENWRWKPEQCILPRFDARKFLELMRGKTLAFVGDSVARNQMESLVCLLWQVDVPQNRGNKRMHKWLFKSTSTTIARVWSSWLVHRSTEAVGIAPKGIDKVFLDVPDETFMDFLPRFDIIVLSSGHWFAKRSAYVLNGNVVGGQLWWPRQAGKMQMNNVDAFGVSVETCLTSVATNPNFTGLAIVRTWSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDAMYGKQVEGFRKAVKNAGQHGSRLKLMDITEPFAFRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLLEIIRREFERDRS >PAN29974 pep chromosome:PHallii_v3.1:5:16967084:16967395:-1 gene:PAHAL_5G262900 transcript:PAN29974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWTTKLSAKALPSCVPGVIIRDDQRIPKGYLPIVLVRDDEGGAETRVLVRIKDLQEPCMAALLEMAEEQFGYSQQGVLRVPCDEQRFEHVVNMARKSKAAR >PVH38882 pep chromosome:PHallii_v3.1:5:46970907:46976359:-1 gene:PAHAL_5G378800 transcript:PVH38882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAVAVLVVAALAATLAAAGDDDPRAACARRPVVFAFGDSNTDTGGVAAGMGYYYPLPEGRAFFRRATGRLCDGRLVIDYLCESLNMSYLSPYLEALGSDFTGGANFAISGSATLPRSAPFSLHVQVQQFLHFKQRSFELVAHGGSAPVDADGFRNALYLIDIGQNDLSAAFGSGAPYDDIVHLKIPAFIPEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRADDGDLDSSGCLKTLNNGAYEFNNKLCAACDELRSQLRGATIVYTDVLLVKYDLVANHSAYGFEEPLMACCGYGGPPYNYNANVSCLGPGFRVCEDGARFVSWDGVHYTDAANAVVAAKILSGEFSTPKLPFDYFCKA >PAN27331 pep chromosome:PHallii_v3.1:5:4528032:4536390:1 gene:PAHAL_5G073400 transcript:PAN27331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKGSAVDKSPSDTTLGPGRVVDHHDRLVVKEEKKAVVGEAAAKRMQEEQHQWQQQQQPPLPPQSVSVSQTSVPGVSADSSAAPWDGVPQLARLPSQKSGIGVAKATAKVSEVSSILGRASTAGLGKAVDVLDTLGSSMTNLNISGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKDSIKHLKETVLHSEGVQNLISKDMDELLKIAAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKLASERTPQHHLKEEAESVMQQLVTCVQFTAELYHEMHALDRFEQDYQRKHQEEDGSSVVQRGDNLHILKQEVKSQRKHVKSLRKKSLWSKNLEEVMGKLVDIVHFLHLEIHSAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTIKSSLRSKLHSFGVKEEAEMEKTLRWLVPIASNTTKAHHGFGWVGEWANTGSDVNCKPTGQMDLTRIETLYHADKDKTEAYILELVLWLHHLISQSKTANGERSPIKSPVRSPTQRGASITLSPNKTSSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTKARHNKQSRLSKSNSHSPSSGNRKELLSIRRLLPVIDFEIDRTKALDMIDRVDNLRVK >PAN27310 pep chromosome:PHallii_v3.1:5:4528032:4536394:1 gene:PAHAL_5G073400 transcript:PAN27310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKGSAVDKSPSDTTLGPGRVVDHHDRLVVKEEKKAVVGEAAAKRMQEEQHQWQQQQQPPLPPQSVSVSQTSVPGVSADSSAAPWDGVPQLARLPSQKSGIGVAKATAKVSEVSSILGRASTAGLGKAVDVLDTLGSSMTNLNISGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKDSIKHLKETVLHSEGVQNLISKDMDELLKIAAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKLASERTPQHHLKEEAESVMQQLVTCVQFTAELYHEMHALDRFEQDYQRKHQEEDGSSVVQRGDNLHILKQEVKSQRKHVKSLRKKSLWSKNLEEVMGKLVDIVHFLHLEIHSAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTIKSSLRSKLHSFGVKEELTVSQIKAEMEKTLRWLVPIASNTTKAHHGFGWVGEWANTGSDVNCKPTGQMDLTRIETLYHADKDKTEAYILELVLWLHHLISQSKTANGERSPIKSPVRSPTQRGASITLSPNKTSSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTKARHNKQSRLSKSNSHSPSSGNRKELLSIRRLLPVIDFEIDRTKALDMIDRVDNLRVK >PVH38647 pep chromosome:PHallii_v3.1:5:28043933:28044362:-1 gene:PAHAL_5G314700 transcript:PVH38647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKIKSSSGIWSAVASWFACFGSANKAADSGRPGSARYDPAAGMVAAAKHFSSAHNVKFG >PAN27447 pep chromosome:PHallii_v3.1:5:5036717:5040954:1 gene:PAHAL_5G082000 transcript:PAN27447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSHLGVARDVMVASAVCRKWRDACRRHLRLLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRSLSYNVRTIPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHFSISALDLSLLVAACPKIELLALDVLEVVTSDPQSTMELTSHTLKSLFAKSVGVDKIILDADNLEVLHLNALNLDLFELIGKGTLKHLKIDDVSVTHLDIGDSTDNLEVVDVSNFTIVWPKFYNMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLIIHGVLSEAKTREERQMLASFTSFIVCLMRRYVHVDVQFEYE >PAN27446 pep chromosome:PHallii_v3.1:5:5036717:5040919:1 gene:PAHAL_5G082000 transcript:PAN27446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSHLGVARDVMVASAVCRKWRDACRRHLRLLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRSLSYNVRTIPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHFSISALDLSLLVAACPKIELLALDVLEVVTSDPQSTMELTSHTLKSLFAKSVGVDKIILDADNLEVLHLNALNLDLFELIGKGTLKHLKIDDVSVTHLDIGDSTDNLEVVDVSNFTIVWPKFYNMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLIIHGVLSEAKTREERQMLASFTSFIVCLMRRYVHVDVQFEYE >PAN31301 pep chromosome:PHallii_v3.1:5:50844492:50848711:-1 gene:PAHAL_5G410700 transcript:PAN31301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGLGGLRWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGVSYILLFALGRGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGANQIKFHWVERYDKILVGMVLCLVGILTYVFHHHDGDEHSLHEHVHRKLVSP >PAN32327 pep chromosome:PHallii_v3.1:5:4837571:4838411:-1 gene:PAHAL_5G078300 transcript:PAN32327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:Projected from Arabidopsis thaliana (AT5G10400) UniProtKB/TrEMBL;Acc:Q0WRA9] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PAN30668 pep chromosome:PHallii_v3.1:5:38893337:38895487:1 gene:PAHAL_5G351200 transcript:PAN30668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRERTGNLAGLGHTRHFSRTQPSPGTSRGNQRAVDTARQDLRRRGSVGDEMDNQFNTQEWTLPMSSTMKELEKYNLTGAFTGSMHNMDVPIEPVSPAAIASFHHQPTEDPEVQEVDRSQVSNNKGKKKVAQRGKSFSKEEDRALCSAFLHVSTDAIIGTNQTAAGYYARMHQHFKENVEVSCKRTQVSIENRWTTIQKAVNKFCGFYAAIERRNKSGKNE >PVH38847 pep chromosome:PHallii_v3.1:5:43891285:43894881:1 gene:PAHAL_5G368200 transcript:PVH38847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRGSCPAAPATIHPPVCPAGATSGSLGLVRPRVVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLMHHIFPLDGIAPPLATTIFFGANDAALLGRTSERQHVPVSEYKENLKNIVNHLKDCSNSMVIVLITPPPIDEEGRERFARSLYGENARKLPERTNEMAGVYAGQCIELAREMHIPCVNIWSKMQETEGWQTLYLSDGLHLTPEGNAVVHKEVVKTLRNAGLKAEDMPYDFPHHSKIDGSCPDMAFQ >PVH38848 pep chromosome:PHallii_v3.1:5:43891449:43893569:1 gene:PAHAL_5G368200 transcript:PVH38848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRGSCPAAPATIHPPVCPAGATSGSLGLVRPRVVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLMHHIFPLDGIAPPLATTIFFGANDAALLGRTSERQHVPVSEYKENLKNIVNHLKDCSNSMVIVLITPPPIDEEGRERFARDSDDLLSKGHCTERMRGSYLKGQMKWQVSMLVNALS >PAN27396 pep chromosome:PHallii_v3.1:5:4824163:4826217:1 gene:PAHAL_5G077800 transcript:PAN27396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEEDIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >PAN29523 pep chromosome:PHallii_v3.1:5:14463593:14465841:1 gene:PAHAL_5G232200 transcript:PAN29523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVEAQKPLLHFLVRRAGLRQHTVDVDGAGTVITFWVPKDMVPRDKPTVRDVTPGAAAKTTSSNKLPPPAKKDRPAVVLVHGFAAEGIVTWQFQVGVLAKHYDVYVPDLLYFGGSTSPSADRSPGFQAECLATALRKLGVGPCAVVGFSYGGMVSFKMAEAHPDLVRSLVVSGSVVAMTDSISETTLERIGVKSSAELLLPESVRGLKALLSIATHRKLWFPDRLHRDYLEVMFTNRRERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAKTMKEQLGEKTMLQSISKAGHLVHLERPCVYNRRLKEFLASVTATENPKQ >PVH38436 pep chromosome:PHallii_v3.1:5:16451542:16453824:1 gene:PAHAL_5G257500 transcript:PVH38436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRLAPHPPARGSLKGAADEEIEAASAACCRICLDSGDDLISPCMCKGTQQFVHRSCLDHWRSIREGTAFSHCTTCKAQFHLRVQFLEGDGGCKMKFRLFVARDILLVFLAIQTAVAAIGGVAYWLDKGGHFRNRFADGWVHILSKHPVPFYYCVGVVVFFALVGVFGLILHCSTSPSSNNDFPCFARSSNRRSDSSDDDFVVVVVIVIIFAIVGIVYAFIAATIAVQRIFQRHYHILAKKELTKEYVVEDLRGGYTPPKLDPEHEQRLKKLQLI >PAN27093 pep chromosome:PHallii_v3.1:5:3702970:3704627:1 gene:PAHAL_5G058500 transcript:PAN27093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARITRAARHLTHQCTPRPLPVLVALCLVSSYLPSPVSSQSAADSCSNGLSLGSLVPFNTTGLTCFQAWPSQDFILRFGKAASGSNLWSFVLSAPDNGGYISVGFSPTGRMVGSSAVAGWVTAAGAGSARQYYLGGTSSRSCPPDQGKLALARGAAAPTIVSKGSRLYLAFQLAGQPLTDVVYAVGPSGSLPGSNGLLPQHQGMASGTISLSGGSSGGGSPATGGGDGDGDDDGEEGGEGKGKKSKRAGEDSGDDDDEGKGERRTSPASASSSGASGGGAFLSTKRRHGVLAVVGWGVLVPAGVALARFFKRLDPFWFYAHVAAQGLGSVVGVLAVVAGFRLDDDEGPVAAHKAIGVVVVVGAALQAMALLARPTKETKARRYWNWYHHNVGRAAVALGVANIFYGLSLASERQEWSYVYGVFVGIFAVVFLVLEEWRRRH >PAN32768 pep chromosome:PHallii_v3.1:5:57438422:57439805:-1 gene:PAHAL_5G510700 transcript:PAN32768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAVEPDKRIQPSGGGSGMEPPGFGKRLMSVLRAVFHMLRRGLCRKRLMMDLQLLLGRGKLAGKALRNLVAAAHHPHGAHHHHHLVAARAGAGTGNGAAGAASSASAADLPFAPNPRDVEFSCETTPSYSYAGPVRALFPFRIRGRGGGAARGCDGLDFAQVARALEMMSAAEAAGAGAGAGGAAGGETPPPATAAGATPSPMLALSLGRSPAGARQLRVTDSPFPLEPEGVDERANSNFDAFINKFYENLRLQAANATPDNCVRRRG >PAN28704 pep chromosome:PHallii_v3.1:5:10482271:10484697:-1 gene:PAHAL_5G173600 transcript:PAN28704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARRLLWLAAAVCWAVAVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDDFIPPFAATSGDQLLGGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVATLVSILGDQDTASDHLSRCIFSVGLGSNDYLNNYFMPAFYNTGSRFTPEQFADALIADYRRYLQVLYNYGARKVVMIGVGQVGCSPNELARYSADGATCVGRIDGAIQMFNRRLVGLVDEFNALPGAHFTFINAYNIFADILANAASYGFTVTNAGCCGVGRNNGQVTCLPYQAPCANRDQHIFWDAFHPSEAANIIVGRRSYRAQSPNDVYPMDISTLASI >PAN27257 pep chromosome:PHallii_v3.1:5:4328134:4329376:-1 gene:PAHAL_5G070000 transcript:PAN27257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAAKSLLLCLTAVAFLHHLQFQFPSAAAATSLGRNGSRGGGHGRTLSFTLYQQETINKTAYIVVDGVAGAGVSETTTPFGTIYVFRDDLTVRADRASPVAGVAEGSSITTTLDGLQSLSLAKITVDHRGHRGSVSVLGGTYNTKPSDYPVVGGTGDFAYALGYVRSSPVDLRGRTVTYKMELHLYWPPYAHYAPVPHKPV >PVH37833 pep chromosome:PHallii_v3.1:5:6114709:6115175:1 gene:PAHAL_5G100300 transcript:PVH37833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLYFEDKDQEACANKDLYAAMKNCLFAIIICEQPSKIVTELTNVSIRYNLTLTYGLRTHQGYKIVAVQTCLMEPVKSFSRYHY >PVH37843 pep chromosome:PHallii_v3.1:5:6236355:6236822:1 gene:PAHAL_5G102400 transcript:PVH37843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARADAMRSPALRIFSRLPPGFPPTPTPMCPISAARLGAALLSHAPPPAPPQAPPRHYYALRHPTCRAVTPSSLARRAGVDAEFFLLEARARPGPRYGARSDPALLAQGAAPELYPCQSIIVAGEEISAHSACTFPATTAFPGTARWSEQSRASG >PVH37950 pep chromosome:PHallii_v3.1:5:7896644:7898321:-1 gene:PAHAL_5G132200 transcript:PVH37950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRAEKESICRWKRKKINLSLFRERAGSRDSTWPQVFAIGSRHLTNTSWFINSSSSMRWKIWRMIEGVKDCQIPKYPPPLEEPAAQSSALQS >PAN32814 pep chromosome:PHallii_v3.1:5:57678125:57678803:1 gene:PAHAL_5G514100 transcript:PAN32814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSLRTAAAFLFVLLVVASPPFLPQLQAARTTPNDDGQQGQQQQLVPATTVIGSSSTPAARPALLTPPPPAAAATITAAGRSRLLGSVPSPGVGH >PAN32828 pep chromosome:PHallii_v3.1:5:57733068:57733469:1 gene:PAHAL_5G515100 transcript:PAN32828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWDTSQLVFGVAYPGIRVGTCFYWLCRCRGHSAAARRWSATRRRRRTPRGACGGPWGRPAGASGCARSTSVTRRAPTCSRTTASRAYRRVGDGPRRGVAAHARGGGGRPVGVLLQHAVGHRDGAGLRRRVQ >PAN27617 pep chromosome:PHallii_v3.1:5:5717473:5720153:-1 gene:PAHAL_5G092700 transcript:PAN27617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSDPLRSRGRSGPAPTDHRDTVRNPSLLGRARPATAEYVMLSPRGGRPQDATAPAPSSSSSSLRHADGMGDGAGASGRVLEWEDGLPEASELTPVSHPLITPALAAAFRINIAGGAFPAAASPFDDSALAHDSPTSHLSFHCAEEEDVEDDEEGEWEAEDAASGSGACRGGRAGKKARMVWTPELHHRFVEAVAHLGDKGAVPKAIVRLMNVEGLTRENVASHLQKYRIYLKRTRSPPTRQPPPPSFPTAYGSPFNPHPPPDASNQNGYCAFPSRHCEKLQRDQALQAMGWKRAKDAW >PAN32510 pep chromosome:PHallii_v3.1:5:56193289:56196290:-1 gene:PAHAL_5G493100 transcript:PAN32510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase PINOID 2 [Source:Projected from Arabidopsis thaliana (AT2G26700) UniProtKB/Swiss-Prot;Acc:Q64FQ2] MATIREESDYDSSRSSLTAPGSRRSWISDIGSSSSVSVRSFGGGWDAPAASCRHKPHKANQAEWEAIRRVRAATGHIGLEHFRLVRRLGSGDLGNVYLCQLREPWSTGCLYAMKVVDKDALAFRKKLRRAEVEREILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFSISSARFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPRLLRHNSLPHNASAAAGRADTAKPSCVPPIQPVLSCLFKGVHKCHAKEEAARKKPGDGEADSADGASSEPADTNPELVVEPVSARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMIYGRTPFKGESNEKTLANIIKQPLAFPRVEAASGREWDEHLRAQDLMSQLLAKNPKKRLGGSTGSAEVKRHDFFRGVNWALVRSVRPPEVPKPPPAVPPLPAQKKVLMMSRKERREPYNPVRSDERFEYF >PAN26848 pep chromosome:PHallii_v3.1:5:2735636:2742629:-1 gene:PAHAL_5G043300 transcript:PAN26848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHGFFTLILLICSIPSSAFAGYSDISTLFNLRDAVTEGKGFLSNWFDSETPPCNWSGIACVGHAVVKIDLSSVPIYAPFPLCVGSFQSLVLLNFSGCGFSGELPDALGNLHHLRYLDLSRNQLTGVLPVSLYGLKRLQEVVLDNNFFSGQLSPAVAQLQYLKKLSVSMNSISGALPPELGSLQNLEFLDLHMNAFNGSIPASLGNLSRLLHLDASQNNLGGSIFPGITAIANLVTVDLSSNALVGPLPREIGQLQNLQLLILGHNGFSGSIPEEIGELKLLEELILPGCKLTGIPWTVGGLRSLKLLDISGNNFNTELPASIGNLGNLSRLLAKGAGLSGNIPRELGSCKKLVYVDLSTNSFSGSIPEELAGLEAIVNFNVGQNNLSGHIPEWIRNWVNLRSISLGQNMFYGPLPVFPLQHLVSFSAETNMLSGSIPVEICKGKSLQSLLLHNNNLTGNIMEAFKGCKNLTELNLQGNHLHGEIPQYLSELPLVSVELSQNNFTGKLPEKLWESSTILEIALSYNQLTGPIPESIGRLSTLQRLQIDNNYLEGPIPRSIGALRNLTTLSLHGNRLSGNIPLELFNCRNLVTLDLSSNNLSGHIPRAISQLTFLNTLNLSSNRLSGAIPAEICVGFGNAAHPDSEFIQHHGLLDLSYNRLTSHIPTAIKNCGMVTVLNLQGNMLNGTIPPELGELANVTAIYLSYNTLVGPMLPWSAPLLQLQGLFLSNNHLGGSIPTDIDQILPKIAKLDLSSNAFTGTLPESLLCIDDLTYLDVSNNSLSGQIPFSCPKEKESSSSLIFFNGSSNHFSGNLDQSISNFTKLSSLDIHNNSLTGSLPFSLSDLSYLNYLDLSSNNFHGAIPCGICNIFGLSFANFSGNHIGMYTLADCAAEGFCAGNGFDHKMLHSSDPRLSRAAIICVSIIIVIVVLVLLMVLLRWKLLRNRPLALVPASKAKATVEPTSSDELLGKKFREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYRAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADAIEALGWPDRLKICLGSARGLSFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGMTMKSSAKGDVYSFGVVMLELLTGRPPTGQEEGEGGGNLVGWVRWMIAHGKENELFDPCLPVSSLWREQMACVLAIARDCTADEPWKRPSMLEVVKGLKMAQTMECGPLVVTVTREV >PVH38308 pep chromosome:PHallii_v3.1:5:13861225:13861674:-1 gene:PAHAL_5G224900 transcript:PVH38308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLGRRRRSRRRWTLTTCSSAARSASRRCCWFCQRTRLAGHAASPHLHTCAAPTSWPTPRRCCTAVPRGLCSYARGAALLLRPRGTRVAAPPPRVRGAAAAGRAASSPAGSTSARATQRGEGRLREEGTGRKKRGGAAGYRERRRRG >PAN29424 pep chromosome:PHallii_v3.1:5:13868596:13871458:-1 gene:PAHAL_5G225100 transcript:PAN29424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g52630 [Source:Projected from Arabidopsis thaliana (AT5G52630) UniProtKB/Swiss-Prot;Acc:Q9LTF4] MPAPPPLPTFARALADLLVALSGARALPKGQQLHGHLLKAGHLPATASTHTLLAHHLITLYARCALPDLSHRAFLDLPSPPSPAAWSSLISSFSQNGLPASAFDAFRRMLAAGVPATDRSIPSAAKAIAAAKTSSRPPFAPHALHGLAAKTPFAGDVFVGSAVLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHPEAMVMFCLALEEAVAVNDFTVSSIIRVCAAATLFELGAQVHARAIKTALDVSPFVGSSLISLYSKCGLVECAYRVFSEAPEKNLGIWNAVLIASAQHGHTSAAFERFMEMQSTGFRPNHITFLCLLTACSHAGFVDEGKRYFALMKEYGIEPQSEHYAAMVDLLGRVGCIEEAFDLIDSMPMEPPESVWGALLMACRMFKDADTAAIAAQRLFQTGSRSSGAHMLLSSTYAAAGRHADAALARKAMRDAGIRKETGLSWLEAAGKVHTFVSNCRRHQRSEEIYRVLEKVSEKMEAAGYVADTSAVLKDVDGDEKKVAVRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAIKYLTKCTGRVVVLRDNRRFHRFEDGVCSCGDFW >PAN30492 pep chromosome:PHallii_v3.1:5:20545462:20547938:-1 gene:PAHAL_5G286000 transcript:PAN30492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] MVALLRPFSAAAAATLFLAPAGPLTGSAVAASAASGRRWRSVRTNAGGGWLSGLLGGKGGGAPTAMTVTPGTVKAGDPVLHEPAQEVALGDVRSEKVQGIIDRMIDIMRKAPGVGLAAPQIGVPLRIIVLEDTQEYISYAPKKDIEAQDRHPFDLLVIINPKLKNTSKNSALFFEGCLSVDGYRAVVERYLDVEVSGLDRNGSPIKVQASGWQARILQHECDHLEGTLYVDKMVPRTFRIVDNLDLPLPIGCPPLGAR >PAN32573 pep chromosome:PHallii_v3.1:5:56494851:56502534:1 gene:PAHAL_5G497400 transcript:PAN32573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGGDKPTATDATESVLGLDGEETLSERESVGARQVPGLPNGGGKEAPSSSSSAGSKRKRNNLGSNQMELNEPGTPSSSSGDSTWSIDSLDDRRQPSLSRNKNDHSEHSVTSAGVTVIRQPRGVLRLRKLPQNVSAESWTGGHNIPQANGVPRSTQFPSRNRRAESIELKGNRVGGDDPISCLRTENGTCNHDTGAKFCSETEYSVQKQSHLSGEPPQAVHVDKGSCGCVKDDDGVNLEENAARMLCSLSDNRCAGSPRKRMKSPDRSSKRPFPQHSNHFKNSYKKNKDVPGPARLLRKRDDKVPFRKRRPRRHFYEVSPRDVDPFCIVKERIRVFWPLDETWYFGLVKEYDPVKKLHHVRYDDKDEEWINLQNERIKLLFLPAEARSRSKCNNSRSVFKPKYEQGDREDMDGRNTESSESGPISSWLARSNQAKSATLINISKQDHPHSDVPILFDQKQCHSSVAKQDGIPPEDRRFRFVYSRKRFCRKKNGFLNMSEQNSNSRRSVSPATVISTLACMQCTETGASVTYVILLLSLPLKPVYKLIREACCVWISNALFLLQHGTLVALWPVVHLDILLADNVLGFKHILLDTCLRSAVSLFCLLVGSIKRYSRQKTTNALTMPSTLIRFQISGMHGRSQVVFMLFSFVGVDKSKWKHLQGKLQYHCSNREFSKDCTNGSVQKGLSSIDLFSKGFDVQEADFLSESNYSDIGPVIYCLDEQCKFSHNMLDVTTAPSLLLCHHLKSLTEISSINGSQQSISFALDENQELVTEHVSGTVRHAPPRVCLLNLGSSPDSPLDMASTSCTDQTSSASRESKTAESTVSTECNGGNTGDANIMCRKFQDQNGPYVGADKPCSYNLNVICSSQKSSESHLSINIPQDKVIDAPNDKPLNIDEKDKQAVSNLVQELNEHPIGRATPTAPRTTYHRNRFTSISRAFGDGSKLLPEDLMLTGFSGGSKKPRSQVSYSISPRSEEFGIKHKGHFRKIQSHSSAKINDAKKLPDSSRSGHSSPESLTCVANVLVTVGDRGWREYDTQITMDSDGQRERRICVKLAEGMKYAHKVCQVLQPGATNRYTHAMMWKGGAEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVRNIPIPGVRLVEVHDDNDVVSFVRSEDYLGHIGTDVEIALDESRVVYDMDSDDEEWISNWRKFLVGDDITAHELAEDLFERVMDKLEKFAYSHNCNELSIDQMKELDIDNVPLDIIEVIHAYWQDKRQKRGMPLIRHFQSALWKIYEQQLHEWESTVYRMQGSSNGYQEKKLPPKPALFAFCLRPRGLHVPHKGPKQRSHKKLMSTGYHSFSREHDSFYRQVSGRKYNEYFGDGRIGESYDSGSLYSPTGYSPRFSTRTESPRAFDASERSSTPRFFRTNSVKRTASFAFSDDHQPSPSFRHQKVKRGAPDHWNNVIHEWQNSKHLFPGSSRVDIEELKLRDAASAAQHAAAVAKLKREKAHCLMRKADLALHKATVALMMADAIKSSNRDTSRDSRRDSRDEER >PVH39421 pep chromosome:PHallii_v3.1:5:56494873:56502383:1 gene:PAHAL_5G497400 transcript:PVH39421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGGDKPTATDATESVLGLDGEETLSERESVGARQVPGLPNGGGKEAPSSSSSAGSKRKRNNLGSNQMELNEPGTPSSSSGDSTWSIDSLDDRRQPSLSRNKNDHSEHSVTSAGVTVIRQPRGVLRLRKLPQNVSAESWTGGHNIPQANGVPRSTQFPSRNRRAESIELKGNRVGGDDPISCLRTENGTCNHDTGAKFCSETEYSVQKQSHLSGEPPQAVHVDKGSCGCVKDDDGVNLEENAARMLCSLSDNRCAGSPRKRMKSPDRSSKRPFPQHSNHFKNSYKKNKDVPGPARLLRKRDDKVPFRKRRPRRHFYEVSPRDVDPFCIVKERIRVFWPLDETWYFGLVKEYDPVKKLHHVRYDDKDEEWINLQNERIKLLFLPAEARSRSKCNNSRSVFKPKYEQGDREDMDGRNTESSESGPISSWLARSNQAKSATLINISKQDHPHSDVPILFDQKQCHSSVAKQDGIPPEDRRFRFVYSRKRFCRKKNGFLNMSEQNSNSRRSVSPATVISTLACMQCTETGASVTYVILLLSLPLKPVYKLIREACCVWISNALFLLQHGTLVALWPVVHLDILLADNVLGFKHILLDTCLRSAVSLFCLLVGSIKRYSRQKTTNALTMPSTLIRFQISGMHGRSQVVFMLFSFVGVDKSKWKHLQGKLQYHCSNREFSKDCTNGSVQKGLSSIDLFSKGFDVQEADFLSESNYSDIGPVIYCLDEQCKFSHNMLDVTTAPSLLLCHHLKSLTEISSINGSQQSISFALDENQELVTEHVSGTVRHAPPRVCLLNLGSSPDSPLDMASTSCTDQTSSASRESKTAESTVSTECNGGNTGDANIMCRKFQDQNGPYVGADKPCSYNLNVICSSQKSSESHLSINIPQDKVIDAPNDKPLNIDEKDKQAVSNLVQELNEHPIGRATPTAPRTTYHRNRFTSISRAFGDGSKLLPEDLMLTGFSGGSKKPRSQVSYSISPRSEEFGIKHKGHFRKIQSHSSAKINDAKKLPDSSRSGHSSPESLTCVANVLVTVGDRGWREYDTQITMDSDGQRERRICVKLAEGMKYAHKVCQVLQPGATNRYTHAMMWKGGAEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVRNIPIPGVRLVEVHDDNDVVSFVRSEDYLGHIGTDVEIALDESRVVYDMDSDDEEWISNWRKFLVGDDITAHELAEDLFERVMDKLEKFAYSHNCNELSIDQMKELDIDNVPLDIIEVIHAYWQDKRQKRGMPLIRHFQSALWKIYEQQLHEWESTVYRMQGSSNGYQEKKLPPKPALFAFCLRPRGLHVPHKGPKQRSHKKLMSTGYHSFSREHDSFYRQVSGRKYNEYFGDGRIGESYDSGSLYSPTGYSPRFSTRTESPRAFDASERSSTPRFFRTNSVKRTASFAFSDDHQPSPSFRHQKVKRGAPDHWNNVIHEWQNSKHLFPGSSRVDIEELKLRDAASAAQHAAAVAKLKREKAHCLMRKADLALHKATVALMMADAIKSSNRDTSRDSRRDSRDEER >PAN30486 pep chromosome:PHallii_v3.1:5:20452451:20455031:-1 gene:PAHAL_5G285300 transcript:PAN30486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEGVEHGGGWRANEQVQAPARSPLSCRRAPSIRRRPPSIDPPPASIEPPAAPPLLFLAPAIGRAPPAGRRPRSYCDACHHPRLLATPATAPIPGQPLSGRCVDLRVGSLPSCPSSPARSAGGWAPPNHAHPTSVISTKPPPPLSMRERRKTIACEEMMCVATDNGNNGNLI >PVH38587 pep chromosome:PHallii_v3.1:5:22151730:22153154:1 gene:PAHAL_5G295400 transcript:PVH38587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPYPGVNMVESHDRTARRQLNFALGINMAGVASRRQSKDGEADPSNRPQKVKKEYVTERQVRYVRNQRPTSSDLLRKYEYQYQQHLHREPEEEEYERRTGKRLRKHEDARDHWHCPFFKYCWDSGMSRLPTIRDCPECGPRRPETRDSVFQRMGPAPIRQVRVRSPRKEDEEEDRYHRPRWCPDGLNHSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHAQTQEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLSRLGRSTADLIKTNVTLSDLNGQTSEAQGVLSVELTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN26925 pep chromosome:PHallii_v3.1:5:2999233:3004607:1 gene:PAHAL_5G047600 transcript:PAN26925 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70610) UniProtKB/Swiss-Prot;Acc:Q8RY46] MPPPAAMLLLSAAPGSPSLSLALAPRRAPLRAPRQRRLALRPVRIRAAAAIGGEFGGLGRRRVVVGEFIERLRNVLPGGSWWRLEDGDEAGEGGGRAEGSGTTALSALRRMWGLVAADRWVIYAGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLVSGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDISFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNVLQGAGALIYLLVLSWPLGLCTLLICATLSTIMLVHGRFQKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTVAYGGWSLSLNYLYHSTQVIGVVIGGLAIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSKQLSSKGLKLEKLEGRIQYADVSFSYPSRPTVPVLGRLNLTLNPNEVVAIVGLSGSGKSTIVNLLLRLYEPTNGQILVDGVPLTELDIRWFRERIGFVGQEPRLFRMDISSNIKYGCPGEVSHEEVVWAAKQAYAHDFIMSLPDGYNTVVDDALLSGGQKQRVAIARALLRDPAILLLDEATSALDAESEHYVKSVITKVSRDSRTKKSVVIIAHRLSTIQTADRIIVMENGNIVEDGKHSELIEKNGLYSRLARRQDDDLE >PAN29425 pep chromosome:PHallii_v3.1:5:13877346:13878711:-1 gene:PAHAL_5G225300 transcript:PAN29425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSCQHRSVSLRVFLVSVILLLNAPTGLCGGYKRIFSFGDSIIDTGNFATGPLMEFPFGMTYFKRPTGRICDGRVLVDFYAQALQLPLLPPNLPEKDTGLFPNGSNFAVLGATAMPKDYFRRWNHNLPGWCRLGMQMGWFKDLLHRIAPRDDAKRQILSDSLIVLGEIGGNDYNFWFNGVRPREVAGQFIPDTMATIGSSIQELIRMGAKTILVPNNFPIGCVPDYLSRYRSGNRADYDEHGCLRWFNDFSQRHNRELRGVVARLSARNPGVKLIYADYFGAAMEFVRDQRRFGIGDPLTACCGGDQQPYHTNKGCDRTARIWGDPRGFASWDGIHMTEKAYEVIAQGVSTGPSPTRHC >PAN30025 pep chromosome:PHallii_v3.1:5:17383300:17385921:-1 gene:PAHAL_5G268300 transcript:PAN30025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQCEDCGENLKKPKLAGHFRSCYASKLSCIDCGEFFSQDTVQGHTQCISEAEKYGPKGQSKPSNGAWGKPDKPKPNADVDINVGLSTRPPWFCSLCNTTATSKQTLLLHADGKKHRAKAKAFHASQKQANGAEQTADVKETGAVPTIESAQGNGGKSGDHERDEEKDAGKRKRVDDMAVEEPDNTKRQHLTSSNIGEVIQSKDGKSENKTKSTAGELAGVADCKSVQKQKIKWKKIITKTLKTNPDGVMKLKKLQKLVAKELQECGLTEDKEGLHATLMDKIASSSRFNVDGKRVRLVAKNEDES >PAN32446 pep chromosome:PHallii_v3.1:5:55870149:55874664:-1 gene:PAHAL_5G487100 transcript:PAN32446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKRLMRCCCIKDCSTARRRRRHGCIWGGNVHVVTSQENWDQKIEEANKDGKIVVANFSASWCGPCRVISPVYAEMSQELSKTWGIRATPTFFFLKNGQQMDKLVGANKAELQKKVAAVAGAEQTDDACDRRAIFARSQQLRMVLKRLMRCCCCCCIAKHAGDKEMLDFGVGNVHVVTSKENWDQKMEEANKDGKMVVANFSTSWCGPCRDISSVYAEMSQTYPQLMFLTIDADDLMELSDSWDIRAIPTFFFLTNGQQVDKLVGANKAELQKKVAAVAGQTDDACGSRIG >PAN26801 pep chromosome:PHallii_v3.1:5:2503733:2507373:-1 gene:PAHAL_5G039500 transcript:PAN26801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGAMSDAERMFFFELACRNAEAAYEQNPLDADNLTRWGGALLELSQVRTGPESLKSLEDAESKLEEALKIDPSKADALWCLGNAQTSHGFFTPDTEKANEYFVKATECFQKAVDVEPANDLYKKSLDLSSKAPELHLEIHRQMASQAAATQASSASNPRQSRKKKKDTDFWYDVCGWVILGVGIFAWVGMARANIPPPPPPPAR >PVH37595 pep chromosome:PHallii_v3.1:5:2503733:2506147:-1 gene:PAHAL_5G039500 transcript:PVH37595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVADAESKLEEALKIDPSKADALWCLGNAQTSHGFFTPDTEKANEYFVKATECFQKAVDVEPANDLYKKSLDLSSKAPELHLEIHRQMASQAAATQASSASNPRQSRKKKKDTDFWYDVCGWVILGVGIFAWVGMARANIPPPPPPPAR >PAN27428 pep chromosome:PHallii_v3.1:5:4910436:4911217:1 gene:PAHAL_5G079300 transcript:PAN27428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHLSHIQNNEIHYHYLSYKIQNDLFRIPVRAFIGVCFFIGALALLIWLIYRPRTIQVAVATATLSRFDLNTTASTTPVLSYNLTAVLSFSNPNRRVSIYYDKLQAAGLYQGERFGRAALPVSFQGTRRTDAAPALLVGSSLVDLNAEDSRAGVFPVELWVDGVVRYKFGELTTTTTSTLTVKCHLALKLMVASGWVDCTVIDF >PVH38339 pep chromosome:PHallii_v3.1:5:14807870:14809888:1 gene:PAHAL_5G236200 transcript:PVH38339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTWFGPMPRVTITDPALARDVMSNKFGHFEKPKFPALSKLFADGVANYEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSRWAQSLGPDGCCELDVDPELQTLTGDVISRTAFGSSYLEGRKIFQLQKEQAERLMSIIQKFAIPGYMSLPTKNNRRMRQIKNEVETILRGLICKRMQAMKQGEPTKDNLLGILLESNIRDTDENGQSSLGMTIEDVMEECKLFYFAGMETTSVLLTWTMILLSMHPEWQDRAREEVLGLFGKNKPEYDGLSRLKIVTMVLHEVLRLYPPAIAFSRKTYKEMVIGDATFPAGVILELPVLFIHHDPEIWGSDVHEFRPERFAEGISRASKDRLAFFPFGWGPRICIGQNFALLEAKMALSMILQSFEFELAPSYTHAPHTVIMLRPMHGAQIKLRAI >PAN32309 pep chromosome:PHallii_v3.1:5:55412145:55413137:1 gene:PAHAL_5G477100 transcript:PAN32309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATTLSVKLLIDRKAQRVLFAEAGKDVVDFLFSLLALPVATAVKLVGEGSVAGSVGNLYASVDRLDSTYVQPGAAKDSLLRPAVLSAAAAGSSLLCLPAPPSGQPKSFYRCSYLQTSTCYHYVTDASGTSCPQCGKKMTAVVQYVPPAQKQEQDVYTGATKGFVQGIVTYTVLDNLTVTPMSTISGITLLNTFTVRDLADLQERTAQLGYNEGLAILKASLQSNTVLTDVFLGNKKARRGRA >PAN26352 pep chromosome:PHallii_v3.1:5:280202:283802:-1 gene:PAHAL_5G004200 transcript:PAN26352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETDTRPPSAGSRGRPAPDDNDREDGEITDDSSAPAPPLQPATHPLEHSWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHHPSKLIVGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTMWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANEAAQVSIGKQWKEFLDYKDSIGFIVHDDAKKMEKGPKNRYTV >PAN26958 pep chromosome:PHallii_v3.1:5:3121085:3126057:-1 gene:PAHAL_5G049900 transcript:PAN26958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLGRASSAHLEGGADKRRETMASSSMDTEAAGQELKGGGYTVAATAHAVDSDSWQQVGLLLVIGFNCAYVLSFSNLMMAPLGWGWGVACLLLVGAAAWYANWLLAGLHFIDGQRFIRYRDLMGFVFGRKMYYLTYFLQFTTLLLCNMGFILLGARALKAINVEFTHSPARLQWFITATGIIYFAFAYFVPTISAMRNWLATSAALTLAYDVALLAILIRDGKSNKQKDYNVHGSPAEKVFNALGAVAAILVCNTSGLLPEIQSTVREPAVRGMRRALLLQYTAGAAAYYGISVAGYWAYGSAVSEYLPNELGGPRWAAVLINATAFLQSVVSQHLFAVPIHEAMDTRLQRLEEGMFSRYNLTRRFFARGLIFGFNIFVTALFPFMGDFVNLFGSFALVPLTFMFPSMVVLKIKGKSGGRWSRLWHWGVIVFSSVLCVATTAAAVRLIFNNARIYHFFADM >PAN26556 pep chromosome:PHallii_v3.1:5:1397603:1406109:-1 gene:PAHAL_5G021300 transcript:PAN26556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPKQAKPALPVPKQLKVQHPENEALSRFFLEKWRAMMQEPDGLSENNYLTIVKANRSLCAAKEPIKTLRDFSKIKGVGQWLLRHMEGFFADSSQDLSSVKGKKTRGPKCYLPRKNTAAYAILITLHRAKIRGKEFMMKQELIDAAEASGLSREAIGPNKSKAKQSFGKDWYTGWSCVKTLMSKGLVIKRRSPAEYLLTGEGESTARDCLSRSGLDDSAGPLTMTNAHNASAASQVQTINYCDEGIICCDSDSEEPCRKNILLKGKGPTTDYGQPDYPVSVSPLSSQGIFELQFSSTMGPAKFNMLDNDIAFTDKPMLAMPPRQSNEKFLDGYEVVFILDDREKFGSRSRKVADNICSQIHVPVERCGLRKLIYLVEGDPNHPDAPERIKTACFTTEILDGFDVQRTSGFADTQRRYGHLTRSIIKYYDINFSTYAKTPHVCPTYDEFKRKCCDLEKTTVSEIFALQLMQVPQVTEEAALAVIELYPTPLFLAQAYSALDGDTHAQEEMLKNKSKMVNAGASRNIFELFCGDGRNVRN >PAN26555 pep chromosome:PHallii_v3.1:5:1398676:1405856:-1 gene:PAHAL_5G021300 transcript:PAN26555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPKQAKPALPVPKQLKVQHPENEALSRFFLEKWRAMMQEPDGLSENNYLTIVKANRSLCAAKEPIKTLRDFSKIKGVGQWLLRHMEGFFADSSQDLSSVKGKKTRGPKCYLPRKNTAAYAILITLHRAKIRGKEFMMKQELIDAAEASGLSREAIGPNKSKAKQSFGKDWYTGWSCVKTLMSKGLVIKRRSPAEYLLTGEGESTARDCLSRSGLDDSAGPLTMTNAHNASAASQVQTINYCDEGIICCDSDSEEPCRKNILLKGKGPTTDYGQPDYPVSVSPLSSQGIFELQFSSTMGPAKFNMLDNDIAFTDKPMLAMPPRQSNEKFLDGYEVVFILDDREKFGSRSRKVADNICSQIHVPVEIRKLPVGDGIWIARHRKDHTEYVLDFIVERKEVLDLDGSIADSRYRDQKLRLKRCGLRKLIYLVEGDPNHPDAPERIKTACFTTEILDGFDVQRTSGFADTQRRYGHLTRSIIKYYDINFSTYAKTPHVCPTYDEFKRKCCDLEKTTVSEIFALQLMQVPQVTEEAALAVIELYPTPLFLAQAYSALDGDTHAQEEMLKNKSKMVNAGASRNIFELFCGDGRNVRN >PAN26553 pep chromosome:PHallii_v3.1:5:1397603:1406109:-1 gene:PAHAL_5G021300 transcript:PAN26553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPKQAKPALPVPKQLKVQHPENEALSRFFLEKWRAMMQEPDGLSENNYLTIVKANRSLCAAKEPIKTLRDFSKIKGVGQWLLRHMEGFFADSSQDLSSVKGKKTRGPKCYLPRKNTAAYAILITLHRAKIRGKEFMMKQELIDAAEASGLSREAIGPNKSKAKQSFGKDWYTGWSCVKTLMSKGLVIKRRSPAEYLLTGEGESTARDCLSRSGLDDSAGPLTMTNAHNASAASQVQTINYCDEGIICCDSDSEEPCRKNILLKGKGPTTDYGQPDYPVSVSPLSSQGIFELQFSSTMQGPAKFNMLDNDIAFTDKPMLAMPPRQSNEKFLDGYEVVFILDDREKFGSRSRKVADNICSQIHVPVERCGLRKLIYLVEGDPNHPDAPERIKTACFTTEILDGFDVQRTSGFADTQRRYGHLTRSIIKYYDINFSTYAKTPHVCPTYDEFKRKCCDLEKTTVSEIFALQLMQVPQVTEEAALAVIELYPTPLFLAQAYSALDGDTHAQEEMLKNKSKMVNAGASRNIFELFCGDGRNVRN >PAN26554 pep chromosome:PHallii_v3.1:5:1398676:1405856:-1 gene:PAHAL_5G021300 transcript:PAN26554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPKQAKPALPVPKQLKVQHPENEALSRFFLEKWRAMMQEPDGLSENNYLTIVKANRSLCAAKEPIKTLRDFSKIKGVGQWLLRHMEGFFADSSQDLSSVKGKKTRGPKCYLPRKNTAAYAILITLHRAKIRGKEFMMKQELIDAAEASGLSREAIGPNKSKAKQSFGKDWYTGWSCVKTLMSKGLVIKRRSPAEYLLTGEGESTARDCLSRSGLDDSAGPLTMTNAHNASAASQVQTINYCDEGIICCDSDSEEPCRKNILLKGKGPTTDYGQPDYPVSVSPLSSQGIFELQFSSTMQGPAKFNMLDNDIAFTDKPMLAMPPRQSNEKFLDGYEVVFILDDREKFGSRSRKVADNICSQIHVPVEIRKLPVGDGIWIARHRKDHTEYVLDFIVERKEVLDLDGSIADSRYRDQKLRLKRCGLRKLIYLVEGDPNHPDAPERIKTACFTTEILDGFDVQRTSGFADTQRRYGHLTRSIIKYYDINFSTYAKTPHVCPTYDEFKRKCCDLEKTTVSEIFALQLMQVPQVTEEAALAVIELYPTPLFLAQAYSALDGDTHAQEEMLKNKSKMVNAGASRNIFELFCGDGRNVRN >PVH37515 pep chromosome:PHallii_v3.1:5:1398788:1405856:-1 gene:PAHAL_5G021300 transcript:PVH37515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPKQAKPALPVPKQLKVQHPENEALSRFFLEKWRAMMQEPDGLSENNYLTIVKANRSLCAAKEPIKTLRDFSKIKGVGQWLLRHMEGFFADSSQDLSSVKGKKTRGPKCYLPRKNTAAYAILITLHRAKIRGKEFMMKQELIDAAEASGLSREAIGPNKSKAKQSFGKDWYTGWSCVKTLMSKGLVIKRRSPAEYLLTGEGESTARDCLSRSGLDDSAGPLTMTNAHNASAASQVQTINYCDEGIICCDSDSEEPCRKNILLKGKGPTTDYGQPDYPVSVSPLSSQGIFELQFSSTMGPAKFNMLDNDIAFTDKPMLAMPPRQSNEKFLDGYEVVFILDDREKFGSRSRKVADNICSQIHVPVEIRKLPVGDGIWIARHRKDHTEYVLDFIVERKEVLDLDGSIADSRYRDQKLRLKRCGLRKLIYLVEGDPNHPDAPERIKTACFTTEILDGFDVQRTSGFADTQRRYGHLTRSIIKYYDINFSTYAKTPHVCPTYDEFKRKCCDLEKTTVSEIFALQLMQVPQVTEEAALAVIELYPTPLFLAQAYSALVGW >PVH37516 pep chromosome:PHallii_v3.1:5:1398788:1405856:-1 gene:PAHAL_5G021300 transcript:PVH37516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPKQAKPALPVPKQLKVQHPENEALSRFFLEKWRAMMQEPDGLSENNYLTIVKANRSLCAAKEPIKTLRDFSKIKGVGQWLLRHMEGFFADSSQDLSSVKGKKTRGPKCYLPRKNTAAYAILITLHRAKIRGKEFMMKQELIDAAEASGLSREAIGPNKSKAKQSFGKDWYTGWSCVKTLMSKGLVIKRRSPAEYLLTGEGESTARDCLSRSGLDDSAGPLTMTNAHNASAASQVQTINYCDEGIICCDSDSEEPCRKNILLKGKGPTTDYGQPDYPVSVSPLSSQGIFELQFSSTMQGPAKFNMLDNDIAFTDKPMLAMPPRQSNEKFLDGYEVVFILDDREKFGSRSRKVADNICSQIHVPVEIRKLPVGDGIWIARHRKDHTEYVLDFIVERKEVLDLDGSIADSRYRDQKLRLKRCGLRKLIYLVEGDPNHPDAPERIKTACFTTEILDGFDVQRTSGFADTQRRYGHLTRSIIKYYDINFSTYAKTPHVCPTYDEFKRKCCDLEKTTVSEIFALQLMQVPQVTEEAALAVIELYPTPLFLAQAYSALVGW >PAN33098 pep chromosome:PHallii_v3.1:5:58936212:58937249:1 gene:PAHAL_5G533600 transcript:PAN33098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFPGGEMCMEGSRPAGRRGAKKQAAAEHKANRQPQRGLGVAQLEKIRLHNQMMAAYRSAGGGLHPAATAAQQAPFAAPVPAGASSFQPYFTNCFEETERGIVPVRVQQYYDGHHHLPYGSSPPPPSLFAHGVRDSSGHRLGQPPLQQYCLMSSASDGSRSSHGSAEELDLELRL >PAN31332 pep chromosome:PHallii_v3.1:5:51328152:51330565:1 gene:PAHAL_5G415100 transcript:PAN31332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKQTLFKGQSKKKTVPPNRHGKAPHVRKGKRVVKPTKFTKDMDADKELTKFINQCNEIKAANLASKEGGDLNIVKADGDQSKSKK >PAN32170 pep chromosome:PHallii_v3.1:5:54880997:54885409:-1 gene:PAHAL_5G469100 transcript:PAN32170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MSSSPPSRASAAAYGCFHRFLLASTTVPARSGCSERARSLTIALRCLPIAGHRPRSRRIACQAMTETDPEGNGDDEEKEVFGDDASSPSVDSVAEVNGPAESDSNIDNVVSGDDASSPSADSVAEVNGPAESYSNIDNKKDETTNAELLTSSDAVQNTDGDATTTNDTQENVEVVEVASGSPLPGMKQQLDDAERIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLQNKFGDQYKLFLLVNPEDEKPVAVVIPRQTLQPQTTAVPEWFAAASFGLVTIFTLLLRNVPVLQDNLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILAARESGVKLGVPYFVPSWQIGSFGAITRIVNIVRNREDLLKLAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPTVFHESFLVGGLAKLLLGDAVKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIALAMWGRKVSSRLSSLTIGLLGLAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGIAILLFGLLVCLPYPFPFDPSQLTDIDFDL >PAN32341 pep chromosome:PHallii_v3.1:5:55530017:55532327:-1 gene:PAHAL_5G479800 transcript:PAN32341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAESSRAREEAAEREARQQHPWVALALIPLVYGADDAGAEAMAPGIADLMLHSEAPPRASYLVLPDRLAPDARRRDNHGLCIVGSAPARLLVRASHQGEGRDDADIAEYLLCDTITRTGTRLPALPPEFPIKPFPRRTMGLVADPRCPGHHMVVRLHPTPSTCFRRHAALLCYSTATGRWALKPLASAPNHEPWDEHGVFAHDGLLWWVDVAYGLLACDPFDNYPRLRFVPLPAGCEMHGLGDRPRPTARLMDQRRLIRPSQGMLRYVEIQGLSYDRAAVDDAWINPTVSMWTLVDPEGPHPWRFECEASFADIWAHDSYVAAGLPQGKVPKLALVDPNNHDVVYFFQDKVLFALHVRAGRVLACQECLVDCQVQNLEFQYSRFVQAWEWELPPKFPGEDPSSSDAMSGTIGVDDEEDGSAFSRELARFHRSHIVLINVRNPEEVPQAPLHADQSAALQRSIRNVQCNMRQELVSTLTGLATKATMPLAVTSLAVVRQTCPPPLGRLAVPSAPAALLDLPDVAAQAR >PVH39344 pep chromosome:PHallii_v3.1:5:55662534:55664637:1 gene:PAHAL_5G483100 transcript:PVH39344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPCQPLQEGKELQGLQPYDACDPSVFIGPVLLPRQASSGPPAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMVPDTRQMDKATLLARVVDQVKLLKRKASEATQSMALPPETNEVSIELHSGDNGGVVAAPTR >PVH39345 pep chromosome:PHallii_v3.1:5:55662534:55664637:1 gene:PAHAL_5G483100 transcript:PVH39345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPCQPLQEGKELQGLQPYDACDPSVFIGPVLLPRQASSGPPAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMVPDTRQMDKATLLARVVDQVKLLKRKASEATQSMALPPETNEVSIELHSGDNGGVVAAPTR >PVH39346 pep chromosome:PHallii_v3.1:5:55662681:55664637:1 gene:PAHAL_5G483100 transcript:PVH39346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPCQPLQEGKELQGLQPYDACDPSVFIGPVLLPRQASSGPPAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMVPDTRQMDKATLLARVVDQVKLLKRKASEATQSMALPPETNEVSIELHSGDNGGVVAAPTR >PVH38990 pep chromosome:PHallii_v3.1:5:50953980:50955136:-1 gene:PAHAL_5G412000 transcript:PVH38990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRRTKLEEARRAARRRVSKLAIIAACVALLVCGGSCGGRRSNKQRKEKRPQGNAAKK >PVH39168 pep chromosome:PHallii_v3.1:5:53416042:53416918:1 gene:PAHAL_5G447100 transcript:PVH39168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAARSSSSRLLVSSALLLLLLPAPQPAAADGATYPADCPYPCLPPPAAPAVTANCPPPPPSSSSSYAYPPPAPSSSSWSYPPPPGGYIPYYQPPAGGGGGGGGGGGGGGGSVYPGPPPPNPILPWYPWYYRTPPPASAASSARGRSALAAAALLAAAGLLIMV >PAN28271 pep chromosome:PHallii_v3.1:5:8471890:8474342:-1 gene:PAHAL_5G141200 transcript:PAN28271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MRNQATPAAVTESAELVLPLLPPRDLAAAASACRALRAAASAVTTRRAADAARGLEPLPIPFHNRVDSKPYAYFLYTPFSLARLSPSASSSPGAQPWGGAWARPPVPTWPRPGLDGLPSAVCGCACEAGECGGPGCACADAEADGAGLGSEGGMGSLGECGDGCACGPSCGNRRTQRGVAVQLRVVRHLQKGWGLHAAEALGRGQFVCEYAGEFLTTEEVWRRQRIYDELASAGKLAPALIVIREHLPSGKACLRVNIDATKVGNVARFINHSCDGGNLHPVLVRSSGSLLPRLCFFAARDIVEGEELTFSYGDARVRPKGLPCFCGSLGCCGVLPSEET >PAN29098 pep chromosome:PHallii_v3.1:5:12272927:12278227:-1 gene:PAHAL_5G202100 transcript:PAN29098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRLTPEEPEMPVGTPPRPQLPASVAGAGGGSGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAATASANFPSAASDYCSDKEDQDPGDVEGSMLGLQSYWDASYSEDLANFQEHGHAGEIWFGADVMDTVAVWTKSLCNIIQGGIPSGDDSIKSEVDEQLFSNYSVLDVGTGNGLLLQALAKQGFTNLTGTDYSEGAIELARNLATRDGFDSIKFLVDDILETKLDRKFKIITDKGTLDAIGLHPDGRAKRIMYWESVSNLVEPGGIVVITSCNHTKDELLQEVEDFSKRKFGKETMDEGAGPVSQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRM >PAN30050 pep chromosome:PHallii_v3.1:5:17656222:17671267:-1 gene:PAHAL_5G270000 transcript:PAN30050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKACFCGPVAIELCSMGGIAECGVSVDTKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVTDQIAEQNVISEAKGSFAFAASPHGDSVESSGKPGNSLCREGNTADNLMLLDGDTSNIGGEKLPKRGTKRANAAQAEQFTDCDGQNNAKEEDSGLFRLGPKSQAYARRRSKSARDNSNSALVRHPPVPPVSSQKKDDTGLIPEAKTEDNGVSSVGDSKPNSPNCQNMLKNAPLNDNVEMDTGGVQAIYEGDQTSKNELSNSNNGNQAMEISPNSVTDNSHLTVGDQMATATASAVSPDAISKEAASNIVSSLPSISNEILKEAQTPEKAGNSPSTVSAVDIHADSMDNKGPTTDSAVKSACLNENEVDPTHAYATNAANEHPGQNEDLAPVKAGEMVDEGLNKILPEDKDDKKDSQLEVSSQPVALDDSSRQPELSCSVGVKDERELCNNAVDAQKDTKQLATSNEDKGNKEECSDSHRNNTSESSGAQKLACVTVPPASITGDAINPVENDVEKSTLDQEKIAKKECEDSIVAKKDHEDAILRRARYIEANIKRAGERSLCNVSLEKKRKSHWDFVLEEMAWMANDFMQERLWKSAAAAQMSHWISSSGRVLFEEASTQRKQKSVARILANGVMHFWRSIDTSRGSGGMSKPMQIEQSNDLEEKKLGDVKAGKQEDEENLEQDKSRKSVISYALRVLEYNRNASECLPLAEAPPTPDRLNDFGILKVPDQLSEANLFYGVAPGAMQAYRESMERLFVYNKKIGNTVLKDDYEPSTYASVSDVPMENVYGEDEAEGRTYLLPGAYDGGLASKLSYKKKHPVPQRMNGARPYEIGSDMPYEPFMESKPGNQQFVPNGKRTTDFLSIPIKRIRTAARQRVVSPFPAGVSGTPQFTSKTDASSGDTNSCQDDQSTLHGGSYSRKNADIESTVDFDRQLVYDGTEVSTKSKKKKKPKHPGYKTPQSVAESCSLMAPGKGTYDPRPQVDLVAQYEQKDYMKKRLETHQFDSNGNFVVNGQHAAKKPKLTNQAPDIALEALTPVGPIASPAASQMSNMANPKVIKISTRGRKSKGLKMAAGHSGPGSPWSSFEDQALVVLVHDMGENWELVSDALNSIIQLKCIYRRPKECKERHKLLTDKSSGDGADSADDSGSSQHYPSALPGIPKGSARQLFQRLQGPFEEETLKTHFEKIIFLGQKLHQIRRKGEIQELRQINPLHTSHVFALSQACPGNLSGVLLTPLDLCDGPSNSDTLSIGYQGSHTSGLALPNNHGSIGPTLPTSNVNSRLPGSPGMVLGSNSSLPMNAPSRDAPRYGVPRPTSLQGDEQSRIHYSQMVNGRSLQQPGVPVPGVLPSGVDRGVRMMPPAHGVGIMTGLNRGSPVTRPGFPRVGSPGMANVLPHGNMSPNNGQGLQNTVNVHPGAIPGPGNTMLRPRDPMQMLRAVQNSEEHRQMMLPEFQIQVSQGNNQVVHFSGPPFSNAGGSSPVQSFPVQQSQPHQLPQQPHMYGNTHLAHTQGTNQSNPQQQQAYAMRLAKERHIQQMVPQQQRPLPGSNAVPTVQNGAQMQQQSQGSAAGVIPASQPQRKQQHPAQNPLANPMLPHQPSANTSHKQKKQQGQQQPRQNQQQRNQGSQQAKLMKSLGRGNMMHQPPVDASQASGISANCKNQVPDKNVMQQGPGHLVGSKGSIPSIPQPGSQPKVYTTQMPLSPMQTPDVSNQGAVKGSSNHALLTSQQGQLHSPSQLATQQQQLRYMNPSQNNIQRLMMQQNRHMNTDGRTELPVDQVQHNQVMSSASLARSTDSGSPGISSMSQRKQESSLDPSAVTSTPHLASSPQDTFVGSDKLLPSSSQSMLQRQMSGGMPIHGHDIGGQLQQQQSRQHLQSQQQQQQQQQRPVVQGSIYAHPSNSGPG >PAN30049 pep chromosome:PHallii_v3.1:5:17656293:17671225:-1 gene:PAHAL_5G270000 transcript:PAN30049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKACFCGPVAIELCSMGGIAECGVSVDTKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVTDQIAEQNVISEAKGSFAFAASPHGDSVESSGKPGNSLCREGNTADNLMLLDGDTSNIGGEKLPKRGTKRANAAQAEQFTDCDGQNNAKEEDSGLFRLGPKSQAYARRRSKSARDNSNSALVRHPPVPPVSSQKKDDTGLIPEAKTEDNGVSSVGDSKPNSPNCQNMLKNAPLNDNVEMDTGGVQAIYEGDQTSKNELSNSNNGNQAMEISPNSVTDNSHLTVGDQMATATASAVSPDAISKEAASNIVSSLPSISNEILKEAQTPEKAGNSPSTVSAVDIHADSMDNKGPTTDSAVKSACLNENEVDPTHAYATNAANEHPGQNEDLAPVKAGEMVDEGLNKILPEDKDDKKDSQLEVSSQPVALDDSSRQPELSCSVGVKDERELCNNAVDAQKDTKQLATSNEDKGNKEECSDSHRNNTSESSGAQKLACVTVPPASITGDAINPVENDVEKSTLDQEKIAKKECEDSIVAKKDHEDAILRRARYIEANIKRAGERSLCNVSLEKKRKSHWDFVLEEMAWMANDFMQERLWKSAAAAQMSHWISSSGRVLFEEASTQRKQKSVARILANGVMHFWRSIDTSRGSGGMSKPMQIEQSNDLEEKKLGDVKAGKQEDEENLEQDKSRKSVISYALRVLEYNRNASECLPLAEAPPTPDRLNDFGILKVPDQLSEANLFYGVAPGAMQAYRESMERLFVYNKKIGNTVLKDDYEPSTYASVSDVPMENVYGEDEAEGRTYLLPGAYDGGLASKLSYKKKHPVPQRMNGARPYEIGSDMPYEPFMESKPGNQQFVPNGKRTTDFLSIPIKRIRTAARQRVVSPFPAGVSGTPQFTSKTDASSGDTNSCQDDQSTLHGGSYSRKNADIESTVDFDRQLVYDGTEVSTKSKKKKKPKHPGYKTPQSVAESCSLMAPGKKDYMKKRLETHQFDSNGNFVVNGQHAAKKPKLTNQAPDIALEALTPVGPIASPAASQMSNMANPKVIKISTRGRKSKGLKMAAGHSGPGSPWSSFEDQALVVLVHDMGENWELVSDALNSIIQLKCIYRRPKECKERHKLLTDKSSGDGADSADDSGSSQHYPSALPGIPKGSARQLFQRLQGPFEEETLKTHFEKIIFLGQKLHQIRRKGEIQELRQINPLHTSHVFALSQACPGNLSGVLLTPLDLCDGPSNSDTLSIGYQGSHTSGLALPNNHGSIGPTLPTSNVNSRLPGSPGMVLGSNSSLPMNAPSRDAPRYGVPRPTSLQGDEQSRIHYSQMVNGRSLQQPGVPVPGVLPSGVDRGVRMMPPAHGVGIMTGLNRGSPVTRPGFPRVGSPGMANVLPHGNMSPNNGQGLQNTVNVHPGAIPGPGNTMLRPRDPMQMLRAVQNSEEHRQMMLPEFQIQVSQGNNQVVHFSGPPFSNAGGSSPVQSFPVQQSQPHQLPQQPHMYGNTHLAHTQGTNQSNPQQQQAYAMRLAKERHIQQMVPQQQRPLPGSNAVPTVQNGAQMQQQSQGSAAGVIPASQPQRKQQHPAQNPLANPMLPHQPSANTSHKQKKQQGQQQPRQNQQQRNQGSQQAKLMKSLGRGNMMHQPPVDASQASGISANCKNQVPDKNVMQQGPGHLVGSKGSIPSIPQPGSQPKVYTTQMPLSPMQTPDVSNQGAVKGSSNHALLTSQQGQLHSPSQLATQQQQLRYMNPSQNNIQRLMMQQNRHMNTDGRTELPVDQVQHNQVMSSASLARSTDSGSPGISSMSQRKQESSLDPSAVTSTPHLASSPQDTFVGSDKLLPSSSQSMLQRQMSGGMPIHGHDIGGQLQQQQSRQHLQSQQQQQQQQQRPVVQGSIYAHPSNSGPG >PAN30048 pep chromosome:PHallii_v3.1:5:17657403:17670047:-1 gene:PAHAL_5G270000 transcript:PAN30048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKACFCGPVAIELCSMGGIAECGVSVDTKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVTDQIAEQNVISEAKGSFAFAASPHGDSVESSGKPGNSLCREGNTADNLMLLDGDTSNIGGEKLPKRGTKRANAAQAEQFTDCDGQNNAKEEDSGLFRLGPKSQAYARRRSKSARDNSNSALVRHPPVPPVSSQKKDDTGLIPEAKTEDNGVSSVGDSKPNSPNCQNMLKNAPLNDNVEMDTGGVQAIYEGDQTSKNELSNSNNGNQAMEISPNSVTDNSHLTVGDQMATATASAVSPDAISKEAASNIVSSLPSISNEILKEAQTPEKAGNSPSTVSAVDIHADSMDNKGPTTDSAVKSACLNENEVDPTHAYATNAANEHPGQNEDLAPVKAGEMVDEGLNKILPEDKDDKKDSQLEVSSQPVALDDSSRQPELSCSVGVKDERELCNNAVDAQKDTKQLATSNEDKGNKEECSDSHRNNTSESSGAQKLACVTVPPASITGDAINPVENDVEKSTLDQEKIAKKECEDSIVAKKDHEDAILRRARYIEANIKRAGERSLCNVSLEKKRKSHWDFVLEEMAWMANDFMQERLWKSAAAAQMSHWISSSGRVLFEEASTQRKQKSVARILANGVMHFWRSIDTSRGSGGMSKPMQIEQSNDLEEKKLGDVKAGKQEDEENLEQDKSRKSVISYALRVLEYNRNASECLPLAEAPPTPDRLNDFGILKVPDQLSEANLFYGVAPGAMQAYRESMERLFVYNKKIGNTVLKDDYEPSTYASVSDVPMENVYGEDEAEGRTYLLPGAYDGGLASKLSYKKKHPVPQRMNGARPYEIGSDMPYEPFMESKPGNQQFVPNGKRTTDFLSIPIKRIRTAARQRVVSPFPAGVSGTPQFTSKTDASSGDTNSCQDDQSTLHGGSYSRKNADIESTVDFDRQLVYDGTEVSTKSKKKKKPKHPGYKTPQSVAESCSLMAPGKGTYDPRPQVDLVAQYEQKDYMKKRLETHQFDSNGNFVVNGQHAAKKPKLTNQAPDIALEALTPVGPIASPAASQMSNMANPKVIKISTRGRKSKGLKMAAGHSGPGSPWSSFEDQALVVLVHDMGENWELVSDALNSIIQLKCIYRRPKECKERHKLLTDKSSGDGADSADDSGSSQHYPSALPGIPKGSARQLFQRLQGPFEEETLKTHFEKIIFLGQKLHQIRRKGEIQELRQINPLHTSHVFALSQACPGNLSGVLLTPLDLCDGPSNSDTLSIGYQGSHTSGLALPNNHGSIGPTLPTSNVNSRLPGSPGMVLGSNSSLPMNAPSRDAPRYGVPRPTSLQGDEQSRIHYSQMVNGRSLQQPGVPVPGVLPSGVDRGVRMMPPAHGVGIMTGLNRGSPVTRPGFPRVGSPGMANVLPHGNMSPNNGQGLQNTVNVHPGAIPGPGNTMLRPRDPMQMLRAVQNSEEHRQMMLPEFQIQVSQGNNQVVHFSGPPFSNAGGSSPVQSFPVQQSQPHQLPQQPHMYGNTHLAHTQGTNQSNPQQQQAYAMRLAKERHIQQMVPQQQRPLPGSNAVPTVQNGAQMQQQSQGSAAGVIPASQPQRKQQHPAQNPLANPMLPHQPSANTSHKQKKQQGQQQPRQNQQQRNQGSQQAKLMKSLGRGNMMHQPPVDASQASGISANCKNQVPDKNVMQQGPGHLVGSKGSIPSIPQPGSQPKVYTTQMPLSPMQTPDVSNQGAVKGSSNHALLTSQQGQLHSPSQLATQQQQLRYMNPSQNNIQRLMMQQNRHMNTDGRTELPVDQVQHNQVMSSASLARSTDSGSPGISSMSQRKQESSLDPSAVTSTPHLASSPQDTFVGSDKLLPSSSQSMLQRQMSGGMPIHGHDIGGQLQQQQSRQHLQSQQQQQQQQQRPVVQGSIYAHPSNSGPG >PAN30199 pep chromosome:PHallii_v3.1:5:33714873:33715802:1 gene:PAHAL_5G332100 transcript:PAN30199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPITKTRKPNAQWDSNAAKNFNEICVEQVLANNRPQDCLNNKGYANLINQFNQRTGRNYTRVQMKNRWDALKADFTTWKTLLLSASGLGRDPKTGTIAASNEWWEEKIEAMPLCKKFRFAPLENEEDVEIMFSGASCTNANAVAPGAREGSADNGSEDVQEVHPSSAEKQPAKRGAAYKSPKKPKKNFRDVQFKRFVDSFVEKASSSSATSAPTDHVRQEIAEMLQSVIEAGACEGSDEHFYATQLLIKKEFRDVFVTLKTPEGKLGWLKRTWEERKKR >PVH39229 pep chromosome:PHallii_v3.1:5:54342503:54344443:-1 gene:PAHAL_5G460400 transcript:PVH39229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTRAQQPKVEDPQDAGAAASTGNPAKPLRRAKQPRQPKAGAAKKPGAATARAAAAAVAAAANAASAAPSPGPESAQTVPDVCAYYAGEVAAGARPVDWDLDAGLDAAAWWTWGVDEEKLLGWFPFVEEDFRCAGGRAGDAEVAAFDHDIWSIW >PAN30213 pep chromosome:PHallii_v3.1:5:44443533:44446091:1 gene:PAHAL_5G369700 transcript:PAN30213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRPGESSEKLMFSLAQSNARLLTTRISEPPGFSPSFDFEHTDCFGIIYRPAILCQQGMLLLNQVFQQMKTSFFFSRNLRWDPRIVNHLDALLRPVLKFTIRAILEVASSEGSPKLCSFFSSSFSATFFRTVVTPIECM >PVH38850 pep chromosome:PHallii_v3.1:5:44443954:44445641:1 gene:PAHAL_5G369700 transcript:PVH38850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRPGESSEKLMFSLAQSNARLLTTRISEPPGFSPSFDFEHTDCFGIIYRPAILCQQGMLLLNQVFQQMKTSFFFSRNLRWDPRIVNHLDALLRPVLKFTIRAILETTFSIAGGKQ >PVH38851 pep chromosome:PHallii_v3.1:5:44443533:44446091:1 gene:PAHAL_5G369700 transcript:PVH38851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRPGESSEKLMFSLAQSNARLLTTRISEPPGFSPSFDFEHTDCFGIIYRPAILCQQGMLLLNQVFQQKLTLGSKDSESPGCIA >PVH38631 pep chromosome:PHallii_v3.1:5:25536487:25538236:1 gene:PAHAL_5G308400 transcript:PVH38631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFASSVISYESESSREPTPEYDPIATYEVRAPLHWDAEEWDFRYQSEDDESLTDGEDLALLLGAELEEDEDDATWGEDLSLSEEKTDSISSKEDPMAGTFLFDRSSDDTSDGRKGAEDDDSFTSSSGGDDNDSRSDSSSSGTSIAPPSKRRKTSGVYWW >PVH38546 pep chromosome:PHallii_v3.1:5:20554791:20556707:1 gene:PAHAL_5G286200 transcript:PVH38546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSASARSPFVPPAPAAGLGSGDLGDEVEELSSIGGRGSIAGRAGSGGVGGGRGRVALSAGRGRAALGAGRGQGGLGAGQGMSDLGSQAGEEMAQRKGKRNVQDTGDSIDWTNANTVLICSLFAKQVNKGNRPNTHLNSVGYDEVIEDFFNLTGIRLSKRQTKNKWDKLKPDFLAWRKLMRKQTGPGWDRARGVIDMDDEWWKKAKAEIPGCGKFRKKPLQNEDDLSVIFGDIINDQSDHWNPMSSNPIIPPSQEVPGDGDSGNLHEFPDDCDHDSAIGDESDYPQEISPSPTILLANKRNQPAKKPRIGTALVIQEQVTKIAESASSFTPKKLGEVTIQQVMDLVLECGAGYDTDEHYIATELFVKKDQREMFMTLPTNEIRFNWLRRKYNAKYSN >PAN31963 pep chromosome:PHallii_v3.1:5:53824778:53832264:-1 gene:PAHAL_5G453100 transcript:PAN31963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQESNSVCLKRKLVDDCLSKDCKSRRVKSENGPSFDSSAKRCNCCCTRPNLANDCVNFLKSGAPSRIMYYKQGSWHNFPEQIMKSLIEEFRGNKSSVVSVMDDEPILVDFLSMTLVNLKTRKQRSVAWFDDTGKRFFPSLFFDEESDELAKGNSGNADSTAQGIMVDKVASSPPEVVKQVVLESSPPAPEKPSTVDILRKKITSVERGSESFLFVQDLFLSGMGPFATPSNILHIHRYSPNDITAQCRFEAFERQMKATKEARGDANVRYGWLGSRKNDIVRILINGLGTTANPIEKAGLSAGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRMILGNMEAVEPGSQESFPSSEIYDSGVDDCSNPKCFVMWPSHLSTHIRLEYLVSFKLVSKVRHYLLDLKGLWFHPSPKEAAMDISTLQPVTCETGEGPTSPWISFRVLFGVIQDNISSVAKELLFHHYEELKESIISREEMVKKMIIIVGEKILLEALKKLHYCPSLWYKPSVEAVSSHPVMAAQEQLSLDKAGGNCSLTLNVNDGDSHAPNAVAEHATVSNKGCDALATDMVPNGHECLAASGVPETSNSASVICGSSTSVEPKGRDSHMQIVPPGTSATLCAKNHGSSMGRMAPIVHDGLLRTISGNSASPGQVCKSATPIAGHSGYASLAQTNASKPHGVSAPGLTSKGYESAVPSLALGNSKSTGVKRLNSAPRMTPEGQEFLSLGIASRPPHLVKLQAGLTSVAIPPVHMPGRGKSSSMSTEGRDSLTLSITPKCNGGPALSQAPKRHESPIADTSTKGHDSLALGITPKGNGVPASSKVPKCHESAIADTGTKGHDSLALSITPKGHDGTASSKTPKQLADTLPESGHSQGQDVATKVYRAPKPITGEPKKEQAAVPAAENKPSGPSLDASSHVTGAANALVALSTLREKGGH >PAN32311 pep chromosome:PHallii_v3.1:5:55421284:55422121:1 gene:PAHAL_5G477300 transcript:PAN32311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAAVALSMKLLVDRKARRVLFAEAGKDVVDFLFSLLALPVATAVKLVGEGSVAGSVGNLYASVDRLDSTYVQPGAAKDALLSPTVLLPAGGASSSLLRLPEPSSVEPESFYRCTNTSNDNCSSYITDEHGKSCPTCRCSMTTAAQYLSPARSDQQTAGGSAEGLVQGVVTYTVMDNLAVTPMSAISGITLLNTFAVRDLADLQEKTVQLGHDEGLAILKASLESKTVLTDVFLGDKKAPGGVA >PVH38602 pep chromosome:PHallii_v3.1:5:23173828:23175104:1 gene:PAHAL_5G299500 transcript:PVH38602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMISSSTAAAVVTAHREAIWEGERRKQPQTMPVPTASTRNHHLRRLLILLHRHLQPPPPSRQIGRQSGKERGGSKHRPRG >PAN32916 pep chromosome:PHallii_v3.1:5:58130048:58132337:1 gene:PAHAL_5G521800 transcript:PAN32916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHKIETGHQDVVHDIAMDYYGKRLATASSDNTIKIIGISGTSHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGQVIIWKEGSKPDEWAQVHTFVEHKSSVNSIAWAPHELGLSLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALITAGPSGQYEYVQKLTSGGCDNTVKVWKLTNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTSAKEGEQWEGRTLYDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >PAN27958 pep chromosome:PHallii_v3.1:5:7263417:7266489:-1 gene:PAHAL_5G120600 transcript:PAN27958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAASRHVVAVPFPGRGHINPMLVVCRQLAAADTALAVTVVVTEEWHALLAAAGVPATLPERVRLATIPNVIPSERGRGADHVGFIEAVHAKMGEPVERLLDRLALERRPDAVVVDTYLTWGVAAGAARGIPVCSLWTMPATFFLALYHMDQWPPVDGPEGEEGQSCKSLDQYLPFPTLSSVKCSDIKVFRSWELPMKRAAQVFSNVRKAQCVLFTSFYELEAGSIDGISQVLPCPIYTLGPSIPHTPPEGDSEKIQREKYSDWLDAQPKNSVLYVSFGSYVSMPSSQLEEVAMGLHDSAVRFFWVARDKATTTTLQQISGDKGLVVPWCDQLKVLSHPSVGGFLSHSGWNSTLEALFAGVPVLAFPVAWDQLVNARLLADEWKVGINLKEQRREDGIVSRATVSSAVTKLMDFGDDSRQETRRRSAELRDASQRAIQEGGSSSRSSNSLVRDLIEGRLNVAETSHSQ >PVH38445 pep chromosome:PHallii_v3.1:5:16728078:16729558:1 gene:PAHAL_5G259800 transcript:PVH38445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLLEQGTRSSTPDPSLAISTRRSRRPRLVVLLANLRLLELGTQFR >PAN28422 pep chromosome:PHallii_v3.1:5:9108826:9110564:1 gene:PAHAL_5G151600 transcript:PAN28422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATPPPQAARPAPSAAAAAKRVSGGAGGPLMGKYELGRLLGHGTFAKVYHARHVGTGESVAIKVLDKEKAVKSGLVSHIKREIAVLRRVRHPNIVHLFEVMATKSKIYFVMELVRGGELFSRVSKGRLREDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDEHGNLKVSDFGLSAVADQFRPDGLLHTFCGTPAYVAPEVLGRRGYDGAKADVWSCGVILFVLMAGYLPFHDKNLMAMYKKIYKGDFRCARWFSKDLASLLMRILDTNPNTRITLPEIMESRWFKKGFKPVKFYIEDDKLHSVIDDEDGLLDMGPADPLPPPLPPPPPPLPQQKFDGDDSGSESDSSVSSCPASVLSDESQRPRGSLPRPASLNAFDIISFSRGFNLSGLFEEKGDEVRFVSAEPMSDIITKLEDIAKLKSFKLRRKDWRMCLEGTREGVKGPLTIAAEIFELTPPLVMVEVKKKAGDNEEYEDFLNKELKPGMQHLVHHMVRTPSMTTDAE >PVH38641 pep chromosome:PHallii_v3.1:5:26666787:26667940:1 gene:PAHAL_5G311200 transcript:PVH38641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIAVGPFGGAQGTSHDMTGTSKKLQSVTVYSSKDGAGGHINGISFSYENDQGSTTSVDTWGTAAGSKATFTIPAGAYLANLSGTFDNNVKSLTFVTSDGEPYGPYGDPAAGKGFEIPMHKGAIVGFFAHSGGVLNSLGAYVGAQP >PVH38374 pep chromosome:PHallii_v3.1:5:15646708:15647644:-1 gene:PAHAL_5G247200 transcript:PVH38374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLKTLHSFYKRKRDEQVVGEGEDLFDCPLALGLPGLEPQRQEEQEGTAGGEENN >PAN29730 pep chromosome:PHallii_v3.1:5:15618893:15619466:-1 gene:PAHAL_5G246700 transcript:PAN29730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGGGGRRGAGDGVRRQLQSVGRLAAYLGGGFLLLSAASSVAVRSLRALSDANQRKFATPCGACEGKGTYACRLCRGSTTIEWSPMHDPVFVNPCLCPTCEGTRVQRCLNCLGKGCA >PAN29731 pep chromosome:PHallii_v3.1:5:15616917:15619779:-1 gene:PAHAL_5G246700 transcript:PAN29731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGGGGRRGAGDGVRRQLQSVGRLAAYLGGGFLLLSAASSVAVRSLRALSDANQRKFATPCGACEGKGTYACRLCRGSTTIEWSPMHDPVFVNPCLCPTCEGTRVQRCLNCLGKGCA >PAN26931 pep chromosome:PHallii_v3.1:5:3038837:3039489:1 gene:PAHAL_5G048100 transcript:PAN26931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYQEVDYCCSEEVRSMASPAGFGRHGGVQQHVVKEKFEEVDKVSRTGGHGPGHFEARESKFEEDINTGTGEFHERKENFAVRVE >PAN27044 pep chromosome:PHallii_v3.1:5:3497628:3504675:-1 gene:PAHAL_5G055300 transcript:PAN27044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVLSTVRWATACAALLNAAAASAGAAVAAVALRRCGGGGALGPAAAVASAASAARLLASAVAGFAQGAAASAIAAGAIGAHVDSERDLRQLSRLRYKRWLWWTRFGMVITMLQFVSAIYLMCIIMKDILAGGSLKQCFSGQNQGNNDWKRILIITFLVSMWVAIIVQCATGSDVLRWRSFYASHDIAWRAHYREVFDHGIREVLCCLGRVKYSSVLEDDDICVVAKLLGDLMAYRASGTGHLELVAGLSLLQKSKLSTVISKELVEAPQDLIQEAVLFHPFAEAAYTGPLLDFGRNPVMFPCVWLNRQGVLTPWTRLRRPILEGDNCWRGHAAAFLKYANVAPEVLRKGRVSQTKREAAYFVVVLHDLSTVVIAIRGTETPEDVITDGLCKECNLTMDDLDGLINSDQLSPQLKNTVLSSFPHYGHAGIVESARELYAVLEGQPIHQDKSDTVTAGFLSSLLGDGCECNGYNIEIVGHSLGGSVAALLGIKLYRRFPKLHVYAYGAAPCVDYVIADACSQFVTSIVHNDEFSARLSMNSVIRLRSLAVKALSKTSPNSAKVGKLVGGIMNARTDEENAIEHCASIGALQTASEPKLSNDQMRGRNPMHTIRGGLFLFGKAISCLVNTPKYRISSTAAINYELGRSRMTTASDGGKCIVVSRGFSDVSHCGEASSAHRENGIREGDFYERGEGYRLPRFNNGTELTSASNDHISTISSSEGQSPEVYLPGLVVHVVPVKESTSPLQKTTVTRRKNKSYKAFIANRKDFIDLVVTPRMFLDHLPWRCQYAMQKVIETRKREQLTHDMSAAEDAV >PAN27243 pep chromosome:PHallii_v3.1:5:4251971:4256096:-1 gene:PAHAL_5G069000 transcript:PAN27243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDLELCSYYLKRKIMGKKLLVEAISEVELYKYAPWDLPDKSCLQSRDMEWYFFCPRDKKYANGSRTNRSTPFGFWKSTGKDRTIVLNTRIVGMKKTLIFHEGKAPRGDRTDWVMYEYRMEDSELDVAGFSKDAYVLCKIFKKSGLGPKLGEQYGATLNEEEWDNVNTETAFPLMPCPSSEVVGATNEPPCQHTVASTSSVVKEPHVLTVASADGLPFEFSTSSITAIDELHVHTLKHNGSEMATANCASGALDACDPTEFSIISLEEIDKWASLNDSAHNDGGASEKLASLPDISEAEAQALEMNSDYCYNELVRLVESGVSTANSLSPGYVNTECLNPPMISGFGAVDDYLEINDLFPPGETASLKLPAPESQFRQYPLEQWPYNDLNRPQYDNEGAPAASLAACDLLPPISCSMHDNISVDGNTCSTNLMWSDCSN >PAN27242 pep chromosome:PHallii_v3.1:5:4252672:4254643:-1 gene:PAHAL_5G069000 transcript:PAN27242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDLELCSYYLKRKIMGKKLLVEAISEVELYKYAPWDLPDKSCLQSRDMEWYFFCPRDKKYANGSRTNRSTPFGFWKSTGKDRTIVLNTRIVGMKKTLIFHEGKAPRGDRTDWVMYEYRMEDSELDVAGFSKDAYVLCKIFKKSGLGPKLGEQYGATLNEEEWDNVNTETAFPLMPCPSSEVVGATNEPPCQHTVASTSSVVKEPHVLTVASADGLPFEFSTSSITAIDELHVHTLKHNGSEMATANCASGALDACDPTEFSIISLEEIDKWASLNDSAHNDGGASEKLASLPDISEAEAQALEMNSDYCYNELVRLVESGVSTANSLSPGYVNTECLNPPMISGFGAVDDYLEINDLFPPGETASLKLPAPESQFRQYPLEQWPYNDLNRPQYDNEGAPAASLAACDLLPPISCSMHDNISVDGNTCSTNLMWSDCSN >PAN27240 pep chromosome:PHallii_v3.1:5:4252672:4254643:-1 gene:PAHAL_5G069000 transcript:PAN27240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDLELCSYYLKRKIMGKKLLVEAISEVELYKYAPWDLPDKSCLQSRDMEWYFFCPRDKKYANGSRTNRSTPFGFWKSTGKDRTIVLNTRIVGMKKTLIFHEGKAPRGDRTDWVMYEYRMEDSELDVAGFSKDAYVLCKIFKKSGLGPKLGEQYGATLNEEEWDNVNTETAFPLMPCPSSEVVGATNEPPCQHTVASTSSVVKEPHVLTVASADGLPFEFSTSSITAIDELHVHTLKHNGSEMATANCASGALDACDPTEFSIISLEEIDKWASLNDSAHNDGGASEKLASLPDISEAEAQALEMNSDYCYNELVRLVESGVSTANSLSPGYVNTECLNPPMISGFGAVDDYLEINDLFPPGETASLKLPAPESQFRQYPLEQWPYNDLNRPQYDNEGAPAASLAACDLLPPISCSMHDNISVDGNTCSTNLMWSDCSN >PAN27241 pep chromosome:PHallii_v3.1:5:4251971:4256096:-1 gene:PAHAL_5G069000 transcript:PAN27241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDLELCSYYLKRKIMGKKLLVEAISEVELYKYAPWDLPDKSCLQSRDMEWYFFCPRDKKYANGSRTNRSTPFGFWKSTGKDRTIVLNTRIVGMKKTLIFHEGKAPRGDRTDWVMYEYRMEDSELDVAGFSKDAYVLCKIFKKSGLGPKLGEQYGATLNEEEWDNVNTETAFPLMPCPSSEVVGATNEPPCQHTVASTSSVVKEPHVLTVASADGLPFEFSTSSITAIDELHVHTLKHNGSEMATANCASGALDACDPTEFSIISLEEIDKWASLNDSAHNDGGASEKLASLPDISEAEAQALEMNSDYCYNELVRLVESGVSTANSLSPGYVNTECLNPPMISGFGAVDDYLEINDLFPPGETASLKLPAPESQFRQYPLEQWPYNDLNRPQYDNEGAPAASLAACDLLPPISCSMHDNISVDGNTCSTNLMWSDCSN >PAN27239 pep chromosome:PHallii_v3.1:5:4251929:4256096:-1 gene:PAHAL_5G069000 transcript:PAN27239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDLELCSYYLKRKIMGKKLLVEAISEVELYKYAPWDLPDKSCLQSRDMEWYFFCPRDKKYANGSRTNRSTPFGFWKSTGKDRTIVLNTRIVGMKKTLIFHEGKAPRGDRTDWVMYEYRMEDSELDVAGFSKDAYVLCKIFKKSGLGPKLGEQYGATLNEEEWDNVNTETAFPLMPCPSSEVVGATNEPPCQHTVASTSSVVKEPHVLTVASADGLPFEFSTSSITAIDELHVHTLKHNGSEMATANCASGALDACDPTEFSIISLEEIDKWASLNDSAHNDGGASEKLASLPDISEAEAQALEMNSDYCYNELVRLVESGVSTANSLSPGYVNTECLNPPMISGFGAVDDYLEINDLFPPGETASLKLPAPESQFRQYPLEQWPYNDLNRPQYDNEGAPAASLAACDLLPPISCSMHDNISVDGNTCSTNLMWSDCSN >PAN27887 pep chromosome:PHallii_v3.1:5:6962331:6964086:1 gene:PAHAL_5G115300 transcript:PAN27887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALAEFSVEGVSSSPCLCRNTCEKPGCEHSYACLKDEQDDLVVEEIGMALTEVIHAYGDGDNDEGPDLDEDSSDDDDDDLVLSMESDSTDDLVDVDSELVISSASPSCDASDSSISKSVDEKSSILGAPRLVSAMKGTRAKQGIMRKLSVSWAPDVYDPPVTSDSHTVRGHQRSSRKRHYKYKPSKSSSSSSTSGSKKDKKHSRHSSSSSKKDRKHSSRNASTSGSNRTDTSSSHYRKAYNGGGISSSSNNGGGISSSRTVTCVPEYSKVPPLVLAESAALPETVPVLKTMEPIKCATSCGKEKPFALLSRQFSPARYKGMFSFWSQNQLAS >PVH38852 pep chromosome:PHallii_v3.1:5:44662801:44664149:1 gene:PAHAL_5G369900 transcript:PVH38852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAAPSNVFWDPAGHLHTNALHWEGFPHLLWESLRSFCYIEPPQYDAVEYQEEGVRRCRVRMTIPRHPFRSQWQPIEVDVVGYCIVDTIEGAALEAIYLFCNQHPREVAGQPIGLFPTTDPNDPEWNLRVVPESHRLEGSTEEALQDTMRFINVQHHYQLLLHRGIGQLISIAQGHFRNTDRQVTQIEQLQGLVTEKDGIIAAREETIHHRKDQINESDAMSTQRNTIIEFLQEQMHDLILEVDDAHAQINELQQQLAPPAVPAPEAKEEDPEEIKGVSNLDSEHGDPVLSPHHSSLGSQSSVGNYDDF >PVH37800 pep chromosome:PHallii_v3.1:5:5737956:5740219:1 gene:PAHAL_5G093000 transcript:PVH37800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRVRPRHPTIKSTASPAAKPHSRIQHENASHRTPRITCAHRTRPQVALTAMPPPLLACLLLILLSPAAARPRSVAVEAPGPAARPRVFPLRARQVPAGALPRPPSKLRFHHNVSLTVSLAVGSPPQNVTMVLDTGSELSWLLCAPGRRANASAPRGASFRAGASRTFAAVPCGSAQCSSRDLPAPPSCDRASRQCRVSLSYADGSTSDGALATDVFGVGDAPPLRSAFGCMSSAYDSSPDGVATAGLLGMNRGTLSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPMYQPALPLPYFDRVAYSVQLVGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFLKQTKPLLPALDDPNFAFEEAFDTCFRVPAGRPPPSVRLPAVSLLFKGAEMSVAGDRLLYKVPGERRGGDGVWCLTFGNADMVPLTAYVIGHHHQMNLWVEYDLERGRVGLAPVKCDVASERLGLML >PVH37457 pep chromosome:PHallii_v3.1:5:618008:618544:-1 gene:PAHAL_5G009000 transcript:PVH37457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKHLNKQICSADSSYNTPNEPHTKFTLWYFNQTRLTGHYFDPTMLPQLPGLASLEQHEGVTSPINTNRSIADIPGNFAP >PAN30957 pep chromosome:PHallii_v3.1:5:47865796:47871620:1 gene:PAHAL_5G384700 transcript:PAN30957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLAHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSKGVTSVTFSRDGTQILSTSFDTTARVHGLKSGKILKEFRGHSSYVNYAIFTTDGSRVITASSDCTVKVWDAKTTDCLYTFKPPPPLRGGDASVNSVHLFPKNTDHIVVCSKTSAIFITTLQGQVVKTFSSGKREGGDFVAASVSPKGEWLYCVGEDMNMYCFSNQSGKLDHLMKVHEKDVIGITHHPHRNLVATYAEDCTMKIWKP >PAN29933 pep chromosome:PHallii_v3.1:5:16773071:16773999:-1 gene:PAHAL_5G260700 transcript:PAN29933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSALQASSDSIAQKMGFFRVPDLLIKLSTSLSELDVVRSPTSPLDLKFFTGLGNKSPRSSSLEACQNQKILLGDRVGLGLVDSLADENPTPLGGRKVLLGSEMRITDNLSRKNSSTAPVQAGEVEQKDDNMSDGLDGSIMSLGDIVNSEDYTCVVSRGPNPKTTHIFGDRVFELQVEHLMPGESKDEENTSPLVKEGAMSFCSFCSEKLKEGTDIYIYQGDKAFCSAECRENFMEDEMEEGESMIYNPAPPSSSPLDNGPIFQLIR >PVH38447 pep chromosome:PHallii_v3.1:5:16773071:16773999:-1 gene:PAHAL_5G260700 transcript:PVH38447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSALQASSDSIAQKMGFFRVPDLLIKLSTSLSELDVVRSPTSPLDLKFFTGLGNKSPRSSSLEACQNQKILLGDRVGLGLVDSLADENPTPLGGRKVLLGSEMRITDNLSRKNSSTAPVQAGEVEQKDDNMSDGLDGSIMSLGDIVNSEDYTCVVSRGPNPKTTHIFGDRVFELQVEHLMPGESKDEENTSPLVKEGAMSFCSFCSEKLKEGTDIYIYQGDKAFCSAECRENFMEDEMEEGESMIYNPAPPSSSPLDNGPIFQLIR >PAN29932 pep chromosome:PHallii_v3.1:5:16772658:16775383:-1 gene:PAHAL_5G260700 transcript:PAN29932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSALQASSDSIAQKMGFFRVPDLLIKLSTSLSELDVVRSPTSPLDLKFFTGLGNKSPRSSSLEACQNQKILLGDRVGLGLVDSLADENPTPLGGRKVLLGSEMRITDNLSRKNSSTAPVQAGEVEQKDDNMSDGLDGSIMSLGDIVNSEDYTCVVSRGPNPKTTHIFGDRVFELQVEHLMPGESKDEENTSPLVKEGAMSFCSFCSEKLKEGTDIYIYQGDKAFCSAECRENFMEDEMEEGESMIYNPAPPSSSPLDNGPIFQLIR >PAN27106 pep chromosome:PHallii_v3.1:5:3746585:3749271:1 gene:PAHAL_5G059500 transcript:PAN27106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATLPKLQSRPRCHGYFQVNDPVRAHIKIYGACKCRIPAQGLLVSSGGTGLRNTFQPVSAVGSGRDSSITEAERKSDLSLENVKTSIVSREDETINVRVQLPGKATQKVFDEALTFLARDAPPVPGFRKSKGGKTSNIPSSILLQMLGKSRVTKFVLQEILSITIEEFIKKENLKVNPEIKTTQTESEMESLFKPGSAFGFNVILQLEKSDEDEDSEEQSDSSE >PAN27109 pep chromosome:PHallii_v3.1:5:3746585:3749271:1 gene:PAHAL_5G059500 transcript:PAN27109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATLPKLQSRPRCHGYFQVNDPVRAHIKIYGACKCRIPAQGLLVSSGGTGLRNTFQPVSAVGSGGRDSSITEAERKSDLSLENVKTSIVSREDETINVRVQLPGKATQKVFDEALTFLARDAPPVPGFRKSKGGKTSNIPSSILLQMLGKSRVTKFVLQEILSITIEEFIKKENLKVNPEIKTTQTESEMESLFKPGSAFGFNVILQLEKSDEDEDSEEQSDSSE >PAN30647 pep chromosome:PHallii_v3.1:5:26190823:26191333:-1 gene:PAHAL_5G310000 transcript:PAN30647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRLGRNMAFKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR >PAN30707 pep chromosome:PHallii_v3.1:5:43288205:43293382:1 gene:PAHAL_5G365900 transcript:PAN30707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPGAGQAAERDERGRDDYEQQQARVLMALMRGFCAARYRKADNTPCPIEQGLYLGSVGAALNKEALKSLNITHVLIVARSLNPAFPAEFNYKKIEVLDSPDTDLLMHFDECFSFIDEARSSGGNVLVHCFAGRSRSVTIVVAYLMKKHRMSLKSALSLVRSKRPQVAPNEGFISQLENFEKSLEVEQEQRTHSLQS >PAN26483 pep chromosome:PHallii_v3.1:5:1029385:1035655:1 gene:PAHAL_5G015500 transcript:PAN26483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAAALNYGMADVGMVVVAPAASFHHTHHHHHHHEAAAAAAAAAAADPIFPLLSGGPCVLDPDAAKSGSGAPPGSAIQFWQPQPPPQSPSSAAGANPNPSASPFAYLKKPLPMLDTGAGSSGSGATTCQDCGNQAKKDCGHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLAASGSASSSPATASAAAVASASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHQDASFRDSLPRQVRAPAVFKCVRVTSIEDGEDEYAYQAMVTINGHLFKGFLYDQGPDDGRHAATSNEDSTAGVPNISELHLGAASASGAGGSGVKEGGSSMVPTELYGGGGQHHILGGSGYGNTMN >PAN26484 pep chromosome:PHallii_v3.1:5:1030222:1035655:1 gene:PAHAL_5G015500 transcript:PAN26484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAAALNYGMADVGMVVVAPAASFHHTHHHHHHHEAAAAAAAAAAADPIFPLLSGGPCVLDPDAAKSGSGAPPGSAIQFWQPQPPPQSPSSAAGANPNPSASPFAYLKKPLPMLDTGAGSSGSGATTCQDCGNQAKKDCGHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLAASGSASSSPATASAAAVASASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHQDASFRDSLPRQVRAPAVFKCVRVTSIEDGEDEYAYQAMVTINGHLFKGFLYDQGPDDGRHAATSNEDSTAGVPNISELHLGAASASGAGGSGVKEGGSSMVPTELYGGGGQHHILGGSGYGNTMN >PAN27010 pep chromosome:PHallii_v3.1:5:3386916:3389813:-1 gene:PAHAL_5G053500 transcript:PAN27010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAVESNTLPGAAGRRTSKRDRRVFLACVFILANNCFQYTAFFAVSTNLVNYLKDQLHVGSKAAANGVTNWLGTSSITPLVAAFLADAFLGRYWTIALFLLISVVAYVVLTVSAAAALESAAFYAGLYLLALGGALQPVLISFGADQFDEADEAERGRQSSFFNWFYLSINVGSLIGGTVLVWVQSSVSWGLGYGIPALLSVVTVAVFLAGTAAYQRHQPPGGSPLTRVAQVVVAAARKCRVEAPEDASELHECEADDGMSAIQGSRRLAHTDQFRFLDKAAVETAADKARPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTLDPYVGGFRVPAAVLSVFDTLSVMLWVPLYDRVIVPLARRATGRDRGFSQLARMGVGLIVLTAAMLAAGTLEVQRRRVVARHGMYDTNTGADGRYLPMSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDDINRGHLDNFFWLLAMLCIGNFGVYLLIARWYTYKKTVD >PAN27011 pep chromosome:PHallii_v3.1:5:3386613:3390607:-1 gene:PAHAL_5G053500 transcript:PAN27011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAVESNTLPGAAGRRTSKRDRRVFLACVFILANNCFQYTAFFAVSTNLVNYLKDQLHVGSKAAANGVTNWLGTSSITPLVAAFLADAFLGRYWTIALFLLISVVAYVVLTVSAAAALESAAFYAGLYLLALGGALQPVLISFGADQFDEADEAERGRQSSFFNWFYLSINVGSLIGGTVLVWVQSSVSWGLGYGIPALLSVVTVAVFLAGTAAYQRHQPPGGSPLTRVAQVVVAAARKCRVEAPEDASELHECEADDGMSAIQGSRRLAHTDQFRFLDKAAVETAADKARPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTLDPYVGGFRVPAAVLSVFDTLSVMLWVPLYDRVIVPLARRATGRDRGFSQLARMGVGLIVLTAAMLAAGTLEVQRRRVVARHGMYDTNTGADGRYLPMSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDDINRGHLDNFFWLLAMLCIGNFGVYLLIARWYTYKKTVD >PVH37661 pep chromosome:PHallii_v3.1:5:3469495:3471837:1 gene:PAHAL_5G054900 transcript:PVH37661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRELRPLRAIRITGDGRCLFRSVAYGACLRRGKQSPSDSAQKELADELRAKVADEFVKRRGDTEWFLEGDFESYVRKMRKPHAWGGEPELLMCSHVLRMPITVYMYTSSSDSPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQPSLERCVDNRRLRYLSFFYRTAA >PVH37660 pep chromosome:PHallii_v3.1:5:3469813:3471570:1 gene:PAHAL_5G054900 transcript:PVH37660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRELRPLRAIRITGDGRCLFRSVAYGACLRRGKQSPSDSAQKELADELRAKVADEFVKRRGDTEWFLEGDFESYVRKMRKPHAWGGEPELLMCSHVLRMPITVYMYTSSSDSPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQPSLVRTQSRQRGV >PAN32210 pep chromosome:PHallii_v3.1:5:55019839:55021820:1 gene:PAHAL_5G471500 transcript:PAN32210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAAVASAVERLQAAAQDAANSSSRSAAAFSEQAQQVLVPRAAGRVVSLSMCTKVSAISFAVGVVVGFTLKKRLRRWAARVLKRIKDDD >PAN29672 pep chromosome:PHallii_v3.1:5:15285212:15288130:1 gene:PAHAL_5G243200 transcript:PAN29672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTPLVPRVKLGTQGLEMSKLGFGCMGLTGAYNSPLDDEAGIAVITHAFRRGVTFFDTSDVYGPHTNEILLGKALKQLPREQVQVATKFGIRRDGSGVGTVCGKPEYVRACCEASLRRLGIDCIDLYYQHRIDTTIPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHTVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRGVTEQVAAESNLHGHPRFAAENLEKNKQIYLKMEELANKHQCSPAQLALAWVLHQGVDVVPIPGTTKIKNLDANIDALKVKLTDEALKEIGSQIREEDVAGGRQYTSFAHTTWKYADTPKKQS >PAN28099 pep chromosome:PHallii_v3.1:5:7807346:7809328:-1 gene:PAHAL_5G131000 transcript:PAN28099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHAAYSRPRLLFSAFSSTTPRAQVPKSAPEPAPTPAPGQNAADGEADAKPHAGRNRRMRIGKILRMISEERHPDKLVSQFITASTASPRFRDNRRVYEVAVSRLASYGRRDAVAALLDSQKPFIEASRSGFAARLVRLYGRASMPSHAAATFLDLPPKHKSVTAFNALLAAYIDSGDFDKLVAAFPEPGLSAALDVIPLMEKCGLTPDEISFNSLLNGFYNNGRFNDAEKVWQMMKERNVEPNTNSYNAKLRGLVVEGRIEDAVAVIEMMQKDGPKPDSVSYNELIRGYCKEGRLDEAKKVYDDLVKNVCAPNKGTFETLVPCFVEAGELDLALSCCHEIFSRKCRVKCSLLQGVVTALVAASRIEEATRIVKLGWKNNYPPRGLKMPALTEKNYDVEAETDCDDSTPYEEGSKEEKQSDNSPVRFSWQILNARLWKILKSGDSWNQESKIH >PAN29442 pep chromosome:PHallii_v3.1:5:13966535:13970851:-1 gene:PAHAL_5G226600 transcript:PAN29442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKGWAERVRRGVRTAWFMVAMVASLLVASAPGLVAAGDVAVALWLEVRLGCLRGHGLRGHLQRYRFRSSLADIPLVSVLRSLVITCVYLMSDTSGLSHGPYLGTTTFCSLASLLILLIKASVYSPGQDIGPELSPSLPDQKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCRARRKLLIHRIDPESILAYKNGFSGCYKVPRSPTPYGAKLFSRSESETKRKILVNDDRDLPISFLADSDSMFIACQGITVHYKISDPSNCLSSSSDPFSERDTRHGVISSSISPRRQRHESPPSASSNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDEIPLMSLDDGSGDINLNPVGFDLEAGERGKFAVVLVHGFGGGVFSWRHVSNLLARQVGCNVLAFDRPGWGLTSRPRRKDWEDKKLPNPYELESQVDLLISFCSEMGLRSVVLVGHDDGGLLALKAAEKLRTYVDQIKVEVKGVVLVGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWYDATKLTTEVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSVKSAQAMASKLVNSRIVTISGCGHLPHEECPKALLSALSPFISRLVPSEDSLQRL >PVH38257 pep chromosome:PHallii_v3.1:5:12989878:12993842:-1 gene:PAHAL_5G212700 transcript:PVH38257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRAFSPAAPLAAPCVHFLSARSASPNPISLAPSPAACCSPAAAVPSAPSPAACCSPAALPRRLLLPRLLPRRPSPACCSPAAPPPPPAAPQPALPPPPLPAACCSPAAPPPPPAAPPLPLPRRLLLPRLLLPACCSPAGPPPPPLPRRPSPAASPPPPAAPPPALPRRPSPAAAAVPALPPPPLPRRRAVDDYLRTSAAMDDYDADAYDAGGYGLEDDCNAGGNGNDDLFGGGSGTRDFLSMGPGSSSDGGYGGLSAGAYPHSGSSSLRPSRLHFDGLDLNAEHGWSEVQDLLRGDEVQGSDRPCPIRVPPRGQNRTLSLRGPRSVRGEGRAGASGRRRSGTSGATAPPYAQGGGVPPPRHETSASNEATRGGGRRRRRAVQADTNRAAWSPEHTQAFCRIYCSQIDNGNCVRGVMNKHGWKEIQSRFYAATGFWHDRPQFGNRYRQLRGLGRRPDGSVVATEAWWRANTMGHPEWKKLQSGWPIYLDELDRMFMGVAVDGSSSYVPGDEDPVDVTPNDEEEDSEDDHGLQTPQSTGSKRTRYSSQSLRSTATSPNKKTKSPAVRAMVSQMQLHNEIQTQRNAAMEGFMTKRLQVKQAEEAKMEKQFDTIMEAARDCGVTEDNAQLWIGVLKIAQDKGASYFFLRSLPHGRKALIEHYAREGVHCSEEGNEDADLDEEFENFMITQFMDDSADIGFIYGVWCCRQILSGGLGVPEPTGLLGSLQGQQVPSPRVSKRQRTSR >PAN27237 pep chromosome:PHallii_v3.1:5:4234310:4238119:-1 gene:PAHAL_5G068700 transcript:PAN27237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIKKRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGTGSLIEAIYVVIFLIFAERRIRLRMLGLLGIVTSIFAAVVLISLLALHGNGRKIFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIIIPNGCGSFLGLTQLILYAIYRNNKGPAAPAGKGEAAAAAAAEVEDAKKVAAAVELADATTNKVASQV >PAN28260 pep chromosome:PHallii_v3.1:5:8448882:8449565:1 gene:PAHAL_5G140700 transcript:PAN28260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGVDLEEAARPVDYDIFADPRDDEAPPVMGYRAPSCWSRLSDEDRLIGPPVLIATCLLMALLVGASIYVLFFNDVPSFAVGVAGYGGIDPGRPGRVVSPAFDVALRVNKACVDHAGVVVAYAGVALGWARAEPWDCEEKRWEKGVVVVARGAGVGLPEHLRDRMASEWRSGALELDVEVEIFDSSGSSRAAGDFPQKLMTCKVRLDAQNSEPLPCAWYALDPDVF >PAN28683 pep chromosome:PHallii_v3.1:5:10399248:10404046:-1 gene:PAHAL_5G171900 transcript:PAN28683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRSYTNLLDLANGNLSALDYGGGGGGGGGGRPPRARRMQRTSTTPGTFADLDEERAGSVASDVQSSLVGDRLIVVANTLPVRGERRPDGRGWSFSWDEDSLLFHLRDGLPDDMDMEVLYVGSLHADVPPADQDDVAQALLERFRCIPAFLPKDLCDRFYHGFCKQTLWPLIHYMLPYSTDHGGRFDRSHWEAYVLANKLFSQRVIEVLNPEDDYVWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIDYQSKRGYIGLDYFGRTVGIKIMPVGINMEHLQSLLQQPDLERKVVELRNQFNRKTVLLGVDDMDIFKGIDLKILAFEQMLKAHPKWQGRAVLVQIANPKGGSRKELEELQAKIEQSCKRINDQFGRPGYSPVVLVNRALSSVERMAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGLDGSGDDRPRGKSMLVVSEFIGCSPSLSGAIRVNPWNIESTAEAMNESIASSDNEKQLRHEKHYRYVSSHDVAYWSKSFIHDFERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLAVDSIVADYKKSKSRVILLDYDGTLVPQTTIDKTPNETIVSMMNTLCADKKNVVFIVSGRGRDSLEKWFYPCPELGIAAEHGYFMRWTRDEQWQIQNQGPEFEWMHMAEPVMKLYTEATDGSYIETKESALVWHHQDAGPGFGSSQAKEMLDHLESVLANEPVSVKSGQHIVEVKPQAVSKGFVAEKILSTLMEKERQADFVLCIGDDRSDEDMFEKIADIMRRSMVDPETSLYACTVGQKPSKAIYYLDDANDVLNMLEALADASEEAGSGSPEETEVPSTLEEA >PAN26744 pep chromosome:PHallii_v3.1:5:2174821:2182548:1 gene:PAHAL_5G035500 transcript:PAN26744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGFNKQILAQKLAKLNSSQQSIETLSHWCVFHHCYCRQVVETWDCEFRAASSERRLSLLYLANDIMQNSRKEGNGYITEFMRVIPAALNEVLTIRDDLGRNVVKRLIDIWEDRKIFDTQGQSLKDDFFRRLKDIRNKLKNPAGELLEKVVSSYKHVLNAPMDEDTLMRKCQAALINFDELNKAYGNNSLLGSSNQSGVEELQQQHSILRNSIEQLKMSESLRATLINHLKEALNEQEFKVEQVRSQLQAAQSRYKKAGELCRGLGIDVERHQPFNQGLKSSLSETPASIAPDSANTKSLQRGQSSAVLYSQEGNGAGHNAIAANVLTKLAAGAVSDKISDSALPSRANGGNTAVQIDEHSSGNKRQKQEDDTHISQPQSESPPPPPPPPPFPHPDAFQPPPPPEYPPSPEPSPPPPPTSTPPHIIPPPPPATMPPQMISPLPPTAGTFVPFPAGPPGPMYGTFPFTPVVNFPMNIPPGFHSPPTPPPAFQGLSGTFYGPPPFPTAPPPTDKK >PAN27185 pep chromosome:PHallii_v3.1:5:4027071:4027625:1 gene:PAHAL_5G064900 transcript:PAN27185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLLHINFTPLTASQTYSSCLRLVAVVGEQHKQIYSERSPKIPIFTDHSITSGSIDQGQTSHPEV >PAN31600 pep chromosome:PHallii_v3.1:5:52365972:52370270:-1 gene:PAHAL_5G430300 transcript:PAN31600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRFLSGAAAPPPQPPVAPASVPPPTMTAQQRRQQHHPPRAALHAPLLRLWPRGGGGGGGEKPAAAGGAVRGAEARIPPPEEEREAEAGGRGQGNSNWVLQMLRVQPRWADAADAEATGGGDREPEEEEEAAGGGVEDCASCSAGGGDEGCAVGYDEGEVFDRASFSRLLRKTSLGEAKEYSMMSYLCNIAYMIPRIQPKCLRRYNLRFVTSSVQDKARTSPDQKQEHSNAKDESQDEVSEAVGNAASASKEEHSGLGINPFGAYHVVSSAASYLHSRAMGIMPFGSRNDVKEDPTAIMAFVNGENGEGLSMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDQNTTRYFVVQGSESIASWQANLLFEPVKFEELDVLVHRGIYEAAKGIYHQMLPYVKSHLKACGKSARLRFTGHSLGGSLALLVNLMLLMRGEAPASALLPVITFGAPCIMCGGDLLLRRLGLPRSHVQSITMHRDIVPRVFSCHYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEVLILQPDERLSPHHHLLPPDSGIYRLGGGGGKSSSSSGADDASLLELRSALSAFFNSPHPLEILKDKAAYGPRGSVYRDHDVNSYLRSVRGVVRKEARRAREAERERWRLLLWWPFGVHGALSWGSGSGAGRGGFVDAVAEAARRAHLLAVVLLPAELLALGALQVVARLR >PAN32710 pep chromosome:PHallii_v3.1:5:57106281:57114467:1 gene:PAHAL_5G506400 transcript:PAN32710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGSGVSPAPPRGQVYLPEWRRLYDRLLKMLREEHALAEELSIERAHLAAELEFQRIGRREREEIFQARIQQIWRDEERRKRVEKAERAVLIGGKDLESRCYQKLVELGDSDAEDFRSFIATLTAENSELKAKLKEFESQAQLNENSVDHQQSGKDLRLELRKLKQAYKNLSSEKDKQISALNAEKDFVWNQFKTMEQDYIVTIKSKNIEAKQAIEAAQKLQKNVDELQVAAQKKNDEIDRLQAEVTAAKEKMLLLEDELKQMHSLVKGKDVETGKNKDHQSGTSRKSKKDINKTNRKSKSEGPVSKEKLRTSQVTPDRIEVKTSRTRAAETSQKRKRGSSLSCGSRRCSTRPLQVKAAVSPMLLPPSFTVPRLKTPTPP >PAN28679 pep chromosome:PHallii_v3.1:5:10392858:10396000:1 gene:PAHAL_5G171700 transcript:PAN28679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGTTSPESSSGIVGSSWCSSRRPLALPCSVTISSYDVPLIINGLTSSSVTGQKQKMEVSAPANATTNAFDMAAMASRAVREPWIVVQTTREVDILDDVYRWCKYRDCRWQTGMPWLLTSSSWAGCLRVLRKFRCK >PAN31194 pep chromosome:PHallii_v3.1:5:50142108:50143731:-1 gene:PAHAL_5G403500 transcript:PAN31194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADRANQLRIQVDQVLRSAHTARSRATGPTEEMSARGGDARQAQPAQSRGSRPVDHVAVAEEDMLLVIRHDLYIKVIRILGPLSASYDIYLPGDLRVTASTLNTQLMPRLQVYKTN >PAN31195 pep chromosome:PHallii_v3.1:5:50141918:50143978:-1 gene:PAHAL_5G403500 transcript:PAN31195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFDSCSANIGSWDQHKIKKISTPAPIFSPLELMQKSASADRANQLRIQVDQVLRSAHTARSRATGPTEEMSARGGDARQAQPAQSRGSRPVDHVAVAEEDMLLVIRHDLYIKVIRILGPLSASYDIYLPGDLRVTASTLNTQLMPRLQVYKTN >PAN32073 pep chromosome:PHallii_v3.1:5:54376561:54377749:-1 gene:PAHAL_5G461100 transcript:PAN32073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSRLLVILVLQAVLVMGIFAAVAKENAAVGESKGNMETNGGKLGCCTNCNFSFSGLYTCDDIVSKCDPVCKACKVVKKYPVKQFQCTDTFLGICGPPCKKN >PAN29583 pep chromosome:PHallii_v3.1:5:14779060:14781345:-1 gene:PAHAL_5G235900 transcript:PAN29583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGATLAAAASVPWSFLLGGLLGLVLLWKAGRLLDQMWWQPRRLERALRAQGIPGTSYRFLTGDLKEYGRLAKEAWAKPLPLRCHDIAHRVLPFVDNLVREHGKMSMSWFGPNPKVTIVDPELSKDVLSNKFGHFEKLKFPALSKMLGDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFSACCEELVSRWSESLGSEASLELDVWPELQNLTGDVISRTAFSSSYHEGRRIFEVQAEQAELVMTNLRKIMIPGYMSLPTRNNRKIHKNNKEVESILREIIGKRIQAMEQGESTKDDLLGLLLESNMRQTEENGQSGMGMTIEDVIEECKVFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGKNKPEYEGLSRLKTLTMILYEVLRLYPPAISFVRKTYKEMEIGGITYPAGVILELPVLFIHHDPDIWGSDVHEFRPDRFSEGISKASKDPGAFLPFGWGPRVCIGQNFALLEAKMALCMILQRFEFELAPSYAHAPHTVITLHPMHGAQIKLRAI >PAN31221 pep chromosome:PHallii_v3.1:5:50263772:50267208:1 gene:PAHAL_5G405100 transcript:PAN31221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like protein, Basic helix-loop-helix factor, Repression of seedling growth in the dar [Source: Projected from Oryza sativa (Os01g0286100)] MSDGNEFAELLWENGQAVVHGRRKQPPQTSLPPFNCGAGSSSRAQEKQPGGDPVALLKTGGVFGAGGLAPSFHDFSSGLDASRDNGDLDDTVPWIHYPIIEEDTAEPALADSYSPDFFSELHAAAVAATNLGSLPPPVQHTTNNRSNPVATTSREPEPSKEIHRLPIPGPATRPEPQAEFAATKQPRLGGGGEGLMNFSLFSRPAAMARASLQNAQRPPQTGTDKVSNVTTSTRVESTVLQSASGPRTAPVFTEQRTAWSQQPREVRFSCTAAPTAGNLQQEMPRDRLGSMTLQKKVETRKAPEAAVATSSVCSGNGAGTGNDESWRQHKRKSQAECSASQDDDLEDESGGMRRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPPIAHFPHLGMGLGYGMGVFDMNSTPAVPFPSMPGAHFPCPMIPGTPPQGLGMPVRNTVPMFGLPGQAIHPSASSVQPFPSLAGLPVRPNLPPQVSAAMANMVQEQQQDVATQQQQNMNNEARRGTNTGDPELQTIPQVENQHFSVPSSAQTESGQFLDSGNNRTDTAGRNGPET >PAN33032 pep chromosome:PHallii_v3.1:5:58705821:58708850:1 gene:PAHAL_5G530800 transcript:PAN33032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRHFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEDMYLPDTIDVGGAGRQQRSSGCEC >PAN26765 pep chromosome:PHallii_v3.1:5:2265534:2267435:-1 gene:PAHAL_5G036900 transcript:PAN26765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAFSLAGAFKGLSLASPSSSMRPSFLRGDRPALSVGGAAVGVPVPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMRRERKRARAEGAIEPQLVLASVDENSEVSADC >PAN26685 pep chromosome:PHallii_v3.1:5:1978228:1978887:1 gene:PAHAL_5G031500 transcript:PAN26685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAAATLVLALVVAAAAVAPSPSAAAVAKNTVGAHHRHGPRWRDHYHRGSRSPLAGLTECVTVCGSGVTGCMLDCYKPSLGLDPVQLPVCLLKCTNDAMICGSSCSTNL >PAN27901 pep chromosome:PHallii_v3.1:5:7027640:7030793:1 gene:PAHAL_5G116500 transcript:PAN27901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTMASQAGGGGGGGSGSAGAVQRGQMQNLARQGSLYSLTLDEVQSHLGEPLHSMNLDELLKSVFPDGLDHDGGTTSQYEQTSSLLRQGSITMPPELSKKTVDEVWKGIQDAPKRNVTESGRRRRERQPTLGEMTLEDFLVKAGVVTQGYLKDLNDVGNVEPVGSAGPAGLTAGAQWLDHYQHQITAIEPHQHGQHGVPGAYMPSQLALQPLNVGPGAILESYSEGHITSPMMGALSDSPTPGRKRGAPGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKRQKELEKILFSKPMPEPKYQLRRTGSADF >PAN26488 pep chromosome:PHallii_v3.1:5:933028:937112:-1 gene:PAHAL_5G014000 transcript:PAN26488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGPSAMAKANPRTGEREVFCCFLSVQTYYPLRRVTGRNPSLHSTHANPTTPSIAAPLPPPCTHPKRSSAALYHLILHATRSTTSPCHRHRHHIYPVPETFCTRQLAAAEMATSPPALLLFPLTLLLFIGGAPATARAADMPMPVNEEVLGLVVFKSALSDPSGALATWTESDATPCGWRCVECDPATSRVLRLSLSGLALSGPMPRGLDRLPALQELTLARNNLSGPLPPGLSLLKSLRSLDLSYNAFSGPLPDDVALLGSLRYLDLTGNAFSGPLPAAFPPTVRFLMLSDNQFSGPIPEGLPKSPLLLHLNMSGNQLSGSPDFAGALWPLERLRTLDLSRNQFSGSVTDGIARLHNLKTVSLSGNRFFGAVPQDLGLCPHLSTLDLSSNAFDGHLPDSIAQLGSLVYLAASGNRLSGDVPAWLGKLAAVQHLDLSDNTLTGTLPDSLGDLKALKYLSLSRNQLSGSVPASLSGCSKLAELHLRGNNLSGRIPDALFDVGLETLDMSSNALSGVLPSGSTRMAETLQWLDLSGNQLTGGIPTEMLLFFKLRYLNLSRNDLRTQLPPELGLLRNLTVLDLRSTGLYGAVPADLCESGSLAVLQLDGNSLAGPIPDSIGNCSSLYLLSLGHNGLTGPVPAGIAELKKLEILRLEYNNLSGEIPQQLGGLENLLAVNISHNRLVGRLPPSGVFQSLDASALEGNLGLCSPLVTEPCRMNVPKPLVLDPNEYTHGGGDNNLETNGAGAGLPRKRRFLSVSAMVAICAALVIILGVIVITLLNMSARRRAEAAGGAPEQQKELESIVTSSTKSSSGKLAAGKVVTFGRGSSLRSEDLVAGADALLSKATEIGRGVFGTVYRASVGEGRVVAIKKLATANIVKSRDDFDREVRVLGKAKHPNLLPLKGYYWTPQLQLLITDYAPHGSLEARLHGGRAPLTWEERFRVVSGTARGLAHLHQAFRPPLIHYNVKPSNIFLDERCNPMVGDFGLARLLPKAEHRHAVMMGGRFQGGGGAGYVAPELACQSLRVNEKCDIYGLGVLILELVTGRKAVEYGEDDVVVLQDQVRVLLEHGNALECVDPGMGGDFPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPVGGRMEAGGGGF >PAN29971 pep chromosome:PHallii_v3.1:5:16951523:16955690:1 gene:PAHAL_5G262700 transcript:PAN29971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAVVAEKSGGGAGAAVAAAAAAAQAGNGGETRSRFQRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPRSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDMAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPAAAHGFAPELEPGIASS >PVH38607 pep chromosome:PHallii_v3.1:5:23359383:23361637:1 gene:PAHAL_5G300400 transcript:PVH38607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQGSRPASWQQLPQHAPTEKKQRFAGAFTGGIPCVLDTFVGLPAALVEPAASAHLRVVEPARTSARDITLALSTRLCCVTRAAPLHVDRSLLLTCKLCSRDTNGHTVYVYMSMGFCSRDCRYGYYLGEVDKRKKRLAVAMMGQRKMSSAVTRTAKSGKQAGEVSCRPTFFTCAETE >PAN30811 pep chromosome:PHallii_v3.1:5:23359383:23361637:1 gene:PAHAL_5G300400 transcript:PAN30811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQGSRPASWQQLPQHAPTEKKQRFAGAFTGGIPCVLDTFVGLPAALVEPAASAHLRVVEPARTSARDITLALSTRLCCVTRAAPLHVDRSLLLTCKLCSRDTNGHTVYVYMSMGFCSRDCRYGYYLGEVDKRKKRLAVAMMGQRKMSSAVTRTAKSGKQAGEVSCRPTFFTCAETE >PAN26379 pep chromosome:PHallii_v3.1:5:570870:574159:1 gene:PAHAL_5G007900 transcript:PAN26379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPRWTEEVDDLVDAGDVDGAIALLESVVSNLSTSAAAPSAADLRLATALGDLAGLHASRGNTLRADELRARAIVLRSRAAAPGALGDQELPQKCTSQEGALGSKDSEVSANTEQSNEDGEDDWEAIADSGALDDTLVRYLEQEARVLSCSSSEKSSTPPSGPKRRGRGSFLYDKSVLYSDQCGSERDLDDKESSPQSGPKGHINEQGNNAVTAARQFGIRHVLILYDFPPSTRTTDLERIFEKFGDHGVAIHWVNDTSALAVFRTPSTAREAQSCIPPRYKVRSLKENDDLLTKIDGRDLEPPKPRPKTSARTAQRLIAHGMGLKQFTNFGSDELKKQEEERKNRIAARQAMRDEAWGSD >PAN28463 pep chromosome:PHallii_v3.1:5:9371691:9371936:1 gene:PAHAL_5G154700 transcript:PAN28463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMGTGHLLCIALLLSVVVCSEPARVLRERPEKMPAGGRGDVAGVSFSVQFSKGQRAAVVGSAAPESKRLSPGGPDPQHH >PAN29701 pep chromosome:PHallii_v3.1:5:15454248:15458863:-1 gene:PAHAL_5G245100 transcript:PAN29701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEADRVRLRAAALALQDDGVRDKPDAKANVFADLGSPVSPLRPRASVATSSSSSSGSAKSPVPSNAGMAGGRRHSGELVADCNPPRMTGHRRCGSGPLIFSGGSSGGSGGGGGDRGSTASSPMLNALPTGNICPSGRIPAAAAAPPPPRSRPDVLGSGTGHYGHGSIMRGAGMAPARSSIDSPSSLGHSSRSPSSSPASGGSLQEVTRLGNEWYKKGKYAEALRHYERAVSLCPESAACRGNRAAALIGLGRLADALHECEEAVRLDPASGRAHSRLAGVCLRLGMIDKARRNFTQAGHLQQSDPAEWQKLQEVEMHLGRSTDARKIGDWKSALREADAAIAAGADSSQLLLALRSEALLRLHKLEEAELTLASLLKLDVALPLSLTAVKLSGMLAESYVHIVRAQVDMALGRFEAAVAAAEKARDLDPGNAEVGMILNNVRLVAKARTQGNDLFKAAKFSDASIAYGEGLKYDPLNSVLHCNRAACWSKLEKWERAVDDCNEALRIQPNYTKALLRRAASYAKLERWADCVRDYEVLRKELPSDKEVAEALFHAQIALKATRGEDVSNMKFGGEVEIVTNVEQLRAAIGSPGVSVVYFMSAMNQQCTRITPSVNTLCTECPSVNFLKVNVDSSPLVAKAENVRIVPTFKIYKDGVKVKEMICPTLHVLSYTVRHYSVSSS >PAN32827 pep chromosome:PHallii_v3.1:5:57728607:57731194:1 gene:PAHAL_5G515000 transcript:PAN32827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >PVH39202 pep chromosome:PHallii_v3.1:5:53860026:53861270:1 gene:PAHAL_5G453700 transcript:PVH39202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTADPPLARVADWAGLPGDLLACVRELLTAVPCRVCFRAVCRSWRAADGPRPVPRMPPPWVVLPIGSGGCSDAFTLLSVPTMQAFRWSPPGGAGLFCVGSSGGWIAGAYIDADLKIRLSLLNPLTDARVDVPAPFGRVYHMSSCSRSETEEISLCNTFQKVAFSPSPTEHDFAVAVVTRSRSGKAMAFARAGCNEVWLADLGPFERGGDYIRAQRDVAYHDGKFYYMTMSGQVWVVDMAAPSPSPAPFATFEPTMPGLIKRRHHLAFTGDGALHITLSVHADGDDGAWLRPDCVYFTNIPLCSLLAKSRHCSYGRAWVLYLATGDIRRPDSATGEPRNYRVERHWGEDYPKCVWIMPSMR >PAN32601 pep chromosome:PHallii_v3.1:5:56624447:56633223:-1 gene:PAHAL_5G499300 transcript:PAN32601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orthologue of the Arabidopsis GIGANTEA, Regulation of circadian rhythm and flowering time, Photoperiodic control of flowering, Osmotic stress respons [Source: Projected from Oryza sativa (Os01g0182600)] MSASNEKWIDGLQFTSLFWPPPQDVQQKQAQILAYVEYFGQFTADSEQFPEDLAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLCYDRHGPPFSSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFNVERQRCEAECSSTSDQATSSDSTDKKSDDSPGNEPDRKPLRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGYVASYKRQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTENSRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGSHSNLKDSMKIIVPGKTNGINNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALMRCKWDTEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTPVKRNNQQHSEENNISSLDSGKLENKNGSTSHKKNGFSRPLLKCAEEVLLNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVHLIIEWGDSGLSVADGLSNLLKCRLSPTIRCLSHPSAHVRALSMSVLRDILNSGPINPSKIIQGEQQRNGIQNSSYRCLAASINWQADVERCIEWEAHSRRATGLTLAFLSAAAKELGCPLPC >PAN32602 pep chromosome:PHallii_v3.1:5:56624434:56633277:-1 gene:PAHAL_5G499300 transcript:PAN32602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orthologue of the Arabidopsis GIGANTEA, Regulation of circadian rhythm and flowering time, Photoperiodic control of flowering, Osmotic stress respons [Source: Projected from Oryza sativa (Os01g0182600)] MSASNEKWIDGLQFTSLFWPPPQDVQQKQAQILAYVEYFGQFTADSEQFPEDLAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLCYDRHGPPFSSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFNVERQRCEAECSSTSDQATSSDSTDKKSDDSPGNEPDRKPLRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGYVASYKRQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTENSRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGSHSNLKDSMKIIVPGKTNGINNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALMRCKWDTEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTPVKRNNQQHSEENNISSLDSGKLENKNGSTSHKKNGFSRPLLKCAEEVLLNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVHLIIEWGDSGLSVADGLSNLLKCRLSPTIRCLSHPSAHVRALSMSVLRDILNSGPINPSKIIQGEQQRNGIQNSSYRCLAASINWQADVERCIEWEAHSRRATGLTLAFLSAAAKELGCPLPC >PAN32032 pep chromosome:PHallii_v3.1:5:54146825:54148175:1 gene:PAHAL_5G458300 transcript:PAN32032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRALLLVVVILLVGGLSAAAAAGSGDTAVIRLPSDAAASERPWTCCDRQPCTKSAPPFCSCHDTLEQCHDACKECARVRDSDPPRYICKDIYVGDPAPRCHRDERNQALHRGPQEMAVVRGAKKGNGQERPWKCCDRAVPGPTTHGKVVWYCMDKVEHCTCKECMKLEGSHRYYCLDGYKGSDPGPSCTHA >PAN31002 pep chromosome:PHallii_v3.1:5:47122174:47123246:-1 gene:PAHAL_5G379700 transcript:PAN31002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLLGWWEPHLCLKPSFSMAQDGTHPPYQAEILHGVTKSVPAGRPATEEAQDDGPHPPPT >PAN26588 pep chromosome:PHallii_v3.1:5:1521710:1522767:-1 gene:PAHAL_5G023900 transcript:PAN26588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLVAALSLPARLGAIAPPSCTVRASARPVGGRGRRRRGLMVVRAGGPPSTNALILALVLPLSLFVGTLVTAARVADDLDERFLREMEINKAILEENEASDEDDGTEYDEEEGEEEEEEHAPVEEKEAVVVGAASAATRTRNRPRRQV >PAN31539 pep chromosome:PHallii_v3.1:5:52071912:52077908:1 gene:PAHAL_5G426400 transcript:PAN31539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVKNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDQGYTSIGSIHDTVPNALLSDSSTEKSFRPAYMLTDGRLERAGRTKKTNHKMEMNSVASNGMNNIEGEQMISRAASIIVVGDEILFGTTEDKLGAALCKKLHAIGWRVSHVAVVRNEIDSVAEEVERCKSTDDMVFIFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEDYLSQLMGNNYSGDRNEMALLPEGITELLHHKKLPLPLIKCRNVITLAATNVDELDTEWDCLLDTQESGLVQAKPFESKHLGTTLSDVQIAPVLAKLCLEFSDVYIGCHRISRAGPLVVNLTGKDNQRVEAAAEKLTRSFEGQFSQVDSCK >PAN31538 pep chromosome:PHallii_v3.1:5:52071432:52077908:1 gene:PAHAL_5G426400 transcript:PAN31538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEAVRGCSDRRMRTKYGNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLIRAGYYLYKKDAGDVAQMDAVKNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDQGYTSIGSIHDTVPNALLSDSSTEKSFRPAYMLTDGRLERAGRTKKTNHKMEMNSVASNGMNNIEGEQMISRAASIIVVGDEILFGTTEDKLGAALCKKLHAIGWRVSHVAVVRNEIDSVAEEVERCKSTDDMVFIFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEDYLSQLMGNNYSGDRNEMALLPEGITELLHHKKLPLPLIKCRNVITLAATNVDELDTEWDCLLDTQESGLVQAKPFESKHLGTTLSDVQIAPVLAKLCLEFSDVYIGCHRISRAGPLVVNLTGKDNQRVEAAAEKLTRSFEGQFSQVDSCK >PAN27304 pep chromosome:PHallii_v3.1:5:4491259:4492287:-1 gene:PAHAL_5G072900 transcript:PAN27304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPNHLVAWLLLAVLALFVPPSAAAQIRTTDTRWSFHLPLPSGVTGAESLAFDSRGGGPYAGVSDGRVLRWGGSAAGWTTFAHSASYRKIPLCTAGVVPSEETESMCGRPLGLQFHAGTGDLYIADAYLGLMRVGPGGGEAEVLAAGADGVPFNFVNGLDVDQATGDVYFTDSSATYPRRFNTEIMMNADATGRLLRYDARSGRVAVLKSGLPYPNGVAVSPDGAHVVVAHTVPSQVFRYTLRGAGAGRYELLADLPGYPDNVRRDGKGGYWVALNQEKQRLDATPATAPVKHLVGVRLDADGVETEELTAAKRVTLSDVAERKGQLWLGSVELEYVGLVA >PAN29334 pep chromosome:PHallii_v3.1:5:13281086:13282570:1 gene:PAHAL_5G217400 transcript:PAN29334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRSSSSRSANVDSTWSKKENKLFEEALAYYGEDTPDRWHKVSRAIGGSKTADEVRRHYELLDDDVKLILSGNVPFPKYNTQGAWN >PAN29640 pep chromosome:PHallii_v3.1:5:15107599:15111092:1 gene:PAHAL_5G240100 transcript:PAN29640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLKELKYRRRIAVEERAQCSDPRGGADWAALQQDPVELLRKLDELRDQITRSCHVVGQPREHRRVSRRAVSMLPEHLEPPPLPGYHRSRYGGRYGLGLPPPSPHTPLRPEHGERYARQSSGHYRQYPGKQWESGGIGPGSYHHYGCACPHCLHGQRPVPQEENIPMARYFAGQHESYRFERSPSVSSDYDRRSVASSLYSHRSVSKRRAEFFRKKAELICRPVDGAAPFSVCSSCYKLLQMPMEKCIGRKRNRFQCGSCCQIISLKRDEGKGIPLIPPSSLYVPEMEQSSSDQMMQDSTHQRYKDFNFAFYNSNEHSSMQFDMDFADDNSLSSTTSHGRTDKEYGSNRSIQSKAEGLSFSPSMSLEVGSPKDILCERDAGCEAEPVHGPVTPRSPVLEDKLVDPLCTQEKDNDEVNQGMHYRSDLTCKGQYDVNHEYDESIITRSKHNGNEDDKVATEDESSCSSFEQKSKEDNCCNLEDGSKTHKQNRAKDDTSSLEDESEKYECTNIKDGNSNPEDENTNNKCEPKANGDEKCVLGAENISNNCEENKKDDVIGAGSTSKRHDELKTEEDYGKLQQQFTEDANSPAESGSSVNGRTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGLLKKGFEDLSLLNKSTDSAKVSINGHPISKRALKKAEKKAGPVDPGSY >PAN29641 pep chromosome:PHallii_v3.1:5:15107599:15113564:1 gene:PAHAL_5G240100 transcript:PAN29641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLKELKYRRRIAVEERAQCSDPRGGADWAALQQDPVELLRKLDELRDQITRSCHVVGQPREHRRVSRRAVSMLPEHLEPPPLPGYHRSRYGGRYGLGLPPPSPHTPLRPEHGERYARQSSGHYRQYPGKQWESGGIGPGSYHHYGCACPHCLHGQRPVPQEENIPMARYFAGQHESYRFERSPSVSSDYDRRSVASSLYSHRSVSKRRAEFFRKKAELICRPVDGAAPFSVCSSCYKLLQMPMEKCIGRKRNRFQCGSCCQIISLKRDEGKGIPLIPPSSLYVPEMEQSSSDQMMQDSTHQRYKDFNFAFYNSNEHSSMQFDMDFADDNSLSSTTSHGRTDKEYGSNRSIQSKAEGLSFSPSMSLEVGSPKDILCERDAGCEAEPVHGPVTPRSPVLEDKLVDPLCTQEKDNDEVNQGMHYRSDLTCKGQYDVNHEYDESIITRSKHNGNEDDKVATEDESSCSSFEQKSKEDNCCNLEDGSKTHKQNRAKDDTSSLEDESEKYECTNIKDGNSNPEDENTNNKCEPKANGDEKCVLGAENISNNCEENKKDDVIGAGSTSKRHDELKTEEDYGKLQQQFTEDANSPAESGSSVNGRTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGLLKKGFEDLSLLNKSTDSAKVSINGHPISKRALKKAEKKAGPVDPGSYWYDYHAGFWGVMGRECIGIIPPFIKEFNYPMARNCAGGDTGVFVNGRELCQRDLDLLVGRGLPRTSGKSYSIEISGNITDEATGKKLRSLGKLAPTIEKLKRGFGMHVPEEFR >PAN31748 pep chromosome:PHallii_v3.1:5:52966332:52967915:-1 gene:PAHAL_5G441400 transcript:PAN31748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKSRILVVGGTGCLGRHVVAASARLGHPIHPRARQGHGAVRPGQGGAAQELPGRRRHAPQGRPGRPRQPGERGQARRRGHLHRRGAADPRPDQACRRHRGGRQRQGTSTGLPVRRVGKGRCIVFPVRLSDHLFAVYVHAQRFLPSEFGLDADRSEAVEPTRSMLTATKAAIRRAVEAAGVPYTYVLAGYFFCYGLPGVGQVLAQAPPVDKAVVLGDGDARVVFVDEADIAAYTVLAAGDPRAENKTLHIRPPANTLSHNELLALWEGKTGRTLERVHVPEDAVLKQIQEASIPMNLMLSIGHATHIKGEQSKLGVDPASGVDAGELFPDVKYTTVDDYLDRLL >PAN26363 pep chromosome:PHallii_v3.1:5:320644:322119:-1 gene:PAHAL_5G005300 transcript:PAN26363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRARVLVVAALVALCAAAASVAAQPPATPRPLPSNYHVITPGKYRRDQQLACNDDRTNNTACLAKCDRRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCILSDANLHINAHFIGKRNPAMSRDFTWIQALGVRFADHRLYMGAKKTAKWNNDVDRLDLAFDGAPIDIPTEIGAVWQSAAAPGLTVTRTATTNGVRVHLTGMFDIMANVVPISEEDSRIHNYGVTDDDSLAHFDLGFKFIDLSDDVHGVLGQTYRSDYVNQLSVSSKMPVMGGAPNYVVSDIFATDCAVARFGAGRAGISMVTSRAY >PAN26462 pep chromosome:PHallii_v3.1:5:896420:905143:-1 gene:PAHAL_5G013600 transcript:PAN26462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKQRAPVAAANAEPDSDGEEPSQTPLPLESFSGDACAALTARYGRSTAPQHRHLLASAAAIRSILLDDGLPLTPASYLPAAVAALRAAGPADPAAASALASLLAILLPHIPSSPSSLPPDAASESASALAAFLSSPDASQLPTGTVRSVVKSLGHLTLHLDAAADWDAVSAPLEALLAASVDQRAKVRKCAQESVEKLFAYLEQCGCAKKASNAAVGMFEKHISLARSLINSNSDISEGKETDSAHMLGAMVTLVPYLSKKARKNVFSDAYQLLSPCFTPLTRHVLRLLATLLGHLKAETVESEVENLVSLVVAYLPYDDKKPDDTIVSALHLMKSCLDKLVGCSKLWVEVLPTAFEAVSGYLILGRKCSDDVAKVLQDCIDSHIDHNVFVTNASQLSECDVEDLSDQAAMKSICLTINRRLHTCAYPPDSILTVVLVLFLKLGESSFVFMKDILLTLAQFAMKMDKESQLKNVQECIGAAVVAMGPDKVLSLIPIAFDGDRLTCSNYWLLPILDKYTYGAPLQLFLEHIVPLAKSVQNASDMVKKGRKRKDLQSWIDQLWNLLPSFCRYPVDVHHSFGSLSKLLVEILKCDECLYKSAVKALQQLVDGTRRLSSNNQDVEIYMELSALFTSKPISFKCPRLERCSKKEARKDLKVLASHSANLLCTFADYFLESSPEKCAHLKVALRCLAQLSGSTNISELFVSLVKRFDLEDTQLESDSQECKTDEVDSKDEESTDTTDELNYRRSLLLDLISTFAEVADEDLLDKLFGFIKSCLLNSSMPCHSKALLALSIIVKEHNEYSMAHLDEIMLLLHGIKPALDNTVLESQLLCYQHLLVHMIKVDDENTNKKAFLILNELIVALKSKKESRKLAYDVLLAVSTNLRSSESSSADSDLQRLFTMVMGYLSTPSPHIVSGAIAALSLLIYSDANFCMEVPNLIQSVLVLLQHKAIEVIKAALGFVKVLVTSLQPEKLLNLRADIMSGILPLSSFTKHHFKWKVVLIVEILIRKCGFDAINLVTPDKYEDFVKSVEEGRRGNHNLADGAESEPKEHEQHDPKRRKRVDSNAETGQEGTPSHRPPSKSWSAGKKQAKDFHFKKRAGRGNPHAAKNHQDKASGDRGDRTNFKSKSKWQPGNRGDKSPGSNKKTRGGAFSKTQNSGIRAPGHSPSFKKRKRTA >PVH38224 pep chromosome:PHallii_v3.1:5:12288377:12289846:-1 gene:PAHAL_5G202400 transcript:PVH38224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLASCTMASPAGSGRGARVVLPDGRVRQVPLPATAAELMLEAPGHFLADARALRPGRRIEALPADEALARGALYAALPMKRLGAPVAPADVARLAAAVVASGDKARAARRRMRPGSSPAATAKVAAVVAPPDVLEAAAEASLLETDAPKPRAPRLEEMAVDDAAAAAEIEELKQRLSGGGRRSRRPTLETIQEESYVAARC >PVH37502 pep chromosome:PHallii_v3.1:5:1250682:1251367:1 gene:PAHAL_5G018400 transcript:PVH37502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVVPLLLLAVAVLLRQRLRPLPVPRPRQLCAPHPQLPPPPRRRPSIHHRGARRARPRQRPWSR >PAN28951 pep chromosome:PHallii_v3.1:5:11380197:11381795:1 gene:PAHAL_5G189100 transcript:PAN28951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQEQASYHAGETKARAEEKAGQVMGAAQEKGREAKSKASGAADRAMGRGHDAREATRDKAREAADRTMGMGHDAKEATRDEAYQAKDGASDAAGRAAGKGRVAAEATRDKACEAKDKASEAAGAAGDRARDGAQQTGSYISQTAEVAKQKAAGAAQYAKETVVAGKDKTGSLLQQAGETVMNTAAGAKDKVVNTAVGAKDAVMNSLGMAGENDDGTTTNSGKDTSTYKPGRDY >PAN27372 pep chromosome:PHallii_v3.1:5:4733735:4736744:-1 gene:PAHAL_5G076400 transcript:PAN27372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPSARDDDSSTGETHLFPSETNRRGEAQEDARASSTSPVHPANLSHESCPAGTRRVPNQIRRVPRSQLPEPPARHRLCLRDRQVAGGVQTRSRRALGQARARQPAGAMERKQPPPAPPPATRRKGAAPSRKWLVVPAAGEPREAELGKHRIMEMTGVPTRDLRVLDPDLASPSTILVRERAVVVNLEHVKAIVTATEALVLDSNNPLLGPFHKDLHARVASPDVSSTGSATDRSNEPEQGEGNGPTVAPCRAGSAKTLPFELKVLEVCLEHTCKCLESETVALEKEAYPALDELTSKVSRLNLEHVRHIKNRLVALSGRVQKVRDELEHLLDDDMDMSEMYLTRKLAFQGFTETLSRVDSNKDASTDHDEKEEEDRDDETDTGRESSAYVKPDIEELEMLVEAYFVQIDGTLNKLYNLREYVDDTEDYINIMLDEKQNQLLQMGVLLSTATVVVTAGIVVVSLFGMNIHIELMKDPETDEEARIKNIKFWETTCGTVAGCLAIYLLAIYAGKRSKILQ >PVH37575 pep chromosome:PHallii_v3.1:5:2136414:2138306:-1 gene:PAHAL_5G034600 transcript:PVH37575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQTGATEHAPDGYQLTIRCSPSSPPPPPPPQVLAVGSSPPWPKTKMGSSSGDFFLASSGATAGARTAAATKPLTMFYNGGVAVFHLPQDKAEDLLKMAAGEGGEDCRPSPRRPNHGEELLSKMRQEMPIASKRSLQRFFQKRKERLYRP >PAN26734 pep chromosome:PHallii_v3.1:5:2136005:2138306:-1 gene:PAHAL_5G034600 transcript:PAN26734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQTGATEHAPDGYQLTIRCSPSSPPPPPPPQVLAVGSSPPWPKTKMGSSSGDFFLASSGATAGARTAAATKPLTMFYNGGVAVFHLPQDKAEDLLKMAAGEGGEDCRPSPRRPNHGEELLSKMRQEMPIASKRSLQRFFQKRKERLYRP >PAN31324 pep chromosome:PHallii_v3.1:5:50972107:50973211:-1 gene:PAHAL_5G412300 transcript:PAN31324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVVVRNDDESASLLDDDDDGGSPVSGGVSPPPLPGKAMRRPRHAVMSLIRSPFAAVLRMTTCARDAAISTGDEQPSNGGDTAGVERRRGARRRPSLEQLLRMEAAPPPPPRPDRTSEQHTTAPSHDVVATCTTVQQKRAQAPLSPSKGHHTVVVVSDDDDDERHAAGVPAVKPDAAGRRPTNSKRLVVVFASLRQCSRASGISVAMPSKDPNGKVAAAGGRAPGKAELFYYRPIPMGRRCRVQHLEESPYK >PVH38837 pep chromosome:PHallii_v3.1:5:43383641:43384960:1 gene:PAHAL_5G366500 transcript:PVH38837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSDPALMQSAFHSRCRGGGNEPITARTNLCIRLCTQEFDTSHLPDRVRDQVARLATLSQGVRRIIGPLTEGPGPTSRPESLLAPRVACRCPPAGIDRQHILARPVGLSSSTSSSSSASSSSTPSSSSTLSVVVNKMAREDPITYEELSAEHKQRYDEIKTQFEADLIGSFERTRSHGVRWRGFSPEGALDGVDLSTPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPALGSYKGELPFQTRPPLSYALAAVESHGAPAYVFYKVGDDPTDHQFFNEPPKEIPHGYMCAYIPDSNNPIHLSQKVAGGVSGANAEKQAWLATYATGPSHDSMHSAPGLQTAEQIGAILRDQFGILPKRRAIGYMKPYPSDYDLIPLPPKYRLPEFTKFSGAEGSSSIEHVSRYLAQLGMISVSDPL >PVH38486 pep chromosome:PHallii_v3.1:5:17599324:17602127:-1 gene:PAHAL_5G269700 transcript:PVH38486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRNPFPTRHTPHCCHRIGSREFSSPLPLLRFAAAGDVGNTGRLRFDPNRGSPRRLAMAEPLSSSQDAPNPTATEQRVLVTNKHGENLVGLLHHTGSNKVVVLCHGFTASKDDGIIVDLAAAITKRRISVFRFDFSGNGESEGEFQYGNYRKEADDLHSVVSYLYREKYDVAAVVGHSKGGDVVVLYASIYNDVPMVVNLSGRFNLEKGVEERLGKEFMDRINTEGYIDVTNKSGKFLYRVTKESLMERLNTDMHAVSLSISKECRFFTIHGSADEIIPVEDAYEFAKLIPNHKLRVIEGANHCYTAHRKELSDAVIESITSNEAGDTPP >PAN31832 pep chromosome:PHallii_v3.1:5:53362610:53365307:1 gene:PAHAL_5G446000 transcript:PAN31832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRVVVVVEDAAAARAALQWAVGNFIRGGDSITLLHVCPPARSRRKRRRLRLGGFQLALAFKDLCNGIAEAKMEIVVTEGELGETVVATVNQLGATTLVVGLHDKSFLYSVPPPKIPFPMFTLPLGVIWRRSKRRR >PAN31831 pep chromosome:PHallii_v3.1:5:53362610:53365280:1 gene:PAHAL_5G446000 transcript:PAN31831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRVVVVVEDAAAARAALQWAVGNFIRGGDSITLLHVCPPARSRRKRRRLRLGGFQLALAFKDLCNGIAEAKMEIVVTEGELGETVVATVNQLGATTLVVGLHDKSFLYRAPSPYTRVRSLGCRVLAVRQHATARDGFLNADLTQIETISLHVPPPKIPFPMFTLPLGVIWRRSKRRR >PAN31443 pep chromosome:PHallii_v3.1:5:51620910:51622142:-1 gene:PAHAL_5G420200 transcript:PAN31443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGALAPVLLAALSLPCVVVLLLLALGKAGLRVAAAAAALCGARGRGGHAWPTRPGGASAYHPASVFRDDDEPPLPLECCDRLAVAVYRRGQQGRADGPDPECVFCLSAVGDGEEVRELQCRHVFHRGCLDAWLVRPRATCPLCRDRLLPADTPRPARSADADTTVHGFDFGVHGGGSLSSSVHAHGGALWHMT >PAN32929 pep chromosome:PHallii_v3.1:5:58159695:58163198:-1 gene:PAHAL_5G522500 transcript:PAN32929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDKLLPALAAALLCCALARVAQCGGGAPQNYTSMFSFGDSLTDTGNLLVSSPLSNHIVGRYPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLPPYLQSKGKDLRCGVNFAVGGATAMDPPFFQEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKKCKEYFSKSLFLVGEIGGNDYNYAFFKGKTLDDAKTYVPTVAGAVTDATERLIKAGATHLVVPGNLPMGCSSAYLTLHPGRNGSDYDSAGCLKTYNDFAQHHNAVLQQKLQALRAKYPQARIMYADYYGAAMSFAKDPKQFGFTQGPLRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAAYHAIADSILNGPYTSPRLL >PAN33092 pep chromosome:PHallii_v3.1:5:59027281:59028578:1 gene:PAHAL_5G534100 transcript:PAN33092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALGHHREAADAGCVRAVLAEIILTFLFVFAGVGSAMATGKLAGGADSVVGLTAVALAHTLVVAVMVSAGLHVSGGHINPAVTLGLAVTGRITLFRSALYTAAQLLGSALACLLLAFLTGGAPTPVHALAAGVGALQGVLMEVVLTFSLLFAVYATVVDPRRAVGGMGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALAAGVWADHWVYWVGPLIGGPLAGLVYDGLFMAQGGHEPLPSDDNDDL >PAN32875 pep chromosome:PHallii_v3.1:5:57835661:57843650:1 gene:PAHAL_5G516700 transcript:PAN32875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRFEVRNEVGLGDPDLYGGGGSGGAVGTAVGTGAAGKRGAAAAAGEEEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACLKRYSDPSYFKKAWDMMRADKTAHHQREKRSQKIKRKGSRLREPYHGQATSRHRSGELQRSLTAGQPVNSRQFASPSTDGQSFSEHRSTPDARSNPENISRSSSFSSKARLSSVDQALDIKPSTVPHENGHGKSSNTKLQNPSDLPLRVLHNSNSVDDTSDDLKQGSLLGDVVARSPYVKWDEKSAIIMSTSSVYCDDVVMDKAEDAEPTCISSVQKEIVHKGMDTLEQQDALLKKKKSPSALNHHDEIPGEADNYMDALNTLESETEPEPELQTKNQVMPSPSSNAEASQVGAVDDIVSQHPDSSVADFTDTCQDSNISFTSERAVDFPRLSNADSPEISQLEFSDYTSLTMYKDSSVITNIHESNMEGACGDPSEISKPELQVHEAISPNGRSPIYNQIPESKAKDGPGDFHEIPEPEFSANLATPSNEESAVANQNLESKVENTGDIDDTTNDVVSGPTISNVVIGEEAFKMTPAAKESPGDISDDSWVVSESSPQNYPRKKHEELGDCGVSEVSNSWSEPLNEPSENRCATQDVPTNTITSTGASEVSNSQCEPLKEPLENGLATQGIPTNTSTASTGVPPVKLWTNAGLFGLEPSKPPVFGAQDAPREDTPPGLKELQPSHATEFTELNCSKPIESAVVDVPNGNTSITSSFVGKLVGIRPGSANLNSTGANQSAARIPDQVHGQTDGPSDFSSSFEHNNMIGKQASISELLESEESAENGTEMYSTNMRNDMHMVSASSFSSIAQRFLANTLQRRNSHLPMSSERPNADASVDDESALNPIVEPSQTVFMENSQSENKTENGMNGLSKSSMFSSRQYSEKSSPPLEYMKISFHPMSAFEMSKQNLDFCDGNLHENSDDMMLPTFQLLSESSIPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSEVWDQEDGVGLEERELYDDSNQIGSSTAPLSSYMGFEQMNLSGVKSTISPAYTGDQNGLGTLESHSVEELPNFDTIMSRNNDQNDEASIPHNPVNLPPAEDPLPPPPPLPPMQWRMTRQTTSLEEERGITAKDMFQKSSSLPHVHTSAKEGPHPPTALRDPQGHAKEVDVQKTDGVKEITNPSSIIDIKSSLLQQIRDKSEQLKLNGHERSKAVGSDIKSLDEREELLQQIRSKTFNLRRTNASKTDTSSQSTANSNVVAILEKANAIRQAVASDEGGDDDNWSDI >PAN32855 pep chromosome:PHallii_v3.1:5:57835661:57843650:1 gene:PAHAL_5G516700 transcript:PAN32855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRFEVRNEVGLGDPDLYGGGGSGGAVGTAVGTGAAGKRGAAAAAGEEEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACLKRYSDPSYFKKAWDMMRADKTAHHQREKRSQKIKRKGSRLREPYHGQATSRHRSGELQRSLTAGQPVNRQFASPSTDGQSFSEHRSTPDARSNPENISRSSSFSSKARLSSVDQALDIKPSTVPHENGHGKSSNTKLQNPSDLPLRVLHNSNSVDDTSDDLKQGSLLGDVVARSPYVKWDEKSAIIMSTSSVYCDDVVMDKAEDAEPTCISSVQKEIVHKGMDTLEQQDALLKKKKSPSALNHHDEIPGEADNYMDALNTLESETEPEPELQTKNQVMPSPSSNAEASQVGAVDDIVSQHPDSSVADFTDTCQDSNISFTSERAVDFPRLSNADSPEISQLEFSDYTSLTMYKDSSVITNIHESNMEGACGDPSEISKPELQVHEAISPNGRSPIYNQIPESKAKDGPGDFHEIPEPEFSANLATPSNEESAVANQNLESKVENTGDIDDTTNDVVSGPTISNVVIGEEAFKMTPAAKESPGDISDDSWVVSESSPQNYPRKKHEELGDCGVSEVSNSWSEPLNEPSENRCATQDVPTNTITSTGASEVSNSQCEPLKEPLENGLATQGIPTNTSTASTGVPPVKLWTNAGLFGLEPSKPPVFGAQDAPREDTPPGLKELQPSHATEFTELNCSKPIESAVVDVPNGNTSITSSFVGKLVGIRPGSANLNSTGANQSAARIPDQVHGQTDGPSDFSSSFEHNNMIGKQASISELLESEESAENGTEMYSTNMRNDMHMVSASSFSSIAQRFLANTLQRRNSHLPMSSERPNADASVDDESALNPIVEPSQTVFMENSQSENKTENGMNGLSKSSMFSSRQYSEKSSPPLEYMKISFHPMSAFEMSKQNLDFCDGNLHENSDDMMLPTFQLLSESSIPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSEVWDQEDGVGLEERELYDDSNQIGSSTAPLSSYMGFEQMNLSGVKSTISPAYTGDQNGLGTLESHSVEELPNFDTIMSRNNDQNDEASIPHNPVNLPPAEDPLPPPPPLPPMQWRMTRQTTSLEEERGITAKDMFQKSSSLPHVHTSAKEGPHPPTALRDPQGHAKEVDVQKTDGVKEITNPSSIIDIKSSLLQQIRDKSEQLKLNGHERSKAVGSDIKSLDEREELLQQIRSKTFNLRRTNASKTDTSSQSTANSNVVAILEKANAIRQAVASDEGGDDDNWSDI >PVH38651 pep chromosome:PHallii_v3.1:5:28823158:28826074:-1 gene:PAHAL_5G316700 transcript:PVH38651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLINDGPTTTTSLADDLHAVAEILLRLPSPAALVRAALASRRWRQVASSPGFLRRYRSRHTSSPLLGLYVPRSHAGLPSFQLADSVRSDRALAKFVRAGDFNLTGLGSHPEWRLLDCHNSRLLLSRGESRAVYNPVSGREPLWLPLPQNSPLEGTFISECLLQGHSDDAASFRVVSVQHRGRDQMVRAAEYDSCTRQWHRHQWVMNINRPQHEQAMHAGRLIFWRYEDTSLLLLDTATVEFSILGLPFPFFQLSMYAIGDTVNGVCCLVGLVGSINNLHLQVWLLKEDGVTKTWEPENKVPVSQVFGRDAQLNQVHVVTNGVALLGGGRCHQFAINLKKMCIDAEFECSALGYPLQMPWPPAVLVETGSETINFIGDVVTKNLSAIEETEMNMMVGIQCDKMVHKSKTIPTSRLDLVGDMIPYNQMVVHGSEMVQGIQTTQGNDPAESVVRTKSRYGSEMVSSDHGGQMINSKQNVICGSEMVQGIEMTHDNGTAEVTTASRCSNMMDHSDEMIHCNQMVIRDSEMVHSIEMTRGTYTVEAAPTTSRHGHEMVPGRKMVHGCSVIRCNQIAIHDKQIVQGIEMPHGNDTAEAAPDAPPTTARRRRKNSIIWEHFTTETNSDGCTRACCNYCKRTFACSKTAGTSHLKRHITLGSCPVVKSQVPPSSGRTGNRGSCAAGKPSKRQCIHAGPGNNTFNRNGNTSYLGNMDILTEQPLATKQGNEYSISKCLKVLHDMDNVSDEIKHLAFHVLKDATNREIFMSYESRLRGLWLKKEVTKL >PVH38650 pep chromosome:PHallii_v3.1:5:28821284:28826153:-1 gene:PAHAL_5G316700 transcript:PVH38650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLINDGPTTTTSLADDLHAVAEILLRLPSPAALVRAALASRRWRQVASSPGFLRRYRSRHTSSPLLGLYVPRSHAGLPSFQLADSVRSDRALAKFVRAGDFNLTGLGSHPEWRLLDCHNSRLLLSRGESRAVYNPVSGREPLWLPLPQNSPLEGTFISECLLQGHSDDAASFRVVSVQHRGRDQMVRAAEYDSCTRQWHRHQWVMNINRPQHEQAMHAGRLIFWRYEDTSLLLLDTATVEFSILGLPFPFFQLSMYAIGDTVNGVCCLVGLVGSINNLHLQVWLLKEDGVTKTWEPENKVPVSQVFGRDAQLNQVHVVTNGVALLGGGRCHQFAINLKKMCIDAEFECSALGYPLQMPWPPAVLVETGSETINFIGDVVTKNLSAIEETEMNMMVGIQCDKMVHKSKTIPTSRLDLVGDMIPYNQMVVHGSEMVQGIQTTQGNDPAESVVRTKSRYGSEMVSSDHGGQMINSKQNVICGSEMVQGIEMTHDNGTAEVTTASRCSNMMDHSDEMIHCNQMVIRDSEMVHSIEMTRGTYTVEAAPTTSRHGHEMVPGRKMVHGCSVIRCNQIAIHDKQIVQGIEMPHGNDTAEAAPDAPPTTARRRRKNSIIWEHFTTETNSDGCTRACCNYCKRTFACSKTAGTSHLKRHITLGSCPVVKSQVPPSSGRTGNRGSCAAGKPSKRQCIHAGPGNNTFNRNGNTSYLGNMDILTEPLATKQGNEYSISKCLKVLHDMDNVSDEIKHLAFHVLKDATNREIFMSYESRLRGLWLKKEVTKL >PVH38652 pep chromosome:PHallii_v3.1:5:28821284:28826152:-1 gene:PAHAL_5G316700 transcript:PVH38652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLINDGPTTTTSLADDLHAVAEILLRLPSPAALVRAALASRRWRQVASSPGFLRRYRSRHTSSPLLGLYVPRSHAGLPSFQLADSVRSDRALAKFVRAGDFNLTGLGSHPEWRLLDCHNSRLLLSRGESRAVYNPVSGREPLWLPLPQNSPLEGTFISECLLQGHSDDAASFRVVSVQHRGRDQMVRAAEYDSCTRQWHRHQWVMNINRPQHEQAMHAGRLIFWRYEDTSLLLLDTATVEFSILGLPFPFFQLSMYAIGDTVNGVCCLVGLVGSINNLHLQVWLLKEDGVTKTWEPENKVPVSQVFGRDAQLNQVHVVTNGVALLGGGRCHQFAINLKKMCIDAEFECSALGYPLQMPWPPAVLVETGSETINFIGDVVTKNLSAIEETEMNMMVGIQCDKMVHKSKTIPTSRLDLVGDMIPYNQMVVHGSEMVQGIQTTQGNDPAESVVRTKSRYGSEMVSSDHGGQMINSKQNVICGSEMVQGIEMTHDNGTAEVTTASRCSNMMDHSDEMIHCNQMVIRDSEMVHSIEMTRGTYTVEAAPTTSRHGHEMVPGRKMVHGCSVIRCNQIAIHDKQIVQGIEMPHGNDTAEAAPDAPPTTARRRRKNSIIWEHFTTETNSDGCTRACCNYCKRTFACSKTAGTSHLKRHITLGSCPVVKSQVPPSSGRTGNRGSCAAGKPSKRQCIHAGPGNNTFNRNGNTSYLGNMDILTEPLATKQGNEYSISKCLKVLHDMDNVSDEIKHLAFHVLKDATNREIFMSYESRLRGLWLKKEVTKL >PVH38649 pep chromosome:PHallii_v3.1:5:28821284:28826154:-1 gene:PAHAL_5G316700 transcript:PVH38649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLINDGPTTTTSLADDLHAVAEILLRLPSPAALVRAALASRRWRQVASSPGFLRRYRSRHTSSPLLGLYVPRSHAGLPSFQLADSVRSDRALAKFVRAGDFNLTGLGSHPEWRLLDCHNSRLLLSRGESRAVYNPVSGREPLWLPLPQNSPLEGTFISECLLQGHSDDAASFRVVSVQHRGRDQMVRAAEYDSCTRQWHRHQWVMNINRPQHEQAMHAGRLIFWRYEDTSLLLLDTATVEFSILGLPFPFFQLSMYAIGDTVNGVCCLVGLVGSINNLHLQVWLLKEDGVTKTWEPENKVPVSQVFGRDAQLNQVHVVTNGVALLGGGRCHQFAINLKKMCIDAEFECSALGYPLQMPWPPAVLVETGSETINFIGDVVTKNLSAIEETEMNMMVGIQCDKMVHKSKTIPTSRLDLVGDMIPYNQMVVHGSEMVQGIQTTQGNDPAESVVRTKSRYGSEMVSSDHGGQMINSKQNVICGSEMVQGIEMTHDNGTAEVTTASRCSNMMDHSDEMIHCNQMVIRDSEMVHSIEMTRGTYTVEAAPTTSRHGHEMVPGRKMVHGCSVIRCNQIAIHDKQIVQGIEMPHGNDTAEAAPDAPPTTARRRRKNSIIWEHFTTETNSDGCTRACCNYCKRTFACSKTAGTSHLKRHITLGSCPVVKSQVPPSSGRTGNRGSCAAGKPSKRQCIHAGPGNNTFNRNGNTSYLGNMDILTEPLATKQGNEYSISKCLKVLHDMDNVSDEIKHLAFHVLKDATNREIFMSYESRLRGLWLKKEVTKL >PAN29767 pep chromosome:PHallii_v3.1:5:15722945:15725571:-1 gene:PAHAL_5G248800 transcript:PAN29767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGDGEAATSKEKGGGGGGAERTSLDGVRDKNVMQLKKLNTALFPVRYNDKYYQDTIASKDFSKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVFDLSAKQNISEIYLHVQTNNDDAISFYKKFGFEITQTIHNYYMNITPPDCYVLTKFIGQAATKK >PAN27644 pep chromosome:PHallii_v3.1:5:5851141:5858173:1 gene:PAHAL_5G094600 transcript:PAN27644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPMVARAAGGCSSAALAFFGFRPLRRAVRPAAAFSWSQSSPYGGCRSRLAHSLVDSILDELRSRRRVRVSAKIGLQGTKELSDNKIDKRALQKGLLLEFQKDSERSLLAVVERPDGKKNWMVTDQNGILSSIKPQQVTYVVPGIMNFDSSRIDEFLKKAQDLLDPTVLECAWMELSEKDKSITVEEFAEIVYGNKESLESYCAHFLLSRDIVYFFKVESRDYSMYQPRSPPQVEELLRRKHAKEEAEKELEEFVHLLKSAKALPMESKPPKSSWLVDEKVRQKIEALQAYTVDACDDEQRRLAGNILKAMGFTRTSSAALKLLINVGYFPVHVNLDLFKYDVRITYTEEVLSAAEELLVDRPDSDMNIRKDLSTLKVYAIDVDEADELDDALSAIRLPDGRIKVWIHVADPTCLIKPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGKQCKSVSVSVTLHPDGSIAEYTLENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAASIRAQWRRSQGSIDTAMIEPRIKVSNPDDPEPNINLYVEDQANPAMQLVSEMMILCGEAVAAFGADNNLPLPYRGHPQSNTAVSAFSHLPDGPARSFANISALRAAEMDYQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGDSPPYSAGDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKGRKYKALILKFVKDRMAALLLVEVGMQVTTIVSRGKVGDEVSVAVDTAHPRDDILSIREVIEDTDDTEE >PAN27643 pep chromosome:PHallii_v3.1:5:5851127:5858328:1 gene:PAHAL_5G094600 transcript:PAN27643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPMVARAAGGCSSAALAFFGFRPLRRAVRPAAAFSWSQSSPYGGCRSRLAHSLVDSILDELRSRRRVRVSAKIGLQGTKELSDNKIDKRALQKGLLLEFQKDSERSLLAVVERPDGKKNWMVTDQNGILSSIKPQQVTYVVPGIMNFDSSRIDEFLKKAQDLLDPTVLECAWMELSEKDKSITVEEFAEIVYGNKESLESYCAHFLLSRDIVYFFKVESRDYSMYQPRSPPQVEELLRRKHAKEEAEKELEEFVHLLKSAKALPMESKPPKSSWLVDEKVRQKIEALQAYTVDACDDEQRRLAGNILKAMGFTRTSSAALKLLINVGYFPVHVNLDLFKYDVRITYTEEVLSAAEELLVDRPDSDMNIRKDLSTLKVYAIDVDEADELDDALSAIRLPDGRIKVWIHVADPTCLIKPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGKQCKSVSVSVTLHPDGSIAEYTLENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAASIRAQWRRSQGSIDTAMIEPRIKVSNPDDPEPNINLYVEDQANPAMQLVSEMMILCGEAVAAFGADNNLPLPYRGHPQSNTAVSAFSHLPDGPARSFANISALRAAEMDYQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGDSPPYSAGDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKGRKYKALILKFVKDRMAALLLVEVGMQVTTIVSRGKVGDEVSVAVDTAHPRDDILSIREVIEDTDDTEE >PAN27642 pep chromosome:PHallii_v3.1:5:5851141:5858173:1 gene:PAHAL_5G094600 transcript:PAN27642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPMVARAAGGCSSAALAFFGFRPLRRAVRPAAAFSWSQSSPYGGCRSRLAHSLVDSILDELRSRRRVRVSAKIGLQGTKELSDNKIDKRALQKGLLLEFQKDSERSLLAVVERPDGKKNWMVTDQNGILSSIKPQQVTYVVPGIMNFDSSRIDEFLKKAQDLLDPTVLECAWMELSEKDKSITVEEFAEIVYGNKESLESYCAHFLLSRDIVYFFKVESRDYSMYQPRSPPQVEELLRRKHAKEEAEKELEEFVHLLKSAKALPMESKPPKSSWLVDEKVRQKIEALQAYTVDACDDEQRRLAGNILKAMGFTRTSSAALKLLINVGYFPVHVNLDLFKYDVRITYTEEVLSAAEELLVDRPDSDMNIRKDLSTLKVYAIDVDEADELDDALSAIRLPDGRIKVWIHVADPTCLIKPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGKQCKSVSVSVTLHPDGSIAEYTLENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAASIRAQWRRSQGSIDTAMIEPRIKVSNPDDPEPNINLYVEDQANPAMQLVSEMMILCGEAVAAFGADNNLPLPYRGHPQSNTAVSAFSHLPDGPARSFANISALRAAEMDYQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGDSPPYSAGDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKGRKYKALILKFVKDRMAALLLVEVGMQVTTIVSRGKVGDEVSVAVDTAHPRDDILSIREVIEDTDDTEE >PAN31597 pep chromosome:PHallii_v3.1:5:52359322:52364792:1 gene:PAHAL_5G430200 transcript:PAN31597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAVDKERFAESKKELDALLADDSLANVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLSDSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >PVH39188 pep chromosome:PHallii_v3.1:5:53713104:53714243:1 gene:PAHAL_5G451200 transcript:PVH39188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLESLVLSSATATPSRHPRRRSAESLCRPASIVVPGAAADARTELRRAVSVAAPEGNSMAVELPLLQKRARGRWWLMRGTASFAAPSVIVIARPPAVGNLSIADLVAKMCSCHLPEPERQDPRWRRIGARGFAGVRKWRRRQRWIRSRNRSSPPCSVSPFA >PVH37545 pep chromosome:PHallii_v3.1:5:1674972:1676264:1 gene:PAHAL_5G026200 transcript:PVH37545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLFSLLLLASPQGTLAADQQPPAKPILTPIFKDPSTSLYTIPIKDGAAPLVLDLAGPLLWSTCAPAHRTIPCNSSVCRVAGRNPQAGCACTSGGQPNTNTNSTGRRCTCTACPYNLATGQCGRGDVTAVPLSANATDGRNPLFPVSFSAFGSCAPDALLASLPSGAAGVAGLSRLPLSLPSQVASVLRVAKRFALCLPTSGARSGAAIFGGGPFQLLAAPPVNVAEGFFEDPHPFHFLKNPRNGAYYVRVTGIEVNNERVPLPPGIFDLHARSGTGGVMLSTMTPYTTLRSEIYRPLRRAFDAATSGIPRAAPVEPFEMCYQVSALSSTRLGFGVANIVLLLDGGGSWLLAGNASLVQVNDRTVCFAFLEMGSATVVPGSPAVIFGGFQMEDHLLLFDLEKEAFGFRGPLPGIRTHCGNFDFSMGSS >PVH38532 pep chromosome:PHallii_v3.1:5:19497868:19498323:-1 gene:PAHAL_5G280200 transcript:PVH38532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRSNVIPKFLGSMSTFLTSRLHQEDRSFIDRMQTLKTSEEQVIARTISSISDAGIFLVVRLATKADLRPRC >PAN27791 pep chromosome:PHallii_v3.1:5:6490842:6491946:1 gene:PAHAL_5G107500 transcript:PAN27791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPAGPLPLGALYIGAASLPHTHHKEFFRVPIKKPSCAHAQRSSTAAAAADDDIDMGNSLRCCLACMVPCGALDVVRIVHLSGHVDEFSCPVAAASVLAANPNHTLTTAWSPSGAPGCASKKLVIVSPDSELKRGRIYFLIPSATLPTDRRSKKQGGSKKSGGSKRPGRHHHAKKSAGDTAEQDNYLSELLSEKTASSGGHRRRRSGARVGVWRPQLESIVEEASD >PAN29156 pep chromosome:PHallii_v3.1:5:12496714:12497911:1 gene:PAHAL_5G205100 transcript:PAN29156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAVPPRACRDEAGTSGGRRRRRPNPKDVATGTEAAAPYYDAAPRRTAVTSRKQRDEAEQEYEQPARAGRRRAGAVSWAGGGAAGKAPGATVATVKIVLRRKDAEALVARLNAQGARERKARMAELKGELRAVDCGGGGGGGASPASCRDAWRPRLAPIKEN >PAN28546 pep chromosome:PHallii_v3.1:5:9697508:9701352:-1 gene:PAHAL_5G161200 transcript:PAN28546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAATTTTTTTTVCAHPHTKPSSAPFLRLSPPCRVSFRASLLPNLRATAMAATSQQQEQLVITRPDDWHLHIREGGVLEAVLPHSARHFGRAIIMPNLKPPVTTTARAVEYREEIMRALPPGSSFVPLMTLYLTDNTSPEEIKLARKSGVVFAVKLYPAGATTNSQDGVTDIGKCLPVLEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDRILAPLGQKLPQLKIVMEHITTMDAVNFIESCEEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSRRYFLGTDSAPHDKRNKECSCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPASYKHSSGEIVPMFTGSTLDWLPSDQPEE >PAN27732 pep chromosome:PHallii_v3.1:5:6234246:6235700:1 gene:PAHAL_5G102300 transcript:PAN27732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGRAAACAALVFPSTATTSTPLPSTVSVNPRARHRTMATPAGVAAVPHASGAGGAVLERPAFDQSQLDALPVAEEGGDPGRLKVGRRSGSGDSYKVLLVDDARHTEQHVEKALPQVVPSITAEAARQLFHESRLKGVAVVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAS >PVH39397 pep chromosome:PHallii_v3.1:5:56150571:56152293:1 gene:PAHAL_5G492200 transcript:PVH39397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTKRVEPVQGGGLPLAALNHISVVCRSLESSVHFYRNVLGFIPIRRPGSFDFDGAWLFNYGIGIHLLQAEDPESMPPKKTQIDPKDNHISFQCESMEAVQRRLKELGVRYVQRRVEEGGIFVDQLFFHDPDGFMVEVCTCDNLPIVPLVPVEGSAILGLPPPAPACKRPAAATLRPAPPLPVPVAVPAPVSAAAAVPPAQCVPAKAGSYVGEVKAAGIVGTPAF >PAN29964 pep chromosome:PHallii_v3.1:5:16918794:16921229:1 gene:PAHAL_5G262100 transcript:PAN29964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHAYEKLYQWILRKHEEESRLTVADIISHIQHEMDYGGTDAHVSPRVHQHPQIANPFTNSVVTSGTGLYGQTAAGLAPRPSLSDQSKNMIFSNALSSPVRRSLQNHLAQGAGNGGRNTEPNSAGANRETNSASSNDTSMDMVSDSAGNELYQ >PVH38711 pep chromosome:PHallii_v3.1:5:33754013:33754834:-1 gene:PAHAL_5G332400 transcript:PVH38711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRSCLPVPVAITKSYAECHSWFLELIEAMAQIFKLLWQLSSQQVKCAGLRTLTVISLRQRNWAFA >PAN29521 pep chromosome:PHallii_v3.1:5:14448111:14451662:1 gene:PAHAL_5G232000 transcript:PAN29521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLRLHLFPRLRAFAASSRPLFAAHSGALTLPLPLPMRRAGPAMPLAARARRGLGSSTAAAPPAEDEDFATAADLRFEPPLEVVKYPDPILRARNKRINTFDANLRALADEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKSGKRSLVFEEGCLSFPGIYANVVRPESVKIEAQDVTGAKIKVKLSGLPARVFQHEFDHLLGILFFDRMTMDVLETVREELKNLEKKYEERTGLTSPETVDNYQGTKDVFSFSR >PVH38556 pep chromosome:PHallii_v3.1:5:20759466:20760361:-1 gene:PAHAL_5G287900 transcript:PVH38556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLRKSRRMVRSPRTMMTPPSSSDQLPLWSSSARMHLPRQRSLCGFSLILQNRPPSGSEPLTLLPPEPKLRRGR >PAN31849 pep chromosome:PHallii_v3.1:5:53440910:53442303:1 gene:PAHAL_5G447600 transcript:PAN31849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFSCLSSLQLQAICALMAILLAITISSCSVVVHCSEVEGDSNAPIDGAPVAHLDGGRRGLAPPPPRGGPVRAYFVEPRPPPTQRRRV >PAN31906 pep chromosome:PHallii_v3.1:5:40136826:40139777:-1 gene:PAHAL_5G356800 transcript:PAN31906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase [Source:Projected from Arabidopsis thaliana (AT2G27490) UniProtKB/Swiss-Prot;Acc:Q9ZQH0] MKLVGLTGGIASGKSTVSNLFKDAGVPVVDADVVARNVVQKGTGGWKKIVKAFGDEILLDNGEIDRARLGQIVFSDPSKRQLLNRLLAPHISSGIFWEMAKLWMKGCKVIILDIPLLFETKMDRWTNPVIVVWVNPETQIERLMSRDGCSEEQAQNRINAQLALDWKKSEADIVIDNSGSLDDTKQQFQEVLRKVSEPLTWKERLRSRDGLISVVMCTAVGLLLAQKNLL >PAN32872 pep chromosome:PHallii_v3.1:5:57937400:57938233:-1 gene:PAHAL_5G518100 transcript:PAN32872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAVEAHRAGAEVYRGAALCAEKSAELLAEVHLPLGLLPLADMEEVGYNRSTGFVWLRQRKALTHTFRQIGRQVSYAAEVTAFVEDRRMKRMTGVKTKELLIWVTLSDMFVEKDDTSKITFKTPTGLGRTFPVAAFAKEGDNGKTKPKEAPAAANGKEPAAAVSGE >PAN28993 pep chromosome:PHallii_v3.1:5:11602856:11604608:1 gene:PAHAL_5G192200 transcript:PAN28993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMALDGFTHRTLEVNGIKIHIAEAGDGSAGTVLFLHGFMELWCSWHHQLTSLSRRGYRCLAPDLRGYGGSSAPASPASYTAFHLVGDVVGLLDALSLPQVFVVGQGWGALLAWHLCTFRPERVRALVAMSVAFMPRNPAVRPLDGFRRIYGDGYYLVRMQEPGHMEAEFASMNARFIFKRLLTTRDTGATSLSKEWWGSPEEEIALPPWLSEEYIDHLAAKFDETGFSGAMNFYRCLDLNWELMAPWTAAKVAVPTKFVAGETAMAHKNKAAQEYILNGGLKGDVPGLEEVAVVAGGGHYLHLEKAEEVTEHIYDFIKKF >PVH39228 pep chromosome:PHallii_v3.1:5:54314672:54315812:1 gene:PAHAL_5G460200 transcript:PVH39228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTAPVSAHRPPPNSEADADAHARADVRAASPRLLGAVQRRALRRDARRPRRGRRLGLRLRVQVETGARLRRRRGGVGGGLVRTRLRGRRGRRRRRGREVLPAEAGPPRRRARGGAGASAADAPGGVAHAPEDGVELLDLGVGGLVGGGGGGVGAGDVGGGALALGGGGGRVHVWPHSVRGSARRGAGIGGRVWWLRSVLE >PVH37499 pep chromosome:PHallii_v3.1:5:1235561:1236865:1 gene:PAHAL_5G018100 transcript:PVH37499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGRKRQRNPASYLSDDLLIEILVRLPPRPLGRFKCVSRSWRDLISGPVHRRRLAHTDAASGFFYHVHGDGYRAPVTTDLNFTALCPPDGGGGSPPAFLDQAFPFLPSSSTRTRTELLDSCNGLLLLRCHRPSGDELAAPHYIVCNPATQGWAVELPVPLPEPSRARNPFELGSEISRRRRERQQRRESTRPAALAFDPAVSPHFHVFELVEDDGRPSSRYGGAAVKAVRIYSSETGEWVLRNSEWSYRIAYAGENAYFNGGEWSYRLAYAGKHAYLNGSLHLTTTDTEKGMVVVASVDTKGQTWRATRVCPEPPATLGAPGVTGQSQRRLLYVDASSAAYARDLSVYALEDCGGGGGAERWILKHRARSLDPSGGQSVVAIHPGCNVIFLFDSRRRSLIAYDMDHGTTRVVHSFTDATSNYHFFPYVPLYLQ >PAN26721 pep chromosome:PHallii_v3.1:5:2073101:2077685:1 gene:PAHAL_5G033400 transcript:PAN26721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELEHGGDEDDRGSESSKGSLSASSDATVSSTASKLQALRLAEDLSLPSVQVVVMSANMGCSHCRQRVANVVSKMNAGLLDYMVDFGKKEVTVRGKVVPAKKRKKHKNPFAASPSPGHARTLSWLLGCYGS >PAN26720 pep chromosome:PHallii_v3.1:5:2073101:2077685:1 gene:PAHAL_5G033400 transcript:PAN26720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELEHGGDEDDRGSESSKGSLSASSDATVSSTASKLQALRLAEDLSLPSVQVVVMSANMGCSHCRQRVANVVSKMNGLLDYMVDFGKKEVTVRGKVVPAKKRKKHKNPFAASPSPGHARTLSWLLGCYGS >PVH39036 pep chromosome:PHallii_v3.1:5:51903231:51904319:-1 gene:PAHAL_5G423400 transcript:PVH39036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALIACSPKVDPKIEKPDEMVTAGVLASLQNFLRKCLIAVISYGPMPKHIAFIMDGNRRYAKFRSIQQGAGHRVGFSALIANLLYCYEMGVKYITVYAFSIDNFKRDPSEVQSLMQLMEEKINELLDSRSVINRINCKINFWGNLDLLAEPVRLAAQKLMASTAGSTGLVLSVCMPYNSTSEIVNAVNEVCAERREMLQREHASDSNGQAANNSVHSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPGPLWPEFSFKHLVWAILKYQRAYPYLEQNRNVAKKQL >PVH39034 pep chromosome:PHallii_v3.1:5:51903009:51906083:-1 gene:PAHAL_5G423400 transcript:PVH39034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALIACSPKVDPKIEKPDEMVTAGVLASLQNFLRKCLIAVISYGPMPKHIAFIMDGNRRYAKFRSIQQGAGHRVGFSALIANLLYCYEMGVKYITVYAFSIDNFKRDPSEVQSLMQLMEEKINELLDSRSVINRINCKINFWGNLDLLAEPVRLAAQKLMASTAGSTGLVLSVCMPYNSTSEIVNAVNEVCAERREMLQREHASDSNGQAANNSVHSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPGPLWPEFSFKHLVWAILKYQRAYPYLEQNRNVAKKQL >PVH39035 pep chromosome:PHallii_v3.1:5:51903009:51905698:-1 gene:PAHAL_5G423400 transcript:PVH39035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLEASSKELVTGDPSEVQSLMQLMEEKINELLDSRSVINRINCKINFWGNLDLLAEPVRLAAQKLMASTAGSTGLVLSVCMPYNSTSEIVNAVNEVCAERREMLQREHASDSNGQAANNSVHSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPGPLWPEFSFKHLVWAILKYQRAYPYLEQNRNVAKKQL >PVH39033 pep chromosome:PHallii_v3.1:5:51903009:51905698:-1 gene:PAHAL_5G423400 transcript:PVH39033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLEASSKELVTGDPSEVQSLMQLMEEKINELLDSRSVINRINCKINFWGNLDLLAEPVRLAAQKLMASTAGSTGLVLSVCMPYNSTSEIVNAVNEVCAERREMLQREHASDSNGQAANNSVHSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPGPLWPEFSFKHLVWAILKYQRAYPYLEQNRNVAKKQL >PVH39037 pep chromosome:PHallii_v3.1:5:51903009:51905738:-1 gene:PAHAL_5G423400 transcript:PVH39037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALIACSPKVDPKIEKPDEMVTAGVLASLQNFLRKCLIAVISYGPMPKHIAFIMDGNRRYAKFRSIQQGAGHRVGFSALIANLLYCYEMGVKYITVYAFSIDNFKRDPSEVQSLMQLMEEKINELLDSRSVINRINCKINFWGNLDLLAEPVRLAAQKLMASTAGSTGLVLSVCMPYNSTSEIVNAVNEVCAERREMLQREHASDSNGQAANNSVHSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPGPLWPEFSFKHLVWAILKYQRAYPYLEQNRNVAKKQL >PVH39032 pep chromosome:PHallii_v3.1:5:51903231:51904079:-1 gene:PAHAL_5G423400 transcript:PVH39032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAGVLASLQNFLRKCLIAVISYGPMPKHIAFIMDGNRRYAKFRSIQQGAGHRVGFSALIANLLYCYEMGVKYITVYAFSIDNFKRDPSEVQSLMQLMEEKINELLDSRSVINRINCKINFWGNLDLLAEPVRLAAQKLMASTAGSTGLVLSVCMPYNSTSEIVNAVNEVCAERREMLQREHASDSNGQAANNSVHSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPGPLWPEFSFKHLVWAILKYQRAYPYLEQNRNVAKKQL >PVH39031 pep chromosome:PHallii_v3.1:5:51903231:51904079:-1 gene:PAHAL_5G423400 transcript:PVH39031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAGVLASLQNFLRKCLIAVISYGPMPKHIAFIMDGNRRYAKFRSIQQGAGHRVGFSALIANLLYCYEMGVKYITVYAFSIDNFKRDPSEVQSLMQLMEEKINELLDSRSVINRINCKINFWGNLDLLAEPVRLAAQKLMASTAGSTGLVLSVCMPYNSTSEIVNAVNEVCAERREMLQREHASDSNGQAANNSVHSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPGPLWPEFSFKHLVWAILKYQRAYPYLEQNRNVAKKQL >PAN32739 pep chromosome:PHallii_v3.1:5:57260280:57261363:-1 gene:PAHAL_5G508400 transcript:PAN32739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEVQEAEDAGALKRKRGAGGEAEDDDAGAEDAAEGGAYEKDLLGEDGGGDSEGIAEEAVAEVMRWLEAEIAEFPPAGPGFVTINGNEESCGPSFSAAASTVMASVDTRAGAPPPPPVPWPWPDPPVPAEGGAAGAPTTMVDVEMGPGGEADEEWLARLLTCGGPLLEGVL >PAN31634 pep chromosome:PHallii_v3.1:5:52517781:52522330:-1 gene:PAHAL_5G432900 transcript:PAN31634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFKDDAIEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGFRMALECARNALLQRTMDNKENTDKFRADLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVQKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTTIADNAGLDSAELIAQLRAEHHKENCTAGIDVITGSVGDMQKLGIQESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >PVH38019 pep chromosome:PHallii_v3.1:5:9031832:9032093:1 gene:PAHAL_5G150700 transcript:PVH38019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGTAHLLVIALLLRFRRRLLGLRPERPAVLLPAGGSGGQGAVAEMTVPGPGQSSRGAAAVGAGAHESKRLSPGGPDPQHH >PAN27804 pep chromosome:PHallii_v3.1:5:6569196:6572748:-1 gene:PAHAL_5G108800 transcript:PAN27804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADSSPSQVKGTMDDPEMDAPREDPEEQPTQATNKILKRTTSFAQGTIATATGFVTAGFSVRKDVLLHRHVLVTGGFFLVIAYLSALLLVYLKLFLTGYRQLHKGHIRFIQFLCVISGAALIATNSLLLVLISEGNVLLSLNLLPIQGLIGVLAYHAMPTEDSMRDEAFDAGIKSGRKVALFATATAFAVQTTLLFGYLSNSSFRALGHRFDLSVSFLASALSVLLVVATCMPLGYKTEASRDKVLSLVRYLKDAVIALLAVTAVTIGKEFLGGDTVLALFPEITVAAMYYAVNLFSDETAEQGHQRDAAEHKMEILPTAVVATFGFGMLGATYASLVGTPEYDMYTKALVFTLVSAVMSSLGRVAGPLCSPRRDKNAAACVVFLSNILPIVEMLVAVPLAAKVVINVLPAS >PAN27296 pep chromosome:PHallii_v3.1:5:4460530:4465006:1 gene:PAHAL_5G072300 transcript:PAN27296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPSSSSAPAPPEVDAASITAVAEAAPPEEMTLVVKWRGQEQTVRMVGDDTLGELKLRICEATGVLPKRQTLLYPKLMLKDIDDSTLLSSIPFKPNGKINMIGTVEEEIFVGQEDDPELLDDFDFEQNEDTPIKDKDVYKQKLKRRASQYKIKLLNPCRQGKKLLVLDIDYTLFDHKSPAENPKELMRPYLHQFLLAAYSKYDIMIWSATSMKWVELKMEQLGVLGNPDYKITALMDHLAMITVQSENQSRKKTFDCKPLGVIWAQFPEYYNEKNTIMFDDLRRNFVMNPQNGLVIKPFRKAHSNRDSDQELVKLTQYLLSIGDLEDLSKLDHGKWESFIYDSAKRRKRS >PAN30459 pep chromosome:PHallii_v3.1:5:19960193:19962731:-1 gene:PAHAL_5G283100 transcript:PAN30459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAPDVRVVGGWASPFVMRVCVALRLKGVACEFLQEELGKKSGLLLASNPVHKKMPVLLHGGRPVCESLVIVQYVDEAFAGAGPRILPADPYDRAVHRFWAEYADAKLPTALRTLRGMIDGDKAEAAELVASALGQLEEAFAGCSKGRRFFAGDDVGFLDIVLGSYVGWFRAAEQITGQPVLDESRTPRLAAWATRFCAHEAVSDVMPDAGSLVEFGEALRAALAASASRP >PAN31989 pep chromosome:PHallii_v3.1:5:53943338:53945315:-1 gene:PAHAL_5G455100 transcript:PAN31989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSLSLSLPLPATTPATLRRGALHGPALPSRRKSPASAARCAAFRRNTSGGRGQYGGALVDEGMSVLRRRIREARMAETNYEAPAEWAAWEKLYYPAYVSDVSGIVGALQLALMGTRPSVAIAVAALVLGSVPVSAAAAMHHLAAVAEAVLQSVHHVS >PAN32171 pep chromosome:PHallii_v3.1:5:54885526:54888376:1 gene:PAHAL_5G469200 transcript:PAN32171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFPVAGGRSVSLALYSDVSNSRELLDLMQSGKLEPEVAFLNASLVPDVFPVLAAAHKALLSKARESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTTYILAARFDASDEEMKAVEKLISGTKIDLGELESRAKQPQILKHYKIPPQELLISTLPDAIVCRIAARDAL >PAN29632 pep chromosome:PHallii_v3.1:5:15070168:15075836:-1 gene:PAHAL_5G239600 transcript:PAN29632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTRGLESNDGISSLKTYCCWSTAQDTALQKDNTPDSTAYSSFSIYKTKVSKRKQGAMSGVKSTGNPLLSLGLGCSPSSSDNSKLSSGTACVTSSSLLKEIDEESSVDLGLNLGFYVGNDIVPCQQKPHVGVENVPSTNSPKLDLQLSLSTGSPESVIIDANMMSPDGLEIPMANSSQAIVGEGSVPLNWVFEHSIVSSSYACEATYAFRFPKKINEGNASVPSPVISSTMFTSVKSPVASTSETSNPQLRNSNTKSCQFPGCMKGARGASGRCIGHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSHEGCSRAARGKSGLCIKHGGGKRCQEENCTKSAEGHSGLCIAHGGGRRCQFPDCTKGAQGSTKFCKAHGGGKRCTFLGCTRGAEGSTAFCKGHGGGKRCAFQGGGVCPKSVHGGTQYCVAHGGGKRCASSGCTKSARGRTEYCVRHGGGKRCKSEGCTKSAQGSTDFCKAHGGGKRCSWGEADASFGIGTQQCNRFVRSKTGLCSAHSTLVQDHCVHGGGTLGPAIHQFAADVKPTEMKVAAVQVDPHEKTIHGGQALLGMGGSVPNGVHPSVLAQSMIDPLPEGRVHGGGLLALLSQGGSSTSVGGSENCASGKIAWM >PVH38221 pep chromosome:PHallii_v3.1:5:12233831:12236991:-1 gene:PAHAL_5G201600 transcript:PVH38221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMSNTGASAENTHQFSSKTSGITHNVCQGVECKNVDRVGTPFGSSRMLKNMNLYAANTVVSERFSFHKLSDISVNFRNVLSSDNLSMEWNHFPMFEINRKIDSILNPRRTAFGTSPDKSFVQQKDLKVNMSTSNVMAFSSKEYQFPSHRVADENKSKCRSTGGILSHQDKHIGLKFDQAGKKLKGHLSIEESNFCSKNETDSSCSMTDKLCASNLMNTKEAPCCSSENNFMFSGSRKENENAEGKLLEMKLGALGGCPKQQDFERAADHGLVLGRECGMRQVNASTTSEGSDVGTSGRGMVFGNLLQSEHENLHAHRVNSETKSTESCDLPGKIESTLAMKSKVETLALGKPPKDISTDGKQKGPCLFEMLTLPSKSQGTYFNDPISSGRSCGNMGTCSLGAQKQFATKTDTLYSGTHHASGFASTSTHKDSGCPNTAKSKQIATSSIRGVSSCSGGNETLNVSAGNHRSCLKESCTNKQEWSMPKTSSMNLDLVLFQISRMRNPISSALIESPVCSEPSDRWLKRLQNDILDPHFPCSKRSKIGDGPPPGGSCTIFGQELNFDTGKADMINQAKEVQLRYGRLINQQNLEGSLISAKSLNSWIGRWCQGGTPIYHGTSNVEKQTSKFNMPPDDLGGQFPSIAAMAMMGRAMNKLRPCELQKRGPSVVWKTQGL >PVH39026 pep chromosome:PHallii_v3.1:5:51803951:51807617:1 gene:PAHAL_5G422100 transcript:PVH39026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLCARGEVDGALRVFDVMTRRGCQVDDRVCSSIVSGFSRAGKAGAGLDFYERVRREFSCFEPGLVTLTSVVHALGLEGRIDEMAKLMWEMECKGMDADAIFYGSMVHGYMSGGFLMEGLRKHRSMIDKGITADAVNYTTVIDGLCREGSVEKVMGFLDTMEQYDAKPNLITYTSLVGGFCKRGRLEDAFSILRKLEQTGVVVDEYVYSILIDSLCKMGDLARAFSLLEEMENKGIKAGNVTYNTVINGLCKAGDTEKAVEISEGVAADNFTYSTLLHGYINRDDITGVMAIKGRLENSGISMDVVTCNVLIKALFMVNKVDDAWSLFHKMPEMGLRPNTITYNTIIDALCKVEEIDKALELFDEYKKQSSLSSTVVYDCLIKALCNQGKVDMAGQIFFDLVQKKLRPDFCNCRKLIHANFKELGEHGVLDFIYKVGELDIDLFSSICNYASTFLSNKNCCRAAMDAYKLLRTQASFVTSKTCYRLLKSLHRNGSEEVIQPLLCEFIKIHGLHERRMINMLSCHLSKKSVSEAIWFSNYMDTGSVPVSVLRAAVYTLKKQGEVLDACKFLKEAQQSGFSVDLAMYSIVVDGLCKGGYLEKALDLCERLKKEGLHPNIVIHNSVLSGLCQQGCLTEAFRLFDYLENSKMLPTLITYAILIGALCREGLLDDADQLFHKMSTKGIRPTTRVYNLLISGYCNFGLTEKALELMSHLEELFVCPDSFTLGAIISGLCLKGDTEAALRFFNEYRYKDIEPDFVGFMSLVKGLYAKGRMEESRGILREMFQCKEVVESINSVGDKIQAESLVDLLSSACDQGRIDEIVTILNEVGHMLLSSSDSSSYNALGHLKKLQKADDACDSISDSGQVSPVAYDVSRNSHHRSSEVIDGDDSLSKASDDTDIEYQNLLGKSFSDDFDSYYTAIASLCSKGEVLRANKAVEAMIQNSD >PAN27484 pep chromosome:PHallii_v3.1:5:5150840:5162883:1 gene:PAHAL_5G083900 transcript:PAN27484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal domain (Ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) UniProtKB/TrEMBL;Acc:F4K802] MAAPRPLPLGAPGGGPGGSGGAAPAAGVSMRMFHGEVFLGEMEVFPMKQGGEGGLPFPSNEIRVSHLSPPSERCPPLAILQTIAPFSVRCKLQSKLMPPLPSLHRLYLTCFNEFKSAVVVVGDEELHLVAMPTKSEKVPCFWCCSARSGLYASSVRMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDVEDDPVRIAGMSAEIKRYIEDKELLKEFIDTDTVTDNGKIVGTHKEEVQPVSGGQERVLRPVIRLPDRNAILTRINPEIRDTSVFVKLRPAWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLISPQQLSERITCVKSGSKKSLQNVFRDRGCHPKMAMVIDDRLNVWDDKDQHRVHVVPAYIPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELFYENGLLDLPYAPDVGDYLVCEDTNFVPSNKDQAPIPEGMRGAEVEKRLNGQLYRGEQREGQQMSSSTRSPDDEGMSFRGTGAGRNVQPNGGALAIAPSVFVTVLQEIGRLCESKVEFRSTVSNGKSMQFSVEVLFSNEKIGVGIGKTRDEAQVQAAEKALQNLESSYLSFVAPIAGVPNRDSRKSPGSGNGFLEDVTCSDVDISMHEPSGSTLKQDHSNNLDKLSSVMSLIREHCLEDQNLVFRDEVQNSSPARIEEYHFQVELAGQILGRGSGSDRDIAKLLAAEEALKTLKSTSDPQIKKYLRPVRCNG >PAN32085 pep chromosome:PHallii_v3.1:5:54446420:54447475:1 gene:PAHAL_5G462400 transcript:PAN32085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSPSILLSFIRDGDNPFTLQRMYIGAAGGKQIKRFLMSSKYVFDTDSFLKEVVKERERIRKSPIYSIPSGTCSSPKPTFSRAIGPCRGIQKSRYV >PVH37717 pep chromosome:PHallii_v3.1:5:4131573:4135934:1 gene:PAHAL_5G066800 transcript:PVH37717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTEEQLPRRDAHVPGDGVPARRGMPHLAAEGVRGDQVDAPEQARPAVAGARIRWERPAPATGSATRTRGCSRSPRHHDPSPSGFDLRRRSYVHAPRRYGEEGERGNQCLRRGGSPASHGGVHATTRCGRY >PAN28512 pep chromosome:PHallii_v3.1:5:9558636:9560661:1 gene:PAHAL_5G158200 transcript:PAN28512 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MSSAEDGYCSSDSPRAESPEESQAAADAESPRAGAKRERDLCDIPASPSSPLPAAKRSRRSVEKRVVSVPLAECGDRPRGASGEGPPPSDSWTWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYTFEHNHPSPQPKSNSCHQQGKASPRPAAPKPEPAVEQAELGPEHELKTEPEAPEQELEPEQEQEQELKVLASLAEPETVTTATVAPVAEEDESFDFGWFDQYPTWHRSALYAPLLPPEEWERELQGEDALFAGLGELPECAVVFGRRRELSLAATAPCS >PVH39336 pep chromosome:PHallii_v3.1:5:55561793:55563299:1 gene:PAHAL_5G481000 transcript:PVH39336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVERSKKRVQPWIKAAMHFSLCFAVGALAALAPLAATGAPSAANIRSSFLGPFNNVQRAVAAPASPPVPDLGLLLIVTTTRPDAGMEQDASLARLAHTLRHVAPPLLWIVVGAENRTATARAVRVLRGTGVMFRQLTYDARNFIDADAVDEVEHQRNVALSHIERHRLNGLVHFAGASSIYDLRFFQELRQIRGFAAWPVATISPAEQKIRVEGATCNSSQIIGWYSKDSSTNGSQRTSTAVGAVDTSASNRNSSSEPLKINISGIGFRSSMLWDSGGSFIRRNSSAGATQDFIQIVHQMAMEGVTSDCSESQITLWHLDMPRFTLIPEGQETQQQQSLVVRDEDKPTT >PVH39411 pep chromosome:PHallii_v3.1:5:56309932:56314770:1 gene:PAHAL_5G495200 transcript:PVH39411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNSPPGSAASRPTPSEEDYGSWTWKQKFEDLIGCDPSRNIMPKHPDSASYFKEKLQDMFGKCLRTVCPKPRPVFEKDSVKQFYQILSTMASYNALRCARVALKGAAPLRGRRADPNGRHRYGFTPLHLAAENFSVDMYLEDHWANGDHIVSLIFLLCVPEMKMFLDTTRLIAKQTDSIVDEVWNYIRTEKLVEAAILLLAAQKQLRGCRNKSSSQVSLNGFDIVKSRIDEALDSLHRKGLAMVKEGKNGKALQMLQNKKEALLTAHALVGIIQKAGEALEGYIQTYPEVSHEEIVEHVSSILTSSGIVYSGKGIDTGNLECYKYGAMPIDRSRSESGDCEKTNEADKASSLEDEVSKRILIKHPPKGLAIKEVRNMFFPYRKSVLSCRFMVKIAPACKPSRKDLPSAEASKKGTKKSMGNPGSMVRPQLASNYEPRRMLCAVASMSRKVLFKRT >PAN27661 pep chromosome:PHallii_v3.1:5:5920308:5922862:1 gene:PAHAL_5G096100 transcript:PAN27661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLVTTLSMENGVGGGHHGPCTLLSMDPSGHLAAPDDRAVGVMVQALIGGGAAVGGRAHAVSPSGAPPPDINQPWQTDLCGMLDVSLGPQLYSAEAMLSCVAPKAGSRKAAKRGDSIWGAWFFFTFYFKPLLSDKCKDKVVRDSNGVSGFDKSDLRLDMFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLAGLTEADLRRWAELTGRELNFIIPQEASDFGTWRTMPNSELELERPHPVVKSNGAQNAKKSGLNLSSPSNHSGEDGMDLSPVSSKRRKELSPQAMDEEVFLPVNSCTQKTQQDVEMHSAVQSSWLHEFAGVMRNACGPVTAAKSIYEDDQGYMIMVSLPFVDQQRVKVSWRNTLTRGIVKIVCVSTARMQHIRRHGRIFKLADPSPEHCPPGDFIREIPLATRIPEDAKLEAYFDEAASVLEIMVPKQGNEPEEHEVRVSLRPPHFGANDLLLT >PVH37872 pep chromosome:PHallii_v3.1:5:6573359:6574092:-1 gene:PAHAL_5G108900 transcript:PVH37872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSAAFSSTSITVTTSYHRASFFERISQADNFSLCGCGMDLARHSAPEMGKRGRRRDARQLLDHGDHFCCEVAQHVDAKRLRSCKAVSSCSATEGTWKCHHHTNRPYRVQTRTDEDVLLLSKSSRLPFVSVVRGMPPFGVEMLCRSNHCSIRRAAEEPTSIWPRCRHYHYGGQLTRVRQGKLTV >PAN32992 pep chromosome:PHallii_v3.1:5:58494386:58498183:1 gene:PAHAL_5G527600 transcript:PAN32992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQASGSGPPPPPAPAAERDMGFAERSLAAAGAAVVSAILVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPSCSTGGINGFGPSCSPECFQYRGTMDVFSKVTRQEGLFRLWRGTGASLALAVPTVGIYLPSYDLLRNWIEEYSDHNFPQLRPYAPLISGSIARSLACITCSPIELARTRMQAFKESNVGGKPPGMWKTLLGVLSSRQSISSPENFRGYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLTGLVGDKSNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEKDPERILNMNTRRILLEVWRKEGINGLFRGAGPRMGRAGPSVGIVVSSYEVVKHILHRKHAQL >PVH39445 pep chromosome:PHallii_v3.1:5:56822972:56826891:-1 gene:PAHAL_5G502900 transcript:PVH39445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MANTCCLATCENLSVLNFHFPYALLSVSQRDNRSLSKSIRAVDYLEIGAPWSRPRLENRTRRTNRHVRAPGLANQSHSAGCGWVLITQRSALEQFRAAPERENTSQTEPPHKTNKKKRPRGKFRSHSPRFPRAQIFSPRSPSPSITRRRGDPRMASSGAEEADPGEREREEHGDLHHQRRLASSLTARYSDWVLEALDELPGSFLLTDPALAGHPIVYASRGLTALTGYARREVLGSGARVFQGAATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHARDGSVLHFLAVQVPIDDAGRGAGAPCRGAQGAVLAACREEARVDDDFPCASYSGKVFVDMDKRGLEAEKPRVASDSEKEKAISTANSIVAALNRYSKLTGLVVSGKRCDSVGIPALSSSLNLSLGRIKQSFVLTHSCLPDMPIIYASDAFLSLTGYSREEILGCNCRVLNGPGTSLEVIEEINQHICSEQACTVDLLSYRWKFIP >PVH39442 pep chromosome:PHallii_v3.1:5:56823197:56826839:-1 gene:PAHAL_5G502900 transcript:PVH39442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MANTCCLATCENLSVLNFHFPYALLSVSQRDNRSLSKSIRAVDYLEIGAPWSRPRLENRTRRTNRHVRAPGLANQSHSAGCGWVLITQRSALEQFRAAPERENTSQTEPPHKTNKKKRPRGKFRSHSPRFPRAQIFSPRSPSPSITRRRGDPRMASSGAEEADPGEREREEHGDLHHQRRLASSLTARYSDWVLEALDELPGSFLLTDPALAGHPIVYASRGLTALTGYARREVLGSGARVFQGAATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHARDGSVLHFLAVQVPIDDAGRGAGAPCRGAQGAVLAACREEARVDDDFPCASYSGKVFVDMDKRGLEAEKPRVASDSEKEKAISTANSIVAALNRYSKLTGLVVSGKRCDSVGIPALSSSLNLSLGRIKQSFVLTHSCLPDMPIIYASDAFLSLTGYSREEILGCNCRVLNGPGTSLEVIEEINQHICSEQACTVDLLSYRKDGSSFPDLLHVSPIRDASGKVAFHIWVHLDVGAKHDFNGLTPEVWQLGAVGAVRVAVRGLSASGSLLRPSQ >PVH39446 pep chromosome:PHallii_v3.1:5:56823197:56826839:-1 gene:PAHAL_5G502900 transcript:PVH39446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MANTCCLATCENLSVLNFHFPYALLSVSQRDNRSLSKSIRAVDYLEIGAPWSRPRLENRTRRTNRHVRAPGLANQSHSAGCGWVLITQRSALEQFRAAPERENTSQTEPPHKTNKKKRPRGKFRSHSPRFPRAQIFSPRSPSPSITRRRGDPRMASSGAEEADPGEREREEHGDLHHQRRLASSLTARYSDWVLEALDELPGSFLLTDPALAGHPIVYASRGLTALTGYARREVLGSGARVFQGAATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHARDGSVLHFLAVQVPIDDAGRGAGAPCRGAQGAVLAACREEARVDDDFPCASYSGKVFVDMDKRGLEAEKPRVASDSEKEKAISTANSIVAALNRYSKLTGLVVSGKRCDSVGIPALSSSLNLSLGRIKQSFVLTHSCLPDMPIIYASDAFLSLTGYSREEILGCNCRVLNGPGTSLEVIEEINQHICSEQACTVDLLSYRKDGSSFPDLLHVSPIRDASGKVAFHIWVHLDVGAKHDFNGLTPEVWQLGAVGAVRVAVRGLSASGSLLRPSQ >PVH39441 pep chromosome:PHallii_v3.1:5:56822971:56826891:-1 gene:PAHAL_5G502900 transcript:PVH39441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MANTCCLATCENLSVLNFHFPYALLSVSQRDNRSLSKSIRAVDYLEIGAPWSRPRLENRTRRTNRHVRAPGLANQSHSAGCGWVLITQRSALEQFRAAPERENTSQTEPPHKTNKKKRPRGKFRSHSPRFPRAQIFSPRSPSPSITRRRGDPRMASSGAEEADPGEREREEHGDLHHQRRLASSLTARYSDWVLEALDELPGSFLLTDPALAGHPIVYASRGLTALTGYARREVLGSGARVFQGAATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHARDGSVLHFLAVQVPIDDAGRGAGAPCRGAQGAVLAACREEARVDDDFPCASYSGKVFVDMDKRGLEAEKPRVASDSEKEKAISTANSIVAALNRYSKLTGLVVSGKRCDSVGIPALSSSLNLSLGRIKQSFVLTHSCLPDMPIIYASDAFLSLTGYSREEILGCNCRVLNGPGTSLEVIEEINQHICSEQACTVDLLSYRKDGSSFPDLLHVSPIRDASGRISYLGSP >PVH39443 pep chromosome:PHallii_v3.1:5:56822972:56826891:-1 gene:PAHAL_5G502900 transcript:PVH39443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MANTCCLATCENLSVLNFHFPYALLSVSQRDNRSLSKSIRAVDYLEIGAPWSRPRLENRTRRTNRHVRAPGLANQSHSAGCGWVLITQRSALEQFRAAPERENTSQTEPPHKTNKKKRPRGKFRSHSPRFPRAQIFSPRSPSPSITRRRGDPRMASSGAEEADPGEREREEHGDLHHQRRLASSLTARYSDWVLEALDELPGSFLLTDPALAGHPIVYASRGLTALTGYARREVLGSGARVFQGAATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHARDGSVLHFLAVQVPIDDAGRGAGAPCRGAQGAVLAACREEARVDDDFPCASYSGKVFVDMDKRGLEAEKPRVASDSEKEKAISTANSIVAALNRYSKLTGLVVSGKRCDSVGIPALSSSLNLSLGRIKQSFVLTHSCLPDMPIIYASDAFLSLTGYSREEILGCNCRVLNGPGTSLEVIEEINQHICSEQACTVDLLSYRKDGSSFPDLLHVSPIRDASGRISYLGSP >PVH39444 pep chromosome:PHallii_v3.1:5:56822972:56826891:-1 gene:PAHAL_5G502900 transcript:PVH39444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MANTCCLATCENLSVLNFHFPYALLSVSQRDNRSLSKSIRAVDYLEIGAPWSRPRLENRTRRTNRHVRAPGLANQSHSAGCGWVLITQRSALEQFRAAPERENTSQTEPPHKTNKKKRPRGKFRSHSPRFPRAQIFSPRSPSPSITRRRGDPRMASSGAEEADPGEREREEHGDLHHQRRLASSLTARYSDWVLEALDELPGSFLLTDPALAGHPIVYASRGLTALTGYARREVLGSGARVFQGAATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHARDGSVLHFLAVQVPIDDAGRGAGAPCRGAQGAVLAACREEARVDDDFPCASYSGKVFVDMDKRGLEAEKPRVASDSEKEKAISTANSIVAALNRYSKLTGLVVSGKRCDSVGIPALSSSLNLSLGRIKQSFVLTHSCLPDMPIIYASDAFLSLTGYSREEILGCNCRVLNGPGTSLEVIEEACTVDLLSYRKDGSSFPDLLHVSPIRDASGKVAFHIWVHLDVGAKHDFNGLTPEVWQLGAVGAVRVAVRGLSASGSLLRPSQ >PVH38365 pep chromosome:PHallii_v3.1:5:15338468:15339388:1 gene:PAHAL_5G244000 transcript:PVH38365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLPLAASTNSELNLKAIFRPRRFWDASNNQRMASALPCLDPISIGTFRVDPFLLRLVFTPILGVTLRIA >PVH39569 pep chromosome:PHallii_v3.1:5:59315150:59318248:1 gene:PAHAL_5G537700 transcript:PVH39569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAAQAQGGDKPALRKPVFTKVDQLRPGTNGHTLTVKVVSANPVPGRAARPGATATASSRAPRIAECLVGDETGAIVFTARNDQVDLLKPDATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >PAN26634 pep chromosome:PHallii_v3.1:5:1768242:1774132:-1 gene:PAHAL_5G027500 transcript:PAN26634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEAGVVGDQLPRRQYYMNLLLLAYQSFGVVYGDLSTSPLYVYKSTFAGKLRQYQDEETVFGVLSLIFWTFTLIPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKLSLLPNQQAADEELSTYYRNGFAPRNGSAPWLRRFLEKHKKMRTVLLLIVLCGASMVIGDGILTPAISVLSSMSGLQVRATGLEHRSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVIIWLFSIGGIGLYNILHWNPNIYQALSPYYTVKFFRKTGKDGWIALGGILLSMTGSEAMFADLGHFTSASVRVAFVTVIYPCLILQYMGHAAFLSKNTFHMPTGFYDTIPEPVFWPVFVVATLAAVVGSQAVISATFSIVKQCQALGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDTTLIGNAYGIACMTVMLVTTFLMALIVIFVWQRNIIFALIFLAFFGSIEAVYLSSSLMKVPQGGWVPLVLAFIFMSVMYIWHYGLRRKYQFDLQNKVSMRSILSLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPKEYRMYRCIVRYGYKDVQRDDDNFENMLVMSIAKFIMMEAEDASSSASYDIANEGRMAVITTTDDAGTPLAMRDFNGLADSLTTRSSKSESLRSLQSSYEQEYPSVSRRRRVRFEVPEDDDMGQQVKDELMALVEAKHAGVAYIMGHSYIKARRSSSFLKKFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >PAN26680 pep chromosome:PHallii_v3.1:5:1842509:1843297:-1 gene:PAHAL_5G028600 transcript:PAN26680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRGKSGSPLIRRRVEATTRCLGSPPQNKGRGGGGGGEPEFSVQLRCIVTKYIRAGRGRGQRRVRDYRGGGAEASFVTTCPEDVPDDEDGVRGVMRRLLRAIRPLRDLDLTDDEWEAILPEDVVPKLADLARGRGDGGLVVHLAVDRHVRYSAPRVLMTGCKEAAEGKDGGCSICFEALREAVAGKGVPVELPGCAHVFHRRCISKWFVEKPTCPLCRGNVTKHLDPELRKHLAEFDGDDPDPPTVLDDSPGNIVAAPPGM >PAN30135 pep chromosome:PHallii_v3.1:5:19388095:19389447:-1 gene:PAHAL_5G279200 transcript:PAN30135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLPFSLLVIVLATAVSGSAAAAAAVSFPREALPTKSGYLPIPPANASLYFAFYEATHPLTPPASTPLLVWLEGGPGVPSLLSNFFQIGPYTFAAGRNNGSVPLSRNPFAWNRRFGLLFVDSPLGTGYSAAPSPSTIPTNQSVVAEHILAALQSFFAAQPAAFRARPLFLTGESYAGKTIPAAGSLILETNPELPEQWRINLQGVAIGNSLVHPVAQVTTHADAAYFMGLINDRQRREAEEMQAEAVALTSAGRWREASDARAQLLSWLRNATGLASLFDVAAVETPLEAVAAGAAELFNDAEVRAALGARAGGAPWQLDSPAVEAAFRDDVMKSAKPDVEALLGASTRVLLYEGIRDVKDGPVAAEAWLRELEWDGLPAFRDAARAVWRRSGGGGRLAGYVQRHGALVHVAVYGAGHLVPAAQGAAAQEMIEDWVLDRGLFGSGAAA >PAN31060 pep chromosome:PHallii_v3.1:5:48885647:48896943:1 gene:PAHAL_5G392000 transcript:PAN31060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAEEEEELGGHRYFRMPPEPEGLAAAASSASFRLPESARVFDELPRARIVGVSRPDAGDITPMLLSYTIEVHYKQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSIKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIEMGSKGRCCSSCCFSFCSSNWQKVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPCTDRNGEGQTTLANEIKEHTPLHFGFEVSSGGRAIKLRTRSSAKVKDWVTAINAARRPPEGWCHPHRFGSFAPPRGLTEDGSVVQWFIDGRAVFDAIASSIEEAKSEIFITDWWLCPELYLRRPFHFHGSSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVVVDNQVCYIGGLDLCFGRYDSPEHKVADFPPSTWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGTSKETNGETESKQNHSMDIKIDRLNSMKTPASCQDIPLLLPHEPDHHAFPNGDFGLNGMNINNGLSDHANKTNRNHPQPNRKAKVDLSVQDLQMKGFVDDVGSPEVSVSKHYDTSKPDMQNIDKEWWETQERGDQVASVLDVGEVGPRAACRCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRRFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGPKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRIALIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRPWEAGKFSFSLRLSLWAEHLGLLPGEVSCIMDPVDDSTYKNIWMATAKVNTMIYQQVFSCVPNDHIHSRNQFRQNFAHRKEKVGHTTIDLGVALEKPETKQYRDLADADPMEQLQAVRGHIVSFPLEFMCQEDLRPFFSESEYYTSPQVFH >PAN31058 pep chromosome:PHallii_v3.1:5:48887449:48896943:1 gene:PAHAL_5G392000 transcript:PAN31058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVHDDDEADDVHVPSQHDEHSIKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIEMGSKGRCCSSCCFSFCSSNWQKVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPCTDRNGEGQTTLANEIKEHTPLHFGFEVSSGGRAIKLRTRSSAKVKDWVTAINAARRPPEGWCHPHRFGSFAPPRGLTEDGSVVQWFIDGRAVFDAIASSIEEAKSEIFITDWWLCPELYLRRPFHFHGSSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVVVDNQVCYIGGLDLCFGRYDSPEHKVADFPPSTWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGTSKETNGETESKQNHSMDIKIDRLNSMKTPASCQDIPLLLPHEPDHHAFPNGDFGLNGMNINNGLSDHANKTNRNHPQPNRKAKVDLSVQDLQMKGFVDDVGSPEVSVSKHYDTSKPDMQNIDKEWWETQERGDQVASVLDVGEVGPRAACRCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRRFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGPKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRIALIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRPWEAGKFSFSLRLSLWAEHLGLLPGEVSCIMDPVDDSTYKNIWMATAKVNTMIYQQVFSCVPNDHIHSRNQFRQNFAHRKEKVGHTTIDLGVALEKPETKQYRDLADADPMEQLQAVRGHIVSFPLEFMCQEDLRPFFSESEYYTSPQVFH >PVH38757 pep chromosome:PHallii_v3.1:5:37799399:37800010:1 gene:PAHAL_5G345700 transcript:PVH38757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLWNIRGSGKKARVRQLRELMNREQVEIVGVQETIKQDFSDQELLSISADFQWRWIPARGHLGGILMGVKDSLLQVEEWIVGEYFIEATIRHRGNNFRWVVMVVYGPTQHEFSGFFLEELASRLENRILPTLLGGDFNLIRTMGDKSSGLGDAGLISMFNSFIERFDLREIQRIGDKFTWTNKQDNPVSDEQHRQGVGEH >PAN32888 pep chromosome:PHallii_v3.1:5:58008087:58009277:-1 gene:PAHAL_5G519300 transcript:PAN32888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSSPNSWLFSDSSRYSTRARLLFMGLSFAIGILTFLLYLAIWYICSSSRRRRQQRGAAAEVEAAEGGDCGMSADAIAALPTFTFACDDGGRGEAAALDCPVCLGQVEAGEKVRRLPKCAHAFHAECVDAWLRAHSTCPMCRAAVVGPAAAAAATKHAAAGSTAAPAPAEALPPV >PAN30369 pep chromosome:PHallii_v3.1:5:45063515:45068365:1 gene:PAHAL_5G373100 transcript:PAN30369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFAALWESTYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNIVVQETASFSELKLQPETEQRPHKVSFLVDKKSAQEVIKSVAEKLEKRGLDAKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYQENAKDNPKIIHASERCAAGIIQAIGHFKLGPNVSPRDVDFPYAKEASFKPTDAVVKFYVLYEKWRRAEVPKSDSVIQYFKNITHSNGVIIHPAGLERSLHGSIDALGSCYGDKQGKKYRAWVDRLFITQTGSDSWLVRFDLWESEGDVRVCSLSSLALSLKTESPEGFVVTHIHKTWLNGYSSGVEQASKL >PAN32108 pep chromosome:PHallii_v3.1:5:54607294:54609950:-1 gene:PAHAL_5G464000 transcript:PAN32108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEVVFDLNLIENSALEDGLAGWAPVGSCTALSVHEEEPAKVPTETINDVGEDYRPSGRYILASGRADEADGLRQAIKGALKPRVTYRVAGWISLGGGAAEGAGHAVRVNLRVDDECVVEGGAVCAEAGKWAEIKGAFRLKRSPCAAAVYVQGAPAGVDVKVMDLQVFATDRKARFRKLRRKTDKVRKRDVVLNFGSAASGISGASVRVMQMDSSFPFGACINPSVIQNPAFVDFFTKHFDWAVFENELKWYHTEAQQGQLNYADSDALLDFCDRYGKPVRGHCIFWAVENTVQQWVKNLDNDQLTSAVQGRLQSLLTRYAGRFPQYDVNNEMLHGSYYRGRLGDDIDAFMFREAARLDPGATLFVNDYNVECGNDPNATPEKYIEQIAALQQKGAPVGGIGLQGHVTNPVGEVICDALDKLSAATDLPVWLTELDVCESDVDLRADDLEVVLREAYAHPAVEGVVFWGFMQGHMWRQDACLVNSDGTVNDAGERFVDLRREWTSHARGHIDSAGHFKFRGYHGTYVVQLATATGKVHKTFSVEKGDTPLVLDMNL >PAN28748 pep chromosome:PHallii_v3.1:5:10632577:10634512:-1 gene:PAHAL_5G176300 transcript:PAN28748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGAMSARPRGVREETLLRVPGASVHLLAGSGGPVELARGDLSVVRLAKDDVAVATAVRVGRDLGWPLARDEPVVRLDRLHYLFTLPDRDGTFLNYGVSFDDAAASTDAAALASLDAFLRSNACFSAPSSSGAVVPWSRSSRPPQQQQQQQTAAPDAYWNDFAPRIEGYNNVLAKAIAAGTGQLVRGIFMCSEAYASQVQRGADLFRPQAAGGASNRFGGAGRSSQASTKRGAVNKSLKRVRKLSEMTEQMSQSLLDTVISVTGSMAAPLLRSKQGRAFLATVPGEVVLASLDAINKVMDAVEAAERRSLAATSNVVAGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >PAN26625 pep chromosome:PHallii_v3.1:5:1699184:1704511:-1 gene:PAHAL_5G026800 transcript:PAN26625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFVCFRSAQDGEAKKPAAADAKDARKDGPPDRGMARVGSDKSRSQGGSDSKKDIIIHRDGNSQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTRPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQAYDPNAPVQHSRSNSSTPRARNPAGWNDDQRSMRSPNRHSPDLRRREAARASKYGAEVSRTSSTGDSGRRSGLDDMDMTGSQVGSPAQTGRRRETPRAADRQRAIAEAKMWGEYSRERSNGHGSFDSTNE >PVH38537 pep chromosome:PHallii_v3.1:5:20009096:20010795:-1 gene:PAHAL_5G283300 transcript:PVH38537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEEVLGKIINHEMMESEAKYVKSLSKGTSTSKGQDIALEANKKEKSKKVVQESSSSDNNSDSSSLDDEDMAFLKKNFSKLMRNRNYKGNKRHESSKRRTKRNCYNCGKSGHFIANCPYEKREDKKEKRKDNKEKKYFTKDKKFFKKKQSGEAHLGKEWYSDDESSNSDEEEVATLAFNKTSLFPNLKDGKNITHTYLMARGGLCLRWIEVDH >PAN31575 pep chromosome:PHallii_v3.1:5:52231641:52232867:1 gene:PAHAL_5G428800 transcript:PAN31575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGNGNNGNGNGNGNGNGNGDHVLMMETLGQQTGGENPPQIGGGGPHAPNFDANDAGTLLVVATLITALSYQLGTNIPGGYWQDDGAGHAAGDPIMRDKHRRRYWLFMAASWAGFGSSMLLTVGLLTGVPARSRFVRAAFLVAYSSLVLTFVTSQPRTSLAMDIAIWLGVMAALAVVTTYLRLDRLPRWAQAAFRQLLGR >PAN28642 pep chromosome:PHallii_v3.1:5:10214899:10216637:1 gene:PAHAL_5G168400 transcript:PAN28642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGEEAKKHVVIYAPASMVNNSHLVSMAELGELLAARGLEVTVALGGRTDDEAADSFADGAAAAHPALSFRRLPPVTRPRDVPAHDHVALAFELARASNPDLREFLRAASPSPAALVLDFFCGSAVHVGAELGIPTYFFFTSSICILAELLYHPVIHERTTVSLRDLGGERLNFPGSPEIPAEDLPAAYLDRDGLTHRLFLALSEQMCESHGLIVNSFRALEPRATDAIVNGLCTPPGRRTPPLHCVGPLTKLDKAGANRHECLAWLDTQPEASVVFLCFGTMGRFSAEQARHVARGLETSGQRFLWVVRRPPGEDGAGKPADPDLDALLPDGFLARTKGKGLVVPSWAPQREVLAHAAVGGFVTHCGWNSVLEAIVGGVPMLAWPMYAEQRMIKVFLVEELRLAVALEGYGKEAVRDEEVAAKVRWLMESDGGRELRERTRAAMRQAKAALSDGGESRTALLELASQWKR >PAN32020 pep chromosome:PHallii_v3.1:5:54102639:54103579:-1 gene:PAHAL_5G457500 transcript:PAN32020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRIYGEPEQATAVLEFLVAEGRWLEVADYLQRGLTFHREEAEAAVAYPDGDDAPCSPGALRAAAPSARAGASGQWR >PAN32249 pep chromosome:PHallii_v3.1:5:55132627:55136219:-1 gene:PAHAL_5G473400 transcript:PAN32249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFFVGGNWKCNGTTDQVDKIVKTLNEGQVPSPDVVEVVVSPPYVFLPVVKSQLRPEFQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRALLGESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIAEKINDWSNVVLAYEPVWAIGTGKVATPDQAQEVHASLRDWLRTNVSPEVADSTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKPEFIDIINSATVKSA >PAN27138 pep chromosome:PHallii_v3.1:5:3846309:3849006:-1 gene:PAHAL_5G061100 transcript:PAN27138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREASAARNRKVVLRGYIDRAPREDDMELVDGGDVALRVPGGAGAPTVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSPIEGFGVGRVIDSTHPGFSAGDFVSGMTGWEDYSLITKPEQLRKIHKSDIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKEKFGFDAAFNYKEEPDLAAALKRRFPEGIDIYFENVGGPMLDAVLLNMRIHGRIAVCGMVSQHGVTAPAGVHNLFSLVSKRIEMKGFIQSDYLHLFPQFVDDITKHYRDGKIAYVEDMSIGLESGPAAFVGLFSGKNVGKQVVCVSQD >PVH37903 pep chromosome:PHallii_v3.1:5:7086127:7086807:1 gene:PAHAL_5G117600 transcript:PVH37903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASIRPQLLPTTTTRIHRTRGREEAKSHQNPRGESSREKEGAVPDLAHPSRLLPGRNTPSRSRGGGREEEKSAWGRRGQPARRCLVGDGGSLAALLNRGAHVVRRPGARPCVSGPEGKPHMPPLH >PVH38453 pep chromosome:PHallii_v3.1:5:16932076:16933080:-1 gene:PAHAL_5G262400 transcript:PVH38453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFTKQAAVYAAARPAYPKDLFTKLAALTAHHRLAWDVGTGNGQAAIGDGVLATDVRAEQLLRAVPHPKVRYLHTPDAMPGEDLVATSAVRAVLTSSRWLRPCTGSTSRRSTALSCGGPAGYCTEGYRDLPFPLDDIGHGREGEPASLDMEHEMPFEGLIGMLRSWSAVATAKQRGVDLLGERVVKELEEEWGGASLVRKVTFKAFRLAGTPPPPPPTHPQGR >PAN28568 pep chromosome:PHallii_v3.1:5:9813538:9815686:-1 gene:PAHAL_5G162700 transcript:PAN28568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASFVTYLQRPGELPVPEFRAPPPSPVTGVLTGSSSGSSGYGECQDDDEIGRFLRCSARVPVLRLPERPGPRRNRKKPEPAPPVIDVRVLDSPSPVAGGVPAAEALRSAAVAFGCFQVVDHGVDAGSVLAALRDATARGRSPAPEEGSGGDEDSEELWWPPGQGDREMAGNRPLRNGAKQIRNAADDLFAQLEQVSTKLLHALQQGKEAADAAEPTLAKADANASLLCIRKHQRDGSSASGPVGQDDVLRMLVRSSRCSRALALHLCPGASAFHVFSRRSWSRFRPLNGAVVVTVGDQLQAWSCGLYKSVSGKPAYSSGDLQDDGAVSAEFFLSCASVGAAKDALNVGASKVFPLNLQIIVAACLVLVYHFFLSCSYAIW >PAN30116 pep chromosome:PHallii_v3.1:5:18284550:18290745:1 gene:PAHAL_5G274800 transcript:PAN30116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTKNNDACASKSIAACTSQYRVGNVIKNSSVKKVANNDEFMVPSICSPIFSQCSTQGNAGVQDKSRPLSATNPHKNPSMPKSYAECYNAVNRHLERIDESDMRSMSYPKAKEKEPVEGSKNVEVQEKSSSVQASKEKFKKKDAKTCQMGDNASHTNSSDNPNLGNSGRQPARMNGSSIAAKKSTTRNTVPCKPSTDLNNSNQNSNLLERSLREAGAKRKRGHRNVEQNDDLSDDSVECMPSWEVSPDELVGAIGPKHFWKVRRAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGSALVGKKTKLPKGNLKVQAVSIANKDDIQLTLEQPELSKQNTDSNPPSPSCDDGLGGNCQDQAATDETFTRNPLAMPAAPDNKQNDWGMNPPQNQWLVPVMSPSEGLVYKPYAGPCPPVGSLLTPFYANCTPLRLPSSPYGVPMPQQPQHMAPPGAPAMHMNYFPPFSMPVMNPGAPASAVEQGRHPGAPQPHGRMEQQSLISCNTSHPSGIWRFHASRDSEPQASSASSPFDRIQVQADGSGPVSVFPTAPARNAQPQPSYGSRDQQNIVIRVVPHNAQTASESAARIFQSIQMERQQNDL >PAN30117 pep chromosome:PHallii_v3.1:5:18284550:18290745:1 gene:PAHAL_5G274800 transcript:PAN30117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGAGPGGGREEQGKVMGPLFPRLHVSDAGRGGGPRAPPRNKMALYEQFTVPSSRFSSSAASARAAGGSLVPSTSASQVYSYDRPLFQPFDAPSNEPARSSEKFKGNSINGQSNNSTRRESGRMSSQTKNNDACASKSIAACTSQYRVGNVIKNSSVKKVANNDEFMVPSICSPIFSQCSTQGNAGVQDKSRPLSATNPHKNPSMPKSYAECYNAVNRHLERIDESDMRSMSYPKAKEKEPVEGSKNVEVQEKSSSVQASKEKFKKKDAKTCQMGDNASHTNSSDNPNLGNSGRQPARMNGSSIAAKKSTTRNTVPCKPSTDLNNSNQNSNLLERSLREAGAKRKRGHRNVEQNDDLSDDSVECMPSWEVSPDELVGAIGPKHFWKVRRAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGSALVGKKTKLPKGNLKVQAVSIANKDDIQLTLEQPELSKQNTDSNPPSPSCDDGLGGNCQDQAATDETFTRNPLAMPAAPDNKQNDWGMNPPQNQWLVPVMSPSEGLVYKPYAGPCPPVGSLLTPFYANCTPLRLPSSPYGVPMPQQPQHMAPPGAPAMHMNYFPPFSMPVMNPGAPASAVEQGRHPGAPQPHGRMEQQSLISCNTSHPSGIWRFHASRDSEPQASSASSPFDRIQVQADGSGPVSVFPTAPARNAQPQPSYGSRDQQNIVIRVVPHNAQTASESAARIFQSIQMERQQNDL >PVH39334 pep chromosome:PHallii_v3.1:5:55552832:55554500:-1 gene:PAHAL_5G480700 transcript:PVH39334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVVAYNPRKRGREESSEPWRQLARTAPGTLLRVATSKWEGVNKCLKSTHRRLYGYNVVDMLRARDSGNRPSTPRGRTVDASHRKVKRLVALHDSAGHVLALCATRLGLRELQGGDADAGARWRAWEKHRAVAAGHAAEALRGLRSALTDLTAAVRILHVVSGKPPKFRARAWAWASEADRLVRRATDEVVAARHALQRMRRAVVLEFFDAWAVLSG >PAN28369 pep chromosome:PHallii_v3.1:5:8791880:8794044:1 gene:PAHAL_5G146300 transcript:PAN28369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPAVSGRTNWSAECKNLWRVAGPVILASVFQFLIAFVTAAFVGHIGKVELAAVSIVNGVIEGLAFGLLLGMGSALETLCGQAVGAGQLQMLGVYMQRSWIICLATSLALLPLYLLTSPVLRLLRQSPAIAAVSGRYARWCVPQLFAYAVNFPIQKFYQAQSRVWVMTAISGAVLAAHALLNWVVVARLGRGMVGAAVVGDVSWWLLNAAQFVYLVGGSFPEAWTGFSRKAFASLGGFVRLSIASAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVALGFNAAVSVRVSNELGANHPKAAKFSVVVATTTSAAIGLVFTAIALAARKEMPRLFTGDAAVVKETAKLGYLLVATIFLNSIQPVLSGVAIGAGWQSLVAFVNIGCYYLVGLPLAAVFGFKLKLNATGIWVGVLIGTVLQTVILFVILARTKWQKEAMLAGERIRVWGGNVELPRTQESRSSENIAAPV >PAN31147 pep chromosome:PHallii_v3.1:5:49771342:49772564:1 gene:PAHAL_5G400100 transcript:PAN31147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAALLCALVAVQAAVLLAAAPSAQAGELQVGYYGKKCKGLENVVKWHVIRALKANRRTGAALIRLLFHDCFVRKVAPVNIGLAAFDLLEEIKAAVEDRCPGVVSCSDLLIYAARDAASILSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSADDVQKLIANFARKNFTVEELVILSGAHSIGQGHCSSFAGRLSEPADQITPAYRELLKYKCPQGSNPPVDNNVRDEDNDVVARYMPGFTSRLRKIPDFLDNSYYHNNLAKIVTFHSDWTLLTHKEAFGHVKEYAENGKLWDEDFADSLLPMPAGSKGEIRKKCSVINHRLY >PVH38334 pep chromosome:PHallii_v3.1:5:14596421:14597865:-1 gene:PAHAL_5G233500 transcript:PVH38334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGKKLERIIVDDAKLRKLKDEYGQEVYAAVTKALLEIDEHNGSGRYCKPVVWNFKADRRATLTEGVRFIIKQWQSHKRKR >PVH37603 pep chromosome:PHallii_v3.1:5:2651591:2656887:-1 gene:PAHAL_5G041800 transcript:PVH37603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAVVGPAVAAPSFRTALPSRLRPQKLPSWRRAALPKDEDYYLIDAEESIGDGFSFSGGKYGEGPSKSDEWFTQGKMVNAYPVYGDKGKAKDPFFGLTMGSGSQPSDDVFRWFCVEAGSSSNPKVLLIHGLPSQAYSYRNVLPLLSDKYHAIAFDWLGFGFSDKPQPKYGFDYTLDEYTASLESLVNAVAPDKLSIVVQGYFAPVAVKYASEHQDKLNHLVLVNPPITDKHVSLPSPLASFSNFLLGEIFSQDPLRASDKVLTSCGPYMMKEEDAMVYRRPYLVSGSSGFALNAISKAMKKDLKAYVESMRSILGSDSWKTKTTVCWGMRDRWLSYDGVEEFFGGLNQKIVELPMAGHHAQEDRGEELGNIIKSILR >PVH38487 pep chromosome:PHallii_v3.1:5:17701108:17704567:1 gene:PAHAL_5G270200 transcript:PVH38487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLFNDCIYRIKALGPEDSTKLFLSRAFGSTNGSCPMELEDAMKKVLKKCGGLPLAIVSIGSLLASYNSPESKHMWERVFKSIGSEMESHPTLEGMRQIVIISYDHLPHHLKACMMYLSIFPEDYVIVKERLLMRWIAEGLVAEKRGLTSMEVAEAYFNELVSRSMIDRAADIVNYYDGREETCRVHDMMLEVMVAKSLEANFISLIGGQYEVMPYDRVRRLSIHGGVEASKQSSFKKTAAGRGRRKNINAMNVEHVRSLSVFELEGHKLLNQLGEFTLLRVLDLEDCKVIGKKHMAHVCRMYLLRFLNLRGTTITVLPRELGDLEHLQALDVRLTFLEGLPETVTALENLECLQYSNKKDYISDGPSKTA >PAN26316 pep chromosome:PHallii_v3.1:5:138793:141294:-1 gene:PAHAL_5G001800 transcript:PAN26316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVAKEFALSPPAAAAPRRRASARVAPTTGGGPPVPDLWLRTAAPPAFGSHSHDSDTDLAMLVTDFLENGAGDSRATSDNESALSDLAHLADNITMLKQLGDEKQNELLSMVHSLLFSIHGSELQAFKRGQCSGGCIRRLLVKLLRYSGYDAAVCTSKWQGFDKIPGGDHEYIDVIVHGDTTDPERLIVDIDFRSHFEIARAVDSYGTLLNLLPVVYVGTLPCLKQFLHVMVDAAKWSLEQNSMPLPPWRSLPYLQAKWQSKYERKDLITEQGFHGTASDHPVCIGHLKRLKTSLQSELETGRLLMMPMKADKKRMSKFGRRWRRSLLSC >PAN30645 pep chromosome:PHallii_v3.1:5:26125908:26126573:-1 gene:PAHAL_5G309900 transcript:PAN30645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLLGLLLVLAVAGTTAAGSCDGDREDMIRECKKYEMFPAEPKMPPSAACCAVWQKADIPCLCKRVTKEVEKVWCMKKVLYVSNYCKRPFKPGYKCGSFTVPPLGQ >PAN30793 pep chromosome:PHallii_v3.1:5:48301065:48305136:-1 gene:PAHAL_5G386800 transcript:PAN30793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NDC80 homolog [Source:Projected from Arabidopsis thaliana (AT3G54630) UniProtKB/Swiss-Prot;Acc:Q9M1G5] MRRGGGRRFPKSSLAPSTAEATPALDSSAIPIRNLDSAFSRRDSDAASLCSSHRASSVGAGVGAAPNFSDRATQAAALRVVNAFLAPAVTLRGPLPSARDIQAALRILLERIDFPPNEATFEDDLIQTLRLLGCPYKITRSALKAPGTPHSWPPLLSVLHWLTIFSQSCDAEASSAAQAPTNDLMLYTAQGYCHFLSGDDDAVEALDEEYLSEARTSGEAAVATLRAVEKEAQELEAEVNKLTSGPSRLEALEVEKEAFTADVHKFEAVVKTWKTKIDEREEALVDLEKELEAKVLDARRTAAENQDLLKKVDTQAVNVRDVERMHREMQAIERDIANAENGKAALEDKGWELDAKLVTKLEELEGLAERCNQALKKLKPGIDFQYMINPKGSSPAEMLGPGYKTVLKPALLAHSEENKRIAVSNLAESVDIQKELLRSAKILEEERSNISRLQAKHDEMVARLNLLDREITNDDSRCTADARRMKNELEKKYNDLSSVEKEADEFLKNSEKRLQDAILKDDEETQAAARELLQLVDSIAEHKEFMEATIAQRRKELYETADYIASLASKTSSANPHT >PAN26736 pep chromosome:PHallii_v3.1:5:2147346:2152612:1 gene:PAHAL_5G034800 transcript:PAN26736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCILGKLATAPGSSLFFPAAAAAAGGGDKAAEVQLQPPQQEHIAAVRKDASGWPLWLSEAAGDALRGWAPRGADAFHKLEKIGSGTYSNVYKAIDVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPHIVRLQGLVTSRLNTAPSLYLVFEYMEHDLTGLTACATASGRRLSLPQVKCYMKQLLSGIEHCHKNGVLHRDIKSSNLLVSSDGILKIADFGLATSYDPDNMRPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGQPIFSGRTEVEQLHKIFKLCGTPSEDYWEKMKFAHPTFKPYERCLAEKFKDVPPSTLSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPQYPPCKERDVKLKYEKHKRRSRVNGSVERHKNRQHASQNPGRRVFTPDVNNKPQANPKVPRLVTSMSTTKLERFPPPHLDASIGYSIDSSADGTTEEFFTSSVVELKKMPSLLFGHMKSYLNSPKKGMQKAKPSLNMAPSTVLIGAFRPYSLGHPMEVRRKNREQFRGKGRNVVGPVK >PVH37925 pep chromosome:PHallii_v3.1:5:7430519:7431512:-1 gene:PAHAL_5G123500 transcript:PVH37925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLGSFWVVLAFTAVATTATVRETPAATGTEATSCNSDLFSLIPRCILYVMQPDNPKEVPSQACCDAYREVDVPCLCSKVDKGIEEIISMAKVVFVAGYCKRPFAPGAKCGSYTIPPKVQ >PVH38522 pep chromosome:PHallii_v3.1:5:19169456:19169728:-1 gene:PAHAL_5G277800 transcript:PVH38522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAWELTPSARTATVAATLAQLRSGSLDRRAARWERDGEVTDAMKTVAGSRVAVVVSPRERETSATTHSRKSKSRPTGQGWRQRRARMS >PAN26671 pep chromosome:PHallii_v3.1:5:1918691:1919789:1 gene:PAHAL_5G030000 transcript:PAN26671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIQPLPAASPSPSSTDECQSLQPRGEQVFTVWMKSLVFSGNGCTVYGADGRVAFRVDNYGCRGGREAFFMDRDGKTLIGIRRKSFGMFRRWEACRYFDAGEGTRPWFTLHKARKGGAAVTMHGGGRTYAVEGCSCKSDYKISGAGGAVVAAIARKQTASGVVLGEDVLTLTVGSEVDHLLVLGMVVVCGLMNRCM >PVH37922 pep chromosome:PHallii_v3.1:5:7401589:7404279:1 gene:PAHAL_5G122800 transcript:PVH37922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALRKTLLHGRLPAPPAAAGAAPSRISSILRFLSSSSTGGSGGGDEWGASSLPSGGGGGDEWGSTWSTGLTKDHFDGSSPSVGRPVPSPSAPVSRELAAVRAMDEEDKMIRDLERDNRESKAYVDSWGDRMRETCALLKQVREPGARGSYLKDSEKQEMYRLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGQPLDDSIEILLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDKMLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGPQGKRGTGGGWKFISLADGSSRPLNDMEKMYVKRETPKRRRRIMAPYK >PAN31698 pep chromosome:PHallii_v3.1:5:52790604:52793145:-1 gene:PAHAL_5G438400 transcript:PAN31698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MSTCTRARQDATRHPPLRPPRPRSTPVTGAWMHPWPPKPGAETRRVPPKDASPCHAPPFPAPSPALARRNRARGTAFRRARAMATGSPRRRAPRPLLLLLLILLFAGGGEANAARPSPIKNVVVLALENRSFDHMLGWTRRLLGLPVDGLTGAECNPNSSPSANSSTASSICVSADADLVVPDDPGHSFEDVLEQVFGNISAAAAQPSMSGFVRSALSVNALLSSAVMRAFRPSLLPAFSALAPAFAVFDRWFSSIPGPTQPNRLFLYSATSRGAVAHDKLDLLLGYPQRTIFDSLAADGRDFAVYFKTIPTVLFYRRLRALRYAARSFHRYDAAFKDHARRGVLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRASPQWNQTLLIVTYDEHGGFYDHVATPTAGVPSPDGIRGPPPFFFKFDRLGVRVPSIMVSPWIKKGTVVGRPVGPADTSEFEHSSIPATLKKIFNLSSDFLTKRDAWAGTFEHIFTELDEPRTDCPETLPEVPFERPTPPNEHGWLSDFQRELVELASFLNGDYMLTSLAQETRRKKMTVKQADAYVRRAVTGFLRASKQAVRLGANESVTVTMRSSLTSKSTGSSP >PVH38799 pep chromosome:PHallii_v3.1:5:39674805:39676319:1 gene:PAHAL_5G354500 transcript:PVH38799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSERMQITFKHQVVIWWAGLMRGAVSIALAYNQVFGLLTRPLISAMLPRHSRAPSRGHSTGSNSPKDDFTLPFFSTNEDASGSD >PVH38797 pep chromosome:PHallii_v3.1:5:39674805:39676319:1 gene:PAHAL_5G354500 transcript:PVH38797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSERMQITFKHQVVIWWAGLMRGAVSIALAYNQVFGLLTRPLISAMLPRHSRAPSRGHSTGSNSPKDDFTLPFFSTNEDASGSGIALEQAMN >PVH38798 pep chromosome:PHallii_v3.1:5:39674805:39676319:1 gene:PAHAL_5G354500 transcript:PVH38798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSERMQITFKHQVVIWWAGLMRGAVSIALAYNQVFGLLTRPLISAMLPRHSRAPSRGHSTGSNSPKDDFTLPFFSTNEDASGSD >PAN31646 pep chromosome:PHallii_v3.1:5:52578981:52580208:-1 gene:PAHAL_5G434300 transcript:PAN31646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAAAAACDAVRGALCGAASRLRSCYSSISASSSPVALAAGLAALLCCGAAGAAFPRAAASLLPLAASTALCCAAAGLFAAEERGAADAAVEAVVLAGGRRRQGKPEAGLVQVIGEANASAYADNGGGVQVGCFLRRSAWHGVDEDGEEVVFAGTLAPCLAGGAPRPGHGALEEEVAAMRVDRLAEGVWDSYFGGWSRWHDVDAAA >PAN26997 pep chromosome:PHallii_v3.1:5:3335356:3340324:1 gene:PAHAL_5G052700 transcript:PAN26997 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os01g0904700)] MALADATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLASVALQILRERRNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDFCDDLQIFRNGCEGLEERGLFMRADSDTMRKRKDVDKDNTDQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERIMGAARQDFSHKGPSDNLNLRNSFQEQPGNGANGFQHSSQKIQAQSNMLDPHLEDTKIVVPLKVPDKNRTPVSDVIDPQNVTSASPLGGVLSFERMPLNQDRKPSETMILECQSWSGSVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPITPGHLYAPPPLVSMSCSMEGDVRDFSDVKPDLLGCMKSLSPALTCTVDSVSAQLSDSVVTSTNIDQKFSSVEGLSSGKDCHFDQARNQAPLLTSEEASTICGTDLACLPDDLSGYQLGLNGIDLFQCNDAMMLHGLQNNWYDDPELSSEIMEFPLLDGCLFA >PAN29039 pep chromosome:PHallii_v3.1:5:11722458:11724228:1 gene:PAHAL_5G193900 transcript:PAN29039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGAAAARRRPVVLYPSPGMGHLVSMIELGKILGARGLPVTIVVIDPPYDAGATGPFLAAVSAANPSISFHRLPKVERLVPVRTKHHEGLTFEAIRAANPRLREFLDAVSPAPAALVVDFFCSVALGVARDLGVPGYFFFTSGAEVLAFFLHLPALHARGAADFRDMGEEPVRVPGIPPFPATHAILPLMERDDAAYEGFLNSSAELSRCDGVIVNTFRLLNPRAVEAVVAGRCTPPGLPTAPVHCIGPLIKSQEVLGKGGEECLAWLDAQPEASVVFLCFGSIGRFSAEQIGEVAVGLEASWQRFLWVVRAPPSDDPAKKYDKPPEPDLGALLPEGFLARTKDRGLVVRSWAPQRDVLAHAAVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRMNRVFLEEMRLAVAVEGYDSGSGLVAAEEVAAKVRWLMDSGGGRALRERTLAVMRQAHDALRQGGESEAALAGLVDEWRRA >PAN29014 pep chromosome:PHallii_v3.1:5:11722458:11724356:1 gene:PAHAL_5G193900 transcript:PAN29014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGAAAARRRPVVLYPSPGMGHLVSMIELGKILGARGLPVTIVVIDPPYDAGATGPFLAAVSAANPSISFHRLPKVERLVPVRTKHHEGLTFEAIRAANPRLREFLDAVSPAPAALVVDFFCSVALGVLAFFLHLPALHARGAADFRDMGEEPVRVPGIPPFPATHAILPLMERDDAAYEGFLNSSAELSRCDGVIVNTFRLLNPRAVEAVVAGRCTPPGLPTAPVHCIGPLIKSQEVLGKGGEECLAWLDAQPEASVVFLCFGSIGRFSAEQIGEVAVGLEASWQRFLWVVRAPPSDDPAKKYDKPPEPDLGALLPEGFLARTKDRGLVVRSWAPQRDVLAHAAVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRMNRVFLEEMRLAVAVEGYDSGSGLVAAEEVAAKVRWLMDSGGGRALRERTLAVMRQAHDALRQGGESEAALAGLVDEWRRA >PVH38015 pep chromosome:PHallii_v3.1:5:9023476:9026970:1 gene:PAHAL_5G150500 transcript:PVH38015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEAGKAGSQQEQQQQPGAASTSGAGAAVPFGRSASRLGAPGAESFDGALRELKDLRAQLHEAADCCEKAFLKTEKKKKLILEGTKSYICDAVVAVIDHLGTVSSKLEHKLEEKTHVTQAEQKINFLKQRLLTCEQYAISLKLLTVRGDPYAIQYHRRYISQYIQTTKQANGASSSKNDQEVLKITGPTLSGAGLTLKPYDVQPSIGKEDTMASASFDDSPRNLRRSFSFRAEDVHIILGDDHKKKTNTGSNILSFLKKTRRHA >PVH39504 pep chromosome:PHallii_v3.1:5:58072741:58073522:-1 gene:PAHAL_5G520800 transcript:PVH39504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRGGGGGPGRQLHRETLRLPGCGCLIRRPMRRWRVGPRRNGASGRSGQDGLVSRRGRRCQLHHT >PVH37827 pep chromosome:PHallii_v3.1:5:6070853:6072555:-1 gene:PAHAL_5G099300 transcript:PVH37827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHSEDIKYEEEFVVNSRGNKLFTCRWTPQNFQPKALIFICHGIAAECSISMRDAAARLVRAGYGVYGIDHEGHGRSSGRRCYIPNFSDIVTDCSDNFMSICGKPENREKKRFLYGTSMGGSVALLIHRKSPDYWDGAILLAPMCKVSDDMKPHPIVVSALTMICAVAPSWRIIPTPDIIDKVCKDPEMRKEVRSNPYIYRGKLPLKTCHELLMVSLDIEKNLNQVTMPFLVLHGGDDIVTDPSVSKLLFEKASSRDKTFKLYSGMWHALAAEFPDDVERVYSDIITWLDERANCAVSISEMSSTSSV >PAN31349 pep chromosome:PHallii_v3.1:5:52267227:52269838:1 gene:PAHAL_5G429200 transcript:PAN31349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPCGGAGPSTWSFASSSPRCQSIGIRICQQKPPTPVPIYVFHPLRRRFARRLVGAIFAAAVLLALLLFCSVQLKANKPTMQLDDHERERGIKLLYALDRKVWEVKVNAIQESTTLDTLTVDELFSKLKSSKLDNQLQAKLRNPSAPSVALVSGKTSSSSSSNPSLGFSLSALVSVTKEQLECLGDDELALIIGRFSRFHNNRLNRRRGGGPKEGCFGCGDPDHYIASCLKKNKQDAGKRSSDRFYTNKYDSSKHKDRREYSSGKPEHKAKKFDKEYIKRKYIKKKKAEKHAFLASLSDLEDSDAKASSSDDEAETKIEEKLNGLCFFADAKHGGFCTMALGDEASGNGNMFILAYLISFDHLEFCASTCTLLT >PAN31347 pep chromosome:PHallii_v3.1:5:52267227:52269838:1 gene:PAHAL_5G429200 transcript:PAN31347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDDHERERGIKLLYALDRKVWEVKVNAIQESTTLDTLTVDELFSKLKSSKLDNQLQAKLRNPSAPSVALVSGKTSSSSSSNPSLGFSLSALVSVTKEQLECLGDDELALIIGRFSRFHNNRLNRRRGGGPKEGCFGCGDPDHYIASCLKKNKQDAGKRSSDRFYTNKYDSSKHKDRREYSSGKPEHKAKKFDKEYIKRKYIKKKKAEKHAFLASLSDLEDSDAKASSSDDEAETKIEEKLNGLCFFADAKHGGFCTMALGDEASGNGNMFILAYLISFDHLEFCASTCTLLT >PAN31348 pep chromosome:PHallii_v3.1:5:52267227:52269838:1 gene:PAHAL_5G429200 transcript:PAN31348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDDHERERGIKLLYALDRKVWEVKVNAIQESTTLDTLTVDELFSKLKSSKLDNQLQAKLRNPSAPSVALVSGKTSSSSSSNPSLGFSLSALVSVTKEQLECLGDDELALIIGRFSRFHNNRLNRRRGGGPKEGCFGCGDPDHYIASCLKKNKQDAGKRSSDRFYTNKYDSSKHKDRREYSSGKPEHKAKKFDKEYIKRKYIKKKKAEKHAFLASLSDLEDSDAKASSSDDEAETKIEEKLNGLCFFADAKHGGFCTMALGDEASGNGNMFILAYLISFDHLEFCASTCTLLT >PAN31346 pep chromosome:PHallii_v3.1:5:52267227:52269838:1 gene:PAHAL_5G429200 transcript:PAN31346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDDHERERGIKLLYALDRKVWEVKVNAIQESTTLDTLTVDELFSKLKSSKLDNQLQAKLRNPSAPSVALVSGKTSSSSSSNPSLGFSLSALVSVTKEQLECLGDDELALIIGRFSRFHNNRLNRRRGGGPKEGCFGCGDPDHYIASCLKKNKQDAGKRSSDRFYTNKYDSSKHKDRREYSSGKPEHKAKKFDKEYIKRKYIKKKKAEKHAFLASLSDLEDSDAKASSSDDEAETKIEEKLNGLCFFADAKHGGFCTMALGDEASGNGNMFILAYLISFDHLEFCASTCTLLT >PVH38816 pep chromosome:PHallii_v3.1:5:42256888:42257622:-1 gene:PAHAL_5G361500 transcript:PVH38816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDRICHAEPGLPKLLILSLERIGVMEPPEYACREYTSKGTLRCDMMVFVGKSTRYPDVDPWFISTSGFRLPDTYRKATHKALRRMRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGGEEEDLEDTVSHLSIYLTGLDELYREQAAQLKAEKATQELEEQRIRAARAEYSLAILQAQMQEYETHKGIGGWIEEEEEPEETHWDKGTQTEDEVMDRCLPIKKCPIRIGEESP >PAN30299 pep chromosome:PHallii_v3.1:5:37476666:37479313:-1 gene:PAHAL_5G342300 transcript:PAN30299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGVTVSSEDLVNAEIIAAAVLSVLLVALSTYGRRHCRHPALRFFVWCSSVLFLPLTSLIISSLVSTAKKRPCDESLPKPELEKCTSPANKINIQNMWTVLLWTVLILTSKCNADVAAAAVTAAAALPAAGDHSIDGQRISPPLELAFKYVWVGWLIVVCFPLAGWVENSLKAIFVAFCALGLAKVSLKMAAFRMASDSFALGKNARLIAGYMAQLVSDGGDEQVPRYIVTGEAKKHVEESPQGYRVKGQVLDDKLSSLVTLDRVWRLAEHGDGVLAQRQELRDLCLSYSLFKILRRRLSGYPLADAGSGEALNFVLGGMDSVGSGVNVDRLFRVLVDELCFASDFYYSPIPLCTFGGWCAALNYLCSVLIIVGAVAVGWLYQHEDLIGSTSYKVITFSLLLAAVLVETWEIVAGVCSNWTKMVLLGHYIRHEKAWRQSSCVHAALAAVLRLRPAGRWCDKIGQNSVLEPRRFRRRTGLLLEKLYGGAGLMRSVAVSPAVRDAVLRSLLSSYGRMSKGSAAVRRVGGKVDWALYGTQKSWGWTGDGSSNTELILTWHVATRLFEMKSTSASPDMIAASHLSNYFAYLVAAAPELLPDCSEWSKKRYKEVSEDVRGALGADSGGGGSESTEGMYGRLVTALSAVSRDTVLRRGAELGRHLVAQYAGDEVSACRILADFWSEMVIYVAPSENVKGHVQAMARGGEFITLVWALLLHAGVTTRPETPPGGNVP >PVH38444 pep chromosome:PHallii_v3.1:5:16723699:16724850:1 gene:PAHAL_5G259700 transcript:PVH38444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEGSRRNHEPPPRLVTRHRHRHRHRRSLASHTRCLSPPLSLSSDRDRALPSRPRARSAGGSPSSAAGQYKHPTPSCHARAKSTTRLARGRREVSTSAGGARLQAMGIGGGTAALLAAAAVAVVVVVSVSVPSSPAAGWTAAAAAEEDQGGRQPCFHACFDQCVPRDEFWFCQLSCYHRCAGAGYRALVPVAPGRHPGCEQSCALSLCGRLRPGSKVMAACRDTCRKSYAVAACRGGA >PAN28424 pep chromosome:PHallii_v3.1:5:9127104:9127922:-1 gene:PAHAL_5G151900 transcript:PAN28424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMERSVACYRQWIAGQEAGLAELVAASANAAAGRATDAELRAVVARCMLGYQDYVAGRRALSPGDGTAFVAPPWCTAFERSVLWLGGCRPSLTIRLLYNLSGEGLEVQVQEFVNGRGPLPRGRMGITPGQLVLINDLHRRTLHQEHALSGRLATLHEDVADSPLFPIVRQRAAATAVQLAAGGCDGAVGPGGVDAEVDAALSSYRAGLAQLVAEADELRMETARAMATEILTPRQAVEMLAAAKQLHLSVRDWSRRTEGAGTQQRLNGRAP >PAN28020 pep chromosome:PHallii_v3.1:5:7504044:7506700:-1 gene:PAHAL_5G125400 transcript:PAN28020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTPPLAPLLSPARHRVASRPLLAAATTPSPLISLFPYSHRHHDALCPDGRPLRRRRRWGAAASLDQEDSGGSETTFAAEEDPGPPVSSDAAAEDGVAASAEQAEATPEDLENIREVKRVLELLKKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDEITRDDLADALVEVNEGRIPENRIALQLLAKEMAEWPDLEVEAPKGKSKPGKSVYAKATDTGIDPETAAKRLNLDWDSAADIDGEEDDDDETEVPSAVGYGALYLLTAFPVIIGISVVLILFYNSLQ >PAN28511 pep chromosome:PHallii_v3.1:5:9546463:9551751:-1 gene:PAHAL_5G158000 transcript:PAN28511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAAVPCAACGDDACAACRACSYALCRACLDEDAAEGRTTCARCGGEYATATDPAHGNEGAEADEVEDHHAAGGLRERVTMGSHLNDRQDEVSHGRTMSSLSGIGSELNDESGKPIWKNRVESWKEKKNEKKASAKKAAAKAQPPPVEEQIMDENDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLIVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWYPINRETYVDRLIARYGDAEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYAIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPTVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKIERSEREINRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKTFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKSEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFMSIILTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTTILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFVGGTEPVNSTNCNTVIC >PVH37764 pep chromosome:PHallii_v3.1:5:5086718:5090733:1 gene:PAHAL_5G082800 transcript:PVH37764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAGLVLGVPAPRPAQTPAGYTGFVPPPPLAHQFGSMHRDPDQPPPSSSQFRQPSPGIQNIGMVGSLSASQMRPGIITGLQQTRPGLASSATPIPSGSQMSGSQRTPSHALMRPMSVGSPSPSPASQQTPQNSSSAFRPQQRPQVPQPRPQQSAPVIPHQQNVISAQQQQQLPHNQILQQQQQQKQQQQSSSHQIQQNPTPKNQPQHSQQQAARTPVTMTQKPDLPAIQNATVLQSVDTAAIDADASETGTRLLTKRSIHELVAQIDPNEKLDPEVEDVLMDIAEDFVESVTTFACSLAKHRKSNTLEAKDVLLHAERSWNITLPGFSGDEIKLYKKQHINDIHRERLALVPTF >PVH37765 pep chromosome:PHallii_v3.1:5:5086718:5091241:1 gene:PAHAL_5G082800 transcript:PVH37765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAGLVLGVPAPRPAQTPAGYTGFVPPPPLAHQFGSMHRDPDQPPPSSSQFRQPSPGIQNIGMVGSLSASQMRPGIITGLQQTRPGLASSATPIPSGSQMSGSQRTPSHALMRPMSVGSPSPSPASQQTPQNSSSAFRPQQRPQVPQPRPQQSAPVIPHQQNVISAQQQQQLPHNQILQQQQQQKQQQQSSSHQIQQNPTPKNQPQHSQQQAARTPVTMTQKPDLPAIQNATVLQSVDTAAIDADASETGTRLLTKRSIHELVAQIDPNEKLDPEVEDVLMDIAEDFVESVTTFACSLAKHRKSNTLEAKDVLLHAERSWNITLPGFSGDEIKLYKKQHINDIHRERLALIKKSMVTDTRNSAAQAAANQKNQTPKPPAPVSP >PVH38248 pep chromosome:PHallii_v3.1:5:12846494:12848240:-1 gene:PAHAL_5G210600 transcript:PVH38248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPDADAAGFKLFGKVIQPPDAHRAAEEGAAGAPPTPQQQPMAAAAAAAVLPPPQPQSPPLPPPALQLQAAGAGAGAGAGGAGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRACRRYWTAGGALRRVASASPGRRRPRPAARSAAAAAAIATASSTAAAEEGGGER >PVH39099 pep chromosome:PHallii_v3.1:5:52502670:52511027:-1 gene:PAHAL_5G432500 transcript:PVH39099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPRLLSRRHFNRAHSPLPHPVLAQALVLTKRLDPPPPPRAKQPMADAAELVRLLRLRAAPRPSPPQRSGPPPRPQPPRLLRGLPCRRPPPLSLRCRALDASGPAAVEGERGEEDVFEDEEESYFSVTSSGLSQVDYLGQSTRGDLNVRRERLEALGGNGESTLHGPIEEIAWKEAGEAETLLHDLGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMDNLKSMGFPVDDLKFDPDLVIRGLVIDKEKGNLVKPDRFGYIKRAMHGTQMLSTPFVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKREIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDVGWRDLFEMVMVSARKPEFFQLSHPFYEVVTDDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALVQSHGQKEKLVTLIQQKEIVGDLFNQLRLAQQRRSNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIGPMLESDGELFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLAHDAHSYFREESIKVQ >PVH38710 pep chromosome:PHallii_v3.1:5:33695604:33696077:1 gene:PAHAL_5G331800 transcript:PVH38710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN32302 pep chromosome:PHallii_v3.1:5:55379721:55381566:1 gene:PAHAL_5G476600 transcript:PAN32302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQERLEALRGAAGECECVGGEDEPLLRRGRPRPVCLDGFEPSAAMDLAPRVKIVVADWFALLGGGMGGDWGRIRDAGRRNVQVWEAALRALGVGAGEVEFLWSSDEVLGRANEYWRPLVMDIAEKHSVERIVRCCKAVFGRDEEQLSAGQYIMQCADVFFYQADMPHGHGSKRGESACERLLRGQWKGQ >PAN27576 pep chromosome:PHallii_v3.1:5:5498200:5502270:1 gene:PAHAL_5G089400 transcript:PAN27576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRLRGTDTASHPRRPLFPSSPPRQPRRSLFFPLLSSGFRLPPCVWKCRANLLLPLLSLLAASVLFYLPRSTDHGCGSSRGHLKIQSCGGLHASTRRLASLWIVAASLLLVIGRIELRIRVRFPRRPPARVVSFLVMVNDGEQSAITAATAPVEPSVERGRVSGSGRRLAEESSDEEEGSHRFSDAEDRSWQSHSRQGSALDSTSASVGCDAGPGDAAERARKSCVSECSLDDVVDLEAGLAEITKASPDKDERNCRICHLGLDSTAAESGAGIVLGCSCKDDLSCAHKQCAETWFKIRGNKICEICGSTACNVAGFSDADFIEQWNESSSTAAAQATATEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >PAN27575 pep chromosome:PHallii_v3.1:5:5498200:5502270:1 gene:PAHAL_5G089400 transcript:PAN27575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRLRGTDTASHPRRPLFPSSPPRQPRRSLFFPLLSSGFRLPPCVWKCRANLLLPLLSLLAASVLFYLPRSTDHGCGSSRGHLKIQSCGGLHASTRRLASLWIVAASLLLVIGRIELRIRVRFPRRPPARVVSFLVMVNDGEQSAITAATAPVEPSVERGRVSGSGRRLAEESSDEEEGSHRFSDAEDRSWQSHSRQGSALDSTSASVGCDAGPGDAAERARKSCVSECSLDDVVDLEAGLAEITKASPDKDERNCRICHLGLDSTAAESGAGIVLGCSCKDDLSCAHKQCAETWFKIRGNKFQ >PAN26920 pep chromosome:PHallii_v3.1:5:2979022:2984082:1 gene:PAHAL_5G047300 transcript:PAN26920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALSAHVPALRRAALCARWIPAALFSSGILAGDKPILVRDFVRSALYDPNHGYFSKRAGPVGLLDASIRFNQLEGRSAYMQHLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNDMKYISVEISSSLAEKQLETVGEVQSHLSKFSVEHRDATNRSGWGRKDPRPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKINGSSQASEVYKPLQDPLISQCVEITGMNEDKASVSEKLAFAAKGVLSRVLPKPRRAWLPTGCLKLMDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTSDHRNYLDAQGDADIFFPTDFRLLEQIDHHCSGFSKEQKNRGAFKPVKKRRTIILDTAAFMEEFGLPLKTRTKDGYNPLLDDFKNTKFYLSVPTHNRK >PAN31279 pep chromosome:PHallii_v3.1:5:50649346:50660876:1 gene:PAHAL_5G408900 transcript:PAN31279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRERAEEMQVNNEAPLGCLKPNISQYNSPEQRGGIEGVPENNEKRNDMVVAEKVWEASPVPTQGLGRPFYRQEFYAWPYIYSDYQMVRQPLPYGFENQFYQVNRDHSFPIENRIQYLPFKMLPQGHPHDAQLQEFQYFVVIDFEATCDKVNNPFPQEIIEFPSVLVNSATGKLEECFQTYVRPTYHQFLTDFCKELTGIQQIQVDRGVPLGEALLMHDKWLEDKGIKNTNFAIVTWSNWDCRTMLESECRFKRIRKPPYFNRWINLKVPFHEVYGDVRCNLKEAVQLAGLTWEGRAHCGLDDARNTARLLALMMHRGFKFSITNSLVWQPAPQSATCLLSPDHSPDPVQPQHKPNEMLGSPVQVNPYAPSAGKDRAMYCYCGVLSRWSVVRKPGPMQGRYFYGCGNWTATRRAICPYFAWAS >PAN26416 pep chromosome:PHallii_v3.1:5:637752:639146:1 gene:PAHAL_5G009600 transcript:PAN26416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEQHWDNMAGHGGAGQVHWSNAISSFVLKFLADLVASGTKTSTGFKQVHLNSCARALYENLGVQRTGAQVGNHLRKWKKVYAKIEKLKNLSGAIWDEQNCIISLDAEHYNNHIQAHREDANYLNIPIEHYHEMATIFGNSLATGAYAKGSSDPLGTEVTQIDDAPKGTSTDPSEQLGADDGTNSGNNGAESSGTKPPPSKKQRITTDDDLIVMISQILGELCSSIKKVAEPDLAVPKGLYAELKSIPGFNEAHLDHYFAYLCDNPPLKKRVFYASPNLSSETIWVARYIKNHLSELM >PAN32914 pep chromosome:PHallii_v3.1:5:58114006:58114995:1 gene:PAHAL_5G521500 transcript:PAN32914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIAVERNRRRQMNDHLKVLRSLTPALYIKRGDQASVIGGAIDFIRELQQVLESLEARKKRRSSASGGFSPSPTPSPRSHLALSSSSGGRGAATSSAGSSTPSPPVLVVGNKHQQALAVKELAACCNSPVADVEARISGANVLLRTLSRRAPGQAARMVALLEALHLEVLHLNISTMEDTVLHSFVLKIGLECQLSVEDLAYEVQQTFVCFREQEEQQGHQLQENLMYSAMAI >PAN30310 pep chromosome:PHallii_v3.1:5:46879549:46883567:-1 gene:PAHAL_5G378100 transcript:PAN30310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGQTPMGLAAAAAAAVRPCRRRLLSSASAAASKTGAGTATPLFPRLPHPHHQPYGRRLPFLVSAASQSPASQTGPDAPPTHTTGPVPSDPRAAVSGNLPFFDRVLFPDSFPIETPPAKADEDTAASAAAQADEAVAPAPPVREETETEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDENNEGFEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYCKITGDYDLLERVDVQTGIQLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVVNDGSKNLIRAVNNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIASSLTTPRQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAVALAEERLSDDKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRARCSRRAAKSHAV >PVH38082 pep chromosome:PHallii_v3.1:5:10436707:10437075:1 gene:PAHAL_5G172700 transcript:PVH38082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRETRGGGAAPRCVAHGSGRSRGGRGGGGERFDSGSGAQGRKRPPATRGRGRRPWRAEMRAPVRLAWLPRWGPDGESIDLSFCGVRCDGAVTFFLRATVAGGGTFQLRWGRFRRGARIVLM >PVH37462 pep chromosome:PHallii_v3.1:5:713862:716032:-1 gene:PAHAL_5G010500 transcript:PVH37462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFNGDELAPFFGFIGASSALVFSCMGAAYGTAKSGVGVAHMGVMRPELVTKSIVPVVMAGVLGIYGLIVAVIITSSINPVAKPYYLFDGYSHLASGISCGLSCLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAGQSRAN >PAN26409 pep chromosome:PHallii_v3.1:5:714268:714842:-1 gene:PAHAL_5G010500 transcript:PAN26409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFNGDELAPFFGFIGASSALVFSCMGAAYGTAKSGVGVAHMGVMRPELVTKSIVPVVMAGVLGIYGLIVAVIITSSINPVAKPYYLFDGYSHLASGISCGLSCLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAGQSRAN >PAN32022 pep chromosome:PHallii_v3.1:5:54112392:54116507:1 gene:PAHAL_5G457700 transcript:PAN32022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRSACLLAVAMALQAAAVLGGGEQQSGGGAALLDPRGLEKFVDELPDMPRLRGYGVAEGGALVAGNLTIGMYDTTWKFHRDLPATRVFAYGASRETATVPGPTIEAMRGVPTHVTWVNHLPPRHFLPWDPTLTTAAALGTRGVPTVVHLHGGVQHSSSDGHSLAWFTAGLAATGPRFSPPPYAYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVASPDEEAPLNLPSGEAFDRNLVLFDRDFRADGALFMNRTGNNPDVHPQWQPEYFGAVVVVNGKAWPYLRVRRRRYRFRILNASNARFFRLRLSAGLRFVHVGSDSVYLARPVATDTFLVAPSEIADVVVDFAGSIADAAVLSDDAPAPYPGDPGDKAETIAVMKFLIEDAAEPDTSAVPAALMPHYPRPDAREAATTRRITMYEYQKNGTDEPTHLYLNARSYMDPVTETPREGTSELWDVINLTDDNHPLHVHLALFAVLEQRSLRRVDEFRECMRGRNDARACGIDRHLAGGRRHVVPRQERGWKNVFKVRPSAVTRILVRFKPLSSGAASPEESRFPFDVTTGPGYVYHCHILDHEDNEMMRPMKIVR >PVH39220 pep chromosome:PHallii_v3.1:5:54113415:54116507:1 gene:PAHAL_5G457700 transcript:PVH39220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWRHQSASWITFTGHDNRSRCWKKFHRDLPATRVFAYGASRETATVPGPTIEAMRGVPTHVTWVNHLPPRHFLPWDPTLTTAAALGTRGVPTVVHLHGGVQHSSSDGHSLAWFTAGLAATGPRFSPPPYAYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVASPDEEAPLNLPSGEAFDRNLVLFDRDFRADGALFMNRTGNNPDVHPQWQPEYFGAVVVVNGKAWPYLRVRRRRYRFRILNASNARFFRLRLSAGLRFVHVGSDSVYLARPVATDTFLVAPSEIADVVVDFAGSIADAAVLSDDAPAPYPGDPGDKAETIAVMKFLIEDAAEPDTSAVPAALMPHYPRPDAREAATTRRITMYEYQKNGTDEPTHLYLNARSYMDPVTETPREGTSELWDVINLTDDNHPLHVHLALFAVLEQRSLRRVDEFRECMRGRNDARACGIDRHLAGGRRHVVPRQERGWKNVFKVRPSAVTRILVRFKPLSSGAASPEESRFPFDVTTGPGYVYHCHILDHEDNEMMRPMKIVR >PVH37777 pep chromosome:PHallii_v3.1:5:5438229:5441153:1 gene:PAHAL_5G088200 transcript:PVH37777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPSCAVCHGATGRGQATVTSECDHTFHLRCFSGSVCPVCGARWRYEVTVAQSPPLNPTSSPPRPPLFQPPAANTSTPFRFNPFPWPSASGISFGPQPPSSDTARPVPVFNDDEPVERPLDGRDTAQETASNRVLVLKTHCEHPAVARDSALDNLAVLVHAKGPAAAAEASERAPLDLVTVLDVSGSMSGPKLELLKKSMGFVIDHLGPSDRLSIVIFSSNARRIIRLTRMSDGGKVSAKRAVESLAATSTTNIGDGLRVAAEVLDGRRHRNPIASVILLSDGEDNHTLGGFFSAAGCSKSYNDLVPLSLRRSNDHRCPPVHTFGFGMDHDAAAMHAIAEATGGTFSFIQNQAVLQDSFAQCIGGLLSVAVQEARVDVECLHPGVRVRTVKSGRYESHVVADGRAASVDVGELYADEERRFLLFLDVPVAAGDGAAEDGGVTPLIKVSSTYKDAATGRSVDVACEDASVRRPVVVADMEPCVEVAWELFRVEAAEDIAAARAAAERGEHAKAARILDRRQEASAAAGLAGDERCAELVAELRELSARVADRREYEQTGRACLLAGMSSHAQQRASTVQLFASAAPSASPFGATTGCLPGASMGSPFGGFGQLQGSPFGFGASTGASSSSPFGELPVFSTAAPAPAPRFGFSFATPAMQSMVESSRKAREQQQSEPGGSLFGPK >PVH38452 pep chromosome:PHallii_v3.1:5:16923017:16925368:1 gene:PAHAL_5G262200 transcript:PVH38452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYRDICSWNIMMDGYSRHKLVDKALDLFRMTRKKDAFTWNIIISCLGENRLGEGALLLFIDLVRLDGHCGGNAKLNASTYTTVLHVCSVLVLLAFGRQVHARTIKDGIGQSNVSVSNSLLSMYRSCGAMLDLEQVFEEMTVRDIISYNSVIQGLGQNGLGRQALAIAERALELKMYNSNTFIAILTSCSHSGLVTEGLGYFDGMAEKHGVEPTLDHYISVIDLLGRAGRLQEAYDLLRKMPFAPNAVAWRTLLHSCLAHKNSIMGSTAVQELRALQPGGGRGNYERLVQGCGGSSMAGETLIANEKSADHTPGCTWLT >PVH37682 pep chromosome:PHallii_v3.1:5:3639693:3641390:-1 gene:PAHAL_5G057500 transcript:PVH37682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDYHTTTAAWAVAGLALLATCWYLAAARRGSRRYPPVAGTVFHKLYHFRRLHDYLADLSRRRRTFRLLAPGRRRLVYTCDPAVVEHILRTNFASYGKGTFNHDSTRDLLGDGIFAVDGAMWRQQRAIAAHEFSTRAMREFSGAVFRKNAAKLAAVVFGNAASKRPMEFQGLLQKAAMDSIFAVTFGSELNTLGASGPDGGDDEASRFAAAVDDASEFTLLRYVNPFWKAMRLLNVGPEAALRERVKVVDEFVYRRIRARSEELQASQQPDLTTTRRDMLSRFMEAASTATDDGSAGTTVDYKYLRDIVLNILIAGKDTTVEALAWFFYMACKHPRVQERVFQEAGEATLAGEAAVPVDEFARRLTDEALSRMHYLHAALTETLRLYPALPLNNKECFSDDVLPDGFSVGKGDIVFYVPYAMGRMEYLWGEDAEAFRPERWLDDNGEFQQESPFKFTAFQQRRDDAALTLGRSDRRPARGYAWGRSSRTGR >PAN27078 pep chromosome:PHallii_v3.1:5:3639137:3641410:-1 gene:PAHAL_5G057500 transcript:PAN27078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDYHTTTAAWAVAGLALLATCWYLAAARRGSRRYPPVAGTVFHKLYHFRRLHDYLADLSRRRRTFRLLAPGRRRLVYTCDPAVVEHILRTNFASYGKGTFNHDSTRDLLGDGIFAVDGAMWRQQRAIAAHEFSTRAMREFSGAVFRKNAAKLAAVVFGNAASKRPMEFQGLLQKAAMDSIFAVTFGSELNTLGASGPDGGDDEASRFAAAVDDASEFTLLRYVNPFWKAMRLLNVGPEAALRERVKVVDEFVYRRIRARSEELQASQQPDLTTTRRDMLSRFMEAASTATDDGSAGTTVDYKYLRDIVLNILIAGKDTTVEALAWFFYMACKHPRVQERVFQEAGEATLAGEAAVPVDEFARRLTDEALSRMHYLHAALTETLRLYPALPLNNKECFSDDVLPDGFSVGKGDIVFYVPYAMGRMEYLWGEDAEAFRPERWLDDNGEFQQESPFKFTAFQAGPRICLGKEFAYRQMKVLAAVLLRFFVFKLRDEAASVSYRAAITLLIEDGLHLTATPR >PAN27435 pep chromosome:PHallii_v3.1:5:4986968:4991045:-1 gene:PAHAL_5G080900 transcript:PAN27435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSPSPRRRRPTEPGSPPLDGTVSAPTVTVNSISVSPYALARSPSVSAAAVDAEDGGVVRVYGSDGCPVAWRLRVSLLYKAAAPLHFTPSEAAPLGRPVLRLSAADPEVCGTADELLRQVDARFEGKPRVAPPERPRAASPAAAAAAEVAELVRLQHRSAERHLEGVAAKVAEMVKKGKKSGKGRSVVEAAEVRRLGKWYGDAMEVMLEHARMEETLIFPDIQRASFPGVCDKVNEQHGRHLPMMNGIKEDIKTLLTLELGSSLFHEVLVNLSVRLKALQDHTKEHFKEEESDMLPRLEGVRRMQREEGKVSDKSNSAWASEALATMEVTHSKLFPFFMTGLLPQEAVQYLDIICRCTKNTRHLVSMLRSLAERLEDANPSIIHNNPTKLYEHLLVKSP >PVH38048 pep chromosome:PHallii_v3.1:5:9696741:9697898:1 gene:PAHAL_5G161100 transcript:PVH38048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRRLLRSTAAPASISGHIPFSTRSRRTPHRFRRGRGRNPPPPSPDAISAAIASLPSRLTPPVLASSLASTSDARLLLPLLTHSLRLPAFRPDPAPFLVAIKRLAAADLYADFDRACALSFSLLPSLPSPGTLLRDALYFYCQFGRLGKAFHVYTLMRASPDPAARPSADTYHALFTALLSRGRGDTLIHYMYMDNVSALFRQMLEEGILPDTRTLNVLVRGYAQSLHLNDALRVFHQMQPLYGCEPDASTYSYLVHGLSAQGRTRNARELFDEMREKGLLPTEPACNAFVSALAMAGEAEDAERVMWEMARAGRVVDDITRRALVEELWRAGKQEDADRLAREMEEKGIVSARELRALLNYIHDDDGDENSDVDGRGRSTW >PAN31055 pep chromosome:PHallii_v3.1:5:48292690:48293330:-1 gene:PAHAL_5G386600 transcript:PAN31055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLASRPVFTYGVYVLLSWQSSVLPALFPASGLHLSTAGTGSSGSGPRLSPSVSRDEIEESASPPEGSASIGRPSMLLLAGLPARAAVREQPWASTDEVHPATRFCSPRPPLKESLASSFR >PAN27248 pep chromosome:PHallii_v3.1:5:4268117:4270031:1 gene:PAHAL_5G069200 transcript:PAN27248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g21470 [Source:Projected from Arabidopsis thaliana (AT3G21470) UniProtKB/Swiss-Prot;Acc:Q9LVF9] MANRARQLHAIYLTSGSHDPEKWAHLVREYASHSSLREAALVYARDLPRRTHHQPLLPVLLKAAAAASRAEHGLGRSLHAEALKSAFAGDLLVGTTLVSMYCKCGALADARRAFDETPDRNVVTCNALLAGYAAAGDTDGALALFGGMRSWTPVTWATLIRGFTERGDMAEARRWFDATPPGMRTVVTWTVVVHGYVSAGDMEAAREVFDRMPVRNAFVWSSMVTGYFKAGDAEAAQAVFDRIPRRNLVNWNALIAGYAQIGCSEKALQAFHLMLEERIKPDEFTMASVLSACAQLGSLEQGKKVHEFIKRKHIRKNHFVNNGLIDMYAKCGDLAYARDIFDSMRWKNTECWNTMISALASHGRSKEALQLFFMMECSEQKPNVITLLAVLGACTHGGFVDEGLRIFNKFEVYGVEAGVEHYGCLVDLLGRAGKLKEAYDIVKSIPEEPNEVIWGSLLGACNVHGDAEMSRLVSDEIHRLHSARTSSNDAEYIMLSNIMAASARWEQAEQLRRKMARHGIEKTPGCSSLELGIPECQVYAGSRQ >PAN28155 pep chromosome:PHallii_v3.1:5:8049575:8055060:-1 gene:PAHAL_5G134900 transcript:PAN28155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDRNPFDEDDVNPFAGGSVPPASNSQMPPLPHEPVGFYNDRGATVDIPLDSTKDLSKKEKELQAKEAELNKRERELKRKEEAAARAGIVIEDKNWPPFMPIIHHDISNEIPVHLQRMQYLAFSSLLGLTACLFWNIIATTAAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYLLHILFCVWSAVAPPFPFKGKSLAGILPAIDVIGRSAIVGIFYFIGFGMFCLESLLSIAVIQQVYMYFRGSGKEAEMKREAARGAMRNAF >PVH38502 pep chromosome:PHallii_v3.1:5:18054007:18056867:-1 gene:PAHAL_5G272900 transcript:PVH38502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQESTFSSASAAAQVNASALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTEGFQSLGILQSLRKLWQYEGVRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQSGNALGNSGQQQTYNGIKDVFKTVYKEGGARSLYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKKSVILKLSCGALAGLFGQTLTYPLDVVRRQMQVQSKQPQNSCDGFRIKGTYQGLLLIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKALLGVPPRERVHASGASK >PVH37904 pep chromosome:PHallii_v3.1:5:7108890:7110003:-1 gene:PAHAL_5G118100 transcript:PVH37904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRFVHLILLVTLSLLLAQTLASSSPAPAASAASASAGAGAEAETGDPCAAAAADGDGDVQLCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVARRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLLGFL >PAN28735 pep chromosome:PHallii_v3.1:5:10579922:10580419:-1 gene:PAHAL_5G175500 transcript:PAN28735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAPAAAWRGTVRAVASGPAPGAAWALLGDFFSLDRWVSTVRTCRRVEGDEVGRVRYCEGPVNMAAAPGEAAVVGWSKERLLEVDHAGRRYSYEVVESNKGFGRYRATMRVEDDPAGCAVAWSFEADPVEGWTQEGFVGFLEELARGVARRLEEEINVAKPDGV >PVH39046 pep chromosome:PHallii_v3.1:5:51930688:51932064:1 gene:PAHAL_5G423700 transcript:PVH39046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIAGDDQLHVRVVSRRLVKASDSIKPHVLAVSNLDLVPLSVQGSLFVIYPKPPTADFAAVVAAFEAGLPSLLNHFFPFAGRIVTDPSSGLPEVHCNNQGAELVVGEAGVALASLDYGNMSACLRKVQLPYGEDMALSVQVVSFACGGFTVAWSTNHVLVDASAMSLLVGAWSELMRSGALAAGSRPNHDRSVFRPRVPPSYSAALDEAFTPLDSRRQVNVLTAQQCFVQRTYYIEASDIARLREMASRDGTRATRVQAVSAYLWKALARVVGTADAACRMGWWVDGRQRLTAAPDLRAAMRNYVGNVVTFVVREASVPELLGTPLPGVAAMVREAITAPDYDERFQELVDWVEEHKTQRYVETPSLGLGSPAVVVSAGGASPADTDFGFGRAVLLVPTSALTARLCAGYVQTVVNPRADGSWFANAVVWPRLAAALESDEPRVFKPVTAEYLGLL >PAN28199 pep chromosome:PHallii_v3.1:5:8221265:8225316:1 gene:PAHAL_5G137800 transcript:PAN28199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADSASPECPGAPSMPTDPDFLSCVLQPPAPSSSRPDADYAALRRLLLRRKPPSALQHRMDWRCNGKGYVAYRNFLLRRIDGGSAQSTPSNSGRWAASPGPALSEADSWSSLRDLRTNSGLSRTVSISSKQSDAERHVRFAEPAYSFVGMHCIFDSCKTSVTILKFGRASSDLLAYGAADGSLTVCQVSEPPSVLQKLIGHSKNITDFDFSSNNQYIASCSLDKTVRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNSNKEINAINFSTGRVISKLNFDDAVTALDIDHTGQLIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSSKSKSPITTIQYRTFSLVARCPVLLSCAQDGNLSFFSITTDAKGYLTLISSLKLASRVQTIRASFCPLLSLEKGEFIVTGSEDANVYFYDLARPKNSCVNKLQGHGSPVIGVAWNHGENLLASSDSEGTVIVWKRAKTN >PVH37968 pep chromosome:PHallii_v3.1:5:8221265:8225316:1 gene:PAHAL_5G137800 transcript:PVH37968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADSASPECPGAPSMPTDPDFLSCVLQPPAPSSSRPDADYAALRRLLLRRKPPSALQHRMDWRCNGKGYVAYRNFLLRRIDGGSAQSTPSNSGRWAASPGPALSEADSWSSLRDLRTNSGLSRTVSISSKQSDAERHVRFAEPAYSFVGMHCIFDSCKTSVTILKFGRASSDLLAYGAADGSLTVCQVSEPPSVLQKLIGHSKNITDFDFSSNNQYIASCSLDKTVRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNSNKEINAINFSTGRVISKLNFDDAVTALDIDHTGQLIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSSKSKSPITTIQYRTFSLVARCPVLLSCAQDGNLSFFRC >PAN31153 pep chromosome:PHallii_v3.1:5:49834407:49836049:-1 gene:PAHAL_5G400900 transcript:PAN31153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKCPDTHRALGTSLLRRVARPPVLGTPSSVATPRYEPQPSVLSTPSAAATPGCEPRADRAGGVYLHARRQQNPSAPECAETSTSTTTSSRRLPRHPLAIRVARARTAGGVSATMSRTVPGH >PVH38215 pep chromosome:PHallii_v3.1:5:12130711:12132238:1 gene:PAHAL_5G199600 transcript:PVH38215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLHLLLPALLLLLAVADALPPSCSEVVTCAGLVVQYPFRLDSSASRCGYPGLDLVCERNATLILPVKSHRYRVFSINYTAHTVVVSDAAVVDEYAVVGCPRLRVNLTIDYASSWLQLTQSDSNVTFFYNCKKNISRSSAVELTGCRQDGKRSYALPDGWITGAEAYEYECEEVVVAPVFDVHKKAIAGAPGPPPGNGSFGFRELLQGGFELNYDTHSQLCDGCERSGGWCGYRHNQTNGGMNFTCFCDGGPATARCGTCVPPLPPAMLFFDFLPGLGFRMWVVRCSLRFRTQSSVCLFFYLVLQQTCRVAIKVRSDGGKGSLDVRILHILLVIHQNKQLFL >PVH37644 pep chromosome:PHallii_v3.1:5:3239642:3241583:1 gene:PAHAL_5G051200 transcript:PVH37644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHPTGQQTTSDYDRATPTNINTGPSARFCDLPPDILYRIASKLPPKEFARTSVLSTDWFRGCMRSACPRLTFDVVAMCKCEMEDLLYTHVWQFVSEVNGVLRKHHGKVVETLQVKINLEHSILAPHIDTWVGFAAISRTKNLVLDLKPVRFLEHDYRYLFPFQLFDRESISRLQHMQLSFVSLDPPSQFKGFPNLRKLHLQTVQVNRKDLEDVLSNCCILEWLCLDRCRLDDELTVDSPLPRLLYLRVEFCLTKIRFNAVNLATFEYQGPFIPIDLVQSFKLQSANIEFFRNAIFQHRMLISLLNGLPSVQSLTLNVRSQRIEKQWFWENPLKFTNLKHLQFLMLILSEDVDKILYSLSFLRATPLIEKLEVHFGIRCSSWLAEAGPSRRDLRKYKYVHLKHIWITGFRAAKGQLEFLLHLIENAPALEVLLVEIGKYPPCNSPCNSCNSWFGGGEPPIEKAMEIARTCIHPILSQNVTFDVKE >PAN32013 pep chromosome:PHallii_v3.1:5:54028128:54033532:1 gene:PAHAL_5G456600 transcript:PAN32013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLATRKRAAPGALDLAATDANRAAPRPFAWISPAARYSPAAECAPRRRPPPPPRAEQAAGGSRTLARSAALPPAAEQEQDSPPPAARPRGAVEPPPPQSPAEQAASQAPPPPPRRRSRAPTAQSAPPPRSRQPAEQSPHRAGAAERPHPPPPPSCKNGHAACEACCARTHRVCPSCIEPIGDIRCRPLENAIAGMLVPCAFAEHGCTRRLRFAEKPVHEALLCQHAPCACPVPGCAYAGLELRDHIQDAHAAAGDGDDNDNDVVSFAGSAAVTLRRGTPFRVLLHETDGRVFLLLNGGGVPSGRSLSVVCVGPRPGGNRSLEYTLQVGGSGGGEPGALALSASGPVPCTRLWAGHHPTETFLFVPDAYWGSSGASPSPSMSGR >PVH38503 pep chromosome:PHallii_v3.1:5:18087137:18088445:-1 gene:PAHAL_5G273100 transcript:PVH38503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAPCCFEGSGVAGPIRDGTDLGAGWGAPIYTRASVLQGGHGGGGTRVQVVRCGGRNGRGARAGDDDDRDRGAGRCRASGGGGGPTKPPRPCPPGLARQLPAACEPGFSRPRPGRSKYPYRATGMLGVGWPVEAINRGGNDLKHTVLVAALLTDGQAHTCSTGQFLFLPLGSCLDPETPRASYRVVSD >PVH38918 pep chromosome:PHallii_v3.1:5:48581776:48583087:-1 gene:PAHAL_5G389900 transcript:PVH38918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIFDYIPARRRVSAADFWPDSEADAEDPHAPRASGERAPRAKRCRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDTSSPVPVPPAAAAAPPPATAPAVLPAPKAEAGFSDEVKELSEELMAYENYMNFLGIPYMEGGSAAAPAAAAVPAAAEEPQAPVPTGLWSFEDYYPASLSLFTE >PVH38179 pep chromosome:PHallii_v3.1:5:11753339:11754371:1 gene:PAHAL_5G194400 transcript:PVH38179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEAGVSKQCRAGNISAWRLEALGPQDYMGEAMVHGRSTSSIVRD >PAN32596 pep chromosome:PHallii_v3.1:5:56614171:56615073:-1 gene:PAHAL_5G499000 transcript:PAN32596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGGYSPRFQRQASCSCAPSISRRGFVRAGFDLDGDDYYYDDDIFPSSSSSSGGAAAYEKVYGAPRPSARARLRGLWRRIMREKKRILLCTTGCVPAAAPPHREPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAAPSRVLQRVAV >PVH38606 pep chromosome:PHallii_v3.1:5:23351396:23355255:-1 gene:PAHAL_5G300300 transcript:PVH38606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEKKKELVRSIGFGGILELPQDNKVSHRFTLWLLSRLDPESRTIVVNGEPVAKMVDFDVKDILGIPAGQLEVTGLVCEDARAKKVFMQQMIGAAANETNSLLAAGRVVKKQYSGKMTKKQCDNFKVSFVVFVVGHFLAPTTRNNVGNSSFWGALRDPDQISQYNWAEYVLKNLVDAAIKVFYLDNVKLGSFSVVNLELPRACVFSQEHLDHMIKVLGRLPENGDEVSDMHKETLHTIMRGSKELIELLGPAMNKLSMITSAGVKETYSVLHAGYVPVAERRVFGTVWVQHECPTPITLLGYNLRTELSEEGWLTGAMMDAITRCNMQLDNITYRKVSRRWRHYLPASFADAVIGGSRNIDGEGMRVHFTGNQIGYEVPNCRMIIAPVCYVGKWSCYYWDFMNKKIPWYSGAHHDWVVSVMTEAICKCIDAFFMGWEVDWSGWEHCYPTQVGPRCN >PVH37744 pep chromosome:PHallii_v3.1:5:4631776:4632651:1 gene:PAHAL_5G074700 transcript:PVH37744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANFNTRNTRSTEQMNHEGIRRWFSSMNDPNEIKSKIRNLLIEEKNSVKHFLSLPP >PAN27407 pep chromosome:PHallii_v3.1:5:4868242:4870006:1 gene:PAHAL_5G078800 transcript:PAN27407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPAEVPSHFLCPISLQLMRDPVTLPTGISYDRAAISRWLAAPAPAGGRTCPVTRVPLPPQPPLTPNHTLRRLIHAWLASLSPGAEVDEDVAALRAPASGAEVAALLSDAAAAQVEALKRLRELVAECEDNRAVLESQDGVFDALSRVVSSGDACSTAREEAVGVLASLRIPEPELASVVARYSNLGEALTAVLRSSNLKSRANAVLLVRYLSEAAWPAWVIGLSPELLAEVVRVLRDRISTRATKAALQALAALCPYGRTRVKIVGAGAVPALVDLLLDDPERRVCELALAALDRLCTCAEGRAELVAHAAGLAVVGKKVLRVSDVASERAVRVLRSVARHAATPAVLQEMAQSDVVAKLCAALRSEQCGLRTKEKAHEVLKLHARTWRTSPCLSPKFLGLYPS >PAN32320 pep chromosome:PHallii_v3.1:5:55460702:55462460:-1 gene:PAHAL_5G478100 transcript:PAN32320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEAQRVVEDFFGVIRLFDDGSVVRGDESTIMPVGPFPDAPGVQWKDAVYDAARGLKVRVYRPHAAAGNEGKFPMLVYFHGGGYCIGAYDQPMFHSCCQRFAAELPAVVLSVQYRLAPEHRLPAAIEDGATFFSWLRAQAAAPGASAEPWLAESADFSRTFVSGVSAGANLAHHAVVRIAAGQILLGPVRIAGYILFSAFFGSNERTTTESDPPAGASLTVEMSDQLWRMALPAGATRDHPLANPFAPGGVSLEPLPLPPALVVAPGRDVLWGHVLRYAARLKEMGKAVELAEFVGERHGFSVGQRSEATEELMGILKRFVHKLLNDVN >PAN31920 pep chromosome:PHallii_v3.1:5:53628174:53635080:1 gene:PAHAL_5G450300 transcript:PAN31920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGSLFDGGAGGGGGGGMQFPFSAGFSSSPGLSLGLDNNAGGGAGMGGGRALPGGPGAGGGSGAARDADAENDSRSGSDHLDAMSGGGEDEDDAEPGNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRGELSRRLGLDPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLCIENARLKDELNRVYALATKFLGKPMSMLAGPMMQPHLSSLPMPSSSLELAVGGFRGLGSIPSAAMPGSMGEFAGGVSSPLGTVITPARATGSAPPAMVGIDRSMLLELAISAMDELVKLAQLDEPLWLPSLNSSPNKEMLNFEEYAHTFLPCIGVKPMGYVSEASRESGLVIIDDSIALVETLMDERRWSDMFSCMIAKATVLEEVTSGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLLRDQNSATTSNAANIRCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLAMLQRQCECLAILMSPDTVSANDSSVITQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVDEPGEPPGVVLSAATSVWVPVAPGKLFNFLRDEQLRAEWDILSNGGPMQEMANIAKGQEHGNSVSLLRASAMSANQSSMLILQETCTDASGSMVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSAATGHKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKTALQCDAT >PAN32452 pep chromosome:PHallii_v3.1:5:55921560:55922169:1 gene:PAHAL_5G488100 transcript:PAN32452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVASAPRPSPHHLLICGCLLLVLLVSSPHSSEARTSPDEDLAASTLQHGGTRKLLGTDNQVITPPAPTSDPPVGPGLVVTPPPTM >PAN32270 pep chromosome:PHallii_v3.1:5:55200272:55203187:1 gene:PAHAL_5G474500 transcript:PAN32270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGSVVQRLYLSVYNWVVFYGWVQVLYCTTWTLLAKGHESVYEAVEQPLLFAQTSALLEILHAIIGFVRSPVSATLPQLSGRLFVTWGILWSFAETHSHVLVTSLVLSWSITEVIRYSFFGLKEAFGIIPYWHLWLRYSTFFVFYPIGLVSELGLVYAALPSIKASGKYCLEMPDKWNFSFSYYYFCIILSILYIPGFPYLFHYMLTQRKKALSKAKAA >PAN28024 pep chromosome:PHallii_v3.1:5:7517651:7519541:-1 gene:PAHAL_5G125600 transcript:PAN28024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLRAVLSHGGAGGGDGGGGGARGGGGSDAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPVEAALAYDRAARTLRGAKAKTNFPDNAGGHLHRSQHLHLATLPRQPPPQAVMFGGVGLDCPSPWHFVYLQPPAADATTHPAAAPPPSTALELGTGPSRGGLPFDLNVAPSC >PAN27602 pep chromosome:PHallii_v3.1:5:5646429:5648944:1 gene:PAHAL_5G091600 transcript:PAN27602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMPGRPGTSGGLVLRAGQFVFAAACICAMASAPGFTSYTAFCYLIASMGLQALWSLGLACLDCYALILKRDLQQAFLMSLFVVGDWVAAILSFAAACSAGGVVVLFKRDVYFCRRNPQLPCGNFEVATAFAFLSCACSAASALVMFWILASL >PAN27961 pep chromosome:PHallii_v3.1:5:7276372:7278588:1 gene:PAHAL_5G121100 transcript:PAN27961 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MALALASPMASLSFRSGRISAAAIGGVARTGRAMPVGASASPFLRSSFVSSSSTSSASASPASLSAAVSAPLAFTSSSSFAGSSLGIEFSYNRMTTRRSRGLQIRAGKAALCMTKRSRSRKSLARTHGFRRRMRTTSGRKVLKRRRAKGRKVLCTRTNSPTGKKRMF >PVH39479 pep chromosome:PHallii_v3.1:5:57529067:57530644:-1 gene:PAHAL_5G512300 transcript:PVH39479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSCGAMGSAAAELCCPLTRRCYPNCYVRPRASVPSIDPQLLHPLISPNPSPPAAASLGYYLYSQQPPPPSSICNHYHRLCTTPLSSSRTRLDRARSSASHRRGLIVSACAAMSDLSSDTSSTSSYSSSPSTSSSSRGGGKSSKRPRADDGGGGEGAPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPDLAPALPRPASLAPRDVQAAAARAALMQHKHRQLPGGAAAGTDSSSSPVLAPAAGFAAAPAAADDAQRPAGSNDHRHDEPEPEPSREERQPGQVEVAELVFDELAPLWVEDVVEFGPSDHPWTPYDGLDAVGFQPLLWEY >PAN28811 pep chromosome:PHallii_v3.1:5:10802607:10803618:1 gene:PAHAL_5G179300 transcript:PAN28811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPTTATATASSALAALLLCAAAFLASDAASGRHDPKAKAKPAAGADLLDSACENITASQYKGPGLTADFCMRALYPETRATSARHPRDLALVAIDLARSAAADAGVVVGSELRAPVADDDEADWSKDTALTLRYCRIDYWTVARTARVCRAMVREYDPWVEGHKSGNLFPYTYLECADRMMDAAFSCWNHISFDGEVKKAVWKEVTAAASLANLARAMVGQMLGILDDDGPY >PAN32046 pep chromosome:PHallii_v3.1:5:54207946:54211530:1 gene:PAHAL_5G459000 transcript:PAN32046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPHQRALLLFPLVFLLLLLLLAPTPTDAWGKEGHIMVCKIAEKYLSEKAAAAVQALLPESASGELSTVCPWADQVRWHYHWSSPLHYANTPQVCNFKFSRDCHNSRGEQGMCVVGAINNYTKQLYSYGQKTSYNLTESLMFLAHFVGDVHQPLHVGYEDDEGGNTIIVHWYRRKANLHHVWDVSIIDTAIKDFYNKSMDTMVEALKMNLTGGWSDDITHWENCKNKWATCANDYAIESIHDSCNYAYKDVEQDITLGDDYFFTRYPVVEKRLAQAGIRLALILNRIFDGDKVDDVPLQVQ >PAN26898 pep chromosome:PHallii_v3.1:5:2915026:2915712:-1 gene:PAHAL_5G045800 transcript:PAN26898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRKQAMMGSSAAAAALVALLLVAAASGAAGLCGIDMQAAVAACQSYCNKGSTEAAPSQDCCDKVRSARWRCLCSFRGNLPSYIDGDRVMQIPSKCKFDNAPTSC >PAN29469 pep chromosome:PHallii_v3.1:5:14200561:14202215:-1 gene:PAHAL_5G229200 transcript:PAN29469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLQVASLPPLLPARNGRASSRPRMAALSCKYSQSTSAQGRTRLRNHVTRRDILSFMSSAILAAFLVASPAEARTSRLENKKKAMEKLEKLREKALGPKKKNGSTSKEMPPPANLLIPPAAVEASL >PAN31808 pep chromosome:PHallii_v3.1:5:53251512:53259889:1 gene:PAHAL_5G444400 transcript:PAN31808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYCASPEGDSALAAAAASLTFPSPSPAAAVARPRYGSCDRRYVKQVFDNLHGSISLDPLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGKAMNNLKMYQGEELGIDRIDMQTVKLAGLLHDIGHGPFSHLFEHEFLPRVDPGSTWSHENMSVLLLDSIVDKHAIDIEDDYLKMVKEMIIASSKSATTKSAKDKHFLYDIVANGRNGIDVDKFDYIDRDCRACGLGSNFQYWRLMEGMRVMGDEICYPAKDYLSIHKLFSTRADLHRTVYTHAKVKAVELMLVDALIAANDYLGISLHANDPEDFWKLDDTIIKSIETAPNNELKKAKEIIQRIRRRELYKFCNQYSVPKDKLEHFKNITSQDIVCSQKSSGVLLKEEDVAVSNVKIDLTRGRDNPLESIKFFKDFGCDEKFPITDDRVSHLLPAYNEDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHETPKKKRMRSL >PAN30869 pep chromosome:PHallii_v3.1:5:30439070:30445334:1 gene:PAHAL_5G321000 transcript:PAN30869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAAMTGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRDTADPKKTLHLTAFDGAKDRLHFFKASLLEEGSFDAAVDGCETVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIKKVVVTSSIAAVAYNGKPRTPEVIVDETWFSDPQICEKNQQWYVVSKTLAEEAAWKFSRDNGLEIVTINPAMVIGSLLQPTLNTSAEAILKLINGMLLDQLIFKLLQPQSFLIRYVL >PAN30870 pep chromosome:PHallii_v3.1:5:30439070:30446693:1 gene:PAHAL_5G321000 transcript:PAN30870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAAMTGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRDTADPKKTLHLTAFDGAKDRLHFFKASLLEEGSFDAAVDGCETVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIKKVVVTSSIAAVAYNGKPRTPEVIVDETWFSDPQICEKNQQWYVVSKTLAEEAAWKFSRDNGLEIVTINPAMVIGSLLQPTLNTSAEAILKLINGSSSTYPNFSFGWVNVKDVALAHILAYEVPSANGRYCMVERVVHYSEVVNIIRKMYPTIPLADKCADDKPFVPTYQVSKEKIRSLGIELIPLEMSIKETIESLKEKGFVSFDSSNL >PVH38668 pep chromosome:PHallii_v3.1:5:30439069:30446693:1 gene:PAHAL_5G321000 transcript:PVH38668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAAMTGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRDTADPKKTLHLTAFDGAKDRLHFFKASLLEEGSFDAAVDGCETVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIKKVVVTSSIAAVAYNGKPRTPEVIVDETWFSDPQICEKNQQWYVVSKTLAEEAAWKFSRDNGLEIVTINPAMVIGSLLQPTLNTSAEAILKLINGSSSTYPNFSFGWVNVKDVALAHILAYEVPSANGRYCMVERVVHYSEVVNIIRKMYPTIPLADKYQRRK >PVH39482 pep chromosome:PHallii_v3.1:5:57643218:57645892:-1 gene:PAHAL_5G513600 transcript:PVH39482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQLTHKFLFLASLHHTTPVLLFSHVNIISGASRAPAASGRRRRRSSGRGSPGRRCPARTPRRCRRRRRRVAGRPASGGPRPWAGRGAPARTTGGTPAPARRNPAPRRTTTGRPASSRCSRASRCQPAKLDGASSSAEAGVEIREAEELVQRPVRHAPCKAALDRPPGAPLGWGPGHKRLRGPRHRSAELLVDDRPVRQVPGDADVRAADRPVDVVVAVQRRRAAARLLGGRRCWQGSWCCCGGGGVRGIRRRLLGVRLQADLDAGEEEPSVAGDEGVGRVHGDVAAPAEQRAVLDAEEVGVGLLARAEQGTLVSRQAGAPWRQFAKQEKISSFFFTRDSDQEGARTFPCVPGICRSRARRRQRAAGAAGGGACRRGGWRRARRGRRARRGRPRRRSRARAPRPPRTRSRAPSSIDRPPATAPLQLLRPRAGSPAALQRRKGGGDWASG >PAN26676 pep chromosome:PHallii_v3.1:5:1936720:1938425:1 gene:PAHAL_5G030500 transcript:PAN26676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRALDNTMPAAVEERPKKVAKVAAPAAAAAVKDASPGSGKKKKKNDENSAPRATAAAGEQAVEYIPSEELEAAAHPKAKAAGLVAELDSKDWIRACEALNDARRLAIHHSALLNPILGKVMLAIVKTMKNPRSAVLKTSIMACTDIFNSFGNLLSSVSDDAFDKLLLQLLLKASQDKRFVCEEAEKAMRAMAASMPPLPLLKKLKAYVHHGNLRVRAKAAVAISHCAARMDIEAMKEFGMSALLQVAAELLNDRLPEAREAARSVVGSMHGAFAKEAAAKGKEEEDAPSVAASWESLCSLSLPPISAQAVAKIAAPQ >PAN26726 pep chromosome:PHallii_v3.1:5:2094562:2097489:-1 gene:PAHAL_5G033800 transcript:PAN26726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGGAAGEGEWLKVAELRAAVQAQDPRAKEVDNLTLRRFLRARDHNVDKAAAMLLKYLKWRREVAPDGFVPEERVRRELAQDKAWMGGVDRSGRPILVGFMARHYSADRDMAEFKSFVAYFFDKICARIPRGQEKFLAIIDLKGWGYANCDVRAYIAAIEIMQNYYPERLGKALMINVPYIFMKVWKTMIYPFIDTNTRDKFVFVDDKSLHETLRREIDETQLPEFLGGKMPLFPLKDDYAQQPQSV >PAN30318 pep chromosome:PHallii_v3.1:5:24522046:24522165:-1 gene:PAHAL_5G304900 transcript:PAN30318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVGGQRRQRGFGKALKEQRARLYIIQRCVVMLLRWND >PVH39555 pep chromosome:PHallii_v3.1:5:59161114:59162218:1 gene:PAHAL_5G535500 transcript:PVH39555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKQPTRRREAPAPAAAVPPGHYAAAGQPFFRPPPPSDSDPPGAGHHRAPPPDRPSPRPPGHRYAAPAERPLPRPPGSSADRPLPRPPGSPDHPALTTPPPPPGPHAYPAGEPPLRRSSSSALASCLAATAFLLLSAGGAGAALFLLFRPRPPDIAVAAVRLPSFAAANGTVAFTFQQTASVRNPNRSPLAHFDSSLRVAYAGGELGSVYIPAGLIDGGRTKDMSATFDVPAIPVADQPQQPQQMVIEVESLLVIKGRVKMLRLLTHRVQAAKVCRVGVSPVDGRVLGFRC >PVH37691 pep chromosome:PHallii_v3.1:5:3824975:3828855:1 gene:PAHAL_5G060600 transcript:PVH37691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAEHMSPLVVRNRRPAGHPVAVAAPLFVLLALLAAFSGSPVAAAEDSSPVLIELTLVAGAQEKGAVCLDGSPPAYHLQRGFGSGSQSWIVFLQGGAWCGTTESCSTRKMTEYGSSKFMEPTAFEGILRDKQPQNPDFYNWNKVFVRYCDGASFSGDAEGEAEDGTKLYFRGLRIWEAVVDELMGKGMDTAQQALLAGCSAGGLSTLLHCDNFRARFPQEVSVKCLPDGGFFLDAKDLSGERFMRSVFDGVVQLQNVSKVLPKDCLAKKGPTECFFPAELIKSISTPTFIVNSEYDYWQIQNVVAPVGSYPGDTWSSCRDNIGNCSSKQMDVLHGFRWKLIHELKAAECKRDWGLFIDSCFNHCQTQFSSSWHSPTSPRLGNKTIAEAVGDWYLGRRKGAMKQIDCKYPCNPTCGS >PAN32995 pep chromosome:PHallii_v3.1:5:58416722:58419305:1 gene:PAHAL_5G526500 transcript:PAN32995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLCLLVCAVSLLLAVVSSPESVLAASSPPPARRRYGSIFSLGDSYADTGNGPVVFGWHSIANPVMRPPYGSTFFGRPTGRNCDGRLPIDFLAESLGLPLVPPFLARSGTATFRRGANFAVGGATALDASFFHRWDPPGGSVFPLNTSLGVQMQWFESLKPSLCATTKQCKELFGRSLFFVGAFGANDYLLSLAAKSIDEVRSFVPDVVRNISMAVERLIRHGATTLVVPGVIPVGCAPPVLVTFADPDPAGYDPRTGCLKAINDLAAHHNALLQDALRELQAKQRPAGAVTVVYADFFRPVIEMVTSPAKFGFEDVLTLCCGGPGRFHYNKQVFCGDPGAIRCRDPSARLFWDGVHLTEAAYRHVAAGWLSSIESPRSAGAGTNRTAPTATVEFPC >PAN31711 pep chromosome:PHallii_v3.1:5:52849986:52852699:1 gene:PAHAL_5G439400 transcript:PAN31711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCYLRQPLSRAEAMPERRSRFWPMDVPPTPRAEVICPQPRRATRLPFAVETVNKTSPRTNSALPLYRSDSTSDILDLILSKNDPDGDSSSQVGFLCGSPPVRTNNPVIHDPQFGKRVPSFSPLVSPYGKMPAGRVDVGSPSCGASSSPKVRIEGFACGNSETHYAVTFV >PAN31710 pep chromosome:PHallii_v3.1:5:52849986:52852699:1 gene:PAHAL_5G439400 transcript:PAN31710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCYLRQPLSRAEAMPERRSRFWPMDVPPTPRAEVICPQPRRATRLPFAVETVNKTSPRTNSALPLYRSDSTSDILDLILSKNDPDGDSSSQVGFLCGSPPVRTNNPVIHDPQFGKRVPSFSPLVSPYGKMPAGRVDVGSPSCGASSSPKVRIEGFACGNSETHYAVTFV >PVH38910 pep chromosome:PHallii_v3.1:5:48419505:48420436:1 gene:PAHAL_5G388300 transcript:PVH38910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAELRKIHSEMRKILIREENGNIRNEPQQQSATNQDTSEMGGYTSNGNWQQTSSSHTSASIIPIAEQMINGSSTRTNLEVPSLLKNSDVVPRKGRPKKCKKADG >PAN28885 pep chromosome:PHallii_v3.1:5:11102578:11103872:-1 gene:PAHAL_5G184000 transcript:PAN28885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative Peroxidase 48 [Source:Projected from Arabidopsis thaliana (AT4G33870) UniProtKB/Swiss-Prot;Acc:O81755] MLGPLARGALFFLAVSIVLCTGVLRSDATRSAAVVSLDDYEEDSGGDSPSFSFTFPETRPRGLVFGFYDETCPDAEEIVSSTVRRLYHADSNVAAALVRLFFHDCFIHGCDASVLLDRVGGRRSERDAGPNQSLRGFSAIEAIKQTVEAACPGTVSCADILALAARDSLVLVGGPTYPVLTGRRDSDGSFYYEAAGGGNIPAPNATYAMTLDAFARRGFTERETVALLVHDSCRALPQERTVSGRCGAGSSRTGSTTSPGPARRTTPSIRTWSARCGRRAAATAARRWRWGTTGRAGRWASARTTTRSCWRGGASCARTSSSRPGAPCGGCACTRPGRAARRCSARTSRTPWLSCRRSRR >PAN28886 pep chromosome:PHallii_v3.1:5:11102379:11103947:-1 gene:PAHAL_5G184000 transcript:PAN28886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative Peroxidase 48 [Source:Projected from Arabidopsis thaliana (AT4G33870) UniProtKB/Swiss-Prot;Acc:O81755] MLGPLARGALFFLAVSIVLCTGVLRSDATRSAAVVSLDDYEEDSGGDSPSFSFTFPETRPRGLVFGFYDETCPDAEEIVSSTVRRLYHADSNVAAALVRLFFHDCFIHGCDASVLLDRVGGRRSERDAGPNQSLRGFSAIEAIKQTVEAACPGTVSCADILALAARDSLVLVGGPTYPVLTGRRDSDGSFYYEAAGGGNIPAPNATYAMTLDAFARRGFTERETVALLGAHSIGKVRCRFFTDRIYNFAGTGAPDDSIDPDMVGEMRAACGRDGGAPMEMGYYRQGREVGFGTHYYAELLEGRGILRADQQLTAGSTVRWVRVYASGSGGEEVFREDFAHAMVKLSAIAPLTGSDGQVRISCSKPVEEN >PAN32155 pep chromosome:PHallii_v3.1:5:54781653:54784477:1 gene:PAHAL_5G467900 transcript:PAN32155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPTLLPLAAAALLVLLLLAAAPTPAASRHHRPAPAADTETLDVAASLSRARAALSTDAAASLHQSAAAAGKPKRRTPRPRKGASSSSPLTLRLHARDFLPGEQGRHESYRSLVLSRLRRDESRAAAVSARAALAADGVTRLDLRPANASAVFAASAAAIQGPVVSGVGQGSGEYFSRVGIGSPARQLYMVLDTGSDVTWVQCQPCADCYQQSDPVFDPSLSASYAAVSCDSPRCRDLDTAACRNATGACLYEVAYGDGSYTVGDFATETLTLGDSQPVSNVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATTFSYCLVDRDSPAASTLQFGDGAAEADTVTAPLLRSPRTSTFYYVAMTGISVGGQPLSIPPSAFAMDAASGSGGVIVDSGTAVTRLQSAAYAALRDAFARGTPSLPRAPGVSLFDTCYDLSDRTSVEVPAVSLRFEGGGALRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVSFDTAKGAVGFTANKC >PAN30722 pep chromosome:PHallii_v3.1:5:43054957:43068976:1 gene:PAHAL_5G364300 transcript:PAN30722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPLLHLRIHPHPHCLLLPRIRRHAPIRLSLKPLCSSSSDSPARARPPLHGPSLRRGRAPPDHPDPFARAFDLAALRVPAPACAPLERRLRGHLLNWPRVRNVARLPNDQGLLALGLSLPSAPPRHPASEEPGGPAPPAAAVARREKLARQFNARGFLRFPNLARLSRPSPAARKRRERKGDGGDEEATRERDRDKVYVVEVVGERTEDDDDEWKGLVGEEGFGRGAWRMGPTRLLLLDESYAERRVDELPEAVKDVLDHETQQDGSSTYELIRCQLTLFYSYWPMNEVLEALLPEGIIIPTGFETVGHIAHLNLRDEHLPYKKLIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMQLEVLAGNDSLRTMVIESGLRFQVDLGAVYWNSRLATERQRLINNIFRDSDVVCDMFSGIGPLAISAAKKVKYVYANDINPTAVEYLERNMVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQIVMNLPNDAAEFLDVFRGILRNSQSGLRYVMPMIHVYGFSKAEDPEHDFNERINVALRENVDSVEMHRVRLVAPGKWMICASFTLPESVAIAQPNYISC >PAN27230 pep chromosome:PHallii_v3.1:5:4199924:4201977:-1 gene:PAHAL_5G068000 transcript:PAN27230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQQEAHRSKDGEVELGAMTTEWQLEPGVASKLFDVFTVAGLRVDAIEPGRAMVCSFTVPPRLTDASKRMHGGALASLVDLVGSAVFFAGGSPATGVSLEITISYLNAARANEEIEIDARVLGIGATTGCVTVEVRRKATGEVVAHGRHTKYLAVSSKL >PVH37719 pep chromosome:PHallii_v3.1:5:4198671:4202293:-1 gene:PAHAL_5G068000 transcript:PVH37719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQQEAHRSKDGEVELGAMTTEWQLEPGVASKLFDVFTVAGLRVDAIEPGRAMVCSFTVPPRLTEEIEIDARVLGIGATTGCVTVEVRRKATGEVVAHGRHTKYLAVSSKL >PAN28898 pep chromosome:PHallii_v3.1:5:11146372:11150223:-1 gene:PAHAL_5G185000 transcript:PAN28898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKVLSSMELEADFDGEDISSTDGLQELWPLGEIDPKRARFPCCIVWTPLPVVSWLAPYIGHVGICQEDGAVLDFAGSNLVSVDNFAYGSVARYLQLDRKKCCFPANLAAHVCERSYNHSEVGAAISWDDALQSGMRRFQHKYYNLFTCNCHSFVANCLNRLAYNGSVEWNVLNVAALVWFHGRWVDKMSVVRSFLPFSTVTCIGILMAGWSFLVGMAAFSALLIGWFVFTVYCIKGLVC >PAN32160 pep chromosome:PHallii_v3.1:5:54840498:54843146:1 gene:PAHAL_5G468500 transcript:PAN32160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIESTSPTAAEGSSASASSSSRSTGASTATTVSGAAQQQLAAAPTGGDEVSPADEQAVTSQPSAAAVAQGSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRYRGREAATNFPGAGASAPELAFLLAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPAWARALLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKRSPEAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSHSTYGPEKQLFIDCKKTKTTAATDAAPAPVPAAATAEKPKEAARVVRLFGVDIAGDGCQKRARPVEMAFEQGQGFLKKQCVAHHRSPALGAFLL >PAN27336 pep chromosome:PHallii_v3.1:5:4629575:4631560:-1 gene:PAHAL_5G074600 transcript:PAN27336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGMSSLETAMKASSSVASGTARNADPDQQTVRSNSVEQFYFPRPGQSLPGIPPFFGPPSSSLYLANDNEAKVGNQFEPNPSQSTDWDPQAIVSNLTFLEQKIKQVKDVVQSISSRENQVAGGSCELAAKQQLITADLTSIIIQLITTAGSLLPSMKNPLSSNPAVRQLSNTLGSPMGFGMNANQRPSVDSKTDIPDIGKASGYEELINSLNTTQDERDDLIKCPNPCGGEGSEPTPMEDHDVKESDDGDHEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPTKDSGSDHAPVTRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDVKVSEASEQQQDSEPMNEISRSMGCFPCSSSDGISNLDMKMADDARGYYSPLSFDPCFGALDDFTRPGFDISEDPFSFLPSGCSYVQQNGDN >PVH38276 pep chromosome:PHallii_v3.1:5:13260297:13262634:-1 gene:PAHAL_5G217100 transcript:PVH38276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPISSLNSTRPLAPAPDTQPVCQALRPPCRPSAPTPLSSPGPVKPPMIRAATVQARLLLPCSYASQAKAASRWPLVSGKPSLLGCGRPFHQMDTRGQDENKVLTARGCHTSPESQELAKKSCVPCSSKDLHPMSEDSAKKLLEQVNGWELTTEGGILKLHRAWKVKNFLKGLEFFQLVAAIAEEEVCLNRYAFSRSPSRSSSCWLE >PVH38277 pep chromosome:PHallii_v3.1:5:13258977:13262634:-1 gene:PAHAL_5G217100 transcript:PVH38277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPISSLNSTRPLAPAPDTQPVCQALRPPCRPSAPTPLSSPGPVKPPMIRAATVQARLLLPCSYASQAKAASRWPLVSGKPSLLGCGRPFHQMDTRGQDENKVLTARGCHTSPESQELAKKSCVPCSSKDLHPMSEDSAKKLLEQVNGWELTTEGGILKLHRAWKVKNFLKGLEFFQLVAAIAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINHLNLEGILSKRANVQK >PVH38626 pep chromosome:PHallii_v3.1:5:25240540:25241964:1 gene:PAHAL_5G307400 transcript:PVH38626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESHDRTARRQLDFALGINMAGVASRRQSKDGEADPSNRPQKVKKEYVTERQVRYVRNQRPTSSDLLRKYEYQYQQRLHWEPEEEEYERRTGKRLRKHEDARDHWHCPFFRYCWDSGMSRLPTIRDCPECGPRRPETRDSVFQWMGPAPIRQVRVRSPRKEDEEEDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEAKYIETLRKTRPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHAWTQEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGWSTADLIKTNVMLSDFNGQTSEAQGVLSVELTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN33087 pep chromosome:PHallii_v3.1:5:59082255:59086285:1 gene:PAHAL_5G534700 transcript:PAN33087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEYCGLQQGSSSSCRTADAVAWGAAQQQKRQRCQGSSSTSSSLQISEPGPLDLNSGEIEEEEEEDYYVEDDCDDDGDGYDEDDYEFDEADFNQHLADKFDDLDLPPGVEATVPWLQKIAAKEEVEEPSKSNNADENADKYKQFKQFDTVHNFSDHHFAKNSQGEPARAWSKRVQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDVHIPSSYPSGPPLVHYHSGGLRINPNLYNCGKVCLSLLGTWSGKGCEKWNPAQSTLLQDDVVLTSEASRALRRPCCRPLPGAWAYHSCSMQVLHGG >PAN33086 pep chromosome:PHallii_v3.1:5:59082255:59086238:1 gene:PAHAL_5G534700 transcript:PAN33086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEYCGLQQGSSSSCRTADAVAWGAAQQQKRQRCQGSSSTSSSLQISEPGPLDLNSGEIEEEEEEDYYVEDDCDDDGDGYDEDDYEFDEADFNQHLADKFDDLDLPPGVEATVPWLQKIAAKEEVEEPSKSNNADENADKYKQFKQFDTVHNFSDHHFAKNSQGEPARAWSKRVQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDVHIPSSYPSGPPLVHYHSGGLRINPNLYNCGKVCLSLLGTWSGKGCEKWNPAQSTLLQVLVSIQALILNEQPYYNEPGYEASANTPHGQRNALEYNDTTFLHSCRTMLYSLRRPPEHFADLVAGHFRVHGHTILAACKYYMEGNDVGSVVPEEELECKSSDAGASSSGSKAPKPGAVKANPLTRRITFNTNLKTLYEDLLMEFNVKGADTRRFIVEKLKKNQPAAV >PVH39055 pep chromosome:PHallii_v3.1:5:52020295:52026986:1 gene:PAHAL_5G425300 transcript:PVH39055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MAPACIIPNMDGQSGKTMQSLPDTLSSLMGFSQYLTPSWIESVSHIIKELSPTEPKTKVMVHMTQNIGPDDAESDAKVAKIQDELVSLNAQLKQITLQRRQSLNNYLDLKGNIRVFCRVRPFHHEESYQSRSLFTLDESNVFLKVAETKIKQYKFDKVFNQCSTQGDVFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKHTATDLGVIPRGIQALFDRASESNRRFLFTFSMLEIYMGNLRDLLVPRSKTHDFKKVPSLSIKTDPDGGIEIENLVAVTVNSFQEVKRLYEVGTRLRSTAYTMANSTSSRSHCLIRLSLTSFDAPERKKARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCDSKTLMLVHIRPNEDDLCETICTMSFATRVRSIRLESEEPPEEKARKEHLLTELEWEVSDLEQQCEDITRKIKKLEETMEHLKGPQPSVSTDFVVSHPLSEDLKTDMSKTTKNLKNRREVSSRLPSFMKPTASSQQRIGLTKHIPISNRTKPPVPPKRRPSSVYAESVRLPVNATTWQSECSSECSISMTSDMNWMPSVQDGTECSQQDTSEYETKQVIFSEHEKLLQGELISLAECQLVESAKMQNKTEEMDIIDIDSWIHQQITENTGICQRERILDIPEVTEYGTYNSSTASTIQMECIKGAKQAQDEDSQLKLQPPMQNVEDIKQSEATNQFTSTELCNPPSKELCSNDKMKEHKNERLAYHGNSRRSLQEKLDKCMPGQPDKESKTDPIIQPEVRFQDEEHDIDKSNKFFQALRRAWVGALLGLGTMSLGLEQDFFQSLTL >PVH39057 pep chromosome:PHallii_v3.1:5:52019715:52026986:1 gene:PAHAL_5G425300 transcript:PVH39057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MAPACIIPNMDGQSGKTMQSLPDTLSSLMGFSQYLTPSWIESVSHIIKELSPTEPKTKVMVHMTQNIGPDDAESDAKVAKIQDELVSLNAQLKQITLQRRQSLNNYLDLKGNIRVFCRVRPFHHEESYQSRSLFTLDESNVFLKVAETKIKQYKFDKVFNQCSTQGDVFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKHTATDLGVIPRGIQALFDRASESNRRFLFTFSMLEIYMGNLRDLLVPRSKTHDFKKVPSLSIKTDPDGGIEIENLVAVTVNSFQEVKRLYEVGTRLRSTAYTMANSTSSRSHCLIRLSLTSFDAPERKKARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCDSKTLMLVHIRPNEDDLCETICTMSFATRVRSIRLESEEPPEEKARKEHLLTELEWEVSDLEQQCEDITRKIKKLEETMEHLKGPQPSVSTDFVVSHPLSEDLKTDMSKTTKNLKNRREVSSRLPSFMKPTASSQQRIGLTKHIPISNRTKPPVPPKRRPSSVYAESVRLPVNATTWQSECSSECSISMTSDMNWMPSVQDGTECSQQDTSEYETKQVIFSEHEKLLQGELISLAECQLVESAKMQNKTEEMDIIDIDSWIHQQITENTGICQRERILDIPEVTEYGTYNSSTASTIQMECIKGAKQAQDEDSQLKLQPPMQNVEDIKQSEATNQFTSTELCNPPSKELCSNDKMKEHKNERLAYHGNSRRSLQEKLDKCMPGQPDKESKTDPIIQPEVRFQDEEHDIDKSNKFFQALRRAWVGALLGLGTMSLGLEQDFFQSLTL >PVH39054 pep chromosome:PHallii_v3.1:5:52020004:52026986:1 gene:PAHAL_5G425300 transcript:PVH39054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MAPACIIPNMDGQSGKTMQSLPDTLSSLMGFSQYLTPSWIESVSHIIKELSPTEPKTKVMVHMTQNIGPDDAESDAKVAKIQDELVSLNAQLKQITLQRRQSLNNYLDLKGNIRVFCRVRPFHHEESYQSRSLFTLDESNVFLKVAETKIKQYKFDKVFNQCSTQGDVFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKHTATDLGVIPRGIQALFDRASESNRRFLFTFSMLEIYMGNLRDLLVPRSKTHDFKKVPSLSIKTDPDGGIEIENLVAVTVNSFQEVKRLYEVGTRLRSTAYTMANSTSSRSHCLIRLSLTSFDAPERKKARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCDSKTLMLVHIRPNEDDLCETICTMSFATRVRSIRLESEEPPEEKARKEHLLTELEWEVSDLEQQCEDITRKIKKLEETMEHLKGPQPSVSTDFVVSHPLSEDLKTDMSKTTKNLKNRREVSSRLPSFMKPTASSQQRIGLTKHIPISNRTKPPVPPKRRPSSVYAESVRLPVNATTWQSECSSECSISMTSDMNWMPSVQDGTECSQQDTSEYETKQVIFSEHEKLLQGELISLAECQLVESAKMQNKTEEMDIIDIDSWIHQQITENTGICQRERILDIPEVTEYGTYNSSTASTIQMECIKGAKQAQDEDSQLKLQPPMQNVEDIKQSEATNQFTSTELCNPPSKELCSNDKMKEHKNERLAYHGNSRRSLQEKLDKCMPGQPDKESKTDPIIQPEVRFQDEEHDIDKSNKFFQALRRAWVGALLGLGTMSLGLEQDFFQSLTL >PVH39056 pep chromosome:PHallii_v3.1:5:52020004:52026986:1 gene:PAHAL_5G425300 transcript:PVH39056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MAPACIIPNMDGQSGKTMQSLPDTLSSLMGFSQYLTPSWIESVSHIIKELSPTEPKTKVMVHMTQNIGPDDAESDAKVAKIQDELVSLNAQLKQITLQRRQSLNNYLDLKGNIRVFCRVRPFHHEESYQSRSLFTLDESNVFLKVAETKIKQYKFDKVFNQCSTQGDVFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKHTATDLGVIPRGIQALFDRASESNRRFLFTFSMLEIYMGNLRDLLVPRSKTHDFKKVPSLSIKTDPDGGIEIENLVAVTVNSFQEVKRLYEVGTRLRSTAYTMANSTSSRSHCLIRLSLTSFDAPERKKARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCDSKTLMLVHIRPNEDDLCETICTMSFATRVRSIRLESEEPPEEKARKEHLLTELEWEVSDLEQQCEDITRKIKKLEETMEHLKGPQPSVSTDFVVSHPLSEDLKTDMSKTTKNLKNRREVSSRLPSFMKPTASSQQRIGLTKHIPISNRTKPPVPPKRRPSSVYAESVRLPVNATTWQSECSSECSISMTSDMNWMPSVQDGTECSQQDTSEYETKQVIFSEHEKLLQGELISLAECQLVESAKMQNKTEEMDIIDIDSWIHQQITENTGICQRERILDIPEVTEYGTYNSSTASTIQMECIKGAKQAQDEDSQLKLQPPMQNVEDIKQSEATNQFTSTELCNPPSKELCSNDKMKEHKNERLAYHGNSRRSLQEKLDKCMPGQPDKESKTDPIIQPEVRFQDEEHDIDKSNKFFQALRRAWVGALLGLGTMSLGLEQDFFQSLTL >PVH39058 pep chromosome:PHallii_v3.1:5:52019715:52026986:1 gene:PAHAL_5G425300 transcript:PVH39058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MAPACIIPNMDGQSGKTMQSLPDTLSSLMGFSQYLTPSWIESVSHIIKELSPTEPKTKVMVHMTQNIGPDDAESDAKVAKIQDELVSLNAQLKQITLQRRQSLNNYLDLKGNIRVFCRVRPFHHEESYQSRSLFTLDESNVFLKVAETKIKQYKFDKVFNQCSTQGDVFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKHTATDLGVIPRGIQALFDRASESNRRFLFTFSMLEIYMGNLRDLLVPRSKTHDFKKVPSLSIKTDPDGGIEIENLVAVTVNSFQEVKRLYEVGTRLRSTAYTMANSTSSRSHCLIRLSLTSFDAPERKKARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCDSKTLMLVHIRPNEDDLCETICTMSFATRVRSIRLESEEPPEEKARKEHLLTELEWEVSDLEQQCEDITRKIKKLEETMEHLKGPQPSVSTDFVVSHPLSEDLKTDMSKTTKNLKNRREVSSRLPSFMKPTASSQQRIGLTKHIPISNRTKPPVPPKRRPSSVYAESVRLPVNATTWQSECSSECSISMTSDMNWMPSVQDGTECSQQDTSEYETKQVIFSEHEKLLQGELISLAECQLVESAKMQNKTEEMDIIDIDSWIHQQITENTGICQRERILDIPEVTEYGTYNSSTASTIQMECIKGAKQAQDEDSQLKLQPPMQNVEDIKQSEATNQFTSTELCNPPSKELCSNDKMKEHKNERLAYHGNSRRSLQEKLDKCMPGQPDKESKTDPIIQPEVRFQDEEHDIDKSNKFFQALRRAWVGALLGLGTMSLGLEQDFFQSLTL >PVH37718 pep chromosome:PHallii_v3.1:5:4159235:4162587:-1 gene:PAHAL_5G067100 transcript:PVH37718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWIDFADPSWSNSITKNGAHFDHQTFLDASLLSFAPTFLQEERLPGAVVACTMAPSQVPEPTETALGGRCKVCKEPEEKGKRFLICGHSLCMYKYYHIRCLSPKQIASDQQLGEQCWYCPSCLCRGCFCDMDDNEIIMCDGCDEAYHLYCLSPPLTSVPKGHWYCQLCTEAKAREVEVKKYEKRMLQLHRKRDRAMVKSDKYVGMGLLLDALAKLEEEEAITKRKRDEEAAAAAMEKLRGDEEAATAAE >PVH39005 pep chromosome:PHallii_v3.1:5:51303939:51311295:-1 gene:PAHAL_5G414800 transcript:PVH39005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAALSPPRVALDARALFSPPRSLPASPSSQLRLAARPRALAAARPRFLSPHRDPAADGGRSARDVVAMVVPFLRGTAWEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEALAVYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMIKLLARHIGKPVEEIAQDIRRPKYFSPSEAVDYGIIDKVIYNEKIQEDSGVVSELKRSNLI >PVH38079 pep chromosome:PHallii_v3.1:5:10392134:10392262:1 gene:PAHAL_5G171600 transcript:PVH38079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHGRTLLALCLLPCFASCQGRASPEMLGGGMAVRFEAGAA >PAN28315 pep chromosome:PHallii_v3.1:5:8649982:8653290:1 gene:PAHAL_5G144600 transcript:PAN28315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRGAPLRISPLAPRPPLPRPPPPMTQTPTPAATATAAEAPGPEPEPAPAAGLPDAIAAVLPLDPYEQLEVARKITAVAVAARASRLELEAARLRQRLADRDRVAAELADRVARLELALRDAEARLRAALDDNAKLAKERDSLAQTSKQLSRDLAKLETFKRRLMQSLGDDHSPIQETVDIRTCEQSVAKANSWKDGPANSHPVSSRSDGSTEAESVNQEATRPFEQKLTITHITPRLTSDSAPKLRTAATSPRRYSTAVSPKLTSGATSPRLGGHMAMSPWLASSKMSSAANSPPRGHSISGRTTRVDGKQFFRQARNRLSYEQFAAFLANIKELNAQRQSREETLRKADEIFGAENKDLFMSFQGLLSRSL >PVH38439 pep chromosome:PHallii_v3.1:5:16555501:16557100:1 gene:PAHAL_5G258200 transcript:PVH38439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISTKWGFLQETSSAWAVAPCSTDLDPRDPCQVPVLFLVSTPIPDWARAKPAHDRLMTSPSSGDRPGGHGGTAAHAESTRPRSTARTAPIALAGRVTPRKRPLSFAGAPRRRATRRHGRSRAPGVHSAAAGCLPVDGKPLAARRTRASVAAWAPGA >PVH37939 pep chromosome:PHallii_v3.1:5:7670023:7670452:-1 gene:PAHAL_5G128400 transcript:PVH37939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRQPNPRTSVEVREDPQEPAASSHVSLCPDSRVLRHRRCRHRGSGPADPVLLSLSSLARCSSNASMARSMASPWLWGPSRTSSPLALDSSLAGDGGAEDRSEASTR >PVH37938 pep chromosome:PHallii_v3.1:5:7669121:7670452:-1 gene:PAHAL_5G128400 transcript:PVH37938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRQPNPRTSVEVREDPQEPAASSHVSLCPDSRVLRHRRCRHRGSGPADPVLLSLSSLARCSSNASMARSMASPWLWGPSRTSSPLALDSSLAGDGGAEDRSEASTRLTCAVSGSDEQLARAIILVPGFGGKARRSCSCQKQ >PVH37941 pep chromosome:PHallii_v3.1:5:7669944:7670452:-1 gene:PAHAL_5G128400 transcript:PVH37941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRQPNPRTSVEVREDPQEPAASSHVSLCPDSRVLRHRRCRHRGSGPADPVLLSLSSLARCSSNASMARSMASPWLWGPSRTSSPLALDSSLAGDGGAEDRSEASTRLTCAVSGSDEQLARAIILVPGFGGKARRSCSCQKQ >PVH37940 pep chromosome:PHallii_v3.1:5:7669121:7670452:-1 gene:PAHAL_5G128400 transcript:PVH37940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRQPNPRTSVEVREDPQEPAASSHVSLCPDSRVLRHRRCRHRGSGPADPVLLSLSSLARCSSNASMARSMASPWLWGPSRTSSPLALDSSLAGDGGAEDRSEASTR >PVH37937 pep chromosome:PHallii_v3.1:5:7669120:7670453:-1 gene:PAHAL_5G128400 transcript:PVH37937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRQPNPRTSVEVREDPQEPAASSHVSLCPDSRVLRHRRCRHRGSGPADPVLLSLSSLARCSSNASMARSMASPWLWGPSRTSSPLALDSSLAGDGGAEDRSEASTRFKERTEEIGA >PVH38512 pep chromosome:PHallii_v3.1:5:18272397:18274374:1 gene:PAHAL_5G274500 transcript:PVH38512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQAAAARPPADDTCALFGVSCFSTDVVATTHGDSSVACDRARINSSRRRLTPLLACSFPSAKVVPRTLLPRLLWWAGFSFGLITCDPFADATVLAFVPLPPGKALRYREAAGVLDRYRVVGLRAGKLRFVDMYRNRDRRGALQVSVWTLADSDAIEWALEHEASFPDILGRSELQGRGSAYEDPRARAHPPQGPRHHLLLPRGAPLQR >PAN26568 pep chromosome:PHallii_v3.1:5:1442885:1444397:-1 gene:PAHAL_5G022400 transcript:PAN26568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPDQDDRAAVSAPLLTRPAYLSSSFSSSSSSSFGSTSSSFTDAAASPPSAEGGGAASPMGGPTACKALAVLRDHPGSVSCLSLCGEFLLSACTGADIVAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTARDYLGRIFRQASYVQTRRNHKRLWIEHADSISCLAVHDGILYSGSWDKTLKVWRTADLKCLESIRAHDDAVNAVAADGGVVYSASADGRVKAWEKGKAAHFLQGVLVASDGVSWNALAVGTDHRVYAAGSDGHVVGWDRLGSRSAARWTLACDVKAHDMAVLCLCIARDLVCTGSADKTIGLWRRQSSGELAKVGAIGGHDGPVKCLQASWCRVSNGCMVYSGSLDKSIRVWWVPGGLDDNDQQQQQDKCIKDQNQNDKASLFLR >PAN31126 pep chromosome:PHallii_v3.1:5:49416077:49424853:-1 gene:PAHAL_5G397100 transcript:PAN31126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATVEEQMVVKAIREECPWESLPKRLQSTLQTKEEWHRRIVDFCIRKRLQWNTCFARRVCREGEYYEEMMRYLRRNLALYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKEMLPTQPVDFPVEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGFYKRGLVYFDVPVFPDDRFKVSRLENFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDADSVLNDEGAPAFPGSILSDDEEGSNTSINSEKSGQQLTSMDSDGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRYFLECLQSGGVSSNEISNDAGEAKTPRSSFHDIENATSQLAKVNMEGVADDNHDELPQHNQVTCNLDDSDENIMSPTVAKSELDVSSDTQVLKNKRKYKVDILRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNCGPVSAVFMKGLRFRLLPEPLAGCEKALIWSWDGSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLAQPLSVNDLDTSGNLVTVEIPLPLKNDDQSIACVIDQTNLPKDQILNLTSVLKDLSSKFNLSTLGYLRLVRLHRIDESDKIDPENVSYQWVPLSLEFGIPLFNPRLCKRICERVVSSHMLQKNDLNEHCDVMQNVRMRLRDLCSEYQATGPIAKLFNKRGSSRDLPRVLINNISGRWNPNNDPSTPTGGVPSESERLRFSGRQRCRTEVVSFDGSTVRSYALAPELNEAASRPTSEEQPSLHDTKSDAEDTDSKNVVLPGLNLIFDGAELHPFDVAACLQARQPLQLIAEASAASSVLL >PVH38950 pep chromosome:PHallii_v3.1:5:49416077:49421580:-1 gene:PAHAL_5G397100 transcript:PVH38950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLNKSIAKEMLPTQPVDFPVEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGFYKRGLVYFDVPVFPDDRFKVSRLENFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDADSVLNDEGAPAFPGSILSDDEEGSNTSINSEKSGQQLTSMDSDGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRYFLECLQSGGVSSNEISNDAGEAKTPRSSFHDIENATSQLAKVNMEGVADDNHDELPQHNQVTCNLDDSDENIMSPTVAKSELDVSSDTQVLKNKRKYKVDILRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNCGPVSAVFMKGLRFRLLPEPLAGCEKALIWSWDGSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLAQPLSVNDLDTSGNLVTVEIPLPLKNDDQSIACVIDQTNLPKDQILNLTSVLKDLSSKFNLSTLGYLRLVRLHRIDESDKIDPENVSYQWVPLSLEFGIPLFNPRLCKRICERVVSSHMLQKNDLNEHCDVMQNVRMRLRDLCSEYQATGPIAKLFNKRGSSRDLPRVLINNISGRWNPNNDPSTPTGGVPSESERLRFSGRQRCRTEVVSFDGSTVRSYALAPELNEAASRPTSEEQPSLHDTKSDAEDTDSKNVVLPGLNLIFDGAELHPFDVAACLQARQPLQLIAEASAASSVLL >PAN31403 pep chromosome:PHallii_v3.1:5:51444396:51451767:-1 gene:PAHAL_5G417200 transcript:PAN31403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAAVSAAQSAMGMLLGKLGDLVARKYALLGGVRDEIQELKDELESMTACFRDVAGAGDDDRQKEQMRTWMKQVREIGYDAEDCIDIFLHHLSKHSGDNRGLARHVYKILNFLRTLKVRLKLATEIESLKSRAQKVSERRLRYKLDAVDIPDNMASSSSYVDIDRRLPALHGDESPLVGIAKNKQQVIDLLNKDDMQLRVISIVGIGGLGKTTLAMTVLNSGEETESQILSGQPNILIRAGQPSIQIRASVPVSKTYDLRALLEYTVRELHRRPIGKDEDPLIKGVGSWDIPKLIERSREHLADKRYLIIIDDVWRSTAWEQLRRAFPDNGKGSRIVVTTRSDEVARSCSSSNGDVYKMEALMEADSRTLLFKTVFGSEAIPSTYQHLLTSKLEEVCNAIFKKSGGLPLAIVSIGGMLAQRKNEPVDNWEKVIERIPSELQKDKVLDRMRRILSLSYNDLPYHMKACFLYLSVFPEDHEIRRGPLVRRWEAEGFISEVHSLRLEEIAKDYFDGFVSRSVVAPEQLTSSGEVRSFKVHDIMLDIITAKSTQENLMSTLGNHLQSTVGHDKIRRVSIQPGSRDNYFSRRGSLRHVRSLTIMGSTEKPKDITFSKLKLLRVLDLEGCRWPLSDKDWKEICNLSLLRYLSIRRTGNERLPSKIGKLKGLVTLDVRQTKVTQFPKSITQLQNLQHLLAGEYVYYTRTHSVKHLAYKKEVVKIPPGLSKMKALKRISYVDVKGKGLEALQEVARLSQLSRLCAMQTNPYASWGDFSNTLSDLNNSLRYLSVMQDEGNCNIPGQLHFLERVRSPPVFLHSLHLLGRLGSLPPWFPCLSKLASLSLRHNYLGPEMVDVLGRLPSLVSLKLYSGSYTGTELRFASHQFPVLKQLVIDNLPNLVKLGFESGAPGNLERLTLFLPRGSAASVLGIRNLLKLRSVELFGINDGHVVNTVMEEVNSHPNKHIRVTRDDQPPPPS >PAN26974 pep chromosome:PHallii_v3.1:5:3172468:3179638:-1 gene:PAHAL_5G050800 transcript:PAN26974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone lysine demethylase, Stress-responsive H3K27me3(histon H3 lysine 27 trimethylation) demethylatio [Source: Projected from Oryza sativa (Os01g0907400)] MPSAQGELVPPWLRSIPLAPEFRPTAAEFADPVAYLLKIEPAAAPFGICKVVPPLPPPPKRTTLGNLSRSFAALHPGDPSPTFPTRHQELGLCPRRPRPALKPVWHSSRRYTLPQFEAEAGASRKALLARLGVPASRQLSPLDVEALFWRSTADRPVTVEYASDMPGSGFAPRDARPTQLPAAHVGETAWNMRGVARSPASLLRFLREEVPGVTSPMLYVAMLFSWFAWHVEDHDLHSLNYLHSGAPKTWYGVPRDAALAFEDAVRVHGYGGEVNPLETFAMLGDKTTVMSPEVLVQSGIPCCRLVQNAGEFVVTFPGSYHSGFNHGFNYAEASNMATPEWLRVAKEAAVRRASINRPPMVSHYQLLYELALSMCLRDPSSGAMEPRSSRLKEKKKSEGEQLVKKIFVQNVIEDNKLLNHFLSDGSSCTILPTSPNDGSAVSSLLSKSQSTTKPRISDCQCSSAEAPKDSRCLPTNGLLGKNGELPSSKEIPMSVCSGEKFPPTACMHDCVNMSGSSDANNAESDKGDINSADGLLDQGFLSCVTCGILSFSCVAVVKPRECAAKWLMSADSSLINKQFAGSGEGHLIDALQSATTNSGVLRSGFEMDSNRISSGAAALNRNSALDLLASAYGDPSDSDDDVLNKKIQVSNVSSELISHTIESQPNNTSSIGCDGTNLSSSSKEHQQRPSSQRPQCIGNTNNGPKGVRTRNKYQLKMVLSEGFQPKDIYSEMQKKVQCEPLSSNRTSTEGLHGTDCQAAHNSATICMDGNRSTTTMVDNLATSIVQPDKDSSRMHVFCLEHAIEVEKQLQTIGGAHIFLLCRPEYPKIEVEAKLLAEEMEVEYDWKDIRFKEASIEDREKMREVVQDEETIPTNSDWAVKLGINLYYSANLAKSPLYNKQLPYNRVIYKAFGCSSPNNSPVKLKTYARRQGRAKKIALAGRWCGKIWMSNQVHPYLAHRIKSHEPDEIDEICSSIQKPNAEHVENSSREGTSTRKSSSRAIEEKTSNREKEPLEKAKSKKPKHTEEDDLKALEGAAEASARKSNSRTDEENASKRKKEPVEKANTKKPKYTEEENSKSMKGASEVSPPSPSRMVIRSSSRIANRKNMLKSKMEEEDNDPASHPKAMVEEDSDDPASHSRARGALRQKTNIDVKKQTKKTRAEKQKASSPAALKDEERTSECKELSFTKQQLSSRKQKTKVEEKQQMKKTRENKGAPPSSPKHGEEYACNIEGCSMSFGTKQELSLHKRDICPVKGCGRKFFSHKYLLQHRKVHNDDRPLKCSWTGCDMAFKWPWARTEHMRVHTGDRPYVCPEPGCEQTFRFVSDFSRHKRRTGHAAKKAKTKK >PAN30996 pep chromosome:PHallii_v3.1:5:47141076:47146738:-1 gene:PAHAL_5G379900 transcript:PAN30996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGMTPELMYGQNVFVPAAANPYPYGYTVGQPMEWYNHPNSLGYDGQDPFYTTEGMQCVYYAAPDNGSMHPSCSPYPVDPSFIPDGSFVPQEYIADPASSTCQIATTPYYISAVLPYAQDSVPGSATAPLHCNVAFLPGIPGYAAPSPNAAFPLIAPVTTKSDIAVNPPVQSTIVSSKQFQDHAKPPKVQLHNSVAHKQELPDRSMAPIKPPHASQVSAHLLERPISATKPLPKAKLSGNNSFGYAASDLQKWAAAEKFQPSSKLSAHLNAPGQKVHLLNEHSVADSRKPSNQRSSAIIVKSYTSRLPVGNPEGTILLRTDEYNRDDFQMDYTYAKFFVIKSIGEADVHKSIKYGVWSSSSNGNSKLDSAFRDADRISRRNSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWCQDKWTGCFPVRWHIVKDIPNYFLQHITLQNNENKPVTHSRDTQEIPYIPGMSVLKIFKDMKVKECLFDDFMKYEVEEAQYRPHRRCKLSYNAPDFVPVSQRTKDASDTKQTKSRNVLIDKTSEVQNMSEKQHDPKTIRSQELCVELSEKQATETGKENGQENHCSGNQGQEDAAKTVTNQPPTSSLMIGADGKQQYWKKVENPRQHTDSAAQGSSKPPEKRLNGVGSSAGAVSESSEEQKITTKLGSLNISSKTWEADGKSSTVGVVTIGSMPVRVDCSEV >PAN30997 pep chromosome:PHallii_v3.1:5:47141571:47145004:-1 gene:PAHAL_5G379900 transcript:PAN30997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGMTPELMYGQNVFVPAAANPYPYGYTEVGQPMEWYNHPNSLGYDGQDPFYTTEGMQCVYYAAPDNGSMHPSCSPYPVDPSFIPDGSFVPQEYIADPASSTCQIATTPYYISAVLPYAQDSVPGSATAPLHCNVAFLPGIPGYAAPSPNAAFPLIAPVTTKSDIAVNPPVQSTIVSSKQFQDHAKPPKVQLHNSVAHKQELPDRSMAPIKPPHASQVSAHLLERPISATKPLPKAKLSGNNSFGYAASDLQKWAAAEKFQPSSKLSAHLNAPGQKVHLLNEHSVADSRKPSNQRSSAIIVKSYTSRLPVGNPEGTILLRTDEYNRDDFQMDYTYAKFFVIKSIGEADVHKSIKYGVWSSSSNGNSKLDSAFRDADRISRRNSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWCQDKWTGCFPVRWHIVKDIPNYFLQHITLQNNENKPVTHSRDTQEIPYIPGMSVLKIFKDMKVKECLFDDFMKYEVEEAQYRPHRRCKLSYNAPDFVPVSQRTKDASDTKQTKSRNVLIDKTSEVQNMSEKQHDPKTIRSQELCVELSEKQATETGKENGQENHCSGNQGQEDAAKTVTNQPPTSSLMIGADGKQQYWKKVENPRQHTDSAAQGSSKPPEKRLNGVGSSAGAVSESSEEQKITTKLGSLNISSKTWEADGKSSTVGVVTIGSMPVRVDCSEV >PVH38129 pep chromosome:PHallii_v3.1:5:11120819:11121731:-1 gene:PAHAL_5G184400 transcript:PVH38129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWRAMFLLVFLLAVKVQYVSCPGDTWHRTATLASHLDTSAPAPQPDRSDICGNHTVSCKPAESSAAKAIVDFNSAVSSFFIVPMIRVLRLEHNSRTESDTQFWWYLCCGSSG >PVH39264 pep chromosome:PHallii_v3.1:5:54722384:54725457:1 gene:PAHAL_5G466900 transcript:PVH39264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTSVVSTPWSRLALRALHCSMISQPTSTSYPPHSYLLIAAAVETVDQGANCSPKTCGNLTIADPFGFVPEQAADTKCGRLGFEVHCNNSIPYLGYYRRNYRFRILDIFYDNSSLLVADIHKLADFSASDSKGCHVLTANTSSKVGLPFSISPVNLNLIFYNCTKIPEADRGLVETKCSSSLFVRVAVGGHYNDSSSYTQYSVDGCSTTLVPVLGTFGKVNARNYEQLISDGFLLTWQLPSDGRRNIKLILIGSLSAAAGVFFTCLLWIIYRRKQKLNLFILQKHVQNKSNTEEILRRYQSLTPKRYRYSDLKKATRCFKEKLGEGGYGTVFKGSLPDGRMVAVKLLKGSKGNGEEFLNEVTSIGRTSHVNIASLLGFCLQGSKRALVYEYMANGSLEKYIYSESLKSELGWEQLRKIAIGIARGLEYLHQGCSTRIIHFDIKPHNILLDENFCPKIADFGLAKLCHLKDSALSMVDARGTIGFIAPEVLYRGFGVVSTKSDVYSYGMMILQMVRGRTNAKENTENSSEAYFTNWIYDCLVKDLQSHEVTCDLEETAKQMAVVGLWCIQMAPGNRPSMSTVTEMLEKNIGELEIPPKPFLSCPSLQSHFSS >PAN27666 pep chromosome:PHallii_v3.1:5:5941875:5944390:-1 gene:PAHAL_5G096600 transcript:PAN27666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTLARYDSDCAVSFMIAAEVIRDLTPNALELGFLQDVVKLHEVCVSHCGKVTGKTESILQFRFTSSVPVSVLRTKQILFVSINLHHRKYL >PVH37876 pep chromosome:PHallii_v3.1:5:6627523:6628264:1 gene:PAHAL_5G110200 transcript:PVH37876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPEEMVLKAMKDNGDNGADSLIVLLLTYKFFLHEMSQIEEKVDYLVKMGFPEDEVNVAVTRCGCIYFCFG >PVH37930 pep chromosome:PHallii_v3.1:5:7558570:7563834:-1 gene:PAHAL_5G126400 transcript:PVH37930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLPSQRLSACLLIFFSFMMIRADGSRKLYIAYLGDKKHDDHTLVTASHHEMLSTILGSKEEAIDSVAYSYKHGFSGFAAMLTEDQAEKLAELPEVISVTPNQKHELMTTRSWDFLGLNYQQPSELLQRSNYGEDIIIGIIDTGIWPESRSFSDHGYGVIPPRWKGVCQLGEAWGSTNCSRKIIGARYYAAGLDKANLKLNYMSARDMNGHGTHTASTAAGSVVEGVSLHGLGEGVARGGAPRARLAVYKVGWEEENGVYLATAAVLAAMDDAIHDGVDILSLSLVADDDSFGALHAVQNGITVVYAGGNGGPRSQVLFNTAPWVITVAASKIDRSFPTTITLGNKQTLIGQSLYYMFKNESNSRFHTLVNGGNCSREALNGTNIKGKIVLCIEMTFGPIVQIFKDAFANVHSGGASGLILALYTTDVLASTEQCQGIPCVLIDIHIGFQVLTYIGSQRSPIAKIEPTSSVTGQQVLAPKVALFSSRGPSIKYPTILKPDIAAPGVNILAATKDAYVFNSGTSMATPHVAGVVALIKALHPQWSHAALKSAIVTSASTKDEHGTPILAEALPRKVADPFDYGGGNINPNGAADPGLIYDIDPREYNKFFECQIKKYEICNITTKPAYHLNLPSISIPELRHPTKVERTVTNVGTVDAVYRSDIQSPLGVKIRVEPATLAFNATKKVHTFKVSIKPLWKVQGDYTFGSLTWYNEQHTVRIPIAVRITIQDFYADVA >PAN31924 pep chromosome:PHallii_v3.1:5:53661048:53664743:1 gene:PAHAL_5G450600 transcript:PAN31924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATVKLSSPAAGLLAGGRTRRSAPARRATVIRATSGSYSDELVSTAKSVASPGRGILAIDESNATCGKRLSSIGLDNTEANRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >PAN26743 pep chromosome:PHallii_v3.1:5:2170344:2174521:1 gene:PAHAL_5G035400 transcript:PAN26743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAGRRGRAAWTRAAAPGCAVGWWSGCAGEVALRHDLSSRIRQIKKRLDEISANRAAYNIDHTPAPAWVAAASSATTLAAWDDLEEYTVGLGRYSDRLIEQLLDDAVAARTVVSISGESSIGKTTLARKVYQSPEVRNHFEIRTWTVLSHKCRAADVLRDIHRQMTSQLRRAPSRQVAEDGCDGAKACGPGKDISNQLYKSMTGRRYLVVVDGSIAVTDWNSLRASLPDEGNGSRVLLITDSAGLEAVGHAGHTYDPIELTRLSPENTYEVFRRRVFGRGDCPGRYKSRYYQDVFRITRGLPLSIVILAGVLRSKELPAEWDEVMVQLAPAREHHKGSSSSNNSRRIMSLAFDDLPHHLKSCFLYLAAMRESTPVDAQRLVRLWVAEGFVRPRRGSIMEEVGQGYLKELISRCMVQLVDKDEFGAVQTVVVHDRLHAFAQDEAQEASFIESHDSTDVLAPATVRRLAVLNSTTDRYVQLSNALPKLRSIICDFLEGRNNRSGKCIQYSDLGFLHASKFLRVIDIQGLELKKLPNEIGSMIHIRYLGLQCGDLEKLPSTIGNLVNLQSLILCGRHVHVLEVTAAFWRIPTLRHVVAPFALPSRALGDLHNLQTLHGVQPRGWDGGNNPLAKATNLRSLELSELTADHAGALEAALESLDLLVHLSLRGDSLPASVFSVPSLRRLQSLKLWGPVDAPEGPSGAEDVRYIRPNLTRLSMWSTMVKQKFVNMLAELPSLGELTLMLDAYDGDRLEFGDAGFRSLHKLKLGLPELEEWAVGADSMPGLATLTLCRCARMQMLPEALAGMEQLEEVVLYSMPDMVGRIKEGEGEDHHKVKHVPVIQTIY >PVH37935 pep chromosome:PHallii_v3.1:5:7629058:7630285:1 gene:PAHAL_5G127500 transcript:PVH37935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVLRLRLDRWLSTGGVAVGVCGLANQLLGHEFFSELVGFFFLLRIRKHFFEKEKTNVVIVDKDPAIALCSF >PAN26728 pep chromosome:PHallii_v3.1:5:2117576:2121271:1 gene:PAHAL_5G034100 transcript:PAN26728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGGSRAPALALALLLACAHVTLVAAQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISKRWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGVLPLLSRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGKDVFLKPGQKVLNWTEGPRGDLKPW >PAN32793 pep chromosome:PHallii_v3.1:5:57582863:57584013:-1 gene:PAHAL_5G513200 transcript:PAN32793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARMVVGVPVALLAVLLAAATGADGRDFHVGGRAGWAPNPTEPFNTWAERNRFQVNDTLVFRYNKDADAVLVVTPSHYDACNTTEPVLRLDGGDTRFVFNGSGPYFFISADEGRCRAGERLIVVVLAVRNNSGGNTPSPPKSSSSPPTSPPPVATPAPRALPPPPLPPKSSSPPPAGKNASSPAPAPTPAATNGTSSPPPPSSAVALRGGVLACLIIGGAAILV >PAN28986 pep chromosome:PHallii_v3.1:5:11571745:11576053:1 gene:PAHAL_5G191700 transcript:PAN28986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLKARKFNIEKAKHMWSEMLKWRKEFGADNIEEFDYSELHEVVKYYPQFYHGVDKEGRPVYIELIGKVDTNKLVQITTIDRYVKYHVKEFERCLQMRFPACSIAAKKHIDSSTTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGSKYQTKLLEIIDGSELPDFLGGKCRCEEYGGCPKSDKGPWKDPEIIKRVLNGEANYGRRVLAVSSINQKEVGFTEPQDSTDQGKGNDASAESSSEVEDVSSPTASVNESKFPGHASTSDAPPIVEDSIPVVDKVVDTCSDPRNGSMASTSGSFSLRNTPATLGGLKTQIVAWLTVLIVSLLAFLRSVPSIMTKRLTNHGITCDHYSAEFPPQGYTGNGTLTSVLRRLDELEEKVQTFEVKPPQVPFEKEELLNAAVHRVDALEAELISMKKALYEALIRQDELLAYIDQQQTVKFCRKKLCF >PAN28983 pep chromosome:PHallii_v3.1:5:11571378:11576053:1 gene:PAHAL_5G191700 transcript:PAN28983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVDRFATPSCEGHARSDEKRECNSDEENSEGERKVKRGTFKKRAINAGHKFRHSLRRKSRTKSGNHVVSIEDIRDVQELEIVERFRRCLLDEGLLPERHDDYHTMLRFLKARKFNIEKAKHMWSEMLKWRKEFGADNIEEFDYSELHEVVKYYPQFYHGVDKEGRPVYIELIGKVDTNKLVQITTIDRYVKYHVKEFERCLQMRFPACSIAAKKHIDSSTTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGSKYQTKLLEIIDGSELPDFLGGKCRCEEYGGCPKSDKGPWKDPEIIKRVLNGEANYGRRVLAVSSINQKEVGFTEPQDSTDQGKGNDASAESSSEVEDVSSPTASVNESKFPGHASTSDAPPIVEDSIPVVDKVVDTCSDPRNGSMASTSGSFSLRNTPATLGGLKTQIVAWLTVLICRVPSSRIYWKWYPYICVETA >PVH38165 pep chromosome:PHallii_v3.1:5:11571872:11576053:1 gene:PAHAL_5G191700 transcript:PVH38165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLKARKFNIEKAKHMWSEMLKWRKEFGADNIEEFDYSELHEVVKYYPQFYHGVDKEGRPVYIELIGKVDTNKLVQITTIDRYVKYHVKEFERCLQMRFPACSIAAKKHIDSSTTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGSKYQTKLLEIIDGSELPDFLGGKCRCEEYGGCPKSDKGPWKDPEIIKRVLNGEANYGRRVLAVSSINQKEVGFTEPQDSTGKGNDASAESSSEVEDVSSPTASVNESKFPGHASTSDAPPIVEDSIPVVDKVVDTCSDPRNGSMASTSGSFSLRNTPATLGGLKTQIVAWLTVLIVSLLAFLRSVPSIMTKRLTNHGITCDHYSAEFPPQGYTGNGTLTSVLRRLDELEEKVQTFEVKPPQVPFEKEELLNAAVHRVDALEAELISMKKALYEALIRQDELLAYIDQQQTVKFCRKKLCF >PAN28985 pep chromosome:PHallii_v3.1:5:11571378:11576053:1 gene:PAHAL_5G191700 transcript:PAN28985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVDRFATPSCEGHARSDEKRECNSDEENSEGERKVKRGTFKKRAINAGHKFRHSLRRKSRTKSGNHVVSIEDIRDVQELEIVERFRRCLLDEGLLPERHDDYHTMLRFLKARKFNIEKAKHMWSEMLKWRKEFGADNIEEFDYSELHEVVKYYPQFYHGVDKEGRPVYIELIGKVDTNKLVQITTIDRYVKYHVKEFERCLQMRFPACSIAAKKHIDSSTTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGSKYQTKLLEIIDGSELPDFLGGKCRCEEYGGCPKSDKGPWKDPEIIKRVLNGEANYGRRVLAVSSINQKEVGFTEPQDSTDQGKGNDASAESSSEVEDVSSPTASVNESKFPGHASTSDAPPIVEDSIPVVDKVVDTCSDPRNGSMASTSGSFSLRNTPATLGGLKTQIVAWLTVLIVSLLAFLRSVPSIMTKRLTNHGITCDHYSAEFPPQGYTGNGTLTSVLRRLDELEEKVQTFEVKPPQVPFEKEELLNAAVHRVDALEAELISMKKALYEALIRQDELLAYIDQQQTVKFCRKKLCF >PVH38164 pep chromosome:PHallii_v3.1:5:11571376:11576053:1 gene:PAHAL_5G191700 transcript:PVH38164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVDRFATPSCEGHARSDEKRECNSDEENSEGERKVKRGTFKKRAINAGHKFRHSLRRKSRTKSGNHVVSIEDIRDVQELEIVERFRRCLLDEGLLPERHDDYHTMLRFLKARKFNIEKAKHMWSEMLKWRKEFGADNIEEFDYSELHEVVKYYPQFYHGVDKEGRPVYIELIGKVDTNKLVQITTIDRYVKYHVKEFERCLQMRFPACSIAAKKHIDSSTTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGSKYQTKLLEIIDGSELPDFLGGKCRCEEYGGCPKSDKGPWKDPEIIKRVLNGEANYGRRVLAVSSINQKEVGFTEPQDSTGKGNDASAESSSEVEDVSSPTASVNESKFPGHASTSDAPPIVEDSIPVVDKVVDTCSDPRNGSMASTSGSFSLRNTPATLGGLKTQIVAWLTVLIVSLLAFLRSVPSIMTKRLTNHGITCDHYSAEFPPQGYTGNGTLTSVLRRLDELEEKVQTFEVKPPQVPFEKEELLNAAVHRVDALEAELISMKKALYEALIRQDELLAYIDQQQTVKFCRKKLCF >PVH37656 pep chromosome:PHallii_v3.1:5:3393574:3393930:-1 gene:PAHAL_5G053600 transcript:PVH37656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLVFSPIKMPHVTRNKIYHTKSMMAQLTQTAQAKFTILNSIRNKTYQINDGTTYINIPGKIVWKVFVQ >PAN29490 pep chromosome:PHallii_v3.1:5:14336777:14339531:-1 gene:PAHAL_5G230200 transcript:PAN29490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPANGALERYKSAITAATSVVGAAMLLRRLVADVLPAGAPPLVGALLLLPPPSSRRHAVVIEEFDGAFYNRVFLAARAYVSTLLAAAPTGAPPVVKASLPRGAGAEQITLAMRPGTTVVDVFRGAELTWRLSGGGGGRRRADGSAGEAFRLSFDARHKDVALGAYLPFVMARVEAMAREQRQAKLYSNEWGKWRPVRLRNASTFATLAMDAELRQDVVDDLDMFLGRKEYYERTGRAWKRGYLLHGPPGTGKSSLVAAISNHLHFDVYDLDLGAVRSNTELRKLLIRMKNRSILLVEDVDCALAAAPRREADGGSDGSIPASKHHKVTLSGLLNMVDGLWSSSGHERILIFTTNHMDRLDPALLRPGRMDRHIHMGYCGFGAFRELAATYHGVGDHPLFPEIEALLREVDAAPAELAERLLATDDAGAALESAARLLRDRKAGVEEDGGWYVKQKLHAGPRRPRLRPVPVPAPGRGRGARAARRVVFDEEILLGVSRRQGRGSGRRGRGAGVRAPGRGRR >PAN30466 pep chromosome:PHallii_v3.1:5:20062295:20067763:1 gene:PAHAL_5G283600 transcript:PAN30466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCVATGRGGVGVPSPACPTLPQGKRRPSWLWMPTTASHGKQHEMKYCTSLQNKCMLLGERFGRHATRSTLLLLQNYSRSTAMSRLEMSGQFQQPVLESSRDYLTRACHNASIKKRVLSRVECFLSSDPINSGWLKPRRWENFTSLESACVQPEYKLPIRTRADCRAEQYEITGSPLNPSDVPAEAVRIGDTNETSPWWQEFPRRWTIVLLCFFAFLLCNMDRVNMSIAILPMSSEFSWNPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSLATILTPLAAKIGLPCLLTMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLGLSPLLISKFGWPSVFYAFGSLGSVWFALWQSKAHSSPDDDPELSKAEKRHILGGGTFKEPVTSIPWRRILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLTLLSKVQTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVALYIVGTVVWNVFSTGERVLE >PVH39184 pep chromosome:PHallii_v3.1:5:53568815:53572231:1 gene:PAHAL_5G449800 transcript:PVH39184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVIPLAYSSSSCPLQKLISTNQSTDVYRPIHQRDLYDSVLVGCSIDSIATNQGGIVGPSSCLSLSNNASQFWYLVLSRTDMSTLPLGCVVVAKSIPIPYTYDKNGPKFRTFFGKLLFKDRAKGAIDLGETPLNWSLSSITSICQRCEQEGRHCGFSSDHGQAFCQHHGSNVKLIAATTSVATFIVLVTTALYLLLKKRYNEAIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLPNGVSVAVKMLENSTGEGEDFISEVATIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLERYIFLHDSSISQELLVPHKMLQIAKGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPEIYSRNFGGISYKSDVYSFGMLVLEMLSGRRNSDPGIENQNEVYLPQWIYKRVIAGQDLVPNREITKEEKEKVKQMAIVALWCIQWNPKNRPSMTKVVNVLTGRLQNLHIAPKPYI >PAN29584 pep chromosome:PHallii_v3.1:5:14731160:14733022:-1 gene:PAHAL_5G235300 transcript:PAN29584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSHDITPRVHRLYHNTIREHGKIAVTWLGPTPRVIVNDPRLVREILANRSGHFRKRKNNGLVRRLANGLVSHDGDKWAAHRKIINPAFHLEKLKKMLPAFAACANELVARWEGYVESDGAKEVDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQAQNLVKMINTLYLPGFRFLPTRLNRRIKANTREVEELLRGIVGKRERAMKEGRASNDDLLGLLMESNVAETKQAGNSRPIMTMGDIIGELKLFYFAGMDTTAVLLTWTMVVLSMHPEWQHRAREEVLRVFGNNQPDLDGIHQLKIVTMILYEVLRLYPPVVQLDRQTHKEIELGGVTYPTGVVLSLPIVFIHHDKDVWGDDAGEFRPERFTDGISRASKDSPAFFPFGWGPRICVGQNFALVEAKMALSSILQHFSFGLSPSYTHAPFPVSTLQPEHGAQIMLKKL >PAN26672 pep chromosome:PHallii_v3.1:5:1921962:1923060:1 gene:PAHAL_5G030100 transcript:PAN26672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIQPLPAASSPPSCSPSGDEPQILQKQAAYTVWMKSLVFNGNGCTVYGADGGVAFRVDNYGCRGGPEVFFMDRAGKTLIRIQRKSFGMFRRWEACRYFDDGEETRPWFSVQKAGKNGAAVRIHGCGRTFTIDGCARKSDYKITGADGAVAAAIGRKQTASGVVLGEDVLALTVGSGMDHLLALGLVVICGLMNRCL >PAN27190 pep chromosome:PHallii_v3.1:5:3976835:3977122:-1 gene:PAHAL_5G063600 transcript:PAN27190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGPPDSAPSEAVTRGKQAPAAPPCPSLGSDGCERRTPARQPGGDCKRWSGGWVDPVMEGLDRVMLRHRMATPAGAKRKQPEAHSLAARSATC >PVH39309 pep chromosome:PHallii_v3.1:5:55221593:55226788:1 gene:PAHAL_5G474900 transcript:PVH39309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASRIRRPARVSERDSSCRSGPPRPYQLFLSGSTHIHPSIKHGVIFFFLLGQFHRSIAGPPPPVPASGDHLPAAAAMRSRSSTDRLEALSLEIERKLQKALSSNSQRLQILQQLFADIALKVDDRARDAIMSKNDDGIAPVDEREDGRLCFYEILANHFVRMPESGRRILELIVQLWSQSFASNIFALLFHKWLFEAPVDGKEISLRSSSALVQGATNVFWIDIQTNTRHFLSLYHYLLEDVALVPDRLTKISLQAGRDLFLLLSRFMFFYDQDHLLSSFLEHFPTFPNSFLVGGPADYFVIELTDQLQKLKIEPVLLHYLSRMSILQGLELRLSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFVVTCAMTIYYYILNLLVSTWESLRRHSHRRRRGE >PAN32416 pep chromosome:PHallii_v3.1:5:55806801:55809458:1 gene:PAHAL_5G486100 transcript:PAN32416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSITRLVFVLALAIALSNEVAGSREFNILAQDGLPVAAKGPGLTAASGKLCQLCEQYSSKALLYLKQNETQTEILSILHHECASLAPLKQQCITLVDYYIPLFFLEVSMVTPEEFCESVHLCKNGMKISLPTREGPCGLCHHVLVEVLAMLKDPNTQLEVIDLLLKTCSKAQNYEQKCKHLVIKYIPLILVKGQKFLETTDVCTAIHACKTGTQSSMESMPLSATL >PAN29763 pep chromosome:PHallii_v3.1:5:15711882:15714790:1 gene:PAHAL_5G248500 transcript:PAN29763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGGYSSLDDPKASGSVPAATGPDPPAIRFTDSNLQTFPPSDARGKISGAYRPPTDADDTFSSKAGGGGAGAGGRGGSAGSDDAAQGGWFRIFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSDNPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >PVH38849 pep chromosome:PHallii_v3.1:5:44177546:44178475:-1 gene:PAHAL_5G369000 transcript:PVH38849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPKVFWDPEGHLHTNALHWEGFPRLLWESLQSFHYTEPPQYDAVEFLENGVHRAHHPFRSQWPPIEINVTGYRIVDTVEGAALEAIDHFCSQHPKEVAGKPIGLFSTTSPDEHEWNLRIIPESHRLDGPPEEALQGMMRFMNVQYHYHLLLSRELGRAIYVARSHYREADRQNTQVDQLQALVTQKDEIIAARDETIHHREDQIDENDHIITQRNTVIEFLQEQIHDLILAVDDAQAQLEELQQPPLPPVAPAVPEAEEEDPEEIEGVSELDSEHGDPVLSPHHSSSGSQSSVGNLDDF >PVH38875 pep chromosome:PHallii_v3.1:5:45920952:45921272:1 gene:PAHAL_5G374800 transcript:PVH38875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSNGDLTHRQDWVQDGTLLDVLGVVVGIFHCKSKNGVSTNVLSKSNHTRGGGIAELYAQDNPRIRSWFIYGKLSCMQRFVLKYFQNKFSSTKEHTVSIRTGSKF >PVH37543 pep chromosome:PHallii_v3.1:5:1650259:1651345:1 gene:PAHAL_5G026000 transcript:PVH37543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLPRTDAGVAIFGGGPLFLMTSTSPPAEVGVDLTTLTRTPLRSKRGSSSYYLPVKAIAVDKAQLQLPLATGGVVLSTRAPYTALRPDVYRPLVDAFDKALTAKWNSKKVKAVAPFELCYDSKTLPGPTRIGWLVPDIDLMLEGGKNWTFIAGSNTMVDVKNFTAACFGFVKMKPEKGGYGGAPAVEIGGFQMENHVLQFDLEKQQLGFAKLQIFTACSNFNFTLSH >PAN32388 pep chromosome:PHallii_v3.1:5:55699494:55702907:1 gene:PAHAL_5G483900 transcript:PAN32388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHGPTAVALHLLLQIHLAVMVCSLLPSSAGAFTSSNTNAVAVAPRVPCLRSQEMALLQLKRSFTATAYSITAFRSWKVGTDCCGWAGVHCGDADGRVTSLDLGSWGLESAGLDPALFDLTSLRYLNLAWNNFNLSKLPSTGFEQLTNLTSLNLPNTFFSGQVPHNIGRLTNLVSLDLSVSSELVELADNMDRYIEGDLDNIESLAVTNFTSLVANLGSLRELNLGGVNLSQSTDWCDALSMYARNLRVLRLDGCKIHGPICGTFSALRSLSVIDLQGSSLTGQIPDFFANYSLLNVLQLSYNQLEGWVSPEIFEHKKLVTIDLYYNPKLSGSLPNISADSCLQNLLVGRTNFSSTIPSSIGKIRSLKRLGLDAPGFSGNLPSSIGELKSLNTLKVSGLNLVGPMPSWITNLTSLKVLKFSYCGLYGSIPSSIGHLIKLKIFAVMQCEASGEIPPHIFNMTQLEELALGSNNFTGIVELNSLWRLPNLSLLDLSNNKIVVQEGQENSSMVSLPNIQFLNLAACSITKFPSILKHLNYCIGLDLSNNQMHGAIPRWVWENWSTDPSFGLFYLDLSHNNFTSVGYETFLPISLVKLDLSFNMFEGPIPLPQYSAQVLDYSSNMFSSMPHNFSTQLRDTYVFKASRNNLSGNIPTSFCIVSEFLDLSYNTFNGSVPSCLMEDANPLIVLNLKENQLDGELPDTINENCTLELLDISSNRIEGQLPKSLVACKRLEVLAIANNNITGSFPCWMSTLPRLQVLILKHNNFFGLVIPSSAKEKITWGFPSLRILDISYNNFSGTLNKEWLSKLMGMIVEVSNETLVMEYYAYYGQLYHLGTKIRSKGSGNQFDKRWMTFGFIDRSNNTYQLSTELTSKGSERQFDEIWRTLGFLDVSNNAFQGSIPADIGGLVLLDVLNMSHNSFTGPIPSQLGHLAHLESLDLSSNALSGEIPLELASLDSLTTLNLSNNKLMGSIPESPHFMTFSNNSFLGNEDLCGPPLSKVCNNKVKSNAKKKSVDFILFLFVGIGFGVGFAVAVVVAWGIPVRKRA >PAN30968 pep chromosome:PHallii_v3.1:5:47588799:47592472:-1 gene:PAHAL_5G382900 transcript:PAN30968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARQARPAAGAGALLALAVCLLPAVARAQLRVGFYDTTCPNAEALVRQAVAAAFARDAGIAAGLIRLHFHDCFVRGCDGSVLLTVNPGGGQTERDAAPNNPSLRGFDVIDAAKAAVERSCPRTVSCADIVAFAARDSISLTGSVSYQVPAGRRDGRVSNASETVDLPPPSSTAKNLTDLFAAKNLSVEDMVVLSGAHTVGRSFCNSFVGRVWNQTATPPAAIVDAGLSPSYAALLRALCPSNTTQSTPITTAMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVDPRMAALVGSFAANETLWKEKFAAAMVKMGRIQVQTGTCGEVRLNCSVVNPTSSSSSSIELPSSAPAVDEDAIATS >PAN30286 pep chromosome:PHallii_v3.1:5:37547515:37554808:1 gene:PAHAL_5G343200 transcript:PAN30286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPQPARGGPINIEACARPIAVDNRISLPYYFRIAGSLLRQANIYRNEKNILDLYVILLRYSSLLCETIPKHRDFLAFKFREKAFFDKLSDVIKELESLKPVVQRQVAEHNRGGTVESNTNSLNGTYATTRRIEQHTPSLYTPQPFVGSTNGALQKSFQIGRQVPSLPSVQHDRQMHKQFMNLPYPSEETLARHSILGPNGLHGRWNVPVTGIKVQYPNNFELTQSDMTSLVPSILNQDGSLGPSTAPPDSSTNDNEDMKSVLSLDDGRWSVPAEERTPIPSASLEEELFQLNIKQPSPPPVLAEVQRPMSPSRVADPTPGLPTSGTARFQNLHVPIKLMECFLRVAESNTKRSLETCGVLAGTLKKRTFYVTTLIIPKQKSTSNTCEATNEEELFEVQDSCSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQERGFHPHKAPLDGSPIYEQCSHVYMDTDIKFDMIDLRER >PVH37569 pep chromosome:PHallii_v3.1:5:2066605:2067264:1 gene:PAHAL_5G033200 transcript:PVH37569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPGRGNYTRARTLRSTFPFPAACVRASFRLPRRDPDMWGPSAPRHPRARKQIQSPPGNARGWKEFTTDKI >PVH38949 pep chromosome:PHallii_v3.1:5:49369075:49369951:1 gene:PAHAL_5G396600 transcript:PVH38949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLRINQETHTVSVQCVINRTTHVLIWELMPLERNEEWLSYLQNVSHWQWPLVLLVSMHQNSLINIKTTPGDENIDKEVEEANIEAGGTAAPQCMTDEEENIPFIVEQLQDEERELDEAMNADSSDDDDDVPEEWVSSDFSHLVVDDGSSWPSDCRENGIVQSARYHSIEKVKKAVKCWSLSYARV >PVH39473 pep chromosome:PHallii_v3.1:5:57470520:57470942:-1 gene:PAHAL_5G511200 transcript:PVH39473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITPRSPPRAVPAGPCSLPGPGSTTATDPAPNFSSAPIRSWRSPPERGRAGGHRATHRPRVPRRRVNRCARLAFGGADRYRTARRARRVVGAQDTWRPTGGGVWLGGRRRSPGRGRRRGHRSHASASRSAAHRCGVLRQ >PVH39308 pep chromosome:PHallii_v3.1:5:55193549:55193891:1 gene:PAHAL_5G474300 transcript:PVH39308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAFAGHTGGIGERGEQAELCSCSGNNCHPYCPPTSSDPNCRINPGSCWPAAARLGSNPTPRPA >PAN29319 pep chromosome:PHallii_v3.1:5:13222472:13224488:-1 gene:PAHAL_5G216400 transcript:PAN29319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDVGFVPYNPDGWGPPEAAAAPSSLGGGSASVPFAPFSRSDKLGRIADWTRNPPGPGAFAAARDTVFDFAGLEDSVGLASADDASFRLVDGKPPPRHPRFGPRWRFQQRPQLPQRRDEEVEARRREAEKERARRDRHWQQNRRTHHQFNRGGASSSAKPSVDIQPEWSVKEQIPFSSFSKLSFAVADQPEDLLVCGAVEFYDRAFDRVTPRAERRLERFKSRNFFKVTTTDDPVIRRLAENDTATVFATDTILAALMCAPRSVQSWDIVIQRVGNKLFFDKRDGSQLDLLTVNETAQEPLPEAKEDINSAHSLAVEATYVNQNFSQQVLLRNGEKVTFDEPNPFATEGEEAASVAYRYRRWKLDDETSLVARCEVHAVNVDPRGERQFLTLNALNEFDPKVTGVDWRKKLETQRGAVLATELKNNANKLARWTAQALLAGADMMKLGYVSRLHPRDHYNHSILSVIGYKPRDFAAQINLNTANMWGIVKSIVDVCMKLGEGKYVLVKDPVKPQVRLYEVPNDAFENDYVEEPLPEEEQVRPFSEDVDATAQEMDAAAEAEATGTTAGADGDAEKSGEAAAA >PAN31037 pep chromosome:PHallii_v3.1:5:48692955:48694950:1 gene:PAHAL_5G390700 transcript:PAN31037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADIRATTALPAILVLSLSLLVVAARSDTAVATPVTPSTACNETTDPNFCRTVLPSNGTSNLYTYGRFSVARSLANANRFLALVNRYLARGGRGLSPGAVAALQDCQLLSVLNIDFLSSAGATLNTSANSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRDGLAVPMANSTKLYSVSLSLFTRAWVPAAGKSGGRRSRGSSSANKPPHHGHGRGLFDATDDEMVRRMALEGAAAAVPVVGEVTVDQSGAGNYTTVGDAVAAAPSNLAGSNGYFVIRVAAGVYEENVVVPKNKKYVMMIGDGIGQSVITGNRSVVDGWTTFNSATFAVLGTGFVAVNMTFRNTAGPAKHQAVALRSGADLSTFYRCSFEAYQDTLYTHSLRQFYRACDIYGTVDYVFGNAAVVFQGCNLYSRLPMRGQSNTVTAQGRTDPNQNTGTTLQGCVVAAAPDLAANAAFPVATYLGRPWKLYSRTVIMQSEVDAVVDPAGWMPWDGDFALATLFYAEYNNSGPGADTSRRVAWPGFHVLGSVADAGNFTVGNMVLGDFWLPQTGVPFTSGLN >PAN26778 pep chromosome:PHallii_v3.1:5:2393425:2404713:-1 gene:PAHAL_5G038200 transcript:PAN26778 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Short-day flowering promote [Source: Projected from Oryza sativa (Os01g0922800)] MARRGRVELRRIEDKASRQVRFSKRRSGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSTSIEDTYERYQRFAGAGRNANEGDQNNNDNQDAAASDLQYKLREIATWSERNNADKSDANELEKLEKLLTNASRDTKTKKWILLPWYSRPLMLAKQNKGGAGASTSSQNSNGPTRQD >PAN26777 pep chromosome:PHallii_v3.1:5:2392330:2404812:-1 gene:PAHAL_5G038200 transcript:PAN26777 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Short-day flowering promote [Source: Projected from Oryza sativa (Os01g0922800)] MARRGRVELRRIEDKASRQVRFSKRRSGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSTSIEDTYERYQRFAGAGRNANEGDQNNNDNQDAAASDLQYKLREIATWSERNNADKSDANELEKLEKLLTNASRDTKTKKMLAKQNKGGAGASTSSQNSNGPTRQD >PAN32472 pep chromosome:PHallii_v3.1:5:56013932:56018255:1 gene:PAHAL_5G489200 transcript:PAN32472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGAMGGRSRSRWRGGAWGAAAPLLLRCALAMACVGCALAADAQGAAMLAWKRTLRGGADALGDWRDSDASPCRWTGVTCDAAGRVTELSLQFVDLHGGVPADLSAVGATLARLVLTGTNLTGPIPPQLGDLPALTHLDLSNNALTGSIPASLCRPGSKLESLYVNSNRLEGAIPDAIGNLTALRELIFYDNQLEGTIPATIGQMASLEVIRGGGNKNLQGALPPEIGNCSNLTMLGLAETSISGPLPASLGQLKSLDTIAIYTALLSGPIPPELGQCSSLANIYLYENALSGSIPPQLGKLSNLKNLLLWQNNLVGVIPPELGACTGLTVLDLSMNGLTGHIPASLGNLTSLQELQLSVNKVSGPIPAELARCNNLTDLELDNNQISGAIPAEIGKLTALRMLYLWANQLTGSIPPEIGGCASLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGAIPPEVGKLGNLSFLDLSSNRLSGAIPAEIAGCRNLTFVDLHGNAITGVLPPGLFHDMLSLQYLDLSYNSIGGAIPSDIGKLGSLTKLVLGGNRLTGQIPPEIGSCSRLQLLDLGGNTLSGAIPASIGKIPGLEITLNLSCNGLSGAIPKEFAGLVRLGVLDVSHNQLSGDLQPLSALQNLVALNISFNNFAGRAPETAFFAKLPTSDVEGNPALCLSRCPGDASDRERAARRAAKVATAVLLSALVVLLAAAAFLLIGRRKGSVLGGTRPDDDKDAEMLPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGSVYRASVPSTGVTIAVKKFRSCDEASAEAFACEIGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHTAAGGSTGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPAILHRDVKADNILLGERYEACLADFGLARVAEDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPVEPAFGEGRSVVQWVREHLHQKRDPAAVVDPRLQGRPDTQVQEMLQALGIALLCASARPEDRPTMKDVAALLRGLRNDDGAEARKVSGGSGARLDSAKWAAASPPPTKPTALPRPAQAQSQSQSQSSSMAYSM >PAN32862 pep chromosome:PHallii_v3.1:5:57880014:57887500:-1 gene:PAHAL_5G517200 transcript:PAN32862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATANDKCPLCMEMMDLTDKQLKPCKCGYEICLWCWHRIMNMDQKDESGGRCPGCRSVYNKDRILGTSSRNQILKELCADKANYQKEQTKSHKQTSAKDQLGQSEPKDPNNVRVIQRKLVYIVGMPNEFASEKLLRQKNFLGQYGKIENIIIGNIGANQQIPDSGRVYVTYAREEEAVRCIQAVNGYILDGKPLKATFGVTRYCHIWLSNRVCYKTNCSYVHHKASAEDICTKDDVSVVCARLQHLMGMDTKGPQHRSGRTLPPPGDCSSKTTTCSGISKDICINAEGLLPNGANKNASLLPATTPRDSSLSSGSPSIANAVLHRRDDHESIRSNHQNLSDPKSQKYIPPGGRNRSSTTSVQHMQHSCRPIESTSLESLSNMSLVPQGSKVHLNEQVDSNSDKSEASSQLGNDTSNSNQTTSAENGTSDTSQQKPQYSNVVSQGQVVSSRRFTILGRPKATGQIGDGTSCSTKLALVKDDHSDCITISRSHLISQSLEQPSQQASATVKSHAGAEKKNGFPDIIEKLVPGNHKQLLESTASHRSTVVQSMSSRPVPSNLSTSDPKSQATAGSNDLSDLKRKLTSQNQLQLVNQQGAPVSNTVIARASLCHSTLNNQVNLTEGKRQDSAQGGHESFYNREMVRSGDIVPSHGSDCTMLSRPTSAVSSTDVAAPDTKERKRQACPPGFEKPRHSSDSGKFVYVSSPACSGLCPASDALVKDSCGITDQQDLPSWATDCLKDDGDVSKNLNVSTSSRLGSTDTNQRHGQFQGTFFSGWLNHPRLSPYPPRHKLEYRDGSTGSYMSTGGYDAFCQGTKSGMGGGMASTLLQQPTMSSPRDSCTNGNTDSGMNGTKINISYPMYTLF >PAN30639 pep chromosome:PHallii_v3.1:5:25946197:25947376:-1 gene:PAHAL_5G309200 transcript:PAN30639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEMVPPWLELLLATQFFTTCANHLLASRNECNLFCIQCEAPPAAFCYYCRSRDHSTHRVIQIRRSSYHDVVRVSEIEDILDISDVQTYIINSARVVFLNERPQQRNYGVSVCKTTSSSTHNCEICGRALLDAFRFCSLGCNLRGMQNDMNMTTMVESVPQCCRKADVHKSDDAGSSTTSDKDSCNENNEEEPPPKRIAHHRRKGIPQRAPFF >PAN26692 pep chromosome:PHallii_v3.1:5:1993848:1998094:-1 gene:PAHAL_5G031900 transcript:PAN26692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKAGKEKDVVRLERESVIPIMKPKLIMKLAYLIEHQSDRDEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNFSPEEIDMLEQNFLSYFFQVMEKSNFNIVNDDEVELAHSGQYLLNLPIKVDESKLDNKLLSKYFEEHCHENLPDFSDKYVIFRRGIGLDRTSNFFFMEKVDTIIARAWRRFLEKTRLQKLFSRKKNGKQKMDSKKNDDLASEVDEKDLYVERIRLETMDLSLPNLIGKVTIQEPTFEEVIVLYRRRSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLISSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIIAYYILMENGKATSDDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCVPLKRANEIIGATTEELVMKARQS >PVH38861 pep chromosome:PHallii_v3.1:5:44927767:44929483:-1 gene:PAHAL_5G371500 transcript:PVH38861 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1B [Source:Projected from Arabidopsis thaliana (ATMG01120) TAIR;Acc:ATMG01120] MVSYEVSIGLILIVRLTMQCSEHESLQFSPLLPCRVAGSASDKSTDEPHAGKLARPCNSSEIVMAQKQIWSGIPLFPVLVMFLIPRLAETNRAPSDLPEAEAELVAGYNVEYARDAILNSSLLAEANVSGLILT >PAN30188 pep chromosome:PHallii_v3.1:5:29554317:29554863:-1 gene:PAHAL_5G318800 transcript:PAN30188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVTNFLVIPKPESSRVHTRNYVSYLEIPTLEKKFKQTNEAPIILQPSSFTKDADSAHACFHSSDLLSKQISDLQGKRTPEAIEMTVAMTVHEQTKDQNSAKELSSINSVLRCKAFKVMEVRASLD >PVH38460 pep chromosome:PHallii_v3.1:5:17120032:17121132:-1 gene:PAHAL_5G265100 transcript:PVH38460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPPLINIEAGPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWSLSLMREFKTVECKSRKYDVVCVKDGCPWWVHAYKGKWKDYWECSIVTQHTCHLPGGQKSHRNLTSQYIANEMYGTIVENLSYEPKSIIRYIQEKYKYTISYSKAWSAKQKVLEMRFGTFEAAYDNVPRMLAVL >PVH38755 pep chromosome:PHallii_v3.1:5:37776103:37776528:-1 gene:PAHAL_5G345500 transcript:PVH38755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFWSHKNLVITSIAIHETQHLMTSSRINQRFRNRHRVLIFWCRSVEIPKVYTDSPPAILLLYRHNTGNPFSIPASSDEACFYHLFDFFLDFFQDFGLHLLRSLLERPKSWLEREPMLNNTSVQPRHLCVVPGKIICIFF >PAN26468 pep chromosome:PHallii_v3.1:5:927045:930812:-1 gene:PAHAL_5G013900 transcript:PAN26468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPPSTSLSIPSTQPAPLQPRIHLPGQPPRLPLLPFSRALPLPLRLRITRPILPALPHALSSSSGGGDDHGHNNNNSGGGGGDGEGDAGHGDGGAPDDNRGEALFVLAQLGRKLESLPSDLAAAVEGGRVTGEIVRRFNELEASALFRWLLQFRGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFVKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLARNAGAIANFFHNCPDNAFQIALAGRSFSLLQRLGAILRNGAKLFAVGTSASLIGTGVTNASIKARKAVDKDLEDEIEDIPVVSTSVAYGVYMAISSNLRYQILAGVIEQRMLEPLLHNHKLLLSAMCFAVRTGNTFLGSLLWVDYARWVGVQKVQEEA >PAN29408 pep chromosome:PHallii_v3.1:5:13796767:13797226:1 gene:PAHAL_5G223700 transcript:PAN29408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGEARPPAVKIIETVHVEADRSSFKSVVQRLTGRDAAAGDWSVGSSERRSDDAAAQGAGCVSRQADRRSCKEEST >PVH38598 pep chromosome:PHallii_v3.1:5:22797828:22798154:1 gene:PAHAL_5G298300 transcript:PVH38598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWGQVRTFMTRPCCISSQPCLFVGIDCRCSSSCSLRGLRRVTTIWYIRTNISMRYLLGWFTEELVISRFTSHLVYDICWRILLLCGGKCVRHWWSGLRRQLPFVSP >PAN30983 pep chromosome:PHallii_v3.1:5:47322112:47324845:-1 gene:PAHAL_5G380600 transcript:PAN30983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYFHSAAGKASLEAVASSSPSLALRPSQSKTLCIGSSRWWMRRRWEGKAGGISSSSRARARARAVARPALFSPVAMEWQECVTELEVDVPCSVAYQCYSERESIPQWMPFISSVKVLEDKPDLSRWSLKYEVFGRNVEFSWLARNMTPTKNQKIHWRSLEGLPNRGAVRFFPKTPSSCRVQLTVAYEIPEILAPVGSALKPFMEGLLLKGLERFAAFAKERNSKIPQP >PAN31130 pep chromosome:PHallii_v3.1:5:49581245:49585121:1 gene:PAHAL_5G399000 transcript:PAN31130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPFSKSFFAFYRFVRWRLGNPQGQHHHRRSTSRIPTYAEIIEGCPVASQDDLLRNQVLILDIEGGLLRSQSLFPYFILVAIEAGSFLRGLILLCLYPLLSCLTKEVQSRVMVMVCFLGLREEEVTRVARATLPKHFLEDIGKEGLEVVRGFKRVVGFSRMIPRVMVEDFLKEYLGLEMVVGREVKLVRGRYVGLLEVEGERRLQLDELEGTEMVGFGSSSSYFSHNHHQLFTGCKEVYLVTPEQKKQWSTLPRDQYPRPLIFHDGRLTFRPTPQATLAMFMWLPLAVPLTVLRTLIFVNLPYFISFPIGSASGVTTRVINAPISATGNANHGALTPPNPRGHLYVCNHRTLLDPVYISVMLNKKVSAVTYSVSRVSELLSPIQTIRLTRNRDEDRRRMEHWLQKGDLVICPEGTTCREPYLLRFSPLFVELIDEVYPVALTNWSNMFYGTSTGRSKYMDHFYYFMNPHPAYVIEFMDRMPTSVVINGRRCESYEVANMVQGEIGRVLGFEPTKLTRKDKYIILAGNEGVVDTKQYI >PAN30441 pep chromosome:PHallii_v3.1:5:19779713:19784145:-1 gene:PAHAL_5G282200 transcript:PAN30441 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity nitrate transporter, Nitrate transport, Auxin signalin [Source: Projected from Oryza sativa (Os01g0547600)] MVTMGKKKLADEEIAYCYGAAGVVDDQGRATELRPLALSSPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLAPTDAPAAAVGSLSATLVGRLAMGPACDLLGPRRASGVASLLAALAVAATAVTASSPAGFVALRFVAGLSLANFVANQHWMSGIFAPSAVGLANAVAAGWANVGSAAAQLVMPLAYERVLRLGVPVTVAWRVTYLLPCALLITTGLAVLAFPYDLPRGAGVGGGAKTRKSFWKVVRGGVADYRAWVLALTYGYCYGVELIMENVAADFFRKRFLLPMEAAGAAAACFGAMNAVARPAGGMASDAVARLFGMRGRLWVLWAVQTAGAALCVLVGRMGAAEAPSLAATMAVMVACAAFVQAASGLTFGIVPFVSKRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTIAEAISLTGAASLVCTLPLALVHFPRHGGMFCGPMMAAGDDHHDDDDAAADDHGDYMLLK >PAN27648 pep chromosome:PHallii_v3.1:5:5861135:5865055:-1 gene:PAHAL_5G094900 transcript:PAN27648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDVVEVVVSPPFVFLPQVKGLLRLDFAVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALMGESNDLVADKVAYALSQGLKVIACIGETLEQREAGTTMDVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLHSNVSPAVAESTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFVDIIKAATVKSSSA >PVH39511 pep chromosome:PHallii_v3.1:5:58260181:58262292:1 gene:PAHAL_5G523800 transcript:PVH39511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQQEEKQQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PAN31515 pep chromosome:PHallii_v3.1:5:51955386:51966555:-1 gene:PAHAL_5G424200 transcript:PAN31515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSTTLPPASPLPSPASANPNPRSRLLRLPAAPSAVVRARGRPPMESVRASAAAGAGGPGAAAAGEVATERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDHSPVTIADREAEEAMVSVILKSFPTHAIFGEENGWRCAEDSADYVWVLDPIDGTKSFITGKPLFGTLIALLHHGKPVIGVIDQPIMQERWIGVDGKQTTLNGQEISVRSCNLLAQAYLYTTSPHLFEGDAEDAFIRVRNKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWRGDKLHWPVTAESRPTSFNVVAAGDACVHKQALDALQWR >PAN29435 pep chromosome:PHallii_v3.1:5:13934527:13936302:-1 gene:PAHAL_5G226200 transcript:PAN29435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPDDSASAPPQGCCCACASVLWKIVKVILHVVTLLTVFVLIFPGIVSGFGAQIAVQSVSYAVLACLYIGTSSDQAERIICGVFLGVLAVVIVAAAPSVKGKRGAPVTVMRACNLVALFVYCIWKICKLVQACLRRLRVHGKVPAADPEAPLAKVKKPEAACTAPVRQTTFHIDDLPRKFSYDEIRAVTGDFGTMVGRGGSAEVFRGLLDDGTAVAVKRITSYKPVGEEDFLREISIVANVHQRSLVRLLGYCLLQGRPGDTHGQYLVYPFFENGSLDWWLFNGEERRRLLPWPTRRRIAVDVARALAYLHHECRRQILHLDIKPANILLDGSFLAHVSDFGISMSIAQDLTSVDTCGRGTPGYMAPEIWFSSLSTKSDVYSYGMTVLELVGGRRGYQASRDSSETPDFLARVVREKMARGELKDVVDAAMAPVDEREVEAVLKVALCCVQHQRELRPSMVTVVDMLEGRVTADLPP >PVH38756 pep chromosome:PHallii_v3.1:5:37798102:37798485:1 gene:PAHAL_5G345600 transcript:PVH38756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCHFREGPVRVKLNGRNVNSLRGVLEIFINKVGYEMRFVAKAIKDIPASRRSSPRTRVDMDSSEEDEEDLNKESEHEWDNSKELLRMVHKGGRTLRENALTRGGKTLLLLLQSLICLEKQFTVFNS >PVH37926 pep chromosome:PHallii_v3.1:5:7447461:7449837:-1 gene:PAHAL_5G124100 transcript:PVH37926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTHHLAAADLLNALRRAACPSSALHLYSLLRLRLLPSDPSYFAWRAAVLTLKPLSAASSLPLLSHFHGHLLRSNVLAYPHVASSLLRSYSLLSPSAAHQLFDQIPPTTCNIYVLNIMLASLCRSSDLDSARAFFDDIPDKDAVSWSTMLACYLSRGRLADGFALFRSVTFTTDIAVDYIMLTTILTACTSAGLLPPFCRSVHGYAVRCALPASMHLGTALIDCYAKAGRLDYATRVFSRVPNRNVMHWTAMICGMASHLRNKEAVQLFEEMCQRRVQPNEMTFTAVLSACVNAGLVEKGREFFKLMVDRYCLEPNIHHYGCMVDLYGKAGLLEDAYEVIKTMKVEPNVIIWTSLLAACKKFKNVEIAIEGMEKALALEISDENAGLYMLISDLYAMSGWWDDMMKVRRLMDERNVRKNRGLSSIKVDEPQGLPSAAVS >PVH38423 pep chromosome:PHallii_v3.1:5:16121418:16124778:1 gene:PAHAL_5G254300 transcript:PVH38423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGDEFENLYKKYEREGKAKKVVPAQTLWFDILKPQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSSDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFVLLGMPFDSPEAQQLNKDIFETIYYHSLKVSAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWNWPSLRETISKVGVRNTLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPTLKNQIIYEDGSVQKMAEIPDDLKAIYKTVWEMKQKTLVDMAVDRGCYIDQSQNLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGVATGKPAEDDVEAKMAQMVCSLNNREECLACGS >PVH38744 pep chromosome:PHallii_v3.1:5:37235548:37237032:1 gene:PAHAL_5G341500 transcript:PVH38744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLLAYFCYTLDSCDLFEGVFGLGVYYGLWGSCQKESPTRVLVSARRIYMEES >PAN31362 pep chromosome:PHallii_v3.1:5:51071144:51071880:1 gene:PAHAL_5G413600 transcript:PAN31362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSSASSDPAVDLERGAAAEKTDEVNPRVAICKAILDVAAVLYVVFHIGLFTWIVRVSEYWWDPWLAVVVLLPILLYSLWLKATFFDTKPTPGSDGSDLVTKLLASKK >PVH38806 pep chromosome:PHallii_v3.1:5:40184341:40185996:-1 gene:PAHAL_5G356900 transcript:PVH38806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEELTSSKSIALKTKTNKSRKLKMIKQDSSSSNEEDDRHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPSCSKPDKRSKDNKSKHRHDSSDEEEEERKSKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEAIDPSLEKLDHETFDKVNASTSCDDLLIDANATNIVPKLAPSREKELMDQVASLKSCVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTSSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSNVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN31215 pep chromosome:PHallii_v3.1:5:50242544:50245177:-1 gene:PAHAL_5G404800 transcript:PAN31215 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA31 [Source:Projected from Arabidopsis thaliana (AT3G17600) UniProtKB/TrEMBL;Acc:A0A384LMF0] MAECERGGGGGGGRSPSSSMDSSTHPVLSTTSSGCRPAGRRDLSTDLQLGLSLSPASSSLLAAETTAIPSTPRNQVHRDWPPIKPFLRSALMASARRRRTLFVKVYMEGVPIGRKLDLLLLEGYDSLLAKLRHMFKTPITYADVMEYHQRIPREKAAHILTYEDQDGDWMMVGDVPWELFLASVKKLRIARTDKC >PVH39269 pep chromosome:PHallii_v3.1:5:54811723:54812124:1 gene:PAHAL_5G468200 transcript:PVH39269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKNQGGTRSSHGAHRPSVGLARPSTGGRISTAAEIDGATRIPYAMSSPGSGAVPSATRFSTERSSSPRSGAVPSATRFGAGAHIPFGSAGRSSSPGGIAAFASSPGSTPPLFSDLLQRYFLNHVRGIDAA >PAN33033 pep chromosome:PHallii_v3.1:5:58709099:58711108:1 gene:PAHAL_5G530900 transcript:PAN33033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSPFAALLLVALVPLLFFATRRKPSDGWRRLPPAPPGLPLLGHLPLLGPLPHRKLQAMAAKHGPVMLLRLGRVPTVVASSAAAAQEVMKTHDLAFASRPRARMADRLVYGRDMAFAPYGEHWRQSRRVCVLHLLSYRRVRSFRHAREQEAAAMVGRVRRASPGGRGAVNVTALIISYTNGIISRAAFGDEGRFGVDGGEKLTKLFADFEELLGTVTIGDFVPWLAWVDALMGLDAKAARTSAQMDALLERVIADHRQRRRDGRREHDGHRDFVDVMLDVNDEADKNAAGGVMFDDVAIKAIVLDTFAAATDTTYTSLVWAMAELINHPREMRRVQDEIRAAVGDGDRVTEDHLPKLRYLKCVIKETFRLRTPLPLLLPRETMEDTQLLGYHVPARSRVIVNAWAIARDPATWDRAEEFVPERFAGDDLTTDYLLGQDFRFVPFGAGRRGCPGVGFAVPSMELALASLLYHFDWELPAGGPSKLEMDELNGLSVRLKATLLLVAKPWSP >PAN27775 pep chromosome:PHallii_v3.1:5:6383304:6383919:-1 gene:PAHAL_5G105300 transcript:PAN27775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSATQSRGSGASRMVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSFPKLCGFYNFQRQYLDKLEELGIVAIHKFPLAVDIGDEAEEVADASSGRMVMNMRAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PAN30445 pep chromosome:PHallii_v3.1:5:19862645:19864698:1 gene:PAHAL_5G282600 transcript:PAN30445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSVVVVPPAALLAGALLCLAALPAPAVAAARPTGRTTLSVLSFGAAADGVTDDAEALVAAWRAACRVPRATVLLLPSRHRFLVSPVTLQGPCSTRLTLQVDGTLLAPPDMDSWPKSRRPLQWLNFKWLNGFTIQGAGTVDGQSITSLQDSSPADAPHQRSTVHWHSSGAKPTLVRFYGSFNVTVRNIRISNSPQCHLKFDSSGGIKVKNVTISSPGDSPNTDGIHLQNTRDVEIRSSRIGCGDDCVSIQTGCSNVHMKNIVCNPGHGISVGGLGKDNSLACVSDLVAENINVQNALYGVRIKTWQGGVGSVRNVTFSNVRVANVATPIAIDQFYCDRGGARCANRTGAVAITGVAYRRVVGTYSFQPVRLACSDARPCTGVSMVDVRLSPAASAPAGTVAPLCWNSYGEASGTIEPLGVGCLQRSNGYAMPLTQPSNYTC >PVH37699 pep chromosome:PHallii_v3.1:5:3915490:3917421:1 gene:PAHAL_5G062500 transcript:PVH37699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAPASLSSPNPRPRAAPALTPPRRIHPKYSLRPSIWWRSGTPMLLHALSRLRCRRLSRRRAALQQHQQLSLLSQASWGRLEMKPTENKNKKHKKGTRQRKS >PVH38301 pep chromosome:PHallii_v3.1:5:13740648:13744294:-1 gene:PAHAL_5G223200 transcript:PVH38301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKRCLYSLLSFCSPIVTMWSTARSAEATYAFHGWFDLFASALGSFGWLLFAISQLHGEDGQIIGIAAVVVNAFGFAFYATYIVVKLAVAGYMGTKACLGVMLVFLGAYISAIIVSVYGPMKRESREAMIVSFVAFILQIISQSIPLFTVCPLLWSMIFPPQNEQRPEHINTRKLIVMTYEHVFFQLANDVGAVLSFVSMGLHLVYALRPHAVDYPPAPAPQPQPLAKV >PAN32340 pep chromosome:PHallii_v3.1:5:55524381:55524944:-1 gene:PAHAL_5G479700 transcript:PAN32340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPWTGRVRERAFEAEGLCDHARGMLCGAAAHLELLMDVADAQGGRSRAELVAVELFSANIGFASAAATMAAAELLARRRAATGPTAPLPSVDDIPMDHAFERSALGMLQEARVYAEGAYDTVGSCCDRLLTAYNLLDHLGLPGVDGFVDAERDAAHGYLVVAENLAGVSAAYSYTALCLLFRD >PVH38107 pep chromosome:PHallii_v3.1:5:10860967:10863609:-1 gene:PAHAL_5G180100 transcript:PVH38107 gene_biotype:protein_coding transcript_biotype:protein_coding description:KC1 [Source:Projected from Arabidopsis thaliana (AT4G32650) UniProtKB/TrEMBL;Acc:A0A178UVX9] MARPEAEEESWTLPAANNGRRGVSGELLPAFSEPFPPSVGNIINPYDCRYRWWQGFLIVLVLYSAWASPFELALERAATTPLLVVDLVVDVFFAVDIAVSFSVAYYDRSANLFVDDRRKIATRYLTRPWFAMDVASTIPFHIIYRLVSGRSAGLFRYLNLLRLWRLRRVSKLFARLEKDIRFNYFYTRVAKLIGVTLFALHSSACIFLWMAFHHRDKERTWLGSQVRDFTDRSVWVGYTYAVYWSITTLATVGYGDLHAVNPGEMAFATCYMLFNLGLTSYIIGNMTNLVVHAAINTFKMRDMVRRVSTFGSVNRLPRELREQMMASAQLRFNTREVVQQQLLSDLPRALRSGIAQHLFRDTVERCYLFQGVSDGLVVQLVSEMTAEYFPPKADIVMQNETSTDCYIIVSGAVDLLATADDGREKLVMKVGPHGMAGELGVIFGIPQPFTVRSRRLTEVVRIGQSHLLQILRPNTADADTVHANFVQHLKSLKEHDQLQNGAIFQRQPHNGGKIVRGQDARLGTGQHEETAPGNVLLRRQHKPRVVIHDHFPGDGTEKTRNRPGGKLICLPDSLQELMKIAEAKLGKAVRKVLTVDGAEVDDITVLRDGDHLVLCW >PVH38883 pep chromosome:PHallii_v3.1:5:47056368:47056793:-1 gene:PAHAL_5G378900 transcript:PVH38883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKVFLMAAPFQVRHVGLPDLRPDDRRKKPHWCGNEVFLEDPAQITESLSDGQGIGILMLKSCGSHSPRLDVVVAGASGDGENDPTVKSAEVFVLSAVVPWALGNTEVLQGVDQKAGKTRFFLANALGPPILGHLKTKVE >PAN28127 pep chromosome:PHallii_v3.1:5:7910742:7914748:1 gene:PAHAL_5G132700 transcript:PAN28127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLPSSFPFPHASFPNAKPHQLATPAATRPESPNAAPAPNPASARLRRLIAREDLAGAARLVERSASRDGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAEGSGSLVDVFAYNTLVAGYCRYGHLDAARRLIASMPVAPDAYTYTPLIRCLCDRGRVADALSLLDDMLRRGCQPSVVTYTVLLEALCKNSGFGQAMAVLDEMRAKGCMPNIVTYNVIINGMCREGRVDDARELLNMLSSYGFQPDTVSYTTVLKGLCAAKRWDDVEELFAEMMERNCMPNEVTFDMLIRFFCRGGMVERAIQVLKQMAEHGCTANTTLCNIVINSICKQGRVDDAFKFLNNMGSYGCNPDTISYTTVLKGLCRAERWDDAKDLLKEMVRKNCPPNEVTFNTFICILCQKGLIEQAIMLIEQMSEHGCTVGVVTYNALVNGFCVQGHIDSALELFRSMPCKPNTITYTTLLTGLCNTERLDAAAELIAEMLCRDCPPNAVTFNVLVSFFCQKGFLEEAIELVEQMMEHGCTPNLITYNTLLDGITKDCSSEDALELLQGLVSKGVSPDVITFSSIIGVLSKEDRVEDAIQMFHVMQDIGMRPKAVVYNKILLGLCKRCEIDNAIDFFAYMVSNGCMPNESTYIILIEGLAHGGLLKEAQDLLNELCSRGVVSKNLIEEWH >PVH37726 pep chromosome:PHallii_v3.1:5:4364034:4366335:1 gene:PAHAL_5G070600 transcript:PVH37726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFGQRSRPWPGDPTSTPSEPAAAVAAAADARGEASTLKDFGTSMDAISFGFAATAILISLFLLMAIFEHLIKPRAFPPDSPDGTPRAARHRHGRSPGKLRSPPMSLASFSGGGGAAGGGPVGADAGAAVPDVPGAAGAAAAVVPEGRCALAAARPPRFVHATLMPPWSVSGAQDHAHAACCVHRTVRIAHSAFILSVYRRGVVSQMQVMANLKRTRSVLAACLFLSARTVLSRCRNRQ >PAN28049 pep chromosome:PHallii_v3.1:5:7631121:7634320:-1 gene:PAHAL_5G127600 transcript:PAN28049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHSAVRQIEPEMATKWNHRFMKASRVVDDDYFEHNLRDTGGEIYSLKEELMVAQDRIHELEAECRSTKKQLDHLLKNLAEEKASWKSREHGKVHHILDAVKEELNRERKQRQRAEMMNSKLLNDLSEMKLAAKSYLQDYEKERKARVLMEEVCDELAKEIAEDKAEVEAMRSESMKIRDELEEEKKMLQMAEVWREERVQMKLVDAKLTLENKYSQLSKLQDELEDLLHSQPGSNNMENGTVREAERLREAICSMKINGIKEFSYIPPPPTEDIFAVFEELKQREDTAEKVIVQCNGNRSKSSASKAHTVSPETDIFLENHKSRHCNRPRTCNEEVEDESGWETVSQVEENSYSNSPGGSEPSVNGFCGENDASVSGTDWDENCDNDQAHSEISEVCSATAGRSRNKRSFAGLWRSSNSVDQKKMGSNILNGRLSNARMSNVAESPDLKNGEVCDSPQSAGQWRPDLLNPDIVRAIKGCIEWPRGVQKHNLKSKLLEARIDSSKVQLRQALKQKI >PAN28050 pep chromosome:PHallii_v3.1:5:7631121:7635093:-1 gene:PAHAL_5G127600 transcript:PAN28050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGSHRARRPPPPASPEPQVAAATPPRPGSASRRRARRVRVQSPSLAAARRGAAPPTPPPKPPPETPPVRWPLDAGDAGARSASRPAAGAGLSVREIAAALWRMQPPQAPPLGPGRSRRRAEPSSKHPHTPDHCQHYKAVIQGRTGNRTVNNEMEAHSAVRQIEPEMATKWNHRFMKASRVVDDDYFEHNLRDTGGEIYSLKEELMVAQDRIHELEAECRSTKKQLDHLLKNLAEEKASWKSREHGKVHHILDAVKEELNRERKQRQRAEMMNSKLLNDLSEMKLAAKSYLQDYEKERKARVLMEEVCDELAKEIAEDKAEVEAMRSESMKIRDELEEEKKMLQMAEVWREERVQMKLVDAKLTLENKYSQLSKLQDELEDLLHSQPGSNNMENGTVREAERLREAICSMKINGIKEFSYIPPPPTEDIFAVFEELKQREDTAEKVIVQCNGNRSKSSASKAHTVSPETDIFLENHKSRHCNRPRTCNEEVEDESGWETVSQVEENSYSNSPGGSEPSVNGFCGENDASVSGTDWDENCDNDQAHSEISEVCSATAGRSRNKRSFAGLWRSSNSVDQKKMGSNILNGRLSNARMSNVAESPDLKNGEVCDSPQSAGQWRPDLLNPDIVRAIKGCIEWPRGVQKHNLKSKLLEARIDSSKVQLRQALKQKI >PAN30463 pep chromosome:PHallii_v3.1:5:20051680:20057001:1 gene:PAHAL_5G283400 transcript:PAN30463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAKDNEVYEEDLVDYEEEVENVADGAPTNGSADVVKKGYVGIHSSGFRDFLLKPELLRAIEDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPELRVAVFYGGVHIKNHKDLLKNECPHIVVGTPGRILALARDKDLPLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLGECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPDQIDTSIYMPS >PVH38787 pep chromosome:PHallii_v3.1:5:39348835:39349334:1 gene:PAHAL_5G353100 transcript:PVH38787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRREPTRIELCASDRDELDDHLRGRAAAPDTTTPTTASASTPGTPSPQPGNSLLRVLHPPPAPSKAQRLGLSNPMSGSSS >PAN29931 pep chromosome:PHallii_v3.1:5:16769361:16771662:1 gene:PAHAL_5G260600 transcript:PAN29931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRPLQTLTLPLLRRHLSAAAAEALVSPADHHGADALSPPYDYLPGHPRPDPKHDEVILAVPRASSGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELVESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGDDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPDQPICSIIGSRAPEQKKGK >PVH39585 pep chromosome:PHallii_v3.1:5:59453637:59460070:-1 gene:PAHAL_5G539700 transcript:PVH39585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDEPLDFEEEEDPLFPAPRPTKRKKVIGLDDLLLDYFGTGKDLRKVKAAKTKHGPMGHDSDEEDKKGREDEICKIFEDCEEKAKGLDARDDVTPWGQQIFGCQKASSNLSDMGVENCKLLLSFCASEHLGFDLEIQQGEGFLEGMLMDGWLLKLVHIGGSVEDSIASWTLTKLLYSSNKKLQVSATDFWDSILSLDEDDKLLVNLGYFPSYSVLKCAMLSYGYLFENPGTKASTSESATADSSDAGPPHNIIVWLRVVSACCKIRKVCSIFSASEAEELLVIVISLFLDRGLEGLLIILGDCLNSLVLYFDTSEWESSCVMVAESIAKRVSMDLNCLRIVDCITGTNKRSKFLRSQLALQLLKFNFGLKVGNVEKILKLVTSINVKEKECDFFRLYVYLVLMDNLLFSSDAFRDKTKIVDTWRNYLRNCSTQIACTNWGFYAPKVRNKASYLLQGAIFKKTGGDGNVSAR >PAN32271 pep chromosome:PHallii_v3.1:5:55206769:55209592:1 gene:PAHAL_5G474600 transcript:PAN32271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPLLASLSMENSNSHPCTLLSMDPAGSHPASTESSGAANGVGSGGDREPFVIPRRESAHPGPPDINLPLSADPSPPPPSWNLEGFDILDVTLGTHNYESEVALTLPKLTGNGNTTIGVGARKCAKRGDSIWGAWFFFNHYFRPALVEKPKGKVTRDASGCISGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVVSPNLSAVPEADLKRWVELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPASKSAAHGSHSHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFGHGVEEDCVMANNSCSDREQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIVVSMLFSDPHSVKVSWRNTLTHGIVKITCVSTARMPVIKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNDLVLS >PAN29638 pep chromosome:PHallii_v3.1:5:15099891:15100310:-1 gene:PAHAL_5G240000 transcript:PAN29638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRHDLGRADGSGAGRHGSSSSSSKAFVWDTGSSLYDSYELAAVRRLLDGRLLAAGASVLPLPDEPPAAAEPGGENKQIVVAARARSRKVTLRALFRAVATWAARPRQAPLACACAGKVHGQGGAVVEPDVPSHGQL >PVH38877 pep chromosome:PHallii_v3.1:5:46176989:46177744:1 gene:PAHAL_5G375500 transcript:PVH38877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNRICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVYVERSTRYPDVDPWFISTSSFRFPDTYRKAARKALRWLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQWTRAASAEYSLAALQAQMQEYENHNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESHNRISTPS >PVH38468 pep chromosome:PHallii_v3.1:5:17222645:17223220:1 gene:PAHAL_5G266700 transcript:PVH38468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTPSGSLWTSVPLVVALVGELRDGADSDLQLARGFLRCGGVRMCLAIWAWFVISSSLEGLSIRLLLLKYIL >PAN26979 pep chromosome:PHallii_v3.1:5:3205028:3209922:-1 gene:PAHAL_5G051000 transcript:PAN26979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAAGEASAPPPPPAPSTFGGRPASNPTSTGSSPPSRTTKPGVKRLVLTASVLLSFLLGLPFLLKSTEIHRSPLPSDAITALAHRLHSNPPSFPCGLHAVFLRPGSGPSDASLASRLERAISAKLQLLPAPPTAGNVSVSATVESAGGCSSSSSVGSRWQCGVVTTADLVLGDEVFDELLHSALGSSGGDGSMVYTVVVVEIDDVEEMRVVIGKHRHAWLVGKVDEAKAVSSIGKVFVKYFMNGGIEEGETGIGKGEFMPIGSDGNVVLSFSLLNADPSGWMYDWDFEKIGERMLDPVIEALRPIAKINIESQVLYHTPKSSYSYADDKLGGNVLSMGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYVPSAKECPLHLQLPDGGISKTNAFISPMWGGVLIWNPPDCSPGSRKTHGTRKKMSSQEHMETLEIFIGQLRQLFGLKPSYRSQDMDMSTKFVASEKGFTEWELDLLYRHHACSNLLSCLTTLESLSSLVRSLPRMIVMDEIGRQVELSLEAANLAQRNATLGISDSSAVSATRARALAEDAFFHPSIMSISYASVEHYFAIYMPFFAPVSLHVLLAAIKELKRYKAERAKYSAFLASRATSS >PAN26949 pep chromosome:PHallii_v3.1:5:3093684:3095854:-1 gene:PAHAL_5G049100 transcript:PAN26949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLQSHGVLVRPGETVKCNPGELYCHISQIALQDDKGNEDVRVFVKVDGNRIPIGTLSVDKYPQCKIGLVFEKEFELLHSSKTSNISALGFTFREHKIKSYSDTSTDEDDDSDEEVPLAIPLYPNADDDKSKETENGAEKPAATQFCKLKNACDVGGADDDDSDENAVDSAEVESGDDEDSNDQDGSESSDEVGEALKNATGKIRPAETPLRTPVEKKAKIATPSMGNKTGSGSTNRSGYVHVATPYPSSKQVKKTPSSSTALSEPLAIHASRAARLSTPRSGYSLQGEA >PVH37630 pep chromosome:PHallii_v3.1:5:3093261:3096578:-1 gene:PAHAL_5G049100 transcript:PVH37630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLQSHGVLVRPGETVKCNPGELYCHISQIALQDDKGNEDVRVFVKVDGNRIPIGTLSVDKYPQCKIGLVFEKEFELLHSSKTSNISALGFTFREHKIKSYSDTSTDEDDDSDEEVPLAIPLYPNADDDKSKETENGAEKPAATQFCKLKNACDVGGADDDDSDENAVDSAEVESGDDEDSNDQDGSESSDEVGEALKARLGQQKHP >PVH37631 pep chromosome:PHallii_v3.1:5:3093684:3095854:-1 gene:PAHAL_5G049100 transcript:PVH37631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLQSHGVLVRPGETVKCNPGELYCHISQIALQDDKGNEDVRVFVKVDGNRIPIGTLSVDKYPQCKIGLVFEKEFELLHSSKTSNISALGFTFREHKIKSYSDTSTDEDDDSDEEVPLAIPLYPNADDDKSKETENGAEKPAATQFCKLKNACDVGGADDDDSDENAVDSAEVESGDDEDSNDQDGSESSDEVGEALKNATGKIRPAETPLRTPVEKKAKIATPSMGNKTGSGSTNRSGYVHVATPYPSSKQVKKTPSSSTALSEPLAIHASRAARLSTPRSGYSLQGEA >PAN26947 pep chromosome:PHallii_v3.1:5:3093261:3096577:-1 gene:PAHAL_5G049100 transcript:PAN26947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIARRRHWGVLVRPGETVKCNPGELYCHISQIALQDDKGNEDVRVFVKVDGNRIPIGTLSVDKYPQCKIGLVFEKEFELLHSSKTSNISALGFTFREHKIKSYSDTSTDEDDDSDEEVPLAIPLYPNADDDKSKETENGAEKPAATQFCKLKNACDVGGADDDDSDENAVDSAEVESGDDEDSNDQDGSESSDEVGEALKNATGKIRPAETPLRTPVEKKAKIATPSMGNKTGSGSTNRSGYVHVATPYPSSKQVKKTPSSSTALSEPLAIHASRAARLSTPRSGYSLQGEA >PVH37632 pep chromosome:PHallii_v3.1:5:3094106:3095854:-1 gene:PAHAL_5G049100 transcript:PVH37632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLQSHGVLVRPGETVKCNPGELYCHISQIALQDDKGNEDVRVFVKVDGNRIPIGTLSVDKYPQCKIGLVFEKEFELLHSSKTSNISALGFTFREHKIKSYSDTSTDEDDDSDEEVPLAIPLYPNADDDKSKETENGAEKPAATQFCKLKNACDVGGADDDDSDENAVDSAEVESGDDEDSNDQDGSESSDEVGEALKARLGQQKHP >PAN26948 pep chromosome:PHallii_v3.1:5:3093261:3096578:-1 gene:PAHAL_5G049100 transcript:PAN26948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIARRRHWGVLVRPGETVKCNPGELYCHISQIALQDDKGNEDVRVFVKVDGNRIPIGTLSVDKYPQCKIGLVFEKEFELLHSSKTSNISALGFTFREHKIKSYSDTSTDEDDDSDEEVPLAIPLYPNADDDKSKETENGAEKPAATQFCKLKNACDVGGADDDDSDENAVDSAEVESGDDEDSNDQDGSESSDEVGEALKARLGQQKHP >PVH38963 pep chromosome:PHallii_v3.1:5:50072715:50075967:-1 gene:PAHAL_5G403100 transcript:PVH38963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVLIPVKELVAICRDEGVDKVFVDAAHAIGQVSIDVRDVGADFYTSNLHKWFFCPSAVAFLHIRKDDPIAAQLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDAVDLMSRFEGGIEGISRRNHDKVIKMGMMLAEAWGTFLGSPPEMCGSMAMIGLPGCLGIDSDDDAMRVRDMLRNDFKVEVPIFNNSRGTEGQKMAKDANGDQVTGYVRISHQVYNVREEYEVLRDAVNKLVRDGFSCSKLRPSGKVQKYRVPIPRS >PAN28961 pep chromosome:PHallii_v3.1:5:11439807:11442318:1 gene:PAHAL_5G189900 transcript:PAN28961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQHQHNARHAGGHRLETVIDMARQQPEKAAGAGQFGFTGGLEFTSLTYTVVKKQRGAGGEWEKKDVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRIASLDGRVALDGVEMSPSLIKRSSAYVMQDDRLFPMLTVYETLMFAADFRLGSSVSASDKKLRVENLIEQLGLTSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILLLLDHLIILARGQLMYSGGPKEVTAHLARMGRKVPKGENSIEHLLDVIQEYEQSEFGVKALAEFCLTGLKPPKLTATYGAEGLSTVSSIAQTPMMGLGGEDFDHSMRSQHSRSPWSGVQLTPSRRPKHKDQHGRSHNPPGYSSGAHHNHRYTPEIVMGTPTPLSSAYTVNEDDYLTPTHRAAPNATGAPGVGINALGHRGKFANSYVGEVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTKPKDNPQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGLITYLPFLLLQSATYAGIVWFALKLHGQFLYFLVMLYASLLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLSSHSIPLAWKWMNTISTMKYPYEGLLMNEFDGDRVFATDPIRLTGDDILRQLGISTVEGRKWRMVLYLLGWAVFYRVLFYLVLRFASKNKRK >PAN32119 pep chromosome:PHallii_v3.1:5:54650844:54651699:1 gene:PAHAL_5G465400 transcript:PAN32119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRRSNVFDPFSMDLWDPFDNMFRSIVPSSSSDSDTSAFANARIDWKETPEAHVFKADLPGVKKDEVKVEVEDGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPEDAKVEQVKAGLENGVLTVTVPKAEGKKPEVKSIQISG >PAN30624 pep chromosome:PHallii_v3.1:5:25253689:25255075:1 gene:PAHAL_5G307500 transcript:PAN30624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSVWVRTFSSPACVHAIESSDDDGSHRSTMAPSGGEESRKKIDNKVTKLLLPLKHRRRISRALRSLSWGAKEEEPTNKKDGGCEELSDTETTFVSANSSELRSSSTDVDESEPPSFRLSPPPIFPTGSIEHRPPASPVKIVRKLPFGYVIGRQLDIPAPPPPSVTTLARRIKKVVPVMAALHLRSRSQMVKKKVGRALKEACRRGRHEVVEEAEVACGSHDDEDVFWKKDVKGLRCRRVDGDDAPY >PAN32370 pep chromosome:PHallii_v3.1:5:55624418:55626047:-1 gene:PAHAL_5G482400 transcript:PAN32370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPPPPQSTPIAMAATPPAPPAHGGRNGSSPAPPSTESFAKFFESWISEQSRDLAELRAAASADPATPEADLRRLVGRVLGHYEHYYRTKAAAAADDVLRMFSPSWISTTENLYLWCGGWRPTAAFHLLYTKSGMQLEHQLPNFLNGGSLEGDLGDLGAGQLQAADQLQRRTITREREIEDAAASAQEALATARMVELAGGGGMDAEAMEREMQAKADGMKRVLQMADALRLETMRGVVALLRPAQAVHFLLAAAELHLAVHDFGRRKDGRAGDAAALPPPQP >PAN28569 pep chromosome:PHallii_v3.1:5:9808669:9813537:1 gene:PAHAL_5G162600 transcript:PAN28569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSAARRAREAQGSPAAAVGAPEAAAPARAPSPADTRRRATRAAARAEEREREEIRPAEVVAGEGGGDGDEGERGMDDPDSGARSADKLVADDEGGPPVPDTVQVGNSPKYRVDRKLGKGGFGQVYVGHRMSATGPGAVEVALKFEHKTSKGCNYAPPYEWAVYNAVGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTPEEKKLFLVDLGLATKWKDSATGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCVSLFDSVVGPNPDIRPINTDGAQKLIHQVGQKRGRLLIEEEADEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIEKGNEDGLFISCITSCSNLWALIMDAGTGFSSQVYELTPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTTYTQQSYKVSDTFPYKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHKRWDNGYRITATAATWDQAAFVLSVPRRRPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >PAN31461 pep chromosome:PHallii_v3.1:5:51710665:51716168:1 gene:PAHAL_5G421200 transcript:PAN31461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFKSSNSGTTEKGLSLEEQQEKINELRKELGEYSSAAIQGFLSDASLSRFLRARNWNVQKASKMMKAAVKWRLAFKPENICWEDIAQEAETGKIYRADYKDKHGRTVLVLRPGLENTTSATGQIKYLVYSLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETRKKVKFVYSNDKESQKIMAEVFDMEELDSAFGGKNPATFEYNSYAERMQEDDKKMGSLHSSTDSFLASSEKEANRADSDVSSEASFYSGTDSPRHDAGEHSTPKNG >PAN32973 pep chromosome:PHallii_v3.1:5:58403262:58403516:-1 gene:PAHAL_5G526300 transcript:PAN32973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSRLPEVTIDPAPGVGAAVDAGKAAGKEPTSPGTPSSVDAGRRSGKERRAEDCVPLPGWKLDALCQESCPSPAMRARFPYF >PAN29970 pep chromosome:PHallii_v3.1:5:16936713:16939742:1 gene:PAHAL_5G262600 transcript:PAN29970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSPAPAPPCRGLLPPLSKPQPMLAVAAKSLASRQAKVKARARAQPVALARSTSIDDALSAGFVRLLNANPGQDSDATGATSGLYDPKPGDFAVGVVVSGTEARLDVAVGADHLATLLAKELLPLDRDGGDFAAREASPRPGSVGVLASPAVDEEATRKHNRGSRALVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQIMQLDEPIEVKIYEWNTGGLLTRIEGLRAFLPKVELMDRIGTFTDLKNKVGCSIRVCIARLDEETNDLIISEKKAWEMTYLREGTLLQGSVRKIFPYGAQVRIAGTNRSGLLHISNITRGRVLSVNDILKIDDEIKVLVIKSNVPDKIALSIADLESAPGLFLSDREKVFSEAEEMAKRYREQLPVISQNTMLDDSLPGETRPFDDEAKLYANWKWFKFLHHNKPGDNSSRDLP >PAN29969 pep chromosome:PHallii_v3.1:5:16936713:16939750:1 gene:PAHAL_5G262600 transcript:PAN29969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSPAPAPPCRGLLPPLSKPQPMLAVAAKSLASRQAKVKARARAQPVALARSTSIDDALSAGFVRLLNANPGQDSDATGATSGLYDPKPGDFAVGVVVSGTEARLDVAVGADHLATLLAKELLPLDRDGGDFAAREASPRPGSVGVLASPAVDEEATRKHNRGSRALVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQIMQLDEPIEVKIYEWNTGGLLTRIEGLRAFLPKVELMDRIGTFTDLKNKVGCSIRVCIARLDEETNDLIISEKKAWEMTYLREGTLLQGSVRKIFPYGAQVRIAGTNRSGLLHISNITRGRVLSVNDILKIDDEIKVLVIKSNVPDKIALSIADLESAPGLFLSDREKVFSEAEEMAKRYREQLPVISQNTMLDDSLPGETRPFDDEAKLYANWKWFKFLHHNKPGDNSSRDLP >PVH37849 pep chromosome:PHallii_v3.1:5:6304417:6306290:1 gene:PAHAL_5G103700 transcript:PVH37849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITLVLLAGSLLGRMNIRAWMAFTPLWLLLSYTICAFSLWGGGFLYQWGVIDYSGGYVVHLSSGVAGFTAAYWVGPRLKSDRERFAPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCVTAGAGLVHTWAAILMGVCAGSVPWVTMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGVLTGLLATPDLMALHSHLPGARGAFYGGGVAQVGKQLGGALFVVAWNVVVTTGIILAVALVVPLRMPDEQLRIGDDAAHGEEAYALWGDGERFDLTRNEAARTGAWGNGVREETVDHRLAGTGARGLTIQL >PAN29340 pep chromosome:PHallii_v3.1:5:55000302:55000871:1 gene:PAHAL_5G470900 transcript:PAN29340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METREPDGLGEKKRNRLENTSFVSNFTDECLLAALFQKKKMSACFRPSGNHSQSLPGNSSLLSITTCICTTTIAVSTVICEGCDEEEEETTE >PVH37568 pep chromosome:PHallii_v3.1:5:2063311:2065187:-1 gene:PAHAL_5G033100 transcript:PVH37568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MLVFPSKFGSCIMEQAVRMTSKNPTKTPPLLATLLLLLAFLALCAPASSQPLHSEPMPTQSPPPSPTPPQSTIPRAPAGGAARLRRIALGVLFGSISGFLLALAFLYGIRVAILHAKSAPAIVKGPVSFTPQISPKNLLAALPSAQPLAHGPHGKYCKLALDNDLTVAVKRLEAANRPEEASPSMSPSTSKSDMRRVQRQLEALARVRHQNVMTLKAYVREADRLSLVYDFIPGGSLEELMKRVRSQQVSLNWDARSRIVVGIAKGLRHLHFEYSPRILHCNLKPSNVMLDEGFEPILTDCGIARLIAAGSGDPELCSGLYAAPECYQSSSSHSLACGCYHSVFQITISTLLYLEMVRRVTEKV >PAN26713 pep chromosome:PHallii_v3.1:5:2061791:2066171:-1 gene:PAHAL_5G033100 transcript:PAN26713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MLVFPSKFGSCIMEQAVRMTSKNPTKTPPLLATLLLLLAFLALCAPASSQPLHSEPMPTQSPPPSPTPPQSTIPRAPAGGAARLRRIALGVLFGSISGFLLALAFLYGIRVAILHAKSAPAIVKGPVSFTPQISPKNLLAALPSAQPLAHGPHGKYCKLALDNDLTVAVKRLEAANRPEEASPSMSPSTSKSDMRRVQRQLEALARVRHQNVMTLKAYVREADRLSLVYDFIPGGSLEELMKRVRSQQVSLNWDARSRIVVGIAKGLRHLHFEYSPRILHCNLKPSNVMLDEGFEPILTDCGIARLIAAGSGDPELCSGLYAAPECYQSSRYTDKSDVYALGMILGVLLTGRDPTDPFFSGETGRGGLPRWLRHMQQSADPKEALDSSILGDEGEEEEMFMAIRVAIVCLSDSPVDRPSSDELVAMLTQLHSL >PVH37567 pep chromosome:PHallii_v3.1:5:2063311:2065187:-1 gene:PAHAL_5G033100 transcript:PVH37567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MLVFPSKFGSCIMEQAVRMTSKNPTKTPPLLATLLLLLAFLALCAPASSQPLHSEPMPTQSPPPSPTPPQSTIPRAPAGGAARLRRIALGVLFGSISGFLLALAFLYGIRVAILHAKSAPAIVKGPVSFTPQISPKNLLAALPSAQPLAHGPHGKYCKLALDNDLTVAVKRLEAANRPEEASPSMSPSTSKSDMRRVQRQLEALARVRHQNVMTLKAYVREADRLSLVYDFIPGGSLEELMKRVRSQQVSLNWDARSRIVVGIAKGLRHLHFEYSPRILHCNLKPSNVMLDEGFEPILTDCGIARLIAAGSGDPELCSGLYAAPECYQSSSSHSLACGCYHSVFQITISTLLYLEMVRRVTEKV >PAN32752 pep chromosome:PHallii_v3.1:5:57258514:57258864:1 gene:PAHAL_5G508300 transcript:PAN32752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPGCWRGRRRRLLRPRTPRSGVRARSCRASAGFRGGLVVGPSSSFPRGWRSTTASSGPRAEVFGVRPRSMGDRRRLYPVPNGCLLRLLSKPDRDGALF >PVH38699 pep chromosome:PHallii_v3.1:5:33316716:33317602:-1 gene:PAHAL_5G330400 transcript:PVH38699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCESVCLLAASNRDGHHHEGKKTTEMNTDTGDHSHFQEGDGADEHELLQDDGPDEHERLQDDTPPAEELAPFAGGSRAAKVAEFARQKLAEVNMPRAVIAGLGLVGGAVGVYFLWPAAAVVAAVGATMKAPGGAGLLISRVAFQANPQLYYQILRTAGAAAAAAAFAL >PAN28609 pep chromosome:PHallii_v3.1:5:10048979:10053049:1 gene:PAHAL_5G165600 transcript:PAN28609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAMATSRGGKPREPLLQSPTLTAANGGGAAGRRRFLAFLAVTAALVASYRLLEPANSSRYHALFLTLGSNATAAAHLRALTLRPHVAGTEANAAAARYVLHAFSSLSFPAHITPYSVLLSYPVHRSLTLAAARGLAARPFSLVQETYKGDPFAEAAVEVIPTYFAYSASGSVAAEVVYANYGHNEDYAYLASRGVDVAGKVALVRYGDIHCEDMVRNARAAGAAAAIVYTDAKDFGGSAPKGAKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGDDCERLSAEDLSASEAMPGIPALPVSARDGETILKAMAGDVAPPKWQGGEGAPVYRLGPGPAVLNLTYIGNDTLATIENVYAVIQGKEEPDRYVIIGNHRDAWTFGAIDPNSGTAAMLEIAERLSKLQAKGWRPRRTIILCSWDAEEFALIGSTEWVEENMDTLASRAIAYLNVDISVFGPGGLRPRATPQLDELIKEASKMVPDPDEPSQTLYDTMMRYHPPITRVAGAGTDFAAFLQHIGVPSLDMSYGLFDEYPVYHSLYDDYVWVERFGDPLFQRHVAVASVWGLIALKLADDEIIPFNYVSYASELEECTKDIAEKCKGFPVSLSPLQKSIKQLETAATKIHEEKKLLQAENWSLKTRQYTLKVREINDRLMMAERAFTNREGLAGRPWYKHMIYASSDQDDWGTKAFPGIVSAMDKAKKSNTTESWRSLQHEIYRVARAVSKASAVLDGGLT >PVH38289 pep chromosome:PHallii_v3.1:5:13419828:13422470:-1 gene:PAHAL_5G219600 transcript:PVH38289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLMEDYSSWDYLPVDHIRTPAFNSGVVSEASNGNKEFSVSLDAAVPDDILERIFTFLPIASMMRATAVCKRWYSIIYSSRFLWTHMLPQRPWYFMFTSNETAAGYAFDPILRKWYDLELPYIDKSSCFVSSSCGLVCFMDNDNRNTISVSNPITKNSKRLLEPPGETLPDYSTIAIKVDRLSHKYSITVAKSKQVPEDFVRWYFSVYKYDSWSGTWVTSASEVFIGWRGGDDSVICNGVLYCLIHSTGVLGNVNPRHGVIMYDLVGESSGTSLMQTSIPVPCSLTCGRLVNLKEKLVLVGGIAKHNRPDIIKGIGIWELHEKQWHEVTRMPHKFFQGFGEFDDVFACSGTDDLVYIQSYGATALLVFDMMHKQWKWSVKCPVSKRFPLQLFTGFCFEPRLDIST >PVH38287 pep chromosome:PHallii_v3.1:5:13418857:13422258:-1 gene:PAHAL_5G219600 transcript:PVH38287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLMEDYSSWDYLPVDHIRTPAFNSGVVSEASNGNKEFSVSLDAAVPDDILERIFTFLPIASMMRATAVCKRWYSIIYSSRFLWTHMLPQRPWYFMFTSNETAAGYAFDPILRKWYDLELPYIDKSSCFVSSSCGLVCFMDNDNRNTISVSNPITKNSKRLLEPPGETLPDYSTIAIKVDRLSHKYSITVAKSKQVPEDFVRWYFSVYKYDSWSGTWVTSASEVFIGWRGGDDSVICNGVLYCLIHSTGVLGNVNPRHGVIMYDLVGESSGTSLMQTSIPVPCSLTCGRLVNLKEKLVLVGGIAKHNRPDIIKGIGIWELHEKQWHEVTRMPHKFFQGFGEFDDVFACSGTDDLVYIQSYGATALLVFDMMHKQWKWSVKCPNHHGPNPGFLLWGLTHPVLSVMTPP >PVH38288 pep chromosome:PHallii_v3.1:5:13418857:13422470:-1 gene:PAHAL_5G219600 transcript:PVH38288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLMEDYSSWDYLPVDHIRTPAFNSGVVSEASNGNKEFSVSLDAAVPDDILERIFTFLPIASMMRATAVCKRWYSIIYSSRFLWTHMLPQRPWYFMFTSNETAAGYAFDPILRKWYDLELPYIDKSSCFVSSSCGLVCFMDNDNRNTISVSNPITKNSKRLLEPPGETLPDYSTIAIKVDRLSHKYSITVAKSKQVPEDFVRWYFSVYKYDSWSGTWVTSASEVFIGWRGGDDSVICNGVLYCLIHSTGVLGNVNPRHGVIMYDLVGESSGTSLMQTSIPVPCSLTCGRLVNLKEKLVLVGGIAKHNRPDIIKGIGIWELHEKQWHEVTRMPHKFFQGFGEFDDVFACSGTDDLVYIQSYGATALLVFDMMHKQWKWSVKCPNHHGPNPGFLLWGLTHPVLSVMTPP >PAN30638 pep chromosome:PHallii_v3.1:5:25917333:25919187:-1 gene:PAHAL_5G309100 transcript:PAN30638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANNALRVFFILTVVCAARAAPAPGGAAKATGGAGEAFDITKLGATNDGKTDSTKALQDAWMSACRATGRATVLIPKGDYLVGPLNFSGPCKAAITIQLDGTLLGSNDLAKYKASWIEVSHVENIVITGPGTLDGQGTAVYPKSKTADYKALPNTLVLFYVTNATISGIRLLNAKFFHISIDTSKDITVKDVSITAPADVENTDGVHVGASSKVSITNATIGTGDDCVSIGPGSSGVTVTGITCGPGQGISVGCLGRYKDEKDVTDVTVKDCVLKRTTNGVRIKSYEDAESVLTASHLTFENIKMEEVANPIIIDQYYCPQKVCPGKRSNSSNVSVKDVTFRNITGTSSTPEAISLICSDAQPCSGVQLVDVNVEYAGKNNKTMAVCSNAKGTAKGSLEALACLV >PVH37686 pep chromosome:PHallii_v3.1:5:3718663:3719408:1 gene:PAHAL_5G058800 transcript:PVH37686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMSESDKAKLSDRVRRLLTKPIKFFIEMQELFQNSSADDDEDNDYDDDICNDFSNYAQREDDLGDDSDTLPSPISGQPVMASQVADLSSSSSGIKRPRSEGQPPKRDVRLKSRISRVGDTIAATLVELQKEMKKPPPPPPAMRSSDDILWERLEQMTLTTDQKLMVGTYLAAKDQKGMRGFLSASAEVTFQSWVLKFLSDAGL >PAN29029 pep chromosome:PHallii_v3.1:5:11785166:11790068:-1 gene:PAHAL_5G194900 transcript:PAN29029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKRSTSVAALPPAGRRARARLCLRLAAPLSFLLLLAALLRAQPLLGVLPPAAPPPAAGPAKVAFLFLVRAGVPLDFLWDAFFRSGEEGRFSVYVHSAPGFQLDRTTTGSPYFYGRQLARSLKVVWGEATMVEAERLLFAAALQDPANQRFVLLSDSCVPLYNFSYIYTYLMASPKSFVDSFVDKTEKRYNQNMSPAIPKDKWRKGSQWVVLIRKHAEVVVGDRNVLQVFRRHCKMVVTQRLLGRRPNARRLGFNLRRNQKGAALQEHDCIPDEHYVQTLFSIKGLEDELERRTLTYTSWNQSSNPKDKMTWHPMKFEYDSSSPEQISAIKSIDHVNYQMEYRTEWCQCNGTSVPCFLFARKFSYSAAMHLLEQGVIGPPKSAQLLVNF >PVH38388 pep chromosome:PHallii_v3.1:5:15899117:15901959:1 gene:PAHAL_5G250500 transcript:PVH38388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCVDSSEVYGFGEIAKASASGSKVTCCDISSDGKLLATGGHDKKAVLWCTEPLLEPKSSLEEHSMLITDVRFSPSMPRRLATSSFDRTLRVWDADETEYSLRTFTGHQASITSLDFHPNKQDVICSCDGGGQVRSWSTKYANCLNRVKVSRGLRFQPRRGKYLATASEKAVFILDGETQIVRRSPLQGHSKDIQSLCWDSPGDYLASVSEDSVRIWSFASGHDGEFVHELNCSRNRFHSCVFHPTCPSLLLIGCYKSLELWDIRGNKSVTLNNAHDGLVAALAASSEHRARCLSKSR >PVH38387 pep chromosome:PHallii_v3.1:5:15899117:15901959:1 gene:PAHAL_5G250500 transcript:PVH38387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITDVRFSPSMPRRLATSSFDRTLRVWDADETEYSLRTFTGHQASITSLDFHPNKQDVICSCDGGGQVRSWSTKYANCLNRVKVSRGLRFQPRRGKYLATASEKAVFILDGETQIVRRSPLQGHSKDIQSLCWDSPGDYLASVSEDSVRIWSFASGHDGEFVHELNCSRNRFHSCVFHPTCPSLLLIGCYKSLELWDIRGNKSVTLNNAHDGLVAALAASSEHRARCLSKSR >PVH37878 pep chromosome:PHallii_v3.1:5:6674905:6675294:1 gene:PAHAL_5G110800 transcript:PVH37878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLLCWRKKMPKEQIGLLLSKSNRSRNVLLLHLKFEVVGRQCGPHKANQTLFFTRRGPYKYMLFLFILSRISSFFSFFFEASFFSSRVQILATIHNNLLPFIQP >PAN29688 pep chromosome:PHallii_v3.1:5:15387368:15390185:-1 gene:PAHAL_5G244600 transcript:PAN29688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLAPPLAGALPRVLRGICTAAPPPSKTPPEPLSRSELDAISALLPRLLSAGHVPAAGRLLSAALLLPGSLERLPLPALAAHLASLPTLSEAFALLTALRHHPARPSPLPLASLLLDSLLSQRRARDAASVLRWLCRPDSPRRPDANTYAAAVAGLCRLEDPRGALAALREMAADGLQASPELREAVRDSMLQDARIEEAWALEEVMRLREIGKVAELVDKLLSQWEP >PAN29629 pep chromosome:PHallii_v3.1:5:15049053:15054619:1 gene:PAHAL_5G239300 transcript:PAN29629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPTATATAIFLSAAPSSFSTRRRRSRLAAISASLSPSSSSEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSENTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRQLVPIDLDKLHFVGESLRILRNEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLAQAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVSRIKKECPHVPLVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGIGVQGNVDPAFLFSPLPVLTDEIHRVVKSAGPKSHILNLGHGVLQKTPEEAVAHFFDVTRSLRYDTLFQGSVAGELQAVA >PAN32465 pep chromosome:PHallii_v3.1:5:55958270:55973829:-1 gene:PAHAL_5G488700 transcript:PAN32465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MASSAALSRLADRTRVPDPTLQRHAVAAFFRHLLSLPAPLPEAAHDAASALLASPHPAVAAHAAASVARLAASRPDLLPPGRALPLLLAPLAASPSPRLASCLVKVVSALAACALRSGSRFPPHDHPFVQALASGADGARAELARQAARMVVEGLDGIVGFLRPFVMFSVVRKGDAAFARDLIGALAAAAAAAGKAGVAIPVLKLLEESMLHWGRGDDQERWLWLSSAECLVGAHVLLLRKLVHAQMPTYDAQASSAMLMEALLSQCLFHKKFMGITSTLLGLSKHLFSVEKDLGLCYLPEISGVLSSLSHSLSGLEFEHEQLVGLKLLAFLIEWKYENVLERKEQKHCLSEELLCVMAVINLAISPSKSVKAVVYHILSRFSSLVLDMPACHSSEQQDTSTDYRISKPALILPKLLHHIWSQPSSAGFIFMKHTATKVSPESGRNYLEARYWTDQVNDYLTVLRREKLTLDGLSSKKTSSVPISSLISSVACVLVMHPKLGTSAAESLAVLGASDPRLGMPLFVVILFYIKILCNNNNFSTEILLSLIESLPSLAIHGFVLPLALQWISPMLKRDTNPVLYAIAVRLLCKVWIVTDWAFPNLQAILDPENISNFISDREISMSIASSIRDVCKHNPDRGVDLILSVSFCIESRDSVVQALGLEGLSYLCEADVVDFYTAWKVISKELLDYSIEPAVAHSLCVLLRCGAMDAESYSGISNNLIGILWSIGTSKKNDSESLWVKARETAFLSLSHYKVSLIQDAIPDFSKRNYVFFTNEDNLAVLNAMENLQDEIVKFEHINRRRVTTDKRVAVHKFEKLLDVFPQAVFKGKSTHHQLPGAALLTLNFTPKDILNEGKSKGLPRVHASFEQAFTEIAESLYISRNIEVALLAFHSWKSFVSNWMQAVVALLDIKESSKLNKALKAAKDIFKILCDHVPVSTPRVAVNIALVIGALCSIVPPTAHLVISSASDFLLKWLFQYEHEHQQWSAALSLGLIFNCFHPTDKKSRFQVINGLLEVISKTESCLAKGACGLALGYACQGLLTRAHNASDSEVEATTELNERASIEDILHALVSSLIQLCPSSCYSLKKLNIYGIDSMEGMEENSDRFNDDPWAIAGLVLGLGNSVVALYRLGAYGTVIEIKDILMSWIPNVNSSCELFDEMNSVSLCIGSCLALPSVVAFCQRVDLLNEDLDALFNCYTSLASELLNLNKSGIIFQNLLMAICIGAGSLLSFILDDGVHAVEPSAVKKLLDTLRHIYTHPFPPLVHLGGMFGVVNACGAGAGDLTGMCSKLMTSQIKHEESSLVRGPLLASPVGETLSTPMVQEIYLLAKDAEDKHIQGSAAWAISFLRSRWLSKNLILYNENGSNRSSGDPSQASSFSEQSLVWNLSRWLNDLKLEKPFDMVPVSTVGTVLKCLSKAPRLPTTDWGVIVRRCMKVETQIPYKATNQQDLKFLREACLHFTLAHATHISPLLQFLDDLTDILRFQRLEINVQSVLLQHLSHLIKLFSDSRLDKLYEDLTEYLYSSTSSYLNYSCEQKSMIRMSFWEGICKCLVEVVSEESGGFSFTKKCIECLLPLLTLQNDGQPEYIDEWSAAIMCLTNAQKSWLGDMLQVRNAALVTEEEHIDVAKKIIIRARLCATGCGSVNDLGNIKMMMLCTKADGVWWSVLVEIAAAINSVENSIKRQWLLDALEIGCVTAHPSTVLRFVGLLCDSCCIYMPLLVVNSRTVLSDLPVTLPSFLSSSIWDDFRDIVADKLWLLTTHIYTWAEQLAHGNDLTGSKHDF >PAN32466 pep chromosome:PHallii_v3.1:5:55959504:55973767:-1 gene:PAHAL_5G488700 transcript:PAN32466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MASSAALSRLADRTRVPDPTLQRHAVAAFFRHLLSLPAPLPEAAHDAASALLASPHPAVAAHAAASVARLAASRPDLLPPGRALPLLLAPLAASPSPRLASCLVKVVSALAACALRSGSRFPPHDHPFVQALASGADGARAELARQAARMVVEGLDGIVGFLRPFVMFSVVRKGDAAFARDLIGALAAAAAAAGKAGVAIPVLKLLEESMLHWGRGDDQERWLWLSSAECLVGAHVLLLRKLVHAQMPTYDAQASSAMLMEALLSQCLFHKKFMGITSTLLGLSKHLFSVEKDLGLCYLPEISGVLSSLSHSLSGLEFEHEQLVGLKLLAFLIEWKYENVLERKEQKHCLSEELLCVMAVINLAISPSKSVKAVVYHILSRFSSLVLDMPACHSSEQQDTSTDYRISKPALILPKLLHHIWSQPSSAGFIFMKHTATKVSPESGRNYLEARYWTDQVNDYLTVLRREKLTLDGLSSKKTSSVPISSLISSVACVLVMHPKLGTSAAESLAVLGASDPRLGMPLFVVILFYIKILCNNNNFSTEILLSLIESLPSLAIHGFVLPLALQWISPMLKRDTNPVLYAIAVRLLCKVWIVTDWAFPNLQAILDPENISNFISDREISMSIASSIRDVCKHNPDRGVDLILSVSFCIESRDSVVQALGLEGLSYLCEADVVDFYTAWKVISKELLDYSIEPAVAHSLCVLLRCGAMDAESYSGISNNLIGILWSIGTSKKNDSESLWVKARETAFLSLSHYKVSLIQDAIPDFSKRNYVFFTNEDNLAVLNAMENLQDEIVKFEHINRRRVTTDKRVAVHKFEKLLDVFPQAVFKGKSTHHQLPGAALLTLNFTPKDILNEGKSKGLPRVHASFEQAFTEIAESLYISRNIEVALLAFHSWKSFVSNWMQAVVALLDIKESSKLNKALKAAKDIFKILCDHVPVSTPRVAVNIALVIGALCSIVPPTAHLVISSASDFLLKWLFQYEHEHQQWSAALSLGLIFNCFHPTDKKSRFQVINGLLEVISKTESCLAKGACGLALGYACQGLLTRAHNASDSEVEATTELNERASIEDILHALVSSLIQLCPSSCYSLKKLNIYGIDSMEGMEENSDRFNDDPWAIAGLVLGLGNSVVALYRLGAYGTVIEIKDILMSWIPNVNSSCELFDEMNSVSLCIGSCLALPSVVAFCQRVDLLNEDLDALFNCYTSLASELLNLNKSGIIFQNLLMAICIGAGSLLSFILDDGVHAVEPSAVKKLLDTLRHIYTHPFPPLVHLGGMFGVVNACGAGAGDLTGMCSKLMTSQIKHEESSLVRGPLLASPVGETLSTPMVQEIYLLAKDAEDKHIQGSAAWAISFLRSRWLSKNLILYNENGSNRSSGDPSQASSFSEQSLVWNLSRWLNDLKLEKPFDMVPVSTVGTVLKCLSKAPRLPTTDWGVIVRRCMKVETQIPYKATNQQDLKFLREACLHFTLAHATHISPLLQFLDDLTDILRFQRLEINVQSVLLQHLSHLIKLFSDSRLDKLYEDLTEYLYSSTSSYLNYSCEQKSMIRMSFWEGICKCLVEVVSEESGGFSFTKKCIECLLPLLTLQNDGQPEYIDEWSAAIMCLTNAQKSWLGDMLQVRNAALVTEEEHIDVAKKIIIRARLCATGCGSVNDLGNIKMMMLCTKADGVWWSVLVEIAAAINSVENSIKRQWLLDALEIGCVTAHPSTVLRFVGLLCDSCCIYMPLLVVNSRTVLSDLPVTLPSFLSSSIWDDFRDIVADKLWLLTTHIYTWAEQLAHGNDLTGYAHFHRSEAEMANFLANILRSTCIAAEDYLTVDKQLKLANLESL >PAN32464 pep chromosome:PHallii_v3.1:5:55958270:55973829:-1 gene:PAHAL_5G488700 transcript:PAN32464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MIRWLWLSSAECLVGAHVLLLRKLVHAQMPTYDAQASSAMLMEALLSQCLFHKKFMGITSTLLGLSKHLFSVEKDLGLCYLPEISGVLSSLSHSLSGLEFEHEQLVGLKLLAFLIEWKYENVLERKEQKHCLSEELLCVMAVINLAISPSKSVKAVVYHILSRFSSLVLDMPACHSSEQQDTSTDYRISKPALILPKLLHHIWSQPSSAGFIFMKHTATKVSPESGRNYLEARYWTDQVNDYLTVLRREKLTLDGLSSKKTSSVPISSLISSVACVLVMHPKLGTSAAESLAVLGASDPRLGMPLFVVILFYIKILCNNNNFSTEILLSLIESLPSLAIHGFVLPLALQWISPMLKRDTNPVLYAIAVRLLCKVWIVTDWAFPNLQAILDPENISNFISDREISMSIASSIRDVCKHNPDRGVDLILSVSFCIESRDSVVQALGLEGLSYLCEADVVDFYTAWKVISKELLDYSIEPAVAHSLCVLLRCGAMDAESYSGISNNLIGILWSIGTSKKNDSESLWVKARETAFLSLSHYKVSLIQDAIPDFSKRNYVFFTNEDNLAVLNAMENLQDEIVKFEHINRRRVTTDKRVAVHKFEKLLDVFPQAVFKGKSTHHQLPGAALLTLNFTPKDILNEGKSKGLPRVHASFEQAFTEIAESLYISRNIEVALLAFHSWKSFVSNWMQAVVALLDIKESSKLNKALKAAKDIFKILCDHVPVSTPRVAVNIALVIGALCSIVPPTAHLVISSASDFLLKWLFQYEHEHQQWSAALSLGLIFNCFHPTDKKSRFQVINGLLEVISKTESCLAKGACGLALGYACQGLLTRAHNASDSEVEATTELNERASIEDILHALVSSLIQLCPSSCYSLKKLNIYGIDSMEGMEENSDRFNDDPWAIAGLVLGLGNSVVALYRLGAYGTVIEIKDILMSWIPNVNSSCELFDEMNSVSLCIGSCLALPSVVAFCQRVDLLNEDLDALFNCYTSLASELLNLNKSGIIFQNLLMAICIGAGSLLSFILDDGVHAVEPSAVKKLLDTLRHIYTHPFPPLVHLGGMFGVVNACGAGAGDLTGMCSKLMTSQIKHEESSLVRGPLLASPVGETLSTPMVQEIYLLAKDAEDKHIQGSAAWAISFLRSRWLSKNLILYNENGSNRSSGDPSQASSFSEQSLVWNLSRWLNDLKLEKPFDMVPVSTVGTVLKCLSKAPRLPTTDWGVIVRRCMKVETQIPYKATNQQDLKFLREACLHFTLAHATHISPLLQFLDDLTDILRFQRLEINVQSVLLQHLSHLIKLFSDSRLDKLYEDLTEYLYSSTSSYLNYSCEQKSMIRMSFWEGICKCLVEVVSEESGGFSFTKKCIECLLPLLTLQNDGQPEYIDEWSAAIMCLTNAQKSWLGDMLQVRNAALVTEEEHIDVAKKIIIRARLCATGCGSVNDLGNIKMMMLCTKADGVWWSVLVEIAAAINSVENSIKRQWLLDALEIGCVTAHPSTVLRFVGLLCDSCCIYMPLLVVNSRTVLSDLPVTLPSFLSSSIWDDFRDIVADKLWLLTTHIYTWAEQLAHGNDLTGYAHFHRSEAEMANFLANILRSTCIAAEDYLTVDKQLKLANLESL >PAN29051 pep chromosome:PHallii_v3.1:5:11960028:11961787:1 gene:PAHAL_5G197100 transcript:PAN29051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAAGAGGELRLLGTWSSPWVIRVRVALGLKGLSYEYLEEDLASKSDLLLRSNPVHGKVPVLLHGGRPVCESLVILEYADEAWPGVGPPLLPSDPYDRATARFWAAYVNDTFLPAFRALFRSLTEEQRAAAFENAVPKVEALDRALAECSKGKPFFGGDAVGLVDVALGSHLVWIRAVNEVAGTNLLDGARFPGLAAWAERFLAVDAVREVVPDAGKVLEQYKGFRAKWIAAAGSTSKST >PAN28465 pep chromosome:PHallii_v3.1:5:9381692:9383798:1 gene:PAHAL_5G155000 transcript:PAN28465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSEVANALLNSYCTCGAIYVAEKMFNELMFRDVASWNTMITGFAKSNVPERAFELFLSMQRQGDLPNETTFASVFYACASMNGHEHGKFIHAKVIKRNLNTSVFLNTSLVDFYANCIGWRDAHKIVEEIPENSTACWNALISGHSDSDGPTSLVILRDMLRSGIKPNEVSFSASLKDPSLLDLQQIHSLVTRLGHGDNDYVSSGIISSYASHGIVSDALTYGVALNPDSCSVSMNVLAGVYNKARMYQETKELLLHQQARDTTSWSILITACARSGDYLEAFEFFKQMKIMGYHVDNYVFVSLLSICTKNNSLDLGKLIHGLIIKTISGCCDTYVDNMLLDMYAKCGRIEDCLKVFEEMKDRNLISWTAVISALGLNGFSHKALAWFKAMEKDGCKPDKVAILAVLSACRHGRLVEEGMKIFKNMKANYSVEAEKEHYICVVDMLCKCGHLKEAEVVIRGMPFQPSTVIWRTFLQGCKTYGVTEVQVFC >PAN30227 pep chromosome:PHallii_v3.1:5:44809760:44810889:-1 gene:PAHAL_5G370500 transcript:PAN30227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPASTVAAFCIHRGPLHHDLYLVDPDLRLVGMAVGTHPGETGRSRGKGLLEERSRGKGLLEGKSRDLAGAGQEQRSLWPDEGRMIELRRTSTPTTFVEVRIYQLESLSKYIQEYLAGQPKKFLEFYICSAAAVTSDYNSVERRHISTYTVNLSYLG >PAN27320 pep chromosome:PHallii_v3.1:5:4561671:4566203:1 gene:PAHAL_5G073800 transcript:PAN27320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGDDDDSMERDFAARLRLAHSPSPAPPAATAAAAASSSPTAAGGIAFRAPQEQFTAGDFELGKIYGVGSYSKVVRAKKKDTGNVYALKVMDKTFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSAGLIHRDVKPENLLLTSDGHIKIADFGSVKPTRDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLYQMLSGSSPFKDATEWLIFQRIIARDIKFPEYFSDEAKDLIDKLLDVDPSKRPGAGPDGYSSLKKHPFFRGIDWKNLRKTRPPKLAIDPNANEDEDSQNSNWLSHMGSTTVNQQSNAVSNNGAASSSEVRSHISKLASIDSFDSKWQEFLDPGESVVLISKLKKINKLANKKVQLILTDKPQLICVDPSKMVAKGNIIWSDDPSELSVQVTNSSHFRICTPKKISTFEDSKQRAWQWKKAIEDLQRCQKY >PAN27707 pep chromosome:PHallii_v3.1:5:6126515:6128263:1 gene:PAHAL_5G100600 transcript:PAN27707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRHSSAAASSSSAPSYSDALMHHHAAASFPAALSVTVPAQIPRGAAAGYLDGNVGAFSSPPSSCYSSSLPASSYYNSIQRSISSHSLPMHIQLADVSFGGGSNGFLSPSSPSPHQLPLPPLSSSPSSSSGDLFEFTSSCPVRRVFSTGDLQGMNGSSPPRPVPSGDGCGQEGGGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEPEAEADEREASDISYEYCCGHNELSNGNNTYSGGAGAGAGCYDSSHYRLLDGVGSNGAVSAFSGAGDNGEWWWRAPGAAAAAAADDAQRLQRQVGFDEEDELWATLGDMLSVHQLAS >PAN31007 pep chromosome:PHallii_v3.1:5:47905058:47906817:-1 gene:PAHAL_5G384800 transcript:PAN31007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIRNSLGRNPLSNALHQNSGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERERGGEDVLNFALAYDLLLANTLFRKRESHLVTFHSGQYSSQIDFILARREDRSACLDCKVIPGECVVPQHKLVVADFHFRVRAHRDKCAKIARTKWWKLRGEEAQTFKERMLGERPWEEGADVDDMWLKMATCVRKVASEVFGVSRGGKKEVKETWWLNEEVQRAIKKKKECFKRLHLDKSATNIEGYRLVKRSAKRAVSVAKGQAFDNLYQWLGTKEGEKDIYRIARARERKTRDINQIKCIKDGTDRLLLKDEEIKDRWREYFDKLFNGENEGPTFELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMVPMASPLRCGDAWARGW >PAN31837 pep chromosome:PHallii_v3.1:5:53388815:53391500:1 gene:PAHAL_5G446600 transcript:PAN31837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFVDPLVVGRVIGEVVDLFVPSINMTVAYGPKDISNGCLLKPSATAAPPLVRISGRRNDLYTLIMTDPDAPSPSDPTMREYLHWIVANIPGGTDASKGEEVVEYMGPRPPVGIHRYVLVLFEQKTRVRAEAPAERANFNTRAFAAAHELGLPTAVVYFNAQKEPANRRR >PVH37770 pep chromosome:PHallii_v3.1:5:5330317:5331254:1 gene:PAHAL_5G085900 transcript:PVH37770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAGCRERARACVAYIFSSRALPQPSVTEGRYGHTAAPAMATAASPLLVLLLIQLHVVFHLSDSSIALAQPQHSSSKERHQPALLQSTCNSTSFYDVCIAALAADPSSSTADVPGLCAIAVSAAAANASGTVFFLANASDAAATPEADRALLRNCAGKYAAARDALLAARASLAEQDYDYAFVHASAAAEYPAVCRTLFRRRQQRPSRATTYPPELAKREEALRRLCTIALDIISLLQTQEPST >PAN32957 pep chromosome:PHallii_v3.1:5:58317116:58319943:-1 gene:PAHAL_5G525200 transcript:PAN32957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGNCRGGRSASLYLLSLSVSRPSFPSGDDGASGANLGQFSVGLFPGASIRQLEVPMNSAQSGAHFPVQHRSVSLEIKGTKTDIVISTYEDTFLVIVTQIGCMGTILAANKDESVFSDPTYNVSVLFGKRDEPLLLACARQLIEHISGSGSARSLVISLGLKDHSQGTLKDIIAAVIENRLW >PAN26913 pep chromosome:PHallii_v3.1:5:2967690:2970303:-1 gene:PAHAL_5G047000 transcript:PAN26913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTAAALSCSCRPSPSCSSAALRRASVAPVSGAHSPRRRRRRRRLRLAPLHVVDDSKEVQVEASAAADLPEERSRTDKMVDGMDFGELCNEFECISSPYVESTARQLARDILELREDNRAFNCYAVSVKYKDPLRTFVGRENYKRPLWITKALENPVVTVQEMSMQSTSNLTIKWAFRGKPKNPFFATIGGDVIVRVESQFVLNQISGQVLEQVDSWDLSASSLPAQTYFWLSRRVYSTIESGKDTIEAAKSTASKLSTKEDQNLEAYPDPSGDPTKFFQRPDDGFNQDVYQIGLLLAVIYFIVQFLKTIL >PAN28076 pep chromosome:PHallii_v3.1:5:7744751:7748822:-1 gene:PAHAL_5G129900 transcript:PAN28076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITPVSQLRPGRLNYSLHVRISRMWEFRGTNEQNNIKHLDLVLIDQKGNSIYAEIPPEAIADLKPHLQERKIVYMSKITIEPAKLAYRVVDNPYMVKLNKRTVVVEDKDEVSGFPKYTFSLIPLDKLEQYKNKTDCFIDVIAKIRTVTNATKVTTALGDQQMRRVILLEDLKGNTIELSLSGKRALEFDGDQVIHVGQHHHVIAIFVGTLVKLYKGHYPFLSGTSACCWYINENDIAEIKVFQKSLPSDPIPVQKTYLQIDADAAQKFEDRTLQELKHVDPFLDMGQRYQCTATIIGITENQTWCYRACKICNSRMIQKENNYECAKEGCPSTQFEWKYKIPFIASDHTYKLEFMFFEKKGMELIGKSASTLIKQYKPKEIPPEISAWIGYKFTFIVRVLSKKSVNAADPSFEVLMIKERFGKEPIISFTSSNEGVLPESSSSFITEFKDLPLLIPITSKDTKERVQNAAETQDMEIEPFGIWEEAQSSNKRSFGELDNHNQEINDNNEDPSEDNTTKRMRSQEKSKH >PAN28904 pep chromosome:PHallii_v3.1:5:11172024:11176788:-1 gene:PAHAL_5G185500 transcript:PAN28904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALASAARSRRRILPYLHRLLHSGPAAAAAAPSPSSSRFLRHASPVPRTPDHSPYLRFPDARVSTLPSGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTGRRPNAQVLEVEIEDMGARLNAYTSREQTTFFADVQARHVPVALDILSDILQHPRFPERAMQRERGVILREMEEVQGMMEEVIFDLLHAAAFRGHALGDTILGPEENIKSISKKDLEQYISTHYTCPRMVVSAAGSVSHDEVVDRVKELFTEFSTDPTTADQLVDANPANFTGSEVRVENWKMPLAHIAIAIKGASWTDPSSIPLMVIQSILGSWNRSVGVGNCSGSSLTRGVSNGNLAEGLMAFNTNYRDTGIFGIYAIALPDTLHDLSRLIMAELRRLAFQVSEEEVARARNQLKSSLLLHIDGSTAVAENNGRQMLTYGRVMPFLELFARIDAVDCATIMKTAKEYIIDKDIALAATGPISNLPELSWFRSETCSDDEFTRRIFFGNAQNN >PAN28298 pep chromosome:PHallii_v3.1:5:8595165:8597284:1 gene:PAHAL_5G143700 transcript:PAN28298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSHCHVDTSNGVHHNGGDAVPVETETTVPPPLQGSRQLDAEEFRRQGHQVVDFIADYYARMGDYYPVHPSVTPGFLRRQLPAEAPARPEPGAFAAALRDVRDLVLPGMTHWQSPRHFAHFPASSSTVGALGEALTAGINAVPFTWAASPAATELEMVVVDWLGKALHLPEGLLFRGGGGGTLLGTSCEAILCALVAAREQKLAETGSRRIGDLVVYCSDQTHFAVRKAARIAGIHRDNFREVATHREDMFALSPTELRAAMQADVDAGLVPLFLCVTIGTTQTTAVDPVRELCAVAAPHGVWVHVDAAYAGSALVCPEFRHVTGGAEAVDSFSMNAHKWLLANNDCCALWARAPSLLTAALGTEQEYILKDAAAEGHDVVDYKDWSMTLTRRFRALKLWLVLRCYGVEGLRAHVRAHVRMAASFEGMVRADARFEVVAPRQFALVCFRLRSPEKFGGEKTANELNRRLLEEVNGTSSGPYMSSAKVGGVYMLRCAIGSTLTEERHVSDAWKVVQDRAASQLRKMEIIYSVLA >PVH39180 pep chromosome:PHallii_v3.1:5:53511287:53513942:-1 gene:PAHAL_5G449100 transcript:PVH39180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPWGGPEMLVSRNASYLDVNAFEGLSYLDVIKVMREGFALRFPFTTGENIRECLAWSNRDFLNEGTKYRTVDILTVDFDFWLCVAEQFISANRVTSVLLGIICEILAAAMFVLNFIHVLRRYILVPLAVFAFLAHRYWKTRITVNAVERFLRMQQMLVTTRYAYTDIIAVTGHFREKLGQGGYGSVYKGVLLPGSVPIAVKMLGSSSCNGEDFISEVATIGKIHHVNVVRLVGFCSEETARALIYEFMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFIPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAGSSSQAYYPSLVYGQLTGEQVGEISEDVDMHELEKKLCVVGLWCIQMKPRDRPTMSEVIEMLEGDADALQMPPRPFFCDEEMLPEVASYSLSSELNVIEEEDE >PVH39179 pep chromosome:PHallii_v3.1:5:53511287:53513938:-1 gene:PAHAL_5G449100 transcript:PVH39179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSASSYCFTTSLRAFSVLLSVFAVLVADVGGRHHVLCPPFSCGGFSNVSYPFRRQGDPHGCGVQSYELVCTETSATIRIGSGTYNVLSINYTLSHFWVVDTNLGMQNSCPLPRWDYRDYYYYYDGNSHRSIDLAPLIDWWAIFVNCSQEIKYSGSSVKCLSTADSFIYVSIRPSDSVQAEYFAPSCGFLAMTPWGGPEMLVSRNASYLDVNAFEGLSYLDVIKVMREGFALRFPFTTGENIRECLAWSNRDFLNEGTKYRTVDILTVDFDFWLCVAEQFISANRVTSVLLGIICEILAAAMFVLNFIHVLRRYILVPLAVFAFLAHRYWKTRITVNAVERFLRMQQMLVTTRYAYTDIIAVTGHFREKLGQGGYGSVYKGVLLPGSVPIAVKMLGSSSCNGEDFISEVATIGKIHHVNVVRLVGFCSEETARALIYEFMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFIPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAGSSSQAYYPSLVYGQLTGEQVGEISEDVDMHELEKKLCVVGLWCIQMKPRDRPTMSEVIEMLEGDADALQMPPRPFFCDEEMLPEVASYSLSSELNVIEEEDE >PAN32954 pep chromosome:PHallii_v3.1:5:58210589:58212348:1 gene:PAHAL_5G523000 transcript:PAN32954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPEDDGGAPVAVREFDAHSERDRAAVERLERACEVGPSGGKLCLFTDLLGDPLCRVRHSPAYLMLVAEAAGGSLGGAEVVGIVRGCVKTVACGRGSNIFSKVAYLLGLRVSPGHRRRGIGRRLVERMEEWFRQMGAEYAYVATDRDNEPSLRLFTARCGYAKFRTPSVLVHPVSRHDLAPSRSRRIAVVELAPRDAERLYRERLGDVEFFPRDIDAVLSNALSLGTFLAVPDGAARPWRGVDAFLAAPSESWAVASVWNCKDAFRLEVRGAPRLWRAAARATRAADRALSPWLRVPSVPNLFEPFGMHFLYGLGGAGPAAPRMARAAGARVVATEVGACDPLRAGVPHWPRLRAEDLWCIKRLADGYGDGALGDWTKAPPGASIFVDPREF >PAN29312 pep chromosome:PHallii_v3.1:5:13201206:13206825:1 gene:PAHAL_5G216100 transcript:PAN29312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRMKRMLEDTDFANEFSTKAVKSMKISHFHVSELQQSALLNSADEAPLDESDPTIQLGDQDIRIMEASGLHDLHGGKSIGVFKDLTSGVEVSPNTEADSSANYYDNESLLHVLNYVNKEFADEGVDCSAQNFCAVGNHEASWGPNQCCNLLDICSSDDDFRFLLDNPTDLPSYTGFCDEIVSIDALMKVSSRCGVFPLIDSASEASVDNKPCSSEVDLCFSNSEVLEWLNPHLSEEDLPDLVDFAELASNDVPAIKEQGTRKVTLVLDLDETLVHSTMEQCDDADFTFPVFYDMKEHVVYVKKRPHVHMFLQKMAEMFEVVIFTASQSVYANQLLDMLDPENRLFSKRFFRESCLFTDSGYTKDLTVVGVDLAKVAIIDNTPQVFQLQVNNGIPIESWYNNPADEALPQLIPFLEILAVADDVRPIIAKKFGNTIDSCLSF >PAN29311 pep chromosome:PHallii_v3.1:5:13201206:13206825:1 gene:PAHAL_5G216100 transcript:PAN29311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRMKRMLEDTDFANEFSTKAVKSMKISHFHVSELQQSALLNSADEAPLDESDPTIQLGDQDIRIMEASGLHDLHGGKSIGVFKDLTSGVEVSPNTEADSSANYYDNESLLHVLNYVNKEFADEGVDCSAQNFCAVGNHEASWGPNQCCNLLDICSSDDDFRFLLDNPTDLPSYTGFCDEIVSIDALMKVSSRCGVFPLIDSASEASVDNKPCSSEVDLCFSNSEVLEWLNPHLSEEDLPDLVDFAELASNDVPAIKEQGTRKVTLVLDLDETLVHSTMEQCDDADFTFPVFYDMKEHVVYVKKRPHVHMFLQKMAEMFEVVIFTASQSVYANQLLDMLDPENRLFSKRFFRESCLFTDSGYTKDLTVVGVDLAKVAIIDNTPQVFQLQVNNGIPIESWYNNPADEALPQLIPFLEILAVADDVRPIIAKKFGNTIDSCLSF >PAN27472 pep chromosome:PHallii_v3.1:5:5123459:5126126:1 gene:PAHAL_5G083300 transcript:PAN27472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIKTSRVSYPEGWELIEPTIRELDAKMREAENDPHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISQKLYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCRGCASGD >PVH38557 pep chromosome:PHallii_v3.1:5:20764768:20764970:1 gene:PAHAL_5G288000 transcript:PVH38557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCVVQIWFMHRFRTKTTNKFPLSACNYSWPFHFTDKIIATNSTLPQFSTANNHF >PVH38246 pep chromosome:PHallii_v3.1:5:12767010:12767888:1 gene:PAHAL_5G209300 transcript:PVH38246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPCFAGAKRPLEMVVYDPAAAASKRARQDEATTSAAAADSAGEPLDVLDVEPISAIPPQLPPPPPPLAGREAAPCLRRHFLEALGLRADLPVHFIDEKFVTSTDLDPHQNRFRIPGAGVKRRLRAILTPRELDEANLLRDPTPRSRTRRRARQAHPAPPPSEPRNVAAEGEQPPGKKIKKPKRKGKVHGGLRVKLVDLHAGAKELLMSRWSSSKGTIVKGARVPRLHPAVRLQGERRGRGLGVRGAPVPPLRRGPVRRRPPARARRQEGPAATGVLLLLPPPAPNPSIVNS >PAN31271 pep chromosome:PHallii_v3.1:5:50601508:50605811:1 gene:PAHAL_5G408300 transcript:PAN31271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRQEQRIDAARLVRRPMATVPQQAVTRSRRVLADVGNLINGQPALANHQKQVVVPVKNCRKAIPQVAARSRRVLGDVGNLINGCPAPVNRQKPLVAASDRNGKAVKLKERSKVKPEVIVISSDSEREKKTKVSGGQRIARRVPTLTDILTTCSRASDGVISSSKNAHAFDIDAPDATNELAVVEYVEDIYRFYKSTEGTCLPLSTYMSSQAEINERMRAILVDWIIEVHHRLTLMPETLYLTVYIIDQYLSMENVPRKELQLVGVSAMLIASKYEEIWAPLVKDLLCLCDNAFSRDQVLSKEKAILDKLHWNLTVPTMYMFIVRYLKAARGDKKLENMAFFYSELALVQYTMLIYRPSVTAAAVVYAARSTLEMNPLWTDILEYHTGLTEPQLLDCARRLMSFHALAPEGKQKAVYKKYSHPKLGAVSLYSPAKKLLSV >PVH39199 pep chromosome:PHallii_v3.1:5:53832678:53833904:1 gene:PAHAL_5G453200 transcript:PVH39199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTADPPLARVTDWAGLPGDLRACVRELLTAVPGRVCFRAVCRSWRAADGPHPVPRMPPPWLVLPLGTVGCSDAFTLLSVPTMQAFRWSPPGGAGLFGVGSSGGWIAGAYIDADLKIRLSLLNPLTDARVDVPAPFGRVYHMPSGRRSATEEISLCNTFQKVAFSPSPTEHDFAVAVVTRSRSGKAMAFARAGCNEVWLADLGPFERGGDYIRAQRDVAYHDGKFYYMTMSGQVWVVDMAAPFATFEPTMPGLIKRRHHLAFTGDGALHITLSVHADGDDGAWLRPDCVYFTNIPLCSLLAQSRDCSYGRAWVLYLATGDIRRPDSATGEPRNYKVETHWAQRYPKCVWIMPSMR >PAN32354 pep chromosome:PHallii_v3.1:5:55563146:55566296:-1 gene:PAHAL_5G481100 transcript:PAN32354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLIAGLAVAATALAGRYGIQAWQAYKARPIVPRMRKFYEGGFQPTMNRREAALILGVRESANTEKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLTGKTKGGGSAF >PAN30551 pep chromosome:PHallii_v3.1:5:21752504:21760381:-1 gene:PAHAL_5G292900 transcript:PAN30551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVPPEETNRCVRGCCRSAAIPLHLPSASFSLLSPIARGSESTVYEARLAGERVAAKKPVLSTSEDLDKFHYQLQLLCELDHPGLAKLIAAHARPPNYLMFFDFFEPPNLSDKIHVEEWSPSVQQVVAIASDLAKALQYLHILGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKHVSVENWKSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALTKSGVPSVLLSLIQRCWDPDPEKRPSFENIIDELNIIQKHLVSSACLPSSPVSKIQNGNIEAHHYKEALNWFNQGELFVKRSEKSDLTENLWSGYSNQSSEYRPTLSWGSFATCGRRETMEDTHFMLPHVSEEKDVFAFGIFDGHRGSAAAEFSVRAVPGFLKQFGRSTSPIDALAEAFVRTDIAFREELILHQKSKRIIRKDWHPGCTAVTALVVRNKLFVANAGDCRAILSRAGKPFPMTKDHVASCLKERERVIKAGTEVKWQIDTWRVGTAALQVTRSIGDDDLKPAVTAQPEVIETALSEDDEFLVMASDGLWDVVSNEDVLSIIKDTVKEPGMCSKRLATEAAEHGSKDNITVIVVFLRPVSTAERIY >PAN30550 pep chromosome:PHallii_v3.1:5:21752504:21759014:-1 gene:PAHAL_5G292900 transcript:PAN30550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAKALQYLHILGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKHVSVENWKSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALTKSGVPSVLLSLIQRCWDPDPEKRPSFENIIDELNIIQKHLVSSACLPSSPVSKIQNGNIEAHHYKEALNWFNQGELFVKRSEKSDLTENLWSGYSNQSSEYRPTLSWGSFATCGRRETMEDTHFMLPHVSEEKDVFAFGIFDGHRGSAAAEFSVRAVPGFLKQFGRSTSPIDALAEAFVRTDIAFREELILHQKSKRIIRKDWHPGCTAVTALVVRNKLFVANAGDCRAILSRAGKPFPMTKDHVASCLKERERVIKAGTEVKWQIDTWRVGTAALQVTRSIGDDDLKPAVTAQPEVIETALSEDDEFLVMASDGLWDVVSNEDVLSIIKDTVKEPGMCSKRLATEAAEHGSKDNITVIVVFLRPVSTAERIY >PVH39470 pep chromosome:PHallii_v3.1:5:57377711:57380724:1 gene:PAHAL_5G509600 transcript:PVH39470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATMTMATHHPRARPRLHVCAAWDMNPGAATVAVPKPSKAKPKPPATPTTPARPPPPTHADLFARSSEGQDTGGIKKSTYMGFEKWWLPPPPEVKKPRSLYSASSLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSQHIADELRSSFQKTTPTSAQAQQPATQ >PAN28988 pep chromosome:PHallii_v3.1:5:11582184:11585674:1 gene:PAHAL_5G191800 transcript:PAN28988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLHCSDKLPFMDVEAILHMKEGLGETSYAQNSSLQKRGMDTLKSLITNAATDVYISQMPERFTVADLGCSSGPNALCLVEAIVGSIGRVCGRRSSQPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAGSDEWGRPMVFLSGVPGSFYGRLFPRRSVHFICSCSSLHWLSQVPPGLFDEAGRPVNKGKMYISSTSPPAVPLAYLRQFQLDFGLFLKSRAAEVVPGGRMVLAMLGRQTEGYIDRRTTFLWELLSESFAALVSQGLVEQDKVDAYNVPFYAPSIGEVEEEVRREGSFRLDCVQTYEISLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPDVVDALFHRYTELVTESMEREEVKSVQIGVVLTRL >PAN27135 pep chromosome:PHallii_v3.1:5:3844548:3846164:-1 gene:PAHAL_5G061000 transcript:PAN27135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAWYRAEHWHDRVFMSMDFMIVWLLLILSSLSSCSGTASDVLCLQHLKESLGDPHGALSSWNFSHNATEGHHDICGFTGVECWPDYCKNRVMFLHLGNLGLQGSFPRGLQFCTSITTLNLSVNNLSGPLPADISLQMPWVTHLHLSHNRFSGEIPPDISRRLPFVTFLDLSNNGFSGEIPRTIADLPYLNTLNLQNNQLAGRIPEQIGDLARLKSLNLSNNSLSGPIPGSLRRFAAEDFAGNDGLCGVPLDCKCKKRMRLGLRRVNDASSVGAAVGFVVGFVAAFYSQHWFVFVFSGPASAARACDASEIISV >PAN30989 pep chromosome:PHallii_v3.1:5:47189911:47190189:1 gene:PAHAL_5G380100 transcript:PAN30989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCSPLGDVTNTCNRRKRQNPEGSNIQDNNHGVIAGEDLDKRELKRRRRQGRNMQAY >PAN31386 pep chromosome:PHallii_v3.1:5:51367253:51371455:-1 gene:PAHAL_5G416000 transcript:PAN31386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKFYEKYTSLKKRKLLDEGLERKREEELKELYDAMKDWVRGLEKDKEELSEKLADKEDDLEKARQDFLADIQTRDSEILRLKQLLDEKTEKNNSTARSVDQTPEVVHENPTRMSPRRKTPQSNIRAKRVQLSENTAIPHSSLEEESQELQCSRRYTCISGNETNECPSAHMFHLLLQSLVRMKVTVDDGTEGFSVSVSHEATGYSFTLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMCRLFFERISNIITKG >PAN28968 pep chromosome:PHallii_v3.1:5:11484147:11486638:-1 gene:PAHAL_5G190400 transcript:PAN28968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter, Nitrate transpor [Source: Projected from Oryza sativa (Os01g0704100)] MAEEQFKPAAMEVEGAEAAASKPRFRMPVDSDNKATEFWLFSFARPHMSAFHLSWFSFFCCFLSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARVAMGTACDLVGPRLASAAIILLTTPAVYCSAIIDSASSYLLVRFFTGFSLASFVSTQFWMSSMFSPPKVGLANGVAGGWGNLGGGAVQLLMPLVFEAIRKIGSTPFTAWRVAFFIPGLMQTCSAIAVLAFGQDMPDGNYRKLHKSGDMHKDSFGNVFRHAVTSYRGWILALTYGYCFGVELAVDNIIAQYFFDRFGVKLSTAGFIAASFGMANIVSRPGGGLLSDWLSSRFGMRGRLWGLWVVQTVGGVLCVVLGMVDYSFGASVVVMILFSFFVQAACGLTFGIVPFVSRRSLGLISGLTGGGGNVGAVLTQLIFFHGSKYRTETGIKYMGLMIIACTLPITLIYFPQWGGMFVGPRPGATAEDYYNREWTAEERERGYNTGSVRFAENSVREGGRSGSQSKHTVPVESSPANV >PAN30216 pep chromosome:PHallii_v3.1:5:44792077:44793968:1 gene:PAHAL_5G370200 transcript:PAN30216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPPRGVACPATRGSSAAPPVCSGSSRRQRADTEGEGIHSILVRCISCSLACWKRPPLAFLASQPRGRFWLAGVSLNKHNLHCWSLARRLARHPNTPYGNNASCMHACIIKQLRCGYHCVCAEAKEFLG >PAN32815 pep chromosome:PHallii_v3.1:5:57682702:57683019:-1 gene:PAHAL_5G514200 transcript:PAN32815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTPASRRLLKMMTIMSLGYFFFLVALASTTASASSRLESWSGGGRRRSAVLMAAPGGRDALSPAVLPTGDGVLAPTPAAPVAAKPRRLVPLPPSGPSYRGHV >PAN27773 pep chromosome:PHallii_v3.1:5:6434822:6436927:-1 gene:PAHAL_5G106100 transcript:PAN27773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRLPLLLRLIPLVLLCLSPACAAGRVPVSVYYETLCPFCSAFVVNDLSRIFHDGVSSIADLRLVPFGNGRVSADGSISCQHGEGECQLNAIEACVIRLWPNAEQHFPFVQCVEHLALTRKWDAWQSCFQETGLASQPVIDCYNSGYGRQLELQNAAETNSLQPPHQFVPWVVVNGKPLGEDYMNFEAYICSVYDGKLPEACKGKHLAIAQHTEASGGEKRNPPREVGIALAIGIALWFGL >PVH37857 pep chromosome:PHallii_v3.1:5:6435355:6436907:-1 gene:PAHAL_5G106100 transcript:PVH37857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRLPLLLRLIPLVLLCLSPACAAGRVPVSVYYETLCPFCSAFVVNDLSRIFHDGVSSIADLRLVPFGNGRVSADGSISCQHGEGECQLNAIEACVIRLWPNAEQHFPFVQCVEHLALTRKWDAWQSCFQETGLASQPVIDCYNSGYGRQLELQNAAETNSLQPPHQFVPWVVVNGKPLGEDYMNFEAYICSVYDGKLPEACKGKHLAIAQHTEASGGEKVCPVSKVIS >PAN26827 pep chromosome:PHallii_v3.1:5:2635063:2639905:1 gene:PAHAL_5G041400 transcript:PAN26827 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MAAVFFHHVVGDLTVGKPEVAELHDTDTLDDAARAIASSPEGAVPVWRPRATPDDPPSGARFIGMISALDIAAFVAAAGVGDRAMRAVVGEVVQPNSGLLREIDPGTRLIDALELMRHGVKRFLVRKSGSWKGITKRFSVLYNGKWLKNVDSTSPNAASSSRQLSSSMGFADKFCCLSREDVLRFLIGCLGALAPIPLTQISSLGAINPHYSYVEASAPAMEAIQKIPQDPCAVAVVETMPDGTRKILGDISTYKLWKCDYVSAAWALANLSAGQFVIGADENGSMSMSVLPEPPVSPSSPVEEISPGRSPRVKKFSSRSIGFQANQMSAWRMRSSFHRGRSTPLTCKSSSTLAAVMAQMLSHRATHVWVTDVESEEDGLLVGVVGYTEIFNAATRGACPSPTTS >PVH39078 pep chromosome:PHallii_v3.1:5:52203279:52206338:-1 gene:PAHAL_5G428300 transcript:PVH39078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEGVRHRTVEANGVRLHVAEAGPEGAAAPAVLLLHGFPDLWYGWRHQMAALAARGYRAVAPDLRGYGDSGAPPDASAYTTFHAVGDLVALIADLGQPQVFVVGHDWGAIVAWQLCLLRPDLVRALVNLSVVYHPRQPERSPLQTIRAACGEDHYMCRFQEPGVAEAEFARYDIKYVFNKTFGMRKPAALILPKDKSFFDSLDSDGTCPPWLSEEDISYYAEKFSKTGFTGGFNYYRCIDLNWELSAPWTCAPIKVPTKFIVGDLDITYNTPGVQDYIHKGGFKASVPNLEDVVVMEGVSHFINQEKPNEVSDHICEFFSKFL >PVH38380 pep chromosome:PHallii_v3.1:5:15672725:15676391:-1 gene:PAHAL_5G248000 transcript:PVH38380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVALLLLVCAAARAAAVVTDGKSSGANLAVTDGLLPNGNFEEGPPRSALVNGTVVKGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVSRGAYYAITFSAARTCAQAERLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKLDVVTLVIHNPGVEEDPACGPLIDGVAIRALYPPRLARGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIVSSKAVKYVDAAHFKVPQGVRAVELVGGRESALVQEVRTVPGWSYRLAFAVGDAADGCTGSMVAEAFAARATVKVPYESKGTGGYKRAVLDFTAIANRTRVVFQSTFYHMKADGTLCGPLVDDASLVGLRKKPAGGRRLLL >PVH37455 pep chromosome:PHallii_v3.1:5:588476:590334:-1 gene:PAHAL_5G008300 transcript:PVH37455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLMLVEQSTFLRNFMHLDPLQSKLVGCSQLAAMQCFSPACCGFIPAWRTLATCYQNKYCCLDKAIIALLPSVHWVSFFILRLLGRQIDSCYVAGCEDDLQGQYGWNLSRSMLIA >PVH39515 pep chromosome:PHallii_v3.1:5:58285153:58286061:-1 gene:PAHAL_5G524500 transcript:PVH39515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGAAVVLAFAVCCLLVAPAPARRPVDLPPRALHAIVDREAAAEPLLPKPAGGANCDEAAEQGTALAVPEEEPRQRSSLLCLVFRCGGEPAHAGSALVARGSSEEPLAGEAEAEAQEEEADDVKERPYETDSDSDSDSDSDDEGDDGILGWLWRLADRF >PAN28058 pep chromosome:PHallii_v3.1:5:7665873:7667834:-1 gene:PAHAL_5G128300 transcript:PAN28058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLSSAAKRCSNGKKLLRSAGACCGTSSDAACGGVRGKEEASTSAPAPSPPSSPDSKKKRWRKRRFWRKKKKKAKKEGDDGGDMADLVNNISVKPDVSKNGDAEEETLRGGKQNMPSRALKFKQLSVATNGFSEKNLLGEGGFGRVYKGRLPDTKEVIAVKQLDRDGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDSNQRILVYEYMPKGSLEDHLLDLPPNWKPLPWHTRMQIAVGAAKGIEYLHEVANPPVIYRDLKASNILLDRDFNSKLSDFGLAKLGPMGDQSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDVDRPSEEQVLVHWAWPMLRDKKRFMRLADPLLGRRYPVKGLYQALAVASMCLQEDAASRPGISDVVAALSFLADQTYYPPEGTGAEQRGAAGESRPKEMSSSPSLATVVSSEIRAGDEMVQR >PAN29346 pep chromosome:PHallii_v3.1:5:13341849:13344851:-1 gene:PAHAL_5G218400 transcript:PAN29346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPIRPPPPPPPPPEVDPVAPPSPPSQQSFRDGSLSPENPASAPAPPPPPPPPPPPPPSAGPTPTPPPPGDSSPSSPPHPPLPPPPPPPLPPSADASPPLPRDGQTSPPRSPPHASPAPAPPPPPAPASSDAKSEQEAVESASKSGSMTLALALTQDSTPPTPPKASSAEASPAGSPQKESAVTIAKLLSGEDPAADKVAPPGDTGSLAAVAAVTGVGGGGGGVGSKRWLIGGGVPEKVRRAELRRAELGFRVSAAVFCLVALSVVAADTTTGWSGDSFRRYNEYRYVLTASVVAFTYSGFQLVAEVHYLVTGRRIIQAPWRSYFNLAMDQMLAYLLLSASSAALSRNDVWMSRFGGDQFTKLINASASMAFLAFIALGLSSIISAYRVFSLVS >PAN29347 pep chromosome:PHallii_v3.1:5:13342100:13344841:-1 gene:PAHAL_5G218400 transcript:PAN29347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPIRPPPPPPPPPEVDPVAPPSPPSQQSFRDGSLSPENPASAPAPPPPPPPPPPPPPSAGPTPTPPPPGDSSPSSPPHPPLPPPPPPPLPPSADASPPLPRDGQTSPPRSPPHASPAPAPPPPPAPASSDAKSEQEAVESASKSGSMTLALALTQDSTPPTPPKASSAEASPAGSPQKESAVTIAKLLSGEDPAADKVAPPGDTGSLAAVAAVTGVGGGGGGVGSKRWLIGGGVPEKVRRAELRRAELGFRVSAAVFCLVALSVVAADTTTGWSGDSFRRYNEYRYVLTASVVAFTYSGFQLVAEVHYLVTGRRIIQAPWRSYFNLAMDQMLAYLLLSASSAALSRNDVWMSRFGGDQFTKLINASASMAFLAFIALGLSSIISAYRVFSLVS >PAN26741 pep chromosome:PHallii_v3.1:5:2166887:2167194:1 gene:PAHAL_5G035200 transcript:PAN26741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCMVVVSRFKFLLSLSLRCLSCMLFEAVGL >PAN27996 pep chromosome:PHallii_v3.1:5:7433177:7433858:-1 gene:PAHAL_5G123600 transcript:PAN27996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLGLFSILAFVVAMSIVGSQAEDCAQDLSGLMSECKQYVMFPANPKMPPSDGCCGVVQKVNIPCLCSKVTKEIEKVVCMEKVVYIADKCKRPFSHGFKCGSYTVPAK >PAN32483 pep chromosome:PHallii_v3.1:5:56057778:56060621:-1 gene:PAHAL_5G490000 transcript:PAN32483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWQWSTDSAFQSSAMAVDTTEPEYWLNWRFLLCALWVYSCMALACFLIWKYEGPRSRDSNGDDGGDREEALSRAGPGVVYLEDCWKPCLEEIHPGWLLAFRLVAFFILASLLLVDIITDGWSIFLYYTQWTFLLVTLYFGLGSLLSVYGCYQYAYKTSGDGSDLIGSGADRGSYTIAPTGESAYNHVIKSPCYSKIHGGQQIAGFWGYLFQIMFQTNAGAVLITDLVFWLILYPFLAHNEYDMNFILIGTHSINVVFLVGDAALNKLHFPWFRIAYFLLWTGLFVNVQWIIHANVSIWWPYPFLDLTFPAAPVWYLVVALLHFPCYALFTLVLRLKHSVLESWFPQTYVK >PAN32480 pep chromosome:PHallii_v3.1:5:56057766:56060534:-1 gene:PAHAL_5G490000 transcript:PAN32480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTTEPEYWLNWRFLLCALWVYSCMALACFLIWKYEGPRSRDSNGDDGGDREEALSRAGPGVVYLEDCWKPCLEEIHPGWLLAFRLVAFFILASLLLVDIITDGWSIFLYYTQWTFLLVTLYFGLGSLLSVYGCYQYAYKTSGDGSDLIGSGADRGSYTIAPTGESAYNHVIKSPCYSKIHGGQQIAGFWGYLFQIMFQTNAGAVLITDLVFWLILYPFLAHNEYDMNFILIGTHSINVVFLVGDAALNKLHFPWFRIAYFLLWTGLFVNVQWIIHANVSIWWPYPFLDLTFPAAPVWYLVVALLHFPCYALFTLVLRLKHSVLESWFPQTYVK >PAN32493 pep chromosome:PHallii_v3.1:5:56084194:56088435:-1 gene:PAHAL_5G490800 transcript:PAN32493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGTTKIYATIDLEKARVGRTRMISNEPVNPRWYESFHIYCAHLAADVIFTVKIDNPIGASLIGRAYLPVQDLLDGEEIDKWLEICDGNREPIGDCKIHVKLQYFDVTKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPRIPLADGKNYEPHRCWEDIFDAINNAQHLIYITGWSVYTEITLVRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETANYFHGTDVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGTASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLSDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYGWKPEGIKPEEIGALHLIPKELSMKIVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITQALQAKGIEANPKDYLTFFCLGNREVKQEGEYEPEEQPEPDTDYSRAQEARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGSRDSEIAMGAYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESVECVQKVNKIAEKYWDMYSSDDLEQDLPGHLLSYPIGVDSDGNVTEMPGMEFFPDTRARILGTKSDYLPPILTT >PAN32492 pep chromosome:PHallii_v3.1:5:56084189:56088445:-1 gene:PAHAL_5G490800 transcript:PAN32492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGTTKIYATIDLEKARVGRTRMISNEPVNPRWYESFHIYCAHLAADVIFTVKIDNPIGASLIGRAYLPVQDLLDGEEIDKWLEICDGNREPIGDCKIHVKLQYFDVTKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPRIPLADGKNYEPHRCWEDIFDAINNAQHLIYITGWSVYTEITLVRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETANYFHGTDVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGTASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLSDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYGWKPEGIKPEEIGALHLIPKELSMKIVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITQALQAKGIEANPKDYLTFFCLGNREVKQEGEYEPEEQPEPDTDYSRAQEARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGSRDSEIAMGAYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESVECVQKVNKIAEKYWDMYSSDDLEQDLPGHLLSYPIGVDSDGNVTEMPGMEFFPDTRARILGTKSDYLPPILTT >PAN27862 pep chromosome:PHallii_v3.1:5:6842141:6845564:-1 gene:PAHAL_5G113500 transcript:PAN27862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMPISDGLPTNVTAQLHNVSRPKASSTVVCYSPMMITTNGIWQGINPLEFSLPLFILQTAIVVVTTRFLVLVLRPFRQPRVIAEILAGVLLGPSVMGQIETWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDIDVIRRSGKKALFVAIAGMALPFCMGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLSTELGRIAMSAAIVNDMCAWILLALAISISEVNSSAISSLWVLLSGVLFVLFCFYAVRPAMWWLIRRTPEGEGISDMEVTLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPTGTLGVVLIEKIEDFVTGLLLPLFFAISGLRTNIRRISDPVTVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLACVHITRNVPSVLSLLELSNPSKRSPIFIYALHLVELTGRASNMLAAAAASASKQSRSGTGSSLPPVTEHIFNAFENYERHTGGVSIQTLAAVSPYQTMHEDVSVLAEDKHVSLIVVPFHKQQTVDGGMEPINPHVRGFNESLLSASPCSVAILVDRGLSAAAARLATEHHVALFFFGGPDDREALAYAWRMVETPGVTLTIVRFLPPDYRGRSVSASTYRPPVGSDARAITISTEGKSELEMDEDYLNDFRSRNHGNGAISYSTRTVANSEETVAAIRSMDTNLHELYIVGRRPGEAGSPMTAALEDWMENPELGPIGDMLVSSDFSMKVSVLVVQQYVVAGAPAPVPAPASGSDPVRQYVGNANQRPASGSYRPTATAANSRWSGSGGAVGY >PVH37694 pep chromosome:PHallii_v3.1:5:3879496:3881974:-1 gene:PAHAL_5G061700 transcript:PVH37694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEFVECKKFILVCRRSVLHDPFSFYIALVVEGSDGKWYIGIWYNKIPGQTLVWVANREKPVSDPVSSNLIISDDGNLVILSNHSESPTWSTNIKNNKPANSTIAVLLNTGNLVVRHGSNTSIELWQSFDDITDTWLPGNKLSRNKKTGIVKRMTSWKDRGDPTPGMFSIGLDPKGSTQYVLLWNNSVVYWASGNWTGNSFNGVPELSPTNSYPNSGYTFQFVDNDEETYFTYTVKSDVQIFTRAIIDVSGLFQAFVWMEAAQAWVTFFTQPKAKCSVYGTCGENSMCSENAAASCSCLKGFTENNPNNWVLNDNTAGCRRNVPLKCVNNSSVTKQDRFYLINNVKLPDSAHIVNAANIHDCELICLSNCSCTAYSHNRTCLVWHNHLMNLQDSTGGSSDRIFIRLDASEIPNSGTKKWWIICIIIGGFIILSLGITIIYFLYKRSRIGSINQGDGPLISFKYSDLQFLTRNFSERLGAGSFGTVFKGVLPDTTTLAVKKLEGFHQGEKQFRAEVSTIGNIHHMNLIRLLGFCSEGAKRLLVYEYMPNGSLDKHLFDSGSVTLNWKIKHQIAVGIAKGLAYLHEECRDCIIHCDIKPQNILLDASFVPKVADFGLAKLLGRDFSRVLTSMRGTVGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNLEHSETNMETFFPVLVARKLLEEEVHTLFGSELTNDVSVELERACKVACWCVQDSESSRPTMGEVVKILEGLVDVAMPPVPRYLNILAEGSKNLKFFSY >PAN31465 pep chromosome:PHallii_v3.1:5:51750598:51751649:-1 gene:PAHAL_5G421500 transcript:PAN31465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHFTENIGASSRTKISIENRWTIIQKAVSKFCGFYAAIERRNESGKNEQDRINDSIRMYEDTEPCQFDHCWVVLRGELKWHEKMAETNMEQKANQKHSQDSKIEINTMHTGSALPERPEGWDSAKKRSRMMGYTSSSSTAVEMLQKMHERGEKNDETEDQLRQEMFQMERERLDLQKLNWQKKWAAWEKKWAIMESDSKLRQEEYELNQWNADLIVMSQDLEKLTPPLRAMYE >PAN27620 pep chromosome:PHallii_v3.1:5:5731369:5732055:1 gene:PAHAL_5G092900 transcript:PAN27620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTASGMEASFWCYACSRLRRPRAQGGEPVAGCTRCGTPAAALEGIVGVVDAGAFLHACHPEAGPRPPAPLPTVTVRGAGRDCAVCMEELEPGATAAVTPCEHVYHPYCVAPWLEARGTCPLCRAPVGGAGDRDGLVACHLPGGRIGLGRRVAGRIHGVRILDEDGKLERPRVLRQGFKGVRLRARMAVGLSEGAWDRHSPRPRGRGGCAVTAAARSCAELRWCSSA >PVH39257 pep chromosome:PHallii_v3.1:5:54646389:54648039:-1 gene:PAHAL_5G465200 transcript:PVH39257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRRFLSPSPPPRKHREGYAIHKRRHHLSLQHDPRRCSCPTERRIVRRRISICSSAGVLCIGHRHRAPGGRAARGSLSKRLLSHVREDVRG >PAN27115 pep chromosome:PHallii_v3.1:5:3779167:3784163:-1 gene:PAHAL_5G060000 transcript:PAN27115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRGPRLLAVLLALVAAAAAPFAASRDEREVVEVSGAPDGVVWVAQLSDLHFSVHHPERAYDFRRYVGPALAMVNPDLVLITGDLTDGKSKDLLTMKQNEVEWVEYESTINDIIRISKLPRRIFFDLRGNHDSFGVSASGDDYDFYQKYSINAKLRRQGRVQSVTLENSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLIELDQALSQWDTDFDKVPVTKLAFGHFPLSFSALTESGKSIKDVFLKQSLATYLCGHLHTRFGKNLKRYYHRAVQEPSLSEHYYQFNMHQGYATQSNKENCSEEAAHVKEFWEWEMGDWRKSRSMKILAIDDGHVSYTDIDFRLGSKSIIILPTFPLDSRFMQRASASRDFKCHIKGASTFDTVRALVFSKQEIISVSVKIYDSRPGTHEVVLDSEMKRVDSNDTRGHMYLVPWNWRAFEDPSPSRYWLQIEVMDITGDTSVSQLRPFSVNGLTARVNWTWKEFFVIGIQWASIYHPALWCAISLIFSLLLAPQVSAVVFKDQFTYKSPYTNGNQRTFLKSLVGGFVWLFVELARVILVWFLLLVYTIYLVFLPWLFGHPITEDSSLTYMTHRGWILKTPNSGNEVVHAGIPDVMVIVLPHLYFVLLPTVVILAAMAAERTAFREHYLSRSGKKKDDYYQKSRRQIEHENFWNGRWIRKFLCLLCLVVLWKHWKLCRALVKAYAMNPLLHSPVLFFFIPAVMGFSIYKTSAI >PVH38663 pep chromosome:PHallii_v3.1:5:30397653:30404496:-1 gene:PAHAL_5G320400 transcript:PVH38663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVISAFCYSMYIKPNASSDVSKSYYFFANIGENLLKDVDEANQDVLARSFKRSSRNRPINNSNNLYFPILFSEHWFVFVVDIKDHKFIFLDPLYHKDHEFHEIVRDRLIPSFQIYWDRFFQVDMGFDEYEILYPDVPRQPLENSVDSGIYAMMFVEYWKSPRTVLRNIFESSDIKNRRVKIANDLLFLPGNSGMKSRVIEYGT >PVH38664 pep chromosome:PHallii_v3.1:5:30403366:30404494:-1 gene:PAHAL_5G320400 transcript:PVH38664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVISAFCYSMYIKPNASSDVSKSYYFFANIGENLLKDVDEANQDVLARSFKRSSRNRPINNSNNLYFPILFSEHWFVFVVDIKDHKFIFLDPLYHKDHEFHEIVRDRLVDMGFDEYEILYPDVPRQPLENSVDSGIYAMMFVEYWKSPRTVLRNIFESSDIKNRRVKIANDLLFLPGNSGMKSRVIEYGT >PVH38662 pep chromosome:PHallii_v3.1:5:30403366:30404494:-1 gene:PAHAL_5G320400 transcript:PVH38662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVISAFCYSMYIKPNASSDVSKSYYFFANIGENLLKDVDEANQDVLARSFKRSSRNRPINNSNNLYFPILFSEHWFVFVVDIKDHKFIFLDPLYHKDHEFHEIVRDRLIPSFQIYWDRFFQVDMGFDEYEILYPDVPRQPLENSVDSGIYAMMFVEYWKSPRTVLRNIFESSDIKNRRVKIANDLLFLPGNSGMKSRVIEYGT >PVH37615 pep chromosome:PHallii_v3.1:5:2963210:2965720:1 gene:PAHAL_5G046800 transcript:PVH37615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRDAMAPLDRIARGALHSDHPPPPPAVLHAHLLRSQAAAAEPALIRSLMNRAISRLSKSHPRAALGLLLLMPRLPVSPDHFTLPFALNAAASLRILPLGASLHAVAIHLGLLPLCLPVANAIVDLYAKCEDFPAAHAALADIPAPDAVSFNSLLCAHARNASVASAESLFTAMPSRTHVSWNAMVVVYVNAGDLVSAHRVFDEMPTRDMTSWTVLIVGYCKRGLVQNARELFDKMPAKNLVAWAAMINGYAQTGRPKAVLALFRQLESAGFEPDAATMVGVISAVAQMGSTDLAGWVGAYVDRKKIERNVKILTALVDMHAKCGNVEQALSAFREIAQPDAYPYTALISGLATHGHAKLALQVFERMQAQAVLPDPITFVGVLTACSHAGLVDKGLEYWEAMVRDYGIERRADHYACVVDMLGRAGRIEEAFEMVQTMPMGPHPGALGALLSACKTYDNVEIAEIVANKLFELEPRNTGNYILLSNIYAGKELWEEAERVRSLMRTKLPFKKPGSTWVEDRQREHA >PVH38234 pep chromosome:PHallii_v3.1:5:12431014:12431964:-1 gene:PAHAL_5G204400 transcript:PVH38234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKINEYFCPSKHDSIWKEAGDEGCHNPAWPEFVPSAGKEKTRKMDFLRFGSHVLIGIRGPREDAVEIRRQLMEFCERTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGVGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDVIEGVQFARMSSIPSCDYTPFPRNWVRTMNFYCKSILSCKIQSSFVNFTRLSNVRK >PAN31117 pep chromosome:PHallii_v3.1:5:49533133:49533762:-1 gene:PAHAL_5G398100 transcript:PAN31117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAAISVSTFCFGSVEIAATVTRDAAVADAWVRGLRASHPRGAPLVVGLDCKWNKQQQPARGPAWMAPRAAVLQLCAGGAAGCLVLQLLYLGRVPEVLRAFLRDPRVRFVGVGVVGAAARLAADHGLVCAAPVELAGPCDDYLGLVGGGGRLGLKEYAKEVLDLNMEKPDAVAMSDWEKRDLERGQIGYAVVDAYVSYRLGERVLLGR >PVH38960 pep chromosome:PHallii_v3.1:5:49954364:49955691:1 gene:PAHAL_5G402100 transcript:PVH38960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPACALTLLSSLHQISVTLLSDYYFFQLVRPVHAGYILDIRCVNKVTCPSLWMGMHTRHLLILYDKNVRCSSMESLVASGAAKPLKGTGTI >PAN29259 pep chromosome:PHallii_v3.1:5:12977057:12982540:1 gene:PAHAL_5G212500 transcript:PAN29259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDLNTVEGEEDEEEAEAEAMPAERAGGAVCLDLWHACAGPVAPLPRKGSAVVYLPQGHLEHIGGDAAPPAVPPHVFCRVVDVNLHADGATDEVYARVSLLPEDEEAERRARARAREDEDAARDGEGGGAMKPLARTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGVLRLGVRRAAQLKNVNLIPALHNQCSNHRNLGNVTQAVATRTVFHICYNPRLSQSEFIVPYWKFMRSFNQPFSVGMRCRMRYEGDDASERRCTGIIIGSRDAEPMWHGSKWKSLVVRWDDDIECRRPNRVSPWEIEVTGSVSGSQSHLCAPNSKRLKPCLPQVNPDIVLPYGSVSSDFAGSARSHKVLQGQEFLGLKTHDGIAISASQATEARNLQCSDEYSSSIDKSNNILGVPRFGVRSPTGSPGFPYHCSGFGESQRFQKVLQGQEVFRPFRGALADVHIRTAGMYQPDGMHASGAAYKWPTPQGCDFRQPTKPVLLQASSPSSVLMFPQISSKVPHLKYEYSCLDKDEDGRHDRAVPTEDMGRNNQALSLWPHLVSGKAIDECTGAEEMHSPVSDAEHESNNESTVENGCKIFGISLAEQVRSCDEADSCNANCNSRLQPSQPQMSKSLGSCWATVHEQRPVVGRVVDVSLVDMI >PAN27853 pep chromosome:PHallii_v3.1:5:6804465:6807011:-1 gene:PAHAL_5G112800 transcript:PAN27853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGDRVKEAAAAAAAADERAGGGEGLGGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRTLTEHQDSIAGMRFSNLYCDPLIIPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAAALPNNNTESEAEVDEEALTDKKPKSDRLLHENKAMNVHSAERISGMHHFDADHDQIGGMMYGSNENGMRSSGGSGQMSFYENIMSNPRIDHFPGKVESSRSFSHLQHGEGFDMFG >PAN27733 pep chromosome:PHallii_v3.1:5:6237004:6240645:1 gene:PAHAL_5G102500 transcript:PAN27733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARALVLLLVVSAAAAAVATGTADQENDRIRELPGQPPNVDFSQYSGYVTVNPARGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGQTLYLNPNSWNKAANLLFLESPAGVGFSYSNSSLDLYTAGDAKTALDSYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEKNKGIQNPAINFKGFMVGNAVIDDYHDYLGTFEFWWTHGLISDKTYHNLKATCLLESSQHPSPDCLKNLNLASAEEGNIDPYSLNTKPCNDTASLKLGLGGRYPWLSRAYDPCTERYASIYYNRPEVQKALHANTTGIHYPWQTCSDIVGTYWADSPRSMLPIYQELIAAGIKIWVFSGDTDAVVPVTATRYSIDALKLSTLVNWYPWYDHGKVGGWSQVYKGLTLVTVAGAGHEVPLHRPRQALIMFRHFLQNKPMPTQ >PAN28247 pep chromosome:PHallii_v3.1:5:8394735:8410411:-1 gene:PAHAL_5G139900 transcript:PAN28247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEQSKPHLDMSCSRFVLSTYVAIFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPSFRRLVLRLVSHVIRLYSSSLVTESEVFLNMLVKVTRQDLPLWHQILVLEILRGFCVEACTLRLLFQTFDMNPVNTNVVENIVRALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGELESPKCESNSVECSGQLALLCMAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINTPNEGEKKSILQSPGSKKSETSMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLSALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSSQHISGSSQLSGQQIGSISFSVERMASILVNNLHRVEPIWDQIAAHHLELANCSNPQLRSMALDSLDQSICSVVGSEKFQGISSAPHQFQESQMVNESETVSFEYAVLSPLVILYSSNKNVDVQMGALKILLHVLERHGEKLSYSWPSILHMLRMVTNASEKDLISLGFQSIRVIMNEGLATIPVECLDECILVTGAYGTQKTEINISLTAVGLLWTATDFVVKGLISKSVEQANHMNEEAQLGATFKETNIKQVSPKQVVDYNKLFFSVFSVLQKLGSDDRPEVRNSAVRTLFQTLSTHGQKLSKSMWEDCLWLYVFPMLEHVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLLLDFIKNSILHGSKEVALAAINCLQTFVGANCPKGNLESSYVKSVLDIYELVLQTSPNFKNDSTDKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAIMHLMIKATMTPTDYDSELGSIPAVQRGILEIIPMLRPTAILSSMWTPLLLELLCYLNGQEGPLQKNNRQIHDQNSGVPVDGAKRTLVERSRLNGSGTKLDSAVSCGWGILFVEKLVPIIVNLFLEAPPSERFSASPEVIHGLGRCMNTRRDNPRGTLWRISAECFNRVVTGEVRQDNADCKSDVNSYRLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADSNTADETLEMSVLTVFGDDILKLQKDAPVEVLQRLVNCLDRCASRTGSLPVQTVGLLPLHCSRFSLSCLQMMFSLCSCIAKASSCAAASEASKVSIPILMKRCEVILGQFLADENDLGEHPLPSVRVKETICVLQELARLIIDINTANALNIPTYLKEALGKKKSHRRAHLLSLLPTFSELVVSREARVRELVQVLLRLISSELGLQRLT >PAN28248 pep chromosome:PHallii_v3.1:5:8394735:8415669:-1 gene:PAHAL_5G139900 transcript:PAN28248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAALEADLRALSAEARRRHPAVKDAAEHAILKLRSLSGPSEIAQNEDILRMFLMACSVKSVKLSVIGLSCLQKLISHDAVASSALKEILATLKDHAEMTDEIVQLKTLQTMLILFQSHLHPESEESMSQALGICLYLLESSRSSDSVRNTAAATFRQAVALVFDNVIRAESLPSGKASSARLSSRVTSVADNVTHSFSHTLSLASNSGEPAIRENLSDVGKLGLRLLEDLTALAAGGSATWLRVHSLHRTFSLDILEFVLSTYVAIFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPSFRRLVLRLVSHVIRLYSSSLVTESEVFLNMLVKVTRQDLPLWHQILVLEILRGFCVEACTLRLLFQTFDMNPVNTNVVENIVRALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGELESPKCESNSVECSGQLALLCMAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINTPNEGEKKSILQSPGSKKSETSMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLSALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSSQHISGSSQLSGQQIGSISFSVERMASILVNNLHRVEPIWDQIAAHHLELANCSNPQLRSMALDSLDQSICSVVGSEKFQGISSAPHQFQESQMVNESETVSFEYAVLSPLVILYSSNKNVDVQMGALKILLHVLERHGEKLSYSWPSILHMLRMVTNASEKDLISLGFQSIRVIMNEGLATIPVECLDECILVTGAYGTQKTEINISLTAVGLLWTATDFVVKGLISKSVEQANHMNEEAQLGATFKETNIKQVSPKQVVDYNKLFFSVFSVLQKLGSDDRPEVRNSAVRTLFQTLSTHGQKLSKSMWEDCLWLYVFPMLEHVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLLLDFIKNSILHGSKEVALAAINCLQTFVGANCPKGNLESSYVKSVLDIYELVLQTSPNFKNDSTDKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAIMHLMIKATMTPTDYDSELGSIPAVQRGILEIIPMLRPTAILSSMWTPLLLELLCYLNGQEGPLQKNNRQIHDQNSGVPVDGAKRTLVERSRLNGSGTKLDSAVSCGWGILFVEKLVPIIVNLFLEAPPSERFSASPEVIHGLGRCMNTRRDNPRGTLWRISAECFNRVVTGEVRQDNADCKSDVNSYRLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADSNTADETLEMSVLTVFGDDILKLQKDAPVEVLQRLVNCLDRCASRTGSLPVQTVGLLPLHCSRFSLSCLQMMFSLCSCIAKASSCAAASEASKVSIPILMKRCEVILGQFLADENDLGEHPLPSVRVKETICVLQELARLIIDINTANALNIPTYLKEALGKKKSHRRAHLLSLLPTFSELVVSREARVRELVQVLLRLISSELGLQRLT >PAN29407 pep chromosome:PHallii_v3.1:5:13783486:13796160:1 gene:PAHAL_5G223600 transcript:PAN29407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSTFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNRSLLCMEFLSRSTSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAIACLMTYMSAGGEVHLVSGGSDGLLILWSADHIHDSRELVPKISMKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAIAPLPTPTGNKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVASDTGRSRNESIEQLVVKQTKKHISTPAPHDSYSVLSVSSSGKYVAIVWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALAPRMPLVVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPLTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSQAVAEHGDLALITVEAPQVTASEKISLRPPMLQVVRLASFQHAPSIPPFIVPKQSKLDGDDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNELKRALACLLTMSNSRDVGQETAAADATDVTQILNLAVAKQAKQESLSDAVQGIVKFVKEFFDLIDAADATGQADIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLIAAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGTKPGLPNAAQASNGPIGAPMVQGATAPQGTPMVQGGPMAQGAPGAQGVPVNPSAAAPSQGTDEAKPSEATTADAATPAAEVAAASGSEEAKAAPGSEEATAAPGTEEAAAAPVTDAASNTEPAAAAPAPAADTNSVGAPGVTPVEAATSAPSTETPEAVDKPSSTEASPPPPPPPPPYESVV >PVH37701 pep chromosome:PHallii_v3.1:5:3919476:3921083:1 gene:PAHAL_5G062700 transcript:PVH37701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISADTYCKIKHPIVNFACLKSIYFYTMEKENFFTISCNQ >PAN32435 pep chromosome:PHallii_v3.1:5:55866192:55869402:1 gene:PAHAL_5G487000 transcript:PAN32435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAAKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRCARKVKAGDSTPEELASATQVQGDYMPITRGEKRSVEVVKVSDEMKSFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >PAN27810 pep chromosome:PHallii_v3.1:5:6595079:6598444:1 gene:PAHAL_5G109500 transcript:PAN27810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDPGGAVRGDDRAADPRPRHPPAGCWACKAWNSPNAAGRASSGRTGLLAAAVRLFLVADRIATWSTGSSWNFASWRRPRSQEAACWLLNAIELATLRTRRGTLAEHRDESQFQFLQSSR >PAN28601 pep chromosome:PHallii_v3.1:5:10020970:10025839:1 gene:PAHAL_5G164900 transcript:PAN28601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFGLSKSHPFPLLVAASSPRRTILAGLLHASYLSKHPASSPVPHPTTTARSSARAATSPADPIRFGLGGRVSFSTAPDGSASAGGERALPWLTAEPGNSGAPAARTNAGRSSSWESSAEKFFSRDEQYTRREASADRTPNRVAIKEEDDENGPIDNPKWGRIKDRYQRVVGRDGGSRGERFRRERSAKPAVRQWNNQENWGRKTGKEAGESTVPKMVGQGVYGVGPVLAALMAGRREYYALYMQEGMDLSGSNKKKKDKKAVDKVLRMAERIGLKVIETSKHDLNMVVDNRPHQGLVLDASPLEMVNMKELDPVRVEGGKAPVWIALDEVMDPQNLGAIIRSAYYFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTIANKAVPLSEVETGVPTILVLGSEGTGLRPLVERSCTHLVRIPGNADVFVEGADADADADADAGEEGDSPAGSQDLRSFLAVESLNVSVAAGVLLYHLAGKEACPVNFGTVNL >PVH38058 pep chromosome:PHallii_v3.1:5:10020970:10025839:1 gene:PAHAL_5G164900 transcript:PVH38058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFGLSKSHPFPLLVAASSPRRTILAGLLHASYLSKHPASSPVPHPTTTARSSARAATSPADPIRFGLGGRVSFSTAPDGSASAGGERALPWLTAEPGNSGAPAARTNAGRSSSWESSAEKFFSRDEQYTRREASADRTPNRVAIKEEDDENGPIDNPKWGRIKDRYQRVVGRDGGSRGERFRRERSAKPAVRQWNNQENWGRKTGKEAGESTVPKMVGQGVYGVGPVLAALMAGRREYYALYMQEGMDLSGSNKKKKDKKAVDKVLRMAERIGLKVIETSKHDLNMVVDNRPHQGLVLDASPLEMVNMKELDPVRVEGGKAPVWIALDEVMDPQNLGAIIRSAYYFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTIANKAVPLSEVETGVPTILVLGSEGTGLRPLVERSCTHLVRIPGNADVFVEGADADADADADAGEEGDSPAGSQDLRSFLAVESLNVSVAAGVLLYHLAGKEACPVNFGTVNL >PAN31418 pep chromosome:PHallii_v3.1:5:51491692:51495778:-1 gene:PAHAL_5G418100 transcript:PAN31418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPHSKTTKKHHARLNNPFPRAIPAAAIRNGDAAPRLSFAPASKLAHAHDFPVGTRFRLCWDPSLGGEVALSRVPSGGGDARRAMWESVPGVAFLSAASVATEADECRGSFALRDGRARLVPHRQHVDKIKAFYRCDAEAGADLLRGAAFKASDATRFPVLVITGLLSAKQSSAASCSCCGLRSGCRRARGAAGRPALSARYWILLEEKTDTQVAFSVNIGDYQWTCAHADPAKSPPATVTTTTTTTTTTTPRINRPSLRLRLSARVQRPTSKKTRLAPSREETSSLLPPEPERAEARPEEFNRVFLTYASSRDERFYGFGEQFSRVEFKGKRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQIFGNSVQGRILDGDSPTQLLTSYTESTGRPPVLPRWITSGAVVGMQGGTDTVRRVWKELQDYDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDAHYNGWKDLVSDLRSSGIRTMTYCNPCLVPMDQKPNTKRHLFEEAKKLGILVKDEAGEPYMMPNTAFDVAMLDFTNPEARAWFKKILRGMVDDGVSGWMADFGEGLPLDARLHSGEDPVAAHNRYPELWARVNREFADEWQAGSVAATAAEEDGGGAGGDDGLVFFVRSGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGFPLNHSDAGGYCTVDLPFLRYRRGEELLLRWMEVNAFTVVFRTHEGNKPASNCQFYSNSRTLAHFARCAKLYKAWEFYRAQLVREAAAAGLPVARHLFLHYPADERVQALTYQQFLVGTEMLVVPVLDKGRSAVTAYFPAGAGAWRHVWTGDEYGAGAQGGFEAEVQAPVGYPAVFVRVGSPVGERFLTNLRDLKVL >PAN29505 pep chromosome:PHallii_v3.1:5:14364294:14367682:1 gene:PAHAL_5G230800 transcript:PAN29505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPRTPAPERPPPPVPVLASAPAPPPPLETPPPPSPSSQAGDEYHTPPPSLDASPREEPGFPRDGRGGVPPPPPRSPPLDATSPREEAGLPSGGRGEAGAPAKSPHLSPVRLPTPHLLPPPASPSGQKGQEGGAAAAAARARPQLRLATGLVRTPSQGSVATKSPSPSPSPTPPSPLTPAPTGNNGNKSGQSTPKQRAEALKPPPPPAAEPAIAVPHFDRVEEAATSPLRLGKAQLDHLHHHQQQQQQHAASAAAENGGAVPPDVAAVAAVGERRALSVTLRLATAVLSLAAFSVIASARTSGWAGDSYARHQQYRYAVAVNVIVCAYSIAQSFGEIRRLISPRFIFRSMSSYYCSLLLDQVLAYLLMSASSAAASHNDLWVSRFGTDAFNRKISSALWLSFIGFLTLALNALISTANLFSMA >PAN32592 pep chromosome:PHallii_v3.1:5:56595070:56596175:1 gene:PAHAL_5G498700 transcript:PAN32592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRWQRCAQLLSSHPYHSAISSAPSFSLGARDDDGTGGGGDHGAAGAAAGNFAPQDSGVGALASPRPAAELPRGAVSATDRFFVSPARTASLVDDGAGEALRGAVPVEAYSSDPRGQFLESMAEMAAAYGAEGMPAPEYREFMEELLSCYLERNDRGVHPHVLAAYADLTARRWPSKRRRPLRGLMKISPCVSGS >PVH38225 pep chromosome:PHallii_v3.1:5:12292496:12296341:-1 gene:PAHAL_5G202500 transcript:PVH38225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVKDQLQQMSTTCDSLLLELNVIWDEVGEPHSARDRMLLELEQECLEVYRRKVDLANRCRAQLRQAIAEAEAELAGICSAMGEPPIHVRQSDQKLHGLREELNAIVPYLEEMRQKKVERWDQFVDVMDQIKKVASEIRPADFVPFKVPVDQSDLSLRKLEELTKELQSLQKEKSDRLKQVMEHLNTLHSLCEVLGIDFKQTVYEVHPSLGEADGSKNLSNSTIERLASAVNGLREMKVQRMQKLQDLASSMLELWNLMDTPLEEQQVFQNVTSNIAASEHEITEPNTLSIDFLSYVESEVLRLEQLKASKMKDLVLKKKTELEEHRRRAHLIGEEGYAAEFSTEAIEAGAVDPALVLEQIESHIATVKEEAFSRKDILEKVERWLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGNVRLLSMLEEYMIVRQEKELEKKRQRDQKKIQDQIKAEQEALYGSKPSPSKPQSTKKVPRNSMGGANRRLSLGGATMQAPKTDILHSKTARAAKKTEDLGTLSPSSRGLDIAGLPIKKLTFNGSTLREAETPRKPFAQIMPGNNVSSTPARPISNDTEEENKTPKTFATLNPKTPMTVTAPMQVSMTPAVANKVIATPVSLFQEKPEQPMLAEEIEYSFEERRLAVYLARQVA >PAN31608 pep chromosome:PHallii_v3.1:5:52405001:52405537:-1 gene:PAHAL_5G431100 transcript:PAN31608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARAALIVLAAAVVALQLASPATGNAAVAEVCKNTPFPDLCTGSTTKHAEKYETVDPLTVLGMQVDAFKKRVRAASRRAKKEAKKAASPEQKRALNLCKTFYLDAGDNLGACKRAIVFKDGVTIRATMSMAAQDMQNCDEEFRKAAAKNPVCDLNRSLVEMAENCRVLSNMIPTTS >PAN28195 pep chromosome:PHallii_v3.1:5:8207982:8210345:-1 gene:PAHAL_5G137500 transcript:PAN28195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQVVSSNGSSPSPSPAAARTRASRPPPPKVRQPPAAPGVKARPRTTPTTTTMSAAAAAARRQLPVPAPAPVRRAISSRDKEDPDKETVEEEVVRLRAEVEALRREVQRLLRLNADLALAQRGQGKAIGSSDIPPQQDKDSHDPATNRPQPPSKTPGVVPARPPPPPPPPPRQQKPKGSSAPITSPVSKAAAVVDMYNSLTNSKKPPQNADRGRSHHHHSSIVGELQNRSRHLLAIKADVETKAELIEDLIKKIHTSTYTDVEQVLTFVDWLDQQLSTLSDETAVLKHFNWPERKADALREAASEYRHLKCLLADISSLNDDGGSPSPCDSILRKISSLLDRLEKSMSRLVNLRSSAMPTYKELRIPTDWMLDSGVTSKMRLASVNLAKVYTKRVLKELDGRDTAGNEAALVAQSVRFTYRVHQFAGGLDGEAMHAFEELRRRVRPVSSS >PAN28194 pep chromosome:PHallii_v3.1:5:8208001:8211282:-1 gene:PAHAL_5G137500 transcript:PAN28194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQVVSSNGSSPSPSPAAARTRASRPPPPKVRQPPAAPGVKARPRTTPTTTTMSAAAAAARRQLPVPAPAPVRRAISSRDKEDPDKETVEEEVVRLRAEVEALRREVQRLLRLNADLALAQRGQGKAIGSSDIPPQQDKDSHDPATNRPQPPSKTPGVVPARPPPPPPPPPRQQKPKGSSAPITSPVSKAAAVVDMYNSLTNSKKPPQNADRGRSHHHHSSIVGELQNRSRHLLAIKADVETKAELIEDLIKKIHTSTYTDVEQVLTFVDWLDQQLSTLSDETAVLKHFNWPERKADALREAASEYRHLKCLLADISSLNDDGGSPSPCDSILRKISSLLDRLEKSMSRLVNLRSSAMPTYKELRIPTDWMLDSGVTSKMRLASVNLAKVYTKRVLKELDGRDTAGNEAALVAQSVRFTYRVHQFAGGLDGEAMHAFEELRRRVRPVSSS >PAN28193 pep chromosome:PHallii_v3.1:5:8207918:8210489:-1 gene:PAHAL_5G137500 transcript:PAN28193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQVVSSNGSSPSPSPAAARTRASRPPPPKVRQPPAAPGVKARPRTTPTTTTMSAAAAAARRQLPVPAPAPVRRAISSRDKEDPDKETVEEEVVRLRAEVEALRREVQRLLRLNADLALAQRGQGKAIGSSDIPPQQDKDSHDPATNRPQPPSKTPGVVPARPPPPPPPPPRQQKPKGSSAPITSPVSKAAAVVDMYNSLTNSKKPPQNADRGRSHHHHSSIVGELQNRSRHLLAIKADVETKAELIEDLIKKIHTSTYTDVEQVLTFVDWLDQQLSTLSDETAVLKHFNWPERKADALREAASEYRHLKCLLADISSLNDDGGSPSPCDSILRKISSLLDRLEKSMSRLVNLRSSAMPTYKELRIPTDWMLDSGVTSKMRLASVNLAKVYTKRVLKELDGRDTAGNEAALVAQSVRFTYRVHQFAGGLDGEAMHAFEELRRRVRPVSSS >PVH39204 pep chromosome:PHallii_v3.1:5:53869950:53877648:-1 gene:PAHAL_5G453900 transcript:PVH39204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKVASSPPEVVKQVVLESSPPAPEKPSTVDILRKKITSVERGSESFLFVQDLFLSGMGPFATPSNILHIHRYSPNDITAQCRFEAFERQMKATKEARGDANVRYGWLGSRKNDIVRILINGLGTTANPIEKAGLSAGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRMILGNMEAVEPGSQESFPSSEIYDSGVDDCSNPKCFVMWPSHLSTHIRLEYLVSFKLVSKVRHYLLDLKGLWFHPSPKEAAMDISTLQPVTCETGEGPTSPWISFRVLFGVIQDNISSVAKELLFHHYEELKESIISREEMVKKMIIIVGEKILLEALKKLHYCPSLWYKPSVEAVSSHPVMAAQEQLSLDKAGGNCSLTLNVNDGDSHAPNAVAEHATVSNKGCDALATDMVPNGHECVAASGVPETSNSASVICGSSTSVEPKGRDSHMQIMPPGTSATLCAKNHGSSMGRMAPIVHDGLLRTISGNSASPGQVCKSATPIAGHSGYASLAQTNASKPHGVSAPGLTSKGYESAVPSLALGNSKSTGVKRLNSAPRMTPEGQEFLSLGIASRPPHLVKLQAGLTSVAIPPVHMPGRGKSSSMSTEGRDSLTLSITPKCNGGPALSQAPKRHESPIADTSTKGHDCVTPICYIHCRKRRGREKRLRGKLSLMLLQPNSDRER >PVH37483 pep chromosome:PHallii_v3.1:5:989477:995157:-1 gene:PAHAL_5G014700 transcript:PVH37483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPITCRPSAARHPLLLRAPAPRVDGPALGLGRALPPTREPLVATRAHVRGIVAAARVWPNRSGREEAARCAAAGQVAGSAGVGRGAGMEVALASAAVVAMGTGNRVLYKLALVPLREYPFFLAQFATVGYVVIYFSILYLRYQAGIVNDEMLSLPHKPFLAVGILEAFGAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINQITGCFLVAVGVVITVASGSGTGASLKSTGIVWPLLMILSFFLQAADSVLKETIFRDASKKLKLIFLLSIRMALLIKLFLCVSCCLFCQSYGEFHSICYQHTLKMAQPAS >PAN26474 pep chromosome:PHallii_v3.1:5:989586:994957:-1 gene:PAHAL_5G014700 transcript:PAN26474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPITCRPSAARHPLLLRAPAPRVDGPALGLGRALPPTREPLVATRAHVRGIVAAARVWPNRSGREEAARCAAAGQVAGSAGVGRGAGMEVALASAAVVAMGTGNRVLYKLALVPLREYPFFLAQFATVGYVVIYFSILYLRYQAGIVNDEMLSLPHKPFLAVGILEAFGAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINQITGCFLVAVGVVITVASGSGTGASLKSTGIVWPLLMILSFFLQAADSVLKETIFRDASKKLKCGSVDLFVVNSYGSAYQALFMCLLLPFLSKLWGVPFHLLPTYIKDGAACFLNMGSISGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLAATFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSLPQAQHSGNCFHNKND >PVH37484 pep chromosome:PHallii_v3.1:5:992096:995157:-1 gene:PAHAL_5G014700 transcript:PVH37484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPITCRPSAARHPLLLRAPAPRVDGPALGLGRALPPTREPLVATRAHVRGIVAAARVWPNRSGREEAARCAAAGQVAGSAGVGRGAGMEVALASAAVVAMGTGNRVLYKLALVPLREYPFFLAQFATVGYVVIYFSILYLRYQAGIVNDEMLSLPHKPFLAVGILEAFGAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINQITGCFLVAVGVVITVARVYRRYHLGLRNCHMAYNVPAQDHFGIFNLNLLVTAIMAIM >PAN29002 pep chromosome:PHallii_v3.1:5:11665347:11666878:-1 gene:PAHAL_5G193000 transcript:PAN29002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVSGRWTRVRTLGRGASGAVVSLAADAASGALFAVKSAAASAAAAEQLRREGAILSALRSPHVLPCLGFRAAADAGGECQLFLEFAPGGSLADVAARSGGGRLGERAVRAYAADVARGLAYLHGRALVHGDVKAANVVIGADGRAKLADFGCARSAAAGSARPLGGTPAFMAPEVARGEEQGPAADVWALGCTVLELATGRAPWGDLGDLLAAVHRIGYTDAVPEVPAWMSAEARDFLARCFARNPRDRWAAAELLEHPFLESAGKADEVAAEWVSPKSTLDAALWESDSDDEGDVPESPARRIKELAGACSALPDWDSDEGDWIQVLDEQCQACDLVPTNEAAKEMAGEDKCQLPSEASETEVDSIDADAEGVDPECSVAVALLAAPSAEQQVEPCSGSWSDPSVFAVTELKCQKPFLLPKCPLFPPSSALQLALLDLMIDYPIHIVLRHLELPNSLGAATIPETLF >PAN31713 pep chromosome:PHallii_v3.1:5:52860271:52862144:-1 gene:PAHAL_5G439700 transcript:PAN31713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHGHPYSPAELELLGFVPQRLSQGEILAPFLGASLLVALAVWLISGRCGCRSSKADRLLMCWWAFTGLTHILLAGHFLFTPDLFSRENPSYFDELFKEFSKGDSRYAARDNAIFALEVVTIGFKGPASLLAVYAIASRKSYSRILQFAICLGQLYGCLFYFVTAYLAGFNFWASPFYFWAYFVGANSSWVVVPTLIASRSWKKISAAFRAEKVKTR >PVH37605 pep chromosome:PHallii_v3.1:5:2668664:2671774:-1 gene:PAHAL_5G042100 transcript:PVH37605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPGAGRRIPKRRRTTKSLSQPFDLNCPPAEGAGEGGSPFSIVPVSHSQASSSMAVSHSQASSSMPPATNEPHIGMHSCPIDVEAIDDDVVIYSSRSLPQARQQLTRTERITVIIDDDSETNPEPAGDALDEHVNTLLSLGINRRHQPLRATSTCPVISLVDTPEVNFFKAPPEPVKEVPKEPKFTCPICMNELTEATSTVCGHIFCQKCIKAAIQAQKKCPTCRRSLNKNQHHRVYLPTTE >PVH37604 pep chromosome:PHallii_v3.1:5:2668664:2671718:-1 gene:PAHAL_5G042100 transcript:PVH37604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLANVQCSVGFAQACIFYCNTMNSPGAGRRIPKRRRTTKSLSQPFDLNCPPAEGAGEGGSPFSIVPVSHSQASSSMAVSHSQASSSMPPATNEPHIGMHSCPIDVEAIDDDVVIYSSRSLPQARQQLTRTERITVIIDDDSETNPEPAGDALDEHVNTLLSLGINRRHQPLRATSTCPVISLVDTPEVNFFKAPPEPVKEVPKEPKFTCPICMNELTEATSTVCGHIFCQKCIKAAIQAQKKCPTCRRSLNKNQHHRVYLPTTE >PAN31674 pep chromosome:PHallii_v3.1:5:52671089:52675905:1 gene:PAHAL_5G436200 transcript:PAN31674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAAHMFAYNATLCACDPGYYLRANSSGGNGSNSCVSLPGGGGGGGGFGDWQVGSVGATRNQSFYFLTPVLSLDVIRRLTQSQAVLLWAALAALLSWFAFCAAARLAGRDPARHKKLFDARFWISRLDCIFDNNHYADDQQVLKKRKTELGGTFSVATLILFLGLVTVLLYQAIKRRNVEVHRVKPANAPDLLNFVNDLEFHITTVSSMSCAQAVAPSTIAMGTPGFMDFRVQPLSTLLTYSCQNTSDGPSITLKCNGCRIPPRDHYVSWQFVDLPRQPATAVAFQFNLTAKQHGDNSDVSFVSGTISSNNFVDDKLKTFRGRDSNVLKIQLFPQIYNNHHGLKLLQPLLQDFTQGSTFSDVSSLNASLQNPIDGVINTTLYISYLSDYIVEINNENVLGPVSIIASIGGLYAFSVAIFLCLMAQCEGRIKKLRHEDTRMLKILSKQRAQRNWNKVRKFVMYTWGPSNLDPTDRSGKWPEGSVMDSLHGSFHKRRKPIRRGTSNGSKPKKPADMAIEIERVGEIQKPSSSR >PAN31603 pep chromosome:PHallii_v3.1:5:52379879:52382689:1 gene:PAHAL_5G430600 transcript:PAN31603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSPAALVNAEILRMFLGRRVRTVVQVQRIEGGMVFGQSTDGRPLTIKSAMDIPVSHFMEVYGIAENDQTIRAEVCTDFGPNFDAKAFDGLCKLASDKFKHLFL >PAN31383 pep chromosome:PHallii_v3.1:5:51357625:51360834:-1 gene:PAHAL_5G415700 transcript:PAN31383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCAAAWSPPSSAIGRNPGRRGGRRRTCCSSSSAVRPRAAAAAAGQQGEGGSAREAPRLVLHDSLDAAGVATAHARAAREGFAAQVGRLTRVNAGTSIAISRGADLARAALCVAAEDDSLVSHSSVPLPVDAFIARLDDLSTGFCAGGNFPPSRAPPEVFFDYLDRYLYVHKGFRRTNGVSDVRAMYLHSVLTCRSGSALMLALIYSEILKTVRIYGLLDFDAEIFFPTDLNSLPRGYDKQKSKLGDEPHIMTSKSLLVEILKTLKATFWPFQFNQSSSLFLNAVAANHHGPGTLGDNQTRSHGNISAIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLQHDPHELRDYAALLYHCGYYEECLHYLSSYQTAMAGQSLSNRLEILEDEAVDTLRARVTLILAEDGWSSRRPAASYWTKNSEPW >PAN27863 pep chromosome:PHallii_v3.1:5:6851265:6854536:1 gene:PAHAL_5G113800 transcript:PAN27863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMWRVSRSLLRAASSSASASAAGRGASDARLLRSPPPCALQRCCPSRGYASLPGAEAARRDGEEEEVTPAEARRLMRLANVDALKRQLGDGEVIPYADLLRVCQEAGAARTRAEAAALAGALDEAGVVLLFRDRVYLQPDKIVDLVRKAMPLALTPENDPGKEELKQLQAQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITARDPTYRDFMERMFESRQRKLIQRQNFNLEKYLELQRRCKDPLEKVCGASNPDMAHLHELSIHK >PVH38702 pep chromosome:PHallii_v3.1:5:33466790:33469065:-1 gene:PAHAL_5G330800 transcript:PVH38702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHHRASLLVPQQRKVEVAAEDTEGGGGGGRMNELRGRLADYACHHRKHGHDALLRMLAGFALVSCLLLLLPGSPISAAMDELLQLGRRMRRDQEAALLCADDVDNGTVCCDRSSHRADVCVMRGDVRTQAASNSLFLLLPPNASRAAADERIRPYTRKWESSIMSTIDELHLRSAPEGDAAAPAHCDVRHEVPAVVFSTGGYTGNVYHEFNDGIIPLYITARHYNKKVVFVMLEYHDWWMTKYGHIVEQLSDYPPVDFANDRRTHCFPEAVVGLRIHDELAIDAARMPANQTIQDFRQMLDDAHRGRIQTIIEEEEEKEAAAAAAAGAARKQQHRGSQRIRRTRTPEDDDSPRLVIVSRNGSRAIENEAELVRAAAGVGFRVSVLQPRQDTELAKMYRAMNVSDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKILPSESSLCGQYAKDDPVLIDPDTVNAKGWEVTKQVYLDGQNVRLDIARFRRHLHQARGHWATQRQGHHRAD >PAN31564 pep chromosome:PHallii_v3.1:5:52184118:52187953:-1 gene:PAHAL_5G427900 transcript:PAN31564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEARRGAGGAAQAALRASSEDRKPVGAGSPPPAAAAEAAHKIQLKSADMKEEMRQEAFEIARIAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >PAN31969 pep chromosome:PHallii_v3.1:5:53847596:53851029:1 gene:PAHAL_5G453500 transcript:PAN31969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PAN31967 pep chromosome:PHallii_v3.1:5:53847596:53851029:1 gene:PAHAL_5G453500 transcript:PAN31967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGSIYRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PAN31968 pep chromosome:PHallii_v3.1:5:53847596:53851029:1 gene:PAHAL_5G453500 transcript:PAN31968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGSIYRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PAN31966 pep chromosome:PHallii_v3.1:5:53847596:53851029:1 gene:PAHAL_5G453500 transcript:PAN31966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASSSSASSSSSSASALFAGWFPSGMKEEVMNVVVRGISYRGSVELVEQAEPFWSTVQFKTPKPYSTRAFRIGDQAKLKSKNRGSWSGDVAAIEDDEAHLWTYLQIRVPSARDGKISLKSVSNVLVTVNPVGSLLGVSSKTEKGDSDGEYTRIRVGVNGFSKVGRILVQMGLQSIDVQVVAINDPTMTLDDMVNAWKSTNISIAKKDHQTLIFEKMYCEKDTGVNEGKKINVKVLSEQMEVTVFREQNQVRWEQVNVEFVVEYSAVLNNDKVQISDKNESLNNCLRKLPTVLGSFGLNVDERILIPHFYAGENSRRDSSFSIITRSTAATKAVCKVFTEWDEQPASLLFHANAVVDRSIDVDSSSVDLRVILEEGSGTNSIAGRFFCADEEEVKRRVMRIFSWCVDIVRCVPVGGCQLELI >PAN27852 pep chromosome:PHallii_v3.1:5:6753137:6755198:-1 gene:PAHAL_5G111900 transcript:PAN27852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLASRDLVSWNAVLSAHAQNGLAVDALELYRRMRGPDGGGVEPDAVTLVGVLSSCAHQGARGMGFDIERYVRERLPSFRTNVQLCNALINFHARCGSLPRAQRLFDEMLRRSIVSWTALITGYGMHGHGDIAVSLFERMVSEGIRPDNVAMVGLLSACSHAGMYDEGRRYFSTMESAYKLQPTLEHYTCMVDLLGRAGRLEEARELISSMPMPADGAVWGALLGACKIHRNAELGEEAFEHIIELEPRNAGYYVLMSNIYTDTGQLDGVARVRAMMRERGLKKEPGCSYIEHKGRVHLFMADDHSHPQAKRIYELVIRLEQMVKEKPGARESGAAGGGEKKVAVQPLIGFHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKAVSGIANRAFLVRDASRFHQFKGGVCSCKDYW >PVH38780 pep chromosome:PHallii_v3.1:5:38869339:38870479:-1 gene:PAHAL_5G351100 transcript:PVH38780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRIPTTSPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVVSVVVWVFVVILAIVALHCPLPRRVVR >PAN30843 pep chromosome:PHallii_v3.1:5:28496046:28502686:-1 gene:PAHAL_5G315100 transcript:PAN30843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAVAAAMAASSLCDDLEPATVRTCIRDVLAAGAARAGERVVVGGWVRTGREQGKGTFAFLELSDGSCVSTLQVIVDAAVHPLARLTATGTSVLVEGEIKEPPEGTKQNVELKVSRVLEVGEVDAAAYPLPKGKVKLTLEKLRDVVHLRSRTNTIGAVARIRHQLAYATHRFFEENRFLYVHTPIITTSDCEGAGEMFQVTTLFSQAEKTEKELKENPAPSESEIEAAKVIVKEKGDAVAQLKAAKASKQDISAAVDVLNRAKEHVSKLEERSKLKPGIPCRDDGSIAFENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAEKYVQYLCKWLLDHCREDMEFMVKNYDKSAIERLELVSSTPFVRISYTKAVELLKNVTGKKFDNKVEWGIDLASEHERYLTEDIFKKPVIVYNYPKGIKAFYMRLNDDDKTVAAMDVLVPKVGELVGGSQREERLDVLKQRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGMENIRDVIPFPRYPGRADL >PAN31800 pep chromosome:PHallii_v3.1:5:53194172:53197674:1 gene:PAHAL_5G443800 transcript:PAN31800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGAKKKSRSSDTATAGKRSSTSNNSSGGGATTPAVERKEIERKRRQQMKSLCVKLASLIPKEEYSRDAMTQLGSLDEAAAYIKKLKERVDELQQKRSSAQLMAAATSGGVGGSAASTSAASGSARSEGAAAEEAAEAPLVEVVHHQDDSSLDVVLISSVERPFKLHEVVTVLEEEGAEIINANLSVTGRKIFHTIHCRAFSPRIGIEVSRVSERLRALETKGVGVCDMSYPTDRSHAHSATVQGRDSSGTRSMVWVCMRC >PVH37482 pep chromosome:PHallii_v3.1:5:988956:989385:1 gene:PAHAL_5G014600 transcript:PVH37482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGVLMMLLAATVILLLLPLVLPPLSPPPLILLFVPVVMMLLLFSLVLFPSHHCAYPSPTFTQ >PVH39297 pep chromosome:PHallii_v3.1:5:55094859:55096450:-1 gene:PAHAL_5G472800 transcript:PVH39297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAHFAGAGRREWQRRGREGGSEGAGGVFGWAAVGEDLLGDGAVGRLMRESGAAARIVEDVEKDEASVAVTLGAVAGEYERRERFLAAKNEEMVRAVQGMEEESSWLRGELKELKAVADNSLPEMNHGVDGENEKLRAELDAIKGEIELRVDRIQELKECRTDLHFSKVEKLVIKINSLDMADINPEASDNAQMLHDKHKEEMEAINAKVIQLEKQLEQKEAQESAICLLNTKLQAGENLRMEEYEHLYKLLTILKECLEQKSERFQNAYVDLTQRDHLNRNELQETHQEVIKVNAFLLTFPIPLYEKRYV >PAN32227 pep chromosome:PHallii_v3.1:5:55094037:55096450:-1 gene:PAHAL_5G472800 transcript:PAN32227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAHFAGAGRREWQRRGREGGSEGAGGVFGWAAVGEDLLGDGAVGRLMRESGAAARIVEDVEKDEASVAVTLGAVAGEYERRERFLAAKNEEMVRAVQGMEEESSWLRGELKELKAVADNSLPEMNHGVDGENEKLRAELDAIKGEIELRVDRIQELKECRTDLHFSKVEKLVIKINSLDMADINPEASDNAQMLHDKHKEEMEAINAKVIQLEKQLEQKEAQESAICLLNTKLQAGENLRMEEYEHLYKLLTILKECLEQKSERFQNAYVDLTQRDHLNRNELQETHQEVIKCLESMVIGDCTVIGIKRMGQLDEKPFHHACKRKYRDDDPEGKAASLVSSWQKELENKSWNPFTTILVDGEEKDVVNEDDPKLRQLWTEYGDIVCNAVKVTLRELNEYSPQGRHAVNELWNFREGRKATMAEVVNYIFEQLKTSS >PAN32644 pep chromosome:PHallii_v3.1:5:56789865:56793494:1 gene:PAHAL_5G502400 transcript:PAN32644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFKVTRISEGPVKPASATPEETLPLAWVDRYPTHRGLVESMHIFRSGADAAPAVIREALGKALAFFYPLAGRIVEGAEPGCPAIRCTADGVYFAEAEADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLAEPKVKPVWDREKFPNPKIKPGPLPELPVLALDYIVLDFPTGYLDGLKKQYKEHSGKFCSGFDVLTAKLWQCRTRALNLEPNAEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPAEKVLGSSIMEVVDMIRQAKDRMAVEFFQFAKEETDQDPFQMTFNYESIYVSDWSKLGFSEVDYGFGPPVFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFVRGMKADLP >PVH39203 pep chromosome:PHallii_v3.1:5:53875729:53877250:1 gene:PAHAL_5G454000 transcript:PVH39203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSFSKQSFSTANIQALRDPSPYKQLSIYETLNDMQSSTTQCIDPDRQTQQSPTYARSQEC >PAN27525 pep chromosome:PHallii_v3.1:5:5375174:5377596:-1 gene:PAHAL_5G087000 transcript:PAN27525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAQPDPEPPPIHRLLELIKSEPDPATALSHLERLVSTRPAFPTPQPLIFHLLRRLATTSPSHLPRLLGLLPRMRHRPRFSESAALVVLSAFSRALMPDAALAAFRDLPSLLGCNPGIRSHNTLLDAFVRARRFSDADAFFTSLSHGAFGRRLAPNLQTYNIIIRSLCARGEVDRAVSLFGTLCRGGVAPDRVTYSTLMSGLAKHDQLDNALDLLDEMPNCGVQADAVCYNALLSGCFKNGKFEKAMRVWEQLVRDPGASPNLATYKVMLDGLCKLGRFKEAGDVWSRMVANNHQPDTVTHGILIHGLCRSGDVDGATRVYSEMVKAGLVLDVAVYNSLIKGFCEAGKTGEAWKFWDSTRFSGIRDTTTYNIMMKGLFDSGLVNEATELLAQLENDASCSPDKVTLGTLIHGLCENGFANKAFKILEYARTSGKELDVFSYSSMINRFCKDGRTDDANKVYENMVKDGCKPNSHVYNALINGFCRACKISDAVKIYTEMAGNGCSPTIVTYNTLIDGLCKAEKYREASSLTKEMVQRGFTPDIKTYGSLIRGLCQDKKADSALSIWNEILDADLQVDVIMHNILIHGLCSAGKVDEAFRLYLEMREKNCSPNLVTYNTLMDGFYEDT >PAN27526 pep chromosome:PHallii_v3.1:5:5375413:5377521:-1 gene:PAHAL_5G087000 transcript:PAN27526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAQPDPEPPPIHRLLELIKSEPDPATALSHLERLVSTRPAFPTPQPLIFHLLRRLATTSPSHLPRLLGLLPRMRHRPRFSESAALVVLSAFSRALMPDAALAAFRDLPSLLGCNPGIRSHNTLLDAFVRARRFSDADAFFTSLSHGAFGRRLAPNLQTYNIIIRSLCARGEVDRAVSLFGTLCRGGVAPDRVTYSTLMSGLAKHDQLDNALDLLDEMPNCGVQADAVCYNALLSGCFKNGKFEKAMRVWEQLVRDPGASPNLATYKVMLDGLCKLGRFKEAGDVWSRMVANNHQPDTVTHGILIHGLCRSGDVDGATRVYSEMVKAGLVLDVAVYNSLIKGFCEAGKTGEAWKFWDSTRFSGIRDTTTYNIMMKGLFDSGLVNEATELLAQLENDASCSPDKVTLGTLIHGLCENGFANKAFKILEYARTSGKELDVFSYSSMINRFCKDGRTDDANKVYENMVKDGCKPNSHVYNALINGFCRACKISDAVKIYTEMAGNGCSPTIVTYNTLIDGLCKAEKYREASSLTKEMVQRGFTPDIKTYGSLIRGLCQDKKADSALSIWNEILDADLQVDVIMHNILIHGLCSAGKVDEAFRLYLEMREKNCSPNLVTYNTLMDGFYEVGSIDKAASLWTSILDTGLKPDIVTYNTRIKGLCSCNRTPEGVLLLDEVLARGILPTVITWNILVRAVIKYGPIQI >PAN30385 pep chromosome:PHallii_v3.1:5:26935432:26949074:1 gene:PAHAL_5G311900 transcript:PAN30385 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MGSYMLFTVGALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISPTVLEEAMNGTVTVRPLPVGTSATGKVDKQCAHFFGVTISEEQAHSGIVVRVTSPAQSKFKLLYFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKPGTHIFAVYGDNFFKPASYMIEAMCAKSYEETTERLKEIESKILAKRNDLRQFETEYRKALARFQEVTNRYTQEREAVDDMLRERDNIHCSFTTERTLVNPVGAGCSSSRYTTEHSIPESPENGSVDGRDKSGKKKWFNLNLNRSDKKA >PAN30386 pep chromosome:PHallii_v3.1:5:26932351:26949449:1 gene:PAHAL_5G311900 transcript:PAN30386 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MASSGKMEGPSAPAVRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDREKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISPTVLEEAMNGTVTVRPLPVGTSATGKVDKQCAHFFGVTISEEQAHSGIVVRVTSPAQSKFKLLYFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKPGTHIFAVYGDNFFKPASYMIEAMCAKSYEETTERLKEIESKILAKRNDLRQFETEYRKALARFQEVTNRYTQEREAVDDMLRERDNIHCSFTTERTLVNPVGAGCSSSRYTTEHSIPESPENGSVDGRDKSGKKKWFNLNLNRSDKKA >PAN32496 pep chromosome:PHallii_v3.1:5:56096699:56097939:-1 gene:PAHAL_5G491200 transcript:PAN32496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAQCSSWHYTSPTLPLHRRHGLLRQPPPQAARRGRWPRLQVAAAAGGARAEDSIKAATDAEFFQTSDTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRYVPLQSESGRKLLQSSGRSPDDISSVVLVEKERSYIKSEAVLRIMEYLNLPFPQLAIFLKFVPLFLRDFAYDNVANNRYTVFGRSETEACEIL >PAN28256 pep chromosome:PHallii_v3.1:5:8430664:8433972:-1 gene:PAHAL_5G140300 transcript:PAN28256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCARSVLRRRGVASSLLRRCGGEVECAPGTGEALANARCASTLSALGGGGRVLGRGGRWADPRAGAMGAGRLARTQTRCFLGCGDGEEGGVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHDDCSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSFSDRCFRIYGPPVPVLENTYGQGR >PAN29480 pep chromosome:PHallii_v3.1:5:14289442:14292332:1 gene:PAHAL_5G229700 transcript:PAN29480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSASLLARRLLLSRRFLSSPLRPVSTTTTPSSSSSSSTLNGSDAESDPELEHDQAPGDQDRQQAPNRPRPPNTTRPLENGLDPGIYKAIMVGKVGQEPMQKRLRNGRTVVLFSLGTGGIRNNRRPLDREEPHQYADRCSVQWHRVCVYPERLGTLALNHVKTGTVLYLEGNLETKVFCDPITGLVRRIREIAVRANGRLLFLGNDANAPKLGEVKGVGYF >PVH38258 pep chromosome:PHallii_v3.1:5:13000760:13002410:-1 gene:PAHAL_5G212800 transcript:PVH38258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPCCCCTGGGSGGPCCCCTGGSMETHSQSRRTGEKGEDFGEQENGREKSVFLCFTLPTRLRTSKFAKPSSWCWRRSKKLSAGTKGKNSFKGHSTRPVLIR >PVH39155 pep chromosome:PHallii_v3.1:5:53219813:53222272:1 gene:PAHAL_5G444100 transcript:PVH39155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPIAPPIAAQNSFPKHAYAHAAVSTSSSTSLPPRRLSLRLQRRSAAESAPAPTLPTGYPPPPRQRRACFASPPRAHHYRLGRPSTPELPLDPTGAESPDNPQTLKTGSWCGGEANCGDGEGRHEWRTPEEQAAACAERQQRREKRARRRRKMMDPTDLMHESQTSEVG >PAN30769 pep chromosome:PHallii_v3.1:5:38415931:38424237:-1 gene:PAHAL_5G348400 transcript:PAN30769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEPCASYIAPRLLAASKVLVFVSNILLMVLGCGILLLIHKAAWLVLSLCSIMAISVIAFHIISWCCCVNNVGGTEEDDEGYHSKLEHLLELAAGITVMMFLVLEVVALEGLLRNSQQGQAGLLPQPPAPAPSEGPTAKGQETFLGGTLLISFIFSTVGAFLMNVWTTPHVCSNNDVFFLKDLDIAGNQNVVSTTAFVRVLSIILQALPITVVVILITLDVLPHAWKPAVWLPVFPPFIVLLVLLARDICGGTQPDLPEDQKPAPLELTKLAFTGFLAVAMPSVTNASVGTPAILFVLFTATTVLLGLLWRFLTHEAKPSEAVLKAANHASFFAHTFISFAGVAFWVMAVSATK >PVH39073 pep chromosome:PHallii_v3.1:5:52176560:52177424:1 gene:PAHAL_5G427800 transcript:PVH39073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALSGSPALRAALAALAPRASAASRGYAASAASGAMRRAAAAAEGAAAGEGKEAGRGAAAEISWVPDPVTGHYRPGNWAAAADPADLRAAHLARTYARA >PAN31817 pep chromosome:PHallii_v3.1:5:53285944:53286942:-1 gene:PAHAL_5G444900 transcript:PAN31817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSSFSSGRPVGGGRKSAAAEAWSPSAEDALGFVLAVKAAFKDRHPDKYHLFLRVMDDFRNQRVGIDEVTSTAAALFRDSPELALGFNVFLPKGHRIQVGVDELAAYFIRDMNLDDGRGGGGGH >PVH37646 pep chromosome:PHallii_v3.1:5:3288730:3290242:1 gene:PAHAL_5G051800 transcript:PVH37646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSVPWPATEDLALQLPLRSDASFTHRPRASKPQSRERTASQLPSPQRQLIHPQTQCRHRRMIDQFGGTTHTKLWQ >PAN30758 pep chromosome:PHallii_v3.1:5:38612795:38619538:1 gene:PAHAL_5G349700 transcript:PAN30758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGRTELEVGADGVAVITICNPPVNSLSIDVLYSLKESYEEALRRSDVKAIVVTGKGGKFSGGFDISSFGGVQGGQMMQPKVGYIAIDILTDTVEAATKPSVAAIDGLALGGGLEVAMACHARIATPMAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGGEAHELGLVDALVSPNDLVNTARQWALDIYELRRPWIKSLYKTDKLEPLGEAREILKFARAQAQKQAANLRHPLVCIDAVEEGIVAGPRAGLWKEATAFQDLLFSDTCKSLVHVFFSQRATSKIPGATDLGLMPRKISKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTNERYEKAMSLVTGVVDYEHFKDVDLVIEAVIENVKLKQRIFSDLEKYCPSHCILATNTSTIDLNLIGEKTKSQDRIVGAHFFSPAHVMPLLEIVRTQRTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALFYVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLLPLMMEDNRAGEATRKGFYKYEGKRKATPDPEITKYIEKSRSMAGVTTDPELLKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMYWADSIGAKYIHGKLEEWTNRYGGFFKPCSYLAERAAKGIPLSALAKKVQARL >PVH38748 pep chromosome:PHallii_v3.1:5:37650247:37654011:1 gene:PAHAL_5G344400 transcript:PVH38748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQISRGALALLLVAAAAFAAAPAALADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYERLGASFKKAKSILIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATIPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKSLAPTYEKVASVFKLDEGVVIANLDADKHRDLAEKFGVTGFPTLKFFPKGNKAGEDYDGGRDLGDFVKFINEKSGTSRDTKGQLTSEAGRIASLDALAKEFLGAASDKRKEVLSSMEEEVAKLSGSAAKHGKVYLTIAKKILEKGNDYTKKETERLQRMLEKSISPSKADEFTIKKNVLSTFSS >PAN30900 pep chromosome:PHallii_v3.1:5:37650052:37654048:1 gene:PAHAL_5G344400 transcript:PAN30900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQISRGALALLLVAAAAFAAAPAALADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYERLGASFKKAKSILIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATIPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKSLAPTYEKVASVFKLDEGVVIANLDADKHRDLAEKFGVTGFPTLKFFPKGNKAGEDYDGGRDLGDFVKFINEKSGTSRDTKGQLTSEAGRIASLDALAKEFLGAASDKRKEVLSSMEEEVAKLSGSAAKHGKVYLTIAKKILEKGNDYTKKETERLQRMLEKSISPSKADEFTIKKNVLSTFSS >PAN30904 pep chromosome:PHallii_v3.1:5:37596380:37598589:-1 gene:PAHAL_5G343900 transcript:PAN30904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLPDWSSMQKELLESIGKRLASGHDVASFRSACSPWRAAVPFATFGPLLLLPFDPDSDTVSFYCVSEKTAFSLTLPDVRGKVPCGSSCGWLVLMDEAASVTLLNPFTGARVGLPPADDHVAAASSWERASKVHGWWFLRSGNISGNALAANAIKLEEMRGVFFHEIVLSAPPDAGRECVAMAVLASSTEVAFCRTGVDSAWTLLDTKLECSVASIVHCQDKFLAIDVTGEISIVFSSNATDATPTATPLPSLSPPSGLCHRSYLESDGELHMVGAMVSTFHDTQEFTYRTMIYKCNLLDRAPEWTRVKDVGDLTLFVSKNFSESFSGTSVSKYKKNIIYFSEPLYGDPFDLAHRLEVADIAAGTSKVKKPFSEKMLGSEALGWIRPNLWKGGAIGKYDEKSQTEASPKVTDAPEPAAEVQDAGAVQFKST >PAN29757 pep chromosome:PHallii_v3.1:5:15683262:15687937:1 gene:PAHAL_5G248100 transcript:PAN29757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVRSRSNVRKRQRVRAMLTGAVAPLPRAPGCRHRQAAAPTPVTRPLGPRRARARRHLTPLASRHLGAAIPSAVIKLKLAWLLLARRRREMAAAGAAALRRLGVLLLPFLAVAACLDVPSHGCYWTGCQSKWFGVCAARHFLDSQSDDCDGLCMESKSPPCLPLHTHFYCCKPGIPKVTNKCGHCKSKLDFGKEFICCTDCSDPTIMDKNTKLGYCKSGADLTMQLKPQETFHWVAGPWMICSSPCDGGVRYRDVACYGSLDDSTIKHYPVDDASCSADEMPARQEACNQQSCSDPEMTQSMNPKRSGMSGWLVAMVVVLGLGALGGIAFISYTYYRRRTSGPSGFVYVMMEAYS >PVH37607 pep chromosome:PHallii_v3.1:5:2694650:2695539:1 gene:PAHAL_5G042500 transcript:PVH37607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSITSIAIAGTVDRHWYGRPGEFPPDTTNQIALGFMASSSFYGNDNPNLYNDGGKRKCFNLQCPGFVQTSNEIALGTSFINHGSSITYDGVPYVTMSIHKAPGQQQCFVNQVGGVVHNSRPNGAHRHRHMGNGRTPDSGSSAVATAYLTIGASGVDKVDTPNLVGVTAPRCYSAAILGENVHIPGFDVAYGGPGGRGCDQ >PAN26884 pep chromosome:PHallii_v3.1:5:2849145:2850810:1 gene:PAHAL_5G044700 transcript:PAN26884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWGSRSHFAGGQSNGNDQQDGYGGGAQQYEATGGGKKRGSSRLKKSSGNKKDADAADDYPKSYANAGSTDEAGDNRYNNRNNSYGSNGGSDDYNSGGGNNRYNAGSVVLGAPYYGGGGGYGNSSPYRGGGGYGNSSPYRGGGGGGYGNSAPYGTGGDGGAFWAPQDGTRSPLYISTREVHVYGSPGYDNDSQSNDQRRRGGGGGFFGPAFHAVGHFFDRKFGLNDRD >PAN29369 pep chromosome:PHallii_v3.1:5:13564012:13566343:1 gene:PAHAL_5G221400 transcript:PAN29369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEAGGGNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYPYENMDYAKLEDSKPGTLPMSINNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGITLVLMAACAIASGLSFGSSARAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSGLLLHYNHAPSFWEAITNDPNHDPVASAQKPAADYMWRIVLMIGALPALATFYWRMKMPETARYTALIEGNAKQAASDMEKVMDVEIQAEQDKLARYKAANDYPLLSAEFARRHGMHLIGTATTWFLLDIAFYSQNLTQKDIFPAMKLTSAAKDVNALTEVFQISKAMFLVALLGTFPGYWVTVALIDKMGRYLIQLLGFFMMSGFMLIMGVMYENLKEHNHAIFALLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAYGVQNLTLKGDVKYIRKALIILSITNMLGFFFTFLVPETMGRSLEEISGEDGNNAGTGPAAPAGGPGMGAADVSRDDKMPVSSTEWQSSMHA >PAN27684 pep chromosome:PHallii_v3.1:5:6037294:6042549:1 gene:PAHAL_5G098300 transcript:PAN27684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGAGADGGEGEELLLLSAVEAGNGGGGSPAAAGESWRLNFEGFRPPEAHQQDRPPAGALHHCLGVLAQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESMRSLVSDQDEFSLTSEQEKWVVDIMLSVTLVKLALVIYCRSFTNEIVKAYAQDHFFDVITNVIGLVAALLANYIEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLREAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >PAN31667 pep chromosome:PHallii_v3.1:5:52635626:52637730:-1 gene:PAHAL_5G435700 transcript:PAN31667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMATNRRVILKEYVEGYPREEHMELLPGAEVPLRLTGDEPPGSVLVRNLYLSCDPYMRPKMSRPLRESYTAAFVPGSVITGYGVARVLDSSDPRLAPGDLVWGITGWEDYSVVRPPVTAFLAKISHHGGEGGVPLSYYTGILGMPGLTAYVGFHEICAPKAGETVFVSAASGAVGQLVGQFARLAGCRVVGSAGSAEKVELLRTRFGFHDAFNYKEEPDLAAALRRCFPEGIDIYFENVGGAMLDAVLLNMRVHGRIAVCGLISQYNLADEEKDAVRNLSAVVAKRLRLQGFIEPDHKHLYPKYEGWVLPYIRDGTLAYVEDVAEGLESAPKALIGLFHGRNVGKQLVRIADDAETGA >PAN27832 pep chromosome:PHallii_v3.1:5:6724416:6727993:-1 gene:PAHAL_5G111600 transcript:PAN27832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRDDYMPSSSGSSNGAHKRLLQDSSSYAQEHAKKRVHISTRTKYTYAPYHDGYQWRKYGQKMIRGNTYPRCYYRCTFHQDHGCPATKHVEQSNSQDPPLFRVIYTNEHTCSSTHVSDYMASSIHIQQIADAPLRKTETEIPRLTHCVAGDGLTKEERDVIVSSLLTVINGCDVAKLDVGHAAMQENTPVLMDRNSSYEAVPSVSPVQLAASDELKMDFVEPPESHWFETLDLGWFMEYTQTG >PAN30081 pep chromosome:PHallii_v3.1:5:17929894:17935712:1 gene:PAHAL_5G271900 transcript:PAN30081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILERIKEIEAEMARTQKNKATEYHLGQLKAKLAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTVPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDRLARQPNSLVISCNLKLNLDRLLARMWEEMGLVRVYTKPQGQQPDFSDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLSHGLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT >PAN29977 pep chromosome:PHallii_v3.1:5:16992498:16993154:-1 gene:PAHAL_5G263400 transcript:PAN29977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPITHFSHPDHELKLRDHYHSTHSCDLCGETIAGSGYSCHRLRCGFNLHQKCATYPEVLTSFFVHPWHDLTLSRAADGSDGDSPQMCHVCREDVPDGAFLYRCAPCGFAMHPRCSRLPRTVRSELHPEHGLAAVSGMGTCAACGKPCYVWVYRCGLCNVDLHIGCLHGPRPSSRGMGDAGGGTGGQATGASQGGALVGSIVEAGASTLINMLESND >PAN26730 pep chromosome:PHallii_v3.1:5:2019225:2020105:1 gene:PAHAL_5G032300 transcript:PAN26730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRRANLRRRNGGGGGCKPGAYSCAAAGTTTTTAAAVRPPAIPRCKWNATRNGTNPAARAVGACADCRIPSASAAAGSTRALQRREVWTQGTAACRSSEGRQQRARRAGIIRFARELVEAHRPGGGSSRGHRRQRAAGTSPAVVPVSPVRVFTGPRSTPRQLVLRPLRRCPRHLPFQPTPAFLHAVRRRPEREDPSPIEP >PAN26935 pep chromosome:PHallii_v3.1:5:3052865:3053631:1 gene:PAHAL_5G048400 transcript:PAN26935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSACRGYGQPQSAMNYHSTHSEHVTKVAGNPCHAAGSHNNHALQQQAHRHHGYNSNNHGGASHRRYETYEETYEEKTTYRAGRHHGHGHGGGGARRYEYETYEETSYEEEQEVVGGGGCAQLKCGYRCSWASEQPARQQALGHPVDAGPYR >PVH37530 pep chromosome:PHallii_v3.1:5:1592640:1596711:-1 gene:PAHAL_5G024800 transcript:PVH37530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRNKSMEFLKRFEVPAKNPSEDAQRRWREAVGTLVKNRRRRFRMVPDLDKRSQVETQRRKIQEKLRVALYVQKAALQFIDAARKAEHPLPELARQCGFSVSAEELATVVRNHDTKNLRHHRGVDGIARKINVSLADGIKSDDTAIRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCALISVIIGLATEGWPNGMYDGLGIVLTIFLVVMITAASDYKQSLQFRDLDKEKKKIDMHVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLYIDGYSFVVDESSLSGESEPVHLSNAKPFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVDKAHAPGGLMRWSGEDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWASGAAQTVSTAKGFDELKSSLSENFTKVLLEGVFHCSGSEVVTNKDGKTTIMGTPTETAILEFGLEVEKYTKVERCSAMKLKVEPFNSVKKTMAVVVASPHAAGHPRVILKGASEVVLRRCSSIIDGTGSVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVTSGSDIPSDGYTLIAVFGIKDPLRPGVREAVKTCRAAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFRTKSPNEMKEIIPRIQVMARSLPLDKHTLVTNLRGMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVCNTFVPDPKH >PVH37531 pep chromosome:PHallii_v3.1:5:1591400:1596952:-1 gene:PAHAL_5G024800 transcript:PVH37531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRNKSMEFLKRFEVPAKNPSEDAQRRWREAVGTLVKNRRRRFRMVPDLDKRSQVETQRRKIQEKLRVALYVQKAALQFIDAARKAEHPLPELARQCGFSVSAEELATVVRNHDTKNLRHHRGVDGIARKINVSLADGIKSDDTAIRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCALISVIIGLATEGWPNGMYDGLGIVLTIFLVVMITAASDYKQSLQFRDLDKEKKKIDMHVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLYIDGYSFVVDESSLSGESEPVHLSNAKPFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVDKAHAPGGLMRWSGEDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWASGAAQTVSTAKGFDELKSSLSENFTKVLLEGVFHCSGSEVVTNKDGKTTIMGTPTETAILEFGLEVEKYTKVERCSAMKLKVEPFNSVKKTMAVVVASPHAAGHPRVILKGASEVVLRRCSSIIDGTGSVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVTSGSDIPSDGYTLIAVFGIKDPLRPGVREAVKTCRAAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFRTKSPNEMKEIIPRIQVMARSLPLDKHTLVTNLRGMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMQRPPVGRGDNFITKVMWRNIIGQSIYQLVVLGTLIFKGKSLLQLSGDRSGTQLNTFIFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVAGATAAFQVIIVELLGTFASTEHLSGRLWLTSVLIGSVSLVIGAILKLIPVDSISDSSDRRDGYQPIPTGPNAV >PAN28705 pep chromosome:PHallii_v3.1:5:10480889:10482415:1 gene:PAHAL_5G173500 transcript:PAN28705 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MGVLGALARNLDALVGPGVMLLYPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYEAHVRRYFKIGNYVSPYYNERQRRVLQMMSLDARKSVERFIETHGPDALDKIIRAAEEEAKRT >PVH38085 pep chromosome:PHallii_v3.1:5:10480889:10482476:1 gene:PAHAL_5G173500 transcript:PVH38085 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MGVLGALARNLDALVGPGVMLLYPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYEAHVRRYFKIGNYVSPYYNERQRRVLQMMSLDARKSVERFIETHGPDALDKIIRAAEEEAKRT >PVH38939 pep chromosome:PHallii_v3.1:5:49087978:49093175:1 gene:PAHAL_5G393500 transcript:PVH38939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAATEVEMGLERRAYARVGLLGNPSDVYNGKALSFTIADFSATVRLRPSPELLIQPHPHHDLIAFPSLTHLVSRLQSEGYYGGVRLLMAICKVFYNHCTQNNISLKAENFTLSYDTNIPRQAGLSGSSAIVCAALNCLLDFYDVRHLIKVELRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSKEQMDKLGHGIYRPLDVNLLPPLYLIYAENPSDSGKVHSTVRQRWLNGDEFIISCMKEVAQLALDGHRALLQKDYTQLARLMNRNFDLRREMFGDDVLGSVNIKMVEVARSVGVSSKFTGSGGAVVALCPDGEAQAELLHKACQEAGFVVQQIKVAPSVLSDEELTSLSIC >PVH38940 pep chromosome:PHallii_v3.1:5:49087790:49093175:1 gene:PAHAL_5G393500 transcript:PVH38940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAATEVEMGLERRAYARVGLLGNPSDVYNGKALSFTIADFSATVRLRPSPELLIQPHPHHDLIAFPSLTHLVSRLQSEGYYGGVRLLMAICKVFYNHCTQNNISLKAENFTLSYDTNIPRQAGLSGSSAIVCAALNCLLDFYDVRHLIKVELRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSKEQMDKLGHGIYRPLDVNLLPPLYLIYAENPSDSGKVHSTVRQRWLNGDEFIISCMKEVAQLALDGHRALLQKDYTQLARLMNRNFDLRREMFGDDVLGSVNIKMVEVARSVGVSSKFTGSGGAVVALCPDGEAQAELLHKACQEAGFVVQQIKVAPSVLSDEELTSLSIC >PVH37882 pep chromosome:PHallii_v3.1:5:6782049:6782381:1 gene:PAHAL_5G112500 transcript:PVH37882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAIALAGRAAAWAGADHAQAGKEEDRGRTGIFANSRRACSEQRRPSSVAVTHPGPRCQALLASAGTEGGGVSTLRCHWMEEEDEIIADRDNGVDRNQIDAREHLQRRQ >PAN29410 pep chromosome:PHallii_v3.1:5:13801927:13803663:1 gene:PAHAL_5G223900 transcript:PAN29410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCFKLLFGPTAAIFLSAVVILSCFTNVPRLQLGYTDGDLGRSSYLAAPAPPPKCDIFRGEWVPDPDAPHYTNETCAFIQEHQNCMFYGRPDLDFLKWRWKPHGCDLPRFDPHRFLAVVGNKTIAFVGDSLARNHMQSLLCLLSKVASPKDVSVTDKSDPNKILYYEGYNFTIYLFWSPFLVRSEEVGGDRPGVFRLYLDEPDDRWLSASSRFDYVLLSGANWFTRESYFYERGQLVGGMYVPLNFTSSLTNQYSHRMAFRTALRALTVARFRGKVILRTLSPMSHFEGGAYNAGGDCRRTRPFGANETAPMGGVELGFYTSQLEEFREAAAARGLDVALMDPTAAMLMRPDGHPSRYGHWPDEKRTLYNDCIHWCLPGPVDAWNDMLLHMLSDSN >PAN28215 pep chromosome:PHallii_v3.1:5:8301461:8307009:-1 gene:PAHAL_5G138500 transcript:PAN28215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKMPILRRVSSALSTALLEWILMLLLFVDAVYSFLVTRFARFCRLPAPCPFCSRLDHVLGNEKPCFYRELICKTHKSEISSLAFCRLHQKLAGAQSMCEGCCEKTSDDDKADEPVMDVSELDSDQGNDDMLNSSPTRICSCCGQRFKQQSVPLFSRKIAEIEPTEAASLSKVYMDYSVAGQVDESTEPKDIYHQSDYTSHERDSLIQMISDSEVEVPCANGVKSSHSCEANAMEEDFQEDAACEQPILPSTEVVNESERKVASELNVTDACDCDTSLVCPGGDDHPDHGIDRDGMEEKESLLTKWTSQHDPVLVSEDSGLKEIPAASCDELPQILGETDPSQNTSEGNADPFTSQFTILEEHYAVSGERKIKDNLEQVHGSEITGRSSGEFSQRTTNPDITEFAPQNTHHVASEDADVKDDCKDIRVSQVGADSEAVGKVEGSTKKIEPTGDMGTHELIVQDPSDTGPKDFIDKGYVDRAHFPAVAVRSSGEVPQDHGTAEECPKTSEATVERRPSLSTQISMNEAYRLATGSKSSLPSPTLTDVILGKDSTSSINEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDELVVQNITKRISLERNASGLESLDGSIVSEMEGESAIDRLRRQVDLDRKSIHLLCRELEEERNASAIAASQALAMITKLQDEKAAMQMEASHYQRMMEEQAEYDSEALAKANELLAEREQQIEELEVELENYRSQYGGEPIEKQAKAPFKQENADTAFLEQGGLEIPMVKTPEGTNSFASFEEERAYIATSLRKLEQKLQSYSNNSTSDDLSSSDAIEDDLSNKASVAEDRSLHCQDSSRKAEERISSGKGAVSSVLGADVDLTTVQEEIASLNRRLKTLEGDRNFLEHSINSLRNGTEGLMFIQEIACNLRELRAIATDKK >PAN28505 pep chromosome:PHallii_v3.1:5:9493936:9502308:-1 gene:PAHAL_5G157400 transcript:PAN28505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYGPFPTAAGDGGGCLPLPIVAAEGALAVVDGAIAIAAFVQLARIHRHNQLQGWTRQKIFHLMIGLSNIVFLLYFVSTIIATCQRWICWVHGCGFVLMASPQILLLASFLLLLSFWVDLCHQTNDEDEEDVRSHREALLDRTKIKPGIHPVNIRRRCCPGIQLGSRQKFVILVLVLSFVVMFAFAILIWVGRGENPIDSSLLKRVYLDVFAVVVLVLSGALACYGALLFSKMSKVRSETVSTEKWKVASLAAVSLICFSSSAILALVTNVPVLLYWYSTDADIIYNAVILFVYYFIGSSVPSGFVLWIMRDLPHRQVVERPTESRVVTLFRERPSTTQDPQWRTAVTSSNKALKSSPI >PVH38264 pep chromosome:PHallii_v3.1:5:13082205:13082637:-1 gene:PAHAL_5G214100 transcript:PVH38264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTRNYFLFETETPWLQATSNQFTANNQRKICISHVLLTTLIMASKSKVPNRVKDWKNCEEEDKVNMYTVRRQN >PAN32060 pep chromosome:PHallii_v3.1:5:54299850:54300743:-1 gene:PAHAL_5G459900 transcript:PAN32060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAADRRPGRNFEEARPLRRRRRHCLAVPFIIMYLACVCLHGHGDVNLLAAAVPVGRRGPRLAAAGSHVVVGSRPIPAVVGAGGSSPSPRFVDDKRRIPSCPDALHNR >PAN28073 pep chromosome:PHallii_v3.1:5:7735161:7738510:1 gene:PAHAL_5G129600 transcript:PAN28073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVAAVFRPLKDCFDRTYGYVMSCGDYIEAMGHEMNELKSKRDDIKRLVDGAERHSMEATSQVKWWLKCVADLEVAALRIEDDYRARQRLRPERSPGIMATYYLSKKADEMRAEAARIKEKGNFDKVADELVQIRVEEMPSVPVFGMEAQLQELNACVRDGGITGIYGMAGVGKTELLNKFNNEFLINSQDINVVIYIEVGRKFNQDDFQRIIGDRIGVPWEDNKLPKERGKVLFRVLSKMNFVLLLDDVWEPIKLQFLGIPVLKQNSMSKIVLTTRIEDVCDRMDVRRKLKMECLPLEPAWELFCEKVGEHLMRASEEIRDHAMALAMKCGGHPLALITVGRAMASRRDAKEWKHAITVLESAPWQLLGMDTEVLVPLQESYNYLPNDMIRLCLLYCSLFPEELSIPKDSIVGYCIGEGFTDDLFTMDEEFYFFKDWAKIASLQERGEDADHIRIHPMVRAMALWIASEFGKKETKWLVRAGVGLKEAPGADKWSDAERISFMGNHIVELYERPNCPLLKTLLLQSNPLSRICDGFFQFMPSLRVLDLSHTSLSELPSGISSLVELRYLDLYNTNIRSLPMELESLVKLRFLILSKVPLEMIPGGVICSLTMLQVLYMDHSYGDWTVGDSGSCVSFQELESLRRLQALDITIQSLETLEQLSRSYRLAVSTRNLLIKTCSSLTNLELTSANLWKNMSNLKRVWIARCSNLAEVIIDRIKETDDRIKHSRDKLQGRPIVDGEQHILPNLHDLILQGLPK >PVH37944 pep chromosome:PHallii_v3.1:5:7735161:7738510:1 gene:PAHAL_5G129600 transcript:PVH37944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVAAVFRPLKDCFDRTYGYVMSCGDYIEAMGHEMNELKSKRDDIKRLVDGAERHSMEATSQVKWWLKCVADLEVAALRIEDDYRARQRLRPERSPGIMATYYLSKKADEMRAEAARIKEKGNFDKVADELVQIRVEEMPSVPVFGMEAQLQELNACVRDGGITGIYGMAGVGKTELLNKFNNEFLINSQDINVVIYIEVGRKFNQDDFQRIIGDRIGVPWEDNKLPKERGKVLFRVLSKMNFVLLLDDVWEPIKLQFLGIPVLKQNSMSKIVLTTRIEDVCDRMDVRRKLKMECLPLEPAWELFCEKVGEHLMRASEEIRDHAMALAMKCGGHPLALITVGRAMASRRDAKEWKHAITVLESAPWQLLGMDTEVLVPLQESYNYLPNDMIRLCLLYCSLFPEELSIPKDSIVGYCIGEGFTDDLFTMDEEFYFFKDWAKIASLQERGEDADHIRIHPMVRAMALWIASEFGKKETKWLVRAGVGLKEAPGADKWSDAERISFMGNHIVELYERPNCPLLKTLLLQSNPLSRICDGFFQFMPSLRVLDLSHTSLSELPSGISSLVELRYLDLYNTNIRSLPMELESLVKLRFLILSKVPLEMIPGGVICSLTMLQVLYMDHSYGDWTVGDSGSCVSFQELESLRRLQALDITIQSLETLEQLSRSYRLAVSTRNLLIKTCSSLTNLELTSANLWKNMSNLKRVWIARCSNLAEVIIDRIKETDDRIKHSRDKLQGRPIVDGEQHILPNLHDLILQGLPKVKIIYREGCVPNLASLFIQYCHGLEELLTLSEKDPEMAASDGEEDAGTTKVIIPFPNLKELHLHGLANFRTLSSGICMLQFPSLETLKIVECPVLKKLKLCASGLNKIQCTREWWDGLQWEDEEAKESFELLLRPMS >PAN31572 pep chromosome:PHallii_v3.1:5:52222980:52225877:-1 gene:PAHAL_5G428600 transcript:PAN31572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISLPSSVSRLVSLMYPCSHRPAICIQPLAPPTYRRPFAGFGGHLRHPRMSGGIPPQHPSGGCGSADQGSAASISAFCDKLKARGVRMEQRRREGSTCEAAAACNANLLVAVNDVRVHAKIQC >PVH38310 pep chromosome:PHallii_v3.1:5:13891280:13891570:1 gene:PAHAL_5G225700 transcript:PVH38310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGWGHGWQSAWARAARGGVRQGARAAGDRGEVVRDAGWRGARAAGVGVKRRGQRAGGMRCCARRAASAGGDTRNYRCRGAGIWGGVQVGTRVAR >PAN29674 pep chromosome:PHallii_v3.1:5:15200962:15203245:-1 gene:PAHAL_5G241400 transcript:PAN29674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAATAGDRRRRSRSPAGGTAAGNDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEAGAAEVVESKGKLWLTTGVTRGGKLCYNVEEIGFLVERGALIFLNDKDETIGTEAIYEKIAGGKYGCSWDAFQAYKHLKSLGYIVGRYGVPWTMKNSGTCDTTVPPSVVHTDQSFNRVDGTCSNITKLLKEMHIDEISPSFEVYLPNSKFKKSSPGAPSFLLCLLRNKPPSRIELEMVENNFGGIPLKYCHVDNGRVSFLSFDKVALPRLP >PAN30091 pep chromosome:PHallii_v3.1:5:18048640:18053940:1 gene:PAHAL_5G272700 transcript:PAN30091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFQRKNSKKVKDSVGSQKKGKDSRGKNDLFDRAKGGVDALAGSLQSAKNDAETATEKLQGDVKSGIETILHKGSGLLEKAKEEVGGHSEASRSKELEQGSEEQGNQDMDAFSAVMDKVKSHPEVVEKVKDEVKSLADALHLHRHHGSKDKEPESEAKAEEGEPAQNVDNGASADKAEESNVLEQAVEEIQAVVAAVQQQQTTPTAETETETPIETAATAETSAEGERPEETNREVEKDDPKKRLDFLGFFAMLFERFCSPANKKKD >PVH39360 pep chromosome:PHallii_v3.1:5:55785239:55787583:1 gene:PAHAL_5G485700 transcript:PVH39360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDMSASPEHCRERRGSFHVRTSVAGKSAPPSLVLVYCIGAIALLASVGRTLAPKELCLCSFWCISLTSVSCKPQGIKYELHTLPVDAKAVTDGDTITVYVNIANHPESGNVPQEVHKAVIERTKARVTKNYQRADALQKIILDAGYRQVPNMRGEHVLAKKYRIRLRGVDAPESLMPYGKEAKEELVRLVQRKSLRFPYMTVTDTVD >PVH39359 pep chromosome:PHallii_v3.1:5:55785239:55787583:1 gene:PAHAL_5G485700 transcript:PVH39359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDMSASPEHCRERRGSFHVRTSVAGKSAPPSLVLVYCIGAIALLASVGRTLAPKELCLCSFWCISLTSVSCKPQGIKYELHTLPVDAKAVTDGDTITVYVNIANHPESGNVPQEVHKAVIERTKARVTKNYQRADALQKIILDAGYRQVPNMRGEHVLAKKYRIRLRGVDAPESLMPYGKEAKEELVRLVQRKSLRFPYMTVTDTVD >PVH37592 pep chromosome:PHallii_v3.1:5:2463281:2464254:1 gene:PAHAL_5G039000 transcript:PVH37592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFRGLSGEYWDPLLPFLSPAANPDVHGEPAEASAAPLFQKDNPLRSFASSGGGRQRLHARGHQREAALQRRTPPRRVRRRNRASRCTRGSAWIDAAVLNS >PAN30133 pep chromosome:PHallii_v3.1:5:19373255:19378490:1 gene:PAHAL_5G278800 transcript:PAN30133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPLLLAGLALAALAAAGWPSAVTAALEDPAGLLRRAKEPGFADWMVGVRRRIHENPELGYEEFQTSELVRRELGAMGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPLQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDELKGTVVLVFQPAEEGGGGAQKMIEAGAVENIEAIFGLHVADSVPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCSATVDFLNKDRPFFPPTSNSAELHDFFVNVASEMVGSKNVRDRQPLMGAEDFAFYADAIPSTYYYFVGMYNETRGPQAPHHSPYFTINEDALPYGAAAQAALAARYLLEHQQPAATLDKAKSHDEL >PAN31652 pep chromosome:PHallii_v3.1:5:52281324:52284512:-1 gene:PAHAL_5G429500 transcript:PAN31652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTRVSGDVMGNIPEWALRDEFPQEINFPDDFNPGNGRILTLNNRLRLTYEDIGWTQNKINGAYDKQERATLLQGRSSYEASMAITQREIRDHEDSMPSLAGQPKCLIAEVIAVGGEPFVAGFVRQFLDKVPDAVTLPNGSLSYLCNRPHYFPDEISVPQGLPAVSVFWGKDPVSAPQCNRFLQIRYSALARHVNRIRYLRDHRLRLEVDAQATVAGNDLTSKIQSCSTMLANVVQVIHKREQLYGSQIFRMPAALRDKILEDPSIVNQRQLPDIDCLLSLYPQGIPQNSPIHHGRAVFLLFESAMGYSLFWAYNTLSPADLLSFESFTSVVKLIVHYPFATQAIAIQQFQHINNDTCSAMLRLFLELHLPQLTEWGWNSYRLGVRNRRFGQSIETATGIPCRRKKHSVKELRAGIEQFLHLFLEIEQAQLTEAQQDLQSKLEDDNPQQV >PAN30739 pep chromosome:PHallii_v3.1:5:41162235:41166468:-1 gene:PAHAL_5G359700 transcript:PAN30739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSRVKPGFNGVGAGLDGSSRRGGYMGGVGAPAAGGGGRGAPVDACGVALRVFVLAATLVSAVVMGVDRQTRTIQITLADTLPPLQVPVTAKWSFSSAFVYFVVANAMVCLFSAAALAACRRRGAVVPVMVGDLLALALLFSAVGAAAEFGILGERGNSHVRWAKVCNVYGAFCERAMAAVIVSLLAAFANLVMLMLTILAIHKHSSYY >PAN26394 pep chromosome:PHallii_v3.1:5:629670:631009:-1 gene:PAHAL_5G009400 transcript:PAN26394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYYGGRTMYSKTDDCYDAGRHGSRRVYSHTDDCYDDVDRRRPGAYADDCYNGAGYGGARQAAVYSDEYSRGGYGYGGYGGEQEHLKREEREHKHKERIGEIGALAGGAFALYEGHRAKKDPEHAQRHKIEAGVATAAALGAGGYAYHEHRDQKEAHYEGKEHSRVPHSYYCN >PVH38623 pep chromosome:PHallii_v3.1:5:25006277:25006585:-1 gene:PAHAL_5G306300 transcript:PVH38623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVTGGEEHEVAGDGDGGGSARRYCGGKRERRRDGDGRGGTTTAGASGGATATGAEAQRRQARRQRAWRYNDSKSERWRARRGMTAVRPRRCVHGKRER >PVH38746 pep chromosome:PHallii_v3.1:5:37543624:37544399:-1 gene:PAHAL_5G343100 transcript:PVH38746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRNHSRNSIDKYPLLSPISGLVSPNKSLILGTLVLDGKARPCRRPRSAAA >PAN31691 pep chromosome:PHallii_v3.1:5:52740094:52743938:-1 gene:PAHAL_5G437500 transcript:PAN31691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAASPACAPGLISGPTLPPQPVAFRCCWAPKPSSSSSTSRRPRRRRVLVLAAASNRASPAAAWGLDADDFRHPLDKQNTLLLRAIPGLNDMGKALLGPVSEQVMVLQNIGSSVLVSPNQLPNLHQLLVEAAKLLNTEAPDLYIRQNPVPNAYTLAINGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYTVPGFGMVAGFMEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREVDEWSRSQEYKTLMQKLLRMG >PVH39027 pep chromosome:PHallii_v3.1:5:51856949:51857191:-1 gene:PAHAL_5G422700 transcript:PVH39027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSTKVQTKWDPFAIKMFNEICTEKVLAHNRPQWCLNSLGYANLIRKFQERTSRSYTQEQMKNTWNSLKKKICPMEDFE >PAN27689 pep chromosome:PHallii_v3.1:5:6008490:6010264:1 gene:PAHAL_5G097900 transcript:PAN27689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRKVVLITGHRQMSNSLQTIIPADQLSICYWLIARTNVECYHITEQIHKADKP >PVH39015 pep chromosome:PHallii_v3.1:5:51523087:51523839:-1 gene:PAHAL_5G418500 transcript:PVH39015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVFNIMDGTKVMPVGCGRGNQRHDWLRIIGTKSPLCALKSCNSLIRTAGTLCNNIPFFSGASVRCSRHTVQRCGYTANNIHGWK >PAN28196 pep chromosome:PHallii_v3.1:5:8205346:8207695:1 gene:PAHAL_5G137400 transcript:PAN28196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTTTSSPAALPAATTTAPAASSVSPHAGSKRPLLAGDDAPWRATAATAPGGQGIRPVPRIHHAPVLRVAAQDDSAAYALAVMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVWPLDIDLKFLEPFGRELHSMKKFMDKSCSVMDSSIANK >PVH38025 pep chromosome:PHallii_v3.1:5:9334624:9337249:1 gene:PAHAL_5G154300 transcript:PVH38025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQRPELFAEVDGRLPLRKRRRGQELQQCQWDDVGFLALDEEEEDASLVYGASPNIGDLEDMPIFSEGKNAAFVGGEEIYAQSQGVADLGPRNFFYSDVKLLGHKMECDDRPGCCNRNSAKQDDLTPQRVISMTQYQPESKPKHNIEIEDGDDSNANVYVGLDNSSYLPQLQEVGGICNYRTLSPFQARMQQIQGYVPAMENAYMDVEKACRDTRDEAESIKRMVMGKSHLIAATVSDIQDEFRVRSTRMCQLEANMKLMCRTVQQYEKLLEKSLAEFQEYRNRIMRGEGVDSCSVVLGVSAGQNRAWVQQMHHYLCQKISKIDQTWSLNSSKLLTKITEVETGMVKLSHEVQRLNDSRSIPDLNNGVEDSGEGEASLSKTEDRSCASEGCHVSNATQAGKQLVELVANSDQRNDTGESMDLN >PAN32899 pep chromosome:PHallii_v3.1:5:58051197:58051582:1 gene:PAHAL_5G520100 transcript:PAN32899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGDGECRPLGWLLGLPFALLAVIVSFVGAIIWIIGLPISCICPCCLCVTLLLEVAVELVKAPLHVMTWFTSKIPC >PAN28791 pep chromosome:PHallii_v3.1:5:10765598:10768102:1 gene:PAHAL_5G178500 transcript:PAN28791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGSKLAQLQSKACEATRFVAKHGCAYHKTLMEKNKHHVVDPPTIQKCQELSKQLFYTRLASLPGRYEAFWKELDSTKLLWKNRSNLKVEDAGVAALFGIELYAWSCLGEIVGRGFTLTGYHV >PAN30998 pep chromosome:PHallii_v3.1:5:47136740:47139982:-1 gene:PAHAL_5G379800 transcript:PAN30998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGGAGGGGKAAAALRLQHYLIMAGVAAAVVLACLRYAPAAAGYGFLAVAPPAGAGRLVRAAADGGARDPPPSPSSVVIFNFGDSNSDTGGMAAVNGMNLNLPEGRTFFRRPTGRLSDGRLVIDFICESLHTPYLSPYLKALGADFRNGANFAIGGSTASPGGSPFSLDVQLHQWLYFRDRSMEMINLGQKPPVDRDGFRRAIYTIDIGQNDLSAYMHLPFDQVVAKIPSVVAQIKYTIETLYAHGARKFWIHGTGALGCLPQKLAIPRDGDADLDAHGCLKTYNAAARRFNALLAGACAELRRRMVDAALVFVDVYAAKYDLVANHTAHGIARPLMACCGYGGPPYNYNHFKACMSAEMQLCDVGARFVSWDGVHFTEAANAIVAAKVLTGDYSTPRGITIASLVNSTLMASNDG >PAN32098 pep chromosome:PHallii_v3.1:5:54504636:54506063:1 gene:PAHAL_5G463300 transcript:PAN32098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTKQTMPASRAGGKLLNVVPMLLLFSLGFVLGMTYNSKFPNFYLPFAAPLPSPAPPPPPPSPSPPPPPPSPTTNPQAGPIRFLVPSTVTHNMTDEELLWWASMTPKIESSPYHRVPKVAFLFLARGDLPLRPLWEKFFAGHEGLYSIYVHTDPSYTGSPPADSVFYGRAIPSQKTMWGDITLVAAERRLLANALLDLGNERFVLLSESCIPLYNFTTVHAVLAATDTSFVESIVTPARHDAVFAERHNITAARWRKGAQWFEMDRPLALEVVSDGTYFPTFRDHCAGRRACLMDEHYLPTLLSVLAWPRSANRTLTFADWDRRRRTGFHPHAHRAEEVTAGLIGEIRSGERAGANCSAYGDDASGVCYLFARKFMPDTLEPLLRLAPKVLGFG >PVH39322 pep chromosome:PHallii_v3.1:5:55444055:55445025:-1 gene:PAHAL_5G477700 transcript:PVH39322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAMPSSGTSTMKLLVDRASQRVLFAEAGKDVVDFIFGLLAMPLGAAASLLRAEGAAAGALGSVANVYASVEKMDAAYMQGPEARDALLVNDSLPSGPSTRLLPATTTTSAAFATSISTAAPSYCCMWGPPPGMPMPPPAGPTYYYPPYPPTQAPVPESGVALLPAWLFRCHACYALGSPQESRGFVQGVASYTVMDDLTVSPASNVSTVALLGRLGVKDLDAIEERTVTVGRKECLEILKVSLQSKTVLTDVFLAKTTNKRARTAGDKNDDDAVTTKSEWGLQVVETGDK >PAN32136 pep chromosome:PHallii_v3.1:5:54692135:54692920:1 gene:PAHAL_5G466400 transcript:PAN32136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGALPLLLLLLPLASLLRFATADGNGTRGNASCAPAACGNLSITYPFSLSGVQPLYCGFPAFELTCADAGRAYLARMFRERLYRVLNISYGSNSFVMAVDVDTSYAGDERCHIPDFNVSSGLSRLPVNVPAAANANLTFVYNCTLPRNASVLLQPPCARPTIGAYVPDGEGNAPPGVPRNCSSVSVPVRRSSFHNASEEPAREYRRLIDEGFVLEWPPTAAECGACTRRGGECRFVEFQCICSDGRPCRNSRGKQTSIC >PVH38321 pep chromosome:PHallii_v3.1:5:14189554:14190961:-1 gene:PAHAL_5G229100 transcript:PVH38321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDPITYVSAEHKQRYDKIKTQFEADLIGSFKRTRSHGVRWKGFSLEGALDGVDLSVPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPTLGSHKGELPFQTRPPLPYALAAAESHGAPAYVVYKVGGDPMDHQFFSERPKEIPHGYMCAYIPDSNNPIHSAQKVVGGVSGADANKQAWLASYATGPSHDSMHSAPGSQTAEQVSAILRDHG >PAN29667 pep chromosome:PHallii_v3.1:5:15268105:15268290:1 gene:PAHAL_5G242800 transcript:PAN29667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCFKCLFALLVDGSDYGCAQLDPLRRNTSLALLVDAGPVTTSRRCCLDSPSISYRFCYT >PAN32339 pep chromosome:PHallii_v3.1:5:55522318:55523345:1 gene:PAHAL_5G479600 transcript:PAN32339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETPAGSGIAREPAGQAAGVENRAPGSSSAPPRGKKRATPEVTRRFTPEEHKAILEFTWAAFLDYQVYAAMTEEEEEEEYRRAGKLDKYDPDTELYKRYARVAKKHPPPDGFDPKLEEYFKLIEDED >PAN32396 pep chromosome:PHallii_v3.1:5:55720027:55723693:-1 gene:PAHAL_5G484400 transcript:PAN32396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPAAAAAARGALTEQKFSEMSPTLSEEVVEALHRGGFRRCTPVQAATIPHLLSHKDVAVDAATGSGKTLAFIVPVVEILRRRSSPPKSHEVLAVIVSPTRELSSQIYNVAQPFFATLKGVSSMLLVGGLDIKAELKRVDEEGANILVGTPGKLYDIMQREDALDFKNLEILILDEADRLLDMGFQKQVNFILSKLPKLRRTGLFSATQTKAVAELSKAGLRNPMRVEVKTEAKPTSKDAGQQELGPSKTPLGLRLEYMICEASKKSSQLVDFLVQNNGKKIMVYFATCACVDYWAVVLPLLNSLKGSPIIAYHGKMKQGPREKALASFSALSNGILVCTDVAARGLDIPSVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDAYVEFLKLRGVPLTERECPSNTEDVVPQIRSAALEDRNVMEKGLRAFVSFVRAYKEHHCSYIFRWKDLEIGKLAMEYGLLQIPSMPEVKHHSLSLEGFIPVDDVDVTQIKYKDKAREKQRKKALKRKAEEEAQNPKPEKKRAREKPEKPKRKKTGKQRQSVQTKEDLDELAHEYRLLKKLKRGDIDEDEYEKLTGFGDSDGEASDGDASDLDEKERGNKAQKKLKQRGKGRGGARKFEGKSKMRSKRR >PAN29990 pep chromosome:PHallii_v3.1:5:17089206:17092960:1 gene:PAHAL_5G264800 transcript:PAN29990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MAAGGGGGAGPPPTGPPPMQRKLAVEVVDARDLVPKDGLGTSSAFAVVDFDGQRKRTRTAPRDLNPQWHERLEFEVHDPANMHAEALDVSLYHDRRFNPSGGGGGKNHFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEPADGPPPPPPEDKPPEQADNAPPMEVPPEAPKELQEMPAPTEAAVEVQQPAAQPPIITVEEAPMHPPMMMMPPMHGTHGPHGPMMPPMHGPHRPMMPPPMYGPHGPMTPPPPEPQPQPEPEPAPEPEAGDQYPPEVRKTRMASSTERVRVMRHPSGGLAPDYYASSPRVISGRFVSTGESVEPVQSSSYDLVEPMRYLFVRVVRVRGIRACEGPYVKVQAGPYSLRSRPGRDVSGTGNPEWNQVFAISHAKPEPTLEISVWDGGAPSPAEAFLGGVCFDLSDVPVRDQPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADDAFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDLRVPAPPPGLPFDVRVKIQLGFQSARTRRSVASSSGSAFAWSEDLMFVASEPLDDNLIVLVEDRSMIKEPSLLGHATIPVASVEQRLDERQIVASRWFNLEGGTSGIGMPSSNAGGPPAFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWKPPVGVLELGIIGACSLLPMKTKGGAKGSTDAYCVAKYGKKWVRTRTVTDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAGAGDERQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEVQLAVRFSSPAQLPDTWTTYTSPLLPRVHYLRPIGVAQQEALRGAAVRTVATWLARSEPPLGPEVVRYMLDADAHTWSVRRAKANWFRIMGVLAWAVGLARWLDGVKRWRNPSTTVLVHALYLVLVWYPELVVPTASLYVFMIGVWYYRFRPRGPAGMDARLSQADTVDGDELEEEFDPVPPPDVLRLRYERLRALAGRVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVAGGFYYLRHPMFRDPMPPPAVNFFRRLPSLSDRLL >PVH37456 pep chromosome:PHallii_v3.1:5:603966:606337:1 gene:PAHAL_5G008600 transcript:PVH37456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPIQHLLLLPLITLAALVSSLPLSGAHTANITSGSSLQAAAGAAWPSPSARFAFGFYATDGGLAVGVWLATAPDVTVTWTAARNHTPATGGALWITYDGRLIWTGTDDGQDRSLAVPPQPAVAAATRDDGSFVLYGADGTVVWSTFAAPTDTLLPGQDLAPGAQLFSAVSATNRAAGKYRLTNQANDGNIVMYPVQTENVAAAAYWASNTFQIGFPLTLRLDAAGVLYLTGNSSNYTKNLTKPGAPKSAGEQQVLYRVTLDPDGVLRLYRHAVASTGAWSTDVQWIGPSDRCHVKGACGLNSYCVLGRDAQPSCTCPPGFGFIDATNAALGCTETSSAGDCAAAAVLTMTMAAVQNMTWADTPYAVVGAATSAADCQAACMSDCLCAAVLLNGDDGTCTKQQLPLRYGRAGGGYTLFVKNAAGTASPALGGRGGRRVGRAATVALVCIGLLTCVSLAALVAAARLVRASRRAAHRRLAEADDEEAPLRSYSYQELEHATCSFRDPLGRGAFGTVFRGTLHNAAVAVKRLEKVVEEGEREFQREVRAIGRTNHRNLVRLLGFCHEGANRLLVYEYMSNGSLAERLFSKGGGGGCPSWGERVGIALDVARGLHYLHDELERRVIHCDVKPQNILMDASGTAKIADFGLAKLLLPDQTRTFTGVRGTRGYLAPEWYRAAGPVTVKADVYSYGVVLLETVTCRRSMELEEAGEERTLMELAHEWLVKGEVARVMGTDEAVDAAEVERAVKVAVWPRGGAVPAAAGTVVID >PVH37729 pep chromosome:PHallii_v3.1:5:4389963:4392922:1 gene:PAHAL_5G071100 transcript:PVH37729 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD52-1B.2 [Source:Projected from Arabidopsis thaliana (AT1G71310) UniProtKB/TrEMBL;Acc:A0A178W7H6] MELDRIFRSISKRASHLQNPQTPPSLSPRFPPPVRPAMAPGALARLLLRRAPTPRLARPFAAKARTSRRLQEPELPSEEENNFAGGEVAAPTEGISKPLAEVLKELGKRVPDSLVKTRIEDNGFAIKYIPWHIVNKILNIHAPEWSGEVRSIAYSSDGKSVSVVYRVTLHGIDAEIYREATGTASVDDTSYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >PAN27738 pep chromosome:PHallii_v3.1:5:6253584:6255566:-1 gene:PAHAL_5G102900 transcript:PAN27738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTAASAATATAAALSSPSPRRVPAAKSVWLNPNLPTSHPLHHRHKSAAELQQRQHEDRALDVPALVAALSAARTAADLAAVLAPHRPVSARLLGALLSRLPDPRRGVALLDLLAPDLTAPALLIPYNLLLRSACRAGKLRLASGLLLEMRDRGVTPDAFSYSTLLAALTRAGHLDHALTFLPLMEDDAVAPDLILFSNLIHLALRGGDAPKALALFSRLRGAGIRPDRKAYNAAVAAYCKSDLLRDAKRLLLHDMPADGVAPDAESYSPILAALARRGRHLAAVSLFSHMRAVARVKPDLSVFNIVLNAYGQLDLAREADRLFWNMRRAGVAPSVVTYNTMLRVYGDAGLFGEAVHLFSLMRIASDGNGGAGNSVKPNVVTYNTMIAIYGKSLEDEKAGSLVQDMQANGVQPNAITYSTMLSIWVKAGKLDRAAKLFEKLREAGTEIDPVLYQTMVVGYERAGLVSQAKRLLRDLKDQDSIPKETAIKILASAGRVEEAAWLFRRAASTGEIKDSSVHRAMMDLFAKNRRHRNVIEVFDEMRKLGQLPDSETIATAMNAYGKLKEFDKAAALYQAMREEGCVFSDRVHFQMISLLGAQKDFEALETLVGELSHDPNIEKRELYLVAAGIYERSYKFDKASQIISQIRSPNGFDVQKFR >PVH38542 pep chromosome:PHallii_v3.1:5:20407972:20409558:-1 gene:PAHAL_5G284900 transcript:PVH38542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCGDGRDDDWYQYGLDDFPPLCSAPPPLALLRGDESYSYYDLPIFSAPPPPAGEPYFSNQLPRVPVDGATVGLDDALLLPLGDIDLEAFDSADEHKMIPPAGQHTVGQDYAGVDVVHEDQKPMAIADSFRPRANALELTMSRHGEHQKSSSVAAALVPPPPPPLPRPRGRRSVDHRSAPAHGKTRLDHIGFDELRKYFYMPITRAARELNVGLTVLKKRCRELGIARWPHRKMKSLKSLILNVQEMGTGMNPAAVQHELAALETYCALMEENPAIELTERTKKLRQACFKESYKRRRAAAVNVMDRIFSFDDHKYRHPLRPPPPPSSAERHGHGSSFLGY >PVH38643 pep chromosome:PHallii_v3.1:5:26850611:26851330:1 gene:PAHAL_5G311800 transcript:PVH38643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPQHPFRSQWQPIEINMMGYRIVDTIEGAALEAIYRFCNQHPKEVAGQPIGLFSMTSPDEPEWNLRIIPEGHRLDSPPEEALQGMMRFINVQYHYQLLLRREMGRVIHVARSHYREADRQNTQVDQLRALVTQKDEIIAARDETILHQEDQINESDHIITQRNTIIEFLQEQIHDLILAADDAQAQLEELQQPPIPPVVPAVPEAEEEDPVEIEGVSELDSEHGDPILSPHHSSSGS >PVH37712 pep chromosome:PHallii_v3.1:5:4088437:4090474:-1 gene:PAHAL_5G066100 transcript:PVH37712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKHKHKLPLNLPRAARDLPLRCPIPRAPHHDGPNRRRWCCRRARGWWPTTRPSPAAPARASTRARSPCSMRARGLRADEYTLPPLLNSAALLRAPPAARALHALLLRAGLASHLHVANALVDAYAKLSRPAAARAVFDGMPARDVVTWTSLLTGLARAGAHGEAARVYSGMVAAGVGPDEFAVAAALSSCAGSTMLDMGRSVHAAAVRRGLEPFLSVGNSLVSMYAKTGALRDARAVFDAMPARCTITWTALIVGCAQNGRGRQSLEIYADMVRSGCRPDYVTFIGLLFACSHAGLVDAGQAHFRSMVTDYGIAPGPDHYACMVDLLGRAGRLEEAMDLLNGSSTELDATVGKALLGACHVHRNAELAEHAAEMVWRLDPTDAVPYVMLSNLYSRARRWGDVARIRALMKSRGITKEPGCSWVGVNGVAHLFHVEDRGHPRAAEIYGKVEEMTERIRAEGYVPDTDWALQDEAPEGRERGLAYHSERLAVAFGLLAVPAAAPIRVFKNLRVCGDCHAAIKMVAKVYGREIILRDANCFHHMKDGACSCGDYW >PAN27250 pep chromosome:PHallii_v3.1:5:4274407:4278709:1 gene:PAHAL_5G069400 transcript:PAN27250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSFSRSTSARLAARGGVGSPRVSTPGAAHRNWWAAAPSGPSGPSFERVAFAFFLTSLALVLSCALYLYVFRHPGRDHVVAEFAAGENLESCDVFDGIWVPDERYPLYNSSRCPFAERGFNCLANGRKDTEYLKWRWKPRGCDLPRFSARAVLEWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPDTVYEVNGNQISKTIRFLGVRFESFNLSVEFFRSVFLVQQIPAVRHGRRRVRAILKLDKLDDLSRKWADSDVLIFNSGHWWTASKLFDMGCYFEAGGVLKLGTSINAAFKMALETWASWVKEKVDLRRTRVFFRTYEPSHWSGLNQKVCEVTEQPTTEAKGDDRREFGDILADVVANTSVPVTVLNVTLMGAFRSDAHIGLWSHPNTILDCSHWCLPGVPDAWNELVFSHLLTNGWRGLVS >PAN27101 pep chromosome:PHallii_v3.1:5:3729948:3732679:1 gene:PAHAL_5G059200 transcript:PAN27101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKKPLLRDHQAQEGATTAAPLAGKLHGSDPGATASSSGAKKLEDLQLAALNAEASPSAAVKKPKNLEAAVFTGEASTSGVKKSYPDCNKSDDNKIHRDGKKTGNKLDDKKGEISEAAATVRQQIGNNLSIRDKNTGASDVKKPEEALVPLGNQELAEQAGAFAKSAAGSSMAAAADAFCRAAGHFAGGMDRKKRATETELSKSAMALFSVAQSAPSSEVGLAAVATELTYHAQMALLSVSDSEPRRWWRRASSISVQEAVTRPSFKQRLRAAISAPEPLLPIHAEDEKKRIDKRAVLYGLALGAPVAILTSAAGLDTFHGAWAWVAGASVSVWIFAATGMVLNQYAVLDSERLAAWHLGRLGVLGAVVLFCFFAYRAFFPSALWALWILLGIAAVANGLLWICSCIRGDDVSGSDRDAAGMSGQDLPL >PAN31069 pep chromosome:PHallii_v3.1:5:49021081:49022321:1 gene:PAHAL_5G393100 transcript:PAN31069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTYKGGIKAYWKRRGYYRVDAGAAQRRPPLPTAELGGGVAQPPKPEDGSGRRRRRGWRVRRGQLGRRLLRALSPRRWLVRLRDAYVSAMLRLASSPAVGYGAGAPYCASTTHGAAFARPPQLKEYDEKVLVEIYRSILARGGPLPLAVPGDGAGAPAAATATLRLPTAV >PAN28691 pep chromosome:PHallii_v3.1:5:10431803:10436148:-1 gene:PAHAL_5G172600 transcript:PAN28691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGKDGNPLRNYRIGKTLGIGSFGKVKIAEHISTGHKVAIKILNRRKIRGMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGAARDLIPRMLVVDPMKRITIREIREHDWFKVHLPRYLTVPPPDSAQQVKKIDEETLREVIGMGYDKNLLVESIQNRLQNEATVAYYLLLDNRLRTTSGYLGAECQEVMDSSFSNIASYETPSSARGNRQQIFMDSPVGLRQHFPAERKWALGLQSRAHPREIMTEVLKALQELNVYWKKIGHYNMKCRWNPGFPGHQIHNNHNFSAEPIGTDGLSERLNLIKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCAAFLAQLRVL >PAN30719 pep chromosome:PHallii_v3.1:5:43077548:43083086:1 gene:PAHAL_5G364400 transcript:PAN30719 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MAPAVAAAASAAVSQALRERQILDAVGTGAAALSLVGSSFIVLCYLLFRELRKFSFKLVFYLAVSDMFCSLFTILGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGSWCWIQQGSMAKVLHLITFYLPLWGAILYNGFTYYEVNRMLNNATRMAAGISDRSNQSDIRADRKAFNRWGYYPLILIGSWAFATINRLYDFTNPGHKIFWLSFLDVGFAGLMGLFNSIAYGLNSSVRRAISERIDTFLPERIKRSLPTLSRLRSQQENELTSLIVEGN >PVH38709 pep chromosome:PHallii_v3.1:5:33687033:33691397:-1 gene:PAHAL_5G331700 transcript:PVH38709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPKLRAASSSSFYSQREPRQENPFLLLPSCHGAKLPLSCPLLDRMLSGSLPAASTTKAGGSASGKINVWGASSTSPTAAPCLPSVRARRGAVSPVRTSRIVSTSPVCAAGV >PVH37923 pep chromosome:PHallii_v3.1:5:7405622:7409065:1 gene:PAHAL_5G122900 transcript:PVH37923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAEGPGHPNGHANGAVVEEKLDELRRQLGKADGDPLRIVGVGAGAWGSVFCALLQDAYGHLRDKAQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTDTREVFGEIGRYWKERITAPIIISLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANKSVAPVEMCPILKALHRILIKRDRPADSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDVKA >PVH38119 pep chromosome:PHallii_v3.1:5:11011938:11013360:1 gene:PAHAL_5G182500 transcript:PVH38119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPQSQQLSDSRGRMTGFSMSEIVHVETANRGKSELSKTFHLTQMQWHHSQRDDKGCSNEDAWFDSVSILEDDSDDEFKSVDGDSSASSDEDEDENKQYESAPRFADALSYWRDMPWCAYDIIY >PAN26395 pep chromosome:PHallii_v3.1:5:639561:643274:1 gene:PAHAL_5G009700 transcript:PAN26395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSSAQDPFYIVREEIQDSIDKLQSTFHRWEQTASNTGEYVHLTKELLTSCESIEWQVDELEKTITVASRDPAYYGLDEVELSRRRNWTGSARNQVGTVRRAVEKGNSNSATSKYQDTSRTNLYSAQDNDDFISSESDRQQLLMRQQDEELDELSASVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLIAFLVVLFIILFVLVFLT >PVH38945 pep chromosome:PHallii_v3.1:5:49181538:49182056:-1 gene:PAHAL_5G394800 transcript:PVH38945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELWKIPTTSPKTSSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVVSVVVWVLVVILAIVALHCPLPRRGVR >PVH39534 pep chromosome:PHallii_v3.1:5:58771271:58772082:-1 gene:PAHAL_5G531800 transcript:PVH39534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAKMVTTTAVAVAVLAVAALAGVASAERAGGFVVTGRVYCDPCRAGFETNVSKSVPGATVEVVCRPFGSTKETLKAEATTDEKGWYKLEIDQDHQEEICEAVLDKSSDPACAEIEEFRDRARVPLTSNNGIKQQGVRYANPIAFFRKDPLKECGSILNKYDLKDASETP >PAN28958 pep chromosome:PHallii_v3.1:5:11275534:11278034:1 gene:PAHAL_5G187000 transcript:PAN28958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os01g0708500)] MGDTSAADAPAASRFGRICVFCGSNPGNRAVFGDAALGLGKELVARGIDLVYGGGSVGLMGLIAQTVLDGGCSVLGVIPRALMPLEISGASVGEVKIVSDMHERKAEMARQADAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLMLFDKGATEGFIKQDCRDIIVSAPTAHELLKKMEQYTRSHQEVAPRTSWEMSELGYGKAPES >PVH37869 pep chromosome:PHallii_v3.1:5:6527777:6530891:1 gene:PAHAL_5G108300 transcript:PVH37869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVSARACALLLLLPAVAVLLHGEAARSSAGFPLSGRATVRLPTGPRQPRFAARAVVLDDARGERQPGFVAAVSAEAAGRAGACTCSLVLLLGGVKVWASDHLEEFVARALCRPELTEDGQLRLTDGGGKVGWLSGTAGQGVKHRTLPAYFVASMTSVTSSPFYSLELDGDKIAAYIHVGYTSYSYWELAPTANRTMASARLDGSGLKMLDAQGIMVAQVSPPVKKPPLSFLALGGDGNLEMFYYDARHRRFRISYKALGLCELPLSCGVREVCSAAGKCKDFVAYADRPPARAGNAFCYGTGGEACMVHLRAVTTVLRTTSPPPALANVTLRQCVARCASNASCNGALYVKGNAGVAAAADHGVEVTDGSRRRYSYWVKLPAAEAAAGGGDDEDVQDDSMLSKVLMICGAIDVACAVVFAVLIALYFRRLRRLAAAVDRVVELQQGEAESSGEQNSFDSNGAEHN >PAN32685 pep chromosome:PHallii_v3.1:5:56978173:56981130:1 gene:PAHAL_5G504800 transcript:PAN32685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASMDVPAKGGFSFDLCPRNRMLEKKGLKVPGFRKTGTTIVGLVFADGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLELHRYATGRVSRVVTTLTLLKSHLFRYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVSEAICAGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYSFVQGQTEVLSTKITPLKTKGEVTVGDAMEE >PVH38536 pep chromosome:PHallii_v3.1:5:19950463:19954261:-1 gene:PAHAL_5G283000 transcript:PVH38536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPRRSAGGAASEASSEVGSDAEDDRYCSANSALGTPSSLGTLRPSSDFWDHQMDLLLDDHPAVSGFPKNHQLSRLQAPAPAQSRSGTGPPPAAAGGDALARQGSSPGSPAVHPRPDHNQVDIFDDNDLFDDMVQEMEQILLSSGEPHENESFRDNRKSKTREAHHFRDGSTTASTSGTDDAYVYPLPQYPSRIDWVEVVGAKQRTGDVSFGERMVGVKEYTVYLLKVRSGEDEWEIERRYREFYALYRQLKDFFYERGLSLPPTWENVEKESNKIFGNASPDVVSERSGLIQECLRSLLVSSYPFGIPTPLVNFLSHGRPVYEYSFLKTLIPRSLQKRSSDLNSKDSECNGGSHDDSTSMGKKISLIVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTLLQELVQTIGWNKPQFCSYTGQLFCASCHTNDTAVLPARVLHYWDFSLYPISQLAKAYLDSIYDQHFQLRCILYGTGYLSTSLSNALYAMMLVYLVLLDKLVMTPCPLYSHFRRMKLQSVVCVGQSSTSNALGRLVSALVVRLLVLAGRSWHSSKLYMVARACHQRSLSNPRRSLHTLGFSPIFSLKQGLINFGDQKIAIM >PAN30458 pep chromosome:PHallii_v3.1:5:19950680:19954176:-1 gene:PAHAL_5G283000 transcript:PAN30458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPRRSAGGAASEASSEVGSDAEDDRYCSANSALGTPSSLGTLRPSSDFWDHQMDLLLDDHPAVSGFPKNHQLSRLQAPAPAQSRSGTGPPPAAAGGDALARQGSSPGSPAVHPRPDHNQVDIFDDNDLFDDMVQEMEQILLSSGEPHENESFRDNRKSKTREAHHFRDGSTTASTSGTDDAYVYPLPQYPSRIDWVEVVGAKQRTGDVSFGERMVGVKEYTVYLLKVRSGEDEWEIERRYREFYALYRQLKDFFYERGLSLPPTWENVEKESNKIFGNASPDVVSERSGLIQECLRSLLVSSYPFGIPTPLVNFLSHGRPVYEYSFLKTLIPRSLQKRSSDLNSKDSECNGGSHDDSTSMGKKISLIVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTLLQELVQTIGWNKPQFCSYTGQLFCASCHTNDTAVLPARVLHYWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFSKVPALLNIMSVRKKIAAMLPCVQCPFRNSIHKGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPVKVHTIWNRILEHITEQCLVCYDAGVPCAARQACDDPLSLIFPFQEDEATKCGLCGSIFHKQCFRKIGVCPCGKASSTGRKIVALEQAVHGGTGMPSAESIQPPAFSSYSGFFSDILSKARPDKLWRPKNSNHVILMGSFPDTSI >PAN32216 pep chromosome:PHallii_v3.1:5:55061184:55064072:1 gene:PAHAL_5G472000 transcript:PAN32216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRGRVELRRIEDRVSRQVRFSKRRAGLFKKAFELSLLCDAEVALIVFSPAGKLYEYASASIEDTYDRYQQFAGAAGDENGDRNGNDPKISNKDEASDLQSTLREIVTWCLQSNPDESDANELEKLENLLKNALRDTRSKKMQLLAKQNSGEGTSGQGCNVVAIKQEQGTA >PAN32404 pep chromosome:PHallii_v3.1:5:55754283:55756473:-1 gene:PAHAL_5G485000 transcript:PAN32404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFAPDAWAWITSLPQFSQWRTNSMSLCICTTPSASASSQPTMNLSIVKNPPILQPSYVTFSIFANYSMPISLWTSKPLHLKTNTQQTLDEQDMIQVFVNIVNSVLRYGPDKKSSFRFPGAQHHGNFKDVFNIVFLSLAFLVCIYEAPRDLRPGCLDSLRAQLTGSKCRDAAKNLVKMLGANLEDQWMQTMNLAITNWVVELRSSNHSFGVPSPLFSYALSASGLWKVQLYCPVIAMGMEEPAEATQDERLLFSLVYQQVECVIQLAYRTVRRDNWIDVEVKVDNIRCDVDSLVSETLMAERGYGSEEKHFPSRVMLQITPMQQSDVLSVSVGKSNDNPTHEFGIEKGFEGSFDPPNSFGLKASVTESLTLAIRPWKFEQSVHGNTATLNWFLHDGGNGREVYSSKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGVIFARDEYGDSVWWKICGATLGKTMNWEIRGWIWLTYWPNKQRTFHSETRWLEFRESLQLPLTIFS >PAN27969 pep chromosome:PHallii_v3.1:5:7327784:7330000:1 gene:PAHAL_5G121600 transcript:PAN27969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYGDFFEKAKPYIAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATVSIAPFALILERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMKMAWSSHVQAHGHGAEAPVAAIDPSGREWFLGSLFVIIATLAWASLFILQAHTLKHYAAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILSEKIFLGGVLGAVLIVMGLYSVLWGKHKEAQEKEEEEAMELPVASSKTIGIYDDATFIKEIAAAAAVGEDSECKKANGVKSSSDGHGAGAV >PAN31433 pep chromosome:PHallii_v3.1:5:51586954:51592806:1 gene:PAHAL_5G419500 transcript:PAN31433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFGGTPPPTCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSIAFLMTWIVGDLFNLVGCFLEPATLPTQFYMALLYTITTVILTGQTIYYSHIYHHLKAKKSRATSKPQKHQRGDTSLLEKLLGAKDGGVSRNNNQSNATIPIPSSPIPVNTKFAEQYHTPSSPTSDYYYMSARSLSRSPVPTAGTWLGNSRQSSKTPPQTNGQSEPLIGEITTAQSASPTRTKNTLSVVPWLGLLFSMCLLHLLVGSTHREVPSGTVIPVGRRLLLFNDDQGHSSLSHGNGSEIGIFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFALLGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVLLDFFIILQFLYFHYQKQNEPSDEHEHNADKA >PVH39018 pep chromosome:PHallii_v3.1:5:51586954:51592806:1 gene:PAHAL_5G419500 transcript:PVH39018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFGGTPPPTCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSIAFLMTWIVGDLFNLVGCFLEPATLPTQFYMALLYTITTVILTGQTIYYSHIYHHLKAKKSRATSKPQKHQRGDTSLLEKLLGAKDGGVSRNNNQSNATIPIPSSPIPVNTKFAEQYHTPSSPTSDYYYMSARSLSRSPVPTAGTWLGNSRQSSKTPPQTNGQSEPLIGEITTAQSASPTRTKNTLSVVPWLGLLFSMCLLHLLVGSTHREVPSGTVIPVGRRLLLFNDDQGHSSLSHGNGSEIGIFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFALLGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVLLDFFIILQFLYFHYQKQNEPSDEHEHNADKA >PAN31435 pep chromosome:PHallii_v3.1:5:51587747:51592806:1 gene:PAHAL_5G419500 transcript:PAN31435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFGGTPPPTCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSIAFLMTWIVGDLFNLVGCFLEPATLPTQFYMALLYTITTVILTGQTIYYSHIYHHLKAKKSRATSKPQKHQRGDTSLLEKLLGAKDGGVSRNNNQSNATIPIPSSPIPVNTKFAEQYHTPSSPTSDYYYMSARSLSRSPVPTAGTWLGNSRQSSKTPPQTNGQSEPLIGEITTAQSASPTRTKNTLSVVPWLGLLFSMCLLHLLVGSTHREVPSGTVIPVGRRLLLFNDDQGHSSLSHGNGSEIGIFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFALLGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVLLDFFIILQFLYFHYQKQNEPSDEHEHNADKA >PAN31434 pep chromosome:PHallii_v3.1:5:51587747:51592806:1 gene:PAHAL_5G419500 transcript:PAN31434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFGGTPPPTCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSIAFLMTWIVGDLFNLVGCFLEPATLPTQFYMALLYTITTVILTGQTIYYSHIYHHLKAKKSRATSKPQKHQRGDTSLLEKLLGAKDGGVSRNNNQSNATIPIPSSPIPVNTKFAEQYHTPSSPTSDYYYMSARSLSRSPVPTAGTWLGNSRQSSKTPPQTNGQSEPLIGEITTAQSASPTRTKNTLSVVPWLGLLFSMCLLHLLVGSTHREVPSGTVIPVGRRLLLFNDDQGHSSLSHGNGSEIGIFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFALLGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVLLDFFIILQFLYFHYQKQNEPSDEHEHNADKA >PVH37894 pep chromosome:PHallii_v3.1:5:6961391:6961531:1 gene:PAHAL_5G115200 transcript:PVH37894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVLAAFASLRAAAAIVSGNSSFPCSHRLKHRFSSNFSSSSSNCL >PAN26294 pep chromosome:PHallii_v3.1:5:80376:84142:-1 gene:PAHAL_5G000800 transcript:PAN26294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWLDNHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRALADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGEHGGSMGGEAKNSTSAPPINKENAGEEISRLQKSILVMQTEKEFIKSSYESGIAKYWDLEKEINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIIKLQEQRMASAEQAVGESERVKVFREKLEAIMKKHGKSLPDPLDLSDKNTRKSHGEEMEDVYHLKQGAIETQAVIDKIKEHFEKDCNISMAEVTERIDELVNNVVDLELMVSSQTSQIDRLCRENTELENSLKSLDDENTAADSGSSELNEKLRQVEEDLFRVQALESSFHKDESTIRSNFVEAISRFSDLSDLLLSPVCEQEQHTGSASAETTHEAPPAVESTEPVSSSEHCEDDVKPQQIAEEPHAADNNAGPDVKPEEPDGDALVVAGTTDESSGSTNSSSEQQQVDVGQDKSSRERGSLLARLRHISSDNLGGGYDGQPQEEMGSKVVVDSSSSATDMMKLQERLADSLEDKEKVLLGEYTSLLEDYKDAKRRLAEMEKTNQECLKEIRSLREEMASSAGEGGAGSEGSCRGIYSRRGHRRTPSYSSLHQRRPSVSSLSRLIRMSSTIQPHEGAGGDPAAADHQQGGGAPFNLEDLRLPAVAAAENASPLEEKFRRDIDTLLDENLEFWMKFSSSLQRVQEFQSKHEGLQRKLQLINNEDAAKQDGSTEKQLRAFKTELQVWSEQNAMLRGELQCRFTSLCDIQEEITAALETEADAAAAHFTWYQAGKFQGEVLNMQQENNRVSDELQAGLDHVKGLQAEVEQALAKLHRSVSLPAQPTHGADDYEDSSSSAHGGNMGRPPSNKSKAVPLQSFLFPTKNKKTSLLARVTPVLQKQQAEMKFLVKLPR >PAN30704 pep chromosome:PHallii_v3.1:5:43301238:43303556:1 gene:PAHAL_5G366000 transcript:PAN30704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAATGSGRLNAGGKNGSEVLYGCLMLRLGMGRTTSDGPMALDERATSGN >PVH37742 pep chromosome:PHallii_v3.1:5:4570147:4572838:-1 gene:PAHAL_5G074000 transcript:PVH37742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVEPLLPQPAAALDHLGRPASRRTTGRWPAAVFIIGVEISERFAFSGISGNLITYLTGPLGQSTASAAAAINAWSGAALMLPLLGAAVADSWLGRYRAIISASLIYILGLGLLTLSSTFVPSLPAEFEDNVDSSVSWMGIHLAFFYVSLHMVACAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGTYGSNIATVSILNYIQDNISWQFGFGIPCIAMAVSLTIFWLEGYLDIIGKSLLAWIRCWCASWSPKSSDDSHCATASSSKEDKYKAETNFFSDNAVAVLKLLPVGASCLIYAVVFAQWMTLFTKQASTLDRWIVSIIIFVPIYDRILIPLAKKYSKNTCCITTLQRIGIGLVISVIPMIVAALVEMRRLRIARDYGLVDKPEVTIPMSVWWVVPQFILSGLADVFTIVGLQEFFYDQVPDGLRSLGLALYLSIFGIRSFINSFLVYAIDKVTSREGDSWFSNNLNRGHLDYFYWLLAVLNVFGLAAYLYFSRVYAHKKKGQGLLVQ >PAN30125 pep chromosome:PHallii_v3.1:5:18312205:18317552:1 gene:PAHAL_5G275600 transcript:PAN30125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYYALLVGAELDGLTNLQPSRGCDDPNFPYHLKLKCENCGEVTAKATYVTLSEQVDLPKGHGTAHLVQKCKLCGRDGTIVMIPGQGTPLTIEQSQKGEKTCLMVFDCRGYEPVEFSFGAGWKAESVHGTPFDIDCSEGEFSEYDEKGECPVELGNLQSEFKVVKKHERGGKTKFV >PVH38646 pep chromosome:PHallii_v3.1:5:28041732:28042168:-1 gene:PAHAL_5G314600 transcript:PVH38646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKIKSDSGFWSAAASWFASFGSAMASDSNRRDGHDAAAGMVVAVKHFSTAHGVKFG >PAN30924 pep chromosome:PHallii_v3.1:5:40495360:40496154:-1 gene:PAHAL_5G357500 transcript:PAN30924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSKLVVVLLVLAMATMRPSSAQNSPQDYLTPHNQARAAVGVGPLTWSTKLQQFAERYAAQRAGDCRLQHSGGPYGENIFWGSAGADWKAAYAVRSWVDEKQWYNYASNSCAAGKVCGHYTQVVWRATTSIGCARVVCSNNRGVFITCNYEPRGNIVGQKPY >PAN30777 pep chromosome:PHallii_v3.1:5:38318005:38322059:1 gene:PAHAL_5G348100 transcript:PAN30777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRFVLFVNKQGQTRVAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >PAN30774 pep chromosome:PHallii_v3.1:5:38318006:38322059:1 gene:PAHAL_5G348100 transcript:PAN30774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRFVLFVNKQGQTRVAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >PAN30776 pep chromosome:PHallii_v3.1:5:38318005:38322059:1 gene:PAHAL_5G348100 transcript:PAN30776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRFVLFVNKQGQTRVAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >PAN30775 pep chromosome:PHallii_v3.1:5:38318005:38322059:1 gene:PAHAL_5G348100 transcript:PAN30775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRFVLFVNKQGQTRVAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >PAN31571 pep chromosome:PHallii_v3.1:5:52217072:52222398:1 gene:PAHAL_5G428500 transcript:PAN31571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGNVRGAPLGGGRRNGRGPAAGGAGASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRQHITQLVKDTSDKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQVGLPQSYNSSEVNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHIENAVVSTSQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >PAN31649 pep chromosome:PHallii_v3.1:5:52584415:52586478:1 gene:PAHAL_5G434600 transcript:PAN31649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEVGGDKYRSFIHGESERDTVWRHGAPPNYDVVNKLFEAERTQVWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKTVNSAKYTASTNGMKALSRAEVMAIGGYNNFLRTKLPPEHRIFDPDQETLESSMATFLTAFPRGFAIEVLDVYSGPPKIAFKFRHWGYMEGPFKGHPPHGQRVEFVGVCIFHVDEEMKVEKSEYFYERGNFLASFLSPPDAASGSGSGCPVMQAD >PVH37578 pep chromosome:PHallii_v3.1:5:2190698:2193722:-1 gene:PAHAL_5G035700 transcript:PVH37578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHKGGGACGCCGAGMSARPARTAPPMRCGAVPGGVCAGAIPDPQCGAQRSSQPWRRVASSRQDIWRIRRWRSSSSEWKEGKEEAKSDLQQGFLYFSDLQQGFLYFLLSLVERINVNSYYFGCAKQRSGSNGFHEHFIFSFHF >PAN26810 pep chromosome:PHallii_v3.1:5:2569157:2571160:-1 gene:PAHAL_5G040000 transcript:PAN26810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGFVGGKLQQGGHAVSFLLGAALPTALLFLLASDRLGEGLSTISTSWRGNGTTTAALQVADTPTAADDRPAPAQDHEVEFAGLAELLRKVATEDRTVIMTSVNEVWTRPNSLLDIFLGGFRDGEGIAHLVNHVLIVTVDAGSFRGCKAVHPHCYLLEVRSMDMNRAKTFGTREYVEMIWLKLSIQQRVLELGYNFLFTDADILWLRNPFQHISVYADMSCSLDNSKMAPALLDCENNVGFYYMKSTNRSVAMIKYWRAARARFDGNPIEQVVFNTIKHELISDLGARIQPLETEYISGFCDFQERLDKVCTVHANCCMGLNNKVNDLRNVAADWKNYTSLPPEVRKKGSIKVTPPSKCRKSMGWT >PVH38809 pep chromosome:PHallii_v3.1:5:40857101:40858089:1 gene:PAHAL_5G358600 transcript:PVH38809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRQKYRWIGRWIGRDVNFLHLGERKVCFSNYTKNYNRDWIGNLLEQFHYQNGSFLVLGMGRGISNPLEMLLALRFKHPLNRILHPS >PAN27653 pep chromosome:PHallii_v3.1:5:5842646:5844853:-1 gene:PAHAL_5G094400 transcript:PAN27653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGARGRMTRLNSINCRPTRWRRPCFRSRRRSRHRTAASQQAMQFCRGLEEASRFLPEESKLVIDLEKSTSVTRLVANVKEEKRFAEVKTEKADVEAAIHRGKKHFYGDDLDAEEGRCSKHSAAAIDPDHLVREMMDKVLLCNGETCSKGVKELREALQHDVAKHSHGGHGKGPGHGKGRGKKQPKKEVIDLETLLIHCAQSVATDDRRGATELLKQIRQHASPNGDGDQRLAYCFANGLEARLAGNGSQIYKSVIMTRFPCTDVLKAYQLYLAACPFKKISHFFANQTIMNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSTRPGGPPRLRITGIDTPHPGFRPAERIEETGRYLKDYAQTFNVPFEFQAIPSRFEAVKIEDLHIEKDELLIVNCMFRFKTLMEESVVAESPRNMVLNTIRKMNPHVFIHGVVNGSYNAPFFVSRFREALFHYSAIFDMLETNIPRDNEQRLLIETALFGREAMNVISCEGLERMERPETYKQWQVRNQRAGFKQLPMNQDIMKRAREKVRCYHRDFIIDEDNKWLLQGWKGRILLALSTWKPDRKSSP >PAN27124 pep chromosome:PHallii_v3.1:5:3761876:3764857:1 gene:PAHAL_5G059800 transcript:PAN27124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGLSVEAEQPSTPPLATLPCRAQHGCGRAPPSPPRRASTPSNQPPLPPLYNTPPRHLTRKFPTRAHPPPPLFPPLCVRALCCWGAMAGGRELVVSFGEMLIDFVPTVAGVSLAEAPAFLKAPGGAPANVAIAVSRLGGGAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTAAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSFKVQQVDTTGAGDAFVGALLRKIVQDPSSLQDQKKLEEAIKFANACGAITTTKKGAIPSLPAETEVLQLIAKA >PVH38251 pep chromosome:PHallii_v3.1:5:12866713:12866967:1 gene:PAHAL_5G211000 transcript:PVH38251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCSDYQKHSRIILVYINQPMHGIFELLFLQPFVPLNKCSVSTPNRSRSAWPQLDAS >PVH38867 pep chromosome:PHallii_v3.1:5:44944635:44945096:-1 gene:PAHAL_5G372100 transcript:PVH38867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTCPLIVRNPGISGRELIDTDTLKVLICLIRILNGIQRGLLSFHFYHVMQESQQYPSWQGVE >PVH39205 pep chromosome:PHallii_v3.1:5:53878015:53879259:1 gene:PAHAL_5G454100 transcript:PVH39205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTADPPLARVADWAGLPGDLLACVRELLTAVPCRVCFRAVCRSWRAADGPRPVPRMPPPWVVLPIGSGGCSDAFTLLSVPTMQAFRWSPPGGAGLFCVGSSGGWIAGAYIDADLKIRLSLLNPLTDARVDVPAPFGRVYHMSSCSRSETEEISLCNTFQKVAFSPSPTEHDFAVAVVTRSRSGKAMAFARAGCNEVWLADLGPFERGGDYIRAQRDVAYHDGKFYYMTMSGQVWVVDMAAPSPSPAPFATFEPTMPGLIKRRHHLAFTGDGALHITLSVHADGDDGAWLRPDCVYFTNIPLCSLLAKSRHCSYGRAWVLYLATGDIRRPDSATGEPRNYRVERHWGEDYPKCVWIMPSMR >PVH38280 pep chromosome:PHallii_v3.1:5:13356765:13357394:1 gene:PAHAL_5G218900 transcript:PVH38280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGVFNVTFEDYVITTTVTSSGRAVERWIAEVLSVHRPGGVRNNLIPVGLDVEWRPSYGGPHNKAATLQLCVDRRCLIFQLLHADHFPAALAGFLGDRGILFYGVGVRQDAERLRADHCLTVANAVDLRGGVADWLNRPDLRQASLVAIVGAVMGVELVKPHRVTMSRWDARRLSDEQISYACIDAFVSSEVARRMLSYAQRVMFVS >PAN28150 pep chromosome:PHallii_v3.1:5:8009450:8014336:-1 gene:PAHAL_5G134300 transcript:PAN28150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVALAGLRWAASPIINKLLADASTYLGVDMARELQELETTVLPHFDLVIEAAEKSPHKDKLKAWLQRLKDAFYDAEDLLDEHEYNLLKRKAKSGDDSSVGDDDASSIKSTILKPFRATASRARNLLPENRRLIRKLNELKDILLKAKDFRELLGLPAGNTCAAGPVVATTVVPPTTSLQPRKVFGRDMDRDRIIDLLTKRTAAGASSTNYSGVAIVGHGGAGKSTLAQYAYNDGRVKDHFDARMWVCISRKLDVHRHTRELIESATNGECPRVENIDTLQCKLRDTLQKSERFLLVLDDVWFEGSSNEREWDQLLEPLVSQKEGSQVLVTSRRDTFPAALHCEKVVRLEDLKNAEFLALFKHHAFSGAEIGDQQLKVQLEEIGEKIAKRLGKSPLAAKVVGSNLSRKKDVSSWRDALGIENLSEPRRALLWSYEKLDPVLQRCFLYCSLFPKGHTYYVNQVVRLWVAEGLVESCNHNRSLEDVGRDYINQLMSGSFFQPVYVGKDNVTHAYTMHDLLHDLAESLSREDSFILDNDMAEIPLTVRHLSVRVKSMLQHKQSICKLRHLRTIICLDPLVDDISDLFHVLLRNLKKLRVLLLCFYNRSKLPESVSELKHLRFLDLSGTSISELPESLCTLFHLQFISSDTKVKYLPGQFCNLRKLRRVQIYNEGVGTLSIPNIGRLTSVQRLGTFCVKKQKGYELHQLRNMNELHGSLCITNLEAVTGKEEALRAALHQKKHLKRLQLVWIEENGSREENTTHLEILEGLMPPPQLNELAIGGYKSSSYPSWLLDGSYFESLESFGLTECAVLECLPVNTGLFRNCRNLELCKIPNLKILPCLPAGLEKLEINQCPLLMFISNEELQEHGQRENTIRTDHLASQLALLWEVDSGSTIRSALSDEHSSMKQQLMALMDDDVSEHLQTIKSAVEEGRDKVLTKENIINAWICCHEQRIGLIYGQRIGLPLVPPSGLTQLDLSSCSITDGALASCLGGLTSLRHLSLQRIMNLTALPSEEIFQHLNALESVSIIGCWCLRSLGGLRAAASVSFLYLSSCPSLELARGAEYMPLSLTELLIDLCILAADSLSASLPHLRYLSIHDSRSSGSLSIGHLTSLKSLSIGGIQDLCFLEGLSSLQLLHVYLRDVPKLTAKCVSQFRVQRSLTIGSSVLLSHMLSSESFTVPAGLSLESWKDQSFDFEEPAKFSSVEELSLYACDMKSLPRNLNCLSSLKRLKIRSCPYISSLPDLPCSLQHIAISGCKLLMESCRAPDGESWPKIAHIRWKDIRL >PVH38123 pep chromosome:PHallii_v3.1:5:11039389:11045311:1 gene:PAHAL_5G183000 transcript:PVH38123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCASKGNIPYYETSAKEDYNVDNAFLSVAKLALEHEHDQDIYFQAVADPVPENEPRSGCAC >PVH38124 pep chromosome:PHallii_v3.1:5:11039619:11040866:1 gene:PAHAL_5G183000 transcript:PVH38124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQVRISYLTNCFDIWSLLVVFKNIGIDHSAFHHLSSQHYLTS >PAN29609 pep chromosome:PHallii_v3.1:5:14935297:14936259:-1 gene:PAHAL_5G238000 transcript:PAN29609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEEEQRSAAAAGGEEERRERGGGLVSGLVDKAKGFVAEKVAQIPKPEASLERVAFKSVSRQGIELQSHVDVNNPYSHRIPICEVTYTFKSAGKVIASGTMPDPGWIAASGSTKLELPVKVPYDFIVSLMKDLGGDWDIDYVLEVGLTIDLPVVGTFTIPLATEGEMKLPTFRDLF >PVH38622 pep chromosome:PHallii_v3.1:5:24881789:24881908:-1 gene:PAHAL_5G305700 transcript:PVH38622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKTRGSGGRGLSKVVREHKARLYIIRRCVVMLLCWHD >PAN29068 pep chromosome:PHallii_v3.1:5:12139182:12140132:1 gene:PAHAL_5G199900 transcript:PAN29068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLPHHRLPLLLAILVAASSHGASSSGDDGYDASMCVRQPYTCGGVSIKYPFYSRTGALLGNASSYCGYPGLEIQCEEGQAFLELQSGNYTISRINYDDLTVRLVDPEVLDGRSCPRADHNVTFRNVSWLYYPSTTVDYLLFFINCNFLSDLYRPSSSNSTACKFDVGQASTGMSFVFLEQDVPYPNMNWWRSCSDVIEVPVLKSGLPSDSRNDPTWKNGGYGSSLRDGFQLALNQSLKLQACAQCEQSEGQCGYNQTGTFVACLCSDGRVGHPNCTTDPSGKPLEALVLVSQIAAGITGCFASTHRTVPFFSL >PAN32915 pep chromosome:PHallii_v3.1:5:58116045:58126279:1 gene:PAHAL_5G521600 transcript:PAN32915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MPEEKSGGGGGGGGGGHGDGGDIYNVQAAEILAKEALLLPINEAAPIYEKLLATFPTAAKYWKQYVEAYMATNNDEATKQIFSRCLLNCLHISLWRCYINFIRRINDKRGSEGLDETKKAFDFMLNYVGNDAASGPVWMEYITFLKSMPAVTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNVLAIPPTGSYKEEQQSMAWKRLLAFEKGNPQRIDVTTANKRVTFTYEQCLMYLYHHPDIWYDYAMWHAKNGSMDSAAKIFQRALKAIPDSEVLKYAFAEMEESRGVIQTAKTIYESLLGENASVTSLAHIQFIRFLRRTEGIEAARKYFLDARKSPSCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMQEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEKSIEVWKRFVQFEQTYGDLSSMLKVEQRRKEALSRTSEDVLSASENTLHDVVSRYSFMDLWPCSSKELDYLVRQEWLAKNTVKVDRSATLNTGSMLDKGTVGISASARSLPRSGKVVRPETSQMVIYDPRQMKGPEFSAATSGYTKEVEDMLKMLSPSTMSFIKNLPAIEGPSPDIDVVLSVLLQSTLPVAQNAGKAGGASELSGVGKSGLNQNGSVHRPPRERRKDAGRHGVQEEEDAATVQSQAAAPRDIFRLRQIQRSRGLGVGGAAAASQSGSSAFSFSGGGSAFSGDHSAGTD >PVH39586 pep chromosome:PHallii_v3.1:5:59468851:59469257:-1 gene:PAHAL_5G540000 transcript:PVH39586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSTISRALCSVRWQATQRRLDNRLGPTSSRALPTFLFDLLTDDENARLPTSCTLLQTATTFTYLACWSCYYLLRLVDQHTACR >PAN30941 pep chromosome:PHallii_v3.1:5:48113071:48114760:-1 gene:PAHAL_5G385800 transcript:PAN30941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPIAEADERSPFGRLTPDEFYARHGVAHSASSFVNPRGLRIFTQRWAPRAGAPVLGAVAVVHGFTGESSWMVQLTAVHLAAAGFAVAALDHQGHGFSEGLPGHIPDIAPVLDDCDAAFAAFRADYPPPLPCFLYGESLGGAIALLLHLRRKDLWRDGAVLNGAMCGVSPRFKPPWPLEHLLAAAAAVVPTWRVAFTRGNIPERSFKVEWKRRLALASPRRTTAPPRAATALELLRVCRELQARFEEVELPLLAVHGAEDTVCDPACVEELCRRAGSTDKTLRVYPGMWHQIVGEPEENVEKVFDEITAWLKARAAAAAAQQQQ >PVH39011 pep chromosome:PHallii_v3.1:5:51456633:51460234:1 gene:PAHAL_5G417300 transcript:PVH39011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MVEGDAAAMISKEHLDRPFVEFLTQQGLSPKMRAVVLYAIAMADYDQDGPDPSDKLITTREGIQTIALYSASIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPVAALLLDEEKKHFVGARLASGQDILCKQLIIDPSYKIPTLDAPFDDPGSKFPRKVARGICIFSKSVKQGSSNVLVVFPPKSLEEEQVAAVRLLQLSSNLAVCPPGTFMAYLSTPCTDASAGKQSIRKAIDALFSPQDSDGLEGHLETTSESTDHVKPTLIWSCVYVQEITQETSGPLLSCPMPDENLDYRNILESTKKLFAGIYPDEEFLPKKSAPVYADDDSDSAE >PAN32180 pep chromosome:PHallii_v3.1:5:54922516:54922713:-1 gene:PAHAL_5G469700 transcript:PAN32180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMHIDQEQAMQCRNGAADEEDPGLRPAACKVADMDAVVDAILYRQFQFVQPSPVKFFLHMCKCS >PVH38162 pep chromosome:PHallii_v3.1:5:11437861:11438805:-1 gene:PAHAL_5G189800 transcript:PVH38162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGHDAKEATRDKAREAADRTMGLGRDATEATRDKAYQAKDAASDAAEAARDKACEAKDKASEAAGAAGDRARDGAQQTGSYISQTAEVAKQKAAGAAQYAKETVVAGKDKTGSLLQQAGETVMNTAVGAKDKVVNTAVGAKDDVMNSLGMAGENDDGTTTNSGKDTSTYKPGRDY >PAN27437 pep chromosome:PHallii_v3.1:5:4995404:4998072:1 gene:PAHAL_5G081100 transcript:PAN27437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDLESLVCGVSGAGAGDRKVSCETVIAGGSGDASPPRMPPPPPPDPDFPPDSITIPIGDEAAFADLNPIYERDDSTKGSTNPKFAAAVVANPIAAKTRSNSTRVAGAPPPAAGTTFFGLPAKIRPPFSRRRPSQGRILADKRSGGGGGSSSTSSRGDGEVEPRSPKVSCIGKVLSDRERHGRRRRRRRGWWHGVAAMFRCDGCGRVPGGASRKMALEDDDGDEQQPGIAGMRRFKSGRRAASWGEDALAAAAAAAGDGEEKEEPDSQDAEQWSRRPVN >PAN31369 pep chromosome:PHallii_v3.1:5:51205944:51208058:1 gene:PAHAL_5G414200 transcript:PAN31369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEMAAFFGAAPPRPPAALAPFREEQRHQDAVEDVYHVDDVEDGGAGHGHGGSGGQGKLCARGHWRPAEDAKLKELVAQYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARRQREQSGALRRRKTSSSSSSPGPAPPQHYAPVVVLHHHHYAGSHPLPFHAGGAGAHGVAAEAVSAPAADTRAYSGGESDESASTCTTDLSLGSAGAAVPCFYQSAYNGYDAAPRAAAPAPAAAFAPSARSAFSTPSAARHREAPPSDDKVALPFFDFLGVGAT >PAN30883 pep chromosome:PHallii_v3.1:5:37914685:37917273:-1 gene:PAHAL_5G346400 transcript:PAN30883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASVALHPPTTSCSFPTGAGRRSRPQWGPPASLAPLPPAATPEVPRRLLLPAAAGIWDFISGGAGGGAAAASLAVRRGMQLFRQGDVAGSLAEFDKAVEMDPRQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLCGIEEARKRFLEVGLDSRPVMREAYTLFKDGGDPEKLVANFSSRSDGEVFYSSLYAGLYYESQKDAEKAKSHIVAACKSPYGSRSGDYMASLAFVHCQCRNWDLE >PVH38531 pep chromosome:PHallii_v3.1:5:19398845:19399478:-1 gene:PAHAL_5G279400 transcript:PVH38531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVSAAVIAAFVAVAALATAASAADAPAPAPASGAGALSAPLSVCCLAALLAAVLRH >PAN32128 pep chromosome:PHallii_v3.1:5:54672917:54674993:-1 gene:PAHAL_5G466100 transcript:PAN32128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPGERSPLHVLLFVFSSLAAASAATDRIPNATASSTCPSYRCGHAVDIRYPFWIDDANASAGSASSASHHCGYPSLRLECRRDTPVLPLPSGDYAVTRILYGDRTVFLLDLGVFSRSNTCPLVGRNLSLPAGSPLSLTARDANLTFFVHCSFVGIPAHLVACLEGDGRHHSYVFRDGDELTPRGYAGLCQDVIGMPVLRRSLLGAGGGASPLDAVVPALNMGFELSWRPVEDGECGRCERAGGLCGRRRGAGHGPWTFACFRAAATAAWIAQKSPRRPLLSADTQHTGPA >PVH37907 pep chromosome:PHallii_v3.1:5:7148373:7156672:-1 gene:PAHAL_5G119000 transcript:PVH37907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEQRRQRSRRGNAPGSGGGGASSGTHRGGGGKGGGKKQIKVVYISNPMRVKTSAAGFRALVQELTGRDADPSKYSPDELGGVGGAGAEDATAAADLDCGAAHGLMLSPTGAAASCDTVVASPSPAAADHHPDAAAVAAPYGGDYDDEEEDEGGFGSQLLENTYAVLSPPTLLYDHHPHSKGQSDKESCC >PVH38972 pep chromosome:PHallii_v3.1:5:50278426:50279138:-1 gene:PAHAL_5G405400 transcript:PVH38972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRGSQAPRALYTWRVALYLRENTSLFIFFHFAFAHKTFQFSINVMDIVGSKTKQVDQMIKGKGNSSV >PAN27903 pep chromosome:PHallii_v3.1:5:7035018:7037286:1 gene:PAHAL_5G116700 transcript:PAN27903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAFAAAKLLPAHLDSSPRIAPHRAGPTANLSFAPLSSSSSSAASLLRLRSPSPSGPGGRLPPPPRSYGGGGSGDAADSGGGDGDGRRGGILGVFLAGWAARVAADPQFPFKVLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGVAAAASSAASALPSHMFEPGAYSLGSRVATLVSKGATFAMVGFAAGLAGTALSNGLIAMRKRMDPEFETPNKPPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLGKVAPAPVFKVSVVALRCMNNVLGGMSFVLLARLTGSQRSDKPATAAEEKERLIAVGNAAADAISEAKDGEGK >PVH37664 pep chromosome:PHallii_v3.1:5:3521637:3525819:1 gene:PAHAL_5G055600 transcript:PVH37664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNNWLAFSLSPQDLPPSQTDSTLISAAATDEVSGDVCFNIPQDWGMRGSELSALVSEPKLEDFLGGINFSEQHHKANLNVIPSSSSTCYASSGASTGYHHQLYHHPSSALHFADSVMVASSAGVHDGGAMLSAAAANGGAGAAGANGGSIGLSMIKNWLRSQPAPPPQPRVAVAEGAQAAQGLSLSMNMAGTQGAGMPLLAGERGRAPESVSTSAQGGAVAARKEDSGGAGALVAVSTDTGGSGGASAETVARKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSALPIGSAAKRLKEAEAAASAQHHAGVVSYDVGRIASQLGDGGALAAYGAHYHAAAAAAWPTIAFQPGATAGLYHPYAQPLPRGWCKKEQDHAVIAAAHSLQELNHLNLGAGAHDFFSAGQAAMHGLGSIDNSSLEHSTGSNSVVYNGVGDSNGGAVGGGYMMTMSAAAATTTAMVSHEQVHARAQGDHDEASKHAAQMGYESYLMNAEAAYGGGRMPSWTPASAPPVAAAASSNDNMAGVGHGGAQLFSVWNDT >PVH38632 pep chromosome:PHallii_v3.1:5:25765550:25766696:-1 gene:PAHAL_5G308700 transcript:PVH38632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPITTYEVRAPLHWDAEEWHFRYQSEDDESLTDGEDLALLLGAELEEDEDDATWGEDLSLSEEKTDCISSEEDPMAGTFLFDRSSDDTSDGREGAEDDDSFTSSSGGDDNDSRSDSSSSGTSIAPPSKRRKTSGVYWW >PAN32490 pep chromosome:PHallii_v3.1:5:56069623:56073632:1 gene:PAHAL_5G490400 transcript:PAN32490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAAAAATSVSGASWSGATRGRASALASRHVGLAAAAASTSSSFGPRGALAAAAPTLPLPRVRGGCRLRPLSLLSESGWNGEVARPAAATAASVPADDASAVAKGEGAGAGGIAATVQLGAMIVAWYLLNIYFNIYNKQVLGALPLPLPYTVTAFQLAFGSLLIFLMWATRLHPAPRISAAQLGKIAPLALGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSALFLGEVPSLPVLGSLVPIVGGVALASFTEVSFNWTGFWSAMASNLTNQSRNVLSKKLLAGDKDAMDDINLFSVITVLSFLLSCPLMLFAEGIKFTPGYLQSTGLNLQELCVRAALAGFCFHGYQKLSYLILSRVSPVTHSVANCVKRVVVIVSSVIFFSTPISPVNALGTGAALGGVFLYSRLTRTKKPKKA >PAN31160 pep chromosome:PHallii_v3.1:5:49854155:49856086:1 gene:PAHAL_5G401400 transcript:PAN31160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPQCELLMAHETRDLDAGQPHLTASAGVATISTELSFHLLHSLDAAAAVSAVTPQPTIDYFFGGAADPHQPAVQYEPLPPTQHTMNMLRDYCNGHYTTAEPYLRGARTGALVFGATDDESAAYMPGPFESSPPPRATGGRKRSRALLGGGFHGGPANGVEKKEKQRRLRLTEKYTALMLLIPNRTKDDRATVISDAIEYIHELGRTVEELTLLVEKKRRRMELQGDVVDAVVVPAGEAESSEGEVAPPVPAAQLQPIRSTYIQRRSKDTTVDVRIVEEDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHKSFPVFASAVASRLMEVVDES >PAN31159 pep chromosome:PHallii_v3.1:5:49853481:49856086:1 gene:PAHAL_5G401400 transcript:PAN31159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPQCELLMAHETRDLDAGQPHLTASAGVATISTELSFHLLHSLDAAAAVSAVTPQPTIDYFFGGAADPHQPAVQYEPLPPTQHTMNMLRDYCNGHYTTAEPYLRGARTGALVFGATDDESAAYMPGPFESSPPPRATGGRKRSRALLGGGFHGGPANGVEKKEKQRRLRLTEKYTALMLLIPNRTKDDRATVISDAIEYIHELGRTVEELTLLVEKKRRRMELQGDVVDAVVVPAGEAESSEGEVAPPVPAAQLQPIRSTYIQRRSKDTTVDVRIVEEDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHKSFPVFASAVASRLMEVVDES >PAN28259 pep chromosome:PHallii_v3.1:5:8447873:8448475:-1 gene:PAHAL_5G140600 transcript:PAN28259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIVVSGQNWWTRFQRRYPHLHLALLLTGAGLCVLLVFWLIYGPLEVSAAVSSFQGLDPGHRAAGAPTFAVTLRARNRNVWRHCFRPGNGTAVVAYAGVPLARADLPGFCLPGRSVATVRLVAAGGGLGIPGALYESLEGQRGRRERVALTVRVRLDEDLVVPHNVVTWSPMLYWCDAMLDGHPPGGSSRCTDFLMRLK >PAN31217 pep chromosome:PHallii_v3.1:5:50257339:50258024:1 gene:PAHAL_5G405000 transcript:PAN31217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRRTASCLPLALQLVSTNGASELTRHRAASTRAHINPTPSPCQGTRRTFSQRDRCPDRRRSPAASFSQGGPPAGPDGDVRPVGVKRARPLAPPPRPRSRPRRLAVSPAAGVAVGRLWPGPCARARAHLGVHVNVHAPRVPGATCSSQREACSPSISSAPAGRGSVPGRSAPLRSALDRRWIRRIARPADCRHGALAAAGPPPGPAPGVER >PAN31493 pep chromosome:PHallii_v3.1:5:51891865:51893199:-1 gene:PAHAL_5G423100 transcript:PAN31493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTSWAAKMHETTTNTLTRWSDKLSRFACRLSPSATAAPHHGDDEPRPRDRLAGFAGLGAALLLPSGGDDEESPCASSSSRAAAADQVPGEPAAAAAVDGATTLPCVAFPSQRGYMVFSLAEDRMRGGVRVVPSLYGRRVVPSPYGGGKALATDLTSFRHPSRLVDPFTGESAPLPDLPVPLGEAGPTSFEPEEPRVQGRRRAVPPTDDGFAWDRSPRGVMVARGDTLFFCERGGRGGRWVPVHRSQSASGTMTVNYRGGFFFVLEHRTMVTTVMDAWSLNKVAEIPPPFAAAAVDCAHLVASTDDVLLLVHRARDMDCELFSEVYRARHKKPNPAWSKVTDVGDRALFVDRLHGFSVGTGGEGATGRIRRNCVYTISATPVEDPQGRRVAVYHVEEFHVNRPEVGETLECQLGSCRVEQIWGEPYWMIPRKRGPVGGSVVST >PVH37459 pep chromosome:PHallii_v3.1:5:661911:664498:1 gene:PAHAL_5G010200 transcript:PVH37459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRNGTRGKRARLRKTQPPLCLPADARESAALCLWSCKLKEGEGLASLLGAQACLPSRTHLLVLSRGPRGFSSRQPMAAAGGGAAGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGASF >PAN27979 pep chromosome:PHallii_v3.1:5:7376586:7377859:-1 gene:PAHAL_5G122300 transcript:PAN27979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGARKTRRFFGASSSGAGGSGAGSASYRGGVGIGAARVSDVNTGILDENVLALVFRSINFDPKALCTVARVSRRLRAVAERVLWRELCISRAPRMVASLTGVPVGVAGAPAPPPPGRIVGGWPALAKLLLFCCGAASAAVPGHFTGVSRFSKTSGRSFLSRRCRGDLLYVSDPCEHAVPGAADDVGAYRGVFRGFMRSRTRACLVGRRAALETRVRCPYCGARVWSMVAAGLVPRSAWRRLGAYEGRLEYYVCVSGHLHGNCWLARLTSSDGEHDGDSDDDDDDDDASTEGRSDDGHVPS >PAN32595 pep chromosome:PHallii_v3.1:5:56603920:56607248:-1 gene:PAHAL_5G498900 transcript:PAN32595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEGSAAAGEVRRLLSHLDSQQQVLADCHGAWSRALAHFASLEEDLASRSAALEEALAAVDASTSESLTALEAREAEVPARLAEASAALSAAVAEAEAESAAPPPADIRGALRWICRRMDAAAFWRFMAARRRELTALRREAGPAVASAVDPPRLVLDVVSDFLSAGEGAGEEQLWVLGMLLRSLFDSDSRKPPEIGDTLIERAVVVTKEWQERFGINMDKLASENQEVEMAEVDGVENSGAMEKKEERGDVKEEEDPEDLVLGSGEEGDPEEAEEPEELEKEAKEAKGEEAEGKVSEEGEGAEKTGPEEEKGAGEETKEGKKGDVHKGASEQPEAQIFLQMVAAFGLKDKFDGEFLRRLFVANGRKRELARIACMLGFEESLGDIVEELIKSGNVVEAVYVAHESDLLEKFPPVPLLKAYLRDSNDKAQAVLKSGRHSSSALEEANNLDGNAYRSVIRCVESCQLQSVFSTEVIKKKLAKLEKEKAERKKPGGPNRFQNKRSRGAAGPFPFPAAKAARGSSSSSGPSFQNPVSRSFNYSARAGYINPAGVPPYYVPGRRGGVPFGGPGAYAAGGAQQPFRR >PVH38914 pep chromosome:PHallii_v3.1:5:48447665:48451248:-1 gene:PAHAL_5G388700 transcript:PVH38914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCYIRSFRRLVDDCHHFFKSVCELEEYRSKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPLVITLLTQVEDVIPKWKIVPTKDVIDAAFKDPVKREKIRKNTLIYQDKPRLKTALEMLRTSMYIEDSLSQVNLPFFVLHGEADTVTDPEISRALYERAASVDKTIKLYPGMWHGLTAGEPDENVEAIFSDIVAWLNERSRSWTMEDRLRKMTPAPGKFIDGKNGGEAPAHARPQRQRRGFLCGLTGRTHHHAEM >PAN31014 pep chromosome:PHallii_v3.1:5:48447665:48453700:-1 gene:PAHAL_5G388700 transcript:PAN31014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYHEEYVRSSRGVQLFTCGWLPAAAAPKALVFLCHGYGMECSVFMRECGVRLAAAGYGVFGIDYEGHGKSMGARCYIRSFRRLVDDCHHFFKSVCELEEYRSKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPLVITLLTQVEDVIPKWKIVPTKDVIDAAFKDPVKREKIRKNTLIYQDKPRLKTALEMLRTSMYIEDSLSQVNLPFFVLHGEADTVTDPEISRALYERAASVDKTIKLYPGMWHGLTAGEPDENVEAIFSDIVAWLNERSRSWTMEDRLRKMTPAPGKFIDGKNGGEAPAHARPQRQRRGFLCGLTGRTHHHAEM >PAN27036 pep chromosome:PHallii_v3.1:5:3464420:3469048:1 gene:PAHAL_5G054800 transcript:PAN27036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIEAEEGPFLANDAKLHAGICRAFLPAVSKLSTIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADSSRLYLAITSETVLLKFEKSRSQLQESLRRVESIVTEDISCKITEIVSELEEIVFTLDRSEKEAGDDVINLLQRNNKTNGSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDDDKRKELVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMDDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRGGGPRSENMSMPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLAHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLAFSDTEATGCVPVESFDSTNVKSDKVVPMENMRKEEPANSESGTLDDSSCNDFDLNEGYGNLLLLLHERSNMNKQCRLVEQIRFLLKDDKEARIQLGSNGFAEALVEFLRNAVSDGNEKAQEVGAMALFNLAVNNNRNKGLLLSAGVVDLLEQMISNPRLFGPATALYLNLSCLPDAKAVIGSSQAVSFLVDRLYSQEAGDTKSSSCKHDALYTLYNLSNHQASVPALLTAGIVDALHCLLTESPATEGLGWTEKALAVLISLAATQAGRKDIMSTPGLVSTLATLLDTGEPTEQEQAVSCLLVMCTADDKCIAPVLQEGVVPSLVSISAAGTGRGREKAQKLLKLFREQRQRDAPPPQQPQQQQSQLTEAGNGGAIVCHRESKPLCKSKSRKLGRTLSSLWKNRGFSLYQC >PAN27037 pep chromosome:PHallii_v3.1:5:3464454:3468840:1 gene:PAHAL_5G054800 transcript:PAN27037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIEAEEGPFLANDAKLHAGICRAFLPAVSKLSTIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADSSRLYLAITSETVLLKFEKSRSQLQESLRRVESIVTEDISCKITEIVSELEEIVFTLDRSEKEAGDDVINLLQRNNKTNGSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDDDKRKELVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMDDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRGGGPRSENMSMPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLAHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLAFSDTEATGCVPVESFDSTNVKSDKVVPMENMRKEEPANSESGTLDDSSCNDFDLNEGYGNLLLLLHERSNMNKQCRLVEQIRFLLKDDKEARIQLGSNGFAEALVEFLRNAVSDGNEKAQEVGAMALFNLAVNNNRNKGLLLSAGVVDLLEQMISNPRLFGPATALYLNLSCLPDAKAVIGSSQAVSFLVDRLYSQEAGDTKSSSCKHDALYTLYNLSNHQASVPALLTAGIVDALHCLLTESPATEGLGWTEKALAVLISLAATQAGRKDIMSTPGLVSTLATLLDTGEPTEQEQAVSCLLVMCTADDKCIAPVLQEGVVPSLVSISAAGTGRGREKAQKLLKLFREQRQRDAPPPQQPQQQQSQLTEAGNGGAIVCHRESKPLCKSKSRKLGRTLSSLWKNRGFSLYQC >PAN26768 pep chromosome:PHallii_v3.1:5:2277309:2280712:-1 gene:PAHAL_5G037100 transcript:PAN26768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPCRRPPSHARISDHCCCAVAQCGRPGRSRRMLGVLTRAGDSAPHRFDMECNRDDAARSKSIAERKFRENDFAGAKRFALKARALFKPLEGIDQMIAALDVHIRAQQKIGGENDWYGILEVSPLADEEAIKKRYKKLAFQTHPDKNTSICAEAAFNLISDAWSVLSDTTKRMVYDRKRHVCGLQNNYKASARNTSNSSTSSVNGFCDRPRKVAPHLSHQVPDTFWTHCGSCFRSIQYSREYVNRLLKCPVCNEVFVAAEVPPPSSPIYPNGPMAMATNNDIGGNTVPGMATPGVHAGISGSNQNGYPAVLKSTTCANRTRYTVQEDAGEANIVTNEAADSREVMQDVRKHAPAVPSVRRANAATRGHEAAKRKRVNGGKQAIGQSASSCPDGDGCKPIPAAKRGPRSTAQTSGAKKRKVSSADLNCESSGTAGRTSFGRVLMQLDVRGILVGSGKLQIREKLQELNNKKANVKNKEKIQNSKKSSTKSVCNTAIDVNKIEMKPSISSVDPKEDDFMKLVSKRVDSEEKQREKCSKQVGLEEKLTSWQWRSREVHIVYTRRNRKAQEKELGNDATCANPATEHHVADKNGCLNQESPDKGSGEMSVPDADFFNFGDHSESSFQNDQVWAMYDEEDGMPRYYALIRKVLSTHPFKARLAYLKANDCNEFGSSNWISYGYSKTCGEFKVGASRGTDQVNIFSHKVKCDKGPGGIIRIFPKKGDIWALYQNWSPDWDEFTPDDTMYKYELVEVTDSYNPAEGISVIPIVKVPGFVSVFKPFHDAKKSWRILKEEMLRFSHQVPFHVLTGEEAPNAPKGCYELDPGSTPQELLQVVQPSGDAK >PVH38467 pep chromosome:PHallii_v3.1:5:17179965:17182613:-1 gene:PAHAL_5G266000 transcript:PVH38467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWKRNLPSPYRIDLFPKPKKKPRQLLGSTYTCLLNPPPRTAQLHCTGTNPETSPRRRPPRSPLPRRSVPKGKRTRVPAGVDPWLCRRGWRSCRRRRARRRGSLRSTGARTSGRSSRRTRSTSWSHPPSRSARSSPSSYSTPASPGK >PVH39388 pep chromosome:PHallii_v3.1:5:56060985:56061625:-1 gene:PAHAL_5G490100 transcript:PVH39388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPYNPPIHPSDSKPAGPSWSLASPDLQITCPEGRLRSNQARQTCGSPSPWLVSRFVRFLSRLPRRPVSCGRAVLCCVRGRVSDREASRGIRISSSQHESTAGAYIDSEILARNWRRSAHPYIEWCNRRRKTHRINEVEGSSEKASGQGEWRFLASSSSAPFLFVSGKRLRRRV >PVH39418 pep chromosome:PHallii_v3.1:5:56457341:56459178:-1 gene:PAHAL_5G496900 transcript:PVH39418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKSIDRVAARRVVPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPAPDRVAAGGDVDASATGPARTIERALARALVSYYPLAGRLVLSEDGAQQAVDCSNAGVWFTEAAAACSLEDVDYLEAPLMIPKDELLPPTPAGEDERALVLLVQVTAFACGGFVVGFRFSHAVADGPGAAQFMNAVGELARGAGAAPAEPQWGRDAIPDPAAALVGSLPRPDGAKRLEYLAIDISADYINHFKGQYSAAHGGAAWCSAFEVLIAKAWQSRTRAAGFEPDSPVHLCFAMNARPMLHASLPRGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVKIIKDGKRRMPAEFARWAAGEMGADDGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHEGMLDMN >PAN26684 pep chromosome:PHallii_v3.1:5:1973932:1974962:-1 gene:PAHAL_5G031400 transcript:PAN26684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRAMNRLFVESSSSSGGGGCREAEPAAAVLCAPRPRRAHVHPCSADLILGPPPFLLSNNKSKEGGKTKAPEADGDKDGGWALFGGSPPARADNPLVHDPHFLLNQRHPADSSPLELGIFDHQSRSNYSHRPTYISSNSSSSSNSFAPSFAPAVRIQGFDVAACRSAHPNGGGRVLSARA >PVH37920 pep chromosome:PHallii_v3.1:5:7273459:7274944:-1 gene:PAHAL_5G121000 transcript:PVH37920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVWNELDDGSQHGFGVASCTPYTDLLGCQTTQGQDEATPHCDVQISNNDTTESPSTGKRPKKAKQGQVSKSTAIRSSSYTPKEDEVICSAYLNVSKDPVVSVNQPSKTYWERICDYYNENRGMYGQRTISSLQHRWGEISKDTCKFTGFYAEIERKNQSGKNEDDKIKDALQLYNGVLGHSFKFIHCWFILRHEQKWHEFVAEKKQHNKTRPEPSAEPVSPMAPATDTPQINAQNEVRPMGRDSAKRLRSANSSASSTGCLEVLQKIRSDRAKYEERQEEHIKDESKEMVERYERKLRLQEESINFQKDSMNFQKELLIKQVSIQEKMLALQEKERVDKVMMADLDKFPSWVRDYYVIEQKEIAARRLQAGQPSGEK >PAN29256 pep chromosome:PHallii_v3.1:5:12964093:12967906:1 gene:PAHAL_5G212400 transcript:PAN29256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNSFNDVRAVENNLATAAAVAAAKKQQQASSHVNLIRTCPSCGHRAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGIGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTNGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSGSAATAKDVVPLAASAATPTDHHHHHHHDAGGNGGSNSMLKEAASIVDFYSPAALIGYNQAAPNSRAAAPAHLMPNFEVHTAGTAGFGP >PVH38256 pep chromosome:PHallii_v3.1:5:12964093:12967906:1 gene:PAHAL_5G212400 transcript:PVH38256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNSFNDVRAVENNLATAAAVAAAKKQQQASSHVNLIRTCPSCGHRAQYEQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGIGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTNGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSGSAATAKDVVPLAASAATPTDHHHHHHHDAGGNGGSNSMLKEAASIVDFYSPAALIGYNQAAPNSRAAAPAHLMPNFEVHTAGTAGFGP >PVH38022 pep chromosome:PHallii_v3.1:5:9160833:9162668:1 gene:PAHAL_5G152400 transcript:PVH38022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMETIKCCIACILPCGALDVVRIVHSNGRVEEISGGPVLAGEIMKAYPKHVLRKPPSTCPADGGGGIVVQKPVILPPNAELQKGKIYFLMPVMAAPATDKAPAPAPAPEKSAPQGAPAPHAHASAAAAARRRRRRRDHATAREGAPACSAASAARPAAAGGGAEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >PAN29652 pep chromosome:PHallii_v3.1:5:15166126:15167154:1 gene:PAHAL_5G240900 transcript:PAN29652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSAPKGVARLLAGAAPALRRGFTAPPQSSAAAARRVPPSRSLTTTARVRDEGRDSEKFWRMIFVVGSVAFACRVVQVFRDKHADIRRAHEKYELALAESCEKDRQIKELERRFSRLG >PAN30954 pep chromosome:PHallii_v3.1:5:48029044:48033593:-1 gene:PAHAL_5G385000 transcript:PAN30954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQETTPSSKAAAPPATIRLVNFISEDQLDEVKRTRGERVEDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDETEFLEKLASSRREYEQQVANEEAEQLRSFHEAVAARSNIVHELETPTVSRPEESRPKPPTKRSQPALLKNVIISVKPQAKKAKVDEEAKPAPEEQWPSNGHDADQKPPSDATKATLGSLVAYDDDDDDESGDDQD >PAN26601 pep chromosome:PHallii_v3.1:5:1577273:1580196:-1 gene:PAHAL_5G024500 transcript:PAN26601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVHMNGSVHGANGTEERLDELRRLLGKSDGDLLKIVSVGAGAWGSVFAALLQDAYGHFREKVQIRIWRRPGRTVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRKLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELPARDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >PAN26602 pep chromosome:PHallii_v3.1:5:1577025:1581167:-1 gene:PAHAL_5G024500 transcript:PAN26602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVHMNGSVHGANGTEERLDELRRLLGKSDGDLLKIVSVGAGAWGSVFAALLQDAYGHFREKVQIRIWRRPGRTVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRKLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELPARDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >PAN29157 pep chromosome:PHallii_v3.1:5:12498531:12499248:1 gene:PAHAL_5G205200 transcript:PAN29157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGWRRSKKDDVVTEASRRAVVKPRNQRRDDDVDDELGQTTRAGRRRPRAGGVPRAGGGGGGAGTVVTVKVVMRRKDAEGLAARLKAQGARARRARMAELKGELRAGGGARPAPCRDECRSRPQLAPINEK >PAN30829 pep chromosome:PHallii_v3.1:5:22562519:22563801:1 gene:PAHAL_5G297600 transcript:PAN30829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKMQLPSAPQLAKIAALLVLFLLAPLVPSSLRQPYLYLLFNALVITLGVEAGFLAAISGPRDDNRPPSRTAASPNLQVKPRGSGRIAVVSNARLVSSTAGPSNAPVTLPTNSPNNMLADAVSAKDIVAGIDTPATSTIKKKKKKNITKKCPSRASIFFIGSVDSGEDVDVMVHEEEEEVEGEEGADELIRKQELFMKAEEFIGNFYKQLKMQREESWKKLQDLYYHHHHYKAKAFSRAVSENL >PVH37647 pep chromosome:PHallii_v3.1:5:3291543:3292431:1 gene:PAHAL_5G051900 transcript:PVH37647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDPFCRTSTWGPAAAAASRLQVTAAVTATSKPRVAAAAVGRGGLQRRAGREGLRWWAWPYWLQQQAKREGRLGQGRRGSLWRV >PAN29982 pep chromosome:PHallii_v3.1:5:17004453:17009183:-1 gene:PAHAL_5G263600 transcript:PAN29982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIQCATCGTYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREQDEAEDKEKRKRDAEEMGDAMKALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKRSAHEKEEKAIAELDEEDEELIKSITFRNSGFYVKRIEDDDDDDDDDNLVPGQSSITTKINGSSESVTKPTDVLSKSNGSEGANKEGSKSWMPKLIVKPKSISAHPKRQKTESSAVQDNGKAPVAEQKSEPAIQTNVLQSLCQNYDSGDSE >PVH38752 pep chromosome:PHallii_v3.1:5:37665011:37665375:1 gene:PAHAL_5G344700 transcript:PVH38752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMNLQTEIKWPLFRISEKKMLMISLLTAHMALTTTKKKVYANRLLDQEEYFIYMYISQIIREYRQTCTNRLSM >PAN31985 pep chromosome:PHallii_v3.1:5:53924150:53925842:1 gene:PAHAL_5G454800 transcript:PAN31985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTCSILTIFFSYRLLSLLGQLTFICLFCILSLLLNQTARLGLSVLLEATSRLLLLEVEAISATATPKCPKFLLQDRRSFELHVPFLYSF >PAN29147 pep chromosome:PHallii_v3.1:5:12726143:12727066:1 gene:PAHAL_5G208400 transcript:PAN29147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATRRTTAAHPTPPRPDLAAHPSEEELITSFLRPRLAADGSGGAPRALVHDADVYSAGPDELTRVFDPAVAGNGDRAWYFFSAVRAKTSDGQRRARTVGTGGGCWHSEAGAKPVVDGAGRCLGHRRSFSFVTKAGGQRVRSGWLMVELGLDDADGVALCKIYFSPRARTSGGAASAASSAGRKRKQAAAGDPAASSRRGRRSTEAGAPRRDDAVTADAESTQDGGGLADESSASSDDEDEDGLWKEGMFLSWWMRNRDRLVEEHGIVDRSDEELQKTLGLDKVMKLLNRDYGDSLLIKPSAPENP >PVH39332 pep chromosome:PHallii_v3.1:5:55548758:55549333:1 gene:PAHAL_5G480600 transcript:PVH39332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASWVARVREQTVEARDRSVRAAELLAAASAGVASPMLTADAEGGRTRSQLVRDVVAVASAELAFAASAMKEAELLTCRGAAANPMAPLPSVDHIPPGYRYVGLALRLLQTARVCAGDACNVLVRCCERLCTASILLEHPDLPSVDTFVGTELLAAHVNLQAVRHLAGVSATLANTADWMMQVFVGVN >PAN29354 pep chromosome:PHallii_v3.1:5:13360674:13361357:1 gene:PAHAL_5G219100 transcript:PAN29354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTYDTDVVMDDGTVIRTTVTSSGDAAFLREVVGRHGQQLLVGSDTEWRVVVPEDGGRPRNRMAVLQLCVGRRGLVFQIFHADRVPGALRDFLACPDHRFLGVAVDGDVRRLAEDCGLAVANAVELRHVAAEVLERPELRGAGFKALTREVTGAHIDKPKRLTMSKWDERRLSMEQVRYACVDAFVSYEIGRLLLTGQCAEGAAASGARTISSSPFVASAVPVA >PAN29556 pep chromosome:PHallii_v3.1:5:14629947:14631300:1 gene:PAHAL_5G234000 transcript:PAN29556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDAGGAAPRLAWRVVRAAKLLVLAVGVYGCFLQLPCAAASASAVLRVAASLSAQQYLFLVGNAIVIVLFAHFRRDDEAPSFSPGAFFSRWWPSEADAQDRYLPFPGAQLVPPPSPTTEAGEEEVFVDKKAVHVTTVRAKPPRRSRSEKASGGESGRVRAAAPELRRRESENGRQQQQAEAEAEAAQVEWAMHDPEAFQLWIDAFILKQQQDFLREESAASAATAAGKKGAPVAAGPVVAVK >PVH39358 pep chromosome:PHallii_v3.1:5:55776143:55776802:-1 gene:PAHAL_5G485500 transcript:PVH39358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSRFEDWRPPNRILEVTIHKVFYPITESLLHQVFGTFGVVEQVLVFGGTDKVLARVVFESKHVAAEAFGEFHGRRVYTGCCQLDIKWGLYQDYDNANSDVSCFGTTVKLSSTIAMEHIPDASTVVAAAMATNAAPGCIDVKLPDTCLTLGLDVGTGVFPLIEIVCEDLNSEKLFVDTAATGGQAEALVIAEARNNIDFSILDVMDHVVVDELQEPTI >PVH38115 pep chromosome:PHallii_v3.1:5:10996253:10996778:-1 gene:PAHAL_5G182000 transcript:PVH38115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFFPFRLDVIFSRKKENSLQLPATRHHSWEADNPKARIKKLIAEEATERSVGHGIFASSSSSAVSVHLLLCQRPPVYCPPFTLSSPDGSLSKKLLLVGWQPMQDCRRPSCGLIMILQSATRLCSFYLYHSSCYLVCMDQTQVFT >PAN26623 pep chromosome:PHallii_v3.1:5:1690737:1696844:1 gene:PAHAL_5G026600 transcript:PAN26623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGLLKAALLLCLLAVCSGRELTIKHNPSTTIYNSTLAKILVEYAAAIYTADLTQLFNWTCARCTDLIKGFEMIEIVVNVENCLEAYVGFASDINAAVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTLRDGVVSGIQKTRKFYGDIPIMITGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASYFKTYLPHAIRVTHAHDIVPHLPPYFSFFPEKTYHHFPREVWIHNIGLGSLVYSVEKICDDSGEDPTCSRSVSGISVRDHIYYLGVSMHAEDWSSCRIVMDYSKLQYQMDLNGNVILSKQPGLSNDRGFTAQ >PAN28741 pep chromosome:PHallii_v3.1:5:10470070:10471234:1 gene:PAHAL_5G173200 transcript:PAN28741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADGQHNREPPPPIMAALLSALVFGGDDGILRKGYEQFMGTSGPTR >PAN30143 pep chromosome:PHallii_v3.1:5:19408210:19412728:-1 gene:PAHAL_5G279600 transcript:PAN30143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAARLRLALLLSVCLCAARARPSLEPAIRLPSERAAAGAAEGTDDAVGTRWAVLIAGSNGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHSPENPRPGVIINHPQGGDVYAGVPKDYTGREVNVNNFFAVLLGNKTAVSGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNAEESSWGTYCPGEFPSPPPEYDTCLGDLYSIAWMEDSDFHNLRTESLKQQYNLVKDRTSVHNTYSYGSHVMQYGSLNLNVQHLFSYIGTNPANDGNKFVEGNSLPSFTRAVNQRDADLVYFWQKYRKMAEGSPGKNDARKELLEVMAHRSHVDNSVELIGSLLFGSEDGPRVLKAVRAAGEPLVDDWSCLKSMVRAFEAQCGSLAQYGMKHMRSFANICNAGILPEAVSKVAAQACTSIPSNPWSSIHKGFSA >PAN31877 pep chromosome:PHallii_v3.1:5:53547913:53550672:-1 gene:PAHAL_5G449500 transcript:PAN31877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSCPLPRWDHRDDYYYYSYRSIELAPGGNSHRSIELAHPGYWAIFMNCSQEIKYSGSSVKCLSTADSFIYVSISPSYSVPADYFAPSCGFLARTPWGGPEMLVSRNASYLDDNAFEGLSYLDVIKVMREGFALRFPFTYGKNFRECLAWSTKSDFFNEGAKHRTLDILTVDFDFWDCVAEQFISANRVTSFLRDIIISAILPYATLVLKFIHVLRRYILVPLAVFAFLAHRYWKTRITVDAVERFLRMQQMLVTTRYAYTDIIAVTGHFREKLGQGGYGSVYKGVLLPGGVPIAVKMLGSSSCNGEDFISEVATIGKIHHVNVVRLVGFCSEETVRALIYEFMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFIPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAGSSSQAYYPSLVYGQLTGEQVGEISEDVDMHELEKKLCVVGLWCIQMKPRDRPTMSEVIEMLEGDADALQMPPRPFFCDEEMLPEVASYSLSSELNVIEEEDE >PAN31876 pep chromosome:PHallii_v3.1:5:53547913:53550615:-1 gene:PAHAL_5G449500 transcript:PAN31876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSASSHCSTTSLRAFSVLLSVFAALVADVGGRHHVLCPPFSCGGFSNVSYPFRRQGDPHGCGVQSYELVCTETSATIRIGSGTYNVLSINYTLSHFWVVDTNLGMQNSCPLPRWDHRDDYYYYSYRSIELAPGGNSHRSIELAHPGYWAIFMNCSQEIKYSGSSVKCLSTADSFIYVSISPSYSVPADYFAPSCGFLARTPWGGPEMLVSRNASYLDDNAFEGLSYLDVIKVMREGFALRFPFTYGKNFRECLAWSTKSDFFNEGAKHRTLDILTVDFDFWDCVAEQFISANRVTSFLRDIIISAILPYATLVLKFIHVLRRYILVPLAVFAFLAHRYWKTRITVDAVERFLRMQQMLVTTRYAYTDIIAVTGHFREKLGQGGYGSVYKGVLLPGGVPIAVKMLGSSSCNGEDFISEVATIGKIHHVNVVRLVGFCSEETVRALIYEFMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFIPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAGSSSQAYYPSLVYGQLTGEQVGEISEDVDMHELEKKLCVVGLWCIQMKPRDRPTMSEVIEMLEGDADALQMPPRPFFCDEEMLPEVASYSLSSELNVIEEEDE >PAN32499 pep chromosome:PHallii_v3.1:5:56094160:56096698:1 gene:PAHAL_5G491100 transcript:PAN32499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMTRALLLLFALAIRSAGGQQRVHNVIDFHAAGDGKTDDAQAFLGTWQAACSDAGEPVMAVPGGRAFLLSQVSFQGPCKSPITVKLDGNIVAPNHIWTKEAANLLTFLGVDHLTLDGNGGIDGQGAIWWDCYNRKKCHARPILLGFARCNNLTVRRIHLKDSADKHMTLFQCSQVNVDSVSVTAPADSPNTDGITVALSNNTYISNTSIQTGDDCVSVLSYTKNITVTQSRCGPGHGISVGSLGRSETAMVEQITVSNCSFIGTMTGVRIKSWQGGKGYAKGFLFQSLNMTEVQYPIVIDQFYCPQGNCPIKHGGVAISDARFIDIQGTSSEQEAIRLLCSQSVHCQGIYLSNINLSWVNHTSPANATILNAHGSTGGMVVPRIQFSDFL >PVH39318 pep chromosome:PHallii_v3.1:5:55385372:55386221:1 gene:PAHAL_5G476700 transcript:PVH39318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >PVH37790 pep chromosome:PHallii_v3.1:5:5445207:5449996:1 gene:PAHAL_5G088400 transcript:PVH37790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAASNGGLLYHEVQEGKLCAVHCVNTALQGPFFSEFDLAALAADLDQRERLVMLEGSQSPGAANAAAGDFLAEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPAAGSSLFDPEQEVAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDAQKITASCNQVQTPTHQVQSPLLGQQSEGMSEMDMIAAQQEEADLNAAIAASLMDAGGPFSSYHAAQESSKPQDAPAPIIEATSSKDSNPEEADKSEPSAPPCEDTQEPASGSDTKKEVSSVEEKESAKED >PAN27045 pep chromosome:PHallii_v3.1:5:3435696:3438897:-1 gene:PAHAL_5G054400 transcript:PAN27045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQRPPAGTDPRSGFCAATRTFHSLRSSATLPPDSLPATAAAYAFSLLSSPLPGRPALVDAATGIAVSYPSFLAAVRSLAGGLWSALGVRPGDVALVVSPSRLEVPVLDFALMSIGAAVSPANPASTADEFAHMVALSRPAVAFAVPEVAAKLPRSLRCVVIGSDEYTRLSSAGGASPPPPVAVKQSDTAAVLYSSGTTGRVKAVAVAHRNLIALICAHRENREKMAKEAAEAGEQPLPPTVTLFPLPLFHVFGFMMLLRSVAMGETAVLMERFDFGAALRAIERYRVTLLPAAPPVLVAMIKSEEARRRDLSSLLVIGIGGAPLGREVAERFAAVFPNIELVQGYGLTESSGSVASTVGPEETKAYGSVGKLASHMEAKIVDPATGEALGPGQRGELWVRGPVIMKGYVGDDEATAATMDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILNSHPDILDAAVIPYPDEDVGQLPMAFIVRKPGSKLTEQQVKDHVAKLVAPYKKVRRVAFVSAIPKSPAGKILRRELIQQAVSMGASKL >PVH37826 pep chromosome:PHallii_v3.1:5:6069596:6070738:1 gene:PAHAL_5G099200 transcript:PVH37826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPVSASFKFVRSLPLSLSAGDDAHGGIVLVGACFFSSWRRLSPLLGKKLRKTLRVVKLVPPRSAHSPSQQRMPATEDLWVTWTQSSIGATAPPPAPCFSGGGGGGGVRNGYYKARDDDKRAPQGRAAAPARPSRRSPTANAQDRSDFFRLAQDLCFQASGVWSLWICGVGCSS >PAN30727 pep chromosome:PHallii_v3.1:5:46589901:46592004:-1 gene:PAHAL_5G376600 transcript:PAN30727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPNGDDFSAPKLHKKKVVYRPLPSGMLKGEPELLRREVPHSSGMVHKPPKRSLKSERPATPPSDRGTPDSLPDSGPTDEYRALRRKYMMLEEENFALDQELSMEDEEIKALEEEKLALLDELVVLEGLVEPSQLQSHGRP >PAN30728 pep chromosome:PHallii_v3.1:5:46589889:46592004:-1 gene:PAHAL_5G376600 transcript:PAN30728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPNGDDFSAPKLHKKKVVYRPLPSGMLKGEPELLRREVPHSSGMVHKPPKRSLKSERPATPPSDRGTPDSLPDSGPTDEYRALRRKYMMLEEENFALDQELSMEDEEIKALEEEKLALLDELVVLEGLVEPSQLQSHGRP >PVH37454 pep chromosome:PHallii_v3.1:5:578817:580881:-1 gene:PAHAL_5G008100 transcript:PVH37454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFLQATMESIGIPTHVQTAFRMSEVAEPYIRRRAVTHLEKGRVVIFAAGIGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADLRHNPNARLLETEVS >PAN26526 pep chromosome:PHallii_v3.1:5:1269753:1276195:1 gene:PAHAL_5G018800 transcript:PAN26526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMQQQQQQQQLPPPPQHQPPQGGGGGEFYRGPPMRQLSAASSTNLTPEYAAHPGPPPPQQHQPPYDAYGDNFGAKRMRKPVQRRTVDYTSSVVRYVQARMWQRDARDRFTLQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPVRSMVWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRGLSFSRTDLKFCSCSDDRTVKVWDFARCQEEKSLTGHGWDVKSVDWHPTKSLLVSGGKDYLVKLWDARSGRELNSFHGHKNIVHCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHTKDVTALAWHPFHEEYFVSGSFDGAIFHWLVGHEAPQVEISNAHDNSVWDLAWHPVGYLLCSGGNDHATKFWCRNRPGDLTRDRYSSGQTQGYGDQHSTFGARAMGGFQMPEPPTPGPFAPGLSRNEGTIPGIGVAMTLDGSDQGEQRPSIPGLPPGQPPLPPGPHPSLLGQQQQYQQMPQQQHTQFHRPPPPNMPQLQPPAHMLSHSQGSRPTLPQLPPMGGPSMPSPVNPPLPPMPHPTAMQGSQSQMMPQMPQHMMGLNQMHPGSVPPGNVPPMGGFPSGMGNIQGASGSSGIQNFPMGGIYNRPQGQMAPQGQMTSIPGLSSYQGMGNVGLTPPPPPTQHPPPRGSTPQ >PAN26525 pep chromosome:PHallii_v3.1:5:1269753:1276195:1 gene:PAHAL_5G018800 transcript:PAN26525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMQQQQQQQQLPPPPQHQPPQGGGGGEFYRGPPMRQLSAASSTNLTPEYAAHPGPPPPQQHQPPYDAYGDNFGAKRMRKPVQRRTVDYTSSVVRYVQARMWQRDARDRFTLQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPVRSMVWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRGLSFSRTDLKFCSCSDDRTVKVWDFARCQEEKSLTGHGWDVKSVDWHPTKSLLVSGGKDYLVKLWDARSGRELNSFHGHKNIVHCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHTKDVTALAWHPFHEEYFVSGSFDGAIFHWLVGHEAPQVEISNAHDNSVWDLAWHPVGYLLCSGGNDHATKFWCRNRPGDLTRDRYSSGQTQGYGDQHSTFGARAMGGFQMPEPPTPGPFAPGLSRNEGTIPGIGVAMTLDGSDQGEQRPSIPGLPPGQPPLPPGDARFTEPDDAPNATAHDGPKSDASWFCSSWQCTSNGWVPKWDGKHPRSIRFVWHTEFSYGWHLQPASGTNGSTRTNDIHSRSKLLSGNGQCWPYTTPATNTAPAASRFDTTVTWSKTMLILSLEFSATPEFVKPRAISVCLGPPANSNEFCSGS >PVH37753 pep chromosome:PHallii_v3.1:5:4861212:4862547:1 gene:PAHAL_5G078700 transcript:PVH37753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF1.2 [Source:Projected from Arabidopsis thaliana (AT1G21340) UniProtKB/Swiss-Prot;Acc:P68349] MLSSHHEAMLPYAPRPPSLLVDRRYKQGGEAAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSVRSAAESIASGRDAAFGHRFPGPVRPDLVLESMVGNPAIPGQAIPDVPAAADGSTIDLAMLYAKFLSNPAAEAGVGAVTPESGGQVDEAFDTFSASSDLSPGGVLAPAQFDPCHDGFGEWSGPVSSTDPTSTASTTTTTTMLCSDVSVQAALGELNFAMDQSCFDSLGLPTDVGNLSSWCSIVPSLSTLEDTKYDSLDSFPDDALSLHEGMICGPDHDWSVDCQGLEALYMP >PVH37793 pep chromosome:PHallii_v3.1:5:5599104:5599629:-1 gene:PAHAL_5G090900 transcript:PVH37793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSKNGRTPLANEIYERMVAEKDREPEEGEAKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIRAEFEASLQAEREEAARKREELQAQLEDQQAALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLKLQKD >PAN26384 pep chromosome:PHallii_v3.1:5:594731:601027:-1 gene:PAHAL_5G008500 transcript:PAN26384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKGASSDAIRVSTSSAPSTSSHGSAQDDYDSSGDVYVWGEVICDNTVRVGSDRVIRSTGKTDVLLPKPLESKLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSGEDSLHPCLVESLAICNVDIVACGEFHTCAVTAAGELYTWGDGTHNIGLLGNGTDVSHWIPKRISGALDGLQVAYVSCGTWHTALITSRGQLFTFGDGTFGVLGHGNRESISCPREVDSLSGLKTIAVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFYRIACGHSLTVGLTTSGQVLSMGNTVYGQLGNPRSDGKLPCLVEDIMSEHVVQVACGSYHVAVLTNKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRAVRHIACGSNFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKALRAALAPNPAKPYRVCDSCFMKLNSAAYSSTINQNKRKEAVPRHSGESNPDTKLAKAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMTSLLQLRDIALSGGIDLNKSVPRAVRTSAVRSLNSSRAVSPFSRKPSPPRSTTPVPTTHGLSIAKTAADSLAKTNEMLNQEVERLRAQVDNLRHRCELQELELQKSAKKVQEAMTLVSEESAKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGSEAKQAHVPNGIEMYASIYTSMNGIHQPRNESVSAVSTPSLNMGRALHTNGISSHHKPPGSISENSEVSAHSLRVSGPPDVENLNRRGHTSSDEMLSASSRADDSSSKDATSLLNGEDGYKSRSAVSIPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAESWWNENRDKVYEKYNVRSSERVSSASSIRSAR >PVH39023 pep chromosome:PHallii_v3.1:5:51704308:51705709:-1 gene:PAHAL_5G421000 transcript:PVH39023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEFAIPRDLVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAADAGAELVPGLVTSLSLPAGPADPYRVHYISSAEGQGRGVLEVDAVVGADGANSRVAREVGAGGYSTAIAFQERIRLPDAAMAYYDDLAEVYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAGPKIAGGRVVKVEAHPVPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGQAMAEEWARTGAVTEAGLRRGYLRRWDDEFLLMFRFLDLLQRVFYGGNAGREALVEMCADEYVQRRTFESYLYKRMVPGEPWGDLRLLWRTVASMVRCGVIGREVMRLRRLELQA >PVH38874 pep chromosome:PHallii_v3.1:5:45853888:45857241:-1 gene:PAHAL_5G374400 transcript:PVH38874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHQLVSKQDYSTRSLWTSTRSSHLPSSSSSSRESTRKCPATWKTTSAASTTSRCLSPSRRPCGAQLFMYLFYASATLEPILDSVVIK >PAN26711 pep chromosome:PHallii_v3.1:5:2050564:2055780:-1 gene:PAHAL_5G033000 transcript:PAN26711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAMAPPPPPQASSNSGDPLYPELWRACAGPLVTVPRPGDLVFYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLLCRVLNVELKAETETDEVYAQIMLMPEPEQNEAAAEKASSGSPATPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPLLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESLKHNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENLDPLWPDSSWRYLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPVPLSSRVKRPRQAPPPSPESSVLTKEGATKIDISSAQTQQHQNSVLQGQEQMTLRNNMTESTDSDATVQKPMMWSPSPNGKTHTSFQQRPSMDNWMPLGRRETDFKDTRSAFKDARTSSQSFGDTQGFFMPTFDENHHRLSFNNQFQDQGSAHRFADPYFYMPQQPSLTVESSTRTQTANNELRFWSDQNTVYGNTSDQQQGFRFAQNSSSWLNQPFTQAEQPRVVRPHATVAPFDLEKAREGSGFKIFGFKVDTASASPIQLSSPMSAMREHVVQTQPSASGSELQPVQTECLPEGSVSTAGTATENEKSIQQAPQSSKDIQSKSQGASTRSCTKVHKQGVALGRSVDLSKFSDYDELKAELDKMFEFEGELVSANKNWQIVYTDNEGDMMLVGDDPWDEFCSIVRKIYIYTKEEVQKMNSKSSAPRKEEPLASGELCVATNE >PAN30414 pep chromosome:PHallii_v3.1:5:19524539:19528685:1 gene:PAHAL_5G280500 transcript:PAN30414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPALLGGYLAVYPPPPLPPATAAAPSSSARLPAPFPARLRHASRLVARRRASGAGAGDAVSASAAGEGDEEYEAELEEEGFPGWEGGGGGGEEEDYDHDPEIGDIMGDYFDDPKKAQTRMEERIRKKRHKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYNALLPKDATVISDALRSWHIVGRLGGCNSMNMQLSQLPLDCKRPTYDALEGANITPTSFYNIGDLEIQDTLARVWVDIGIHEPLLLDILLNALTTISSDHVGIKQVQFGGLEFVNWNEDLKTEEVGYSVRKI >PVH38690 pep chromosome:PHallii_v3.1:5:32806394:32807128:1 gene:PAHAL_5G328500 transcript:PVH38690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYACREYIARGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH38090 pep chromosome:PHallii_v3.1:5:10574689:10577650:-1 gene:PAHAL_5G175300 transcript:PVH38090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVHVEPFHGSLEVGTGGHGIQRFSGAALMGSIGNGRSVSVVGIQMPPAGSKTVVEPGLPVTTSLVPTWPRLSMIMVATRAAALVMALLSVSLMVSSKQQGILTIFGIEIPLYANWSFSYSLQFLVGMSAATAAYSLLHLLLIAHKAVKKVPVVPSRRQTWLLFAGDQVFSLAMMSAGSAAAAVSNLNRTGIQHTALPNFCKPLPRFCDLSAASIACAFLSCAFLATSAVIDVIWLSGLRDE >PAN29837 pep chromosome:PHallii_v3.1:5:16030385:16031876:1 gene:PAHAL_5G252800 transcript:PAN29837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFRPAPEDRAREGRAAAQQHDAMSAAGPRPKRSASMPFHGAGAGKGRDPSPAPAAGGRARLRDEQLRQLRELFLRFDLDRDGSLTMLELAALLRSLGLRPAAGDEIHALIAAMDADGNGTVEFDELASSLAPLLLGPCRPAVAVDQAQLAEAFRAFDRDGNGFISAAELARSMARLGHPICYAELTDMMREADTDGDGVISFQEFTAIMAKSAVDFLGLAAL >PAN32159 pep chromosome:PHallii_v3.1:5:54818028:54819427:1 gene:PAHAL_5G468400 transcript:PAN32159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSGTEVALAAPISSQLQSGADELAALHLLSRQSSRFKGVVPQPNGRWGAQIYDRHARVWLGTFPDEEIAARAYDVAAVRYRGREATTNFPGERASAGELAFLAAHSKSEIVDMLRKHTYAAELRQGLRRGRGMGARAQPTPLWARKPLFEKVVTPSDVGKLNRLVVPKQHAERHFPLRRAPEETATGKGVLLNFEDDEGKVWRFRYSYWNSSQSYVLSKGWSRFVREKGLRAGDTVAFSQSVYGQDNNQLLINYRKAPRKQGEGPDDANHAIAAEARSIIKLFGVDIAGGGREAGAEGHGGFLER >PVH38493 pep chromosome:PHallii_v3.1:5:17911502:17912704:1 gene:PAHAL_5G271600 transcript:PVH38493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLMPLVLFLLHSVQLEDAPDVRSRSILSDGTWPSCCAAPNSRWTRCLTGCRAQLQFKPPVAFRFYGEALNNCAD >PAN28634 pep chromosome:PHallii_v3.1:5:10165441:10171755:-1 gene:PAHAL_5G167700 transcript:PAN28634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVVAVGIAWCGLLLFPCPCRRVPTAAREPGERASEREISGGTWQPRSRATTADDPTLTESGDVVESWVDKTYSIHRRSRHPLVAGLDVEWRPAREPGPVAVLQVCVGRRCLVFQIRRANYVPDALSNFLADRRFAFVGVGIRDDAARLRDGYGLEVSRAVDLRRHAARTLGRPELRGAGLRALVREVMGVRMEKPHNVRVSAWDRRKLTEDQCKYACADAFASMQVGWRLYNDA >PAN28925 pep chromosome:PHallii_v3.1:5:11262815:11267296:-1 gene:PAHAL_5G186800 transcript:PAN28925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSQGSTAVAAARVDLCALDLMPVFAKEMIAGGVAGAFSKTAIAPLERVKILLQTRTNEFGSLGVLKSLKKVRQHDGIKGFYKGNGASVLRIIPYAALHFMAYERYRCWILINCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQLNRALKRASPQPTYGGIMDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPDDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQNQQQHGQFGGPRITGTFQGLIAIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTVKHFLKIPPREK >PAN27269 pep chromosome:PHallii_v3.1:5:4368258:4371032:1 gene:PAHAL_5G070700 transcript:PAN27269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYYCEQQLVSPFVYSRTRLPLPLLSSSPPPLPRAAPRATPPPMLSPRLIGSRGAIATIGTARRRDSGPRRPALAARARGCGEVWRDEASSCPQHSLGVFEACCSVLAPFVGTLLVFFWCLLGTMKWKSEHCP >PVH37707 pep chromosome:PHallii_v3.1:5:3977470:3978386:-1 gene:PAHAL_5G063700 transcript:PVH37707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPDAAAARRKLETLVRTMEPVMEGVDRMIRRRRMLTPAGSKRKQPEPPARRPLSHLVTIDERRAELKEKATRLYEETSELDEVAAAGAAAAAAATEENHQGMQGFLRHGGDGDLHGQRL >PAN28308 pep chromosome:PHallii_v3.1:5:8620974:8624614:1 gene:PAHAL_5G144100 transcript:PAN28308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRPMSYAGSSPAYFWKQMGKAMLCTYTLFGVAWLWNETSPLGWWTLKPRPKEEKEMAHLYERRKFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSENMQKQLQSKKFEQEAQKLWLRMRNEVIQELQEKGFDIE >PVH38356 pep chromosome:PHallii_v3.1:5:15240832:15241463:1 gene:PAHAL_5G242200 transcript:PVH38356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAATAGDRRRRSRAPAGGTAAGNDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEAGAAEVVESKGKLWLTTGVTRGGKLCYNVEEIGYAGSWLKGVP >PVH38355 pep chromosome:PHallii_v3.1:5:15240832:15241610:1 gene:PAHAL_5G242200 transcript:PVH38355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAATAGDRRRRSRAPAGGTAAGNDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEAGAAEVVESKGKLWLTTGVTRGGKLCYNVEEIGFLVERGALIFLNDKDETIGTEAIYEKIAGGKYGCS >PVH38736 pep chromosome:PHallii_v3.1:5:35122306:35123238:-1 gene:PAHAL_5G336900 transcript:PVH38736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSNISWDHAGHLHTNALHWEVFPCLLWESLSLFFYTEPPQYDGPIKVDVVGYRLVDTIEATALEAIHIFCNQHPMEVAGYPIGLFPTIDSSDPEWNFRIAHYGHLLGDSAEEMIRGIIRFMNVQHHYQILLRRSMGQLTGVAQGHYRNADRQVTQIELQALVTEKEEVITAREEAILHREDQINESDAIITQRNTIIEFLQEQIHDLILEVDDAHAHIDELQEQLIPPAVPVAPAGGEEDPKEIEGVSDLDSEHGDPEPNPQPDHSSSSSQSSVGNLDNF >PAN31715 pep chromosome:PHallii_v3.1:5:52867153:52872680:1 gene:PAHAL_5G439800 transcript:PAN31715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIPAASAMDWSIDLDRGLSSRHYGTRVRALDAAGPRLRQLCACPTAPAPVASAFGVLPWEARVFAETMLLRLASEFRNADGAMRARIVRALLSAAGGRGVLAGARVAERDQLLRRVKVVYDAGSARDRALALRVFGCLAEVSKDSVHVRSLILSSLGASSALEVKAAIFAAGCICRLSEDFSRIILEVFRRLICSRTSEPQVIMTAIKAFSKLDCTLAVIHRVHEVGKQMILGTLEDVLKSEVLYSLSRLVSKSTILFRDQVDLLLLFLNHDSTPMKSAALKCICFMFHRHTYHFPVIRTVFGKLLPLIDDVDFSLDYKSDVLRILQKVFCGKASGIHHLSGSELSKLLLAAESYLHSSSLEMQGTALEILGEVFCILKQAKPDLNMTILKGSSFAYAEGQGVTNNVPLTSEKNGINRALCKIITMIVNYIISLVNQVISSEKKEVTRRSICMPSELDKKCIAPFRLMLKLVTCYPSAATVAIGELRGLVKELSRINGSDYSEVAVTSIEPFHTSVALQELSTSNGNAELLATTIEASLIETDIGKGKPDATEFNCKNKKSITHDLTLRTLKFANACHDVLRKTSGARYNPHDSIKGLIECIHQNDSQYWSTYEAFQLIICACIARDTCKLRDGNQELCGSKEEPNFFSTPVWIAQELCALRMTKMLIKKQKYWEAYRSSMYCCRKGLWFTASFVFRKLADAFNPGSFSCWLKSLLLFSAGEIEMKLLLFPSATIKLVGELQTDNDLSEDLYCAETDTDSIPSASQELHDHRAKITGICGRTCLANDALESNASSDFEFFFQRWFISLRSSFLEILTDILGILSANSSAYEGREDHLNVSGELLQGQILALASFSLRLSDLAKSYDLLAASHMDMDRRSSSSLARLAFMCSLLAFCTAYSVDFSRAYSDVEQCKLPKRFSHASVLQDLHGRVDGADRQIVSQLQQFVPTSFDAQVCLQSSGRMNCSGDLEKDSYSLCHFAVASLLRARGNAKANGMANRADCLYSLHGGLQLLSIILQKLMELPFVVPKYFFRVRPCLGAELYMFVSNPADTSGMSVEPGFQLSLTLGMQWKRVLERTAIRPVKLYCILAASSTPCFDAAGTRSKQFETRKTAETVELNCKLLRYIRSDLRKGRDEKDSQSGSEMVTAFAHFEPADSGQGFSACLLDVSSFPEGSYQIKWLACFVDENGSYFSLLPLNDGAVFSVRKS >PAN27640 pep chromosome:PHallii_v3.1:5:5834956:5838599:1 gene:PAHAL_5G094300 transcript:PAN27640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALSSSALPCSLLMAALMLLASIAQVQGITRYYDFNVTMANVTRLCATKSIVTVNGQFPGPKLVAREGERVVVRVTNHAQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTVVGQRGTLWWHAHISWLRATVYGPIVILPKLGVPYPFPAPYEEVPVIFGEWWQADTEVVIQQALQTGGGPNVSDAHTINGLPGPLYNCSAKDTFKLKVRPGKTYLLRLINAALNDELFFSVANHSLTVVEVDAVYVKPFTVDTLLIAPGQTTNVLLTAKPFYPGANYYMSAAPYSTTRPGTFDNTTVAGILEYEYPGAAPSAASFNKALPLYKPALPALNDTDFVGNFTAKLRSLATPQYPAAVPKTVDKRFFFTVGLGTHPCPANTTCQGPTNTTKFAASVNNVSFVLPTRALLHSHFTGLSSGVYSPDFPVSPLTPFNYTGTPPNNTNTASGTKLMVVPYGANVELVMQGTSILGIESHPLHLHGFNFFVVGQGYGNYDPVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLPHQKLLPPPSDLPKC >PAN27418 pep chromosome:PHallii_v3.1:5:4916547:4917215:1 gene:PAHAL_5G079500 transcript:PAN27418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPQEGVGYNEVTFMYTGGAVPHPPPSPPPRRRRLIPLRILVRAFVAASAVIGVLALLICLIYWPRTPRGSPTSRSSATTVPPRRSPWCSPTTSPRCWPHRTPTGARPSTTTGSRRRGSTSTTASIARAALPLPFQGTRRTDTVPVVLTGTGSSPAHVDLRPDAFPPHEKGVFPVDLMVDGFVRYRFGKLTTAIESKLTVECRLDLKLMVPSGWVDCANWS >PAN30416 pep chromosome:PHallii_v3.1:5:19572543:19573622:1 gene:PAHAL_5G280800 transcript:PAN30416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTKCPPLSRAEDGLPASRCRCDDKAPAASVPSAAKSKQTASSTIVPEATRDALDNIPIGRVDSYKIFNKIGAGAFSNVWKARHLHTGKKVAIKSIRASRMALLREAALMTACAGNPAVVELQEVVRAVEMDKLYLVMEYAGASLDSIISARYHVARPFTEDEMRRVMRRLLRGVKIMHEHGIVHCDLKPPNVLVNKEDGRGRILKICDLGLARWVTLPPPDTSGRVHGTLWYMAPEQLMGDMDCSAAVDMWSLGGIMAELVTGKPIFQGNDVFKQLHEIVHLLGIPDEVSLMPLGVSASMPSQLRDAVPEERLSAAGFDVLRGLLNFDQSDRLTAAAALQMPWFTVKDADAPSPARA >PVH37848 pep chromosome:PHallii_v3.1:5:6306017:6309982:-1 gene:PAHAL_5G103800 transcript:PVH37848 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MMSGRSSGGRDAESEWEVRPGGMLVQRRDGEAAGPAIRIRVSYGATFREVVVPAQATFGELKRILVQTTGVEPERQRLFFRGKEKSDSEFLHAAGVKDGAKLLLLEKPAPATVEQKAEPVIMDESMMKACQAVARVRVEVDKLSAKVCDLERSVLGGRKVEDKEFLVLTELLMMQLLKLDGIEAEGEARAQRKAEVRRIQSLVETLDKLKARNANPFTDHNKAVSVTTQWETFENGMGSLSAPPPRVSSTQVNTDWEQFD >PAN33008 pep chromosome:PHallii_v3.1:5:58565786:58571902:1 gene:PAHAL_5G529000 transcript:PAN33008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPTGEAPAGSMPLRQGHFLVEPPPGHAVSANTSSTDDTRFDASQYAFFGNNVLEEVELGGLEEDNVSDAAFIGLNNVERPLSSQGNVLEAEDSSFSDVDDLANTFSKLRRDVNLPKHIGINSLGTPFSRESSAAADWTPEFESPLWPNQYVLDARQRQDSNDWWPPQHHSSHFADSRLHRTSSSPQQQEEHNEPVLGPRPSPLHRTSSYPHNEPQYNLAEAIPAPNAPYNSHHPPSGPPNSLPGQLHQMNMSSFNEFQMHMSAQSDAPFSQLPRGGPPPEPFGGNLGHMVSAGFSTNSSGPKNHMLNNGQIHGEIATIIPNSVPNHLQRPNAFMPPQMLLMRKQPGMLPIQQSPQHLSRTQAHMFGPQHPPQMMNRFDNFGVPEFSDPRARSSMHHGRQDHHFPLQGSEFGIMRMGNGRPQFRSKYMSTVELENISRIQHAATHINDPYIDDYYHQACLARRSVDARLKHHFCPTLILDPSSRARSKDEPHAYLKVDALGRLPFSSIRRPRPLLDVEPASTTEDNTLVSKSLDQEPMLAARITIEDGLSLLLDIDDIDRLLQFSQQQDGGLQLRKRRQSLLGQLAESLQLVDPLEANKNRHLSANDDLVFLRIVSLPKGRKLLSRYINLMVPGSDLARIACMAVFRHLRFVFGNLPSDDASAETVIKLANAVATCIRGMDLSGLSACLAAIVCSSEHPPLRPLGYAAGDGATVIIKSVLDRATELLTEQLAGSNYSVPNRALWQASFNAFFGLLTKYCIGKFDSLVLTMHTTTAIRRELPIELLRASLPHTDEQQRRLLLDFAQQTVPVTGHSSQRAAGGTMASEIVPS >PAN33009 pep chromosome:PHallii_v3.1:5:58565786:58571902:1 gene:PAHAL_5G529000 transcript:PAN33009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPTGEAPAGSMPLRQGHFLVEPPPGHAVSANTSSTDDTRFDASQYAFFGNNVLEEVELGGLEEDNVSDAAFIGLNNVERPLSSQGNVLEAEDSSFSDVDDLANTFSKLRRDVNLPKHIGINSLGTPFSRESSAAADWTPEFESPLWPNQYVLDARQRQDSNDWWPPQHHSSHFADSRLHRTSSSPQQQEEHNEPVLGPRPSPLHRTSSYPHNEPQYNLAEAIPAPNAPYNSHHPPSGPPNSLPGQLHQMNMSSFNEFQMHMSAQSDAPFSQLPRGGPPPEPFGGNLGHMVSAGFSTNSSGPKNHMLNNGQIHGEIATIIPNSVPNHLQRPNAFMPPQMLLMRKQPGMLPIQQSPQHLSRTQAHMFGPQHPPQMMNRFDNFGVPEFSDPRARSSMHHGRQDHHFPLQGSEFGIMRMGNGRPQFRSKYMSTVELENISRIQHAATHINDPYIDDYYHQACLARRSVDARLKHHFCPTLILDPSSRARSKDEPHAYLKVDALGRLPFSSIRRPRPLLDVEPASTTEDNTLVSKSLDQEPMLAARITIEDGLSLLLDIDDIDRLLQFSQQQDGGLQLRKRRQSLLGQLAESLQLVDPLEANKNRHLSANDDLVFLRIVSLPKGRKLLSRYINLMVPGSDLARIACMAVFRHLRFVFGNLPSDDASAETVIKLANAVATCIRGMDLSGLSACLAAIVCSSEHPPLRPLGYAAGDGATVIIKSVLDRATELLTEQLAGSNYSVPNRALWQASFNAFFGLLTKYCIGKFDSLVLTMHTTTAIRRELPIELLRASLPHTDEQQRRLLLDFAQQTVPVTGHSSQRAAGGTMASEIVPS >PVH38052 pep chromosome:PHallii_v3.1:5:9752370:9752817:1 gene:PAHAL_5G161900 transcript:PVH38052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGVCARGWYPFHVAGVEEAAPTQPGLAPTAVRSEGVFRVQGLRNDRSGCTQ >PVH38666 pep chromosome:PHallii_v3.1:5:30414760:30415866:1 gene:PAHAL_5G320600 transcript:PVH38666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYEYMPNGSLDSYLFGSSPCPSWHDRYAIMLSITRGLSYLQEGCRERIIHGDIKLENILLDKDLCPKIADFGMAKLVGRDFSRVLTTMPGTIGYLAPEWISGLPISAKADVYSFGMVLFELISGRRNAESYNDGDGDGTEARAAGQRPSTFFPIRAVARVVEGDTAAVVDPRLRGDLLEHACRWHAGASRTRRSTGRPWRRSCRRWRASSMSTTEK >PAN27400 pep chromosome:PHallii_v3.1:5:4828190:4830167:1 gene:PAHAL_5G078000 transcript:PAN27400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEADGHAGADEAPSRPAPRLNERILSSLSRRSVAAHPWHDLEIGPDAPAAFNVVVEISKGSKVKYELDKNTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVDEVQPASAARDAIQYSMDLYAQYNLQTCNSSFVAPGHLVLHENLACVEKSN >PAN27399 pep chromosome:PHallii_v3.1:5:4828190:4830167:1 gene:PAHAL_5G078000 transcript:PAN27399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEADGHAGADEAPSRPAPRLNERILSSLSRRSVAAHPWHDLEIGPDAPAAFNVVVEISKGSKVKYELDKNTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLSELSPHRVQEIRRFFEDCKLPQRPFIPFLFLLLHVTIKKNFR >PAN27401 pep chromosome:PHallii_v3.1:5:4828666:4829809:1 gene:PAHAL_5G078000 transcript:PAN27401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRSVLTLLLRSTLQVVEISKGSKVKYELDKNTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVDEVQPASAARDAIQYSMDLYAQYNLQTCNSSFVAPGHLVLHENLACVEKSN >PVH38350 pep chromosome:PHallii_v3.1:5:15207549:15208357:1 gene:PAHAL_5G241600 transcript:PVH38350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAATAGDRRRRSRAPAGGTAAGNDDGEEQHLNPFLDAAPSASSRVQFSVAPRSMCSTTAGAGMWPHARGGWKRPVPQRWWRARASCG >PVH38349 pep chromosome:PHallii_v3.1:5:15207549:15208357:1 gene:PAHAL_5G241600 transcript:PVH38349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAATAGDRRRRSRAPAGGTAAGNDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEAGAAEVVESKGKLWLTTGVTRGGKLCYNVEEIGYAGSWLKGVP >PAN31416 pep chromosome:PHallii_v3.1:5:51486888:51487748:1 gene:PAHAL_5G417900 transcript:PAN31416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPARRPTPSTESVEIAFFGAASIPDPRSSPATPLPDIAFAHASTPPPPPPPAPVPQKQKHGKNRSRPGRLIRSVRAAFRSFPTIQAPSCRGMPSLHHLPGLYGPGGAVRNHFHGATHATGTLYGHRRARITIAFHESPGSPPCLLLDIGVPTAKFIQDVSAAGMVRLTLECDKHQHQHHAGDAPPRRLLDEPAWGAEVNGESVGYASRREATERDERVMQMLHATSMGAGVLPADMSHPSDGEFTYMRVHFDRVVGSKDAETYYMHNPEGGATGPELTIFFIRN >PAN31264 pep chromosome:PHallii_v3.1:5:50562439:50563360:-1 gene:PAHAL_5G407900 transcript:PAN31264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGTPLLRASAFAFTIDGAIAALAGYYDDPAEELARRRGRDAAKRGRDGGTARREEADAARGWSSAVAERGLALRRRGGSASCSRPHGGLVVGGEEAAAPGAALGAGAKAAAAAAKPMVDPGVAFFCLAVL >PVH39163 pep chromosome:PHallii_v3.1:5:53350334:53350912:1 gene:PAHAL_5G445700 transcript:PVH39163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMSVAAGANPKALLAALLCAAVAAAFPFPGSSAGGASALVSQTCRVTSNPGLCVELLQSSNRSDAATTVRELAVVAVTAARRSALRARMRAMDLSHGTGGGGAVAARLAARCVALYADCLRDGARAVGRVSTMPVHDARAPDAVSALRRFPRKCAGLFHARRIASPLERVSRETEEKLGIASEIVRLSR >PAN28106 pep chromosome:PHallii_v3.1:5:7839875:7842972:1 gene:PAHAL_5G131400 transcript:PAN28106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEWWSSTSHRSHGASACSAAPLPVVTDRVACGWATSPTAAAESTSSITFQDPYKSSTHQPLSDAASSLGDPHVDWTQAFLSGRSDTSFQAVLQDDMVRAQPAADEAPAMNNPLIRDMSAGFLVDHAQLAPSPYGTAPSQQALFDGTAGHNISVYGDSQSSVSYDATASMQFSQLLKPSAPASGPMQGAAAAMQYLSGSYLPFGGPLQSQLLLQALQTKPGIRSSNANPLTVKDACSPAARKSAPESPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSSLSSPYLKNGIPLQNVQQKGSEDAKDSGDTKQDLRSRGLCLVPVASTYTVAAETVPEFWHPTFGGTFR >PAN28105 pep chromosome:PHallii_v3.1:5:7839875:7842972:1 gene:PAHAL_5G131400 transcript:PAN28105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEWWSSTSHRSHGASACSAAPLPVVTDRVACGWATSPTAAAESTSSITFQDPYKSSTHQPLSDAASSLGDPHVDWTQAFFFRSGRSDTSFQAVLQDDMVRAQPAADEAPAMNNPLIRDMSAGFLVDHAQLAPSPYGTAPSQQALFDGTAGHNISVYGDSQSSVSYDATASMQFSQLLKPSAPASGPMQGAAAAMQYLSGSYLPFGGPLQSQLLLQALQTKPGIRSSNANPLTVKDACSPAARKSAPESPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSSLSSPYLKNGIPLQNVQQKGSEDAKDSGDTKQDLRSRGLCLVPVASTYTVAAETVPEFWHPTFGGTFR >PAN29304 pep chromosome:PHallii_v3.1:5:13178391:13186575:-1 gene:PAHAL_5G215800 transcript:PAN29304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQARVRFPPAGPTPATAFFSGSNPRPAHLPFSSKRAPASLYAAAAANAPPAPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRTDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMQGWATGIGAEDLELIQKAGVLLLQREIPDWVNTQAARAAKSAGVPVIMDAGGMDAPVPQDLLSLVDIFSPNETELARLTGMPTETFEQISQAAGECHKMGVKEVLVKLGSQGSALFVEGEKPIGQPIIPATEVIDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVRVKGAIPSMPDRKSVMKLLESVQVE >PAN31132 pep chromosome:PHallii_v3.1:5:49592756:49594088:-1 gene:PAHAL_5G399100 transcript:PAN31132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDALWMSPCGGISLPSSAAGVGEDEAAAAAELRRGPWTAEEDALLAGYVAAHGEGRWNELALAAGLRRTGKSCRLRWLNYLRPGVRRGGFTPREQLLILDLHSRWGNRWSKIAAQLPGRTDNEVKNYWRTRVQKHAKQLGCDVGSGRFHDAMRNLWMPRLLERIHADESAAGASHYYSAASPAHAPSSKPAYQNAAAPIISNCARATSPEAASCVTGSPSSWEASPAAQFQTSSPTMAACWSTGQCQNGYSSASSGDMFEESWSELLARANHDDADSAGLPDFGLGETGDNWWSLDDILQQPLY >PAN31360 pep chromosome:PHallii_v3.1:5:50760337:50763585:-1 gene:PAHAL_5G410200 transcript:PAN31360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLGRWQLRRRVRVLPLPAPLVPPHAASPRPSPRRRAPACEGPAPPRAPRPSPRRLPPPPPPPVGLWRRCPPDPRPRPRPTARRSPPSPRSSGRRKPRSPPPSSPPPRAAAAAASPSRPSSRSPSPSPGTRWRRSETCRSASISSATTTLWWSRRRRVKMRWKL >PAN26551 pep chromosome:PHallii_v3.1:5:1385695:1387720:-1 gene:PAHAL_5G021100 transcript:PAN26551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSAAGGESDFSVLVLGSDFATDAGAALLTPADREEWHDCLPDLSEADADACFSDLEELQVVRVQGTDRAGRNIVRVVGKFFPAPVIDGERLKKYVFHKLQTELPVGPFCILYIHSTVQSDDNNPGMSILRTIYEDLPPEYKERLQVFYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYISRLEYLWGDIRKGVVEIPDFVVEHDKILEHRPLTDYGIEPDPLHLADVPAVGYSLGRYENKWAPEDRWYSQNYM >PVH38042 pep chromosome:PHallii_v3.1:5:9605576:9605809:1 gene:PAHAL_5G159200 transcript:PVH38042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAKKAQLAASRQQQRTPGLVARWCAASQRSDAKQATGEESGERMSAPARVKAPRPLQRRQSRRPLGWIRPREGH >PAN28938 pep chromosome:PHallii_v3.1:5:11338263:11338454:-1 gene:PAHAL_5G188000 transcript:PAN28938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTFRRAVVAAAVAASSLAGVAMAADEPAPSPTSGAAAVSSSLVAALLCPAVALLFGSLRH >PAN30497 pep chromosome:PHallii_v3.1:5:31062540:31064062:-1 gene:PAHAL_5G322800 transcript:PAN30497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFVLDWTDFEPSGQPPISCTDKQVPTQVGDDGYVEEFAAPRRLQIEEIPGVVNDFRFAARNAMKAGFDGVGIHAAHGYLIDQFLKDSANDRDDEYGGSLQNRCRFAAEVITAVAAEVGADRLGVRISPFSDYLDSRDSDPEALALHVIRGALNPLGVLYCHFVGPRMRVNPGNGELALPHMDMVLPFRRAFRGTFVVTGGYNQEDGDAAVADAHADLIGYGRLFLANPDLPERFARKAGLNKYDRSTFYTSDPVVGYTDYPFLRQV >PAN29059 pep chromosome:PHallii_v3.1:5:11985194:11986915:-1 gene:PAHAL_5G197700 transcript:PAN29059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHFPNDHGDGPFHRHKSIHRLLGGGKVADILLWKDRNLSAGVLVGATLIWYLFEVVEYNIIPLLCQIAILAMLVIFIWSNAAPLVNIAPPGIPEVIISEHAFRKIAETIHYKLAYTVAALYDIACGKDLKKFLLVIMSLLILSEIGSSYSFTSLLYLGFLCAHTLPALYQRYETEVDHLAARGSEDIKRFYRRIDSNLLNKIPRGPVKTKVN >PVH38475 pep chromosome:PHallii_v3.1:5:17334219:17334811:-1 gene:PAHAL_5G267800 transcript:PVH38475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASAGAGTGTRPRLGWHCRGRTARRGRSSAAMAPAAWPDRVTEMQHRLGWSRAAGPNRRSSRVPGRMRPEA >PAN26356 pep chromosome:PHallii_v3.1:5:291426:294205:-1 gene:PAHAL_5G004600 transcript:PAN26356 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MAHPADSASTPPAPNVGEDGAIHSPPLPPQRGRPKVVIVMGATGAGKSRLAVDLAAHFAGVEVVSADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIQEILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDMQDCTLSDHPDGIGLTNDDEGGGYERLKEIDHVAAQRIHPNDHRKIKRYLELYATTGALPSNLFQGEAAKKWGRASNSKFDCCFLWVDADLQVLDNYVNQRVDCMMDAGLLDEVCDIYDPDGVYTQGLRQAIGVREFDEFFRLYLTRKESDNNKATSSATMLSVHDDQLKSMLDEAVSQLKTNTRRLVRRQRRRLHRLSKDFGWNLHRVDATKAFCCTTGNSWNKEVVEPCVDVVKSFLADGTTCSPSTNASDGIGEREVWTQYVCEACDKRVLRGAHEWEQHRQGRGHRKRVQRLKQKRSQMRPSESS >PVH38080 pep chromosome:PHallii_v3.1:5:10416006:10417722:1 gene:PAHAL_5G172100 transcript:PVH38080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVGTDLPCDRLSDLPDAILVFILSLLRLDEVARCTVLAPRWRRLFSSTLLLDFNANMPGRLDIIGTVNSILAAHPTAPVRSFRAGWRFIPRDKNLSSGGWLQELARRGVEELSLDFDFHDRHPRIPAGFGAPPLARLTEIELDWVAISDASVNFLLSQCTALERLKMYGTSKCGRVHRSVERSVKIAQAPKLKFLGYLGMNYHKIEIGETIFTDHPIIVKTLMPSLKTIAIEVSYTYEGYIDWIIQLLNLFTCLEALYIRENTWSRIQAAASESWDVLRHIPCVHNHLEKVVFEVYRGHEWQHEMAKFLHGRSRVLKAMEFHCMRESDGGAKDHTVTKPPSVEWVRKQQELLCLDSRI >PVH37688 pep chromosome:PHallii_v3.1:5:3790264:3793598:-1 gene:PAHAL_5G060200 transcript:PVH37688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGMKVALHRQVSGGSMKHNAELRRQASLESPRTGRATSRFLFGRQSSMDPNRRRGRSQSPAGSSAEELTVPDNLDATMQLLFFACQGDALGVEGLLRSGVDVNSINLDGRTALHIAACEGRRDVVRVLLNWKANIDARDRWGSTAVADAKFYGHTGVYDLLKAHGAKIPKNKRTPMMVSTPGEVPEYELNPGEVQFRRGYDVTPGAYHIAKWNGTKVSVKILDREGCSDQEAANSFRHELTVLEKVRHPNVVQFVGAVTQHIPMMIVSELHEEKDLTACIQKKGKLHGQKVLRYGLDIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGVTSVSKVGSDKVKLINHEALVDSFSYHTAPELYRNEAFDSSVDAYSFGFILYEMVEGIVKTPEDSGHSIRFEGARPSLKGKLKGYPPDFKALIEECWHPQAVGRPTFSEIIIRLDKIYAHCAKQGSWKESLKIWK >PAN29431 pep chromosome:PHallii_v3.1:5:13909868:13913285:-1 gene:PAHAL_5G225800 transcript:PAN29431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPCGWWLSSCARVSTVGRRLSDQTGSASSAARSFLVSNASEHGAMASSASGRAGRGLPPPAAAAAAVLLVLAGAAPAAGCYPRVFSFGDSLADTGNYAFVYGNDSSQPALRLPYGETFFHRPTGRFSNGRIVLDFIAETLGLPFVRPYLSGRSAGDFACGANFAVGGATALSPDFFRGRGFDGMGDRVHLDMEMKWFRELLDLLCPGNLAGCADMMNQSLFLVGEIGGNDYNIPLLSRVPFKKIRTFVPSVVAKISSTITELIRLGAKTLVVPGNLPIGCVPRYLTIFKSDKEEDYETQTGCLRWMNKFSQYHNKLLMKELKKLRKLHPGVTIIYADNYGAAMEIFLSPEQYGIEDPLVACCGGDGPYGVSPTTSCGSGEYTVCDNPEKYGSWDGFHPSEAAYKAIAMGLLRGSYTQPSIASITGSCPQLAELGSSVEYKPLYNL >PVH38294 pep chromosome:PHallii_v3.1:5:13518105:13518422:1 gene:PAHAL_5G220700 transcript:PVH38294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVPAFARGGRGRSPSRATPIPLPLPLLLPPPPLAQPPCSASASCRRRAPLLAVRAWTGPSISLARRRWWQRWLVVRGGRKKEKKKKKPRRFALLCACRCHEIWA >PVH38829 pep chromosome:PHallii_v3.1:5:43230988:43232298:-1 gene:PAHAL_5G365400 transcript:PVH38829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQTQLDQVTKNLMSRVHKQQIQRRQKDLNTAKEDTHWLHRNESYEPVVTRGADELGALRIEENNVMPLLGVTLDIPRYGELTFAGDLTPNETVGTRDIPGLGLTFANNGIAPNESCGSQVIPEIADLTYIRCGKKPDDAGDNIWNRQPRESCHRESIA >PVH37724 pep chromosome:PHallii_v3.1:5:4321036:4323803:1 gene:PAHAL_5G069800 transcript:PVH37724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAARRLRAFKRWMRAHGVVCSDALRLDATDPLGVHVCAVAPLREGDLVATIPRGACLTPRTTGAAGAIEAAELGGCLALAVAVMYERARGAESPWDIYLQLLPDRESVPLVWSADEAERLLAGTELDKIVKQDREFLCEDWKECIEPLISSGELDVRPDDFSLDKYFSAKTLVSSRSFQIDSYHGFGMVPLADLFNHKTDGEHVHFTSASDDSDGEDHDELSAASADDQSTIENPTISPSGVGDEDLEMIVVRDANEGEEVYNTYGTMGNAALLHRYGFTELDNEYDIVNIDLAMVTKWCTSIFSGRHARARVSLWRNLGYSGCISQDAEYFEISYDGEPQLELLILLYIITLKPDVYDKLISVAHDLVGDEEHDTIRKVAKFVKVTNSIQSSELNGLEELPDVKRLLHSESTCSALLSLADMRETLYGSNTLKDDEEKLQACCIVSERKLYHSLVLRVSERRILYRLRKYASSRSKTKKRKHP >PAN27255 pep chromosome:PHallii_v3.1:5:4321037:4323803:1 gene:PAHAL_5G069800 transcript:PAN27255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAARRLRAFKRWMRAHGVVCSDALRLDATDPLGVHVCAVAPLREGDLVATIPRGACLTPRTTGAAGAIEAAELGGCLALAVAVMYERARGAESPWDIYLQLLPDRESVPLVWSADEAERLLAGTELDKIVKQDREFLCEDWKECIEPLISSGELDVRPDDFSLDKYFSAKTLVSSRSFQIDSYHGFGMVPLADLFNHKTDGEHVHFTSASDDSDGEDHDELSAASADDQSTIENPTISPSGSGVGDEDLEMIVVRDANEGEEVYNTYGTMGNAALLHRYGFTELDNEYDIVNIDLAMVTKWCTSIFSGRHARARVSLWRNLGYSGCISQDAEYFEISYDGEPQLELLILLYIITLKPDVYDKLISVAHDLVGDEEHDTIRKVAKFVKVTNSIQSSELNGLEELPDVKRLLHSESTCSALLSLADMRETLYGSNTLKDDEEKLQACCIVSERKLYHSLVLRVSERRILYRLRKYASSRSKTKKRKHP >PAN30147 pep chromosome:PHallii_v3.1:5:19431732:19434981:-1 gene:PAHAL_5G279900 transcript:PAN30147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGECALGAAAIGEETLNYDGDDVEMADADSDAEEAPAAEVSAAAGGVGGGEQAEKGGPEGKNKRRKKRNKGKKKNKGRKDGSPTNIADINRFVLNTCKLLKEKKSYLVWEAVGCLGVTAVSDLVREVEAIQKCGGQTIADGSRFRTGGGILWNILKSREPKAYKKIMAKGKELEKQFRYTKRPQMSRNEDASSQGSALIDDEIEEQEQKEVLDDPEQLEDAEKAPPSDNKAQRKPLADRIRVPVAYNDLFEEGEIHEGEPQSGSI >PAN26546 pep chromosome:PHallii_v3.1:5:1342951:1347241:1 gene:PAHAL_5G020500 transcript:PAN26546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHLSRLLRHRLLLLPAASAAAFSTSSKKTYARRAKPPPAEPAPASTGEDAAAVSPVAEAAAEAEAKAAWQREKLPGELPRPPTIPFQPKVANTVRLVGTVGAPVHLQRTADGRFSAVSVLVQDRRVDFPSFWVPIVFKDDLAQVAASHLKENDLVYVSGQLTCDVPPLKLDDGQANIQVLAHLLSFVDSKVVETDVVINEEEGFMEVVKAEKKVEEKRVSSKYPPGTVSGYKSMRDKFNKLWNDVITRPQDWTDNRPQKKNGSISAKYPDFKNKVSKEALWLDSAPTAVLGKLEDLVFGSGYAASKEDKPYRTGTNWSKFRKSPDASAVSKLKPGEEELWRDLLDNPANWWDNRTDKPTPNYPDFKHKDSGKALWIGTRSPQWAVDALPPLKFKGGNKGARKQETLLS >PAN29893 pep chromosome:PHallii_v3.1:5:16394041:16398046:1 gene:PAHAL_5G257200 transcript:PAN29893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENARNNVANVAGAPPPQRRRCGDLRILVGPFHYDIAKDDRISSLPDNVLQDIFRRLPIGEAARVAAVSRRWNSVWNSLLSPIRGP >PAN27534 pep chromosome:PHallii_v3.1:5:5402539:5405629:-1 gene:PAHAL_5G087500 transcript:PAN27534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVAAASYAGKATGATRSPACCAAMSFSQSYRPKASRPPTTFYGESVRVNTARPLAARQTKAASRAALSARCEIGDSLEEFLTKATPDKNLIRLLTCMGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFDALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVIALKDCPGTHEFLLLDEGKWQHVKDTTSIGEGKMFSPGNLRATFDNPDYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSAKAKLRLLFEVAPLGFLIEKAGGHSSDGKQSVLDRVINELDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAA >PAN32266 pep chromosome:PHallii_v3.1:5:55184436:55188819:1 gene:PAHAL_5G474000 transcript:PAN32266 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MGKRGFARARHVAFFDAMATELPDDYAPQEVNHLTLGYFAVGGLSLLRELDRVNKDEIAKWVLSFQVHPEAHGDEDNGSFYGFCGSRSTQFPLPNVKDPCHNGSHLASTYSALAILKIVGYDLANIDSKALLLSMKKLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLDDWTGMDKLKAKEYIFNCQSYDGGFGMVPGSESHGGGTFCAVAALHLMGFVQVDLASNLRDSASIDIRMLLEWCLQRQVTDGGFQGRRNKPSDTCYAFWVGGVLKIIGAYHLIDHCALREFLLTCQSPYGGFTKFPYNRIPDIYHSYYGLAALSLLEEEGLEPLCVELGILLAAL >PAN28185 pep chromosome:PHallii_v3.1:5:8177535:8183895:-1 gene:PAHAL_5G137000 transcript:PAN28185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MGIQGLLPQLKSIMAPIRVEELRGQTVAVDTYSWLHKGALSCGDRLCKGIPTTRHIEYCMHRVNMLRHYGVKPILVFDGGLLPIKSDQETKRARSRKENLERAREHEAAGNSRAAFECYQKAVDITPRIASELIEVLKKEKVDYIVAPYEADAQMTFLSINKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLERNRELDFNGFTRQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKCHEKVIKHLRYTAVSVPPQYEENFKKAIWAFKFQRVYDPATEDIIHLSSVPHDLTEDLEFLGPWLPQDIAKGIALGNIDPLTKELFKSKPECSAPAVDKVYATREPIATSNGKKRLDLPVQKNILTNYFCLASLEAKRKFRAPKVTPKQQVSNGSFPSPQTQDTGTPVSVEDTRLPTGHIQASQCSSELLSSEPSQDEPINAASQCSSERFSCEFPLDDSANILPQRSSRDGGSDPPYEDTGMEDRKVEADYCNDNTLLTSPCLVGKSPRISETSLLPHNMEPSIPAQPYTEGIVASKNKDVVRSSYFKTINKRVSKNQEDQLDDEDDYDNDTCNLPRDQLRKSGMLKRRKISGIQNFKDESLQTISSDDSPPLIGEGCDTDNLDDTNIRAEGRFGCNVSHVNMYSGIAEKSMDKFAALISSFRYPGSRASGLRAPLKDVKNTLSVRSILRAPEKGALRCTAKKSDLGPPSRSRYNSHDNKTAASPPDISEFAYRPVKTVHSDQDGTTSKTKNATDAPPDLDTFAYTPIVCPPARSKFTSTAMKTADSPPDLSTFAYKPMKGTVRYSDGSRFRGTALNADGGTSRSQIK >PVH38521 pep chromosome:PHallii_v3.1:5:19146371:19147202:-1 gene:PAHAL_5G277700 transcript:PVH38521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSKQAPCFPLQSMATTRSSISLCSQFSFLFTEQSKSEQGKQPQALRHPSTCACSSSPMFSSRKHNNSTMTTTTPGPQMELAITGHPDTADRELDDNHADQELFRSCSAPTSTPSLVAIAYATGKTSCKPTAAAVRHRQSSTRTLRLCRGLGYAKDPRMRTRKP >PAN31712 pep chromosome:PHallii_v3.1:5:52852885:52855491:-1 gene:PAHAL_5G439600 transcript:PAN31712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPYAPAGLQLPGFVPLQLSQGQILAAYLGTSLFVVLAIWLISGRCRGLSKTDRLLMCWWAFTGLTHIVIEGTFVFTPGFFRKEKPNYFDELWKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWVSPFYFWAYFIGANSFWIWIPTLIAMRSWKKICAAIRAEKVKTK >PAN30298 pep chromosome:PHallii_v3.1:5:37494086:37500184:1 gene:PAHAL_5G342400 transcript:PAN30298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAAAADVVIPACAAVGIAFALWQWFLVSKVKVSAYAAPGNGHHHGGPVFRMEDDGEDVGIGGDHDEEVEEGAGDRTVAVARCAEIQSAISVGANSFLFTQYKYLAAFTAIFAVVIFLFLGSVHRFSTDSRPCQYTRGRTCKPALANATFSAIAFLLGAATSVVSGYLGMRIATYANARTTLEARRGIGAAFATAFRSGAVMGFLLASLGLLVLYATIKVFGLYYGDDWEGLYESVTGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHDFAAVAYPLLISAAGLLVCLVTTLLATDLFMVKTVRGVAPALKLQLIISTVLMTVAALVVSFAALPANFTMFDFGAVKQVKNWHLFFCVATGLWAGLAIGFTTEYFTSNAYSPVRDVADSCKTGAATNVIFGLALGYKSVIVPVFAIAVSIYVGFTLASIYGIAVAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQMTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVADVNVLNPKVFVGLLVGAMLPYWFSAMTMRSVGSAALKMVEEVRRQFAAIPGLMEGRAAPDYARCVRISTDASLREMMPPGALVLLAPLLTGTFFGVRTLAGLLAGALVSGVQIAISASNSGGAWDNAKKYIEAGASDHAKSLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >PAN31974 pep chromosome:PHallii_v3.1:5:53887842:53895505:-1 gene:PAHAL_5G454300 transcript:PAN31974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A [Source:Projected from Arabidopsis thaliana (AT4G11420) UniProtKB/Swiss-Prot;Acc:Q9LD55] MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNEKAEQAKSQAEALEDALDVEDLEADKRPEDLMLSFVSGEKGKDRSDKEVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKVATELSLWQEAFRSVEDIHGLMSMVKKMPKPSVLVVYYAKLTEIFWISDSHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHLETENEKERNLRMANLVNFSLDSKRENREMPSRASLLSELVSKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYISALEKLTTLRVLQQASQIFQSMKIDMLSRMIPFFDFAVVEKISVDAVKRNFVAIKVNHLSGAVHFGTVDIESDGLSEHLSVLADSLNKARNQIRPPVKKPSKLGESLSSLAGIVENEHRRLLARKSIIEKRKEDLERQILEKEKEEESKRVSIQIKNANDERIRLLNEQRQREQERIRREIEEKNKAEAKKLLEDLTKKAGKKHVVVEGELTKEAIMELALSEQLKERQEMEKKLQKLAKTMDYLERAKRQEEAPLIDQAFQKRLEEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKIAFQDRIVQRREAEFGRLKKERDERISQVISSRKRERETVRKLMYYLNLEEQRIERCREEEEARKREEEEKRKREEAERKAKLDAIAAKQRQREIELEEKEKARKEQLLRGSEATRVTDSAPVAQPPREPAAPAVAVAATIAPAAGKYVPKFKRGDSSSSSAGGSQRPADVRTRDEDRWGSREERPRPDVRPLRQDGPPARQDAPPARPDGPPPATDRWRGSRFSSSSSTSSSTWGRPRN >PAN30212 pep chromosome:PHallii_v3.1:5:44439428:44440083:-1 gene:PAHAL_5G369600 transcript:PAN30212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRPRRANTSSGAASPGDDDTGDTLKVEYRFSSGKVFHLIEQLSQEQEELVRSIGFGGLLELPRYDKLDRHFSAWLFNQITSIKVLGVPHGLRQVAPGLGATEADAVAVLRALGLLPREGQEVTLKYARKALDELMAPPVPLSPLSSRRPITPSERDKFVVAFVMFVVGHFLASHSPGKRTNTEVFHALANPSEVRQFDDFF >PAN28591 pep chromosome:PHallii_v3.1:5:9894003:9897304:-1 gene:PAHAL_5G164100 transcript:PAN28591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRRACAFAYPSLLGLLLLALPRCYLAQAQPADEARLLLRIKRAWGDPPVLAGWNASAAGAHCTWPYVGCDAAGRVASLTLANANVAGPFPDAAVGGLSGLTHLDVSNNSITGVFPAALYRCSALRYLDVSQNYLGGELPADIGFRLGTNLTALILSGNSFNGTIPASLSGLGNLQHLKLDNNRLVGAIPTELGKMTRLQTLWLANNPFDAGELPASFKNLTNLTSFWARNCNLIGDFPRYVVDMPELEILDLSVNMLTGSIPPGVWSLKELQALTAFRNNLTGDLVVDDFAAMGLTMIDFSENNLTGVIPEVFGHLENLTYLYLFSNNFSGEIPASIGRLPSLSTLRLYSNRFTGTLPPELGKHSDLVYVEADDNELTGTIPEGLCARGQFWTLTASGNRLSGSIPEGLANCATLRALHLESNQLSGEVPQALWTVTRINIVLLRNNRLTGRLPATMCSNLTTLNIERNRFFGSIPATAAALQVFNAGNNRFSGKIPASLGDGMPLLQRLNLSGNQLSGGIPTSVAKLTQLTQMDMSRNQLAGAIPAEMGAMPVLNALDLSSNKLSGSIPPALAKLKLNSLDLSSNQLSGQVPAGLATAAYDNSFLDNPGLCAAALGPPSYLAGVRSCAGEPQDGGPSGGVSHVLRTGLLVAGSAFLLLAAAASFFVVRETRKRRRVSGQDDWEMTPFVQDLGFGEASILRGLVEENLIGRGGSGLVYRVTYTNRFDGSAGAVAVKRIGIAGALDEKLEREFKSEASILGSVRHNNIVRLLCCLSGTEVSLLVYDYMDNGSLDKWLHGDGLGGHPTARVRRPPLDWATRLRVAVGAAQGLCYMHHECSPPIVHRDVKTSNILLDSEFRAKVADFGLARVLARTGAPETMSAVAGSFGYMAPECAYTKKVSEKVDVYSFGVVLLELTTGKGASDGGEHGSLAKWARHHYRSGGSIPDATDRSIRYAGYSDEVEVVFRLGVLCTADLPSSRPAMKDVLEILLNCSEQTHQKSKTERGLEYEAAPLLLPQRGSRRKQLSTAQDLASKRGVISTALSEDA >PAN32513 pep chromosome:PHallii_v3.1:5:56142792:56144395:-1 gene:PAHAL_5G492100 transcript:PAN32513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEEITEGVKNISVAGDAAASGGAAGGEGQKRGGSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRGRPFQKAKIEIVLGKSEKFDELMAAAAEERGEVEDGEEQA >PAN33005 pep chromosome:PHallii_v3.1:5:58559118:58562365:1 gene:PAHAL_5G528800 transcript:PAN33005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGSSLTSVAPEASPAPAAPPGTGTGSNAQVLYVFNRNGVCLLYREWHRPLRTLDPTQDHKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFMESPSGIKLILITHPRTGDQRDSLKHIYNLYVEYVVKNPLYAPGTPIKCELFNKHLDQYVRTLI >PVH38615 pep chromosome:PHallii_v3.1:5:23877700:23878834:1 gene:PAHAL_5G302600 transcript:PVH38615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPDGEEEASGGSVLVPMDNAAARAEVVVRIDKEMLHCPLCTLSLKPPIFQYGIGHMAYGSATASPPPTSATPAMAAASFEDVRLPGFRRLQQGVRLPGLHRLQLDRKSTLSAVRSWPWSQTSPKHCLSSAMEPHDPLPSAAA >PAN29460 pep chromosome:PHallii_v3.1:5:14091875:14092650:1 gene:PAHAL_5G228000 transcript:PAN29460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVPVCVQCGTRSNPCRCKVLGPTLGFVAFVVAGVIEWPLGAAVYLFRHRKGRRIMAHPATVVYPRITSAIPI >PAN30895 pep chromosome:PHallii_v3.1:5:37670151:37671128:-1 gene:PAHAL_5G344900 transcript:PAN30895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFFGFGGRRSAYHGGGGRFEQTYRCYPASSAARPHLEAGDKVILPASALHRLASLHIEYPMQFELRSCSNADANDDSGGGGGATGAEASAPRASHCGVLEFVADEGTVVMPGWMMENLRLQAGDAVRVRSAALPKGTYVKLRPHAAAFLDVSNLKAVLEKTLRAFSCFTTGDTIVVAYNNRSYLIDIVETRPATAVSIIDTDCEVDFAPPLDDKETEKPQQPSPAEAGEDNNAEVKDEPPEFKPFTGSGIAARSGAPSGSNGRGKQQTSAAPVASGASCSSARQKTGKLVFGSSASNTNKEAQKAKDEPKFQAFTGKSYSLKK >PAN26973 pep chromosome:PHallii_v3.1:5:3170222:3171343:-1 gene:PAHAL_5G050700 transcript:PAN26973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAKRLLLVALVVAAAAALCRAMEFDEKDLATDEALWDLYERWQAHHRMHRHHGEKGRRFGTFKENVHFIHAHNKRGDRPYRLRLNHFGDMGREEFRSTFADSRINDLRREPRARPAVPGFMYEDVAELPQSVDWRRAGAVTAVKNQGKCGSCWAFSTVVAVEGINAIRTGRLVSLSEQELVDCDTEENGCQGGLMENAFEFIKGHGGITTEAAYPYRARNGTCDSYRTRHGQVVVIDGHQAVPAGSEEALAKAVAHQPVSVAIDAGGQAFQFYSEGVFTGECGTDLDHGVAAVGYGVDDDGTPYWVVKNSWGPGWGEGGYIRMERGAGDGGLCGIAMEASFPIKTSPNPAQKPRRALLARDASASAASSQ >PAN28900 pep chromosome:PHallii_v3.1:5:11156089:11163182:-1 gene:PAHAL_5G185200 transcript:PAN28900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHTSCKDRLYAAGLGSFPFIFSKKIVFFHLQMWPISKGPMFFFLKTSRLLHAVGGTRTSVHASKPHGFPEILLAVGDPNKNPCPPDPPNLPTRLRDTDAPPPTQSHPHPSELSGPAISRARPVGPSHVLPGSAQPRIRLAADPPRVALASTPRAWRLCLATSDAPAASGATGAAENTPRRSAWPAQAFFLRRRGAGPCKKAIRRAPAPASCPQPLPLARPIRPPGDSPPPAPVPTPPCCPGRRLPWPGSSSIQTPSARPASRCRSRCQRGRHPERSHRRSPCRACFPTHSTTTRRRRIIVIPSRHRRGGNLFYFSSFSFLPLPFSGRADINHRPTTSLARPVAAPAALLGRSGSGGPPPPRGLATSIPPPSRRASSTHPTNRMERARRLANRALLRRLLAAAGSNTSPAPSRGISTLAPAPPGKQRPRARGAHQHAQDRQVSASALQPSDTFPRRHNSATPTEQAAMASTCGFNTVDALIDATVPAAIRAPPMHFAGKLDEGFTESQMINHMQRLASMNKAYKSFIGMGYYNTHVPGVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNFQTMIADLTGLPMSNASLLDEATAAAEAMAMCLGIVRGKKKTFLIASNCHPQTIDVCQTRAAGFDIKVVVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTTLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKAIADRVHGLAGTFAHGLKKLGTVTVQDLPFFDTVKVTCSDARAIAKEAVKNEMNLRVVDKNTITVAFDETTTLEDVDKLFKVFNNGKPASFTAESLAPEVSSSIPSSLARGSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANLHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGAAGEYAGLMVIRAYHHSRGDHHRNVCIIPVSAHGTNPASAAMVGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLASFLPSHPVIPTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKATAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIENGKADTLNNVLKGAPHPPQLLMSDTWSKPYSREYAAFPAAWLRGAKFWPTTGRVDNVYGDRNLICTLQPASQVAEEAAAATA >PVH38717 pep chromosome:PHallii_v3.1:5:33819166:33820528:1 gene:PAHAL_5G333100 transcript:PVH38717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLCTGTDNIQDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >PAN26385 pep chromosome:PHallii_v3.1:5:508781:511998:1 gene:PAHAL_5G006600 transcript:PAN26385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRLVHHHGLNQLMGLWALMAPKPPLLRALLLLPPRSSRPRATHTAMARRRGSKGPNPDLSRTLTDCTRRGDAAAAMAAFDDAVSDSDPDAPTRLAAHQYNQLLHLLATADRSSFPNPAAAARRVFDHMLQAGAPPSEATITSLARVIAAPDTDNPASAADEAFQLVATMKEKHGLAPRLRSYSPVLAAFRRAGDASKAYAVEAHMAASGVSPEEPELAALLDVSSRAGDADKVYEYMHKLRQTVDCVSEEAAQVLEGWFRSDKAAMAGKAEWDAAQVEDVIVLNGGGCHQLGWLGTGPWTVQRVRAGADGQCGGCKCRLACVDIDMEDTQRFADSAAGLALERETKANFSQFQEWLEENKEYEAIVDGANIALYQQNFAEGGFSLTQLDAVITELRGRYHGKWPLVILHNKRIAKLMENSSNRHLIETWRANGALYTTPSGSNDDWYWLYAAIKLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHRVKYTFNKGKAVLVMPSPYSSEIQESEVGSWHVPMEERSGDERVRIWLCIGRTGTCKVPDKIPTANGVVQEVPQNGASNWVRQSLQEDKAESITGKRKDRE >PAN32364 pep chromosome:PHallii_v3.1:5:55588121:55592235:-1 gene:PAHAL_5G481700 transcript:PAN32364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHAIKLPQVPAVPFWCTILLLLCLLLSCSQAKSACKCPSSDQDPSNMYTLSNTFDNLHSYLLPANSSSTFPSAGLGSYKKLPTSKLNLSEPGLLILHDQLIKLWAEPTSGNWTTIREASFSVEFTMSIYQPNNQKTPGGGNLIIFAIIPADFLYAYGYGNGSFAIATQLNSSACDRFEPIVDATKLAGNQFTIMAGRYHQMILNETVMCVGIDIKPLGAVDSIKTKYSVSIDYNSIGHNMTVQVSVVDGGDERKQSSGSKQRVSFSNIYSQFGFFSFYSSMGQLFQLDTLNSTVERLSETIPHKKKWTTTIILSSVLGSAAATVVTAAVVYCYFNSKYRGWKKELDQLAKSMQLLPGVPTQFNFNDIRRATNNFHETTKLGRGGFGAVYRCRHPGPKKSEALEVAVKKFSRDDNRRYEDFLAEVSVINRLRHKNIVPLVGWSYNKGEPLLIYEYMPNGSLDQHLFGRSGGKQQQPTQINRWDTRYNMVKDIATGLHYVHHEYERRVLHRDIKANNIMIDSAFRGRLGDFGLACVVAEGKNSYTDIGAPGTLGFRAPEYVHSGKATTKSDIFAFGVLILEIVTGKAAVDAQFCHVTDWVWRLHKEGRLLDAIDHPLLTTEFDASDAKRLLLLGLACSNPNPSDRPTMVEAVQIITKSASPPDVPLEKPRFVWPPEGLSLSPDDSTELSSLGSSLTVGIEMTAGHGSPENGGNCLHHRPITPMAGPSQELFSIYHTSCRVANER >PAN27441 pep chromosome:PHallii_v3.1:5:5013845:5014987:-1 gene:PAHAL_5G081500 transcript:PAN27441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRALISFQLTATLLLALLATSHAGGIAIYWGQNTGEATLSATCASRKYEFVILAFVFQFGQGRAPKLDLSGHCDASSGGCAVLSSDIRSCQRRGVKVLLSIGGGAGNYGLSSPADARLVAAYLWNSYLGGSSSSRPLGDAVLDGIDFDIELGSAKFWDNLAKDLKDMGKNAGTAVLLSAAPQCPFPDQWDGGAINTGLFDFVWVQFYNNPECQFSSGRSAFLDAWKQWESVPAGKIFLGLPASKDAAGTGFVPAGQLTSQVLPLIKGSSKYGGVMLWSKFYDDRTGYSSAIKSHV >PAN30607 pep chromosome:PHallii_v3.1:5:31846297:31847039:-1 gene:PAHAL_5G324500 transcript:PAN30607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTTSSGGRSAARCRGSSGCSSEKRCNFVGSGGVPTENAWGRNWSVAQRTLAHIYTLWIVSRNRFLRETR >PAN28126 pep chromosome:PHallii_v3.1:5:7907965:7910472:1 gene:PAHAL_5G132600 transcript:PAN28126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLVSVDRFCAGSQAYFLTHLHQDHTRGLASAGGWRHGPLYCSPTTASLLPTRFPGIDASLLRPLAPGASASLSLSSLTSDRPLSLSVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWERGCDRARRAKQALLDALGGDTVDLLYLDNTYCHPSFNFPPRPVVAEQMVNIIRAHPDHEVIIGVDTLGKEDLLLHISRALQTKIWVWPQRLLTIHLLGIDENHEIFTTQTSLTKIRAVPRYSVNIESLEALNTVCPTIGIIPSGIPWLLKSSEGKAKPKGKSPAKSVRCKGRDEGLVEMDFDPLSPPKLFDKDSYTLPYSEHACFSELEDFMQTVRPSTVIGIVSTSFCYVNPHHHFRHLCSDNNDDGTPIKNKGGDTANLTPKRRQNSSATPEARKVRISSSSLYRSKVIRKRKEGCGARIDDTEELVGVA >PAN30197 pep chromosome:PHallii_v3.1:5:33717644:33726410:1 gene:PAHAL_5G332200 transcript:PAN30197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPPRWARGDVSIESLARPVAVDHRITIQYYFRIADNLLRQADVYREEKNLLDLYIILLRYSSLLLETIPKHHDYIAFKAREKEFLKKGPHNSKKLRNVLNELESLKPVVQQQFANRNSGGAEEPNGVPGTYAASSGMEQYIPSPYMPKSLAGSPIGLQQKSFSSSNHQAASVQNIQPERQLIKPYSTLPYPKEETLSRHSVLGPNGLHGQWAGPVTGIRIEYPCNPELTQTDISSLVPSILNQDSLHGPITVSQDKNDDMLSVLSLDDGRWSLPVEEPASVSPGLEAEFSQLNIRQSSPPPVLAQVHSQRGPIPPSRVADPRPGLATSDTGRYQNLHVPVALMECFLRVAEANTEKNLETCGILAGTLKKRTFYVTTLIIPKQKSTSDSCQATNEEEIFEVQDKGSLLSLGWIHTHPTQTCFLSSIDLHNHYAYQIMLPEAIAIVMAPTDTTRKHGIFHLTDPCGMGVIHDCDATGFHPHEEPLDGTPIYEHCSHVYMNPNVKFEMVDLRAA >PVH39454 pep chromosome:PHallii_v3.1:5:56927895:56930643:-1 gene:PAHAL_5G503900 transcript:PVH39454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRTYFQFCYTERATSMEQMTRIGPFDGGGGQQNKHTSSSKKIRKHPGIQRKLMLGSPCQSKICVYDIKITIWFDLKIWGDPVRRENNSPGSSQNMTPEWSPSMQDRCRIAWLCISASQARPCQTSKSLTLHRSVSSTTSSTPNEIPCSVPDLEPGLSCLTLCSVSFALSFLWAVSSLINDARNLVESSLAGTVSTRGKTIEFILSFSFVVSRSLSLGSIEAEATSKWTIQHGSWFILY >PAN26981 pep chromosome:PHallii_v3.1:5:3213584:3214608:-1 gene:PAHAL_5G051100 transcript:PAN26981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIPSPRRLFRSRSRSTAGSSAGADICAMVAEHEKIEWEVRPGGMLVQKRRTPEEDAAAVEYILVRVATGWQWHDVSIDATATFGDLKVMLSLVTGLWPREQRLLYRGKERDDCEHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIQV >PAN31222 pep chromosome:PHallii_v3.1:5:50270178:50273419:-1 gene:PAHAL_5G405200 transcript:PAN31222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGEGGGEGWEAAVRAEMGAAGWWDDPDSADLRARFKAFTGQRRDWPHPTLLFWKDLLLRVARRLRRCSAPAHLVTSAWFARPGGLTPLCLPQVLEEMRADEDILLKSELIDPSTGSLYQLVRRVSQMAISSRRPVSQEDILVFKSLVEERAADIARQLSDSHWTSTCVVTMCRFNSFFCDQDDAHAALCFLTQSGKARYLVARKQDPIEGVKFALNSAQVPAVSKLDHDTLHLVWTEERLQQQLDVLDRRWEISRRRALASFKSGDKQGAYRYVRQSKVFSQSRNRCTQLLERVEEVISLIASAESTKKVYEAIQIGIQAMKENNVSIEEVNVHLKEVDELVAAQREVDAAVESVPLHSIDDEGDIEEEFRKLEAELQNEIPRIQVQEPMSHPDEESPDEVVESLSNNLSSIKLEAI >PVH38614 pep chromosome:PHallii_v3.1:5:23788880:23789407:-1 gene:PAHAL_5G302200 transcript:PVH38614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNSAANRRHSVSRAKRKCGHDTATQGTSISGLRTI >PAN32393 pep chromosome:PHallii_v3.1:5:55713950:55717654:1 gene:PAHAL_5G484200 transcript:PAN32393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVSLKWISNASTRRATYKKRCKGLMKKTSELATLCGVKACVVVYGEEGGAQQPEVWPSPPEARRILQKFKAMPEIGRFKKAQSQEDLIQSRISKLRDQVGKLDLANREHETSRLLHESMDGRRPGLVCTNVEELANLRWMVETKMARVKELLQQQIASQVALPKHPASSSAHPQASYAAEMQALAESDDLQPLQQDWPTYPVPSEGELLGDVVCNAIASTSNGCASPSSNGGDMTQTPNLDFCSGFPWAQDVFPLSTME >PAN29980 pep chromosome:PHallii_v3.1:5:16995279:17000745:-1 gene:PAHAL_5G263500 transcript:PAN29980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSKESCPSVKNILLLDSEGKRVAVKYFADDWTTNASKLAYEKSVFTKTLKTNARAEAEITLFDGYIVVYKFVHDLHFFITAGDDENELILASVLNGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIIDGGIILETDANTIAGKVATNAVDGSVPFSEQTISQALATAREHLARSLLK >PVH38581 pep chromosome:PHallii_v3.1:5:22028629:22029111:1 gene:PAHAL_5G294700 transcript:PVH38581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGRGGRWSARCHGKLRRESMRGSCWSSTLYTNFAPLNQPARTLKHINGPKLTCAQDRHPWKNHAGGRTHPTSPPLPGPPQAPPTPPDVPPASSAPSAAGRRRLLLSLRPTSPPPRPPPPPPSPAPYVPASLPGRICTAAHRGRLAGPPFRRRRAGAA >PAN32582 pep chromosome:PHallii_v3.1:5:56537976:56540517:-1 gene:PAHAL_5G497900 transcript:PAN32582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMVSDPAPDSSGGAGGGEARPRAGAALFAVPRLFVGFAAKRPSDGESSRSPTSPLDPKALLLRSPRSPRTWGAPGLVDALAGDATNCLLSPRLRLKSYSSLPKDCGGGYWHPELGKTMSCPAPDTAAAAGMSVPCSRFHHGDLKSGPEATQSDGANLSNGKRHSFDLGKLPGPGSLPASIAAGAPRFIGSVSASEIEQSEDYTRIIARGPNPKTTHIFGDCILEPHTVGDSEEADMEVEEGAAGCYFVVKRAAGAAPADFLRSCFTCKKKLEGNDIYIYRGEKAFCSATCRDQEIQLDEEAENNTASISPRSSCSSIHEDIFMAGMTVTT >PAN32581 pep chromosome:PHallii_v3.1:5:56537238:56540620:-1 gene:PAHAL_5G497900 transcript:PAN32581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMVSDPAPDSSGGAGGGEARPRAGAALFAVPRLFVGFAAKRPSDGESSRSPTSPLDPKALLLRSPRSPRTWGAPGLVDALAGDATNCLLSPRLRLKSYSSLPKDCGGGYWHPELGKTMSCPAPDTAAAAGMSVPCSRFHHGDLKSGPEATQSDGANLSNGKRHSFDLGKLPGPGSLPASIAAGAPRFIGSVSASEIEQSEDYTRIIARGPNPKTTHIFGDCILEPHTVGDSEEADMEVEEGAAGCYFVVKRAAGAAPADFLRSCFTCKKKLEGNDIYIYRGEKAFCSATCRDQEIQLDEEAENNTASISPRSSCSSIHEDIFMAGMTVTT >PAN30102 pep chromosome:PHallii_v3.1:5:18173403:18175500:1 gene:PAHAL_5G273700 transcript:PAN30102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNFTEKGKEYPGKMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLLKFFPSVYAKEQEVVETNQYCKFDSTLLTLFTSSLYLAALVASLFAGYITKSCGRRVSMLGGGVIFLAGAILNGLAQNVAMLIIGRIFLGIGVGFSNQSVPLYLSEMAPAKMRGMLNISFQLMITIGILAANLINYFTAKIPGGWGWRVGLGLAAVPAVIMVGGSIFLPDTPNSLVARGKPEKARVMLRRIRGTDDVDLEFDDLVAASDATEAIAKNPWSTLLQRRYRPQLAMALLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMIATFVSIATVDRLGRRKLLLQGGTQMILAQFVLGTLIAVKFGTSGVAEISRPYAIGVVFCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSAVVVFNMTFTFAIAQVFLMLLCRLKFGLFYFFGAWEVVMTLFVYFFLPETKGIPIEEMDTIWGQHWYWKRFVDDGSSNKVEMTSTAV >PAN32488 pep chromosome:PHallii_v3.1:5:56065431:56070544:-1 gene:PAHAL_5G490300 transcript:PAN32488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47760) UniProtKB/Swiss-Prot;Acc:O82244] MAQAEKKRAPRTATEAVERPSGTSDRRPLYFAALLVLADAALVALIIAFVRYTKIDWDAYMSQVDAFLEGERDYTKIDGDTGPLVYPAGFLYVYSAIKFLTGGQVFPAQILFGVLYIVNLSLVLLLYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTLLHAAMVLIIYHKWYLGLIVFSGAVSVKMNVLLFAPSLLLLMVKAMSIKGVFFALFGAAVVQVLLGMPFLLSHPVEYISRAFNFGRVFIHFWSVNFKFVPEKLFVSKELAIALLILHLTTLVVFAHYKWLKHEGGLFGFLHSRFKHAKSILKLFSSQPRPSILSKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTHFPTPLRIILFLGVELCWNIYPSTAYSSLLLLFLHISILLGIWFSPTEYPYNDKRT >PAN32487 pep chromosome:PHallii_v3.1:5:56065721:56068884:-1 gene:PAHAL_5G490300 transcript:PAN32487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47760) UniProtKB/Swiss-Prot;Acc:O82244] MSQVDAFLEGERDYTKIDGDTGPLVYPAGFLYVYSAIKFLTGGQVFPAQILFGVLYIVNLSLVLLLYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTLLHAAMVLIIYHKWYLGLIVFSGAVSVKMNVLLFAPSLLLLMVKAMSIKGVFFALFGAAVVQVLLGMPFLLSHPVEYISRAFNFGRVFIHFWSVNFKFVPEKLFVSKELAIALLILHLTTLVVFAHYKWLKHEGGLFGFLHSRFKHAKSILKLFSSQPRPSILSKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTHFPTPLRIILFLGVELCWNIYPSTAYSSLLLLFLHISILLGIWFSPTEYPYNDKRT >PAN32375 pep chromosome:PHallii_v3.1:5:55655638:55656326:1 gene:PAHAL_5G482800 transcript:PAN32375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKTRMAMAAVLLLLGVLLAGRTSDAAEASSSPQLGSSKSDCEISGTCDIKLAAATDPTRPGKPANTHTPSCSSISQCRG >PVH39047 pep chromosome:PHallii_v3.1:5:51942732:51944413:1 gene:PAHAL_5G423900 transcript:PVH39047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVHGSNKDLHVVQVVSRRLVVASDASIEPHVLSVSNLDLLPQTMQVAMFCIYPKPPAADFAVVVAAFEAGLPSLLNHFFPLAGRIATSPSSGLPEVRCCNQGAELVVGAAGVALAALDYADMSASLGRIQLPYPADVALSVQVVSFACGGFTVAWSTNHLLVDGCALSSLVSAWSELALSGALSRGTRPNHHRAVFRPRAAPSYGAALDEAFTPVRADRQVNVLTWEQNAVGRLYYIEASDVERLREAASRNGRRATRVQAVSAYLWKTLASVVGTADPHCRMGWWVDGRQRFTSPELRAAMRNYVGNVTTFVVREERVEEVVRAPLSDVAAMVREAIAAPAYNEHFQELVDWVEEHKAERYVETAGIGLGSPTMTVSSFASFRTDTDFGFGPAAMAIPTGASATRLCAGFMEIAARPGGDGSLIATAFLWPRLAAALESDKPRVFRPVTAEYLGLSAPQPQVRLSRL >PAN28314 pep chromosome:PHallii_v3.1:5:8640707:8649485:-1 gene:PAHAL_5G144500 transcript:PAN28314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPPEPASSRNAPPAASSSFTAAVAGVGGPNPCCAKLWKKYQKLETSRTALREAVKLLQAENEKMQKENSELSKVCKEERLRGDSAEAARATESDARDILEKEIIELKTQNSSLQQTQNICKHDNELSRISELEEENRKLKQILGEERKKIASEKKKAEEEKSKALEMQKILKSETQKSEEYRRVADMERKVANDWRASCERLRSEANEVRAQLAAQIQRTEEAHKRAETEKQKVTREKKRADAEKSLAEKNKALIEVERKKVSEEKFRADNLFAKLEEQKKLNEHLRTSIQVETRNAIEEKRQADHLFQKLEEGRKQSEYLQRKTNELCAVRDAVPSGKYGRKHVDRASESANVKFLKKKLKLKKEQLKHVKNVSKLDKAKNALIRRELQRLKQDWMQLLGRFNVLDDHLAGGVEGIHVLTELKQHPEIHGLEQKLLLNDSVPAPYFGLQAGMVPFGSSIPREYTLYQLPRESCTRPISGTSSELGPPLGSSHRTKSKSHHRSSRPTSISDEKLMGSQVKDSLFVSSSTDIRKNQNSAVPERRPKDSNDRALPLETLKLPLSGCTEVTDKTLGGDRKRKRTKKSLEPAACLPSKHDLLHLKSRAHAATSNDVLAFEDDPSGLQQGNNNVLCVTEGDMENHRRKYLAVSDKDPPFSFPSKVPSPGGGNGCAGSKFASLLSFEEMIRENCLKLLNFDDDADEEKYRKAKERPLSPSLPIIRPRRTKVPTCAQPGSLGDRTPSNCPASGSDSMRSKVLEVKEPGIQKLAQNCIQLGPSSNRIECSDFVEQLCANDKSNAAANVSCSAGLDGVPTNTSFGSLLHEDVAGNSVASSAKILDNTSRLVLSGSSCSGHSNSILQVQHLSKEVPSKKGSHQIGDRSLGPGLQANVGASETTVTKQSHLDSNSMLGHYCGSEKTQMHVVGFTRTKRSTMVNIFRYWEMLGSQPREHSKESFIDGPLLEKVSADPLLSTDEKVSLIFSLLLWDIRFTEETFADGNFASSAFSLSVKSHLETRWTILRGDQLDVLISLIEDFLLNKEVIVCEKMGQKVFGTSKDHKLDDEAGIQLSVKPAKVDQFIAACILLASICVEVERVDVVLEVSYKVLQMGKTNLLWTLLALHVFGSICGDKFLFPKSCNFLATAIRLVVLLLESKDTSLCLVSSYIRSNKPTTLPSCAHCLFDVDTVSIDGFISSLLDELDLCSLLWNNHAYSNETTRRSSHSGSNELEINCGELCSIFKQGKLAEDSDNGPAGINLCYLTELISLLELFGIYMSCEWIYNNVVVRLLEILELCMCDEYSAALLVLVSQLGRSFIDDAGYEHRRVSELRDKLSSFLAGTSFTKTSSLSVQFSAIGALLSVLPLSFDKIVATQSRQLSGPFVVQARQISEWFVRLSNEHQSLARSFFS >PAN27233 pep chromosome:PHallii_v3.1:5:4214802:4219075:1 gene:PAHAL_5G068300 transcript:PAN27233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMKPLSGELSNSFDTLMVSGGVEIRQAQNGGTETSLTDWKDLPMELLLRIMSIVGDDRMVIVASGVCTGWRDALGWGVANLSLSWCRDHMNDLVISLAHKFTKLQVLSLRQIKPQLEDSGAEAVANHCHDLRELDLSRSFRLSDRSLYALAHGCLNLTRLNISGCSNFSDAALVFLSSQCKSLKCLNLCGCVRAASDRALQAIACNCGQLQSLNLGWCDSITDKGVTSLASGCPELRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANGCVRSQGRGWDAAQSGGGSKDRDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLNISGCLSLTSVHCACALHPHRAGRAILSNHAY >PAN30616 pep chromosome:PHallii_v3.1:5:25000448:25010934:-1 gene:PAHAL_5G306200 transcript:PAN30616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGKETRRRSRKRSRDASPSSDFDSSDSPSSASSPSSSPERRSRSSKRKRSSSSSSHRHRHSRKSSGRSRSSRDEERRRRRRRRRDEERRRRGGDEGSSGSGSEEEEDRAAAAEEAREIVRDILGEFPAVAGELRQLLQMIDSGEGIDISGISDKPLVKCLKKLFRSLRLKESASGAYLLPPKNVPTLDIVGPLLLASSKLTDDKNGKSVSPNREELPSSNFDVQNKDKDDSMAAGLKIVGVEEEPPKRRIIGPAMPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEEVTRILAADTNSPYDVLGVNWKMSTDNMKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRGAIDEKIKKKDEMEQFEIELKAMREAAEWRRLQGISLAGDEELLAGPKEAQAPKRDEWMTTLPPERKAGMPMHSTTSFSMNGKEGRGDTSVWTDTPLDRAQKAQQSYLEAYNKTKAIAEGDDVKSKNLDASIVDKYNTSKRSVSLVQKHRDSKKEKKKQKQHEKEEWEGNHPWKPWDREKDLSAGRQKVALDPENMSQGLSSRFASGAVQRNFL >PAN26976 pep chromosome:PHallii_v3.1:5:3190912:3192788:-1 gene:PAHAL_5G050900 transcript:PAN26976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHPTGQQTTSDYDRATPTNINSGPSARFCDLPPDILYRIASKLPPKEFARTSVLSTDWFRGCMRSACPRLTFDVVAMCKCEMEDLLYTHVWQFVSEVNGVLRKHHGKVVETLQVKINLEHSILAPHIDTWVGFAAISRTKNLVLDLKPVRFWEHDYRYLFPFQLFDRESISRLQHMQLSFVSLDPPSQFKGFPNLRKLHLQTVQVNRKDLEDILSHCCILEWLCLDRCRLDDELTVDSPLPRLLYLRVEFCLTKIRFNAVNLATFEYQGSFIPIDLVQSFKLQSANIEFFENAIFQHRMLISLLNGLPSVQSLTLNVRFQRIEKQWFWDNPLKFTNLKHLQFLMFISSEDVDKILYSLAFLRATPLIEKLEVHFGICCSSWLAEAGPSRRDLSKYKYVHLKHIWITGFRAAKGQLEFLLHLIENAPALEVLLVEIGEYPPCNSCNSWFGGGEPPIEKAMEIARACIHPILSQNVTFDVKE >PVH39177 pep chromosome:PHallii_v3.1:5:53495902:53498642:-1 gene:PAHAL_5G448900 transcript:PVH39177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSCPLPRWDYRDDYYYYHGNSHRSIELARLSYWWAIFMNCSQEIIKYNGSSVKCLSTADSFIYVSIRPFYSATADYFAPSCGFLAVTPLGGPEMLPRNASFLDVINAFEGLNYLDVTKVMREGFALRFPFTTGENIRECVAWSKSDFLNEGTKYRTVDILTVDFDFWLCVAEQFISANRVTSVLLGIICEILAAAMFVLNFIHVLRRYILVPLAVFAFLAHRYWKTRITVNAVERFLRMQQMLVTTRYAYTDIIAVTGHFREKLGQGGYGSVYKGVLLPGGVPIAVKMLGSSSCNGEDFISEVATIGKIHHVNVVRLVGFCSEETARALIYEFMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFIPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAGSSSQAYYPSLVYGQLTGEQVGEISEDVDMHELEKKLCVVGLWCIQMKPRDRPTMSEVIEMLEGDADALQMPPRPFFCDEEMLPEVASYSLSSELNVIEEEDE >PVH39178 pep chromosome:PHallii_v3.1:5:53495989:53498642:-1 gene:PAHAL_5G448900 transcript:PVH39178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSCPLPRWDYRDDYYYYHGNSHRSIELARLSYWWAIFMNCSQEIIKYNGSSVKCLSTADSFIYVSIRPFYSATADYFAPSCGFLAVTPLGGPEMLPRNASFLDVINAFEGLNYLDVTKVMREGFALRFPFTTGENIRECVAWSKSDFLNEGTKYRTVDILTVDFDFWLCVAEQFISANRVTSVLLGIICEILAAAMFVLNFIHVLRRYILVPLAVFAFLAHRYWKTRITVNAVERFLRMQQMLVTTRYAYTDIIAVTGHFREKLGQGGYGSVYKGVLLPGGVPIAVKMLGSSSCNGEDFISEVATIGKIHHVNVVRLVGFCSEETARALIYEFMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFIPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAGSSSQAYYPSLVYGQLTGEQVGEISEDVDMHELEKKLCVVGLWCIQMKPRDRPTMSEVIEMLEGDADALQMPPRPFFCDEEMLPEVASYSLSSELNVIEEEDE >PVH38763 pep chromosome:PHallii_v3.1:5:38425087:38439938:1 gene:PAHAL_5G348500 transcript:PVH38763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDINMMKLFAVSLHGNLTMSTVLFVAPKYIFHVTPKSATAKSDDDPGDDIFGLLCVLLPLIRNYLWMLLSDMGQFKGLDIYYMDNGAIVVIAYAFLLKINLQYCILAPFPISLVTFIIHLCNKIRVKQQHVSESEGKDSKKPEELVAPTHLSRSKDGSETDSMQQVGELERTVVFPFFMLLISAVYGDSSPVIVRLDLPGSSDPNGPDGPPHPCALIGGAPADP >PAN30766 pep chromosome:PHallii_v3.1:5:38445315:38447837:-1 gene:PAHAL_5G348700 transcript:PAN30766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISASAAAATSCRPPRPLLLRPIASSSPHPATTSSSATVRRRPATYSATATVRRRARTRPRTGRSKLPADAGGDYFSGDDGGPGFGASGGGSGGRGGGGGGRWSWNSGFGSGSGGPGDWEPDVPAPRRSAAEAALGVVYELMCLIALSNCTQFAVRRLAGLLAARVAALRFITAVC >PVH39507 pep chromosome:PHallii_v3.1:5:58167384:58170969:-1 gene:PAHAL_5G522600 transcript:PVH39507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGLDQTVELVVIFLSHPTLRENLNERSRQASNKYVVARPVQHEVPAAGVLGSPRARGAAGGRGAGVRGRLQLRGLPDGHRQPLRGRHPGLPRHGAPAVRRDLLRLPHRPRLRRPRRRRLHRAGVGSAAAAAVQGEERDIPPRRQLRHHGRHVAGHALLRGARPRARRLELGLPAHPDQVVPGLEAQDLQLPARVPGLFPAVAVHRGRVRRQRLRLDALRVAAAAGGAHPGPGRRRLHRAGRREADRGGRRGAGGAGAAAHRLLPAVPVHVPQAAGDVRRPERVHQGPEHAVVGAQRRAAAQDRAAPGRAPRRAHRVRRLLHAGDPVRPPRREIWVPEADAARVLRGAGRGRVQLQPDGQVRRPGGVRLRRPLQPLELGRHPPHRGFLRPHRQGMALRALRRPAHPRQQAPRLTSGRQVQSCLHAGNGTGHARLQNS >PAN30543 pep chromosome:PHallii_v3.1:5:21658198:21659654:1 gene:PAHAL_5G292100 transcript:PAN30543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDNYNRIVCELMAEQVRKGNRPNTHLNTLGYNEVSARFFQMTGIELSKTQIKNKWDRLKNDWSIWNKLVRNQTGTGWDIARGVINMDNEWWKKMKMDVPGSGKFKKKPLQNQDFLGEMFGDISNDESDHWNPMSDNPIIPDSQKEFENIDGEGLEEEDNEFMHDWSYREEEDDEVQEVSPVVDNRKRKPRVVLEIPKKPKSSTALQIQEQITKIANSAESFTSRKQAEGVSIKEVMDVVLDCGAEYGSNEHDIATQLFVKKEQREMFLTLPTKEIRLNWLKRRYNDKYGN >PAN27820 pep chromosome:PHallii_v3.1:5:6638320:6640491:-1 gene:PAHAL_5G110400 transcript:PAN27820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANVFFLLLCSTFCFLARRAAGDYGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCFELRCDNAGQSCLPGTITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLQIAQYRAGIVPVAYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSSTGWQAMSRNWGQNWQSNSLLDGQALSFKVTASDGRCVTSNNAAPAGWQFGQTFEGGQF >PVH37977 pep chromosome:PHallii_v3.1:5:8494655:8495326:-1 gene:PAHAL_5G141900 transcript:PVH37977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGIDLETAAAAAAAEPFHDTKAADPHRHAASGGRRGCCSRASCSSPSADDDPSFLLVSVWAGGGTLFALLAIAAMLMWLYPTPSYSVHLTGYEGIDPGRAARIVSPAFNVTLRMNGTACADTALVAVTYSDVALGWARAEPRDCAEGRWAKDLEVVARGGEVGLSRRLRDHMASDWRSGEVELGVTVMMYRLAGWRGTVGEIIPRTFDGKVKMTREVTRTT >PAN26699 pep chromosome:PHallii_v3.1:5:2009361:2014087:1 gene:PAHAL_5G032100 transcript:PAN26699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTTRNMLHHDGKSSSNPCYHPVQYCVGIAAQLEASAAAARQDNRKPSRSPRLAMADDEPTAAAGTSSRGGAGAGDDGDWLQLGLAAAASSSSASSSGDNNSTDPAPPPMELDLFTYDKRNVRMRPPLFPLPLRSYQSYGRGRYRPPAASGSLSAPSLPFMPPFRTSGDAIRVMSPPRRTEAAAGLWLKLQAAPNQVREPILPQIPKSYLRIKDSNMKVEVVMKYLAEKLGLSQSHHQQVYSR >PAN26704 pep chromosome:PHallii_v3.1:5:2009361:2015084:1 gene:PAHAL_5G032100 transcript:PAN26704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTTRNMLHHDGKSSSNPCYHPVQYCVGIAAQLEASAAAARQDNRKPSRSPRLAMADDEPTAAAGTSSRGGAGAGDDGDWLQLGLAAAASSSSASSSGDNNSTDPAPPPMELDLFTYDKRNVRMRPPLFPLPLRSYQSYGRGRYRPPAASGSLSAPSLPFMPPFRTSGDAIRVMSPPRRTEAAAGLWLKLQAAPNQVREPILPQIPKSYLRIKDSNMKVEVVMKYLAEKLGLSQSHHQVELTCRGQLLPPSLLVKHVRDSIWCATAPREGETPLAELTASRRSPAAATTDHVMTLCYSTTRNSKLVLNL >PVH38824 pep chromosome:PHallii_v3.1:5:43086757:43087388:1 gene:PAHAL_5G364500 transcript:PVH38824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVREYVGSTNNNYLLFLYLPTFPRSPSLLVSSAIQAPIARPPASFSLPAAASPACLPGAPQSTAVPPARRRGRASATAHPLRCGLADTQHSSSRGAPPSASCSTAGATANRLATASSNSLSRRHSSWLWE >PVH37768 pep chromosome:PHallii_v3.1:5:5305663:5316339:1 gene:PAHAL_5G085600 transcript:PVH37768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKARKLQMNHAEVSGLLSVGMHETDVGEETYAVEYRKMDQDTSHETTKFDVVMATSAMYTDNINTNACLDEYHMSGIQPMEEIRFGNAQPFELQSKGVVADSEEESMPSSPDTSSTGYMEQNLQHMYDAMVDKERPVVLSPAFITCDKTLHLEPHFTFSWDGIKIEYMDLDSFEDEKMIALQWEISNIISISCKWAQNVGSALITLLAGPKAETGNAGPVRVQFCLDDSQWPRRQQKIWELGPRYQEIWKEIPSDDFTSEDWSIEPSLFFPRQYFSSTDDFEDIIYPHGDPDAVSISKRDVELLLPETFVNDTIIDFYIKYLTTRIESTEKRRFHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTRKINVFEKDFLFIPVNFNLHWSLIVICYPGEVATFKDADTKLSGKVPCILHMDSLKGSHTGLKDIIQSYLWEEWKERHPESASDNLDKFLNLRFVSLELPQQDNSFDCGLFLLHYVELFLMDAPRNFNPLKIDVFSGFLSGDWFAPAEASLKRSVVRKLIHEVLTGSSQNHPKLACGGEQLDERHQRCSNAEQESANEFLAQRCTAGDPETVCAVRDGTHEIQPSKSICLNDSEEKGVPVSGCMLDTGKVSIVDVQNLQESQVYAPDKDNVCLSSEAEKNEPVIAESNNQLNMRSCAPEEDEVLKGSNCVVTDKEHGEPLFASLDDNQKVSRKTEEEIQAIMVSTSCSISEITAQVIACQEHSFQRSADVGDECFRPSQDVVSVMMLDSSKVDDGPNPERNTAEGDRGDHHEYMDSVTLGDIDKDVADTKCEDNLVDPIIAESAIIENVKEISTTDSVTVENAIIENVKDISTTADDVNHGELYVSSQLLEGNADSGMTGAGSELKIGSPDNGMTGVSTVPSDLKEQDIDKVVAGDCTHESNINAKDRSELEVGNADNSMTGGNTVFSDMNEGNTEQIISGGCTNATDVNADGEDAGNCKYSAMDGAVPCEDETTCIDGVILSMDVPCRTINGPVLEDKSSDAKRPLPDGTCELVDRPCMPKNETSENTSLDGKRPAPDGTCEENDIVVPGDKCMQNDDGQGTDAKIERHYKRRKVRALEKQQSFSGASSLD >PAN26433 pep chromosome:PHallii_v3.1:5:824638:825097:-1 gene:PAHAL_5G012100 transcript:PAN26433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSCIPSLAKVFPKKPPSSSASDDDDDAIKKKQEKQKQHQEKQKKQDDGKKRSNLDGAASTTPYFLFQSRPGLL >PAN31684 pep chromosome:PHallii_v3.1:5:52716766:52718355:-1 gene:PAHAL_5G437000 transcript:PAN31684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKVSGPKKVVAVDDAAVAVKVAKGRWALAVQSFLALSVVALCALLYAPRFFSAPEPHGAIVGFFAPRSTSGASSVEQLGLEHVGLGGGDADREGGGAPRQVLDNQVGSPCSSLTSHSICCDRSDYHSDVCFMAGDVRTDAASLSLLLFPPAAPAGGAAAVAVEERIRPYTRKWDGYITKTIHEVRLRAARPEEAAAHRCDVRHDAPVIVMTAGGYSHNLFHVFNDGFLPLWLTVQHLRRRVVLAVLAYSPRWAGTYGEIISGLSGYHVVDLLRDRRTHCFPGAIVGTRYHDYLAVNSTRLRDSKTIVDFHRFLADAYDEQPKDSSGSQPAATPPGRPRLGIVSRRGRRVIENQSAVARLAASVGFDVDIMETATGAPLSAVYASVSSYDALVGVHGADLTTLLFLRPGRAALAQIAPLGIALLSRNLFGVPASRMGLRYEQYDVSAAESSLSRRYPPGHVVVADPARARREQGGKEWELVEHVYLRGQNVSLDLDRFRETLARMHSRLKEEPQGQVPKSADALPKM >PAN28191 pep chromosome:PHallii_v3.1:5:8195848:8202351:-1 gene:PAHAL_5G137200 transcript:PAN28191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAVQGGGGAADAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDSISDNLSRISGSLSSLSLPPEPSPAASAASASPSAGGRARLYALAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDSAAAARFPLLTHQAQLVEAFRPQIAQRARERLADRRLPVAAHADALAAVAAIDAPSLAPPQALLLFLTSRRAWITQALTGLASDLSSYTSVLCDVARIVRITLGHVGQLFLPALSDMPLFFKTVLEKTPPEQLFGGLPDPDEEARLWKEHTNQLEATMVLLEPDAVAQACTDWLKECCAETFGAIAGGQKLADAIESGELLGSVQRLVRDTLDERDGLEGSLEQWLKSVFGSEIESPWDQIRGLILKDGKDIFEDWMEEAFVRRMKDILHSELDSLGASVNVKESIDSIGANVDPKGAGDFLAYLQKSSKGGGFWFSESKIKKGGVLAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKSILDDLLSFVESHNAAPRLKELVPYLQEKCYRTISGVLKELEAELRKLSALLGTKKEDNDIPAASIIAERALFIGRLLFALRYHSSHVPLILGSPREWVREAGGAAFARLSSPTPRHSRASFDSSMSFTPRRRTFDSPRSPGMQISDSPRKQTIAAAVSLFGADDRSNPRLDELNKTLQSLCIMAHSVWISWVSTELSHILSYDLNKDDSLSSSTPLRGWEVTLIKQGETAEGPLEMKIALPSMPSLYIISFLYQACLEIHKVGGHILDRIILHNFAWELLQKVINIYEKFLVSIESGNSRVSEKGVLQILLDLRFIGDVLSGGKSSSTNTTETQTKQDSLPSIVTKSSFRRKQSQSHAGSAAIEPINKLISKLSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNADSNIMRCSQVPRFKYLPISAPAISSRPHKSSLQSPSGDSGSKGPWKSYSNGDRSTAPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQILCCGWAVSWRSPRKHDAKKTIPVQSGAWTQISGVVKEIKCAFSVFSCLRFDTVHRTKQVLILDDIFADSDHLVDTDT >PAN28189 pep chromosome:PHallii_v3.1:5:8196504:8202250:-1 gene:PAHAL_5G137200 transcript:PAN28189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAVQGGGGAADAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDSISDNLSRISGSLSSLSLPPEPSPAASAASASPSAGGRARLYALAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDSAAAARFPLLTHQAQLVEAFRPQIAQRARERLADRRLPVAAHADALAAVAAIDAPSLAPPQALLLFLTSRRAWITQALTGLASDLSSYTSVLCDVARIVRITLGHVGQLFLPALSDMPLFFKTVLEKTPPEQLFGGLPDPDEEARLWKEHTNQLEATMVLLEPDAVAQACTDWLKECCAETFGAIAGGQKLADAIESGELLGSVQRLVRDTLDERDGLEGSLEQWLKSVFGSEIESPWDQIRGLILKDGKDIFEDWMEEAFVRRMKDILHSELDSLGASVNVKESIDSIGANVDPKGAGDFLAYLQKSSKGGGFWFSESKIKKGGVLAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKSILDDLLSFVESHNAAPRLKELVPYLQEKCYRTISGVLKELEAELRKLSALLGTKKEDNDIPAASIIAERALFIGRLLFALRYHSSHVPLILGSPREWVREAGGAAFARLSSPTPRHSRASFDSSMSFTPRRRTFDSPRSPGMQISDSPRKQTIAAAVSLFGADDRSNPRLDELNKTLQSLCIMAHSVWISWVSTELSHILSYDLNKDDSLSSSTPLRGWEVTLIKQGETAEGPLEMKIALPSMPSLYIISFLYQACLEIHKVGGHILDRIILHNFAWELLQKVINIYEKFLVSIESGNSRVSEKGVLQILLDLRFIGDVLSGGKSSSTNTTETQTKQDSLPSIVTKSSFRRKQSQSHAGSAAIEPINKLISKLSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNADSNIMRCSQVPRFKYLPISAPAISSRPHKSSLQSPSGDSGSKGPWKSYSNGDRSTAPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGVRYDS >PAN27858 pep chromosome:PHallii_v3.1:5:6814983:6816857:1 gene:PAHAL_5G113000 transcript:PAN27858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRVPLRATLSRAGPPSARLLLPLHAHLVVSGRLAASPAALTSLVSLYARAPAALRPAIPLLLAPSDPLPCYNAALSLPHALALPIFRRLRLAHSPDAFSFPPLFSSAPSPPHLLALHGLALRCGLAQDLFCASALLRGCLRFGLADHAHRLFDELTDRDVVVWNAMVNGFAKLGCFDRATECFRKMREEGDVEISSFTVTGILSVCTARADFGRGAAVHGLLVKSGFDKEASVCNALIDLYGKCHKVDDATRVFEALPESDKDLFSWNSMLSALQYSADHVGTMSLFARMRRAALWPDAVTVAAVLPACAKTAALQVGRKVHGYIVTSGLAYDGALDVFACNALADMYAKSGGLDEARRVFDWTRQRDVASWNIMIDGYASHGRGQEALKLFHQMIEEGLVPDEVTLLGALSACSHSGLVEEGKDFLKRMKEEFGVEPQLEHYACVTDMLGRAGRLDEARKVVEEAGDVGAGAWRTYLAACRMHGDKERAQEAARMLMMAEESGSGGWVLLANTYGWEGNFEELEEVRGEMKRRGVQKAAPGCSWVELG >PAN32928 pep chromosome:PHallii_v3.1:5:58151782:58153571:-1 gene:PAHAL_5G522300 transcript:PAN32928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSIIAAVLLVLVSCQLHGADAGTGTKPLFTAIFSFGNSYADTGNFVRLAAPVIPVIPFNNLPYGETFFRKPTGRASNGRIILDFIAEAFGLPFVPPSLDKTQNFSKGANFAVVGATALDLSYFMERNITSVPPFNTSFGVQVGWFEQLKPSLCNTTAKECDDYLDRSLFVMGEFGGNDYVFLLAANKTLEQTRTYVAAIVKAIADGVERLIKLGAKRIVVPGNLPTGCIPIILTLYASPDKADYDRYGCLDKFNGLARYHNILLRREVRALRAKYPGTKIAAADYFRPVVGFLENPANFGFDGRTALVACCGTGGRYNYNATAACGLPGATACADPSRAVNWDGIHLTEAAYRTIAGTWLHGPLAGLAR >PAN27604 pep chromosome:PHallii_v3.1:5:5653697:5654493:-1 gene:PAHAL_5G091800 transcript:PAN27604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVIPFIFKVIAQYKEEGQASFGGFLSDSDEPSPVSSYVLLPGDSDGRHRDERDQQLCPTSVHAEAVTTCTARASPIRCPTLRRRA >PVH39465 pep chromosome:PHallii_v3.1:5:57199110:57199510:1 gene:PAHAL_5G507800 transcript:PVH39465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGIVVAMFLILVAMFFGSLALPARCHRQPLAANSTSLDERKVHLIFCARSLCNYFGPTYDDCYCCPNDARKEYCHVTLEECRANCASCKPRCAP >PVH39533 pep chromosome:PHallii_v3.1:5:58767081:58769074:-1 gene:PAHAL_5G531600 transcript:PVH39533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPEAAESSRARQQAEEPSNEEPQRRRWVALATLTGGPHRAGDQRHRSILPGRDLLLDLHDPPRASTLVLRANLTSFFPTILVADSSSGRLLVKSAWGSARVNRPEYYLCDARARTAMRLPAVPSSELGGPNACPLGGLDLCPRRSMMLVCNVFDDAPHLYLVPLPDGCALQGVEVERNDFHGWQRTREFLDQRRFIRPSEGRLRYVEIRGFNYDTVAAAAEPPNDPTVWMWTLVDLVGPNPNTWELEYEAPFAEIWAHDSYVAAGLPPGKVPNLALVDPDNHGVVYFFQGTRLFGLDVRARRVVACDECVIGDGQQMQGHLSSRFVEAWVPPEPDRTPQVLRYNEEKDRKVIEYVEDWLSHIEWTSQADEGNSSDEPIEGMAPLSEASPDAGHQADEP >PAN26819 pep chromosome:PHallii_v3.1:5:2588908:2590876:-1 gene:PAHAL_5G040700 transcript:PAN26819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQNALHQLMSFILGASAAAVLIFFLTSASSGARFTGISSWANGTTEFDAPAQEASPAGEPAAPAHAEAKGAPAPEQDELERLLRAVADEDRTVIMTSVNEAWAAEDSLLDLFLESFRTGERISHFANHLLVVALDGGALERCRAVHPHCYLLPAAAGRNLSDEKVFMSKDYIDLVWSKVRLQQRILELGYNFLFTDVDILWFRNPFERMSVAAHMVTSSDFFFGDPYSPMNLPNTGFLYAKSSRRTVGAFEAWRAARESFPGKHEQQVLNEIKVELVSTRGLRIQFLDTEHNAGFCNNTRDFNTLYTMHANCCVGLGAKLHDLGNLLREWRAYRSMDEGERSRGPVRWKVPGICIH >PVH37519 pep chromosome:PHallii_v3.1:5:1422359:1423219:-1 gene:PAHAL_5G021600 transcript:PVH37519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPGLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLTPMPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQDSFRQARDWRIGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPESSAAADEGDAAMQPLTDGNLEDGERGPLTLPAPEEGTPRK >PVH38616 pep chromosome:PHallii_v3.1:5:23887610:23895288:-1 gene:PAHAL_5G302700 transcript:PVH38616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRRFTQLDDDDDDDDEVPIKTKPSGGGGASSGTNPRKPQPPPPQRRRAAADEEEEEEEEEEEVELEEEEDDEKDLEAMRRAEEEERREQEAETQTRRRRGRPKRCREPESEDEDPEEEEPQEEEPREEENTEAVPVGDPVKVTGKGKKQKKHYNSFEYEGNTFELEDPVLLTPEDRTQKPYVAILKDITETEGSLNVTGQWFYRPEEADKKGGGNWVARDTRELFYSFHIDNVPAESVMHKCVVHFIPQHKQIPSRKQHPGFIVQKVYDAVEKKLWNLTDKDYEDNKQQEIDLLVKKTIDRIGQLPDLEPEETPMDNSDHLSNKRVLRKRPANPIDVTREPLVGKSEQFPKAETPGSDNLRNYDILVRYKALYGEKNRDKWLDKLVDCIPLASKESAGASHADPDAATKSSTNVSSAKDVGSADNEKSYAPDVVVQIMVALERSAYEALGNDFLKYNQKLRQLVFNIKNSSKLRSRLMDKELDPPVLLTMSPDELKVGLTSAEKTSEPEESRQLQMTDTRCERCSEKKVGISDIIHAGHGDRYQLECTSCGHTWFSSRDAITALTEDAPSTAGNVGTAPWATAKFDILEKQLTSPRGQPDKPAADPLRKSTAAYMPTLEKQKSFVKPKPGEPSPAVNQE >PAN29903 pep chromosome:PHallii_v3.1:5:16508858:16511235:-1 gene:PAHAL_5G257900 transcript:PAN29903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLTKDVEEVMRVVLTCLPAPPFPTAGGSRPSPSAHGGGGGTEDRISRLPDAVLSDIVSRLPAKDAARTAALSRRWRRVWASAPLVLDDSDLLRALPGGGGGGPARFDWFGTTDAVSRAIGAHRGPIRCVRLTCCSMALAARLGVLEYWLHRLADGGAEDLVLVNRPMPNGLHLPPDVLRIASLRTLYLAFWSFPDTAGLPCGPAVFPRLQEIGLCSVVIDARDIDHLLACSPVLEKLAIVACFFVPSHVRIRSRSLQCLVLWRSLTNELAVVVAPRLQRLILWQEYPCRPDSVFITRIRIGYATVLKVLGYLEPGIHQLQIGGTVIESGTKMIPSAMVPSVKVLALKVRFGIRKEAKMLPTFLRCFPNVETLHVLSREADEPAGKLNLKFWQEVGPIDCLETHITKVVFDRFRGERYELAFLKFILERAQSLLKLVVVLANGDQASVDEMLTKLKSLTTAKRASECPTLLAVAHDGDSAWCFQRASDLSVSDPFDW >PVH38403 pep chromosome:PHallii_v3.1:5:15952813:15956200:-1 gene:PAHAL_5G251700 transcript:PVH38403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFYQCKEVLKIQKFRRMVSYAGFYCFTTLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYLAHLLSHGQLDGSG >PVH38404 pep chromosome:PHallii_v3.1:5:15952906:15954331:-1 gene:PAHAL_5G251700 transcript:PVH38404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPFAHPSSSLSEMDLFYQCKEVLKIQKFRRMVSYAGFYCFTTLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYLAHLLSHGQLDGSG >PAN31464 pep chromosome:PHallii_v3.1:5:51740438:51743398:-1 gene:PAHAL_5G421400 transcript:PAN31464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSTESIFSRKYGLLGKEEAHENAKRIEQLCFASADEHFKKEPDGDGSSAVQLYAKETSKMMLEVLKKGPRITAELEAPVADAPLGPGDTVLDISGGKRAFIEADEAKELLSPLTKPGNSYKRICFSNRSFGIDAANVAGPILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQENLEELYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAVYVAEMVKRSPNLESFRCSATRIGSDGGVALSEALGTCTHLKKLDLRDNLFGVDAGIALSKTLPKLPDLVELYLSDLNLENKGTVAIVNALKQLAPQLEVLEMAGNEINAKAAPALAEFLTAMQSLKKLTLAENELKDAGAVIIAKSLEDGHAHLKELDVSTNMFQRAGARCFARAVANKPGFVQLNINGNFISDEGIDEVKDILKAGKNSLDVLGSLDENDPEGDPDDDDDEEDDEDAKDDDDEDGLDSKLQNVQVEQDD >PAN32588 pep chromosome:PHallii_v3.1:5:56577367:56582956:1 gene:PAHAL_5G498500 transcript:PAN32588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCLLLGVAPRPPPAALRLLLRRRMASSEPTRAFQLRLNPLTGDSEWLIVDEAESEAPAPVHHKQLLAATSYLDMLNDAARNRAYRHAIEATVMDPTSRVLDIGAGTGLLSMMAARALAAVGGEGSRGSVSACEAYLPMGKLTRRVLRANAMENKVKVFHKRSDELRVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDELLVKNPKTVPYGATTYGVLVESTFLWKMHDLHSSEANAEDGVRLTPSGTENILSVKPQQHAMQCDALADEIRLLSEPFKVFEFDFWKRPDSHHETKIEIKATADGHAHAIISWWVLQLDSAGSVFYSTAPRWVRQSSGVDLPQCVHGMNDWCDHWKQCVWFIQGTGTPAMKDQTLSLRASHDQTSISYRLNMNDQVSSRSPKNDHLTLLPERIALYGDKAWRSALIGAVRNAMSGKPSPTCIVADDSVLLALVVSSLLPSSKVISMFPGLRDKGFNYLRAVADANNLSMDRIKVIGKNASSLTMNDLKHEKVNLVVGEPFYHGSEGMLPWQNLRFWNERTLLDPLISEDAFIMPCKGILRLCAMSLPDLWRSRCSLKDVEGFDHSVVNDTFGACGDLPGEQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIKFAHDGICHGFAVWIDWVLEEKNSIVISTGPESRYWKQGVQLLSRPVEVNPASSVMNVEAHFDPEEGELAFKSMFS >PAN32604 pep chromosome:PHallii_v3.1:5:56577367:56582956:1 gene:PAHAL_5G498500 transcript:PAN32604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCLLLGVAPRPPPAALRLLLRRRMASSEPTRAFQLRLNPLTGDSEWLIVDEAESEAPAPVHHKQLLAATSYLDMLNDAARNRAYRHAIEATVMDPTSRVLDIGAGTGLLSMMAARALAAVGGEGSRGSVSACEAYLPMGKLTRRVLRANAMENKVKVFHKRSDELRVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDELLVKNPKTVPYGATTYGVLVESTFLWKMHDLHSSEANAEDGVRLTPSGTENILSVKPQQHAMQCDALADEIRLLSEPFKVFEFDFWKRPDSHHETKIEIKATADGHAHAIISWWVLQLDSAGSVFYSTAPRWVRQSSGVDLPQCVHGMNDWCDHWKQCVWFIQGTGTPAMKDQTLSLRASHDQTSISYRLNMNDQVSSRSPKNDHLTLLPERIALYGDKAWRSALIGAVRNAMSGKPSPTCIVADDSVLLALVVSSLLPSSKVISMFPGLRDKGFNYLRAVADANNLSMDRIKVIGKNASSLTMNDLKHEKVNLVVGEPFYHGSEGMLPWQNLRFWNERTLLDPLISEDAFIMPCKGILRLCAMSLPDLWRSRCSLKDVEGFDHSVVNDTFGACGDLPGEQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKSVNSSFHTTLQIKFAHDGICHGFAVWIDWVLEEKNSIVISTGPESRYWKQGVQLLSRPVEVNPASSVMNVEAHFDPEEGELAFKSMFS >PAN32070 pep chromosome:PHallii_v3.1:5:54365949:54368055:1 gene:PAHAL_5G460900 transcript:PAN32070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLNHQQILIKKALAKKPKCKRISGFGLKPSAALLKAKPQPQPPAPVQPRRRVRVLFEDPDATDSDSDDEEEAGTAKSKRFSFEMFVGKAPAKPVLPAATVAASTSGGTPESYRGVRLRKWGKWAAEIRNPFTGKRQWLGTFDTAGAASAAYLSASRSFAEEKRRRRGQPVPASSPATSASTTPTASSSSSTSAAPFAHPSPSSVLEGTKPAPKPEESSEPVATPVLPSTESSQLPDDPEFYQDLLRGLQLPDIDPMDFRAGLDALDVSDAPFCLDDEQDLLFGDFADEEMDDLDLLDDINDVFPELPGCDLGRGMDDFLQTVDFCV >PAN27362 pep chromosome:PHallii_v3.1:5:4681242:4686591:1 gene:PAHAL_5G075600 transcript:PAN27362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGPFGSGLLLPGGRRREGRGRSLSSLLMAESDGGDAASPSPGPRDGGGASPEPRPPRPQLTKSRTISGSAAASILAADRVGGGGGGGGVGVRDSILVRRSSTAPLPPPPASAAPRRLTVAVDDPSYAAPNGGVLDRDWCYPSFLGPHASRPRPPRQQQQTPTSADHRSANPTVPPRVSVSQREEEKSLASVVKRPALLEERRPLPPPLPPPRAPRFDLSPYLPLLLVVTFTSSALAIWQWIKVMRLQEKIISCSDGNAGDRKDTEKVSWIDRDHGSAFINSGNWNLAPPSTIFALAVPLFLFKYIDQLRRRQTNSMRTRSSEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKVASKAGKILMNPDDEYVLREGDEVLVIAEDDDTYAPAPLSEVSKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREIKLTDGGLDICGLTNIKLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPQRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARDREEIVIGYRLANTDQAIINPEHKAEIRKWSLDDVFVVISKGD >PVH37748 pep chromosome:PHallii_v3.1:5:4681242:4686591:1 gene:PAHAL_5G075600 transcript:PVH37748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGPFGSGLLLPGGRRREGRGRSLSSLLMAESDGGDAASPSPGPRDGGGASPEPRPPRPQLTKSRTISGSAAASILAADRVGGGGGGGGVGVRDSILVRRSSTAPLPPPPASAAPRRLTVAVDDPSYAAPNGGVLDRDWCYPSFLGPHASRPRPPRQQQQTPTSADHRSANPTVPPRVSVSQREEEKSLASVVKRPALLEERRPLPPPLPPPRAPRFDLSPYLPLLLVVTFTSSALAIWQWIKVMRLQEKIISCSDGNAGDRKDTEKVSWIDRDHGSAFINSGNWNLAPPSTIFALAVPLFLFKYIDQLRRRQTNSMRTRSSEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKVASKAGKILMNPDDEYVLREGDEVLVIAEDDDTYAPAPLSEVSKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREIKLTDGGLDICGLTNIKLVHKEGNAVIRRHLENLPLETFDSMSRWRTPLYILIHVLWLHFF >PAN30409 pep chromosome:PHallii_v3.1:5:19509657:19513331:-1 gene:PAHAL_5G280300 transcript:PAN30409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHDHSCEDHNCAADWSLYNHVDIPKVVALNESVPGSVKAVFKPWEQRLDNSGGFLESNEGDPELLVFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGALEYQTRYSRFQGVANLTLHFSDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHK >PAN30410 pep chromosome:PHallii_v3.1:5:19508666:19513714:-1 gene:PAHAL_5G280300 transcript:PAN30410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHDHSCEDHNCAADWSLYNHVDIPKVVALNESVPGSVKAVFKPWEQRLDNSGGFLESNEGDPELLVFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGALEYQTRYSRFQGVANLTLHFSDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >PAN27665 pep chromosome:PHallii_v3.1:5:5938975:5939454:1 gene:PAHAL_5G096500 transcript:PAN27665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDHRSLKSPVLTIVPPPSSAASCGPQRLPPPPRRPPRCCRSPRAAQPLHLAPPPRSRNAVPPPLPPLAAPRRPPARSAPTLHARCDPASQNCRGCPASTSLADSAQAFIREDLTGLVQEGVWRWSALAEKFAMCNVARARRLGSSQHW >PAN29962 pep chromosome:PHallii_v3.1:5:16912596:16914076:-1 gene:PAHAL_5G261900 transcript:PAN29962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPSIPSIKVKVGGVSVAPSHRAYRASFAVIRSSKAEGPIRRPAAPPLSPPPPMPPKTPALSTPPTLSQPPTPVKPAAPTSSEQPRPPPEQKPVEAAAPAAAVQKPVAGAVTLEYQRKVAKDLQEYFKQKKLEEADQGPFFGFLPKNEISNGRWAMFGFAVGMLTEYATGSDFVQQMKILLSNFGIVDLD >PAN32576 pep chromosome:PHallii_v3.1:5:56520585:56522738:-1 gene:PAHAL_5G497700 transcript:PAN32576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVFLAVLVAAAALGAAAAAGEGRPAAVEVDPRWRFPSRRLRDAYVALQTWKRQAIFSDPRNLTADWVGPGVCNYTGVYCAPLPTAPRSHGGGELAVAGVDLNHGDIAGFLPPELGLLADLALLHLNSNRFCGVLPPTLRRLRILHELDLSNNRFVGPFPDVVLDLPALRFLDLRFNDFEGSVPARLFDRPLDAIFLNHNRLRFQLPDNIGNSPASVVVLAHNSFGGCLPASIANMSGTLNEILLINNGLSSCFPAELGLLRELTVLDVSFNELEGPLPPELALMRKLEQLDVAHNRLTGAIPPGICELPRLKNFTFTYNYFTGEPPACARVVPRDSDRSNCLPNRPAQRTSQQCAAFNARPPVKCAAFQCKPFVPPLPPPRLPSPPPPAPPPPSPPLPSPPPPSPSLPPASPPPPSPPPPSPPPPSTPPSPPPHSPPPPAPVHRPPPPPAAPHCPPCPILPPQPPCTPTHPWPPPPPSYPGPLPPTDPVRYASPPPPPHYPGPLPHADPVRYPSPPPPPHHQNPWPSVHPVQYGSPPPPPLH >PAN31950 pep chromosome:PHallii_v3.1:5:53757578:53767206:-1 gene:PAHAL_5G452000 transcript:PAN31950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCEEKPGKSMKKALIHLNIGFVVGVLFVLLTYLVVSQQTAISGFNVATTVAQLIADKRLIKDPGETISTAEVQQPTAEKQLNQGSGVSAEKGKVVYNTKGYYSETCEVDGDVRINSTALTVSLVPASSSEHREWRIRPYSRKTMPDVKMVTVTQLPDKAAAPACTVTYSMPAVIFALGGLTGNFWHDFTDVLVPLFIASRRYAGEVQFLITNMRPWYPVAYKTILQGLSKYDVVDLDDDAHVRCFPHVTVGIHQHRDLSIIPEWSPGGRLAMPDFTRFLREVYALPRAAPASLVRDEPGRRPRLLLIHRGHSRRFMNEQEILRAAEAAGFEAVATDLRRDVTVDEQAKAVNSFDALLGVHGAGLTNAVFLPPGAVLIQVVPYGKMDVIATLEFGEPAKEMGLRYLDYSVTTEESTLLETLGPEHLAIKDPDAVHRSGWNRMTEFYLDKQSVRIDVARFAPTLAQAFDHLRQQ >PVH38734 pep chromosome:PHallii_v3.1:5:35044056:35045063:-1 gene:PAHAL_5G336700 transcript:PVH38734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGEGKEGGPFPASPRAGAVRGGAATVGCGRRPSCRRRRRWGRGRRRAVAVGGVRGRGRPTYRRPSGTGRRWPAGRLHGRPLMAPVACAGARPCTAVHVGGPGGGVRAQGRHGGRGGTTAGARRVRAGQGGGVCATAAVRPRACASDGRHGGRRGTWCTRGYGRPGTGAREGRGGRWPARRVRPGTRAGAGAREQRQGKERGEEEKREKERRKGKRKRKKGEGKERKRERLGKKKKRKEGMGGRKEKEREGKKTRRRRSRRRPRLVGHARAGVRARHEERRRYLRQRSRPVGHARGIRARREGKKEGGRVCVNHGGRSRVGERCGTEQRSGWS >PVH37562 pep chromosome:PHallii_v3.1:5:1973675:1973931:1 gene:PAHAL_5G031300 transcript:PVH37562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYNTRRENLAEKNYKKKNSSLPLTIPCCVSVIINLLFFLTRSRSLSLASLF >PAN32067 pep chromosome:PHallii_v3.1:5:54325702:54331269:1 gene:PAHAL_5G460300 transcript:PAN32067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSHSPVLSYLLWCLLLLTLASSNGAVATAKPQVGSGYKLVSLVQLPNGGGLVGCLQVKQRTSTYGPDIPRLRLFVKHETRERVRVQITDADKQRWEVPYNLLPREPAPPVTGDRVTGTPFTAAEYPGEELVFTYGRDPFWFAVHRRSTRQPLFNTSAGALVFKDQYLEVSTALPKDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYMDLRSLGGRGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFAGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLAKIHAQGMKYIVLIDPGIAVNNSYGVYQRGMARDIFIKLDGQPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCTIPKTHQCPIPDSKTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHKALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGADICGFYPSPTEELCNRWIQLGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYKLLPYLYTLNYQAHLTGAPVARPVFFSFPDFAPCYGLSTQFLLGASVMVSPVLEEGATSVSAVFPPGTWYNLFDTTRVVVSKGGAPVRLDAPLNEINVHVYQNTILPMQRGGVISRAARATPFTLVVAFPLGASQADAEGAVYVDDDERPEMALAEGQATYVRFRASVRGRAVTVRSEALMGSYSLREGLVIEKLTVLGLEGTGKDLAVQVDGADAAAVATSSPYFAAGGSAKLQGEEGEGVEDSKRSVSVEVGGLALPLGKSFTMTWNMQIEAS >PAN29653 pep chromosome:PHallii_v3.1:5:15167712:15171360:-1 gene:PAHAL_5G241000 transcript:PAN29653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRQRLCGLLLALAVAAVLVAAGAQGTCPGAPPRRGARVSVASFGGEGDGRTLNTAAFARAVASVERLRAPGGAELYVPAGVWLTGPFNLTSRMTLFLARGAVIRATQDTPSWPLIEPLPSYGRGRELPGGRYISLIHGSGLQDVVITGENGTIDGQGSMWWDMWKKGTLPYTRPHLLELMSSSDIIVSNVVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDSIAIKSGWDEYGIAYGRPSSDITVRRITGSSPFAGFAVGSETSGGVQNVLAEHLNFFGSGYGIHIKTNTGRGGFIRNVTISDVTLDNVRYGLRIAGDVGDHPDDRYNQSALPVVDALTIKNVQGQNIKEAGLIKGINNSAFSRICLSNVKLSSGAPVRPWKCEAVTGGALDVQPSPCTELTSTSGTSFCTNSL >PVH38959 pep chromosome:PHallii_v3.1:5:49849959:49850755:-1 gene:PAHAL_5G401300 transcript:PVH38959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSPLRRWKRFFPAFGAIDAAIESALACSRDKYRRVRGDLVEKLCDAGDDGGAERAEGLCLLLDQTMVEALQTLRLVPVTPTMLTSTDVAKAVAGLRGHESGRVRGLARRVFDGWRASIEGDLARVRAALETLSRIPQEDETVPVSAPPSAGDARSGREPTVLVANRPKKMPPGVCGAGGDRVRGEKTEDAKRKHTVGYCREAEDVKRHRKVPEMVEQRSTKAHPTIKERSRASCWGSRDERRLSCRGANAQ >PVH37974 pep chromosome:PHallii_v3.1:5:8483922:8485104:1 gene:PAHAL_5G141500 transcript:PVH37974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPCSCRVLRLHHIADIGLARRCQWWLLASLFHSVTSIMITVKESDSLHIDYRSPSQLAKILGPSITLILSIILLLSLVKHYPITQDVLITRFIVGYICIGYLSILLTDFIYLKVTTVMLELILIPVTICTHALRFTTQGPLVEFEMKTLVLALLAAISAVLCLIPLDETFWEHLLKHLALTIATLVAAVFRGLDVIVMLCHATREAANYLRSRLHECF >PAN27146 pep chromosome:PHallii_v3.1:5:3867542:3870898:-1 gene:PAHAL_5G061500 transcript:PAN27146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLPSCSLCLLLLLFLQCQCQASDTLTANQQLSWNQKLISQDGNFALGFFKPPEGSNDKWYIGIWYNKISTQTIVWVANRGKPISDPVLSNLIISDDGNLVLLANHSKSPMWSTDIKTNTSISSTMAVLNNTGNLVLKYGSDNSTVLWQSFDDFTDTWLPGNKLSRNKKTGAIKRMVSWKDRGDPAPGLFSIQLDSNGSPQYILQWNSSIVYWNTGNWSGNAYTGVPELSPTNMYPNSGYTFQFVDNDVETYFTYTVKNDAQTFTRAIIDVSGLFQTLVWTNAAQAWTTFFTQPTAKCSVYGVCGENSKCSENAASSCSCLMGFIEKYPDNWKLDDHTAGCRRKVPLKCGNNGSMQTKQDKFYVINSVKLPDDAHSIDVASVRACELTCLNNCSCIAYSHNGSCWVWYNHLMNLQDNIGGSRDSISIRLDASELPNSGNKKWWIIIIIIGGGGLVVLSFGVTILYFSRRRQRYISGINHGDGSLISFKYRDLQSLTSNFSERLGAGSFGSVFKGVLPDATIVAVKKLEGFHQGDKQFRAEVSTIGNIHHINLIRLLGFCSKGPMRLLVYEYMPNGSLDKHLFGSSCTTLSWKMRYQIAVGIAKGLAYLHEECRDSIIHCDIKPENILLDASFVPKVADFGLAKLLGRDFSRVLTSMRGTVGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNLEHTETSMGTLFPVLVARKLLEGEVQTLFSSELTNGVSVELERACKVACWCVQDSESSRPTMGEVVKILEGLVDVEMPPVPRYLNVLAEGSRSAKSSSY >PAN26858 pep chromosome:PHallii_v3.1:5:2772301:2775859:1 gene:PAHAL_5G043500 transcript:PAN26858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPNEKECAYYLKTGQCKYANTCKFHHPELFNVVPSSRGSPIYPSVHSSASTGPQSYTGTMASWAFPRASFIPSPRWQNPSNYAPMIVPQGLVQVPSWNSYPGQLQSPGSQQTYGTSQQGEASAGNQGMLSPYRSSSFPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSLPPPDCVLSPMGLPIRPGEELCKFYSRYGICKFGANCKFDHPMATPMGVYAYGFSASASPASASTNVPMARRLLGSPSGSGYTS >PAN26859 pep chromosome:PHallii_v3.1:5:2770749:2775859:1 gene:PAHAL_5G043500 transcript:PAN26859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGRASAPAAVTVSATAAPAHPPPSATAASAADTSSPDPSVLYGEGMWEQMAMNSGATMQPGPYPERPGEPDCTYYLRTGLCRFGMSCRFNHPPDRNLAIASARMKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPNEKECAYYLKTGQCKYANTCKFHHPELFNVVPSSRGSPIYPSVHSSASTGPQSYTGTMASWAFPRASFIPSPRWQNPSNYAPMIVPQGLVQVPSWNSYPGQLQSPGSQQTYGTSQQGEASAGNQGMLSPYRSSSFPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSLPPPDCVLSPMGLPIRPGEELCKFYSRYGICKFGANCKFDHPMATPMGVYAYGFSASASPASASTNVPMARRLLGSPSGSGYTS >PVH37810 pep chromosome:PHallii_v3.1:5:5844865:5845242:-1 gene:PAHAL_5G094500 transcript:PVH37810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPRPLRRRPGSRTTRRRSRTSCWLTSTGCSWPRTSTISSITTRSTPCCSPPRSRSSRSSPTSPRAPAGARSRAPTVAASPTPAAASAPAAAARRRPMASAPCGHRRSTSPRRRSCSRRSCIRI >PAN32757 pep chromosome:PHallii_v3.1:5:57380909:57386509:1 gene:PAHAL_5G509700 transcript:PAN32757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPQTLALAGLILLNFLLTAAALYVQLRRLVRTRPRPPQQQQPLPQPPGTEAAAHEPPAPAEGEGEEHADEGGREKQRRRRRARRRRQQQEEGVDGGGGGGDGDTAASAPSAKSAGREGKAEKEKEQLLPRRRPQFPLAWVAGALQRRINARYDDLARASEARCLTIEQVNEFLNCLIDARNELLQRCENVQRSFKIKKAMLSNHRNYRSSYDRLFEQVRRLEAERDNLKKDAAIYNYIQERLQKSVPYKMIMELSAMEMEAPEISFEELLAKEKEDTAFWQRNGEMRSISSK >PAN28225 pep chromosome:PHallii_v3.1:5:8336040:8337653:1 gene:PAHAL_5G139000 transcript:PAN28225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photosystem II reaction center W protein (PSII 6.1 kDa protein) (Fragment) [Source: Projected from Oryza sativa (Os01g0773700)] MATVSAAAATTVVARAAQGLPQLRARSERVRCSYSRDARSAAAAVSARGAGASLLAAAGAVTASAGPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSDLDEDEESGGLSL >PAN30501 pep chromosome:PHallii_v3.1:5:20680036:20681111:-1 gene:PAHAL_5G287500 transcript:PAN30501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAVATAAEGATRIILVHGTGHGGWCWYRVTTLLRAAGHRVDAPDLAASGADARRLRDAPTFEDYTRPLLDALRGLPDGERAVLVGHSFGGMSVALAAEAFPEKVAAAVFVTAFLPDCANPRSHVIEKLALTDWMDSVTDAEHVPPSVFLGPEFLRRKLYQLSPPEDYTLSQSLARVSSYYVADLRGQPPFSEARYGAARKVYVVCEQDRAMVEPYQRAMISGCPVEEVREIADADHMAMFSAPAELAGHIADVANTYA >PAN27815 pep chromosome:PHallii_v3.1:5:6615436:6618032:-1 gene:PAHAL_5G110100 transcript:PAN27815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATSRAPRRPRIRARGPPPAPTPIRTARGARSAAADELVLAEFLEASLRVPDLALPPPRKRFSFPPAPAPPPPTPREVSSQALASGDACDAALRAAISAAAESGAFAVAGAIDAREVRGAVEAARAVFAAPDEAKREQLARWFRRRDPVAGGEEFCWFRPVSPNEDRALGAALPGSTYRMFREKMDTVASKMENVAKNSIRVLSDSVKAPKDSALSRESPSILCLTLHNANKSKTSWNEFGSTDPPNSHALSIQLSGGDRQICLRNQGGSTVFSLPAGSMLVTIGKQVQEWSNGQFKTAVGELLFEMTDEPGPFVSLELVYSPDDLRLPEVGRQARCIDRPTIVSCRDQILAALILLSLFYLFWR >PVH37875 pep chromosome:PHallii_v3.1:5:6615435:6618032:-1 gene:PAHAL_5G110100 transcript:PVH37875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATSRAPRRPRIRARGPPPAPTPIRTARGARSAAADELVLAEFLEASLRVPDLALPPPRKRFSFPPAPAPPPPTPREVSSQALASGDACDAALRAAISAAAESGAFAVAGAIDAREVRGAVEAARAVFAAPDEAKREQLARWFRRRDPVAGGEEFCWFRPVSPNEDRALGAALPGSTYRMFREKMDTVASKMENVAKNSIRVLSDSVKAPKDSALSRESPSILCLTLHNANKSKTSWNEFGSTDPPNSHALSIQLSGGDRQICLRNQGGSTVFSLPAGSMLVTIGKQEWSNGQFKTAVGELLFEMTDEPGPFVSLELVYSPDDLRLPEVGRQARCIDRPTIVSCRDQILAALILLSLFYLFWR >PAN27070 pep chromosome:PHallii_v3.1:5:3572323:3579657:-1 gene:PAHAL_5G056500 transcript:PAN27070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIISEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEQRFYKDLRHENFTLAKVVPCIYRKLLCSCKEHRPLLATSSLCTIRTLLDQRAHDDLQVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCKIGHELREDDEGLRLRSAALQALASMVQYMGDHSHISMELDEVVSVIISCYEVNQTLSIKEVVRLQDDDDLVINGNLAVVPVSGQNSAKVASDTMSVSENPAHWARVCLRNMANIAKEATTVRRVLDPLFRLFDSHDYWSPENGIALSVLQEMQKLMDKSGQHGHLLLSFTIKHIDHKVIAKEPAKQISILKVASSLARHAKLKASVTIASAISDLIKHLRKCMHFAIEASNAHADDGKWNSALHVALEDCLVQLTEKVADVSPILDMIGVILENLSHTATIARSTISSVYRTSQIAASIYKSSYHQKAFPEALFHQLLLAMLHPDNKTRIGSHRVLSTIVAPSLLCPWSAMSFPIPVKSDDLQNLYLLALSAFSSEAIINEIRTKNYIQESLQKNGRSEAILGTGNGCTQTESNARQYLGSPCLNEHHFTAFNDENLKFMKLNNHQIVLLLSSIWSQVSLEDNSPANFEAMCHIYNIALSCSKSKSSSHVALVRCFQLAFSLRRKSLSQENDLQPSRRRCLYTMASAMLIFSAKIADLHQIIPLVKAAAPEKMVDPHLCVMDDCQLVNTSAESSNSEMVFGSEEDESNAQAFLSAINKDDVELIETVMSHFKKKFENLPEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSIYAEKDDHCFDEDGVPSELDDDDDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVASVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTNSHGSTGLDGLETNESSLRSEPELQSTRKGRMRRSDSASSESDCSFRLPPASPYDKFLKAAGR >PVH38157 pep chromosome:PHallii_v3.1:5:11328615:11329613:1 gene:PAHAL_5G187800 transcript:PVH38157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTPWRPGDDPGEHLLLDEGNQRSSLTHGKEASKPGSDSTVVLTKPGLYKPRYHIRSPPVLLETAPPPSSQAYPSTLDERPHRRRLLRWQRHGRRRDARLLARRVGLPVAIKNGDWGCPRPPTRRAGLAVTVGDGDWAGRGHRRDARAAADDARPPPRSLVFRIPSSIASDSLPSFLFTDFDFILRCKDSSRSCFLVPLSACLFPCE >PAN32468 pep chromosome:PHallii_v3.1:5:55988917:55993142:-1 gene:PAHAL_5G488900 transcript:PAN32468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSQFMDKQILGLAASAAPSGGVAGGGGSVDLSDLMIPTPQEDGEDRLRRRRSISSANGIADDVLPSYDFQPIRTTAAAPAPQASWGSLDSKAPSASYNLKSAGILEPHVLKKVSHEEDRSNLATVTIADIDRTMKKYSDNLLHALEGVSSRLSQMESRTHHLETSVDDLKLTIGNYNGSTDGKLRHLENMLREVQAGVQILRDKQEIVETQLQLAKFQTPQTDTQSSENSGSGQVGSQQQPAAPPQVAIQPQHQVLTPSQPPALPALPAPNAPPPPPTLQSQTPSQFPSHLQHPQVPSVPTVASVPSVPALPRDAYYAPSAQPNETMHQQYQAPPVPQPQAPPAPPQQYQTPSQFPQYSQPPQASNVNPSTPLAPPAPQQPEETIPYAPPQNYPLNVRPPSPYMQPPSGPAPPYYGQQNPSMYEPPASRPNPGPPSSYGSGGYGPQGGSGFSESYGYTGSPSHRGNAGMKPSSPFAPSSGGSGNYGSGRLPTAQILPQAVPISSSSPSGSSGNRVPLDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >PAN31914 pep chromosome:PHallii_v3.1:5:53594245:53615981:1 gene:PAHAL_5G450100 transcript:PAN31914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRFFYKRPPDGLLEFIDRIYVFDSCFSTEVLPQGMYPVYLNEILTELHEEHVESSFLAINFRDGDKRSQFADILREYNIPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSSGNNKNIILLHCERGTWPLLAFLLSCLLIYKKLHGAEHKTLDIIYREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPMERALSLDCLILRAIPSFDSDNGCRPLVRIFGQNLLGKNGSMANMIFSMPKKKSLRHYRQEDCDVIKIDIQCLVQGDIVLECVHLDLDPEKEVMMFRIVFNTAFIRSNVLMLNSDDVDILWGSKERYPRNFRAEVLFCEIGGMSPTRAPTATLNGDMKGGLPIEAFSAVQELFNGVDWIESSDDAAYWLLKEFSANSLQEKFQKLILNDMKELSKMQAKVGLQMPLMSPLDSDEEKYSVASDSVCSADHEKVQHGGNSSDSENIDRDLTTEDSESSATLSMNSSSPPLQSQPPPPPHGVPSSLMDSSVRATGPSGPLTKPLQELPSNRLPSASPAPPPPPPPPPQSGRKPASPPPPPPPPPPPPPSGSKHGFSSPPPPPPPPPPQISSVGPPQPPPPPPPPPSGSKHILSPPPPPPPPPPRVSSVAPSQPPPPPPPPPPPPLPNSGAAKQQNVCAARPPPPPPPPPPAQGMANKGPPPPPPPPPSSRKASTTSASTSKGPPPPPPPPPSTSSRTAGPAVPSPPPPPPLPGANKKTPPAPLPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPSTKISNATAPPPPLLGRGRGNTTGSTKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWADAQKQGNQASAPDIDLSELESLFSTASVTNISEKGATRRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMISAIMALDTSVLDNDQVENLIKFCPTKEEIEMLKGYSGNKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSTQVDDLRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASENDGAISIGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARNAEAEKKKLEKEKEKATVSAK >PVH37444 pep chromosome:PHallii_v3.1:5:311728:312411:-1 gene:PAHAL_5G005100 transcript:PVH37444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTNAMSGFMLHRMCQLISNGVRTDRGFKEVHLNHVAKALQEFSGNEVTSTQVYNHLRKWRQRWVRVTKLRELSGTLWDEDTSMITLEEEHYKGHVKAHPKDADVLNKPIENYQQMQIIFGNGQATGKFAMGLVSRWDPHLTLLRAHLMWILMLVLLLVVLLRQPKHMLVMVLVVGHHLRLATRGGGACLVRGTLLS >PAN28670 pep chromosome:PHallii_v3.1:5:10353227:10355452:1 gene:PAHAL_5G170800 transcript:PAN28670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDAVPAAPVAAGASPPIAAAVLPTGAVVIDVEGAPPPAEPPGVGCRICQLGPEDGGGSAAPGSEVIRLGCCCKDELGAAHRRCAEAWFRIKGDRRCEICGSDAKNITGLEVKHFMEQWHGRRMANIQTTEERESHCWRQQPCCNFLLASLLIVFMLPWFLRVNLF >PAN28963 pep chromosome:PHallii_v3.1:5:11446581:11448553:-1 gene:PAHAL_5G190100 transcript:PAN28963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKRWPGCPDEIRRSRIGSFCTSNTDTKQYSTKTAATEEKKMKLKTPHEETMGKKKQSSLAHCICKRARPQKEHKR >PAN33119 pep chromosome:PHallii_v3.1:5:58843613:58846843:-1 gene:PAHAL_5G532400 transcript:PAN33119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGVLLLHPMNAYLEQELDRRCRLHRFWEAPPGAPRDEFLRAHAGSIRAVVGNASYGADAALIDALPALEIVASFSVGIDRVDLAKCRERGIRVTNTPDVLTDDVADLAVGLAIAVLRRIPQADRYVRAGQWKAKGDYALTTRFSGKRVGIIGLGRIGLAIARRVEAFDCPVNYYQRTKQAYPNYSYYPSVVELAASSDVLVVACPLNAQTRHIVSREVMDALGPKGVLINIGRGPHVDEPELVAALAEGRLGGAGLDVFEEEPHVPEALLGMDNVVVVPHVGSGTYETRKAMADLVLGNLEAHVLDKPLLTPVV >PAN28530 pep chromosome:PHallii_v3.1:5:9637897:9639199:-1 gene:PAHAL_5G160000 transcript:PAN28530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVEPLVLAHVIRDVLDSFTPTASMRITYNNRLLLAGAELKPSAVVNKPRVDVGGTDLRVFYTLVLVDPDAPSPSNPSLREYLHWMVIDIPGTTGASFGQELMFYERPEPRSGIHRMVFVLFRQLGRGTVFAPDMRHNFNCKNFARQYHLDTVAATYFNCQREAGSGGRRFRPESS >PAN27156 pep chromosome:PHallii_v3.1:5:3907585:3908943:1 gene:PAHAL_5G062200 transcript:PAN27156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSSSASRRRPLRDLDEGGEALEEGEYVPGRDDSDTDTDDDEELCRLQRRLEGELGRRVSWLEVVMASGRVAPSSWESDRTISDDGSPSAASSAATARSFACHVCGRRFHSPKAMDGHMRVHGNGRQVAAAAAVSGGWAATGKRGWTGGKPSVAVSLNSESTDNHSTAVVAVRPLEPIPMAIAMTSPPATPVVSTGTNLSGEESSSASAEPMQFEPPGATVVTGANNPSSPGAVVHQHAAPPPAAEQAGPVHHPAVLPPAVQQSQLVRHPLAPPRARPAQPAREYSCKLCGKSYSSHQGLGGHAAGHKTRQKEAEAAAAAITMGQEDGGAFLAAFRRGRRAQPPHECRKCHKVFPTGVALGGHMRMHYTGPPIVHRKNKKRGLVSEADLRLALSTATTEAPPPPPAPAAAGRVRLFGIDIVGPQAQAPPPPEEKVSSGTAEGSPPAGEQE >PVH37614 pep chromosome:PHallii_v3.1:5:2956465:2958018:1 gene:PAHAL_5G046700 transcript:PVH37614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSVPLLPRHPDPPPISISRFPPQLLSRLPPPLGRSLLLLLHPPSRPISSHIGVGNGGRGVGAGGRGGGARGGGARGGGGDGDSDGGGRGGQRGAARAPAPGRPAAPVDHGVPARRRHGALRGRAGGALHRALRGRPALQRHRGRGHQQRPDRLHLRGRRQGPLHVVPRGGHQDRRLLRRRHHLQRRRRQEALPARRIRRAAALHARPAPPAPHHTAAAGGLRRIAHGRRRVAMMGRAIRRRRRAGTTRSAVLREDYRRPAGRPASVHIEDSVGIPSVFAYS >PAN28628 pep chromosome:PHallii_v3.1:5:10145195:10147603:-1 gene:PAHAL_5G167200 transcript:PAN28628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGGARRALAALRSGSPSTLSATLSRQAASRSPELAAASLPRASRRRLVISRVPVAALGCVQGSLMPMHSATASALLTSMLGLKPGSWGWLSEGFATPL >PVH37561 pep chromosome:PHallii_v3.1:5:1963374:1968381:-1 gene:PAHAL_5G031200 transcript:PVH37561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MYLSAVGGIKQKATTLTQGQIAGIAIAILIVLFLVQRFGTDKVGYTFAPIILIWFMLIAGIGVYNLTKHDTSVLKAFNPKYIVEYFKRNGKQGWISLGGVILCITGTEAMFADLGHFNVRAVQIGFSAVLFPSVLLAYIGQAAYLRVYPENVANTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQVLGCFPRVRVTHTSTKYEGQVYIPEINYALMILCVAVTAIFQTTEKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFAAILMFIMATWHYVHVHRYNYELQNKVSSNYVAELASRRNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVVISIKYLPISKIEKSERFLFRYVEPTDYRVFRCVVRYGYNDKVEDPREFEGLLIEHLKQFIHEESFYSQGGDHLTEELGDTIEPSVGVQEARLSKSFSDRITTSPPNGSIDEIQTIQKEMEDGVVHMLGETNVVAEPNADFFKKIIVDYAYNFMRKNFRQPEKITCVPHNRLLRVGMTYEI >PAN26682 pep chromosome:PHallii_v3.1:5:1963439:1968381:-1 gene:PAHAL_5G031200 transcript:PAN26682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MAEPQHTSSNGAAHGDADPQYASEKMPPKRLQRLDSLHMEAGKIPGGSTHAAKAGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGINNTDDLLGVMSLIIYTVILLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSRYKLESPTNRIKRAHWIKNKMENSPKFKVMLFLVTILATSMVIGDGVLTPCISVLSAVGGIKQKATTLTQGQIAGIAIAILIVLFLVQRFGTDKVGYTFAPIILIWFMLIAGIGVYNLTKHDTSVLKAFNPKYIVEYFKRNGKQGWISLGGVILCITGTEAMFADLGHFNVRAVQIGFSAVLFPSVLLAYIGQAAYLRVYPENVANTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQVLGCFPRVRVTHTSTKYEGQVYIPEINYALMILCVAVTAIFQTTEKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFAAILMFIMATWHYVHVHRYNYELQNKVSSNYVAELASRRNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVVISIKYLPISKIEKSERFLFRYVEPTDYRVFRCVVRYGYNDKVEDPREFEGLLIEHLKQFIHEESFYSQGGDHLTEELGDTIEPSVGVQEARLSKSFSDRITTSPPNGSIDEIQTIQKEMEDGVVHMLGETNVVAEPNADFFKKIIVDYAYNFMRKNFRQPEKITCVPHNRLLRVGMTYEI >PAN27770 pep chromosome:PHallii_v3.1:5:6346229:6348636:1 gene:PAHAL_5G104400 transcript:PAN27770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPPEALHPPYSPSRADLSPPPPEVYSPYNPEDSPVPDAHLYMPPPETLIPGLQNMPPPEPLIPGLRDELGYAFQPPPPPPLVRASELLGWEDRPSSSTASSSFFPESAAQYRRLLEQTGSRWLRTRRFRSDLPPLSSEARPSGLDAAIRIPAPQHEPAADTAEINKVAANGSELGASEESMEERGKTASTFECNICFEMASEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSDGEKTLEDGKPQGPTIPPRPHGNRLESFRQQFHHMRPISRRLGEAHGILSSWRRLLDQQIMNSVSRFEGPSESAAQEINDSAQHATRLSRLALATRMRARRLQREAESHPDGSSTSPDTGLTGTNASEPSRRGPTPRVSDRFELLEHLTYIGIANTERLASAMSDLRRSAISDLRRIASPSQFGGSASSSNPQNNEPAVDGIHVAGAPSADQASNSSTVAVIQGDAGISESAGEPSNAGSSRSLRRRGRSNALGSLDVDGGGPQRNKRRRMN >PAN27597 pep chromosome:PHallii_v3.1:5:5620386:5623361:-1 gene:PAHAL_5G091200 transcript:PAN27597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFTLNTGARIPSVGLGTYKAGPGVVGDAIAAAIKAGYRHIDCAPLYNNEEEIGVALKKVFDDGAVKREDLFITSKIWCSDLAPEDVPLAIDSTLNDLQLDYLDLYLIHWPFQIKKGSEISPENFVQFDMPKTWQAVEKLYDSGKARAVGVSNFTMKKLADLLAIARVPPAVNQVECHPGWQQAKLRAFCHSTGVHFSAYAPLGRMKVVANNPLVTSIAESLGKTPAQVALRWGIQQGQSVLPKSANESRLKENIDLFGWYIPDELCAKFSEIEQVKQIRNDSFVHPQSMYKTIEELWDGEI >PVH39028 pep chromosome:PHallii_v3.1:5:51857230:51857810:-1 gene:PAHAL_5G422800 transcript:PVH39028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTAGAGGRAAQLGRRAGCTTGVGGQAVRLGRRAGCTAGAGGRAARLGRRVGAVASRQDEAGRRQGRAAGRRQRCCAASGRQVARGGRATRLEGGGHAAGGAAPQV >PAN32906 pep chromosome:PHallii_v3.1:5:58073542:58079263:1 gene:PAHAL_5G520900 transcript:PAN32906 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MRLSTPICYCFPSTSTKPPQLPALTLLPRPSPRLASASGPGSAAWRLGPPPPPSVASAMRRRGRRRAAPPPGAAAGGGEAAAPGSQEKRAGTDLKTLATRFWKVAAPYWWSEDKTQARLRLAAVFALTLGTTGISVGFNFLGRDFYNALADKDQEKFTQQLLYYLGAFAGGIPFFVLRDYAKETLSLRWRSWMTSYYMKRYFNNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTFFNAAVDLISFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFDNLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNESSLSSQNDSIDGINIIFKSSRSSVSSNGSLTQSDPCMVLEIRNLTLITPRSRNVLITDLTLELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGNGDIIYHVRGSMQLQKSNVSSDEPSDMKLEVEEVLQNSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWSEDVHHSPNNDAESTVSTSDGVGAKPEMPSTAELIRVLEAVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDETNEAHLYSQIEAAGITYISIGHRKTLHKFHNKALYISKSEATDSSLRNWELKPTSQISVEESSPFSS >PAN32907 pep chromosome:PHallii_v3.1:5:58073879:58079263:1 gene:PAHAL_5G520900 transcript:PAN32907 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MTSYYMKRYFNNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTFFNAAVDLISFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFDNLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNESSLSSQNDSIDGINIIFKSSRSSVSSNGSLTQSDPCMVLEIRNLTLITPRSRNVLITDLTLELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGNGDIIYHVRGSMQLQKSNVSSDEPSDMKLEVEEVLQNSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWSEDVHHSPNNDAESTVSTSDGVGAKPEMPSTAELIRVLEAVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDETNEAHLYSQIEAAGITYISIGHRKTLHKFHNKALYISKSEATDSSLRNWELKPTSQISVEESSPFSS >PVH39505 pep chromosome:PHallii_v3.1:5:58073879:58079263:1 gene:PAHAL_5G520900 transcript:PVH39505 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MTSYYMKRYFNNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTFFNAAVDLISFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFDNLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNESSLSSQNDSIDGINIIFKSSRSSVSSNGSLTQSDPCMVLEIRNLTLITPRSRNVLITDLTLELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGNGDIIYHVRGSMQLQKSNVSSDEPSDMKLEVEEVLQNSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWSEDVHHSPNNDAESTVSTSDGVGAKPEMPSTAELIRVLEAVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDETNEAHLYSQIEAAGITYISIGHRKTLHKFHNKALYISKSEATDSSLRNWELKPTSQISVEESSPFSS >PVH39351 pep chromosome:PHallii_v3.1:5:55703670:55704575:-1 gene:PAHAL_5G484000 transcript:PVH39351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLPFAVLVSLLATGATADGYGGSPSPLTPPAPYSPPPGTPKPAPYTPPAAPAHGNEKKLVVVRVEGLVLCQSCMQWGSQSLDGAAPLPGAKVTVTCRDKKNRVMSWRSPAADYNGYFHAEFGVERAGDYFGGDPRAACFVRLLSSPDARCNGVTNIGGGMEGAPIRDEGKRWTDQRGIENVVYAAGPLAFKPAMCAPTRHY >PVH38876 pep chromosome:PHallii_v3.1:5:46122622:46123580:-1 gene:PAHAL_5G375400 transcript:PVH38876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVVSSALGYAKSAVAEEVALQLGVQRDQAFVRDELEIMQAFLMAAHEERDDDKHRVLMAWVKQVRDVAYDAEDNLQDFSIHLNLRTPWRRLRARRRVARRMKDLRARVEDVSQRNLRYQLIKTAAASSSTARHPATAAAAASCDNHLI >PAN26540 pep chromosome:PHallii_v3.1:5:1307739:1308962:-1 gene:PAHAL_5G020000 transcript:PAN26540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETVRLIGAFGSPFVHRAEVALRLKGVPYELILEDLSNKSDLLLTHNPVHKLVPVLLHGDRSVAESLVIVEYVDEAFDGPTQLPADPYERAVARFWAQFIDQKFARPFWMSFWTGDGEHRGAFVKEAKENLALLEAQLKGRRFFGGGSIGFLDIAACGLAHWIGVIEEVSGVTLVNDEELPAFCEWANGYVDDETVKQCLRSRDDLVAYFSARKEMYMARARATLHK >PVH37589 pep chromosome:PHallii_v3.1:5:2435527:2438202:1 gene:PAHAL_5G038500 transcript:PVH37589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVEALPPPPETGVSGGPESGRSSPSPTASPEFEFWMVGRNPSAFPAPALLTADELFSGGVVLPLHNLQAAAPDGDGAAAEEGGDEGAGADAKDADSAALPLPEAEAEGEAAAQPLAESGIAPTPDLPAVTFKWKDIFKAGGGEAKDRKKVERRVSSVSGNAELININIWPFSRSRSAGHSAGGATAGSLSRAKPTNPNPNANAGSSASANTNASANASAASAPPAPAPAPRKVSSAPCSRSNSRGESSGPAPAIPSATAVAAAAAAAAAAAAPAPEEDATAAQAVPLPTPTTTSSSASSTWRRLVPGQGRNNNNNASGGTGIRVGRPSPVWQLRRNKLQQTAAEQKHASAKKKATPAATEAGGDDKAAPSVTAPAAGCRNNAGCSEGAAEEGNPPQGLFGLRTFFSKKVY >PAN29296 pep chromosome:PHallii_v3.1:5:13141955:13144577:1 gene:PAHAL_5G215200 transcript:PAN29296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAATAPSSSGATQPQPPPPEQQGASKERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARNPTAPGAVAAAASGGEFRRAKIRSAPSDPLGAKNSGVDARAHKDKLELKAVKDGSASYAALEKKAELYEKLSRGELPDEEDKEKYCVDFYQKGFDRVYEPRMPESRHEASDAVEPVNDHEDSMSNAKPMGLGRAGTTIDRDEHKRFVREVHEEVSEARQKALTVRSRRQEQDAARREKLRQAYLKKRLEKLIAEKQASTASDDLPAS >PAN30623 pep chromosome:PHallii_v3.1:5:25132204:25133473:1 gene:PAHAL_5G306900 transcript:PAN30623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKFPHGKNKGKSKVIKTPTFNKNNNNKSHLKCYTCEDPRHFSKDCLEYVGRKGKKVKSKNVNMVTMGNTEDGYGRPGFFHFDGEWSHTSVHGVNIVDLKFTLGKIM >PAN30021 pep chromosome:PHallii_v3.1:5:17319994:17333491:1 gene:PAHAL_5G267700 transcript:PAN30021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSHVWVEDPTLAWIDGEVVSIKNNEVHVQTSNGKKVTTDRSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDIQRYKLSDPRSFHYLNQSSCIEVDGINDAEEYLATKRAMDIVGINEEEQEAIFRVVAAVLHLGNIDFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCKNLEKALITRVIVTPEEIITRTLDPASAIASRDALAKTIYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTVVHYAGDVTYQADQFLDKNKDYVVAEHQDLLNASSCPFVAGLFPPLPQETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQICTYIAKKQFVELKKSATQLQSFVRGTLARKLYECMRREAAAVKIQKNMRRHKARESYLQLQAAVITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYSHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQLQVEEAKAMVVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATEAAKREHAESERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGNALNGEVKSSPDVTPISLNPKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSSGKPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGSAIESQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRAFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANFVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSDVISNMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDIDMPPLIRENSGFTFLHQRKD >PAN26371 pep chromosome:PHallii_v3.1:5:536398:538852:-1 gene:PAHAL_5G007100 transcript:PAN26371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTSILLQILACALYNNWWPMLAALMYVLVPMPCLFFGGGSTHFLTSREGGGWINAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEDW >PVH38839 pep chromosome:PHallii_v3.1:5:43395458:43395949:-1 gene:PAHAL_5G366700 transcript:PVH38839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPIAAYEVRAPLHWDAEEWDFRYQSEDDESLTDGEDLVLLLGAELEEDKDDAPWGEDLSSSEEKADPTSSEEDPMAGTFLFDRSSDDTSDNRAKAEDDDSFTSSSGGDDDDSHGDSSSSDTSIAPPSKRHKTSDVYWW >PVH39512 pep chromosome:PHallii_v3.1:5:58262376:58263208:1 gene:PAHAL_5G523900 transcript:PVH39512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PAN30089 pep chromosome:PHallii_v3.1:5:18036539:18039461:-1 gene:PAHAL_5G272600 transcript:PAN30089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKTLAARAGSSLIGRLLASSSPSTPLLRAGLPQLARLQAHAPLAPSVDAYEAEAVARLTSVPGEISFPCGLPSLRFFIDDGTAVENPVANEPLLLIKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRLSV >PVH39380 pep chromosome:PHallii_v3.1:5:55927924:55928435:1 gene:PAHAL_5G488200 transcript:PVH39380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSPAPRPSSHLFMIVLVLVLVTASPHSSEATASYSAGTTGFAGVQHGARKLLSGDIQVTPPPPAPASGPPIGPLPTPSGLPPPSPPPPAA >PAN26724 pep chromosome:PHallii_v3.1:5:2081010:2087908:-1 gene:PAHAL_5G033600 transcript:PAN26724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMDSVAVMEVAPVPDPPFIDATSLQQPPPPAPLAALALRRSARCLNRPVRPSYAEQEPPKPPSGRGRGKRKRDEEKQEPAAAQGAKSPGRKASNAEPGERKPKPVIAAVPISCAGAPAAAEDDGMGTGKSAKLRVKETLRAFNSHYLHLVQEEQKRAQAALQEIEAKGGLKRQTKGSKKKGGNQEVEVEEKEKRPSKRPDLKAITKMQETNAVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQGKKGYENLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLKRGNLALKNSRDNGNPIRVIRGHSSKTSYTGKVYTYDGLYKVVDDWVQNGLQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENLPIPATNLVDDPPVPPSGFTYLKSLKITKGIKMPSNIIGCDCEGDCASNKNCSCAQRNGSDLPYVSYKNIGRLVEPKAVVFECGANCSCNHNCVNRTSQQGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEDLDGLQNNYVFDIDCLQTMKGLDGREKRAGSEMHLPNLHPENDSEAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSVVGPDGEIVKLPCHCGAPDCRKRLY >PVH37571 pep chromosome:PHallii_v3.1:5:2080700:2088238:-1 gene:PAHAL_5G033600 transcript:PVH37571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMDSVAVMEVAPVPDPPFIDATSLQQPPPPAPLAALALRRSARCLNRPVRPSYAEQEPPKPPSGRGRGKRKRDEEKQEPAAAQGAKSPGRKASNAEPGERKPKPVIAAVPISCAGAPAAAEDDGMGTGKSAKLRVKETLRAFNSHYLHLVQEEQKRAQAALQEIEAKGGLKRQTKGSKKKGGNQEVEVEEKEKRPSKRPDLKAITKMQETNAVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQGKGYENLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLKRGNLALKNSRDNGNPIRVIRGHSSKTSYTGKVYTYDGLYKVVDDWVQNGLQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENLPIPATNLVDDPPVPPSGFTYLKSLKITKGIKMPSNIIGCDCEGDCASNKNCSCAQRNGSDLPYVSYKNIGRLVEPKAVVFECGANCSCNHNCVNRTSQQGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEDLDGLQNNYVFDIDCLQTMKGLDGREKRAGSEMHLPNLHPENDSEAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSVVGPDGEIVKLPCHCGAPDCRKRLY >PAN27182 pep chromosome:PHallii_v3.1:5:4012780:4017824:1 gene:PAHAL_5G064500 transcript:PAN27182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTFTKLRDAAAPLAASAVRRCSGGSRIRADANCPRCDAHMSVQFSLQQLPAPPPAAAGVVDAAQSHKHDGAGVCPACRAAFLFRAHRIEPLRGAFLEIPGGIGGDEEDADKGGFADRIKRMLSERPPDEFPPLPQSPPMPMPHYPHRRKQNRRRQREEGGGGGGGGGGGNGGESSSGGEGTSASPKREWWGGASLGDELPTPREMCRRLDEFVIGQAKAKKVLSVAVYNHYKRIYNANVQKESAANCEFPDAAHDDQNIVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFVIADATSLTQAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEIDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRSESIQIDTTDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGASSPMVTSSLLESVESGDLVRYGLIPEFIGRLPILVSLAALNEGQLVQVLTEPKNSLSRQYRKMFNLNKVKLHFTDGALRLIAQKAIAKNTGARGLRAILETILLEAMYEIPDEKTGNERVDAVVVDEEAIGSVDRQGCGAKILQGDGALDQYIRRTNVMNLQETNDGLAGELEEAYMLSRIVSL >PAN27200 pep chromosome:PHallii_v3.1:5:4012880:4017484:1 gene:PAHAL_5G064500 transcript:PAN27200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRSRRRRCGGAPAAAGSGPTPIARAATPTCRSNSPSSSSRRHRRPPRGSWMPPRVTSMTGRACARRAERRSCSARTGSNRCGARSSRSPAASGATKRTRIREGSPIGSRGCYRSARRMSFPRCRNRPRCRCRTIRTDGNRTGGDRGRREVEAGAGAAEVTAGSHRREGRVRAPRLRGSGGVEPAWAMSCRRLGRCAGGLTSSSSGRPRQKRCPVLSVAVYNHYKRIYNANVQKESAANCEFPDAAHDDQNIVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFVIADATSLTQAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEIDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRSESIQIDTTDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGASSPMVTSSLLESVESGDLVRYGLIPEFIGRLPILVSLAALNEGQLVQVLTEPKNSLSRQYRKMFNLNKVKLHFTDGALRLIAQKAIAKNTGARGLRAILETILLEAMYEIPDEKTGNERVDAVVVDEEAIGSVDRQGCGAKILQGDGALDQYIRRTNVMNLQETNDGLAGELEEAYMLSRIVSL >PAN29342 pep chromosome:PHallii_v3.1:5:13326272:13327686:1 gene:PAHAL_5G218100 transcript:PAN29342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDTGQGPGKNLSEPPLAAVEVEVEAEDEEDDGFSFPALPLAADACVVPVYPIFGRPPSPPPTGEAGEDEPETATLRVPLGRLLLEEREFRARQEDARSASARAWQEEDGEEASAAADEELEGVPPESYCLWAPGGGPALESAPASPRRCRKSGSTGSVLRWRRISERLVGRSHSDGKEKFVFLTAAAAAGPEPPPPPPPRHKEEEEGGGSTIKVRDGVGAANQLRYYGRGGGGGSGSRRRSYLPYKQELVGLFANISGLRRSYHPF >PVH37985 pep chromosome:PHallii_v3.1:5:8572277:8574871:1 gene:PAHAL_5G143200 transcript:PVH37985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAPLLGSRAADDGHQERRRCSSWLGRVVDTEEAWAQLRFSGPMILMNIFYYGIPLVSVMFSGHLGDVQLAGATLGNSWVTVTGYAFVIGLSGGLETLCGQAYGAGLYRRLGLYLQSSLIMAAAAAALVSVLWVFTEPVLLLLRQEPRVSRAAAGFVRAQIPGLFAYAFLQCLLRYLQMQSVVLPLVAFSAASFALHVALAYLLVGVLGLGIAGASAAVSVTFWAACIMLLAYVLRSEAVSETWKGFSAEAFRYVLPTVKLAAPSAVMVCFEYWAFELLVLVAGLLPNSTVSTSLIAICTSTQAIAYMITYGFSAAVSTRVSNEIGAGNVDKAKNAVSVTMKLSVLLAISFVLFLAFGHDLWASLYSGSAVIVSEFAAIAPLLTVSIVLDSAQGVLSGVSRGCGWQHLAALTNLVAFYFVGMPLAIFLAFKLKFYTKGLWAGLSCGLLCQACSLLVITVRTKWSKIAEAMQHEKANFGVA >PAN31619 pep chromosome:PHallii_v3.1:5:52455185:52457060:1 gene:PAHAL_5G431700 transcript:PAN31619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKLAVLAAGAPPPPRPPYRSPRHRLRHRLPRRSLKTTRSLPGGGRGPPPPPASRRRALPAVSAALFGAGFLLGPILDGIHSRVGLQVYGNGALDVGPLHTHVLVPPLLGAFYLTVGLLHLAIDGRAPPKSKATGSTQKTATSLLVLALFIELSAELYGAGVPSNVESYALFAGAEFAWLFLDGSWLGFALACLVGTVCPLAEIPLIKLLGCWSYPNADVHLLGEGLVSWTTTCYFVYTPFLANLARWLDARLSVADGGAGAEGDDTAPPS >PVH39094 pep chromosome:PHallii_v3.1:5:52455328:52456054:1 gene:PAHAL_5G431700 transcript:PVH39094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKLAVLAAGAPPPPRPPYRSPRHRLRHRLPRRSLKTTRSLPGGGRGPPPPPASRRRALPAVSAALFGAGFLLGPILDGIHSRVGLQVYGNGALDVGPLHTHVLVPPLLGAFYLTVGLLHLAIDGRAPPKSKATGSTQKTATSLLVLALFIELSAELYGAGVPSNVESYALFAGAEFAWLFLDGSWLGFALACLVGTVCPLAEIPLIK >PAN26365 pep chromosome:PHallii_v3.1:5:330686:332044:1 gene:PAHAL_5G005500 transcript:PAN26365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRTCLVVVSAALVAVCAAASVAAQQPTRPQPLPSNYHIITPGKYKRDQQLACGDPNGNKPSCNAKCDKRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKRDQDFCILSDANLHINAHFIGKRNPSMRRDFTWIQALGIRFAHHRLYLGAQKTAKWSSDVDRLELALDDEAVSIPAEAGARWESAAVPGLSVTRTTAANGVRVQLAGVLDIMASVVPITEEESRVHNYGVTEDDSLAHLDLGFKFYDLTDDVHGVLGQTYRSDYVNQLSVSANMPIMGGAPKYVSSDIFATDCAVARFAGISMVTAKAY >PAN29620 pep chromosome:PHallii_v3.1:5:15021962:15024445:1 gene:PAHAL_5G238800 transcript:PAN29620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGISKDVQMAGANAELASREEALPALLIQVPSQAITGFDCVAADAAVSLNELEDRVEELQTSGSAKDIVISIPAAARSYDDAHVPYSVSLSMPASPSGFHLSQFRTASARRVEARVAPAAGGLDVHHPVEEQQQAEAHLHSPRLLKQTRFHSQPILNLHQSKNADDGARRCDSTRDKRFDPFKTFSGRLERQLSNLRGRPQEPDDGASPDSKISEEETDQVPAADRYFDALEGPELDTLRATEVPVLPKDEKWPFLLRFPISAFGMCLGVSSQSILWKTLASEPPTAFLHVSPVVNHVLWYAALALMALVSVIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVLGAPRLVAEMHHGVWYGLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWARINGQFDAGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASVATITYATEVTNVLTQALSIGLSGIATVTVAGLLVTTVFHAFVLRDLFPNDVSIAITRKKPKFSKILAHLRSSSSDMKELVLSLSKPAQSDAGDTETDASVTTTKSRPKP >PAN32284 pep chromosome:PHallii_v3.1:5:55275349:55277936:1 gene:PAHAL_5G475300 transcript:PAN32284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRLGCAILAAACRFIKPIARTHEPCAVLRSLGVLGSCPPAVRHNVSEGPPPVGLPPCFRQGVLSRGHVLGSLRFCHASASERSSEVHASEILRTLKSIGGSDNADLGDALRQFAEKMDEDVVLKVLQKQRSNWQVALAFFNWAAGLPGYAHGSRAYTEMLDILGRMKKVRLMRQLFDEIREERRAVVVTDRMFAVLLNRYAGAHKVQEAIEVFYLRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFHEKKSKFPHVIKSWNIILNGWCVKGSFRDAQRIWNDIVASKVERDLFTYGTFIKAMTKDGRIGSAVKLFNRMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDQRCLADVATYNTLIKYLCKINRMEKVYELLDEMEAKGCPPNTRTYSYILKTTEKPKDVIALMQRMEQSGCKLDSDTYNLILNLYINWKYEKGVQQVWDEMERSGSGPDQRSFTIMVHGLHSQGKLDEALQYYTTMKSRGMIPEPRTKILVKAIYMKKDGAATEDQSANMTGKDLKLDPRSRLFHVHK >PAN29138 pep chromosome:PHallii_v3.1:5:12176391:12177666:1 gene:PAHAL_5G200500 transcript:PAN29138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPQSSPFNSLPLSLMSGPRSSALSSYSHWPRRGGAAAARTLLDWARRPELAAAEPTVVDLAADLMAEGSSRRRTSSRRRTRRRGALDEGQAHEGGYDGARGGGRACGGGRDGARGGGCDGEGEKERSGRLHNRWPMAGR >PAN32905 pep chromosome:PHallii_v3.1:5:58068199:58069945:1 gene:PAHAL_5G520700 transcript:PAN32905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLCVFSVLLLTTPSMVVGAAEPAEPASFVPITRRYDSIFSFGDCYADTGNDVVVFAALSLDNPLARAPYGMTFFGRPTGRNSDGRLIVDFIAEKLGLPFVPPALQAHAGSFRQGANFAVAGAFARDAGLYRDIPVVGPFALNTSSGVQLRWFESLKQTLCRPAECGGFFRRSLFFMGVFGVSDYSFSVFGKTLPQIRSFVPDVVNTISAATERLIKHGASTVVVPGVPPLGCLPPNLAFFPSADPAAYDSRTGCLAELNDLAAYHNSLLQEALQSVRTAHPDARVIYADFFSPVIEMVESPDKLGFRRDVLRCCCGGGGRYNMNASAGCGMPGATVCRDPSTYLFWDGHLTEAAYRYIADAWLSSINDGRGKQTY >PAN26823 pep chromosome:PHallii_v3.1:5:2616889:2619733:1 gene:PAHAL_5G041200 transcript:PAN26823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASINRPPTPSAEEGRDKEPSLEEAINIKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIRSFLMSTSLR >PVH38243 pep chromosome:PHallii_v3.1:5:12657275:12660151:-1 gene:PAHAL_5G207700 transcript:PVH38243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWIKHAMSRISADPNATPVTKVGPWDGLGDYHNNVAGPGAIPVTKVGPWNDLGGFDNNVAGSSAILATNLPPWDGLTMLAPYFYNQFGVAPGEEHNKYYSNSSPLMESGSSLNSGANNYMGIPPGVEAILPQETSAEMPHQPSQTEIISSEVHEKYKTFKRFDTVNDHGDHFYLLNAPAVKTPPKAWVKRIQHEWKVLENDLPDMIYVRVYEDRMDLLRAVIVGPAGTPYHDGLFFFDVQFPYRYPSKPPLVNYRSRGLQLNPNLYECGKVCLSLLNTWAGSGCEKWNPSNSTMLQVLVSIQALVLNAKPFFNEPGHAMYANTPLGEKLSMAYNEKTFLLSCRTMQCSLRNPPKNFEDFVAGHFRNHGRKILKGCRSYMAGAQVGCLIGDGEQDVVDEGGKICSANFNAPLKLLFADLLKVFAKIGVNCAEFQDPGAAKATAGTPSSLKP >PAN29194 pep chromosome:PHallii_v3.1:5:12657275:12662652:-1 gene:PAHAL_5G207700 transcript:PAN29194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSSNFGFGRRGCPAAGSGDGWKSVLDDPDVVEVSAEDAAGCSSGRQKRKLTQVVPSDIIELDADVDPGGVVIIDEKIENHKNKQAAIHMDWIKHAMSRISADPNATPVTKVGPWDGLGDYHNNVAGPGAIPVTKVGPWNDLGGFDNNVAGSSAILATNLPPWDGLTMLAPYFYNQFGVAPGEEHNKYYSNSSPLMESGSSLNSGANNYMGIPPGVEAILPQETSAEMPHQPSQTEIISSEVHEKYKTFKRFDTVNDHGDHFYLLNAPAVKTPPKAWVKRIQHEWKVLENDLPDMIYVRVYEDRMDLLRAVIVGPAGTPYHDGLFFFDVQFPYRYPSKPPLVNYRSRGLQLNPNLYECGKVCLSLLNTWAGSGCEKWNPSNSTMLQVLVSIQALVLNAKPFFNEPGHAMYANTPLGEKLSMAYNEKTFLLSCRTMQCSLRNPPKNFEDFVAGHFRNHGRKILKGCRSYMAGAQVGCLIGDGEQDVVDEGGKICSANFNAPLKLLFADLLKVFAKIGVNCAEFQDPGAAKATAGTPSSLKP >PVH38868 pep chromosome:PHallii_v3.1:5:45001513:45003518:1 gene:PAHAL_5G372600 transcript:PVH38868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPLVVFPWLAFGHIIPFLELSEQLAKRGHFVTFVSAPRNLARLRPVVPEVRPRIRLAPLLLPRVEGLPGGAESTADVPPEKVELLKVAFDGLAAPFAAFLAGDCAGGEAGEGHGRKPDRIVLDFAQHWLPPIADEHGLRATLCTARSRASLPPPPSIFPPPRGLHRRAAVHGPLHPALTNDAWALGLAAWEEATAFTRARGARVPRLPHAPPHGRRGDDAWALDLAAWEEAAAFAGGP >PAN31200 pep chromosome:PHallii_v3.1:5:50180003:50181403:1 gene:PAHAL_5G403900 transcript:PAN31200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 10 [Source: Projected from Oryza sativa (Os01g0287600)] MACSCATHYGALVAVVVAFLVASGGSAGVTAARYGPGQCSPVAALVSEHLYNSLFLHKDDPACPAKGFYTYASFINAARTFPKFAATGDLSTRKRELAAFFAQISHETTGGWATAPDGEYSWGLCFKEEISPASDYCDATDAQWPCYPGRSYHGRGPIQLSWNFNYGPAGRALGFDGLRNPEVVANCSETAFRTALWFWMTPRRPKPSCHEVMVGEYRASAADLAANRTPGFGLVTNIVNGGLECNRTDDARVNNRIGFYRRYCQIFNVDAGPNLDCAHQQPY >PAN28664 pep chromosome:PHallii_v3.1:5:10324326:10327765:-1 gene:PAHAL_5G170300 transcript:PAN28664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWSESEGKRAHDPIFQCFSQNQNHRQPVENCCKERSVDAVVARSERCTCFWVSGPIIVGAGPSGLAVAACLKEKGIGSLILERSNCIASLWQLKTYDRLSLHLPRKFCELPLMPFPADYPIYPSKQQFVAYLESYAARFGISPMYNRTVVHAEYDEQLLLWRVSTRTSGTMGEEVQYVSGWLIVATGENAEAVQPDIDGLQEFPGTVMHTSAYKSGSAFAGKRVLVVGCGNSGMEVCLDLCNHNAEPHIVVRDAVHILPREMLGHSTFGLSMWMLKWLPVHVVDRILLCIARAILGDTARLGLKRPASGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIRRISGRDVEFADGQLEGFDAIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVDARRIAYDIEQQWKAKGTLPDVFL >PAN26437 pep chromosome:PHallii_v3.1:5:841009:845436:-1 gene:PAHAL_5G012500 transcript:PAN26437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MAAAHLLAASTSSSSTAAFRPPLRLRSPTHTPHLRLNRTGRRPFPVVRAAETDAKDAKPKAPEKAPAAGGSSFNQLLGIKGAKQETDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIVFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTTLYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLLSTGKLYYALALLGLTIPQVVFQFQYFLKDPVKYDVKYQASAQPFFVLGLLVTALATSH >PAN30825 pep chromosome:PHallii_v3.1:5:22911165:22913582:-1 gene:PAHAL_5G298900 transcript:PAN30825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTPFSISFPSSLPTCSHVFTALIPLCWWQIHGTNQQNRMRTARRARRGASSRWIGPSPLFPLSYRVWGRPRYLSGFA >PAN29018 pep chromosome:PHallii_v3.1:5:11748220:11750756:1 gene:PAHAL_5G194300 transcript:PAN29018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEEPLLPLVQRDQKYTSKKDIRRSCDVPSRCANSFCPNSNCKANSNTPNHLPPTNENSNMVSPSNIQRAHSSPSIFTSSKETPCADEIHDQSHATTAQFAPSIARQAIVSVILYISIGVLVYITNVEGFKGKSTFKLVDALYFTIISLCTIGYGDIVPCTNFTKVFTCLFLLVGVRFVDLMLNGLLTNVLDKQRTVLLSTMDDNKLNKVFDTYMVDAKKKRSRGRMKVMLALAVVVGTISFCTIIVHEVEGLSWIDSFYLSVISVTTVGYGDKSFSTTAGRLTATVCLLVSTLAFAKAFLFLTDLRMDRRNRRTTKWILQKKMDNEPLVAGLDNNPAVSKSDFVIYKLKEMGKIDEKDIAMISDQFNQLEFGKCERIPIVDIIGKL >PAN29686 pep chromosome:PHallii_v3.1:5:15362874:15363454:-1 gene:PAHAL_5G244400 transcript:PAN29686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFVLHGCGWSGGWRGFCLHLLLSVLHASGVAESQVTVRTKRYGPSSPRPVRRVVHADLLLLHETHGRFMYIQ >PVH38819 pep chromosome:PHallii_v3.1:5:42450792:42454648:1 gene:PAHAL_5G362100 transcript:PVH38819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGRPSTIMIQVLKETPQMCVLAEKEEEGRGADEEEYVVNVDGNGDGGGEGDGCEDREECEDRDGDAEEEEEEDTAAQPVFRFRGNNVMTPATTNPANRRRIRPHGDWQWDDICWEGRNRLRPINATLGILCRFHYPGMVTIGGVLQPALKWEHYKMQSDDKGVMTAVRIWNEFWERYRLPEGEEQCLQARARSVFDKAATKAVRDMMSNARI >PAN29478 pep chromosome:PHallii_v3.1:5:14280831:14285109:-1 gene:PAHAL_5G229600 transcript:PAN29478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWKDFYTVLTAMVPLYVAMFLAYGSVRWWRIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAALAVWSRLPSSLGAPRLDWSITLFSVSTLPNTLVMGIPLLVAMYGPYAGSLMVQVVVLQCIIWYTLLLFLFEFRAARMLIADQFPDTAAAIASLHVDPDVVSLEGGRAETEAEVAEDGRLRVTVRRSSASRRSLLMVTPRPSNLTGAEIYSMSSSRQHSPRGSNFNHADFFAMVDGAPPPPTPAGARGSSFGAGEVYSMHSSRGPTPRQSNFDEHSASARSSKPAAAAAVPSHDAKELHMFVWSSSASPVSEVSGLPVFAGGAGVNVGAKEIRMVVPADLPQNASAGKEGENTGAVAAATVEGEAFRFSGGKTVEDAEAGEAGGPDGLSKLGSSSTAELRVKDVDGAADGGGRAGAHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFRWHITMPAVVAKSISILSDAGLGMAMFSLGLFMALQPNLIACGWRATGISMGVRFLAGPAVMAAASLAIGLRGTLLQIAIVQAALPQGIVPFVFAKEYNVHPAILSTMVIFGMLVALPITLLYYIVLGLGPV >PAN30364 pep chromosome:PHallii_v3.1:5:23541780:23543069:1 gene:PAHAL_5G301100 transcript:PAN30364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPATACASHQKRKRYVGQQGIASVDDDDAALAVFRFHESRGGVRALVESGVTTVPPLFLAPTTPAVSSAAMNFVVPSVDLSLPRSDAVALVRAAARSSGIFQVTNHGVPAATVDSALSVVRAFNEQPFAARSPFYSVSPIGAVTYATIPIPRPRDGQPVTTPLLSWRDSLILRFDHPGDPDLRNLPAACRDSLLEYHRSLTRLGKEIAGLLLEGLGVGAERLEQIDGFLMQCHCHPPCPEPERALGSREHTDGDLFTVVSQDGVGGLQVRLDSGEWVDVAPVAGTLLVNIGDFLKVVSNDEYKSVEHRVVIKSAQEPRVSIALFFNPAEHGRSDFFGPLPELVTEEKPARYRSLSWQQMLNNRIALGHAKPSALDQFRVTLN >PAN31591 pep chromosome:PHallii_v3.1:5:52340742:52340933:1 gene:PAHAL_5G430000 transcript:PAN31591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKPEALLAQSKVKKGPSQISVATIFTYLVLGVVVVSSVYAAYKYRVGKGPAMTAAGVEGD >PAN28956 pep chromosome:PHallii_v3.1:5:11421932:11422594:-1 gene:PAHAL_5G189500 transcript:PAN28956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPARSSLVLRALLLLAAAVAGALSDPTPLQDFCVVDPQAAELACKPQSAVVDDDFFSRAIASAASTANPFGVNSTRATVATFPGLNTLGVSITRVDLAPGGLNPPHSHPRASELVMVLRGEVMVGFTSGANRLYSKVVRENELFIVPRGLQHFQLNTGAGDAVFVAMFDSQSPGVVTPTFAMFATKPAMPMEVLTKTFLMGEDEVSAMKSKFAGF >PAN31382 pep chromosome:PHallii_v3.1:5:51356447:51357596:1 gene:PAHAL_5G415600 transcript:PAN31382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATAGSSSRAVLPLLPALALAVFVLLLAPAVAGEEAAAPLEFHVGGPRGWRVPDGNTSYGWWATNNRFRVGDHLLFRYANDSVLLVERPAFDACNTTAPLATFNDGATTFPLDRPGFFCFISGEPGHCEQGQKLVVRVMVHLAAPGPASAPGAPGQPGHVGGRPRPPGLPGATSDATTAAAAAAGVAVAAALAAFVSLVLMIE >PVH38075 pep chromosome:PHallii_v3.1:5:10341190:10342453:-1 gene:PAHAL_5G170400 transcript:PVH38075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASADAGTGRKKLKHRLAAILSVFSRRAGGGGRKRRENENEHEAKPPPVAFPSYSRIGGGKKPGGGIVDRRLSLSVPRPAPLVHITIDCAGRRSVDAADPSLLPLPPLDAADRKPERRAAPPHSEAGEWEGRKCPPSSPFVAHLPPVARWKERAGSSSRRLSTHSSRRLVSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTRARASVSGPCRAPQAPARRGASQSCRYSFELPRGSAASAAADGGFAVVKRSADPYEDFRKSMQEMIAEWPAGGGGGEGEHSAERLLETYLVLNSPRHYPAILAAFADVREALFP >PAN27749 pep chromosome:PHallii_v3.1:5:6319654:6321362:1 gene:PAHAL_5G104000 transcript:PAN27749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEALRWRYGDVDDGNFAVRGRGVLVLVALFGVLVCFVAVCLYLRWACHRYNDRRDSADVLPRWYTASSASSAAQPAAASSVAAGLDDAAIAGLPVTPYLPAAGGAADDAAQCCPICLGELAEGDKVKALPVCGHGFHPECVDAWLRARASCPLCRASLLAAAATTKPPGDDVGVGGEAAV >PVH38219 pep chromosome:PHallii_v3.1:5:12199056:12199501:1 gene:PAHAL_5G200900 transcript:PVH38219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGEEQARGIQNRSALSCSSRSALRACTCGRRCRERKWGLHLEAKRIGDHAAAPIDNPGSEWTFRGIRIVYTPHRRPG >PVH38009 pep chromosome:PHallii_v3.1:5:8976405:8977717:1 gene:PAHAL_5G149600 transcript:PVH38009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRATHHLTHTGCGGVPEGRARGRPCGAAAAKLVAMQARPFPACLPGLAGGRCRRSGLLPSTGRVSRRAPAGRGVATSVRAVDGASAAAAVAAAADAPLPPPQVTWQIVVGAVAGVTPFVVAGIEFSKRIFAQKKCEVCGGSGLVMKNDYYVRCQGCGGFLPWQSWRRFFTG >PVH39348 pep chromosome:PHallii_v3.1:5:55670279:55671874:-1 gene:PAHAL_5G483300 transcript:PVH39348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDISYNLFEGPIPVPGPQTQLFDCSNNQFSSIPFNFGSQLSSISLLMASGNKLSGEIPPSICEATNLMLLDLSNNDLIGSIPSCLMEDMSQLNVLNLRGNQLHGRLPNSIKQDCAFGALDFSDNRIEGQLPRSLVACKDLEVFDIGNNHIDDTFPCWMNTLPKLQVLVLKSNQFVGNVGPSDSADKNSCEFISLRIFDLASNNFSGLLRNEWFGTMKSMMTKADNERLVMENQYDLLGQTYQFTTAITYKGSDITFSKILRTIVVIDVSDNVFYGAIPQSIGDLVLLSALNMSHNALTGPIPSQLGTLNQLESLDLSSNDLSGEIPQELASLDFLSMLNLSYNKLEGRVPESPHFLTFSNLSFLGNTGLCGLKVSKGCDNRRPPDIVLHPSEKISIDIVLFLFAGLGFGVGFAISVILTWGISWSSSLTFRGTAMSYLNIVLSSICPDDSPNHLQFLRRDHSVIEPRSPGWRERCDHASKPSPESSSTQIFVPISSS >PAN27761 pep chromosome:PHallii_v3.1:5:6367024:6367827:1 gene:PAHAL_5G105000 transcript:PAN27761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARRKAALCRALLVLLVVAAGAAVSTAQGDHCWKDDDHHPICVTDDCRMTCKDHGHEDGRCNWTWGRLLPHCQCQLANCN >PVH39575 pep chromosome:PHallii_v3.1:5:59339887:59340464:1 gene:PAHAL_5G538300 transcript:PVH39575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVTLIIQFSVSSLHSRTALQLSTLLASAFLAQHFFYHNMYLHMQLIIPSLSEKIFLIRKLLRLPIFSGTGTCI >PVH39467 pep chromosome:PHallii_v3.1:5:57213051:57214933:1 gene:PAHAL_5G508100 transcript:PVH39467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVGIRRGLTIDPAGEEEAPAARVGRLVRESPVVIFARRGCYMAHVMKRLLAAVGAHATVIELDAGAADELAAAAEAGRGAVPALFVGGAPVGGLEGLMDLHLSGRLVPRLREVGALCA >PAN26934 pep chromosome:PHallii_v3.1:5:2970507:2974627:-1 gene:PAHAL_5G047100 transcript:PAN26934 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MAATGEGAESSAAGERQSVSFARGGPLFVPFMVGPISNASGFMHSAIQELQSLEAELGDPGDEFDDELCVDELRVLSEEELVERALQEAMEDLDSGTPPQPEEQTLDEGMSANPTPRNESLTSSPSAERESSRFPGEDTAVILHEPESTNGKPRGNKVKTRSRKGKTATVTLDSSAERENQGSPSDMAIVPYEPEGSEGNGRTTSDPSAQNRVSESPVDGLSIVPLDPEGINGQTTCEKGKKRGRHFDREVRAKILQGSYLTKVDKWVEIKVKQDEDKFATRLHSFSGDSVKSKGSKTSSEKIEMTTSLKLVGAPWKNKSLRSDEHRPVVHPDVILCVEIYQKKYGSVKCQELLVLGSQYLTNLRDNICCLTDKLTMVAGQHDHSGYFLIEDTFYNDTRHPSATDYSKPILDWLQNSSGEVAEKWDAITSGVLKKRQKDLLRGLNIPNVPEFKSERMQTTRFSDLHFRPGAGYLYCHQGSCKHTIVIRDMRLIHQEDSQNQSDYPLQTFQLQKRLQKCSVCQIYPATKMTVDDKWALNNPCYFCIKCYYLLHYKEDNTLLYQHTVYDFIQE >PAN26914 pep chromosome:PHallii_v3.1:5:2970507:2974626:-1 gene:PAHAL_5G047100 transcript:PAN26914 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MAATGEGAESSAAGERQSVSFARGGPLFVPFMVGPISNASGFMHSAIQELQSLEAELGDPGDEFDDELCVDELRVLSEEELVERALQEAMEEDLDSGTPPQPEEQTLDEGMSANPTPRNESLTSSPSAERESSRFPGEDTAVILHEPESTNGKPRGNKVKTRSRKGKTATVTLDSSAERENQGSPSDMAIVPYEPEGSEGNGRTTSDPSAQNRVSESPVDGLSIVPLDPEGINGQTTCEKGKKRGRHFDREVRAKILQGSYLTKVDKWVEIKVKQDEDKFATRLHSFSGDSVKSKGSKTSSEKIEMTTSLKLVGAPWKNKSLRSDEHRPVVHPDVILCVEIYQKKYGSVKCQELLVLGSQYLTNLRDNICCLTDKLTMVAGQHDHSGYFLIEDTFYNDTRHPSATDYSKPILDWLQNSSGEVAEKWDAITSGVLKKRQKDLLRGLNIPNVPEFKSERMQTTRFSDLHFRPGAGYLYCHQGSCKHTIVIRDMRLIHQEDSQNQSDYPLQTFQLQKRLQKCSVCQIYPATKMTVDDKWALNNPCYFCIKCYYLLHYKEDNTLLYQHTVYDFIQE >PAN32859 pep chromosome:PHallii_v3.1:5:57864638:57869732:1 gene:PAHAL_5G516900 transcript:PAN32859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MSLSSARQRRATAASPLTDDGYAKDAKDRRRRPGGGDGDGEDGGIKWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSDFGLRSYLYLFIHALVAGPASLIFGEHKIRVFYSVRIFLGLISTITETVLVVALSRRYGKRLACYVLAMLCLSSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAAAVSVAAAGVILGWPFSILVFLPVTVYSLIRGPFGRVFLSGFLTSVSLLVLSFLADYHCYGRLTFSVFNLLKYNVLGGGESHLYGTEGPSFYFRNGFNNFNFAFILALLFLGVVPFARKKYAPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYTLICVAAAAVIDSFPDFFHDKYSSDQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPLQIYQHLEYHEDSGPGSILCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPAYFNNKNKASEEQYLKDIGACTLLVELDLRRPYPSRGNDLTTWEALAALPFLDRELSPALYRSFFVPYRWQRNNVFGLYKLLRRLRTDQH >PAN26694 pep chromosome:PHallii_v3.1:5:1999136:2004746:1 gene:PAHAL_5G032000 transcript:PAN26694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDARKPSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDCNKTLHRTAKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVISVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIHHLKHTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEQEKTAVAEKLKKIQ >PAN26695 pep chromosome:PHallii_v3.1:5:1999136:2004746:1 gene:PAHAL_5G032000 transcript:PAN26695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDARKPSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDCNKTLHRTAKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVISVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIHHLKHTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVISKVGDLVGIKYFPVEQEKTAVAEKLKKIQ >PAN29647 pep chromosome:PHallii_v3.1:5:15142045:15147563:1 gene:PAHAL_5G240400 transcript:PAN29647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MAERQVAELGPGAACCGWNHCGRRLAAGAVDGSVSVYDSHPSPSSKWQAHEQAIVNVVWLPPEYGDAIACVCADGTLSLWEEVAEDDQLPTWRKRKVFEGGNCRILNVHFGLHLGSLKMVTTYSDGQVKIFELLDSLELDKWQLQAEFQNVMDPVSRFGKPACTSASIAWNPRRGVSQQASFAIGFNSDSPHFNSCKIWEFEEAHQRWLPLVELGLPEDKGDRVCAVAWAPNIGRPYEIIAVATSKGIAIWHIGSNTDSDGRPSTENVALLSGHDGEVWQLEWDMGGMTLASTGGDGMVKLWQANLDGVWHEQAVLDCSGSRV >PVH39254 pep chromosome:PHallii_v3.1:5:54630973:54632157:1 gene:PAHAL_5G464700 transcript:PVH39254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSVEDDEEERIGKPGFLRAQYYYLVVCSTEQIQPSIRSAPSPASGAIVSAVACPCQGHLDRSPVPFEDAARPRCRRPEDRGYGGRRQLILAAPRTSSFCTLPRVPPGPSASWLWASSAPQSNFPSSSSPPTGRCAIRRRLPDQRALGPRTCARCCAGALASVTLPFRPPR >PAN26893 pep chromosome:PHallii_v3.1:5:2904145:2907189:-1 gene:PAHAL_5G045500 transcript:PAN26893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPTSPPRRGLLRLLSVLLLARTAAAGAASEFDDGTSPKFPGCENTLQKVKVMYWVNGDEQDSLTGISARFGAVLPDTAASDSQKRPAVQPDPKGSCAKSAKPLSDSVAMAERGECTFIEKAKTAEAGGATALIIMNDEDALQKMVCTDKDPPPNINIPVVMVSKSAGDKIHSALFEGNKVDILMYAPQKPSFDGAIPFLWMMAVGTVACASVWTVAVVGEEPNKNNAASLGGEENPEAEVVELQTQTALVFIVTSSLVLLFLFFFKSTWSAWLLVFLFCVGAIQGMEYVASSLAIRACSRCGEPKVKFPGIGNVKVLTLVTLSLAFIFAGTWVAHQNSPSGWVGQNIMGICMMILVLQVVHMPNIKVASALLISAFLYDIFWVFISPLIFKKSVMITVARGSDDGPSLPMVLKMPKEFDSWNGYDMIGFGDILFPGLLVAFSFRFDRSNGKDLTDGYFLCLMVGYAFGLSCTYVGLYLMNSGQPALLYLVPSTLGVIALLGAKRGELSQLWNAKV >PAN29878 pep chromosome:PHallii_v3.1:5:16268219:16273626:-1 gene:PAHAL_5G256300 transcript:PAN29878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGEGGGPVTYDQIEHYFNSLEGPTAQERIDCIFSSIISLLPPPFVPAPEAAGDDDSDDERFSLTSSDSEASDAVADPPALHPAALGDGEDHISRLPDALLSNIISRLATREAAHTVVLSTRWRGVWASTPLLVDDAHLVGADGPHDIPVVRAVSRCVAAHPGPVRGVRVTRIPFYSHEYALNRLVADLADKDIKDLILFNRPWPLNMPLPEDILRCASLERLYLGVWQFPEITSAHPPVFDKLRDLGLFHCIVRDEEVNALLAHCPRLEILSIVMSYGSPSRLRIVSHSLQVAVDWNSTLDEVVVQDAPCLERLILQTTDTRRPLKIVGTPRLEVLGFLDLNLHELEIGGIAIKAGMNVRARATVPSLKILAVTVQFARNQEAKMLPTLLKCFPCLEALHIMVIPSASLNSAHDLEFWESLDSCTCLESHLKTLMVHGCLVHNNEIGFLKYIIREGKSLKAVGVDPSPKNKVAIDLVLASFGESYAASGGVSSGDIFHAKVDGHFTFQNAIDMTLDNPFCVDDVLVSCF >PAN30594 pep chromosome:PHallii_v3.1:5:32155239:32160894:1 gene:PAHAL_5G326100 transcript:PAN30594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAEAPSPSPSSSSGSDDFAALLDAELELASGADSAFPGDPSSASLTTDDEGEEEDSEEEVEVEVPEQNGAKRRRVEEQRQDQGISIRPDKIATGPSKNVEVKLCPHPGYFGGLCFRCGKPQDEEDVSGVAFGYIHKGLRLGTSEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLQDISSAENELGIRTAALKDDPDRSIFTLDSMQMLTKLRPFVRKFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPTNVYFPSKVISNSDCTQRHQKGLDVILGAESVAVILDDTEYVWQNHKENLILMERYHYFASSCRQFGFGVRSLSESMQDEREIDGALATVLDVLKRIHAIFFDTAAETDLSLQDVRQVIKTVRKEVLKGCKLVFSRVFPNNAHPQEQMMWKMAEHLGAVCSTDVDSTVTHVVAVDLGTEKARWAVGNKKFLVHPRWIEAANFRWHRQPEEDFPVLPPKEKSRDKVNAVTGQKETSKDKEENAVAGEKETSNDRKENDVAGQNETSNDQDGNDVDGQKKDDTKENAVATTATGPADL >PAN29383 pep chromosome:PHallii_v3.1:5:13666756:13670633:-1 gene:PAHAL_5G222400 transcript:PAN29383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSEASAQDHGVRVPVPQPAVLLPLVLLVVDKKEMSSSETSAQDHGVPVAEPQAPAAEAEAKRMTAPAVRRRQEIFLMNRMASSDDGENVWAKSARPASGTSSGSSGGSAKVAPEPQQGPAAAAAWPKSLSHGVHSVIGRRRRMEDAVAIAAPLVAAAAAGEEKSGEGEEKSGKEEGDGGWAPEFFAVYDGHGAAWVAEACLERLHVVLAEELARLRLASGGGDDASARWREAMLAAFARVDDEVAVVQIATKDSTTVEPHGSTALVVVVEPRRIVVANCGDSRAVLCRGGAAVPLSTDHKPDRPDELERIESAGGQIIYWQGPRVLGVLAMSRSIGDYFMKPSMSAEPEVTVTDRTDTDEFIILASDGLWDVMSNEFACKVARYCLSGRAAAKCPATVGGSSARDAAALLVEMAAARGSDDNISVVVVELRRLAWRKKQAASSQRNGRM >PVH39251 pep chromosome:PHallii_v3.1:5:54627794:54628666:-1 gene:PAHAL_5G464400 transcript:PVH39251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVNNQENVVTKKKGKEIEVLRRKENEDLYNAAEGRDGGVDSKQTCTTRFSMDTCASAQVSR >PAN31125 pep chromosome:PHallii_v3.1:5:49413904:49415580:1 gene:PAHAL_5G397000 transcript:PAN31125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLDTRTLKDELTSMDRRPLLDLGHPLLNRVADSFIRAAGVGAARAVSREAYFVTVEGLSGDSAGLDPNGGKRSHFSSIRGDDSQKSLDAVVKTAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAIAGAIAGAAVALTGDAGGHSDKLVHFAITGAALSSAASLLSGVF >PAN31743 pep chromosome:PHallii_v3.1:5:52939109:52943586:-1 gene:PAHAL_5G440900 transcript:PAN31743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEHPQQQQPPSSLRPGREAAAAAAPAVLGLQLSALIDHVARVDWSLLDRVPGDRGGSQQVSIDELNHILTEVNAHILPSRNDLAPITTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGILFVNNMSFSGVDLTRLRAKKGHTAQCACLVDASGNRTMRPCLSTAVKIQANEFRKEDFKGSKWLVVRYAQQNMEQIVEAIRIAKQEGLSVSLDLASFEMVRDSRSKLINLLETGNIDLCFANEDEAREVIGGGPTSDPEEALAFLGKYCKWAVVTLASKGCMAKHGKQVVQVPAIGESNAVDTTGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVTRALGGEVRPENWQWMYKQMHARGLLLPELKN >PAN32200 pep chromosome:PHallii_v3.1:5:54988277:54990134:-1 gene:PAHAL_5G470700 transcript:PAN32200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPTQLLRLLPLLLLVLPSGLREYLSPEINHRPEEDGTAPDPGVAGDAVLHPIVLVPGVSCSKLEARLTGAYRPSVPRCGAMKGKGWFGLWDNCSDLPGHHYVHCFMEQMSLVYDPVADDYRNLPGVETRVPNFGSARGFQINPEHPDWCLQALRRELERIGYRDGDTLFGAPYDLRRAPPMPGQTSRAYSFYFRQLTRLIEDASRRNQGRKAILFGHSFGGTVALAFVQSTPMAWRDRYIKHLILAAAPPAAGVVPTVKYAASGPEVLYVPTAPPPSLRPMWRTFESAVVNFPSPAVFGRRPLVVTARRNYSAHDMAEFLAAAGFGAASVLAFRRREVTSMDRFQPPMVPTTCINGESNETLEQLVYWDGDFNARPVLVYGDGDGFINLVSMLAFDEQMRQQNEQNKLFKSIRLEGARHSTIVTDDWALKRIMQEILEANRISG >PAN31285 pep chromosome:PHallii_v3.1:5:50693546:50700930:1 gene:PAHAL_5G409500 transcript:PAN31285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEDGSPAAAAPLLLLPAAREERRRRARCREGCPGCRVEEASKSRAGVPYLNFFYIWVVCLAAALPIQSLFPYLYFMIRDLKIAKEERDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVVVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQPAKKYPNLFSEESIFGRFPYFLPCFVISVLAAGSCIACIWLPETLHFHNDDKVEVIDELEAQAGDSNLDARKAKESRGESTKNLLKNWQLMSAVILYCIFSLHDTAYLEIFSLWAVSSRKFRGLSLTSQDVGTVLAISGFGVLVYQLAIYPFLSKYFGPVKPFRPAAVLSILLLATYPFMTNLHGPELKILINIASLLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSMFKAVAPAAAGILFSWAQKHISGLFLPGDQILFLMLNMVSVIGLVLTFKPLFSLPNAMSRS >PAN29610 pep chromosome:PHallii_v3.1:5:14951985:14957136:1 gene:PAHAL_5G238100 transcript:PAN29610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPPSSSRCQLHGSASPMILRRCLFLLLLVLPSCCSPALAATPYLVGMGSYDITGPAADVNMMGYASAEQIASGIHFRLKARAFIVAEPDGKRAVFVNLDACMASQLVTVKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQTIVEAHNNLRPGKIFVNKGDLLDAGVNRSPSAYLNNPAEERSKYQYNVDKEMTLIKFVDDGLGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEENVIPNQAAHVSSGNPRRVSALISEPNEITDDLIRLASSFKASGGRQISGSNITRRIRSTQQNKPKFISAFCQSNCGDVSPNVLGAFCVDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGNRQFLKAVNLFNSASEEIQGKVDYRHTYLDFSQLEVNVPSSTGGQQVMKTCPAAMGFAFAAGTTDGPGAFDFKQGDAKGNAFWRLVRDVIRPPGPEQVKCQAPKPILLDTGEMKVPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVKNVLTNSGQFDDNIHVVLAGLTNTYSQYITTYEEYQMQRYEGASTLYGPHTLSAYIQEFQRLATAMVSNKQVPTNLQPPDLLNRQIELLPGVIVDKTPPGVTFGDVSSDVPANSTFRKGSTVNATFYSACPRNDLLTDGTFALVEKLDGSNNWVPAYDDDDWSLRFKWSRPAKFSSRSFATLEWTIPEDAPSGVYRLRHFGASKPLIGSIKYFTGTSSAFAVR >PVH38528 pep chromosome:PHallii_v3.1:5:19383633:19383872:-1 gene:PAHAL_5G278900 transcript:PVH38528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSPANSFSTFDIEKLVKLAGFYPHDFDYEEMNQLCFQLRLYIARVRNDENFKNLRSLSELSMMLVKRNMVSPHAIV >PAN26638 pep chromosome:PHallii_v3.1:5:1782814:1787481:-1 gene:PAHAL_5G027700 transcript:PAN26638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGHLSFEEGWKVLEQGIVKCSKILECTSTRPTVAEYMNYYDCSYRMAVQKQHYCQEMYNGFKTTLADCVRAMVLPHLMHKKNDCFFRELLKMWSNYAIMVRCVTGFFSYLDRCYVEQYKLPSLSDAAATSFFGPVFSFFGDEARTALLTMIRQERDGNNMDSGFLDVMHGICRSEVKTLMQNAVLQDTYDYYSMRSSEWIVHYSLPDYLAKVQESMEKETNRLAYYLNISSDNSFDLCLQAVNAPLMETYDSYAREKQIGGQLLLQTYKTVEEELLGRCSSLTLGGCSGSNSFD >PAN26639 pep chromosome:PHallii_v3.1:5:1782472:1787692:-1 gene:PAHAL_5G027700 transcript:PAN26639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGHLSFEEGWKVLEQGIVKCSKILECTSTRPTVAEYMNYYDCSYRMAVQKQHYCQEMYNGFKTTLADCVRAMVLPHLMHKKNDCFFRELLKMWSNYAIMVRCVTGFFSYLDRCYVEQYKLPSLSDAAATSFFGPVFSFFGDEARTALLTMIRQERDGNNMDSGFLDVMHGICRSEVKTLMQNAVLQDTYDYYSMRSSEWIVHYSLPDYLAKVQESMEKETNRLAYYLNISSDNSFDLCLQAVNAPLMETYDSYAREKQIGGQLLLQTYKTVEEELLGRCSSLTLGGCSGSNSFD >PAN27706 pep chromosome:PHallii_v3.1:5:6119414:6122719:1 gene:PAHAL_5G100500 transcript:PAN27706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAASNAYSWWWASHIRSTQSKWLDSNLQEMETRVKAMIKLIEIDADTFARKAELYFKNRPELVSLVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQNDNGDGFPKGITGININRGTSPAPKRAPTHRRISSQMSKEKAQEAIERLQKEILVLQTEKEFFKSSYESSLNKYLDIERRASEMQEEVWSLQETFSTSAVIEDNEARALMAARALISCEDTLVNLRGQQKRSSQQARTESERVIDAKTMLKTFKSECGHPDNQKELSDRQYVVSSSPHPSTEDNDTIPQVRRLELQEISQKVKQQFESRSEASVVHLAGKVDEFVDRVIELEIAASSHNAQINRMRAEADELHKRVNSLEEEKEALVGDSSKLSERLKQIEEVLQTIQRIEKSVHSENENIHKQLNEACNNLSNFVEKLDTPLSEEILDSSEDSKGVASQEDTDKPDTLSEPLQADSGTAGKSMDEDSLDSFDISSETHEEEADGTLGWQQLVLNGLEGKDKILLKDYASILRNYKDTKKQLSEIEKKNREYHLEAMTELKELKSANATKDDEIRSLRRMLSSLQTKFNTSPLQCAEKSEESPKANTSKANIDRNLEDKEIGALEEYMKNCQDEEPPLSSLEEKFRDEISRILEESLDFWLRFSTSYRYMQKFQSSFDKAKVELDRLTDPKAQEGIDPGSASQSARKQESTALEKTFRDLSTDLQVWLEKNVLLQGELESRFSSLCSIQEEISRITTVDETNEAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGIDHVRGLQVEIGRALLKLKDNIELSIGRSNRAQQNFRSLSVKAGVPLRTFLFGSKPKKPSLFSCMPAMPKPVYDMKPKPGLFR >PVH39300 pep chromosome:PHallii_v3.1:5:55172637:55173455:1 gene:PAHAL_5G473800 transcript:PVH39300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMRDFSPTRHEDGDVNLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKEMRMLRWFCGYTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETIKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN30552 pep chromosome:PHallii_v3.1:5:21763869:21765270:-1 gene:PAHAL_5G293000 transcript:PAN30552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQRSTPSFFNFLKEGLLLPAQNRRLFAAVFAIIIASTSLLLLGHFLAVEPLVDEISIDTKALNSTDPGSPDFIHLIQEIQVDTRDLLITSAVYLLVALIIGSVIRIIVLFAAVATYSGELHTFASLLGKAKAQLKGPILTLAFVYALEIAYLALVVVMAGLLAFLMIKQYFALLFACALLIIIVSIFVVYFSFICSLSIVVAVAEPGCHGAGAVGRAWRLMKGKLLRAVLFIFVTVVLAAAFTPFYTLAKTCALSNMTSGLLLGLLYTILMAAVQVFAACAMTALYYECKGSTEASATEYIKVSTKEQINV >PAN28187 pep chromosome:PHallii_v3.1:5:8185448:8191210:-1 gene:PAHAL_5G137100 transcript:PAN28187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPEVAVAVAAAVAVAALLVPAAASVPLLPAALALQRAVPHKGVALEELRRRDWARHRSSRRGLLGGVAGVVDFPVEGSANPFMVGLYFTRVKLGNPAKEFFVQIDTGSDILWVTCSPCTGCPTSSGLNIQLESFSPDTSSTSSRITCSDDRCTAALHTGEAVCQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPRVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVTSIAAAVSPSVRSLVSKGNQCFLTSSSVDSSFPTVTLYFMGGVAMTVKPENYLLQQASVDNNVLWCIGWQRNQGQEITILGDLVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQYDVNGSTRRASYKSLIPAGIAAMVVHMLVFGGGVSRR >PVH38931 pep chromosome:PHallii_v3.1:5:48897586:48897915:1 gene:PAHAL_5G392100 transcript:PVH38931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPYFGWARAPIFGRPCYPLGNGAYTTAAHSIYFFKSFELGRGCTLVAFIVTRIITQNSLTCKLFTLNATILLEPQNSA >PAN26359 pep chromosome:PHallii_v3.1:5:300979:302561:-1 gene:PAHAL_5G004800 transcript:PAN26359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTAMQLLGRRLSCCCLLVAVCLAAFAVVPSASQPSLTVATPSSKNKNTQLKCTNTKTNRTTCTVSCNNRCPHKCLIQCPSCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDKDFCVVSDAHLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHRLYVGAVKTAKWDAAADHLTIAFDDEDVALPRFVGSRWAPPTAPALSVTRTAQVNTVVVELRGVFRIMANVVPITAEDSRIHNYGVTDDDSLAHLDLGFKFYDLTDDVHGVLGQTYRPDYVNKLDVKSNMPVMGGAPDYLSSGLFSADCAVARFGRRQEAAAASIGGPAIAMVTDDME >PVH38941 pep chromosome:PHallii_v3.1:5:49107237:49107590:-1 gene:PAHAL_5G393800 transcript:PVH38941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLESYSCVLCVEEVEEFDCPFAQFCWIFLGIQWDNSLLPLERFILAKQHFGCVTFREVMIIACCTILEHRNSIIFNNGHLSFTAWLRSVEHELKLVVLRAKPTVAAKLTSWLSSLL >PAN27893 pep chromosome:PHallii_v3.1:5:6979180:6983081:-1 gene:PAHAL_5G115700 transcript:PAN27893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFHPPRPNPNQPHRARPGGDPGPPHLPGVPMHPAFPPPVPNLAAAANPMAAAAAANPFLALQLLGQAQQLQNLGFLAAAALQQQQQQHQQAPFFPGGFPPNPNQLAPYTGGPPPAGFNGGGAFRPGGAGVCGPRPPRPMMSPAGKGFNNNAGSGGAPKPIPILNVAKKDDNNSGGAPRPILNDVRKDRNSFGGGNGEVNNFENKADGISNFASENGNKTTDQRVRFNSGRDVRDGRQFGAPRGRGRGKHYNQGRGRGNNNWEDTKSHFMSHKGPASGRRSDAPAPASGGSRKRPPLIYDANEVKQWVEARKKNYPTSVNISKVHKLSESKSDNQTKDKDAQLRRQELKEILAKQQELGFELPELPPGYLSETEDQGNERKSNWKTQCRDSRFGNRAKTNKRMRFERGEFQSKRSKVWNHTPNGDGAMAKSREPTLLQKLLSSDIKRDRHRLLHTFKFMALNNFFEDWPDKPLQFPIVKVNQIEIENNIPTGDLDDLENAEMAKDIRLDTNENCDRKELSSIDGETGIADHDDEEEDGAGTSPDSSDEDEVDDAYEEQFNEPEDDAAA >PAN27894 pep chromosome:PHallii_v3.1:5:6978611:6983190:-1 gene:PAHAL_5G115700 transcript:PAN27894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFHPPRPNPNQPHRARPGGDPGPPHLPGVPMHPAFPPPVPNLAAAANPMAAAAAANPFLALQLLGQAQQLQNLGFLAAAALQQQQQQHQQAPFFPGGFPPNPNQLAPYTGGPPPAGFNGGGAFRPGGAGVCGPRPPRPMMSPAGKGFNNNAGSGGAPKPIPILNVAKKDDNNSGGAPRPILNDVRKDRNSFGGGNGEVNNFENKADGISNFASENGNKTTDQRVRFNSGRDVRDGRQFGAPRGRGRGKHYNQGRGRGNNNWEDTKSHFMSHKGPASGRRSDAPAPASGGSRKRPPLIYDANEVKQWVEARKKNYPTSVNISKKLSESKSDNQTKDKDAQLRRQELKEILAKQQELGFELPELPPGYLSETEDQGNERKSNWKTQCRDSRFGNRAKTNKRMRFERGEFQSKRSKVWNHTPNGDGAMAKSREPTLLQKLLSSDIKRDRHRLLHTFKFMALNNFFEDWPDKPLQFPIVKVNQIEIENNIPTGDLDDLENAEMAKDIRLDTNENCDRKELSSIDGETGIADHDDEEEDGAGTSPDSSDEDEVDDAYEEQFNEPEDDAAA >PAN27748 pep chromosome:PHallii_v3.1:5:6314504:6315175:1 gene:PAHAL_5G103900 transcript:PAN27748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Axillary meristem formatio [Source: Projected from Oryza sativa (Os01g0831000)] MEPYHYQQAVYDPRGFPMIHPQPYLHHPAAAGALSDTRVRGGGGGGGVRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVSLHQAALMQHEEGCPAELAAAYSAVAGAGELTLASSCRAAACEEVVMQLQQVPLQEAMSYDVSAPRLHGLDPGPQMSGGHELPPLPSCVFVEEPAACYSACDLDEGETDLHGSY >PAN30944 pep chromosome:PHallii_v3.1:5:48083794:48087976:-1 gene:PAHAL_5G385500 transcript:PAN30944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDLRRLLDGAALVAREATRGTSPRDVLRSALLAATDLAGLTKGTPRRPQDPPGAGPHPATESSRPSSSVVYFTHDDAAATQQEPPLERPPPLSPPAQEPAHPARTQITGTGTAAAVVAEPAAVAAASPDPVAAPPEPSPLPPQAPPLPSPASVEKRRRLRERRVPSTPFTRALGFAGLGAGLAWGTVQESARRVMYGAPVDKQGKQSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGTDWSSKLRSFDYEPLAAASIGQVHRAVLKDESDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKQELARECDYVLEASNQKRYKELLSDSDGYYVPKVIDQLSSKKVLTSEFVPGVPIDKVAQLSQETRNYVGCKLLELTIKELFVFRFMQTDPNWSNFLYDDATRKFNLIDFGAARDFPKRFVDDYLRMVIACANKDRAAVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFAKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFQVYEQYNFSDDYSDVASSTA >PVH38292 pep chromosome:PHallii_v3.1:5:13505774:13507325:1 gene:PAHAL_5G220500 transcript:PVH38292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAATGSSKERAIASKLWSDATGRCVARQLTDDQGRRARHGENAERHAHEEERKAKLQTALQRPKWILRQRHIVKAQPNGVPTATHIICICKLAPNYLTLFSYLSKFFNCNFIAFYMSIN >PAN29448 pep chromosome:PHallii_v3.1:5:14032231:14033861:1 gene:PAHAL_5G227100 transcript:PAN29448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGGAFPFQWPADPGLDAAALPPLPTVVPDAGVAYYAGAAADMHAAQLELPDLAAALAAMRREEEEAAGIRLVHLLMSCAGAVEAGDHAGASAHLADAHAALAAVSPASGIGRVAVHFTAALSRRLFPPTPSPPPPPQPAAADADHAFLYHRFYEAGPYLKFAHFTANQAILEAVQGCRHVHIIDFNLMQGLQWPALIQALALRPGGPPFLRLTGIGPPSPPGRDDLRDVGVRLADLARSVRVHFSFRGVAANRLDEVRPWMLQVSQGEAIAVNSVLQLHRLVTDPPAADARAPIDAVLDCVASLRPRVFTVVEQEADHNKPGFLDRFTEALFYYSAVFDSLDAASGGAGDAAAEAYLEREICDIVCGEGAERRERHEPLRRWRERLGRAGLSGVPLGANALRQARMLVGLFSGEGHCVEEADGCLTLGWHGRPLFSASAWRAEENNQSDSNADGSSGSGSEESNISCSS >PVH38680 pep chromosome:PHallii_v3.1:5:32142751:32144493:1 gene:PAHAL_5G325900 transcript:PVH38680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFIGVPENPTEKIIHFGVSGGLPRFALENQAVNDTTFACPDELGWKPQSVPIVPSDGNISRIRKTILPVNELVKMLRKIGHDVMRSDDADRFVCNYVYYHSLRFAEEHGIKSLFVHVPLFSTIDEAVQMHFVASLLEAVASLN >PAN32687 pep chromosome:PHallii_v3.1:5:56904056:56912586:1 gene:PAHAL_5G503600 transcript:PAN32687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIWMKRGSQGISVHLHGGGKISASGGDGLAGGGGGRVSINVFSRHDDTHIFVHGGKSSGCPDNAGAAGTLYEAVPKSLIVSNNNLSTQTDTLLLEFPNQPLWTNVFVRNHAKVAVPLLWSRVQVQGQLSLLSGAVLTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGNGDTIEAQRLILSLFYSIQVGPGSILRGPLVNRSSNDVAPKLNCEDDSCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLVQGTVIHFNRARTVTVHKSGTISASGLGCRTGVGQGKMLSSGVSGGGGHGGKGGDGLYNGSHADGGATYGNADLPCELGSGSGNATTQFSTAGGGIIVMGSWEYSLPSLALYGSVESNGGGYANMVTNGSIRGPGGGSGGTILLFVHTLSLAESSVLSSVGGFGNAGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSILTSGGVSKGHGFSGGNGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCFPCPPQELPHRAIYINVRGGAAETPCPYRCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHSPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSWIWHRLVAGLNAQLRLVRSGNLKVTFLPVIEWLDTHANPSLAVNGIRVDLAWFQATALGYCQLGLVVYAVEGEQVVDEHDGSPRIKLEQHTLTQNMLTDIQQGQATRVKDALMRKRITGGVLDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVDVLLVLFILPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFICGFVHYKSSTKRHPSMQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPHIFWQS >PAN32672 pep chromosome:PHallii_v3.1:5:56902317:56913034:1 gene:PAHAL_5G503600 transcript:PAN32672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRPLLNLRLLLLLAAVLGNAGPGRGGGAEASDRAEPDPYSILTWHDYSPPSPPPPPPAPVAPAATCARDLHGKGDFRTRCEVSSEVELGGDVYITGNGSLVLLSGASLTCKKAGCVISANLSGEVRLSRGVRVIAGRVSLVATNITVADTVVVNTTALAGDPPDRTSGVPTGTHGDGGGHGGRGASCFVKDGQTQEDSWGGDAYAWSDLEHPCSYGSKGGSTSVEKDYGGAGGGIVWLFAQDLVMNGTVLADGGDSSEKGGGGSGGSIYIKAATMHGGGKISASGGDGLAGGGGGRVSINVFSRHDDTHIFVHGGKSSGCPDNAGAAGTLYEAVPKSLIVSNNNLSTQTDTLLLEFPNQPLWTNVFVRNHAKVAVPLLWSRVQVQGQLSLLSGAVLTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGNGDTIEAQRLILSLFYSIQVGPGSILRGPLVNRSSNDVAPKLNCEDDSCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLVQGTVIHFNRARTVTVHKSGTISASGLGCRTGVGQGKMLSSGVSGGGGHGGKGGDGLYNGSHADGGATYGNADLPCELGSGSGNATTQFSTAGGGIIVMGSWEYSLPSLALYGSVESNGGGYANMVTNGSIRGPGGGSGGTILLFVHTLSLAESSVLSSVGGFGNAGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSILTSGGVSKGHGFSGGNGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCFPCPPQELPHRAIYINVRGGAAETPCPYRCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHSPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSWIWHRLVAGLNAQLRLVRSGNLKVTFLPVIEWLDTHANPSLAVNGIRVDLAWFQATALGYCQLGLVVYAVEGEQVVDEHDGSPRIKLEQHTLTQNMLTDIQQGQATRVKDALMRKRITGGVLDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVDVLLVLFILPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFICGFVHYKSSTKRHPSMQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPHIFWQS >PAN31013 pep chromosome:PHallii_v3.1:5:48444568:48448900:1 gene:PAHAL_5G388600 transcript:PAN31013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELTYRGGAAAHCSASDGGEYSPKPSKPLSWLSRAARYAAAEHRPVFALAGMLFAAALFTFSSSSTSTSSYPDASAGFRHLAVAGHPSFRESSVGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKGNVAHHLQNPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPEAHIEFRPNTADDPHKRKPDISRAKELLGWEPKVPLHEGLPLMVTDFRKRIFGDQEESTEAAGGLS >PAN27018 pep chromosome:PHallii_v3.1:5:3426010:3429225:1 gene:PAHAL_5G054200 transcript:PAN27018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGAADDGSSPPAAAGFSYLAVFHNYPLVAALLGFAIAQSIKFFVTWYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFSCSLFATAAIFASVVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGALLGCAIATAGQLFI >PAN28068 pep chromosome:PHallii_v3.1:5:7712585:7717676:-1 gene:PAHAL_5G129300 transcript:PAN28068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDEPSGGHPCFMESTSGIGDSSLIGPPSSVVVGLSSNRNETSEKNSSSYFGELHKNNGHGEIPGDGVSGINEVNLSILVSNKNGSGKSPGDGQDGPQPKKRCLRRLTSRQAEILEGFFSVCAHPDETQRIQLAAMTGLGLHQVKFWFQNKRTHVKHLSGKEENYRLKVENEMLREENDMFKQAQNNALCPRCTNDPGFLQILKELEQVKAQNQMLQQELQQMRIDNEARLTMRPPIRVFHLEPSSGNAFGMQDEVQALTEVAKSAAHELFILSDSSSPLWLAVPGGSFQVLNRMAYAQMFPGQMGVGTIGLTAEATRASAVVMLDPKSIVEFLMDSGSFGPFFPGLMSGAATSTKVYNWPQNSEAGYDGAMQLLTVEMVFPSPLVPVRKCTFLRYCKRLELGAMAVVDVSLDDGAKCQKMPSGILIQPIRHNSCKVTAIEHVRVDGSGTHELFQACLSGLLFGARRWVMSMARQSARLRDVFHVTNCTLDVTSRGRKAIMKLADNLLANYTGSIAGLPADDWSVQCGEGTEEDVKIAYRRNDDGSNTAIVCTSATFLLPLPMRMVFDLLKSNLLRVKWDVLVNGGCVKEVVRVANGVGSEDAVSILHVKHGSGANKETMMILQNSCYDASGSFMVYSSLDKHVMEMITSPGGEEAMSNIALFPAGFSLVPLADPANAGSPIGEAGGTVMTAGFQILMKLARGTGLCPRSVSSAIKIMTEHIEAIKDTLQNSHPVFYKTIQSPN >PAN32194 pep chromosome:PHallii_v3.1:5:54975284:54978292:-1 gene:PAHAL_5G470300 transcript:PAN32194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGSLCAVIKPPHPKPKQTPPLFLALSPPLPSAMAFVSATAAASASVTLLNSVPSAVRAGAGAWACPQPRRTLLAPLHAAKGAKSVPVEVVLESKVKGKKKKGSGAGNLPGALDVEIREAQEYLDSDEQEPVPDNFPFEILDEDGMSVVILKRDYKDEKIEVVVSMPNLEGGPEFDDEDGEGDSESAGKEEDDDEEDESAADSSISLKVVVSKASGPKLEFTCTAFREEITIDDMLIVEKTDDDDGEEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLPATNYMHDYMVTKQAQEYIRWMRKLRAFVQQ >PVH39481 pep chromosome:PHallii_v3.1:5:57552650:57553722:1 gene:PAHAL_5G512700 transcript:PVH39481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLPLHHWASRVDCERSLSVCLSVSTISTGVRDRQGHVLPYTSRFSCIVCTLQEVAAEPQVSSTSAPGRSLHLPRAASTSGGRARNAVSSRRRGGARPPPLLVRRRRTATTGAPGATRSGTGVRVRGRHAPRVRRSLARKGQRRRRHAWDKKELVPRSAV >PAN32507 pep chromosome:PHallii_v3.1:5:56188461:56190237:-1 gene:PAHAL_5G492900 transcript:PAN32507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAASDRARAAQGPRPKCRSGRAVASSLEPAAGGLSPSDPIPAATGSAAPGPQFATACRLGLGSARPGRHLVAPRLKSTACVRPAAARVTLPALGVGFGGVRGEASMKRDAAAAAGFGVSRWEESPDGVIVLPAGSAAPQHARHQREREQQQQNFQGAGVFGDQHAEPPEKVFYKTRLCEKFEAGGKCAYEDGCTFAHGQAELRPPLHVPPGLILKRRTPPPPPTPPPPPPSGADAPYGVYYGKVCFEFRDRGTCHFGDRCSYAHASAAEVAEMRYPGGPRSVEHALRNAPPLARVAYAPGPRGSSSSTNSYAPAARAFPSAPAAAAGEDGCKFSRLELLSRKKTSGIYGDWPEED >PVH38820 pep chromosome:PHallii_v3.1:5:42511702:42512273:1 gene:PAHAL_5G362200 transcript:PVH38820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQSQHQTATINASLRWPTASPSLPPASSSSYKPAAAQSLPVPISIRCPASLQAGAGAAAQASLPRCWPSRRTQVHDVMLHQMPTEGRLDLGFFGCRSADLF >PAN28283 pep chromosome:PHallii_v3.1:5:8527172:8530006:1 gene:PAHAL_5G142500 transcript:PAN28283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLCPAAASCRSASFRRRAASPDTPFPSRRHQGGASPVPLASAVAPPPPPPRALAAASYGYGGDLLRPIDTQTIIIAAAVISAVSLSLVLGLKGDPVPCDRCAGNGGTKCVFCNDGKMKVENGVVECRVCRGAGLILCKKCAGSGYSKRL >PVH37983 pep chromosome:PHallii_v3.1:5:8527917:8528334:1 gene:PAHAL_5G142500 transcript:PVH37983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLCPAAASCRSASFRRRAASPDTPFPSRRHQGGASPVPLASAVAPPPPPPRALAAASYGYGGDLLRPIDTQTIIIAAAVISAVSLSLVLGLKGDPVPCDRCAGNGIT >PAN28282 pep chromosome:PHallii_v3.1:5:8527172:8530006:1 gene:PAHAL_5G142500 transcript:PAN28282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLCPAAASCRSASFRRRAASPDTPFPSRRHQGGASPVPLASAVAPPPPPPRALAAASYGYGGDLLRPIDTQTIIIAAAVISAVSLSLVLGLKGDPVPCDRCAGNANSTFSVC >PVH38573 pep chromosome:PHallii_v3.1:5:21645510:21652043:1 gene:PAHAL_5G292000 transcript:PVH38573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANSAKMLSSILVIGGTGMIGQHLVTASLDAGHPTAVLVRPATADADAGKAKLIEAFQSCGARLVYRFLPSEYGCDVELAEQMLEPARSILGAKRRVREAVRAAGIPHTIISSNWFQGFLLPRAGNPEANGPPDNSVTIFGDGKLQVFLVNEKDIAAVAMKAMEDPRTLNKLISLCENKIGKTLKKIYVREEELVKKVQESPFPLNFQLAVVRAMLVAGEAKLTEKTTTNGASSGERVEATELYPT >PVH38473 pep chromosome:PHallii_v3.1:5:17264014:17265259:1 gene:PAHAL_5G267200 transcript:PVH38473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAARAGCASRQLLRSWRHYPELEFSARTVPLDGRHTCARGQVAKDVIRRIDAAPQNRTGICVKRLRFELQFLRKVRAGTINRWLDAAATLGVHELTLDLPLGDAKLRYRFPCELFFDENGCSIQSLRLSACSFSPGHGSCSFGSLRRVGFSWVRITTEESWLFLSNSPALERLELEYCHEIACLRIPSTLQLLSSLRVRHCKVLQSVETDAPNLSIFHYQGPIIQFSLGEALHLKDVNMSIYPCFNLFDYVLKELPKLGPDLETLSLMSADEIGYMYPLKIVLREEFTHLKHLELGIVEESPVKMRLADIDPSKPVKLPRLVKATGFCPVQDLVELSNYILNTVASQQSALNLVPLNV >PVH39215 pep chromosome:PHallii_v3.1:5:54004093:54005304:-1 gene:PAHAL_5G456200 transcript:PVH39215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDGSPTSELLAPQPPPLPERINRGGKRARDGPLLFGTQLIKHEGKDDELVVEAAPQGAEAIVPSESPEKQPPAAVQVDKAKLYCSLGACSLTPPIYQCAVGHLACCSCRVKFPGRRCRTCRDRGTASSAYAHCPGLDLFFGDLRVPCDFEEYGCRAYVPYFLGAKYRGTCEHAPCHCPEPGCSLLCSPRTLAAHLAVDHYWTVYEVAYGTPLPLAISVPAVAASGSPAPARDLRLLRGDDASLLLMAVGPLSDGAAVSVVLVRATASPPALPRYTCTFYANPPLGAADLEGGYFFATVPVRSSALADGAGVAPEKELYFAVPWEMLCGGSRELLGCSSPSASIARRDLNLLSKTRRRSLRADQ >PAN31560 pep chromosome:PHallii_v3.1:5:52171198:52174861:1 gene:PAHAL_5G427700 transcript:PAN31560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATPAVASPASEHSKSKKKKHKSKDDPAAAAAADPPSLAEAEEKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEYIRYGIINLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWSLDNYKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKLIAEGLLDKHGKPNEKTPAEWLRNVVLPAGGDVSIASIAAAPEPEKVKVEPEAALTEEVKEKKKKRQKDEDTDADASVPSKKIKVDEAAEEVEGEKSEKKKKKKKDKGESGSAEAVEVKEEVDVADEKGSEKKKKKKSKEGSGAVDPESTQNGDSVEAEKSEKKKEKKKKKSRDTEEAQ >PAN32517 pep chromosome:PHallii_v3.1:5:56225205:56225869:1 gene:PAHAL_5G493700 transcript:PAN32517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKEKPETTSGPPPPRLDCIKCFDALWFCYSPFHQMQSYYRYGEFDNCFGKWGDLVDCLTLKTKRAAEVEEILIAREKAKPHIWTFRTVDEASDHWWRMYKHFVMMSPPLPGAAQPRPKSDKS >PAN27785 pep chromosome:PHallii_v3.1:5:6471790:6474425:-1 gene:PAHAL_5G107200 transcript:PAN27785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSRLLFLLSCLALALLAGAEVHHHEFVVQETPVKRLCNTHNIITVNGQFPGPTLEVREGDTLVINVVNRAQYNVTIHWHGIRQIRTGWADGPEFVTQCPIRPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKAYPFGKPSREVPVILGEWWNANPIDVIREAQRTGGAPNVSDAFTINGQPGDFYNCSQKETTAIPVKPGETALLRFINAALNHELFVTIAQHKMTVVGVDASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYIAARAYVTGQNVAFDNTTTTAVIEYDCGCASDFGPKIQPAFPALPAFNDTATATAFAAGIKSPDRVKVHENVDEYLFFTVGLGLFNCKPGQLCAGPNNNTRFTASMNNVSFVFPQHDSLLHAHYYKIPGVFTTDFPAYPPVQFDYTAKNVSQALWQPVPATKLYPLRFGSVVQLVLQDTSIVTPENHPIHIHGYDFFILAEGFGNFDPKKDVEKFNYVDPPQRNTVAVPVNGWAVIQFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGKLQSLEPPPVDLPMC >PVH38437 pep chromosome:PHallii_v3.1:5:16494579:16496346:1 gene:PAHAL_5G257700 transcript:PVH38437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVRRCVLGCLPVPAVTRVGALSAADDHDGEDRISTLPDEILREVFSRLPIKDAARTAVLSPRWRRVWHSTALVLYDAHLFPSSSEDARVAAISRILAGHPCPLRTVHLVYCCFGVHERELDEWSRLLAAGGVQDLVFISQPPPVDMPLPADILRCTELRRLYLGFMDFPDTRDLPNGAGVLPHLREFVILNSCIESRDLDHMLASSPELETLGLVLRPARASHLRGKKLQCFLFWLYMAVELAVVDAPCLERLIMWHTPLLGFDESNDATADTKVSPSSMVPSVKILALKVNFSVSTEVRMLASVLRCFPNNETLHVESSLRSSGPIECVYYHIKKMVLHNLRGDISEIAFLKFITQRANELQKLTLVLPGEALIEVVGPILKRGWNFHRASDLSIYDPFLLEHEHEIFCLVKKGE >PAN26830 pep chromosome:PHallii_v3.1:5:2641607:2645990:1 gene:PAHAL_5G041600 transcript:PAN26830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMALRLNDVTLCLSPPIATRRCRRRAGSVRVFAVASTPSTVSTKVEDKKPFAPPREVHVQVTHSMPPQKIEIFKSLDDWARDNLLTHLKPVEKCWQPQDFLPDPASEGFHDEVKELRERAKEIPDDYLVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDYGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVVALADMMKKKISMPAHLMFDGQDEKLFDHFSMVAQRLGVYTARDYADILEFLVNRWKVADLTGLSGEGNKAQDYLCTLASRIRKLDERAQSRAKKAGTMPFSWVYGREVQL >PAN31149 pep chromosome:PHallii_v3.1:5:49815088:49816681:1 gene:PAHAL_5G400600 transcript:PAN31149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCGVDVTLLLVALYALLPAITVANIQEGFYKSNTNCSVDVEATVASVVQQYVSADRGVGAGLIRLHFHDCFVKGCDASVLIDPSPVNPDPEKGSPSNGGLRGLEVIQDAKRRLEGACPGTVSCADILAFAARDASNVLSAGAINYGVPAGRRDGLASAAPDATGSLPPPFARLDRLTELFAAKGFTQDELVTLSGAHSVGRAHCGSFAQRIRPNVSETMDAEYGAGLQRQCPTDAGDGVAVDQDQATAGDLDNRYYGNVLAGKVLFDSDWALISGNTTRRMVEDNAADQARWAAKFIDAMRKMGALDVLTGDQGEIRRFCNVTNSG >PAN30831 pep chromosome:PHallii_v3.1:5:22405070:22405543:-1 gene:PAHAL_5G296800 transcript:PAN30831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEDVDLEEQPLPHSPPPPPRKPHRKPVDGTGRALATPARALSLVIIVVGLLFSVHLLVHDLRTLVLLAAEWLCIFFVMSCVAACERGAPDPDTAAGGSRGLGAAAEVALWSFAMALTVTMTFWVAAGLPLPAVAVLYLLALLAVSACFAVLLDA >PAN28637 pep chromosome:PHallii_v3.1:5:10195526:10200984:-1 gene:PAHAL_5G168000 transcript:PAN28637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHKEEPVLESVMDKISEKFHGGDSSSSSDSDDEKKKGSSSASAAEAMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLVCHCLILSLAVLFLWSNASTFINKSPPNIPEVKIPEDAAVNVALTLRYEINRGFATLREIGHGRDLKKFLIVIAGLWLLSVLGSCCNFLTLSYIVFMVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFNEKCLSKIPKGPLKDKKH >PAN29200 pep chromosome:PHallii_v3.1:5:12685977:12688533:-1 gene:PAHAL_5G208000 transcript:PAN29200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLLRAAVLSAALLALAAAGEAHTVSDFLNIFRPRNEHDYFHNANQGQEEDVMPRASDQQSLITAPVSRSGLMKVPARSAPTAVAQDTIVLPVDNAAGYPGAWSMITENAGVSAMHMVIMHNDKAIMFDTVTTGPSLLRLPKGNCRLDLRSREQGTKDCAAHAVEFDFATGGVRALKILTDVWCSSGALDAEGNLVQTGGYFEGEKVVRYLSPCGNCDWREFPASLAEGRWYGTQQILPDGRSIVLGGRRAFSYEFVPAEGQSNAAAKPMQLLRDTTDDVENNLYPFVHLLTDGTLFIFANDRSVVFDYRTGQVVRDLPALPGGGRNYPASGMSALLPLDLRRGDVLSPEVIVCGGTPKNAFKFGETNIFNDALRDCARINPLKPGARWATDQMPVARTMGDLLILPTGDLLMLNGAAKGCSGWGFGRQPVLSPLLYSPRQPRGSRFRALAATTIARMYHATSALLPDATVLVAGSNTNSAYNFTGVDFPTEVRVERFTPPYLSPERAANRPEIDMATVPAGGMAYGAKFSFQFSVPMLHLHVTDADLKVTMYAPPFTTHGYSMNQRLLVLAVSSFMPGPHRHGITVDAPAKPELAPPGYYLLYVMVKGVPSKAAWVKVHK >PVH39477 pep chromosome:PHallii_v3.1:5:57509540:57516664:-1 gene:PAHAL_5G512100 transcript:PVH39477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATATEASAPAASGAAARQNHPFPWLEAAISEPYYFLHLLAFFSYFAARSAALAAADGGELHDRLLRREIQAVLVFLVLFVVKIVREETWETSIADSLLYAKGLLLAVTLVIDYWLALGYFLGFVVIYAVAQQPPYDGLGHSSHLTPLQLESLLTEEPTSRFWLVEFRTSFSATCIQASGVLPELSIIYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKATEVARFPEVTSESKVFVPKVTKKLLCQHFDLDRRLIEYLST >PAN28051 pep chromosome:PHallii_v3.1:5:7640053:7641044:1 gene:PAHAL_5G127800 transcript:PAN28051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAVTQHAVTSWADDGEWDVPTAEEEGAGAAAGTSGRKQEHAAAEVTIRITRKQLQELMEKRAGGLHGLKSRRAAAQLLADVMNAGRVYHHCKAAHWKPALQSIPEAVES >PVH38455 pep chromosome:PHallii_v3.1:5:16968942:16969253:-1 gene:PAHAL_5G263000 transcript:PVH38455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWTKKASVTVSRSCVSSVVARDDKRIPKGYLPILLVRDDEGGTETRVLDLQEPCMAVLLEVAEQQFGYSQQGVLRVPCDAQRFEHVVNMARKSKVAR >PVH39378 pep chromosome:PHallii_v3.1:5:55907507:55908417:-1 gene:PAHAL_5G487800 transcript:PVH39378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLRPDLKKEPISKREEEVIISLQQSLGNRWSTIAARMPGRTDNEIKNYWNSRIRKRLNDAAKAGRDDGAGTEPAPAGEEKVEVEPANAATEAGPMPIPARFPVFACQMLDGGVGGGGISSAGSGSGENTPSTTSSTQQNSGEESEASVGDSNMIHFLLFDDLDYPADLVMDVPGAMDAWESELYPANSISSLNY >PAN32926 pep chromosome:PHallii_v3.1:5:58147873:58149435:-1 gene:PAHAL_5G522200 transcript:PAN32926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILAVAVLFLLVPYLLPGGAYAGHPHKSFTSIFSFGDSYADTGNLVNLAAPFVPLIPFNNLPYGETFFGHPTGRASDGRIILDFIADAFGLPLVPPFLAQGQDFSNGANFAVVGATALDLAYFQQQNITSVPPFNSSLSVQLGWFEQLRPSLCSTTKVSAKCTGCEHYLGKSLFFMGEFGGNDYVFLLSASKTVDETKAYVPTVVNAITIGVERLILLGARRIVVPGILPTGCTPIMLTLYASPNKADYDRYGCLTKYNGLSRYHNDLLRSQVKALQKEYPYAKIAFADYYTPVLTFLHRPAIFGFDGSSTLVACCGAGGEYNYNPIAACGFPGATACADPSTAVNWDGIHLTEAAYRTVAGTWLHGPFAEPPIRNLAY >PAN32927 pep chromosome:PHallii_v3.1:5:58147596:58149526:-1 gene:PAHAL_5G522200 transcript:PAN32927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILAVAVLFLLVPYLLPGGAYAGHPHKSFTSIFSFGDSYADTGNLVNLAAPFVPLIPFNNLPYGETFFGHPTGRASDGRIILDFIADAFGLPLVPPFLAQGQDFSNGANFAVVGATALDLAYFQQQNITSVPPFNSSLSVQLGWFEQLRPSLCSTTKGCEHYLGKSLFFMGEFGGNDYVFLLSASKTVDETKAYVPTVVNAITIGVERLILLGARRIVVPGILPTGCTPIMLTLYASPNKADYDRYGCLTKYNGLSRYHNDLLRSQVKALQKEYPYAKIAFADYYTPVLTFLHRPAIFGFDGSSTLVACCGAGGEYNYNPIAACGFPGATACADPSTAVNWDGIHLTEAAYRTVAGTWLHGPFAEPPIRNLAY >PAN28487 pep chromosome:PHallii_v3.1:5:9431104:9432902:1 gene:PAHAL_5G156100 transcript:PAN28487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAPAASAWSRPSAAVGLREAAFFPGSASFAATRLCIGRRYSDRTFAGIQIADSNLRRCKIVPVKSGESDGYSKTEDMLVDEETLQSNLDRAIEEEDYARAAKIRDDLRILHEDAEASLLTANARFYNAFKNGDIAAMYSIWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCNADYEFPLSIDLRNIEVHIRGNLGYVTCLEVVKNKGRTWGKQVATNIFEKVDGTWLISVHHASHIEE >PAN31326 pep chromosome:PHallii_v3.1:5:50981370:50986995:-1 gene:PAHAL_5G412500 transcript:PAN31326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLLHGSVLPRVTGRAFAPAVAPFSTESGETLRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIQYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNEKADRIHNAILQTIAEGKYRTADLGGKASTSEFTKAVCDHI >PAN28289 pep chromosome:PHallii_v3.1:5:8478814:8480272:-1 gene:PAHAL_5G141400 transcript:PAN28289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSSPGRPSPSLVATKGTEPTTPGGTQKSGSSPCASGTPSYDTSTRSPLPQIFRYQLPSLLTRRVMLKVGAAAASTLRSRPSTPGTFTQNSGSTKPKRMFQMNRSTSVDNKATPVDTGTPAKLRRHDANNTNTESYEILLFFFCVTELGGTLFALLAIAAMLMWLYPTPSYSVHLTGYEGIDPGRAARIVSPAFNVTLRMNGTACADTALVAVTYSDVALGWARAEPRDCAEGRWAKDLEVVARGGEVGLSRRLRDHMASDWRSGEVELGVTVMMYRLAGWRDTVGENIPRTFDGKVKMTREVTRTT >PVH38704 pep chromosome:PHallii_v3.1:5:33590715:33591023:-1 gene:PAHAL_5G331100 transcript:PVH38704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGTGSGQQGQNNQSTDQTLPMPPPLTPEQFFQLQMQMMATLNNTVQALQQIHAQPPPPPPQQPRDRRADILRGHPPTFSHATDPLQADDWLRSVER >PAN27708 pep chromosome:PHallii_v3.1:5:6139200:6143598:-1 gene:PAHAL_5G100800 transcript:PAN27708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGDRRSPPFEGIAADGGGRAQSPGAGAGRLPPPPGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVAGMRPGRMTRMQSSAQVGLRSLRFLDKTSGGKEGWKAVERRFDEMTKGSGRLQKENFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDLGYIEIWQLETLLRGMVSAQAPEKLKRTTSSLARTMIPSRYRSPLKRHLSKTVDFIHENWKRIWLVTLWLVVNLALFVYKFEQYKRRSAFQVMGYCVCVAKGAAETLKLNMALILLPVCRNTLTTLRSTALNHVIPFDDNINFHKIMALSIAIATAIHTLAHVTCDFPRLISCPTDKFMATLGSNFHYKQPTYPDLLQSIPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYILLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSILKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAQVTSKKATLTRLETTVVADAQIEDTRFPRVYIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDMLNNLKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHSNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKELSKEFSQTTTTRFHFHKENF >PVH37934 pep chromosome:PHallii_v3.1:5:7586102:7586960:1 gene:PAHAL_5G126900 transcript:PVH37934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQVAPGFPNLLINTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRHPDIQPWRVTATGFRHQDTYPLAIRKALRYLCRIFEEHLASTPAKFFPPAIRTPVWEAPALDQLFDEQANLLREQTHRAEQAEFAVRLQQIRAAHAEARAAAAVNSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPENPEGSAAAVERDAEAQPLTNGNPEDSEQGSLTLPAPEEGLPRE >PAN31586 pep chromosome:PHallii_v3.1:5:52315565:52317876:1 gene:PAHAL_5G429700 transcript:PAN31586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATEPVAGDGSICAVSITKRAKLKEPEAEAADGITRRSPKLEAADGAGISPEKPSKKEKGAEEKRKRSKKKRAEEKNKQPNKVEVAPNLSMEEKIMAKLAEFDKKMAEEKGKRSDQEKRIEEFDKKMAQEKREFDKKMAREKSKRSDQEKRMEEMLMRIEKIASKIEEQVAGDGMKKEKMTKVKRAKKLEGVEVRENKVMREMADNEAGGLKKKKVVTWSLSQELLEYLRAKELMGLLASEASLPLWANKMTEELFPNPEDPNPEDPDLKDEIPLKERIAAEFQENREFDAHVLYQYRTEGYVEIEEEVSDEEVDEEVSDKEHDVEADGILVGKKPEKLSKKEKGAEEESKRSNQEKRTEEKNKVKMEFLTMEEEINAELAEEEKKNKRLLTVELSQALMEHYLFHEVLDYMVAKPMVTFGECNFRQALGIYDDPYKGAKFYALRRRSIERNANVLQQYHTKGSAVLQFYATDDETE >PVH38613 pep chromosome:PHallii_v3.1:5:23789402:23796028:1 gene:PAHAL_5G302300 transcript:PVH38613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLGELNLGPAHLICPCHSWPSLPSSPPITTWTRAVSPIPTGNRASSSPPAPQPAAAMWRGSATAASAARALRSRLFPDPIHHPATSLAPMASARASSTAPSAAAANNVIEQAAAVSVSQQAGSVSNALHHYGRCYWELSKARLSALVVATSGAGYVLGSGNVVDIAGLCCTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATSVGAAGTALLAWKANGLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASTELSLNAMILPAALYYWQIPHFMALAYLCRDDYLAGGYRMFSFADPTGKKTAWVSLRNCLYMLPLGLFAYNWGLSSEWFGLEASLLTMGLTIGALSFVLEPSPKTARRMFYGSLLYLPAFMAGLLLHRLPNEQKVHNLTEKSELDGVLYAADLQDDERARQKHEDRKPSRVQSRPPVAYASVAPFPFLPVPIYES >PAN27287 pep chromosome:PHallii_v3.1:5:4431633:4433133:1 gene:PAHAL_5G071900 transcript:PAN27287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGALDDFKVMLTRNEPLTGLARAVALLVIFALGVVAGLWAAAGARQPAYGGIVVPSIVQLPSAAAVYQQPAGACCRPDPDPAFGEFVAPTRLMHDMTDEELFWRATLVPAAAGYPFRRVPKVAFMFLAGHGVLPLAPLWERFFRGHEGRFSVYVHAPPGVAINVSEDSPFYGRQIPSQETSWGSVTLMDAEKRLLANALLDFSNERFVLLSESCIPVHNFTTVYGYLVGSEQSFVEVYYRNTKQCRNRYSRRMAPDITLRQWRKGSQWFELRRDIATSILTDTRYYPLFRRHCRPSCYPDEHYVQTYVAMRHGARNSNRTVTHVDWSTGGAHPVMYGARDATPELVRSIRESREPCTRNARPTTTCYLFARKFAPDALPPLLNMSAAVMQY >PVH38886 pep chromosome:PHallii_v3.1:5:47191111:47194093:-1 gene:PAHAL_5G380200 transcript:PVH38886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPVTRTRPQLRPRPVPLVTTVLISVLVFSVLCHPAAAADASRALHYHRKHRRHHNRHHRAENSGGHIALPPAPALPPDVDGDSPTEPPGLPPDAGDAPAPRRRHHMPCCPPSRPPPSMAPVGAPAPAPAKPPAAFPSTKSPSPARAEAPTHSHVKPPSHESTEPRYRAKPPPSLPPAKPPTFSLAKPPSPAPAKAPSLSPWHVKPTPCSPAKAKPPMPSPARPPRLSPASPPAHPPAKSKPPTASSAQPPRLFPANPPASPTVSAKPPALPPAMSKPITPPAAAAAKNSSSAVFDVRAFGASGNASGNDTRAFRAAWKAACSSSSATATLLVPSDGVFTITSTIFAGPCKSTLTFQIDGVLMPPDGPASWPAADSRRQWLVFYKADGVTLAGKGTIEGNGEEWWDLPCKPHRGPNGSTLPGPCDSPALIRFFLSNDVTVRGLRIENSPQFHLKFDDCARVRVDGLFISSPASSPNTDGVHVENTTAVQILNSRIYNGDDCVSIGAGCSDVHIENVTCGHGHGISIGSLGVHNTRACVSNVTVRNARIIDSDNGLRIKTWQGGAGAVSGVEFAGVRVQNVRNCIVIDQYYCLGSGCANQTSAVRVDGVAYRDIRGTYNPRAGAPIRLACSDAVACTGIAMSGVELLPAGGGGGGAEARLAEPYCWNAYGVMETLTEPPVYCLQEGRPDSLQDQLTSC >PAN32475 pep chromosome:PHallii_v3.1:5:56040273:56041442:1 gene:PAHAL_5G489500 transcript:PAN32475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNIGVAVDFSSCSKAALRWASTNLTRSGDKLILIHVKSSYQNEEGAVHLWEQSGSPLIPLAEFSDVTKTYAVSPDKETIEILTQVANQRGIEVFAKILYGDPAKKLYEAVDLVPLSCMVIGSRGLSTLKRALMGSVSTYIVNHAACPVTVVKENM >PAN27912 pep chromosome:PHallii_v3.1:5:7080581:7084615:-1 gene:PAHAL_5G117500 transcript:PAN27912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MYRVKSESDCEMMLQDQMDSPLADDGSSGGGSPHRGSGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPIYPASVCNQSSSEDQQISGDFNCGENISNDLLSGNSLYLPDFTSDNFIANTEALSYAPQLSAVSISNLLGQSFASKNCSFMDQVDQSGMLKQSGCVLPALSDTVDGVLSSVDQFSNDSEKLKQALGFDYLNEANASSKTIAPFGVTLSGSHAFLNGIFSASRPINGPLKMELPSLQDTESDPNSWLKYTLAPAMQPTELVDPYLHSPIATPSVKSESASPRNSGLLEELLHEAQALRSGKNQLPSVRSSSSSAGTPCETTTVVSPEFDLCQEYWDEHHSSFLNDCAPFSGYSFTESTPPVSAASPDIFQLSKISPAQSPSMGSGEQAVEPKHDTAGSPHPENLRPDALFSGNTADPSSFNDAIAILLGNDMNAEPKPVLGDGIAFGSSSWSNMPHACEISEFK >PAN32329 pep chromosome:PHallii_v3.1:5:55488269:55490388:1 gene:PAHAL_5G478800 transcript:PAN32329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKKPAIATISSLLVLLLIASGAAAARPTRQLTSDDSDDDIGAGAGAASAAPAAAPAVAPVAADAPVAANAIPAAAAGAADAAGTGGAAAAPIAASTIPVGAGAPGVNPAGGAGDHGMVFFMHDILGGTNPSARIVAGIVDNAAVTGQLPFARPNGAVLPLNSGVNVNSGAAGAIDNNNIPFLTGLGGATNAAKSISNNGNGNGNGVPVFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPGLGSAAVGRAQGFYIASSQEGVSQTVAVTAMFKEGGFEDTISFLGVHRTADSESHLAIVGGTGKYVGAKGFAKVAVVRPGGVAASGALLETDGVETVLQFTVFLV >PAN31662 pep chromosome:PHallii_v3.1:5:52616756:52620453:-1 gene:PAHAL_5G435400 transcript:PAN31662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable uridine nucleosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) UniProtKB/Swiss-Prot;Acc:Q8LAC4] MAAAEGARKKVIIDTDPGIDDAMAIFVALRSPELQVLGLTTTFGNVHTALATRNALHLLEAVGRTDIPVAEGSHVTIKKASKLRIASFVHGSDGLGNQDFPAPTTKQVDQTAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAIGLNVTHQVVLTDADREKLEQCDSKYARYLCKIMGIYFDYHKDAYFIKGVYLHDPTTLIAAVNPSLMTYTEGVVRVQTVGITKGLTVFDNTKKRYGEITAWTGMPTVKVAVTVDAPAVVELMMQRLMTDD >PAN29336 pep chromosome:PHallii_v3.1:5:13294609:13297378:1 gene:PAHAL_5G217600 transcript:PAN29336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGRRLARVAAHLAPSSFPVTHAAAPPLVPAPTAASSSSSSPAGDSYRRVHGDVSAEPPEWRAATDESGKEFVDIIYEKSVNEGIAKITINRPDRRNAFRPLTVKELMRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDITIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTADEADKMGLVNTVVPLAELERETVKWCRQILRNSPMAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >PVH38608 pep chromosome:PHallii_v3.1:5:23368018:23370554:-1 gene:PAHAL_5G300600 transcript:PVH38608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVLLRATALGLAAAGAGALHAISRWTPPRDLSPYVPSVRFMLLESAQGLQAALLGAHPLSGKHLRDVRARAEHDLALADVDLTEGGDPATAIDLRLLLAFLATRDGRADDALHIYEEAARDAPFDARPRALAYYLCRLVGREDESVRWSAAYRRLVPVIDGASLVPEMESYEMQGLVRELLVAATVGCVCKIVHPEDRAVVMRAACGAVDQGLVAALQDKALSATERLYLRALRVYLHAKVRLLIKKEARDVADGDAEASPVS >PAN31248 pep chromosome:PHallii_v3.1:5:50438566:50444194:-1 gene:PAHAL_5G407300 transcript:PAN31248 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma carbonic anhydrase 3 [Source:Projected from Arabidopsis thaliana (AT5G66510) TAIR;Acc:AT5G66510] MAGLGKAMYAVGFWIRETGQALDRLGCRLQGKYFFHEQISRHRTLMNIFDKTPHVHRNAFVAPSASLIGDVQVGQGASIWYGCVLRGDANNIQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGENVTVGHSAVLQGCTIEDEAFVGMGATLLDGVVVEKHGMVAAGALVRQNTRIPSGEVWGGNPAKFLRKLTADEIAFIAESAANYCSLSKAHAVENAKPLEKVEFEKVLRKKFANQDEEYDSTIGVTQEAPPELTPSTPAQ >PAN26397 pep chromosome:PHallii_v3.1:5:647181:648911:-1 gene:PAHAL_5G009800 transcript:PAN26397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARSASLAAAVLAALAALLISGCVAQPPVAQGLSFDFYKRSCPKAEAIVRSFVQDAVRRDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEKQAPPNLTLRPSAFKAINDIHNRLQRECGGAVVSCSDVLALAARDSVVVSGGPSYRVPLGRRDSPSFATQQDVLGGLPPPTATVPALLAVLSKINLDATDLVALSGGHTIGLGHCTSFEGRLFPRPDPTLNATFAGRLRQTCPAKGTDRRTVLDVRTPNTFDNKYYVNLVNREGLFTSDQDLFTNAATRNIVARFAQSQKAFFDQFTSSMVKMGQIKVLTGPQGQIRRNCSARNTGTAGMPWSILDEAESLVF >PAN29602 pep chromosome:PHallii_v3.1:5:14869705:14871195:1 gene:PAHAL_5G237400 transcript:PAN29602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPFMDKSPRRRDSAGGRLKQRLAQILTRSSCTTNTTSADASADTAFVSLARAGADSSPRQPEAPPSPYFCTPCTYERPRADGLPRRRRRRTRSASLVHISVDCTGGAAAASGRRSVLSDAPLLQHLSVPARDVRKRGKGGGRGKPAARSPSASRRHLSSSSSSWGRARRPRSTPAPYSWSPSSASSATDDEVAPFSSSDGEAGSQEAETRTVFSSLSLSSDSSEFYRSSTRKIHRSTTARRAPRRALPRRTGEASPGDAFRPLVSVETRKHGGSDERKKKGEEEGVSVKKPTGAAAEETAGAGMAVVKRSSNPYLDFRSSMVEMVVERRIGSVGQMEELLGSYLSLNSPRHHPAILAAFEDVWEAVFGEE >PAN33076 pep chromosome:PHallii_v3.1:5:59111343:59114357:1 gene:PAHAL_5G535200 transcript:PAN33076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRSYNKLSGANDKMDSNTQANSHSNSASERNQPGLSTNPSAASKPEVHSHIPSDKKSKHKMSDPADKNIPSHATNHAANPVPAMVSEAGATPMEASGKGDKTVRRGSFDTSRSNSLDSSSTGHIKRHTGSDGRWEAIQLATCRDPPLSLLHFRLLKRLGYGDIGSVYLVELRGTQTFFAMKVMDKESLINRNKLARAETEREILGLLDHPFLPTLYSHFETDKLYCLVMEYCCGGNLHSLRQQQPNRHFNEQSARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSVHAGANGVEKSLVHTAGASQGCMQPSAFFPRMLSKKSRKTKSDFSVNGSFVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGSSNRATLCNVVEQPLRFPDSPPVSNVARDLIRGLLVKDPLKRIATKRGASEIKQHPFFEGVNWALVRGAHPPSVPDPVDFSQFRSKEKMATERTVAAAPSSLPAGVAAANSSANFQYF >PVH39311 pep chromosome:PHallii_v3.1:5:55259020:55274288:1 gene:PAHAL_5G475100 transcript:PVH39311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSSMTGKAGASGFGSASTAEQVTDGVDASHLTVVITGGASGIGLETSRVFALRGIHVIIAARNTEAASKAMKTIMENNPAARIDVLKLDLSSLKSVRAFADQFNSMKFPLNILINNAGVMFCPFQLSKDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSYAHHVTYPKGIEFDKINDEKKYSDKMAYGQSKLANILHAKELSRRLKEEGANITVNSVHPGMIMTNLFRHSFVLMKLIQVATYLLWKNVPQGAATTCYVGLNPQLRGVTGKYFADCNVAKTSKLARSDELAKQLWDFSEELTKSAQ >PVH38410 pep chromosome:PHallii_v3.1:5:16008258:16012192:-1 gene:PAHAL_5G252300 transcript:PVH38410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MYNALKSEPVLAVYCFFIGCLRRVCTCVLEASGTRYCGRRRECKRPKSSNCLYNCTSVHGRRDGGSSFWLPQLLVCPHHCIRPALRSRALAAKPAFFDFDRATVPVHRSFHHHWAQYALGAMAVGVPWPLCLLACLLLGAGGVAGNARAPPRVARALSRPLIVNDGRVVACSGNDLLAFEGNGSIAWVAPLGLRCNDTISPVSDGKKVYLVAEDRVIKVTPPNVRTAKPASEVFFSYNATPGRSEEIVGLSVTGSYSSLFLTIRNLGLFEFSLEGELQWSLGPVLDWFGYRLGCKGNISGCYFDSAPVLDHRAGALYIFNTEGQIYSFNFQSRALRWIQDLTSLDKVMTLAPGSSRCLYIVFPRKSIVVGLDVSTGNISWQQSIGPLINEKSFPTVDNNGWMSIGSLDGILYSISPDGDLRKLFEETALDSAIPVDPVLDCSGFSMYVAKTVVEGKSIWTTGEYTSVSVMKPSRSLVTLLDPANGTIYWTGEYPGNLSNLMSIGDLNDFAVDETLLLTLLSASRFSNATQCDARKTFAWYCRQNKTKSAQADPGENNPVLLVLLVFLLIIIVIQAEAFCFCCIFWRKKKLRDKGLQKFLEKRRSLQSKKRGLGKMISELEQQAAEDATSNETLGELGEMVKAKEGVERKLYVSYSLGRDRLGLKQGSSILPLYHGKYKSHSFHSSPDESIHVFNNPSDTSTSSSCSDDSESSCSSTCSGDTDVDARLKSVEEAGPSNTANIGERVQEECPSDVRSPFHVFTNPSFVEEQCTGSSGNALSQKEERMETAKDFISSKRLSLKRRRTSSTNSN >PVH38409 pep chromosome:PHallii_v3.1:5:16008258:16012192:-1 gene:PAHAL_5G252300 transcript:PVH38409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MYNALKSEPVLAVYCFFIGCLRRVCTCVLEASGTRYCGRRRECKRPKSSNCLYNCTSVHGRRDGGSSFWLPQLLVCPHHCIRPALRSRALAAKPAFFDFDRATVPVHRSFHHHWAQYALGAMAVGVPWPLCLLACLLLGAGGVAGNARAPPRVARALSRPLIVNDGRVVACSGNDLLAFEGNGSIAWVAPLGLRCNDTISPVSDGKKVYLVAEDRVIKVTPPNVRTAKPASEVFFSYNATPGRSEEIVGLSVTGSYSSLFLTIRNLGLFEFSLEGELQWSLGPVLDWFGYRLGCKGNISGCYFDSAPVLDHRAGALYIFNTEGQIYSFNFQSRALRWIQDLTSLDKVMTLAPGSSRCLYIVFPRKSIVVGLDVSTGNISWQQSIGPLINEKSFPTVDNNGWMSIGSLDGILYSISPDGDLRKLFEETALDSAIPVDPVLDCSGFSMYVAKTVVEGKSIWTTGEYTSVSVMKPSRSLVTLLDPANGTIYWTGEYPGNLSNLMSIGDLNDFAVDETLLLTLLSASRFSNATQCDARRETFAWYCRQNKTKSAQADPGENNPVLLVLLVFLLIIIVIQAEAFCFCCIFWRKKKLRDKGLQKFLEKRRSLQSKKRGLGKMISELEQQAAEDATSNETLGELGEMVKAKEGVERKLYVSYSLGRDRLGLKQGSSILPLYHGKYKSHSFHSSPDESIHVFNNPSDTSTSSSCSDDSESSCSSTCSGDTDVDARLKSVEEAGPSNTANIGERVQEECPSDVRSPFHVFTNPSFVEEQCTGSSGNALSQKEERMETAKDFISSKRLSLKRRRTSSTNSN >PVH38408 pep chromosome:PHallii_v3.1:5:16009137:16012192:-1 gene:PAHAL_5G252300 transcript:PVH38408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MYNALKSEPVLAVYCFFIGCLRRVCTCVLEASGTRYCGRRRECKRPKSSNCLYNCTSVHGRRDGGSSFWLPQLLVCPHHCIRPALRSRALAAKPAFFDFDRATVPVHRSFHHHWAQYALGAMAVGVPWPLCLLACLLLGAGGVAGNARAPPRVARALSRPLIVNDGRVVACSGNDLLAFEGNGSIAWVAPLGLRCNDTISPVSDGKKVYLVAEDRVIKVTPPNVRTAKPASEVFFSYNATPGRSEEIVGLSVTGSYSSLFLTIRNLGLFEFSLEGELQWSLGPVLDWFGYRLGCKGNISGCYFDSAPVLDHRAGALYIFNTEGQIYSFNFQSRALRWIQDLTSLDKVMTLAPGSSRCLYIVFPRKSIVVGLDVSTGNISWQQSIGPLINEKSFPTVDNNGWMSIGSLDGILYSISPDGDLRKLFEETALDSAIPVDPVLDCSGFSMYVAKTVVEGKSIWTTGEYTSVSVMKPSRSLVTLLDPANGTIYWTGEYPGNLSNLMSIGDLNDFAVDETLLLTLLSASRFSNATQCDARRETFAWYCRQNKTKSAQADPGENNPVLLVLLVFLLIIIVIQAEAFCFCCIFWRKKKLRDKGLQKFLEKRCSALFRVRREA >PVH38411 pep chromosome:PHallii_v3.1:5:16009137:16012192:-1 gene:PAHAL_5G252300 transcript:PVH38411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MYNALKSEPVLAVYCFFIGCLRRVCTCVLEASGTRYCGRRRECKRPKSSNCLYNCTSVHGRRDGGSSFWLPQLLVCPHHCIRPALRSRALAAKPAFFDFDRATVPVHRSFHHHWAQYALGAMAVGVPWPLCLLACLLLGAGGVAGNARAPPRVARALSRPLIVNDGRVVACSGNDLLAFEGNGSIAWVAPLGLRCNDTISPVSDGKKVYLVAEDRVIKVTPPNVRTAKPASEVFFSYNATPGRSEEIVGLSVTGSYSSLFLTIRNLGLFEFSLEGELQWSLGPVLDWFGYRLGCKGNISGCYFDSAPVLDHRAGALYIFNTEGQIYSFNFQSRALRWIQDLTSLDKVMTLAPGSSRCLYIVFPRKSIVVGLDVSTGNISWQQSIGPLINEKSFPTVDNNGWMSIGSLDGILYSISPDGDLRKLFEETALDSAIPVDPVLDCSGFSMYVAKTVVEGKSIWTTGEYTSVSVMKPSRSLVTLLDPANGTIYWTGEYPGNLSNLMSIGDLNDFAVDETLLLTLLSASRFSNATQCDARKTFAWYCRQNKTKSAQADPGENNPVLLVLLVFLLIIIVIQAEAFCFCCIFWRKKKLRDKGLQKFLEKRCSALFRVRREA >PVH38077 pep chromosome:PHallii_v3.1:5:10352074:10352934:1 gene:PAHAL_5G170700 transcript:PVH38077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAAEKGKALADGSESAAESAARRSREWTTWAMKKAKVVAHYGFIPFVILVGMNSEPKPRLAQLLSPI >PAN31068 pep chromosome:PHallii_v3.1:5:49013715:49014811:-1 gene:PAHAL_5G393000 transcript:PAN31068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHLLLLPVLLALLVGANAADPAPATIVLKDGTTCTLCASCDNPCNPSYYPPPSPPPAPVTTPCPPPPSYPAPSGGGGGGGGGGGPIVYSSPPPPASTGGGFYYPPPTGGSPGGGGGNNGASQQGGGGGGGAYPTPPPPNPFLPYFPFYYYSPPPPHYSAAWSPAATLLAVMLSGLLLLLLQW >PVH38858 pep chromosome:PHallii_v3.1:5:44916728:44919821:-1 gene:PAHAL_5G371200 transcript:PVH38858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSPRAAELTTLLESRMTNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSTINPELLKSFLEKGGLTNERKMEPDASLKESALPYL >PAN32321 pep chromosome:PHallii_v3.1:5:55463193:55464750:-1 gene:PAHAL_5G478200 transcript:PAN32321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDTAPHVVEDFFGVIRLLSDGSVVRADQAVLMRAEPPFLDVPGVQWKDAVYDAARGLKVRLYRPSPSSVAAGEGGSINNKLPVLVHFHGGGYCVGSYDQLGGADYLRRRLAADLPAVVLSVQYRLAPEHRLPAAIEDGAAFLAWLRAQAALGAGAEPWLAESADFAWTFLSGVSAGANLAHHLAVRAGSGQVALAPVRLAGCALLSAFFGGVERTAAESDPPDGVSLTVEMADQLWRMALPEGATRDHPLANVFGPDSPDLEPVALPPVLVEAPEVDVLRDRVLLYAARLKEMGKAVELAAFKGEQHGFSVLRWGEANEELIRILKRFVGQMK >PAN29443 pep chromosome:PHallii_v3.1:5:13993888:13997534:1 gene:PAHAL_5G226700 transcript:PAN29443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSTSYLVQGGSIASSWGAVYAAPCDGRRSERGRMAETDSDDDDDDYDCAPAA >PAN27017 pep chromosome:PHallii_v3.1:5:3421331:3425405:1 gene:PAHAL_5G054100 transcript:PAN27017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPAAATFLHHHLPLPSLRPKTLLRTRLRRLAASISPSPPDETPAADPPVIPSISIENTEPEEVARRRSWVEHGWAPWEEVMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPAYRKKVEAEAGYIERLFATRDTPEPLETTWAGRLPLRLVPPRDWPPPGWEVDPDELEFIREAHREASERLDMEAAAAAGVTNVEKLEDAPKDLALDRYKMFLKQYKEWVEANRDRLEQESYQYDQDYYPGRRKRGKDYREDMHELPFYYPGQICYGQVTTVHLYQGAFVDIGCVHDGWVSIKGNDWYWIRHHIKPGMKVYVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDFPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVDTLWEWHNAEQMILDYEEQNPDKFKDTTYESTVDASSFDEENRVEYTEGYFKETRLKKKVVNVNIKELDLDAARAERQLIKRLKKEAEERGEQYKVGKLRRNREMDEYDLMQWRRSFEEREALIRDICCRKALGLPIEEPGRYDVDEAEVYGKDYYDPEKPMYRYDYWGEPKNTEKTRLERDVERHNQQVVGDAKKWCEMSYDDYIRKKLWLEAAEARERQRKASEPQEEEEEYDDGMDLDLKKMTDPRAPHNRFYITK >PAN32053 pep chromosome:PHallii_v3.1:5:54245216:54247118:1 gene:PAHAL_5G459400 transcript:PAN32053 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 zinc-finger transcription factor, Floral organ identity, Cellular proliferatio [Source: Projected from Oryza sativa (Os01g0129200)] MRQEGSPLDLNNLPEEYGKQAVESSTTTATSSADAVRIKKKSGGGKDDAAKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFGNESLAAVGAQMSFRDVNMGGTAPSTMLGGGFRGVATGGSGIGDPCVAFRPVHPRPPYHYLYTAAPPSTLHPMSYPATYPGPPRQPAVGDYVIGHAVSAGDALMQPPHRGSFSCFGGPLSAPPAATAAPAVAANLQADKVNCNCSFGCGGHSRNNNVNASS >PVH38021 pep chromosome:PHallii_v3.1:5:9132066:9135187:1 gene:PAHAL_5G152000 transcript:PVH38021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWRRASAADAPSSEEPARPLAGGNARVSPELSAERDVEGQEGEEWRWSALVPELLADILRRVDAGAERWPGRRDFVVCACVCRRWREAALALVRPPRLCGGITFLASLKQPGPRDAPIQCFIKRNKKKSTFHLYLSLTQAALTGQGKFLLAARRNRCGLRMEYIISIRDDLSHGSYVGKLKSDFTRTKFTIYDQQPQYDGAKLPTSRCRRWLASKQINPLVSTGIVDTGVVAYEYNLLKSRGPRRIHCSVQCPADDGTAIDPEEANQPSTPLVLYNKLPRWHEHLQCWCLNFHGRVLVASVKNFQLIAPAGTGEPWGLQDDETVILQFGKIEDDVFTMDYRQPLSAFQAFAICLTSFGSKLACE >PAN29170 pep chromosome:PHallii_v3.1:5:12547845:12549651:-1 gene:PAHAL_5G206100 transcript:PAN29170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTVATPAPLLDMPAPPSSDATANGAARRRRLLLLCANYAALLGGSVASSLLSRYYFAHGGHSRWVATLVQSVGFPVLLVPVYAGRPAAQPRPFAWFTRRLLAACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAVIVRHPLTFSNLNAVVLLTLSSVLLALRSSDSGERPDGGSRARYFVGFAVTLGAAGLFAAYLPVMELVYRRAVSGGFRMAVEVQVIMQAAATGLAVAGLAAAGGWREEMARWDLSPAAYWAVVAALVVTWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVVVFRDPFGSEKAVATVLCVWGFSSYLYGEYSTQQKAQEGDAKVAAASTGGGADKSDASGGVGGGGGGAVETV >PAN28025 pep chromosome:PHallii_v3.1:5:7522404:7523931:1 gene:PAHAL_5G125700 transcript:PAN28025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGHKANPPPLVRHASADADPPAPFEKQPPPYSVQSGACQDLPPTVRRCGPSLSLVCAAGPKAPRPAARRAKSKGERGGGRRVSVRGARPSSPAPQAAAAPCGGARTESKSAERALSRKARMGTGERTPTLGAGRGSVVALGPRRREGAGGNAVSRWWEQLGPCRTWGEKARGPRCRRRFLTGCVAEAASRRQAQACGWLGRIPLRTHRPSPGLRRPHTGPAGNPDASPSCRPLCGGAVAVFVTRQQR >PVH38250 pep chromosome:PHallii_v3.1:5:12855370:12858404:1 gene:PAHAL_5G210800 transcript:PVH38250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >PAN30031 pep chromosome:PHallii_v3.1:5:17416426:17421021:1 gene:PAHAL_5G268600 transcript:PAN30031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLIFKNLSKGHHEASRMSIETGAYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVFILFWLKNKIW >PAN31410 pep chromosome:PHallii_v3.1:5:51468770:51474223:-1 gene:PAHAL_5G417600 transcript:PAN31410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGVSGFQNAPVTRAVVVASGLLSVIFSAQRRGRALGISYQEIITNFRLWKIFPSAFAFQSTPELMFGLYLLYYFRVFERQIGSNKYSVFCLFTITVSSLLEILSLVILKDTNYISTLASGPYGLIFASFVPFFLDIPVSSRFRIFGLNFSDKSFIYLAGLQLLLSSWKRSLIPGIFGLVAGSLYHLNVFGIRKMKIPQVISSFFARYFAPSPGSTPRPSRTVVGNTPSQTGRAVQNQPSTGFAPMVEPPESSVAMLVSMGFDGNDARQALMRARNDINVATNILLEAQSR >PAN31622 pep chromosome:PHallii_v3.1:5:52458291:52461931:-1 gene:PAHAL_5G431900 transcript:PAN31622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCDYCDKQFQDTPAARKRHLQGTQHQRARALWYDSVRHQDQHGGASSLLLPDGTLAKGVCHHFVRTGTCKYGDSCRYFHPKPDVANPSLAAPGPGPGTMVQQSNFLGSQPNFVGYQAAERNSFSGNVSGAHTSWSWGNLPPSLQPPPEVGYPPLPFVDWG >PAN27927 pep chromosome:PHallii_v3.1:5:7126670:7129738:-1 gene:PAHAL_5G118700 transcript:PAN27927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRLGLAGARRRRGPAARGLASGAPREAADAVVVGAGVVGLALARALAMAGREVVVVEAAPSFGTGTSSRNSEVIHAGIYYPPRSLKANLCVRGKEMLYKYCAERGVAHKQLGKLIVATGAAETGKLDMLLMNAKQNGVNDLQLMEGSQAMEMEPELRCLKALLSPSTGIVDSHSFMLSLLGDAENLGTTISYNTAVIGGHVGYEGLELHICESKELQNHPGGSYVTPQLVLLPKLLINSAGLSAIPLAKRFHGLDQAFVPNPHYARGCYFTLSQSKSPFSHLIYPLPEDGGIGVHVTIDLNGLVRFGPDVEWLDGVEDLVSCFLNRFDYSVNPTRGSVFYPMVRKYFPNLKDGSLEPGYSGIRPKLSGPGQPPSDFFIQGQDIHGIPGLVNLFGIESPGLTSSLAIAEHIVSRYL >PAN30708 pep chromosome:PHallii_v3.1:5:43281980:43286873:1 gene:PAHAL_5G365800 transcript:PAN30708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAGDVEVVDFDSDDDDLMDDDAPEVNPAPAAPRLRSTIAAGGDSTAAARKTKGRGFREEPSSSRPLAGRADFDSLGSDDGPGPLRSIEGWIILVTGVHEEAQEDDLHNAFREFGQVKNLHLNLDRRTGFVKGYALIEYESFDEAQAAIKAMDGTELVTQIINVDWAFSSGPVKRRNVRRRSRSPARRRY >PAN26780 pep chromosome:PHallii_v3.1:5:2412858:2413402:1 gene:PAHAL_5G038300 transcript:PAN26780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVTKTSARGAKGKVAMVYSKYVKPQSNSAVSITHQLKPSPVGAAASASYMDDIDERASAFILAVRERFKNEHNM >PVH38841 pep chromosome:PHallii_v3.1:5:43580031:43581432:-1 gene:PAHAL_5G367100 transcript:PVH38841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKDVILVLSFFGTMNSPIVKEPTPQAPKPKVSSPEECPSKFIKVINKLTDEQKKVISDIGFSALLHLSSLMFSCS >PAN29054 pep chromosome:PHallii_v3.1:5:11966328:11967671:1 gene:PAHAL_5G197300 transcript:PAN29054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARRKKAPAEAAKKRPGGGARGPRPGASPAAPPADPTGSGAAAAECAAACCVLCACLPVAVLCCVARAPLRVARRCCGRWRRRPRRRLAPGGSSSFSDAEVGEFLQGGAGARRRAMGREEGQAPARSRSPRGRGGRTT >PAN31134 pep chromosome:PHallii_v3.1:5:49625603:49628750:-1 gene:PAHAL_5G399300 transcript:PAN31134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAAMASASSSSSRCHPTLAVALLCAIAAVSSAAAGGAGGRGPITTAGRNYTRVCDPARFAALGLDASRFRYCDASLPYADRVRDLVGRMTLEEKVRNLGDQAEGAPRVGLPPYRWWGEALHGVSDVGPGGTWFGDVVPGATSFPLVINAAAAFNESLWRAVGGAVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVNFVRGMQDVGSGRTAAAGDPFARPIKVSSCCKHFAAYDVDAWFKADRLTFDAQVQERDMVETFERPFEMCIRDGDASCVMCSYNRVNGIPACADARLLTETVRDQWQLHGYIVSDCDSVRVMVRDAKWLNYTGVEATAAAMKAGLDLDCGMFWEGARDFFTTYGVDAVRQGKIMEADVDNALTNVYLTLMRLGFFDGMPEFESLGATDVCSKEHKELAADAARQGMVLLKNDAHRLPLDPNKIKSVSLVGLLEHINATDVMLGDYRGKPCRVVTPYNAIRKMVNATYVHACDNGACDTAEGMGRAARTAKIADATIVIAGLNMSVERESNDREDLLLPWNQTGWINAVAEASPYPIVLVIMSAGGVDVSFAQNNLKIGAIVWAGYPGEEGGTAIADVLFGKHNPGGRLPLTWFKNEYVNQIPMTSMALRPDAARGYPGRTYKFYDGPAVLYPFGHGLSYTNFSYASATTGATVTIPIGAWEHCKPLTYKSGAAPSPAPACPALNVASHRCDEAVSFAVTVRNTGGVGGGHVVPVYTAPRAEVGDAPRKQLVAFRRVFVPAGAAVEVPFTLNVCRDLAIVEETAYTVVPSGASAVIVGDDALELSFAVTINLAA >PAN28458 pep chromosome:PHallii_v3.1:5:9323078:9326469:-1 gene:PAHAL_5G154200 transcript:PAN28458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPRIPAAVHHWPEGGHHGAAVAWADDFAEFAASRRGAHRRSLSDSVAFVEVAPADGAAGEFDRLDDDQLMSMFPDEAGGGSSSAPGSENGGSSDSDGDKRGGGAPAGGATNGCDDERSEAADSQALASGQAVGAAASTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYEQQNLKMPAGAAASDHGPPPPVRAEKELMS >PAN28329 pep chromosome:PHallii_v3.1:5:8699928:8701135:-1 gene:PAHAL_5G145300 transcript:PAN28329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKQKQFVPRAMASEELMQAQAELWCLSYGFLKPMALRCAAKQAHLSRLMRLLAALRIFTEGEGGDAGVYRLTPVSLLLVHNAEANGGACLSQFATSATALSNLSAYLRLDEWFKQSGEDGGGASSAETPFVMAYGTDYWAADARDAERSARFSELMGSDSRLVAEVVVRECGEVFEGVASLVDVGGGNGTMARAIAGAFPHVRCSVLELPHVVEGFVAGDMMDSIPPADAVLLKNVLHDWSDEGCVRILRRCREAISASGPEGKVVIIDMVVGGSPSSEEAFEAQLLMDMCMMVLSTGKERGEETWSKIFTHAGFTRYKIRPVLGARSVLEVYP >PVH38617 pep chromosome:PHallii_v3.1:5:24178705:24179666:-1 gene:PAHAL_5G303700 transcript:PVH38617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHTVVEHPSSTLGSAKVGNSDCSKKEELVLPTDSKHDSAKFSEDSSQEVRKCSELRASDLAAPLSDEQLALLLHQQLNSSPRVPRVPRCHQAAGTQMLHPTGATSKRSSAHGGRDHAAVRLLAPGGHKGFFLCHWRT >PAN29831 pep chromosome:PHallii_v3.1:5:16017469:16019449:-1 gene:PAHAL_5G252600 transcript:PAN29831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDKAIESYKKAVTTAASVAASAMLVRGVVNELLPYEVRDLLTSGLGYLRSRMSSQHTVVIEETEGWAANQLYDAARAYLATRINTDMQRLRVSRVDEGKSLMFSMEEGEEMADLHDGAEFRWRLVCRDNPGAGAGNGNGGRGGNGSYRLEVRSFEMSFHKKHKEKAITSYLPHILATAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFSTLAMDHKMKQSVMDDLERFVKRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSVDLQQRDEGQDGTKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSISDHDTYPEIEELIKEVMVTPAEVAEVLMRNDDTDIALEGLIQFLKGKKSDAKDSKGENVDHVAKEDAKEMTTKQDASGDQNLNDAGKE >PVH37883 pep chromosome:PHallii_v3.1:5:6793677:6794919:-1 gene:PAHAL_5G112600 transcript:PVH37883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAYGKKGTQVKNDRVAKEDMGKLERNRIGRRENKCGELTSRTPGILAEQSCARTDNRRRHRKLQEPGRPLELRADTSLCSRDESTSSTKPDRAAGTARSTGRKRRAGSGRRRRTEGSRAGEETADKLAFASFLLRRQSQQVAAAEQETAAKRRSPVFNSASGSSAPVVTAAIGR >PAN31180 pep chromosome:PHallii_v3.1:5:49970524:49971678:-1 gene:PAHAL_5G402200 transcript:PAN31180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLHVFRPRHARGEAEQQRNSPARVVREALGKALVDYYPFAGRFVDGAGGPASARVECTGEGAWFVEASAACGLEDVACLNHHPLAIPAEDLLPDVESGVQPLDIPLMMQVTEFTCGGFVVGLSSSHALTDGLGAGQFINAVGDYARGLPKPRVSPTWARDLVPNLPKLHLAPPPESRPANVPVPVPHRGPEHRQRQHGQVPVPRGDGAALLTRSLRLPDPLERVTLCFFADARRHVPKDGAGAPGFYGNCFYPVTLAAEAGAVARADVAGVEGMVRGAKARLAAEFARWAAGELLGEEEPYALWLRREPLFVSDWRRLGFLEADYGWGAPSHVAPLATLWFMPVALIVTPPAPRTGVRVTTRCVEEEHMPAFREEMTAFEE >PAN28095 pep chromosome:PHallii_v3.1:5:7790816:7791972:1 gene:PAHAL_5G130700 transcript:PAN28095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAVSMEGTTKALLAYVAVALSLIHAVTAADYVVGNPGGGWDGRTDYKSWAAAQTFAPGDTLTFKYSSYHNVLEVTKDAFEACTTTNPISFDNSGSTTVVLTMPGTRYFICGAPGHCLGGMKMEIEVAHRPAPTTPSSPPLPPPPPPAARDAKRRPALAPTALSPAPMPWAPAPAPADAPPRHAGHKKQHKKKRAYCAPGTLVAPARPPTVQAVESLFPFAEVAPMSSTPPPPATSSGGPAVPRAARGEAAAALAALVGFMLLAL >PAN31375 pep chromosome:PHallii_v3.1:5:51272279:51283443:-1 gene:PAHAL_5G414600 transcript:PAN31375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPDPLAFSASAPFPTIPAASSPRPRAGRPRRPFRSDHPAAASIRRSGLDISRRPAASAFAQHEGSPSVGSWGASRGANFVFGSGAAGAPEMRRSFSSGSGEALLTDLSAAIDDLVLDDGSGRRSDADAPGGDDPAPVTNASGPFWTRGSNSSLHEGLFPDSIHDQTEKLDEGSRAPSETIQCDSAEAASLASPTCSDHDAPIEFARFGDSLPVRKSVDEGSLPEDGSKIPAHGVNVQQDVFVFGGHAGYRDLTANATQTSISKDAKHAFGSTNKNPLHSEPCEISSTAKFASSFGSEDGGAKVSFINVSYDIKAVEASELNECRSFGEKSFTAQDHNVASKNKGGVKGVIKNRRTVMPKKFPSAHQVSSSESVPRRSDNCSGKASLEENVKLKEASSFALSDSGINCARGNSYNSASQITDSSHGGTEFTSSANMEHSGQSDFIFSASTFNQSTLHLQRRHSKKKSGGMCNHANSIQSLPSSAVGPARSEVSASQQCADSAARWTEYSKMEPNRVTTCTKTENFEHHEDCETWRLRGNQAYAEGQLTKAEECYTHGIDSFSPNEASKKALMLCYSNRAATRMSLGKMREALSDCREAIGIDSSFLKAQVRAANCLLALGDVEEAQKAFEMCLKSNHLSSLDHRIVEEASNGLQKAQKISGCMHQSKEYLIKKAFDNIPSALQMISDALSISIYSDNLMAMKAEALLLLQQYEEVIRFCEETLYVAERNSLCLCPDKHSESNNLDTNTCYVKLWRYHLIAKSYFFLGKLEEAHQFLKKYDQVKVMECRCGKQSQESISSFSMESISSFSMAIAELLRLKAAGNEAFQSGKYLEAVEHYTTALLSNSESLCFLAVCFCNRAAAYQAMGQILDAIADCSLAIAVDGDCAKAISRRSSLYELIRDYDQAENDLHRLITLLDKQLQENMSMPSEKTESIRSNLNRANLRFSSLKRDARKGTSLNVYLILGIEPSSSAVDIKKAYRKAALRHHPDKAGKFLVRSENISDAVWREITNEIRRDADYLFKIIGKAYSMLSDPTMRRE >PAN31376 pep chromosome:PHallii_v3.1:5:51273187:51283289:-1 gene:PAHAL_5G414600 transcript:PAN31376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPDPLAFSASAPFPTIPAASSPRPRAGRPRRPFRSDHPAAASIRRSGLDISRRPAASAFAQHEGSPSVGSWGASRGANFVFGSGAAGAPEMRRSFSSGSGEALLTDLSAAIDDLVLDDGSGRRSDADAPGGDDPAPVTNASGPFWTRGSNSSLHEGLFPDSIHDQTEKLDEGSRAPSETIQCDSAEAASLASPTCSDHDAPIEFARFGDSLPVRKSVDEGSLPEDGSKIPAHGVNVQQDVFVFGGHAGYRDLTANATQTSISKVDSADKDGVTYNSGQLNASVAKDSTCTKFILQDAKHAFGSTNKNPLHSEPCEISSTAKFASSFGSEDGGAKVSFINVSYDIKAVEASELNECRSFGEKSFTAQDHNVASKNKGGVKGVIKNRRTVMPKKFPSAHQVSSSESVPRRSDNCSGKASLEENVKLKEASSFALSDSGINCARGNSYNSASQITDSSHGGTEFTSSANMEHSGQSDFIFSASTFNQSTLHLQRRHSKKKSGGMCNHANSIQSLPSSAVGPARSEVSASQQCADSAARWTEYSKMEPNRVTTCTKTENFEHHEDCETWRLRGNQAYAEGQLTKAEECYTHGIDSFSPNEASKKALMLCYSNRAATRMSLGKMREALSDCREAIGIDSSFLKAQVRAANCLLALGDVEEAQKAFEMCLKSNHLSSLDHRIVEEASNGLQKAQKISGCMHQSKEYLIKKAFDNIPSALQMISDALSISIYSDNLMAMKAEALLLLQQYEEVIRFCEETLYVAERNSLCLCPDKHSESNNLDTNTCYVKLWRYHLIAKSYFFLGKLEEAHQFLKKYDQVKVMECRCGKQSQESISSFSMESISSFSMAIAELLRLKAAGNEAFQSGKYLEAVEHYTTALLSNSESLCFLAVCFCNRAAAYQAMGQILDAIADCSLAIAVDGDCAKAISRRSSLYELIRDYDQAENDLHRLITLLDKQLQENMSMPSEKTESIRSNLNRANLRFSSLKRDARKGTSLNVYLILGIEPSSSAVDIKKAYRKAALRHHPDKAGKFLVRSENISDAVWREITNEIRRDADYLFKIIGKAYSMLSDPTMRRE >PAN28409 pep chromosome:PHallii_v3.1:5:9084402:9087194:-1 gene:PAHAL_5G151200 transcript:PAN28409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGAQLERRSSVRRTQGMAQEEERGQTADEEIMLRSQGGNTEPAGGSAKVVAALDKDSAAPKSREQSAGPSEMDQMKEKFAKLLLGEDMSGSGKGVPSALALSNAVTNLAASVFGEQRKLEPMAADRKARWKREVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKLDTMLLDYLDNFKERTEFWYVKRDSCSESENEGSQRSDEKWWIPIVKVPPAGLSKPSRGWLLHQKELVNQVLKAAMAINANCLMEMSIPDTYIDTLPKNGRASLGDALYRIITDVEFDPDDFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSSWGSAVSQEKREQFEERAQTLLLIIKHRFPGIPQSTLDIAKIQENRDVGFALLESYSRVLESLAFNVMSRIEDVIQADNVAREKAKRDAPAEAAGRRDPQNGGEDGGTTLLDFMGWTGDSEGRNDDCSPPPPPELPAQDDGRLMKLPNIMTNLKQTYMDKLDFLSGNRSPSARH >PVH38658 pep chromosome:PHallii_v3.1:5:29469164:29470577:1 gene:PAHAL_5G318500 transcript:PVH38658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQWRSTPTRVGSIEMTSLVTQIEYALDILDGATITYLEKERPTITREHFVQGHMLRVAADGSFIMIFYRGYITEVSLPCMRLGLYAIKRLTHSLEEGRPPRPEWRELARHSVSGAGPTTRARTRRMRETVGPSRPQPPPPETSATEASHGAYSGGWHVGSYGLGYTKGAYQGGPSHQQFSRMQSARFPPNYYESISHVVETVDDTNTRVGRIETTLNENTKMMNDFFGSLGHVRVIDQVWGRGPHRTMKLASCIIT >PAN31696 pep chromosome:PHallii_v3.1:5:52773603:52774444:-1 gene:PAHAL_5G438100 transcript:PAN31696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVLIAVLFFVLLTPGLLCQIPGGNRGIPEFHSMRTSGMAIFVHTLLFFGFCAIFMIAVGVHLYAD >PVH39321 pep chromosome:PHallii_v3.1:5:55441567:55442089:1 gene:PAHAL_5G477500 transcript:PVH39321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANTTTELTMKLLINARERRVLYAEASLVVAFLYSLLVSPDNSITLDDMTWHGCTDSIYDSLEELDDLAAAAATRGGAPSPGGRPPPPPPPAAAPALLRVHDRRGARCGEYVAGRSGATCPSCGGRMDAEAPRGASIVGQTGQARWAGTGTALESTALERPGTWPIVPVPC >PAN29905 pep chromosome:PHallii_v3.1:5:16516962:16519636:-1 gene:PAHAL_5G258100 transcript:PAN29905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNPRILGSGERTLVLSHGYGGSQAIWDMMLPHLARRNKVLLFDWDFSSAPDAGEEGEQSCYTFSRFADELVALMDEMKLSGAVYVGHSFAGMVGCIASVNRPDLFAHLILVGASPRYMNSEDYEGGFDKSDIEAMLGSIATDFRGWAEGFVPLAVGSADPSAVEPLARSFFAMDPRAAHGLARMIFLGDQRGVLDDVAVPCTLVHVSRDFAAPPCVGRYMQARMLAARCPAAAMETIDSVGHFPQLVAPDELLGILDLVLGDAHEDADGEETAAAAAALADEMSSEVPAGGLAEAAPEVQGDIDVAT >PAN29176 pep chromosome:PHallii_v3.1:5:12567596:12570109:-1 gene:PAHAL_5G206400 transcript:PAN29176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGAGTPTPTQPPAPHPAVAPLAFLLGKWRGEGEGSFPTISSFRYGEELLFSHHPSKPVISYTQKTWKAASGEPMHAESGYWRPRPDGSVEVVIAQSTGLAEVQKGSYDAEKKTVTLQSELVGNASKVKQITRAFQVADGELSYVVQMATITTSLQPHLKALLKKI >PVH37627 pep chromosome:PHallii_v3.1:5:3071658:3074957:-1 gene:PAHAL_5G048800 transcript:PVH37627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGAPDLTDFMNDWFFGTVGARHSGGGYDLTGESSKRPASPAGKNKQGKSGGGSASKQTQDWLEEAKRMVGAGSPGRMGSPSRQVPRFAGGSGAEPSPTLDRRDPMSRSARRHWQPGGIGDEILQRASISSPPRSDPFTSSAPPSPSPSLPPNPQSSRRKSRFRDGPTPDSPHRRTTSTSTSPTSAAHSRHRRHASASSAPAFAADGFDDGVARLNSFLRRQRAVIDDLAAGDRPASRPTKLVLSDASKSASSIVAAICYAWMLSSKADGQAAVPVVNMRRSRMARCRQAAWLLYHVGIDTSALLFADEVDMDGLIMGQRVSLLVVGQDVLKSKTEVSSVCTILTNTYCEDAYSLLQSLDIKKLLLAGILLDTSNLSKKCSNRDSDAVQLLLFGTSEHMRHELFQQLMLDHNDHSFVEYLKNTYRSSTTDDNDESPPEQKRSTSASGSSQDAKKSNSSNFFGTSITIR >PVH37626 pep chromosome:PHallii_v3.1:5:3071061:3074957:-1 gene:PAHAL_5G048800 transcript:PVH37626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGAPDLTDFMNDWFFGTVGARHSGGGYDLTGESSKRPASPAGKNKQGKSGGGSASKQTQDWLEEAKRMVGAGSPGRMGSPSRQVPRFAGGSGAEPSPTLDRRDPMSRSARRHWQPGGIGDEILQRASISSPPRSDPFTSSAPPSPSPSLPPNPQSSRRKSRFRDGPTPDSPHRRTTSTSTSPTSAAHSRHRRHASASSAPAFAADGFDDGVARLNSFLRRQRAVIDDLAAGDRPASRPTKLVLSDASKSASSIVAAICYAWMLSSKADGQAAVPVVNMRRSRMARCRQAAWLLYHVGIDTSALLFADEVDMDGLIMGQRVSLLVVGQDVLKSKTEVSSVCTILTNTYCEDAYSLLQSLDIKKLLLAGILLDTSNLSKKCSNRDSDAVQLLLFGTSEHMRHELFQQLMLDHNDHSFVEYLKNTYRSSTTDDNDESPPEQKRSTSASGSSQDAKKSNSNNQRTTRGSGGKAADEASRGKNNFLAKWFGFGRK >PVH39471 pep chromosome:PHallii_v3.1:5:57444445:57444984:-1 gene:PAHAL_5G510800 transcript:PVH39471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGPPPSSGDRKERKEDGDEDRTRRFPIRSSHFLLPPFSGAQNTHVKREELDRPGARSEAVCIIGELSSRGDGGAFARPWLSRARALFSFPGGASFFLALPQWSVASRSLFALSHRVPGRPAAPSPVSRQRRGPWPAGRLIQRDGCRALRSVWFPCRPLPTDERLPERTVVTATQNI >PAN29627 pep chromosome:PHallii_v3.1:5:15040798:15044209:1 gene:PAHAL_5G239100 transcript:PAN29627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHEPWRSGAEPAGAGAGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEISTLELKVACLNQQVLTCQTYTDKEGLRQQQMTGAASRLHKHYIIPYVGNKRMQAFSEMQGNADFDTTPRPYSSAKTLQWHLVSEKNSKTNRPDQSEFVLGETKTTKPSSSGLRLLGKEPSASPLSKHVQSNMTSLDIVSVSVKDQPKTRHLSSFSSFDNPRHLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVKHRSAKMKNVSVR >PAN32918 pep chromosome:PHallii_v3.1:5:58126068:58129913:-1 gene:PAHAL_5G521700 transcript:PAN32918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPVKKVLVPIAAGSEPVEATVPIDVLRRAGADVTVAAAGGGGLLVDAMYGVKIVADASVADCADASYDLVALPGGIPGAANLGGCTALESVLRRHALKGDLYAAICAAPPLALARWGLLNGVKATAHPAFVDKFPGEVTAVNANVVVDGKVVTARGPAMAMEFALALVEQLYGKEKVDQIAKPMLVRYEPGYTFKELNPIRWQCSGTPKVLIPLANANEEVEAITIIDALRRANADVVVASAEEGVEIVARHNTRIVTDVLLDAAAGQRFDLIIVPGGMPGAKTLAGKEKLVALLKKQVEANRPYAAIGAATAQVLEPHGLLKGKKATTGTSMAGLLADASECENRVLIDGNLITSRSPGTAMEFAVAVVEKLMGREAAREVAEGLLFV >PAN32938 pep chromosome:PHallii_v3.1:5:58125533:58129386:-1 gene:PAHAL_5G521700 transcript:PAN32938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVPSRGVTCGVRCCRCRAVQVLVPIAAGSEPVEATVPIDVLRRAGADVTVAAAGGGGLLVDAMYGVKIVADASVADCADASYDLVALPGGIPGAANLGGCTALESVLRRHALKGDLYAAICAAPPLALARWGLLNGVKATAHPAFVDKFPGEVTAVNANVVVDGKVVTARGPAMAMEFALALVEQLYGKEKVDQIAKPMLVRYEPGYTFKELNPIRWQCSGTPKVLIPLANANEEVEAITIIDALRRANADVVVASAEEGVEIVARHNTRIVTDVLLDAAAGQRFDLIIVPGGMPGAKTLAGKEKLVALLKKQVEANRPYAAIGAATAQVLEPHGLLKGKKATTGTSMAGLLADASECENRVLIDGNLITSRSPGTAMEFAVAVVEKLMGREAAREVAEGLLFV >PAN32157 pep chromosome:PHallii_v3.1:5:54795517:54796415:1 gene:PAHAL_5G468100 transcript:PAN32157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPIRKDDEVQVVRGTFKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKALLDRKARGRAADKAKGKFTADDVAAAAGGAAATGASLQEID >PAN31432 pep chromosome:PHallii_v3.1:5:51580557:51584326:-1 gene:PAHAL_5G419400 transcript:PAN31432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >PAN31094 pep chromosome:PHallii_v3.1:5:49337365:49346997:1 gene:PAHAL_5G396100 transcript:PAN31094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGIGGDDEEAAAAPKHPQQRYHAVESQDRAVVQMTSMEPGSSTAAPRPMKPGTNLSIDPRTKMTSSNGHAVPNVSQSDSKLELFGFDSLVNILGLKSMAGEQPQATTSPRDGEDVGITIGCPKENEPKLGTLMGVFVPCLQNILGIIYYIRFTWIVGMGGIWQSLVLVAFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGISIGLCFFLGNAVAGAMYVLGAVETFLDAVPAAGFFQDAPTVVGGTTISTPSLHDLQIYGVVITILLCFIVFGGVKIINKVAPAFLVPVLFSILCIFIGVFSAPGSDAPKGITGLNMTTLADNWSSDYQPTNNAGVPDPKGSIYWDFNALLGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLHATLSTTGMYFISIFLFGALATRDELLTNRLLAATVAWPGPAVIYIGIILSTLGAALQSMTGAPRLLAAIANDDILPVLNCFKAYEGSEPHVATLFTSFICIACVVIGNLDLITPTITMFFLMCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGASQCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFFSIIDGDYHESAEDAKTACHQLSAYIDYKRCEGVAEIIVARSMSDGFRSIVQIMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFISIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVVTMKSMEARTELSAGAKKDPEEEHASAQHRIKAYLSEMEETAQREGRPLMEGGRQVVVNEEKVKKFLYTMLKLNTTILKYSRMAVVVLVSLPPPPLNHPAYCYMEYMDLLVVNIPRMLIVRGYRRDVVTLFT >PAN29527 pep chromosome:PHallii_v3.1:5:14510348:14512855:1 gene:PAHAL_5G232500 transcript:PAN29527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSNTSVVLLMMLIAAWRTTPVMSHAKPELDYGPAPTKEKAAPGAVSKGGAVSGNNQAAADAAVLLRAKEEMAKRMVKHIEIIINSVKLVVQLKAALLIKSAEFMTAMAAEVSMHLTQVAQAHAQAAASNCVAALKLQQDILRKASERCKAVSQDVAMTHRARQEALNGVAQDLVKVAGDIAASMRAMAEAAAGVAGGVEIGIHFRAGINAHAGAKANAAAAAGAQVSGGAGGEAQVSKSASISAGGSGDAGADASAAAGGDAAVSGDASKSASTGGYTGGGADAGARVGGDAALSGGAKVGGGVGGKADAGAGVGGDAALSGGAKVGGGVGGKADAGAGVGGDAALSGGAKVGGGVGGKADAGVGAGVSGGAKIGGGISAGAKIGAGVGGSIGAGGKAGAGAGAGAGAGAGVGGGAGANIGAGVGISGGAKVSGGIGATVGAKAGAGAGAGGSVGGGAGGNAGGSGGASAGAGIGAKAGVSAGIGAKVSGGVSGGASAGAGISKGAAVGGGVGGGAGGSGAVSAGAAVGGGVSGGAKVSGGAGGSAGGSGDAYAGAGGSGGGSASAGGGAAAGGSADASGGAKVGADAAASQSSGGGGDFGYGSSSKEL >PAN30676 pep chromosome:PHallii_v3.1:5:36953047:36956563:1 gene:PAHAL_5G340700 transcript:PAN30676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPTDPENGLSPKNTIGIDVYNPPPLHWTSLLVLAYQSCGVVYGDLSTSPLYVYKGTFSGSLHRFLGEEAVVFGVFSVVFWTVTLIPLLKYVFIVLSADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSAYYRPGYSTEDTPMLRALRNFLEKHRKSRTCLLVMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSSALTHGEVLLLSCIVLVCLFTLQHWGTRRVAFLFAPVVVLWLLLLGALGVYNIVVWNPRILRALSPYYVVSFFQRTGKEGWISLGGVLLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPNCNIHFIFFESIPRPIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSNRIHGQIYSPEINWILMLVCLGVTVGFRDTTLIGHAYGMACAGVMVVTTLLMALVMVFVWQQGFLLATMFLLAFGSVECVYLSAALMKVPQGGWLPLALSLVIVAVMYVWHYGTRRRHMFDVQNKVSLKWLHALGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFVCVKAVPIPHVRCYERHLIGRIGPREFRMYRCVVRHGYKDVPGDDIDFENDLVVRIAEFVHMEAAEAAGNSADAPRESDASVEGRMAVVSRPFDLSRTGLLMRAPLPNPEESAVVRAATAATAATSTADSGKTETIQSLQTMYEPESPGFAIRRRIRFEIDDVTSESMDPAVKEELSALVEAKHAGVAYIMGHSYIKARKSSSLFKKLAIDVAYTFLRKNCRGPAVALNIPHISLIEVGMIYYV >PAN28817 pep chromosome:PHallii_v3.1:5:10697257:10699040:-1 gene:PAHAL_5G177600 transcript:PAN28817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLASAILGDLISRSVSFAVDRCCHRWRKPGGLEDAPQRLRRVLLRLQAVVEEADRRRVTNQAMLRQLQLMREGVYRGYYLLSAIKRQGVHEVSSLRDRSSFALSLFNPAKRLRTTVSARTAPASTAPEDNGREGEVEAELQEVLDGLERMASDMKELAVFLSCYPPARREPYSGHLWLENRMFGREAEQEKIISFLLGPEPAGAEDPGVLPVIGRPRVGKSTLVEHVCLDERVRGHFSLIVFLGQGDIEDGKLLPHLGLEDNGTIKHRDLDPARKSLVVIELVRDVDDNTWWRRTMSALRGRRTTPVSKIIVTSRSEKIASFGTTQALELKPLPREAYWYFFKTIAFGSADAEDQPELASVCMEMADLLNRCIISANLFGVLLRANPCCQFWRRVLNGLRHYIRMHLLHFAYHSYQACSSQEHDLPKITLNEVQIGSTRPRGKFEVLAWRSRIPPYYSYLMSCQVQTSSSFLRVPPMNKRIQHRQPRLNSL >PAN28762 pep chromosome:PHallii_v3.1:5:10697256:10699040:-1 gene:PAHAL_5G177600 transcript:PAN28762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLASAILGDLISRSVSFAVDRCCHRWRKPGGLEDAPQRLRRVLLRLQAVVEEADRRRVTNQAMLRQLQLMREGVYRGYYLLSAIKRQGVHEVSSLRDRSSFALSLFNPAKRLRTTVSARTAPASTAPEDNGREGEVEAELQEVLDGLERMASDMKELAVFLSCYPPARREPYSGHLWLENRMFGREAEQEKIISFLLGPEPAGAEDPGVLPVIGRPRVGKSTLVEHVCLDERVRGHFSLIVFLGQGDIEDGKLLPHLGLEDNGTIKHRDLDPARKSLVVIELVRDVDDNTWWRRTMSALRGRRTTPVSKIIVTSRSEKIASFGTTQALELKPLPREAYWYFFKTIAFGSADAEDQPELASVCMEMADLLNRCIISANLFGVLLRANPCCQFWRRVLNGLRHYIRMHLLHFGEHPSDLLLMNGRPIYLWRLPMTDTVLTAYHSYQACSSQEHDLPKITLNEVQIGSTRPRGKFEVLAWRSRIPPYYSYLMSCQVQTSSSFLRVPPMNKRIQHRQPRLNSL >PAN27170 pep chromosome:PHallii_v3.1:5:3962229:3966211:-1 gene:PAHAL_5G063500 transcript:PAN27170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRHENGHGAAAAAAAAAVAAGGGGGGRAGDGGGDAHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMSAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRAENQRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPDDTDRYQKTEISLCRVYKRTGIDDGHGHPSARSTPSRRAAAQQDIKQASSSSTPTPPTTPSKIVHLLHGECTSAPTTRDHAAAHNKAAAQRQLPTKPCSGGYQLSATSSAASAGADQQQVGTAAMPSSYEQSRNANAFASTYSLLSLVNAASMGGSAAAAIDELSTLVGVGHGPPAYFNHQAGGGHSHSFLPLPTTPSSQPVALGTLPMSLAAISDKIWDWNPIPDAAARDYSSAGFK >PAN29507 pep chromosome:PHallii_v3.1:5:14369449:14372362:-1 gene:PAHAL_5G231000 transcript:PAN29507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCFLAHKPPRENPLSPAREPLIGGGSSAAGHHHPAQMVTYSEGLGSAERLRAGFRTFKKTIYDKNPMLFGPLKSSQSPKYMVFACSDSRVCPSVTLDLKPGEAFTVRNIASLVPAYHQNMHSSVASAIEFAVTILKVKCIVVIGHSCCGGIRELLSLQEDRPHTYRFIDDWVKIGLAIKKKVEREHAFLSFDDKCTMLEIEAVNLSLRNLKTYPFVKDKLAKGSLKLIGARYDFIHGSFQTWHA >PAN27112 pep chromosome:PHallii_v3.1:5:3754383:3757411:-1 gene:PAHAL_5G059700 transcript:PAN27112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLPVAAVVAVVLMCCSGICLGERLGARECEDLGFTGLALCSDCNALSEFVKDQELVDDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYSYGSPPKLIMLDNKGEHKETIRIDNWKREHIRQFLKEKVKPVKSDS >PVH39065 pep chromosome:PHallii_v3.1:5:52089622:52090043:-1 gene:PAHAL_5G426600 transcript:PVH39065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAAASIVRDFGHSHRVPRSIGSRNTPTQNLLRKVPNWLGSWQVVVTTHGRINQ >PVH39426 pep chromosome:PHallii_v3.1:5:56585125:56585943:-1 gene:PAHAL_5G498600 transcript:PVH39426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGRERRRRGCSPWAAELQAAEQLRLSEKQRFGLGQARPGAGVVRRGRRMQGRSRRRWSSCAAAARARSTRASAVRGWPRTRLPSTPASRWPPPTSSSPSSTRWPRESRRPAPPGSTTAPRPSRRPRTKRRARPSGWAGSSKPWALRCFGAWTTRSRGSAPP >PVH38572 pep chromosome:PHallii_v3.1:5:21533224:21533604:1 gene:PAHAL_5G291800 transcript:PVH38572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGLKTNGQNYINNNHPAIKYLRLSSSLALSTFFLYRLVPTRALPRRPPQRCVALGSPAILAASAHVARMSAASHKRPCAEHLSFSYAATMAVFSLFDLPHPRHPSWIGHALKGCGHACVIGSSL >PAN32138 pep chromosome:PHallii_v3.1:5:54714375:54717810:1 gene:PAHAL_5G466700 transcript:PAN32138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISALLPSIRNTGDMTAALLPSLRLLHALAFLLIRLSIASTSRAPEPPEQPAGCSSPKACGGLNVPYPFWLEEPGRPPCGSPPFQLMCNASGAFLSHSMFQAYRVVAIFARNSSVHVVDENLPLAAGCPAPCFNISLAMGLGAFAISEANSELRFLSRCKDPVPEDVPGFRRLPCDNESFVGFGGRFGSSSRFHRAVPPGCLVSVVPTLPAPAPPDGHGHDYVASMRRGFLLEWTVVSGDCSKCIASGGECMYPDNGLGFSCNCPDGIHYPMSCGSSKRTGRKTTLIVLMAVGASLLLPCIYVLIWYIKGQKLCFLFFKKAISNNEMNIEALISSHGSLAPKRYKYSEATKITSSMNDKLGEGGYGVVFKGRLHDGRLVAVKFLHDSKAKGEEFVNEVMSIGRTSHVNIVSLYGFCLEGSKRALIYEYMSNGSLDKYIYSKNPKEILGWERLYAIAVGIARGLEYLHHSCNTRIVHFDIKPQNILLDHNFCPKIADFGLAKLCRTKESKLSVTGARGTIGFIAPEVHTRTFGVVSTKSDVYSYGMLLLEMVGGRKNVKTAVEKSS >PVH39498 pep chromosome:PHallii_v3.1:5:58004654:58005865:1 gene:PAHAL_5G519200 transcript:PVH39498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATTDRNPQRRERIGGRHVESPAAASDAKGATRSLGAPPLREQRLNPRRISRPGRFLLLCGAVSTAT >PAN27993 pep chromosome:PHallii_v3.1:5:7419203:7422219:-1 gene:PAHAL_5G123200 transcript:PAN27993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKASQRGYHARSNEPWTRLSHRERKPGWFAYNPRTMRPPPLSSDTNFMKILSWNVNGLQTAVELGFSADQLASRENFDVLCLQETHLQEHNVNVFKNLIQIQDFDNSYWSCSVTKLNYSGTAVISRVKPISVQYGLGIPDHDHEGRVITLEFDDFYLVNAYVPNSGRGLQRLNYRVNDWDLCFSDFIKKLECSKPVIVAGDLNCARQSIDIHNPQAKTEAAGFTIEERESFEENFSSKGLIDTFRKQHPNAVAYTFWGENQRISNKGWRLDYFLASESIADKVHDSYILPDVSFSDHSPIGLVLKL >PVH39530 pep chromosome:PHallii_v3.1:5:58714990:58719103:1 gene:PAHAL_5G531100 transcript:PVH39530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSPFAALFFVALLSLLLLFFRKGGSPSSGDGRRLPPSPPGLPLLGHLPLLIGSLPHRKLQAMAAKHGPVMLLRLGRVPTVVASSAAAAQEVMKTHDLAFASRPRVRMAERLLSDYDMAFAPYGEHWRQSRRVSVIHLLSQHRVRSFRHIRQQEAAAMAGCVRRAGAAVNLNAVLISYTNGVISRAVFGDDGSYELDGGEKLAKLFGDFEELLGKATMGEFVPWLAWVDTLMGLEAKATRTSEEMGALLERIIADHRQRRRGSRREGDNHRDFVDVLLDVNEAEEHAGAGGGVPFDNEAIKAMILVMFAAATDTTYTTMVWAMAELINHPHEMRRVQHEIRAAVGVGGDRVTEEHLEKLRYLKLVIKETLRLHAPLPLLLPHETTEDTQLLGYRVPARTRVIVNAWAIARDPATWERADEFVPERFAGDDLTTDYMSAQDFRFVPFGGGRRGCPGVAFAVPSMELALATMLYHFDWELPAGGASKLEMDEMNGLSVRLKATLHLVAKPWSP >PAN31119 pep chromosome:PHallii_v3.1:5:49537450:49542273:1 gene:PAHAL_5G398200 transcript:PAN31119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNEVRDQDTLVDLEKGNCLPPREDHNGMEINTIAGHAKTKLHSSWDDLVALKEDKSHHISCCSSHCQDSIVKGGESMTSEGEIKVGFLDKAAGDKEKKKWSKKPPRPPRPPTPSPLDPADQKLISELSELAVLKRARIERMKALKKMKNSKPASSIGNLVALVITVIFCLFILWQGVFPRHGASISFHRPSITSVRPHGGLISIQFYKKNVTAVSTRSSSAVLSLS >PAN31118 pep chromosome:PHallii_v3.1:5:49537450:49542273:1 gene:PAHAL_5G398200 transcript:PAN31118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNEVRDQDTLVDLEKGNCLPPREDHNGMEINTIAGHAKTKLHSSWDDLVALKEDKSHHISCCSSHCQDSIVKGGESMTSEGEIKVGFLDKAAGDKEKKKWSKKPPRPPRPPTPSPLDPADQKLISELSELAVLKRARIERMKALKKMKNSKPASSIGNLVALVITVIFCLFILWQGVFPRHGASISFHRPSITSVRPHGGLISIQFYKKNVTAVSTRSSSAVLRLLYLFFFSSLS >PAN31121 pep chromosome:PHallii_v3.1:5:49537414:49542378:1 gene:PAHAL_5G398200 transcript:PAN31121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNEVRDQDTLVDLEKGNCLPPREDHNGMEINTIAGHAKTKLHSSWDDLVALKEDKSHHISCCSSHCQDSIVKGGESMTSEGEIKVGFLDKAAGDKEKKKWSKKPPRPPRPPTPSPLDPADQKLISELSELAVLKRARIERMKALKKMKNSKPASSIGNLVALVITVIFCLFILWQGVFPRHGASISFHRPSITSVRPHGGLISIQFYKKNVTAVSTRSSSAVLSLS >PAN31120 pep chromosome:PHallii_v3.1:5:49537414:49542378:1 gene:PAHAL_5G398200 transcript:PAN31120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNEVRDQDTLVDLEKGNCLPPREDHNGMEINTIAGHAKTKLHSSWDDLVALKEDKSHHISCCSSHCQDSIVKGGESMTSEGEIKVGFLDKAAGDKEKKKWSKKPPRPPRPPTPSPLDPADQKLISELSELAVLKRARIERMKALKKMKNSKPASSIGNLVALVITVIFCLFILWQGVFPRHGASISFHRPSITSVRPHGGLISIQFYKKNVTAVSTRSSSAVLRLLYLFFFSSLS >PAN28035 pep chromosome:PHallii_v3.1:5:7556874:7557464:-1 gene:PAHAL_5G126300 transcript:PAN28035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMMRRGALPLCALLLVALLCAASLADVAEARRGGGGRGGKGGRAIGGAGGARGSHSGGPRGLSGGTWTACAGSSLLAAAAVLL >PVH37728 pep chromosome:PHallii_v3.1:5:4378455:4381107:-1 gene:PAHAL_5G070900 transcript:PVH37728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALTERQPQLEKTKALRARAPLSRKAVAALCVASFVAGLLLSGRVPLVPASAADAAGDGGAKQGVRVSGCGGNKRKLGESHPKDLLNEVSRTHQAIQSLDKAVSTLEMELAVERARSGGGGTAVATKPPQKARVVIGINTAFTSRKRRDSLRDTWVPRGEKLRKLETEKGIVVRFVIGHSGAPGGGALDRALDAEEAETRDFLRLDHAEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHLNLGMLSSRLAKHRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAISKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMRHIHKACGEGEGAVWNVAM >PAN32572 pep chromosome:PHallii_v3.1:5:56478736:56492651:1 gene:PAHAL_5G497300 transcript:PAN32572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSHCPRASAFLAPPPPLLFPRLSSPRWRRRPRGRLASRPLLTLARFDQPPLLRLKVSDSSDSPADAAHAHAHHSNSHSHAPPLLPEPRGLIGSLAPVWREGLFLVRCSVFAAVVSVAAALSWVAQLRARSFVEARLLPAACAALGEYLQREVRLGKVRSISPLGITLQTCSIGPHAEEFSCAEVPVMKIRVRPFASLRRGRVVVDAVLSEPSALVAQKKDFSWLGIPGPSEGTIKRHSGEEGIDYRTKTRRLAREKAAEQWNEKRDKAAREAAEKGYIIPSGQFVSPSTDEMLEVDGPTATGKSSPPLCADEMHKKDHHLATGIDSGSKHADLEKSFGVKSRIPGINLWSRMISSPSRLRYRRKAHSRVVPDADNSSQQRILRRSAGAAVAYFQSTGCSNIDDSTPGPGKSSSDGGPTNVGGSETVSNDGTVGSFGTASTSLAESPSDNQLSSQFRSCNLDNVLVGLNLEDLQIGQANFPQGPILEKFEIPSEKKFVPQRETIFGNFSSCTHGHNWVPFWPFQLKGFPASFNAPCASLDVQIQKLKSQFAIGPGDTSAELTEGVSQIHTGGVQNALPITLDSVYFNGGNLMLLGYGDQEPREMKHATGHVKFKNSYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEETWHANLNVVDAFAPLFERILEIPVVWHKGRATGEVHICMSKGDSFPSIHGQIDVKGLAFQILDAPSSFSDIVAKLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMRTMKMKPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSISISGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEVDDSAMDINLSGTILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVAFDLNTRVQTSYIDDYLLNKGTYQMKKIIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRVKFQGKIMKSSNVADDKIKGVLQSNIDQNKLETNASRLAGNISLSGIKLNQLMLAPQSTGFLSISRDSVMLNATGRPDENFSIEVNGPLFFTTNEAIQDVRLLSIFLQKGQLRSNICYHPENLSSLEVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGEALDIAARWSGDVITMEKSILEQANSKYELQGEYVFPGTRDRFPVESQSNGFIEKAMGGHLGSIMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLHSVGFNAESLRDQLKALEMYHDWLDDDTMEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLKGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSIPVTYVDSSSTEESLEEEDGKQGIIRIPVWAKDRGPSNEISETRIVRDKTEEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSATFNRAIVDSPFLRTPLTNFAGTIHVISNRLCINSLESRVGRKGRLSIKGTLPLKNSEPSANDKIDLKCEVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAAATRLASKKSSYLASGFDQSTTSQDVSRILGSLSASPEREQSDTERALEHGSFKPNIDARLNDLKLTLGPELRIVYPLILNFAVSGDLELSGMVHPKYIRPKGILTFENGEVNLVATQVRLKNDHLNGAKFEPDLGLDPVLDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD >PAN30930 pep chromosome:PHallii_v3.1:5:45933803:45945862:-1 gene:PAHAL_5G374900 transcript:PAN30930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVCVPLVARTVEEMVADAAAAAAAGGDLVEIRLDFIQGFRPREHLPQLLRGCPLPALVTYRPTWEGGQYEGDDATRFETLRLAMELGVDYVDVELKVADKFMSFISGNKPEKCKLIVSSHNYEYTPSCEELANLVAVIQAVGADIVKVATTAKDIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLAPKFGGYLTFGILNAAKTSASGQPTVEELLDVYNIRRIGPDTMVLGLIANPVKQSKSPILHNKCLQYTGYNAVYLPLLGDNLASFLETYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKVAAISPLAGRLIVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLANAIGGQALRLADLETFRPEEGMILANATSLGMQPNIDGTPIPKKALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFEHFTGGIEAPESLMREIAAKYT >PAN30931 pep chromosome:PHallii_v3.1:5:45932885:45946163:-1 gene:PAHAL_5G374900 transcript:PAN30931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVCVPLVARTVEEMVADAAAAAAAGGDLVEIRLDFIQGFRPREHLPQLLRGCPLPALVTYRPTWEGGQYEGDDATRFETLRLAMELGVDYVDVELKVADKFMSFISGNKPEKCKLIVSSHNYEYTPSCEELANLVAVIQAVGADIVKVATTAKDIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLAPKFGGYLTFGILNAAKTSASGQPTVEELLDVYNIRRIGPDTMVLGLIANPVKQSKSPILHNKCLQYTGYNAVYLPLLGDNLASFLETYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKVAAISPLAGRLIVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLANAIGGQALRLADLETFRPEEGMILANATSLGMQPNIDGTPIPKKALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFEHFTGGIEAPESLMREIAAKYT >PAN27811 pep chromosome:PHallii_v3.1:5:6599352:6599816:-1 gene:PAHAL_5G109600 transcript:PAN27811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGVLSIAGPFIPLGVFAGIGAIGAVIWGVRRCCAAAKEGRGVVVGASPTGKHEGLLNKSKGDVAAGLGGPQPGGGASQQRGPDPGDDGDVEVCAICKARLAEAGWGGRRRLRPCGHVYHADCIGLWLQRKWTCPVCRADVLVSPTEIVDAMV >PAN27821 pep chromosome:PHallii_v3.1:5:6645781:6647058:1 gene:PAHAL_5G110500 transcript:PAN27821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPRLATLALAVLLAAAVAAPPAAVRAALSCSTVYNTLMPCLPYVQMGGAMPPQPCCGGIRSLLAQANNTPDRRTICGCLKNVANGASGGPYVTRAAALPSKCGVSLPYKISTDVNCNTIN >PAN27235 pep chromosome:PHallii_v3.1:5:4165433:4165897:1 gene:PAHAL_5G067200 transcript:PAN27235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGSASARGAMDSAGWNRGASGGWRGEGDPRGGRVQAFRGRREGSRGEGGRVQRSRQAVERDGRSAGARPRLGPALPATPARGSSFACGAAGFRIRRQRCTAAGAAPALLRCGRRGAMLAPGNLEGAAGRQSHGTGGDQIDGMFPKRGGGRDR >PAN32554 pep chromosome:PHallii_v3.1:5:56412113:56415073:-1 gene:PAHAL_5G496100 transcript:PAN32554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQFIGKLSWKAMKSSSGGGGGGGAGSLLAKTPPALPSSRENGAAGKPSASPPSPLAGAGAEVRSREEAFIQKVNICCAVYDFSDRGKDSPEKERKRQMLMSLVDCIGAAEEPLTETMIAACVRMFAANLFRVFPPKVRSGTTASETEEDEPFFDPSWYHLQVVYEFLLRFVTSSLVDAKVARKYVDSSFVSKLLDLFDSDDPRERDCLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKSVGVYLPQLTYCITQFIEKEPKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTDMAEFQKCMVPLFRRIASCLNSSHFQVAERALFLWNNEHLFDMISQNRQVILPIIYPALERNTRCHWNQSVLNVTMNVRKMFHDMDERLLLTCQNNFEEEEEKRAATEERRRLMWEQLERSAAHGYHQPVIATDVSFPPPPSSARLVAPTVT >PVH38028 pep chromosome:PHallii_v3.1:5:9420966:9426850:1 gene:PAHAL_5G155900 transcript:PVH38028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLNMVDGEGEERRPPPAVCRDLWHACAGPVVALPRRGSLVVYLPQGHLAAVGGGDVAADLPPHVVCRVADIELCADAATDEVYARLALVAEGEAFGRNVRGGGVEGDDDMEDFDAERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQLRPSQELVAKDLHGAKWKFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRAVQLKNEALFEDFSSDSAKRHTLSAVYDSLKHRSVFHISYNPRAASSEYIIPYRKFLKSLNHPVCIGARINFQCQNEDVSERRSGMVVGISEVDPMKWPGSKWRSLLIRWEDGSECNGQDRVSPWEIDIVGGSVSAAHSLSASSSKRTKLCPPGNLDVPTMYDAGNGCTDSMETGKLPRVLQGQELMGFRTHRVTCAAQTAEVAKFQSSDASRFLTNARSCMLSGPTGRLAVQNSGFTYQYVGFNESIGFSEVLQGQEISQAVPMFQGMMSEACSLKGVYGLRGYMHTPAAVNGLSATAQECSLTLSTPPAAQVPPPHPNHMLNQTVSSQLGLGNKTAGEGANGSQPCPFDMSWEAQTRSHHETPAQISLDQFEARRASAPGDAAKIGSGGREVRKTSCRLFGFSLTEKILPADDDSVKEVSYEAECQNPRMLDLFGYNRSTPSAALPALCAAPFGM >PAN29677 pep chromosome:PHallii_v3.1:5:15315929:15319682:-1 gene:PAHAL_5G243500 transcript:PAN29677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLSPPPTLPSPNSQSSLRPRSRRVRVLASCSREPAAGNRGLVVERRCLLISGLMSSFAIALPISESYAAMETDEDVKMNAQVDEINAYSFLYPVELPGKKFSFKWVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSIGPPNSRFLPSKDKSLWDPKDVADCILSDRSTLKVTTGQRMTESSVLDAHCTEVDGEPYWYYEYLVRKSPTKSAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWESMGPFLQKTVASFRLLPPTDSYVPPYKDPWRFW >PAN31154 pep chromosome:PHallii_v3.1:5:49841561:49842686:1 gene:PAHAL_5G401000 transcript:PAN31154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFWGRGGWPRAASAAVGGAHRREGPSRRSSCSSSSDPRLRSPIADGRCCWPTLISILPAVILPAAAPIPLPSGCGVFECCATKVSGSVRGGA >PAN31311 pep chromosome:PHallii_v3.1:5:50914968:50916041:1 gene:PAHAL_5G411300 transcript:PAN31311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATATARKVSVPAVLLVLSVLSCLLLVHAAAGNRRALLPREAAGDVLPTTAEEAAVGGEEPHHQLADDEEMAAARRAGLLQTEDYPGSGANSRHDPRNPH >PAN32765 pep chromosome:PHallii_v3.1:5:57422136:57428724:-1 gene:PAHAL_5G510500 transcript:PAN32765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFDSGGRSGDKAGAGAGDDGGAPPLPQTVQIGNSPTYKLERKLGKGGFGQVYVGRRISAPRLSDRSPGSNALEVALKFEHQTSKGCNYGAPYEWQVYNTLSGNHGVPRVHYKGKQAEFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHSKGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATKWKDAGSGHHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCGICPQPFRHFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLVYQVGQKRGRLIVDENDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADNRLLQHIQKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSHHFLHKEWIMEQWERNFYITALAGANNGSSLVIMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFSAQVVELDFLYPSEGIHMRWDNGYRITATAATWDQAAFILSVPRRKPTDETQETLRTSAFPSQHVKDKWSKNLYLASICYGRSVS >PAN26899 pep chromosome:PHallii_v3.1:5:2917455:2918465:-1 gene:PAHAL_5G045900 transcript:PAN26899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRKQQLASSAAVAVALVAMLVLVAAAAAQDCGVSRDTMNKCMSYCFSGGNADACCGPLTGGANLDCLCKNYWGKLQASPSLAKCANKIKSRCGINRSC >PVH39583 pep chromosome:PHallii_v3.1:5:59418715:59422688:-1 gene:PAHAL_5G539300 transcript:PVH39583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDEPLDFEEEEDPLFPAPRPTKRKKVIGLDDLLLDYFGTGKDLRKVKAAKTKHGPMGHDSDEEDKKGREDEICKIFEDCEEKAKGLDARDNVTPWGQQIFGCQASSNLSDMGVENCQLLLSFCASEHLGFDLEIQQGEGFLEGMLMDGWLLKLVHIGGSVEDSIASWTLTKLLYSSNKKLQVSATDFWDSILSLDEDDKLLVNLGYFPSYSVLKCAILSYGYRFENPGTKASTSESATADSSDAGPPHNIIAWLRVVSACCKIRKVCSIFSASEAEELLVIVISLFLDRGLEGLLIILGDCLNSLVLYFDTSEWESSCVMVAESIAKRVSMDLNCLRIVDCITGTNKRSKFLRSELALQLLKINFGLKVGSVEKILKLVTSINVKEKECDFFRLYVYLVLMDNLLFSSDAFRDKTQIVDTWRNYLRNCSTQIACTNWGFYAPKVRNKASYLLQGAIFKKTGGDGNVSAR >PVH39584 pep chromosome:PHallii_v3.1:5:59419124:59422608:-1 gene:PAHAL_5G539300 transcript:PVH39584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDEPLDFEEEEDPLFPAPRPTKRKKVIGLDDLLLDYFGTGKDLRKVKAAKTKHGPMGHDSDEEDKKGREDEICKIFEDCEEKAKGLDARDNVTPWGQQIFGCQKASSNLSDMGVENCQLLLSFCASEHLGFDLEIQQGEGFLEGMLMDGWLLKLVHIGGSVEDSIASWTLTKLLYSSNKKLQVSATDFWDSILSLDEDDKLLVNLGYFPSYSVLKCAILSYGYRFENPGTKASTSESATADSSDAGPPHNIIAWLRVVSACCKIRKVCSIFSASEAEELLVIVISLFLDRGLEGLLIILGDCLNSLVLYFDTSEWESSCVMVAESIAKRVSMDLNCLRIVDCITGTNKRSKFLRSELALQLLKINFGLKVGSVEKILKLVTSINVKEKECDFFRLYVYLVLMDNLLFSSDAFRDKTQIVDTWRNYLRNCSTQIACTNWGFYAPKVRNKASYLLQGAIFKKTGGDGNVSAR >PAN29075 pep chromosome:PHallii_v3.1:5:12181083:12183275:-1 gene:PAHAL_5G200600 transcript:PAN29075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATADPRAKPPPAASSHHLMKPWAPQPPPSRAHRVPSLPGVAEGGGARDRRRSASSHRRVGAGAVEEDPPTCEGQLEDLRAKLMGHLRDAADRLRLPPAPKPQPRSPELEPERQPPAAPLPPPPPPEQLEPGAPAATAARPWNLRDRKCRRQTARGAAAALDASPAWEPAAEKARADDTRAPFAVALTAEEVEEDVYALTGARPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPEE >PAN32064 pep chromosome:PHallii_v3.1:5:54305326:54309349:-1 gene:PAHAL_5G460100 transcript:PAN32064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPSHQGRGGGSGEDGEETGSWRLRMGSGFHVPDRFHRQTPFYARIFGGSHGKQRKIAKYYKKQENLLKDFSEMETMNELGGSDQNGPSEEELRQLAKSERFAINLSNIINLILFVTKVVASAESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYNYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITQEHANFQRKQELWMVGSMSSVAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVAALLAVRYKWWMDPVGAILIALYTITTWARTVLENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >PAN29237 pep chromosome:PHallii_v3.1:5:12866931:12868134:-1 gene:PAHAL_5G211100 transcript:PAN29237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQIGGSGAAARQEPRLETPKPRPARVQGRGQHGRGRVAWQWQRAWTCGWGRRPWSRRAWRNKLTGGGKHRSTMARIELGLGRSVSRRSSGRIRTGSGGAHINK >PVH39393 pep chromosome:PHallii_v3.1:5:56128832:56131963:-1 gene:PAHAL_5G491700 transcript:PVH39393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGTTKIYATIDLEKARVGRTRMISNEPVNPRWYESFHIYCAHLAADVIFTVKIDNPIGASLIGRAYLPVQDLLDGEEIDKWLEICDGNREPIGDCKIHVKLQYFDVTKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPRIPLADGKNYEPHRCWEDIFDAINNAQHLIYITGWSVYTEITLVRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETANYFHGTDVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGTASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLSDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYGWKPEGIKPEEIGALHLIPKELSMKIVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITQALQAKGIEANPKDYLTFFCLGNREVKQEGEYEPEEQPEPDTDYSRAQEARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGSRDSEIAMGAYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESVECVQKVNKIAEKYWDMYSSDDLEQDLPGHLLSYPIGVDSDGNVTEMPGMEFFPDTRARILGTKSDYLPPILTT >PAN26460 pep chromosome:PHallii_v3.1:5:881048:883756:1 gene:PAHAL_5G013300 transcript:PAN26460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSHVLPFLSPTGTASCVRASPGRRAGLLRCAAAAGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDELEEALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKATLKRCILELLTSKGGNSELNLGFRKPSVIMIVGVNGGGKTTSLGKLAHRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAQPPAVLSQAVKRGKREGFDVVLCDTSGRLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >PAN26459 pep chromosome:PHallii_v3.1:5:881075:884770:1 gene:PAHAL_5G013300 transcript:PAN26459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSHVLPFLSPTGTASCVRASPGRRAGLLRCAAAAGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDELEEALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKATLKRCILELLTSKGGNSELNLGFRKPSVIMIVGVNGGGKTTSLGKLAHRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAQPPAVLSQAVKRGKREGFDVVLCDTSGRLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >PVH38006 pep chromosome:PHallii_v3.1:5:8902374:8902736:-1 gene:PAHAL_5G148300 transcript:PVH38006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKPSYCVCLLFLASILVASSAVVTVSPKETTTPEKALTARAPAALQPDEAFLASLCEQQRGRPLPWCKQLHARRRRGGGGGGWHHLPMPPPSRDGEEIDPRYGVSKRLVPSGPNRLHN >PAN31532 pep chromosome:PHallii_v3.1:5:52045390:52049835:1 gene:PAHAL_5G425700 transcript:PAN31532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGVLASSIVKEVLAKIASPIWAQLALLRSFKADLRTMERDLATIRDVLFDAEKRGGGGDSAVRDWLRRLRDVAHDIDDLLDECRTDLSASRQRKNTACGIDPNLCFLRSLAMARRLRSLRRELDAVAAGRDRLRLSPGIYPPAHPSAPPRLETISMVDESKTVGRTVDKEKLMRLILDAASDEDVSVIPIVGFGGLGKTTLAQLVFNDRRANDEVFDPRIWVSMSVGSSLRTLVQPIVSATKEKCDLDNLDAVASFLSRTFTGMKYLLVLDDVWSENQEEWEKLRMLLKDGKRGSKIIVTTRSLKIAIMVGTVPPFVLKGLSDDDCWDLFRCKAFEGGEEDLHPKLVNVGKEIVQKCGGVPLAAKALGSMLRFNKIEQSWVAVKDSEIWQMEKEETILPSLKLSYDQMPPGWKQCFAYCSVFPRNHEIDRDKLIQQWIALGFVEPAKYGSQSVFDRANDCFEHLLWMSFLQEVEELDLSKKEVEEDGNVKYKIHHLVHDLAQSVAGDEVQAINFNQDNGHSQACRYASLTADMESPKVLRSMLRKIRAFHSWGYDLDINLVLHARYLRVLDLRGSPVSELPQSVGKLKHLRYLDISSSPIKTLPNSISSLHNLHTLHLSNCSDLCILPISICSLQNLEILNLSACSLHTLPDSIGHLQYLQNLNMSFCKFLETLPNSIGELQSLQTLNFKGCGKLKTLPDAICSLQKLQHLILSQCGILQSLPKSIGNLSNLLHLNLSQCNDLESIPDSICRITGLHTLNMSHCSSLSEIPVSIGGLKELQFLILSHHSSSLSLPISTGHLPNLQTLDLSWNIGLEELPESIGNLRNLKILILFQCWSLCRLPDSISNLVMLESLNLVGCEQLTQLPDGIINLRNLKHLRNDQCQTLERLPHGFGQWTKLKTLSLIIIGDRYSNIEELENLNLLTGDLRIECRSHKKDLATNAKRANLRIKRKLSSLALSWTGSRFCEDPTSVETFLEVLVPPENLEVLDIDGYKGTKFPSWMLESMELLLSNLVSLSLSNIHDCTCLPPLGHLPYLQSLQFRHMTGVRSMGSEVFVKRNKSTLYQSLKELHFEDMPNLEIWPTSWSVDHKDIQSALFIFPVLKTVTVTKCPKLRPRPCLPDAIADLSVSSSSEMISSGSISWPSSSVSASLLRRLWIKSCHVSSNEWMLLRHRPKLEDLVIEYCETLHVLPEAIRSLGTLRSLKVLNCAELEDLPEWLGELVTLEYLEINCCPKLVSLPKGLQRLTVLKELTITGCSSVLSERCTKDTGKDWFKICHVPGIVVS >PAN27015 pep chromosome:PHallii_v3.1:5:3409813:3412975:1 gene:PAHAL_5G053900 transcript:PAN27015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGVKIYSVFFKLWLRHRLQSLAAAGGGPDPAAAAFGVSCRPDEATAPANPAFSAADGVASKDLHIDPNSSLSVRIFLPTPPPHAHLLAHSRRASDPTPAPASNGAAPYRGYLPHAVSSPRAPASARRRLPIVVQFHGGGFATGSSSATANDAFCRRVAKLCDAIVVAVGYRLAPESRYPAAFEDGVKVLKWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGASCGANIADYVTRKVVEGSKPFDPIKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCILAWRLFLSEKEFNLDHPAANPLAPGRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDSPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >PVH38955 pep chromosome:PHallii_v3.1:5:49552079:49554066:1 gene:PAHAL_5G398500 transcript:PVH38955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHANAGGHLQVPNVQALAQTWNGSGEQVPARYVRTEEAGAEVVVAAGAGCALPVVDLGRLLDPRSSEEELANLGSACQQGFFQLINHGVADEVIQDVKRDIAEFFKLPLEARKVHAQLPDGLEGYGQVFVFSETQKLDWSDMLYLMLRPVESRDMRFWPVQPPSFRSSVDRYSAEAAKVVSCLLRFMAVDMGVEPERLLELFGGQPQTMKVTYYPPCRQAGQVIGLSPHTDACAMTLLLHVNDVQGLQIRRGDGKWLAVEPLDGALTVFVGDVIEILSNGKYRSVEHRAVVHPDKERIAAAMFHQPLHSIMVEPLPELVKKEDGGARYKSVGYAEFMRHFFAAKLDGRKSHLDHFRIY >PVH37986 pep chromosome:PHallii_v3.1:5:8585270:8586223:-1 gene:PAHAL_5G143500 transcript:PVH37986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASASSMASQLGLPPGFRFVPTDQEVIAYYLLRRIRGQPLPVGDILEDDPLSAPPWLLLGKHGRRRDAFFFAAGRAMNGRGSRQKRSCAGGGTWEGQGRRKGAKGRDGERQRVRVGGEEIEWRKYALNFHEEGVKGSTGWVMHEYSITAPPSLAASPQRVYRIRLSGHGRNAQKRKRSELDCGSDDDEEEEYHYHGAPRAATLPAVAEAGYAPFAGECPPAPAQPEPVGSLPVAAAVDAGDGDPAGWTEEAGAPADGASSAATTTSSDQDLPALVDGGDDWNFMCSLSDFLPDFDFFPGAADAGEIPSPTPMVPAA >PAN28643 pep chromosome:PHallii_v3.1:5:10216638:10218212:-1 gene:PAHAL_5G168500 transcript:PAN28643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVVLYTWMVRGHLHPMVQLAGRLAGHGVPVTVAIADVPSSGDSRKTVERLSASYPSVSFHLLPPATARSGDEADPDADPFITLIADLRATTPALLAFVRSLPSVKALVIDFFCGCALDAAEELRLPAYLFFTSGASPLAAYLHIPVMRPDVSFGDMGRSLLHFPGVHPVPASDLPEVLLGPRNEQYEATICLFEQLPRAKGILVNTFEWLEPRAVKAIQEGSPRPGEPVPRLFCVGPLVGEERGGEGKHECLTWLDAQPPRSVVFLCFGSASSVPAEQLREIAAGLERCGHAFLWAVRAPVAPDADSTKRFEGRGEAALEALLPEGFLDRTRGRGLVVPAWAPQVEVLRHRATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRLNKVFVAEGMELGVVMEGYDEAVVKAEEVEAKVRLVMESRQGKELRERTAVAKDMAAAALEIGGSSTAALVDFMNGLEISAHD >PVH38241 pep chromosome:PHallii_v3.1:5:12629724:12630301:1 gene:PAHAL_5G207300 transcript:PVH38241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAEHVDEGDKNEHPVRQDQVQRNAPQGQGGKRDRRHGGRGTRCLLFPRGAEHLEDDEDAEQRCAGRPRPDDAPRVQPPDPLEHILAVHAGRPNKVTVGRSRIGGGDGAGGEDDGGCRPEQEGGARSRRASCCVLSDPSVWLNFK >PAN28678 pep chromosome:PHallii_v3.1:5:10387353:10390000:-1 gene:PAHAL_5G171500 transcript:PAN28678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLSPPLLADSVTKFHAASTAVPCSGSSQRYAITGLVGAGRGGRHRCRRTWGRRGLRVVVVAAESRSSEGGVAEDYYAVLGVMPDATPKQIKKAYYNCMKSCHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFLDDSAPRDHVFVDEFSCIGCKNCANVCSKVFQIEEDFGRARVYDQSGDIELIEEAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGGSIDVFRMASSRWEKRQAKVLEKVRRRMSQDDSSKGGSWSDIWGAPTRYQKNEEEAKERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKE >PAN29178 pep chromosome:PHallii_v3.1:5:12582375:12583270:1 gene:PAHAL_5G206600 transcript:PAN29178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILEMPGGKEKRERGEENACRTVTAEARNTTVSGGKTEEASAARSPCVYAHDYRKQASKAGLLNCEAQQWRRLMTKRASSSRPHGGSYHPVLTPQPGSDPRRDAHGLFLHVTTAGSQPRRPRPARIRRGGASVLEQEGNDGWVGARARGAGPGRLGSLAGHVPRASDPDPGAAGAPGLPSGGYGCRRGCPSPSASAGTRGSEQGKMGAAARPSRHGRA >PVH39516 pep chromosome:PHallii_v3.1:5:58302151:58302519:1 gene:PAHAL_5G524800 transcript:PVH39516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITSALTAPASPGGEAAAALREGLLQRASPRREAIEQLRERVDALRRELDAAQDGAEAAEAGARHAEEREREAAAELHAAASTGRTQGEKLRNLEDELRYKDGRIKVLEAIVKTMTAKKK >PVH38982 pep chromosome:PHallii_v3.1:5:50635805:50637451:1 gene:PAHAL_5G408800 transcript:PVH38982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDLSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSENSSDEEDIVTIALTNEEPSLPPSPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVNETVNASTSCDDLLIDAYATNVVPELASFREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPELPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN28364 pep chromosome:PHallii_v3.1:5:8890124:8895025:1 gene:PAHAL_5G148000 transcript:PAN28364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKVAAKAAAASLAPTPANQGAQGRSRVSFVSGPAPTRTKALCAASNSATPVAKEERVDRSEILTLDSIRQTLIRLEDSIIFGLLERAQYRYNADTYDSNSFHMDGFEGSLVEYIVRETEKLHAQVGRYKSPDEHPFFPEDLPEPRLPPMQYPTVLHPIADSININKKIWKLYFDELLPRLAKEGSDGNYGSSALCDTSCLQALSKRIHYGKFVAEAKFQESPEAYKPAIRAQDPVQLMQLLTYETVERAIEHRVEAKAKIFGQEVNIGAEDKGAPPVYKINPSLVAGLYRRIMPLTKEVQVAYLLRRLD >PAN33070 pep chromosome:PHallii_v3.1:5:59162416:59166454:-1 gene:PAHAL_5G535600 transcript:PAN33070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLSEGLDDGRDLKDADFYKQQAKQLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTLCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDAFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYAEKAKDLNRQALIRKYAPVAIVIGIVLMLFWVKNKIW >PVH38740 pep chromosome:PHallii_v3.1:5:36922875:36923729:-1 gene:PAHAL_5G340600 transcript:PVH38740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRVLEVALVSANDLKKVTHFSRLRVYAVASISGGDPRIPTHSTLTDHVNGSNPAWNATIHFPIPAAADTRGLALHVRLRAERAYFGDRDVGKVFVPLDDLLAGADKGGVPRPVSYQVRRPHSGRAHGVLYFCYKFTDVPAAGLPEPAEAKQGQYAKYVQDSEKAADRTMSPPTAYPPPQAMPSGYPPAQYGYGSPYAAYPPHEQQPYAYAAPPPYGYNAHHQPAMYGYGYAPAPARHGGGMGMGLGLGLLGGAVGGMMIGEMVGDYEADAAYDAGFNDALEF >PAN29603 pep chromosome:PHallii_v3.1:5:14876432:14878555:-1 gene:PAHAL_5G237500 transcript:PAN29603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 31 (Fragment) [Source: Projected from Oryza sativa (Os01g0625300)] MDGLHTELALGLLGCGIGGDQVQTAAFVAKTYQMVCDPRTDALVRWGRDNNSFVVADPAGFSRLLLPCFFKHSNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGAASSCSAGGGTGGEDHHQEDQQDQEEREALLEEVQRLRREQTAIGEELAQMSRRLQATERRPDQLMSFLARLAEDPDGVTRHLVEQAAEKKRRRMRLSSHPISPIPPPLPPAPPPVHPLLGLGGKDCDGWQWAEQKPPAIVLPSFEPAPSYCGVQHVPDFGGGNGGIVGMGLTADDTAVETPFPFCLLGQGFF >PVH39310 pep chromosome:PHallii_v3.1:5:55233064:55238654:1 gene:PAHAL_5G475000 transcript:PVH39310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSLITGKAGASGFGSASTAEQVTDGVDASRLTVIITGGASGIGLETSRVFALRGAHVIIAARNTEAASEARKTIMENNPAARIDVLKLDLSSLKSVRAFADQFNSMKLPLNVLINNAGVMFCPFQLSKDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVILSSVAHTHTYPKGIDFDRINDEKRYNDKMAYGQSKLANLLHANELSRRLKEEGANITVNSIHPGLIMTNLMRHSYVLLKIIQVATFILWKNVHQGAATTCYVGLNPQLSGVTGQYFADCNVEKTSKLAKSDELAKQLWDFSEELIRSAQ >PVH38515 pep chromosome:PHallii_v3.1:5:18301980:18303490:1 gene:PAHAL_5G275100 transcript:PVH38515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLRAFLGDNRITVACFGAREVTRKLAKEWGLHVAWPEELTDLFALAYGKVAGVEREERLLDVKKPARYWLGKAALKREKAKAQRDEYDTDEEERLNNLGRTWRPPKVVRGLSLERMARVALGPEMRLARCPAKVAQADWGSYYLGEEEWAYATRDAYLCFEIAARCLQKLFDPIGVVVSSSAKPAVAAVGMVRPAAVLSLLLRLICSVTHVSWYDHPSVSV >PAN29275 pep chromosome:PHallii_v3.1:5:13061694:13062728:1 gene:PAHAL_5G213700 transcript:PAN29275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQAIPYSSARPWLMPAAEAGVVAVKPEPAAATTGAEETARPDAAGVAAGDDGGRAEVERAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADEAALAGVVPAGGAEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >PVH37746 pep chromosome:PHallii_v3.1:5:4636765:4638205:-1 gene:PAHAL_5G074900 transcript:PVH37746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAVARRLHQNAFWCGAGVRCRHQWRSAAGGEAARFAASSSSGSAGSGAVVVASSSTAGETRGRRPGATAGKASTTAPAASRTAHWRPEKLGELLVLEATEMASTKIASKRRTGIKQNGHMVSHLTRRVTGDFFISFLVLAQFVRAADSVISSSLSLFLLSLLELLIMCCE >PAN29625 pep chromosome:PHallii_v3.1:5:15026731:15028233:1 gene:PAHAL_5G238900 transcript:PAN29625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALPAWSRIMVVLVVALAVGARLPPRCRAEFTVVVPDTSAAALVDAPQTGFSDRARTDPAEQRAVQEVMAATGNGWAWGIPDVCRGRWHGIECVPDRHDVYHVVSLAFGALSDDTAFPACDAAAATLSPAVLALPHLRSLFFYRCFTGNPQPIAGLLGRLGPAFRSLVLRQNGHVGPIPAELGNLKALRVLDLHGNQLTSAIPATLQSLSHLQMLDLSYNRLAGPVPQFKIQRLSILDLSHNALQGPVPASLGQCRSLLKIDLSQNGLAGTIPDTLGDLSELMLLDLSHNALSGPIPAALSRLSSLRSLILSDNRMQFTTVPGGFFSGLKALTTLVLSGMGLAGTIPESIGELRELRVLLLDSNQFTGVIPATFRRLERASELRVDGNRLVGPIPFGKEMMWRLGKKLRVGGNEGLCYDTKQEGLEGVVALAGVADCDSVRSRTTQHLVWINGTVGGRPGAVATSAASGSRDPVAARVGSWLVLVSLHLARSAAFLL >PAN27750 pep chromosome:PHallii_v3.1:5:6323805:6329796:-1 gene:PAHAL_5G104100 transcript:PAN27750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVGRRKSSSLAGAGAGAGADAFGGKHAVASLRRGGRLPVYVASVFFVLCVIVMYGEDIRSLTYEPLASVPAPAVPAADVASAGRQKVVVVPRRDISSSEKPAAVLHRGDQEKPKQHAVTAEPAPAVETPQKKVDAKIKKKAGKKPKKARRQRAARKTVVPPALGVPETCDLSKGRWVFDNTSYPLYREDECQFLTSQVTCMKNGRRDDTYQKWRWQPKHCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLLQSASPPGKKYVSWEGQRVVFHAWAFNATVEFYWAPFLVESNSDDPKIHSIQDRIIKADTIAAHAENWRGVDYLVFNTYIWWMNTLNMKVMRPGGQSWEEHDEVVRIEAYRKVLTTWARWVNENVDPARTSVFFMSMSPLHLSPQVWGNPDGIRCAKETMPLLDWHGPLWLGMDWDMFHEAKNVSRAASPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRIMSRPAIQFS >PVH38712 pep chromosome:PHallii_v3.1:5:33755107:33755631:-1 gene:PAHAL_5G332500 transcript:PVH38712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQHLDLPPHPGDPNPCPPLPLPLHHCRVRLPPRPRHQGRCHRDRLHRGGRRGPRVPRRFPISRGGGDPFIRCLEEAIHGVMVRHTTPDWFPFVLILRRLNSQEFWEKNHTSCHRTSASPLCSYVKFAALQN >PAN27040 pep chromosome:PHallii_v3.1:5:3471921:3475544:-1 gene:PAHAL_5G055000 transcript:PAN27040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLTPAHHGAMPPPGPGQLARYGSAPGSLLASIAESVTRGGDHAPPPVSRFYSAESSGLTSCESSCRTDGGGGRPRALERAYGGSGEIRVPPPPQPQQHQSLAPPGPPHGLLRHSSSPAGLLSRLMADPHGMAPARGMGSYSQAGTDAAMAHGHRQLSSQWSFSRQDLPQISEMGMIPDIGESIVAGGCNSSSDGGGAAQSSSYLSRNFSVSSWDDTNSIMFSSPTKKHKLDAADDMVTSFSNIDSQFGLSKSSLEMPGMDDYLQLQQDSIACRVRAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDLAVEYIKELKGQVEKLKHDQANCFCSGTQNS >PAN27485 pep chromosome:PHallii_v3.1:5:5164148:5166193:-1 gene:PAHAL_5G084100 transcript:PAN27485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLWRAVMGTGAASEDADSAGGGVEFWRVPERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDSTVTRASVPRGVIPVASCLTVKGAEDVLNRPYAFELSTPRETMYFIADTEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPGEK >PAN29266 pep chromosome:PHallii_v3.1:5:12813442:12814155:-1 gene:PAHAL_5G210100 transcript:PAN29266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHHVGSFLLTRKKYSVIMSAAQIVDLQQGRLPIPYSHCYPRFLVHFKRNRHCSVCQNMQSI >PAN28376 pep chromosome:PHallii_v3.1:5:8926442:8928917:1 gene:PAHAL_5G149000 transcript:PAN28376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASSAAGAGKSMFQGLRRFLKKPWEITGPCASPEYRSALPGALEYRVKCPATVRDDRDMAIVPTSDPETVYDIKYFIRDCRRNRPPVRRTLLRKPDLERYMAAKQFDPTKDFPVPYVNTTVEEDDNTIGGGYQK >PVH37960 pep chromosome:PHallii_v3.1:5:8001413:8004486:-1 gene:PAHAL_5G134200 transcript:PVH37960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPELTSCPCAWTNVFVCQEATHRSGRAIWLWFIRVRVEPWSDRFGRKADQTPRRRRTLPSESLQITRSPSHFSGTPNPIPTPIPSPSSPKSRAPRNPSTLRDPLAGGPAPMEVKLWNDKRERELLESYADLYAIIKATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGAVTVPRFVQAYRLDCPAALNRLLQSGVPATVELRAASNSSAPAATAASAAAIAHCVQTFITAMDAVKLNMLANDQVRPLLQDVATSMARLGPLLPPDFEGKVKVNEWLGKLHKMGAADELTEQQARQLNFDLDSAYSAFLAALPASM >PAN26534 pep chromosome:PHallii_v3.1:5:1298006:1299402:-1 gene:PAHAL_5G019400 transcript:PAN26534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPAVKLIAFFGSPYAHRAEAALCLKGVPYELLLEDLLRTKSDLLLQHNPVHKKVPVLLHGDRTVCESLVIAEYVDEAFDGPPLLPTDPYDRAVARFWADFIENKLTKPFFMAHWVEGEAREGFEKEGLELLALLEAQLKGNKFFGGDRPGYLDIAASMLGPWRSVVEEVTGVTVAKEEEHPSLCQWARDYSSNEALRPCIPDRDRLLAYFTKNKEMYRAGVRAMLQQ >PVH37771 pep chromosome:PHallii_v3.1:5:5349252:5352137:-1 gene:PAHAL_5G086300 transcript:PVH37771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MARQALKKLGTGPPDAREEIIRLCSSGRLKDALHHRFREVLWSEPNLFSHLFRACRSIPLLRQLHAFAATSGAAADRFTANHLLLAYADLGDFPTAHNLFERIPKRNVMSWNILIGSYIKNGDLENARKLFDEMPARNVATWNAMVAGLTNSGLNEESLGFFFAMRREGMQPDEFGLGSLFRCCAGLRDVVSGRQIHAYVVRAGLDKDMCVGSSLAHMYMRCGFLEEGEAVLRVLPSLNIVSCNTIIAGRTQNGDSEGAFEFFCMMRGVGVEANAVTFVSAISSCSDLAALAQGQQVHAQAIKAGVDKVVPVMSSLVHMYSRCGCLSDSERVFFGYSGTDLVLCSAMISAYGFHGHGQKAVDLFKQMMAGGAEPNGITFLTLLYACSHSGLKDEGMDCFELMTKTYGLQPSVKHYTCIVDLLGRSGRLNEAEALILSMPVRPDGVIWKTLLSACKIQKKFDMAERIAGRVIELDPHDSASYVLLSNIRATSSRWEDVSTVRKTMRKHNVRKEPGVSWVEFKGQVHQFCTGDKSHTRQREIDECLEEMMAKIRQYGYAPDMSMVFHDMEDEEKEVSLAYHSEKLAIAFAFLSLPEGVPIRIMKNLRVCDDCHIAIKLMSKVTGREIVVRDVSRFHHFKDGKCSCGDYW >PVH38381 pep chromosome:PHallii_v3.1:5:15669844:15672724:-1 gene:PAHAL_5G247900 transcript:PVH38381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPLVLRFIPWTSTPTAGRSASTAGTQQGRRSLVGLGMDTSYIHGQCGIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKSLQYYEVSAKSNYNFEKPFLYLAKKLAGDANLHFVESPALLPPDVTIDLVAQQQHEAELIAAAAQPLPDDDDDLIE >PVH38911 pep chromosome:PHallii_v3.1:5:48418684:48419504:1 gene:PAHAL_5G388200 transcript:PVH38911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYECSVQRSSFAALSIYTFEKQARDFYNIEIFYRFQQLVKATERYLADEMEKEKVYVIYKSEEHTKNEVRPRKYLVLVDMAQENYMCICAWFQKDGILCVHILRTLIQMNKHTLPENYFIDRWRPIERKEVRNATTFIPAELTGSNNTLRYNLLSKCFC >PVH37481 pep chromosome:PHallii_v3.1:5:952153:952580:1 gene:PAHAL_5G014200 transcript:PVH37481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGINPVCLTAKLLEIRVFVQTGDLCLRHFGVLLIPLRQWVRLVLKSEVDAAPQVKIVNGKKYRFA >PAN27861 pep chromosome:PHallii_v3.1:5:6836149:6837447:-1 gene:PAHAL_5G113400 transcript:PAN27861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVHPNVLPSPAAERAAAGPPAGEEEEPTSLTVWRKSLLFNCRGFTVFDAKGDLAYRVDRYDDAEAEVVLMDPAGRPAFTVRRKRLSLSGEQWLIFAGEEARRPVYAVKRGGGKSMARVAPCAGAAPFEVEGSYARRCCVVYDGERRAVAEVQPKEAVGTDVFRLVVQPGVDVSLAMAVVVALDQMFARPSLLRSWSS >PAN29909 pep chromosome:PHallii_v3.1:5:16649958:16662720:-1 gene:PAHAL_5G259100 transcript:PAN29909 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MAEPKPKSKRTKKSKKPSAGEGDGEDIDALKSDVATFASTLGFLPGAGGSSGGFDDSDFRKSGPMKKPPKPPSEQPQQTPENTANPHQNPKPAKKPHPLELHGPLTTSKPGAVTTNYPLMKVAPLSGQWYADADELEARVLGGRKQVPPAVGLQEMQGMVERKRELAEKLMAQYAREYDTVRRGHGGLKLLEISAKSGTSADKVLAFTCLVEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLMRLLPDRKLKSLIQRPLDILPETKDGYSLLLFWHWEDCLKQRYEKFVMSLEDAVKDMLPNLKDKAMKTVFILLKSKSEQERRLLTTLVNKLGDPERRAASSAAYLLTCLLAAHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQILLTHKGDGPKIAKRLVDVYIALFKVLMSSNDTKGDTCSKYSKKNVENGKIEGGNNKGKDSKSHGNNEESSTAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQAPILFRLVHAENFNVGVQALMLLFQISTKNQIASDRFYRALYAKLLSPAAVSSSKPELFLGLLVKAMKNDVMLKRVAAFSKRLLQVALQRPPQYACGCLFILSEVLKAKSPLWAIVLQNESVDDGDEHFEDIVESPEDSSVASAALDKHTEKSASHEKCNIDAADGSDSVKQMELIERDENGENNASAEASRLHALYDPRHREPSYCNADRVSWWELTVLASHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGRWHGGSQIAPAKKLDMDHHLIGEELLELAENEVPPEDIVFHRFYMNKSGPIKPKAKKGSVLDEDTGELLADNADDASDESGDEMQDLRDGLAEDGEYDYDDLDSNAFDEEKDLLRDDSDVELDDSSDSASMDGGSENDDDDPDGNSSGEEMVDAGNGSSSYAKKKVALQKRKNGVKSGRSPFASLDDYEHLMAGDADETPLKRKRKATWGAGGEKKPKSRSKNKRSRSSK >PVH39076 pep chromosome:PHallii_v3.1:5:52194704:52195738:1 gene:PAHAL_5G428100 transcript:PVH39076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCIKQSLICLLITSSPSSLCEEGGYQAEEVRQDPLELIQAMCRGSTSREHQQGGTPTSPTTRRSYSQGSTVINPCTPLRHLRYHKPD >PAN29096 pep chromosome:PHallii_v3.1:5:11989027:11990913:-1 gene:PAHAL_5G198000 transcript:PAN29096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSYAHKLGHGSNGDVYRGNLRDGRQVAVKVLKNSRGDDNEFMGEVASIGRISHVSVVTLLGFCLHGPTRALIYEYMPNGSLESFAFSNNDSIEENYSLWLYWEKLFDIAIGVAPGLEYLHGQGNANTVHLNVKPRNILLDQELCPKISDVGVTNLCHGKESKRSTGDVRGRDSYDAPEVVSRKFGAIISKSDVYSYGGMVLEMVRAKRNISVGADTTSKYFAQWLYEHSDQFCNSISDISSETRDLVKKMIIVGLWCIQTAQTNRPLMSRVVEMLESSSIDLELPVRIS >PVH38351 pep chromosome:PHallii_v3.1:5:15211029:15216215:-1 gene:PAHAL_5G241700 transcript:PVH38351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRPRPEAGSTAEGDEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVGRDLKLLLGAGLAEVLNAVFPVLACRKPANTVLVPTKQTKKKPGANHSHHERLLGVARLLSQMAEPVMKAATQITFLLARSFFIDLCTAVLSLLARIRVLVQQMLLDVVSLYNKVTDLTDRKQAVKISIGGVQAFREYYPSTNDACTILDCVWVKDKFVLHEKMKGSCQETQVEDQKSFGPESSIQYETLALISEDTPNFEETNQTAKQAGAAAADQPDKMNHCSDAGGSQSGRQLENESGACSVPDTLSTRIHSVPHLNLKHETRKRVAFVAVGNPKVPGAASETKSSEVNKKQRLDMISQTSVESGF >PAN29294 pep chromosome:PHallii_v3.1:5:13131917:13134061:1 gene:PAHAL_5G215000 transcript:PAN29294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASGRRLLLVCTSFVILLLLAPSNGASNSTETLNQSHNKTGSPLEMTPRVSFQLKLHALFHWSSFGFLMPLGIILVRMSSKSQNGGCIRLVFYCHVISQVAAVLLATGGAVLSLMNFENAFSNSHQRVGLALYGVMWLQPIIGFFRPERGVKVRSLWYFFHWFLGIAICATGIVNVYIGLRTYHERTAKSVRLWTGLLTVEVIFLGFFYLMIDRWSYMMKQGHASVEQLRPTDNRRTYPTTLRKELAIVQE >PAN30479 pep chromosome:PHallii_v3.1:5:20424042:20426627:1 gene:PAHAL_5G285000 transcript:PAN30479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVGSLGSRGVGIAGMSSTLEEVQMQETLIFSDTIKDLKTLRSQLYSAAEYFELAYMQEEGKQAVMSNLKEYAVKALVNTVDHLGSISFKVSSLIDQRFGEVADANLRVSCIQQRTQVSQACMDREGLSQQSLVITAPKYHKRYILPAGNQSMPSAVPNFREMNKVTSRTAQMHQVFSAQPKAKEKQPSFSKLRSIARAPSQRARSASPAQRSHSVPPSETAIPTNRDRRSDSPIPSATPLTRSGSVSKKASLLKTSSVRVQTTSQPKKLAPLRSQADRSKDTMDGEHTPKKGRKFLKSILSRRKSRKDEPLPSYFDDY >PAN30480 pep chromosome:PHallii_v3.1:5:20424042:20426627:1 gene:PAHAL_5G285000 transcript:PAN30480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVGSLGSRGVGIAGMSSTLEEVQMQETLIFSDTIKDLKTLRSQLYSAAEYFELAYMQEEGKQAVMSNLKEYAVKALVNTVDHLGSISFKVSSLIDQRFGEVADANLRVSCIQQRTQVSQACMDREGLSQQSLVITAPKYHKRYILPAGNQSMPSAVPNFREMNKVTSRTAQMHQVFSAAQPKAKEKQPSFSKLRSIARAPSQRARSASPAQRSHSVPPSETAIPTNRDRRSDSPIPSATPLTRSGSVSKKASLLKTSSVRVQTTSQPKKLAPLRSQADRSKDTMDGEHTPKKGRKFLKSILSRRKSRKDEPLPSYFDDY >PVH38544 pep chromosome:PHallii_v3.1:5:20424042:20426628:1 gene:PAHAL_5G285000 transcript:PVH38544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVGSLGSRGVGIAGMSSTLEEVQMQETLIFSDTIKDLKTLRSQLYSAAEYFELAYMQEEGKQAVMSNLKEYAVKALVNTVDHLGSISFKVSSLIDQRFGEVADANLRVSCIQQRTQVSQACMDREGLSQQSLVITAPKYHKRYILPAGNQSMPSAVPNFREMNKVTSRTAQMHQVFSAAQPKAKEKQPSFSKLRSIARAPSQRARSASPAQRSHSVPPSETAIPTNRDRRSDSPIPSATPLTRSGSVSKKASLLKTSSVRVQPKKLAPLRSQADRSKDTMDGEHTPKKGRKFLKSILSRRKSRKDEPLPSYFDDY >PVH38543 pep chromosome:PHallii_v3.1:5:20424042:20426628:1 gene:PAHAL_5G285000 transcript:PVH38543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVGSLGSRGVGIAGMSSTLEEVQMQETLIFSDTIKDLKTLRSQLYSAAEYFELAYMQEEGKQAVMSNLKEYAVKALVNTVDHLGSISFKVSSLIDQRFGEVADANLRVSCIQQRTQVSQACMDREGLSQQSLVITAPKYHKRYILPAGNQSMPSAVPNFREMNKVTSRTAQMHQVFSAQPKAKEKQPSFSKLRSIARAPSQRARSASPAQRSHSVPPSETAIPTNRDRRSDSPIPSATPLTRSGSVSKKASLLKTSSVRVQPKKLAPLRSQADRSKDTMDGEHTPKKGRKFLKSILSRRKSRKDEPLPSYFDDY >PAN28789 pep chromosome:PHallii_v3.1:5:10760624:10762091:1 gene:PAHAL_5G178300 transcript:PAN28789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNGIVVVFDFDKTIIDVDSDNWVVDSLGLTEEFERLLPTMPWNTLMDTMMGELHARGRTLGDVAAALRAAPIDPRVPAAIRAAAALGCDLRVLSDANAFFIETVLEHHGLRGCFTEVNTNPSRVDAAGRLRIEPYHDFLRGAPHGCGVGTCPPNMCKGQVLDRILREAAAAPPGGRKPRVIYLGDGRGDYCPALRLAREDFVMPRRGYPVWDLICEDPARVQAEVHPWADGAEMEATLLGLVRRALVEDAAALLPLDCKLESSMPAAAQDGGMPIMPLGVKN >PVH38086 pep chromosome:PHallii_v3.1:5:10509020:10514059:1 gene:PAHAL_5G174000 transcript:PVH38086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYKQLPGPSNRTAGYDLRRKTMRGAQALPSLLPLLLALSSLSVVSAASGKQDQVYIVYLGGHAGVKAEEAILEDHHHALLLSVKGSEEAARASLLYNYKHTLNGFAAILSHEEATELSERSEVVSAFRSEGRWAPHTTRSWQFLGFEEGLKGPDGSDWLPSLDKSSADVIVGVLDSGIWPESRSFSDEGLGPVPARWKGACQGGESFSSSSCNRKIIGARYYLKAYEAHYNGLNTTYAFRSPRDHDGHGTHTASTVAGRTVPGVSALGGFAAGAASGGAPLARLAVYKVCWPIPGPDPNIENTCFEADMLAAMDDAVGDGVDVLSVSIGSTGAPMRFADDGIAVGALHAARRGVVVSCSGGNSGPKPATVSNLAPWMLTVGASSIDRAFDSPIKLGNGVAIMGQTVTSYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPNSLSPDKVRGKIVVCLRGSGLRVEKGLEVKRAGGAAILLGNPPASGSEVPVDSHVLPGTAVSAADAKTILAYINSSSSPTAVLDPSRTVVDVRPSPVMAQFSSRAPNVLEPNILKPDITAPGLNILAAWSEASPPTKLDGDHRVVQYNIMSGTSMSCPHVSAAAVLLKAAHPDWSSAAISNDQQRGRRPTNERGRHDRGAHGLRLGPHSAQARAGPGPRVRRVVRGLPAVRVRECRLPARPLRPVPGAPGAAVPAEPPLGGRARPQRLGDRAPDGDPRRLRRGAVHRRRGRARGRLRGGLAEAAPLRATRREEGVPDKDGGEGEREGQRARGERAVRGGIVRVERRCPCREEPHRCPRRVIAVPKTARAQVGLLFLRIA >PAN28714 pep chromosome:PHallii_v3.1:5:10509116:10513431:1 gene:PAHAL_5G174000 transcript:PAN28714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYKQLPGPSNRTAGYDLRRKTMRGAQALPSLLPLLLALSSLSVVSAASGKQDQVYIVYLGGHAGVKAEEAILEDHHHALLLSVKGSEEAARASLLYNYKHTLNGFAAILSHEEATELSERSEVVSAFRSEGRWAPHTTRSWQFLGFEEGLKGPDGSDWLPSLDKSSADVIVGVLDSGIWPESRSFSDEGLGPVPARWKGACQGGESFSSSSCNRKIIGARYYLKAYEAHYNGLNTTYAFRSPRDHDGHGTHTASTVAGRTVPGVSALGGFAAGAASGGAPLARLAVYKVCWPIPGPDPNIENTCFEADMLAAMDDAVGDGVDVLSVSIGSTGAPMRFADDGIAVGALHAARRGVVVSCSGGNSGPKPATVSNLAPWMLTVGASSIDRAFDSPIKLGNGVAIMGQTVTSYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPNSLSPDKVRGKIVVCLRGSGLRVEKGLEVKRAGGAAILLGNPPASGSEVPVDSHVLPGTAVSAADAKTILAYINSSSSPTAVLDPSRTVVDVRPSPVMAQFSSRAPNVLEPNILKPDITAPGLNILAAWSEASPPTKLDGDHRVVQYNIMSGTSMSCPHVSAAAVLLKAAHPDWSSAAIRSAIMTTATTNNAEGGPLMNGDGTIAGPMDYGSGHIRPRHALDPGLVYDASYEDYLLFACASAGSQLDPSVPCPARPAPPYQLNHPSVAVHGLNGSVTVRRTVTHVGSGEARYTVAVAAPAGVSVEVSPRRLRFARPGEKKAFRIKMEAKASGKGSGRVARGQFVAGSYAWSDGVHVVRSPIVVLVA >PAN27655 pep chromosome:PHallii_v3.1:5:5890374:5892465:-1 gene:PAHAL_5G095500 transcript:PAN27655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPFFHELRRQASSYLTGKIRSARLALTDVTPTQLMTEEATNGDASPPNVKTMGLIARQAFEIDEYVRIADILHRRFAGFDRCQWREAYKALLLLEHLLTHGPRSVAAEFQKDRDAIARMATFQHIDEKGFNWGLTVKSKSERVLRLLERGPFLEEERERARKIAREIKGFGSFNLSSASRAVAQPPGDDGGRGYGRSNSQYEERWRHDDGDCDGGRGYARRNSRFEERWRREDGDEGDKENLVTRPEPPRVAREVEPEEPHHRHPFHGLGKQPPEAMLLLSQ >PAN33028 pep chromosome:PHallii_v3.1:5:58670135:58674152:1 gene:PAHAL_5G530200 transcript:PAN33028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCDQADAGRGDFQELDQIAATKPFAKLAVKATTIADIPRLVFQALAAAVSGRPGGCYLDIPSDVLHQTLPESEAADLIAAAAAADSAASNPSPPKQKPLDEGIEKAADLLRRAERPLVVIGKGAAYARAEEAIRKLVDTTGIPFLPTPMGKGVVPDSHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVIELINREIKDDPFCLARSHPWVEAITKKAKDNVVRMEAQLAKDVLPFNFMTPLRIIRDAILAVGSPAPIVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCVAAAVAEPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRGPDEITGPFKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALLESFHARKPAVINCIIDPYAGAESGRMQHKN >PVH38211 pep chromosome:PHallii_v3.1:5:12053300:12059115:1 gene:PAHAL_5G198900 transcript:PVH38211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPLPAARQCLSPAAVAALDAAVASARRRAHAQTTSLHLISSLLAPTAAPLLRDALARARSAAYSPRLQLKALELCFAVSLDRLPSAPPSPNQNDPASEPPVANSLMAAIKRSQANQRRNPDTFHFYHQAPTTPNAVKVDLSHLVLAILDDPLVSRVFADAGFRSADIKLAILRPTPPVPLLGRLPARARPPPLFLCSFASADDAQVPSPAPALAGAAPGENNHHRITEILSRGRNPMLVGVGAASAAQDFARTSPYRILPVGPTSVNQTDLAVPAPNSGLILSIGDLKDLVPDEPDLQLQERGRQVVSEVTRLLETHRAGQTLWVMGWSATYETYLAFLSKFPLVDKDWELQLLPVTVRRDAGPAAAGAMPPATTATALPKPATTSSMMESFVPFGGFMCDTYEANSLAANSCPQALRCQQCNDRYEQEVATIIRGSGITAEAHQEDLPSLLQNGSMTSPNGGFDAVKVRDDQMVLNTKILNLQKKWNEYCLRLHKGCQRINRDHHQLFPHYISVPADRERGPDPSQGSEAVVLQRELIRPSAVSVSHTNTTAKSISSPSISNQRSADLVLNLQVRQSKSDEPLNDRAVQSQHSISSNCDNREDHASPSSSAPVATDLVLGTPRGSSSKDSSNALGKHVEDADGSIQLMPKQVNDLNIKPPQFSVQPYACSRSSSNWGQTSPSALHSAVSGGTSAFGQWQRPSPLTAQSFDLSNYKLLMERLFKAVGRQEEALSAIAASIVRCRSMERRRGANVKNDIWFSFHGPDSIAKRRVGLALAELVHGSSDNLIYLDLSLQDWGNSNFRAKRATDCIFEELRKKPRSVIFLDNIDKADCLVQESLTHAIETGRYKDLHGGRVADLNDSVVVLSTRMIQGCKDGSFGMEEGHAFSEEKVLAARGHRLKIMVEPGTANIGEGPGGKVVVSSRHSLSNIQASLYSNSFSKRKLNISDDGEGNLEEPPSTCKRLHRTSSVPFDLNLPVDEAEAHDGDDDSSHEYSSGNPDGSVDNLLRSVDESIRFKPIDFGKLCEELFEEFINTMRSAVGSGCRLEIDVGAMEQILAAAWASDSEEKRPVRTWVEQVFARSLEQLKVKCKDVSGSTLRLVACEDAVVKDEGFGGLLPSRIILDG >PAN29875 pep chromosome:PHallii_v3.1:5:16257586:16259922:-1 gene:PAHAL_5G256100 transcript:PAN29875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRREGGGGGRGAGPGDHHGGGRGSGADAVSMDGGLREVSVSVVFSVWCLLFLLRSQFLHSQTDGPSDFYEEHGRRDSYCKVRPLEAYVLPHHNDSSPTCQSSYSAPQEASSAAAPLPPSNASNESSPSPEAAFVGLDEFRSRIMQGNSENDTTGRPAGGGAAHRLEPSGAEYNYAAASKGAKVLAHNKEAKGAANILGGDKDKYLRNPCSADDKFVVVELSEETLVDTVVLANLEHYSSTFRDFEVYGSMSYPTEAWELLGRFTAENAKHAQRFVLPEPRWTRYLRLRLVSHYGSGFYCILSYLEVYGVDAVERMLQDFIAGAGAGPEADASKDRASIDAASWDARRNDSTAQQARQQVPAKLPGNGAGRNDSAAGDARNNGSRTGAAGDAKLPLQGKEAKPPQVAAAAAAAAAGRTHGDGVLKILMQKMRSLELGLSTLEEYTRELNQRYGAKLPDLQSGLSQTAAALEKMKADVHGLVEWKHGVAKDLDELKSWKSSVSSKLDDLIRENEAMRWSLEEMRGVQETLQNKELAVLSISLFFACLALFKLACDRVLCLFAGKGKEEPEAERVCGSSRAWMLVLASSSFTTLIVLLYN >PAN32528 pep chromosome:PHallii_v3.1:5:56263491:56265476:-1 gene:PAHAL_5G494100 transcript:PAN32528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHFLLVPLVAQGHIIPMVDLACLLAGRGARATVVTTPVNAARNRAVVESARRAGLDVELAEIAFPGPRLGLPEGMENIDMVVEREHFMPFFESVWKMDAPLEEYVRSLPRLPDCLVADSCNPWAAGVCARHGIPRLVLHCPSAYYLLAMRNLSKHGVYDRVADDLETFEVPDFPVRAVGSKATFRGFFQWPQLENFQRDVFEAEATADGLLINTFRDIEGVFVDCYAAALGRKTWAIGPTCASGLDADAMAGRGNHADVDAGHIVSWLDARPPSSVLYISFGSIAHLPAKQLIELGRGLEASGRPFVWAVKEAKCNADVRAWLAEGLEERVRDRGLLVRGWAPQVTILSHPAVGGFLTHCGWNAALEAIAHGVPVLTWPNFADQFSSERLLVDVLDVGVRSGVTVPAMNLPPEADGVQVPSAGVEKAVAELMDEGPEGAARRARAKEMAAKAKAAVEEGGSSYADLTDMIRHVAELSGKRSHDHERDASARALPAAPELVGSKSSDKVEAGAALSVRS >PAN27009 pep chromosome:PHallii_v3.1:5:3376847:3378463:-1 gene:PAHAL_5G053300 transcript:PAN27009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSEQPCRSTPSSFTTSYGSSQQIHHLPQHDAVLCTERGLGFPYYYGTDQQDAAFDGDEVELGFRASKVTKVDYYSSPYQPSWPLARADVAAVAAESSRVRKQRFRDVLESCKQKVEAMEAMESPVAFQEGEDGGVAGDGGGAAGGGGGGSGGGGGGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPALGPASMAFCIPPSCTGRDGARGEALALAYELCPYLRFAHFVANASILEAFEGESNVHVVDLGMTLGLDRGHQWRGLLDGLAARAGAKPKRVCITGVGAPVDTMRAVGRELEAYAEGLGMYLEFRAVDRSLESLHIDDLGIGADEAVAINSILELHCVVKESRGALNSVLQTIRKLSPKAFVLVEQDAGHNGPFFLGRFMEALHYYAAVFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPIRMAARAREWLEENAGGGGYTVAEEKGCLVLGWKGKPVIAASCWKC >PAN32331 pep chromosome:PHallii_v3.1:5:55497547:55501726:1 gene:PAHAL_5G479000 transcript:PAN32331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLQLALILVGIISAICCFSAAAYTPADNYLISCGSSVGTTVGQRVFVADDSGSVTLTSSHSAAVRAPPGSTPGFDNAALYQTARVFTAPSSYAFRISRPGRHFVRLHFFPFASQSYDLAAASFKVSTQDAVLIDSYTPAKNGASQPVPHEFLLDVARGTLIVTFVPLAGGLAFVNAVEVVSVPDNLMADSARTSRGQQLIPIALPLQMAYRVNVGGPAVAVDNDTLWREWTKDQSYLAASAGIVTRVLAYNGSLKYLAGEATPDDAPSIVYATARELVMLHTVDQMTWQFDVDKQPSSYLIRFHWCDVVSRAPHLLSIDVYVGSHTVVTGLDLSTIGNGTPYYMDFILDSSDPSGKITIYVGSSSSMKKNSSAYPGPILNGIEIMKMHFSSGSVVVVEQSSARSKKQNLAIVLGSVCGAFAVVSIAVVLVIFRRKKEGKLLPSPSQSPSSTPWMPLLNRFSLRSRGPRASGAGSPSFTVDKDMPGAIPVGGSSAPSYRFPFAMLQEATDNFDESLVIGAGGFGKVYRAVLPDGTKVAVKRASPESRQGAREFRTEIELLSGLRHRHLVSLIGYCDDGDEMILLYEYMEHGSLRSRLYGGAATAGRALSWAQRLEACAGAARGLLYLHTALAKPVIHRDVKSSNILLDGGLAAKVADFGLSKAGPELDETHVSTAVKGSFGYVDPDYARTRKLTAKSDVYSFGVVLLEVLCARPVVDPRLPKPMVNLVEWALHWQGRGELDKVVDRRIAAAVRPQALTKYGETAAKCLAERGADRPAMEDVVWSLQFVMRLQDDSGLDFSDVNSLNFVRELTPPLDPRQRTSHENEAGGEGEGVADGEYTDVSMRGVFWQMVNVGGR >PAN27697 pep chromosome:PHallii_v3.1:5:6083898:6084962:1 gene:PAHAL_5G099700 transcript:PAN27697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAPRRYCRNSAPRLPNFSIHNHLSLTSSVTCCIDMIVPEDSLRVIGDSVKPKRLT >PVH37802 pep chromosome:PHallii_v3.1:5:5776341:5776672:-1 gene:PAHAL_5G093500 transcript:PVH37802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFAAEEKTCSRLFRKRGGGKGAMGVRGDGKGRSFSGRCARLVKEQRARFYIMRRCVTMLVCWREYA >PVH39241 pep chromosome:PHallii_v3.1:5:54428840:54429025:-1 gene:PAHAL_5G462200 transcript:PVH39241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLEMSKPVRYLVLQKIIMGDPQDFFDKDMKVKYSYYFLILVRQSERVWQKIENCFMLLM >PVH37652 pep chromosome:PHallii_v3.1:5:3382038:3385673:-1 gene:PAHAL_5G053400 transcript:PVH37652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAVESNASRGGADHRPSSKKDRRVFWACVFIFVNSCFQCTAYFGVSTNLVNYLKDRLHQDSKAAANSVTNWQGTSSIMALVAAFLADAFLGRYWTIAIFMLISVVAYAVLTVSAAAALPGAALFYAGLYLLALGGALQPVLTSFGADQFDESDEEERRRQSSFFNWFYQTLNVGSLVGGTVLVWVQSSVGWGLGYGIPALFSVLAVAVFLAGTGAYRRHQPPGGSPLTRVAQVVVAAVRKYGVEVPADASVLYECETDDGMSAIQGSRRLAHTDQFRFLDKAAVETAGDKTRPSPWRLCTVTQVEELKCVLRLLPVLASGIVFAAAYAQMSSTFILQGDTLDPYVGGFRVPAAVLSVSETLSVMLWVPLYDRVIVPLARRATGRDRGFSQLARMGVGLVVLTAAMLAAGTLEVQRRRVVARHGMYDTNTGADGRYLPMSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDDINRGHLDNFFWLLAMLCIGNFGVYLLIARWYTYKKTVD >PAN30209 pep chromosome:PHallii_v3.1:5:36044395:36045114:1 gene:PAHAL_5G338600 transcript:PAN30209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPDINSTNNEPIVSPLHEALASTTAMPIVDPSSQSQPTIQSIFHAPQEPSKMPMNHNSTLPNCTEELPLSSLFPHTVHTTVTPVSSIPFQDRFTANSINQQQTFSSERNFLTTPVSHYLNFSDHMTIMSMEAPPITSLLQGDPVAVLHAHLNTIEGLDLGPIFENPTEVPKEQQVLVRETVGASDNIAQSMSSSMMKNERGAHIYECKICPAKFSSAQAFGGHKSYHSKAKKKGTK >PAN31065 pep chromosome:PHallii_v3.1:5:48909670:48911620:-1 gene:PAHAL_5G392400 transcript:PAN31065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIARSVTPTYLLLRCQEFSIRNLCSFKLQPYSPVQTSTMTTESETPRITELHVRMDCNGCEHKVRKTLRAIDGVSEVYIDQANHKITVVGMADPERIVKAIRKTKRVPTIFSHMDPAAEAQPPHSEGEAPPPADPPADAPPAEAAPAEPTPETKEVPPAETPTMDAIMINKMRDYPYGNDHHLYREHWANHPMDMHGVRYDAAPYHVTHSYSHHSTSPYIAEYSYGGSSDQEGRSYYSNNYYPGRGKGDGSQITSMFSDENPNACSIV >PAN26548 pep chromosome:PHallii_v3.1:5:1378076:1378472:1 gene:PAHAL_5G020800 transcript:PAN26548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNGNSQAPPPPPGYPTTAAGAEQQGGKKGRRGKTTSRGEKGFIEGCIAALCCCWICEMCCD >PAN29605 pep chromosome:PHallii_v3.1:5:14907798:14912397:-1 gene:PAHAL_5G237700 transcript:PAN29605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16060) UniProtKB/TrEMBL;Acc:A0A178V575] MNGGGGRRRYSSEQLLFDVPANAGAGRWAPQQRGGVRRGDGEIFVSVEPATPARLHGGDAVAGDSPGQRQQLSPGLLDLHAFDTELIPDFQVPGMYDGAQSFGCGGGLDDSDISFAANKQMSKSTIFPQNNYLTAFPENAKAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQRSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRRVDGNDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNAKKDVPSTAPLRESSPSPLPSVVPSFSGSELMNDITERSDFGWPKQQQYVKEQPTPTFADRMPKVKEGVEFNSLNGVYFKEKRSKGGMAPNIAEVPDIMYQQKRQPARKAKDSALDNTMRNSIAYPIRRAEPDEEDEHLNDLLQEEEDLVTAHRKQVEETLDILREELNILGEADQPGNQLDDYIARLSSILSQKAAGIVDLQSRLEQFQRRLNENNVLLYAQCP >PVH37858 pep chromosome:PHallii_v3.1:5:6437598:6438782:-1 gene:PAHAL_5G106200 transcript:PVH37858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSAMVPNPSWPADEAIAEDDCWADVELLEKRQLWYNVSKTLAEKSAWEFAEKEGLQLVVLNPGTTLGPFFTPSVNTSLNILLQLLRGQELELDAVYTGWVDVRDVARSAMVLYENPSAQGRHLCLASMERLVDFTAKLADLYPEFPVHRIKEDKQGWLMRAKDPSKKLIDLGVRFIPFDQTVRETVDCFRNKGLI >PAN27774 pep chromosome:PHallii_v3.1:5:6437598:6439318:-1 gene:PAHAL_5G106200 transcript:PAN27774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLDPASSMRLAIEGAHGVFHLASPLALRTRDPEKELLEPAVKGTLSILRAAKDCGVARVVLMSSKSAMVPNPSWPADEAIAEDDCWADVELLEKRQLWYNVSKTLAEKSAWEFAEKEGLQLVVLNPGTTLGPFFTPSVNTSLNILLQLLRGQELELDAVYTGWVDVRDVARSAMVLYENPSAQGRHLCLASMERLVDFTAKLADLYPEFPVHRIKEDKQGWLMRAKDPSKKLIDLGVRFIPFDQTVRETVDCFRNKGLI >PAN31444 pep chromosome:PHallii_v3.1:5:51619268:51620223:-1 gene:PAHAL_5G420100 transcript:PAN31444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVLLLSELLGGESASVLAADRYITGGRLSLGEFRPAVTEAKRDGRVVGAGTEGAREEKQQGRKEESFEDLAVSRIAVDVMWP >PAN29458 pep chromosome:PHallii_v3.1:5:13859216:13864020:-1 gene:PAHAL_5G224800 transcript:PAN29458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGDMAGRWRELHGIGHWEGLLEPLDVGLRRCLIAYGEMIMATYEAFIGEGRSPNAGMCRYRRADLFRRVDVSHPGWYAATRYVYATASAEVRGKVLLRPLCRRGRARECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRALEWVADLKLALASAAGILGPEGADGSDPSVHRGYLSLYTSADEGSKLSEQSARMQVLTEIARLMDKYKDEETSITVVGHSLGATLATLNAVDIVANAYNKSPGSDDGGRAPVTAVVFGSPRTGDRDFRDVFHRLPGLRMLRVRNKPDRIPHYPPVGYADVGVELLIDTRRSPFLKPHGDESQSHDLEVHLHGVAGWQGDRGGFELVVDRDVALVNKFDDCLADEYPVPVGWKVHHNKNMVKGPDGRWVLEDHEPDYDDEEDDGNVNL >PAN29722 pep chromosome:PHallii_v3.1:5:15582587:15584907:-1 gene:PAHAL_5G246200 transcript:PAN29722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAAPFTSGFLCPTKPRTPLLPSSSRPSHSRLHFRIRSPDPKNPAAAPVSSRMEAAQPQTRDAQGGAESAMKLLFVEMGVGYDQHGQDITAAAVRACKDAISSNSIPAFRGGSIPGVNTDQMKLQIKLGVPRSTQHLLDAERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >PAN29721 pep chromosome:PHallii_v3.1:5:15581666:15584907:-1 gene:PAHAL_5G246200 transcript:PAN29721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAAPFTSGFLCPTKPRTPLLPSSSRPSHSRLHFRIRSPDPKNPAAAPVSSRMEAAQPQTRDAQGGAESAMKLLFVEMGVGYDQHGQDITAAAVRACKDAISSNSIPAFRGGSIPGVNTDQMKLQIKLGVPRSTQHLLDAERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >PAN31098 pep chromosome:PHallii_v3.1:5:49358944:49360559:-1 gene:PAHAL_5G396400 transcript:PAN31098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGSEKNRGSEAKPSGGRGRKPQRGTETDGDTRPQPAHNSSPRASPSVLKKAALLSAPHQEQHPSLQPVVSPRTIRSEILVLGPPAYMASAAPPAAAAGAGRRIVVAVDEGEESAHALAWCLANVVSPAGGDTLVLVHARRPRPVYAAMDSAGYMMTSDVLASVERHASAVSAAAVDKARRLCAEHPHVTVETLVESGDPRDVICDAADKVGADLLVMGSHGYGFIQRAFLGSVSNHCAQNCKCPVLIVKRPKE >PAN31677 pep chromosome:PHallii_v3.1:5:52679573:52684525:1 gene:PAHAL_5G436400 transcript:PAN31677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGKVAIVIGSGIVGTVLTGGESSLPDFRDVISGAFKFMTKGAKKGKDGPSTSSPHTAQLLTQVNYLREELQMLSKSNHVAIVTVDGRPGPGAYGITAVVIGAIGYLFIRWKGWKLSDMMFVTKRGLSDACNVVGKQVDQVSESVHAAKRHLAGRIDRVDCSLDECQEITEATRKEVTIIHGDLSAFQKEMETVHLVVRSLETKLGRLAYTQDRTTRGIYDLCEFTKRLEQSPKADTRQVTSSTPRPAIESSERVAKTVSLPPALEPESPPAQSPRPEAPKVVRSTTMSASGLNMLVGTTMPPKRDHQGVVSRSSSMKEGSSELPSGAPSAAEPSPRRSGSSTLFGGFGFLRSYTS >PAN29245 pep chromosome:PHallii_v3.1:5:12902838:12906845:-1 gene:PAHAL_5G211700 transcript:PAN29245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEHWISRLAAAKRYYAAQLGHIDDMPGMGMEEVEMEMEDDGDMEMEMALELGDATWPEVACPYCYEDHDVASLCVHLEEDHPYEPHAAPCPICSQRVTRDMLNHITMQHGYLFKNGHRSRRYIIPDSHGISLLSRDLRGTHLQALLGGGHSHRSSSSATTNISSDPLLSSFGLSFSTPDAPEPSKSTASISDSASVRKETPAQPWESSIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFGD >PVH37711 pep chromosome:PHallii_v3.1:5:4080324:4080740:1 gene:PAHAL_5G065900 transcript:PVH37711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARAAAGGRGPMAQEMQRLPDHSGGGGGGRWGVRRRAVAQGAAIRVRGSATLARAEGSPSRCHRVEGGSTDRGGEGRGRGSRGERGRGGRGWQPMRRPPRLPLSGFRGTLDLLLPDLTCDDLLPARRLLCVEGVSN >PVH38379 pep chromosome:PHallii_v3.1:5:15664036:15664745:1 gene:PAHAL_5G247700 transcript:PVH38379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFALLALLALSVSAATAVVIPQYSSPFSAATIASIPQFFPSVGAFGLAQSIAQSYRQQYAFAAGIPSLSPLTFQQSFAIPQLPYLYNQLAIPQLPYLYNQLAISQLPYLYNQLTISQLSYFYNQRAISQLPYLYNQLAISQLPYMYNQLAIANPTNFMPFNQLAVRSPATFWQQPFVGSSCF >PAN29293 pep chromosome:PHallii_v3.1:5:13118071:13126946:-1 gene:PAHAL_5G214800 transcript:PAN29293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MSSSVSVAAEWDLLSDRFYRRLTLYSPLPWSAPATTSTSSSSGVGSAVIGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSGHPIASAPWPPMLPRLHSLSFSSSLSLIALLSDGSLLRFRLPDLQPSPSSSPVPLLPPASGGVADAVFWGGGVAILTEDNRVVVATDIEAADPHPRDLADPGVGDEEHVLCMAVVEPQFVMSGSPEVLLAVGDRVIAVDEDGVQVLGEELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRIIFEYECDSALPPEQIAWCGLDSVLLYWPEVLLMVGPNGDPVQYNYDEPIKLIPECDGVRILSNSSMEFLHRVPDCTTLIFGIGSMSPAALLYDARDHYDRQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDVSRQRTLLRAATYGLAFCSRFPHERFQEMCKMLRVLNAVRDPEIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASATLPDTVLLEGLLDKLRLCKGISYAAVAAHADNCGRRRLAAMLVDHESQSSKQIPMLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQNVAVEKKAPLDFFGVINARPVARDLFMAYARHSKHEDLKDFFLSTGRLQDAAFLLLKESRELEKNPMASKGSPLHGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKTCALATVGNWDALEKFSREKRPPGGYKPFVEACIDAGQKTEALKYIPKLTDPRERSEAYARMGMAKEAADAASQAKDSDELFGRLKITLAQNSAAASIFDTLRDRLSFQGAY >PVH37953 pep chromosome:PHallii_v3.1:5:7903727:7907668:1 gene:PAHAL_5G132500 transcript:PVH37953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPSSGADAPPVPAPVRLSAAAQAAAIQPSSPRFFFSSLAGTNPASPHRRIAIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVADEADAAEDAAAAAQGGPTEEELQKKREEDYDAFTSTKAQDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGAHRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGAGGDAAGAIDELHTVPEDEPVYHDAPEVQKEN >PVH37553 pep chromosome:PHallii_v3.1:5:1840893:1841678:1 gene:PAHAL_5G028500 transcript:PVH37553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLVTTVLLPLNDGAVQDLTLAMAALRPSRRFRNDATMMDGAVLTAERYGAARRVCVVAEEDASWSAEFQRRMASWNPGTEVRGLQGADHMPMLSKPRELSEPLMEVADKYS >PAN29684 pep chromosome:PHallii_v3.1:5:15347357:15359674:1 gene:PAHAL_5G244200 transcript:PAN29684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G80410) UniProtKB/TrEMBL;Acc:F4HS68] MGSSLPPKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNSSKAVEVLEAYEGTLEDDYPPDNERYEHSEMLLYKISLFEECGMLDRALEEMQKKESKIVDKLSFKEQMASVLFKLGCFDESESIYRSLLFMNPDNYKYFIAVQKCLGLYSDNGQYSADDVERLSALYNSLKEKYAWSSAVKRIPLDFLEGEKFQEAADSYVRPLLTKGVPSLFSDLSPLYEHPGKANILEQLFLKIEDSIRTSGCFPGSPQKEPPSTLLWTLFLISQHYDRRGQYEIAFNKINEAISHTPTVIDLYSVKGKILQHAGNFAAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPTKSSTEENDEISKLPPAQRKKLRQKQKKAEARAKREAEEKQEDETASSNTSKSGKKQNARPVDLDPHGEKLVQIEDPLAEATKYLKLLQNNSSSSLETHILSFELNMRKQKILLAFQAVKQLIKLDENNPDSHRCLIKFFHKINSLPTPGTDSEKLIWNVLEAERPDIRQLHGKSLIEVNRSFLEKHNASLTHRAAAAEMMYLLEPDKKMEAIKLIEDSTNNASSGNNVLGPVNEWQIQDCIDVHKLLETVFGDQDVANRWKTRCAEYFPYSTYFEGIMSAIAAYVVDHNLENSPENGIAPNPQLKSNEGELGALNGTVHIVDDLSSLSIR >PAN32405 pep chromosome:PHallii_v3.1:5:55766988:55767653:-1 gene:PAHAL_5G485200 transcript:PAN32405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGDHGRCGACCLCCCICCAKAICNWYAFLAGLALTAVLVAAFGVALPVRAAVTDASLARLDLVGDGPRNGTAAVSLAYNLSLTVMLRNRNWAMRAELAAPLDTELRFAGRRFGGARLAAAGRRIAPRGAEELRVLAAGRLIGGGGGDAAEELARERSAGVFELELRLAGEVRYRPVHVGRSRRLDVTCPVKMMMMAPAPPTAPRGTTHLMVFDKIVTCY >PAN32775 pep chromosome:PHallii_v3.1:5:57492295:57492861:1 gene:PAHAL_5G511700 transcript:PAN32775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDLRRACSEIANKLEKLVTAGATTTSNPRPDVAATASAAKAAAGSDQQGAVETVRCAVGEDCTAAYIRGVRASFCGDWLCGLCAEAVKERARRDPAGGVAAALGAHEAECRDFNATTRLNPTLSLAGSMRRIARRSLDRRTASCQERGSATASRAAALARSASCDPRFLADVVDEASGDRRI >PAN32806 pep chromosome:PHallii_v3.1:5:57660339:57662040:-1 gene:PAHAL_5G513800 transcript:PAN32806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREDGGGGTGAMEEGRDAGRQAGDESSEDGGGGSDRCSSGSDMISVQFMQKIIAEILGTYFMIFAGCGSVVVNLSTAGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTVAFATCGRFPWKQVPSYAVAQVLGSTLASLTLRVVFGGATAREHFFGTAPSGSDAQAVALEFVISFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPITGASMNPARTLGPAIVAGRYRSIWVYVVGPVCGTVAGAWAYNLVRFTDKPLREITKSGSFLRSARITG >PAN32807 pep chromosome:PHallii_v3.1:5:57659983:57662177:-1 gene:PAHAL_5G513800 transcript:PAN32807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREDGGGGTGAMEEGRDAGRQAGDESSEDGGGGSDRCSSGSDMISVQFMQKIIAEILGTYFMIFAGCGSVVVNLSTAGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTVAFATCGRFPWKQVPSYAVAQVLGSTLASLTLRVVFGGATAREHFFGTAPSGSDAQAVALEFVISFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPITGASMNPARTLGPAIVAGRYRSIWVYVVGPVCGTVAGAWAYNLVRFTDKPLREITKSGSFLRSARITG >PAN29162 pep chromosome:PHallii_v3.1:5:12511280:12517395:1 gene:PAHAL_5G205600 transcript:PAN29162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLVQVEEGREAADGAPSAGPTYRCAAGDKGAAPPAVPGLDCCWDIFRLSVEKYPDNKMLGRREIVDGKAGNYTWLTYKEVYDTVIKVGAAIRSCGVGKGGRCGIYGANSPEWVISMQACNAHGIYCVPLYDTLGAGAVEFVLCHAEVQIAFVEEKKIGEILKTFPNATKFLKTIVSFGKVNPEHKEKVEENGLSIYSWEEFLQLSGEEKFELPPKEKDDICTIMYTSGTTGDPKGVLISNKSIITIVSAVDEFLSSSGEELRDDDVYISYLPLAHIFDRLIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTVFCAVPRVLDRIYGGLQDKITTGGFLKKTLFNVAYKYKQGNMLKGSKHEEAAAVFDKLVFTKVKRGLGGRVRLILSGAAPLSRHVEEYLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVRLESVPEMGYDALSSETPRGEICIRGDTLFSGYYKREDLTKEVMVNGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQTPGVDSVWVYGNSFESSLVAVVNPNKQALERWAESNGVTGEFAAICEDPKAKEFILGELTKMGKEKKLKGFELIRAVHLDPVPFDMERDLITPTYKKKRPQLLKYYQSVIDGMYLSMK >PAN27906 pep chromosome:PHallii_v3.1:5:7044502:7046829:-1 gene:PAHAL_5G117000 transcript:PAN27906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKARIHADPVLEFDRFDCLPDSLVLLILNKLEDVRSLGRCSAVSKRFSGLVPLVHDVCVKIDRVVTVDGDSDAALNLSSPKPQNILSHLLKLVLFAITKPFHDMGGNPNGAGRPLFPQLSQHSPAQVLRSFSHVRNIRVELPSGDVGVEEGVLLKWRAEYGSTLQNCVILGGTLVSRKAAGGVHEPPPDDGGTMPESFYTNGGLKLRVVWTISCLIAASTRHYLLRSIVDEHPTLRSLVLADADGQGALRMGWEQLRDFREHKPSASACSNRTQVPACNIKLRYAPCLELPGGLALQGATLLVIKPAGDGSGGGHGGRKEAEAFVSGAFDGPLRFAVKALMKRRTYLLEMNGF >PAN31148 pep chromosome:PHallii_v3.1:5:49776977:49778279:1 gene:PAHAL_5G400200 transcript:PAN31148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSALVLVLAGGLPGRRRRRAGDGAGHPDGRLLQRQMQRSVEGIVRETVKAALNADLTKGAALVRLFFHDCFVRGCDGSVLLDPTYANPYPEKTSGANIGLRGFDVIDAIKANLESACPSTVSCADILAFAARDASGGYLSKGGIDYAVPAGRLDGVVSSAADAESNLPGSTFDVATLISNFATKGFTPEELVILSGAHSIGRAHCSSFRDRLSSPSGEINPYFRDNILAKDCDAGPADPTVENNIQRDGAGPGNLTSYVVPARGGASLDNSYYVNSLNSVVLFHSDWAIVGSNATRLHVHEYADNGTLWNLDFADALVKLSKLAMPPGSTGEIRKTCRATNY >PAN29834 pep chromosome:PHallii_v3.1:5:16026155:16030384:1 gene:PAHAL_5G252700 transcript:PAN29834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGDASAGGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPGLVVEPMSSMTSTQSSRPAARSSATPSNENTKARDSGSGTRSTGASQQPSAERSANSLWLDGRTIHFSINAWVLVVASLGILPTLPNHISSKVYRLSLLGTICSSAYSLYLTYGKPRAWNMTVIQPWLQSIIVAKDFVHLMFSLMMFTSNVHFKIALLPVLCWALDHVARFLRRNFTRSSLYRKYLEDPCLWVETNNTTLSLLCSNAEITLGFLMIISLFSSRRNIIQTFMYFHLLKLMYHAPVTSGYHQSVWARIGRAVNPYIYRYAPFLNTPISAVQRWWLR >PVH37965 pep chromosome:PHallii_v3.1:5:8172044:8172999:-1 gene:PAHAL_5G136800 transcript:PVH37965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRSRGKRGRTSPDSPAKRRRGGPPEREADDYPEPAGQQPSSGLEAYGPIARARVDAAAATGYVTFRSGAAAVAAIAASLDPDGGITIGSNKVLVVQASEAAKNSKSIVRAADPAGRSSHDATKNNANDDLAAPGSKAAGVTCRAREIVAYDDLF >PAN28952 pep chromosome:PHallii_v3.1:5:11382856:11385126:-1 gene:PAHAL_5G189200 transcript:PAN28952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVARAAAASLPSGRARRLGSGRLPLAPALPGHGALVLAGRRVGAGHGGVLAVQFMAPALARNVKLACRPPGIYPKTVINDDEDDEFERVYIEFIQKVERLHASGELLIGLPLPPAAVSEEEKAVQEKLKEIAEGCIGLHADAMRRLRLKERLRMYPIFWAVFLSFATVAAAAKEGRCSWGLAWAIADSLDALRRMVSRGCDAPLRLPWVMRVPVVDAERHLPPAHFWGALIKIADAADPDCKFTAIDSCEEAMLALLGRLYTATKYDVDAMISVAPRA >PAN27300 pep chromosome:PHallii_v3.1:5:4476434:4478614:1 gene:PAHAL_5G072600 transcript:PAN27300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFFTSLARGLDDLGRAGGLSSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLASLERYCAAASCAAAALEDWLQDPSPLATRQVMRAISASRREAMAAEEENRALADARIAPLSLQLDERLVLRATDARLTGFNGFRGLLYALHNASSLLLLILASGAVSRDAAAGGPCAAEAGADAAGGFAASIAMLQQRMAEEADSDGPGAPRIRMCEFRCARAAVEAAREEVERAAAAGRKCEGGVVKDKVEELKAWLDVLRTGTDSLVCQLDDFLDDIVEGRKELSDLCSH >PAN28718 pep chromosome:PHallii_v3.1:5:10522311:10524683:-1 gene:PAHAL_5G174400 transcript:PAN28718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLRALWQASFNATKRALVWSSDDLIPPSERYIFNFNSKDELKRWHLYSDSEYGGLSAASLEITDSTAGPDTSLTGVFSGNLSSDMSEDSTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQEEDNSWQAFVHTPQDRWQILKFLFRSDPS >PAN28719 pep chromosome:PHallii_v3.1:5:10521829:10525151:-1 gene:PAHAL_5G174400 transcript:PAN28719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLRALWQASFNATKRALVWSSDDLIPPSERYIFNFNSKDELKRWHLYSDSEYGGLSAASLEITDSTAGPDTSLTGVFSGNLSSDMSEDSTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQEEDNSWQAFVHTPQDRWQILKIPLDQYLPTWRGNVIEAKLEMNPARIVGMSLSVNAEGGVPGAKTGTGDFRLEVDWIKALRTL >PAN29158 pep chromosome:PHallii_v3.1:5:12501259:12504255:-1 gene:PAHAL_5G205400 transcript:PAN29158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPQCQILVRLLDGRTRCLRFTTPSVSGATLLDAVASLSRVPAAALRLVTGRLDVSPSSVLTSAADGQFPSASALLRLRGGKGGFGSLLRGAASKAGQNKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAEDFIKKKAKEAGRRGGPSAAEVDKYLEKYRKDAEICVNAVEESVRASLGKRKTAPKPPPGADSKKLKIWLGKNKVEDDESDSDSDVDDEDREGDEGTDAKSIVLDDGNCSNGSSKSEDEKPDLGSVSGSHSEGESSGEKFQHIDSEENGKCLASTVEPTMRSGAEGGDFESDGSVETDVGMVDQPISVNSAVVIASEEALKSDGVKADVDDTASAASNQNHPEVPQVEESADVSNSHSEPLDLAKYSSAAELETLGLEKLKVELQSRGLKCGGTLQERAARLFLLKTTPLDKLPKKLLAKPTAGGK >PVH38545 pep chromosome:PHallii_v3.1:5:20500423:20500982:1 gene:PAHAL_5G285400 transcript:PVH38545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIQTTSPKTLSRVSSCTQSCLWLGPLLEFRMAQDSNDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN30798 pep chromosome:PHallii_v3.1:5:48294667:48300554:-1 gene:PAHAL_5G386700 transcript:PAN30798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPAPSAEDKGDGGARNQSSMVVVKTEAVCANGGPLVVSPDLVKGEGDDTTECSSSFGDTCSGSEGEADGGEPEVNSGISAHRPAKPPRRKKVTTEWRNSVRPILWRCQWLELRMKELSSQVSKYDRELALIKKEKEVQQTVSQANGSTSESMQIHKGHRNSIMKRIKRKRHEENVDASLYIKKHQILSYYHDKQNKGAETDGVLIDDDCGNTVDGSIRGGLDTFTLLDSENYNMIFEQLTLKDTLMTIDGLQSRVHLLQDRLSKAHSGSEDLPLSEDNSHVRVPRKRQLTQKRSFSYTKCRYTKPQKRKNLNILLKDDDGSPLAGRPALPYRETDTHMKYANKNAEEKSGECNHSTEKAVTANLLLGTDTIPNGHIGDLCKDNTDDILIDNQAVNEACQQLDKARHLPSGSSSRGQNISSPAEMNSAPAEVKNTCAPVETDSTSAPGEEPLSSQNKQELKPKKKRRKKGSFFTKKQKKEASKTPAAKEKTEGTPLAANNKTGSTPSAATGLGTMTACSAGKKRKTGKEPADAKKRESAAPKKQETGKPSSATKKQKTENPSSATKKQETEKAGSAIKEETESSPLNLKIEKAVLVAVNSRRSQRVRKPKVFAE >PAN32990 pep chromosome:PHallii_v3.1:5:58489061:58491902:-1 gene:PAHAL_5G527400 transcript:PAN32990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEVEAVLETIWDLHDKVSDAIHALSRAHFLRAVRRRAAAGDRPAGLVYVKGGGLAAFGDGDEAAALAALAEEARSLHAIRASLEDLEDQFECFLAVQSQQQAEREFALARLEQSRIMLAIRLKEHHGNNHEVIDEASDFVRNAYQDVWPSLSVNKPEKCADSSNDVAKGPNFFARMVSSSLAIAGSSFSIKNLGGALGNGAAFAIGIVTLLQLRRLASGAHSPPVCNYPYRRINDSRLGTSRGGSTMAHLDVSRAKG >PAN27989 pep chromosome:PHallii_v3.1:5:7410317:7413181:1 gene:PAHAL_5G123000 transcript:PAN27989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGALWIAACGLLLLSSSSGVEGIGVNYGMIANNLPSPDKVIALCRARNITDVRLFHPDTAVLAALRGSGLGVVLGTLNEDLARLASDASFAASWVQSYVQPFAGAVRFLYVTAGNEVIPGDLAALVLPAMRNLESALRGAGLGGVAVTTAVSTAVLGSSYPPSQGAFSEAALPTVGPIASFLASRSAPLLVNVYPYFAYAADPSSVPLDYALLQPASAATVTDGGVEYTNMFDAIVDAVHAALGRVAASGAVDVVVSETGWPSGGGGAGASVGNAAAYVNNVVWRVGSGRGTPRRPGKAVEAFVFAMFNENQKPEGVERHFGLFEPDMTEVYHVDFTAAGPSA >PAN29704 pep chromosome:PHallii_v3.1:5:15480319:15488421:-1 gene:PAHAL_5G245200 transcript:PAN29704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNMKTLTQALAKASAVIEKTVSTTVQEVTGPRPLQDYELLDQAGSGGPGLAWRIYTARPRDGAPSAPYPVVSVWVLDKRALAEARARAGLSKAAEDAFLDLVRADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVSNALGCLDNVGKVPKELKGMEMGLLEIKHGLLQVAETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSIDQATGGLTSSPQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKIGSACDMFSFGCLAYHLIARRPLLDCHSNVKMYMNALTYLTSEAFSNIPSDLVSDLQRMLSMDAVSRPSAMAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIINKATQEHLISHILPMLVRAFDDNDPRLQEEVLRRTVPLSRQLDIKLVKQAVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILGILETVRRCTAVDHSAPTLMCTLGVANAIYKQSGVEFAAEYVIPLIFPLLTAHQLNVQQFAKYMLFVKDITSKIEEKRGVTVTDNGHAEVKASPSLANGIHSDPISRGVGKPAQIPAAKSTPAWDEDWGPSKKTSAPSLSVDSSAQTKQPSADPFDFSTQTKQPTALSFDFSTQTKQPSLISQVTAATIPPAQPLPSLQSLAPSSGPQTSGSCVPVDIEWPPRSSSSSDFNAPLSVNKENGSGSLSSDGLDGIDPFADWPPKPSSATSISANEHRPNTNQNVSGFSSGNIGFGGSGNSLGQMKSNQMSWSNTSNLMGMNSTGSYLNQGNTALGFGNPIGGLSTGLSNPSSSSAGQSMMQPKSDFGSLSMTTNNAAHGPPRLAPPPSAAVGRGRGRNQGQSALSRASRPPNSNSSSGQQPILDLL >PVH38747 pep chromosome:PHallii_v3.1:5:37590692:37591616:-1 gene:PAHAL_5G343800 transcript:PVH38747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNENWLTYLQNASHWQWPLVLLVSVQQNPLINIEAAPGDENIDEEVEEANIEAGGTEAPQCVADEGENIPFIVEQLQDEERELDKAMNADSSDDDDDVPQDWVSSDFSHLIVDDGSSWHSDCRENEIIQGARYHSIEEVKEASLRQWSANLVSTMWYVRRMAVHGGCMPTRVNGKIIGNAPLSLSTLVICLGCRRAIATSRRNTSQMRCTER >PAN32169 pep chromosome:PHallii_v3.1:5:54875826:54880903:1 gene:PAHAL_5G469000 transcript:PAN32169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLAGMADGEARGRTLGAVIKEKDEELALFLEMRRREKERGAAADQLLLSGGAAAGDGMLQLDPPPPAEPKPAAYKVAGGGFRRAPGGADDFLNADAGDKNDYDWLLTPPGTPLFPSLDVESKRSPVSQVGTPKTRPTALKSRLANHQDPPSRTNLPLRTASSNSLNSAATTRRPSSSGGLTSNSSRPSTPTGRPALAANSKGSRPSTPTSRATVPAKTGASAPRSSTPTSRSTLPSTRSTTPSSRAAGPASRNPASTGRASAPASRSSTPTSRSSIPATTTSRSSIPATRSTTPSSRPSIPAQSKPTSRASTPTRRPSAPSSQHGNLAAPVRSSSISKSGSTMPKGSSPAKSTAHTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVESGPAARPRRQSCSPSRGRTLSGSVPSGSSMPAVRRSHLNGGDTVNPVQMGNKMVERVVHMRRLVPPKHDDQRSSLNSMSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPMSVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELSSDRAGTYGR >PAN28351 pep chromosome:PHallii_v3.1:5:8841906:8844048:1 gene:PAHAL_5G147200 transcript:PAN28351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAGLPPPLRPPTAPPPPAVARALHAINTCTSPAALGPMRGGILRDPALLRSTTVVSAFFLACGRLRSLDPALALFASLPRPHVFVFNSLLRSLPPAPACSPLPLFRHFLGLGVRPNRYTFPLILTSLSSPRDLTVVHSQVAKSGFARNLHIQNALLARYATCDSDLAHAEQLFDEMTRPDVVAWTTVITAYRNRGRSFQALAAFRRMFAASVAPNRVTMVSALGACAAHVAINTGVWIHRYVQKQGWELDVVLGTALVDMYGKCGHVAEGVRVFSEMVERNVYTWNSIIGALALAQDGETALQWFFRMEADGVRPDAVTLICVLCACAHSGFVDIGRKIFNLIVQGEYGFRPGIKHFGCMVDLLSRSGHLDDAFRLVETMPSQPNAAIWGLLLRGCKAHGDSILSEHVTMRLVELEPENASHYVLLANLYAGTGRWLEAEEVLKWMKKKGLTKDAGWSLKMLENSSSTYISDGDLMECAL >PAN32152 pep chromosome:PHallii_v3.1:5:54759624:54760649:1 gene:PAHAL_5G467600 transcript:PAN32152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHPLRSTAGQVRRSRPAAARPTAVASAHQPTPSPARLFPVTGRRTRATDGRAGGSVDRRRPFASSKRLERSIGPVCRWRGHILVATRG >PAN30485 pep chromosome:PHallii_v3.1:5:20448379:20452319:1 gene:PAHAL_5G285200 transcript:PAN30485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 57 [Source:Projected from Arabidopsis thaliana (AT4G27800) UniProtKB/Swiss-Prot;Acc:P49599] MALLSPRVPRLPPSAFTAGAVGGIRCCGRGARCQAAAAGGVAAAGPPSSELEAIRWGSAKLQGARDEMEDEVVLRTGSLLDGFSFAAVLDGHAGFSAVQFLRDELYKECAAALDGGAVLSTKNLEAITASIQRAFAAVDAKLTTWLEQMDKDDDSGATATAMFLRNDVLVVSHIGDSCLMISRGGRPESLTSSHRPYGNNKTSLEEVKRIRGAGGWIVDGRICGDISVSRAFGDIRFKTRKDEMLVKGVKEGRWTEKFISRIKFKEDIIVSTPDVSLVELGPDVEFLILATDGLWDYMKSSEAVAFVRDQLRRHGDVQLACEALGQKALDERSQDNISIVIADLGRTNWKALPVERPNLFLELSQAVVTVGVVAVGIWFSSLLTLQ >PVH39451 pep chromosome:PHallii_v3.1:5:56843646:56845292:1 gene:PAHAL_5G503200 transcript:PVH39451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKPKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHVKLELAHSDLLTKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVNEKVNASTSCDDLLIDAYATNVVPKPASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKVVWVPKTQK >PAN26376 pep chromosome:PHallii_v3.1:5:545853:546904:1 gene:PAHAL_5G007400 transcript:PAN26376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKASLLVAPKPSMATIVSASARGPARRCLAVAAAATGGHSKEPGTRSSEAVMMRARGGLLAAIAAASSTPVLPCHAWVLGDLDPATAKTVAGVAGPALSALGFLFILRIVMSWYPRLPVTEFPYVLAYAPTEPFLAVTRKLIPPLGGVDVTPVVWFGLVSFLNEILVGPQGLLVLLSQQQQQQL >PAN28536 pep chromosome:PHallii_v3.1:5:9652694:9654105:1 gene:PAHAL_5G160300 transcript:PAN28536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVDEFQEADILWPDTEDELVVPFGTTGVVVEALYGAATASPASSIAPEFGRPRRRFLGRFLPGAGPSSSSAATASPDADDEEEEWQEADVLWPDTVGIVPRGGGGGGSLLPFPAGGSGRGGRHVSHPAAARRDRWRPAASSPIDIPANVAALRRFNLGGR >PVH38601 pep chromosome:PHallii_v3.1:5:23097995:23099970:1 gene:PAHAL_5G299300 transcript:PVH38601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAHGPPASAAGLTHSKATQLHSNPAPKEIIHTRSPFLIRPLASAYASSYSTRLLDVFPNRCNVIRDASTSSSDRPRPRCTSSITARPPAWMQKCSNAVRKSGRYSLTLLSPRRRLRSFRLRSDSATRSCSETGRTSGPKATMFLLSALPAVAVRSFERRTPRMPLSSSSWKMQR >PVH37822 pep chromosome:PHallii_v3.1:5:6051739:6052197:-1 gene:PAHAL_5G098600 transcript:PVH37822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSLCLLTSTTPSLRLLSIKMPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTRRGKCEDPCSIFTTPGRRCSAPQRLRRPDPCSLIIVPGSRYDAPLRG >PAN29363 pep chromosome:PHallii_v3.1:5:13530680:13533301:1 gene:PAHAL_5G221000 transcript:PAN29363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRSQKIHTISLEEDFPLFSNPKIERSLSHEAKEVFLAALVSEGRAEWMDKGHKKCLILWLRIQDWANLILNFVKDNGLEVMTIEEIRSGIDTRGTELEGIDRGVLMRALRQLEQKGKAAIFKGTSADDEGVKFSV >PAN31602 pep chromosome:PHallii_v3.1:5:52374732:52378968:1 gene:PAHAL_5G430500 transcript:PAN31602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAGDDDLAALREQVALACSAAVSARDLDHAFQLQLDEAIQASLRAHTSISSPNAASSSWTQAAPTLPEPSSDVAYALELQATDLALAEQDRRDALACRAAHSQAAASVRIAAHDALFARELAAIPEDRWAHDGDYFERPLELASSSSSPLFSVFFKGMASSEAVGPRDRDPGLAVLAVALCGPQGNVVLRIHKPVEEVFVGDGEVLEVMALLEGLHAALELDIRSVKVITDHKMLHNHMLGIWRPSGKKLADIINQVLTVRRKFEQCEISFVEPSQVSYIVKLARDSIAVQIAKALAANASNEKRETCTICLEDADITKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCTTKLSVEGSKAFLSPLLLEIMVQRVREEQIVPSQKIYCPYPKCSALMSLSEVIHPMQESCSKYTLAAAATLRKCVKCRGSFCMSCVVPWHDMMTCDEYKAQYPYAHPEDARLENLAKTRLWRQCVKCRHMIELAEGCFHMTCMCGHEFCYTCGKEWKEKKATCACLLWDERNIITAQR >PAN27599 pep chromosome:PHallii_v3.1:5:5623444:5628120:-1 gene:PAHAL_5G091300 transcript:PAN27599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCARVYGNEKEIGLSLKKLFEEGVVKREDLFITSKLWNDHHAPEDVPEALNESLNDLQLDYLDLYLIHWPFRVKKGTNTSPENFVTPDIPATWAAMEKLYDAGKARAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCRSTGVHLTAYSPLGSPGTSWMNGNVLKEPVIISIAEKLGKTPAQVALRWNIQMGHSVLPKSTNEERIKQNLDVYDWSIPDDLLAKFSEIKQARLLKGNFIVNPQSVYKTHEELWDGEL >PAN27825 pep chromosome:PHallii_v3.1:5:6684775:6691261:1 gene:PAHAL_5G111000 transcript:PAN27825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADLTGTKRVMAYALCKHLNVDPSTVSNTNIEESDIASLFSHIVKSSQDEVMKWVKFSSDFAGSNGKQHALLDNLNQDLSQKSVLLGDGLKPSVADIVVFATVQAFVTHVSDSELQKFPHVLRWMDYIQNIVDFGTTSQKINVAKSVFAPPSHPKKADKGDTESSSKKAVSGQKIADKSNGSADSKKKPAGETKAPEKETPTTARDNKTSGEKKKDKSAGKTAEKASEKALEKTADKDSECNISILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKYCSPDDLTNRHVVLITNVKPGKLRDVMSAGLVLCASTEDHTAVEPLIPPEGAKIGERISFDGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFKGIPFTTSAGPCRSSIRNGNVK >PVH39259 pep chromosome:PHallii_v3.1:5:54667375:54668896:-1 gene:PAHAL_5G465900 transcript:PVH39259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTGPGRWSVYLSRSYETGGPVQEAASTGCQYAAVPVMPGSELRALGDYERLVRRGFLLEWTLPGDCAACDATGGQCRHDAKVNAFRCLCPDGSPQSATCPLGELVTDPTIPYESFF >PAN27908 pep chromosome:PHallii_v3.1:5:7068373:7072381:1 gene:PAHAL_5G117300 transcript:PAN27908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEAAPAPEASGFCTGSGGLSTGRKLVPWSSWAEWRFVRDGLFSPFPAAALRRIATWRSRGSVLIPVDVTAAFVEIRLRDPFFRSGLAGDDAMESEEMLAMLYSMAIMRLVNGFVENQHKKTGRSISELAEAVGIPRVLVDIRHESSHRNLPSLRLLRLASIKAFDWLKCIYWDRQTNSIPDVEVELKLRLHEIASFLKENDSKESKSGSKRKRSEKLIVKAIKYARRLYYAYPFEVVSVLLDLMQLDAPESPESSDMQEIHSLGVYHSSDTQISNSDMKTIIVKLSEKEPRLLLSVLKSVIEMIEAKEELTNKGESYEFLPVGPFKVKRLCSLVLWLVTSIKELKDSGYIGLVHEIGVLSSDKNAVPCFCLAKLLRKLLNLSITGERCMIDAALLLIEMVNGNSVKEKLRKLPVLSLPRLVKVSSLPQSRTICNEQGSLEKATETLEMFKLQLKRQKNACLTETGTTAGPFNTSTPEKHNRWSVAKSWTPCPIGTIPCSFSSCAVLPAFDAVDHGLEVATSEQHGTFDEVDHSERFESQSDELDDGSILKISRSSPEYDISDMPESTSPLKGRLLVGGVWKKVSEEELLLIKSKMKLLL >PVH37902 pep chromosome:PHallii_v3.1:5:7068373:7072735:1 gene:PAHAL_5G117300 transcript:PVH37902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEAAPAPEASGFCTGSGGLSTGRKLVPWSSWAEWRFVRDGLFSPFPAAALRRIATWRSRGSVLIPVDVTAAFVEIRLRDPFFRSGLAGDDAMESEEMLAMLYSMAIMRLVNGFVENQHKKTGRSISELAEAVGIPRVLVDIRHESSHRNLPSLRLLRLASIKAFDWLKCIYWDRQTNSIPDVEVELKLRLHEIASFLKENDSKESKSGSKRKRSEKLIVKAIKYARRLYYAYPFEVVSVLLDLMQLDAPESPESSDMQEIHSLGVYHSSDTQISNSDMKTIIVKLSEKEPRLLLSVLKSVIEMIEAKEELTNKGESYEFLPVGPFKVKRLCSLVLWLVTSIKELKDSGYIGLVHEIGVLSSDKNAVPCFCLAKLLRKLLNLSITGERCMIDAALLLIEMVNGNSVKEKLRKLPVLSLPRLVKVSSLPQSRTICNEQGSLEKATETLEMFKLQLKRQKNACLTETGTTAGPFNTSTPEKHNRWSVAKSWTPCPIGTIPCSFSSCAVLPAFDAVDHGLEVATSEQHGTFDEVDHSERFESQSDELDDGSILKISRSSPEYDISDMPESTSPLKGRLLVGGVWKKIPKAQDNAHATKEWTVKP >PAN27909 pep chromosome:PHallii_v3.1:5:7068373:7072381:1 gene:PAHAL_5G117300 transcript:PAN27909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEAAPAPEASGFCTGSGGLSTGRKLVPWSSWAEWRFVRDGLFSPFPAAALRRIATWRSRGSVLIPVDVTAAFVEIRLRDPFFRSGLAGDDAMESEEMLAMLYSMAIMRLVNGFVENQHKKTGRSISELAEAVGIPRVLVDIRHESSHRNLPSLRLLRLASIKAFDWLKCIYWDRQTNSIPDVEVELKLRLHEIASFLKENDSKESKSGSKRKRSEKLIVKAIKYARRLYYAYPFEVVSVLLDLMQLDAPESPESSDMQEIHSLGVYHSSDTQISNSDMKTIIVKLSEKEPRLLLSVLKSVIEMIEAKEELTNKGESYEFLPVGPFKVKRLCSLVLWLVTSIKELKDSGYIGLVHEIGVLSSDKNAVPCFCLAKLLRKLLNLSITGERCMIDAALLLIEMVNGNSVKEKLRKLPVLSLPRLVKVSSLPQSRTICNEQGSLEKATETLEMFKLQLKRQKNACLTETGTTAGPFNTSTPEKHNRWSVAKSWTPCPIGTIPCSFSSCAVLPAFDAVDHGLEVATSEQHGTFDEVDHSERFESQSDELDDGSILKISRSSPEYDISDMPESTSPLKGRLLVGGVWKKVSEEELLLIKSKMKLLL >PAN30374 pep chromosome:PHallii_v3.1:5:44990756:44994940:1 gene:PAHAL_5G372400 transcript:PAN30374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGLITGVSLVLALLVFSAAGVGTASAQAPGLKKGFYKKSCPRAEDIAREVVWARVAGNRELAAKFLRMFFHDCFVRGCDASVLLDSPTNTAEKDAPPNLSLAGFDVIDEVKAALERACPGVVSCADIVALAARDSVSFQYKRNLWEVETGRRDGTVSSDQEALADIPAPTSTFDVLLSNFSSKGLGLQDLVVLSGAHTIGVGNCNLFSSRLFNFTGKNNPSDIDPALNPSYARFLQGQCRRNLQDPNDNTTVVPMDPGSSLSFDNHYFVNLKAHQGMFTSDATLLTNGRAANIVDKLQDPGVFFDAFKNSIKRMGQIGMLTGANGQIRKKCNVVN >PAN31839 pep chromosome:PHallii_v3.1:5:53402912:53403442:-1 gene:PAHAL_5G446800 transcript:PAN31839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLLQPKADPAAAFVHAGAPAASALLIVAVVVAVAAIVVSLCTSGKNARPRKQRRSSLAPAPQEHQDSGAGAGAGRSKPQLLASLSGIGVKAAAVAKMVSWNRRSPPASGGWSSNDDDDVGAVEEEEALWKKTIIMGGKCRPLESSGHIAYDSDGNPLQPPPPAPVEKDAADEA >PAN26383 pep chromosome:PHallii_v3.1:5:592258:593697:-1 gene:PAHAL_5G008400 transcript:PAN26383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPSSSTPSLALRAMTRSQADPDLPSLISDLTSLLLHSPAASSGAAAPVFSSSSLSIPIPAPAAPKPNPAPAAAVPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQAAAAPSATAWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNISSSAIMVPKELITQRLQSGAATGRSWQVLLHIIQTDGFFGLYAGYAATLLRNLPTGVLSYSSFEYLKAFTLKSRDKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVLGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILQWYLEGCQRKAAAQPQMEPAAAAT >PVH38429 pep chromosome:PHallii_v3.1:5:16189333:16190070:1 gene:PAHAL_5G255300 transcript:PVH38429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAPFVVVTTQHSPILAITTFSPDSCTCLLTFQKVSDHQDEDTWFEYQIFITGVPIRHGEVLLSGCRDSQTKAWKGKRFPVLLSIFIIS >PVH38100 pep chromosome:PHallii_v3.1:5:10724711:10725202:-1 gene:PAHAL_5G177800 transcript:PVH38100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYKSETTREPTPEYDPIAAYEDRAPLHWDAAEWDFHYQSEDDESLTDGEDLALLLGAELEEEEDDTLWGEDLSLSEEESDSISSEEDPMAGTFFFDRSSDDTSDGREGADDDDGFTSSSGGDDDDSRDGSSSSGTSIAPPSKRRKASDVYWW >PVH38958 pep chromosome:PHallii_v3.1:5:49821296:49822834:1 gene:PAHAL_5G400700 transcript:PVH38958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRMKQACLQLLMVAFQATLITMSSSAGLQYDFYSSSCPNAEQTVRNVVNGMIDADPTMPAAFLRLLFHDCFVMGCDASILLDPTKANGQPEKTAIPLRGYEAVNKIKAAVEDVCPGKVSCADILAFAARDSVARSGGFTFPIPSGRRDGTVSSAFSVFSGIPSPFFNAQQLIDSFAAKNLSVDDLVALSGAHSIGVSHCSAFANRLRPTVDPSLDPAYAAQLNATCPAGGADRAVNNSPVAPDALSNQYYRNALAGRVLLTSDAALLTRGDTAARVNASAADATAWMVRFAAAMVRMAGVEVLTGAQGEVRRLCNVTNS >PAN27895 pep chromosome:PHallii_v3.1:5:6983906:6990437:-1 gene:PAHAL_5G115800 transcript:PAN27895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMSKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDKIREFIRTVYVDKKYAGGSSNKPATDSESVKSNENEMRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGNFLFSPGRLRDQMNEDRFANESSGSRFSDFSASSTGDFRNDVLSPSSQETGYSSPSVHHSRNVSAENPQSQKYPNVTSSQIDLNGVRRPQRTASSGSFGSFDGSSVSNKSVESGYPPDAPTEKSVHSAVNHQIVASPVANSTKLYASPPSNHNLIPQKSADLGSQTTATRNPVQHGGAQTEAVVPSPAPTQPTTFTPLDLFDQSTAQQPVTSDAQIDLFAGFNEQSSASHNLGSHSDVAKEPSHNVVVQKAVIPSAEALATSHPAHQDLFSLSILQEPATSSPPPPIDLFAGFDQQLPHLSNVQQIPSAAPLPANGGWAFFDAQCGSLTSVLNVQAQMPAAFHPSDDIAKGIDQSTSPTSSPNAIGSQSTLSMMDNWSLNTEEVKISVPKENSPPWNAFGESTQSASNNLFTFNTMSQVAPHQFTTPGASHIEPRNPQDLARGETERPTPGDMFSGFNISPVEMAGPLFPAPPQSHLDGMVSHPGKSTNPFDIAFESDVDANDMFMDLTSLQDTLPDLHTTTDYSGSLAQSWVSQNSTMPYVPSGPQGGLSYVSGQDSHMLSSTQGPFPPRNPFE >PAN28052 pep chromosome:PHallii_v3.1:5:7643196:7644408:1 gene:PAHAL_5G127900 transcript:PAN28052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLKLQRAAVWADGDEWEEEWAAGSEAKAAAAEAKVDRVEVKIKVTKRQLQELLEKAGGGRGACKAKARQAEKVLAELMTSGRVCYGQQHEEMRGHWRPALYSIPESAAEES >PAN32105 pep chromosome:PHallii_v3.1:5:54560573:54565276:1 gene:PAHAL_5G463700 transcript:PAN32105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAHGGDYLRRFVAETEWYNEVVLSAVAPGNSWRGLPHPVQSWIRNCVGGYLLYFISGFLWCFVIYYWKRHAYIPKDAVPTNEAMRKQIIVASKAMPFYCALPTLSEYMIESGWTRCFFNISEVGVPMYFVNLALYLIFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKENTLSPFAGLAFHPVDGILQAIPHVFALFIFPTHFRTHIALLFLEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLREPVDILKNE >PVH37550 pep chromosome:PHallii_v3.1:5:1807247:1807738:1 gene:PAHAL_5G027900 transcript:PVH37550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENFNFPNFAQQGQPQPQNLSRASDSSSREDNSRAGHDNLLPIANVGRIMKDALPPQAKISKRAKETIQECATEFIGFVTGEASERCRRERRKTINGDDICHAMRSLGLDHYADAMRRYLQRYRESEELAAALNSGGGGGGIQIDVRAELSIFRGDEQQGRN >PAN26666 pep chromosome:PHallii_v3.1:5:1897696:1898028:1 gene:PAHAL_5G029700 transcript:PAN26666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPRSRAALAVVVAAVLLIVAANPQRSAAAHGPGDSVASCTGTVGECVDDGAVARRELGGNGYIGYGAMGAGNVPCSYRGASYYNCRPSGAANPYSRGCSTINQCRG >PVH38357 pep chromosome:PHallii_v3.1:5:15246235:15247189:1 gene:PAHAL_5G242400 transcript:PVH38357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSGTCDTTVPPSVVHTDQSFNRVDGTCSNITKLLKEMHIDEISPSFEVYLPNSKFKKSSPGAPSFLLCLLRNKPPSRIELEMVENNFGGIPLKYCHVDNGRVSFLSFDKVALPRLP >PVH37725 pep chromosome:PHallii_v3.1:5:4356396:4356842:1 gene:PAHAL_5G070500 transcript:PVH37725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRTHARAGAQGNKAADRTGRRKKMYARAGIFPFCGRRAPLGPIAGKRRARLGSAPGLGRALAASARTALVTRGGIARARRVPPECRDSAGFLPVFSPSFFADVRRGARLGDVGVGVPSLQPNRDTFQTCRVPSWTIFSTRPIILPG >PAN30741 pep chromosome:PHallii_v3.1:5:41331668:41335721:1 gene:PAHAL_5G360000 transcript:PAN30741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSPPLHTQAAALWRPRRQWHGSLERTSCQLKHAGRLQARYRGLEPLYDDGYQTVKNLDYYYESLGELVEHDSGPPRWFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPLHDRTPFEDLVTMVEDVVRKEHATSPNKPIYLLGNSFGGCLALAVASRNPHIDLILVLVNPATSYEKSGIQQLLSFFSLFSDQACMAITALLNYNIDNEVHMAVSSMINGKHPLVALNRLTNNMSSFLKHSNILDKIPEDTLKWKMKLIKRAASYANYRLQSVQAEVLLLVSCGDKLLPSKAEADRLQKLLPKCKVYFFDKHGHSLLLEYGVHVASIIKCTDLYRHSRRYHRVFDYIPPSATELNEVDKATRDLRFRTCPAMYSTLEDGTVVRGLAGVPQDGPVLLVGNHMLLGIELISLAAEFLRLKGIVVRGIAHTLLFPNKKRAWSEGHDFFDFLNLWGGVPMMYKYIYELLGAGEFVLLYPGGHREALHCKGEEHRLFWPSQAEFVRLAAQFNATIVPFGVVGEDDLLQLLCTFEDIRSAPFGKEMMQAYSNHLKLREDVDHEVFFPGLYLKIPGRFYYQFGKPIPTKGWQDVLTDKQAANDLYMHVKSEVERIISYLLEKRTEDKYRSLIPRMLYQAARGPTCEVPAFDP >PAN31751 pep chromosome:PHallii_v3.1:5:52984235:52985099:-1 gene:PAHAL_5G441700 transcript:PAN31751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAITGAVVSSKPCSLPKAIRILHHFYDSAASNLPSADCATYLRTAAEATREHGLFRRGLRANQQQGAANLEAYDYEGERKHQDRERKGDTAVLAGGSHRDSAEEMELDAAIGEKKSKKKKNKEDRWQVRAVAGVESHIPSSPEIGREKRKEKILIKEIIAHVKQEPVDEELLSEKKSKKKKEKGRVKLEEEARDVNEVGGKIVNDEQNVAGGEKKRKKKKHEEEISSKDVKQEEKMARDGDLDSEKKRKKKRGRVDNDDHMLEQVEHTKKKQWK >PVH38203 pep chromosome:PHallii_v3.1:5:11990617:11991603:-1 gene:PAHAL_5G198100 transcript:PVH38203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKLRLYASSLLFAVSSVLAAAASGDVKIALYWGQNASEGTLRDTCSTGLYAYVSIAFLSTFGDGRAPALNLGGHCDPASWGCASLATDIASCQSAVVKVLLSIGGGALGGYNLSSPSDAQGVAAYLWDSFLGGTGAPRPLGAAVLDGIHFDIEAPSRYYDDLARNLTSLYRRDARGRTYMLTAAPQCPFPDASLAAALGTGLFDHVWVQFYNNPPCQYAPGDVGALRSAWQQWTAGLPSAIVFLGLPASLDAAGSGFVDADTLASQVLPAVEGAPNYGGIMLWSHSYDKDTGFSVKLHGILQNRNNHTGMIVLCCQTSFVLLLTQS >PAN27967 pep chromosome:PHallii_v3.1:5:7294058:7295617:-1 gene:PAHAL_5G121300 transcript:PAN27967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPLTSPPLVLLLTLLPVLHALLRLRRDPKKQPRADGLRSYPLVGTFPHLVKNQHRFLEWSTDVVRGCPTHTMSFKVPGLGGAAITANPANVEHIAKTNFANYPKGEVTVSTIEDFLGHGIFNSDGDQWLWQRKAASYEFSKRSLRNFVVDTVRFEIVERLLPLLERAARDGRTLDVQDVLERFAFNNICRVAMRRAEFMRAFNDVQDGIIARFMTPIKSLWRVQRLLSLEPERRLREALDTVHDYADRIVREQRERAEAGLASKDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSGRPDVEDKILREIRSMRASTPANAGATFSFDELREMQYLHAAVTESMRLYPPVAMDTHGCKHDDFLPDGTFVGIGWQVTYSAYAMALVEDIWGKDCEEFKPERWLSEDGAFQPESTFRYPVFHAGPRMCLGKEMAYIQMKSIVACVLERFSFRFVGGEERPGLVLSFTLRMKGGLAMQVDKRS >PAN32204 pep chromosome:PHallii_v3.1:5:55001590:55004685:1 gene:PAHAL_5G471000 transcript:PAN32204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVVDTATPSSQPSPGQETNSVHPSPPSCSLLSAGRCFAGTQNVSSLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSLDLSNYPHIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSINGFYYDPSSSPFQKLELKCTNEKNSGFTFSSYELQ >PAN31447 pep chromosome:PHallii_v3.1:5:51672112:51676666:1 gene:PAHAL_5G420500 transcript:PAN31447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLRCCHRFALLRLFSFLSMAASQQPGKTLLRPNPSPSPAGSAPKRSRTMATDTAAAAAAGPPASKGCPAMKAEFAKHAEYLNALNDKRERLVKASRDITMNSKKVIFQVHRISKVNKEEVLSKAENDLAAVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATLCRFCKTGTLLSLAEINASLLALSDKSVEPLQINVLDYLLGVADLSGELMRLAIGRISDGEVEYAKKICAFVRDIYRELTLVVPLIDDNSEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPLLGSSADPYSFFGASDFDQ >PVH38217 pep chromosome:PHallii_v3.1:5:12140622:12146891:1 gene:PAHAL_5G200000 transcript:PVH38217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSRRDRGRPPLLLLVLLAVAVASRGEDDAYAASACRWRPYTCGGVDIIYPFYLANDTRAVPGRDGESYCGYPGLAVTCDGGSRAVLKLGEDSYAVSHIDYANLTVSLADADAAAAGDGCPRVDHNVTIPPDVRLFLPISAVDYLFLFANCSFGHPDADPAPPKPRPPKPPSIKPVTCGDLDRPDSMTFVLPSRDVPPGDWSSACRQTFEVPVLRGAVPRDAQDPKWRSDGYGKALRAGFQLGWDRSSGECSRCEQSGGKCGFSRAGEFLGCLCANGRMGDGGCSKTLADSSSLGRSKMLRVIIGSAAASFAVLAAAAILFFMLKRKKKNVINSSSKLLKYSGSGGTPRSRVGDMESGSIEDPPTHLFTYEELEEATNSFDEHRELGDGGFGTVYKGYLKDGRVVAVKRLYNNSYRRVEQFQNEAGILSGLRHPNLVMFYGCTPSTSRELLLVYEFVANGTVADHLHGHRAPERALSWPLRLSIAVESAAALTYLHAIEPPVVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELIASKPAVDITRHRNEINLAGMAISKIQNCHLEQLVDLDLGYEADPATKKMMTMVAELAFRCLQQNGEMRPPMKEVLEVLRSIQGECRAAKDGDKHKDGPFSPTTVHAPWDSRATTPNTSRD >PAN26479 pep chromosome:PHallii_v3.1:5:894814:896040:-1 gene:PAHAL_5G013500 transcript:PAN26479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPQLFLEPALPQPQPHPSELRDWGHLPDLLLGEVLQRLVPCLRSLSFFAATCRPWRRFLLASVSTLLLPRIPPLLLHPGYGGRCANYYFLLHLHAWSPLVIRGTITSPLAAAASPSPTLLSSARGHLILLVRPRGLLVLVDALTGAERLSLPLPSPHAPHHYATLTPNHLVLFVSKHAFASLPFPPANPGAAEWMHHRLPRAASFVASVVDFRGRVLGVTDRAQLLEFRCLDAAAPPDQEAVQMLTATGLPEASTFESWHFGPRLVVAGERLLLLLLMTDPAHRGATGRRAKVHKVSVHALHEMPDGTMRWEEVDTLGGYSVFVDCAGRSAIACADAAAYGEIAGNCIYFAEMTFYRGDWQRYWRSLTPWWKWEHSTAGAGENKDVTISRAWPSQTWVYPRLFFQT >PVH37723 pep chromosome:PHallii_v3.1:5:4317380:4318774:-1 gene:PAHAL_5G069700 transcript:PVH37723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCEPPSSPEAISMHHQTPSHILEAMVEPSTREEMEIRERTMKHVREMGEDRKRSSLKRRLMMRLRKDGYDASLCRSSWVATTEHPGGDYEYIDVVVAGEDGAGAATSSSGSSRLVVDIDFRSQFQLARPAPWYAHLWARLPAVFVGPRAKLRKAVSLLCAAAQRSLRESGLHVPPWRRSGYMQAKWLPRDVTLPGGAPEVAMAQWSVAMGKELGDGPRRSATGGLSIELAGSAAEADGRQGQAAGSMWA >PAN29254 pep chromosome:PHallii_v3.1:5:12955997:12957621:1 gene:PAHAL_5G212200 transcript:PAN29254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPANLTPNKWPKNLTSDHLHRLVRAERDPRRALALFDAATAASTSAPDPITPSPDTVSLLTSRLASAGHLPLAASLLSRSRGHFPSADALEGPFLTLLRAFSRTHRPLAALHLFRSAPSDLALPHSARSYTAVLAALVAHSQLHLARSLLADMRAAGFAPTAATYNVLLKAHCSDAAAPIEDALRIFRNIRKPDACSYNTIIDGLCRRGRLAEALELFSEMVGKCIAPTVVTYTTVIHWLAREGCFDDALKLFDEMGRRGISPNLVTYSSLIDGLCKGGRASSALELLDRMIKEKKLPNTITYSSVIDGLCKEHRLSEAMEVLDRMRLQGRKPDAGLFGKVIVGLCDAGRAVEAANYLDEMVLAGIEPNRVTWGLHARINNTVLTALCTKGELVRAFQVYQSTRTRSISTEPTTFHLLVESLSKKDNLEKAAHVVLDMLSERCIPERETWDVVISGYWGKKKVRQEAEEIWNQLTVS >PAN33056 pep chromosome:PHallii_v3.1:5:59228806:59233857:1 gene:PAHAL_5G536500 transcript:PAN33056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRMTPSKRLFQKNSSDHNGRGKWQKTKHSSSHKSQPKIEPGVPIFRILCPASKSGNVIGRKGGIIAKIRQETGVRIRVDQAVLGCDERVVFISAIDKDEEAISEQGGENDGGVAVSASGDHEKDKVNSKKNNDDPEKNHSNQEKDDSERDYSNEEKDDSEKDNSKEQKDDPEKENGKEHKDDSEKGHIEEENDDGSEKDHSKEENDASETGYSKEEKDASEKDHSKEEKEASEKDHSKEEKDGPFVAKDIKLEPERVVPSALKAILFVFDRIFAADEDNETGDASGASAPVSLRLLVLYSQAGWLLGKGGSVIKQMSVDNDCEIRVSKDKLPSCALPNDRLCQINGEVDSVRKGLNAVAEVLLAHPPKETDVVAGVHSSGSSSRSLFSQSDGFASGMQSNFHIPLQGPTQANGPYDIIDRQPNMAPFPIGPEAPIHGHASVPIEALSFRLLCSKDKVGSVIGKGGNTVKTIQNDTGCEIKVLETVPKTDGRIINISGPAHPGDGISPAQNAILHVQRKLMLPTSDKEGPAICRLIVSPNQVGCLLGKGGSIMAEMRKLSGAFIVVLSKDKIPRGVPEDDEVIQISGGCEAIQEALMQITARLRNHLFRDRMPGMGPNMRPPFGLLDSQFGPCVGNHESPSLFDKDFMGRPLDGISAPWTVKGMRGVGDPMSIPDIPGAGHRELGGFSGPGQSSVMPKVTAEVLVPRLVIPALCGEDGGCLDRIREFSEAKITVAEPIADSMDTAVLISGTPDQMHAARSLVEAFVISESFGP >PVH38906 pep chromosome:PHallii_v3.1:5:48377497:48381249:1 gene:PAHAL_5G387500 transcript:PVH38906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWVAAVSERGSGVLNATEAVRMFQALVPKKGAVVRDNAEVVGIRKGPEGGVVVETSTGEEFSGAKCVVTVGAWTSKLVKSVAGVDLPIQPLHTMVLYWRIKPGRERELTAEAGFPTFSSYCAPHFVYSTPSLERPGMIKINYHGGPPCDPDSRELVSGGGDVVERVARWIDEFMPGRVETAGGPADRLPCMYSMTPDEDFVIDFLGGEFGEDVVIGAGFSGHGFKMGPAVGSILAEMAMDGEARPAAEAGVELGHFRINRFDGNPMGNAKDL >PVH38330 pep chromosome:PHallii_v3.1:5:14385784:14386782:-1 gene:PAHAL_5G231300 transcript:PVH38330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLDPCSTRHRHGSWLAARGHRNRIDRLGSAGVQEFDGGIEDTAAMEKDAKITGSNFHKVELDLKLPQMLFRGRDFSTLKAEYRRRTKPNMTARSKSPSTPTEHTITEQQGAWEPGPKSSSGQAAGKQTEQGWAVAGFMPRTTSSMGRKLCHYYPPAGPELNTSL >PAN27102 pep chromosome:PHallii_v3.1:5:3736029:3738025:1 gene:PAHAL_5G059400 transcript:PAN27102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRISGSRNDLLVSTKMVASTAAAYADSRDDPKAATDLADALYILNKLVAETKPKITDKLNEVLHGSTRVLPDRHHLCCSSNLKARQEDKKMMKSRTLQGRPLNAKSLAQAAAVLAGEVRDTELADAAKAFAGEARKFAQKTGLCGYLCHHGQREDRRSDMVGLALCGSLTLITYLGPETVAERVKILPPCYQAYFHIGFCLWWTLQAAGVPFSAYERSLLELRCAQIICRLGMLGVNGLTIVFVYLALGIHNKSCLYFCCVLPHWSTLALFCWLFAEVI >PAN32283 pep chromosome:PHallii_v3.1:5:55274502:55274912:-1 gene:PAHAL_5G475200 transcript:PAN32283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLPSLAGLLARRTLLAYAATWTAVAVTAVAVAAFAPELAFVWALGPGTPLSRACQGGAGGGEGFSVGLPLDGPPWDVVCVPAGMFGRARPDAVVPLVFAVVVVTGAVWFTTAVGVWEDDHSDEPLSPASIDQV >PVH38166 pep chromosome:PHallii_v3.1:5:11605007:11606223:-1 gene:PAHAL_5G192300 transcript:PVH38166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIPSKSEAETATNGPPPEDVSSRNLEDLIRTLPTREGWSQPMVLYKNYWCRPHLVGKIMLLQDTFKPRSDDIILATQPKCGTTWLKALAFTITNRSRYSFSDHPLLTSNPQYLVPFIEIPDAGRDHTYLETLPSPRLLSTHLPLSMLPSETSSTGCRIVYLCREPKDAFVSRWHFENKIVKGSSIELEKAFDMFCEGFSPSGPFWDHCLEYWKESLARQDEVLFLKYEEIKSQPEQVVRQLAKFLGVPLTAEEESAGVAQEVVKLCSFENLTSLQVNQTGGVDHGNKIYVENSVFFRKGNVGDWANHMSEEMAEKLDRVIEEKLKGSGLSF >PAN29168 pep chromosome:PHallii_v3.1:5:12341789:12346051:-1 gene:PAHAL_5G203000 transcript:PAN29168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSPSPPETSLPLTFFDIFWLHSPPVERLLFYRLAPDADVATTVSNLKGSLHHAVSAFYPLAGHLRLSPGTSGRYELYYRPGDAVTFTVAECDDNVDIDGLTTDDPREVAQIVPLVPPLPEDGGLLALQATLLSACRGLAIGVTVHQAACDGSGSTGADTRPLPPVIDRALLPDPRGLYDIISQAAPGTDDLEFVKMSADQLLAMLDVMADEAATLGVAPPRCSSLVATFGFVWWCYQRAKESGGGDECPMAHMVFPVDHRSRMKPRLPEEYLGNCVGPAFAGAPKGELAAAGAGGLFSACAAVASAIDEAVRGIGTSSMDAWVDRIMEAAAAGVLSVAGSPRFRVYELDFGFGRPAKVDVVSVARTGAVAVAESRSRAGGMEVGVSLQPAGMDRYRKCIADAVAWVHNHQS >PAN29809 pep chromosome:PHallii_v3.1:5:15902725:15905750:-1 gene:PAHAL_5G250600 transcript:PAN29809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGEEAAAAGEELEPLFDYKRVQPTINFRFDDSDLEKADIFKHCNKRPRVDAAATATEEGKPDEKAATVKVVDVDEEDWLLPPPPKAAFKPSAEEDSALRELRLKRQELAKLTESAHDILQELDATAKTEVVSKEQPEQIIIDDESEPQVEKAREKIVISIQDKNAQQQIRVYKDEKFDKLLKVYAKKAKLNPSDLVFVFDGEKINLSSTPEDLDLEDDDMIEVRHKRH >PAN29379 pep chromosome:PHallii_v3.1:5:13646243:13647490:1 gene:PAHAL_5G222000 transcript:PAN29379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSEDPCRTRRRRLADLYGGGGGGGQEEGGIVPGYHSDADTAEYYNSNRDCSSDSDETISDSAPAHYGSGSTSPAAASAGTCSAAAALACPLCGKEFRNHKAVCGHMKVHREQGIGKAARGIKRNVPVVGAWGGTAKRGCCSGSRASASSPIPEPVDQSTAVVVAEAKIVLDPMPLAFATPNLPPVPAATAAPDPSPVTASDSVESSSAQPTRGDATEAVAARAASPPKEAVVDLHAVPPPPAAGEQAPPVRQQRVAPPPRGRQDPNGYTCSKCNKWFRTHQGLGGHTVDHKNREITAALQGSGAPHSRNAKAGRTHACKVCGAEFPGGIQLGGHMRKHWKGKPFNKKPRRLVQPLPPPGILTLAHVGSPAPGPAMAGRVLLFGIDIGAGLKTPAAQGGSSAPEASASIGGDK >PAN27572 pep chromosome:PHallii_v3.1:5:5482347:5486307:-1 gene:PAHAL_5G089100 transcript:PAN27572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLLVLALLLVGPVADAATAKYTFTVGSMQINQLCSTTSIIAVNGQLPGPSIEVNEGDAVEVKVINNSPYNVTIHWHGVLQLMTPWADGPSMVSQCPIQPSGSYTYRFSVPGQEGTLWWHAHSSFLRATVYGAFIVRPRAGNAYPFPAPDMEVPIVLGEWWNRNVVDVESDALLAGQLPAQSDAFTVNGMTGLLYPCANDTFTAVVEPNSRVLLRVINAGLNSHLFFKVAGHNFTVVAVDAGYTSSLNTDTLVLAPGQTVDALVDTAAAPGSYYMAVQAHDTMSPLTFAASDTTTATAIFQYNGTSTNPPAMPAMPSSSDAATANAFYFGLRGLGTPAVPAPVDVSMTIELGLGQLPCDPSQTRCNGTAAAAAMNGVSFRLPGPETSLLGAHVNGLTGVFTDDFPDGPPPSGTAMAVGTKVKKLSFNSVVEIVLQNPSAVPTENHPIHLHGFNFFVLAQGVGAFTPGSVAYNLVDPVARNTIAVPGGGWAVIRFVANNPGMWFFHCHLDPHVPMGLGMVFHVDSGTTAGSTLPPPPADWVGVCDAQSYAAAAAAVAPAPVAAPAPAPTLAPASAPGPANSPREAGSPVIPPRAVDHKPSPNLPQHREDGRPSSRSAAGPRATGHPTCLLCIILLLFVLHEHTRPNA >PVH37690 pep chromosome:PHallii_v3.1:5:3820427:3821200:1 gene:PAHAL_5G060400 transcript:PVH37690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGRLRPAQRKEGPASSIPVAPSTRGRKLPAREAEEGGGAREAGGGRRGGRATGGGAGAAARRRGRGAGGGRGGRGGAAGAEEGLAREAEEGGGRRGRRGRWRRAARRGRRRREAEEGRRGGWRRGGGAEEGPAREAEEGGAAREAEEGGGVGAGGGGGRRGAGGGRREKGRGRREEGRRAEVGRNYKKLRLWGYRRWK >PAN26322 pep chromosome:PHallii_v3.1:5:209346:212331:1 gene:PAHAL_5G002400 transcript:PAN26322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGPQSPAQPSPSVGLHGKEEGSQLPAAAAAAAAATEIHFELQPAAPPRPSPSPSSHHHPTTGTMADAEASFDLRRRMQDQRAAIQRRIAHARDRAAATADAFSAALLSARSIANQTVSNRAQLNELKQQLRKLEADLAQALSVQTSKRSKHKLMGDSILNTTATNEQLRSLVMDQRARRDEYVNAISNQLQAIESLEAESDAKGDKNLEKAIMWYNKFLGFQVVGGEGVRFVFNKIDVQSPDKEYSFCIKLIEERYILVQCVPSVDGSEELVKDLNCNNDLYKFVRTVRERFQIATISGNLPAISFCPHMSSITSSSLSALSLDSGSENNTKQRHTRSRSKNQEIATKDGLASRSAAYPGSMVCVLPANN >PAN29222 pep chromosome:PHallii_v3.1:5:12800011:12803803:1 gene:PAHAL_5G209900 transcript:PAN29222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPRQAAPLPAPPPERGEMAEVVEADAEAEAEAEDQEERWARLLPELLSDVVRRVEASGCERWPARKDVVSCACVCRRWREAAVAVVRPPAESGKITFPSSLKQPGPRELPMQCFIKRNKKNSTFLLYLGFTNSPMDKGKFLMAARRFRRGPHTEYIISLDAEDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCSLECPSAQETWENSLKTKFRRSMGPTTLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVASADPSDPAGTVDEETVLLQFGKVDDDMFTMDYRQPLSAFQAFAISLSSFGTKLACE >PAN29223 pep chromosome:PHallii_v3.1:5:12801227:12803803:1 gene:PAHAL_5G209900 transcript:PAN29223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRCSDSDIQDFSSRSFLFDINVSVCLNHRLFKTQPGPRELPMQCFIKRNKKNSTFLLYLGFTNSPMDKGKFLMAARRFRRGPHTEYIISLDAEDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCSLECPSAQETWENSLKTKFRRSMGPTTLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVASADPSDPAGTVDEETVLLQFGKVDDDMFTMDYRQPLSAFQAFAISLSSFGTKLACE >PAN30540 pep chromosome:PHallii_v3.1:5:21515978:21517752:1 gene:PAHAL_5G291600 transcript:PAN30540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGHRELTTATRPSPRTATFATTAMRPSSDSPRISTSRVTSSKLTATARAPPGCSAILASRAMVSMARSSPPAAPAGEPSSRHRPAVHVLALEQPIQVHQRVLAAAPGRTR >PAN32773 pep chromosome:PHallii_v3.1:5:57473542:57474614:-1 gene:PAHAL_5G511300 transcript:PAN32773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGDAAVAAAPAPAPKAARRQAKPRRISMEGLQRAMSDLALELARDRKAADAKPQPQQLLPAITEQQVEDARCECCGMQEECTPEYVRRVRERYCGRWVCGLCAEAVNGEADSRHGGRTEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSRSNSPRDHGPGGALARSSSCIPAITKDFN >PVH37721 pep chromosome:PHallii_v3.1:5:4229019:4229779:1 gene:PAHAL_5G068500 transcript:PVH37721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLENCNFRTPNIMLCSLHLAAAGPSPWPRQAPAATGNSPWPSQNLAAGPGPCCLDAAGTGLCTRQHLAAGGLGPWPRQAPVAAGNGPCSLDAVGIDPCSLVRPDAAVQVGARVRAAWERGGGGRRIVHAECDRVQHLREQPGACAARGERAGPSIRCRPRMRRRRRRLLRQRAAVDDGWRERERQRQGQRGHGGVLVEAVQLLLWHHHQQPEQQAEAARHRGLHEP >PAN27883 pep chromosome:PHallii_v3.1:5:6953432:6956922:1 gene:PAHAL_5G115000 transcript:PAN27883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MAMAPPPACSLLRVAAAAPISASTSVSTPLAPGFLPSPRSGSARRHLTAAWSPKAAASASVEIQDEYADEMDAVNIAQDVTQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPNKTILVEPTTGNTGIAIASVAAARGYKLIATMPSSIDVERRILLRAFGTEIVLTDPTKGLKGAFDKAEEIVLRTPNAYMFQQFNNEANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKRMNKDIKVIGVEPAETSVISGDNPGYIPSILDVQLIDEVVKVSTAEAVDSARELALKEGLLVGISSGAAAVAAINVARRPENAGKLIAVIFPSFGERYLSSILFRPIYDSVRRMRKK >PAN32303 pep chromosome:PHallii_v3.1:5:55331068:55331768:-1 gene:PAHAL_5G476000 transcript:PAN32303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >PAN31030 pep chromosome:PHallii_v3.1:5:48555505:48560799:1 gene:PAHAL_5G389800 transcript:PAN31030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRDLLSATILAVLLAACSATATAAKTATSLRHEPRRAVSASASAAVSSSSCDVYRGTWAADESYPLYDAASCPFVRKEFDCRRNGRPDTAYLKYRWQPSPPCSLPRFDGRRLLRTWRGKTVAFVGDSLVANQYESLLCMLHAAAPGARTNASWESGSITVRFEDYGVTLVYYLSHYLVDLAGDKAGRTVLKIDAVDEGRKWLAADVLVFGSWRWWARKSWDYIQDGSTVVPDMDRTQAFTKGLQAWARWVDANLLRTTTKVFFQGYSPSHLNGQEWGAPPGKTCSGETQPVSGAAAYYRGQPNLQDAIVRRVLAGMSKPVQLLDITFMSQLRKDGHTTKYSGGSGSPGTDCTHWCVAGVPDTWNTLLYYSVLTAGNSLRS >PAN30511 pep chromosome:PHallii_v3.1:5:21013324:21016192:1 gene:PAHAL_5G289000 transcript:PAN30511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERCFNNWDLDAVVRLGCRRRLSPPRQGDTNPFAALLPPQPRKEKEKAAVQAPEPAKEPEADTGWRFPDLCADGGQGGDELLRALLAAHPPPLPQPLPAPPSPPTPTPTPPPPQRQLQPVDVPPPQVHAAPASAPARAQPSGRPVPGGAPRSKRRKNQVKKVVCHVPADSSSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCAARKQVERSRSDPNIFILTYTGEHNHAAPTHRNSLAGTTRHKFPSSTAPQPPPPSVVVCGASSSGDEQHQPQQPSPSRTSTSAAGLSPTTPLRTPSIEEDDDEEDGLRVEDIEMAGEDELLFMNTDDDDAAPLESMPSLFDTVDEPFLSSSWVSASTTAGEPPTGAAGAKS >PAN27678 pep chromosome:PHallii_v3.1:5:6002884:6006264:-1 gene:PAHAL_5G097700 transcript:PAN27678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRLVNIRKDQGAEASESQKSACCGS >PAN27759 pep chromosome:PHallii_v3.1:5:6356923:6359857:1 gene:PAHAL_5G104700 transcript:PAN27759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron(III)-deoxymugineic acid transporter, Translocation of iron in reproductive organs and phloem in joint [Source: Projected from Oryza sativa (Os01g0829900)] MESIGDPREGPSTERVFEVKTIPPWPEQVTARAVAVSLALGVALSGVMMNLVFTSGIIPSLNIFAALLGFFLLKAWTGLLGQIGVPHRPFTRQENAVVQTCVVACASMTYSGGFGSYLLGMDRKTADETSTANIPGSNISEPTLARTMAFFFLVSFVGLLVIVPMRKTMIIRHRLTFPSGSATAHLINSFHSPHGVVQAKKQMTLVVQSCLGSLLWSIFQWFYTGGPSCGFTAFPTFGLTAFNRGFYINMNGTYVGVGMISPYLINISMLVGSIISWGFMWPYLLSKKGEWYEANLQETSLKGLNGYKVFGAIAMILGDGILQLIVISLRTIHTMRHHQVAAAETMRSFSDVDATPRPVLSFDDRRRTQVFLREHIPSTFAIGGYVVLAALSSVAVPLIYRQVRFYHVAAAYAFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGARNGGIVGSLVICGVVSSVVSTASDFMSDFKTGYLTLTSPRATFVSQVIGTALGCVVNPIVFNVFHHFYESSPNKGYEAPLAKVYRAIAVLGAGDHELPKHCLAISVAFFGLALAVSAVRELAVHNRWSAQHYIPSVTGMAISFLLVPAVSIDMCVGSLILFAWSRADKEGAQVFAPVFASGLICGDGLFSIPYALLTRYDVTPPMCVKFISRVQNKMLDDYMSKASR >PAN27699 pep chromosome:PHallii_v3.1:5:6088214:6089934:1 gene:PAHAL_5G100000 transcript:PAN27699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAATAARAALILLAVSGVLISPAAAEIKQEFFKDDPRGSILFEKFGFGPHGMVSVSVTGARASSTLAKPDPSQLGFFLLSDEALFEAIYEQPPPTDLNPNPESSPNCVLSSPYVIPLFTFDRLDASGHYNKTFPITHPDEYSLFFASCAPETKVTMEVRTDMYNTNLDGTKDYLSVGMASVPAIYAFFAVCYVAFLAGWLYITLYRNRLSAHRIHHLMTGLLVARMLYCISAAEDQHYIRTAGTPHGWDIMFYMFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATMAFYMFMFYMFRPAERNQYFALDDDEEEAAEMALREEEFEL >PVH38523 pep chromosome:PHallii_v3.1:5:19174664:19184829:-1 gene:PAHAL_5G278000 transcript:PVH38523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAGLRASPARSTIRFISLRDTQTPNLPFRTRAAVPTRARLRCHLSHSIDSTPTPRSTTSDPDPDPDPDPEDSLRFAFACGGAGAGGRVYSAIALADELHASLPSSRSLILGAPAPSLESSAAAAASYPFAPVPPRCLPRGILAAALHLRRFRPHVLVATGGAPALPACLGALLLGLPFVIQDQDASPAPATRLLAPFALRVFLAFNAPVRLLPKRKCAVYGNPVRMSILKCRVSKAEALSRFFPRAGWLSEQEAQVLLVLGGAEGSPEINVAVLNVYYEMLRKRKDRYIIWQTGTETFCEMESLVRGHRRLFLTPFLHELEMAYAASDVVISRAGAMTCTEILATGKPSILIPLPTILDHHQTRNAYIMADIMGAKVITEDELDSSSLTSAIDEIFGDEKLMADMSQKALTAARPNASADIIRHICSLIGAPYPT >PAN29082 pep chromosome:PHallii_v3.1:5:12214125:12219832:1 gene:PAHAL_5G201100 transcript:PAN29082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISHRIAGPGPLGAAAAPPRPSGRSAAVIAAAAAKGARRARALEGASDELRAAAAQCLDWAPARRRVRAAFAPVLPTLDHCLFKMAPKGIQMEENYEKNSKGVEIFWKSWLPREGTAAKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVDHVIGQYARIRGTKEVRELPHFLLGQSMGGAVALKIHLKQEKEWDGVLLVAPMCKISEDVTPPAPVLKVLSILSCLLPEAKLFPQKDIGDLAFRDPRKRKVAEYNAISYSDQMRLRTAVELLKATKDIESQLEKISSPLLILHGAADMVTDPQVSKFLYEKASTKDKTLKLYDGSYHSILEGEPDDRISTAINDIISWLDSHC >PAN27076 pep chromosome:PHallii_v3.1:5:3628883:3632814:-1 gene:PAHAL_5G057200 transcript:PAN27076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSRARALLLLRSSIPRSPPPHPPPHPTPTLTRAPPPRFLSRFLSSSPDPIPDATSSSADPFADAASSSPLDDATEAGEDSLSSMWEETGDADDIFASPGGADAVADDEEVARVRAVVEATPEDKIASTLADMVVDFNEPLLAAVLLAAEQCSCKKLISLFNYAAKNNPASKSLSNLEILVSKVADSDEIDKMDAYLLWDSVKEIGSVPGSVSTPLLNEMIAIFWKLEKSKAALEVFAKFDEFGCTPDSNSYYLVIEAARKKSMFRSACEVCEKMIGSGCFPNGEKVGRIVTFLCDGKKVKVAHSLYLAAKEKTTQIPKSALDFLVSALARNDETIGTALELLEEYQGESLKHAGKSFATVVHALCRTNKVEDANNLLTRMVQLEEYQGESLKNADKTFTTVIHGLCRKKKLQDAKTLLMRMVDLGPAPGKAVFNFVITALSKQGDMEDAKGLMRLMESEGVSPDIYTYSVLMSGYAKGGMIDEAHALLCEAKKIHPKLNRVCYHILIRGYCKMEEFEKAIECVKEMRKDGLLPNVDEYDKLIQSLCLKAMDWRRAEKLLEEMEDSGLCLKGITRSLIAAVKELEAEAMQSKASQEA >PVH38422 pep chromosome:PHallii_v3.1:5:16119868:16121372:1 gene:PAHAL_5G254200 transcript:PVH38422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQRGKTPPRPRAKVKQSIFFPISHQKKQILHFSITPCLIRAGKAFKSPPKPYALLLIHRLPLTCPLKSELGAGASISCSTEVLAGGRAEGNMYVVKRDGRQEAVHFDKITARLKKLSYGLSQEHCDPVLIAQKVGAGVYKGVTTSQLDELAAETASAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYMHFNERSGLMAPLVAEDVYEIIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKEDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISK >PAN32417 pep chromosome:PHallii_v3.1:5:55809656:55814241:-1 gene:PAHAL_5G486200 transcript:PAN32417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein [Source:Projected from Arabidopsis thaliana (AT2G40550) UniProtKB/Swiss-Prot;Acc:Q501D5] MAGRGQRGKKRREAPPQLAGNPQPFNTPPLSSVPLACPPPRNCSPFPSPEPQRGNAREEEREEMVGPQYDLVGNPLGAVRATFERTAAAATAESGGSDPVAAFRGKDWGACELFRSFLFEEDGLDKVPVLDASNLGLIKPNNLVRYRGMVQDMLGSEYYIGAFKDGSTWRTNKFTDFSPFSMPHPCDSHLWERHLFHCVPAPGQNSWTLESSPGPDVRRMSSCLATELREKRKRDGDNGDMDVSENGHEESPLFCKKLKEDDVHVPSSSTEVAEGVPEMNGGDHHIPGSSFSCLVKVYDMPDSQVKLNDVIEFIGVYTFDPELATPSDNPDDIMLDLIEDVTVQLPPSKVPRLHCLVWRKLSPHDFISRPPVVEPSPSILKGIRQSLLSHLTLVLGNDELAAQCLLLHLLSRLRNRVDVVTVGRLSLNFTGFNRESASIFGNQLYSLIQKLVPYSQAIPMSIEYLNTATLQPRKDNKSGRLVTGVLQLPQGSHLTFDETLLQTGSLTSKGVENTMLLKNLMESQKVDYDFEYYKLDMATDVQLLTLSEGKSNILPSDLVVPFRPSSVPTINAGSEELESWRWYLATIRSLPQSTEPETYQMIQDEMVSAMRDDRSLGCSELSRWLTMAQIIASSFGEQSLSLEHWQMVKELERLRKQRLQ >PAN32900 pep chromosome:PHallii_v3.1:5:58052404:58055404:1 gene:PAHAL_5G520200 transcript:PAN32900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAVLIVFLLSVARIGRSQSYNAIYSFGDSISDTGNLCAGSGGCPSWDSTGMLPYGRTHFGHPTGRCTDGRVIVDFLAERFGLPLLPPSKASGSNFKKGANMAIIGATTMDFEFFRSRGLGGSVWNDGSLGTQIQWFQQLMPSICGSDCKSYFSKSLFVVGEFGGNDYNAALFNPGKGMAEARSYVPQVVDRIASGVEALIGLGAAELVVPGVLPIGCFPVYLTLHPSSNKDDYDETGCLRSFNSLSSYHNELLKRAVSGLRSRHAGARIMYADFYAQVADMVRSPETFGLEYGLRVCCGAGGQGSYNYNNGARCGTAGASACGDPEKYLVWDGVHLTDAAYRAVAGGWLRGTYCSPGILH >PAN31901 pep chromosome:PHallii_v3.1:5:40086907:40092781:-1 gene:PAHAL_5G356600 transcript:PAN31901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Respiratory burst oxidase homolog [Source: Projected from Oryza sativa (Os01g0360200)] MAGTEAATDLGSSRRSQDDTATLIPHSGNLEESSRRGVKTTRFKDDDEVVEITLDVQRDSVAIEDVRAVDDGGSGHSGGFDGLSLVSPSSSRSGKLASKLRQVTNGLKLKNSSNKAQQTQLGKNMRKRLDRSKSGAAVALKGLQFVTAKVGHDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMEGSDEFAVQVFDSLARKRGIVKQVLTKDELKDFWEQLSDQGFDNRLRTFFDMVDKNADGRITAEEVKEIITLSASANKLSKLKERADEYTALIMEELDPTNLGYIELEDLEALLLQSPSQAAARSTTHSSKLSKALSMKLATNKDTSQFYHYWQEFLYFLEENWKRIWVMTLWLSICIALFIWKFIQYRNRAVFDIMGYCVTTAKGAAETLKFNMALVLFPVCRNTITWIRSKTKIGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAAYEPMKPFFGDKRPPNYWWFVKGTEGWTGVVMVVLMTVAFVLAQPWFRRNRLKDSNPLKKMTGFNAFWFTHHLFVIVYALLVVHGICLYLSRKWYKKTTWMYLAVPVLLYVSERILRLFRSHDAVRIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGISESNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQQGGSVAGTEPEGSGRTKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKHVAVNHENQHVGVFYCGEPVLVPQLRQLSADFTHKTNTKFEFHKENF >PAN28103 pep chromosome:PHallii_v3.1:5:7818289:7820736:-1 gene:PAHAL_5G131200 transcript:PAN28103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPTTKTTPPACGFAPGAHREALEFIEHVTVNAGQVQRRVLAEILAQNAPAEYLRRHGVSGTADDAVEAFRRLVPLVTYEGLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLQMPVMGQSVPGLDKGKSMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLERPHDPYTDYTSPNEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIRFLEKHWPRLCRDIRAGVLDPEITDRAVRDAVGRVLRADPALADQIEAECGKASWEGIIRRLWPRTKYIDVIVTGAMSQYIPTLEFYGGGLPLACTMYASSECYFGLNLKPMCKPSDVAYTLIPTMCYFEFLPLRCSNAKAEPSHRDLVDLVDVKLGHEYELVVTTYSGLCRYRVGDVLRVAGFKNEAPMFSFVRRQNVALSIDSDKTDETELHAAVSGAVQHLAPFGASLVEYTSYADAAAIPGHYVLFWELRAGSTAVPASVFEDCCLSVEEALNSVYRQCRACDRSIGPLEIRVVSEGTFDKLMDYAISRGASINQYKAPRCVRPGPVVELLDARVQGKYFSPKCPKWSPGNKQWNNAREVIANGDAA >PAN30157 pep chromosome:PHallii_v3.1:5:29262898:29271343:1 gene:PAHAL_5G317800 transcript:PAN30157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MSECGHGGAREKLWEAACNAIAGGSAGVISATVLCPLDVVKTRLQVYGLPSNLSSGAPPAGRVIISGFQQILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHVKGLLHSEDGNNSELSVQANVLAASCAGIATATATNPLWVVKTRLQTQGTRPGVVPYQSILSALQRIAKEEGIRGLYSGLLPSLVGVAHVAIQLPVYEKVKLYFAKRDNTTVDKLSPTQVAMCSSGSKVAASIITYPHEVVRSKLQEQGHDHRGAIRYSGVADCIKQVYRKEGFPGFYRGCATNLLRTTPNAIITFTSYEMINRFMHKLLAP >PVH39299 pep chromosome:PHallii_v3.1:5:55103671:55106900:1 gene:PAHAL_5G473000 transcript:PVH39299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRLISLWLHLLTNRVVGVNSNTEAKFFAQVPPAFLELGAEHFTRWGSRRPLTGDASGQKIVYYIMLGFGNARDLISFMALLTLGLLLLPLVAASACVGLSIFRLVIITRHKYEGGSDGGSNSNVLRALIFFYALVLAQGGLFLVWLRILSYRLEARDRVCSQYLFEGMDKKQLIDKYINRTSSACIKTGVLNTINRKLVTFAVDLLQSEYSQDRISGVLLLHTLTSKDAPKAHKARALAHIQSSPDCISRMLALLSSKSAIDEASKVRLAQIVALLASDLRLSDIDRATESISSLLDPYLVKISTTANSVSNGQQITIEVGNGQQARNQSPNTPDGENSIPLIIHGLMILAKLAGNPDNCSKIYETKSLFSKITVPVSNKMYKVFSHDDTAIQIAKHSLQVLSKLVKGADEINRNILQEIHRTEFEAKSIRPILRDDQRYNELKVPAIKILTKQALANTTRDTVGDEAMVNFINSLIGLFFNDHNESHLRKTAGKALALLAIASTSYCATIMNGSTGTADSVVRKLSDMLADRSNKSYRTAIAQLLRQFCIGCCDDQREHLASAKTILHEVLKVICDVDQEVETNQSDSPQHNPSRGLVILQFCLPCIDTSSFPSRSNQGGHNQRPATALQYLLSCLGTSSPATRHDHRERNRNTTPQAPGSRNERREGHNQGMVQGILSAALQVINRFVNVPMIYHADRHNRNIHSDNVQYHQLADAPIEPGRRKALVAFLGLAVQMCERLIDASDFDAAVLQIPLSEAEVVEKLKEIIEICIKDSVGPSMGKGPSVDYLVMIQSVTKLCTWVMRTKPGYVRFFQEKNVGHKLKDAEETMRGLELAVLLTSSIDEMTNYETLSSIVGDARTLIPSQHPNMPM >PAN33013 pep chromosome:PHallii_v3.1:5:58584831:58587542:1 gene:PAHAL_5G529200 transcript:PAN33013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPHAEGGASPKHETLMEKLADKLHVGGKDSSSSSDSDNDERPRPSAPPAPAAEVKPSFSDSAATAAAEAKAKVFRLFGREQPIHKALGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFVCHGLIFSLGVLFLWSNASSFINKSPPQVPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLMVIAGLWLLSVLGSCCNFLTLVYIVFVVLHTVPVLYEKYEDQIDSYGEKGWVEIKKQYAMFDEKVLSKVPRGPAKDKKH >PAN31411 pep chromosome:PHallii_v3.1:5:51475717:51479401:-1 gene:PAHAL_5G417700 transcript:PAN31411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGTRGGGSDCAAPLLSVSGDDAGKQEPHRQESCCDLWRRVSEESRKLWVVVGPAIFTRTATYSLNVIMQAFAGHLGDLELASVSFACTVLAGFNYGLMLGMASALETLCGQAYGAKKYHMMGVYMQRSWIVLFVCAVLLTPMYFFAEDVLLLTGQPPELSAMAGKVSVWFIPLHFSLAFLFPLQRFLQCQMKNFVNTIAAAAALCIHLFVSWLFVSKLRFGLVGVALTLGFSWWAITVTLFAYVTCGGCPETWHGFTVEAFAGLGEFVGLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMNINGWEMMIPLAFFAGTGVRVANELGAGNGKGAKFAAIVSSTTSMVIGLFFWVLIMGLHDKIALIFTTSAAVLDAVDKLSLLLAFTILLNSIQPVLSGVAVGSGWQSTVAYVNIGCYYIIGVPMGVLLGWLFNLGVLGIWAGMIGGTAVQMLILAAITIRCDWEKEAMIASTRMDRLSQVR >PVH39012 pep chromosome:PHallii_v3.1:5:51474612:51479679:-1 gene:PAHAL_5G417700 transcript:PVH39012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGERGVEEAVGGRGPGHLHPHRHLQPQRHHAGLRRPPRRPRARLRLLRLHRPRRLQLRPHGMASALETLCGQAYGAKKYHMMGVYMQRSWIVLFVCAVLLTPMYFFAEDVLLLTGQPPELSAMAGKVSVWFIPLHFSLAFLFPLQRFLQCQMKNFVNTIAAAAALCIHLFVSWLFVSKLRFGLVGVALTLGFSWWAITVTLFAYVTCGGCPETWHGFTVEAFAGLGEFVGLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMNINGWEMMIPLAFFAGTGVRVANELGAGNGKGAKFAAIVSSTTSMVIGLFFWVLIMGLHDKIALIFTTSAAVLDAVDKLSLLLAFTILLNSIQPVLSGVAVGSGWQSTVAYVNIGCYYIIGVPMGVLLGWLFNLGVLGIWAGMIGGTAVQMLILAAITIRCDWEKEAMIASTRMDRLSQVR >PVH37541 pep chromosome:PHallii_v3.1:5:1635868:1637626:1 gene:PAHAL_5G025700 transcript:PVH37541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPRRRKPLLLLAVSVLVLAWPASCADPVLFPVAKDAATSLYTIPVRDGANHVIDLAGPLLWSTCASDHLPAKFSCGDPVCKLANAYRPPGCREAGQPCKQQCKAYPYNPITGQCAAASLIHTRLVANTTDGKNPLRQVSVRAVAACAPRKLLARLPRDAAGVAGLAASGLALPAQVAASQRVTSKFMLCLPRRDEGVAIFGGGPLFLLPESAIGDFTYTTLLSKRGSSSYYLPVKAVAVGKARVPLPRDALATGGVVLGTTAPYTALRADVYRPLVDAFDKALTREWNNTRRVAAVAPFELCYDSKTLPGPTRIGWLVPDIDLVLEGGKTNWTFSGLSSMVDVNNFAASCLGFVEMKPEKGGYGGAPAVVIGGFQMENHVLQFDLERRRLGFARVPFYTSCSNFNFTRTG >PVH38701 pep chromosome:PHallii_v3.1:5:33422956:33423705:1 gene:PAHAL_5G330600 transcript:PVH38701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWSPIEVNVMGYRIVDTIEAAALEAIYRFCVQHPKEVSGKPIGLFSTTDPDEPEWNLRIVPESHRLDGSPEEALQGMMRFMNVQYHYHLLLRRELGRAIYIARGHYREADRQNTQVDQLQTLVTQKDEIIAARDETIHHREDQINESDHIITQRDTVIEFLQAQIHDLILAVDDAQAQIEELQQPPIPPVAPAVPEAEEEDPEEIEGVSELDSKHGDPVLSPHHSSSGSQSSIGNFDDF >PVH38027 pep chromosome:PHallii_v3.1:5:9385083:9385373:-1 gene:PAHAL_5G155100 transcript:PVH38027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILPLCNGMINSQTFKKQEESKGNKTKHRALSSSKTERPSSTLYITNLALAGAISLSPPLGLGRQNC >PVH37651 pep chromosome:PHallii_v3.1:5:3364224:3364989:1 gene:PAHAL_5G053000 transcript:PVH37651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLYPRRWPPASTCHVEASSGSHIFKIAGYSLTQGMGVGHCLQSSAFTVAGHDWAVVFYPDGHGVNESADHVSVFVTLLIRQARRTRTAATAAPSAPTSTSAWSTSGAARR >PVH37574 pep chromosome:PHallii_v3.1:5:2128988:2131979:1 gene:PAHAL_5G034400 transcript:PVH37574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSNRAPRLISTPKPRNNPRERPTPPPSSPSSQFSPTPAAMTGTVSKLAAPRPAAALLPPASLRSATLAFAPSPRRFRVSIAGRARSPVIAMASAKEGNGATTKKTALHDLYELQGLSPWYDNLCRPVTDLLPLIASGVRGVTSNPTIFQKAISSSSAYDDQFKQLISAGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIREVISNGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDMVNTMPDQALHAFIDHGTVSRTVDANVSEAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKSFDSLLVSLQEKGNSLKTASV >PAN32078 pep chromosome:PHallii_v3.1:5:54393672:54396520:1 gene:PAHAL_5G461600 transcript:PAN32078 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MSSPSPLCAASCSTALRAPPPLLRFRRSAAPVSASVARAAPAVSDDLVLRIAEQLEDSVTSSSPLLDPLRSASALSLLSTPWPTRRSSEAFRFTDISYLRSFPISLPSRAPDLAPRASPFPSHVLFSDGLLVSASGVHVSALADLPPGRARDRAAAALAASAEFAHKDLFYDFNAVGARDVVVVHVPEGVKAADDPVHIMFAYTNRGVESMLMSNPRILVVAEKEAEVAIVEEHFGAGEEGGCYWANPVAEIIVDAGARVVHSYLQRQSFDAAHTKWTVVQQDTSSKYEFVEVSTGAKLNRHNLHIQQLGPETETELSTLHLTSQNKQIHDLHSRLILDHPRAFSRQLHKCIACCTGNSIFDGNIKVNRYAQQTDAGQETKCLILSPKALVNVKPNLQIIADDVKCTHGAAISGELDPNELFYFQARGINAQTATDALLYFFGAHVIKRIPYKPINEKALAEFKELLASSRQTTDEALLS >PAN33089 pep chromosome:PHallii_v3.1:5:59068681:59074849:1 gene:PAHAL_5G534500 transcript:PAN33089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLPAPLRLLLPLSQTLAPSPLLHLSRRLFTSSFPSFGRAASLRAQPGRRHHHPRRGSSTLRKAPKEEMAGGGDKEVAFNRKRAEGRDGGKRGSMELKARKLNPVNTTCYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGDEGMSVNIWGPSDLDFLAGAMRSFIPNRAMLHTHSFGAERNASSSQPKDSVIILDDEVVRISAMFVKPKYHNGAGSLNDVDSKPGDTAIVYACELPEIKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDQFDEMVHPSDVLGPSIPGPTVLLVDCPTQYHMPELFSLQPLICFYEDSSNQRESGKKVNCVIHLGPSSVTKSVDYQNWMKRFGETQHIMAGHEIKNMEIPILKGSARISSRLNFVCPQLFPSSGFWSVKPANDVMENDKSTSLEACGIVSAQNLLKFHLRPYAQLGLDSASIPSLFTYKDIVEELVSEIPEVREVPEQVLKFWQNNVNVKNMPPAGSRMLMVEEPWIGQKSDKLDDGSPKHPRDNQDIPCCVEDATREDMEITFLGTGSSQPSKYRNVSSIYINLFARGGILLDCGEGTLGQLKRRFGVNGADEAAKNLRCIWISHIHADHHTGLARVLALRSKLLKGMPHKPLLVIGPRPLERFLNAYSMLEDLDIEFLDCRNTLKPSVETFLSENDTGSATPQLENTMFAPGSRMENYNRKPASPRDTTALANFREVLQESGLEILYSVPVVHCPQAFGVVLRATEKVNGAGKVIPGWKVVYSGDTRPCPALIDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIEVGTSAGAYRIILTHFSQRYPKIPVIDEVDMEKTCIAFDLMSVNLADLPVLPKVLPHLKVLFKDEMVVEEADEILEAAVY >PAN31857 pep chromosome:PHallii_v3.1:5:53474405:53478390:-1 gene:PAHAL_5G448300 transcript:PAN31857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCRVFLLCVALQALAMASTLSALLMNTELLDVQGQTFCPSSSCGLLQDIRDPFRMRGDPPGCGFPEYELVCIDNKAIIYINSGKYFVTNISYTDNTFWVVDANLDNSSCPIPASNHRPYINSSGTEGNILLDTDTVTWAAFVSCSEMLRSDVISSSTSCCSGTYRLVDCQSTKNSFVYVFITTFIPEVQYIKPSCSYLSMIPLGNRQLRAPYNATFEDVVKFMRNGFAVRFPYRNEGMTYRQIINICMNNSMSYFHDRKSSSSIVDRTFAAIFGIDWKFLTCVNDYSYWTKLFWAVLTIISTIKFMIVLAMLSRFMFSPLAILTFLAYKYWKTRISIDAVEKFLQMQQTLSPTRYAYTDITAITGHFREKLGQGGYGSVYKGALPGDVFVAVKMLGNSFCNGDEFISEVSTIGSIHHVNVVRLLGFCSEEMRRALVYKYMPRGSLDKYIFSSERSFSWDKLNEIALGIARGISYLHGGCDMQILHFDIKPHNILLDSNFTPKIADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRNFGVVSCKSDVYSFGMLLLEMAGGQRNLDQHAARRSQTYYPAWVYSHISRKELGEICEAFDIHEMERKLCIVGLWCIQMRPHDRPTMAEVIEMLEAGVDALQIPPEPFFCGFEQDSAADSFQFSTSELSAISEDEVTDSTR >PAN26482 pep chromosome:PHallii_v3.1:5:1015365:1017200:1 gene:PAHAL_5G015400 transcript:PAN26482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRLPVLVLVLLSTFWAASTAVRSVTLHLARSHSISPDAGAPITAWAASLVAQSAADAARVATLAAGFGKTKKGGRRSFVPIAPGRQILSIPNYVARARLGTPAQTLLVAIDPSNDAAWVPCGGCTGCAASAPSFAPTQSSTFRPVRCGSPECAQVPSPSCPGGAGASCAFNLTYAASTFQALLGQDSLALENGAPASYTFGCLHVVTGSSVPPQGLVGFGRGPLSFLSQTKDVYGSVFSYCLPSYKSSNFSGTLRLGPIGQPKRIKTTPLLSNPHRPSLYYVNMIGIRVGSKPVPVPASALAFDPASGRGTIIDAGTMFTRLSAPVYAAVRDAFRRRVRAPVAGPLGGFDTCYNVTVAVPSISFTFAGPVTVTLPEENVVIRSSSGGVACLAMAAGPADGVNAALNVLASMQQQNHRVLFDVANGRVGFSRELCTV >PAN27089 pep chromosome:PHallii_v3.1:5:3688181:3692848:-1 gene:PAHAL_5G058300 transcript:PAN27089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFTALKKAFTSSPKEKPTNVHQLVAQYPPPHGHAREKKRWGGFGRSRPYAEPASPAAGALINIPLYREPSSIEKILGDEEMDQQRQYYAATRAQYQITPARPAVAVSAASAAAPLPQPVVPARERSREDKPAAVVLPLPPPSPPPLIRRFDHDREQQQKLQQLQQQSRAETEWRQQPPRRHRAARQRAAPPDRARAAAVAIQAAFRGYMARRNYQSLRGLIRLQGVMRGASVRRQTAQAMRCMQTMVRVQAQVRASRVEAMERRNRQHHGAMLRDGGRWRAGSQDGGIWDDSRLTREEADARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRSPWWWTPIERRHDPEAAYRPVEPASKPRTALAVVHREMTTPMTMTAAATPARSVVSAYSTKPRATRPAPAKGAGSMSIRDDESLTSCPAFGGVPNYMTPTLSASAKARARAQLLQQQHQQQQKAAQEKPRFSFGLGQSIGSWAKSPFWKAPSSRVGTPAASVAGGRHRPTRSISGLSVDSSVSMPAGIGRRPFK >PVH37559 pep chromosome:PHallii_v3.1:5:1952096:1953821:1 gene:PAHAL_5G030900 transcript:PVH37559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSRSQGLPGRSIMVAAAPATKKPTGVDGIGALPEELLFEIFSRVGSVKDLFLFAVTCRRWLRRFTDPAFLRGLCPDPGQGHRSRLLGFFFQSTRFVRSVRMMKMRMTQRTSVSAPTFRPAPGSPLGPTYRALTSLVADDDGTFNYAEPLAARRGVILMQLVPRTFDLHPMTHTSSSSPAWPPICFGRYGVCGYAIVTAADGDFDGEQRRPSPSSSGRSTFLRLLLIAYRRGDSGSKRYLHSCSAAAGTWSAPTVCLDGHGFDLAGEGSAAVHRGSAHWQCIDRAARTLAPRDDHFLYMLSAELGGTARVSLTKLHLRAGGKPHLCVGGDGKLSIACVYPVHVTVWTQQDGDGGDAWLRTRVIRMPTPALDPNGLQRVEEWFEFNRGSMLVLYRGGGTFVVDLGKGVMEKIMDCSPRQFIDRWYHRYVPYEMDLVEFFVSCLGGLCRG >PAN29462 pep chromosome:PHallii_v3.1:5:13884133:13884563:1 gene:PAHAL_5G225500 transcript:PAN29462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILNHISRIKKYDKKKINHVNPIKHAMGLNIYAIWAKQTNMDHKDVHDVLRIW >PAN29046 pep chromosome:PHallii_v3.1:5:11930382:11933598:1 gene:PAHAL_5G196600 transcript:PAN29046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQPLLMRLLLLAAAASAATAAGNGCSSGCNLAVGSFTMGQNDTLAYIASLFGIDDYRKLKPYNPRSRFSNINLLTVGERINVYFPCRCLALPAAPFSTYLAGSFPYKVLPLDTYSSIAAKFSNLTTADWLVATNIYPSNNTGGRTVTVNVTVNCSCGDPTVSPEYKLFLTYPLGEGETFDSVAEKNGFLLESDMDLFRKYNPANDSRIVYIPLRGVSAIASPTDSPSKGPWKNRAAIMASASSVLGLSIILISLFLWYKKYYGMLPWQRGSRNAPRIESFLQKQGTSHPKRYSYSEVKRMTKSFAHKLGQGGYGAVYRGNLLDGREIAAKMLKDTEGDGEEFMNEVASISRTSHVNIVTLLGYCLQRPKRALLYEYMPNGSLERYTFGSNSTEGEDTLSWDKLFDIVIGIARGLEYLHTGCNTRIVHFDIKPQNILLDQDYCPKISDFGLSKLCRQKESKISIAGARGTIGYIAPEVFSRNYGAVGSKADVYSYGMVVLEMVGARKQIDVSTDDSSSKYFPQWLYENLDQFCGATACEISSDNTTELVRKMIIVGLWCIQFVPADRPSMGKVLEMLESNTALLQLPPKAF >PAN28720 pep chromosome:PHallii_v3.1:5:10525925:10530369:-1 gene:PAHAL_5G174500 transcript:PAN28720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MRVYITAAAAAAGADDAVMKPKATPQPHQQQQAARRGCRSTVVTGLLAGVLLFRAALLAVEAGASLCPPATGCLDWRAGLGRWLYGGSGGDAMELLKMDRKVKSSRVRALFNRHLASLGVPKSVHCLTLRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVLAAAVAVASAARSAADPGRLVFHVVTDKKSYVPMHSWFALHPASPAVVEVRGLHQFDWRDGVVIASVMRTVEEVQRSSLDYHRCGGSAEREHRRLEASKPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLTGLWEQDLDGNIIGAVGAHEGGGVCIDKTFGDHLNFSDPAVSGLLSSQCAWSWGVSIIDLDAWRRTNVTETYQLWLEKNRESGFRLWQMASLPPALIAFDGRVQAIEPLWHLPGLGWRVPDPELARLSAVLHFSGPRKPWLEVAFPELRQLWLGHLNASDSFLQGCGVVEWQ >PAN28722 pep chromosome:PHallii_v3.1:5:10525925:10530368:-1 gene:PAHAL_5G174500 transcript:PAN28722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MRVYITAAAAAAGADDAVMKPKATPQPHQQQQAARRGCRSTVVTGLLAGVLLFRAALLAVEAGASLCPPATGCLDWRAGLGRWLYGGSGGDAMEDFMKEWRRTHRAATLLDPVVVEAAPDSLDALMAEMGAMLASYDGQLDMEAVAIKMMAMLLKMDRKVKSSRVRALFNRHLASLGVPKSVHCLTLRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVLAAAVAVASAARSAADPGRLVFHVVTDKKSYVPMHSWFALHPASPAVVEVRGLHQFDWRDGVVIASVMRTVEEVQRSSLDYHRCGGSAEREHRRLEASKPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLTGLWEQDLDGNIIGAVGAHEGGGVCIDKTFGDHLNFSDPAVSGLLSSQCAWSWGVSIIDLDAWRRTNVTETYQLWLEKNRESGFRLWQMASLPPALIAFDGRVQAIEPLWHLPGLGWRVPDPELARLSAVLHFSGPRKPWLEVAFPELRQLWLGHLNASDSFLQGCGVVEWQ >PAN28721 pep chromosome:PHallii_v3.1:5:10525925:10529291:-1 gene:PAHAL_5G174500 transcript:PAN28721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MKEWRRTHRAATLLDPVVVEAAPDSLDALMAEMGAMLASYDGQLDMEAVAIKMMAMLLKMDRKVKSSRVRALFNRHLASLGVPKSVHCLTLRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVLAAAVAVASAARSAADPGRLVFHVVTDKKSYVPMHSWFALHPASPAVVEVRGLHQFDWRDGVVIASVMRTVEEVQRSSLDYHRCGGSAEREHRRLEASKPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLTGLWEQDLDGNIIGAVGAHEGGGVCIDKTFGDHLNFSDPAVSGLLSSQCAWSWGVSIIDLDAWRRTNVTETYQLWLEKNRESGFRLWQMASLPPALIAFDGRVQAIEPLWHLPGLGWRVPDPELARLSAVLHFSGPRKPWLEVAFPELRQLWLGHLNASDSFLQGCGVVEWQ >PVH38978 pep chromosome:PHallii_v3.1:5:50376063:50377204:1 gene:PAHAL_5G406900 transcript:PVH38978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTSSRVSSCTQPCLWLRPPLEFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPCRVVR >PAN32961 pep chromosome:PHallii_v3.1:5:58331503:58334150:-1 gene:PAHAL_5G525400 transcript:PAN32961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVGAAAMFRALNAELATKSSRVAELEARVSLLKAENVRLREALARGEAAGRAAEGDPKFGRFATVRRGSEHVAAEKPVKSVACDVIEVSDDEEGAAVDANSGRSPGEGVVAVPTPRKRAVRSVTGESEDEGDAEGGGGSGKETSVGLEDDDVLVVPRGKKRAAARVVTSDSDDDDVTDGGLGSGKDDGGDQGEGVKASKKREFCGICDSEDEDAIEGVHVVTSKAASPTQIQSGEDEDDMVPICQVLKKMRKERASNDGDNEELGEAKGCSTPPTRRSARLVKNQSKRGRAARRVLNFVEPKEYEGSEDDMEEDDDMEEFINDEDSSENTNDSAEESCDEPDASGASVLNEGSSQGPEESNSEVDYADVMARIGRKNKAEDWKFEGDMLAAFSEHSELCLKAVCALYRKQTEEEQKHKATFVHNKQGFNQIHALRGSRIAEFLLDGDLYGPLKKTISDLEEYDRNALGFCRKVASHYSKQLFAIYQNKEDPYFHP >PAN32449 pep chromosome:PHallii_v3.1:5:55897753:55900152:-1 gene:PAHAL_5G487600 transcript:PAN32449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGVVSLLDAVFRRAFTSAGLRPGSAAVDADTTIHFWAHRSLLPSTSAATTEQRRRPVVVLVHGFGPGPTWQWAAQVGPLSRHFDLVVPTLLFFGASRTRAPARSEASQAAAVAALLAGGRHLPGLGPGRTVHVVGASYGGIVSYHLARALLQRQQGGGVELGKVALCDSDVTKGPEDDRALAARGGVEEVTELMVPADTKMMRRLTALSFHRPHKYMPECIARDLLRKSLEDRREDKIALIEGMTTAGGSQLLPLPLEVLIIWGEFDQIFPLEKAYKVEEKLGEKATVKVIPNSGHLPAQEEPKLFNRVLLDFLLQPSSSNGIATAAS >PAN27087 pep chromosome:PHallii_v3.1:5:3679806:3681259:1 gene:PAHAL_5G058100 transcript:PAN27087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSHTHKAFLLCNYVLLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDEDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDEDDDDLHAKNWQSYNV >PVH37856 pep chromosome:PHallii_v3.1:5:6409987:6417656:-1 gene:PAHAL_5G105800 transcript:PVH37856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISALSFLSDRKRPIVVAVILFIVLSSLFLLFNPTPSALPFFSSPSSHLSSSETSIPVYSNASAPEAPTSVASNGVSSSSTTADPVPVSADASPPVTGTAVASDGVGDSTTDPPRPDATADPGDAAADVPQPDHGTSPAAAEESGSAGNNETAAGVSAERDAEGQGGGAVEEPVELPSWELCEVGKGVVAADYIPCLDNVKAIKALKSLRHMEHRERHCPKPRPRCLVPLPERYRRPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKNGVTAYIRFIEQILPNIHWGIHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNSFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRKEQRDKDDWNAMIALTKSICWRTVVRSRDINKIGVVIYQKPTSNSCYHKRKNNEPPLCSESDRSRSPWYTPLDSCLFPAVSSSGGGNSWPISWPERLSMKHSTSSKNSSIQFSQEKIDSDANHWKDLISEVYLNEFAVNWSSVRNVMDMNAGFGGFAASLIRQPLWVMNVVPFDQPDTLPIIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTERCHITEVAAEIDRILRPGRWFVLQDTIEVIRKMDTLLRSLHYKTRIIKQQFLVATKGFWRPANTGPHS >PAN27772 pep chromosome:PHallii_v3.1:5:6412139:6417656:-1 gene:PAHAL_5G105800 transcript:PAN27772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISALSFLSDRKRPIVVAVILFIVLSSLFLLFNPTPSALPFFSSPSSHLSSSETSIPVYSNASAPEAPTSVASNGVSSSSTTADPVPVSADASPPVTGTAVASDGVGDSTTDPPRPDATADPGDAAADVPQPDHGTSPAAAEESGSAGNNETAAGVSAERDAEGQGGGAVEEPVELPSWELCEVGKGVVAADYIPCLDNVKAIKALKSLRHMEHRERHCPKPRPRCLVPLPERYRRPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKNGVTAYIRFIEQILPNIHWGIHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNSFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRKEQRDKDDWNAMIALTKSICWRTVVRSRDINKIGVVIYQKPTSNSCYHKRKNNEPPLCSESDRSRSPWYTPLDSCLFPAVSSSGGGNSWPISWPERLSMKHSTSSKNSSIQFSQEKIDSDANHWKDLISEVYLNEFAVNWSSVRNVMDMNAGFGGFAASLIRQPLWVMNVVPFDQPDTLPIIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTERCHITEVAAEIDRILRPGRWFVLQDTIEVIRKMDTLLRSLHYKTRIIKQQFLVATKGFWRPANTGPHS >PAN31631 pep chromosome:PHallii_v3.1:5:52514291:52514593:-1 gene:PAHAL_5G432600 transcript:PAN31631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHMLCWPNLFCRPGAAAPPLRADCVDGGGYEELPVSEAPHVQRGPSRGGAPGAGGGGQATFAVEQQVVPPAPDGGVGEGAWLALVCASMYGKVAGPFRS >PAN26418 pep chromosome:PHallii_v3.1:5:778915:781613:-1 gene:PAHAL_5G011200 transcript:PAN26418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMECVLHVLLRVMMRRSICRLQEVVDMAVDIGTALVVAVRVSGLVFRRPPASSSISAGASTTYYYSPAAASLIGMSRIDRH >PAN26419 pep chromosome:PHallii_v3.1:5:781158:781409:-1 gene:PAHAL_5G011200 transcript:PAN26419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMECVLHVLLRVMMRRSICRLQEVVDMAVDIGTALVVAVRVSGLVFRRPPASSSISAGASTTYYYSPAAASLIGMSRIDRH >PAN26429 pep chromosome:PHallii_v3.1:5:804438:808100:-1 gene:PAHAL_5G011700 transcript:PAN26429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTTSHDAFAATVTSRARRASSSSSSRPRGRADPAALCRERVALIRAAADRRFALAAAHAAYFRSLAAVGDALRRFAAAALAPATPAPGSSPVLRLPPSPAKQVAAATASSLPPSPSSASTISSLSHSLSDDDHDIEEALHDAKHGRGGGSDKGLSSSTRHHHHYMRRSSTVPTVVYEDPSAQVQYTQAETSYGYGYGYAYPYGPYGEVVAGERPEPAPMQPGPPPSPPTAEVSPWDFFDPFTQYDQFVEGFSGGNQPTNSPNYAELRRMEGIPELEDEAELEAKAAAATSKPSTSGVADQIAKGKGPIADNAASNGNPSGGKLQRKGSEPAPGAKLQSKGPETAPGGNLQRKGSETAPDANAEAGKPVSRINSVPSNASSKSKEGGKNTASLRGTVSGDIDGSSTSGKKKDVAFDEEQSIRAEGGGDSHGKSVQSAVSSEPFSPLHHGTRDVREAMDEVKELFDEVVNCSTEVSRLLEVGKMPPRSTPRVLRYISSRVVDPIGLTVSTSSCLPKPHGRKSRASSSKASTSASPSAGRRNGIGHLSSTLEKLWVWETKLYQEIKDEEKLRMQYEKNYRRLKSLDERGAESSTIDSTRLTVRLLRSKISINVRTANAFSSKIQKIRDEELYPQLVDLIQRFRRLWKRVLECHEKQLLAIHDSKINQLKAMTLSQSGEASEASRELERELTKWYRCFNKWISSQRSCVEALNGWLKKWLPEAPEEDTADGVPPFSPGRLGAPPVFIISNDWFQAIEMVSKTDALRAIDHFSKLVHEFKKSLEEEQRQKRKADHASRDYNRKCEVLQGELGLSTMENPRYSHDDRVIYLERLRKRRDEERISHEKILTHAHVAASATLPIGLVPVLQQIASFFQKNQQVYMRIRIQGT >PVH39366 pep chromosome:PHallii_v3.1:5:55802906:55804541:1 gene:PAHAL_5G486000 transcript:PVH39366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYMVIYIESAQSNGVRAPFGDQTNIKVPTLMERN >PAN31872 pep chromosome:PHallii_v3.1:5:53516031:53519594:1 gene:PAHAL_5G449200 transcript:PAN31872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHKFLLSALLLSLLNYASSAAKSWEEEDFPKTCPSHRCSKHHGPEIRHLVMNVIPLVYSSSSCPLQKLISINQSTDVYRPIQWGSDDSVLVGCSIDSIATNQEGIVGPSSCLSLSNNASQFWYLVLSRTDMSTLPLGCVVVAKSIPIPYTYDKNGPKFRTFFGKLLFKDRAKGAIDLGETPLNWSLNSITSICQRCEQEGRHCGFSSDHGQAFCQHHGSNVKLIAATTSVATFIVLVTTALYLLLKKRYNEAIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLPNGVPVAVKMLENSTGEGEDFINEVATIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLERYIFLHDSSISQELLVPQKMLEIAKGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPEIYSRNFGGISYKSDVYSFGMLVLEMLSGRRNSDPGIENQNEFYLPQWIYERVVAGQDLVLNREITKEEKEKVKQMAIVALWCMQWNPKNRPSMTKVVNMLTGRLQNLHIPPKPYI >PAN31873 pep chromosome:PHallii_v3.1:5:53516031:53519594:1 gene:PAHAL_5G449200 transcript:PAN31873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHKFLLSALLLSLLNYASSAAKSWEEEDFPKTCPSHRCSKHHGPEIRFPFRLSTHPRSCGAPGMQLSCSGHDTILDHPILGSCKVTAIYYRHLVMNVIPLVYSSSSCPLQKLISINQSTDVYRPIQWGSDDSVLVGCSIDSIATNQEGIVGPSSCLSLSNNASQFWYLVLSRTDMSTLPLGCVVVAKSIPIPYTYDKNGPKFRTFFGKLLFKDRAKGAIDLGETPLNWSLNSITSICQRCEQEGRHCGFSSDHGQAFCQHHGSNVKLIAATTSVATFIVLVTTALYLLLKKRYNEAIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLPNGVPVAVKMLENSTGEGEDFINEVATIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLERYIFLHDSSISQELLVPQKMLEIAKGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPEIYSRNFGGISYKSDVYSFGMLVLEMLSGRRNSDPGIENQNEFYLPQWIYERVVAGQDLVLNREITKEEKEKVKQMAIVALWCMQWNPKNRPSMTKVVNMLTGRLQNLHIPPKPYI >PAN32794 pep chromosome:PHallii_v3.1:5:57587654:57589740:1 gene:PAHAL_5G513300 transcript:PAN32794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARRTARALLASIVLAALASQAFVGVVESRTSPMEKASQGDDVKKPDCVPGVDPHTFPGIGGHGGGITPVPSHGGGITPVPSHGGGITPVPSHGGGITPVPSHGGSSGMSPSHGGSSDPSHGGSGSSPSTGEGYGGSPSHGGSGSSPSTGGAYGSSPSSPGGAYGSGAPSPSEGGGAYGGSSPTPAYGGSPSHGGIGTSSPTPFVPVDPHSLGFLPGSCDYWRSHPMEIWSAIGGRFPSSMGHFFGSAGSVGGADVSIQDALANTRSDGAGALLREGAAALLNSMTRAGFPYTTEQVRDAFAAAAAGGSDGAAAAQAAAFKKANEGKA >PAN29940 pep chromosome:PHallii_v3.1:5:16812170:16821213:1 gene:PAHAL_5G260900 transcript:PAN29940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPVYSIRGVDVDFPFDAYDCQITYMDRVIESLQQGKNALLESPTGTGKTLCLLCASLAWRRTFGEFLRGGRGGGRGGGGSQPPHYGSQLSGSQQSGDPLSQQEHSGYPVSQQQHSGYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHSEVSKLRGRAQNNACHFLCKKRRCQHNNNVAEFMKNKPDFGSKPFDIEDLVNIGKGKSSGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNSIPWNNAVLIFDEAHNLESICADAASFDLHPNNLTACVAEAHECIKLCSVKRSTENSADKQFDAENYAILKALLMALEKKIGELVIESKELGCTKAGSYIYDFLSELNITSDTSKKLIETIDCASLLLEEGNSAETGPGVQAKATVSRLESIRDILDIIFRGGGQDHAKYYRFHVNESQQTSGDALKVLGKSSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGQALNSSYRTRETIQYKQELGTAIVNFARIVPDGLLVFFPSYSMMDKCVEFWKNRNHSNSAAENTIWQRICKHKQPVIEPRQSSNFPIAIEDYAAKLRDSSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKREYLDKQGTPSENTKMLTGNEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFAWPNYQSQMSYWLRPYIKCYSKYGEVVQGLTCFFRDKATSDPLKLKRTDCNDCIAPVTKKCMPEENLSDLAANARNEYPQITLSVNSTTKSNFMKLAQITPANRSTLATKHNSSSMSHHFSEDQLSQDTKIQRCCWLG >PAN29941 pep chromosome:PHallii_v3.1:5:16812173:16821213:1 gene:PAHAL_5G260900 transcript:PAN29941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPVYSIRGVDVDFPFDAYDCQITYMDRVIESLQQGKNALLESPTGTGKTLCLLCASLAWRRTFGEFLRGGRGGGRGGGGSQPPHYGSQLSGSQQSGDPLSQQEHSGYPVSQQQHSGYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHSEVSKLRGRAQNNACHFLCKKRRCQHNNNVAEFMKNKPDFGSKPFDIEDLVNIGKGKSSGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNSIPWNNAVLIFDEAHNLESICADAASFDLHPNNLTACVAEAHECIKLCSVKRSTENSADKQFDAENYAILKALLMALEKKIGELVIESKELGCTKAGSYIYDFLSELNITSDTSKKLIETIDCASLLLEEGNSAETGPGVQAKATVSRLESIRDILDIIFRGGGQDHAKYYRFHVNESQQTSGDALKVLGKSSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGQALNSSYRTRETIQYKQELGTAIVNFARIVPDGLLVFFPSYSMMDKCVEFWKNRNHSNSAAENTIWQRICKHKQPVIEPRQSSNFPIAIEDYAAKLRDSSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKREYLDKQGTPSENTKMLTGNEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFAWPNYQSQMSYWLRPYIKCYSKYGEVVQGLTCFFRDKATSDPLKLKRTDCNDCIAPVTKKCMPEENLSDLAANARNEYPQITLSVNSTTKSNFMKLAQITPANRSTLATKHNSSSMSHHFSEDQLSQDTKVVDITDDVEIHGHLKEHTFKSLGLKKAKLMDRSKDAVGLDDVSSKLPQNIDSRALARYQGEGSTPQSKKSTIEKACGKNEGICEKSEGQESNSGASFLKLAREKLSGAEYREFVEFMKALKLKTMHIKDSLEEIAKLFSSPGRLPLLEGFRIFVPKNHLPLYEQLVRKYNVCST >PAN30121 pep chromosome:PHallii_v3.1:5:18306154:18306503:1 gene:PAHAL_5G275300 transcript:PAN30121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSISIQFTTKDHQVNQSKRIYLRGILRIVQLEPNFRRTETTGIALRWGQILTTRSETIQLRTNSKSLKTQI >PAN30312 pep chromosome:PHallii_v3.1:5:46893969:46894674:1 gene:PAHAL_5G378300 transcript:PAN30312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVGRSDLAWLLVDPIIISSPSPFPNVNSGGGGGGGDDGAALGSRRTTSLPPPSRRRHRPPGCSPSSHAPPSGCPWIRYLHQGRMDRGGSLKPWFGKVGCSNLVVMMLAVWISLVLEDSGLAWSGGDNIYY >PAN26624 pep chromosome:PHallii_v3.1:5:1696190:1699183:-1 gene:PAHAL_5G026700 transcript:PAN26624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKLEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFGIKDEQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIARNSKI >PAN28457 pep chromosome:PHallii_v3.1:5:9312162:9313227:1 gene:PAHAL_5G154100 transcript:PAN28457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDSNSIEPRQREDGVMEVPEIDGDLLVELLDASLAAEDAGSPQLGFPGDVDGGDCWVGSHELNGAIHTHQDCEDCDLDAILSDFEEYGSPRPGYPAPYAVFDDDTLEWAETADAGMGPFAGGCMGDWYMDGMVMVMAIEWEEEGGEAGAEQVYGSPLWE >PAN26470 pep chromosome:PHallii_v3.1:5:937456:944405:-1 gene:PAHAL_5G014100 transcript:PAN26470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDEVADKEASSPEEIQLVQSDAKFRSFSPPPIPIPAAPSIGSLVDSVSDERLDVMASHQSENGSISTASSTVSSLESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAAEWKRKYELEMAHKQQNKIKGCGSCISCISNDLDKLASQLTLDTAASDQLGCCGKHGICSHEVLQDEVPGPIQRPNHKMVGRKASFKLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESQPQTVLFITKPNSNSVRVLCAEMVRWLKEHKNINVFVEPRVSKELLTEDSSYNFIQTWDNDEEIKLLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELVTEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGSAWASFDGKDRKQLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >PAN33001 pep chromosome:PHallii_v3.1:5:58539147:58544987:1 gene:PAHAL_5G528400 transcript:PAN33001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSSSSSNPFHSLASSTFPFLSSPSSAATSSTTSPAHPAPHLAFPLLLPVSSASSPAGPETLPGKRMAGAGVAAGGKPGGGIKGGGGGGGGGGGGPAFVGQVFTMLDPSGNGLMAVTTRFELPRFLTNRTPAWFKKILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPHMFQFVPNEKQVKAANKLLKSLPQRGRRKRLGGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNMLDNILEASTDQHFHSIMQNRHMQRRRDIVDDSLTSEIIEESADSLLEPPEVQELMNEIGPAGIPLNVVTKAAEIQLLDVVDKVLLGNKWLRKAAGIQPQFPYVVDSFEERTAVSIANIATTSSFTASKDDSCCQNDQQSQSSEPSIDNNYRSHNNQDHNQFHFPFSNLLPNIWPGHDRNFKEQESVSKFSRYDADMNTNLQVNPLLPKITMVGISMGEGGQMSKANLKKTMEDLTKELEQTSEKNSFGDEKDPLFVANVGDYSRITKISSR >PAN28621 pep chromosome:PHallii_v3.1:5:10110924:10115188:-1 gene:PAHAL_5G166700 transcript:PAN28621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRKVLDNTVREIKREVNLKVLKVPEIEQKVLDATSEEPWGPHGSDLADIARATKRYGECAMIMNVLWRRLGDTGANWRQVYKALAVIEYLLANGTERAVDEITDNSSQIAKLTSFEYLESNGKDVGLNVRKKAETVLSIVDDREKLQQVREKAAATRDKYFGLSSTGVTYKSSATSFGSGSHSSGGRYGSTHSSKEADASRDSYRGNIRKEPISDFRSTRQMSIGHTSSTTDYKSRKGQGHRRINQVSSTSHLKSSSNLSSTSGGPSSQKVNNEDDKDFNPRGPSASATASYNHVDLFGQNLMDNLVDTTASTSRALPNVGTASLPEVDLFADTDFQSANAPLESASASRSQILSHENIDLFAGRSSFAGSANSDTEFSVRGSPNKSSDLNLSSLTHSNASAFDLFQPSFVTSFPSYTEFSVHNTPSKSKKNSHQHSSAADFDPFAAIPVKTLDESDSFSAFSSNTASGQTKTESVKCSDRSPFEELNFGAFTSHRESPRTIATKLMNKSPTKLEPASMSESKSDVKKGAFQVKSGIWADSLSRGLIDLNITASKKIDLSDVGVVGQLSDGSEDKGPAVPWCTGTTMGTGSGLQGMSGSPSPAGSTGGTGNFQQQQFGSFK >PAN32532 pep chromosome:PHallii_v3.1:5:56279281:56282722:-1 gene:PAHAL_5G494600 transcript:PAN32532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFTEEEKAVDDALGYPKAYARLCRGGGGGLAVGLPYGHGPPHAFLPYVLQPNEALRAKDLNDMFPVTDAEAPPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGKTVPSNLRIVQWQVCRKKQNKLEFLMPWWDLQLGISVNQFLSIFASKNSDFRNRAFAFLFADGSSEELSSLQVVEAHAFPQHFSEMTRKVGLAPAAIVSTRGSDNSVLKSLDANRPLRPNYPLIASKKFTGEKDENVNLAISGHGTNSTKENNNPDADGYISNPYLSIAMARDSLRQREEAKKKQAELTELENEANELKQKNEEERVAIQGLEALLIKRKRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRKKYQQRDDLERQIKPERKRYRVDDGLLEERHNESVKYLSARRLRSSPLKQELRVFLEEDQRNSDAYISLGEEEIGEGTSNRASAFGNDRNEPLKVINFPRRSLSIEQNTVDSERGRTLVREKLEELAIKGRRRSRRGEIKETMASRGTGTPMRSRDDRGKATMQQCYESETEKYHASETVSVPRTSSLPPSPPYRAVGMYGTPRYPTDQSILLQKSEVLHHRRVPRSEDDENMNHVGKGNVDKWLHMLMDNQQDCHAVYHSSDEQHDKDEENASEEQQIQIRINEESCRNEITECSDEIIEVEGDIASDQGTARCRNSFGIKEREEKKIWFPRSDSNRGFRSLPSSPSKILGMRRGVECIGRKPKVAGEADCRYGYDDSVSTSSSKFLSRCKQAIKKAVNK >PVH38569 pep chromosome:PHallii_v3.1:5:21250988:21251174:1 gene:PAHAL_5G290800 transcript:PVH38569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKVDINTHGPAAPLYLDGAHHSSKLERTSTPVAKPKRSRGPTILMSHSCQIY >PAN29776 pep chromosome:PHallii_v3.1:5:15789090:15791749:-1 gene:PAHAL_5G249500 transcript:PAN29776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTAAVPFWRAAGMTYIGYSNICAALVRNCLKEPFKSEAASREKVHFSVAKWTDGKQEKPTVRTESDE >PAN29064 pep chromosome:PHallii_v3.1:5:11992019:11996021:-1 gene:PAHAL_5G198200 transcript:PAN29064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLHRRLAPPPLLLAILVAASSHGASSSGDDGYDDSMCVRQPYTCGGVSIRYPFYSRTGALLGNPRSYCGYPGLEIQCEEGQAFLELQSGNYTISRIDYDDLTVRLVDPEVLDGRSCPRADHNVTFWNVSWLYYPSTVDYLLFFLNCNFLSDLSRPSSSNSTACKFDVGQASTGMSFVFLEQDVPYRNTNWWRWCSDVIEVPVLKSDLPSDSRNDPTWKNGGYGSSLRDGFLVALDHSQKPMACAQCEQSDGQCGHNQTGAFVACLCSDGRVGHPKCTIDSSRHGKRIKLYIVASTSGPLLLCLLILVFFLTWKYGLLPYKSKHEPRIESFLQKNGNLYPKRYTYADLKRMTRSFSVKLGQGGFGAVYRGSLSNGCQVAVKMLKDTKGDGEEFMNEVGSISRTSHVNVVTLLGFCLEGSKRALIYEYMPNGSLERYAFSSSMNNENTLSWEKLFDIAIDIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCLNKESAISIAGARGTIGYIAPEVYSKRFGTVSSKSDVYSYGMMVLEMVGARDKNINADSESSRQYFPQWIYEHVDEYCISASEINGETTELVRKMIVVGLWCIQVLPTDRPTMTRVVEMLECSTSNLELPPKVLLS >PAN29097 pep chromosome:PHallii_v3.1:5:11991868:11996221:-1 gene:PAHAL_5G198200 transcript:PAN29097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLHRRLAPPPLLLAILVAASSHGASSSGDDGYDDSMCVRQPYTCGGVSIRYPFYSRTGALLGNPRSYCGYPGLEIQCEEGQAFLELQSGNYTISRIDYDDLTVRLVDPEVLDGRSCPRADHNVTFWNVSWLYYPSTVDYLLFFLNCNFLSDLSRPSSSNSTACKFDVGQASTGMSFVFLEQDVPYRNTNWWRWCSDVIEVPVLKSDLPSDSRNDPTWKNGGYGSSLRDGFLVALDHSQKPMACAQCEQSDGQCGHNQTGAFVACLCSDGRVGHPKCTIDSSRHGKRIKLYIVASTSGPLLLCLLILVFFLTWKYGLLPYKSKHEPRIESFLQKNGNLYPKRYTYADLKRMTRSFSVKLGQGGFGAVYRGSLSNGCQVAVKMLKDTKGDGEEFMNEVGSISRTSHVNVVTLLGFCLEGSKRALIYEYMPNGSLERGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCLNKESAISIAGARGTIGYIAPEVYSKRFGTVSSKSDVYSYGMMVLEMVGARDKNINADSESSRQYFPQWIYEHVDEYCISASEINGETTELVRKMIVVGLWCIQVLPTDRPTMTRVVEMLECSTSNLELPPKVLLS >PAN29365 pep chromosome:PHallii_v3.1:5:13534166:13537525:1 gene:PAHAL_5G221100 transcript:PAN29365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E [Source: Projected from Oryza sativa (Os01g0658400)] MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >PAN28352 pep chromosome:PHallii_v3.1:5:8844049:8848698:1 gene:PAHAL_5G147300 transcript:PAN28352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLGAQSFQRDKALEEILLRNMAPLTPRLVVPIDVKKQPWEQKIPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVRDDNSADDIKFLDLTITHYLSGPLRIVDSEGLPASPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLHIWNEREKKLAETSPETLKVCEVLHQRPLANLPTPENCLLGKIQKETAGWHKMANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSKFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDEDIRPKHLRGRPGPKLIRLPDLLSCSSDGHLPVTQDQSGRSEP >PVH39414 pep chromosome:PHallii_v3.1:5:56347241:56348434:1 gene:PAHAL_5G495400 transcript:PVH39414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLQPVLRLYSCTAASIFPSEYDPTNHPPPPPNASSSPVVLPVFVRSPDAPPPPGSARSRVVVVFPAPTPQPPGRACFLLGGALACRAAAIPPPAAVVGDRIRRPWEVDLHTKCTDHKDFADKPVEAAKPIDLEAPPKSASSSEAIYGRRCPGLGK >PVH37560 pep chromosome:PHallii_v3.1:5:1958074:1959845:1 gene:PAHAL_5G031000 transcript:PVH37560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHAAPAVGKRMWSYLRAVFFMARKGLLSNKRKLLLGMHLLMKRRNKAVSRTVAALLSHHGGHGHHGISNPLRRRHYEFSCSNSPDPAAAGFSASRRHLAYFPCLGAVAEEEDGRYGSSSPAPVGRIEYYAAAAASPAPSSPGLLLRELAPGEPAEESYCCASPALLGGGDGAFSVRVSNYSSEDEGSAGGQAVDDEAEEFIRRFYEQLRRQNTVALLPYMQESAA >PAN29288 pep chromosome:PHallii_v3.1:5:13095877:13099669:1 gene:PAHAL_5G214300 transcript:PAN29288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFNNRHWPSMFRSKHAAEPWQAQPDISGSPPSFLSGGGNTTSAAGSGLKHPSSGYAGGEERTPDPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMRLQEYGQVGDANVFYWFQNRKSRSKNKLRTAGTARPCATRAPARGTAVAAPVTAPPAVQASQQLLTQQVQLLASPVQAPTSSSSSSSDRSSGSSRPAAKRAAQEMSPMAEMHCHLAPMAAMDLLGPLAATCPQMYYQGQPVAPASAPAHKVQDLVSSDEPIFQPWLQGGYCLSAAEVAAILGGQNMHVPVQEQPAASLPAGAFLGLCNKVTGSAITSQRTCAWGSGLGQYCPGGGGADPHQVGLGKNTTAAPNTVGREVAHEDATKLGLLQYCFGDSTAVDPASVTATSPLAATPDAAVTVASVAAATTAGLTGLPANIGAPNGVVASYDLLQLQGLAADGALGVGAVTTSGAAAPAAAAPAGAHAQQQEGGVAALCVTDTATGKSVAHAVAAARLDVRAQFGDAAVLFRCAGERGLDIEQVPVDASGRTVQPLQHGAFYYVLV >PAN27879 pep chromosome:PHallii_v3.1:5:6939223:6943091:1 gene:PAHAL_5G114800 transcript:PAN27879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECRSLIEFLRAFEHHRRAADSSASACSRSRRARAAGAGAGASFCDSTPMAVVDAVMLLAVVAALGFLVIPYLRLLLVEVGALLHPAASCLSAAAFFGAAVAVAAAVVAWELLGYHARKCGKPRCRGLKKAVEFDIQLETEECVRGRPGPAARSALLAAAGARPVELGDEQRELEAELRKMAPPNGRTVLIFRAPCGCPKGRMEVWGAKKVRRIKK >PVH38571 pep chromosome:PHallii_v3.1:5:21511662:21513244:1 gene:PAHAL_5G291500 transcript:PVH38571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCHGLRVRACRVATWLRFASQHRVRKLDIEELELPVWDEVARIRFTLEQRWRLRLRSAGVFVALTDLHISLATMEASELGTLVSSQCPRLTNLNLLVTLAAASNVCIRSDLLRSLQIRVENVHRLQVIAPNLEVLAVSDATEAHVSAPKLNEITWDGGIAYDPRRHCFADAGRHIRLLDLGSKCVAASLLQRFDKADVLKLNLNLCDRTRTVGYTRFVNETTKLPKCETLRLRVSLGNYYHNLASSMLHLLRSCNSTRKVSVKVDSGLPMPRVAMLMTLLLTPLKRPKSLSLEAPMKKCSSWSSLPDAMHQA >PAN31946 pep chromosome:PHallii_v3.1:5:53742623:53744982:-1 gene:PAHAL_5G451700 transcript:PAN31946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGEVMKPGKSQKGSAQRHLNAGFVAGGLLMLLVYLVAQHFAVSAPHVVITEALEVMNNIKAQGEIAGNGKVECKMEGRSDTCEVDGDVRTNGTALSVTLVPAAWSERREWMIRPYSRRFASLRKVAVTRLQDSAAAPQCTVTHGTPAVLFAVGGYAGNYWHDYADILVPLFVASRRFGGEVTFLISNIRFKPQWLVKYGAFLRGLSRYEHVDLDADEQVRCFPHVTVGLRLDKEFSIVPELVPGGPLSMADFTRFLRETYALPRGAAASPAREPGRRPRLMLIHRGHYRRILNEPEVARAAEAAGFEVAVAELRGDAGEAEQARAVNSFDAVLGLHGAGLTNAVFLPPGGVLIQVVPYGKMEHIARAEFAEPAADMGLRYLDYSVSAEESSLMETLGPEHPAVRDPDSVHRGGWGQVFELYLAKQNVRINVTRFAPTLQEALDHLRRQ >PVH38480 pep chromosome:PHallii_v3.1:5:17387414:17389910:-1 gene:PAHAL_5G268400 transcript:PVH38480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWPAQRRLLRFDPAMPTAPENIRRFLPRRGDAHDAKMTSAGGCRCPSCSVLAARQSGHACHVRDLDTT >PAN31623 pep chromosome:PHallii_v3.1:5:52462060:52466383:-1 gene:PAHAL_5G432000 transcript:PAN31623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVAPSGYKNSSSTSIGADKLQDHMNELKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTDKDELYLNLVLEYVPETVHRVVKHHNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPADFVAKLIPEHAKKQCPYVGL >PAN29260 pep chromosome:PHallii_v3.1:5:12985115:12988878:1 gene:PAHAL_5G212600 transcript:PAN29260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLANNLRVFEVSMPKQQCKMLGKSTCLAFLMICLHPLLLCSAASGPSQLGASSALSVEDHARPFLVSPDATFSCGFLEAGENAFSFSVWYTGAADKTAVWTANPGAPVNGRRSRITFRRDGGLALTDANGTAVWESKTSGEGLTVSLLDSGNLVISDPSGTAVWQSFDWPTDTLVPSQPLTKETTLVSGYFSLYYDNDNVLRLRYDGPDTSSIYWPSPDYNVFGNGRTSYNSSRIGVLDDDGVFLSSDNLAARASDLGPGVKRRLTIEQDGNVRIHSLNATTGGWTVTWAAMAQPCSVHGACGQNAICEYQPGLRCSCLPGYEMVDRKDWRRGCRPMFSVTNCSQGQAPPEGRFKFVKVAQTDFYGYDLGYNSSVTFEHCKKLCLEMCSCSAFSYRLTGTGVCYPKGTLFNGYTSPNFPGSIYIKLPIDFDASAQPVAAHSSQGLACNPDGPEIIQGYPDTFRRPRNNAKWSYLFAFATVLGVVDVIFIATSWWFLSSKQSIPSSLEAGYRMVTGQFRRFTYRELKDATGNFKEEIGRGGSGVVYRGVLDGGKVVAVKKLTNVAGGDEEFWAEMTVIGRINHMNLVRIWGFCSQGKHKLLVYEYVENESLDRHLFDMDRTALPWRERYRIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDGAGDSFQLSHMRGTTGYMAPEWARNVPVDAKVDVYSYGIVLLEIVIGRRISDQTTAGGERLEMSQIAQALRQVVDTGDVVPLVDGRLQGQFNPRQALEMVRISLSCMQERSNARPTMDDIAKVLTACDDEDEHPAYRS >PVH37584 pep chromosome:PHallii_v3.1:5:2295818:2296427:1 gene:PAHAL_5G037300 transcript:PVH37584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPPALPLSSRPLPRPAAPSTTALYTRIILSAPGLTLSHSHMDGLLALFSGFRAVSLVYDNQAHQSYFSFVYRFLFNINNVLVVKHQHKLELLLM >PVH38923 pep chromosome:PHallii_v3.1:5:48717074:48717718:-1 gene:PAHAL_5G390900 transcript:PVH38923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEKGPPKKRKKIIKPSCESSIVPVGLTPKTMNFSPNQTTSVSLGSRNSLRSRSGSNQLELLPARETTPPPATSKPREKKAKGKAAGKKNKKEISVPHDSPAMSTRSKTPQQDSPASHTRSKRKLPLTDLN >PAN32403 pep chromosome:PHallii_v3.1:5:55757563:55763409:-1 gene:PAHAL_5G485100 transcript:PAN32403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTETFYAGTPNTVVQTQTNSSYGTYSNAARNLREDELGGVIFGCKNNTMNECLSKQLFGLPSGHFTYVKNVKPGMPLFLFNYSDRKMHGIFEAACAGQLNIDQFAWSDGGRIKTQFPAQVLISMKTQCYPVPESHFKSVISDNYHRPRHFYFELDHAQTRALISLFKPAPVHDVTNKWDTSRYLQSPTTKAYHNPGPTKSESYAKDLDPFGVSSESHCIAPYKLVDPDGEYASASRTSTSHLDEESSNWDDLDPASTKEGTESVNDDHPHINPPYEEQHDTVAVRQKLQELFVLQQQEVQSSTDTVDSAPDKSMPQEAQFNAALPTDPPDSTSKDDAPIEDPTSLGECHGNAELLHIINELSKRTWAIEKKLVESDKEKLFLRESVKNTESRVQQLEYQFEKLQLNYNSLAPLLGRPHDNVEGPSVFLLGGYRGSTCLSSLDAFCPRTDRLVPLCPMSSARAYAAVSALNDRIYIFGGGNGSSWFHSVECYSREGNRWMTCPRLKHAKGSLAGTMLNDKIFAIGGGDGSAVFSEVEMFDPALGRWIDSLSMRQNRFAPAAAAFNSALYVTGGYDGNMYLQSAERYDPREGFWALLPSMSVRRGSHSVAVLGEALYAVGGYDGSNRISTIEIFDPRTNSWRIGSPFSIARGYGCAVTMDDNLFYIGGVNDAGETVDTVEVYNERQGWSISGCQSIGRRAFACATAI >PAN30902 pep chromosome:PHallii_v3.1:5:37628496:37628988:1 gene:PAHAL_5G344100 transcript:PAN30902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAAARALLLLFFLLVLQIPSLLGSRSSAQHAAVADPAATAAGGGRRLLPVPAVHEQSSVERVATHPRSMERRARRSGGGSAFVDAVSKHQVPSGANPDSN >PAN29832 pep chromosome:PHallii_v3.1:5:16015734:16017468:1 gene:PAHAL_5G252500 transcript:PAN29832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAAYGCSSAAALLPFSAFPGSRYSRRSPPPPRVSLIASSKLRATAHGLRVSFRRRKLVVSACSSSESNSDAAASRTEATVDIKLPRRSLLVQFTCNACGERTQRLINRVAYERGTIFLQCAGCQVYHKFVDNLGLVVEYDLREENAVQDNVVNTDSED >PAN30322 pep chromosome:PHallii_v3.1:5:24490974:24493491:-1 gene:PAHAL_5G304400 transcript:PAN30322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFHGIVKEEDFEFDFDYTGASAGGAPPGALAASSWAVAAPELPRPMEGLGEVGPTPFLTKTYDVVDDPNTDTVVSWGFAGNSFVVWDANAFANVILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRELLKTIKRRRPPLSPSSQQGQAQDACLEVGQFGREGEVHRLQRDKGILLAEVVKLRQEQQATRAQMQAMEERITTAEQKQQHMTVFLARALKNPSFLRMLVDRQGLGGRQRELEDALSKKRHRPIEYLRRDGESSGSAATEAAVGDYISGLPAGANGVAVADDGKGRRDGTGGGEDTESFWVELLSLGLEEKHREGGGGGEVNGSGSDVDNDVDDDVEVLVQSIYHLNPNPGSPSGK >PAN29865 pep chromosome:PHallii_v3.1:5:16198820:16202086:1 gene:PAHAL_5G255500 transcript:PAN29865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEETAPPNPPPTAHNRRRVPPENRKSCPYPLAIRRPPPMASAPAPGVVVVFDFDRTIIDWDSDDWVITKLGAADAFRRLRPTMHWNPLMDRMMAELHARGKTAEDIRDCLRSAPLDAHVISAIKTAAALGCDLKVVSDANTFFIETVLAHHGVLGCFSEISTNPARVDADGRLRISPFHDSAATPHGCSLCPENMCKGKIIEKIQAMASTKNQHFIYIGDGKGDYCPSLKLGEGDYVMPKENYPLWKLICSNKQLLKAEVHPWNSGEELEKTLLKLVNKMITPPAQVSSFDCSKYEMSKPASTEVGHHQALRVPH >PAN30538 pep chromosome:PHallii_v3.1:5:21465616:21468917:-1 gene:PAHAL_5G291400 transcript:PAN30538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEMAAAALVPLAVTFLFSLSSAASPVQWGKLDPHFYDHSCPQAQLIVASIVGKAHYQDPRMAASLLRLHFHDCFVKGCDASLLLDSSGSIVSEKRSNPNRDSARGFEVIDEIKAALEAACPGTVSCADILALAARDSTVMTGGPGWMVPLGRRDARGASVQGSNNDIPAPNNTLPTIITKFKLQGLDIVDLVALLGSHTIGDSRCTSFRQRLYNQTGNGLPDLTLDVSYAAALRPRCPRSGGDQNLFFLDPVTPFKFDNQYYKNILAYRGLLASDEVLLTGSPATGDLVKLYAANQDIFFQHFAQSMVKMGNISPLTGADGEIRKHCRRVNHN >PVH38118 pep chromosome:PHallii_v3.1:5:11009599:11011854:1 gene:PAHAL_5G182400 transcript:PVH38118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRDARPPSLSEDGTKQGKALYIGDANLQAVGAWLIFCPAAVQPLLRRRGRLLAPPHRPAVRRRPCKRERRRAPHPHAASLPAQSVHVRAPRCLHAPCLFPGLERLGLHLYLQSVYKH >PVH38420 pep chromosome:PHallii_v3.1:5:16076990:16077545:1 gene:PAHAL_5G253700 transcript:PVH38420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCREAQAGAQIPNVRLRGPLTITPGGGMQSGGGGGLATQIQAAAGWGDAPMMPQQRKQTDKPQKFLVRTVACQTCGNKQLSTS >PAN31491 pep chromosome:PHallii_v3.1:5:51865626:51867499:-1 gene:PAHAL_5G423000 transcript:PAN31491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGVVSGGTLASWVASGVVLWSTAFVLLRALFPKRSYDFCNRAVSAMHAVTAVCLACLSVDDWSCPVCPLAAASSPSQMKALAVTLSYMVYDAACCHLNGDVRLDNTVHHLVSIVGIGAGLAYQRCGTEMVASQFITEISSPLLHLREILKEFGVRDTDLNLLVDVLFALTFSVARMGFGPYLTYATVTADNPILIKAMATGLQLVSAYWFLRILRMVRYKLGKNKKKKPPPSAAPAGKLATD >PVH39400 pep chromosome:PHallii_v3.1:5:56203331:56207239:-1 gene:PAHAL_5G493400 transcript:PVH39400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGSSSVASGAKRPFEYGRTHVVRPKGTHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVSVFGGFPSTAWFDVAELSEDAPDDVEGMDASASHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNGNPYPVNLSLAVGLSGWLPCARTLKNRIESSPEAAHKASSIPLLLCHGKADDVVLYKHGERSADALKANGFSNVLFKSYNSLGHYTVPEEMEEVCKWLTANLELGTSSS >PAN26591 pep chromosome:PHallii_v3.1:5:1526607:1531010:1 gene:PAHAL_5G024000 transcript:PAN26591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDNPCNKWVYDTTQFDNILKKLKVQSAKPIQEEITALSDSPDSTPKKDKPANVEVTKVTRPQGRYKKREGGKRVSSYSAIDLQGILVRKNEDNCQVDQKPEPICLDEPDPIICPDAVSQAEDVNWWGHKFGFVSGGFLGATSRKRKSSRKDPANVRQTFAEEDQENLYNLVQDKATSGKQGLGIKGLPMKIAGHRWKGNKTSFGDSDDDDSAQSDEFSEIEDDNVEQPTAVESIEIEKNTEKELHVDVGSKTKVKKLCKRILRQAPSQSMKLKDLKEAVEEHSNAVFSSFSCRREALLFLKKKLQGSSKFSVEGKKVQLVS >PAN26656 pep chromosome:PHallii_v3.1:5:1857435:1864087:-1 gene:PAHAL_5G028900 transcript:PAN26656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEEPSQVRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRDLYGPSKYTGLLQATKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKARGVQGLYSGLSPTLVEIIPYAGLQFGSYDTFKRSMMTWNRYRYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSKAGVE >PAN26655 pep chromosome:PHallii_v3.1:5:1858310:1863865:-1 gene:PAHAL_5G028900 transcript:PAN26655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEEPSQVRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRDLYGPSKYTGLLQATKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKARGVQGLYSGLSPTLVEIIPYAGLQFGSYDTFKRSMMTWNRYRYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWLESILM >PVH38443 pep chromosome:PHallii_v3.1:5:16668422:16674662:-1 gene:PAHAL_5G259300 transcript:PVH38443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSAITAQPAQTPLTLFQRFTPLSLSSGPPSLPRVDSPPPHRDPDGAPPPRRPARGVAPAPARPPGLLLRSRLRRHHHRVRRAPPPGLPAGPAPGQRSGLPHRAARGELPRRLQRPPHGAPRGPPHLGPQRHPRQGLLPLVVHHGDPRRRGPARLRGRLRLRQVPRAGLQRQPRVPRQGRLLMQQLGHPLACSMVHGIIKGAVGLQAHRCF >PVH38753 pep chromosome:PHallii_v3.1:5:37665928:37667617:-1 gene:PAHAL_5G344800 transcript:PVH38753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDHRQLKSPAHTAPTSRRPPSSAASRGPGARHPPPTREAPGTWAPRSSPRPLLPAPPAAPHDPPLAPHVMPPPTSAASRGPGARHPLLLARHLGRAPRSFRRPLLLPATLPWRRTRTSCRLAPLQRPPAKSGPPPGMLAKKPVRRRLFSGQKNPAIPVAGTDGGAGSMYGRWLITSTPSEQTKESGHAVVEVITFHFLDLCLQQDDKISYRMDQ >PAN31524 pep chromosome:PHallii_v3.1:5:52003982:52008470:-1 gene:PAHAL_5G425000 transcript:PAN31524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMKAACARRGSAGGDSERGRAGRSSELQSSARIVLYLRPRPRPHWPSPPPPAHLLGLCLQRPQKQDRRWPDLGLTGPGMSSPSPNCTPLTRDLISTPTTRPGRRSLVWAWKVSPSTRSRKGGPTRRGTKAWCSLTAGSEATAARGPFVPLHRPVAQEARSAQLAFANHAVQVCHPAAAEVVGWHLPRLLPVILLVLGTGPATGPSWRMQRTCRRSFRLTKEKKSVAKKIDKANWSSHNNTVLLQLLSEQIGLGNYNKGIMSREGYRQLCAKYHCATGLMHDTKQLSGRIRTLKQMYGFIKDMHTNSGLGRDDQGWPTASKDWWDTKTKGCPEFKKLKWGPPEHFDLLEHCFHDVAVDGSSAFVPGQEEDEALYEDEAQGYEEEEEELQGTENSPMSSSGHKRASSTSTRSTADSPIKKSKSPMLKVMKQYLHMSARQSAERNLFLKKLGSKQENAEAKLEDAIKKAQQLAKQAGLDESSPEFYAVSHICKDEALMKFFINMETSEGRVAFLRRYCKEKKSRLVYHIDVIIVCANHVCHWTFVCLFYLC >PAN26651 pep chromosome:PHallii_v3.1:5:1844350:1847964:-1 gene:PAHAL_5G028700 transcript:PAN26651 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05410) UniProtKB/Swiss-Prot;Acc:F4J7A7] MATAAVARHHLHRGAPSRGGGRWRPRRGGIRCSWPAQEFAALAAVFRRRLVVGATAAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSENGFEFIYPSSWVGDQTLLYREVKRAELQRSLDPPPLKNGRLSSRPRSISEPVAAFGPPGSNGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIARTRRSPDINATLIDAALREDAENVKYYKLEFRVESPSFQRHNVAVCCARDGKLYTMNAQAPESSWKAVQEEFFAMADSFSLVNDV >PAN29660 pep chromosome:PHallii_v3.1:5:15154091:15155158:1 gene:PAHAL_5G240700 transcript:PAN29660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDHCLLLLRFTTMYVYHVCSVDYFVDSKMSDNADWNDENTRLVCELFAEQVTAHNRSGTHLNKSGYKNVMEKFKDKTGLDYSRRQFKNKWDKMRREYANWKRLVKETGLGWDNEKKTYTAPDSRWKQLNKDYPGINKFKDGPLQFEELKTIMFEDIRNSGDDHWAPSSGAAPASQQDAEPDEADDRDEDCDDNEASDDCDEYSPEPSRGKRPAPANRKDKGKKPKTSGGHWVQKELSKLVSLSARSTASCESLAKKDESSGCSIKDVMALVRECGAVPGTKEHFIASQVFVKRAEREMFLTLETPEERFQWLSMKHMWMTRNDSSM >PVH37931 pep chromosome:PHallii_v3.1:5:7572455:7575280:-1 gene:PAHAL_5G126500 transcript:PVH37931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYTTEWTCCRCRSSWRRTRLAPCKPSRKGSPSCTPPATLGPHHRWSRTQLLGSSPSRQARLIGLFQPRSRWETSNTSWDNLCTTKGRTCQGVPSGFLQMEACTIYRSVAEFLLLNFCEYCNHLDFSSWSARLFFRALHEVRCTADALNGTDVRGKIVLCVAFPVSPLALFPLALKNVLDGGGSGIIFAQYSMNVLDATADCKGIPCVLVDFDTANQIGNYMGDASSPVAKIEPARTVTGAEALAPTVAAFSSRGPSINYPEVIKPDIAAPGVSILSAKEDEYALGSGTSMATPHVAGIVALLKALHPNWSPAALRSAIMTTASVTDGRGMPILAQGLPRKITDPFDYGGGGGYINPNKAAEPGLVYDIDPSGYNKFIGCTFKKFISCNKTMLPGYHLNLPSIAIPDLRHPITVLRTVTNIGEVDAVYHAEIQSPPGVNVDVEPSVLSFNAASKVMTFQVKLSPLWRLQGDYTFGSLTWQNGQNTVRIPIAARIIIHDFFADVA >PAN27561 pep chromosome:PHallii_v3.1:5:5395839:5398276:1 gene:PAHAL_5G087200 transcript:PAN27561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQMDPPAPPARRSVSTSCDLHPGETFTGFCAACLRERLQGLEASAAAASAPGRKSTSAIRSLFARPFAASGSSASGPAEPPDLRRCKSFSCGRGGDALAAAAAAAAARADEPQRRSCDVRGRSTLWALFHQDDRDRVRDGTAFGAFPASSSTAAAALAAEVQLPPQAPCIPDDFLDEDIPVVMESDEIIPVVEEEPVVAMDISGEVEAEGNVTREGKNIKDHIDLESSQNKKASPKDLKEIAGSFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRCRLRGEAGSELAGGRRSCDTDPRFSLDAARMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPILSVLEDTPTDIVERSDGQIPVEDDFDPEPPGGSLQTRDYYLDSSSRRRRSLERSSSVRRPSFEVPDPRPVTAAVNDKASPIGGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPAKKPRRWRKAWSLWGLIHRRAAGRRGGGPSDIADRSFSEPWPDLRVRGANPKMQRCNSNLSARSSFSSNSGGLGSSRRSYVDASGNVRRREEPHAQAPPLERNRSARYSPGRAAADNGMLRFYLTPMRSGSARPRGGGLPSKAGPGRPLATQSFARSVLRLY >PAN33054 pep chromosome:PHallii_v3.1:5:59235962:59240750:1 gene:PAHAL_5G536700 transcript:PAN33054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPASHPLLYACVLRDTHLVAELPTDADDLPPLAAALVAAAPPHHRHLTHSAAGRAHALLLAPPLALAAVSREPQLPAAQLLLFLRRLRCLPEDRMRDEMPRLAMRLPLPTDDEAALAREAHDVAAAEAEAEEAARRDAELAARRTPKRDRHRHRHGGAAAWAWRRQLWIVILVDLVLLAVLFAAWLAVCRGFSCIGR >PVH38659 pep chromosome:PHallii_v3.1:5:29544423:29544917:-1 gene:PAHAL_5G318700 transcript:PVH38659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQECHMEDIMDTSLGWQTRAVRYCTNALYDMERSIEPWRQLGLGGGGDPGCRALVKTQPHPLADVELQIMMKLVVGSLHDILRQQQMLPDFSKELITVLQLLVDHDNPRQPRLVGHQGWWSTTVDHLEGRRLERRLEVLKQYSAHGNQRSQLRGRSPARHRK >PAN28240 pep chromosome:PHallii_v3.1:5:8388672:8393868:-1 gene:PAHAL_5G139800 transcript:PAN28240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRLMKGSSLKRLLAQRSSADLCHKLRAFSSTVSISDLDEKDDMGGKGDDEFKGPRKNKEHQFRGVYRAIICGKVGRVPVQKILSNGHTVTVFTVGTGGMFDQRIVDDNLPMPAQWHRIAVHNEELGAYAVQKLVKNAAVYVEGDIETRVYNDRVNDQVKNIPEICLRRDGKICLLQSGESDVSKSLDELREGLF >PAN29289 pep chromosome:PHallii_v3.1:5:13103119:13106407:-1 gene:PAHAL_5G214400 transcript:PAN29289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSTVLSAHLRTRPRFPSLVPARATGLRDKTASSPSGWRFRVRSNACFLLAVANQPAMGSSGGIRFRRRVGLVLLLLVVASLGGTARAREAQPLPEAAAAVGTRRAPERHGLALDFYAKTCPAVDQIVANVTAARYRDFPAAGPAVLRLFHHDCFVEGCDASILIAPTASAAAAAPKVERDMEENRNLAQEAFDTVELAKAAVESRCPGVVSCADVLALAARDYVQQAGGPYYAVKKGRKDSKVSLAGKVRGSLPRANSTVDELLRVFAGKGLGAADLVALSGAHTVGFAHCVHVLGRIYDFRGTRRPDPLMDARLVKALRMSCPSSGGSARVVVPFDVSTPFQFDHAYYANLQARLGLLGSDQALFLDPRTRPLVQELAGNKTRFFQAFVASMDRMGAIRIKKGRKGEVRKVCSQHLLPV >PVH38547 pep chromosome:PHallii_v3.1:5:20564130:20564536:1 gene:PAHAL_5G286300 transcript:PVH38547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRHIFLDSYFCPVCIEFVEETISHLFFDCPFSQACWTFLGISWDFDIPFLDMIIQARQAFASISFREVVMIAYWIYFFDDLKWVTLRAGPLLGEKLNCFMSSLC >PAN32059 pep chromosome:PHallii_v3.1:5:54291092:54292550:1 gene:PAHAL_5G459800 transcript:PAN32059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSDTCAIRPCLQWIRSPDAQGNATVFLAKFYGRAGLINLITAGPENVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQSAVESVLRGMPIAQPPPAATAVPPLRTCDIRHVARRQGQADQGAVAAAALHRMANSSRGQFKRSGAHRSAAGCDSAIELVFSQPSAAMLVDVRQAQPLNWAPRQPSHEYSGSHDTVPETDSNASVDTVEVSHVSQSEPEPPRESDERADGLDLTLGLSPTVHKTEPSDFDDVQPCHRGEPVKLGLAMADSRVR >PAN33034 pep chromosome:PHallii_v3.1:5:58605719:58606237:-1 gene:PAHAL_5G529400 transcript:PAN33034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLWKTQTTSPKTTSRVSSCTQSCLWVRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN27210 pep chromosome:PHallii_v3.1:5:4119360:4121586:1 gene:PAHAL_5G066700 transcript:PAN27210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEIDLYKFDPWQLPSLAQYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRTARKKNSLRLDDWVLCRIYNKKGGLEKPPVAAGDRKPALAAGPAAVGSPPEQKPFVAAPGGLPPAFADLAAYYDRPSDSMPRLHADSSCSEQVLSPEQQFACDREVQSQPKISEWERTFASDPVNPAGSMLDPTGHGGAGAGLGGDPLLQDILMYWGKPF >PAN30857 pep chromosome:PHallii_v3.1:5:33180454:33181638:1 gene:PAHAL_5G330000 transcript:PAN30857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPAILIQMPSSQTNATAPPTPKDEPPTMPMAERSGTTTDKVMASASNLAQLLPTGTVLAFQALSPSFTNHGNCSSSNQWLTAVLVGVLAVFSLFFSFTDSVVGCDRKLYYGVATPHGFNVFNFSGEEEKREWADELQKLRLRPLDYVHAFFTAVVFLTVAFSDVGLQRCFFPNADANTNELLKNLPLGTAFLASFVFLIFPTKRKGIGYSDTTPRQKA >PAN27497 pep chromosome:PHallii_v3.1:5:5217006:5220265:-1 gene:PAHAL_5G084700 transcript:PAN27497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNNSILVNRVLASVLTEDEAAVSYLASSSHGGPQFEHNLVAPSSMQAGGAQFMDAPLDVSSLVASIGMTPAGFEMPEGAIVASGYNTLGGVPIDVEEIPQPQTGSNDKPTSFKGTWTEEEDSVLKDMVTQHGERKWSVISQSLPGRIGKQCRERWINHLRPDIRQNDIWTEEDDKMLIGAHKYFGNRWSSIARFLPGRSENAVKNHWNATRRSLKAKRRLKKKKSEQQVPPGQLSVLEEYIRSLPPASESAAPPPAASPPLQGPAYSGPIVPEAAHPPAPEMEMNFNAANPAGPPLSRHLPGMINHNMPQLPDLNISCDPQEAGYMSYAMYAPSPAPQLQLVTQDPQQATFSWFPFVEYLTALNTGLAAGPSYYAGGSSSNAGASGYYSGAGAGYYYSEAGPSNAGGSGGEPAGDTGDVVELASREFLTPSNDEATLDLTRFE >PVH38597 pep chromosome:PHallii_v3.1:5:22751425:22751589:-1 gene:PAHAL_5G298100 transcript:PVH38597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGLLRCSVPSRIPCLQHSPAGLLLPGCSCYPAKSQTQPHFASSPDTAPVRSG >PVH38665 pep chromosome:PHallii_v3.1:5:30408940:30413062:-1 gene:PAHAL_5G320500 transcript:PVH38665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESDGDSAQSEGSSFSDDQDLKRELYKEVLLDKMKIKSVTKSKDAFTRYSVTTFSSILDSLNTSTEKSYRELWFRVTADFDKCFVPNKFVKWVANVVDYKSGNIVVDGKIILLIKESIHCVLGIPIGGNSFPSNTACGKEVVLNKFQKNSIPSVKFFANNLEKGEELSDEDVFICFIIVPLSSFLCPNSSITSSPKHFGIFADIARVKDFDRSGYVLDDWLLDSIKLFKKSKSSRVKDNRTLGGCLYYLAVIYLDFVDFGPRRLPNTLPHICVWKGNMIKTYSDLDMKNNGSYSFRPVLDLATLATQRTSIFLAPHPPTSSLEKNSPLNGPPTQKDSEIHPHQLPHNDLIPSSSNYNSSALNQEHVNRILEKLAKTDPFIRSMKAKMASSTPPQSPPNAPRSVMNPLQDITNVDVSGSQHTPKSPPSSRSCDKQRDVILLQDDVEFVPDSFSPQLHCGDRRVVVEKESLPRVTPKLSKKREASTSSQNVTFSSISKVLDLSKSPEVEVLGQTSFSQSIRDMTRRFDHIYNKRLRTSQVPCLPPYDQGAPGLHDLGLGNLYLFCQPIILETVQLVVKCQYMVLEGL >PAN33012 pep chromosome:PHallii_v3.1:5:58576812:58579366:-1 gene:PAHAL_5G529100 transcript:PAN33012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSFLKYLRGGVVAGAQRAPVAATTIAASACEDGGGGGGGACGGGDVEDDASFFDLEFAVPGDESAASDAEEERVEFNFAVAGEDVASGGGEVVAVDAVAAPTGAETGDGKDGKEAEAAEAAPAPPPASLLRPATKFRVLLLKLRKPKVAVPAEGNGGAAAPKQTSRFLIKFRVDDAPLASLFTRDNSSRTSDAGACADRPAAAAQHPQDASAAITAEERRFAKEVVLKYLSKIKPLYVKVSRRYGERLRFAGASEGEETDAEPDLPAPFPSPAPSSQPPAAATAPQPVVVACGVRAPRAGVPAGLKQVCKRLGKSRSASSAVAAAPSPPPPSPGAPQRRDDSLLQLQDGIQSAIAHCKRSFNASKGSESPLLRTVTAGEGPGGGRAADSSDGGDGA >PVH38564 pep chromosome:PHallii_v3.1:5:21144368:21146587:-1 gene:PAHAL_5G289800 transcript:PVH38564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRPPSQSYTRQGGGEPLRPVPLEVARCLCVPEAELAPPPPPSLPSGQTCHSCGKNRPVLACARRRRHPGRLRARHPRHVLVPQPGALRGLPRLRPSVVEEDSPFFPSPRQTSHCFRAKWSLPPMVTESEESCGSSASMTLRRPIASSIDIVERCTRDLTERTIYLYFGGAQRKSFSIALSSS >PAN26564 pep chromosome:PHallii_v3.1:5:1429346:1432097:-1 gene:PAHAL_5G022000 transcript:PAN26564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCKDVTGTQFSTQKFIEKVNAVIKQYNGKLVEELEVKLEFDIKLAEHLYSWVSFALSSRAKNLALDLLPANFQLHPDLYRFPFELCDGGSVSRLQKIQLSFISFEPPPQFSGFPNLKKLDLHVVRATQIDLPNMLANCS >PAN29608 pep chromosome:PHallii_v3.1:5:14927721:14933172:-1 gene:PAHAL_5G237900 transcript:PAN29608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDLESKAKEAFVDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACIKLEEYQTAKAALELGSSYANGDSRFTRLLKECEERIAEEASQAPVKNVEPSATATVDDKEDVANMENTQPVVEPPSKPKYRHDFYNSATDVVLTIFAKGVPADSVVVDFGEQMLSVSIEVPGEEPYHFQPRLFAKIIPEKCKYQVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKISTPAETAPRPSYPSSKSKKDWDKLEAEVKKEEKEEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >PAN27739 pep chromosome:PHallii_v3.1:5:6275604:6280118:-1 gene:PAHAL_5G103100 transcript:PAN27739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCMQEWPEPVVRVQALAESGLSAIPRCYVKQPCDRPVPPPPAAEAQLEKDLSDDVSIPVVDLGELLADGAAVGLGSAVTEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRSLAEKQRYANSPRTYEGYGSRLGVQKGAVLDWGDYFFLHLAPEAAKSPPKFWPANPGNCKEVSEEYGRSVVRLCELLMRVLSVSLGLEEAHLQRAFGGAGCGATLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRGAGEWVTVQPVRDAFIVNVGDQVQILSNSLYKSVEHRVIVNAREERISLALFYNPKGDVPISPAPELAAAAGGGPAAPLYPPMTFDEYRLYIRKKGANGKAQIEALKGQASPEN >PVH37453 pep chromosome:PHallii_v3.1:5:556484:557829:1 gene:PAHAL_5G007600 transcript:PVH37453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKHWKIRRQQPAQAQPAQGKEAMQSSEAIAVINWWQWSGHPSTSSYKLVVQAVLVCLQKGCRAVLNGNTVIRKAC >PAN28917 pep chromosome:PHallii_v3.1:5:11231076:11231904:1 gene:PAHAL_5G186200 transcript:PAN28917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAAAVAVLAVVALAVVLAMDAAPRAEAGQNCICECMKLCIRTRIPSMDRQCSGKCRENACTKSCEEACTLKGYPRLPKEGISICELEPLTTDETHMLH >PAN27882 pep chromosome:PHallii_v3.1:5:6949556:6953074:1 gene:PAHAL_5G114900 transcript:PAN27882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLQGQSTETMVAVAVAVVAVAAGGAFLLLRSGKPKGCLDPENFKKFKLVEKKQISHNVAKFKFALPTPTSALGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDNTKVHLIYANVTYEDILLKEELDNMAKTYPDRFKIYYVLNQPPENWNGGVGFVSKEMIQSHCPAPADDIQILRCGPPPMNKAMAAHLDELSYTKEMQFQF >PVH39246 pep chromosome:PHallii_v3.1:5:54457133:54457654:1 gene:PAHAL_5G462600 transcript:PVH39246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATAAIDAPTPTSTIRPSPSLEDPSNQVRERLLGPQRSAPLPPRRAAPRATHPRGRRWPPGSWPTMARQPRTALRPSSPPISVLRAKRQRGPRLRAIAARRRPPARPQDRTCGGGVAVAAGQYRDAQEVFHAAGVAYRGSLDEAEHHRIASVLRPMGEKGERERESKKTRAF >PAN31512 pep chromosome:PHallii_v3.1:5:51947172:51948560:1 gene:PAHAL_5G424000 transcript:PAN31512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVNGSYDLHVRVVSRRLVKASDSSIKPHVLAVSNLDLIPQTIQTSMFCIYPRPPNGDFNAVVETFEAGLPSFLNHFFPFAGRIATNPSSGLPEFHCNNRGAELVVGEAGVALASLDYGTMTASARRVQQPYGEGMALSVQLVSFACGGFAVAWCTNHVLVDGSALSMLVSAWSELARSGTLSAASRPNHDRSVFRPRATPSYSASLNKAFTPLDAERQVNVLTAEQSSVERLYYIEASDVARLREAASWDDGGERATRFRAVSAYLWKALAGVVGAADARCRMQWWVDGRRRLTEPPELRAAMRSYIGNVTTSAIREAGVDDVRRMALPDVAAMVGEATAAPVYDEHFQELVDWVEEHKADRYVETASLGLGSPTLSVTAFTSFPVDTDFGLGHAAMAMPTTSPTARLCSGYVQITARPDGDGSWIASAFLWPRLAAALESDEPCVFKPLTAEYLGLLAP >PVH37703 pep chromosome:PHallii_v3.1:5:3930093:3931180:1 gene:PAHAL_5G062900 transcript:PVH37703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFIYKAIKERRTRSYSRCSSTGSAHAGRFGARVEDGGAWDGKQWEAAARGKVPAGSEMAHRRHRSLEELAGEVGASPVWRQPGALPRGRSMRIFSCINGM >PAN26410 pep chromosome:PHallii_v3.1:5:719284:723438:1 gene:PAHAL_5G010700 transcript:PAN26410 gene_biotype:protein_coding transcript_biotype:protein_coding description:CH1 [Source:Projected from Arabidopsis thaliana (AT1G44446) UniProtKB/TrEMBL;Acc:A0A178W6L1] MEIASSSGLLSTSPLQTRKIIFRKKFRHNHLIFRFSFLSLQPCSIAAEASSISMNTVASLSLMPHLLIKPSFTCFSRKGVGRYGGIKVYAVLREDGAEFAKNNNLEALFHVDDPGPRVPIKKGKFLDVNEALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELDELQEELEKAHNQVHLSEARVSSALDKLAHMETLVNDRLLPDGASSTSTAESTSVVPSTSSTTHVRTKKQPPRSLNVSGPVKPYNPSLRNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIQSLPCFEQEGMVWIWPGDDPPKATIPSLLPPSGFTVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHIPFMHLLWSHFAEKVSLVL >PAN26411 pep chromosome:PHallii_v3.1:5:719284:724137:1 gene:PAHAL_5G010700 transcript:PAN26411 gene_biotype:protein_coding transcript_biotype:protein_coding description:CH1 [Source:Projected from Arabidopsis thaliana (AT1G44446) UniProtKB/TrEMBL;Acc:A0A178W6L1] MEIASSSGLLSTSPLQTRKIIFRKKFRHNHLIFRFSFLSLQPCSIAAEASSISMNTVASLSLMPHLLIKPSFTCFSRKGVGRYGGIKVYAVLREDGAEFAKNNNLEALFHVDDPGPRVPIKKGKFLDVNEALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELDELQEELEKAHNQVHLSEARVSSALDKLAHMETLVNDRLLPDGASSTSTAESTSVVPSTSSTTHVRTKKQPPRSLNVSGPVKPYNPSLRNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIQSLPCFEQEGMVWIWPGDDPPKATIPSLLPPSGFTVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHIPFMHLLWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAVERGSDRLPFSNQAESGS >PVH37755 pep chromosome:PHallii_v3.1:5:4878007:4881563:1 gene:PAHAL_5G079000 transcript:PVH37755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGGGSGSMHVLMLPWLAFGHILPFTELAKRIARQGHRVTLLSTPRNTRRLIRIPPDLAGLVRVVDVRLPRVERLPEGAEASIDLPSDDLRPYLRVAYDDAFSHTLSGILQEPPGPGRPDWVLIDYAAYWAPAAAARHGVPCAFLSLFGAAALGFYGPPEALMGRGEHARTKLEDLTVVPGYVPFTTTVAYRRFEAREIFEPGLVPDDSGVSEGYRFGVSIRESQLVGIRSSAEFEPEWLRVLGELYQKPVIPVGLFPPPPTQEVAGHEGTLQWLDRQAPRSVVYAAFGSEAKLTSAQLQTIALGLEDSGLPFLWAFRPLADDETGEATAGLPEGFEERVNSRGLVCRGWVPQARLLAHGSVGGFLTHAGWNSITEGLARGVRLVLLPLMFDQGLNSRLLVEKKIGVEVERDEDDGLFAPKDIAAALRRVIVEDEGEEFGTKVKELSEVFGNDEMNDQCVREFLRCLSEYGTASSNRDSSISGLPPTQLPLLRPQSPPPPAPYALPRHRPAAALRPLRLLRPTPSRATVRPPPSVRSASFALRPPAPPSAPPPSVRAGPRRPAAPSVLRPRLTRGAPPSAAGRPAARLRPPPDHAAPPSAIVEHADLALSGPPGSWPPRASHSVRPADSSVEIQGGGWLMDYGLVEEEIQGWWTTAGCWWTTRPSPELPVQVSNQEPNQHKQATPDSRFQFVLSGFNEAKQVLGADSSAYLIPEEAKQVLE >PAN27504 pep chromosome:PHallii_v3.1:5:5246009:5249750:1 gene:PAHAL_5G085200 transcript:PAN27504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASRRFKPIEECCSEGRSEQTVAADLDGTLLISRSAFPYYLLVALEAGSLLRAVLLLLSVPFVYATYIFFSESLAISTLVYISVAGLKVRSIEMVARSVLPRFYAGDVHPESWRVFSSFGKRYIITASPRIMVEPFARAFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKKQAVVRELGDAVPDVGMGDRETDFDFMSICKEAYLVTSRKKYSPVPRNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALMRVYINLPLPERIVYYTYKLMGIRLIVKGTPPPPPKKGHPGVLFVCNHRTVLDPIEVAVALRRKVSCVTYSISKFSELISPIKAVALSRERDKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEVTFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTTITRKEKYGILAGTDGRVPSKNKEKEKN >PVH38368 pep chromosome:PHallii_v3.1:5:15382148:15388438:1 gene:PAHAL_5G244500 transcript:PVH38368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGEYLGKTVQVVPHITNAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEDNVKEKLSQFCHVPAVNIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLDRVESIAREPKLDEWVARASIFDALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEAPDAYKAAWDLLKGADGILVPGGFGDRGVQGKILAAKYAREKNVPYLGICLGMQIAVVEFARHVMNLTDANSTEFNPDTKTPCVIFMPEGSKTHMGATMRLGSRRTFFKVADCKSAKLYGNVTYVDERHRHRYEVNPDMVPEFENAGLQFVGKDDTGRRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLVAASSGQLDRVLQDCCNGHVVPAKPILSNGSYNPTVHQNGHPKKLANGLSNGTYYANGNGVHA >PAN26648 pep chromosome:PHallii_v3.1:5:1839499:1840892:1 gene:PAHAL_5G028400 transcript:PAN26648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRKQRQHHHFVLVHGAFHGAWCWYKVATALSSAGHRVTALDMAACGARPGRAEEVPSFEEYSQPLLDAVAALPPEEKAVLVGHSFGGQCLALAMERYPDRVSVAVFVSAAMPAAGKPMNLVFQQVLHEQRPADFYMDCKIETSGDPEHPVETLRLGPRFLEQRMYQSVSRL >PVH38002 pep chromosome:PHallii_v3.1:5:8870234:8874817:1 gene:PAHAL_5G147700 transcript:PVH38002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MPHQPTRPPPPVRQSVHQTTTLHNATRRGAQIADRRSEASMAEEAAQEQPRPTAPRPVRCIVKLGGAAITNKGELESINEESLRSACAQLRQAMSESEGDGAPEKVLGMDWSKRHGDPADPAVDAEWIAGMAGLGLDTNFIVVHGAGSFGHFQASRSAVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTSQRKLASANASQIIQSLHAGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLGPKYVVFLTDVHGVYDRPPTDPNAVLLKEIEVDDKGIWSIVKPALLQGNNKGVEISVAAHDTTGGMETKILEAAVIARLGIDVYITKAGTEHSLRALKGDVSSDSEDWRGTIIRASK >PAN29186 pep chromosome:PHallii_v3.1:5:12610988:12624242:1 gene:PAHAL_5G207100 transcript:PAN29186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MREAEAPLITEAEGEEGRGFRGSTSAGGGCSGAGRGGRQYQRRSDALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCQKYTPHTCDQRDLYFLGQLPYLNKTESLIYEGLTLVILVLDVFYPLSYEGLHLFWKNSMNKLKVFLLFILACDMLVFMFSPGPFRIAPYLRVAFLIMTIRELRMCAVTLVGIVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFTSYGTTLYQMFVLFTTSNNPDVWVPAYKSSRWYALFIVIYVLLGVYFLTNLILAVIYDSFKEQLAKQVAQMDFIRKTILQKAFDLIDTNGQGYLNKEQCLSLLDELNKYRSLPRTSREDFELIFTELDQSGDFKVTPEEFTDLCNTIAIKFQKEPPPSYLEKYPSFYHSPQCERLKSFVRSHRFEYIVVFVLLMNLIAVIIETTLDIENSSSQKVWQEVEFVFGWIYVAEMALKIFSLGFGAYWMEGQNKFDFVITWTIFIGETLTFIFPSKLPFLSNGEWIRYLLLGRMLRLTRILLQVRRFRAFVATFFTLMSSLMPYLGIVFCILCVYCSIGLQIFGGIVFAGNPKLEETDLFGNDYLLFNFNDYPSGMVTLFNLLVMGNWQIWMESYAHLTGSSWSLVYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKAGESDMQDPTPQGRNKRRSMRVKTKGTMVDILLHHMLSNELDGSQNTD >PVH39059 pep chromosome:PHallii_v3.1:5:52052504:52052662:-1 gene:PAHAL_5G425800 transcript:PVH39059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTVDGASRVPSDEREVQGSSRDRKILQAAAGAAAKAMHNCNFSSANLQPS >PAN32863 pep chromosome:PHallii_v3.1:5:57889692:57891369:1 gene:PAHAL_5G517300 transcript:PAN32863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGAASRTAPRAAAAPLVTRGGASRPSGAGRRCPAAPPPVPHRRRAEHAPVLRSGGRAAGHLAQGSPPFGARGARRLPHRRPPPPPRSLLHPNSSPWLALRLTSARRSRRGHAPVLCGPDAAGAAPGSANSRASWLCLSTAAPLIRWVPRSRRSQYQTKPLSPTTQRLPAALSVFVSSLRGAGRLHEEPLIRLQARLQRQSGRAEQLGNVRAPKHFWDWRCDH >PAN33126 pep chromosome:PHallii_v3.1:5:59431585:59434067:1 gene:PAHAL_5G539400 transcript:PAN33126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAASSIISNPLAPLTNLQQPASHQQAAEQQPPLPPRAKKKRNLPGTPDPDAEVIALSPRTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLSAAAAAPSAATSLCGQSSSSYLFAGLAVRPNMMLPPAPAAPHLKPAGLSLWGGNTLGDIGGGVLAGPGGGAPVPPHLYADLLARTSDAAVQLGLGWLYGNGKLSSSNNASELTTATTEAESVPSVFSGQQHAKPAAPTDMSATALLQKAAQMGAVTSGNAMSDDAGAATLFQQSANNNPTGNVAYDDVLSAVRHAGLIKDAAVGREETRDFLGVGVQPLCSSSLPLQGWI >PAN27470 pep chromosome:PHallii_v3.1:5:5100419:5103152:1 gene:PAHAL_5G083000 transcript:PAN27470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPGALALHEAARALAASVQPQVAAVLFVSAACTVALAALLAVLRLRPPWWCACPVCEAYLTASWAGEFDNLCDWYAHLLRASPAQTVHVHVLRNVLTANPATVDHMLRGRFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAVRVVASELRSRLIPLLHSASREGRGRVLDLQDVFRRFAFDCICKISFGLDPGCLELSMPVSSFENAFDVASTLSARRATVPMQVIWRLKRFFNLGDERKLRDAVRLVDRLAEEVIRQRRKLGGAASGSDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPEVAAAIRDEVARVAGDDDRLTASTFNKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDKLPDGTAVAKGTRVTYHAYAMGRMESVWGPDCGEFRPERWLRGGRFVPESPYRYPVFQGGARVCIGKELALMEMKAVIVAVVRSFDVKAIERSSRRPRFAPGLTATFAGGVPVRVRRRARVSGHGPPI >PAN29291 pep chromosome:PHallii_v3.1:5:13112591:13115750:1 gene:PAHAL_5G214600 transcript:PAN29291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEMPDADGKSRGADSSSASYGYPPSAPPQPQHQYGTFGPPSGGSGAFPQPAIGVPQPAPPPGMQHYPQLPPASYAVYPPPPQQPYSAAAPYYAQGYQAVQGYIPVVEGRPVRMRHLPCCGLGMGWFLFIIGFFLAAIPWYVGAFVLICVRVHDYREKPGYVACTIAASLAAIAVLLGATKGADVW >PVH39452 pep chromosome:PHallii_v3.1:5:56866351:56868822:-1 gene:PAHAL_5G503300 transcript:PVH39452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVDLWNGILLYDVFRKDPKLRYVPMPPQLYPSRKPNADPSLTRDIAIVKDRIKLVQVLMKTKESHGNYYYDSWVATTWSRLANFPQEDSWSQDFKLEASDINSDNNPMHFELLKRLPDDEGKPRMSLERLFIGYPTISLDDNDIVYFMTMVENKAWVIAVDMRYKMLKGVAEFGSERTFGITQSRISEHLNMSPETVGNLKRPGMELLGSFSKKHF >PAN28991 pep chromosome:PHallii_v3.1:5:11590004:11592224:-1 gene:PAHAL_5G192000 transcript:PAN28991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLVESLPPLPAILCTVAALAVGAFTVYFYGPSWRVRRVPGPLALPLIGHLPLLARHGPAVFGVLAKRYGPIYRFHLGRQPLVMVADPDLCREVGIKKFKSIPNRSMPTPIQSSPVHHKGLFFTRDSRWQSMRNVIVSIYQPSHLASLIPVIQPYVERAGNLLRHGEEITFSDLTLKLFSDTIGQVAFGVDFGLTKGATTTTPADDGSVGSTAATDFIRKHFYATTSLKMDLSGSLSIVLGQFVPFLQEPARQLLMRVPGSADRRMEETNMAMSGLLDGIVAERAAQADRGEKNFLSVLLNARESTEAMKKLFTPDYVSALTYEHLLAGSVTMSFTLSSLVYLVAMHPEVEEKLLREIDAFGPDDVVPSAEELQTKFPYVDQVLKETMRFFTVSPLIAREASEDVEIGGYLLPKGTWLWLAPGVLAKDPKQFPDPDVFRPERFDPESEECKRRHPYAFIPFGIGPRACIGQKFSVQQLKLVIIHLYRRYVFRHSPRMEFPLQFQFSIVVNFKHGVKLQVIDRKTLRNR >PVH38229 pep chromosome:PHallii_v3.1:5:12412906:12415608:1 gene:PAHAL_5G204000 transcript:PVH38229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWKQNGKRRRYRLTLRVMMKSNKSTTLSNCRWVGMASLSPIGYTSYMALGRNLSVRYVETTVIGDEGPMSVISKNGVISMGCDALVSLILRISMKSHRSRRQKGSGKKYKRVKG >PAN31298 pep chromosome:PHallii_v3.1:5:50840612:50843398:-1 gene:PAHAL_5G410600 transcript:PAN31298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHYQTLGLRRDATKAEVKAAFRRSALRDHPDRHASSTDAAARADAARRFRQASDAYDVLSDDRRRAEYDLRLRGSASSYARTSSSGWASSSSAAYGYGQGGGSWRRTPPGAGAGASAGSIDWEFLLKAVTRRGFLINLGFASVLLSGAAFLDGSILEIWKMNNSGKSFDDAMESIEKVKRTHKGDR >PAN32109 pep chromosome:PHallii_v3.1:5:54616634:54617679:-1 gene:PAHAL_5G464100 transcript:PAN32109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGTEKQSHAAAAAEAQKQRPPAVSAEMQKVFSRFDADGDGRISPSELAAVSRAISPPPSSSHGGREVAAMMDELDADRDGYVDLGEFAAFHARAGRGDDGELEAELRAAFDVYDVNGDGRITAAELGKVLAQIGEGCGAEECERMIASVDVDGDGCVGFEEFKKMMAPQGSAAAAPGGDVPDKAKKE >PAN32671 pep chromosome:PHallii_v3.1:5:56894694:56895950:1 gene:PAHAL_5G503500 transcript:PAN32671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSVILSSSLCCCFMMGNDCKASNIDQTFIREATYDQKQALLALPKIGVLSGCVISLL >PVH38733 pep chromosome:PHallii_v3.1:5:35010855:35011805:-1 gene:PAHAL_5G336600 transcript:PVH38733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHATWAYSCPGRATRARAPTAPPAPSARAPLNTCLYRQLPYTIACTLARAVAAPPAELRSCFGRAAPHSPSALAPRRFAARDLARMLAPRATLAASHDTRSLRSIRAAFTPCCRAPALRTRASASARRWPAPSAALRLCSPRVRLPARSPGPHLQPLGLAPYAAPPAARASAPATACPPGSTCSRGCLRATPMRAAQSCCIPPAAACSSAAASRPTEPCLLRSARSACLRTPTRPPLQPPLAPPQRRAARSRTPLAADQAVRRFARPAREPSAARAVRAGPPGRAPAPRAAWGRRASTPAPTLPLRGRKRGAPR >PAN30489 pep chromosome:PHallii_v3.1:5:20533627:20537433:-1 gene:PAHAL_5G285700 transcript:PAN30489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQRSIAISPRCSLSESLHRVGGARRRCASCRSQSSSFAYSARLSPIVLKTYSAIDPSEPKNLDPSSSASRSGPHSATCHPSGTAGVMGASSTSSLRFLEKFVCWSTRDGEESPPFVICNDPLVKKELLSSGNQLTSDSSIALGKLRQKRLFLEQSGACCIVMPCQFLHAWHDEISQGCSVPFLHVGDCVANELKAANLKPVEYGSNVCVGVLATDDTLARKCYLDKLERQGFEVLCPDEASMQRTVLPSVDAFRKGDMEGARNLLRVSLQVLLVRAVNTVILASDDLVGILPDDDPLLKKCIDPLDALVREAIVCTRIPRP >PAN31847 pep chromosome:PHallii_v3.1:5:53424419:53427327:-1 gene:PAHAL_5G447300 transcript:PAN31847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADHVRKDVAGGDGDDDRRSKVNGEDLEQPRGDQEPAADHVSRGLAIGHFIRELMVEGAASFLLVFWSAVAALMQEMHGTLSFPMVCLVVALTVGFVLWWLGPAHFNPAVTATFAAFGYLPWAKLPFYVVVQLAGSVLACLAVNGVMRPREEHFYGTAPMAGHTRLPFLMELLASAVLMVVIATASRGSNQTVGGLAIGAAVGTLGLVIGPVSGGSMNPIRTLGPAIVFGRYTSVWIYLVAPLAGMLLGALCNRAVRNSDAILAFFCGGWARAAARKTCRSVPVLTPHAIGAVASQQF >PVH39568 pep chromosome:PHallii_v3.1:5:59317529:59319862:1 gene:PAHAL_5G537800 transcript:PVH39568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVAGAGEPSNDKEHENASVEILFAGVVPPPWWRQVTVRSVVASVFLGTMFSFMAMRMGMTIGLVPSFNMAASMVSFFVLNSWTRLLGRCGVPTAAFNRQENVVVQTCVIACSTLAFYGGFMSFLPAMSETVAKAAGGPGTGNSVRTLPMSKIIAFSFLTGFSSLFITLPLTKVMILDYKLLYPSGSAIAGLVNSFHTPKGAATATVQVAALLRSLGGSFSWAAFQWLYTGGDGCGFQAFPLFGLEAYKKRFYFDFSPSLVGIGIICPCIVNFSLLFGAVVSSGILWPILQAKQGTWYTDPAPSSLRGLNGYKVPMGVSLVLGDCLFQLTTVSIRTARSFHGRSQGGGDSHLQDPAASFDERRRIHNFLSDRISTSFALAGYAILAAIASVFLPGMFPQVRFYHVALCYAVSPLMAFCSSYASGLTDWSLGTIYGKLAIFIFGAWVGEASGGTIAGLLAGGLVVVIIGNSSELMHDFKSAYLTLTSPLSMFASQVIGTALGCIINPLLYLAFQKMAGKENLGAPGSPYPAPMAMAYRGIANISVEGISSLPKHSVMLCIPCFCMALLVDGLAAAAAANKWRINGYIPNIMAMTIPFFVGPTFTIDMCLGSLILIFWRRADKQSANLLSIVVASGLICGDGLWTLPSSLLAMFKVQPPICMKFLTAYQNEEMQRHFVPNLTTSQ >PAN28622 pep chromosome:PHallii_v3.1:5:10104051:10110983:1 gene:PAHAL_5G166600 transcript:PAN28622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHIILPKEEEEEGAEAGLGVAVEADHDSPAQRPFQEHAPGKPALPFSATCVRISRDSYPNLRALRNASALDLHDDDAAFVKLEEGDYGYVLDDVPHLTDYLHDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNNVFGIQNGYKGFYSSNYLPITPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYVDRRLKENNHMVIVVAEGAGQDLIAQSIPAADQQDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVRITDRMWARLLSSTNQPSFLSKEDIDAAREADKAANNRKNGENVKKQPASLLANGEK >PVH38803 pep chromosome:PHallii_v3.1:5:39977108:39983472:1 gene:PAHAL_5G355900 transcript:PVH38803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHYGGGHSISDGTPCKSGSIEVSSPTFAPFICEAGRPCDMCLRYYQSKTAATAKATEMKLKASASQSGASSGSPEESQKPLSESSELMSISSSSDASLSGSQANLPQGMETKECGGVDHKTEERCHHGKRPMLLDAWMMLMLIRLLCWDGSNTGRHYLAYPLKGKSNMCDFVSWVDDKWPPMFWEVVGKFKKQADDLQVDLLETIQIRNDVVEEKEALLGEKQELLLEKQRLEREISMRTRLAQSTCTTLQNRISNDVHEKKMLFGAIMCMIGLVAILFGIILKK >PAN27308 pep chromosome:PHallii_v3.1:5:4453022:4458865:-1 gene:PAHAL_5G072200 transcript:PAN27308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPDLIAKAKKGGLDVIQTYVFWNAHEPVQGQYNFEGRYDLVKFIREIHAQGLYVSLRLGPFVESEWKYGGLPFWLRGIPNITFRCDNEAFKIENEYKLVEAAFHSKGPPYVRWAAAMAVNLQTGVPWMMCKQDDAPDPIINTCNGLICGETFLGPNSPNKPALWTENWTSRYPVYGQDRRSRSAADLAFAVALFILRKKGSFVNYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWAHLRELHAAVKQSAEPLLWGAYSNHSFGQQQEGHVFATESECVAFLVNFDKHKISTIQFGEEVFQLAPKSISILSQCRELIFQTGKINAQHGLRTAQVVQSINHVDRWKFFKEPIPTVPSKMSHVGNQLHEHLSTTKDETDYLWYLSAHNYKRNGNGQLVLNVEAHAHILHAFINDDYVGSVHGSHDKPGNIVLKAPISLREGRNSISLLCVMVGSPDSGAYMERRTFGVQRASIKRRQQKSHSTGIEHWKNQVGLSGETNKIYTSEGSSHAEWSTIDKSMHLPLIWYKVHKTYYA >PAN27295 pep chromosome:PHallii_v3.1:5:4453387:4460084:-1 gene:PAHAL_5G072200 transcript:PAN27295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAGDATVAAVVVLAALAALAAAGTGRARGTEEERGNRTAGRREVTYDGRALILDGARRMLFSGDMHYPRSTPEMWPDLIAKAKKGGLDVIQTYVFWNAHEPVQGQYNFEGRYDLVKFIREIHAQGLYVSLRLGPFVESEWKYGGLPFWLRGIPNITFRCDNEAFKIENEYKLVEAAFHSKGPPYVRWAAAMAVNLQTGVPWMMCKQDDAPDPIINTCNGLICGETFLGPNSPNKPALWTENWTSRYPVYGQDRRSRSAADLAFAVALFILRKKGSFVNYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWAHLRELHAAVKQSAEPLLWGAYSNHSFGQQQEGHVFATESECVAFLVNFDKHKISTIQFGEEVFQLAPKSISILSQCRELIFQTGKINAQHGLRTAQVVQSINHVDRWKFFKEPIPTVPSKMSHVGNQLHEHLSTTKDETDYLWYLSAHNYKRNGNGQLVLNVEAHAHILHAFINDDYVGSVHGSHDKPGNIVLKAPISLREGRNSISLLCVMVGSPDSGAYMERRTFGVQRASIKRRQQKSHSTGIEHWKNQVGLSGETNKIYTSEGSSHAEWSTIDKSMHLPLIWYKTTFDTPWGNDPVTLNLSSMGKGEVWINGESIGRYWVSFKTPSGQPSQSLYHIPRYFLKTGENLLVLMEEIGGDPRQITVNTMSVTRIYSSVSETSTPSLLSREKHPAIHLRCQKGKHITDIEFASYGNSHEDCIESGKNCHGSCHAEMSEFVVKNACLGRRKCVVPVRAPKFGGDPCPGIEKSLSVVAICG >PVH39407 pep chromosome:PHallii_v3.1:5:56270585:56273468:-1 gene:PAHAL_5G494400 transcript:PVH39407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15930 [Source:Projected from Arabidopsis thaliana (AT3G15930) UniProtKB/Swiss-Prot;Acc:Q9LSB8] MLLRPRRARGLLDGIPRRGPAAVPGLPAACDRAPADGDALAAYAGMLACGVRPDAYTFPPLLKAVARRGGPAAASSSAPAAVHAHVVKFGLGRGAHAASALVAAYAAGGDGAAARAALLDGRGTPAAWNALISGHCRGKRFGDSRRAFVDMVRAGAAPTPVTYVSVLSACGKGRDLLLGVQVHKRVVESGVLPDLKVESALVGMYAECADMDSAWRLFEDMEVKNVVSWTSLVSGLARLGLVDRARELFDGMPERDAVSWTAMIDGYVQAARFREALGMFREMQYSNVRADEFTMVSVITACAQLGALEIGEWARVYMSRQGIRMDVFVGNALIDMYSKCGSVERALDVFNGMHSRDKFTWTAIILGLAVNGYGEEAIDMFHRMIRVSEAPDEVTFIGVLTACTHAGLVDKGQEFFHSMTETYKIAPNVVHYGCIVDLLGRAGKITEALETIDQMPVTPNSTIFGTLLAACRVHGNSEIGELVAERILALDPENSTAYILLSSIYAKANRWEDVRQLRQAIMEKGIKKEPGCSLIEMNSMIHEFVAGDRSHPMSNEIYSKLENIIADLKNVGYFPDVTEVFVEVAEEEKQKVIYWHSEKLAIAFALLSSEPNTVIRIVKNLRMCLDCHNAIKLISRLYGREVVARDRTRFHHFRDGLCSCKDYW >PAN31380 pep chromosome:PHallii_v3.1:5:50997566:51000739:1 gene:PAHAL_5G412700 transcript:PAN31380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTPGDQ >PAN29791 pep chromosome:PHallii_v3.1:5:15855466:15861351:1 gene:PAHAL_5G250200 transcript:PAN29791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRAPLAALAALLAVAALAPRAAAEPPPSERSALLAFLTATPHERRLGWNTSTPTCGWVGVTCDSSGSTVVEVRLPGVGIVGAIPPGTLGRLTNLRVLSLRSNRVLGSIPDDVLQLPNLKALFLQHNRLSGAIPPGIARLGGLERLVLAHNNLSGPIPFALNNLTALRVLRLDGNNLSGSIPSISIPALAVFNVSDNSLNGSIPKSLSHFPRDSFAGNLQLCGDPLPPCGSPFFPPAPAPGMSPAGGGPMPGSSKKRKLSGAAIAGIVVGAVVAGLLLLIAIVLCAVSRRRRGGAREGPKAATTSAAAAAAAGRGQPPPASGEGGGMTSSSKEDLGGGASGSAAAVAASAAGGAAGEQSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMEALGRVEHRNVLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGSRGSGRTPLDWEARMRSALSAARGLAHLHTAHNLVHGNVKASNVLLRPDPDAAALSDFSLHQLFAPSSTRAGGYRAPEVVDSRRLTFKSDVYSLGVLLLELLTGKSPAHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGAGHGGRTTTEESEGVRGTSEEERSRSGGTPPAAPTP >PAN28715 pep chromosome:PHallii_v3.1:5:10515862:10516853:1 gene:PAHAL_5G174100 transcript:PAN28715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGASRCAAAWAQAERRPFTEPIEIPGVAPGAREAWFREVEEEDGEVVPPHVLLARRRAAGAGASSVCSGQGRTLKGRDLRRVRDSVLRMTGFIES >PAN33064 pep chromosome:PHallii_v3.1:5:59178859:59180238:1 gene:PAHAL_5G536100 transcript:PAN33064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 50S ribosomal protein L40 [Source: Projected from Oryza sativa (Os01g0278900)] MAMALLLSPTVSFLSSPSAPRSPGLSAAAASVSYPAPRLQCKGLLFQQNPLNVTAPCASLAEKRLVLVHAATEGGEADAGQPEEPKPVTKIEEMPLESKQKMIMEQRARMKLAKKLRQRRKRLVRKRRLRKKGRWPPSKMKKLKNV >PAN32836 pep chromosome:PHallii_v3.1:5:57758357:57759973:1 gene:PAHAL_5G515500 transcript:PAN32836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGWLWLSAFAAVAALAGGAQGQGLSRGFYNATCPALQAVVRRGVARAVRREPRMGASILRLFFHDCFVNGCDASVLLDDVPGNFTGEKNAGPNANSLRGYEVIDAIKAQVEASCNATVSCADILALAARDAVNLLGGPSWTVPLGRRDTRNTSAGAANTNLPPPDAGLPTLLSMFRAKGLDARDLTALSGAHTVGRARCAVFRSHIYNDSAADTAFAAGLRASVCPAAGGDGNLAPLEPQAPDAFDNGYFRDLVARRVLLRSDQALYGAGNGTTDGLVRAYAANGTAFAADFAAAMVRLGGLAPAAGSGGEVRLNCRRVN >PAN31092 pep chromosome:PHallii_v3.1:5:49303772:49304976:-1 gene:PAHAL_5G396000 transcript:PAN31092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVSQCVASGAGARARPLVVGPDGSRTRVEEHTGVAELMIDAPGHVVARASGVTAERRVRAMAADELLRAGEVYLLVPAGRAGARLGDREVEAIVLLVSGKKKSRKSRPAGGKVFPEVNAVEDAVEGNEEGSLCAGKRAEDHHGLGPRQWRPALDTIYEA >PAN30862 pep chromosome:PHallii_v3.1:5:30299240:30301657:-1 gene:PAHAL_5G320100 transcript:PAN30862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVLASLAVLAFSCPGVAAAGDTVSARQPLRGNATVVSAQGKFELGLFSPRASGRFYLGIWYKNIPVQTVVWIANRASPLSGVASAELRVSPDDGNLELVGLSNSSASRGIVWSSNLSSSSSVSSSPGSNLAVMRDNGNLVLLDGGNSSNVLWQSFDHPTDTLVPEGWLGENKLTGEYQTLTSWSNAEDPAPGMFTCTVDPNGSSEFFFLWNGSRAYWRSGVWTGRVFANMPEAVNNVLFNQTYVETPAYRRITSVLYNNATITRMVLDFTGQIKQYIWVPYSQSWQFFWAAPTVQCDVYALCGAFGICSQRSQPPCQCPPGFTPAAEREWGLNDWSGGCHRSASLQCASNGSTDGFIEIPYMNLTDDSLAVSAQSKAKCESACLKNCSCEAYTFSGSGGCAVIYGGFRNVQQLYVDGGGSSSSLYVRLSDSELRRARGTNRKNGHLWLVLGIVLACLAALGASALAAWILLSRRKRQAEMGNQKESSLVVYSYGDLRAATKNFSERLGGGGFGAVYRGVVNRHAQVAVKKLEGLRQGDKQFRTEVNTLGLIQHVNLVRLLGFCSSRHDKLLVYEYMPNGSLDIYLFRSGFSPSWHDRYGIMLGIARGLAYLHEGCRECIIHCDIKPENILLDKDLCPKIADFGMAKLVGRDFSRVLTTMRGTIGYLAPEWISGLPISAKADVYSFGMVLFELISGRRNTECYGTGHNSDDDADAGQRPSIFFPVWAASRLAEGDAAAVADPRLHGNVSEEKLGRACRVACWCIQDQEEHRPTMAQVVQALEGVVDVHVPPVPRALQHLAMQA >PAN26869 pep chromosome:PHallii_v3.1:5:2806159:2808024:-1 gene:PAHAL_5G043900 transcript:PAN26869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPHPGPGLPRRHHHLLHLHLDPRHHHHVHIHLCHHHHHGAHLLAPTPPAHLHHQHQVPAPVFFPNADGNAASWQPEPPSAAVGEDVGELDPEPGLLHAEGADDEEEPVFVLTDEWAEFFAKSDAKRRLAKQQKKNRGRK >PAN32418 pep chromosome:PHallii_v3.1:5:55814551:55817431:-1 gene:PAHAL_5G486300 transcript:PAN32418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIKLSLSVLLVGVGVATVTDLQLNTVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFVIGPFLDGFLTNQNVFAFDYTTQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVIGMVLYSYFCTRETQQKPAEGSPQVIQVKESESNPLISDSLSTAENGGSTTDDEPLKVPMWSSKYSRA >PAN32826 pep chromosome:PHallii_v3.1:5:57726754:57728059:1 gene:PAHAL_5G514900 transcript:PAN32826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPHHQMYPNPLRKTAFASPFALHKDGLLFSTAPRQGFAHPPCATVSVIRSEANGAALPDAVRKHSKEELIAFFRDIQTSIAESSPKTSRRARKPSPDPVEEVDKRKQSYGMDGDGGADDFSEEQGRMINLEDMKVADLRELARARRMRGYSKLKKGELIDRLKGVIM >PAN32951 pep chromosome:PHallii_v3.1:5:58287584:58288670:-1 gene:PAHAL_5G524600 transcript:PAN32951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQQQEVYFVFMNFDPVYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDSYRKRSSLAIVDGFAVEITEAQASVLRSAKEVRVVEKNQELA >PVH38524 pep chromosome:PHallii_v3.1:5:19187634:19189734:-1 gene:PAHAL_5G278100 transcript:PVH38524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALLFGTAFWNLGMKRTKQQDLFNSMGSMYTAVLMLGIQNASGIHPVVAMERIVFYKERAAGMYSALPYTFAQVAIELPYIFIQTLIYGVLVYTVIGFEWTATKFFWYLFFMYFTLLYFTFFGMLAVGLAPDGSIAAIVSSGFYGLWNLFSGFLIPLHRIPIWSRWFYWICPVAWTLYGLCASQFGDIMDKMETGETVTEFLRSYYGFRHEYLGVVAAVTMAYAIAFAFFFGLSVKYINFQRR >PVH39201 pep chromosome:PHallii_v3.1:5:53852130:53856480:-1 gene:PAHAL_5G453600 transcript:PVH39201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQESNSVCLKRKLVDDCLSKDCKSRRVKSENGPSFDSSAKRCNCCCTRPNLANDCANFLKSGAPSRIMYYKQGSWHNFPEQIMKSLIEEFRGNKSSVVSVMDDEPILVDFLSMTLVNLKTRKQRSVACDELAKGNSGNADSTAQGIMVDKVASSPPEVVKQVVLESSPPAPEKPSTVDILRKKITSVERGSESFLFVQDLFLSGMGPFATPSNILHIHRYSPNDITAQCRFEAFERQMKATKEARGDANVRYGWLGSRKNDIVRILINGLGTTANPIEKAGLSAGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRMILGNMEAVEPGSQESFPSSEIYDSGVDDCSNPKCFVMWPSHLSTHIRLEYLVSFKLVSKVRHYLLDLKGLWFHPSPKEAAMDISTLQPVTCETGEGPTSPWISFRVLFGVIQDNISSVAKELLFHHYEELKESIISREEMVKKMIIIVGEKILLEALKKLHYCPSLWYKPSVEAVSSHPVMAAQEQLSLDKAGGNCSLTLNVNDGDSHAPMLMAPIVHDGLLRTISGNSASPGQVCKSATPIAGHSGLTSKGYESAVPSLALGNSKSTGVKRLNSAPRMTPEGQEFLSLGIASRPPHLVKLQAGLTSLPYLQFTCQHRGRDSLTLSITPKCNGGPALSQAPKRHESPIADTSTKGHDCEEKRKREEAERKAKLDAIAAKQRQREIELEEKEKARKEQLLRGSEATRVTDSAPVAQPPREPAAPAVAVAATIAPAAGKYVPKFKRGDSSSSSAGGSQRPADVRTRDEDRWGSREERPRPDVRPLRQDGPPARQDAPPARPDGPPPATDRWRGSRFSSSSSTSSSTWGGRGTDAHRLRFFLLSGTSVQNVCQRSQGTWLLEFVFFIALTAPKILELVC >PAN26287 pep chromosome:PHallii_v3.1:5:41488:43433:-1 gene:PAHAL_5G000300 transcript:PAN26287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMHSHPYPHRLSNIVIGYLNLVMLLASIPIIGAGLWLAHGSAATCESALQAPLLAIGFIVLLVSLAGFIGACYHVTWALWLYLLAMLLLVVALLGITVFGMAVTAGGGGRQVPGRPYQEFRIRDYSAWLQKRAQVDRYWRPALACVVGSGACPRIAAWTPMDYLQHSLTPIQSGCCKPPTSCTYNQAGVPVEAQDEDCYRWNNAPGILCYQCDSCKAGVLEQVRRDWHNITILNVMVLVALIAIYSCGCCAFRNARRAEYPYGVNRMSKMNPRWDYFWSRWWNGQREQLY >PVH37447 pep chromosome:PHallii_v3.1:5:378581:379510:-1 gene:PAHAL_5G005700 transcript:PVH37447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPQCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETICIITRFMDVQHHYQILLRHGMSQLTGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDAQAHIEELQQQPILPAVPIMPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSLSGSQSSIGNFDDF >PAN32451 pep chromosome:PHallii_v3.1:5:55915495:55916790:1 gene:PAHAL_5G487900 transcript:PAN32451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVHPCCSEEKKVRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLQRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIVALHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKEASSTAGSKEPAASHRRRGGEDGGSGTHPPAVFNPLPAPENRPRPAGYVAAPAAAGSSYDSDPSAAAGSTAAAPGGSVLVAGNAAAADSVAPSPTSTASACTEAGGCDDGFLKAMVDDASFLFGDFYLDGNQDGPISFWEGHAFS >PAN32986 pep chromosome:PHallii_v3.1:5:58448872:58453476:-1 gene:PAHAL_5G527000 transcript:PAN32986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLWLANSMAPPRQRAGAHGNSLNVPSLAEPAPASARPPTPPSATTPITPPPLLPSSPHMQQQSRKSSKTTAPQPGGTEGSGAPCAGTAHAARAAEPSTPLPASSARFPNAKPRLVATTPELPCHLLLFTLLLSSRPPPFTAPPPVACSYSAPLRSNQHSSPTATATANTMTPRPPLRVAAAALALLLCCCRLRVASAEPDADKAALLAFLAGVGRGGAARARINWPATPLACAARGGWTGVTCSADGARVVALHLPGLGLSGAVPPGTLGRLNALQLLSLRANNLSGPFPADLLGLPALAGLHLQRNAFSGAIPAGLAGLRTLQVLDLSYNRFDGGIPGTLSNLTHLVALNLSNNSLSGRVPDLGLPALQYLNLSNNHLDGPVPGSLLRFADAAFDGNNVTRSSEPVSPTALPPPSPLTPPATGAPARKRVRLSEAAILAITVGGCVLVFAVVAVSLIAFCNRDGGDEMGGAASGKGGDKMGRESPESKAVIGKAGDGNRMVFFEGPSLAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKDVNAGRREFEQQMELVGRIRHDNVVELRAYYYSKDEKLLVYDYFSRGSVSNMLHGKRGEDRTPLDWQTRLKIALGAARGITHIHTENNGKFVHGNIKASNVFINSHDYGCISDLGLASLMNPITARSRSLGYCAPEVTDTRKASQSSDVYSFGVFILELLTGKSPVQITGGGSEVIHLVRWVQSVVREEWTAEVFDGELLRYPNIEEEMVEMLQIAMACVSRTPERRPKMADVVRTIEEVRRGDTGTRPSTEASTPAVEAAQNGAESSSAAQ >PVH38479 pep chromosome:PHallii_v3.1:5:17373026:17376280:-1 gene:PAHAL_5G268200 transcript:PVH38479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQVRDHRSAAAAAPSTSGSGAAAPYADGDDNAGAGGAKVWVLVLLFALLLLPFLPSAVRRGGPTPWAGGGVGGGGGGGRGGGYRRGGINFKSGWDVVNLCLVLFAILCGLLGRGGGGDGESSSGAASSSSSSSAAAKDGRQASLAPPAEDATAAAGSGDWGEGYHSFDSVYASLPRPNHAAAGIRRMKSSSSYPELRLGSDGVWSLASPEAAWRSYDDEQLYRNRRPERQERTWDVDPHGRTAEVKTIPVDTFVERGRRSPPPREPRRRRRSVERLPKMPEVEEERPRAREAVVSPARSRRWSAEPDDVIPEQEVRVAPPPRIRRWNSESLDAILDQEEAVAPGRSSRWSAAAADVIPEQEARVAPPRIRRWNSESLDAILDQEAAVAQTRSMRWSAEAVDVIPEQEARVVLPRIRRWNSESLDAILDQEAAVASSRSMRWSSETVDVIPEHEAPVVMTPAAAPPPPPPPAPPRRRRLSVEKLPRPEDLEQEIVVEEVGNPTPPPMSSAMFPPGTPPPPPPPPPPSTVSRSKKKRGGSVGGAKELASAIALFYQKKRKSITMKAKRRPHHHRHSDGHYSPPSSDASASPDSSVRTTNAPPPPPPPPPPPPPPPSSIFSNLFKNKKGGSKSRRIHSVAPPQPPPPPPPTRRSTKPPPPPSRPAPPAPPPPPVRTRLPRAHGHSHAHTHTHAPQPPLYPRRAVYYTYYPLPPPSPPLPPPPPPPPPLVSEGDGDAPSVPASPAPSYCASPDVNTKADRFIESFREGLKLEKLNSYREKWQRHIQEDASVEIEEDGEFMVIGSLFGSDDEDDDGISLPETPATAAVAVGF >PAN27694 pep chromosome:PHallii_v3.1:5:6075527:6080611:1 gene:PAHAL_5G099500 transcript:PAN27694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEIHEEDGGASGARPAKVMSVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAAALRRALREAAAAVATAEGPEELEEARRAVAAEPNEAGETPLVAAAERGHLEVVVELLRHLDAEGLTTKNRSGYDALHVAAREGRHAVVQEILLHDRMLAKTFGPGNTTPLISAAMRGHIEVVELLLEQDDFGLVEMARDNGKNALHFAARQGHIGIVKALLEKDPQLARRNDKKGQTALHMAVKGTSCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVSVLLRLPDTHVNALTRDHKTAYDIAEGLPVCEESCEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNNNDGLAVVVQATSFKIFFIFNAIALFTSLAVVVVQITVVRGETKSERRVVEVINKLMWIASVCTTISFIASCYIVLGRHFQWAAILVSLIGGVTMAGVLGTMTYYVVKSKRMRKVRKKEKMSRRSGSSSLYDNTELSDTELNPVYAL >PAN27950 pep chromosome:PHallii_v3.1:5:7232703:7235269:1 gene:PAHAL_5G120100 transcript:PAN27950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGLVTDEATMGRLYRIRRTVMQMLRDRGYLVGEHELATSRRDFLRKFGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMTHDNVSRAVVVLQQNLTPFAKSFLIELEPKIHLEVFQEAELLINIKEHVLVPEHQVLTNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >PAN32895 pep chromosome:PHallii_v3.1:5:57926914:57928354:-1 gene:PAHAL_5G517900 transcript:PAN32895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDDATRRVLARHGILTVAQDASSGGGGGTVGAWRVVTLRAGELPYESPAMNGVVAKHLLHRLFPNARFSVWVDAKMQLTADPLLLVHALLVGRGADMAVSRHPFNVHTVEEAVATARWRKWGDVEAVRAQMETYCANGLQPWSPSKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVNINMFEVEVFEHIAVEYRHNLKPDARGGGKQGVTRMASSRDITGSSCERHLLKMWGESAE >PVH38827 pep chromosome:PHallii_v3.1:5:43228243:43229351:-1 gene:PAHAL_5G365200 transcript:PVH38827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIEHVEDYHVALNLDQWLDQRTHNVPLTSKVAAVWVEGSELSGQFQHSVVLQGKDRSIHGIRSYHACYDPLSYPLFFPRGELGWHDCIPKVCVTMDEVNAARAICKARSEGDDEGYAGNKCVFVREYYCYKFQMQPRYLTQYYMERDSSNSLPLTFTSRLRVRI >PAN28172 pep chromosome:PHallii_v3.1:5:8131859:8132718:-1 gene:PAHAL_5G136100 transcript:PAN28172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLRLRILAAAAAVASSLVAVAAASEAPAAAPGPGGPSSGAAVTSPALAVGTLAAAAAAAAGYLFC >PVH39107 pep chromosome:PHallii_v3.1:5:52607133:52609498:1 gene:PAHAL_5G435200 transcript:PVH39107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVAVSRSRSRGGRSPEIMGYDGRRLALAVAVAAAAAACWAAETRGVAAWGAPQPAAPCMYIFGDSLVDNGNNNNILSLARANYRPYGIDFREGPPGRFTNGRTMVDFLSDMLGLRPPLVPPYAAARPADLPRGANFASGASGILAETGNNLGGHYPLSEQVEHFRAAVGAMGNASAFRGNATRLAEHLGRCIFFVGMGSNDYLNNYFMPDYYDTARRYSPRDYAALLLQGYTAQLAALYGLGARKFVIAGVGQIGCIPYELARMNSDSQPSGPDTPSSVASEDIAISIGIGGGGGFGGGGGGGFGGGGGGGRSSPASSNTSGGGGGSGSGSSNANPTPPPDAAGACNETINSAVAIYNRGLLAMVKRLNSRGGAQPQQLRGARFVFLDTVQSGKDLAANAAAHGFTVLDRGCCGVGRNNGQITCLPLQRPCDDRSAYMFWDAFHPTEAANRIYAARAFGSNNTAEVYPINVSQLAAL >PAN27259 pep chromosome:PHallii_v3.1:5:4337086:4342290:1 gene:PAHAL_5G070200 transcript:PAN27259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKVERKEVEVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALIGCAYITYYTAVLLSDCYRTPDPVHGKRNRTYMDVVRSCLGPRDVVVCGLAQYAILWGTMVGYTITTATSIMAVARTDCHHYRGHDAACASSGTMYMVVFGLVEIVLSQFPSLEKLTLISVVAAVMSCTYSVVGLFLSAAKLASNHAAHGTLLGVKISAAAGVSASTKTWHSLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASFYGIGVTTIFYVSLGCIGYAAFGNAAPGNVLTGFDEPFWLVDVANVAVVIHLVGAYQVYAQPIFACYEKWLATRWPDSAFFHHEYAVRLPLAGGGRAARFTMCKLVVRTAFVAATTVVSLMLPFFNAVLGLLGAIAFWPLTVYFPVTMYIAQAKVAPGSRKWVALQALNAGALVVSLLAAVGSVADMVQRLGHVTIFQTQL >PAN30921 pep chromosome:PHallii_v3.1:5:18588419:18593373:1 gene:PAHAL_5G276200 transcript:PAN30921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRLGGPTCCCFGFTQLHTAAVRLRVPPARAADTSASQSPARLRAVLEQVDEALSKGNDEAALSLVRGSQGEDGGLRCFGAARQVPQRLYKLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGFSISAALELSQLQILFLVLGLLSLWSVDFVCFSGGVTNLVLDTIGHYLSQKYHNRVIQHEAGHFLVAYLLGVLPKGYTITSLDTLSKQGSLNVQAGTAFVDYEFLEEINTGKLYSTMLNKFLCIALAGVATEYLLYGVAEGGLADINKLDGLLKSLGFTQKKADSQVRWAVLNTVLMLRRHERARSQLAEAMSSGKSVGTCIEVIEGSINTDDI >PAN29438 pep chromosome:PHallii_v3.1:5:13947112:13950000:-1 gene:PAHAL_5G226400 transcript:PAN29438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQVVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFSTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVEAVALKPPEVQIDMAMQQQHEAELVAAAAQPLPDDDDDLIE >PAN33074 pep chromosome:PHallii_v3.1:5:59136327:59142865:1 gene:PAHAL_5G535400 transcript:PAN33074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPPLKRKRGRPSGSQSAKSKMEQKMALVKQRLALLDSASSSSAEIDKDDDFVPMDDELTIVAAHQPIEIDYGDTYNEDEVVPLKVLASKGLESEKRRLPGQNISASYGSVMDRAVEVQAKLPPEHPSFVKRMLQSHVVRGFWLGLPTYFCNKHLPKEDTGIVLEDQNGQDHQTLYLGVKQGLSAGWRGFAIKHDIKVGDVVIFQLVRSTKFKVYIIRANEFTTADGAISLLNLEVHKKGKLSKKGCFDDAKSREAEKASPVDDKVPAPQSDDNNAVVSEAIDGLRISADSDMDFGDVTSFSNFNIVVDSLVIDCKFHDHLRRTYYELCCSQKSFLHKNLLKQLNLALVVGVIMETISIAEGIRACKAQAPSREDLVIWKKTLESLELLGMNVAFLLKRINDLLGLPAGARDLSECQKYKELKSERAHAGEKVKVLELMLLNVKGVLQKMDAEMEEMESSVKRSGLMLQQLATAPW >PVH39284 pep chromosome:PHallii_v3.1:5:54959415:54961014:-1 gene:PAHAL_5G469900 transcript:PVH39284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIPCASKVARKFHRLFHARYKLNAVMEDTTGAMDVMIFDDQAQELIGAAAEELVREVTGEDISAVLCSHQSHAFVLAADNGCFVVKHILNDDGLQLIGSAQVAAGGGPLLSEEEGSSISYESSPVKIVKEKMVDEEESEAGGGCELPQGGNSPASCISSSPVKKEKMTIKEKEEREPKRQKIAKRNEA >PAN32548 pep chromosome:PHallii_v3.1:5:56398071:56402699:-1 gene:PAHAL_5G495800 transcript:PAN32548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic aminotransferase ISS1 [Source:Projected from Arabidopsis thaliana (AT1G80360) UniProtKB/Swiss-Prot;Acc:Q9C969] MGSFAKLARRAVETDAPVMVKIQELLRGATDVMSLAQGVVYWQPPESAMNKIEKIIREPAVSRYGSDDGLPELREALLEKLRRENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGACDPKTLHPDVDWLEKVLKENGPIPKLVTVVNPGNPSGAFIPRPMLERISDLCKNAGAWLVVDNTYEYFMYDGMEHYCLEDNHIVNLFSFSKAYGMMGWRVGYIAFPNEADGFHDQLLKVQDNIPICASIIGQRLALYSLEAGPAWIKERVKDLVRNRELLVEALSPLGEDNVKGGEGAIYLWAKLPDNCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKEEDTRLAAERLRRGLQELVADGMVQ >PAN29240 pep chromosome:PHallii_v3.1:5:12874749:12875240:1 gene:PAHAL_5G211300 transcript:PAN29240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNLGVVVTSALLLAALAASVCSQGAAAARPLVGSGREAAVAVAALATTGRRLQSQGEVAAPLVPAGDEAAREEDGSVAGSKRLSPGGPDPQHH >PVH38893 pep chromosome:PHallii_v3.1:5:47762542:47762982:1 gene:PAHAL_5G383600 transcript:PVH38893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCKKFRFAPLDNEEDIEIMFSGASCTNANVVTPGAREGSAGNGNANRNCNDKDNGSDDVQEVHPSSAEKQPAKRGVAYKSPKKGKKNFRDMQFKRFVDSFVEKASSSFATSSPTDHVRQEIAEMLQSVIEAGAYEGSDEYFYAT >PAN32726 pep chromosome:PHallii_v3.1:5:57104051:57106194:1 gene:PAHAL_5G506300 transcript:PAN32726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGSFADSAFFTRGGASLVTRHTKHISECLFFLFFKFLLIWSFRAHHFKYNKKNQYRNLTDALTLIGPHLQLTDPSHIMGCQTMLE >PAN30570 pep chromosome:PHallii_v3.1:5:32702060:32705009:1 gene:PAHAL_5G327800 transcript:PAN30570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RKF3 [Source:Projected from Arabidopsis thaliana (AT2G48010) UniProtKB/Swiss-Prot;Acc:P93050] MSRLHLLPLLLFALLLLRPIPLRSQPAAPSAPPQCALNFTALRPFLAPPPPADDASRCGVAMQAVTFLLSLHLAATSSFVLPAGASSCLAPLRAALTYPLPASACGLSGLDAVLAAPGCGNVSTLADFDALVPPSARQDIDASCDRDLSAVPDCTACTTALSKAAAAYLLPGSPNTGNNSVTGCVQYPPIYAGAKASPHGPADPATAYCLFLLKANPPQSRSSVAAPRVYGAAFGSLAAVLLLAAAAGSCFVVRQRRARAAAAALAADSRSKRSQAMESISASTTLVKFSYDEIKAATDGFSRESIIGRGGFGNVYKGVLHDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVALRGYCIATTQREGHQRMIVCDLMHNGSLHDHLFGAGECQMAWPVRQRIAVGMARGLAYLHRGAQPAIIHRDIKASNILLDDEFEAKVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQSFVLTDWAWSLVRRGKTVEVIQEGMIEPGPTEVMEKYVLVGALCTHPQLHARPTMEQALKILEADSAPSPLIIPERSIPVVANLAEIERSASSSGSGQLFSPSGFRSFIHTNEDAALASPNET >PAN29276 pep chromosome:PHallii_v3.1:5:13063958:13064275:1 gene:PAHAL_5G213800 transcript:PAN29276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAASAAFGRDRSGALGARFACGGCTRGKTNSRMDTACRYWRAGGTAAVACQDSSVVCVVVLTPFAALAAAERGVLLVVLAGMVIGRQGRDARERQTARPVAHYH >PAN28136 pep chromosome:PHallii_v3.1:5:7966240:7968720:1 gene:PAHAL_5G133800 transcript:PAN28136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSNGSQEHHVSGEEEGVHHVSRPILNHGPKHRPLTPMRRCRGVACVAIILSTAFLLIVYLAPITTFVVRLFSVHYSRKATSILFGIWLSLWPFLFEKINKTKVVFSGENVTPKRRVLLFANHRTEVDWMYLWDLALRKGYLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDEAIIQNKLSKFKNPRDPIWLAVFPEGTDYTEKKCIKSQEYASEHGLPKLEHVLLPKTKGFICCLQQLRSSLDAVYDVTIAYKHRLPDFLDNVYGVDPSEVHIHIKTVQLSDIPTSEDEITEWMIERFRQKDQLLSDFVAKGHFPDEGTEGDLSTPKCLANFFTIVGLTGICLYLTLCSSVWFKVYVVASCAYLSFVTYYSILPPQLVGSPEGAKKAV >PAN28758 pep chromosome:PHallii_v3.1:5:10676623:10678589:-1 gene:PAHAL_5G177300 transcript:PAN28758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILSAIMNDLLSRALSLVIQRYRRSRAQEAEHGIQRLQRVLLRVDATVEAAEGRHITNQAMLRQLEMLRQGMYGGHYMLDTVKFRGHGGDDEVSGGLPVALPRFSSAKRLPSFTGNSSNESRQNPESPKKLEKMLERLETLMGDMLEFGVFLEGYPRIRRQPYCTYLILGNVMFGRQMEMETVVNFLLRPEAPFGNGGPAVLPIVGVARIGKSTLVEHVCLDERVRSHFSSIVVFTGEDLDAGDLAAFRGSAAIKHQDATAASRGRSLTVIELAGDVDEGAWRRLYHSAASSMGHGSKIVITGRSEKVAALGTTKALRLKALPQEAYWYFFKALAFGSANPDDHPKLASLAMEIAELLDGVFMAGNVVASLMRANQSTEFWLRVLQCLRDYTRKHQAMFGSHPNKLLQQGQSVYPWRMAGTHDVVTTFCKIYQKPSAQDDVPEVTVQDILSGRSTRQGNFSAVAWVSTVPPYYTFLASCVSQTVRCSAATKKRPRHARA >PAN28654 pep chromosome:PHallii_v3.1:5:10266979:10274934:-1 gene:PAHAL_5G169600 transcript:PAN28654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAAPAAAAGHHQRWSASAGTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIILRSVEPAAAAGASRLPLGARGDHAGGGGASSSSRSRSPSIRRTSSHRLLQFSQELKAEAMSIARQFSQDLTKRFGRTHSRAEGQGQQQQPPPTSGIESALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWMEVQANFDRLACDGFLSRADFAECIGMTESKEFALELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNLAGLRTKSPIRKISTTLSYYLEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLINSSNEKYAPLGQYFGETKPSYFTLVKGVEGITGVIMVICMVIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYLALIVHGQCLYLIHVWYRKTTWMYLAVPVCLYVGERVLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPAFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPVGPNKPHIDLGTLMTVTSKPKRVLRTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELNKLCHEFNGKSTTKFEFHKEHF >PVH38689 pep chromosome:PHallii_v3.1:5:32793981:32796388:1 gene:PAHAL_5G328300 transcript:PVH38689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFWKRKSPPSATDQDEGSSQQNKGASNISAPTRTSLILSPKDVNLDELPYDPADRKRIIEYPGFKLQEEIRRRYLIRGPHRPQPGFSYPQTIIGKKPRRFNPDWFEQYDWLEYSEKVDKAFCLYCYLFRDCIDGQAGNDAFVTKGFSGWNNKPRLDTHVGGVTSCHNAAIKRYNNLLKPSQSIEFALKKQQDVAKEEYFIRLSTSINAIRYLLHQGLAFRGHNESEDSAIRGNFLELVKLLAEQNEKIKRVVLRNAPENHQMVSPEIQKDIANCFAEIIVNSIIEEIGGDVFCLLVDESADVSDKEQMLWFCERLIGVVHVAETTSACLKSNIDALFSKYKLSWKQVRGQGYDGASNMRGEFNGLRALILRENGSAHYVHCFAHQLQLVIVAVAKKNDDISDFFYMISLLLNVAGASCKRKDMIRQSQQERVKRAIGSGQISTRTGLNQEKTLQRPRDTRWCSHYKTLLKSLNSLFPSVIEVLQYVEKDGPNDKKRRQARGLLDYLKDFDFVFHLHMMLMILGHANSLSLCLQRKDQDILEAISEVKLTKQKFQQIRDDGWESLLQTIYSFCEEHSIPKLDMEEEYIDRHKPRKNLLTYMAALSPKDSFGDFKLESLMELAKLHLDDFNQEQLKDLAHELPIYIDNIKADERFSNLNSITALAKLMVDTNKHQAFPLVYKLLKLVLILPVATASVVRCFSAMKIVKTILRNRIGNTFMNDCIICFVEPAFVATISDNAVIDRFQKMKNRNRRMLL >PAN30119 pep chromosome:PHallii_v3.1:5:18293594:18294012:-1 gene:PAHAL_5G274900 transcript:PAN30119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGANSYLKELTIAVACIVLVLSSSAAAQMLCCKCDQYCSSSTDGCTSSFCGSACGNTTSPGCLSCKQAYVSKCKNLCVNSCMANCVNC >PAN29856 pep chromosome:PHallii_v3.1:5:16151719:16154598:1 gene:PAHAL_5G254600 transcript:PAN29856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNLLHVLVLLTAWFLLLFLEIQPTAAAPAAPVTRRSIRCVPHERDALLAFRAGLTDPDGYLSSWRGEDCCRWKAVRCSNRTGRVVQLRLRSLEDVNSSIGFRGGRRSSPLLELKSLRTLDLRFNNFDGASVPEFVGGLRSLRYLYISNSKFGGWVPPQLGNLSKLLCLDLNTVNGDADIYSADLAWLSRLTALKYLDLSKVNLSKATDWAHVVNRLPSLVTLNLRFCELQNVIPSPVHVNLTSLQHLDLGGNHFSSSLGAKNLLWDLPRLLDLDMGMCGLQGSIPEQVGNMTSITRLDLSDNNLTGTIPTTFKNLHNLEELSLYGNNINGPVAVLLERLPTENRLQELLLFENSLSGNLPNQLGHLRNLTRLDLSNNGLSGNVPTGISELTKLTDLLLGFNNLEGTITEMHFAKTASLSNLQLCDNSLSMVFQQSWVPPFKLVVADFRSCNLGPKFPEWLRSQNSIYALAISNTSIAGPIPHWFWITFSRAGHLDLSRNKISGRLSPEMFGKMEAGTMDFSGNFLVGSIPKLSPKLEYLDLSLNNLSGPLPSDFTAPMLSALILFRNSLSGRIPYTFCHMKEIEFIDLSGNLLEGPFPNCREQSNTGNLTKQLKVLNLNGNNLFGEFPVFLQNCPELFLLDLANNQFYGNLPIWIADKLPSLAFLSLRSNLFFGGIPLQLASLKDLQYLDIAHNNISGTVPESFVEFIAMTRSPADNDSLSDYNTYGESFDEVDVVLPYADTLSVVTKGQQLGFTTEIMYMVNLDLSCNSLTGQLPSEIGKLAALKSLNLSWNHLSGIIPGSIGRLHALESLDLSHNELSGEIPTTLSEITSLSHLNLSYNSLRGKIPSGNQLQALDDQASIYIGNPSLCGPPLSRNCSETDFTPAAPEGHKERGDTISFFLAMGCGYIMGLWAIFCLFLFKQNWRAVCFAFSDHLYDRVYVQVALGWVFLTRRKL >PAN31023 pep chromosome:PHallii_v3.1:5:48516358:48517344:1 gene:PAHAL_5G389400 transcript:PAN31023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVASSCARFVSQRRGYSVSVAAAVVRGPGRMAVEKVVKRVTGKEVMNAAASAPAEKTPWVPDPVTGYYRPAGGAKEVDAVDLRAVLLPQRVAN >PAN30810 pep chromosome:PHallii_v3.1:5:23362217:23364244:1 gene:PAHAL_5G300500 transcript:PAN30810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLWMLLFALLVADLVVASIYAAPAQGAAAAGGADKNTGTGTGTGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFDDAVVQRDMKLLPYAVVDRNGKPHVRVQVRDGDVREFSPEEVSAMVLTKMKETAEAYLGEKVTHAVVTVPAYFNDAQRQATKDAGVIAGLTVLRIVNEPTAAAIAYGIDKEGAEKNVLVFDLGGGTFDVSVLAIDNGVFEVLATNGDTHLGGEDFDQRVMDYFIKLIKRKHGRDIAGNARALGKLRRECERAKRALSNQHQVRVEIEALFDGVDFSEQLTRARFEEINNDLLRKTMVPLKKAMADAGLKQADIDEIVLVGGSTRIPKVQQLLKDYFNGKEPNKGVNPDEAVAYGAAVQASILSGHVDDNTRGMILLDVAPLTLGMETVGGVMTKLITRNTVVPTKKTQVFTTYQDRQTTVTIKVFEGERSMTKDNRLLGKFDLTGILQAPRGTPQIEVTFEVDANGILHVQAADKGTGKSEKITITSDDRRLSQEEIDRMVREAEEFAEEDRKVRERVDARNKLETYAYQVKSAVEDSKMAGKMDAEEEEKVEEAIREANEWLDGNSDAEKEDYEEKLKELEDVCNPVISAVYQRSAGTREDNNDEDDHDEL >PAN29991 pep chromosome:PHallii_v3.1:5:17100680:17104982:1 gene:PAHAL_5G264900 transcript:PAN29991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCETLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGAMEKYPPYQSIFSRISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >PAN28689 pep chromosome:PHallii_v3.1:5:10428262:10430721:1 gene:PAHAL_5G172400 transcript:PAN28689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVQRAQPVSAGTAACGCAAPPSPAAAEEERADGILFDDLVLGGGDADVKNEADADGEDASAEKLEWLRSQIIGAEAEFASPFGNRRITYADHTASGRCLRFAEEFVLQNVLPYYGNTHTTDSYVGLHTSKLAGDAARYVKRSLGAGPRDMLLFCGTGCTAAIKRLQEVTGMAVPSTLRAAALAALPPSDRWVVFLGPYEHHSNLLTWRESLAEVVEIGLRPDDGLLDLAALEAALAARAPSGRPMLGAFSACSNVNGLRTDTRAVARLLRRHGAYACFDFACSAPYVRIDMRSGDDDGYDAVFLSPHKFLGGPGSPGVLAMASRLYRLRRTAPSTSGGGTVLYVSAYGDAVYSGDAEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEAHEARMLALALRRVRAAANPNLRLLLGADPASAPRLPVLSFVVYSPYGEAELEGGGTEQTPATRLQLHCRFVTKLLNDLFGLQARAGCACAGPYGHRLLGISPARAKAIRSAVEQGYHGVRPGWTRVSLAYYTSMEEAEFVLDAVDFVASFGHRFLPLYSFDWKTGDWRYDPSCARGLVPNDVGVGSAAAPSGGVKGDHGYQSYMACARRLADSLAATCSGLGSARARRIPKGVDPQLVYFVV >PAN30273 pep chromosome:PHallii_v3.1:5:34887118:34887987:-1 gene:PAHAL_5G336300 transcript:PAN30273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSDDTISPLHQELRGKTTMPTLSSSVPNAHAPKQPNMLPTNDHSFQRYYSNIDAPPPQSHPVFTQPPPTSTITSAGLIQSPAENEQELMRTFLTIPVGKFLSVDERVQVLSMEPPSITSLLQGDKTAILHAHLDIVGALDLGPIFQDPTSDIPKEKEMHKPLGSSSSHGDPYEKMPYVKPTYFGQSPMSSHVQRDGNSNYAIGAAMNSAFEFSEVQRYTCKLCNATFPTPQIYHDHMSLHNKGMSSN >PAN27175 pep chromosome:PHallii_v3.1:5:3981523:3982896:1 gene:PAHAL_5G063900 transcript:PAN27175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMEGYPRKPITQHQSQMDALPAGASSSCSSATHAPPAPHHATAKKRHYSDRTKMILLFILTNSVSILLSVSFSHVTGVGSGGGDSGLDFALSVLSSSQSLAVDLHRRVEATDVIIKRLISNSGRMKRDEPPPQLTPEEELTLALGPHTLPFGYTPNLDSDKLYPAVGAACHRHRDELRKYMSYNMTGDCPSDEALAESLMLRGCEPLPRRRCRARGPAGFPDPTPFPESLWVIPPDKSVSWGPYSCKNYSCLVDRARRPGSHDCKACFDLAGKEQRRWVGNVGDLDYDIDTVLGSKPRGTIRIGLDIGGGTGTFAARMAERGVTVVTTTLDLGAPFGAFVASRGLIPLHLGAVAGRLPFFDGTLDIVHSAHVLSRWIPGEVLDAELYDIYRVLRPGGIFWLDHFFCAGKELTEVYVPIIEKVGFRKIRWNTGRKLDKGPNADEWYISALLERPMM >PAN27088 pep chromosome:PHallii_v3.1:5:3680868:3686586:-1 gene:PAHAL_5G058200 transcript:PAN27088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical conserved gene [Source: Projected from Oryza sativa (Os01g0896300)] MESGGMVLGVACPEEAPDRNGAGPRDDLGQSQMQVDGPVVLNRSAELESCDSMATDEAPAQAPSSQPVAATQQSPVTLTDTIVEVQKQLKRKRASDGPAIADADKDALVTGCRQELEGLFQYYKGVSDRKMRFDGGNLSGNALVGCLLEESSLGLTKLVDEIYEKMKGLEGVSMASVRSSVLLVGQRMMYGKSSPDADVLEDESESALWCWEIRDLKLMPVKARSILSTRRYVRKKIHDRINAIYSTLSVLENRRVETQVNDLRKASLKLNKSLNLEEMRLMVERVIQKNNTERGVRDAGSTAKELMQEAEKNDQNVSRLDDASVSELQNGNLPANEKEIQKVQKQVEKEIKRQEKEEAQMRKLQRKQQEEALREQKRREKEEAEAKKQQKKQEEEALKEQKRREKEEAEMKKQQKKQQEEAEKEQKRREKEAAQLKKQLAIQKQASMMERFFKTKKDSGKLQKSVENDSADGPIDKKEAVPATTSKIDSSLSQQENWVLEDLRRLQVTGWKKLSSYNRSSRWGIRCKPKVEAFKELKLQKSSDDMVDEILSTPNEDSCHNSSQENEHDKLESDIDMLPTSEVQCHGTSNAKSLQTRLIRRKLLQFDKSNRPAYYGTWRKKSAVVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDGDEVMEEDSKITDEEDEDSFVVPDGYLSDNEGIQIESLLEDKDEEACSSPTGQCTEVEEFRSLLRQQKVLNTLTEQALRKSQPLVISNLNHEKAELLTAEDLKGTTKVEQLCLQVLSMRICPGGAVVDVPTIDNSSASAGDINQSNVKNGSPASASAIPETDLPEIVQVIRSCQDGINKVVELLHQKFPNVSKYQLNRRVREISDFVDNHWKVKKEILDKLGLDSSPVKSKKTKGIAMYFSKRCLPPEEAINALASSPELRLKSKTIQNDNGGTEAPQINLFPSPR >PAN29055 pep chromosome:PHallii_v3.1:5:11968799:11973220:-1 gene:PAHAL_5G197400 transcript:PAN29055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNFVNGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >PVH38991 pep chromosome:PHallii_v3.1:5:50966164:50968123:-1 gene:PAHAL_5G412100 transcript:PVH38991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQKSREEHARAAAQKAADELDASRRDHAHDAAPASPRGGGGILSSVQDSARSVVGAVRSTFSGGGGARHDESSATDAAAAAAAAESAREYAADMKEGARRALAGDAAGRKGETDESAWQQGEDVRRRAAEKAREEARRTHEPSEEEKGRAATANIYGKATGAMGAFGEKMVMPTDVVERKRAEATGGGKGKGTGGKAAATTATPGGGGEPEEDVMLRVKAADHMTGQAFNDVGAMGEEGTGIPRRR >PAN26674 pep chromosome:PHallii_v3.1:5:1928996:1931886:1 gene:PAHAL_5G030300 transcript:PAN26674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAMTHSSAFLLPASTTPPPNDAATTYALVVLNQRLPRFAPLLWARARLRVCADGGANRVFDGMPELLPGEDPADVRARYKPDVIKGDMDSIRPEVKEYYSNLGTNIVDKSHDQDTTDLHKCVSFVTRELPVPDKSNLCILVLGALGGRFDHEMGNINVLYRFSDTKIVLLSDDCSIFLLPKTHTHEIHIEKSVEGPHCGLIPIGGPSTSTTTTGLRWNLDNTSMSYGGLVSTSNIVDDDKITVTSDSDLIWTISLRN >PAN26910 pep chromosome:PHallii_v3.1:5:2875590:2884747:1 gene:PAHAL_5G045100 transcript:PAN26910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSICGHGGGAVAAGEEQRAPYPLPPPHPRPQQLGAAIGALLLNPLQVDEGTRLEIAQQSYNAGDYRAALEQSNAVYMENPRRLENLLLLGAVYYQLREFDMCIVKNEEAVAIQPNFPECFNNMANAWREKGDIDRAIQYYKHAIQLRPTFADAFSNLANAYTRKGNLIEATKCCHQALALNPRLVDAYCSLGDVLKAQGSYRDAYSCFREAVSISPSCATAWYNIAGLFMQWGDFNKSVLYYKEAIKFKPAFYDAHMNLGSLYKAVGMCQDAIVCYQNAAQACPQNAIAYGNLGDAYYEQGQLGLAILSYRHATTCNPSYVEAYNNLGNALKGSGRCDEAIGCYQSCLALQPNHPRALTNLGNVYMERSMLDIAASHFMAALTVTTGLSAPYNNLATIYKQQGNYANAIACYNEALRVDPLAADGLVNRGNTLKEAGRVSEAIQDYLQAAAIRPTMAEAHANLAFAYKDTGLMELAIISYKQALQLRPDFPEVACNLLHTLQCVCDWDDREEKFIKVEGIIRQQIKMSLLPSVQPFHAMAFPIDPNLALEISKKYADHYSLVASRFGLPAFSHPSRAPIKTDDRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNEDIVEVFCYALSRDDGTEWRQRIKGEAEHFIDVSEMSSDMIAKVINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPATTGASYINYLITDEFVSPLKYSHIYSEKLVHLPHCYFVNDYKQKNQDVLDSVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSVLWLLRFPAAGEMRLRAYAISKGVRADQIISTDVATKNEHIRRSALADLFLDTPLCNGHTTGTDVLWAGVPMITLPLEKMATRVAGSLCLATGVGEEMIVDSLKEYEERAVFLATNPSKLQTLTNRLKAVRMTCPLFDTARWVKNLDRAYLKMWDLHCSGRHPQHFKVVEE >PAN27613 pep chromosome:PHallii_v3.1:5:5702358:5705094:-1 gene:PAHAL_5G092500 transcript:PAN27613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEICCEEAKSTPATAVAAAAAVAVAVASSALERRRRRLEMRRFRIATDLDAPAEEDVRAGKRLRLARTASGSCADAVRDCERPALPERLPRYGVSSVRGRRREMEDAVSIRPDFLPGASKHHFFGVFDGHGCSHVSTLCQDRMHEVVADEHNNAGSGEETAWKGVMERSFARLDEQATSWASSRSADEPACRCEQQMPSRCDHVGSTAVVAVVSPTHVVVGNAGDSRAVLSRAGVPVALSVDHKPDRPDELARIEAAGGRVIYWDGPRVLGVLAMSRAIGDGYLKPFVSSEPEVTVTERTDEDECLILASDGLWDVVTNEMACEVVRACFRSNGPPAPASRPDGVAPPAADADAENGSAVVKGMSKADSDKACSDAAVLLAKLALARRSADNVSVVVVDLRRGI >PAN31702 pep chromosome:PHallii_v3.1:5:52800033:52802632:-1 gene:PAHAL_5G438700 transcript:PAN31702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPYAPADLELPGFVPLQLLQGQILVTYIGTSLFVLLAVWLISGKCGRLSKTDRLLMCWWAFTGLTHILIEGPFVFTPNFFMKENPNFFDEVWKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAIASWKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSFWVWIPTLIAMRSWKKICAAIGAEKLKTK >PAN31701 pep chromosome:PHallii_v3.1:5:52800593:52802465:-1 gene:PAHAL_5G438700 transcript:PAN31701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPYAPADLELPGFVPLQLLQGQILVTYIGTSLFVLLAVWLISGKCGRLSKTDRLLMCWWAFTGLTHILIEGPFVFTPNFFMKENPNFFDEVWKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAIASWKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSFWVWIPTLIAMRSWKKICAAIGAEKLKTK >PAN28091 pep chromosome:PHallii_v3.1:5:7764441:7769349:-1 gene:PAHAL_5G130300 transcript:PAN28091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHARREVDRGAAPEFVALDIRGEEESPGSGPDLMMESSFAAKGFERERSADANSSSTGMAGVYEKQAVPVQVDGSPRELYHPSTPKRRRANRRVSGWRDPRKILFAFAALSSVGTLILLYFTLSMGKMTGGQADGQ >PAN31706 pep chromosome:PHallii_v3.1:5:52832470:52835224:-1 gene:PAHAL_5G439100 transcript:PAN31706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIFGAKKDKGPPPSIQDATERINKRGETVDEKIKKLDEELARYKDQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALESDMEFESAAVPSYLQPEPDFDADLNLPAAPTRPAAVPAGGQQEDELGLPAVPRASLRS >PAN30124 pep chromosome:PHallii_v3.1:5:18310343:18311578:1 gene:PAHAL_5G275500 transcript:PAN30124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPGNGHLPASASLPDHSSASDSDAEPEANYSPIAGAASDSESDTDMPHYRLDEASNGISALDLASDEDEEAAEEEEEREEGDVTAGEAAARAFSEDERRRHAPLPEGAAARIVDAMRGVEFPGAPPAWAGSVPEDQWVDRLRSLRAGRPN >PVH38637 pep chromosome:PHallii_v3.1:5:26275984:26276913:-1 gene:PAHAL_5G310200 transcript:PVH38637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETVRIITRFMDVQHHYQILLRHGMNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIMPEAKEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PAN33065 pep chromosome:PHallii_v3.1:5:59176238:59178626:1 gene:PAHAL_5G536000 transcript:PAN33065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLPLLLVALLLPAARGAETPQYTTVHAESDFEVRLYRDTVWMSAPTPDIPSFHAATKLGFHRLFQYLMGANLNSSRIRMTTPVLTSVVPGAGPLHSSAYFVRLYLPVKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDNNVVEEAEKLAMSLSRSPWANSTKYPSKNAYSIAQYSSPFHFIGRVNEVWFDVDCKSTGVETY >PAN29337 pep chromosome:PHallii_v3.1:5:13297802:13301149:1 gene:PAHAL_5G217700 transcript:PAN29337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAGGRRLLAPGLRRLGFGAAGEAGPAAAAAGVRAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKMQIRVDEDSGKIVDACFKTFGCGSAIASSSVATEWVKGKPMDEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKMGQKGEDSPSEKAAEA >PVH38859 pep chromosome:PHallii_v3.1:5:44921033:44921527:-1 gene:PAHAL_5G371300 transcript:PVH38859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNMKFRPQCSSNKRPPQQSCARSIQLLLQITTGTKSEVPVTDDRHSSYTMSGSAE >PAN29434 pep chromosome:PHallii_v3.1:5:13930265:13934377:1 gene:PAHAL_5G226100 transcript:PAN29434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQIPPMPRSKRPPPGFFNESGPPRRRTDADAPSSGGSAGGFPVGLESGAGGEIPLRPNLKPQNPPMPRPKRPPPGFSVQSRHKRRRTAADADAPSSGGGAEGAGRCCDLSRAFGRCRALLDDLLRHDDGWVFEAPVDARTLGLRDYYTVIADPMDLGTVLRRLERRRYADPSAFAADVRLTFGNAMSYNNQGDPVYESAAELSGIFEDGWASIQAELPPPLPTDAERKVNFNDDLKGLPVAAQRTVVGVLKDRGACLLEKKGKVEVDLGKVDAATLDELGRLVAKHRAADTDVDARSPRSRIHERRQSEEPTPKR >PAN29549 pep chromosome:PHallii_v3.1:5:14587490:14595912:-1 gene:PAHAL_5G233400 transcript:PAN29549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAREAAASKVAAGSSCGGVPAPAGEYWSEALKSFLDHIPISSIPGALQPTASPAVEIKLEGSVLDAIGAMYGGNAAGAVIVDEVQSSFGKYVDRDIGFVEFSSIVLWALEELGKVEHEPKDSSSDFLSSLKQHPQIAETKIAWLAKLFLWEPFFPVRTHDTLFHAMLLFSKHHRLNVVPVVELMNSNAIGFVTQNAVMELLLQSSGLEWLDKIADRQLSEFRFASVRKPVLVYSDQTLAHGLHVLSREKMGVAVIDRKTSCLIGSIQCSDLYLLLDNSSLFRKRTSISMEEFINLNNKTDDKHSNAENPSACEGQNILALRNTGQRSTGLPVTNLKSDTLKQAMEKLTASRSSFSFIVDEQGHMEGMVTTREIISVFSPPCMDSRIDGGTFFSAALEQVGCRVENGQMIQNS >PAN29728 pep chromosome:PHallii_v3.1:5:15606673:15612058:-1 gene:PAHAL_5G246500 transcript:PAN29728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSRVALLVAGVVVVLAAAAAAEGGGSVCFRRVFSFGDSLTDTGNFLLSVPEDFPDPARNLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPFVPPYLGGGDFQYGANFAVGGATALKGSFFRERGVEPTWTPHSLDEQMQWFKKLLPSIAPSETEHSDIMSNSLFFVGEVGGNDYNHLIVRGKSLDELHELVPNVVGAISSAITELISLGAKKLVVPGNFPIGCVPLYLAIFPSQKEDYYDEQTGCIKWLNEFAEYHNRMLQEELERLRNLHPDVTIIYADYYGASLNIFRAPLQFGFTVPLNACCGSDAPYNCSPSILCGRPGSTVCSDPSKYISWDGLHFTEASYKVVIQGVLGGYAIPPLSETCKGGEYKVSQLHQCTDNPTNTVTYDALSSFI >PAN28903 pep chromosome:PHallii_v3.1:5:11030129:11032618:-1 gene:PAHAL_5G182800 transcript:PAN28903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTQSEAKRARPCPSSPPSQAAEAEEDRLSALDNATLHAILARLPLRDATATTVLSRRWPRVFATLPRLRVECGTFNRRGYLDDDHCEDSDRWLDALDCVLASCAAPVSAFDIRTKLLCEEARWFGDLFSEICGSGGLRELCIMNSSLIDCYKVPSPVYNCQTLTSLELYCCHLRVPGNLTGLRAVRSLHLGDVVATDADIRRMISRCQAMERLVLNDIRKAWNIVKLFRPQRISVKKAPRLESVKLGIFYGSAHDSEDTDGDDLMSETYEIFNFGEMEEREHQQMDEIGNLLTFLGGVGRSKSKMLSLKLKRGYCKVLGKTKNSVPMMLPKKDYLLGLQKLTLTMDHNHETVATLDPYDMKYCHPLAAKFWETQIDAECIQSHLSTVTFDILAQFLVMNARVLERMSLKYRRWVYVQRDESEHKAIHELHEAMVETVQSELHTWQRASPGERLELCPCDRIPIF >PVH38252 pep chromosome:PHallii_v3.1:5:12868204:12871308:-1 gene:PAHAL_5G211200 transcript:PVH38252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFATATSPDLSVEPAIMARTTAVPVVDLSSPGSAGAVASACGRLGFFKAVNHGIPAGLLERLEAEALAFFSLPQNDKLRTSSGAPLGYGHRRIGPNGDLGLLEFLMLSIGSNSIATSALHPCCSVLAARGLTARRRMPACPRHALQPASPGTVTHLSPRRRACIPTSRPLLTPPPAGLSPGCRVCGGDPCMEVSPPAAEQLPRRSTCRRRPPGKHSTWRIRVPDEHLPVCLPGAAATGDGELLCSLPQLAWDKRLSCCCCCCCCGGGGGGGERARLSAIYFGAPAPAERIMSVPELIGDGEASRYRSFTWREYKAAAYGSARRPPAGWLPARRPGIADDDA >PAN28819 pep chromosome:PHallii_v3.1:5:10854058:10855603:1 gene:PAHAL_5G179900 transcript:PAN28819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASASPPEQKPFAFTSPVSSETHDDALDFDYGSWIERSKITNQYSNLWPDDAEAHAISRHHREMMLRAVDSLPEEAYELSLRDLSELSLSSRATTTDEPASSGSFRLSTARSPSSTKPWRKRTPSMEGASFIIKLFMPSPSRAAAGGGGRRRKSFSNSIVPALRENNASVNDSSMENAASGTVIDLSSTRSHSMLRKNHQDTTNRKSMGCYPFFNSSKYRVKREAGGRLCI >PVH37522 pep chromosome:PHallii_v3.1:5:1458283:1460596:1 gene:PAHAL_5G022700 transcript:PVH37522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVEAGLPLADPAADADRARLQQLGYKQELKRGLSVVSNFAFSFAIISVLTGVTTTYNTGLRYGGPASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLIQVIVLLSTGGANGGGYLASKYVVLAIYTAILIVHGLINSLPIQWLSWFGQLGAFWNVAGVFVLVISIPSVAKERASVEFIFTHFNTENGMGIHGKPYILALGLLMSQYSSIGYDTSAHMTEETKNAEWSGPMGIVSSVALSSIFGWIYLLTLTSVVTDIPYLLDTSNDAGGYAIAQALYTTFHRRYGSGVGGIACLGIIAVAVFLCGTACVTSNSRMGYAFSRDGAMPLSRVWHRVNKQEVPLNVVWLSVSVAFVMALTSLRSQVAFQAMVSIATLGLYISYALPIVFRVTTARKSFVPGPFHLGRYGVAVGAAAVAWVALVTVLFCLPVAYPVAKDNFNYTPAAVGGVLALSLGAWVLHARFWFRGPVTNVGEA >PAN29153 pep chromosome:PHallii_v3.1:5:12484101:12495641:1 gene:PAHAL_5G205000 transcript:PAN29153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAQGTALKSAPMGAGRRARRGRPVAAPYRSGWQAYGGVSLEGSGFLGGVQRTEERVAPRAHRAASRDAEVVRPLSKLPDSNIGLYDPSFERDACGVGFVAELSGDYKRETVNDAIEMLERMAHRGACGCEKNTGDGAGIMVALPHDFFKEATKDAGFELPPPGEYGVGMFFMPTDEKRREKGKTEFKKVAESLGHVILGWRPVPTDNSDLGESALETEPAIEQVFITKSSSSEAEFEQQLYILRRLSIRSIRAALDIRRGGERDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMTAREGLLEAEKLGLSKEQLAILLPIVDATSSDSGAFDNVLELLVRGGRSMPEAVMMMIPEAWQNDANMEPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMGSEVGVVDVPPEDVLRKGRLNPGMMLLVDFENHTVVDDEALKSQYSKAHPYGEWLKRQKLYLKDIVESVPEADRVPPSISSSSPNMEQQKNVTKEHVGVNGIMTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALKGPLVSIDEMEAMKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICAEAREAIRQGYTILVLSDRGFSSDRVAASSLLAVGAVHQHLIANLERTRIGLLIESAEPREVHHFCTLVGFGADAICPYLAIEAIWCLQNDGKIPPNGDGQSYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIDKCFEGTPSRIEGATFEMLARDALRLHELAFPSRTPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAIGKLQEAARVNSRAAYKEYSKRIQELNKTCNLRGMLKFKDITEKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHMNTCPVGIATQDPVLRAKFAGEPEHVINFFFMLAEELREIMANLGFRTITEMVGRSDMLEVDREVVKSNEKLENIDLSLILKSAAEIRPGAAQYCVEKQDHGLDMALDNKLIDLSRTAIEKQVRVFIETPIQNTNRAVGTMLSHEVTKRYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSSFSPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYIYDVDGKFSSRCNNELVDLYPVEEEDDIITLKMMIEQHRRNTESVLARDILSDFNNLLPKFLKVYPRDYKRVLENMKVEKAAARPAKDPKMTNGISVTTKKVQPDQSASRPTRVANAKKYRGFISYERESISYRDPKERVKDWKEVAIESTPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIVGSGPSGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIGIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGATKPRDLTIPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSLVNLELLSKPPSKRAADNPWPQWPRIFRVDYGHQEAATKFGKDPRTYEVMTKRFIGDENGKVKALEVVRVKWEKVDGRFQLKEIEGSEEIIEADLILLAMGFLGPEATIADKLGLEKDNRSNFKAQFGDFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLTANDQNAAGDITPSGAGLVQPVAA >PVH39317 pep chromosome:PHallii_v3.1:5:55348061:55351796:-1 gene:PAHAL_5G476400 transcript:PVH39317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASPRAAAPPPPRLLALSLLLLLVLPFTNAHPVTMPSPAARAVETGISAGLLSTLRETLDAIRSVAGIISGFPIGGILGGGDLRLSSAVADCLDLLDLSSDELSWSMSTTSPSPTSAGGRLGTGDARSDLRSWLSGALGNQDTCKEGLDATGSVLGSLVATGLQAVTSLLADGLGQVAAAYPPSSRRGLLGGARPPRWLRARERWLLQMPVGPGGLPVDAVVAQDGSGNFTTVRAAVEAAPAESAARFVIYVKKGVYRETVDVKKKKWNLMLVGDGMGATVISGHRSYGDGYTTYRSATVAVNGKGFIARDLTFENTAGPAKHQAVALRCDSDLSVFYRCAFEGYQDTLYAHSLRQFYRDCRITGTVDFVFGNAAAVFQNCLLLARLPLPAQKNSVTAQGRLDANMTTGFAFQFCNVSAHGELLAASGGGNGTAGAQTYLGRPWKQYSRVVFMQSYIGAAVRPEGWLAWDGEFALDTLYYGEYMNTGPGAGVGSRVRWPGFHVMTSPAEAGNFTVAQFIGGNMWLPPTGIKYTAGLTS >PAN27443 pep chromosome:PHallii_v3.1:5:5030662:5032134:-1 gene:PAHAL_5G081800 transcript:PAN27443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRALTSFLLTATILAALLATCHSGGIAVYWGQNDGEASLSKTCASSNYKFVIIAFVFKFGKGQTPQLDLSRHCDPSSGGCKGLSKDIHLCQRRGIKVLLSIGGAVGSYGLTSEGDARDVAAYLWNTYLGGTSSSRPLGDAVLDGIDFDIEQGGAKYWDRLARDLKNMGKKHGGKGVLLSAAPQCPFPDQWDGGAINTGLFDFVWVQFYNNPPCQASAGRGAFLDAWKRWQSVPAGKIFLGLPASKGAAGTGFVPAGELNSRVLPLIRGSPKYGGVMLWSKYYDDRTGYSSAIKSHV >PVH39433 pep chromosome:PHallii_v3.1:5:56707934:56708646:1 gene:PAHAL_5G500300 transcript:PVH39433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNTLMTMLFFVMLFGSLALPANIVNSTSLLDERKLTLIFCAQTLCNYFTPDKFTACYCCPDVIRKEYCHLKLEDCRANCATCKPNWS >PAN26567 pep chromosome:PHallii_v3.1:5:1333914:1336741:-1 gene:PAHAL_5G020400 transcript:PAN26567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVQSLARKLSLPSPKRSWSGSRKDGSGKRSLSRSEAPSFASASSSSSDDTLARSSTPRSVLSLSPAEIPRRELEAVLRRLGHGEPSDDELDAVAAMAAQPPAPGGEDELMEAFRVFDADGDGRITAEELRAVMEAILGGGAEGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMNATTTAAPTPTFL >PAN26545 pep chromosome:PHallii_v3.1:5:1335984:1336556:-1 gene:PAHAL_5G020400 transcript:PAN26545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVQSLARKLSLPSPKRSWSGSRKDGSGKRSLSRSEAPSFASASSSSSDDTLARSSTPRSVLSLSPAEIPRRELEAVLRRLGHGEPSDDELDAVAAMAAQPPAPGGEDELMEAFRVFDADGDGRITAEELRAVMEAILGGGAEGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMNATTTAAPTPTFL >PAN31997 pep chromosome:PHallii_v3.1:5:53983005:53984347:1 gene:PAHAL_5G455800 transcript:PAN31997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKQERKRGSAPGDGEPGRKKPRAQPQAVAPGGVVKQEPEEEGDATQGSNEGEASQGSSEGGGSVMAVQAMDEPRVKLTIGVSLLHCQACVLPLKPPTFKCEAGHVVCCSCRGKHGQACGRAATYAACRELDAFVRDAKLPCHYEEFGCKSLVVYYQAADHHGACEWAPCSCPAPGCYFFTSPRRLVEHFVTDHRWPVTKVRYGAACKLPVPAPPQGCHVLAGACSSCPSARSARPRPCRWCA >PAN32910 pep chromosome:PHallii_v3.1:5:58093910:58099198:-1 gene:PAHAL_5G521200 transcript:PAN32910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRPPAPMPDTLSDAFAAAVLLSSTDKPDTLPPGRLSPVSPLPHSSKHPTPSSSSGSSGSVSRAPPAHASGLASRRSHSGEIPLPSDAPPRGARPGHRRTGSGPLIFTSGASACSSSATSPLTNALPAGNICPSGRLAKPLPSSCSAATPPPPPPPRAARHDVLGSGTANYGHGSIVRSRSGGAPASEDDAMVRRAMAAADPEEVKRAGNEQYRKGCFEEALRLYDRALALCPDNAACRGNRAAALIGLRRLGEAVKECEEALRIDPSYGRAHHRLASLHIRLGHIEDALKHLSLAAPQPDLLELHKLQTVEKHLGRCLDARKAGDWKSALRESDAAIAAGADSSALLLAARAEALLLLNLLDEADLAISSASKLDYSSSCSSDTKFCGFLANAYLFYVHAQVDMALGRFDHAVSSIDKARIIDPGNSEVVAMHNKVKSVARARSLGNELFNSGKFSEACLAYGEGLKQHPVNKVLYCNRAACRFKLGQWEKSIEDCNEALKIHPNYTKALLRRAASYGKMERWVESVKDYEVLRKELPSDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMAISLPGVSVVHFMTPSNQQCCKISPFVNTLCTRYPSVNFLKVDVNESPAVARAENVRTIPTFKIYKNGMRVKEMICPSQQLLEYSVRHYGI >PAN28033 pep chromosome:PHallii_v3.1:5:7550683:7553456:1 gene:PAHAL_5G126100 transcript:PAN28033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPSVSLRPSAPRASPLPPPRAANPNTDSATTKTPSAAAAGAAGNMGAAAWWRRALGQRFNPAGVAAVAAVAASEPRLALPHASVQDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPALWPPLAAAFDQCRAAFPPGALAIYSNSAGLKEYDPDGVDARAIEAAIEGVHVIRHDTKKPGGAAKEIESYFGCSASNLVMVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVKRVRKLEAYIISYWYKKGHKPIEHPLLSDARKIVKFDPYDDSVTTKA >PAN33046 pep chromosome:PHallii_v3.1:5:58700195:58700647:1 gene:PAHAL_5G530600 transcript:PAN33046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVDSRSLAAAAASLLGHTTPEIRGHAGQQPRQSRGLQPFTASPTPPLTCKPSIPRATVARDPTQSNRSSPDSSRFYYPRHVARAAPGFPPHQSPPTAATAARPPLPRVAFRSNSPSPPPPPAKSRAPLGRQAAARTLTTRALQGSARE >PVH37970 pep chromosome:PHallii_v3.1:5:8350065:8352995:-1 gene:PAHAL_5G139300 transcript:PVH37970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNNVTGQQVSEETANRVDKTIEPPHGSPALNSTNSETNNEKHGTEDVNLSKSSKDPITTNAEDKQVASYQNSSSDKIVALDEKDRGSIQDIASTQEDDHSSNLPKDKPLRKEATETTVFSTEITALVSTTIEDAANNKDSSISSEKTKQLMHGTRDTSEENIESNYKSLLQENIEASQEDHEEISSHENATMVGNLAGEDTTENLEQGYIEKPKVEVAMEGETTSSTESFVSTYLDADDSEIKEVVIEDNPRPPPDVQPLDGTKIDTSKSDNIQTQIPHDEEEISEISKTATAKLMIESDEALKDVKHIHGLGDQDEDGTECTSCDNLYYGEVVAKCQSSLRNPTAINDQELRNEQNVEKTVAVWNLEDNFAAEKEPEEYDGDLISIAEATGKDFTGLHSSSLDCHLIVNEVVQREVNGVNGIIEFDKETVKKILEEDKVNTPEELGLHVDAHVVENEGGDSPNLPTTTPSTPLQLLEDTDKEIYITRDTQETMTSSQGDQSQRILLEEYEVVKLENGEILSNCVQLLRNSSNVGIIATNGINHEKEGMNTRTSDFTFEANHKEVTASTAATGFIAECNQAEVTESIDLATEEQCPLQTSTPGREVGEEIPLLQAAPNIGFFTSTKQHSQVDVEIPMTDIAVMQLKAEAKEESEKSPLLSPRETSGGDFRIPNHSARNKKPFQSLLTEGKIGMWSPLKEPESNPKSIFMASSPKSNEKQKPRSSLFTCCMCCATATN >PAN29673 pep chromosome:PHallii_v3.1:5:15288729:15291417:1 gene:PAHAL_5G243300 transcript:PAN29673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAAPPQVPRVKLGTQGLEVSKLGFGCMGLTGSYNAPLGDEAVAAVVGHAFRRGVTFFDTSDAYGPHTNETLLGRALARLPRGQVQVATKFGIVQGAGGGLTVCGKPEYVRACCEASLRRLGVGYIDLYYQHRVDTTVPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIGRGFFAGRGVTQQVSSESSLQKHPRFAADNLEKNKQIYLKMEDLAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIESLKVKLTDEDLKEITSQIRADDVAGGRQYNSYAHTAWKYADTPK >PAN26332 pep chromosome:PHallii_v3.1:5:221807:224382:-1 gene:PAHAL_5G002700 transcript:PAN26332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGRYTKDQSLKCDCLLFDLDDTLYPFTSGIAADIAKNIRDYMVHKLGVDESVSLELCILLYKQYGTTMAGLRAVGYQFDYDDFHSFVHGRLAYDKIKPDPVLRNILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSPPPAPCDKLEIFDIMKHLAHPEPGVELPKSPILCKPSREAMLQALEVASINPQTTILFDDSFRNIEAAKQIGMRTVLVGTSERKKGADYALESIHNMKEALPELWEEAEKDEDVRNSSKVGIETSVIA >PAN26330 pep chromosome:PHallii_v3.1:5:222538:224114:-1 gene:PAHAL_5G002700 transcript:PAN26330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGRYTKDQSLKCDCLLFDLDDTLYPFTSGIAADIAKNIRDYMVHKLGVDESVSLELCILLYKQYGTTMAGLRAVGYQFDYDDFHSFVHGRLAYDKIKPDPVLRNILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSPPPAPCDKLEIFDIMKHLAHPEPGVELPKSPILCKPSREAMLQALEVASINPQTTILFDDSFRNIEAAKQIGMRTVLVGTSERKKGADYALESIHNMKEALPELWEEAEKDEDVRNSSKVGIETSVIA >PVH38645 pep chromosome:PHallii_v3.1:5:27790593:27792791:-1 gene:PAHAL_5G314000 transcript:PVH38645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVNLLLMEVAAIVSIALLAILVVLSSYRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKWDAARVPLLLSWTVFLQILRNTIDTARSSTSTISSGGSNGSKFRPSVEQLARMGWVAFLIISSGGAAGSPLLTGVLLWLWVLSLLKLIHRLVAAELAKNSFAVGLNAYLVADYMKQIHGQAQAGEGGHDEVKVPPYFVMGEDKLHIEARPQGYRIDRASPPSLSIDGGHGHLVTVDRIWRLSSSGDPLLASCPHLKDLCLSFALFKLQLRRFIGCPLAEAGCHRAMAFVQEGLLSGSPERVFQVVETELSFVADFLYSKLAVFYASGRWFPVLNAILVLATWVSCLAAGGAIVQDMTNRGTALAEDYLDLRNYLQDHDTMFHVIVGLDVLVSISFIVAIVFTEGWEIANYVCSDWIKVSTICEYARRPSWLKSPLARRKLVRMLRLRAMQQWDDRFCQMSILQHRFCYCGCVSRQVDRIAKETVVVPAAVKSAIVETLRANQQGRLGNGELSLQRNGVADKLIWACRISATGEDNAELVLGSLSEQILMWHVATRLAELKRSQGAHGNVNSDNDGDGDNEQVVVATRLSRYCAYLVALKPGLLPDHLVWTEELYEGVVEEVTRVLARCAGPVVRYERAVTCLGGSMNATLKKASKLGRQLVEELADEELTWKVLADFWAELILYLAPSENATPHAKSLRGGGEFITVLWALLGHAGIVKRPESTDISV >PAN27780 pep chromosome:PHallii_v3.1:5:6464996:6467129:-1 gene:PAHAL_5G107000 transcript:PAN27780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTLQTLDDLISQFLSLDRPLWSSSDDADAFLEAVDELTSTIHGLENTSEDHVLLESFDLLLESCSMRLKDEFQRLIATSGLDDNHRDHNIRKSQDEDDSHTFVAPPIRDFDIIVDALPEGVATQANRIARRMIAAGFGDICAETYASARRNFIDESIARLGVHAHMAERFKSTSWEELETQIMRWIPAIRVVFHILIPSERHLCNCIFDGFTSYSDLAFATACMPFLQLLSFASFIAAAGQNPESLFRIVDMYDALTDILTVVDEAFHHEITAFRECLGLSIEGIFVALEKLIRRDSSESSPADGGVHPITRYVMNYLMAACASRHTLEEAMQSEFGCTETCLINPDRPTSSLAVRFAWIVDVLIENLESKSRIYGHVPLGCVFLINNGIYITKKVNGCELKVLLGEDWTRVISSKVHQWVSEYRRATWGRAIMILERDIRSGSSSSIVVEKLNHFHNFVKAICQVQSRWVLVEKRQAMDLSTMVEDLVIPVYRGAIGMLKATEAVGASYVRPEDVKFQIQQLFKAMAKF >PAN27403 pep chromosome:PHallii_v3.1:5:4839376:4839936:1 gene:PAHAL_5G078400 transcript:PAN27403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPNVSVFSHVVLARTRLTPPPIPPRNQPINQFNLEGERQSIHCFHSPPDPRRHAASTPSHLHGARAAVRRLSSSRGGNAGHRVRTALRVRRRPAAKEGAYFLHESKTAVGSLAEKLPDPLQRPAGLGATLPRRAPRDPPPLRAHQGDAAPGPTLPPRVLPLGDTTRRAQPAPFLRLASAGHLRP >PAN30537 pep chromosome:PHallii_v3.1:5:21448793:21449642:-1 gene:PAHAL_5G291300 transcript:PAN30537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKWLKRQIEQTVPGGCPPSPAEEAEFVATLDAEIDKINAFFLEQEEEFIIHHRELQEDISRALGRRAAGLVTPGQHEAAVAAIRREIVDFHGVMVLLLNYSSINYIGLAKILKKYDKRSSAVLRPPVLEAVVEQPFFETETVSQLVRECEAMMEAVFPEAPDGQAAARRDREALAAAEQSIFHNTVAALLTMEDVRAWSSTRGRHSLPPLNLPDSDWLRSFQTASPIPTQ >PVH39565 pep chromosome:PHallii_v3.1:5:59244763:59245539:1 gene:PAHAL_5G537000 transcript:PVH39565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELPRKGLGVPCILSHHPCQCIIFPCQLVSLHWSGSSASDPSPQTLAYVNKKGFYQGYMYHNISVCCKLSTNKNKVYSFLDSKQD >PAN27375 pep chromosome:PHallii_v3.1:5:4743927:4746212:-1 gene:PAHAL_5G076600 transcript:PAN27375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGPSHARRSLPLLTLLIHACVLLRVPAAVRAERTGYIVHMDKSAMPAHHADHREWYAAAVASVTDSSADDDVAEPQLLYTYDEALHGFAATLSTSELRALRGSPGFVAAYPDRRATTLHDTTHSMEFLGLNSASGLWPAAKFGDGVIIGMIDTGVWPESASFNDADMPPVPSRWRGTCEPGVQFTPSMCNRKLIGARYFNKGLVAANPSINISMNSTRDMDGHGTHTSSTAGGSAVPCASYFGYGRGTARGVAPRAHVAMYKVSWPEGRYASDVLAGMDAAIADGVDIISISSGFNGVPLYEDPVAIAAFAAIERGILVSASAGNNGPRPGTLHNGIPWLLTVAAGTVDRKMFAGTVYYDDMRGSIGGVTTYPENAWIVYTRLVYNDIVSACDSKEALANSTTAIVVCRDTGDLVEQLNAVAEAGVAGAIFISDDADFHDSMPLPAVIISPEDAPALLSYINSSTVPTAAMKFQQTILGTRPAPVVTSYSSRGPSPSYAGVLKPDILAPGDHILASVAPVRPFAMVGQTQLTSNFLVESGTSMACPHASGVAALLRAVHPDWSPAMIKSAIMTTATATDNTNNPIAAAGDGNATIASPLDMGSGHVDPNAAMDPGLVYDAGPGDFVALLCAANYTNAQIMAITRSSTAYNCSSASSDVNYPSFVATFGANATSGDMRFKRTVTNVGAGSAVYRAAWVSPRNVEVAVSPGTLEFNTVGQTATFEVVIKLTAPTGGEPAFGAVVWADVSGKYRVRTPYVVL >PVH37490 pep chromosome:PHallii_v3.1:5:1100862:1101338:-1 gene:PAHAL_5G016300 transcript:PVH37490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQWQSGRWCLHMLCRSNEQRLVLGQSVGRCVPVTLVHTTPCPASPAELKSEGSPSQRTRGGSVGLGPKPLWSPMI >PAN31367 pep chromosome:PHallii_v3.1:5:51188978:51190778:1 gene:PAHAL_5G414100 transcript:PAN31367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREMACFSGCAPPAAALSPFHVEQEPAAVPEEVYHGHVEDPEEVSTGRGHGGSSGQGKLCARGHWRPAEDAKLKELVAQHGPRNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLLAAHRAYGNRWALIARLFPGRTDNAVKNHWHVLAARRQRERSGAIRRRKPSSSCTTTTSSSHFAPAVAVAATVRSHYGSPPLPSHAGGAHAQHGIRTEAAATRACSGGESEESASTCTTDLSLGSAGATAAPCFHHSSNDGYDMAPRAAAFAPGARSAFSVPSPARHRAAACDDDSCGSLARHFYDFLGVGAT >PAN28484 pep chromosome:PHallii_v3.1:5:9415663:9416969:-1 gene:PAHAL_5G155800 transcript:PAN28484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPARHLFLVALVAVLAVAAEAWGGGRFFFSKTTRAEPTVEHEKAASAGSTTPDAADPNAAPAFSRPSTGVSGRGYGLYGRPEENYPPNYFRRGVHRNAEKLTTAAAATDVSAATRTTEEAAVPAGGGRGERGQQYPEDGSGRGRRPTNVPATTSYTEEEEAPARGAGDLDGVQPYPEDGSGRGRPPWYYTAFRGGRRQQGQDDQQRDYGMSDTRLYQNGRYYYDVDAGRYGYGRESNPMRTRPDAEEFTGSGYGRPAGAGGGRRGGYGNAAAGYPQYGDDDVFKNGAMDQTPNGFQEEGRNRRYIP >PAN32683 pep chromosome:PHallii_v3.1:5:56975513:56978128:1 gene:PAHAL_5G504700 transcript:PAN32683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGRLGEAGDSGLELSLGLPAYFAKPSGLDAGEEPGDAAAFALQAAKGSDGSKTRTRPAAAAPVVGWPPVRSFRRNLASSRQSPQSSSAHHQDGGVKGGGGAKGGGAGEGGHKGGLFVKINMDGVPIGRKVDLRAYGGYAELSSSVGKLFRGLLAAQRDPAATAVGRRCGEEAEEAAEPVIGGDYTLVYEDEEGDRVLVGDVPWEMFVATAKRLRVLKSSDLPASSLRAGGGRKRAAADC >PAN27586 pep chromosome:PHallii_v3.1:5:5534739:5538408:1 gene:PAHAL_5G090000 transcript:PAN27586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 7 [Source:Projected from Arabidopsis thaliana (AT5G02010) UniProtKB/Swiss-Prot;Acc:Q9LZN0] MGSAGEEEEREARSEAAFTDSADGSSSSSDAASTDEWPPAPARKPGCCASDSEVARQQHKPKRRAPSEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLPPEKKAMWRREMDWLLCVSDHIVELVPTWQSFPDGTRLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVEQGIAAPDCDSSASFRSAFHRRDEKWWLPVPRVPPGGLHDKTRKQLQHKRDCANQILKAAMAINSNSLAEMEVPESYLDSLPKNGRTTLGDIIYRYITSDQFSPECLLDCLDLSTEHQALEIANRVEASVYVWRRRVAPKLVNGLGRSSSARSSWGMVKDMMVDMEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVTRIDDLLNIDELNRHAEQFSATGDADCKIACSQTAVPSFPVPTSGTPFMTAYATPSFSPAQLASPSKKEKTSLTPGRRSQHSRSVGAKKALTDHVGTEVKGMIISSGMMIDVSTTTEL >PVH37593 pep chromosome:PHallii_v3.1:5:2481568:2483894:1 gene:PAHAL_5G039300 transcript:PVH37593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKTAEGGYIGFLSPLSVNSIWYGHPLANQQKRKEKRAGNDRCASGSTKLGGSEFYRTTQALAWRTKRRTPERRVPAAEANASPRPKRRGAPRPLGRRHGGLVIWSSTPRRGTLAAVPSCSLYTRANPAASGQHGGGLGTAQPQGNNGGVTGLRKPPRRGARRQ >PVH38201 pep chromosome:PHallii_v3.1:5:11881795:11884434:-1 gene:PAHAL_5G196000 transcript:PVH38201 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MLCCARYSLQLLQPHPSFPGGATCSCSCSSPSSSASGHQRQRLHPPSAVSPTTSRSTPPLTHPPDGDHPDEDGGSDDALLALLRAHDTDAAYRLFSSKPSLLPSSPTTASRLLSQLSFSSSSPDAFSRVARLLQSLRARGALDLLDDNSLSLAAAAAARSRDARLAHSLLLYMLRQGFLPDRRAYTAAVARLTPPTKALRLFDAVLRHLRRAPPELISPSCLPDTAAFNAALSACADAGDCRRFRQLFDAMSEWSAAADALTYNVVIKMCARAGRKGLVARVLERMLSSGLAPCATTFHSLVAAFVGFGDIPTAERIVQAMREERKDICLLLRAVAMECDDVPDVEQGAALLDDIVAVAKPGQGTDELPLLPKAYPPNARVYTTLMKGYMNTGRVDDVVAVLRAMRREAETVPASRPDHVTYTTVISALVAAGDMARARAVLDEMAAAGVPANRVTYNVLLKGYCQQLQIGKARELFEEMVTDAGIQPGVVTYNTLMDGCVLTDDSAGALAFFNEMRSRGIAPSTVSYTTLMKAFALSGQPKVAHKVFEEMERDRRVAVDRAAWNMLVEGYCRLGLVETAKQVVERMKERGVQPDVATYGSLAKGVAAARKPGEALVLWNEVQERCLEEADEELLGALADVCVRAAFFKKALEIVACMEEKGIAPNKTKYRKMYIEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRVEPLLEGDDPS >PAN30801 pep chromosome:PHallii_v3.1:5:22049654:22060982:1 gene:PAHAL_5G294900 transcript:PAN30801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKDIGLSAVINVLGAIAFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGTFVRKFVNLDMRSYLKFLSWMPAALKMPEDELISHAGLDSAVYLRIYLVGLKIFAPISILAFSVLVPVNWTNDSLEFSKVQHSNIDKLSISNIPVGSKRFIAHLTMAYVFTFWTCYVLLHEYEIVAQMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLAKLVKEKKKMQNWLDYYQLKFERNASKRPTTKTGFLGCFGAKVDAIEYYKSEIERIENEEAEEREKIVKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLANLEGIEKAVPFLKPLIEIPTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSISSLERRSASKYYIFIFFNVFLASIIAGSALEQLKSYLHQSANEIPRTVGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAAVTPFLLPFILIFFGFAYIVYRHQIINVYNQEYESAAAFWPSVHGRIITALIISQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPTFVKCPLQEAMKKDTLERAREPGFDLKGYLMNAYIHPVFKGDDDDEKFSIADEPEAEQVLVATKRQSRRNTPVPSKYNGSDSPSLPEIVNDQRL >PAN29207 pep chromosome:PHallii_v3.1:5:12764516:12765330:1 gene:PAHAL_5G209200 transcript:PAN29207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYAAAANKRARQDEEEASTPSSSANAAALFLHAASAPPPFGDFEPISAVPISAVPPQQLPPVSGREEPPCLREHLLKGLGLRADLPVHFIDDKRLTGTDLDGAIRRLCPLLTPVELHAANLLFLPGAARGVSGRPRRGQEIKKPKAKGKVHGGLRVNLVGLDGGAKQELLLSRWDSSHATVVKGEGYLDFARRCGFREGDAVEVWAFVQRRFRLFGEDVCGDSLLHVLVVKKDQQPARCATATALSSLLHPRSIHRS >PAN30670 pep chromosome:PHallii_v3.1:5:38951923:38952679:1 gene:PAHAL_5G351600 transcript:PAN30670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTRLASQRAVVIFTTSSCCMCHTVTQLFRELGVNATVVELDEDPRGKEMEKALARLLGRSTGVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >PAN30107 pep chromosome:PHallii_v3.1:5:18195403:18197744:1 gene:PAHAL_5G273800 transcript:PAN30107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRRRAPPTKPQPEEPPGADAPLEERLAWESHQESERQITAIKAIKKAEAGDICSWLQLVRSYLSKEQLEANALEYFQENLPNLSAVPNEKYDVLELKWNGGDRCIIGDFVDDKNLQASIASLPNAGGLQCPGISVGKDFYGHISSFSDFACSEQLPEGQRAGADAFQTPGVVSNQLSFGMTPKTVRLPKNGEMLLSVHGSPVGVYKEENLEVIQESGNGTEDAPS >PAN30104 pep chromosome:PHallii_v3.1:5:18195403:18197744:1 gene:PAHAL_5G273800 transcript:PAN30104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRRRAPPTKPQPEEPPGADAPLEERLAWESHQESERQITAIKAIKKAEAGDICSWLQLVRSYLSKEQLEANALEYFQENLPNLSAVPNEKYDVLELKWNGGDRCIIGDFVDDKNLQASIASLPNAGGLQCPGISVGKDFYGHISSFSDFACSEQLPEGQRAGADAFQTPGVVSNQLSFGMTPKTVRLPKNGEMLLSVHGSPVGVYKEENLEVIQESGNGTEDAPS >PAN30108 pep chromosome:PHallii_v3.1:5:18195403:18197744:1 gene:PAHAL_5G273800 transcript:PAN30108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRRRAPPTKPQPEEPPGADAPLEERLAWESHQESERQITAIKAIKKAEAGDICSWLQLVRSYLSKEQLEANALEYFQENLPNLSAVPNEKYDVLELKWNGGDRCIIGDFVDDKNLQASIASLPNAGGLQCPGISVGKDFYGHISSFSDFACSEQLPEGQRAGADAFQTPGVVSNQLSFGMTPKTVRLPKNGEMLLSVHGSPVGVYKEENLEVIQESGNGTEDAPS >PVH38470 pep chromosome:PHallii_v3.1:5:17230951:17233616:1 gene:PAHAL_5G267000 transcript:PVH38470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRTRTAQILEYSLLRLDKFGVAVAAYGRLPAYHGVEHTYNGIQSSTPLSTPSF >PAN29522 pep chromosome:PHallii_v3.1:5:14451693:14456325:-1 gene:PAHAL_5G232100 transcript:PAN29522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGVCYLNHLSFPPHRPLAPCSLLSIYLPSTYTLQPSQSTQPIDTDRRMKLRAKGLGLLLLLVLLALCSTIEVSEARRGKHWRPRSSPGSTQLKKGKGKKSSSHRQYGSNRPSPKPPVSSTPSPGAGKGNQNPYQQPSPTPDAPFSPSPSPANSSRHPSPKPPTPGCGKGHQQPSRPPLPPPASQGAVFNVVDFGAKGDGVTDDTKAFEGAWAAACKQGASTVLVPRELEFLVGPISFSGPYCKPNIVFQLEGTILAPTSARPWGSGLLQWLEFTKLNGIVIQGNGIINGRGQQWWTYSDPEDEDDDDTYDVELERMPQIKPTALRFYGSFNVLVSGITIVNSSQCHLKFDNCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHMNMACGDDCISIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMNGVRIKTWQGGVGLVQDIRFSNIQVSEVQTPIIIDQFYCDKSTCRNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSLPCSGISLTGVQLRPVQVPHYHLNNPFCWQAFGELYTPTVPPIACLQIGKPAGNNLQTYNDIC >PAN31925 pep chromosome:PHallii_v3.1:5:53665111:53676746:1 gene:PAHAL_5G450700 transcript:PAN31925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTSVLRGRVRHLRRPRPLTMPTPLFLSRNPNPSPSATNPHLPSDPRSAAMSTSGVYVPPMRRLRSVIASTNGSLAPPPSAAAQAQQAARTPEWPMDERSLSPPSPPQPRQRDIPPLPRPPQPEHFRQQSAGYARYAYDDFSEDDSDREMDRTSVSSKGGSTLDNVDEWKWKLHMLLRNDDEQEIISRERKDRRDFEQLAQLADRMGLHSRQYSRVVVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLADYLARKRTNSGNFPSAAFSRSSSTDSFATDEGFFDQQDNQTSTSAVMERIQRRKSLQLRNQQAAWQESNDGQSMIEFRRSLPAYKEKQTLLEAISQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRNHFLEDILEFTGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASAVEDAVEAADLRNYSPRTRDSLSCWNPDSIGFNLIENVLCHICQKERPGAVLVFMTGWDDINALKEQLQANPLLGDPSKVLLLACHGSMASSEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPRCVYDSFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVQNAIEYLKVIGAFDQNEELTVLGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRAGYDYCWKNFLSVQTLKAIDSLRRQFLFLLKDTGLVDENLTLCNKWSRDENLVRAVICAGLYPGISSVVNKEKSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMSRDLASTYLSLKNELENLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCSGRFVYGRQEQRSKKAKTMLSSSSMNGGGGNGGDNAKNQLQTLLTRAGNDNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAAEALNWLTGNGGGAATDSRDAGNADPMSVLMKPPRRRRHNHRRRS >PVH38781 pep chromosome:PHallii_v3.1:5:38895574:38896338:1 gene:PAHAL_5G351400 transcript:PVH38781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEETEPWQFHHCWVILRGEPKWHEKMVECNSGQKVNRKQSEIEINSLQTDNTFPERPEGRDSAKKKARVMADTSSSSTAVEMLQKMHERGEKNDEKEDELRQEMFQMERERLDLQKLNWEKWAAWEKKWAVMESNAKLRQNEYELNQWNADLIVMSQDLDKLAPPLRAMYEQKQMEIMKRRGINTPPTSES >PVH37919 pep chromosome:PHallii_v3.1:5:7270097:7270901:1 gene:PAHAL_5G120900 transcript:PVH37919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRRTRAQPRNDEARHWDSSGRAPEPRRQCQGAGGASVSNGNGGVGAAAARGWGEGPSLERRRQQIGRSHGGRVGDRRRRQMGRSHGGRVGDGRRRRMGRVRERER >PAN26727 pep chromosome:PHallii_v3.1:5:2110555:2115105:1 gene:PAHAL_5G034000 transcript:PAN26727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWALAIAILAAVLFLGAEAQAVQQGHQTERISGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGDNVFLKPGERILNWTAGPPGDLKPW >PAN31290 pep chromosome:PHallii_v3.1:5:50730645:50734648:1 gene:PAHAL_5G409800 transcript:PAN31290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTGRFVILALAYRFLVPILSAEPDHATRESYVVYMGSPSGGGGDLEAVQADHLQMLSSVVPSGEQGRAALTQSYHHAFEGFAAALTEKEAASLSGHERVVSVFKDRSLQLHTTRSWDFLEVQSGLQSGRLGRRASGDVIIGIIDTGVWPESPSFDDAGMREVPARWRGVCMEGPDFKKSNCNKKLIGARYYGVQPESASNASRAVAAPAATGSPRDTVGHGTHTASTAAGAVVVDAGYYGLARGAAKGGAPASRVAVYRACSLGGCSSSAVLKAIDDAVADGVDVISISIGMSSVFQSDFLSDPIALGAFHAHQRGVLVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRSFQSSIVLGNGAVVKGVAINFSNHSLSGGQYPLVFGAQAAARYAPLSEASNCYPGSLDAQKVAGKIVVCVSTDPMVSRRVKKLVAEGNGARGLVLIDDAEKDVPFVAGGFALSQVGTDAGAQILEYINSTKNPTAVILPTEDAKDFKPAPVVASFSARGPGMTESILKPDLMAPGVSILAATIPSAESDDVPPGKKPSAYAIKSGTSMACPHVAGAAAFVKSAHPGWTPSMIRSALMTTATTTNNLGKPVASSTGAAATGHDMGAGEMSPLRALSPGLVFDTSAQDYLNFLCYYGYKEQQVRKVSGAARFSCPAGAPSPDLIASGVNYPSISVPRLQRGRPATVARTAINVGPSNATYVAAVEAPPGVTVTVSPDRLVFSRRWTTARYEVSFDVAAGAGVGKGYAHGAVTWSDGAHSVRTPFAVNVL >PAN31647 pep chromosome:PHallii_v3.1:5:52580209:52581655:-1 gene:PAHAL_5G434400 transcript:PAN31647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLASCFIQHGAPSQSFKLYSLQRRPSTSLLRRSVPIVRCAAAHASTAAKATSVDVVNGSGNGGGGGGFDFETYLSAKAKAVHDALDVALRDLRCPASLSASMRYSVLAGGKRLRPALAIAACELAGGLAAAATPVACAVEMIHTASLIHDDMPCMDDGALRRGRPSNHVAFGEPTALLAGDALLALAFEHVARGSAAAGVPADRALRAVMELGSAAGVGGVAAGQVADMEAEGDPSVGLAALEYIHVHKAARLVEAAAVSGAIVGGAGDAEAERVRRYAHFLGLLSQVVDDVLDVTGTSEQLGKTVGKDAAAGKATYPRLLGLEGAHAYAGELVAKAEAELDGFDAAGAAPLRHLARFMAYRQH >PAN28929 pep chromosome:PHallii_v3.1:5:11279420:11283895:-1 gene:PAHAL_5G187100 transcript:PAN28929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAVGHGAGPSGLAPALQNDRQSGHEEARTSGWSSMDRRRTPPPITSVGTLSKQKAPVGNDVTTSNGAVISASGINFLGRSSGSSRRPVVSSSRDAVATDSSEPSRARTTDASPGALRRASGPQRSSPVHSAEQKRSSSGRHPSNVKNYDSTLKGIEGLNFDGDERVQY >PAN28928 pep chromosome:PHallii_v3.1:5:11278973:11284270:-1 gene:PAHAL_5G187100 transcript:PAN28928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAGHGAGPSGLAPALQNDRQSGHEEARTSGWSSMDRRRTPPPITSVGTLSKQKAPVGNDVTTSNGAVISASGINFLGRSSGSSRRPVVSSSRDAVATDSSEPSRARTTDASPGALRRASGPQRSSPVHSAEQKRSSSGRHPSNVKNYDSTLKGIEGLNFDGDERVQY >PAN29356 pep chromosome:PHallii_v3.1:5:13495873:13503469:-1 gene:PAHAL_5G220400 transcript:PAN29356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNKMKEDAMKELLRVSHNHHEYKNLLKDLIIQGLLRLKEPAVLLRCRKEDHHHVEHVLHSAKHEYASKADVHQPEIFVDHDVYLPPAPSHDDAHGQFCSGGVVLASRDGKIVFESTLDARLEVVFRKKLPEIRKLLFGQNAA >PAN27186 pep chromosome:PHallii_v3.1:5:4023489:4028319:-1 gene:PAHAL_5G064800 transcript:PAN27186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERIPPPSPLQYSPSPVHSSPHPLNSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASTMAPNHNFVDPERIEHGSPLRLPGHPVNGQPMDLEGWSGMQTEQMGVLQASSMGWNGAPALVGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIVDGRLSQAVSILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPHLSPSVSPFNSTGMKRAKTGR >PAN27833 pep chromosome:PHallii_v3.1:5:6738824:6741146:1 gene:PAHAL_5G111700 transcript:PAN27833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLFAEKLLVGLFASVVVAIAVSKLRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARKFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRHGWEAEAAAVVDDVRADPAAATGGVVLRRRLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICKEVKETRLKLFKDFFLEERKKLASTKAMDNNGLKCAIDHILEAQRKGEINEDNVLYIVENINVAAIETTLWSIEWAIAELVNHPEIQQKLRQELDAALGPGHQITEPDTHRLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKVLVNAWYLANNPDSWKRPEEFRPERFLEEESHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSLHILKHSTIVCKPRAF >PAN30013 pep chromosome:PHallii_v3.1:5:17221139:17222426:1 gene:PAHAL_5G266600 transcript:PAN30013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPAAISSGCCSSSRLSQPAGLPPSSTSRRRFKVTAMAPKKKVNRYDENWSKQWFGAGIFAEGSEEVSVDVFRKLERRKVLSTVEKAGLLSRAEELGVTLSSLERLGLLSKAEDLGLLSLVEAAAGASPSALASVSLPLLVAAVAAVVVVPDDSAALVAAQAVVATVLTAGAAGLFVGSVVLAGLQESD >PVH39007 pep chromosome:PHallii_v3.1:5:51343194:51343832:1 gene:PAHAL_5G415300 transcript:PVH39007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PVH39356 pep chromosome:PHallii_v3.1:5:55751958:55754200:1 gene:PAHAL_5G484900 transcript:PVH39356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Dehydration and salt stress toleranc [Source: Projected from Oryza sativa (Os01g0165000)] MDLGHGGQGGEGDSSGGQVRKKRTRRKSTGPDSIAETIKWWKEQNQKLQDESGSRKAPAKGSKKGCMAGKGGPENGNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTAVEAAHAYDEAAKAMYGAKARVNFSENSPDANSVCTSALSLLASSVPAVALHGFNEKDEVESVETEVHDVKAEVNNDLGSIHVECKSVEVLQSGESVLQKEGSVSYDYFNVEEVVEMIIIELNADKKIEVHEECLGGDDGFSLFAY >PAN32503 pep chromosome:PHallii_v3.1:5:56165616:56172368:1 gene:PAHAL_5G492500 transcript:PAN32503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASTAAAAAMAASGEGPGPLLFDVSFLRQPLFIHGVGAGAHVILALAVAGRLLLRGGGRAAAKDGGGASPRRGVRGAFRCYGAAACATWALAAFDALLAAYACYLGAGAGWSRDAAVELADAAARAVAWLLLAAYIQFGFGRRREERFPAPLRLWWALFLLLSVLAAAAHVATTLGGLSVPARSWALDAVSVVAAAVLLCAGFLGGREGGGSAAEEPLLNDAHGTATAVESGHSAAEASKFTGAGFLSMLTFSWMGPLLAVGHKKTLCLDDVPGLDPGDSVAGLLPTFEANLEAVAGDVSGSRRKAVTAFKLTKALVRTVSWHVAVTAFYTLVYNVATYVGPYLIDSLVQYLNGDERYASKGQLLALAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLALSSQSRQSRTSGEMINIISVDADRVGIFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKINELRKTETNWLKKYLYTSTLVTFVFWGAPTFVAVVTFGACMLMGIPLESGKVLSALATFRVLQEPIYSLPDTISMVIQTKVSLDRIASFLCLEELPTDAVQRLPSGSSDVAIEVRNGCFSWEASPQLPTLKDLNFQARQGMLIAVCGTVGSGKSSLLSCILGEIPKLSGEVKICGTTAYVSQSAWIQSGKIQDNILFGKEMDNEKYERVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQEADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKDGRVAQAGKYNDILGSGEEFMELVGAHKDALTALDEIDAADGSNETSSRGTAKLTKSLSSAEKKDKQNEGNNQSGQLVQEEEREKGKVGFWVYWKYLTLAYKGALVPFVLLAQILFQVLQIGSNYWMAWAAPVSKDVEPPVSMSTLIYVYITLAVGSSFCVLLRALFLVTASYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFASIQLVGIIAVMSQVAWQVFVVFIPVVAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFSKENQFVTANSHLMDAYSRPKFYNAGAREWLCFRLDVLSSLTFAFSLIFLINLPPGIIDPGIAGLAVTYGLNLNTLQAWVVWNMCNLENKIISVERMLQYISIPEEPPLSMSEDKLAHDWPTQGEIQLRDLHVKYAPQLPFVLKGLTVTFPGGLKTGIVGRTGSGKSTLIQALFRIVDPTIGQILIDGVDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDDQIWEALDCCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSEATVITIAHRITSVLDSDMVLLLDNGVAVERDTPARLLEDKSSLFAKLVAEYTMRSTRT >PAN30535 pep chromosome:PHallii_v3.1:5:21403376:21405675:1 gene:PAHAL_5G291000 transcript:PAN30535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREAAATRPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQRGIDPATHKPLEDDEAAANSGEAPRDDCKQLSAATDDHCGFAMGAAASSDPLAPHSPTVSFDPLSVTNVPAMQGSYGAAHSFRSDNLCDYGGVDAASDGAAAYSAAAYTGSGADSSSNSNGTWTCGNVVGGEPMPQLDMFGREAYHQFDPAKYSPWQQHEAARLHDGVGGAAGFPIRSMSRDLPDSCFDLARSALEDEFSVDFL >PVH37637 pep chromosome:PHallii_v3.1:5:3116708:3117906:-1 gene:PAHAL_5G049800 transcript:PVH37637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFVVNLFGSFALVPLTVVFTSMIVLKIKGKSGGRWSRIWHWGIIIAVSSFHGSRRRYHCRHRPFDLPQRQGLPLLRRHVTAPNQRGKERRVKRVQMLPQYVLSARHPPC >PAN29381 pep chromosome:PHallii_v3.1:5:13653288:13655223:-1 gene:PAHAL_5G222200 transcript:PAN29381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMYANRNYVGEDVLLINSVTITSSYWILMIIFAILVCCSMGWLVWHPNIIISQETEKILNRNTRSWGITGIVLFALVNVMPFSDLIANSVAAGLAFVQVLWLLVLRILHREVVGQITDVENLRSVFLSISRSLDRLATAARTEAFWTGDYLSKLHDSLDIGWQSLSLMELTFSLLESELIAKTYSLAAAAAAATEAASRATANAALALCRSFLGTCRTNMTAIDDMKRATKNKLSADVPIAGEDLQTSIKVVSEATRGLANHLR >PVH37685 pep chromosome:PHallii_v3.1:5:3645187:3648077:1 gene:PAHAL_5G057700 transcript:PVH37685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRKVACVLVVWALVAAGLGPVSARAPLGRKPQREFDYFALSLQWPGTICASTRHCCASNGCCRSEPLQWFTIHGLWPDYDDGTWPACCRRTQFEMDKILPLKDMLDKYWPSLYCSSSSTCFSGKGPFWAHEWEKHGTCSAPVVQDELQYFTIALDLYFKYNVTEMLSSGGIQISNGKEYALSEVIDTIKHAFGGSPQIVCKRGSVEELRLCFDKELKPRDCLTTSLTNGSVSRTKHCPQYIKLPKYDPLVLANSTGEIMTQFDDFEVSAAIYTA >PAN29317 pep chromosome:PHallii_v3.1:5:13207937:13213527:-1 gene:PAHAL_5G216200 transcript:PAN29317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTEQQHHQQQQQRRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAVDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARRYLTSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCHPITKMEFEFERKKVTKEDVKELIFREILEYHPQILKDYMDGTEKTNFLYPSAVDNFRRQFANLEENGGKSGAQTPSSDRKHVSLPRTTTVHSNPIPPNGNSQVPQRIPTARPGRVVGPVIPYENLTAVDPYNQRRVVRNPVLPPATSNLSAYTYHRKSDNSDRELQQELEKDRMQYQPAQRFMDAKVVPQMSPDLRSSYYIPKGVPKTDVVERAALQSSIIHGIAPFNGIAAVGGGGGYNKVNAVQYGVSRMY >PAN29555 pep chromosome:PHallii_v3.1:5:14623165:14624077:1 gene:PAHAL_5G233900 transcript:PAN29555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLSTSFLPIPAASRATARTLRSVVPSQGMRCSMRKKGLHPQIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSALVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFEPEGDN >PAN28844 pep chromosome:PHallii_v3.1:5:10988532:10990651:1 gene:PAHAL_5G181700 transcript:PAN28844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLLRFHLPTNLAHLLKTRPLQALLSDASTSRAARHLFDAVPRPTAGLCSAFLSGLSKLSLHRELIEATSSLHCRGACLPPGCVPLVLKSCALSSALCQGRQAHCHALVRGMLGDVFVQTALVDFYAKNGDMVSAVRVFEEMPVKDPIPINCLITGYSKSADAEKARRLFDGMPRRTSASWNSMIACYAHGGEFQEALALFDRMLSEGASPNAITITTVFSICAKTGDIDTGRRARALIGERDLQNMIVHTALMEMYVKCRAIDEARQEFDRMPQRDVVAWSTMIAGYAQNGRPHESLELFERMKATNCKPNEVTLVGVLSACAQLGSDELGEQIGNYVESQRLPLTSYLGSSLIDMYTKCGHVGRARNVFTRMEQKVVITWNSMIRGLALNGFAEDAITLYEKMLSDEVQPNEITFVALLTACTHAGLVDKGMAFFEEMKKKYHVSPQVEHCACIVDLLCKSGRLWEAYKFICDMEVQPNAVIWSTLLSACRALADVELAKLAAGKLLAIEPDNSSIYVLLSNIYADAGLWGDVREIRDLMRNKNVQKLSAYSWIELDGEVHKFLVQDTYHRRSAEIYNVVDGLGLQLDRTNPDPELISEAC >PAN29325 pep chromosome:PHallii_v3.1:5:13238174:13243136:-1 gene:PAHAL_5G216700 transcript:PAN29325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEEQLPPQVSDVSKVQDGVTKPSLGKEPVPGSELWTDGLICAFELVKGHRKPAHHKSWPTIEQMQEKGSTMHTRKHPRRNGHQIIAPKVDESIVLENPHQTEFCNNPSVLKDRPVYAGEILDHKWVPIGWSRIAELVQRVQSDSSWENELMEFSDSEDDYTVADLAAPYWQRPVGPTWWCHVTAGHPSIDAWLNSAHWMHPAIRTALRDESKLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNHEEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLLAGGGQTPRSVHEVIAQLVSRLSRWDDRLFRKYVFGEADEIELKFVNRRNREDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIVHELLRHLRGNATRAILESIRKCTRNMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFTGLLFFLGIVLVSLGMLYLGLQNPVNSEKVKVRKLELQQLVSMFQHEAEQHGKVREGLSRHGTSSSSTEASDEGYILIS >PAN26339 pep chromosome:PHallii_v3.1:5:247469:254356:1 gene:PAHAL_5G003400 transcript:PAN26339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MENGHAKYRVAVIGSGNWGSVASRLIASNTAKLPSFYDEVRMWVFEEILPTGKKLSESINEENENCKYLPGIKLGPNVIADPDLESAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEVKMEGPCMISKLIADTLEINCCVLMGANIANEIAVEKFSEATIGYRKDKEVANRWAKLFTTPYFLVSIAEDIEGVELCGTLKNIVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAREVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSLIGGPTPFY >PAN28887 pep chromosome:PHallii_v3.1:5:11109867:11111321:1 gene:PAHAL_5G184100 transcript:PAN28887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQVTPRPEAAAAAELTGFVMSAEEAERAAAAAGVATVQDLLPLLVPSAMKRAIVPISRFPVGAVGLGASGRVYVGVNLEFRGLPLCHSVHAEQFLVANAAAAGESALRAVAVSHMPCGHCRQFLQEIRGASGIQILVTTDAEEGCAPEWRTVASLLLRPFGPHDLLPEDVPLVLEPHDNTLGDPVPAVANGFAAGDLEARLREAAEAAARASHAPYSECPSGFAVADGDGRIYAGGCLESAAYNPTLGPVQAAIIAMVAAGGGPAGDVVAAALVEKERAAVAQETTARTFLDAVAPHASLHVYKYRPSDA >PAN27896 pep chromosome:PHallii_v3.1:5:6992427:6996869:1 gene:PAHAL_5G115900 transcript:PAN27896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVALLLFLSAQGAAPVLGFTRGDFPEDFVFGSATSAYQYEGAVAEDGRSPSIWDTFTHAGRMPDKSNGDIAADGYHKYKDDVNLITDTNLEAYRFSISWSRLIPKGRRTVNPKGLEYYNNLIDELVRHGVQVHVMMYQLDLPQVLEDEYCGWLNPRIVEDFTAYADLCFKEFGDRVSYWTTLDEVNVAAIGSYDIGQIPPGRCSDPFGVTKCTVGNSSMEPYIAAHNMLLAHASATRLYRAKYQAVQKGVIGINIYTLWPYPLTNSTSDLEATQRFRDFYLGWIVEPLVFGDYPQAVKNNVGSRLPSFTKVQSEAVRGTLDFIGINHYYSVYVNDRPLEKGIRDFALDISAEYRGSRTDPPIGQQAPTSIPADPKGLQLLVEYLNEAYGNLPVYIQETGYATRNGSLHDTERVDYMKNHIKSTLTALRNGANVKGYFAWCFVDVFEYLTGFKSHYGLYRVDFEDQALPRQARLSARWYSEFLGNKEIQIREENELDDAGSHAQQ >PVH38718 pep chromosome:PHallii_v3.1:5:33857525:33858491:-1 gene:PAHAL_5G333300 transcript:PVH38718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVANLPSFAISFATAPTALANCPPLPRVISMLCMAVPKGISVEVDSSFFSKNPLPNCTSFFQGIRLSRCI >PAN30182 pep chromosome:PHallii_v3.1:5:36542469:36543623:-1 gene:PAHAL_5G339300 transcript:PAN30182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILDHFSDLCSITETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVAVNPKQSKCTVTGYVEPAKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEVRYMTMFSDENVNACTVM >PAN31426 pep chromosome:PHallii_v3.1:5:51547855:51550461:-1 gene:PAHAL_5G418900 transcript:PAN31426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFSYEEIEAATGGFAAKNLVGKGSHGSVYKARLRGGGSRTAVVAVKRPSHAQGVAKLANEIAVLSAAPRHPGVVAFVGVVARPAPSAAHEGVKRVVAAPPAPPLLVMEYVPNGSLHDLLHRAPRPPPWPRRVEIALDVARAVQALHAAAPRVIIHRDVKSANVLLGRDGRARLADFSLAVRVAATAHSKEEEYGDEGSAGPAPAGTIGYLDPCYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVNACPSSIVAWAAPLIAAGRAREVLDARVAQPPTARAEGAVARVLALAARCVSESVERRPAMAEAVSELHGALESAGWRRRRRGAVERACRRVASWGQRVRWSKRVRATKVECTEHSDSGVALDREGSPCALPSHPNNDTSGGALK >PVH37542 pep chromosome:PHallii_v3.1:5:1647667:1648779:1 gene:PAHAL_5G025900 transcript:PVH37542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLPRRGEGVAIFGGAPLFLHPESSTGDLTTTLAFAPLRSKRGNPLYYIPVQGIAVNHAQVPLPAHALTAGGVVLCTRVAYTALRADVYRPVVDAFDRALARNDAKVPAVAPFELCYRSSMLWNRLLGYAVPDIALVLEGGKSWTFVGSNSMVDVNSQTACLALVEMKGVKAGDPSAAAVVVGGFQMENHLLQFDLEKKQLGFAKVPFLSACSNFNFTKTQ >PAN33062 pep chromosome:PHallii_v3.1:5:59185161:59188915:-1 gene:PAHAL_5G536300 transcript:PAN33062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKMEMEDDGAVGGTGGTWTEEDRALGAAVLGTDAFAYLTKGGGAISEGLVAASLPDDLQNKLQELVESEGPGTGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVGAAASAGSDDTKQLMRKRVLQRLHIAFGVADEEDYAPGIDQVTDTEVFFLASMYFAFPRRAGGPGQVFAAGIPLWIPNNERKVFPANYCYRGFLANAAGFRTIVLVPFESGVLELGSMQHIAESSDTIQNIRSVFTGARGNKAAVQRHEGNGPTPPERSPGLAKIFGKDLNLGRPSAVPAVGVSKVDERSWEQRGAAGGGTSLLPNVQKGLQNFTWSQARGLNSHQQKFGNGVLIVSNEAAHRNNGAADSPSATQFQLQKAPQLQKLQLQKLPHIQKTPQLVNQQPLQPQVPRQIDFSAGSSSKSGVLVTRAAVLDGESAEVDGLCKEEGPPPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKDMETERERLLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPMESHPVKKVFQAFEEAEVRVGESKVTGNNNGTVVHSFIIKCPGTEQQTREKVIAAMSRAMSS >PAN26348 pep chromosome:PHallii_v3.1:5:269620:272192:1 gene:PAHAL_5G003800 transcript:PAN26348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPSLRRLLALRGNRFLAHRLLLSTATATTPNPPSPEAVIYNLHNLSNDSSRALAFFRRSAAAGQPVCSAAYNIMLRTLASDPSSAQRHFWPFLREMQEAGHSVDQGTYLAALASFKKASLSADYAALTAHYNKSREEAKGGTTTSAAAEAVRELDGADLDGKLGAIGLLPLTETAVAKVLRELRDHLTKALAFFRWAGRQQGYKHASVAYNAMARVLGREESMPEFWDLIQEMKAAGIHVDIDTYVKLSRNFQKRHMLKEAVELYELMMDGPFKPAQKDGPLIIRRISLAPSPDLDLVNRVVRKFEAVWNKKTKMLFDGIHRALTSNGRFDEAAEVVQRMRAQGHQPDNVTYSQLVYGLCKADKLDDARKVFDEMEAEGCIPDLKTWTMLIQGHCAAGDVDRALQYLTEMIDKNLDADADLLDVMVRGLCGHEKIDAAYTLFVEMVDKAHLRPWQGTYKRVINELLRIKKLDEALALLRSMKALRFPPFTEPFPPYIAKHGTVEDARDFLKALTVMNTCPAPAAYLHVLKSFFAEGRYSEAQDLLYKCPIHIRKHPHVSELFESIKVESAS >PVH39499 pep chromosome:PHallii_v3.1:5:58040791:58042917:1 gene:PAHAL_5G519900 transcript:PVH39499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDQLNFTGNGKETNKRVGPKQSKGGKKNLDPSLLHSSSCQPCPPPPPSPHRTPPRFASSAQIPKSPSSIRRRPRSAGAVDPPRMASGPPSPSGKPYSRKSHASSKAPSIPSFDAHSNGPLLPSVTFSLPSTPASRRELRRRLSAELAQVRAASKRLNSLPAPAPSSALSATDPSTPLPPQPPASKHKSKKGGGAPQPQPHLSAEARRKLYAPVFKSCAALLSRLMKHKHSWVFNKPVDASALGLHDYHTIITKPMDLGTVKSKLAGGHYKSPREFAGDVRLTFQNAMTYNPKGQDVHFMAEQLLNMFEEKWTEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSRVLERSDSTVHAAGVEATPKPHTGRPPVLKKPKAREPNKRDMTFWEKQRLSNNLQDLPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAELSAVRPDEAEAEAEADPDQELEKIEHVRQDEADQDQIPAVQEPIPEPEAGDVEPPKENAADDNERYVGESSPGHLEDPKGETAGRTSSSGSSSSDSGSSSSDTDTDSSSADGSDAAQSPRT >PVH37774 pep chromosome:PHallii_v3.1:5:5398526:5398915:-1 gene:PAHAL_5G087300 transcript:PVH37774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRRGPSRTPTPVLLPLPVGAAARTQGQQQQHAPDERQAGAGGSAREEETRAAAAGGRPVRDAAATTVEPHGQGDRRWARPSAGGPAGGMREEPPLTVSEAAASTTSFKDQSRAVDGPDPSTTGVGAA >PVH38491 pep chromosome:PHallii_v3.1:5:17823852:17824836:1 gene:PAHAL_5G271000 transcript:PVH38491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRNIALLFWNHEAKAKKIASSSPSIPVPVIDDGNGTGSTPTPIVCDGSTPVVDDGNTIRLYWILL >PAN28899 pep chromosome:PHallii_v3.1:5:11150570:11155408:-1 gene:PAHAL_5G185100 transcript:PAN28899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGSSNDLASKSSLTLGELACAVLLPVLAVLDAALLAASRCFEKSPPRLLPALDAGARLRAGGRLTFRELAELADESRCFSVNEVEALYELYKKISCSIINDGLIHKEELQLALFKVPSGKNLFLDRVFDLFDQKKNSVIEFGEFVHAISVFHPNAPLEDKIDFSFRLYDLRQTGFIEREEVKQMVIATLMESQVELTDELVEVILDRTFEEADTDKDNRISRVEWKAFVLRHPSAIKKMTLLHLKDTTAAFPSFVFNTQVED >PAN31078 pep chromosome:PHallii_v3.1:5:49104642:49106749:1 gene:PAHAL_5G393700 transcript:PAN31078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLHVPPSGSRTQRFYYLLLFHVHPFWIHLSYFLFVSLFGFLMLKALPMKTSAVPTPSGLDLIFTSVSATTVSSMVAVEMESFSNSQLLLMTLLMLLGGEVFTSMLGLHFTYTKLKKREQSHGLDGNGPPATSLELSPMGAPVAAVTIDQMEIAGFKNQRDLPSVARILMFIVLVYVVVVHLAGYTLMLVYLYAVAGAREVLIGKKINPSTFSIFIVVSTFANCGFVPTNEGMVSFKSFPGMLLLVMPHVLLGNTLFPVFLRLSIRALERVTRRREFGELLVSGGPGGGPAAAASAIGYDHLLPGARTWFLALTVAALLAVQLVVFCAMEWASDGLRGLSAFQKLVAALFMSVNSRHSGEMVVDLAAVSSAVVVLYVVMMYLPPYTTFLPVAMEDHHDEKSKTTSSKSVWQKKLLMSPLSCLAIFIVVICITERRQIADDPINFSVLNIVVEVISAYGNVGFSTGYSCGRQVKPNGSCRDACIGLSGKCREGKLALMAVMLYGRLKKFSMHGGQAWKLG >PAN27177 pep chromosome:PHallii_v3.1:5:3993176:3997033:-1 gene:PAHAL_5G064200 transcript:PAN27177 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MELRLCLRLNAPLPPAATPPFPAHAVLPASRRLRTGGSYGVALSALRRHTRRSNPAIRAAIADGEQGVAVKERSVSVILLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYRDVFEGSIETLQIPLKFACPGKERQDSVFNGLQETDGDSELVCVHDSARPLVSSEDVKKVLEDAAVHGAAVLGVPVKATIKEANSDSFVVKTLDRKTLWEMQTPQVMKPNLLKDGFELVRRDGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDMLLAERLMNEK >PVH39509 pep chromosome:PHallii_v3.1:5:58217574:58221284:1 gene:PAHAL_5G523100 transcript:PVH39509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALTRLHRSLVGGGGDEEEDLPEESILGDTEDLCSLSQLQRVYAFAACLAAGLALMILSFIVFARPIKFAVMFTFGNMLAVGSTAFVMGPQKQLRMMFDPVRLYATAIYVGCVVLALIFALWIHDKLLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKFCDTEL >PAN29060 pep chromosome:PHallii_v3.1:5:11987327:11987617:1 gene:PAHAL_5G197800 transcript:PAN29060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPVFLLVAVLVGLLAGRAVADFSALAPCDVMQLSPCASAFAGKGSPTAACCGRLKTHGSNCLCRYKDDANLRRLVDTRHKRRVFTACKVPVPSC >PAN29617 pep chromosome:PHallii_v3.1:5:14970493:14972344:-1 gene:PAHAL_5G238500 transcript:PAN29617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILRLLAARRFRRRRRAVSTITATATAPVTPRGGGCGGYGYGCAYGEDEGPFFDLDLSCCSAPASTAGSQAAESGSESDDYPSSCPAAAAAANARGDLDFVISLQRSRSASPSYEERLFFRSTAAAAPATPLPPPLMFCASEPSDAASRARSSNAGRRGGSSSMLQLRTLSFGSAKAALYGGRASFSRSASSSARSAYRLFAAYGGGSPDVQDLRRDEARARPPSGDVFRRYISKISSRLRRVAPAAAADLRLQKSRSASAAQVSAAAATQSPPARRDDSLAEKQDGIASAIAHCKESLHRASISELDTSLLRSRSDPGP >PAN28818 pep chromosome:PHallii_v3.1:5:10842928:10845339:-1 gene:PAHAL_5G179800 transcript:PAN28818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESNGLRGTAPAAAGLFRVPGLFVRLGSSKGAAAASNAVDAADSVWSPTSPLDFKGLRSSPPRVGLGLVDALTADGGCSVQLGCRSSFLDSIRPFLELALPKVVCGSKAASSAGVAATLDEVNDYADSEEYTCVISRGPNPRTTHILAGETLEVRGKGEAGGGGRGKAIFSIEPLSDQQTSTSSPAAAPASAASGRCRCCMKRLPEDRDIFMYLGKAFCSNECRKEYIEEEIEEAEELMNLDSALNL >PVH38177 pep chromosome:PHallii_v3.1:5:11716930:11717364:-1 gene:PAHAL_5G193800 transcript:PVH38177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCPWLRPPLDSAWRKTLMILFS >PAN32473 pep chromosome:PHallii_v3.1:5:56021291:56025994:-1 gene:PAHAL_5G489300 transcript:PAN32473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCGSKDPRVPIIASLVPFLLLFIVSCAAALASAKKDGGKGARAQALFCAGDERAAYRRIMSRMARMEKDSNKTIQSPDGDIIHCVPSHRQPAFDHPKLRGQKPEDEPLARPMLKGGAAAEEEEAVLFPQAWSDGGERCPEGTVPIRRTTAQDVLRASSALRFGMKPRASNARRDSTSSGHEHAVGYVTGDQFYGAKASLNVWSARVASAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPHRGNWWLQLGSGPLVGYWPSFLFTHLGAHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNVQVVDGDNSLVPAAALRLVADHPGCYDIRGGYNRAWGNYFYYGGPGRNVHCP >PVH37734 pep chromosome:PHallii_v3.1:5:4473999:4475993:-1 gene:PAHAL_5G072500 transcript:PVH37734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAISPRVIRFYLIPLPSFIPLTPFPTQIEMQILSCQSPPPASSELTQIPPQSTPAPTVKEQLAARDLQKYRDAHRISPNLSHHPSFHKTLVQIFLINQLQFSSPSQNMTDQVIIDPTKRRRKEKEKRRGSRCH >PAN27610 pep chromosome:PHallii_v3.1:5:5691100:5691398:1 gene:PAHAL_5G092300 transcript:PAN27610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELEPPFRPREKLLELQRHFQNVHKHTYLKGRYDAVTSVGIPLALAASSLFLIGRGVYNMSHGIGKKE >PAN33088 pep chromosome:PHallii_v3.1:5:59074850:59081281:1 gene:PAHAL_5G534600 transcript:PAN33088 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) UniProtKB/TrEMBL;Acc:A0A178VIA7] MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVAEFVLKRLDHKSPLVKQKALRLIKYAVGKSGNDFKREMQRHSAAMRQLVHYKGHPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAVATESLGKRIQGFGNTNYEPSRIDDKKSFLSELSEVVGIGSASIKQGLSNFAAAHAMMANDNGATYKSPNLRRSLTTESERYGRYDPSEIQSESRASSGASKNVASGSWGPTPSSSAPTDDTSSSQPGIKTREERLLETIVTASGVRLQPTRDALHIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLREKASKVLNMLIGEQPNVTTNLSATKTPMPPPVQMPDLIDTGDQDDLGTQSSGQESNGQNNGNSAHVSSVDDLLGGEPIADISVTSDSNGSDPFADVSFHEAETKETNDLFSGLTVEEKSSTAMHDSSSSNKNELPDIFGSNPDPFIQGSVGDQGTVNDLMAGLNLNGTGQAQPAVKAEPNTNFNGSQFFDTNNQTSHVAGAAALNGILGQNSFYQQQQVPLQYSLPQQMMLNQSFPGQQLNYGAMGILLAQQQQLLQNFGNFNAGLGNSSFNSMNSGNASVLPDIFNSSNQPQNHVAVMSNSKKDDTKAFDFVSDHLAAARGSRK >PAN27286 pep chromosome:PHallii_v3.1:5:4426427:4430358:-1 gene:PAHAL_5G071800 transcript:PAN27286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRSATTGASPAAASTPRSVKRRLTSPGASRHTSPHRSPHAGAGTVCTPKLLSASPKSSRKRLYGDLVAAEKPKWNPRDPAQMRAVKEALHVATVPSYELVCRDNEQRRVFEFCKACVQQEKAGSLYVCGCPGTGKTLSINKVKQSLVCWADEMGMLTPDSLTINCTNLANTSEIFSKILGQFQNRKKGRGKLSPLQQLQSMFSNKESAPRRMMLVIVDEMDYLITRDRAVLHDIFMLMTCPYSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEVLEAKLQDSSDQELGIVTFDHMDIALSKAFKSAVVDSILCLPQQQQMVLCALANTFQHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMILSDQGFMKLGQSKEDKLRRVTLKIDISDIGFAFKGNRFFQKCLEQPRC >PAN27830 pep chromosome:PHallii_v3.1:5:6712297:6714375:-1 gene:PAHAL_5G111400 transcript:PAN27830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDPDSKLSDLLAGGYHLNTRLQALLISRPLDRRGQEEAMAFSQELSRVFMASMSMLNSNAVAPEVRTGNSSGVSTPAKDKRARSDNEVVTPSKKSREEGLTRKELTPSPHKDGYQWRKYGQKKIQNYNFSRYYFKCNRHRRCEAKKKVQQQDGGQGRLPPMFEVTYVNEHTCHELRASDDAAARMVSPWTTSRHHALAGVVDTARGGDGGGLFDLLPHIIGGGCAEENEAMVSCLAAVVSGSVPSPPPPSGWPPAPEVSASDPGASFVPPAASGRSASVADDGAMMMMVVDDRGFSWDPWWSFCPLEEAAGHQLVTNRNQHHGPMHMDDAGGLADAVWPEHTCGAWRRA >PAN27234 pep chromosome:PHallii_v3.1:5:4226650:4229004:1 gene:PAHAL_5G068400 transcript:PAN27234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVRRADPSTAYTDNLYIHKFAAPSSNFAVRRFSSDTQLFRYGPEPYNGEYGHMGFTGSPSAAFQNSFCSQQASLTPYHLTADGRSLSVADTQSNSCSDAAKESPVASNVSQQNSQSVSDTQSSEIEVEFDEDEIRLKLQELEHALLEDGDEILFEISQAGSINDEWADPMKNVLLPNSPKESESSISCAVSNSRATRTPKQLLFDCATALSEYNIDEAQVIISDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGNGIYKALTCKDPPTLYQLSAMQILFEICPCFRLGFMAANYAILEACKGEERLHIIDFDINQGSQYITLIQFLKNNANKPQHLRITGVDDPETVQRPIGGLKVIGQRLEQLAHDCGVSFEFRAVGANLGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFLTRFREVYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTQCPFNSNVICGIKSVLKSYCDRYKFEEDHGGLHFGWGKKTLIVSSAWQ >PAN32974 pep chromosome:PHallii_v3.1:5:58398981:58402694:-1 gene:PAHAL_5G526200 transcript:PAN32974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVDVSRQLKQMTDFIRQEAVEKAVEIEAAAAEEFQIEKLQLVEAEKKKIRQEFDRKEKQVGIKKKIDYSMQLNASRIKVLQAQDDLVTNMMESARKELLYISRDHQTYKKLLKILIVQSLLRLKEPAVLLRCRKEDLELVDSVLESARNEYAEKANVYPPEIVVDRHVYLPSAPSHYQAPGPSCSGGVVLASRDGKIVCENTLDARLQVVFRKKLPEIRQSLFRQVAA >PAN26543 pep chromosome:PHallii_v3.1:5:1329433:1333090:1 gene:PAHAL_5G020300 transcript:PAN26543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGGGGLGAGFSYQKFVHVALEQTRLRTALAPHPSQEKFKFIKTNEDNTVLNALSFSAPKIRLLRSLAIEQKNSVQVLDFAAFSEPEYDLPIFCANAFTSPARSIIVLDLNPLYDTTEHEDYREKYYRNLMPHIHKYSELLPWGGKITGESLRFFSPIVIWTILEPTEANHQVLYSAFMDYYKVWLELMDEAVQEISVEKIDRNREAQHKYLTWRAEKDPGYPLLKKLIGECAAKDLVREFLFEGVDSLGTKPFLQYFPEYAQKDGTVNKKRSMIGKSFESRPWDAHGRFIGDATTSDLAATAFVGKRDRDGTRGG >PAN32529 pep chromosome:PHallii_v3.1:5:56267600:56270464:1 gene:PAHAL_5G494300 transcript:PAN32529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGQALNDSQKASERTHFVLIPLMAQGHTIPMIDMAHLLAEHGAQVSFITTPVNATRMAGFINHALATGLTIQFVKLNFPAVEFGLPNGCENADMIQSRDLFKNFMEACAALREPLTAYLRQQHPSPSCIISDMSHWWTADIAREFGIPRLTFNGFCTFASLVRYIIVHDNLLEHVEDENELINFPGFPTPLQLTKEKCPGSLSVYGLEQIRKNIYEEEIRSSGVVMNSFQELEALYIESFEQITGKKVWTLGPMCLCNQDINAMAARGNKASMDEAQCLRWLDLMKPGSVTFVSFGSLACTAPRQLVELGLGLEASKKPFVWVIKAGDKFPQVEEWLAEGFEERVKDRGLIIRGWAPQVLILWHKAIGGFMTHCGWNSTIEGICAGIPMITWPHFAEQFVNERLVVDVLKTGVEVGVKAVTQWGQEQTEVTVTRDAVEKAVSKLMDDGEAAEEMRMKAKEFGVKARKALEEGGSSYNNINLLIQEMGNQANASG >PAN26811 pep chromosome:PHallii_v3.1:5:2572030:2573921:-1 gene:PAHAL_5G040100 transcript:PAN26811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSKVGPSLSPVVVFLLGAASATALVVFFVTSAARPAWPALEAGAQRRGEVPGSATVRCSTPRANGTAGAEQHAGVTAPPANDETGADDGEAEFARMLRRAAMEDRTVIMTSVNEAWAAPGSLLDSFLESFRVGENVSHFVKHIVVVAMDEGAFRRCRAVHPHCHLLRPEKEGLDLSGAKSYMTKDYLDLVWSKLKLQQRILELGYNLLFTDVDVAWFRNPLVHITMAADITTSSDFYFGDPDDLGNFPNTGFIYFKSTPRNARAMAYWHAARRRFPENHDQFVFNEIKRELAGELGVRIRFIDAATVSGFCQLGRDLNRIATVHMTCCIGLENKLFDLKRVVADWKRYMAHPLWERRMGKIGWTFEGGRCIH >PVH39161 pep chromosome:PHallii_v3.1:5:53345749:53349138:1 gene:PAHAL_5G445600 transcript:PVH39161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPASMFVLLVITCGRFFLATHAQQQPQPPAGGSTASVSCLPHERDALLAFKHGLTSDPAGLLSSWRRDGGHGEQDCCRWRGVRCSNRTGHVHKLRLRNTGREALVGQISPSLLALEHLAYLDLSNNELEGSTGRLPEFLGSLKSLKYLNLSGIHFRGGLPPQLGNLSELQHLDLSSTGGTNSTDLSWLTRLPSIRYLNLNGVNLSTAVDWAHVMNMIPSLRVLYISRCSLASANQSLPHLNITNLEELDAFGNSFNHPLETSWFWNITSLTYLNLGYTSLYGRIPDTLGDMASLQVLDLSYRNDDDKNMRIMTTDMKNLCNLEVLNLRWALFYGDITQLFRNLPRCSPNKLQDLDLSGNQLSGMLPRWIGRLTSLVLLDLGYNNITGPLPTSVGQFTGLRTLDLSFNHLTGHVPYEIGMLTNLTSLQLNNNDLDGVITEKHFASTRSLQYIDLSYNAYNALKIELSSDWQPPSRLTSAYFAACQMGPTFPGWLQWHVSITNLDISSAGIADRLPQWFSDAFTNVKRLNISNNQLSGGLPTNMSYMSLSELYLSSNQLTGQIPTMPPNLTILDLSDNSLSGPLPAEIGNSNLMELSLFSNQITGHIPESFCKLWLAVLDLSNNFLEGELPPCLGVMEDMEFMALSNNSFSGEFPPFVQNFTSVLFLDLARNKFSGRLPLWIGKLKLLRILRLSHNKFSGNIPVNITNLDCLQYIDLNNNEISGSLPSYLSNLKAMKKTDMTRVCYEDDIKHFHLISLLSVLKGKEMNYGSISRVFDTNMVSIDLSSNNLRGEILEEITTLDALVNLNLSWNHFSGVVPNKIGEMQSLESLDLSRNNLSREIPASLSNLTFLSYLDLSYNNLTGRIPSGQQLDTLYAANPSMYIGNIGLCGHPLQNNCSREGDASKQGRLGRTEEGHGIEFFYLGLGCGFVVGTWVAFGVLLFKRSWRIVYFQLPDKLYDKIYVLVATWTRQPQTGYHEDEVDAMIASTKFSQGLHE >PAN26457 pep chromosome:PHallii_v3.1:5:879248:880790:1 gene:PAHAL_5G013200 transcript:PAN26457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISATCKKNLLPVDLRRPPPLFQQLSPAGRRWLVSAPPNGGASTLRCEQQQQRRGARHGVVPAAAMTETQTSVVGDMERGSLAESSGRSDGQLTARWREIHGSNDWEGLLDPIDTVLHGELIRYGEFAQACYDAFDYDRFSRYCGTSRYPPGSFFRDVGLDGVGYEVTRYLYATSTARLPNFNDQKHKSDDPDARLWSETASIGFVAVSSDREKARIGRRDIAVAWRGTLADLTAIPKPVTEFGIPCPDPGVKVESGFPELYTGKDPECRWCRYSAREQVLAEVRKLVELYHSRGEELSVTITGHSLGSALAMLSAFDVAETGANVSPDGRTAPVRVFSYSGRAARGEHEDRFERGPGREGPAHRERARQGADAARRALLPPGREGVPGAGAAGDRHAGAGHRVRAPGRGAGAGPQAMNPACYHNLEAHLHLLDGYQGRGRPFQLCGRDPALVNKAADFLRDEHMVPPEWRQQDENKGMVRADARQREVHDHHLQQLELTATN >PAN29350 pep chromosome:PHallii_v3.1:5:13351837:13353676:1 gene:PAHAL_5G218700 transcript:PAN29350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDVIEVTFGDAVIATTVTSCGEAVEGWLAEARAAPGSPVVGLDVEWRPSRRSDQNPVATLQLCVGRRCLIFQLLQADRVPRALSEFLGDPGVRFVGVGVGADAERLSDDHGLEVANAVDLRGLAAEGLGRPDLHQAGLRAVVAAVMGVDLVKPQRVTMSRWDASCLSYEQIRYACIDAFVSFEVGRKLLAGEATTAADPAAPAGEAAAAADPAVPAVAGAVAAARLQ >PAN28377 pep chromosome:PHallii_v3.1:5:8949126:8951747:1 gene:PAHAL_5G149100 transcript:PAN28377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGSNQQQAADEELARKNAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQDLMVGHLAPPMPLVHEEKFAHIAAAAALASDGAKAGQGDVDMDGSGAAGHHMGRFPGGYHRFMGLNNAFGMVSSAMAPYNSYTGEAWNNSSVHDNGGGGGGGGSPQVAAAAAAHHSPFPSLLSLAPGPHQLVFYSSEADQQFQVDNLGSQSLSLSSARAYHDQAS >PAN30473 pep chromosome:PHallii_v3.1:5:20315939:20318412:-1 gene:PAHAL_5G284500 transcript:PAN30473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYDREFWQFSDQLRLQTAAFSGLSLGDSIWSPAAGAGRRNSSQPDAGLFASPAPADAALAAAKNNLNGGGPGLIGSGKLAFGATTTSKADRYNNLPTDKAAAAYNNNNINSGYAKNISSNGFKMGYNSNGSSNNSGEVKSYFNKSAGRPASNNSSSHHGGAGKKHGGAGDYGKKKHAKNEGGGNNNGAAAADKRFKTLPASEALPRGEAVGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVTEAIALLDIFADKEDA >PVH39395 pep chromosome:PHallii_v3.1:5:56138897:56140890:1 gene:PAHAL_5G491900 transcript:PVH39395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMTRALLLLFALAIRSAGGQQRVHNVIDFHAAGDGKTDDAQAFLGTWQAACSDAGEPVMAVPGEGPGGNIVAPNHIWTKEAANLLTFLGVDHLTLDGNGGIDGQGAIWWDCYNRKKCHARPILLGFARDSADKHMTLFQCSQVNVDSVSVTAPADSPNTDGITVALSNNTYISNTSIQTGDDCVSVLSYTKNITVTQSSVGSLGRSETAMVEQITVSNCSFIGTMTGVRIKILAGFLFQSLNMTEVQYPIVIDQFYCPQGNCPIKHGGVAISDARFIDIQGTSSEQEAIRLLCSQSVHCQGIYLSNINLSWVNHTSPANATILNAHGSTGGMVVPRIQFSDFL >PAN28300 pep chromosome:PHallii_v3.1:5:8600072:8603746:1 gene:PAHAL_5G143800 transcript:PAN28300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNRSTSAAAARTRPGDQTSAAATGSMDAAAAAAATLRKRPLSVHTAGSDGTFAATGAREHGELVSPSARLVEDFYIVVVIGVATPVDLPAARAGIEAQLARYPRFRSIQVKDGSGRPRWVPTTVNLDDHIIYPRLDAAVVARDPDRAVEDYVATLSTLPMDESRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMACTRSAADPARLPAMPPAPARTGAIWERPRPPASAGALALAAWAWSFVALAWHTAVDVASFLATILFLRDPHTLFKRANHASRQRKRLVHRGLSLDDVKFVKNAMNCTVNDVLVGVTYAALSRYYFRKTGDADTSQEIRVRSILLVNLRPTTSLQACLNMIESGKESDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLAAEVILKIFGLKALTVNFQSYVNTMMVNLAVDEAQFPDCHELLDDFVESLRLIRGAASSLGKNHKND >PAN28299 pep chromosome:PHallii_v3.1:5:8600072:8603745:1 gene:PAHAL_5G143800 transcript:PAN28299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNRSTSAAAARTRPGDQTSAAATGSMDAAAAAAATLRKRPLSVHTAGSDGTFAATGAREHGELVSPSARLVEDFYIVVVIGVATPVDLPAARAGIEAQLARYPRFRSIQVKDGSGRPRWVPTTVNLDDHIIYPRLDAAVVARDPDRAVEDYVATLSTLPMDESRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMACTRSAADPARLPAMPPAPARTGAIWERPRPPASAGALALAAWAWSFVALAWHTAVDVASFLATILFLRDPHTLFKRANHASRQRKRLVHRGLSLDDVKFVKNAMNCTVNDVLVGVTYAALSRYYFRKTGDADTSQEIRVRSILLVNLRPTTSLQACLNMIESGKESDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLAAEVILKIFGLKAAGAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNTMMVNLAVDEAQFPDCHELLDDFVESLRLIRGAASSLGKNHKND >PAN31267 pep chromosome:PHallii_v3.1:5:50585613:50591281:1 gene:PAHAL_5G408100 transcript:PAN31267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPCSLLVHFDKGSAAMANEIKADLEGGDGPAKADAMRRAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDRRDPAGRALPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLSEPEVLEPLVPSVLANLEHRHHFIRRHAVSAVSAIYRLPHGDQLIPDAPELVERLLASEQDASARRNAFLMLCACAQERAVAYLLSNADRVAEWPDLLQMAVLDLIRKVCRSQNRANKGRYITIITSLLSAPSTAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLILLDRLNELRTTHRDVMVGVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAGDLEKGGEYRQMLVQTIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAIATIKQCLGDLPFYTVSDEGETNDSAKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETISTPSVAPGSLASTLNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVESNKACTGALLIMTSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKIWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVHDDLKAATGGFTKDADDANKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPETSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQLDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >PAN31284 pep chromosome:PHallii_v3.1:5:50681902:50686549:-1 gene:PAHAL_5G409400 transcript:PAN31284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLLPLSCPRRPAPVLRPGGRPGSAAGGPWARRAGGLARRRALRRTDLQPETPPRRGRRGGDGHDPHAGEALLETVRRLLLAKEEADAEGDEEEGEEEQAQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATALTPIAAQLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLSLVYSGMYLGSVTGLAFSPLLIHKFGWPSVFYSFGSLGAVWLTTWVTKAYSSPLEDPGISAAEKKLITSQSTAGEPVKTIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLMESGLFCVLPWFTMAVSANVGGWIADTLVSRGVSVTTVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDNVFEVSVVLYLVGTLVWNVFSTGEKILD >PAN27662 pep chromosome:PHallii_v3.1:5:5923250:5926277:-1 gene:PAHAL_5G096200 transcript:PAN27662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEVKKKVDAKNSLENYAYNMRNTIKDDKIASKLSPEDKKKIEDAVDGAISWLDSNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGAGMGGAAGMDEDAPAGGSGAGPKIEEVD >PAN32301 pep chromosome:PHallii_v3.1:5:55353538:55354546:1 gene:PAHAL_5G476500 transcript:PAN32301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPFRSFFPPTPLSLPPPTRHGPPAAAPLHLLPSSPRRRPSQTPPAPVPTSSGAPLQLLRRPSPTPPSPPRPWLPPHLDIQPNELEQDGEATAVGSDSGSARSGFGALALLCVAAHFQGASCRGGGGGGGRGGVRGLREGRRRREVRSVAWRRLVGPRRGGHVAAGGSSASSTSSSTTSPSPTSPTGREDR >PAN32637 pep chromosome:PHallii_v3.1:5:56755732:56763820:1 gene:PAHAL_5G502000 transcript:PAN32637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHHFNNILLGGRGGTNPGQFKVHSGGLAWKRQGGGKTIEIDKADVTSVTWMKVPRAYQLGVRIKDGLFYRFIGFREQDVSSLTNFIQKNMGVTPDEKQLSVSGHNWGGIDIDGNMLTFMVGSKQAFEVSLPDVAQTQMQGKTDVLLEFHHDDTTGANEKDSLMDLSFHVPTSNTQFVGDENRPPAHILWETILKFADVGSSEEPVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVERDLALSKELLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASMSSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFINGKNMKIMNLGGDGQGTSGVVTDVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGDEESDASDSGGEKEKSSKKEASSSKPVQKRKPKGRDEDGSEKKKAKKKKDPNAPKRAMTPFMYFSMAERGNMKNSNPDLPTTEIAKKLGEMWQKMSSEEKQPYIQQAQVDKKRYEKESAVYRGEAPVDVDSGNESD >PVH38580 pep chromosome:PHallii_v3.1:5:22017565:22018564:-1 gene:PAHAL_5G294600 transcript:PVH38580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLCELPLWRIPTTTPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN31419 pep chromosome:PHallii_v3.1:5:51499944:51512949:-1 gene:PAHAL_5G418200 transcript:PAN31419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLRPPPPPPHLRALLRRLLSTGAGPVPSLMLPSLRSAAAAPRFLFGPRVAVAPRRNGVPVRAFMASTAASEAMQEKRVAGEYTAANVQVLEALDGVRTRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGHASKINVVLHDDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGKEYKQHYSRGKPMTTLTSITLPGETRSRQGTRIRFWPDKDIFTTTISFDFNTISSRIRELAFLNPELTITLTKEEGHTEVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRKELDGIIVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKASLTRTVNNLAKKSKTIKDKDITLSGEHVREGMTCIISVKVPSPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASTNPEESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERKDEAALYKNEEIQNLILALGLGVKGEDFKKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQAHYCYDDGDLKEIVNTFPANASYHIQRFKGLGEMMPAQLWETTMDPERRLLKQLKVDDAAEANVVFSSLMGTRVEYRKQLIQNAASTINLDQLDI >PAN31961 pep chromosome:PHallii_v3.1:5:53817714:53820202:-1 gene:PAHAL_5G452900 transcript:PAN31961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKFLQLVEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKEKKLKSRKHRKRGDSSSDSDSDSDSDVDRKHRKRKDRRRNKKHGHSDSDDARRRKRRSKRSSDLSDESDSDYESGSEDERRRKHSHRRRRHRHSSRSDSEDYSSDEEERRSTKKDHSRSCRRRHQSSDDDSDSEGKVRLRHRKRMRSSDEDAPSDSNHKRHRSRSLDESPDETEKMGNGKRSHKNGHRHHRHPRHHHHERHISSSEPDKRLVVKDDQKTLEGDSAD >PAN32709 pep chromosome:PHallii_v3.1:5:57095835:57097790:-1 gene:PAHAL_5G506200 transcript:PAN32709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKCSSCGHNGHNSRTCSGHGRVSETGGGGGGVRLFGVQLHVGPSSSPMKKCFSMDCLSSAAPPAYYAAALAASSSSPSVSSSSSLVSVEETAEKVNNGYLSDGLMGRAQERKKGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVVENAGRAASSAPDAELPALSLGISRPAAPSRVLPPTLSSLLPQCSAPTSRSGSGSTSPSGIAAPKHRHPPSSLTPVSKTHQAPDLELKISTADHQTGSSPRTPFFGTIRVT >PAN31883 pep chromosome:PHallii_v3.1:5:53552829:53556380:1 gene:PAHAL_5G449600 transcript:PAN31883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHKFLLSALLLSLLNYASSAAKSWEEEDFHKTCPSHRCSKHHGPEIRHLVMNVIPLAYSSSSCPLQKLISTNQSTDVYRPIQRYFYDSVLVGCSIDSIATNQEGIVGPSTCLSLSNNASQFWYLVLSQTDMSTLPLGCEVVAKSIPIPYTYDKNGPKFRTFFGKLLFKDRAKGAIDLGETPLNWSLSSITSICQRCEQEGRHCGFSSNHGQAFCQHHGSNVKLIAATTSVATFIVLVTTALYLLLKKRYNEAIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLPNGVPVAVKMLENSTGEGEDFINEVATMGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLERYIYSCMIPVFPKSF >PVH38774 pep chromosome:PHallii_v3.1:5:38612141:38612368:1 gene:PAHAL_5G349600 transcript:PVH38774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARERAQVGGALGRRAAGAEWPRGERDEVHGGCGGGRLRALPPEMTGRGLERASGDGVVWRWEVGVGCSCGCRG >PVH37834 pep chromosome:PHallii_v3.1:5:6117033:6117743:-1 gene:PAHAL_5G100400 transcript:PVH37834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PAN32274 pep chromosome:PHallii_v3.1:5:55217514:55221078:1 gene:PAHAL_5G474800 transcript:PAN32274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAADPSTKYQGALAMGVPGELAGLHAAWSRYGRLPWRDLVAPAIRLARDGYEVVAYVARALKTSEADVLADPGLRAVFAPQGRVLAAGETCRNPALADALERVAEEGAAAFYGGAVGEAFVRDVRAAGGIVTVDDLRGYKVEVSDAMRADAMGYSFLGMPPPSSGTVGMAMVLNVLGGYKSLGFLKGFLGIHRLIEAIKHMLAIRMGLGDPDFVNVAGDVAQMLSLPFADRIRGRIVDNTTFPPGYYFPKWSQLDDHGTSHLCVVDSDRNAVAMTTTVNYYFGAKVLSPSTGIVLNNEMDDFSIPEKRTPDHLPPAPANFIAPGKRPLSSMTPLIILKNGQLAGVVGGSGGTNIIATVLQVFLNHFVVGMDPLAAVQQPRVYHKLIPNVVTYEDETVVSGEVIALSDRAKAFLEQRGHRLRSTGSGAVCQFIVHELATPAASGGGVFRGRLTAVSDPRKDGRPAGL >PAN32273 pep chromosome:PHallii_v3.1:5:55216757:55221013:1 gene:PAHAL_5G474800 transcript:PAN32273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGGGGLQGPLLGAAGAVSQQDHPPRGRRSGRPWTVLAIAVALLVLAGVLLLLSSGGAGAASRPTVAGARRSRHEVESGVGAAAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGVGGGAFVVVRDAASGRAVAFDARETAPAAATPDMYAADPSTKYQGALAMGVPGELAGLHAAWSRYGRLPWRDLVAPAIRLARDGYEVVAYVARALKTSEADVLADPGLRAVFAPQGRVLAAGETCRNPALADALERVAEEGAAAFYGGAVGEAFVRDVRAAGGIVTVDDLRGYKVEVSDAMRADAMGYSFLGMPPPSSGTVGMAMVLNVLGGYKSLGFLKGFLGIHRLIEAIKHMLAIRMGLGDPDFVNVAGDVAQMLSLPFADRIRGRIVDNTTFPPGYYFPKWSQLDDHGTSHLCVVDSDRNAVAMTTTVNYYFGAKVLSPSTGIVLNNEMDDFSIPEKRTPDHLPPAPANFIAPGKRPLSSMTPLIILKNGQLAGVVGGSGGTNIIATVLQVFLNHFVVGMDPLAAVQQPRVYHKLIPNVVTYEDETVVSGEVIALSDRAKAFLEQRGHRLRSTGSGAVCQFIVHELATPAASGGGVFRGRLTAVSDPRKDGRPAGL >PAN29567 pep chromosome:PHallii_v3.1:5:14647338:14650248:1 gene:PAHAL_5G234400 transcript:PAN29567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTKQVPRGGGGGGGWRGAGRTWSSCLSSPCRDARPRAVTPVDRGHSSSSTNRLRLTLRARAPPPQHPRCSQQRRSIGRLPPGVAASQLIDRSVSAGSACYASAKGIIGAGPAQDRRRHAPRRGRARARGHLFLSSPAAEEGYHADKKPPTASVRPGPAGGDSDACGGYSLRWLGVDVYVRRAWRRPGRVRNVPVHVRLRPAHHAGTVAG >PVH39013 pep chromosome:PHallii_v3.1:5:51518119:51519230:1 gene:PAHAL_5G418300 transcript:PVH39013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSYFTHLLGVDVEESQDLSPTTHTPPDHGLAASKGSQGRTKNFKDEEDRLLVSAWLNVGMDPIQGVAQPQSSFWSRIHDYYHANKSFQLDRTQGSLMHRWSAIQHDVNIFCSCVIKIQNRNQSGCSVDDKIASACALFKEEDKKHRNFAFMHCWRILKDKPKWIERCMQNSGTTTYSNKKQKTKANSSPLSLVPMSSPASLQQQQHKMLQKDRTERRRRKRSYINVRPSKHWTILWQR >PAN32763 pep chromosome:PHallii_v3.1:5:57412598:57413724:-1 gene:PAHAL_5G510300 transcript:PAN32763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYNGNAVKGTVLADKRMLYKAEEFEYSQRERIDLFNEACAVYQVVYQHATSCNEVSKCGFVWKVAGRALCQLYTLKHSGDTVLCSFSILEGAFKNNHRP >PAN31084 pep chromosome:PHallii_v3.1:5:49151142:49152392:-1 gene:PAHAL_5G394400 transcript:PAN31084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQEQPHRPLGGRRPRPPPAPPPMAYSDDMVAFYDAWVGREEQIVADLTAALALPPRRHRDALAPLVDAAVDHVATYYEHKARLADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFVESGGGGGGMGPAQRCALDDLRAATAAAERGVDREVAAVQESLAGPRVLAALRRQAPRNGDEADDAVAAVGRALRVLLGAADALRERTLRGVVGLLPPDQAGAFLVAMLRFHLGVRRAGRDWSPGHGGHRGA >PVH37565 pep chromosome:PHallii_v3.1:5:2023641:2024321:1 gene:PAHAL_5G032400 transcript:PVH37565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLAEVTVGSVASPAAISPAWVVFRPSVCSCLSSSTHAPALTPTSSPPPPPLSTPPSDTNGHPHSSPSTNQPSGIRHQLTKALHSPPPHPATVSRGRPWRLTILKNLCRILVKRLTGFCWSAQLETFSRPVYEWNAIEAPLDSICHATDLSR >PAN29420 pep chromosome:PHallii_v3.1:5:13849550:13850623:-1 gene:PAHAL_5G224600 transcript:PAN29420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMQGLPVPTMESELRALAWAALLTPACAAYARFAARRLRPGLPRLAALFPTFPVFAYLPCTFASLHLRLFSTFFHTWLAINKLVLLALDRGPLHPGLPLLPFVLCAGLPIKVHLVQSRQTASKQQPPPPPPPVAEFLRPCARSALLLGFLAAAYPYTRWLPLYALHYLYCIQIFLTLDLVLSSVALASAAVLGASMERHFSAPLAVTSLNDFWGRQWNLMAVDLLRASAYEPVRARWGRDAGVLAAFLMSGLLHELLYWYMTLRRPTGEMLLFFMFQAASQIAERWARAAGLWRPPKAAAYLLVTVFMVVTVSEMFFGPFVRAGTDVRLTEETTALVAMVWSAAKHLIRPSGVASS >PAN32342 pep chromosome:PHallii_v3.1:5:55535151:55535714:1 gene:PAHAL_5G479900 transcript:PAN32342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPWTGRVRERAFEAEGLCDHARGMLRGAAAHLELLMDVADAQGGRARAELVAVELFSANIGFASAAATMAAAELLARRGAATGPTAPLPSVDDIPMDHAFERSALGMLQEARVYAEGAYDTVGSCCDRLLTAYNLLDHLGIPGVDGFVDAERDAAHGYIVVAENLAGVSAAFSYTALCLLFRD >PVH37823 pep chromosome:PHallii_v3.1:5:6053524:6053817:-1 gene:PAHAL_5G098700 transcript:PVH37823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHITSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNLLSRCSITLSQSFIVWLISLIPR >PAN27788 pep chromosome:PHallii_v3.1:5:6481077:6486857:1 gene:PAHAL_5G107400 transcript:PAN27788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHAPSRAPGAFRFKPHLPARPPLLASTSTPASASASSRGSLCVAAATTRRNLLVLVPSLVAASTILQSLPLAASAEAGDEKPAPAPAPAAPAPPPPPPPADEPALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEIVRKLAAGCAEAGRSISLAFEAFPCDLQEQLNRFMDGRIDGNTLRLYTSHWAPERWQEYEPLLNYCRDNGIKLVACGTPLEVIRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISSSRGSPFGPSSYLSAQARVVDDYTMSQTIMKEITNGDPSGMLIVVTGASHVVYGPRGIGVPARISKKMQKKNQVVILLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFADDGSGESLELLKGLLGTLPDNAFQKGIVGQNWSINQRFASVLMGGIKLAGVGFISSIGAGVASDVLYGARQILKPSASMEVGRKRSPIWKAATVYSCFLGTSANLRYQVIAGLIEHRLGEDLMAHYNQPLLANLLSFVSRIINSYWGTQQWIDLARATGVQSTKKELPSTEVSSSTEMPLLECGTTDVQNVDDSNNQSNDLT >PAN27789 pep chromosome:PHallii_v3.1:5:6481867:6486917:1 gene:PAHAL_5G107400 transcript:PAN27789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHAPSRAPGAFRFKPHLPARPPLLASTSTPASASASSRGSLCVAAATTRRNLLVLVPSLVAASTILQSLPLAASAEAGDEKPAPAPAPAAPAPPPPPPPADEPALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEIVRKLAAGCAEAGRSISLAFEAFPCDLQEQLNRFMDGRIDGNTLRLYTSHWAPERWQEYEPLLNYCRDNGIKLVACGTPLEVIRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISSSRGSPFGPSSYLSAQARVVDDYTMSQTIMKEITNGDPSGMLIVVTGASHVVYGPRGIGVPARISKKMQKKNQVVILLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFADDGSGESLELLKGLLGTLPDNAFQKGIVGQNWSINQRFASVLMGGIKLAGVGFISSIGAGVASDVLYGARQILKPSASMEVGRKRSPIWKAATVYSCFLGTSANLRYQVIAGLIEHRLGEDLMAHYNQPLLANLLSFVSRIINSYWGTQQWIDLARATGVQSTKKELPSTEVSSSTEMPLLECGTTDVQNVDDSNNQSNDLT >PAN28355 pep chromosome:PHallii_v3.1:5:8854313:8858201:-1 gene:PAHAL_5G147500 transcript:PAN28355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTEAAAAAAAKGRVTALGVAACERDAEKLEFIEEKTKNFDAEQARVLAEILARNGGAEYLRRHGMEGRTDRAAFKARVPVVTYEDLRPEIERIANGDRSSIISSHPITEFLTSSGTSAGERKLMPTIEDELNRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPYDPYNVYTSPTAAILCTDSFQSMYAQMLCGLLARTEVLRVGAVFASGLLRAIRFLQLHWKELAHDIRTGTLSARVTEPSIRAAVAEVLAPDGGLADFVEVECAKDSWEGVITRVWPNTKYLDVIVTGAMAQYIPTLRYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELLPHDPAAGEPAAGRDDGPPPRLVDLADAEVGKEYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERAAALLAPYGAGIVEYTSQADAATIPGHYVVYWELMVREGAGGRMPEAAVFERCCLEMEEALNSVYRQLRNGDAIGPLEIRVVRGGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVLSKHFSPACPKFSPHRK >PAN28254 pep chromosome:PHallii_v3.1:5:8362949:8368815:1 gene:PAHAL_5G139400 transcript:PAN28254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGMQEAAEEGEFVGARLDAGLRAARFTSPPSADEFGNEVEPKNVPAVFRGVAKGWAASTRWDPLHGGLDYLLEKVGRDVDVEAMMSNTGHVFYGDLRSHERVSVPFSTFIHSCKSYLSRINGASDTFIDQGILEEPTCLREMCSSNSENSEQVYLAQVSIMNAENKERCSLEVLKEDIQEPIFLRGKSFSSINFWMNGSHLRSSTHYDPHHNLLCVLAGCKKVTLWPPSASPFLYPMPVYGEASNHSSVSIEEPDYSSYTRARYMQEYSERVVLNCGDVLFIPEGWYHQVDSDDLTIAINFWWKSRIMTQMFEHMDAYYLRRILSRLVDKEMNIMVQRSPFCRLGDCTNIQPMDKALTGFHLFNLQKDSPLQTLEPSTLQALYELVSLVHDSAEVVSQNDRTEPASESTSSNQGDKTKIAAPDDLSLLDKDPVAKTILSVEPLELRSMMLAMVRTFPRTLETLVLNMLGPVGAEILTRKFDEIDQLTTKEEQAEFYKTFYSVFEDQYAAMDVLLNGKELFSFQVFQNVLDRYLRVHVDRPS >PAN28107 pep chromosome:PHallii_v3.1:5:7844823:7848551:-1 gene:PAHAL_5G131500 transcript:PAN28107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKVYVVYYSTYGHVGKLAEEIKKGASSVEGVEAKIWQVPETLPEEALGKMGAPPKPDVPVITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKLFGMDQVQGGSPYGAGTFAADGSRWPSEVELEHAFHQGKYFAGIAKKLKGSA >PVH38367 pep chromosome:PHallii_v3.1:5:15360073:15361264:1 gene:PAHAL_5G244300 transcript:PVH38367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRAPSVGVDRWAAGSRVMSARAVAAGNNNWRASGTGMSQRVAPAPAWSLTCSRSRGSSSSLQAHLRAVQPTGGP >PAN31028 pep chromosome:PHallii_v3.1:5:48547475:48551903:1 gene:PAHAL_5G389700 transcript:PAN31028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEQQLLALAESRTPLPPRLVLRIANKTTTTGPTNRKPNSQIIHHQQRLLSGRRHGDVTSPPPHGLGAPRLPLPSPPGRRLRRRPRPTRLAACSASATAGGTHVPRRAAAPAGPPSPPTPLRRRRVLGVARAAARRRKSASPLPHLLGTRCGFDFGLGALEHVQRWQRLGGARRWLASDASAAPAPAGEAAELVEVPLAQTGEGIAECELLRWFVAEGDQVDEFQPLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMIVGDSQIVPPDNIFPSADKSHGVESAVPSNEGNIPTGTLSTPAVRHLAKQYGLNINEIVGTGKDGRVLKEDVLNYAVNKGLCKEQSSSLEENIDQVELLEERKSLSDMPLYEDKKILLRGYQRAMVKSMSLAAKVPHFHYLEEINCDSLVQLKTRFQNENKDNTIKHTFLPFLIKSLSMALSKYPMLNSSFIEETNEVVLKGSHNIGIAMATAHGLVVPNIKKVQSLSILEITKELTRLHEMASHNRLSTADIEGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDGENVYPSSIINVTVGADHRVVDGAMVARFCNEWKGLVEKPELLLLGMR >PAN31027 pep chromosome:PHallii_v3.1:5:48547475:48551904:1 gene:PAHAL_5G389700 transcript:PAN31027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWARLASRCRLRPAAASAVGRGPRASPPAPPPPPQAGPTSPAAPPLRRVLRLPQPHSAAAASSASHARLLGDRWQRLGGARRWLASDASAAPAPAGEAAELVEVPLAQTGEGIAECELLRWFVAEGDQVDEFQPLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMIVGDSQIVPPDNIFPSADKSHGVESAVPSNEGNIPTGTLSTPAVRHLAKQYGLNINEIVGTGKDGRVLKEDVLNYAVNKGLCKEQSSSLEENIDQVELLEERKSLSDMPLYEDKKILLRGYQRAMVKSMSLAAKVPHFHYLEEINCDSLVQLKTRFQNENKDNTIKHTFLPFLIKSLSMALSKYPMLNSSFIEETNEVVLKGSHNIGIAMATAHGLVVPNIKKVQSLSILEITKELTRLHEMASHNRLSTADIEGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDGENVYPSSIINVTVGADHRVVDGAMVARFCNEWKGLVEKPELLLLGMR >PVH37825 pep chromosome:PHallii_v3.1:5:6068348:6069286:-1 gene:PAHAL_5G099100 transcript:PVH37825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVWQQQSDDIQYTACIDLTEGYATPEHHVPAFVERQKKGVPKRSKNFSPIEDETLCSAYLNVSKDPIIGINQSITSYWNRITDYYNENRKTPSERIKNSLQHRWSGIQKDTVRFCGFYAEIERKRESGKSEDDKVKDALQMYQGLVKSAFKFIHCWLILRYEHKWQAHLIAMSTPKDKERSETLQATKVQAVEATKDKTLPPKISRPIGRDKAKRMKPSNTASNSTAFLQVLQNMRNRSASL >PAN28272 pep chromosome:PHallii_v3.1:5:8474576:8477870:-1 gene:PAHAL_5G141300 transcript:PAN28272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVKHLETRAAERPVWLMKCPTVVSRAWQEAAAAAASNPEAGGPNPNPVVAKVVLSLDPLRDEQPTKFKMEMSQTNNGNTPKSYSLNMYNDFVPMCIFSESNQGKYACEGKVENKFDMKPHSENLADYGKLCRERTTKCMAKPRRVEVLADDHGSRMRPMPGMVGLMPSSATNAKEKKKPVPPRSFETKRTRRGRTEMENILFKLFERQPNWSLKQLMQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTEDNNAT >PVH39249 pep chromosome:PHallii_v3.1:5:54545368:54546834:1 gene:PAHAL_5G463500 transcript:PVH39249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAKKPTRASRLGGRLVGVASMLLLVSLGFVLGVTSSNAMFIRFYLPFMPPLRSAAAAASSPPPQPSPTPPPPPTPTQDQRTGSAGFLTAPSGVMHNMTDEEMYWRASMAPMVRRAPDSRVPKVAFLFLVRGELPLRPLWEKFFEGHQGLYSIYVHAHPSYTGSPPADSVFYGRYIPSQRTKWGDASLVEAERRLLANALLDLGNERFALFSEACIPLYDFPTVYAFLTGANTSFVDCYENGGSRSRYRPFFATRNITLARWRKGAQWFEMDRALALESVADDFCFPAFRDFCVGRSECLIDEHYLPTLVSLLGWGPRNANRTLTYADWKRAVNRHPHTHGGEEVTEALIREIREDGGGRCFYNGARNGICNLFARKFSPDALEPLLRVAPKVMGFG >PAN32933 pep chromosome:PHallii_v3.1:5:58179749:58181373:1 gene:PAHAL_5G522800 transcript:PAN32933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVTDVPPPSRFSPDDLDNFAAPPPQPTPILVVSPSPSPPAPRLLIVLISPTSLALLASPPPLHASLLLPDLPLQPHAPIRVYLHPSGALLAAAHGAVPAHRARAAAKALVSKLQPEEVLVLDVVRSASYRGRLAADEPVEGKLETRAARAQGGVGAARGVAALSPPGSVVDGLGAAVLAECEIRGKAASMVVTWPAGARPAEFAVMRRVAAELGVDTKKIAARVSGRAELDALYT >PVH37918 pep chromosome:PHallii_v3.1:5:7266808:7267774:-1 gene:PAHAL_5G120700 transcript:PVH37918 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD6 [Source:Projected from Arabidopsis thaliana (AT3G56650) UniProtKB/TrEMBL;Acc:A0A384KSV2] MASSVSSPLFASLRPPAPASSRCAPPPQAAVPSADNAVPQPAPLVAVASHRRELVLGAALGALLSRAPLPAQAREVEVGTYLPPAPSNPGFVFFRATPKDTPALRAGNVEPYEFVLPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNATIEDIGSPERLIASLGPFVTGNTFDSDELVDTSVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWSSSEKVLKAIVESFQV >PAN29227 pep chromosome:PHallii_v3.1:5:12827126:12832110:1 gene:PAHAL_5G210300 transcript:PAN29227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKLPPPNPNLPYREDCWSEGETAALVDAWGSRYLDLNRGSLRQPQWREVADAVNSRPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGGPSPWAFYGQLDLLVGPTLAGGGNGAKKPSPPRAAMPIFRRRKSPSRSPSPQSPPPLPMALPLPNYRRGSDLPSANLIHKAAAAAAAAATESDSEDGYNNNNDYDDDDGSQQSPSRSVSSRSGGAAAAAPAVGSKRKMSSGSGGFGELARAIETFAEMYERMEAAKQRHAEEMERQRIKFLKDLELKRMQTFVDMQLQLARAKHARKGDASSEMLMSLAALPFLSSPAYL >PVH38247 pep chromosome:PHallii_v3.1:5:12826932:12832110:1 gene:PAHAL_5G210300 transcript:PVH38247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKLPPPNPNLPYREDCWSEGETAALVDAWGSRYLDLNRGSLRQPQWREVADAVNSRPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGGPSPWAFYGQLDLLVGPTLAGGGNGAKKPSPPRAAMPIFRRRKSPSRSPSPQSPPPLPMALPLPNYRRGSDLPSANLIHKAAAAAAAAATESDSEDGYNNNNDYDDDDGSQQSPSRSVSSRSGGAAAAAPAVGSKRKMSSGSGGFGELARAIETFAEMYERMEAAKQRHAEEMERQRIKFLKDLELKRMQTFVDMQLQLARAKHARKDC >PAN31754 pep chromosome:PHallii_v3.1:5:53017289:53020839:1 gene:PAHAL_5G442200 transcript:PAN31754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MEKGDVLVDLDKLPIKRLEAIDEAGNEHYPPDTSNEEQRLAAIRRIDFSWVIEKDAKKAKKAAEADTAQQAWPWQGLMESLQQAQQELSVVIDLIGTVEANDAVAVASTTKPKSQPNEILVDMAVSAATKLQRLRHLSRYFKQSAKTMEQQFQKETRFYNSLIRLQQNWKVKRQRVVGSGPGSEGFLFDLVDSYQLDTTTMPRISPLSLVPIDQDSTGTLSVQIPQKSFRSLSLQFYGDSTNSAESSASKKKEGTLSSTSSEAEKDSLENDDVNKSVKQAHSILRDIHKTIFEEQVFDMVIRETFTQSQGINVTGMCEDFLQLAIGQECSLCLSLVPFGQNSDSETVGQEDHMDTEYSGDLAVATVNGKHDSLNKDLRRFPNPKSLEIYLLHMFHENIVRKIREKSRLVVRYQSPALAAPEECGLLGHFCMTVAHKIFSNKVHLELESVVSRVPYLHLCSLPTWHSRTSSWSLCLKVPQPILAADQITKPSDNNEPKYKSRSQFNTKVILKDGQIRLMGEGSPSIAGSLTGKPSDGRLINCYNCDLEDLPMMLLQQVASQVIHWLHEEAMVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPDDAYGCISWYLTVDHPTEEGKMPADNQELDKRRFLGYLSLEVLYSTLMDLIKMCSTGVQH >PVH39122 pep chromosome:PHallii_v3.1:5:53017289:53020844:1 gene:PAHAL_5G442200 transcript:PVH39122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MEKGDVLVDLDKLPIKRLEAIDEAGNEHYPPDTSNEEQRLAAIRRIDFSWVIEKDAKKAKKAAEADTAQQAWPWQGLMESLQQAQQELSVVIDLIGTVEANDAVAVASTTKPKSQPNEILVDMAVSAATKLQRLRHLSRYFKQSAKTMEQQFQKETRFYNSLIRLQQNWKVKRQRVVGSGPGSEGFLFDLVDSYQLDTTTMPRISPLSLVPIDQDSTGTLSVQIPQKSFRSLSLQFYGDSTNSAESSASKKKEGTLSSTSSEAEKDSLENDDVNKSVKQAHSILRDIHKTIFEEQVFDMVIRETFTQSQGINVTGMCEDFLQLAIGQECSLCLSLVPFGQNSDSETVGQEDHMDTEYSGDLAVATVNGKHDSLNKDLRRFPNPKSLEIYLLHMFHENIVRKIREKSRLVVRYQSPALAAPEECGLLGHFCMTVAHKIFSNKVHLELESVVSRVPYLHLCSLPTWHSRTSSWSLCLKVPQPILAADQITKPSDNNEPKYKSRSQFNTKVILKDGQIRLMGEGSPSIAGSLTGKPSDGRLINCYNCDLEDLPMMLLQQVASQVIHWLHEEAMVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPDDAYGCISWYLTVDHPTEEGKMPADNQELDKRRFLGYLSLEVLYSTLMDLIKMCSTGVQH >PAN32956 pep chromosome:PHallii_v3.1:5:58315106:58316767:1 gene:PAHAL_5G525100 transcript:PAN32956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGSLTRHGFPRGYRFVPTQLELIYLLSDRIHRGKLPPAFDRIFHNLRILDYHPEELYEMYREDAEHRYIYFFSWREFQKKGAGGAAPGDKDQKEPRLVRAARGGGWKASGGGEDLRWPRRKGGFFAGRRITLVFYDRGVDKSNWGMHEFVVPFKDLALYRLYILRSGDMESENDGAGSSSQMLANDYDDHFSPSTAVAPCPPVQPWGIFDAGASTSQMPPQQQHRPSVEHAHYYNYQFAFGTSSTGAATLQQAHDMPMHGTGLPGDWCQLASSPAPVPVPPAAADQAAHRADTTAAHGAEQQAGHFVATRSPCSPPAEQHVTATTEPAHAQSSDCVKLAKEAAPPPLEDVVPAAKDEGMADADDYDGPGMPDWNLDFAALDDYSFQFTMEEILGYPALDEPPAMEGDSNSGGENYSNQAGDTKQEPPSALSSYY >PVH39279 pep chromosome:PHallii_v3.1:5:54859673:54861199:-1 gene:PAHAL_5G468700 transcript:PVH39279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTPSELELKAKEAFFDDDFDLAAALYAEAITAGPPSAALYADRAQVYTKMGDFTAAAADAARAAELDPAMPRAHLRRAHACVKLEQYDAARAAVEAGAALAPGDARFAQLMREIDAKAPPMEIEASAAVATTAPAPAPAEKPKYRHDYYNSAAEVVVTVFAKGVPPEHVAVDFGEQLLSVSVEVPGEASYHLQPRLFGKIVPERCRFAVLSTKIEVRLAKAEPGTTWTSLEFTDKPRFVAAAPPRGSSPSAGGIAQRPSYPSSRGRKDWDKIEAEVKRAEKEEKLDGDAAANKFFQDIFSSADEDMRRAMTKSFQESNGTVLSTNWEDVGSKKIEPSPPEGMDLRKWEY >PAN27805 pep chromosome:PHallii_v3.1:5:6578467:6578652:-1 gene:PAHAL_5G109200 transcript:PAN27805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLNKKQIFRANETRVLFKLILLGSHENVLHADTILLFRNLKHSRLKASIFVGHHLGDDG >PAN32154 pep chromosome:PHallii_v3.1:5:54768181:54769728:-1 gene:PAHAL_5G467800 transcript:PAN32154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLWFACFGLHKHNDDCYAPPPGRVLPPPPPAGAVPAKPPHDGRPLQALQRNGYAQPQGAHYGNGYGHYQTAPGADEAGRKASNDARRGHAAAAVKYAPEKALAYTGAAETVRQPAWNGKVAEEAGHATQQQHYHYNYYYEREPAHKDAAMDCRHQYTAAATADHGRY >PAN32051 pep chromosome:PHallii_v3.1:5:54230200:54239221:1 gene:PAHAL_5G459300 transcript:PAN32051 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MVKKLKKLYGKDAREFFNQVMVEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGRFKRRIIVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLTEVWPNYMEPKLSRRFQSTVERRLKNRKPKLIDKIELQEFSLGSCPPTLGDEGMRWITSGDQQVMSLGFDWNSHEMSVMFLAKLAKPLIGTCRIVINSIHIKGDLLLSPILDGEAILYSFGSTPEVRIGVAFGSGGSQAIPGMELPGVSTWLVKLLTETIGKTMVEPRRLCFSLPAVDLRKQAIGGVLSVTVVSASNLCKNTGNRQSSNGGTMSGTADNKVSQTFVEVEVGNLMRKTSTSEGLNPTWNSTFNMVLHGETGIVKFLLYELDSGGVKFNYLTSCEIKVKYVHDGSTIFWAIGHNSGVVAKHPEHCGQEVGMVVPFEDIDGELTVSLVLKEWQFSDGSVTLGNSLSSGLQSSSDGSPKLQSITGRILRVRVVEGRALTANSKSGKCDPYVKLQYGKALYRTKTLSHTVRPVWNDKFEFDEIAGGEYLKIKCYNADIFGDESIGSARINLEGLLDGASRDVWVPLEKVDSGEIRLEIEPIKNDHNNSMQSSSSKAGAGWIELVIVEARDLVAADLRGTSDPYVRVQYGNKKKRTKVIYKTLSPQWNQTFEFPETGEPLILHVKDHNAVLPTASIGHCTVEYSMLSPNQSADKWIPLQGVKSGEIHVKIARRVPVSDSERKAALGTDPSGKGHKMATQMRDSLKKFTGLIDEGGDPEALSLAVTEMEGIQSEQEEYIEVLEREKAMLLHKIQELGSEIIRTKSGPPRTRY >PAN32050 pep chromosome:PHallii_v3.1:5:54230200:54239221:1 gene:PAHAL_5G459300 transcript:PAN32050 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MVKKLKKLYGKDAREFFNQVMVEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGRFKRRIIVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLTEVWPNYMEPKLSRRFQSTVERRLKNRKPKLIDKIELQEFSLGSCPPTLGDEGMRWITSGDQQVMSLGFDWNSHEMSVMFLAKLAKPLIGTCRIVINSIHIKGDLLLSPILDGEAILYSFGSTPEVRIGVAFGSGGSQAIPGMELPGVSTWLVKLLTETIGKTMVEPRRLCFSLPAVDLRKQAIGGVLSVTVVSASNLCKNTGNRQSSNGGTMSGTADNKVSQTFVEVEVGNLMRKTSTSEGLNPTWNSTFNMVLHGETGIVKFLLYELDSGGVKFNYLTSCEIKVKYVHDGSTIFWAIGHNSGVVAKHPEHCGQEVGMVVPFEDIDGELTVSLVLKEWQFSDGSVTLGNSLSSGLQSSSDGSPKLQSITGRILRVRVVEGRALTANSKSGKCDPYVKLQYGKALYRTKTLSHTVRPVWNDKFEFDEIAGGEYLKIKCYNADIFGDESIGSARINLEGLLDGASRDVWVPLEKVDSGEIRLEIEPIKNDHNNSMQSSSSKAGAGWIELVIVEARDLVAADLRGTSDPYVRVQYGNKKKRTKVIYKTLSPQWNQTFEFPETGEPLILHVKDHNAVLPTASIGHCTVEYSMLSPNQSADKWIPLQGVKSGEIHVKIARRVPVSDSERKAALGTDPSGKGHKMATQMRDSLKKFTGLIDEGGDPEALSLAVTEMEGIQSEQEEYIEVLEREKAMLLHKIQELGSEIIRTKSGPPRTRY >PAN27615 pep chromosome:PHallii_v3.1:5:5712219:5714540:1 gene:PAHAL_5G092600 transcript:PAN27615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQKPWLPVDLRLPAGPQASLGILAFEAAAAMSKLLSLHRSLSDQELSRLRSDAMRSPGVAYLNSTDQAFLLRLACAELVVSLDAAAAAVARLGLRCGLDFGGVYACLKSGAHDARLDPLVAKGLRVKAKKMERLVAATAKLCSEMEALDELESAERKINVRGWSRLSGPIPQQPQAAAAAAAAAAQQQQAGDSPGAESLRQELKTQRLKVKRLKEESLWNQSYEKAVGLMARAACAVFVRICTIFGPFVPGLPPPLPAATTDSVQTRLSKLLHPRSGKAKAASGPITRRDGPSRVHPPMSNSCPIIGLRPSGQKSATDWRKLLDAPPSTVGGAGLDQQYANVIVSAEELLRMEAEGRQEEAAAERAEVYEMLPAKLRTAVRSKLRDWWRDPGPLDEGLAQGWKDAVERIMAWLGPMARDTAQWQAERNMDRTRRFDGAPRVYALQTLRWADKEKAEAAIVEVLVALSCICWYEERRRGSVRLG >PAN32779 pep chromosome:PHallii_v3.1:5:57502036:57507117:-1 gene:PAHAL_5G511900 transcript:PAN32779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52150) UniProtKB/TrEMBL;Acc:B3H4G8] MVTAKEAAAAMDPSKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRDCPVLANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYENGYYRQQTQSAGLTTTDTSCESVVTSGQQNVAAAQPQAQPRDASPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMEVVNVLPAGNNGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSIIHIVDHMDLEPWSVPEVVRPLYESSPMVAQKTSMAALRYLRQVAHEDTHSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSPSRVINCNAAFNNGLPIVSSSVLCAKASMLLQDVSPPALLRFMREQRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFDPEEFLEVIKLGNASTYQDTLMHRDLFLLQMYNGVDENTVGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTPSPKCTLDLASTLEVGTPRRRIHGAGGSGNAACAGSKAVMTIAFQFAFESHLQDSVVAMARQYMRSIIASVQRIALALSSSRLVPQAGGISHAPAAAAAATPEAATLSRWICQSYRFHFGAELIKSADASGCEAGLKALWHHASAILCCSLKAMPVFTFANQSGLDMLETTLVALQDITLEKVFDEQGRKNLCAELPGVMEQGFACIPGGLCVSGLGRPVSYEKALAWKVLDDDSGAHCICFMFVNWSFVASM >PAN26749 pep chromosome:PHallii_v3.1:5:2210784:2213290:-1 gene:PAHAL_5G036000 transcript:PAN26749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLELRVKQQGEPALVPPAEETPRGDYYLSNLDQNIAVIVQTVYCFRGADDDDGSSACLVLRESLAKVLVHYYPLAGRLTISGEGKLAVDCTGEGAVFVEAEADCAMADIGDVTEPDPSVLGKLVYSVPGAKNILEMPLLAAQVTKFKCGGFVLGLAINHCMFDGVGAMEFVNSWGETARGLPLSLPPALDRAVLRARDPPQLEFPHHEFAQITDDDSDEAAPPPHDGEPLLYRSFRFTAASIARLKALAPLEGRPCTTFEALAGFVWSARTRALGMGPSRRSKLLFAVDGRPRFAPPLPAGYFGNAIVLTSAACAAGELAASLPRAVRLVRGAVGAVTDAYMRSAVDYFEVTRARPSLASTLLITAWSRLPFRAADFGWGPPAAYGPAALPEKEVALFLSCGEERGGVRVLLGLPPVAMAEFQRLVEEVTAA >PVH38741 pep chromosome:PHallii_v3.1:5:36962866:36964819:1 gene:PAHAL_5G340800 transcript:PVH38741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRPKEFGGLGFLDTRAMNTGLLAKWVSRIDSREDSPCIKLLGKKRYLRGPSFFQSFHRGGSQFWRGLQEVKSWYERGQVCKANSGETVRFWEDVWLGDCTLKTSFPRLYQICRERQCSVADIWGRGWLPDFRRNLGSEELVEWTELTAQLEQVESDGNCRSLAVSR >PVH39337 pep chromosome:PHallii_v3.1:5:55577084:55579539:1 gene:PAHAL_5G481500 transcript:PVH39337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALAAVLALSCFGASATAATAEAEADRIASLPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAAGAPAESAPLVLWLNGGPGCSSVGYGASEELGAFRINSDGRSLSRNRYPWNKVANMLFLDSPAGVGYSYSNTTADLYTAGDNKTAHDSYNFLVNWLERFPQYKHRDFYITGESYAGHYVPQLSQLVYRNNKGIRKPILNFKGFMVGNAVIDDYHDYMGTFEYWWTHGLISDETYENLRLACEFDSAEHPSKKCDKIYDIAEAEQGNIDAYSIYTPTCKKTSLHKRRLIRGRMPWLPRGYDPCTENYATKYYNLPEVQEALHANVTGIPYAWVACSDPIFDYWKDSPKSMLPIYRELIAAGIRIWVFSGDADSVVPLTATRYSIDALSLPTVTNWYPWYDNEEVGGWCQVYKGLTLVTIRGAGHEVPLHRPRQGLKLFEHFLRDEPMPKPVDSIQTF >PVH37503 pep chromosome:PHallii_v3.1:5:1260202:1263960:-1 gene:PAHAL_5G018600 transcript:PVH37503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAALESLILDLHAIEAVKLGSFVLKSGITSPIYLDLRALVSHPRLLSAIASLLHALPATRPYGLVCGVPYTALPIAAVLSVDRNIPMLMRRKEVKAHGTAKSIEGSFRAGDTVLIIEDLVTSGASVLETAAPLRAEGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTQEKAEEVRQFLDANRKVAVPGVPAKPRVFRKPFEERAKSATNPVARRLFEVMVAKQSNLCVAADVGTAKELLELAEQIGSQICMLKTHVDILSDFTPDFGSKLRSIADKYNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAQGDYTAAAVKIAEQHPDFVIGFISVNPASWSVAPSNPALIHATPGVQLVAGGDALGQQYNTPQAVINVRGSDIIIVGRGIIKASDPAKTALEYRLQGWQSYRTSLL >PVH38821 pep chromosome:PHallii_v3.1:5:42523024:42524098:1 gene:PAHAL_5G362300 transcript:PVH38821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPGRAVAVSSVKNGHGLVTGCGAGPGSSGSAACSPPPRSPPAPATRAPAASPAAALPSSAAPPPGHRGCGSDSSHRRPSPNCAARLGWPPSIGCQSAGPETAAGRAPAPWHPPHPRPGARASSTNRRARARRASASHACAPERHWPEGRMERGAAGARACVVRRQAATAELAQRQAEAVARL >PAN28371 pep chromosome:PHallii_v3.1:5:8908113:8910892:1 gene:PAHAL_5G148400 transcript:PAN28371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKLYGSTMSWNVTRCAVALEEAGSDYEIVPINFATAEHKSPEHLARNPFGQVPALQDGDLYVWESRAICKYAARKNKPELLKEGNLEESAMVDVWMEVEANQYTSVLNPILFQCLISPMLGGSTDQKVVEENLEKLKKVLEVYEARLTKYKYLAGDFLSLADLNHVSATLCLFATPHASVLDGYPHVKAWWSSLMERPSVQKVAALMKPSA >PAN27999 pep chromosome:PHallii_v3.1:5:7445845:7447060:-1 gene:PAHAL_5G124000 transcript:PAN27999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMSSPPGPRGALACLLIRSQCRRRSEFEHGFFVPGHKLRGDSPPPSTPLQPAVALLLHGVDGESPSGSAPPSPVSSMAVQSSSPPRGADRPPFVHLLRGVRRAPKCAVLACLYRASTYAMA >PVH38771 pep chromosome:PHallii_v3.1:5:38605129:38605989:-1 gene:PAHAL_5G349300 transcript:PVH38771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNCYPDIQPWRATATGFRHQDTYPLAIRKALHYLCRIFEEHLAPTPAKLFPPAIRTPVWEARMRNLERRRLEEGPLYQVATYLAALDQLFDEQANLLKEQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTPAPPGNPESSAAAVERNAQAQPLTGGNPEDGEQGSLALSAPEEGLPRE >PAN32369 pep chromosome:PHallii_v3.1:5:55618622:55623858:-1 gene:PAHAL_5G482300 transcript:PAN32369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSYAAAAAGSSSRKPNRNPTAAAAAGSSSRKPNRTPTPTAAAATARPQAPSPSPSPAPAPPAANPSAASDSDPSSYSSSSGDEADLTASDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLTCIQSWAHQSASGAAVPCPTWGCPKCRFAYPKSQTPTSYLCFCSKTVDPTPDPWILPHSCGDVCGRRLNANLDSGCEHTCLLLCHPGPCPPCPAVVPNAPCFCGVRREPRRCAHQRYSCLGKCNKRLSCGLHRCPVNCHDGPCPPCAVRGKHKCECGETMEEKLCSERVFQCKRECGGMLDCGKHRCERGCHGGRCGECPLRGRRTCPCGKKDYPKLECDAEAATCGSTCEKVLGCGRHKCPERCHRGPCDETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACKRRCCAGDCPPCSETCDRKLRCGNHKCLSPCHRGACSPCPLMKTISCACGKTCFEVPCGTEKNQKPPKCSKKCNIPRLCRHKLECRPHKCHYGACPPCKLTCGEEFSCGHICKERCHGPISPPNPEFTLKPTKKKMEKQIECTPGTPCPPCKEVVLVPCFGQHLGQERAMPCSKWRPFPCQNLCGNPLLCGNHYCTKSCHVLEVPLNQPVGDPIVSVSKENALAEPCEQCDLPCQRVREPPCSHRCPLPCHLSDCPPCKVLVKKPCHCGAMVHAFECVFFNNLKAKEQLKVRSCGGPCHRKLPNCPHLCSEVCHPGTCPSVDQCMKKVNVRCACNTLKQEWICQDVLKEYRNSGRDPKEVPKSQFGVGLLACGKDCKKKVKVPDPELHLRKSQETKSPAVEVANVPKRRKRRDRGQEVKVSKFQEVKAYVLRVLLIILLSIIIAAGLYLLWKGIFRLSDWMNEMEVQRARQRHPRGAML >PAN27168 pep chromosome:PHallii_v3.1:5:3942561:3946956:-1 gene:PAHAL_5G063300 transcript:PAN27168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGESAESTALEFTPTWIVAGVCSLIVVISLAAERCLHYLGKTFKGKNQKALFEALLKVKEELMLLGFISLLLTVSQGMIQRTCIPPEWTMYMLPCHNDKEQAELSPSVAHGLAAGILGLNRRRLLDEGGPTVQHCQKKGEVPLLSVEALHQLHIFIFILAIAHVIFCVLTMLLGSARIRQWKHWEDEFQKDSTENGQRKVTYVHQCEFIREHFKGIGRDSAILSWLHSFVKQFYGSVTKSDYTTMRLGFIMTHCRANPKFDFHRYMLRALEADFKKVVGISWYLWIFVVVFMLLNVNGWHTYFWISFIPLILLLAVGTKLEHVITQLAIEVAEKHSAIEGDLVVNPSDEHFWFGRPKIVLYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFIVPRLVVGAIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQSLVGWAQKAKKRKALRNNNGSGGAAGSAHPSARLELMKRAAALEGGSTGGHGSEV >PAN29923 pep chromosome:PHallii_v3.1:5:16737097:16738192:1 gene:PAHAL_5G260000 transcript:PAN29923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAAGVVLLAAAALLALAAADDEHLHHWRCFRSCARDCHGEDALDDGAAGGGLNNVSAGGGVSHKCKTGCLHECFEDLPALCYQQCVVSTCLCLPPYSKEKLACMKSCCDKCFHHGPPAPSPGPKPPGPKPKPPSPKPPTPKPPSPKPPAPKPPSPPKPKPPTPKPKPPSPKAPPPPKKPCPPGRETVNANS >PAN28372 pep chromosome:PHallii_v3.1:5:8913230:8915093:1 gene:PAHAL_5G148600 transcript:PAN28372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGANMRAWLKNQAFDRATVDGAAPATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIIQQYIAATGGQAALQGVRSMYAVGKVRMCASEFHLGDQTVTAAQGRAEVGGFVLWQKTPEVWFFELIMAGHKMSAGSDGKVAWRQSAAEQSHASRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKVLNGEECFILKLEAGAMTLRARSAPAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISDYRYIDGINIAHGGHTTVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKKDVDNQNK >PAN28671 pep chromosome:PHallii_v3.1:5:10360184:10362290:1 gene:PAHAL_5G170900 transcript:PAN28671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGGDPYRSHLAGDGEKDTVWRHGAPPTYDAVNALFEAERTQEWPAGSLEEVVQNAVKTWEMELSHKARLSDFKSVSPGRFTLSVNGGRARGGEETLAVGSYNALLAGSPLLAAAGAYDAAAETFASSHDLFRAAFPRGFAWEVLRVYSGPPVIAFKFRHWGHMEGPYKGRAATGEKVEFHGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPKVESSEEDRGEVALAERLGEAAAVSASGADPPPRACPFLSTGKPE >PAN31945 pep chromosome:PHallii_v3.1:5:53736510:53737313:-1 gene:PAHAL_5G451600 transcript:PAN31945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAALLAVLVEHHNNGDHAQNGWKPHVYNAAIRNVREKCNVEITKDNIASRCKTFDKHYEVISKILSQSGFGWDWVNDKLLIDSDDVWNKYVEANKLAACYKNKVVKNWDAISTIYSKDYANGQGAQTGAESAQVLPEQVDDASPDLPQKKQRTGEAILSILGDTKTSFNDSLKSTEPLPMPSVTSPAEILATLQMVPDLARSDMLKCYGKFILNERLFQALLELPMDMRKKWLLLLN >PVH39090 pep chromosome:PHallii_v3.1:5:52426860:52432630:1 gene:PAHAL_5G431400 transcript:PVH39090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREPSPEIDDELFNEVYGKAYSGPVASATNSVMPKVNDEKRPLTCDTSDDEDEAPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGDSGHFTQGCPSTLGANRRNADFFERVPARDKQVRNLFTERMISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVDAVHKIIQESKGKYSPSSPKRDRSRSPVRNTTEFRPRHSDSHRPHSPRNPDPQRSHSPRNASRSQSKGYYNERHLDGRLHDSMPKFSKGSPQAYANFGAKGRPGQSKSPRHSSYLDVSPRTHGENNQFAASHVANNWGIERHGADVRSSLKFDMPPHQQTLEDLEMEFKREATELARAHDQEEDEENYKHRESLRVMRENYMGRMTTMRNVHARKWEEFLEQTFKRQQQAQTSYTQIGYPGFEQRTTHISAALQSMDSKSTYPYASDNYSAPKAHAAYGEFQHDRHGDVGRTYGRY >PVH39089 pep chromosome:PHallii_v3.1:5:52425172:52432118:1 gene:PAHAL_5G431400 transcript:PVH39089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAARLRHWRPGRGGHLRASGPQLRTPAGRRPSAANGGSAASQAAALRRSGRALRGPRAVQGFAAAGAAPQAQRQGLGRGSGDGRRAQRGLTPERGNRQDAKTSSKATEPVNHQDDEDEAPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGDSGHFTQGCPSTLGANRRNADFFERVPARDKQVRNLFTERMISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVDAVHKIIQESKGKYSPSSPKRDRSRSPVRNTTEFRPRHSDSHRPHSPRNPDPQRSHSPRNASRSQSKGYYNERHLDGRLHDSMPKFSKGSPQAYANFGAKGRPGQSKSPRHSSYLDVSPRTHGENNQFAASHVANNWGIERHGADVRSSLKFDMPPHQQTLEDLEMEFKREATELARAHDQEEDEENYKHRESLRVMRENYMGRMTTMRNVHARKWEEFLEQTFKRQQQAQTSYTQIGYPGFEQRTTHISAALQSMDSKSTYPYASDNYSAPKAHAAYGEFQHDRHGDVGRTYGRY >PVH37649 pep chromosome:PHallii_v3.1:5:3303687:3307109:1 gene:PAHAL_5G052200 transcript:PVH37649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEENRRALRELLFCCPGALQYISGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTDKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIERCAYVTEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVTPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQASTLKAWAGKEENVEKARAAFLARCKANSEATLGTYKGDAAVGEGVSESLHVKDYKY >PAN32162 pep chromosome:PHallii_v3.1:5:54850662:54856678:-1 gene:PAHAL_5G468600 transcript:PAN32162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF K(+) TRANSPORT GROWTH DEFECT 1 [Source:Projected from Arabidopsis thaliana (AT2G27600) UniProtKB/Swiss-Prot;Acc:Q9ZNT0] MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGAGPGANGGDAAVATRPKTKGKDGDGGNGGDDSEQSKLRAGLNSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDTKARQHMFKVHLGDTPHSLTESDFENLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQPGAIQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLEVHERFTKEFGEEG >PAN27649 pep chromosome:PHallii_v3.1:5:5865228:5872356:-1 gene:PAHAL_5G095000 transcript:PAN27649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMAEVVQEGCVENRQPLAASSSSVSDGSSCGGGGQAGASPPVSSSGNSISGLRRTSGPIRRAKGGWTPEEDETLRKAVETFKGRNWKKIAEFFHDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIIDLVRKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPEIRKDAWTPEEERALINAHRVYGNKWAEIAKALPGRTDNSIKNHWNSSLRKKLDVYGANSVLAAPNLLAHDDFKDQMRPVAIGSHLDLNKMPNIGSKDVPGRAHRSIMGPLLQAYNLKSVEDSSGGLLSLSIPTVQASYSSLVDGSAVTLAVQGLESDSVRDKGLEINSIHEKHEKGTEVSSIPDPVGEGCTIQSESAPAKSGTKPSLKNELYSTLGPLCYQIPNVEEVAPISASLHSEHHGAHQTTQHSRDGLMSPDGYTSPSPTIGKVSSPLTVDSILKTAADSFPCTPSILRKRKRDKSTPTSDNFFTPNGKGATANTPRSFKTASFLSLGPLDDGLLTSVRTSDASPPYQIRSKRMVAIKSVEKQLDFSADGLDTSGSEILNSPCHNSQGANSNRT >PAN30112 pep chromosome:PHallii_v3.1:5:18241502:18247830:1 gene:PAHAL_5G274300 transcript:PAN30112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHSEPLDPVPAADAPADNNPELPSPPYHIVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIQACKPALESDHITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQEGKERTLDDYISFVSLLADPRYCGIPYPEKEEVRTLLRQDPNFWTIRPLSDMMVRAATDDVRFLLNIHEKMMEKLSKVSLWRLAVRSELYCRCFCLNNNQFADWPLLPPVPGDIEADVHVPEVDILSVLDVPPGKMGRVIGRKGSTIKGVKESCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAILRGRLLEF >PVH38504 pep chromosome:PHallii_v3.1:5:18108914:18110302:1 gene:PAHAL_5G273400 transcript:PVH38504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAHPATLASSERTPPVAAFSSSSSSPALLLHAAWIAAAVAVCVALCTIHARKPSSSSSRRRGSRSSSSRRGSSRESGVGGVAGAGAGAGAAGGATPAKVSPTPSDTAAKASGAAGVETRAAAAAETAADVDGPVTVIDVGTHGPIAPAFLPPPDPLPPRRSLSLSAKHIRFAERLGRIRSMRRGESGEEAAPAAAEDDVFVGCRGGGGGEVGEEGTLWTKTIILGERCRVPSAGDEDGGVDDAAVPWKSYRPRQPRSVPVTRSNSFAGVGSAGARRLGDPRL >PAN31429 pep chromosome:PHallii_v3.1:5:51558808:51562532:1 gene:PAHAL_5G419100 transcript:PAN31429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MAGKGSYVPPQYIPLYGLDTEEDRVPAVEENNTAHHNKLTRDPTQWSSGICACFDDPQSCCIGATCPCFLFGKNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRSALRTKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTGSSSSSAPNVTPPPVQTMDEF >PAN28727 pep chromosome:PHallii_v3.1:5:10553930:10555186:1 gene:PAHAL_5G174800 transcript:PAN28727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSSRTLVAGAVLVAAALLLPARHATAVADDKARAPASAKEKAAAPSGYDSAGKPPPSPPADMAAPSPAYGAKPPSSPSDIAMPPSASDNSSAPPLLPLVPPPKPLPFVIVEGVIYCKSCKGKGYNTGIDASPLPGATAMMVCYGRKVVNATGTVTDGNGYFLIMFYDMQNFNAKTCKMYLVSSPTPQCNKPYYPPNQWIGLSLVRETRTIPPAGLQGIYTPTSVLFYAPGAKGQCPY >PVH38208 pep chromosome:PHallii_v3.1:5:12010944:12011379:1 gene:PAHAL_5G198500 transcript:PVH38208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFWCISSSMEQHAEHVAVVLDILPKHQLFAKPSKCSFAQASIDYLGHIISAQGVATDPSKIAAVKAWPVPTNLKGLESKPLTELLKKNVTFMWTSTSQTAFDTLKEALITAPVLALPNFKQPLS >PVH38936 pep chromosome:PHallii_v3.1:5:48965731:48966563:-1 gene:PAHAL_5G392800 transcript:PVH38936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLRLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PAN28382 pep chromosome:PHallii_v3.1:5:8967585:8968985:1 gene:PAHAL_5G149400 transcript:PAN28382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAKLGELMWEHRLQAAALVAVVAATAVSISALGPRLGAVLSFFWPLLVSTGFFLVAVTVLLRISPPPAGADESGKELIDFVAGCRPEHLVPDAAAVAAVEAPPEPEI >PAN33036 pep chromosome:PHallii_v3.1:5:58747883:58749906:1 gene:PAHAL_5G531300 transcript:PAN33036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLVALLTVSLVVPLLSLLLLATKGPPRPQRADGGRRLPPSPPGGLPLLGHLHLLGRLPHRALRSLAASHGPVMLLHLGRAPTVVASSAAAAEEALRARDAAFASRPRMPMADRLVYGSRDISFAPYGEYWRQARRVCVLRLLSARRTRSFCRVRGQEAAALVARVRAAPAGCAVNLSDALISYSKAVVTRAAFGDGDYGLDGDRGGEKLRRVIADLQELIIAPPVREIAPWLGWVDTLTGLEAKTERTFQAIDGLLERVIADHRSRRLGGPQVLADGEADDHRDFVDVLLDVNEMDEDTGLRLDMDNIKAIITDMFVAGTDTSYTVLEWAMAELLNHPQEMQKLQGEIRAAVGATGDVTENHLDGMPYLKAVISETMRLHPPAPLLLPRETTEDTELLGYHIPARTRVLINAWAIGRDPASWERAEEFAPERFAGGDAPMDYAKVGQDLRFLAFGAGRRGCPGAGFAAPSVELALANVLYHFDWALAATHGRRRPPVLDMSEAYGLTVRLKEPLLLVAKPWSRQ >PAN30555 pep chromosome:PHallii_v3.1:5:21790660:21796439:-1 gene:PAHAL_5G293300 transcript:PAN30555 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SIS3 [Source:Projected from Arabidopsis thaliana (AT3G47990) UniProtKB/Swiss-Prot;Acc:Q8GYT9] MAMRGVDFKWYDGFFLSMLATSVIIVSINWKRYRLCAHPLHIWIVVDYTTVFIFRLLMFLDNGLAAGMGLDLGWQQRYARFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLNRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRVGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRSSSEPDHPSASASDVTTATAATRYVRSQPAGQSYLVRLQGLLLRPVRHESMESSGGEPAVANSSSVGPEELASIVVDDGHQLPDR >PVH38575 pep chromosome:PHallii_v3.1:5:21680230:21681363:1 gene:PAHAL_5G292300 transcript:PVH38575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCRQPGSRPTTSPGRTSRNTLHHARLPAVCCVEGVPGTQLGVPSRRALVGRVCEVTEVMSLQHSDHGTTTCMAMRRRAVGRGLGRRSRESQPAPR >PVH38576 pep chromosome:PHallii_v3.1:5:21680230:21681363:1 gene:PAHAL_5G292300 transcript:PVH38576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCRQPGSRPTTSPGRTSRNTLHHARLPAVCCVEGVPGTQLGVPSRRALVGRVCEVTEVMSLQHSDHGTTTCMAMRRRAVGRGLGRRSRESQPAPR >PAN28926 pep chromosome:PHallii_v3.1:5:11270234:11273079:1 gene:PAHAL_5G186900 transcript:PAN28926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWIRTLVGLRPAAERERPGGAGKGRKWSRLWRSSSSQRGSSAAPSEAPSEASSAADALTSSVVAAVVRAPPRDFRVIRQEWAAVRIQSAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMNALVRVQERARDRRARISADGRDSQDILDDRTGRADPVKEAEAGWCNSQGTVDDLKSKLHMRHEGAVKRERAIAYALSHQRSSSHSDRPSSPAVSLRNHGSNRTNQNWSYFDGWTTAKPWESRLMEQTHTEHSTNSRCSESNEEMNAVSSKLLDVSSVKIRRNNMTTRVAARPPSTISASSSDFVCDASSPSTSSVTPVSGTNFMTSERRSDCGHGGGPNYMNWTKSAKAKLNGSGTHKPPLQRQRSSDLHCNSRTALSSVDVQSTAGSEVSVTSKRLNSLTLKGRGTRSLDKENDGQPIALF >PAN27760 pep chromosome:PHallii_v3.1:5:6365399:6366535:1 gene:PAHAL_5G104900 transcript:PAN27760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHISIITKRAAERECNADGQPPAPAPLLDSPLPTPRRSCASVDASRLRCRRGASPLRTHVPFSWESSPGVPKNNSACGRDTHKKAPAPMPPPRPPPGRPQPCLARNSYYGNTSEASSDDDDRSFSDALDRISSPERTGSFDRVTSKRFEDIFVGRATSFAKDRARHPAAEAADFSASGRHPRQPRRGSTRRGHDGEDRRWTPRLLNDNVPMQLMQRIRMDAEAEERTPRACGLMVFFPWSAKPAVCAFRGSLAPAANSPSPRSHSRRITTTTTLRDVIKEDNEATGGDLLQPRGEKKRGREDVQPSRGWGVSSLLDTSKKYCTDARKALSKLSIGLGADSGSPRVSSERRGGRLHDGFSSTPATPAKLTPQLKASRN >PAN27926 pep chromosome:PHallii_v3.1:5:7123690:7126514:1 gene:PAHAL_5G118600 transcript:PAN27926 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT3G60360) UniProtKB/TrEMBL;Acc:A0A178V8J4] MSSLRNAIPRRAHKERAQPEARKKFGLLEKHKDYVVRAKAFHRKEEIIGKLREKAAFRNPDEFYFKMINSRTVGGVHRPKPEANKYTEEELLLLKNKDMGYILQCIQSEKKKIEKLSSTLHELDTKRPNKHVYFAEDREEAKEIHSRLGECSNMPGFDNIPSRIKKKTASSYRELEERKQRLQKLEKLYGEMALQKELKKPGRKRKLREDEMVNPTSQPVYKWRAQRKR >PVH39030 pep chromosome:PHallii_v3.1:5:51898759:51902669:-1 gene:PAHAL_5G423300 transcript:PVH39030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAPASLVAVFLLVLALLLAIVAAQGPPLPPTNPSDAAALHAVFRQWRLEGDAAAEDPCGKGAWSRSFAVNASVDCDCSSGVECRITQLNVTGYRNITEIPPALFNLTELVSLDLSNNNLSGSVPREVGNLSKLETWHFNNNNLSGYFPHESSLLRNLKSLWMFDNYIEGPIPEFIQNLTNLTDLRLYGMKLQGPIPQNFSKLINLENLMLGDLEGNYTSFEFVENWANLSTLSLRKCGLTGQLLSPP >PAN26542 pep chromosome:PHallii_v3.1:5:1216402:1223145:-1 gene:PAHAL_5G017600 transcript:PAN26542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAAARRKLGGYLRAVLSVDGAGAASIPPLSPCTLSACGAIPLAPLPDDGPPPRSKWRASAGGVSVVRLLRTLVASRCVEVEGTLLRVVTRRAGEGDDAPVEARAVVLIDVYLPVAAWSGWQFPRSRTAAAALFKHVSCNWDARKSLLAFDWTSREGPHCDDRCIWSCTDCHVLGCEDHQIASISNNEKSFDLHEIFKTLPGVRMEKGMQTTRVIPDAEALGLGIWSVPDDVLHKVLFLLKPRDLIRVAASCHHLRSLAASVMPCMKLKLFPHQEAAVEWMLKREQNTQVLAHPLYKDFCTEDGFPFYINVISGEVFTGNAPTINDFRGGMFCDEPGLGKTVTTLSLILKTHGTLAYPPEGVDVSWCMHKPDKKYGYYELSPSCSSNRNSSSSVSKKLLAEDGITDDPCSSGLSRNDDPVCSTRSSRKRGRLLSPDPTKTKLHATNEKSPSSSHSKVYPTPATHVLKFTRKSRQVRKNLMDAYSNGSVGNKRKRGTASELSETWVQCDACRKWRRLLDETDLDSTTAWFCTMNTDPTRQKCTAPEEAWDFENNITYLPGFYKKNSLPGNEENVSFFTNILKDNVAMINSEAKKALLWLAKLSPSKLLEMELVGLTRPVLDTRATTGKGAHPYYKIFQAFGLVRKIEKGVTRWYYPSMLDDLAFDSAALGVALEKPLDSTRFYLSRATLIVVPANLIDHWTTQIQRHVSSDTLNVFVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWSPQKRSALKQIHWFRVVLDEGHTLGSSLALTNKLQMAVALVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHDEVYGQNYQSWDSGIHRPFEAQMEEGRVRLVQLLQRTMISARKADLKNIPPCMKKITFLDFNEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRAATIKNVRLSCCVAGHIKVAEAGEDIQETMDALVQKGLDPSSDEYQFIRYALLNGASCFRCKVWCRLPVITPCQHLLCLDCVALDSEKCTLPGCGNHYEMESPKTLARAENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLIEKLRSLQETNMNHGNNITNCVDHANALSCQPQAMLDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMPLGSKRSALTKFQEDPTCMALVMDGTAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIQVETLAMSGTIEEQMLKLLQDSSACRKMVNKGTSSTENEGGRPHRSLHDFAECSYLAQLSFA >PVH39064 pep chromosome:PHallii_v3.1:5:52068727:52070192:1 gene:PAHAL_5G426300 transcript:PVH39064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIYFEDPNTFPEIDWFTYETAATYGLPLETIRTDYKSGIEALLKEKPTKAIFLGTRNGDPNAVGQQQFSPSSPDWPPFMRVNPILDWSYSDVWSFLLTCKVKYCSLYDQGYTSIGSIHNTVPNELLRDGSGGYKPAYKLSDGGLERAGRAKKAGKKNASVNGRNNNEPGQRSRL >PAN28493 pep chromosome:PHallii_v3.1:5:9450245:9454649:1 gene:PAHAL_5G156500 transcript:PAN28493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRTFKKKEEEEEEEEEEREESEEEESEDESDDKPKHKGTEGIIQIENPNLVKAKNIKAKEVDLGKTTELSRREREELEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKAAKEQRKSEARK >PAN27814 pep chromosome:PHallii_v3.1:5:6609115:6610772:1 gene:PAHAL_5G109900 transcript:PAN27814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP2 [Source:Projected from Arabidopsis thaliana (AT2G30400) UniProtKB/Swiss-Prot;Acc:O04351] MGRHKFRLSDMIPNAWFFKLRDMRARGGGAAAASPRVAAAHAASRPLPSTPRHGGGAWLPHRASHYYTPRAGDIVLGSSPLHPRASDTRFPPLQLSPPRRSSRRRHHRRRCVKLAAPSSVSSSSGVASSPASAAGCRCWRRPELVAVEAPDTPPCRRDMFVGYSSDEDLKKPTLAVRADDKLDGKVFTSATEIIIDLRTKRRPERTLPPITTKPARKEPDGCELEDKHIDVLKHATQRTPPAPEQSKLKPRRSVSSARRLKTRANTPRIASPKKCKPPATTPRSPTPARTKPPPLAESFAVVKSSRDPRRDFRESMEEMIAENGIRTAADLEDLLACYLALNAAEYHDLIVEVFEHIWVTLTDVKM >PAN29563 pep chromosome:PHallii_v3.1:5:14656267:14658736:-1 gene:PAHAL_5G234600 transcript:PAN29563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLPAPPPARCVLQPRKAPILPSQVSSYSLSLFLPPLDLSPAAEGTADSARARHVYIYAMGAGKAGPLLYTSSPSSSCRPPPPGFVLGVSEGRSIRVGIWTDGMGHHEPFGRLVGLVFVLVALCGGIEHRRAEAADGASRHRMLTTISVSKPSYPTVTTPMSASADPDSAPGSPSPTFPSLAAGNGGGDAGGIGGGAGAGTGGGGGGAGTGGGGAGGGGGGAGTGGGGAGGGGGGAGTGGGGGGGGGGGTWCVASQSANPTALQVALDYACGYGADCSPIQQGGSCFNPDTVHDHASYAFNSYYQKNPAPTSCDFGGTATITNTDPSSGSCQYPSSSGGAQTMMPPPSPTTLPPTVPMTPTPTTPDTTTPTTGTPVYGLSPPDYGSMSPPGYGSTSPPDYNDVGAAATTGQGRAALALLCVLVATVSLHASK >PAN31676 pep chromosome:PHallii_v3.1:5:52676478:52678306:1 gene:PAHAL_5G436300 transcript:PAN31676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEQQQQQDVKLFNRWTFDDVQVNDISLNDYLAVSSTKHATYLPHTAGRYSKKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVRIIKHTLEIIHLLTDANPIQVVVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >PAN31155 pep chromosome:PHallii_v3.1:5:49844296:49849646:1 gene:PAHAL_5G401100 transcript:PAN31155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPAAAAAAAAASRLVPLSPCRRISSLKVPWKRDPVLDAAITRDRRFRQASRLVREVLLSPGRRLLFRYLTKRRERVNLPVRVPTFLRRYPTLLSVSPPPEPIASPSPQLLAFLDFASRLYELHAPLLASRLAKLLMISSTHALPVTKIASAKRDFGLPDDFLVSLVPKYPDLFRLVGDPGPDASGNAFLELVAWDDQLARSVIELKADKEADVVGIRLRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADASSLCPASPEAEKRTIGVLHELLSLTVERRMALPIIAKFCDEYRLPNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDEKGELVDRDPLLELKERFVAIMEEGHKKYLEDLKRKREALQKEREVAGREGVKLPVEMEEQFEEDWHSGASEGDDDTDDACAQ >PAN31156 pep chromosome:PHallii_v3.1:5:49844296:49849646:1 gene:PAHAL_5G401100 transcript:PAN31156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPAAAAAAAAASRLVPLSPCRRISSLKVPWKRDPVLDAAITRDRRFRQASRLVREVLLSPGRRLLFRYLTKRRERVNLPVRVPTFLRRYPTLLSVSPPPEPIASPSPQLLAFLDFASRLYELHAPLLASRLAKLLMISSTHALPVTKIASAKRDFGLPDDFLVSLVPKYPDLFRLVGDPGPDASGNAFLELVAWDDQLARSVIELKADKEADVVGIRLRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADASSLCPASPEAEKRTIGVLHELLSLTVERRMALPIIAKFCDEYRLPNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDEKGELVDRDPLLELKERFVAIMEEGHKKYLEDLKRKREALQKEREVAGREGVKLPVEMEEQFEEDWHSGASEGDDDTDDACAQ >PAN27539 pep chromosome:PHallii_v3.1:5:5421944:5425509:1 gene:PAHAL_5G087900 transcript:PAN27539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVARNRASPTSHGGFSGFNSEFQSDPGFSWTSTYTPRSRVVNKKVRSSRSIRGKAAAIAGSCANCFAPPRSEIDEAHENPPINGQDVSISPISRISSTSSTSNNISRQRCDSSQTKSWQEQFSFQEICIATSNFSEQNKIGLGNFGTVYKAKLRDGSIIAVKRATKSIQGGHLSAEFRSEIQMLSKVEHLNLVKFLGYVEYEDERLILVEYVNNGTLRQHLDGSQGEPLEFAQRLNIAIDIVHAIAYLHGYTDHPIIHRDIKSSNILLTEHLRAKVADFGFARLAPENPEATHVSTLVKGTAGYVDPEYLRTNQLTDRSDVYSFGVLLVELITGRRPIERGRGRRRHQRLTTEWALRKCREGDAVVVMDPRMRRTSAVVAAVEKVMALAAECAAPERAARPAMRRCAEVLWSVRRDLQQEQQRAAAASAGARGHDGSTYAPPSVTSLRQERFENLR >PVH38329 pep chromosome:PHallii_v3.1:5:14374041:14377081:-1 gene:PAHAL_5G231100 transcript:PVH38329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVERLKTGFEQFKADVYDKKPETFEPLKAHQSPKYLVFACSDSRVCPSVTLGLQPGEAFSVRNIASMVPPYDKTRYAGVGSAIEYAVCALKVEVIVVIGHSRCGGIKALLSLKDGEPDKFHFVEEWVRIGGPAKTKVQADHASAPFEDQCSALEKEAVNVSLENLKTYPFVKEGLEKGTLKLVGGHYDFVSGKFETWDP >PAN31802 pep chromosome:PHallii_v3.1:5:52954429:52955983:-1 gene:PAHAL_5G441100 transcript:PAN31802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQSKRTSAPAPQAGPPLGGPGGQAVVPPPIPSMFGFGSWCPPNILPQPTTSSPAYCRTGLQQMGSSSTQVPWWTPPGVGAPSAQDQDVQAWGVDLHPPGGFVNLLNKNASNPTEDVNNGSSSQPINICDDTDYSSRTEKRLAWTKEEDLILVNPIQSNYKKNDQYWKDVAAAYNRAVPKNRARQVKHIKDRFARIKKKVTWFCKSWKEANTLWASGESDADLMNKALKLYEDEHKKEGPFMFKHCWDVLRNEPKWDAYVERLEDLEPDKRKFEKDISEHGNGKKKDEACIIDLEGELTAFVEAQNKANESRKEMLETQKRVSSEKLEAQKLAYLAAKENKEAGMLEAYRELLKQDTTGMAEDVRSEHVLALKCLREKKFGN >PAN27598 pep chromosome:PHallii_v3.1:5:5619685:5620992:1 gene:PAHAL_5G091100 transcript:PAN27598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQVLLVILAVLAILATLPLGKGNEEEGRAALAEGTNARAWPCCDKCGLCLLMYPPKCTCLDVSERGCHSACRNCVRYTADSGSIRQVPPVYRCADMLTNFCQRRCTPAAAAVA >PVH38377 pep chromosome:PHallii_v3.1:5:15659457:15660213:1 gene:PAHAL_5G247600 transcript:PVH38377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFALLALLALWASAATAVAIPRFYSPFGVPNVATIPQFFPQVTVADSAYSFVQSYRQQEAFVGGISPLSAVISQHPLAISQFPYLYNQLAVPQLPYLYNQIAIPQLPYIFNQLPHLYNQFAISQVPYLYNQLAIPQLSNLYNQLAFTNPTAFLPFNQLAVRNPAAFWQQPIIGGAFFNQLAVRNTAAFWQQPIIGGAFF >PVH38686 pep chromosome:PHallii_v3.1:5:32784640:32785074:-1 gene:PAHAL_5G328200 transcript:PVH38686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTKIIESPCLLTSTTPSLRLLSIKMPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSTSSLNLPVRDPTFQLPRRILVSSASFLTLRTRREKCEDPCSFFTTPGRRCSAPQRLRRPDPCSLIIVPGS >PAN31223 pep chromosome:PHallii_v3.1:5:50277642:50278544:1 gene:PAHAL_5G405300 transcript:PAN31223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNTFGGMDSDRKRIRVIQGHFSIRRSLVRRTTGVRSVTTLDGKVKLYTSNYFPFSLYRMTNSGGLFLFI >PAN28972 pep chromosome:PHallii_v3.1:5:11502972:11507347:-1 gene:PAHAL_5G190700 transcript:PAN28972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGVAVTNGSGGADTTAAFKEIYSKLKVEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGISVIDSYKILKGADVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLSKYNLTIHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVKTILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALDRADEDQKRILFENYGKSDPACVAKVKDLYKELNLEEVFHEYERESYTKLIADIEAQPNKAVQTVLKSFLHKIYKRDK >PAN30882 pep chromosome:PHallii_v3.1:5:26318736:26321535:1 gene:PAHAL_5G310300 transcript:PAN30882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPALRPSPFHERISCSCPVWPALLALDPGFSSRESLQRAPPSLDPAARRAETSRRSPSRSLPSSKSSARAKSHPKSCTKAITRAKSLAPHL >PVH37862 pep chromosome:PHallii_v3.1:5:6449825:6451687:-1 gene:PAHAL_5G106600 transcript:PVH37862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNTMSDTTSRMSLQQHGQITTNGSAMSACPGHTEVPKEVARHHEHVVAAGQCCSVVVQTMKTPVDAVWSLVRRFDDPQGYKGYISSCHLVTT >PAN26531 pep chromosome:PHallii_v3.1:5:1290718:1292007:-1 gene:PAHAL_5G019300 transcript:PAN26531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTTVKVIGAFSSPFSHRAEVALRLKGVPYELMHEDLHNKSELLLKSNPIHKKVPVLLHGDRAVPESLVIIEYVDEAFEGPPLLPADPFDRATARFWARFLDDKCSTPFWLSLWTEGEVQKGFVKEIKENLKLLEGQVKGKRFFGGDAVGYLDIAASGFAHWLSVFEEVAGVSLVTEEEYPDLCRWAKEYVSHEAVKRCLPDREKLLAHCTARKDFFVSTVKSMAPK >PAN27925 pep chromosome:PHallii_v3.1:5:7120153:7123612:1 gene:PAHAL_5G118500 transcript:PAN27925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAMAFQALTLTPLPLLLPSSRRRVRVRVLAVAADQTPPPPPAPPSEPANSPSRLLRELAQRKKAVSPKKKHPPRRFILKPPLDDERLTRRFLSSPQLSLKALPLLSSCLPSAPLSTADRTWMDEYLLEAKQALGYPLAPSETLGEGDDCPARHFDVLLYLAFQHLDPSCERTRTRHVRNGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKKVLPKWLKAASLHNLVFPYDDLDKMIRKDREPPSKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPDDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDSRPKVMDTLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCFERLEYVGQKIQDLVLAERLLMKHLDAPGRWLAEKHRRMLMNKYCGRYLRDKHLHHYVIYGETVQDRFEHNRRLRNPSTTSVQQALHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV >PVH38657 pep chromosome:PHallii_v3.1:5:29468691:29468906:1 gene:PAHAL_5G318400 transcript:PVH38657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWASKLKSGAKKAMHIRFKSRSNNGSQTPTHISDSMSVDSIPLPGQGATSRRIRVLNEEGQIVLRTDLER >PAN29976 pep chromosome:PHallii_v3.1:5:16976521:16976832:-1 gene:PAHAL_5G263100 transcript:PAN29976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWTTKVSSKALPSCVPGVIIRDDQRIPKGYLPIVLVRDDEGGAETRVLVRIKDLQEPCMAALLEMAEEQFGYGQQGVLRVPCDAQRFDHVVNMARKSKVAR >PVH38864 pep chromosome:PHallii_v3.1:5:44937841:44938470:1 gene:PAHAL_5G371800 transcript:PVH38864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINCDCSKPSKSGFLRPAQNAHTYLRFQELVRTVNKGRVEGGSQLAASWPRPPAYRYEIFHLNSKVGNSIPLADIRMGTWVHDIECHPGQGAKLARAAGTYAIIIKEPAPQCLVRLPSGVEKLIDSRCRATIGIVSNPNHGARKLRKAGQSRWLGRRPIVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGFRAVVGVGKRRI >PVH37588 pep chromosome:PHallii_v3.1:5:2387320:2388096:-1 gene:PAHAL_5G038000 transcript:PVH37588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGMSPRPSTDWGPIIVAVILFVVLSPGLLFQLPARTRVVEFGNMGTSAIAILVHAIIFFCLLTIFVVAIGVHVYAA >PAN26458 pep chromosome:PHallii_v3.1:5:883903:887158:-1 gene:PAHAL_5G013400 transcript:PAN26458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAPGQLNLDEAPSWGSRSVDCFEKLEQIGEGTYGQVYMAKETGTNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIKLKEIVTSPGPERDEQGKPIDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGHLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDDIIWPGVTKMPWYNNFKPPRPLKRRVKEFFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLNHPPPHSRLPPIQQPGQAHPQIRPGQGMHNVPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGAAGPRGAGGSGYGAGGPGYPQGGPYGASGPGRGNYSQGGSRNQQQYGNWQ >PAN26693 pep chromosome:PHallii_v3.1:5:1884624:1886282:-1 gene:PAHAL_5G029400 transcript:PAN26693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHIGAGIAAGTDSLPLACSIPMAAKLTLPAGALKPPGPSNLDRRGPAGRPAQRASTVRAHVPRRAAGPAGRSNPGRARRDPQPTRRHQRPPSIYSRSPTTPSSASQPPAACKQDSQRASGGLTRPEAQDKIPYCSKQASMSGVWVFRNGVVRLVENPTSGAAAAASGKRKALLHTPSGEVVTSYTSLERKLAALGWERYYAGGGDGGMLQYHKRTSVDLISLPKDFAHFGSVHMYDIVIKNRDAFRVIDA >PVH38732 pep chromosome:PHallii_v3.1:5:34864846:34865094:-1 gene:PAHAL_5G336100 transcript:PVH38732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGGWPRWGSVEGSRPPRGREEDRRVRKRGREEDGAHGEGVGASLHACRRVRKRKSRCLSRVGARVGSLLECIFLCLVLFF >PAN31391 pep chromosome:PHallii_v3.1:5:51400473:51403184:-1 gene:PAHAL_5G416600 transcript:PAN31391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVGRFHDVDPHDVRSRHCRLHSVAQLDSPLLDRDHREHSDAAAGAGHTGLSPRRRSQSSPCFTTVAPAGAEHADRSESKMPRVEIIAGRHARGVRELIAEAAAAIASGTRLVPAQSGLGGALLLTGSRGGEHVAVIKQLDDTAAPGSPGIGGYESQAVLREVAAFLLDHDGFASVEPTALIKISRPAMPATMASIQRFVAHEYDAGELGPSRFSVASVHRVGILDIRLLNIDRHAGNILVKNPPSSHSASAPPPPLDLVPIDHSLCLPEQLDDPYFEWLHWPQSSLPFSDDELAYVASLDPFKDAETLRAELPSLKEPAIRILTLCTIFLKRAAAVGLCLADIGDMMTREFMAQEEGLSTLEALCKQAHDSVLPPRPPSLPCPPPDGDGVDEGTTSSCGRKHVSFGDLSFAEWAAFLDTFEQLLAAALEAKKRGALLPAPGTTTSP >PAN28630 pep chromosome:PHallii_v3.1:5:10151172:10155443:1 gene:PAHAL_5G167400 transcript:PAN28630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MVRLLGGGSARRPQHTARSRNRRPQQARAQPSGGDPPPTPAPAAAPMASSPQPAPPTGGDPAAPADPELPRLTVTQVEQMKVEARVADIYRVLFGAASNTKSIMLELWRDQHIEYLTHGLRHLGPAFHVLDANRPWLCYWMVHPLALLDEVLDDDLEDDIVDFLARCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSINRDNLYKFMLRMKDESGAFRMHDGGEIDVRASYTAISVASLVNILDVELAKGVGDYIARCQTYEGGIAGEPHAEAHGGYTFCGLAALILLNEAEKLDLPCLIDWVAFRQGVECGFQGRTNKLVDGCYSFWQGAAIALTQKLVMIIDEQLKLSYSCKKPSGEDACGTSSSGCTSEKSSSAVDYAKFGFDFIQRSNQVGPLFHNIALQQYILLCAQVLEGGLRDKPGKNRDHYHSCYCLSGLSVSQYSATTDSDSCPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSQE >PAN30032 pep chromosome:PHallii_v3.1:5:17421115:17427355:-1 gene:PAHAL_5G268700 transcript:PAN30032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAVAVAAPLAAPPAPAFSPAAAGLTLIAAAAADPNAAAAVAGAVEGVSVPPVRTTSAAEDDALLAPDGEGGGEASVAGSPCSVASDCSSVASADFEGVGLGFFAAAEAGGPMVFEDAAASAATVEAEARVAAAGRSVFAVDCVPLWGYKSICGRRPEMEDAVATVPRFFDVPLWMLTGNAVIDGLDPMTFRLPAHFFGVYDGHGGAQVANYCRERLHVALVEQLGRIQGTVCAANLGDVEFKKQWEKAFVDCFARVDDEVGGKVTRGGGDGTGTSDAAAAIVPEPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLVLASDGLWDVMSNEEVCDVARKRILLWHKKNGTSSSSAPRVGDSADPAAQAAAECLSKLALQKGSKDNITVVVVDLKAQRKFKSKS >PAN28004 pep chromosome:PHallii_v3.1:5:7458823:7461356:1 gene:PAHAL_5G124300 transcript:PAN28004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASSDLATLGAAELVRASASIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPVVRRIQSAGSGARSAQWLELFAYQFLYLIVLFTFSLLSTAAAVFTVASLYASKPASISASLTALPPILPRLLRTFLWVSLLMLAYHLVFALAVLLLIVIFIPNGASESTPPSISFVLFLIVVVFVFLGIHVYISALWHLASVISVLEPVCGLAAMAKSKQLLQGRTGIAATLVVSYFAICGVTSLLFRAAVVKGRGEEGSFGLALPGRLLVGAVLVCVLVCVNLLGLLVQSVFYYACKAFHNQEIDRSALYEHLGGYLGEYVPLKSNIQMENLEVGA >PAN27838 pep chromosome:PHallii_v3.1:5:6755921:6758236:-1 gene:PAHAL_5G112000 transcript:PAN27838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEEVKSRFGRCPYCRAMIYQDLTAIIFYCSKCRTPIRGKNPRPTDETEYALAQLEILSADTASVFSDDVEPPNPRSGWGVDDDDNGQPPLGSRSTTGRSFVPNSRQGTGAASSSPYRESDWVREGPRSRAMNSGLNRNDQKEAEWSGSPLRSRVTELRPSSRRTRRSSSGDVDMRSGAGSGTDSESDMPAPATSYRRRASPLSSQELEVASVLSGFESINIEKSPLSDPAFQKDLLQALDNLRKLIAAVDHPRSIDGQWQGMMPRLSASCNGDSSGKRTITRRSSRLMRRLESQLTRALPVERPRRDASTSSSSSASSSRRGGLRPRAHHCRPLLGGTPFVVCDGCSVILQLPAALPAGRVTRLKCGGCGEAFELTLQASGSTETGRPNRIFSAPQPAVGGGEDTEYAVAWSNLSGEQPRPAGPLHRVLGYSSVSSVLRSRRYDEHN >PAN27783 pep chromosome:PHallii_v3.1:5:6462357:6464637:1 gene:PAHAL_5G106900 transcript:PAN27783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPALLLVLLLSSPAISSSKRIQPKFSAIFYFGDSVLDTGNNNRLPTVALANHVPYGRDFPGKKPTGRFSNGRLVPDLLNQRLQLKEFSPPYLDSRLSNNDIMTGVNFASAGSGFDDQTSQLANTLPMSEQVNLFKDYLLRLRNIVGDKDASRIIANSLIFISSGTNDFSHYYRSSKKKKMDIGEYQDTVLQMVQARVKELYDLGGRQFSLAGLPPFGCTPIQITLSRDPDRACVDEQNWDAQVYNSKLQELLPTLQGSLHGSKIVYLDAYRALMEILEDPAKYGFTETTRGCCGTGLREVALLCNALTPICKNVSSYVFYDAVHPTERVYMLVNDYIVKDVIPQF >PAN30305 pep chromosome:PHallii_v3.1:5:46763577:46768263:-1 gene:PAHAL_5G377500 transcript:PAN30305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSAANQRRRPLFTIVVALSSLPAAVLAATRGEQEGDRVRFLPGQPPRPLVSQFAGYVTVNERSGRALFYWFFEAQTSPAQKPLLLWLNGGPGCSSVGYGAASEMGPLLVNGNGTGLEFNKFAWTREANLLFLESPVGVGFSYSNTTSDLDNMDDGFVAEDTYTFLVNWFNRFPQYKSHDFYISGESYAGHYVPQLAEMVYERNMHLEMNQHINLKGFIVGNAVTDDYYDEKGLVEFAWSHSVISDQLYQHVKNVCNFRTIFFTGECAHAMNLVYTQYDKIDIYNVYAPKCNTDESALSSSSDSTIEKTVKKKFKRLRMYSGYDPCYSTHIEDYLNRIDVQKSLHANISGWIKDRRWSICSYSVFDNYDDNIFTVRPLYSKLVKTGLRVWVYSGDMDGRVPVIGTRYWVEALDLPMKSQWQPWYRNNQVAGRFIEYEGLTLATVRGAGHDVPQDKPAEALALISSFLLERQLPTKNN >PAN28157 pep chromosome:PHallii_v3.1:5:8068408:8069778:1 gene:PAHAL_5G135100 transcript:PAN28157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFSKKRKNRTAPGARRCEPEDRDPPSPEEETVKEVLSETPSAKLRPEAKPVANANAVHDAEERGAEKVKKQEDSADAAVSDLGSCVSLSLATDERSEAASESSAATSSVAGPERSPGRKPSARRRPVSADLGPARRDRAAAASYGIRSRSARGSPSPPPPRHVPRDRSVRRSPSPAAKRPSSEHRRAASPAAPAQRKPPVPARPFGRVSPRRAQEPPPPPASPPPPSQTEDDDVTAASEHSVPDASAGGEGQRGRDGDGKESLENPLVSLECFIFL >PAN27674 pep chromosome:PHallii_v3.1:5:5972597:5974165:-1 gene:PAHAL_5G097300 transcript:PAN27674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTRHEAEESKEMEGLRAHAVALLSLSSSPEPAASGGGDSRPPASASSRRAMAAEGVFECKTCSKRFPSFQALGGHRTSHTRLQARMLSDAAAAGAERDRTRVHECAVCGLEFSMGQALGGHMRRHRGEAPLAAHDDGAAHPDQAMPDLNFPPLEDGDGGGGSDGGQDQQPSADHSSEPQLLNLLV >PVH39085 pep chromosome:PHallii_v3.1:5:52317877:52321728:1 gene:PAHAL_5G429800 transcript:PVH39085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSSPTCIVADDSVFLALIVSSLLPSSKVFTMFPSLRDRGFNYLQAVADANNLSMDRIKVIGRKSSSLTMNDLNHEKVNLIVGEPFYLGSEGMLPWQNLRFWNERTLLDPLLSEGAFIMPCKGILRFCAMSLPDLWKSRCGLKDVEGFDHSVVNDTLGACGDLPGEQQGPCLPYYVWQCGYTKKLSEVYSLIDFNFSEPIHSCFGETKIEFAHDGTCHGFAIWIDWVLDKENSIVISTGPESRYWKQGVQLLSRPVQVNRGNSVMHVDHVF >PAN32478 pep chromosome:PHallii_v3.1:5:56046691:56047631:-1 gene:PAHAL_5G489800 transcript:PAN32478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARCVKLLLLVTLIPLALRATSLLLGGPAVPASSHHRQSPMSTATATVTGRASVSAGLVPGRPYRQTRRRRRTESALAAFDGTRRLRQADGGSWFEDDKRLAPTGSNPLHNLR >PVH38928 pep chromosome:PHallii_v3.1:5:48777644:48778657:1 gene:PAHAL_5G391500 transcript:PVH38928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPCMITNKSMYSGRTLPNNGGQQIRVGKNRGSFRSPVRVEWPDCSLSEGSGKAGGSEDLPRLAKIRAARQPRHRRRRYRCIGRSVPCHVYAQLRRRSVLGGRGRRVRDDDDVGAAERARGVAAEPGVDALDVEAVAAARQRAGLLPGLELGEADRAVPAHADRDHGYRGEHRGVEPARRGRGAVAVLAGSGDGQAPEQAAAAGGDAAAAGAVVKVQRDERQEHARERPRRGEQEPARDFVQRRVGRVTLGGGGRRPRRTAVGRKMDAAHVARGHRVLHLGARAAAVAGAHG >PAN26288 pep chromosome:PHallii_v3.1:5:47121:48326:-1 gene:PAHAL_5G000400 transcript:PAN26288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCFACFKPAGEEEEAPSPSPSRRRGRSLRLSCSSGRNQQAGGDTAAASIIADRRSSSSRARAFTYGELAAATDNFRAECLLGEGGFGRVYRGRLESGQVVAVKQLDREGAQGNREFVVEVLMLSLLHHPNLVNLVGYCADGEQRLLVYEYMALGSLADHLLLPAGGEQDEPQRALSWETRMRVALGAARGLEYLHETANPPVIYRDLKSSNVLLDDALCPKLSDFGLAKLGPVGDRSPRVMGTYGYCAPEYVRAGNLTVKTDVYSFGVLLLELVTGRRAVDSSRPPAEQLLVAWARPMLRDGKRYRELADPLLRGDFPERDLKQAVAVAAMCLQDEASARPLMSDAAVTLEYLAEAAASLAAPAASSSSS >PAN26596 pep chromosome:PHallii_v3.1:5:1554648:1558434:-1 gene:PAHAL_5G024300 transcript:PAN26596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase, Crown root formatio [Source: Projected from Oryza sativa (Os01g0940000)] MKPSLEHCFKLMLLLLALGGVTMHVPDADVLSSLGALRLDGHFSFHDTSAMARDFGNRCSLLPAAVLHPGSVSDIATTLRHVFSLGERSPLTVAARGHGHSLMGQSQAAGGIVVRMESLRGSDRLQVVHGGMSPFVDAPGGELWINVLHETLKHGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDVVTCSPEENSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFASFTEDQEMLIMAENTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASRFQPDGRVLYCLELTKNFNSDEADIMEQEVTALLSRLRYIRSTLFHTDVTYLEFLDRVHTSEVKLRAQGLWEVPHPWLNLLIPRSSIQRFAKEVFGKILKDSNNGPILLYPVNKSKWDNRTSVVIPDEEIFYLVGFLSSAPSLSGHGSVAHAMNLNNQIVEFCEEADIGMKQYLAPYTTQQQWKAHFGARWETFERRKHTYDPLAILAPGQKIFPKASLPLSL >PAN32077 pep chromosome:PHallii_v3.1:5:54390448:54393721:-1 gene:PAHAL_5G461500 transcript:PAN32077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLSLPFPGARFTPSQAAAPRRRTRARPFSPSANARTPCFRRPYTSVLIVPTGVGAAVGGFAGDALPVARTLASVADCVISHPNVLNAAMLYWPMPNTLYVEGFALDRFAEGSWALQPVHQNKVGLVLDSGIEENLQLRHLQVADAARASLGLPVVEYIVTDAPLEIKTWFDPKCGKSTGSVGNSDSLMRAVDTLVNHSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPAAHAPAVLPPPLSPLVSPRSAAEEIGYTFLPCVLAGLSNAPQYVMRRQGILGSDCIVAGDVDSVILPKDSCGGEGTLAFARAARKHKPLIITVQENETVLDDTPDKFGIEALNVRNYWEAIGVVAAHKAGVNPNALRRQGIDHLKSPRRLYSAYSSGPRPSAHPPVHEKVHIQQLAKQI >PVH38235 pep chromosome:PHallii_v3.1:5:12445230:12446401:-1 gene:PAHAL_5G204700 transcript:PVH38235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKINEYFCPSKHDSIWKEAGDEGCHNPAWPEFVPSAGKEKTRKMDFLRFGSHVLIGIRGPREDAVEIRRQLMEFCERTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGVGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDVIEGVQFARMSSIPSCDYTPFPRNWVRTMNFYCKSILSCKIQSSFVNFTRLSNVRK >PAN26881 pep chromosome:PHallii_v3.1:5:2833878:2840737:1 gene:PAHAL_5G044500 transcript:PAN26881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIIARELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEEPNAYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIFPNPKMLEAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLCDVAEAHLTDDTVKAYAISGILKIFAFEIALGRKIDMLPECQTLVDELSASHSTDLQQRAYELQALLGLDKRAVESAMPADASCEDIEVDRNLSFLNSYVQQALENGAAPYIPESERSGVISVGSYKSQEQQETSAHTLRFEAYEMPKPSLALATSQVSMSTPPTDLVPVPDPGYYKEDHQTSRSQPSGDAISGEFGVKLRLDGVQKKWGRPTYSSSTPSSSASSQQATNGGSYSDGGGSTSSQARESSYGSKRQQGTEISAEKQRLAASLFGSAAAKADRKAQASRKASKENASAEKATASSAAPQPVKEQVIPEAPPPDLLDLGDEPVSSSPPSADPFSQLEGLLGPASATPVVSGAPATSTSKAPDLMSIFSDDVPTGATSGSTDPTLGDVNLIKGATTAAAKKGPSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >PVH37921 pep chromosome:PHallii_v3.1:5:7370765:7373423:1 gene:PAHAL_5G122200 transcript:PVH37921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTNDPYHMNERLIAADTLQKAIMLLALTAWAFWSHFRGRRGGGKDGASASPIKWVVTNFSVASLPNTIIMGVPLLDGMYGSVSGGLMKQIVVMQFCIWYNVVIFLYEFMAARDSSAKISPVSVAAAAAENRDRIGENGGSIRAEGSQHQVVVNIEITEVAAAAAASPTAPDSAAEEAAAAVAKEMTADADANNNKVAGEAPAQQVPPVMHIVWMAVKKLLQIPNTYASFLGLIWSLMAFKIGFSMPKIVDDSLFIIYTTAVGLSMFASGTFIARQSRFVPCGYTIASLSMVLKFLIGPVLMLLVSLAIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >PVH38720 pep chromosome:PHallii_v3.1:5:33859166:33859615:1 gene:PAHAL_5G333500 transcript:PVH38720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFKLVKYIPRIKKKKNGLRKLARKVPTDRLLKFERVFKAQKRIHMSVFKAQRVLDEIRWRYYEETIMILNLMPYRASYPILKLVYSAAANATHYRDFDKASLFITKAEVSRSTIMKKFRPRARGRSYSIKKAMCHITIVLNIVKKSK >PAN32747 pep chromosome:PHallii_v3.1:5:57299207:57302670:-1 gene:PAHAL_5G509000 transcript:PAN32747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYGTIPTSSSTGGGAPLGGASPLDFISRAKARGASVLATRQPWRELGDVHAIGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWHPVSLIVFLVCMLAWLVLYFLRDEPLVLFGRVVADGYVLAALAVVTLGLLLLTDATANILSSLLIGLVLVVLHAALHKAEDNADDEVGRWYAPVPQQTSH >PAN27405 pep chromosome:PHallii_v3.1:5:4849822:4850934:-1 gene:PAHAL_5G078600 transcript:PAN27405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEQLHARRLLSHAAAAVAEATAAAPSQGPAVHEQVQVAEARARAAAPFSSLNATVITVLSLLLCGLVVVLAVHAVVRCAFRVTCRVCYGQEEPPGGGAGASGASSSSSSCQADHRRKAGRSRRALPPPVVYPPEVELAGCGAAECAICLTEFAHGDRVRALPHCNHGFHVRCIDRWLAARQTCPTCRRAPFAAKPSLPERAEAPEGAQLQVQVDAGAGRNETQ >PAN26370 pep chromosome:PHallii_v3.1:5:531602:535561:-1 gene:PAHAL_5G007000 transcript:PAN26370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLRPWAFLLFLALVSYDESGLQSTRNGVAQATQRVFLYPQSPKVSSIVSTKYRTAYHFQPPKNWINDPNGPMYHNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTGSATILKGGRPAIIYTGADTEKRQVQNIVFPKNVSDPYLREWIKPDNNPLIQPVGQGLNSDQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSEDFVNWTKVDHPLYSSNASTMWECPDFFAVLPGKNSGLDLSAAIPNGAKHVLKMSLDNCDKYMVGVYDLKSDTFVPDTVLDDRRLWSRIDYGNYYASKSFFDSKRGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTIWLDSNSKQLLQWPVEEIESLRREEVSHQGLELNQGDLFEIKGIDTLQADVEINFELTSIDSAVPFDPSWILDIEKHCREAGASVHGGVGPFGLVVLASENMEEHTSVHFRVYKSQEKYMILMCSDLRKSSLRPELYTPAYGGFFEFDLEKEKTISLRTLIDRSAVESFGGGGRLCIMARVYPVTLINGGTRMYAFNNGTSTVKVPQLKAWSMRRAQVNVKMG >PAN32967 pep chromosome:PHallii_v3.1:5:58370099:58374690:1 gene:PAHAL_5G525700 transcript:PAN32967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAASCSCSTVFASSSATPIRTRAPRPSLRTPPRRGRLPLPGRSVLRCLKSGERPPVGGGTGLSVRKAAEPAAGQGRSRAAPFDASCGIAFATVAGVLVLQGTQQAMAATQFGGLQLADVLGDLGDISTGFASAFLLIFFSELGDRTFFIAALLAARNSGAVIFLGTFGALSVMTIISVVLGRAFHYVDGIIPFGFGGTDFPVDDIAAACLLVYYGVTTLLDAASGDDEKINEEQEEAELAVSKFSGNGAGVMSAAGTIASTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGSLAGHAVATLIAVLGGSLLGTFLSEKIIAYIGGSLFLAFAAITIVEIVT >PVH38275 pep chromosome:PHallii_v3.1:5:13258558:13259209:1 gene:PAHAL_5G217000 transcript:PVH38275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPTASKCVFFFSFLPVVARVFGTNFSLSRFFTLIASDVAEVLSCNGKVLTHLTDLLLIPCDVPSFSPDVPSPAANLERSQDRCVVALSFGTKPQR >PAN28736 pep chromosome:PHallii_v3.1:5:10583150:10590426:-1 gene:PAHAL_5G175600 transcript:PAN28736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCADVIVGNELMRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIVKCIQQIVHLGEATVLVSLLQPAPEVFELFDDVMLLSEGQIVYQGPREYVLEFFERCGFRCPQRKGVADFLQEVTSKNDQEQYWIQNEKPYHYVSVPDFVSKFKKFHMGKSLKKQLSVPFHKRKIHKSALVFSEQSVPTLELLKTSWSKEWLLMKRNSFIYVFKTVQGILIALVASTVFLRTQMHTRNEEDGQLYIGALVYVMIVNMFNGFAESAVILARLPVLYRHRDFLFYRPWTLTLPNVLLRVPASLFESIVWAAITYYTIGFAPEASRFFKHLIAVFFIQQMAAGLFRLVSGMCRTVVITNTAGSLAVLFMFVLGGFILPKDAISKWLIWGYYCSPLTYGYIALAANEMHSPRWMDKFAPDGRRLGVAVLENAGIFTSKEWYWIATGALLGFTILFNVLFTLSLMYLNPVGKPQAILPEETDTSIEDTQEGKEMSDITQTSKVPTSEPISPNSMITLDKVLEQLRGQSQSTSDRSHRNASVRIAPGRGMILPFEPLSMSFSEINYYVDMPAEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITIRESLLFSAFLRLPKEVTNQEKKIFVDEVMELVELNGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQIIFSGPLGRNSHKVVEYFEAIHGVPKIKEGCNPATWMLDVSSAATEVQLKIDFAEHYKSSTVYQRTKALVKELSKPPPGSSDLYFPTQYSRSTFDQFKCCLWKQWLTYWRSPDYNLVRMFFALFTALLLGIIFWRVGRKIKSSTDLLIIIGSMYFAVAFVGFENCVTAQPVIAVERTVFYRERAAGMYSAIPYALSQVVVEIPYVFVETVIYSLIVYSMMSFQWTPAKFLWFFYISFLTFLYFTYYGMMSVAITPNPQVASIFAAAFYSLFNLFSGFIIPRSKIPKWWIWYYWICPVAWTVYGLIVSQYGDVEDFIKVPGQPDKQVRTFIKDYFGYDPDFMGVVAGVLAGFTVLFAFTYVYCIKKFNFQQR >PAN28976 pep chromosome:PHallii_v3.1:5:11543521:11544704:-1 gene:PAHAL_5G191100 transcript:PAN28976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYQGEYGHPYPRVDQYGNPVPPVDQYGNPVPREPISGSAGGTAPAGAPLSGASDAAGAGYAAAYPDEGVTALGGGVAPGEPALAYEGMVGGAAAVGMGGQLQPAVEEEEITFGSSQLQPAREEQHTTLGEKLTRSGSSSSSSSSEDDGQGGRRKKKSLKEKIKEKLPGTHKHEERTKAGQHAAAPAATGTHAAGAHEKKGLMGKIKEKLPGHHH >PVH37706 pep chromosome:PHallii_v3.1:5:3956070:3958366:-1 gene:PAHAL_5G063400 transcript:PVH37706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPAGHGAVRGGELAADRRSAGAVVERDRSPRVISQPSADRGVGVFSVILVQRLGSHRRAHLESGMIGSSSHQQLAALRDLVWRKLRSQSRIFHSWCRVFQC >PAN29243 pep chromosome:PHallii_v3.1:5:12883910:12889990:-1 gene:PAHAL_5G211500 transcript:PAN29243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEAKNAGPRPPTPRKRKKGKRAVPSHAPPTEAPATAAPAAMEAEAEAAATTYVYDALPGLTLAFSPEEALDDGAEPRATPAAAGQDEDDATATYAVFRNEITAAGDALVDIPAADFFSLDVSASASVEAEAEPASPQAPASAAAAATPSSSRAAEEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSTEEQSSRTAAVQSVSDVVKHIWPQCKVEVFGSFRTGLYLPTSDIDVVIFQSGVKTPQLGLYGLAKALSQKGVARKIQVIAKARVPIVKFVETKSGIAFDISFDIDGGPQAADFIKDAVKKLPALRPLCMILKVFLHQRELNEVYSGGIGSYALLTMLITHLQLMWGGKDILGYRQLKEHNLGILLVKFFDFYGRKLNHYDVGISCNSANTFFLKSDKDFMNLDRPHLLAIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSVLTDANLITNLGPKRSILGTIVRPDSVLLDRKGWNNEDKLPDMLTEPWEPVTRQFDSENDVVYNWHVIDEDEPLPRNSQCTSEDTSSSPSKKRKSSKSKQKSRKKSKADVSGSSNAANGFEEDRASKRGAGSSKRRKGPREYDRFTNTLPQYTHVSRW >PVH37709 pep chromosome:PHallii_v3.1:5:3997891:4002761:-1 gene:PAHAL_5G064300 transcript:PVH37709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAVGIKRPATQTITLPPPAVRDVLRSTIPSQPAEAPAAAERAPPAAPAAAVEGFLCLEEVDGRRWSYVVDGGGAVKGRGRGGAAGPAGASVRAVPLQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLLSSYQEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKQRQANPDRSDYGSLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCGAKPL >PVH37942 pep chromosome:PHallii_v3.1:5:7671004:7671921:1 gene:PAHAL_5G128500 transcript:PVH37942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWENPADSVVPVTKLHWTFTKYYNENTTAIPNPPPSTPLTAETTARHPESLPPLARCPARRPRPCARSRPCGTRRSALHQIRPPSARTSPTDGHRIGSARELQRPPGRRALRGCA >PAN31534 pep chromosome:PHallii_v3.1:5:52057535:52059208:-1 gene:PAHAL_5G425900 transcript:PAN31534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLSSLPDDLLLSILRDLPLKHAARTSALSRRWVPQWIRALADSPVLDFTDRDFAHGQPADRAAATVGRCLRLHAEHGAPLDAFRVALVSPPGPGYGAFGRDVIGWIAAAVARGAREVEVDLTPSQEGADHGSAAFLELPGDLFRSRSSLERLALGRLSLRAVPLPAAGLAGLRSLSLSHTDVTDEALRGVLATCRALESLSLRCCSLLTEVSVASERLRALELLGCRAVRDLRVAAPALESFAMYGDVILSAAAVDWYETVSVDFAAAPALRDVYLSHMDGCGDLDLNFDYYYPFVYYVLHTRVLTLCSFGLVVPVFSEDGDDYNCMDRVPYTYVNRDKFPYVDMPNLQELQLLLGCIQEEGDWNPRHPERVYTFFYSTSLPVLERLFIRLSRNPAKEVEDSDSDEDEDEYLSDRAVSRLLTFGLLTFIKVVNFRGTWRERRLIRYLLLRAPILEQLVLVTPEGGLGAPGFEHVEGIQEWVSARQKASREARTSVYRPSEDDSPNHAHTRIFNEEYCCMHQG >PAN31533 pep chromosome:PHallii_v3.1:5:52057363:52059497:-1 gene:PAHAL_5G425900 transcript:PAN31533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLSSLPDDLLLSILRDLPLKHAARTSALSRRWVPQWIRALADSPVLDFTDRDFAHGQPADRAAATVGRCLRLHAEHGAPLDAFRVALVSPPGPGYGAFGRDVIGWIAAAVARGAREVEVDLTPSQEGADHGSAAFLELPGDLFRSRSSLERLALGRLSLRAVPLPAAGLAGLRSLSLSHTDVTDEALRGVLATCRALESLSLRCCSLLTEVSVASERLRALELLGCRAVRDLRVAAPALESFAMYGDVILSAAAVDWYETVSVDFAAAPALRDVYLSHMDGCEDGDDYNCMDRVPYTYVNRDKFPYVDMPNLQELQLLLGCIQEEGDWNPRHPERVYTFFYSTSLPVLERLFIRLSRNPAKEVEDSDSDEDEDEYLSDRAVSRLLTFGLLTFIKVVNFRGTWRERRLIRYLLLRAPILEQLVLVTPEGGLGAPGFEHVEGIQEWVSARQKASREARTSVYRPSEDDSPNHAHTRIFNEEYCCMHQG >PVH38789 pep chromosome:PHallii_v3.1:5:39516596:39523351:-1 gene:PAHAL_5G353800 transcript:PVH38789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAVLFDDLGPSKAPPASVYDDMFDSYFNRTAEAPEPSPKASSSPSTPPLVFDKPVFDEDPDTADPFDAIPLFGGGGGRGGEDFLGSVGMTAKPERRDPDAVGLDEDFIPGLGGSTRSTEPVREVDQDHYGAVFGDVLVPGLGGSMKTAPQMETETMGFDDGVIPGFGGGMNHHDLAREEPIMRQESEPISSSKMSVSMPEDPFIILGGMHKSGYSSFGLFSDHLDNISMPAKSGNTKVDTPGNTSGMFQTSDIFAEFPKAMPSFSSISENKSDTTERGSVDNINSMSHSNQMPQEKPVQLASTEAPDNILPEMNIPEASFIHEVPSTTGFETLNPFAGEDELLEENQSSKMPHDVWLTVSDIALVTQPTSAPPPSHPPPPLATRKSPTESVTSETYVHHRNQGYHHSVGSAKVSKTSQIDELEDFFMAKPFKFANDRPQVLKHEEKEQYSSAATASFMDWSEMEHSTGVNQGAFDSMFTSSQYQQPGLDKKAEFCAHEKETTDEERLDNEQVQREREKEQRRAEREREEELEREREKVRRREQEERKRREQEREARQAVEKAVQEVRERAAAEARMQAEKEARQRAERAAVQKAAAEARERAAVQARERVAKAAAEAKEREAAETRERAAKAAAEARERAAAEAREKAAAESQEKAAAEARAKAERAAVEKAAVEAQRRAERAAFEKVAAEARQRAANEAREKAAAEARARENQQRRATAEPDLESFFGMPSRSSSVPRSQTATMNPFDIQPHGTAGSGDVRRTSSSSSSPFTQPSPSNLMDDLSSIFGAPSSSAVFQEMDGESEERRKGRLDRHQRIMERAAKALAEKNERDLQAQREQEERHRIGESLDFEVKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAAAVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >PVH38814 pep chromosome:PHallii_v3.1:5:41725595:41726068:1 gene:PAHAL_5G360600 transcript:PVH38814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHDLFPPLYITSIQGPASHGALFQPPSSRSSLCSLNRGAAKHPHHLPRLIFFCLSIH >PAN28828 pep chromosome:PHallii_v3.1:5:10922725:10923999:1 gene:PAHAL_5G180500 transcript:PAN28828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQGSQNAQEPLPCANNCGFFGSPATRNLCSKCFRDSLRRAEAQAASVAATATDALTTSSSSAAASAGPEPATAAATDQEVGKGKSGRCAACGRKVGLMGFECRCGGVFCGAHRYSDRHGCGYDYRGAGRDAIARANPVVRADKQVDKL >PAN31718 pep chromosome:PHallii_v3.1:5:52881578:52887420:1 gene:PAHAL_5G440100 transcript:PAN31718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCPFAGAATGGGVCPVKSDKSSAGVCPAKSDKSISGVCPVTGKDHGSEHKESTGHAEDKGTDPRMVPAKCPFGYDSNTFKLGPLSCIICQALLHESSKCKPCAHKFCKACIARFKDCPLCGADIEGIEPDTELQALVDRFIDGHARIKRSHAGGDVEAACGKNKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLTMCADDIREELKSSEDNLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPTKDLELVHTLSVSLNKIGDLRYYDGDLQSARNYYARSLDVRRNAVKEHSAVASQVIDLATSLAKVADVDRNLGNGSAAVEGFEEAIQCLQKLKLDSKQANLEQRRVSVLDFLHNQLADK >PVH39221 pep chromosome:PHallii_v3.1:5:54117029:54123008:1 gene:PAHAL_5G457800 transcript:PVH39221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKRTDDGWSSRHFDTSTCPSSAALPTRRTHPTGADGQTRGEAAQNPPPRTPHLHRPPPIAGGHCTCPSSLKMGSSSEDDYEISDSEIDEREAEVYERLKSGDIKVKDRGTYSCPFCREKRKKDYSMNNLLQHATGVGSAANRQAKDKATHRALAKYLKDESARSSESQSQPTMLIESQSLGNRDDQFVWPWMGVLVNVPTEWKNGRQVGQSGNRLKEQLSRFCPQKVIPLWNYRGHTGNAIVEFAKDWSGFKNALAFENHFEAEGYGKRDWKLKKYRGSEMFGWVARADDHRCQGPIGDHLRKNGDLKTVGDLENEGTRKTDKLVANLASQIEVKNRHVQELESKCNETTASLDRMMDQREQLLQNYNEEIRKMQQLARRHSLKIIDDNQKLRSELESKMQELDSRSKELDELASQSDYDRRNLQQEKEKNEMKTKHLKMATMEQQKTDENVLKLVEEHKREKQVALQKILKLQQQLDAKQKLELEIQQLQGKLEVMKHMPGEEDSESKKKIRELTEELQDKYNEMEDMESLNQTLLIKERKSNDELQNARKELIAGFQELSVGRSNIGIKRMGELDLKAFGIACGKKSSKEDAEVKYAMLCSKWEEEIRNPNWHPFRVKVVDGKEMEVLSEEDENLWKLKEEHGEEIYALVTKALVEINEYNPSGRYPVPELWNYKEDRKATLKEAVQHVMKQWRTHKRKR >PVH38944 pep chromosome:PHallii_v3.1:5:49160741:49160989:1 gene:PAHAL_5G394500 transcript:PVH38944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSASTSAAIAMAVLLLASYAVPPVVHGARHVTAAVVRAPAARSNAGRVAAPRGHTALFSTNPGPSNPKGHNKPPLAGDP >PAN32904 pep chromosome:PHallii_v3.1:5:58062022:58066256:1 gene:PAHAL_5G520500 transcript:PAN32904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRRLSCFVALLLVAAAPAAAREYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQELGLPLLPPSKAKNATFHRGANFAITGATSLDTPFFVARGLGKTVWNSGSLHTQIKWFQDMKPKICSSPEECRELFRRSLFIVGEFGGNDYNSPLFAFRPLSEAHDFVPHVVDSIGEGVEKLIAEGAVELVVPGVLPIGCFPVYLSIFRKQPEGYGGRSGCIKEMNTLSWVHNAALQRKIAELRAKHPGVRIMYADYYTPAIQFVLHAEKYGFLKQTPRACCGAPGVGEYNFNLTAKCGDPGAYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILDNKHLG >PAN30500 pep chromosome:PHallii_v3.1:5:20675027:20675994:1 gene:PAHAL_5G287400 transcript:PAN30500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENADWNEENTRLLCELFAEQVRAHNRSGTHLNRTGYKNVMEKFKEKTELDYSKLQFKNKWDKMRKEYGNWKRLSRETGLGWDPVKKTYTAPDSWWKKENKVYKGIAKFKDGPLQHEDLKTIMFEDIRNTGDDHWSPSSGAAPNTQDTEPDDDKDEDYEANEASDDCHEISPEPSKGKRPAPTNRKDKGKKPKTSGGHWVQDQLTKLVSMSERSTASCESLARREDTSGCSIKDVMVLVRECGAVPGSKEHFIASQVFIKRAEREMFMTLETPEERFQWLTMKHNWLTRNDSTM >PAN29117 pep chromosome:PHallii_v3.1:5:12354948:12356852:-1 gene:PAHAL_5G203300 transcript:PAN29117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGGGFPGDVPIIHADNLVSNIKSINYSRTFLSIISGVVAGIWGFTGLMGFVFYFLVMMVASLGLLVKAKFSVHTYFDSWNRIIIEGVLGGLMSFVLFWSFAYDIVHIF >PVH37870 pep chromosome:PHallii_v3.1:5:6558900:6560050:-1 gene:PAHAL_5G108600 transcript:PVH37870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGPGVVADQAAPPRSPHRGNGAVIMPQSPLRIRQDGKFYERLLTKESSAANLSFRYYWAEPGAVPFVWESQPGTPKDVARMAAGALPAITPPPSYLLRHGNCGGGRQAARHDQKSGKRHKRRCRLRRIRIGFIAGFFRRLSLGKPWRRSAAPVKVSSSSSRWLFSSVATETGEHTLPHQHDEIAAVAAHATTKQQQHKPAPCSFPAPWLLRFRSSSGSRGGTHGWA >PAN32941 pep chromosome:PHallii_v3.1:5:58233305:58234211:1 gene:PAHAL_5G523500 transcript:PAN32941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSISSTTMGRARAALLLVAALAAARGASAAALQCAQVAQLMAPCMPYLTGAPGMTPYGICCNCLGVLNQLAATPADRVAACRCVKAAAGGFPSVDFARAAGLPAACGLSISFAISPNMDCIRVTEEEP >PAN30593 pep chromosome:PHallii_v3.1:5:32162480:32163422:1 gene:PAHAL_5G326200 transcript:PAN30593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTETSSNGSEVSNCSEAQKETSAAATQIPANLSCLQETSAESFQVQTHVRPVTGEKLDNPGVDFKAPMHSRSKIHDVGDHSKLNAMFQSPFIGEEVGIEKKIIASVSPDNSDVQYQFMLEQRVLQRLCVQKILVPTPMKDKLEKDTRFRIVEDGPHALPKSV >PAN32865 pep chromosome:PHallii_v3.1:5:57895220:57896175:-1 gene:PAHAL_5G517400 transcript:PAN32865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGPRPAPPPPPAMPWHSQPGVGLSLSGSGGTVSGDWGSCRRGGRFASESGNSFASESGYGRRTIDPEHEEEEEQQSIMQKIASAARSHAAKPAAGERKGRGETSDAASPSSGRNIDAARRKITHQERMAALRFIKAAHEEALRYIAMTEEEVEEEYRRAGKLHVYDPDTEWQKRCARIGRMYPPPKFLAPELDEFTKLLEEDEHDYPIGLAGCIGIFL >PAN27877 pep chromosome:PHallii_v3.1:5:6923695:6927962:-1 gene:PAHAL_5G114600 transcript:PAN27877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASKLLSSLLLTSSPLRLRPTTAAAALFLSPPYSASRRLLLLSSRSRLRTLSSSSAAAANSSLPHGSSSASPTPPPRAPFPEWSRLVDRLAAAGYASRAPSPADELALASGCGLSDGAEAAVATCLAFARDRPDLLRSLPREDVEVLVAKAAPLLFKDWEASAQRLRRYLAGEETDVIVSERAETVDIVRYLLSYTYSSSDSYSEDKELIDSAVRNIMAELVSFSCLSQSSSFAESTPKKSSLSQHERVSRPPGQNIEMKRGDWICIRCSFMNFARNARCLECNEQRPKKLLTGGEWECPQCDFCNYGRNMSCLKCDCKRPATIPPNPSSAGAGLGGVVQLLNVTNVGKSEIERKLAENDEKAERWLSKVSQLDDSADLSSLAEDEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYSNNGPQAASSDSKISQTLDRILGRSNSTAASNNQPGTGDALKKSTDQLSSVDPVPFVPLPADMFAKPQSNNGQGNLDGQINAESDSSMENRTVPLPESQYGMDSSDTAEKWSKKVAELDSINDVPSVTSNENVPEIMPMRKGENRFVVSKKKDRSLTSPQYKRRSLLEHADNSDFIPFVPFPPGYFANKDKPVESTAETGIMSEGSPASEKRMETNASSEKFGNRSNTSQVVGSQPKGNMNIEKWNRNYSQQNSSSGGYGESNNYQHQSQSHGAQSWPTWNTGRSLGHFSESRGESTYNEANSAQQPYNSGYNNSSNSWSSENNNSNTAWTGNSNYNNNSAWRGNNNYNNNNAWSSNTSYSNTSTWNGNDSSNSNTWSSSHGYNNNATWSNNSHNAWSSSYSNNQGGSFADNSNATSSSGSMNANQTVHNSGGYGGSSNRGYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPVVSSEPERDAS >PAN26378 pep chromosome:PHallii_v3.1:5:566519:570345:-1 gene:PAHAL_5G007800 transcript:PAN26378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSSSVPARPENAAATAATASNTFHVLNSGGGAGGHAIAGGAYVQAAALRSPPVRGYGYPSTGVGVPAHTPGGALAPQMLQQLMILAGWGTRPPPWLQNYAASMSPRGQPLLPSPSVRGPAPIRPPSFAPGASGSSVGAVVVQSSAAAGRHGPGGSSASRRKPPNVTPLQITASGTTGSASGSKKKASPAAGGNADGAVQRLPPVLAMPTTAGAVAAAANNGRARKRAPMDGKDYSEKPESSRKHRQRAAGKQRAADNQPGPTKSGPNPHAQSNDLQIAPGSPPPPPSNIRRRKSAAAASSSSGRCNLLVARGRSAVAAPPAKKHTVLTWLIDAGFLSDREKVFYVPGDGGAEKVVSGAVTRTGVHCSCCDGVVPLPVFAAHAGRDPGQRPWEKLLLVSGNSLLRCMQEAWEKERVKTFQSQEKLRAALEQEKDKCSQARRRLLAKHRKGVVERVIMSPRMRKLRSGETKDSSDDACGVCADGGELLCCDSCPSTFHPECLAVKVPEGSWACHYCRCILCMGNDDQGLSTCQRCSSKYHQHCRPLLNNGQDIGAYCSETCKKLSAQLSDMIGVTNPTEDGFSWALLKIQKDEVAGSQDMPLILEGNLKLAVALGVLNECFNPVKDRRTKIDMLHQAVYSLGSEFKRLSYEGFYTMILEKDGEIISAALLRFHGRKMAEMPFAGTLPTYRKQGMMRRLVNAVEQVLASVQVERLVIPAIAALVDTWKRSFSFRPLEPQFREDIKRLNLVVITGTTLLSKSVAVQHRAPSPQQEPWWRKYTEQAAPLTDDELAFLNMEQPLCSFTDLLTGNVSLHELVVTGAKSSSSSVPSASPGSSSAAGPPPPLPAGGWRSCGEASAMATLQPAYAHGNANNLLHGME >PAN32130 pep chromosome:PHallii_v3.1:5:54671128:54672048:-1 gene:PAHAL_5G466000 transcript:PAN32130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACPVPAAAAALLCPSMLLLALLPLASSTPAAAVAALNTNTSTPCAAASCGGNRTVARNTSNPCAPALCGSLSIAYPFWLAGTHPPEYQILDIFYPSNSFRAINIDLMSTDTCYLDEFFNASSDLGLSPFNISSKNQELFVLYCDLGRRRVPPSWTRVVCSPPDDSPVFALLGKEYAPGGTGMPPPMNCSFVMIPVLGYDGATGADYQRLLKGGSLLEYTDAGACKACTDTGGQCRVDVSEDAFKCYGCTDGSSWFVCSEAGEFVIHHPINMIYSINNCEL >PAN28653 pep chromosome:PHallii_v3.1:5:10263430:10263840:1 gene:PAHAL_5G169500 transcript:PAN28653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARCGGACVAQRPGHARGVASRRQRPADRCARRRRGRGRPAASARTRAHRTGGWARGHAFDPLPTLASSRSRGTSAATPPLSSPAATTSPSRPFQYLLGYQVPSTSDRTRSAAARLAASPTEQGWGSSAHTAWRR >PAN30373 pep chromosome:PHallii_v3.1:5:44996294:45000833:-1 gene:PAHAL_5G372500 transcript:PAN30373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRIRSRDGTDRITVPDPASATVADLQHLIELHLTVPVPLQRLSLDPALLLPSPSAAVPLLADPAATLASLRLANGAFVYLAYPPDARSARPPPPKALSAAGSFGKKMTMDDLIARQIRVTRQENALCAAASFDRDAANAFQLYVAESLAFAVKRAGFLYGRVDAETKEVFVDFIYEPPQQGAEDVVHLMRDPDEEARVDAIAEGLGMRRVGLVFTQAVGRKASETGEYTMSNREVVQAAQLQAEGGIPEWITAIVKLEVGDDGTGDVHFEAFQMSEICVKLFKDGVLETEVGDTDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSVGFPIENRGSPVGMSALRSHLDRTKHLTFVKRISDFHLLLKVATFLDVKADVPPLAACVKTQSRVPEGYQLLIESLASQG >PVH39167 pep chromosome:PHallii_v3.1:5:53369835:53370985:1 gene:PAHAL_5G446200 transcript:PVH39167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPHELVLILLIICSISPREKGSCSPSLSTSGISVLWSLANRRPSCITELFDLQKNTSSWTMMTQDHVLRYQCFVAENS >PVH38802 pep chromosome:PHallii_v3.1:5:39811167:39814943:-1 gene:PAHAL_5G355500 transcript:PVH38802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEVDVMGYQIVDTIEGAALEAIYLFCKQHPREAAGQPIGIFSTTDPKDPEWDLRVIPEGHRLEGSTEEALRGTMRFMGLQHHYQLLLRREMGQLINTA >PAN31083 pep chromosome:PHallii_v3.1:5:49147225:49148125:-1 gene:PAHAL_5G394300 transcript:PAN31083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYLAGALIPVLLVVHLLDAQASARHAPASSSSAPAVEIMYTAPTNDGPSPATGHGNQPSPRTAQDGAINGEPSVTAASETRATFSPSPSHCNHSHPPSADESQINAGGVGEASGARP >PVH38593 pep chromosome:PHallii_v3.1:5:22299545:22305097:1 gene:PAHAL_5G296300 transcript:PVH38593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLGILFFSQNSFKKWGQPDFHRTTEDNAFAVATFFQKRGSVMNYYMPKYGHLKDHHNVLQSMEKTLVHGGYSDSSYGENITVTNNELHDRDVSVTLGGTGTHLVPAWSVSILPDCKTVAFNTAKVKTQTSVMVMRPKPDTVEDEPEALNWSWMPENLRPFMTDDRGSFRKNQLLEHITISSDQSDYLWYRTSFEHKGEGSYTLYVNTTGHELYVLVNGNLVGQKHSTYTEFDLQLESPAELHSGKNYISLLSGTVGLKSYGALFEIEPAGCNIPGPVKLVGTNGTAIDLTNSYWCYETGLDREHRQIHLDKLDNKWRSNNGSIVPVNRPFTWYKTTFAAPAGEGAMVVDLLGLNKGAAWVTGNSLGRYWPSYTAAELGGCHVCDYRRKFNADGDESDLQCLTGCGEPSQRFYHVPRSFLRAGEPNTLILFEEAGGDPTRAAFRTVAVGPVCVASAEVGDGVTLSCGGHGSVVTSVDVAILGVNRGNCGAYEGRCESQATLQAFTAACVGKESCTVKHKGAFAGAGCESGVLIVQATCS >PVH39528 pep chromosome:PHallii_v3.1:5:58653412:58653877:-1 gene:PAHAL_5G530100 transcript:PVH39528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRGDDEDDLAADVEELFGTNAAIDLDADVQGLPHGLSAQPASSESVSTGAASISGDSRKRRLSTSKAWKDFEEIYEVINGKERRTSAKCRHCKKYFTGKSILGTGHLLRHILVCPVLKVLMLLVLSCVS >PAN29189 pep chromosome:PHallii_v3.1:5:12441428:12445305:-1 gene:PAHAL_5G204600 transcript:PAN29189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWKQNGKRRRYRLTLRVMMKSNKSTTLSNCRWVGMASLSPIGYTSYMALGRNLSVRYVETTVIGDEGPMSVISKNGVISMGCDALVSLILRISMKSHRSRRQKGSGKKYKRVKG >PAN28503 pep chromosome:PHallii_v3.1:5:9492182:9492574:-1 gene:PAHAL_5G157300 transcript:PAN28503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSTADLSAPTRSGNLSGCMAVASSSSATTWPRAEKNRGAAGAVRRAQLVLSRDAGWCGLRAWRRLLRRLAQETKCICSSPTAATGRPITFGYDAASYAKNFDDGRITAPRRAAPVVAAANGADNSSGN >PVH38260 pep chromosome:PHallii_v3.1:5:13032317:13033205:-1 gene:PAHAL_5G213300 transcript:PVH38260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHHLGSQAGPVATNLAIQWQPKTPLSWPSPGPTRRRSSAVRRPHPLTSPAASAMRNIVKKNSGVAWWSQCHKLHHQSSLCKEGGGSILLLIRGCFQ >PAN30971 pep chromosome:PHallii_v3.1:5:47490914:47495284:1 gene:PAHAL_5G382200 transcript:PAN30971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MSRMVPPSPPLSMKPPTLPFSPPKKPAPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILARGLIAEGMKVLAAGINPVQVARGIEKTAAALVSELRVMSREIEDHELAHVAAVSAGNDYAVGNMISDAFQRVGRKGMVRIENGRGTENSLDVVEGMQFERGYLSPYFVTDRANMTVEFTDCKILLVDKKITDASEIIRILDSAVKENYPLLIVAEDVEEAAMADLIKNKLKGTIKVAAVKAFSFGEQKTQCLDDVAVMTGGTVVRDDMGYSLEKAGKEVLGSASKVVIRKDSTLIVTDGSSSHAVEKRVAMIKEQIENSKERYNKKTLGERIARLCGGIAIIQVGAQTVIEMKDKKLRIEDALNATRAAIEEGVVVGGGCSLLRLSKKIDAIKESSLDNIEQKIGADIFKQALSYPTSLIANNAGMNGNFVVEKVLLNDNTNYGYNAAKNRYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVVVEAKESKPIRIRPPMPPRSLIPPIPASVSGMRV >PAN27454 pep chromosome:PHallii_v3.1:5:5051327:5053867:-1 gene:PAHAL_5G082200 transcript:PAN27454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGISRLIGLKAAVLLSVAYFFHGLGVTLISFPLIYASMIAMLISIASHPAIDLPLLLGKASDGSFPLWSWIMFSPFLLFIHMFVLLRRFVKNEPLYTEIVDGVFVGGWPSSVEHLPPCDPAVIDCTCELPKSPTLSKNAYLCVATWDTRAPQPSQIESAVRWAMRKRSQNKAIYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEHMIREKRPCIRMNTLHRKSLEEWSKHLLPSSKRSGESDVSSVIHSDYNRK >PVH38533 pep chromosome:PHallii_v3.1:5:19528766:19529235:1 gene:PAHAL_5G280600 transcript:PVH38533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDTNIKALIMCFKLGTKARECESVEPETVEPHSNRAARRYSTLFWELTTIYGILSCMSIALSDI >PVH39247 pep chromosome:PHallii_v3.1:5:54470725:54471021:-1 gene:PAHAL_5G462800 transcript:PVH39247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQFMMELQGLKTVDSEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWRSRADEEDC >PAN29035 pep chromosome:PHallii_v3.1:5:11849430:11863680:1 gene:PAHAL_5G195600 transcript:PAN29035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGADGARWPQPRGEAAEALPPPPGDRGEVASLRFDSSRAIRLLRELGTNVTEDLVKLMPNLLSFLKHDDPAVVKQSIASGTNLFAAVLEEMALQINKCGTLEAWLEDLWAWMKQFKDAVRGVMHEPGPIATKLLAVKFIETWILCCTSQANSDQIQPTEGKNWRFDISRLSQFHPSLDPVVLEADAHRALILLLDILQSAYAHRGSFLVGTINSLSAVVKNRPIYYDRVLPVLLDFNPGLETAKGAHSASLRYALRAAFLGFLRSPHQAMVESKDILVRRLRALSPGEAMEQNIRQAEKMSRNIERASRATKDESAAWEMPYGDNRKKPAARSSDVLAMSDGIAKRARFDTSATSNLPVLGSSDYSDMQADNDANVGHLSDPAILNSDVSPVEKMIEMIGALLAEGERGAESLGILVSTVEADVMADIVIETMKHLPGASFPLAKNNGVQKPDFKYSSGLLTENLAVNSDLPLFAAPSTPTADGVSISPSDPLFMPGVHDAKRDPRRDPRRLDPRRTVSSAAVNSLQVKVETNSVHQTDNLPTTLCSNSGKAENYSDYSRDLPKNEDEHHSASQPNHTIAKDKSELSDVATEPEPTFEIEAPVDVGIHSSDVDEEMLNPMSSEVTSIDESDSLDLEVDPFLPGPEASTPDDTNHDLAVVTSHLELSDKEKSLLNKLAIGRIIGDYKKDSLNSRFCLLAHLIARSADDDNIMDLIQRHIIFHYHDQKGHELAMHVLYLLQSMNVANLPESSTSTSKHYEKFFLSLARSLIDSMPASDKSFGKLLCDAPYLPESSFRLLEGLCMSEDNSQQIKDGDGDRVTQGLGTVWSLILGRPPLRHVCLDIALKCAVHSQDEVRGKAVRLVAKRLYDLTYATEKIEQFAIESLVGVANEHDVDTDINLKSLKESTAEFEVGSQETSVSGSQIPDAGCSESGSFKTSLVSPKQSAVSLSEAKRHTSLFFALCTKRPSLLQHLFNVYGRSPKVVKQCIHWHMPSLVSNLGSSCPEMLNIIHNPPEGSVHLITLILQTLTDESTPSADLVAAVKQLYNTLKDASILIPLLPSFPKEEVLPIFPRLVDLPLEKFQDALARILQGTAHTGPALTPAEVLIAIHDINPEKDKVVLKKVTDACTACFEQRTVFTQQVLEKSLNQLVDRIPIPLLFMRTVIQALDAFPALVDFVMGILSRLVNKQIWKMPKLWVGFLKLAFQTQPRSFDVLLQLPPPQLEYMLNKYPNLRTPLSSFVNQRNMHNTLPRQILKILGFFSEPQQAPISFVPAPLQTADATSSLPGATLM >PAN32750 pep chromosome:PHallii_v3.1:5:57356937:57364460:1 gene:PAHAL_5G509200 transcript:PAN32750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAALWPAPAWVAVVALWTLLAAAAVGLWTLLSRSTLLPAVSWPGRRRGASDNKEAARLPPGSFGWPLVGETLDFVSCAYSSRPEAFVDKRRLLHGSAVFRSHLFGSATVVTSDAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGSLQRRVHGLVGAFFKSPQLKAQVTADMQRRLAPALAAWRAQGPGARIRIQDHAKAIVFEILVKGLIGLEAGPETQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARLIQRIIQGKRRPKVLDGDEGPRAPPRDAIDVLISGGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALQQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQQVITETLRMGNIINGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDGKHYDEPYRFNPWRWKEKDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIKVTSKD >PVH38840 pep chromosome:PHallii_v3.1:5:43458911:43460056:1 gene:PAHAL_5G366900 transcript:PVH38840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGKGKVVLVMGATATGKSKLAVDLALRFGGEVVNSDKIQVHDGLGVVTNKVTAAESRGVPHHLIGGVSPDAEYTAADFCRDATRAVKSVHARGRVPVIAGGSNRYLEALLDGEPAFRRRYECCFLWVDADLPVLDRYIRDRVDGMLEQGLVDEVRGFFKPDADYSRGIRRAIGVPEMDTYFRLEAMGKLDGDDELRARLLSDAADEIKANTCRLARRQLRKIRRLHGTPGWSIRRLDATEVLMLKTREATDPDTERAAWEEDVAGPAARAVATFLHYRKQKLCRAPEPLPRVKKRALGKACLCLEHHSVKQKTRQTALCRVPGTRHRKALGNDNFAESWALGIPRHSAKHSYVVQAVIFAESPTRDARRRYNFAESGP >PAN31841 pep chromosome:PHallii_v3.1:5:53410491:53412165:1 gene:PAHAL_5G447000 transcript:PAN31841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRARPCEVTLALAVLLLVAGAAAADDDEKCGNPCGNPCGVPCIYASPPPPVYYPPPPPPVYTPPPAPEYYPPPAPEYYPPPTPEKPGCPPPPEGGGGYEPTPDTPGGGYNPTPSTPGGGYNPTPSGWYAPPNMPSYNTPPGTLYPQDPGFQPNGAPGRAAEWRAAAAFAAAAAVAGALAL >PAN30020 pep chromosome:PHallii_v3.1:5:17289829:17291094:-1 gene:PAHAL_5G267500 transcript:PAN30020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERGRSATPTPQPRTSPLAAAARPSWRDVPDNILVRISAFLRCHADRVHMACASKLWSAAVRGLGRPPPLVLPPLPPLPPQLPWLIFPNTATPTFYSPIARRHHRLGRLPPDVRRARCCGSGDGGWLVLALDSRHSHALYNLNTSRRIALPSTFTTPADQDLPLVVRAATISAPPSGTDRYMVAAIVLVGNRSTAAFWSEGSESWFSTGALLDARPQDAIYHGGARAFFFVTSREDVVSFRPTYGWNNNVNLARVDYDMQPRGDYADDVGFLEETGLGTMRRYLVESRGRLLMVVRCFYYEGGRTEVIRVFEFHVKPPAGNGQRPCATWKHLGTGLDGRMLFLGRGCSRSFEVARYDGFQESMIYFLDDGLVSVPSVDDRTLYSFTDMGRYDMGGIATAPWPVGLYPTRSDNAPPTWWLH >PAN26991 pep chromosome:PHallii_v3.1:5:3307109:3310682:-1 gene:PAHAL_5G052300 transcript:PAN26991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTIERRASLKEFYAIIYPFLQQLEGNLMDKDCKEKGWCTESGGGRKLVADDDREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVQSRDLWVLTGDDDVIDTVTLEKENVKHFLSFIDSLPLIVPDNMLLVYYDYLV >PAN33000 pep chromosome:PHallii_v3.1:5:58440462:58442104:-1 gene:PAHAL_5G526800 transcript:PAN33000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMIDRAAECEFFGRSLFFVGEFGVNDYHLSLKKLSVQQVRSLVPDVIETISMAIERLIVKHRDELGGSRGDPVRMLAADPDAIRRPCRRGRLQL >PAN32679 pep chromosome:PHallii_v3.1:5:56950339:56955751:-1 gene:PAHAL_5G504300 transcript:PAN32679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAQAAAAAAVSPASLWKRAGGNEGGSCDGCRTYRESVRRRAAAVRVRAAAPRRVEAVAMGSAAETEKEQQEEVEVAAASGGVEDAYGEDRATEELPVTPWAYSVASGYTLLRDPQHNKGLSFTEKERDAHYLRGLLPPAVVSQDLQIKKIMHNLRQYQLPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGCIFRQPQGLYVTLRDKGKVLEVLRNWPHRNIQVIVVTDGERILGLGDLGSQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEELLKDEFYIGLRQKRATGKEYDELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLSALKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKVWLVDSKGLIVDSRKDSLQSFKKPWAHEHEPLTSLFDAVQSIKPTVLIGTSGVGRAFTKEVVEAMASFNERPVIFSLSNPTSHSECTAEEAYNWTQGRAVFASGSPFAPVEYNGQTFVPGQANNAYIFPGFGLGLVISGAIRVHEDMLLAASEALADQATQENFDKGSIFPPFTNIRKISARIAAAVAAKAYELGLATRLPPPRDLVKYAESCMYTPVYRNYR >PAN33068 pep chromosome:PHallii_v3.1:5:59166563:59169696:-1 gene:PAHAL_5G535700 transcript:PAN33068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCFKKEEEEEEEEEQQQLCKQKEGSTETQSPMSSPLDHGTITDGELYIRVVSLMGVNGGHLPHREQEQPSPIAHPPPNRKWSGYSSPPAPAIRARRSLPLPPQSAGPPRRPRLPSLRPPSPCRSPGTAHAPVPVAEAGRRAAMDVGSVDCVSLPDAAAPAAVDDAGRGLGTLLAAARAYPKGASAGGVHELLECPVCTNYMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCQEIFPYYSKIKHEAQCNFRPYNCPYAGSECAAAGDIPYLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEAKNYSYSLEVGANGRKMIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKVRITGRIWKEQQTPDGACIPNLCS >PVH38352 pep chromosome:PHallii_v3.1:5:15217910:15220956:1 gene:PAHAL_5G241800 transcript:PVH38352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRPRPEADSTAEGDEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVGRDLKLLLGAGLAEVLNAVFPVLACRKPANTVLVPTKQTKKKPGANHSHHERLLGVARLLSQMAEPVMKAATQITFLLARSFFIDLCTAVLSLLARIRVLVQQMLLDVVSLYNKVTDLTDRKQAVKISIGGVQAFREYYPSTNDACTILDCVWVKDKFVLHEKMKGSCQETQVEDQKSFGPESSIQYEMLALISEDTPNFEETNQTAKQAGAAAADQPDKMNHCSDAGGSQSGRQLENESGACSVPDTLSTRIHSVPHLNLKHETRKRVAFIAVGNPKVPGAASETKSSEVNKKQRLDMISQTSVESGL >PVH38209 pep chromosome:PHallii_v3.1:5:12025640:12026664:-1 gene:PAHAL_5G198600 transcript:PVH38209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLPRFPVLLFVFLAVHIAAAHGDPAPLPTTYDGSMCPESSSCGNVSIRYPFYLSSTTRNITDYNTSYSCGYTDLEIFCQDEGPTGTPVIFLGGDKYTILNIDYDSKTIILADSDVLLGGSCPAVRHGVSFNKMWLHNTSSNDNLTFYFNCYSTRGHDEVPPPDLVTYEIGCNLKSPYADGASFVFTPDDHDKAKEHALDQDGRCKEVVSVPVRSEVLMARNQSVLVTGGYAEVLWYGFELEWNRATTDQCDLCEQGGGKCAYSQKREFTGCLCSNAKVGHPDCRPRTIYSVRIKSVPSAQPSITTLV >PAN28969 pep chromosome:PHallii_v3.1:5:11489456:11490800:1 gene:PAHAL_5G190500 transcript:PAN28969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFRHIIAALILVAASAASLNSAGARVVHPIVFNPTAAAPASDGRRLFATAGHDELMALCQQMHYKTLCTTMATLPGVATPEQLLDTSLRITAVKAAMAAMKLDAAIRSGGDKGDGMASSLQSCRESYASLVDSLNSTRDTLKSGGSSADLMTELSAAGTFSTDCEDIFDERPELQSPIPGAQRHISRLVSNCLDLAAIIKEQQP >PAN32318 pep chromosome:PHallii_v3.1:5:55454567:55456141:-1 gene:PAHAL_5G477900 transcript:PAN32318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERPAAPLTMKMKLLVDTKAGRVLYAETGKDVVDFLFSLLTLPLGAVAQLLTAGAGAGSVVPQLDGPAAPTSAVAKLLPAAGAMVGSVGNLHRSVEALDASHVCRREATKALLEPAVLQLVVTAAAPAPAPNGRLFRCKGCPCSPRCYDYATRVSGTPCPVCKVEMTTEVQLVEPDGDAKPPAAAAGEGSSAGYVRDMVTYTVMDDLSVAPMSTICAVTALAALGVTDITGLQAKTVEIGYQEGLALLKASLQSQTVLTDVFLGAKGSSGGAGAAGLGSRNPYLFVRETF >PAN30297 pep chromosome:PHallii_v3.1:5:37500280:37513798:-1 gene:PAHAL_5G342500 transcript:PAN30297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQERRDAEAGGDAAAATHTSNMQRVKVYRLADGGKWDDQGTGHVSIEYIEGSKELGLTVLDEEDNETLLVHNITSDDIYRKQEETIISWRDHEAATDLALSFQEAAGCSYIWEHICDIQRNLQFSNLGALEVGPRQASESLEASRIMHSNDDSFRSANGEFRELPPVELSNLPFILKTVLEGGITDQIRVAELITQDRDFFPKLVDMFRMCEDLENLDDLHMIFKLVKGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVPRVQRHRAFLKDHVVFKEAIHIENISVVSKIHQTYRIGYLKDVILPRILDDATLASLNTMIHTNNASVISLLKDDALFIRQLFARMRSSDISMESKRELVLFLHEFCTLSKSLQLVQQLRLFRDLSGEGVFEIISDVLQSHDRKIVSAGTDILILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEEMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPLRSISRSQSNSVGAGRNAGGHRIKPEILLNVCELLCFCVVHHPYKIKCNFLMNNAIEKILALTRRREKFLVVAAVRFMRTIISRNDEQLIRHVVKFNLLKPIIDVFVENGDKYNMLHSGVLELLEYIRKENIKALVTYVTESFWDQLTKFEHFGSIQAFKLKYQQYLESAEPRLSASVPDVRKKAEQRGLEKEEEDYFNEDSDEEDSGSGRRAKHAQNQHSKAKVPNGSEADNVEGASRPKSAGLVDYDDDDDEDFNPPPKEPARPSEDDVPLNISTVKRRPVNTMDGKHADGDGRKRQKIETRISCAKIAAVTSTASKHTDLQNKHAPESPTSSTSSTEANGDLRQHDTNSQEHQHSVENAEASRQAGGDCIKDVGSMSTEKAVNTTNPSDSEPYSVR >PVH38754 pep chromosome:PHallii_v3.1:5:37708464:37709423:-1 gene:PAHAL_5G345100 transcript:PVH38754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDPLPHLPRRWPRWARRRWIPSPSQPRAPAEPPEVMHRTRAVNFLGRRTPIVYQNDNGPCLLRAICELPLDSEPPASLPRTRSAFPAVLMSPVFVCAGLIDSNSTVQDKDEEYVRNREQNIADAIDLLPRLMTSIDVTVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQLHHLNFDLQRPITILIFLLSFGGCFSQHD >PVH39016 pep chromosome:PHallii_v3.1:5:51525740:51526870:-1 gene:PAHAL_5G418600 transcript:PVH39016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVQWCAVVALAPQIILPCIWKQKPFAAGHAKGQSLLVTKRSSLDCTPGRTYLIRDSRRSKKGETAAGDGKNHTTWQEQYSCLFQKKKIVLLFPSA >PVH38514 pep chromosome:PHallii_v3.1:5:18297880:18299147:-1 gene:PAHAL_5G275000 transcript:PVH38514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMAVSVSMTLPSLVPVPMLRMKKRISSFCVYTVAAMPRPICDDLLLHAIYSAVL >PVH39103 pep chromosome:PHallii_v3.1:5:52574466:52575580:1 gene:PAHAL_5G434100 transcript:PVH39103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYTLMADKLPPWVFREIGRSFLWMGTNASCRGKSAVAFPTCCRPTRFGGLGIIDLKLAGFSLRTRWLWFQRTDENRAWSALQLDFEPEVHAVFSASVTVSVGNGERTLFWSDNWINGRSVGQIAPNLVTMISKRIARRRTVAQAPSGHRWVRDISGGLSIPVLMEYIRLWGLLADFQLAYAAMHHGSICMQGATRIWKSWAPPKVKFFTWLATKGKIWTADRRRRHGLDAHDTCWLCDQEPETCDHILVNCSYAKQLWWDALITLDCTCSFASQQLTLQDWWSHGRCLQAKERRKGFDTLFMLIIWLLLKERNARLFDQRSSSADQLLQLIKLEIRIWVEAGATN >PAN28537 pep chromosome:PHallii_v3.1:5:9656560:9657609:1 gene:PAHAL_5G160400 transcript:PAN28537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFQEADVLWPDAAQHHACHRHHSEARCCHDYRRRQHLGGQKPHAAAVCLQSPPVRIPAMPAEARATGRPDRHDDDDGMIVRRSSSGAEAGIVPPHVLAARRWPDERGVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLSSSDEY >PVH39029 pep chromosome:PHallii_v3.1:5:51894579:51895090:-1 gene:PAHAL_5G423200 transcript:PVH39029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESKSAAGQTVWTASQSTFVHTFLANFIDEGLKTSTGFKKVHLNKCAEALKEKFKLNRTGDHIGNHLKTLRRRYVKINQLRSFSGALWDEDQYIISLDHEHYRNHFESVCRLATVVQDQLDDHVY >PVH38994 pep chromosome:PHallii_v3.1:5:51016943:51017837:1 gene:PAHAL_5G412900 transcript:PVH38994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLIWRIPMSVLAAGALGPSVAAAAAARQQRTRAPPRIVHVLRSREAHGRQRHRAMSASSKLLRSSLSRKLMSVSIEGMRDAEEMKQVDAFRQTLVLDTDGRPVYIEKLGQIDAPPPGALATSTAGPPSPVSASCILDGLCRWRSRRRRRPI >PAN27779 pep chromosome:PHallii_v3.1:5:6457289:6457879:-1 gene:PAHAL_5G106800 transcript:PAN27779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYAATRTLPQQHTRITTNGKAAPACPGHAGVPDEAAQHHEHPLAAGQCCSAIVHEIAAPVDEVWALVRRFDQPQAYKSFIKNCQLVDGDGATVGSVRELVVVSGLPADNSRERLEILDDERRVISFRILGGEHRLSNYRSVTTVHEAAAPDGPFTLVAESYVVDVPSGNTAEETCIFINTIIQANLQNLARKVLR >PAN28548 pep chromosome:PHallii_v3.1:5:9705844:9708826:-1 gene:PAHAL_5G161400 transcript:PAN28548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPPRVDQAGEHRCAQKEKEGLCQLGSHRTEETKPQHRQDAMVMEEAMDGEMSLSNMVLGFFEDFERERWPEKDDDEEGSGGGDAAESKAFWQIQHTQLHEALAKSSPAESRIRADTEAAVRSMRAAAGAACSCTGRPAAGGDCRRCMLRRVAERLRDAGYNSALCTSKWTRSPDIPSGEHRYVDVVVQTRSGKAVRVVVELSFRAEFEVARAGAEYRALVAALPEVFVGRADRLRAVVKAMCAAAKQCMKENNMHMGPWRKHKYMQAKWLGTPERTAAAAAAPAAVVPAVTVGSPEKPTKFRASMLTFDFGRTAVEAV >PVH38894 pep chromosome:PHallii_v3.1:5:47771080:47771940:-1 gene:PAHAL_5G383700 transcript:PVH38894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVTTYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSNEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSATAVERDAEAQPLAGGNPEDGEQGSLALSAPEEGLPRE >PAN29724 pep chromosome:PHallii_v3.1:5:15592627:15595119:1 gene:PAHAL_5G246400 transcript:PAN29724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVSALESAWQLLIANFTEFQLATVVTFLIHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTSAYQNRCVLRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWTVVVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDRDYRKAKAVEENEGKKL >PAN31648 pep chromosome:PHallii_v3.1:5:52583080:52584360:-1 gene:PAHAL_5G434500 transcript:PAN31648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRVHITCALLLIGAVLLGQGQEGMEGAVACPQYCLEVDYVTCPSSGAEKLPARCNCCMTPKGCTLHLSDGTQQTC >PAN26952 pep chromosome:PHallii_v3.1:5:3099303:3102813:-1 gene:PAHAL_5G049300 transcript:PAN26952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLSRKLPSLISRHQRLISPEAEAPELTEPSITSSSIPLDPTLPVLPLAVSHLSPPSPLPTLPSAHASSPASLLRLLRRARHHPRLAALDLHILLAAADASPAFRPDHGLTSLLAARLAASRRLPSLRRLLELVLARPCPCADDSIFACPELLPTFRKAIVAFAASGDIPAASDALASLRRAADSPLPAEFYNIILHALSRLHRHDDAIRFYGEMASVYRVAPDAYTFNILINSSCRAEGVNSAMRWFGEMQRRSCAPTGVSFNTLMRGFIREGRYKEGIKVAREMLELGVGLSVVSMEIFIGGLCRGGEAVKAAEVFVEFFGDGVVPEGFDCFELVEALCSVGKIDKAVEVVDMVLERNMKCCLSVPAGVTVLESLMKAGRLDKVCQLMRRMVDQGIVPDTISCNCIFEALCEEGRTADANQLRVLAKEKGFEADSETYRVLVQGFGRQGRRKEGEAVLDEMLDSGFIPNIASYNRLLDGLRKGRSLRIQENFSGDVKAPD >PAN27951 pep chromosome:PHallii_v3.1:5:7237446:7243932:1 gene:PAHAL_5G120200 transcript:PAN27951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVIHDSGDGVQKEALDLVSSDVNFPKGHFPDYRIGPNNQIIDPEEAHEAVPLKEIVAKETAQLLEQHKRLSVRDLKEKFEKGLSGATKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDAEEAISLVEALAVQLTQREGELIYEKAEVKKLANFLKQATEDARKVAEEERALALAEIEKARSAIEKVEKALQVHDSASSSREKEEIEELRKEVREARRIKMLHQPSKVMDMEFELKALRTLISEKTQLCNQLKKELAMIKRLEEDSSDLFELEGSDTLGSQLCIIPRVDGAPNIANCPIQWYRVISGGTREVISGATKFTYAPEPFDVGQLLQAEIVLNTDKTIVQTDGPIENAAGLERYVDSLMKRTDIEFNVVVTQMNGKDYSSHSVHVFHIGKLRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAARAVFWQARKGLSYTLAFETDRDRNAAIIIARKFASNCNVALTGPGDQGT >PAN29599 pep chromosome:PHallii_v3.1:5:14854527:14855066:1 gene:PAHAL_5G237100 transcript:PAN29599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGIGAGRTGGCGGDLAMRDADGSGCLSGACRGAGYSRMRAGRRRQAGRRRCAAAWRSASQVRVRIGGARSRPLIRACANIISCDFDACPRVCRRPVGSANDSVGSSDGLQPSELPCHVAVAGFKCAIRPEKGRGRSRPVNMCPPSREQLNPGAVRSAFRCMHVPVGCTHECSVLDSF >PVH38929 pep chromosome:PHallii_v3.1:5:48821173:48827473:1 gene:PAHAL_5G391700 transcript:PVH38929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRKKAADGNTYLIQNFIEALKRGKKVRQMFDKEIMDGKKSIKVLDDIAKLAAQCLILEDKLRPEMVEVADRLRKCRKDLQLRRREAMVESSGNNCLPEQQTASIPVDPTTKNPPTPLLNISLAELREITRNFSDDTLIGVGSHAKVFLGELKDGRKSAVKKLGQNSVVKNLDGFFSEPDDEFVHQVQEVSRLKHDNVVQLLGYCVDENIRAVIYEYSSRGSLLDILLGTRPGGVLSWTQRVKIALSAVQGIEFLHHKTEPCIIHSDIKSSNILLFDNDVAKIADLRISKNRPGYLDDLILDCVHPSHNVYDAPECKETGEFTRENDVYSFGIVLLELLTGRISGHPQNRLMIVAMPGLSDEEVQVQQCVDPRLRGKYPPKAAARMAAIACRCVQDKADSRPSMSIVVANLRSLLESTPSKLWLW >PAN28539 pep chromosome:PHallii_v3.1:5:9662753:9663930:1 gene:PAHAL_5G160600 transcript:PAN28539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTAWLAAAALLVAVVGLAAAVFSSEAYVFYPGGRDGWVLDPTESYNHWAGRNRFQVNDTIVFAHEEGVSSVLLVTEQDFDTCSTRSPVRRLDAVGGGGGRSVFRFDRSGPFFFISSDEDRCRKAQKLYIIVMAVRPPVAVAPAPGSSRWTASPPAGAAAPPPLWASAPEYARGPGMSALGASDHEGTSLSSTLGAPPPTAGAPRSANDAIIGSAVGVVGALVLCML >PAN28094 pep chromosome:PHallii_v3.1:5:7784343:7787655:1 gene:PAHAL_5G130600 transcript:PAN28094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTPQLLPLLVAAIAVSLSAAAASSTTTTSHPGRLQGLLAEVWQWRERHLADPFWHPGGGSAPGVRPNTVAAWVLSFLAASVSSAGGVGGGSLFLPILNLVAGLGLKRATAYSSFMVTGGAASNVLYNLACTGGGGRLIDYDIALLFQPCLLLGVSIGVVCNVMFPEWLITVLFSLFLACCTAKTWRAGLKIWRSESRGGAEAGGARGAHPSAEEPLLLPCGAGDAEDGGRGNGAGFPWKDVALLVMVWLCFFALHVLIGDKHGKGVIKIKPCGVAYWLITLFQLPAAVAFTAYIMYAKRKKHDAHSQEDGKADLLGATMEPTLPSLTFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGINPQTAAATSSFMVLFCASMSMVQFMLLGVKGIGQASVYAGICFVASVVGVVVVERAVRKSGRVSLIVFLVTAIMALSTVIVTCFGAQDVWMQYASGAYMGFKLPC >PAN31238 pep chromosome:PHallii_v3.1:5:50356173:50357214:-1 gene:PAHAL_5G406500 transcript:PAN31238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREAGRGADADGGPPPRPPPSPPATGSKAESLRDRVSFAPSSRTRTRPSCWAGIRIRRVRRSRSGAGGGEQDAAPAVRTVSTSRVCGGSRGCSGCGGRADATAGARSLLQRNDFYCDDCNTHRQLSVFPTRPTASSQYLGRPLSYNMIFLCN >PVH39323 pep chromosome:PHallii_v3.1:5:55480400:55480870:1 gene:PAHAL_5G478500 transcript:PVH39323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGRSQKRKEGMARKDRVPRGYVPILIGQGKEREKILVHMEHLKQPYFLELLDLAVQEFGYEQQGILHIPCTAQAFRSIIGAIPKSKS >PAN26512 pep chromosome:PHallii_v3.1:5:1223426:1224307:-1 gene:PAHAL_5G017700 transcript:PAN26512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNKLTTVLLAACAVLLALAAPPLVVVAGDPDMLQDICVADYKSLQGPLRVNGFPCKREANVTADDFFFGGLAKAADVYTGNAAGSAVTAADVETLPGLNTLGVSMARTDYAPWGGVNPPHAHPRATEILFVVEGTLEVGFVTAAAPSRLLTRTVSGGEVFVFPRGLVHFQRSVGPAPAVAVSAFNSQLPGTQAAAAALFGAAPAVPTDVLARAFRTDAGVVESIRSKFTPK >PAN30294 pep chromosome:PHallii_v3.1:5:37525579:37528458:1 gene:PAHAL_5G342700 transcript:PAN30294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >PAN29079 pep chromosome:PHallii_v3.1:5:12189078:12196169:1 gene:PAHAL_5G200800 transcript:PAN29079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPKPTASAAADGGGGASSSRSAATARSTPLQVIHILGNFMRIWSVYSLYSYLSNSGDSIVGFIFSCLVPTSVIFLVLQKPWKGRPLPNSQVVPTVVNGGILALYFVLWGKGLLACGPLIALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLSNGWSTRTHSPLYSFGSEPLEKATQTIGMKEMVVPITAGILSALRRVLARRVSLKNQLKRRLHAITIASATCFLFPFAMWDTILGSASDSIVKLQLPSWAYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEIFYKMDFSLLGFLVCSIILGFGIFEATSLERSKKSPLEAHELSNGVFHNQLPISALPS >PVH38218 pep chromosome:PHallii_v3.1:5:12190995:12196180:1 gene:PAHAL_5G200800 transcript:PVH38218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPKPTASAAADGGGGASSSRSAATARSTPLQVIHILGNFMRIWSVYSLYSYLSNSGDSIVGFIFSCLVPTSVIFLVLQKPWKGRPLPNSQVVPTVVNGGILALYFVLWGKGLLACGPLIALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLSNGWSTRTHSPLYSFGSEPLEKATQTIGMKEMVVPITAGILSALRRVLARRVSLKNQLKRRLHAITIASATCFLFPFAMWDTILGSASDSIVKLQLPSWAYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEIFYKMDFSLLGFLVCSIILGFGIFEATSLERSKKSPLEAHELSNGVFHNQLPISALPS >PVH38811 pep chromosome:PHallii_v3.1:5:41008388:41008853:1 gene:PAHAL_5G359000 transcript:PVH38811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLGRFSSRRWKCVNKDAAANVEAKGENQEAERCEDGSPSAYLNLDQDAEAEEVAPEVCETTSEPSHRGKRGPNKMSKSRTLINELDELGEPVDPVGVVGPYKSTCGVIVKDNIPIKYWV >PAN30424 pep chromosome:PHallii_v3.1:5:19700115:19703097:-1 gene:PAHAL_5G281400 transcript:PAN30424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKCQGSSTQASLLKKTHTEEKYVHRVNPIMHAFEIERSPSPLEGQLNTHESCAYIEDLMFFQERIKDQISKPMSQTTDCSKEGISCIRDHRGFKMENISFVTPIPEGSHKSCKNLLPPLYEGTMEGQSQDSDVVRLDARVECDGCEPTGLENDTQLYDVELTVHGNYEGHGLPLSFLTSKSTSKPVKGYPVTVEVLEDGCSAASIAAHQLAALSAYTRVETNYHG >PVH38946 pep chromosome:PHallii_v3.1:5:49187882:49188266:1 gene:PAHAL_5G394900 transcript:PVH38946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSVNKKKAALDAKADTSASAQRLHLLERELEDLEAKVRATKQRIREEKDLIAGSKQEAAVLTAELKADLAELSGLSKQVVPGADEEDEAVIAEVDHICLDAIAAINDFLQKACTR >PVH38568 pep chromosome:PHallii_v3.1:5:21244479:21245165:1 gene:PAHAL_5G290600 transcript:PVH38568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPRGAGLGRQRPAGASRQGSGIVISGRGSIIHTTVFAWCMAFNSIQLTTPNHLILK >PAN27439 pep chromosome:PHallii_v3.1:5:5008544:5010065:-1 gene:PAHAL_5G081300 transcript:PAN27439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAPAMCSVPAPPGAMRCRAFSPLTKTGAAAPCIASPARPPGLPARARVVLSRFRASAAAHKVKLVGPDGSESELEVPEDTYILDAAEGAGLELPFSCRAGSCSTCAGKLASGEVDQSEGSFLDDAQMAEGYVLTCVAYPKADCVIYTHKEEEVH >PAN29118 pep chromosome:PHallii_v3.1:5:12356758:12361934:-1 gene:PAHAL_5G203400 transcript:PAN29118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MAAAAPVASQAQAVLRGRLCDPGFVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVVDMVLEDLKKEHPDAISVIRLNGMLHSDDNCATKEIARQLCLEHQLSFSKMASSDDNTEFIIDMLRECGLAHKTILFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSLEDTQRLVEHLLILANDSGLPAKYIMDYNSRLTNIFSDKKFKGILNSLMDADATTSNILRFLFRAVSYMDMESGFLSMESFVKALSSMQRQPKMDSLQDLSILELYILVCMHRLEDKEQSSYNFTSIMKEYRSIQDAYKTSDKYTSTVCFRAFEHLLDRELISFGDNRGRNQALEYRPVKLLVSSRELAQSLKLNTTCPAVLQKLFDRERYM >PVH39175 pep chromosome:PHallii_v3.1:5:53489879:53490391:1 gene:PAHAL_5G448700 transcript:PVH39175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLELEGTAASPAYTRAWWWRQTGSRWTGGVRRLQGGLGPWGDGSAERRSESARAVVALVGDEAEVGDETMRRAPVREEEARRGETARGCCGGAAGGLGGTDRRSAGANRRGRWWHWWETRRRRGTRRCAVRPCARRRPGGARRPVPRGCCGGAAARWREERVRAAWLL >PAN31296 pep chromosome:PHallii_v3.1:5:50328878:50331897:-1 gene:PAHAL_5G406300 transcript:PAN31296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSDRSGMVWPAGERLVCVTGAGGFIGSALVKELLQRGYAVRGTTRNPEDRKNAHLQSLDGAEERLSLCRADLLDYKSLCAAFTSCDGVFHVASPVLDNDPELMAVATEGTKNVINAAADTAVQRVVSPDHVVDESCWSDLEYCLQTKNFYCYAKTVAEKTTMEEVSKRAIELLVVLPSWTIGEMLQPTLNLGIELLIVSYMKGTKKAYPNTVSGYVDVVRAHGVTKLNTFKYNSWSKDDTAPMVKPYKFSTQRLQALRMKFTPLKESLHKTVVCLQVQAHIPMLSHKSAL >PVH38898 pep chromosome:PHallii_v3.1:5:47987036:47987782:-1 gene:PAHAL_5G384900 transcript:PVH38898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPHRSQWQPIEIEVMGYQIVDTIEGAALEAIYVFYSQYPGEVAGQPIGLFATTDPSESEWNLRVIPEGHRLEGSPEEALRGMMRFMNVQYHYQSLLRREMGQLVNAARSLHREATRHITQVDQLRALVIEKDGIIATQNETIHHREDQINESDATITQRNTIIEFLQEQIHDLILKVNDANAHMNELQQQPVPPAVPVPEEEEEDPEEIEGVSEIDSEHGDPVISPHHSSSGSQSSVGNFDDF >PAN27608 pep chromosome:PHallii_v3.1:5:5675958:5681616:1 gene:PAHAL_5G092100 transcript:PAN27608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALAAHGADMLRGPHLIRAAVLAFAVALAFLVGYHWHDASPRLVFFSSASSSLATGSFSRSPAVVLSPNSNISFDPSLIPATATAPPASPTPNAWPPPSLPPPTPAPPPPLLPPPPPARLGIVGDDGAMRDDFDVGNVGANDTDLATDEAAPQEPGDAGGGSGGPRVRIGRFPLCPESMREYIPCLDNEEEIKRLPSTERGERFERHCPAKDKALSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWITKAKDKFRFPGGGTQFIHGANQYLDQISQMVPDVAFGSHTRVVLDVGCGVASFGAYLLSRDVLTLSVAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFDIIHCSRCRINWTRDDGILLLEVNRLLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTARLCWELVKKEGYIAMWRKPLNNSCYFNRDPAVKPPLCDTDDNPDDVWYVNLKACISRLPEIGEEPTPSQWPARLMDPPKRLKGVKMDAYSSKNELFKAETKFWDDILEGYIRIFNWRKFKLRNVMDMRAGFGGFAAALINRKFDCWVMNVVPVTEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFGLFSKEQKRCNISSILLEMDRILRPGGRAYIRDRREVIQEIKEITNAMGWRGTIRDTAEGPYASRKVLMCDKPMVH >PAN29225 pep chromosome:PHallii_v3.1:5:12814483:12824451:1 gene:PAHAL_5G210200 transcript:PAN29225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKPTSSGQSEASSSEPSWWPPDLLEKIESASISRKQDVLGNLRSSSWKASQLLWSQGAYSGLIPNGFYSIIPDKKLKETFPMIPSLDDLQALEADGLKADIIIVDTERDKKVFMLKQLSAALVKGLNSSPALVIKKIAGLVFDCFKRQNPDSSPARGSTEDTHFFGNKGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNNMELLVDLMRFPGQLITFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIESSSNLSGRSLRNMMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLIRARGRSILGGEKQSFQEYTESGAASRSDGLDGTSTSNARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLSRDHVEGSCSYVTEDQSNANDCPNDDDASRRVGATDNGSRNRTGSTQKAMSLPSSPHEYRGQVTEKSDDFMSKEKMALAWNKVFQSSPFLNKPLLPFEEWNIDFSEITIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACITPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIIRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRGMIDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYSVANEGSRLEIPEGPLGRLIADCWAEPENRPSCQEILTRLLDCEYAVS >PAN32751 pep chromosome:PHallii_v3.1:5:57365903:57370188:-1 gene:PAHAL_5G509300 transcript:PAN32751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALLQRSLVPTVRRQAPAPQHASASFPLAASPPLLLGRPRRRRGPSPATPPPSARFIFLVARLRRHTTEAAAMGGEATSAGGGGFRARMEHYLYSGEKKHVVAGIAIFAAVFGVPWYFMTRGAKHESHQDYMERANKARSERLSSGQPSAMKE >PAN28197 pep chromosome:PHallii_v3.1:5:8213552:8216140:-1 gene:PAHAL_5G137600 transcript:PAN28197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALLFLLILLCPFAEETAAAAGKQSVVHDDLNSGQVTNPITTVPSTNPTPTIITVPSTNPTITIPSLNPLPTPIIETPPSTAPPVPVIYPLPTPLNSSPPTVPITNPAVTTPSTFPPLAPFTNPPASNPTPTPPPAQIITAPAVSSQQVWCVVKAAGSSEAALQNALDYACGIGGADCSAIQPSGSCYYPNTLQAHASYAFNTYYQRNPAPSSCDFGGTAMLVTANPSSGSCVFASSSSSTVGYNPASTATPLSSSSGSDSRSPVLNASGAGSSEPSEFSPDIPGAVDMDNGWRSINPLHWSWAALVWILHSVCI >PAN26731 pep chromosome:PHallii_v3.1:5:2132442:2135666:-1 gene:PAHAL_5G034500 transcript:PAN26731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFCCCLSTEDFEEYVHPSNPIYRQCMPLRHLFHNIFGRYTATFQRLESRPSNPAQGTAPLGSANPSASINDSSLAETYHLVSRPPPYDADPRYARVQREGLVSRREKSINLAQEESVALRRNGSSSGIEHLAAQKKRSSTENEGEYKVHRSESTKSLSAKAYSSSYAVVGSEDEDVCPTCLEEYTPDNPKIITKCCHHFHLGCIYEWMERSDTCPICCKEMEFCESP >PAN29944 pep chromosome:PHallii_v3.1:5:16832718:16832900:1 gene:PAHAL_5G261200 transcript:PAN29944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKVNVLMLAAFFFSGLMQLSMAHDKPATLAATARVIDAKAIAYLLMFAALFVTYFAH >PVH38415 pep chromosome:PHallii_v3.1:5:16054135:16054582:1 gene:PAHAL_5G253200 transcript:PVH38415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTVYNISLAECGICSVFWIVSCIPLFKTVRHLACVLHPPGFCLAAIRWSRHFLEHRSD >PAN29167 pep chromosome:PHallii_v3.1:5:12533785:12536336:1 gene:PAHAL_5G205900 transcript:PAN29167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMGRASVGALLVALAVLAPAATAQTCGDELPPQLVGNYSGLACAPVWNNFVLRYAQGKDNVLRVVLSTMYSTGWVGMGFSKDGLMVGSSAMVGWMGKTGVAHIKQFSLQGKTPSQVVVDKGFLVSNDHDHTVLVQQAKIYLAFQLRFTAPLKRQNMLLAIGSAIPVNDRLSEHQDKTSIAFDFTTGSSSSASSFPEGLKRTHGALNLFAWGVLLPIGAIVARYCRRWDPLWFYLHTGIQFVGFILGLAGIVAGVSLYNKIQANVPAHRGLGIFVLVLGILQILAIFLRPNKDSKYRKFWNWYHHWVGRLVLFFAAINIVVGIKVGGAGNSWKIGYGFNLAVLLITIIALEVLLWTRWRNNSGSTAAY >PAN27784 pep chromosome:PHallii_v3.1:5:6469047:6471543:1 gene:PAHAL_5G107100 transcript:PAN27784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATPAPLLPVANPAAAGGSAPSSGTALSDAALAAPAFRLFVGRLADTARRSLADRRPWTELLDRSAFSRPDSLSEATSRLRRNLGYFRVNYAAVVAFSLAASLLAHPFSLLALLGILGAWCFLYVFRAPDQPVVLFGRTFTDRETLLGLVVASVLAFFLTSVASLIISGLLVGGAIVAAHGACRMPEDLFLDDPSAASNGNTTTRLLSFLASPGSGV >PAN30600 pep chromosome:PHallii_v3.1:5:32081549:32085371:1 gene:PAHAL_5G325700 transcript:PAN30600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDRPRRHVPHVRTLSLRSSRLLILVFLSSLSSFAVFPAANAATSSSSSNTDFETLLCLKLHLSNPAGLLGSWKQNDSLSFCRWPGVTCSKTSTPRVVALDLESSGLNGQIPPCIVNLTRLTRIHFPDNQLGGQIPLELGKLSRLSYLNLSSNNFNGSIPNTLSSTNLQVIDLGSNKLSGVIPEEVGMLRNLSVLHLARNSFTGNIPLSLGSSPSLVSVVLANNTLTGPIPSALATSYSLQVLNLVENNLGGDIPPALFNSTSLRRLNLGWNSFTGSIPAVSNLNSPLQYLTLSVNVLAGTIPSSLGNFSSLRSLLLAENHFQGIIPVSITKIPNLQELDISYNSFRGTVQPSLYNISSLTYLSLGVNDFSDTLPFDIGYTLPSIQTLILQQSNFQGKIPPSLANATNLEFINLGANAFHGIIPSFGALYKLNTLILASNQLQAGDWSFLSSLKNCTQLEVLSLATNVMQGNLPSSVGSLATLKALWLHANEISGTIPPEIGNLTNLLQLRMEQNNFVGNLPGSIGNLANLTYINLSRNKLSGQIPLSIGKLHQLYKLLLQDNNFSGAIPRALGDCKNLITLNLSCNTLDESIPKELCSLTSLTEGFDLSHNQLSGQIPQEIGGLINIGSLYFSNNHLSGHIPTTLGSCVHLESLHLEGNFLDGRIPESFINLRGIAEIDLSRNNLSGKIPNFFQSFNSLNLLNLSFNNFEGQMPEGGIFKNSSEVFVQGNILLCSSSPMSQLPLCVASSRQRTSHNLMITGISVALALISFSCVIFVLLKWRKRSKRTDHPSFMEMKNFSYADLVKATNGFSSDNLLGSGTYGSVYKGVLESEASEIVAIKVFKLDELGAPKSFVAECEAFRNTRHRNLVRVISACSTWDNKRNDFKALVMEYVANGTLESWIYSEMRRPLSLGSRVTIAVDIAAALDYLHNRCVPPIVHCDLKPSNVLLDDVMGARLSDFGLAKFLQSHNSSSITSSTSLAGPRGSIGYIAPEYGTGSKISTEGDVYSYGIIILEMLTGKRPTDELFNNGLSLQKFVGNAFPQKIHAILDRNIIPNFGSEGMENKLDHENNAIMGMYSCIMQLAKLGLSCSMQTPKDRPKMLDVYAEMSTIKRTLSALATMC >PAN27734 pep chromosome:PHallii_v3.1:5:6241006:6242915:-1 gene:PAHAL_5G102600 transcript:PAN27734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSLDSSYSSTIKDVTYSCGYCGYALNLSSSARDTAGIGSKYRKQIKKGVVAFVVVDESRFTLTDEVACMPYFRSRRAWGLLRKRSRLLCRKCGGRIGDAYDEEDRDSGLSDGDGDAFSDDLRASSGSGGSGSGSSASSQRSYVIKISALQPSSDDSDAVAFTL >PAN26936 pep chromosome:PHallii_v3.1:5:3055384:3056745:1 gene:PAHAL_5G048500 transcript:PAN26936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVVKRGYGGYGYSKPQVNYHRQNTEYVTTVVTEVNHMTVNDKPSCGGAGVQKQAAYKEKEEVFVEHDKGSAYGGCHGGGAAVHKQSSYKEEEYEGAAAGVKKDAYYKQEKYGEAAGGYGAGAHYGGAAGVNKQGGYKQEACGETDAGHYGGGGAGAVQTYAYDHQAAYGGAIGAAVQQHGYQKDAYAGAAKKNSYKHESSYGECDAAKYGSHYGYGGGAYKHHEKYGEADGGYGGAYYSGAGVKYGYSFTQLLCMLPSDITPWVQNLRVTGVGGGKAAGGYPLHHGGAYGYEAHGKASKTGFAGGYHHNKAYDCESESDSDESDCEEAFPPRGPTKQGGVHGYGAAYKHEKHGAGAGYGYGTCSPLSYGA >PAN28431 pep chromosome:PHallii_v3.1:5:9171256:9177739:1 gene:PAHAL_5G152500 transcript:PAN28431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDTSSSDASASHTGRVRRRRQPSEATVDGNRANGQPLLVNDRNKYKSMLIRTYSTVWMIGGFALIVYMGHLYIWAMVVVIQIYMARELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLYTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGIFWFLLPASLIVINDIFAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANVMGHYQWLTCPRKDLSTGWLYCDPGPMFKPEHYSLGEWAPHWFPWKEVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQIIRNLTYEEQKYLYKQLGEIFHERQQMQS >PVH38023 pep chromosome:PHallii_v3.1:5:9169598:9177739:1 gene:PAHAL_5G152500 transcript:PVH38023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDTSSSDASASHTGRVRRRRQPSEATVDGNRANGQPLLVNDRNKYKSMLIRTYSTVWMIGGFALIVYMGHLYIWAMVVVIQIYMARELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLYTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGIFWFLLPASLIVINDIFAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANVMGHYQWLTCPRKDLSTGWLYCDPGPMFKPEHYSLGEWAPHWFPWKEVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQIIRNLTYEEQKYLYKQLGEIFHERQQMQS >PAN28433 pep chromosome:PHallii_v3.1:5:9169598:9177797:1 gene:PAHAL_5G152500 transcript:PAN28433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDTSSSDASASHTGRVRRRRQPSEATVDGNRANGQPLLVNDRNKYKSMLIRTYSTVWMIGGFALIVYMGHLYIWAMVVVIQIYMARELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLYTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGIFWFLLPASLIVINDIFAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANVMGHYQWLTCPRKDLSTGWLYCDPGPMFKPEHYSLGEWAPHWFPWKEVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQIIRNLTYEEQKYLYKQLGEIFHERQQMQS >PAN28761 pep chromosome:PHallii_v3.1:5:10694769:10696781:-1 gene:PAHAL_5G177500 transcript:PAN28761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLSAIMSDLLGRALSVLIQRYRPSKAEETEHKLQRLRRVLLRVEATVEEAEGRHITNQAMLRQLDMLRQGMYGGHYVLDTFRYRGHGEVSGGRDVVLSRRSSAKRLLSFPVGSSSSSKENLQNTVLDAENLKNLEKMLDGLETLMGDMVAFAVFLEGYPRIPRQPYSEYLVLDRVMFGRQMEKETIIDFLLQPEAAGDGNPGVLPIVGARRIGKSTLVEHVCLDKRVCGHFSTIVFFGGDDLGAENMAALGRSGVTKHQDLTASSCGRSLVVIELAGDMEMEEETWRNLYCSAARSMGRGGKIIITSRSEKIAALGTAPALRLKFLHQEAYWYFFKTLAFGSTNPDDHPELASLGMEIAALLDDGTFLGTNIVGSLMRDNLNVQFWRRVLQCQRHFTSKYLLTYGKHPKELLEKGYPVYAWSMARSQNVVVIHNIYQERTSEQGVPELTAHDIIAGRATHQGKFRAVGWRSRIPPYHTYMFSCESQTAGCSTVRKKRPRASADDGLI >PAN29229 pep chromosome:PHallii_v3.1:5:12637744:12640607:-1 gene:PAHAL_5G207400 transcript:PAN29229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRLAASRLCGVCALFLLLSSSGASTLDSICTIPSHPPKRRQSGKDDALVLLNSFQLNFGYFFGGEGIHFAEDGNASYVIRSFSLFPHSVDRTADDSLLHVAATLTLSGGRARSYLPSHHGRRRRYRYVGDHHVRFYLDGYYSYASDELCMTGTGTYSSDGGSIEHLEDVVLKLRIPSPSNLSDPFVTGRLKGASFEAISLVAYAEGFYQYGESASCPTLQPSSPARGAFQALGANFSCAHLKEHLDTSYKLQYGGGGTHAPALMGLHGRQRLHVGQVQCTADGEVRAYAVLYNKTEMRGQLQPHSPFMFEEEVFVAEGRWYSDRSMLCLTACRVERSAETSLSVKECGVGMSFW >PAN33030 pep chromosome:PHallii_v3.1:5:58692777:58698447:1 gene:PAHAL_5G530500 transcript:PAN33030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MAAAAAVVHLSVHARLRRSPAELPSTSSSRRPTLLRCRAFKQEADGGGSTQTEARKRRKKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQLGKYVITMMSSGVVLGVGFQLSGGDSQMNELIWYSWLGGVIIGTMIGTNSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVLQTIKELEENIQEGLSVAKKKERKTLSHAKVVGTACDVCKPEDVKKLVNFAINELGSVDLWINNAGTNKGFRPLVTFSDDDITQIVSTNLVGSLLCTREAMNVMQYQDKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQSSLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDDEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >PVH38667 pep chromosome:PHallii_v3.1:5:30432686:30432880:-1 gene:PAHAL_5G320800 transcript:PVH38667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMPSTRSSMQFRIQNPLLLQLLSRIVIFCINHMRVAWHNTAPALESYWSQVRKMIFTSRTSNS >PAN32675 pep chromosome:PHallii_v3.1:5:56931385:56935932:-1 gene:PAHAL_5G504000 transcript:PAN32675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPARSAAGGGGVRLFGVRLTTAPAPAAMKKSASMSCIASSLGGGSGGSSPAAGGGGGGRGGGDGGAGYVSDDPAHASCSTNGRAERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMEESPAAVEQFTLQNTQDEATSSNELPTLHLGQQKEAEFAKQQPTFQLSQHEDSENAELPLPLPDLEMNSSVPFKTIPVPTVPAFYPALVPVPLTLWPPSVAHVEEAGATHEILKPTPLNGKEVVKADDVVGMSKLSIGDASSGSMEPTALSLQLNGSADTRQSAFHVSPPMNRPELSKRNSSPIHAV >PVH37801 pep chromosome:PHallii_v3.1:5:5742587:5744113:-1 gene:PAHAL_5G093100 transcript:PVH37801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRVGVILVSLFHKDYVPRYIIMKEKAYLAPEEMKKSMCKFEKVSRPAAPSENAQMVHSSEKRREDEKIWNGDIQMLRSDLNTLRGQAWLNDAVIEFMFSIFQDLMDDTVLLVPPSLSRLLGKPEIAPNIYNDLQISLRSLVLLAINDMQESDGGDVGLHWSLLAVE >PVH38064 pep chromosome:PHallii_v3.1:5:10062416:10062847:-1 gene:PAHAL_5G165800 transcript:PVH38064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLTVGKAGREFPCSAETRRPTRRHGRNRTPPAYCPALPFVATNVSASLVFLSRTRWPWPWQYTAAAASQPSRPSAARSGITMAGCGAPLQPRYSSSTRVGAESAMNLRSPFGPAAPRGSAAAGVTSWLSAVVRMTAWAGWC >PAN27919 pep chromosome:PHallii_v3.1:5:7100990:7105122:-1 gene:PAHAL_5G117900 transcript:PAN27919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPSNFMPTPDQDVLDVKPLRTLAPMFPAPLGVNTFNQSTSPPLIFVTPAGQFQGGFGDWNNSVAKTFFAFGSKDAGVGKAHNFGDQNADDGKTATFGDQNTDDGKAATFGVKDASGSQNTAIGDQDCAGGQPAAHWSSDVSANANGPIDTTPITAYRATQPNVISLDDDDDDDENYVANQTSASGRKIKRPSRLSRYNMNDGLGSDSSNSMKTKRPKASHKKASADNELTMVPPSGDPREIVEAVLMTFEALRRRHLQMDEAQETSKRADLKAGAIMMASNLRANNTGKRIGVVPGVEIGDIFYFRMELCVIGLHAPSMAGIDYMVAKFGDEDDSVAICIVAAGGYDNNDDDTDVLVYSGSGGNSKNNEERHDQKLERGNLALERSLSRKNVIRVVRGYKDPGCLTGKVYIYDGLYRIHESWKEKTKNGIICFKYKLLREPGQPDGVAIWKMSQKWVENPTTRGNVLHPDLSFGAENLPVFLVNDVDNDKAPQHFTYITQVKHSKPLSSMNPLKGCRCLSVCLPGDANCCCAQRNGGNLPYSSSGLLVCRKPMVYECGESCQCSFNCRNKVTQKGVRIHFEVFKTGNRGWGLRSWDPIRAGSFLCEYVGEVIDDAKCDLNSHEDDYMFQTLCPGEKTLKWNYGPELIGEQSTNISPDTFEPLPIKLSAKKMGNISRFMNHSCAPNVFWQPVQFDYEDDRHPHIMFFALKHIPPMTELTYDYGDIGTDSSGVPRAKNCLCGSSNCRGFFI >PVH39502 pep chromosome:PHallii_v3.1:5:58060794:58061083:1 gene:PAHAL_5G520400 transcript:PVH39502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISAAPATSSCNHASYLRAGKEGHGEVTHGPVYLHTLRIRPSTLCITTTRSRSIDRWQPPPALIERASY >PAN29600 pep chromosome:PHallii_v3.1:5:14855590:14856392:1 gene:PAHAL_5G237200 transcript:PAN29600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM7-1 [Source:Projected from Arabidopsis thaliana (AT5G41685) UniProtKB/Swiss-Prot;Acc:Q9ASY8] MASRPSLKAKPKGKGGRKDAAPGAADGEATRAATAVRLVKEWTTWTMKTAKVAAHYGFIPLVIVIGMNSEPKPSISQLLSPV >PVH38348 pep chromosome:PHallii_v3.1:5:15205208:15206403:-1 gene:PAHAL_5G241500 transcript:PVH38348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSGGLNHRQDWVQDETLLDVFGDVVWILHCKSNNGVSTNVLSKSNHTHGGGITEINAQDNPSIRLWFICGKLSCMQRFVLKIFPKRVF >PAN28003 pep chromosome:PHallii_v3.1:5:7453165:7458442:1 gene:PAHAL_5G124200 transcript:PAN28003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNSNRPTDVTTDAMDAQRDIKPFLSPITATPIQRGLYGPSTFQAAEWQLERGGARVLTGVQPQTLAEAACALNRPASELSGGIGDRTSCADEAFEGTSVRRPCPAPRFSRKFWSAGDYDAGAGSSAPQPTVQNRMCVHPEFLHSNATSHKWPFGAVAELLDNAVDEIETGGATKILVDKVINNRNGSPALLVQDDGGGMNPDSLRRCMSFGFSEKQSGSSIGQYGNGFKTSTMRLGADVIVFTRCTKSGGPTQSIGLLSYTFLVETGQTDVVVPVVDYKCNLLRGQTTRLERHGSEHFSSNLSVLLKWSPFATEEELLQNFSNIGPHGTKIIVFNLWSNDDGNLELDFDTNPEDIMISGAPKPEEISNAVKRTNENHLANRLRYSLRVYTSVLYLQLPDYFRIILRGQEVKRHSIAADIIYPECISYKPQICGRQEAEVITTIGFLNGTPTISVHGFNIYHRNRLILPFHRALSSASSKGRGVVGVLEVDFIKPTHDKQDFEKSQLFQRLVNRLRDMTTEYWDIYCHKIGYAKTPRMRAAPIPPRPPAMLPIENGAAGPSEWSAPAPAVSVPPSRPQGTCVNAVPIAFAHPSFGSAPAGTAGVAPRGPTGYSPSDSQTMQVNQRTSSSLAPGTDLVGKRKRGNDDAALTVSSKKQATDDLVGSSSAGDQVCQYMGDRELREFSFLKMENRMLREECSQFEMQEKELLLKEQNLQIQIEQAKEQYKRLLDEYNVSVAEAPAPTRIKR >PVH37927 pep chromosome:PHallii_v3.1:5:7453164:7458442:1 gene:PAHAL_5G124200 transcript:PVH37927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MDAQRDIKPFLSPITATPIQRGLYGPSTFQAAEWQLERGGARVLTGVQPQTLAEAACALNRPASELSGGIGDRTSCADEAFEGTSVRRPCPAPRFSRKFWSAGDYDAGAGSSAPQPTVQNRMCVHPEFLHSNATSHKWPFGAVAELLDNAVDEIETGGATKILVDKVINNRNGSPALLVQDDGGGMNPDSLRRCMSFGFSEKQSGSSIGQYGNGFKTSTMRLGADVIVFTRCTKSGGPTQSIGLLSYTFLVETGQTDVVVPVVDYKCNLLRGQTTRLERHGSEHFSSNLSVLLKWSPFATEEELLQNFSNIGPHGTKIIVFNLWSNDDGNLELDFDTNPEDIMISGAPKPEEISNAVKRTNENHLANRLRYSLRVYTSVLYLQLPDYFRIILRGQEVKRHSIAADIIYPECISYKPQICGRQEAEVITTIGFLNGTPTISVHGFNIYHRNRLILPFHRALSSASSKGRGVVGVLEVDFIKPTHDKQDFEKSQLFQRLVNRLRDMTTEYWDIYCHKIGYAKTPRMRAAPIPPRPPAMLPIENGAAGPSEWSAPAPAVSVPPSRPQGTCVNAVPIAFAHPSFGSAPAGTAGVAPRGPTGYSPSDSQTMQVNQRTSSSLAPGTDLVGKRKRGNDDAALTVSSKKQATDDLVGSSSAGDQVCQYMGDRELREFSFLKMENRMLREECSQFEMQEKELLLKEQNLQIQIEQAKEQYKRLLDEYNVSVAEAPAPTRIKR >PAN32102 pep chromosome:PHallii_v3.1:5:54543133:54544414:1 gene:PAHAL_5G463400 transcript:PAN32102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTNKPTRASRSGGKLLYVVHMLLFISLGFVLGMASIAKLPNFSIPFVVPSLPSPKPSALPPPPPTPPPAPQELQMELMSFLPPSGVIHNMTDEELFWRASMAPKLRRTPYHRVPKVAFLFLVRGDLPLRPLWEKFFAGHEGLYTIYVHADPSYTGSPPEDSVFYGRMIPSQKTKWGDVSLVEAESRLLASALLDYGNERFVLLSEACIPVRNFTTVHAFLAGSATSFVDSYGDGDCRARYDRYFAERTNITIEHWRKGAQWFEMDRALALEVVADEPYIQMFRGFCVGRWRCLTDEHYLPTLLSLLGWGPRNANRTLTYADWKRPQGMHPHTYDKVEVTEELVRRIREDGGNRCFYNGARNGICNLFARKFAPDTLEALLRLAPKVMGFG >PAN27688 pep chromosome:PHallii_v3.1:5:6057834:6058457:1 gene:PAHAL_5G098800 transcript:PAN27688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARSCTRVFKEACTALAFLLAVTSTATAGRAERHIPPPPSHSSGQMITLYTAGHATPKATAASSRHAVFTSEGPISHHGSWLRALTRPGALRAGTVTIVDEELRGRKEFGLPLEGRLQGVLVTSLADNSSHMVAVRASFAGDGAEDSLRFFGVRRDDQEESHIAVVGGTGRYSGAAGFAVVRAAGVPEKGGNVSSSRKLAFSVHLK >PAN32993 pep chromosome:PHallii_v3.1:5:58498405:58500305:-1 gene:PAHAL_5G527700 transcript:PAN32993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRPKPTPVNAPAEVVFDPSASGARKPRRPGAPSASNEWHSFMGSSLSDMYRKPVLEESADTSDDEPDIDIGKLLKDVELFGASSFKERKKIENRKVVELGGKAVKKHRIPLSVAKPALKNQKKRELKKIEEEKLLGIFRKRDKNSKAQKTRPEDRVLRATEGHFKNGILDVKHLLSAPKPSGRDAPEPKMRKGKHKGKGKQKGGRGKRR >PAN31688 pep chromosome:PHallii_v3.1:5:52727979:52733641:-1 gene:PAHAL_5G437200 transcript:PAN31688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALAASSAAGSGDDEQDPDPAPPSPDEPASPGSDDDAPAPPGPVDPSKCSAAGPGIAGGNAGTPATFTVIAKDRHSRRLTAGGACVRARVSPAAGVGGDDLDGAVKDNGDGSYTVTYAVPKRGNYMVHVELDGSPVMGSPFPVFFSGSTATPTAAFPSALPSVSSAYPNMVNQTMPNMPNYTGAPSSAFPSLLGAMPSSSTGSSGGVVLPGVGASLGEICRDYINGRCTKSDTDCKFTHPPQQLLMTLLAATSSVSALGSTPMAPSAAAMAAAQAIMAAQALQAHAAQAKAAGEASGSKDKAVEADTLKKTVQISNLSPILSVDYIKQLFGICGKVVDCTITDTKHFAYVEYSKPEEATTALQLNNRNVGGRPLNVEMAKSLPPKANNNLPMMMQQAVQLQQMQFQQALLMQQTIATQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGDSVEEKNAKGKSRSPSPPTRRSKSRSRSPIKYRRSRRSRSYSPPVRRSREHRSRSPSRSRHSKYGSDRSHRDDRDRYSRSGRRESDRSRDHHSSSSRRNRSRSKSPRHKKPSRDDSRSPKQQREESISPSKSRSARAGSRSPRPHKGSKSSPTRERHSRRTRHSRSRSPERKHRHSDKKDSRRSEVQDDKRRSHRDRGNRGDKDEKSVKDEVERSHRGNRGDKDDRSVKDEVERSHRGNRGDKDERSVKDEAERSQRGNRGDKDERSVKDEAERSRRGDKDEHPVQDPVEDRRVDTSAAAHKRSSTVSEDEILNNNSSNHKKSRRDDGLEDDERNDVCAAVADINGKHGPEVDGSLGGTGESAI >PVH39463 pep chromosome:PHallii_v3.1:5:57189011:57191313:-1 gene:PAHAL_5G507500 transcript:PVH39463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAECGDELLDSPMAAVGDTSTASVMDGAGLLQRGGRPCCDGPAAAFLPSATPWNHLLPPLVPALAARGKRSRARRSRRATASLRSSPVGCGPVHLSNFPRRQPPSGEGARQPPTSRILPGPPPLPQSLVSSTPSPATSQPGGQAGIQHRTHPAPLARRGPVRCGAYSLPGQPASCQAGRRQPAPPCAAGSPRPHMLLF >PAN33041 pep chromosome:PHallii_v3.1:5:59304920:59307067:1 gene:PAHAL_5G537600 transcript:PAN33041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYVQGDNDEVPVYESGAEVLQKLQEKCRSSSTSSTPAAAMYSSVLGGIILDPAMMLLPIDDHMVHRGHGVFDTAMLLDGCLYELDPHLDRFLRSAARARIGTPFPRGTLRSILIQMTAASGCRKGSIRYWLSAGPGDFLLSPKGCPSPAFYAVVIPAEYEQCREGVRAVTTSVPMKPPLFATTKNVNYLPNVLSIMDAEDRGAFASVWVDDQGYVAEGPMVNVAFVTPDRELVLPEFDRILSGCTAKRLLALAPKLVEDGRLTAVTTRNITAEQAKRSVEMAFVGSGLPVLPIVEWDGKPIGDGQVGELMLALSDLLWEDMKSGPDRIAVPYSN >PAN33043 pep chromosome:PHallii_v3.1:5:59304995:59307057:1 gene:PAHAL_5G537600 transcript:PAN33043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSTNLAPRSVTHLFHLLACLLALCLHVLQKLQEKCRSSSTSSTPAAAMYSSVLGGIILDPAMMLLPIDDHMVHRGHGVFDTAMLLDGCLYELDPHLDRFLRSAARARIGTPFPRGTLRSILIQMTAASGCRKGSIRYWLSAGPGDFLLSPKGCPSPAFYAVVIPAEYEQCREGVRAVTTSVPMKPPLFATTKNVNYLPNVLSIMDAEDRGAFASVWVDDQGYVAEGPMVNVAFVTPDRELVLPEFDRILSGCTAKRLLALAPKLVEDGRLTAVTTRNITAEQAKRSVEMAFVGSGLPVLPIVEWDGKPIGDGQVGELMLALSDLLWEDMKSGPDRIAVPYSN >PVH37867 pep chromosome:PHallii_v3.1:5:6508857:6509216:1 gene:PAHAL_5G108000 transcript:PVH37867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCDSAPISARLSRRFLATFTRSRAAESQTYTHAPNTSRSPRASLLFSAPSLPLKTKPWRRPRSRRSRPRRAPAGRALQPRMLRFCRSTPGPPPRPPAARRRCWARCSTCRRAWWAPG >PAN29961 pep chromosome:PHallii_v3.1:5:16908432:16911695:1 gene:PAHAL_5G261800 transcript:PAN29961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MHRKLPPLPHLTHLSASSSAAAAATATATAASSPPPSRLPPPVPLRDLLAHRLPHPRPPLPPAPHPHADILLLLRRRGDAISPERLHVELFKRGLDDDLFLANHLVNSYAKGARLAPARRVFDEMPERNAVSWTCLVSGYVLQGLAEEAFRMFRSMLREVEPGCRPTSFTFGTVLRACQDGGPDLLGFATQVHGLVSKTEYASNTTVCNALISMYGSCAVGPPILAQRVFAGTLVRDLITWNALMSVYAKKGDVISTFTLFMDMQRDDSSIQLRPTEHTFGSLITATSLSSCSSGVLDQVFVRVLKSGCSSDLYVGSALVSAFARHGLLDDAKDIFLSLKERNAVTLNGLMVGLVKQHCGEESVAIFMGTRDSVAVNADTYVVLLSAIAEYSVSEEGLRKGREVHGHMLRTGITDMKIAVSNGLVNMYAKCGAIDDASKVFQLMETSDRISWNTIISALDQNDNCEEAIMHYCLMRRGRIGPSNFAAISGLSSCASLRLLAAGQQVHCDAVKWGLDLDTSVSNALVKMYGECGAMSECWKIFNSMTEQDEVSWNSMLGVKASSQAPVSETVEVFSNMMRGGLIPNKVTFVNLLAALSPLSVLEMGKQVHALVLKHGVTEDNAVDNALISCYAKSGEMDSCEHLFSKMSSRRDAVSWNSMISGYIYNGHLHEAMDCVWLMVHTGQMMDCCTFSIILNACASVAALERGMEMHAFGIKSHLESDVVVESGLVDMYSKCGRVDYASKVFNSMTLRNEFSWNSMISGYARHGLGRKALEIFEEMQRSREIPDHVTFVSVLSACSHAGLVERGLEYFEMMRNLGILPQIEHYSCVIDLLGRAGKLDKIKEYIQRMPMKPNALIWRTVLVACRQSKDGAKIDLGREASRKLLEIEPQNPVNYVLASNFHAATGMWEDTAKARAAMRQAAVKKEAGRSWVTLNDGVHTFVAGDRSHPNTKEIYEKLNFLIQNIRNAGYVPLTEYALYDLEEENKEELLSYHSEKLAVAFVLTRSSSGGPIRIMKNLRVCGDCHTAFRYISQIVGRQIILRDSIRFHHFDDGKCSCGDYW >PAN31463 pep chromosome:PHallii_v3.1:5:51719904:51721945:-1 gene:PAHAL_5G421300 transcript:PAN31463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYRIAPARPVCLSAAGGAHLRPAALSSGRRSGEAEIGIFTAERYFRAADVVRRDAAVIPAAPTPAIPGHELPRLSVPAADAASQSGRTAASSEASWNSRSGLLSVAHHSAAARQQKAAGAGAAYGSDQGYQRGRKTGGGSGQRWTLFGRDCPCAGRKAVTVDVASEPRSPAAAHFNARFSPQSAVEECLESDIFKAKHPSPPKAAAGDHQEPAKVKVTVTPGSRAFPLAADSVFAAGPNRNADGVEFAAYKAEVDRRVVTSGGFTFPTALAAGRFVRGGVLDEPPRVSLEVFRPIDEDSVMLADPPPTMPLAGRAGLLPARAPPPADEEAMSDASSDLFDLESFAASSSYPTTYRGRGSRRNSADDDLPYGSAAAAAAAEPALSECMYPASEASVVWSVVTAEGGAFDAASVANFSSAASACCVDDLRYMVPESPEAGFTAAMSRSAGRKKSGGFLNSCRCEKAVSVGPTPVRVVRPPPAHPGAAARKMGGDGAARYHSGRGRAHVPVRT >PAN28638 pep chromosome:PHallii_v3.1:5:10201457:10202936:1 gene:PAHAL_5G168100 transcript:PAN28638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSSLSNLGLGYSIAIALGFLVLFASLLLASYLCFRRGGGDYWAGEAATTASSSGHLSITVPRVLFVAEGSESPDAAYSSAAAASCSPVGLDRAAIASYPKVPFSSRAAEPDAMCSICLSEYRDGEMLRVMPECRHGFHVACLDAWLRRSGSCPVCRSSPIPTPTATPLATPLSELVPLSHYAADRRRR >PAN29770 pep chromosome:PHallii_v3.1:5:15741830:15742402:1 gene:PAHAL_5G249100 transcript:PAN29770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSAEKARALEQCERDLDLAIERLVNLRLDPEHDAVEGAASDINGDVVRHAPAAAAAAVPVPSGGGIISRAEWVERLMAEMSSAADAGDARARAARFLEDFGAAAAPPSRGERYTALRENAILKKAVRLQLRLDREKEAANRELQRQLAGFQERVRSLEADKYALTMHLRRARPQEGSMSGRFHPEVF >PVH39591 pep chromosome:PHallii_v3.1:5:59506813:59507774:-1 gene:PAHAL_5G540400 transcript:PVH39591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKATIFLMALAALALTTAAPLQAPAPAPPLRRSRFLASANFPPPASFYDCSKKPPSICLEPGSPGATCCRGTCVDTEHSFQHCGSCNKTCRYTQTCCEGKCVNTFTDKKNCGGCGVRCRTRCTNGYCDYAA >PAN26995 pep chromosome:PHallii_v3.1:5:3321774:3324334:-1 gene:PAHAL_5G052500 transcript:PAN26995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAVGKPAFGVTVAPRTHAEERLAVAEHALLQWTRSPGADSGVWDADASYTNRGLLAAVDDVLLLAEEDPFPLPGASSARRRLDSAVGAAALRMVEEFLRVRVWDASPLRVAVDGLTLASSGMSLLVFPSAGDRTSEASSGGEVDASYGTRSRASSGVPDEVAALLEGEVWDELDLVRPAGVSVLHEIALRMVRAGCTKELFRAFANAPCDVLDRFLSILRVECSQRTTEAVIKRWTTVTKIIGKAIVAMRRQLYEQSPGAFDSFRDEYLLAIAENRILILLEFANGFTSITSHEKLVYMLGMYEALSDAAPSLLLLFNGARKELVAERTQDILTKLADAMKLMVSGVVAKVQGDCPRTPSAAGGVHPLAREAMSCVELLARHRTTLELILADGGERDAPAGSLAAGLVAELIAGLERNLQRKLAVACADAGGSRHLFLANNISFILSRAADAGGVASLLGDAWAARRRGRLAQHVASYVESSWGPAVALLETPVCGRGKPAKILAEFNAAFTRARDSEVCRQVPDPTLRAALRNAVSEMVVPAYCAFLQKHPKVGTSVRYTADDVTESLSELFEGEAADGRKS >PAN27998 pep chromosome:PHallii_v3.1:5:7436239:7440437:-1 gene:PAHAL_5G123800 transcript:PAN27998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGSGVALMLVLLGLCGAVSCSTAPPLPPPEMLRESFAGKSEFRTVNRKLLGSCLNPGPYLAISVGTGGAAALPDEAFVKVTVAGVLRPGRDDWVAMITPSNSSVSGCPFGGSNYAQTGDLARLPLLCHYPVKAQYLTSDPGYLGCKSAACQKRGASGACRVRTCAATLTFHVVNFRSDVEFVLFSGGFGTPCVLKRSGALRFANPASPLYGHLSSTDSTATSMRLTWVSGDGRPQQVQYGAGKSAASQVATFTQKDMCSVPGLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYNYRYGSDSVGWSDTNKFRTPPATGSDETFFVIYGDMGKAPLDPSVEHYIQPGSVSVAKAVAKEIETGKVDSIFHIGDISYATGFLVEWDFFLLLIKPLASQISYMTAIGNHERDYAQSGSVYVTPDSGGECGVAYESYFRMPVVSKDKPWYSIEQGSVHFVVMSTEHDWSEKSEQYKWMDQDLSSVNRSRTPWVIFIGHRPMYSSHIGIPANVDLIFVNSVEPLLLKYQVDLVFFGHVHNYERTCALYKNNCKGMPKKDASGIDTYDNSNYTAPVHAIVGAGGFNLDKFPKIVLNKWSSSRVSEFGYARVHATRTDVLVQFVNSDTMEVRDQFRMVKGALARKL >PAN27398 pep chromosome:PHallii_v3.1:5:4829710:4832886:-1 gene:PAHAL_5G078100 transcript:PAN27398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRHVLNEQRRNPESRGDFTILLSHIVFGCKFVASAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLEDVLQPGTNMLAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAQNWDAAVAKFVEKCKYPKDGSPPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTNIHDRSPVFLGSYDDVEEIKALYAELAKTSSA >PVH38222 pep chromosome:PHallii_v3.1:5:12279458:12281811:-1 gene:PAHAL_5G202200 transcript:PVH38222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPPRDMSQEPAAVAVPMTMTSAPAQPRPHVLLVAAPFQSHVNPLLRLGRLLAAKGLLVTFTTALRAGIRLGPGDGDGDGDGGGAGAEAGRGRLRVELLSGGGIWAPVDPRFRVAGDMARHVEAAGPAALEELVRGQAEAGRPVTCVVANAFVPWALRVAGELGLPRGMLWIQSCALLSVYYHHVHALAAFPEAGAPGSVTLPGLPELDADDLQPLLIYASGQDLWRQMLVADLGRARETVPWVFANTFDELERSTIEGLREHLPVIPVGPLLEPDDADGESSPAADDGGCTAWLDARPPRSVVFVAFGSLVNNDSDEVAEIAAGLASTGRPFLWVVRDDTRALLSADALAAACRDRDRGKVVPWCAQERVLAHPAVGCFVTHCGWNSTAEALAAGVTVVASPRWSDQRINARFLVDVHRVGVRAPTPLTRDALRERVEEAMGGPEAAAMARRAAGWKEKARAAVRGGGSSDRGVQAFVDQIRHAGARH >PAN30291 pep chromosome:PHallii_v3.1:5:37530933:37533974:1 gene:PAHAL_5G342800 transcript:PAN30291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPATPAPAAAPSKGKSAVQNPSGHHAATTAPSGTPSKGKSAAAQAAAAGQGSSSHHHSAFGGAGADASAPTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALLEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDLRKLHRATELLSMNEELKQARKAFDVDEETLATNAV >PVH38920 pep chromosome:PHallii_v3.1:5:48655460:48655847:-1 gene:PAHAL_5G390400 transcript:PVH38920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCSRLWKFCKYIIKLSFSLKSFWDSSHTCTHTHYFSCSVGNLAMSNFLQYQLN >PAN26930 pep chromosome:PHallii_v3.1:5:3034320:3034613:1 gene:PAHAL_5G048000 transcript:PAN26930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYQEVDYCSEEVRSVASPAGFGRHGGVQQHVVREKFEEVDRASRTGSHGRHHHHGHHAHGGSGHFVVRETKVEEEVDTCTGEFHERKETFVVRSD >PVH37523 pep chromosome:PHallii_v3.1:5:1461130:1463667:1 gene:PAHAL_5G022800 transcript:PVH37523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVSRRSLELPVAGTPAADPDSARLHQLGYKQELKRGLSVLSNFALSFSIIAVMMGVTTTYNTGLRYGGPASMTLGWLVVAALNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWAGTTSVDFSLAQLVQVIILLGTGGRNGGGYMASKYVVLAIYGAILVTHGLVNSLPIQWLAWFGHLGAFWNAAGIFVLVILIPAVAKERASLEFIFTHLNTDNGMGIHHKAYILAIGLLMSQYSLIGYDASAHMTEETKNADRSGPTGLVTAVALSSAFGWVFLVALTSLMTEDIPSLLDPGNDAGGYAVAQALHSAFRRRYGSGAGALLCLGIVSVTTFLCGTACVTSNSRMGYAFSRDGAMPFSRFWYRVNKQEVPFNVVWLSVSVAFVMALTSLGSQVAFQAMLSVATVGAYIAYGLPIFFRVTTARRSFVPGPFHLGKYGLLVGWVAVTWVALVTVLFSLPVAYPVAEDNFNYTPVFVGGVLLLSVASWVLHARFWFQGPIANVDL >PAN32043 pep chromosome:PHallii_v3.1:5:54170184:54172704:-1 gene:PAHAL_5G458700 transcript:PAN32043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGKVAYSYGGGGHHHDTKLLKSFSSVEKFGLGLFAGLLIVACAYFSTAKFDAVHIAMSKQPISSSAKNAVGFSSPVNAGDSSKQPLDLGEQEQGALSKEGSKDAGGGISNSGVAVASAAPPDPGAEGSNDEAPEKDDDPSAVAVLPPLSSDSADTTQESGVLEDQELQVQNAVAEAANPPENNDGASSSTNGSSSSVIPFPSDPAEIPAPAPAPAIEAPADQIPEIEALPPSTPEIEASPVQLIPPTPEVKQDWEAPAREWKPLCDVTSNHRIDWCELDGDVRVLGANASVTLVAPPGADGRTFREESWRVKPYPRKADPNAMRNVRVVTVRSVPAAGAPACTDRHDVPALVFSDRGYTGNYFHAYTDVVLPLFLTARQYGGEVLLLVTDLQAWWVGKYAPVFRSLSNYEPVDLGRDPRVHCFRRVQVGLTSHDDFSIDPRRAPNGYSMLDFTAFLRAAYGLPRDAVPVAPQPGQGQGRRPRLLVIARARNRRFLNVEEIARGARRVGFEVVVSEGGHEVAPFAELANSCDAVVGVHGAGLTNMVFLPRGAVVIQVIPLGPLEFVASYFRGPSRDMGLRYLEYRVAPEESTLVDRYPRDHPVIADPGSIKAKDWASFMGVYLFEQDVRLDMKRFRPVLKKALSRLRAKPKNN >PVH37956 pep chromosome:PHallii_v3.1:5:7965035:7965748:-1 gene:PAHAL_5G133700 transcript:PVH37956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNMAVITLEKYSSYCAGPTACSQLNPSYNWEDHMEATTQNTQLNHSADGYQTISSQPN >PAN27994 pep chromosome:PHallii_v3.1:5:7429446:7430282:-1 gene:PAHAL_5G123400 transcript:PAN27994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAGEATAVPAARRAPPPPPPPPWEALPLVAPFLDAASLAAASCVSTSWHAAFAADYLWARLCAQHYPSALGLLRHLPDTDGCSSGRQQRSSTHCRLFALFRSASIRCRALPAPRLALADVAFAVDVSTAGSESIVSFAVPAAEAGGVKNAAGLFLFGIDLSDRNAAIGPGEWRVRWTAVRTGNRWDGGAPVAVLMMDAKVPAARAAGAVSFGGRGEAGVAARLPAPGCGGERLEAEVVVELAGEERLVEKVRLGVMCECRYVGADEGLRYLQHFLL >PVH39468 pep chromosome:PHallii_v3.1:5:57367922:57368740:-1 gene:PAHAL_5G509400 transcript:PVH39468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVIRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFKLSRTKTEYMMCDFSQTRHEDGDVSLEGQVVAKKDTFRYLESMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVAQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMPRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLTINVPEP >PAN29476 pep chromosome:PHallii_v3.1:5:14271971:14275711:1 gene:PAHAL_5G229500 transcript:PAN29476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSPSGLELTMAVPGLSSSSGSEGGFGCNAGSGSGNGNAAMRDLDMNQPASGGEEEEFPMGSVEEDEEERGAGAGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAVKLKLRPRQVEVWFQNRRARTKLKQTELECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVLSPHTRQPLPASALTMCPRCERITAATGAPAARTPRPAAAAANPFHPRRPSAAF >PAN31276 pep chromosome:PHallii_v3.1:5:50623796:50625645:1 gene:PAHAL_5G408600 transcript:PAN31276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIKFENSCEVGVFARLTNAYCLVPPGASEGFYSVLDAELGGGVPVVRSSVAGTRIVGRLCVGNKKGLLLPHNATDQEVQHLRNSLPDEVVVRCVDERLSALGNCIACNDYVALTHPDLDKETEEVISDVLGVEVFRQTIAGNILVGSYCTFTNKGGLVHPQTSVEDLDELSTLLQVPLVAGTVNRGSDVVSAGIAVNDWTAFCGSDTTATEVSVVESVFRLRDPRPGALGSDVKSSMVQDFFRS >PAN32316 pep chromosome:PHallii_v3.1:5:55450431:55451366:-1 gene:PAHAL_5G477800 transcript:PAN32316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVDVKGGRVLYAEAGKDVVDFLFALLTLPVATVVKLLRKDAMAGCVASLYGSVERLDAAYVRSADARAALLAPAGGYESGRLLQLQAAAPAAAAVEVYQCSKGGTGDCYSYVAALRSTPCRHCRGAMNVPIELVGCSGGAPGGGGAEAAGAASLSDVAGTGFVKEVVMYTVTDDLQVAPMSTISSIAALNALGITDISSLQEKSVRVGYDEGLEILRASLQSKTVLTDIFLGKKVREAAAAPLQKKKNTEAAAAAAPSDNKKEPKAATPSKKEKK >PAN29900 pep chromosome:PHallii_v3.1:5:16454727:16456982:1 gene:PAHAL_5G257600 transcript:PAN29900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSRWRIAGIQHVRSFSGEDEGTDKALKLAYGSLFLPHPPATPDAPLAAACAAWSGVDRISSLPDEILRNVVARLPVKDAARTGALASRWRSLWRSAPLVFADSNLLQGCRENPLWRPGLEETLGVTNDVSDILAAHPGPFRCVQITCCYLDMNREKIKGWLKLVAGKGVQELAFINRPWPLDLPLPTTLFSCTSLTRLHIGAWKLPSTATLPDAVAFPHLFLTLITMKDQDLAFLLERSPVLEVLTIITSQRDVRLCLASRSLRCLQLGMSCLGDFTVAEAPRLERFFLLMTHRIRGNKFSRIKIGNAPNMRMLGYWPPGQHELQIGNTIIEVSPSTIIPSVKILALQVHFEVRNEVKTVPTFLKCFPNVETLHIHSVKVDRPTGKVSLKFWQEACPIGCLQHVKKLVIHGFQGNKSEHAFIKFVAERAHALKMMVIFLCLESFSSSNCLDSKMRPFFTVKCASKDIKVIRFKLRTSPTPWSFIMAADVSCKPF >PVH37594 pep chromosome:PHallii_v3.1:5:2499087:2503257:-1 gene:PAHAL_5G039400 transcript:PVH37594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSASHGVLGPLLGKLTSLLADECARLKGVRREIRSLRSELISMHAAVQKYAKLQDPDVQVKAWISLVRELAYDTEDVVDKFVHHLGNGCHNGGFKEFFRKTARQLKTLGSRRGIANQIDELKARVKEVKELKSSYKLDDIAGSTFEHSAVDPRLSALFVEEEHLVGIDGPREDLVNWMVEEKDSSTKNPKVLSIVGFGGLGKTTLAKEVCRKIQGHFHCQAFVSISQKPNVKNIMKDLIYQVPCKKEFKEDIDTWDDKKFIAKLRELLQDKRYLIVIDDIWSISAWGTIKCAFPENNLSSRIIATTRIVDVARSCCPRGDIDRIYEMEALSDLHSKRLFFKRIFGSEDCCPDVLKQVSSKILKKCGGLPLAIISISSLLANRPVVQDEWERVRRSIGSALDKNRSLEGMNSILSLSYNDLPPNLKTCLLYLSIFPEDYAIDREMLVRRWIAEGFISEERGQSKQEVAENHFYELINRSMFQPVEIGYDGKARGCQVHDMMLELIISKSVEDNFIAFMGHGQNDLANRHGLIRRLSVHYIDQEQASVLANEDLSHVRSLTVITSACIKHLPSLAEFEALRVLDFEGCENVQEYDMNGIDKLFQLKYLSFRDTLIKKLPSGIVRLYGLETLDLSGTYIEELPPEIVQLIKLQHLLTDGVKIPNGIGNMRNLRVISGFNVIESSLGAVEELGNLTALNELDIQLDGGGSQEYKRHEEILLSSLCKLGGCKLQSLCIFAPNATPLQFLYSWSPLPSSLKSFQMSTSYYFPKMPKWIAPKLTRLACLDINLVEITEEDLRILGEMRALVFLWLTFKGAQNERIVIRGHAFPCLKEFRLSISNSGARPTYVKFEEGAMPKLEKLDVPFFVSVAKAYGFSLGINHLPCLKHADVELHNIHATSSESKAAAAAIRTEANAHSNHPRVVTIGEMEEDAEGSYTDEEEG >PAN26528 pep chromosome:PHallii_v3.1:5:1280719:1283040:1 gene:PAHAL_5G019000 transcript:PAN26528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAEGAAASAAVALRAVLARAGRAAERSGRAAEAARVVAVGKTKPVSMLRQLYDAGHRCFGENYVQEIVTKAPQLPEDIRWHFIGHLQSNKVKPLLAAVPNLDMVEGVDNEKVANHLDRAVNSLGREPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVACKLEVCKALEIPTEQFELSMGMSGDFEQAIEMGSTNVRIGSTIFGPREYPNKKQK >PAN27968 pep chromosome:PHallii_v3.1:5:7320953:7323702:-1 gene:PAHAL_5G121500 transcript:PAN27968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLTSPIALLLLPLLPLLCLLLRRDPKKQPRAHGLKAYPLLGTLPHFVKNQDRFLEWCTDVIKRDPTHTISFKALGLTGGAITANPANVEHILKTNFANYPKGELTVSMIEDFLGRGIFNSDGEQWLWQRKAASYEFSKRSLRNFVVDAVRFEVVERLLPLLDRARQDGRTLDVQDVLERFAFDNICRVAFGEDPACLAEESMAAPQSAEFMRAFNDAQNAIMARFMSPFKSLWRLKRLLNMEPERRMREALRTIHGYADRIVRERRERGEAGLASRDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALSWFFWLVSTRPDVEERIVREIRAARASRQGSAGAATLSFDELRDMHYLHAAITESMRLYPPVAMDTHSCKEDDLLPDGTFVGRGWLTTYSAYAMARVEDVWGKDCEEFRPERWLGEDGAFRPESPFRYPVFHAGPRMCLGKEMAYIQMKSIVACVFERFGFQFVGGEERPGLLLSLTLRMEGGLPMKVIRRESSVI >PAN30387 pep chromosome:PHallii_v3.1:5:18711239:18712185:1 gene:PAHAL_5G276600 transcript:PAN30387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRVGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNDEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRNGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH38660 pep chromosome:PHallii_v3.1:5:29711749:29712272:-1 gene:PAHAL_5G319300 transcript:PVH38660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQHLDLPPHPGGRCHRDRLHRGGRRGPRVPRRLPISRGGGDPFIRCLEEAIHGVMVRHTTPDWFPFVLILRRLNSQEFWVKNHTSCHRTSASPLCSYVKFAALQN >PAN31198 pep chromosome:PHallii_v3.1:5:50170452:50171083:1 gene:PAHAL_5G403600 transcript:PAN31198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKRSVLASLFGLKKQSAGGKSAEEEAAGRPPQPKYYQGTRVRPSDGDDDDYGGHWYADRDIDRRASEFIERVHRGMLAGDRDG >PAN33099 pep chromosome:PHallii_v3.1:5:58923912:58924282:-1 gene:PAHAL_5G533500 transcript:PAN33099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADWLYFKGPSLCSPILLEIVMGKVSLLVRSKKELINYASTKYNMHL >PAN30785 pep chromosome:PHallii_v3.1:5:48374928:48376546:1 gene:PAHAL_5G387400 transcript:PAN30785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAEAPEAAAAAAGRRFDYDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGESRTIRDAYPKAQYPPMVRLARRLWADAEAESGYRVLTPAPQLSLGPSTNASLLAAIRSSGAEEVDLARRWGGAFRVRDGWVTAVSEHGGGVLNATKAVAMFQALAAKNGAVVRDKTEVVGIRKGPEGGVVVTAGTGEEFHGAKCVVTVGAWTSKLVRSVAGFELPVQPLHTMVLYWRIKPGREHDLTAESGFPTFSSYGDPHVYSTPSLELPGLIKINYDGGPPCDPDSRDWASGGGDVAERVARWIEEFIPDHVETTGGPVSRQSCMYSMTPDKDFVIDFLGSEFGEDVVVGAGFSGHGFKMGPAVGRILAEMAIDGKANTAAEAGVELGHFRINRFEGNPMGNGKN >PAN27904 pep chromosome:PHallii_v3.1:5:7039083:7039995:1 gene:PAHAL_5G116800 transcript:PAN27904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSVCASFLSALLLLHCILTPSGVVVSKERIQSRKLSQSVLVSSERARRQAFHAHAVAAAANKTPGAQVSENLKKQTPSRSNPIQN >PAN28121 pep chromosome:PHallii_v3.1:5:7851520:7852448:-1 gene:PAHAL_5G131600 transcript:PAN28121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAGQAAAPSSGCRCARVICKARYAGLIRRPIWGIRQRGVPVATHRRCLHHPQLDACVSYPLKNHPAMFSTAARSEFFSGDARRAGSLGPTARTSDPGDVPEEGPGFSWIHRVLRCFLYGSTTSSFSSSQ >PAN30849 pep chromosome:PHallii_v3.1:5:41008079:41008395:-1 gene:PAHAL_5G358900 transcript:PAN30849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIDLPYKLLSNETSKCLIKSMITSPKIFSSRPSQKKTHNQLENYALKKLYNQLENKCKGCIFYVKYM >PAN30744 pep chromosome:PHallii_v3.1:5:41368417:41376220:-1 gene:PAHAL_5G360100 transcript:PAN30744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLEDHQMEEEEQRPLLTRALHRSATNNTSQVAMVGSNPCPIESLDYEIIENDLFDQNWRTRSKVDQVRYVVLKWTFCFAIGVLTGIVGFVINLAVENVSGFKHGAVSALMESTSYWTAFWLFAGSNLVFLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSMRTLAVKIAGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVAAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCGTGRCGMFGKGGLIMYDVSTIFDDLMTYHLKDIPIVILIGVTGALLGGLYNFLMMKVLRVYNMINEKGGAHKLMLAATVSILTSCCVFGLPWLAPCRPCPTNGSPDGTCHALNRFRRFHCPPGHYNDLASLFLNINDDAIRNLYSTGTSDVYHPGSMIIFFVASYGLGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGSHSGLDHGLVAILGSASFLGGTIRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILQLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGNIVHILRTTGHHAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLPAPERYPKEYVAAGRFLAEDFDKRGSGKQDTIADVQLPSEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLGSRWKRLRWQKGVVAKYFRSLLVWITNSG >PAN26993 pep chromosome:PHallii_v3.1:5:3319044:3321756:1 gene:PAHAL_5G052400 transcript:PAN26993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELIGGALKKEPEETVVEIDSKGEEAEKVVKKRRRRKKACDPHQNRACVDCKKRCARIHGRAASSCPSPSSSKARPIPAVPSFFKVMMGYFSEHMDVPPPFARTILDLAGSNIYLEDAFGLRWRVRLCLRDGVMSFGHGWKNFVLDHAVSCGEFLVFRQIARSVFTVQMFAPSAVERLFLCEANKRQSRKRKPRQKTSCPSSQTVKISRNCKKKQRTGHHNDQMPDHVCFDDSDVPKSASELKYSETSGGVPEVGVAEPQEDSEAPTGHDCKAQVVLDGEAEIADDCRVFEEKESECNARIIQHLVSDATEIEHGDGLNLPTNTDAIGPLALMDLNEVNIDDIFLSADIYEFETDMCNPEAFSVDLNKEGPITTAKNSGFSCLEDTQQNHFSSIGDDQRFVMPETQSCTENKQMTDALVTGTGYACVAVHDIDISTLPANEPSSFAKENSSPPADPEVHSSECVLSGCNKDNDLLSCKDSQAEHKEVKHDKQQDGQGNEQDSTGQNTAEVISSSTKLHEHPHVCQNLHQTENNSDGIRSGTSESGGVLALAASSSKFCIAVPAPDQTWLELPTRLPVIPRTKKQGRKVVILKDPCMRLWPVLYQCTPRFSGFITGWVDICRENNLQEGDTCEFELGGNSELSFQVRVPNLQ >PAN29348 pep chromosome:PHallii_v3.1:5:13345568:13348154:-1 gene:PAHAL_5G218500 transcript:PAN29348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTARYPASAPSSPLPSSAPPPPPRVALPALRRRDLLLLPAALSLPLAPPAAAPAPAAARGLFRMPPQRLANRYFLVRAGESVYEGQGLLRTNPVAKTSVDNGLSPVGLRQTARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANGVNRSRIVPEYSFLDARGLGAFEGKSLDALPEVYASDNISPDMKPPPISDGTPNESVADVFVRVTQLMSILETQYSGETVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFKPGEVRPVDPSSIPEYKQPASTVFKCTNPPSCK >PAN31228 pep chromosome:PHallii_v3.1:5:50301290:50304142:1 gene:PAHAL_5G405800 transcript:PAN31228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLTEASPPPTIRDVIGRDDDDDDNFIAEEEEEEEEEEEEEEEEWDMSKRLSRLSMEGSDGGDADDEDGGDEAEDEEDDEFEVRSDVNAATYGGAWPPPYDVQAPSSASLPGTPDRGARAAAARSSSSPPWWPGTSGGKEYASETEARWPPGAGRRQRYHHRERMAREVWLERAWRARKQRQEAAAAVPVVVLGGGGGESPAAGRGGVAMDMEEVRACRDLGLGLPCDWTVEIPCHALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASAASRLGS >PAN30802 pep chromosome:PHallii_v3.1:5:57111608:57111901:1 gene:PAHAL_5G506500 transcript:PAN30802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PVH38842 pep chromosome:PHallii_v3.1:5:43581560:43585254:1 gene:PAHAL_5G367200 transcript:PVH38842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVITTSVELNQSEEVNRVPKIKDIDDEMNAEKEIERNENCHQDDLDVSEENLEMSNDNGEGTVAEDDEYLFPNPDEAEGMKKPLIGMSFDTIDEAHKIVNVYGQLYRFAVYRGRNYKNKKYFLMCNRSKKAKEPKNIQKKRKRYIVKGTNCKMKVIVALQDGKCRFTDVDLVHNHDLVSSPALTKFFISHRYMSEEEKNFSRILQEARIKPRRIMQIFKKMKGSFRYVNFGKTNINNLKQADRRARLRNSDIDSTMVFVRKMQKRNQVSMTQ >PVH38917 pep chromosome:PHallii_v3.1:5:48533765:48537548:1 gene:PAHAL_5G389600 transcript:PVH38917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSSEDISGQNQVKASVQRRIRQSIADEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPGIMKRFQVDRGAIKFVLSGANIMCPGLTSPGGVLDDEVEEETPVAIMAEGKQHALAIGFTKMSAKDIRTINKGIGVDNMHYLNDGLWKMEKLE >PVH38676 pep chromosome:PHallii_v3.1:5:31849056:31849671:-1 gene:PAHAL_5G324600 transcript:PVH38676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKKVLQKFLGIVNYARNYIDNLAKLAGPLYVKLRKNGKKYFNSEDKKLVPAIKKKYASGSYKLKTVNNTEREILAIIHAHALNAFRLYLGFKEFTVRTDCEAIYRYYNQINSKKSSTRRWVLFEDIITGNGYKVIFEHIKGKENKLPDLFSRSSFLHK >PAN28387 pep chromosome:PHallii_v3.1:5:8990889:8993714:1 gene:PAHAL_5G149900 transcript:PAN28387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSAMEEALSNPKGRRKGGLRTIPFIISNEIFEKVATFGLTANMILYLTERYLMSSAFATVVLYNWNAISNFLPIFGAVLADACLGRFRVIALGSFVSLFGMCLLCLTAILPVYKRTPECAARLADCVVVPWQLPLLFTSFALMSLGSGGIRPCTLAFGADQLDKRDNSARNVRTLQTFFNWYYTVLGLSIVFAATVIVYIQQARGWVVGFSVPVVLMVTALTLFLLGSPFYIKAAADRSAILGLVQVLLASYKNSHEPMPPETADASSFYTKAGSKPRTPTNKLRYLNRACVLRNPGKELSADGAACDPWRLCTVQQVEDTKAVIRVLPIWSTGILPGVIVGQQMFPTLQAKTMQRKVGGLEIPAATFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGLSLRQRMGAGLVLFAVAMAVAARTESVRRAAAIAEGLRDSDPRSAPPMHMSAMRLVPQHCLMGLAEALNLIGQIEFYYSEFPKTMSSIGVSLLALGLGFGAVLGSAIVGIIGAATRSGGHEGWLPSNLNRGRYDDYYLVLAVLGAANVAYFVVCAWAYGEEGQNRVAAADDAATVDDGKEEQHKAVII >PAN31632 pep chromosome:PHallii_v3.1:5:52514666:52517672:-1 gene:PAHAL_5G432700 transcript:PAN31632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRQALRWSEGEVMRPESKPCSRLMRQTAGIFSVGGALAFWVLCRLHYGPRITVPRSLRWASCGAISTSSTSSLLVRLFSPECEPQNIAAFDKQEHKTEWRKL >PAN28473 pep chromosome:PHallii_v3.1:5:9405725:9408224:1 gene:PAHAL_5G155500 transcript:PAN28473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAKRKPVFVKVDQLKPGTAGHTLVAKVLSSKTVLQKGRPGAAAAGPAARPTRIAECLIGDETGCILFTARNEQVDLLKPESTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVAEE >PAN29841 pep chromosome:PHallii_v3.1:5:16049338:16050570:-1 gene:PAHAL_5G253000 transcript:PAN29841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDDPTQPRPAKFPKQSNPTTTIESLGEDLLLTIFLRLPSLATLIRVALTCRAWRRAVASSPSFRRSFRELHPAPLLVIFEDPDRDALPPFVAAHRRDRHVLAAIRGGDFFLTPLLEPNSCACDAPLRWRVVDFRDGCIVLMNRVAGLLAIVNPLARQCPADYIHIPFDMCSRDGPLPLGVHLLSSDEEPMPFRVVWLLCDITRVQLVVFSSETRDWSFLPWVEITERNEEHMLTLDMATMEFSVFELPPYLKGQQDCFFAVGETKDGDPCFVYCIGFSIGVLKDRVGDDGVKRWILVGMVNYEAESANGNRLQVVTVEGGFAYLITTEMVLSLCIETMKLEKLFPQTFYALHFHPYIMAWPPSLVGNYRHFAIIQDGVGNE >PAN27562 pep chromosome:PHallii_v3.1:5:5449997:5452839:1 gene:PAHAL_5G088500 transcript:PAN27562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MDSPGRSCRLFGAQRSLHDLLGGGTAADVVLWRRKEVAGGLLAAVVASWALFYCVPGNTLLSFVSQVLMILLAVLFIWAKAAQLLHRAPPPVPLMKISDKSMSEASEIAGNFVNKVLQDFGNIALGKDSGLFYKVAFVLLLVSIVGRLTDLITLVYTSAVIALTIPALLEKSEEHIARFLEIASTYVQACGGACEEYKCKMTKRSSEKLC >PAN31546 pep chromosome:PHallii_v3.1:5:52102282:52108191:1 gene:PAHAL_5G426900 transcript:PAN31546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPHAEEAAAAGGGGGGGGGGEGGRASPGTGLEGPMLRLGLDGGGEGEDGEREAEADARLPERPGEADCGYYLRTGACGFGDRCRYNHPRDRGGTEFGGGAKNGAASDFPERQGQPVCEYYLKTGTCKFGSNCKYHHPKQDGSVQSVILNSSGFPLRLGEKECSYYMKTGQCKFGSTCKFHHPEFGGIPVTPGIYPPLQSASVTSPHTYAPLANWQMGRSPVVPGSYIPGSYTPMMLSSGMVPLQGWNPYPASVNPVASVGAQQTVQAGPLYGIGHHGSSATIAYGSPYMPYSSSTGQSSNNHQEHGFPERPGQPECQYYMRTGDCKFGATCKYNHPRDWSAAKSSYVFSPLCLPLRPGAQPCAYYAQNGYCRYGIACKYDHPMGALGYSSSALPLSDMPIAPYPLGFSVATLAPSSSSPEYISTKDQSINQVASPVAAPESVGAVLPKGVFPPDTIMRTQTSTTGVSSSSPGGGR >PVH37608 pep chromosome:PHallii_v3.1:5:2767102:2767491:1 gene:PAHAL_5G043400 transcript:PVH37608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPERVSPARAQTGESERGAAFAGRVGKRCAEAGGAGHARVGGAERHRGGWRRAERPAARGGQEWAERGRWSRDGRREAGSGRMRAVGGRRKSRGRR >PAN30071 pep chromosome:PHallii_v3.1:5:17804305:17808759:-1 gene:PAHAL_5G270800 transcript:PAN30071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVWCGLDLQQLQAGDPLAGLHDHDPFWPALADCTASFLAADTACFGVADIDLSGGSASGKAAAVDGMDTSGFFAEDGRHHHLMHQEQQPVYSSSSLSSKRSLSVDSGGSSSTFFQLDDASLAAATAAGLFSSPSHSHPAAAAAPQDPFAAGEDEALMRAMMAVISSASPSSSESSSPLLSQDYTTAAQPAVVQPRNASHVTVRSSSLAVGPERTTSLTSAAAGGRQQEEDAKAAAAGSNSSSQVYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLVNAASFLKTLEAQVSELEEKNTKLERYVPREGAGASAAAAAHRRAKVSISRAGPDERQVSLMVMVMVECDIVDLVLHVLEYLRWMSGVSVLSVDADTYSPQALLKARANIKLQIMDGDCWDEALFHEAMTKAVHEATSSPSSSSCAAPAPLVAAA >PAN31994 pep chromosome:PHallii_v3.1:5:53965586:53966542:1 gene:PAHAL_5G455600 transcript:PAN31994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEQQSTVKKASEAKWAGSRGDRRSHRTSGSRRVTKLHCPRCNHPFKPPIYQFLCDAGHLACSNCHGRLPKDKCYACGHDGAYRRNTTLEDVVGWHRVLCPNGAYGCQAYVPYHECGDHQRECPCAPCGCSEPGCSFVGSPLMLRDHIRDAHGWPVDKIHYGNPQDLCLPESQRRRLLVAEEDGRVFLVVACHEISLACLRANAAAGPQYTCRMWAMGKAAGAASALSVMMKMEVPSCRVPGKAAVVPLVVHRKMLHGASLEIHLSVRIEEVQA >PAN27953 pep chromosome:PHallii_v3.1:5:7247677:7252806:-1 gene:PAHAL_5G120400 transcript:PAN27953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGDEPRGCCCHIVAVPFPGRGHVNAMMNLSRLLAARGAAVSFVVTEEWLGLLRSSSSTPADGVRLRAIPNVIASEHGRAADHSGFLDAVATEMEAPFERLLDGLEGAPPAALVADAYVPWVVGVGNRRGVPVWQLFPMAATFFSTYYHFDRLPAWLTGDGEHAPGSGETVDKSDQRLGHYISSQASNTIRLSDLEPLIHNKRAVKHILPAISSVRNAQCLLFTTMYELEASVIDSLQSVLSCPVYPIGPCVPYITLEDHWTMTNGDTSQVDYFEWLDSQPVNSVLYVSLGSFVSVSASQLDEIALGLAASEVRFLWIIREQSPRVRELIGDTDKGMILPWCEQLKVLCHSSVGGFLTHCGMNSTLEAIFAGVPMLALPLFFDQPLDGRLIVEEWKIGLNLWDCASKDGLIGREDIARAAKRLMSSDEPETKAVRRRALEWKEASRRAVDKGGSSYRNLSALMEMACASA >PAN32852 pep chromosome:PHallii_v3.1:5:57816460:57818640:-1 gene:PAHAL_5G516500 transcript:PAN32852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSPASRSLLLCLCLCLALLSRSAASPRDLRFSAEDIAAVEAALPRHRRSSRTTFFEVDRPLRPPRGSSGPCSTLLLSHSFAFTLTKPPVTAAYAPPSCLRGAGAVSLAVLEWRAECRGVQYDRIFGVWLGGAEILRGSTAEPKPGGVAWSVSKDVTRYAPLLAAGNATLAVYLGNLIDDTYNGVYHANLTLHLYFRRAARARSPMPPPAADAVVPVSRSLPLNDGLWFVVQNATDVQSTRLAVPANAYRAVLEVYVSSHYADEFWYMNTPEQNGPFREVTVHLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNFPTYDIELTPFLGKLLDGEQHELGFAVTNAQNSWYVDANLHLWLDPRSSKTTGGLISYDAPKLAGSIVSHSADGIDGEYEATASRNITATGWVSSSRGNVTTTFTQRLSFANTNVVSGHGSAQAINQTTDALTDVGGGAQQRLQQSFPLYIFLGGDGSGTSSQRLMRRVEIGFEESRVRGGGAATSTLRNEQAAAAEVTLRDDAVVGASWRMHQTYAYGASDGGCYLRNVSSVGYDVLFDHDDASCAGTRGR >PAN30314 pep chromosome:PHallii_v3.1:5:46936107:46938971:-1 gene:PAHAL_5G378500 transcript:PAN30314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKLLSFRLNQILRARAAPDPAPRRLQPAPLAPVHRRLPTPFLPRLCTAGSSCCSDPNRLPAGCAPRAAVRSPAGAAGPQARPVAAPARGPSLPPSCTASPHGCGTGAQG >PAN30088 pep chromosome:PHallii_v3.1:5:18032012:18035230:-1 gene:PAHAL_5G272500 transcript:PAN30088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-2 [Source:Projected from Arabidopsis thaliana (AT2G26150) UniProtKB/Swiss-Prot;Acc:O80982] MAASSSSSSSPLGTRPSGGSKPGMTRASGGGLGLGLAVVAMARGAAAVKREPKPEPWAAEPESGPAVVLPRPVEGPPMPAPFVAKTYEMVADAATDAVVSWAPGGAGNSFVVWDPQALAVGILPRFFKHANFASFIRQLNIYGFRKVNPDRWEFAHVSFLSGQKHLLRNIKRRRAPKPQMEAQPANGASVCFGQPKDSSEVERLKRDRAALRAEVLMLKQQYISCKSQLVALEERILNNERNQKHAISFFAKVLSNPAFLRQVLLNYAVNKELCSTAKRQRLMENEEQHVGTPLENVKEAALASEANASAASSDGGAITKHESMPEWNHQEMDNIWDDVWDELDAIPGAEMDQEDKAAARFDVEEFTGRPCGWVDDCTYLVEQMQFVEH >PVH39164 pep chromosome:PHallii_v3.1:5:53353740:53359501:1 gene:PAHAL_5G445900 transcript:PVH39164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPPSASSSSAPAPRPPAASGSGGGAAASPESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQAASLHNDPAIIQQSHYSQPASTSSSLPSAGGTVVPDLSSQAAQYGLQRPSFQSNLPLYQPGNTPWGSSVAPQAGNASTLSVPSMYWQGYYGPSSGLPPHLQQPPLLQPTPGLSVPQNLQYPGLNPSLPSGLQKLSELQPSLMPPGTSQGPSSGILPATTAPASATLLAPESSKPLLPNMGSLFAPPVTSLGATFPFPSQPTSTAETSATVSQNLNSFGSSKAAALPGSTLAYQTVSQSISSTVAPSSSSQVEMPVPLLAPSGQLLQNTASMLSSSHSMQTPLQMGSKESKQVEPKAKVAEPLLPDPLLPDPPSRALPENKEPILPLPKQTPQKYNGSGSHNHHNFRGRGRGRGSAFSQSVTAFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGEMGGDVFDEDLEVEETENPELAVKPVYVKDDFFDSLSSGTFGRGGGPNGRGRFSERRRVDTETFGEFPRHWQPYRGGARGYRGGGRSRGGYYGGRGYGNMGQGGYGNMGTGGPGNSYPHRGGSYGRD >PAN31830 pep chromosome:PHallii_v3.1:5:53353740:53359501:1 gene:PAHAL_5G445900 transcript:PAN31830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPPSASSSSAPAPRPPAASGSGGGAAASPESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQAASLHNDPAIIQSHYSQPASTSSSLPSAGGTVVPDLSSQAAQYGLQRPSFQSNLPLYQPGNTPWGSSVAPQAGNASTLSVPSMYWQGYYGPSSGLPPHLQQPPLLQPTPGLSVPQNLQYPGLNPSLPSGLQKLSELQPSLMPPGTSQGPSSGILPATTAPASATLLAPESSKPLLPNMGSLFAPPVTSLGATFPFPSQPTSTAETSATVSQNLNSFGSSKAAALPGSTLAYQTVSQSISSTVAPSSSSQVEMPVPLLAPSGQLLQNTASMLSSSHSMQTPLQMGSKESKQVEPKAKVAEPLLPDPLLPDPPSRALPENKEPILPLPKQTPQKYNGSGSHNHHNFRGRGRGRGSAFSQSVTAFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGEMGGDVFDEDLEVEETENPELAVKPVYVKDDFFDSLSSGTFGRGGGPNGRGRFSERRRVDTETFGEFPRHWQPYRGGARGYRGGGRSRGGYYGGRGYGNMGQGGYGNMGTGGPGNSYPHRGGSYGRD >PAN31606 pep chromosome:PHallii_v3.1:5:52394069:52399523:-1 gene:PAHAL_5G430900 transcript:PAN31606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLLKKRREGLQAQVPVPASGVEKKLESLPAMVSGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLQSASDDVREQAVWALGNVAGDSPKCRDLVLANGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIIDHQALPCLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVINGGIIAPLLQLLQTAEFDIKKEAAWAISNATSGGSHEQIKYLVCEGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEHDKAAGATGDVNVFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKLLEAYWMEEEDDAMATAGEAAPAVFDFGQGGNPPAGGGLNFN >PAN28098 pep chromosome:PHallii_v3.1:5:7804229:7806015:-1 gene:PAHAL_5G130900 transcript:PAN28098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAASSARRLSSIFSSTTPRARPPKSAPAPAAAPAPKAAAGEAEAKPNKGRNLENSIGKIVRTVIQQRDPDKLVSQFVAASAASQRFRDKHRVYEVAVARLASFGRQDAVAAILDSQKPFLEASTEGFATRLVRLYGRASMPSHAAATFLDLPPKHKSVMTFNALLAAYVDAGDFDALATAFQQIPASYPTIVPNVPSYNILISALCQKPDLSAALDVIALMDKCGLTPDEISFNTLLNGFYNNGCCDDTEKVWEMMKERNVEPGTKSYNAKLRGLVSRGSIEDAIGLIERMQKDGPKPDTVSYNELIRGYCNEGRLDEAKKVYDDLVKNECAPNRGTFHTLVPRLLEAGELDSALRCCDEILSRKCKVQCSLLQGVVTALVAASRVEEAKRIVELGRKNFYPRKGLRMPPRTRENMGLKMPPTGEDIDAEAETDWEDSAPDEEGCEEKDVSKNA >PVH38778 pep chromosome:PHallii_v3.1:5:38817235:38818191:1 gene:PAHAL_5G350500 transcript:PVH38778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISSSQKNKNKAPKKFLQSTMQKREVWRVSSELAAPHSQCSHKAKFDLNLKAEKYMENREGKQRDQNFRRHGDCLSLWRFLTATSAAGNATSQRPCGWALGLSPHICLRQVRMRGGQLQPAPPASIQVTIQLITFFFYTNHSFAMYFIIFFQCTPSLYELLCIS >PAN30005 pep chromosome:PHallii_v3.1:5:17196997:17205901:-1 gene:PAHAL_5G266400 transcript:PAN30005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNSRLINFLRDKAQVEQLLRYVVEEVPEDSEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAQLMNYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADENIYSNYGDTMQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLATKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSSAENELQTTYGYLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNECDIVGKILVAERLSSLSTESNGPTVPSEEKAPPRIGNVGHMTRIANKLIQLGNSNSTIQAHLQENTEWVEWQTNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQEGSLFTNSNWFTFDGERGINDRLSGSVPSSSPNSEETSLKTEEADDGKVIGTEDEMETVCLGNGSTEEAKDVAECTEQPNCSTADEPLQNTEGIERHSDVLNGDTEVGTDEVASAVAESSATSIDIVAETTVDEPLVAERTVGEPAGSSDADNSVYETSPGVNGNEPADSEVSSEQVSHDTDVQQPVKEVAGEDVDETKTDAVKASD >PAN30008 pep chromosome:PHallii_v3.1:5:17197498:17205702:-1 gene:PAHAL_5G266400 transcript:PAN30008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNSRLINFLRDKAQVEQLLRYVVEEVPEDSEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAQLMNYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADENIYSNYGDTMQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLATKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSSAENELQTTYGYLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNECDIVGKILVAERLSSLSTESNGPTVPSEEKAPPRIGNVGHMTRIANKLIQLGNSNSTIQAHLQENTEWVEWQTNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQEGSSLFTNSNWFTFDGERGINDRLSGSVPSSSPNSEETSLKTEEADDGKVIGTEDEMETVCLGNGSTEEAKDVAECTEQPNCSTADEPLQNTEGIERHSDVLNGDTEVGTDEVASAVAESSATSIDIVAETTVDEPLVAERTVGEPAGSSDADNSVYETSPGVNGNEPADSEVSSEQVSHDTDVQQPVKEVAGEDVDETKTDAVKASD >PVH37582 pep chromosome:PHallii_v3.1:5:2252971:2253724:-1 gene:PAHAL_5G036700 transcript:PVH37582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHSPPSIPSHPSVDRDVARLRERERKKKEQSKARKYLALGASACLRPTATPEESPSPPVLAACRQGWQTAGTAAGTVPGGHGTLTADARSITLTLTSKLRPATSSAPTNHAHSSPAKNSKSAFSSGWAGLQGAGAGQGFTTAAAAAAVRGVGQADTPRAASQRWHENTQPLESRAVPRRSVRSRPDCPRPPATTQVKHAKIATPTSPQQLA >PAN31645 pep chromosome:PHallii_v3.1:5:52576443:52577831:1 gene:PAHAL_5G434200 transcript:PAN31645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin, Mediation of the cell extension and growth, Root system architectur [Source: Projected from Oryza sativa (Os01g0248900)] MAKMLALLMMTPCAFFFFFLGGPSVAAAQWTPAFATFYGGSDASGTMGGACGYGNLYNAGYGTRTTALSTALFNNGAMCGACFTIACDARRSRYCKPGASITVTATNLCPPNWALAGDRGGWCNPPRRHFDMSQPAWESIAVYRAGIVPVNYRRVPCRRAGGVRFTVGGRSYFELVTVANVGGSGVVAQAWIRGARTDWMAMTRNWGANWQSNAYLNGQSLSFRLRSDDGRIVTANNVAPPGWWFGGTYTSNAQFY >PVH39050 pep chromosome:PHallii_v3.1:5:51998403:51999762:-1 gene:PAHAL_5G424700 transcript:PVH39050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNDVDDGNSGYVTTACEIVDGNSSGGLRIKGVFPGGKFLPDYYDGENCYACAERIKHPPGAGYRAGHDVLGYGVGEEESLVE >PAN26844 pep chromosome:PHallii_v3.1:5:2703853:2706336:1 gene:PAHAL_5G042800 transcript:PAN26844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGEEDKKPAEGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGYMASA >PAN26664 pep chromosome:PHallii_v3.1:5:1890627:1892761:1 gene:PAHAL_5G029500 transcript:PAN26664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIECQVLVLRVSIHCEGCKKKVKKVLQNINGVYRCEIDARSNKVMVTASTKLDPYVLVAKLRKSGKQAGLWPEQPIQQQPPPAESQSQEPKSQADEQSKPNETAEKPGADNADAAGAEPSNPQPPPEPKQSTAGETPKQESKETSGANANASGEAAAAAEAPLPQPSEPKGKAKQQPPELEKQPVDARVTVEYDRGVGSSYGNHMPPPQHVVMSYNQARPSMSASYYAPAPAPAPAPMARPGPSQGYIDEQYTPSYYGRPSPYEPYYYNAPQPSPYRYQYQSAPSEDYYYSAPPQRSAFSPPRDGYGDMFNDENANSCSVM >PAN26989 pep chromosome:PHallii_v3.1:5:3296038:3301834:1 gene:PAHAL_5G052000 transcript:PAN26989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMEAAADAMLAAASRAFSSAFAIAIQIQGCAICLVLALGWAAASIVRKRAIVNMRRKQVDGNSFAFLCDDIDELEHSVQESLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDNLEAKVIVAGFSTTCSQKIHNQLVGVEKMHKNTKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFREDRYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFTSHCYLSWGFVWPYIMALVHVLTTLRAPYSKIVKEASDSSWGLYLVGLLFICTLIELVSMWNLTKVEIQLCNMLSPEGPKVSLDSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYYLRDGKISKIERENSSKYTDLGGKHLYGKRTYPPNKSLLGYLSRTLAQWHQPKKYDV >PAN28930 pep chromosome:PHallii_v3.1:5:11287649:11291415:-1 gene:PAHAL_5G187200 transcript:PAN28930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESVALTLAGTAGNNIGKVLQKKGTHILPPLSLKLKVVKAYAFNQLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKEVMNGLDWVAITLAGLGTIGVGVGGEEQKVDQIPLLNIPWLVLSVVILFVLLNTWLHMYKKQRREQELTGPEVIEEIIYGLESGILFGISSVISKMGFVMSEMGFPKLVVPAAISCSVCCSAIGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPKAPTARFFLLLGWFFIITGVILLVSSTRLIARLPRPVQKFLKSNMERTHSIRRPGSARGKDPIPTTTIHTSSLHLLTSPTKEKA >PAN29619 pep chromosome:PHallii_v3.1:5:14983224:14988278:-1 gene:PAHAL_5G238600 transcript:PAN29619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGSSSGSLFASAVGVGVGVGVGLGLVSAGLGPSAPGGGGASGGGATAAVVEAELRRLVVDGHEAGVTFADFPYYLSEETRLALTTAAFPYLSQTALPKHIQVLDDSSRTILLCGPSEICLLSLAKAVAHQFNARLLALDVFEFSRQIKHKYGAPSDAQVEVPKTSITNSTFGRVYGFVESLNIFRKKAEPTGSLDHETDILDVNTSIRYKITKPVGVYISLLPCAKNQDTDSDEDHEIVVPALSLDEKIIMQSLYKVIVSVSECSPVILYVKEVNDLLDSSPQAYSLFKKMLNKLTGRVLVIGSHFISADQDSSDVDEDVTELFPYILETKPPKEEAHLQTWQTQMENDIAKAANEAFVTHTEGVLSAYNLECGDLSSIPPDDYLAIGKYLKHIIAPAVSYHLMNNMDPEYKNGRLILSSTSLSYGLRIFQESNLGKGTVETKVDSKATKDNEYEKRIRESSVIPASETGVTFDDIGALADIKESIQELVMLPLQRPDLFNDGLLKPCRGILLFGPPGTGKTMLAKAVANEAGASFMNISMSTIVSKWYGEAEKSIRAVFSLAAKIAPAIIFVDEVDSMLATRERSNENEVSRRIKNEFMTHWDGLLSKPNERILVLAATNRPFDLDDAIIRRFEHRMMVGLPTLESRELIMKKLLSKEKVKDIDFKELAKMTEGYSGSDLKNLCVTAAYRPVRELLQKEKEMKKDKKAKEEKGKKVQAENPKNSGSGKEKPESSKANEATSGGKGAKVETKETAVLRPLTMEDLKQAKDEVSPSFSMEGAVMNEMRQWNELYGKGGSRKKQPLTYFL >PAN29036 pep chromosome:PHallii_v3.1:5:11864064:11867992:1 gene:PAHAL_5G195700 transcript:PAN29036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPQPAPPPLPPPAPPPLSARRLPLPPSHPPPTSLLLAPPSSPARAVALLFPDSSAHLFPSLPNPAPAASSSPAPTPVPSPLAAASCFALLLPSSHLVFLSAHPSPASPAVHLRAYSLATAPAFPRFAPAPLSFKRHASSSGLPLQGLPFGIGVRLAGGVNAVALLSLAAAQIWVLAPKLAADGRTVELHKCAAVELEPARPVYAMEVAMGRLMLGEAGGVRMFPLRGLMRGGMEKGGKKEGAGAAGRKRVQKKNGMMNGLIMPVRRGSHGGGGEGDDVSTRKLTTLRVTQSSGSYCPFFLTVQNDGHNSQGNRELLKSEKAVLIHPLSKNKFLVLDSNGVLHVFSLSTAEVGSGASGKHYSENIHTYRLDYPMKVQLSAVFPSSSIKTQIFWVSDGGHSVHVMSAFDFESTNGDDVEVIGEQELVTTKLSAIEAIFTSERVQDIVPISKDSVLILGQGNMFLYGTS >PVH37963 pep chromosome:PHallii_v3.1:5:8133454:8136897:-1 gene:PAHAL_5G136200 transcript:PVH37963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHRAGEQGAAGEVRRINVVYFLSRGGRTDHPHLFRVNHRNRAGVRLRDVKRWLSELRGKDMPDNYSWSYKRKYKAGYVWQDLKDHDLITPISDNEYVLKGCDVRGTPPPCAQAPGKTPSLVEKKQLEEEEETPCSQDRPVEVVLTPDSDESSPKTPPPADQDSPGGCESARRSAAPFKAEEPQGGVREQRQHQQQEVVIKIEVSRSQELREQKQQQQQQQEGAAAEKAVVRAAPREGQQPQGQGQGAGGDRSHALGYQQARRMRVARALHSMLTCGAADADDAALRPLARRSRRSAAEATGGGVDDWPPTPTCPGMDGCGLRVSRKARSRRGGKDKPGKRDAHKPATLPRCSQCGKEFKPQELHAHMQSCRGFKERMRSSASASARPSADGRRRSSTAGHHSAERPSAAFLLTEP >PVH38529 pep chromosome:PHallii_v3.1:5:19386172:19386474:-1 gene:PAHAL_5G279100 transcript:PVH38529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHAPPALARLPLPNLPRCRTPHAARQAPALCLAAARPAALVAPGARAPRAQASAVRGRSRAAARRQGGRQEQDCTPSRQAGCTAAGLCSVHARTRHAQ >PVH38775 pep chromosome:PHallii_v3.1:5:38782457:38783341:-1 gene:PAHAL_5G350000 transcript:PVH38775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYCWPPACALYATAVAETDRAKIKGGKERRSLQMAKETGGHAHARRRPTRCPLEMRLGIWNAADLICDSTEARYGSLVFPTRRSGLRRT >PVH38681 pep chromosome:PHallii_v3.1:5:32154784:32155110:1 gene:PAHAL_5G326000 transcript:PVH38681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISLLSSPPLPGVSIHRRAAPQASPPVPGRRRPSAAGPRPRPRPPRRRRGASLFPAAAAGAARPRPRPPDRRFQAPSPPVAGPAPSLPAQRRRSSRATGCAAAPLL >PAN26293 pep chromosome:PHallii_v3.1:5:78664:80112:1 gene:PAHAL_5G000700 transcript:PAN26293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGMEMETDPNTSSPSADDATATASGETKACADCHTTKTPLWRGGPEGPKSLCNACGIRYRKRRRQALGLDAAADTQQDQQQPKRKAADPPEDHQQHKAADPQEDQQQPKKKAAAASSSTTTTITTKKEKEREKDKDKKRKDRQVTVELRVVGFGKEVMLKQRRQMRRKKCMSEEERAAVLLMALSSGVIYAS >PVH38566 pep chromosome:PHallii_v3.1:5:21218994:21219671:-1 gene:PAHAL_5G290500 transcript:PVH38566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQYLHTNTASTARWQPMQCVAVSSSPSCSSFLYAISEGRNLSEIAAVFNGSASLLHPIKRASGVVDVLVGVPCTCEVINSTMSSLFHDTLYLVRPGDTPENVKSKIFSELAMNIGDGKVLISGDTITVHLPCGCSSGAAKGVLSYAVQEEDTLPKIASLFRTSSQDILNLNPSLVNPDFVQPGWILFVPMGVDGSSKESDSLVTN >PAN32868 pep chromosome:PHallii_v3.1:5:57923599:57924054:1 gene:PAHAL_5G517700 transcript:PAN32868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQPQESAAAAPKLCAAACCGFFGSPATHGMCSVCYKKHHGIVSGGSSAATAAVAAPATGICFSPAAGVAGAVLPPSSAAPEVQRPSRCAACCKKVGMTGFVCRCGKTFCGRHRYAEEHGCAFDFKGAGRDTIARANPLIKGEKLPGKI >PAN29205 pep chromosome:PHallii_v3.1:5:12760408:12762962:-1 gene:PAHAL_5G209100 transcript:PAN29205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGLGVRLLGAAAPAPPVPAPPGKAPLPLSSSSLRRRSSSWWFSNGNARERDSATASTSSRAARRKWWSDPELNQQEYGYSYQEEEEEEEDGFGDDDEAAFPGFGGGAELFDEPWFSKVFKTYGFLLPVMLVSMLVATGPKAFLMAMAIPLGQSAISFLLDAIWGRRRSNRDDRWRGPFQEVDDEEEEDYPEDATDFATGGRGNRYSRSSSYYEGRGKRRRQDNSQSWVSNDFADADSSTKGSSSEDGKGNKSSGNFGGWDELLNDDNFATQEKRRRSSFSDGNTDYIKRPRSAVTEDTDAAAASRGAGQGLGAPPARMRMRRQRGMPRTMGLGSTRYKQAPILMRLLVAVFPFLGSWFRLL >PAN26283 pep chromosome:PHallii_v3.1:5:16851:18938:-1 gene:PAHAL_5G000100 transcript:PAN26283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSGARRQPTFTKVDQLRPGTHGHNLIVKVVDSKMVVQRGREGGPQGRQMRIAECLVGDETGIIVFTARNDQVDVMKPGTTVELRNAKIDMFKGSMRLAVDKWGIVKAAESPAEFAVKEDNNLSLIEFELVTVVE >PVH38669 pep chromosome:PHallii_v3.1:5:30668167:30669250:-1 gene:PAHAL_5G321500 transcript:PVH38669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQQVFIYIAAAYTLLSMMAMIIQSRKRKRREPVEPITYAPIEERDRMRIEYLNNKIWKNDVTCVNMLRLNRASFFRFCKLLGTGAFCKTPYICVLSSRLQCF >PAN31090 pep chromosome:PHallii_v3.1:5:49297615:49301048:-1 gene:PAHAL_5G395900 transcript:PAN31090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHEEKRAAPQREEAPSRSKYGGISPKKPLISKDHERAYFDSADWVLGKQGASSSNGATVPAAEPLKPKLQRTAYHQLPPRRPACTSE >PVH38981 pep chromosome:PHallii_v3.1:5:50526310:50527503:-1 gene:PAHAL_5G407700 transcript:PVH38981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRNNLPPHSSQGTAKPPNYGSLDPSAMADPQFVAFLQATYAAQVAQAAQPAYFSAPAYIDLESSPASWPPRAASLATLHAQLRPPIGFPAMPPTPNFDNSPTQVKSRTSNFTIAEDKAICSAFINVSKDPIVGVNQSSEAYWDRVHKFLYSNTPVERQRPPQSIWKRWGTIQKDTARFVATKLNKTGKINPIEDAKKQYHALVGKPFAFMHCWESLRGQRKWLDLVGAKGKDADNNGEESAPDLVDLGFPEEDANDSRPIGRDSAKKRRSSELQSSSTASAYVEVLQKMTDHKGKQIVAEVEWATAFNDREDRKLTLEEKKREDGIMKMDLSALDPYQRRYFRREIKAILARTRADDDQQEMDDDFGA >PVH37997 pep chromosome:PHallii_v3.1:5:8821241:8822515:-1 gene:PAHAL_5G146800 transcript:PVH37997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHAAAARDVATFSLRVLRGIASGDGSSANLAVSPLSLHAALALLGAGARGATLDQIVAFLGPAGGPAHAALASHVALHMLAADSAGGGGGPAVRFANGVWVDAALRLKDAYARVAAEHYRAEARPAPFKSRPEDARLQINQWIESATAGRIKDLLPQDSIHGATPAVLANALYFKGGWESKFDASLTRDGAFYLPTGGHVSVPFMSSTGKQYIASRLGYKVLRLPYARGREHRAFSMYVYLPDAHDGLPTLLQKLSSDPAALLESSATLTAKVPVREFRVPRFTLSYKTEAAATLRDLGLTLPFDPVRADFGDMLEAAPEPLVVSEVYHECFVEVNEEGTEAAAATAAVMAFGCGRPSPPEDFVADHPFVFLIQEDLSGVVVFAGQVTNPSVSI >PAN27041 pep chromosome:PHallii_v3.1:5:3414839:3420212:-1 gene:PAHAL_5G054000 transcript:PAN27041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRRERKFIAVAGAAALVAVGLNIAFSAVAAHRRRKRQELPGFTAQLNLSAAEIKRLADRIIAKAKETYDSVAAVPLDKVSFANVVAPLAELDALQFPLVQACVLPRMVSTSEDVRKASAEAEKRLDSHFVLCRQREDVYRVIKAFAVKGERIGPEATRFLQCLVKEFERNGVKLSPSKRKEMEKLKCHIDELNLNYLQNLNDFTKFLLLNEDELAGMPFEFLKDLEKAEGKLKVPLTSYHVTPILEHCKVGSTRKQIAVAYGQKGGKDNLGILENLVQLRHKFARLLGYANYADFAIEPRMPRTSRKVLEFLEEISEQLSDVANRELSILKDLKMKEEGNAQVGMEDLLYYIKRAEEFKVDLDIGEIKQYFPVSLVISGMLKMFQDLFALRFDESKDAEVWHDTVRVFSVWDASSSDLLGYFFLDIFAREGKYAHTCVVTLQNGCLCSDGTRKVPAAVLLSQCPKEFDGNSALLRFPEVVRLFHEFSHVVHHISNRATFSRFSALRLEGDFAEIPSLLLENWCYESISLKMMSGFHQVVQLN >PAN27016 pep chromosome:PHallii_v3.1:5:3413254:3420212:-1 gene:PAHAL_5G054000 transcript:PAN27016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRRERKFIAVAGAAALVAVGLNIAFSAVAAHRRRKRQELPGFTAQLNLSAAEIKRLADRIIAKAKETYDSVAAVPLDKVSFANVVAPLAELDALQFPLVQACVLPRMVSTSEDVRKASAEAEKRLDSHFVLCRQREDVYRVIKAFAVKGERIGPEATRFLQCLVKEFERNGVKLSPSKRKEMEKLKCHIDELNLNYLQNLNDFTKFLLLNEDELAGMPFEFLKDLEKAEGKLKVPLTSYHVTPILEHCKVGSTRKQIAVAYGQKGGKDNLGILENLVQLRHKFARLLGYANYADFAIEPRMPRTSRKVLEFLEEISEQLSDVANRELSILKDLKMKEEGNAQVGMEDLLYYIKRAEEFKVDLDIGEIKQYFPVSLVISGMLKMFQDLFALRFDESKDAEVWHDTVRVFSVWDASSSDLLGYFFLDIFAREGKYAHTCVVTLQNGCLCSDGTRKVPAAVLLSQCPKEFDGNSALLRFPEVVRLFHEFSHVVHHISNRATFSRFSALRLEGDFAEIPSLLLENWCYESISLKMMSGFHQDITKSITSEACQSLKRRRDLFAGLKMKQEILLCLVDQIIHSSENVDIDDLIKELHPKVMLGIPLLEGTSPASCFPRIAIGYDAVCYSYIWSEVFAADLFVSKFKDDLLNQHAGLRFRNKVLAPGGSKDSLEIITDYLGREPSLQPFIRSRTRNSL >PAN32011 pep chromosome:PHallii_v3.1:5:54055137:54056652:1 gene:PAHAL_5G456800 transcript:PAN32011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVDGKDRRPVASRWDGPARRRGKAKRDRDVLRSPSYLALRNHGVVSDGGSDRRSRSPSPARRRARPSRYFDGNVDRRLSRSPSPRRRRARSNSYRSEEDGDESDRPSPSRRRRARSNSFRGGDDEPDRRSRSRTPIRRRRGRFNSDLDEYDEQADRRSRSRTPRRRPAPRSCRSRSRSRTRSQECEDRGCCRPRPADDDDYRPPDEDDFYVRIESPDHLFKCVRCRDLLSSPVYECAAGHVTCATCHDSANGGGGGDHKCSHCGSSDYTPSRAVADWLRSVRFSCPNYGHGCPSFLPRHEMEAAHEGTCRYAPIFCPDRSCYFDGCPPDELERHLTTRHAWNVVSFRYGQPFSVRVQPSRSLLRAEDGEIFHLRSELARGGTALSMIRIRPENAAAAEFTYELKTPAAAGLQHRMQMQSTVWATSLGHGAEDANPVSVTVPDDMFPLEGPEQDSVEVRVHKVAPAPAPARDN >PAN26691 pep chromosome:PHallii_v3.1:5:1990747:1993494:-1 gene:PAHAL_5G031800 transcript:PAN26691 gene_biotype:protein_coding transcript_biotype:protein_coding description:PnsB5 [Source:Projected from Arabidopsis thaliana (AT5G43750) UniProtKB/TrEMBL;Acc:A0A178UJI2] MSSSTVVSGVSTSPSAGAGARHRHGAAKQMRIKVAQPQQLLHGRRRQSSGRGSAVVARAGPGALSEIEPDLNEDPIDRWRTPGISPEDFEFGVYDGHHTYHEGQDKKGFWEDVSEWYQEAEPPQGFQALISWAFPPAIILGMTFNVPGEYLYIGAGLWIIVFCIIEMQKPDKPHNFEPEIYMMERSARDKLIADYNSMDIWDFNEKYGELWDFTVNTREDIVKSS >PAN30618 pep chromosome:PHallii_v3.1:5:25012397:25019153:-1 gene:PAHAL_5G306400 transcript:PAN30618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEFVDNVIAVTKESVKTFTYESLNNIARFINGISALLLTLLPGKGNILEGISGWELKPALRGPRLPRWMESGVSSFNEFIHELSVDSDTESVDDSITGDDDNEEFVCPPSPLSQSSRLSHASSFSRRDRRLRRHIIYAVSWILWPLRFFISLLLILFNAIKFRIVRSSAKSAESPHLSRNSPAKRSFHIRDQFLQRTTDRRRGVFEDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWQKLFCWIHGSGRDNSPVVDVPTANVGSDNPVPTERKTVYRHSLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSRKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIDKSISSYKYWKYSVNEHGTKDMPAIIEEIHKIKTSELGTSRPLSGQETEDQNDKIKNLEVHASQEDSAEDQPYKLCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPLIPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKKFQMYDYGSPAANIEAYGTPEPLDLGTHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKSGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVTDPGKARIKQTSLRLRKPKKIQSEIEEDVECRAKEEADELSRRTA >PAN26609 pep chromosome:PHallii_v3.1:5:1621802:1624525:-1 gene:PAHAL_5G025400 transcript:PAN26609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g19220, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G19220) UniProtKB/Swiss-Prot;Acc:O49680] MRRQTPSLLAAKFLSISSVLRPPVVLAARASSQHAPAADAHRLLDETPGRRAGAIVRALTASSSTADANVIASLHCASVKSGAVLDPPVRTSLLTAYARARDAGAAQALFGEAVAPDVILWNAAINALTLSRRHDDAVALFRRMACELGEFDSTTVVVMLSGASRAGNLGHGMALHGMAAKKCLDAGHLSLWNALVDMYAKCGDFYSSEVGFQRMPCRDTTSWNSVISGSIFNGLAEVSAWYFKEMTRSIFQPNEVSLSSVLSACSRLDDLFSFGESVHSCAVKLGYEDTTSCSVANSLTTFYYEFRLPEAAVKVFASNLNKNLVSWNAMIKGLVENDRVSEALIALWEMRLENQPDVATLVTIISGCGDQGLVSEGKAVHGYIHRKGFLHEEPSIGNSLLDFYLKCNEPSTASLLFRTMPRRDLISWNTMISGYSRNDSLREEAQSMFKGLLSEGLHCSLTTMLAIIPSCSSAEELSFGKSLHSFSLKYGFASEVSAVNALIHMYINCGDPLAAFLLIERIIPVSDIVSWNTIIVGCTQNGLYKDALEAFQFMHSSLPINPDSITLVSILSACGNLNLQSLGKSIHCMILKQLLASNLRVKNALLAMYFRYGDTKSAELVFYSMGEKNLCSWNCMISGFVQNNNGWRALQFYRKMEDCVPSEISIVGIICACTQLGDYRQGKSIHGHVVRSGLQKNVFISASLVDMYCKCGRLDIAVRVFEASAEKSIAGWNSMISAFGFHGHGLRSIELFWKMIDSGTKATRSTFIALLSACSHSGLIDEGWKYYHLMSEKFGIIPTPEHHVCIVDMLGRAGRLQEAHRFVESLSSQQAHGVWGALLNACSSKSELKMGESIARHLLNLEPENSGYYVTISNLYAYRDMWSGAVQVRSILQDKGLMKPRGHSIVG >PVH38957 pep chromosome:PHallii_v3.1:5:49673083:49673391:1 gene:PAHAL_5G399400 transcript:PVH38957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLFPLHGSHMPMPYGPYCNMLYSCPLWYYNSCIPPLPRYLCSDYIIYTELAISKPSPINDDRFDRRIRSAQKRKHKVIKQVYHVQNDGRLNENSDLTLDI >PAN33052 pep chromosome:PHallii_v3.1:5:59254872:59259242:1 gene:PAHAL_5G537100 transcript:PAN33052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGREPELFAELWRACAGPLVELPQTDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPNKILCKVVNVELKAETETDEMFAQITLQPDPDQVNLPTLPDPPETPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPLLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSLNKYLEASKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQGSEWKTLKVQWDEATNFNGPDRVSSWEIEPFDASAPTINIPVQPSTKNKRPREIAEGLDIQALEPTQEFWLSGIPEQHEKAGIGSSEPNCISGHQVVWTSERAGYSAMSSSVCQSSVVLGNWFKDYNSSSKGGSPSLSEISQKLFQVTSNDARVPPWPGLSAYQAEEPSSKLSCNTTLCSYQTEEVARNLSNAIEEKKEPCMFRLFGVNLINHTKSKSSATTDKMTMGVGEISTRAAGSFEDSGQLSALSKVTKDHTQLLNESPREIQSHQSCTGRTRIKVQMHGNAVGRAVDLANLDGYPQLTGELEEMFEIKDLSSKEKWKVVFTNDDGDTMEVGDVPWLEFCLKVRKIVIHSIEDEGDMDPCPEQDVKTSF >PAN27301 pep chromosome:PHallii_v3.1:5:4480541:4484803:-1 gene:PAHAL_5G072700 transcript:PAN27301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRPASSDLSLGLHSHGPSHAHARVHAVPAPLRLFGDSEDTKPERLGGGEAGGGMEDEEDGGDQHFSLLGHSLCVKRPRRAVNGGGGGGGETSSCSSSSAALRPAKRQATGEGSGPDLETRRAAVRAWGNQSLAEADPDVQALMERELDRQVRGIELIASENFVCRAVLDALGSHLTNKYSEGLPGARYYGGNQHIDAIERLCHERALTAFGLDPACWGVNVQPYSCTSANLGVYTGLLQPKDRIMGLEPPSGGHVSHGYYTPGGKKVSGASIFFESLSYKVNPQTGYIDYDKVEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRKGKKLRKRVGSFSQGDEGEYDFEDKINFGVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRTLGLTGKIFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFELIAEFLIRATQIASNVLKEHGKLQKEFLRGLQNNKDVVELRNQVEAFASQFAMPGFDV >PVH37551 pep chromosome:PHallii_v3.1:5:1820771:1822066:1 gene:PAHAL_5G028100 transcript:PVH37551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable esterase PIR7A [Source: Projected from Oryza sativa (Os01g0934900)] MESSSDKHFIVVHGLSHGAWCWYKVVARLRAAGHRATALDMAASGVHPARLDEVASFEEYSRPLLDAVAAAPDGERLVLVGHSLGGLNVALAMARFPSKVAAAVFLAASMPRVGSHMGISIEEFMETIEPGFFMDSETMLLNTEQGPRAALLLGPNLLASRLYDQSPAEDLELAKLLLRPGSQFMDDPVMKDETLLTDGNYGSVKRVFVIAKADSSMTEDMQRRMVDLSPGAEVEEIAGADHMAMISKPKELCDVLVKIANKYD >PAN27181 pep chromosome:PHallii_v3.1:5:4005646:4010333:-1 gene:PAHAL_5G064400 transcript:PAN27181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRTSDSLLPDAGPETSEGEGASRGSPAAGSARSEGNAAHHGPHRSEEHRQGKRKMEERGQVAPSPTEQEEAEAVQKNQPGKAFALVGEGSRASAAAPRLRYPPCPRTGKPKDMRKWHKECKRISEILEKDIDYDLPTMSKPKDPLTTKAVQSSGDKAVVLRTQHCHCVLHHGWEPQCSGIIIKQLSDGPGRHRAMVVTYCGVACMRGRKRDPLPKGGNGGMVIDHDGGVQRDGSTLSPDPAVISISIIETCIDMFMRFNSVARPILGIDIRTIALLDVQLLEDIYGFGIKGGFLVDQFCNPVAQELGTKRGNVIISINGQDAVRLPELEDYFLSLGLDYLMDKSTHMKEFKLTVFDLKNRVQRDVTVPVRYYDKPERDEDFEWMGSYDTS >PAN32609 pep chromosome:PHallii_v3.1:5:56675948:56677617:1 gene:PAHAL_5G499700 transcript:PAN32609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTNQAGAVGPEPFLKSAEAVPAIPVAGLTDDPKLWVCFYQGTWVLATVVPGIISIQRSFTPRRGDVVLASPPKSGTTWLKALAFATMARGAYPPADAGHPLLRLNPHQCVPYMERLFTAGEEGLMETLPSPRLMSTHMHHSILPTSITKNPDCKIIYICRDPKDMLVSFFHFVRKVNPNISFSDLFEAACNGTSVSGPIWDHVLGYWNASKASPETVLFLRYEDMLRDTRRLARFVGQPFSPAEEEAGVVEQIVRLCSIEKLKNLEVNNAGSYGAPFANDWYFRRGGTGDWANHMTPGMARRLDAIVEEKLSGSGLSFA >PAN29421 pep chromosome:PHallii_v3.1:5:13865245:13868595:-1 gene:PAHAL_5G225000 transcript:PAN29421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMSLIRGIGNISNRWRELHGMNYWKGLLDPLDLDLRRTIINYGELSQAAYTGLNREKRSRYAGSCLFNRRDFLSRVDVSNPDLYEITKFIYAMCTVSLPDGFMVKSLSRAAWSRQSNWMGFVAVATDEGKELLGRRDVVVAWRGTIRMVEWMDDLDISLVPASEIVLPGRATNPCVHGGWLSVYTSADPGSQYNQDSARYQVLNEVKRIQDLYKNEETSITITGHSLGAALATINAIDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSTTDLRLLRVKNFSDVVPKWPKLGYNDVGTELMVDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSSGGFKLLVDRDIALINKHEDALKNEYSIPSSWWVVQNKGMVKGKDGRWHLADHEDDD >PAN27189 pep chromosome:PHallii_v3.1:5:4037930:4041227:1 gene:PAHAL_5G065100 transcript:PAN27189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMWALNLKAGGPCLTPRPQPATSSPLAAATGEIGSLAVGLTRWRRQPARWPRLVVSASGRKSKSSREDGDESKEKASSSGKGDASTPSGDASNWTSQNQGEPKSNDMMFIPSNLSYWRDVRASFVIPKLEQTVDANSPPQTAKDGQVYHLPRKWAHSIPMPESGCVLVATEELDGNGTFERTVILLLSLGSRDAYDGPFGIILNRPLYTKMKHVNPSFGERATPFGDCSLLFGGPVDMSLFLMRTTEGKPIKGFEEVVPGVCFGFRTDLEKAGALMNNGAVKPEDLKFYVGYSAWDHDQLLSEIDAGYWVVTSCSSGLITDALTMDPSCLWTEILQLMGDQYSELSQKPKQDGA >PVH37773 pep chromosome:PHallii_v3.1:5:5364432:5364806:-1 gene:PAHAL_5G086700 transcript:PVH37773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVGKPISSADLPPLPVRLWRRRRRKPSRAPPADLPFPFPSCSVLSRPLLPARSLHYCQPLRHLGALEARQAIAIHGGRSLAAGMSLERIMFLNMQIMPAFVFCVWPAALCKLQSPECDRELQ >PAN28559 pep chromosome:PHallii_v3.1:5:9758707:9765715:-1 gene:PAHAL_5G162100 transcript:PAN28559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQSSTAASGGGGRLRNAFGGVLCAFTLLFIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGSGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTFVITVGLAVCFTVAAILIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIISCFLPLSDASSFLVLYLVTSVYFSGVMVRLMLVLAPAACILSGIALTEAFGVLTRSMKFQRPTSDDGSSTAQDGSSNTPANSEAVSKEKPSKKNRKKDKGSEGSSPVDPRTKEKLLVLPCGPSSVGIMLLIILSGFYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYGWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMASPEKAAWEILNSLDVKYVFVVFGGLIGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGNYRVDAHGTPTMLNSLMYKLCYYRFVETDGKGFDRVRRYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPEKNRVRGKLKLKSSSKTSSTRKTGGKKSPW >PVH38901 pep chromosome:PHallii_v3.1:5:48092328:48092901:-1 gene:PAHAL_5G385600 transcript:PVH38901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSDCCAAAAPLRLLRRPLGTPRPPPRCRSASRGPVRSAPPSWPPLSRRRASSAPPPSPRAAAPALGTPLPSTGSPSRPPKSDDLYAPPARSCSPSWRMSLLTRALEWGLRKTRRGEAGCQQPQRQPAVVLACLLQRQWRES >PAN27900 pep chromosome:PHallii_v3.1:5:6966394:6968928:-1 gene:PAHAL_5G115400 transcript:PAN27900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSWGRADTLRTRRGHGRVLHLTRAHEPNNPCPAPLPRPERSEPPTARMRLLKPQEAATLPREALEAHIVSLLRRCPGLLALRGAHAHLLRLRLPRLTAAFALSKLLASCAAARAAAVASSSYARNLFDQIPDPTAFCYNSLIRALPAAGPALAAYRRMLRAGSPRPNSFTLAFALKVCAAAPAPAEGRQLHAQAFRQGLEPGAYVQTGLLNLYAKCEEVVLARTVFDGMAGDRNLVAWSAMIGGYSRVGMVNEALGLFREMQAAGVEPDEVTMVSVISACAKAGALDLGRWVHAYIDRKGITVDLELSTALIDMYSKCGLIERARGVFDAMVERDTKAWSAMIVGLAIHGLVEDALRLFSRMLELKVQPNNVTFIGVLSTCAHSGLVDDGRRYWSTMQNLGIKPSMENYGCMVDLLCRSGLLDDAYSFVIGMPISPNSVIWRTLLVASKSSNRMDIVESASKRLLELEPLNPENYVLLSNLYASNSQWDRVSYMRKKMKDSNVTAVAGCSSIEINGYLHKFVVSDDSHPEINEIRLVLREIADRVRRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVTKIISKSYNREIIVRDRVRFHKFRGGSCSCKDFW >PAN29711 pep chromosome:PHallii_v3.1:5:15526254:15529394:-1 gene:PAHAL_5G245700 transcript:PAN29711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGSEQAPAPAEAEPLELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGALKVVSKGEECIIKLEDKNTGELYARAFLREGEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPEAYDFQAALHDHMKYLNKKKAAEEMVQHYEKQSSVDYSLKEGETLVLQLKNKETGTKTKSAFFEQDLNKLSVSEKTNSKEAPVSLKLPPPPPSPVSPTDSGVAASPFKAEFPPQEPAAEPASATSALSSKAELSPEQPAAAEKVEQETVDDDFGDFQAAG >PAN27652 pep chromosome:PHallii_v3.1:5:5878712:5884564:1 gene:PAHAL_5G095200 transcript:PAN27652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNHAYSRLGSSGGGAAVPSPPSSPWRALGRRASAKGGWSARAGAGAARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSMYRSPQLYARLRADMDADNATDALATVWRHAYKGGIWRPCISNNTNGLPESNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSEIYDEDHFIQRLKNDVRVVDKVPAFIMERFGHNLSNAFNFKIKAWSPIQFYEDAVLPKLIEERLIRISPFANRLSFDAPLAVQRLRCVANFEALKFSKPITAISNTLVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGDNEKKELDAAREKGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKAEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYSVCVHSEVFVTTQGGNFPHFLIGHRRYLYGGHAKTIKPDKRRLAILFDNPRIGWKSLKRQLFNMRAHSDAKGIEIKRANESVYTFPCPDCMCRSNKSEHPKSIQAR >PVH38530 pep chromosome:PHallii_v3.1:5:19383951:19386475:-1 gene:PAHAL_5G279000 transcript:PVH38530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPSAYYVHCFAHQLQLTLVAVAKENIDCQWFFGQLAYLLNVLDMSCKNIRMLRIAQAEYMIEALKLGEIETGQGLNQEMCLARPGDTRWGSYYKTVMHVMHLYPSIKKVLFRVGKEGKVSEALGAQTMLRVFNSFEFVFLLHLMNEIFGYTNDLCNALQKREQDIVNAMDLLEFTKVELDVLREMLNGKNFLRRSLLFARSIKLKLLT >PAN29177 pep chromosome:PHallii_v3.1:5:12574737:12579271:-1 gene:PAHAL_5G206500 transcript:PAN29177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRMIPLLVLAAAFLAAAAAGAGSNSKSSAVFELIHWERPTWTELARNDRERMAFISSRGRRRAAETGTARAKPSKAAPESEAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCLRPAAADEPAFEAGYGYGAPAPGVSSPLPAPSSSSPPPHGRVFRPDDSRTWAPIPCSSETCTASLPFSLAACPTPGSPCAYDYRYKDGSAARGTVGTDSATIALSGTGRGAAAAAASSRRQQRRAKLRGVVLGCTTSYNGDSFVASDGVLSLGYSNLSFASRAAGRFGGRFSYCLVDHLAPRNATSYLTFGPNPALAPAARTACAGTPAAVPGARQTPLLLDHRLRPFYAVTVNGISVDGELLKIPRLVWDIEKGGGTIVDSGTSLTVLASPAYRVVVAALSKKLAGLPRVTMDPFEYCYNWTSPSTGEDLAVPVPDLALHLAGSARLQPPAKSYVIDAAPGVKCIGVQEGEWPGVSVIGNILQQEHLWEFDIKNRRLRFMRSRCTQ >PAN29888 pep chromosome:PHallii_v3.1:5:16343392:16344870:-1 gene:PAHAL_5G256800 transcript:PAN29888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDSPLDEPLLAPGKDGDEDAASMEAQHLLRRDKGASFSRSCLNLSNVISGVGVLSVPYALAQGGWLSLALFALVGAVCYYTGELVARCMRAGGDAVRSYPDIGQLAFGRRGRKAIGAVMYAELYLVAISFLILEGDNLDKLLPGTSVGLPGGYLLRGRQLFTLVATVVILPTTWLRDLSVLAYVSAVGLVASAALTASLAWAGVAEHGFHARGGNVFSLAGLPTSLSLYFVCFSGHGVFPTVYTSMRNKKNFTKVLLASSLLCSLNYTLTAVLGYLIYGDDVKSLVTLNLPSGKVYTRVAILTTLITPLAKYALVIQPITTGVEEKLSLGSQGSLPRAAISTAVLVSTVVAACTMPFFGYLMSFIGSSLNVTVAVLFPCLSYLRIYVPRGGVRGAEVAAIVGILVVGVCVAVVGTYTSLHQIAGTF >PVH38822 pep chromosome:PHallii_v3.1:5:42890678:42891538:1 gene:PAHAL_5G363600 transcript:PVH38822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLIDTLEGLGVTERPRYYSREYEHHGTLHCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEDPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPVIGEDHVLLGTPIIGWGTLFRNTQAPPENPESSAVAAEEDAAAQPSTNGNPEDGEQGSLTLPAPEEGTPRE >PVH39111 pep chromosome:PHallii_v3.1:5:52714267:52714464:1 gene:PAHAL_5G436900 transcript:PVH39111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTRSSMQFRIQNSLLLLLSPIAIFCINHMRVAWHNTAPALGSYWSKVKKKILFTSRTSNSQMP >PAN28564 pep chromosome:PHallii_v3.1:5:9794162:9796327:1 gene:PAHAL_5G162400 transcript:PAN28564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAGAEYGYYGGGAGPRERKPAGCGDHFVVDDLLVLPYDDDEEGDGEAAAGDAEAPPCLQAVDAAGVKEEGGLGNFSADSSTVTALDSCSNSFSGLGDGDFPGEFCEPYDQLAELEWLSNYMGEGDDAFATEDLQKLKLISGGFTPAVNVPPAPVAPAAPASAASAAQPGMFLPEAPVPAKARSKRSRVAPGNWSSRLLVLPPPPASPTSPASMAISPAESGVSAQVFPAKKPSKPSKKKDAPPAPQAQPSSAPGAQPGGSAASAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMSKHSNSHRKVLELRRQKEVQHQPPHVIAGGGPGGMMHMQSPLLFDGPAGPPIVSGDDFLIHHHLGADYRQLI >PAN32536 pep chromosome:PHallii_v3.1:5:56303371:56304251:-1 gene:PAHAL_5G495100 transcript:PAN32536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDGREEGEAAAAVAMEVAPVTGRRGCIRSTQGPWTVRRRGRGGGLTTSLRHPTPRERENNRQRERRRRQVAARIYAGLRAHAGYTLPKHADQNDVLRALCAEAGYHVDDDGNVTRLQGVFDGAAGPSWSSDHHQKPSSRSGTTEAATLQRPEQRRQEEEEAKLSLELTLSFAYM >PVH38496 pep chromosome:PHallii_v3.1:5:17990431:17993455:-1 gene:PAHAL_5G272100 transcript:PVH38496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRPPQATRRHGSSPSQPPFATKSPTTTNSCSDSSASSQRNDGERSSQAHSAQTAVSSTRSCGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPIEKEQLFKALLEKLEYPANLEQTVRNKAIKAVMSEIATLQRRFKAHLRKTYVRQEESPFEKHGFLKPEDWERVIQEMKDKRALHNKPHKTGREGYHGKRKEWEEEDARLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTRVWENDILTAALENPEHRGWVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVISDALCPTQPTHIGSSEQEDASVGEEEDVRGSGEEHGPLNENEVNVQHSDSRSSMLDTIDKLTEATKNSLLDGTGHNLELVVATVYPYQETCHCVPVQEGYAVVQPTYVWGDEITTLGEALSTRIQWSKYRILIPPRMRQPNSVTASGSRGTTSDAGTAAQRPQESQQEPRRQEEPRQSPPKHQPQPEPLQQKEGERSQSPPEQQSPREEKQARKPLPKDLLVNSIWTTQNPKYKPGVPMLSEADLEAAGPNCARLHAYVMEHSKDKLGFLAKVAQAYFQGDGDLMLNIAFNDVYDLITLGALDVSFLRLWTL >PAN28381 pep chromosome:PHallii_v3.1:5:8962534:8965231:1 gene:PAHAL_5G149300 transcript:PAN28381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRQGPPKHQNRYAWKPNLGQKINETEPGGRFRPLSEITGVCQRCRDQIDWKRRYGKYKPIVEPAKCQKCGKRAVRQAYHNVCRDCSKDLGICAKCCTRVNELVGRDANEEDSERKALEEAIRGARERERRTLLRIMNKGKGGDSGSSVPKIADRSREGDIFPAASLDEYADQAREQDDSDEEAGDFVED >PVH39176 pep chromosome:PHallii_v3.1:5:53485685:53490101:1 gene:PAHAL_5G448600 transcript:PVH39176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPSSSWTLHLLPLFLLLPVGNAITFSISNRCSGTIWPAAVPGGGVKLNPGESWTLNVPAGTGPGRVWPRTGCSFDSTGKGSCQTGDCGGVLACTSSGQPPVTLAEFTIGGGTDFFDISLVDGFNVPMDFMPMPANGQGGQVCSRGPRCAANITSQCPEKLTVPGGCNSACRVFKQDKYCCTGNVSSTCEPTTYSVFFVRGCPDAYGYSRDDSSSTIFSCPSGTNYQPRSHSCPTQPEVQSPSRCVIQVQFVMLGYPMALTQPPSRHVIQVLPSI >PAN28850 pep chromosome:PHallii_v3.1:5:11008361:11009128:1 gene:PAHAL_5G182300 transcript:PAN28850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRNVRYTPLPVEGGEYNNLTKEDVDLRFAYTPKSYRRIPWKSIALALFLLLLGTSLLFLSYFIFTGHMEGDSSQAYGLLFLGFLAFLPGFYETRVAYYSWRGAPGYTFASIPDY >PAN27677 pep chromosome:PHallii_v3.1:5:6000500:6001785:1 gene:PAHAL_5G097600 transcript:PAN27677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRDRAAWEVEAGAADTARLLVLLAQAQQQRFLQHGHGHGAAPFPPGMVAAARGGHGRVFECKTCSRQFPTFQALGGHRASHKRPRLLHQPQQQQGSPADHAELCLGRRPLLPAPQPQPAKPRVHECPVCGLEFAIGQALGGHMRRHRAEAEADAEAHNKARPPAPEKACDVAGGICLDLNLTPSENCAKCRSVVVLGAAGQGAHKALAMLDCSL >PVH38896 pep chromosome:PHallii_v3.1:5:47795625:47795792:1 gene:PAHAL_5G384000 transcript:PVH38896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLRHANYFSCGLPSPPSVHQDEACLDCHTQRLGTNSAVRASAEFKLFFSFCYA >PAN33103 pep chromosome:PHallii_v3.1:5:59057115:59058635:-1 gene:PAHAL_5G534400 transcript:PAN33103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGRRKSFSFFEEDRKSSRPGAHTPVHQYYARAAGGGRSPAREAAEPARLSMSSVPGVEVPIVGGAAGGGCSPWVQSPLHGRLRFPPSPAAIYHCLAALHRLEGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHSRDHHVRVWTICAAAVCDHIRAKKAATLPAKGSLSLLSFGKKRPHQHRDTVSCLVLHAVAGLLYTGSHDQTVKAWKLSDGSCVDSFVAHDGPINAMVVNEADGCIFTGSADGTVKMWRRVYGGTAHALIIVLRSELSPVNALALCHAASGGTRRCFLYAGSSDGYVNVWEKEATVGRPAHAGYLKGHRLAVFCLASGCSGRVVVSGSEDATMRVWRREGKGGGAAHTCLAVIEGHRGPVRCLAVGGGEAGEVEGSMVVYSAGLDKSVKVWRIRVVGKEEEDDDEDEEGEEDAEAEIMAGGGKADVGDAIPVMRDEVEDNEEPEFVGATPVLSPVWVEKRRHTSRG >PVH39420 pep chromosome:PHallii_v3.1:5:56475603:56478735:1 gene:PAHAL_5G497200 transcript:PVH39420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGRRGGAADEVKLNTGNVFAALESLKKKKKGDKGKAAGSSSSRKKHGDGAAQQQEPPQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGTAGQGDEAGKDEEDVEAAVRAALQEDIESDDEDLDEVDDGAEDEPEHELEDAPAEPPMKTVAPPAPPKDTERQLSKKELKKKELAELDAVLAELGLSGNSSNAAQDDKNAEKKGANQTGDGEKKEDAPAPFESKTSKKKKKKDKSSKEAKETQEAADGSEETASAEPDEDTAAVDVKERLKKMASMKKKKSSKETDTAAKIAAAEAAARSARLAAAKKKEKSHYNQQPVR >PVH39319 pep chromosome:PHallii_v3.1:5:55402983:55404944:-1 gene:PAHAL_5G476900 transcript:PVH39319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLLEAGIGGPVVDFEGPGRGRRRRKQGRRWEGLGGAAREECTWPFVGMNFYDRKQGAPFLPQVMIVRVLAHFEKKGRTRVPCGFSCTPLAVALTAASPQPQRRRHARARTVRLFFDGPGRRVLFAEAGEGAAAFLSALLAEPVRAAVGELLQEDPASAAASCFGNLAAAEALLPRGPASTASSRAVPPASEGMGIMARRLFRCGHLGCRCSDVASREAGSARPCASPSCSRANGGGAPGRGGATRSSTSWRPASAAARTGPPRRPAAAPGGAGTPSTAAARATRGTAAATSSRAASALRTSAAGPPWRSRAPRPATARTHPSPLVRTRGLATRPRDLLPATPSWTTSS >PAN32365 pep chromosome:PHallii_v3.1:5:55608297:55609840:-1 gene:PAHAL_5G482000 transcript:PAN32365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASPSRHETSSDDSSRAGDGRAVAVAASSAASGTGSNSNQAQSKRAPAPHMFHEIVAQEKTATAAELEDQVHGAGIYLAGKTKKYWVHEKSRCNCFMLFPRGLFICWSEDPRFWSWHPLKETSDSEIEAVSLQNVCWLEIHGKLELSHLTPGVSYDVAFEVMLTEPAYGWTAPVNLRLKLPDGTVQQRKEKLQERPRNQWMELKAGELKAQPEQKGEVEISLFEYDGGEWKRGLLVKGIKVVPKE >PAN26432 pep chromosome:PHallii_v3.1:5:817243:824539:1 gene:PAHAL_5G012000 transcript:PAN26432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSPSRSNGAGNPLPSRFARFRRRLRLHRRRRSGDDSASARNIAADEFAGIARIRIVKADMQFKDKFFACLSLGERTYRTDKSDNTQRPVWDSEKKVIVETNGPHIARISVFETNRFSKNTLVGYCDVDLFEMLTKDLEEHSEDLPLLDPSSSKTTVGSITISCYIEDPVETEQSFARRVLAIVDYDENGTLSLSEFSDLMKAFGNKLAVAKIEELFRQADTNGDGIVDIDELAALLADQQEKEPLISNCPVCGEDLGKYDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSTYDVGLHSGSTASHILVFDRRTKRIVEEVIDGKIVLSMRALYQSKVGLTLINTGVKDLLKNLSEKQGKKMNSPESAKDIPKFLELFKDQINMDEVNGPIESFKTFNEFFIRGLKPGARPIAYGDQDGIATCAADSRLMAFCSVDESTRLWIKGRKFSIEGLLGTSAHSNALRNGSLVIFRLAPQDYHRFHVPVSGTVEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVISIISTSEFGKVAFVAIGATMVGSITFLKNEGDYVHKGDEFGYFSFGGSTVICVFEKDAIQFDADLVANSERSLETLVSVGMTLGVSTRNKGLQVPELQKCSLE >PAN28690 pep chromosome:PHallii_v3.1:5:10430731:10431768:1 gene:PAHAL_5G172500 transcript:PAN28690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARSAALLALLLLVSAGAFSAAAAAAGARKGGSGRGGLPPCRDLATRGECTARSGCRWCRSEALDDMCFGATEAWRLPRQVFSCDPPSGAANARK >PVH38397 pep chromosome:PHallii_v3.1:5:15925357:15925902:-1 gene:PAHAL_5G251000 transcript:PVH38397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSIGSRVCRGVRSCWMGRRSYYQRLPAASRRPAGRGVRLSRLAVLASTSARRFGRLRRAKTRWSSTLRLLHRIVDAYVGSLLSRPLRILRASVPPEAEEEAALAVPPSASPPAKRRRDASPAGSRHRGGAGGGRGVMLNICVAEALLLRRSTSEVRRSPLQRPREAGRCPGVRAQSLFQ >PAN32095 pep chromosome:PHallii_v3.1:5:54491217:54496950:1 gene:PAHAL_5G463100 transcript:PAN32095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MMRCALKGGGCITSWSGDRRSPAAVNPRGVRMPTGNGGCCSGLRSRAADLAGLEMATLRGSVGGLFRSSPRYGRLQATAAVDPEDVPLEKVQVKSSGHVLPYVGVACLGAILFGYHLGVVNGALEHLAKDLGIAENAVLQGWVVSTSLAGATVGSFTGGSLADKFGRTRTFILDAVPLALGAFLSATAQDIRAMIIGRLLAGIGIGISSALVPLYISEISPTEIRGTLGTVNQLFICIGILAALLAGLPLARNPAWWRTMFGVAVVPSILLAVGMAFSPESPRWLFQQGKVTQAESAVKRLYGKEKVTEVMYDLRASGQSSSEPEAGWFDLFSNRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMGASMLLLALSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNVVETKGRSLEEIERELSVVD >PAN29670 pep chromosome:PHallii_v3.1:5:15273703:15276366:-1 gene:PAHAL_5G243000 transcript:PAN29670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVLAQEDLNLVRFAVDSLNSNSRTRKLAAVQILHSLLLRQEASNTLHVSEITTSTKAVATLISMLSWTGPEDQDIRFFAAKITAELAAGDLLIVGIPGTIQMVSSLLDSDVKNDLVIKQGILAQKVDTDEQCTDNWQLSHCSASRVDIKGEEGGYQNQTSIQANSAHAAVNSSWSSKIYRFLQHIKDMLSVPKEGKEPWMDEDPFPVQGMIILKKLAHDLDNCAEISRAAGLIPKIVGFINYTTCTTNISEEQQNLIMTTALKLVARLVDVEGEIGIALRRKILEQPFLLCNLVHILEDSNGRPEQWGPAMVITAKLAVDEETRQKIGDFQVIIPKLMQTFLCRDGSSSTYCNHLVRTVAGEALSKLTKENTSYCSAILEEETRYDVTEHLKDMLQEEDEYAYVAASMLGNLCAHSRGTLFHLELSMCLSSTLQVELAKIMDTKGNKQLEALLVLASQLFNLNPELESHSNARRLVQKLVATLNSNQKSHPEYPRMRRAVVEVAISIMEPCPRYSSIFREEGMMEALSEVEKALLKVEKYKVFLGNVGEVVEGGLHLRDLIDKAKRLIGSTTQTLPTLKPGDHA >PAN28180 pep chromosome:PHallii_v3.1:5:8148960:8171472:1 gene:PAHAL_5G136600 transcript:PAN28180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRNDGGGGSGGKIKIGVCVMQKKVSCSPMEQILERLRAFGEFEIIIFGDKVILQDPIESWPLCDCLIAFYSSGYPLEKAEKYAALRRPFLVNELVPQYLLHDRSKVYQQLKSYGVPVPTYAVVRREYPNQELNYFVEDDDFIEIHGKRFCKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVVRNSDGKEVRYPVLLTPSEKQIARSVCQAFRQGVCGFDLLRCDLGEARSYVCDVNGWSFVKSSYKYYDDAACILRKMFLDEKAPHISSTIPASLPWKISEPAQQSEAVRFRERGTVGISRPSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNSGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDVEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVRIPKDNREGEEECPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTGDINEAKARLYDIITSSKVANCNEPVEFPWMVDGAGVPKNAAQLLTSLAELTKQITAQVKMLSDDEDEKAAIDSDSPNHPYDQAKALGKAEIDKDRISAGLPCGSESFLLMFARWKKLERDLYNERKKRFDTTQIPDIYDSCKYDLLHNSHLNLTGLNDLFKVSQLLADGVIPNEYGINPKQKLQIGSKIARRLLGKILIDLHNTRREVTAAAAESNTHNDTKAVSSTKRKERCYYEDVRNECFERSGSNKKSIDLDDSHKETKYCLDPKYANVVEPERRVRTRLYFTSESHIHSLMNVIRYCNLDESLNGQESLVCRSSLYQLFKTRELDYMSYIVLRMFENTEVPLEHPKRFRIEMTFSRGADISSLETGGKASLLPDDHTMPIMEPERLQEVGSYITLDKFDKMTRPFAMPAEDFPPAAPSQPLPVRFCKDIELQGGRSQHKSDILSGILKRKTKKLQE >PAN29042 pep chromosome:PHallii_v3.1:5:11894155:11896041:1 gene:PAHAL_5G196200 transcript:PAN29042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAAATSSLSLLFSHPHSRHSSVHRRPAPGGPHLRLPARASRARCASSDAPATATKHRRPAEENIREEAARLRGPAQGFSAWYEPFPPAPGGDPNERYSLDDVVYRSSSGGLLDVRHDMEALARYPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRLPRLVCAQAANANPLYRYYKSGWTEFQPQVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDATALADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDKKIQGMLCQYANPPINVKADFASVMDVLQKNLNGKI >PAN29045 pep chromosome:PHallii_v3.1:5:11923912:11927874:-1 gene:PAHAL_5G196400 transcript:PAN29045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAAPIRLGAPTPYITSHGSKVARLHMYDWIVLVLLAVLDGVLNIIEPFHRFVGSEMITDLRYPMKDNTVPFWAVPIIGIIGPMLIITAIYFKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGKPDYNNFTTGAICHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKVFDRRGHVAKLCVVLSPLLLAALVAVSRVDDYWHHWQDVCTGGLLGLTLASICYLQFFPLPSDENGLWPHAYFRHILEPEGENQVQPTSTSRRNSFQNGSFHGPDAVEMRSTSQALDSMEAGRRQQ >PAN29562 pep chromosome:PHallii_v3.1:5:14653354:14653668:1 gene:PAHAL_5G234500 transcript:PAN29562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPLSGLTKIAATLNRRVPSSRRRGVHLQPRQQSTPQPNRGLGGDNLMMVVLGGMDSVRLTTLDERVRPHSPARNAGPDHLRPNRGRRHRALASARPKDGGYR >PAN29581 pep chromosome:PHallii_v3.1:5:14764352:14767798:-1 gene:PAHAL_5G235700 transcript:PAN29581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPGVVGSGGGGDAQAPFPWTLVVLCAVAAWCAVRALEWAWWRPRRLARALRSQGLRGTAYRSLAGDAPLTEQLNREARSRPMPLGCHDVVPRAMPLFHQTMKEHGKTSITWFGPVPRVTITKPELVREVLSNKFGHFEKIKFGRLQRLLHNGLGRHEGEKWAKHRRIINPAFHVEKLKRMLPAFAACCTDLVKRWEGLAADGQPYEVDVWPEMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVKLVVQTMQKLHIPGYLYLPTRTNRRMKQIASEIEALLKGIIAKRENALRTGSATSDDLLGLLLESNMEHCRGDGNARAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLHVFGRRTPDYDGMNRLRIVTMVLYEVLRLYTPLTALQRQTYKPMELGGVRYPAGVMLMLPLLCVHHDKDVWGPDASEFRPQRFAEGISRASADAPAFFPFGWGPRTCIGQNFALLEAKMGLAMILQSFVFELSPAYTHAPFPHGLLEPEHGAQIMLRRLP >PAN28335 pep chromosome:PHallii_v3.1:5:8728953:8730224:1 gene:PAHAL_5G145800 transcript:PAN28335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSGGGGCLGAPMRALSRACDSACDLYVRGMSGCARRVPSGSSAGVVGRGFGGAGAASLRLRVSSSDGSDGNDLVRAAAAARRHQRRVAAEPAEEGAGSKKGGAAARQRRVAAEPAEEAIGYYGAAGKAPIIGAAAPAAWAPARKKGSAAAMGTIAEDEPCEFGADGACAVVPSLKPPRPAGFGAVKAGGDDVFAR >PAN28853 pep chromosome:PHallii_v3.1:5:11013443:11016701:1 gene:PAHAL_5G182600 transcript:PAN28853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGLYSDIGKKARDLLNKDFHTDQKFTLTTYTSSGAAITAASTKKNEAIFSEVQAKLKQKNVTVDVKATSDSLLLTTVTIEEFGVPGLKKIVTIPFPNQTAGKAEVQYLHDYAGINASFGLNSKPLVNFSGVLGNKDIAVGADVAYDTATGDFTKYNAGLSFTNADLIAAVTLNNKGDSLTASYYHLVNVEKNTAVGAEVTRSFSSKQNTVTFGTQHALDPSTTVKARYNSNGVASALIQHEWRPKSFFTLSTEVDTKAFEKSSKVGLSLVLKP >PVH39329 pep chromosome:PHallii_v3.1:5:55544445:55545484:-1 gene:PAHAL_5G480300 transcript:PVH39329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDWRDLAGTVPGTLMLVADGATGLLETVRTAHRKLSACARVLRILETGGAIDADDLQEAPSARASLDDARSGLVRLRELHGRASHALDLYDALLGLEDEPRWQRWERHSGETSRHACHALQSLRSATSHLLASRNALLVARSFPHLSAGWTAWVSAALNLLRLAMWASAMAMFATRQMLDAVKVELEDAWMVFYLLDRYPKARGRY >PAN32680 pep chromosome:PHallii_v3.1:5:56961726:56962850:-1 gene:PAHAL_5G504400 transcript:PAN32680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACKLKILVPWACRCFRLMEWDIRKCRSGDGLCALRAERNLTARLRSALLAAPQSDSSVHAAHATLLEQKLSRARRLDDTEGPPPSQLGYPAPDAPPPRQQHLRRSLEERLDEGD >PAN26710 pep chromosome:PHallii_v3.1:5:2043634:2048746:1 gene:PAHAL_5G032800 transcript:PAN26710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVRSAVAPRRLVPAIPPASAGHVRGQARFGIRTWPGGARGLSMVVAGSTRRRAREGGDGDGFLGAPVVGGSGAELGDQLSVVMKFGGTSVSSAARMEEVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIHVSEIEEWNTIKDLHIKTVDELGLQRSVIHDMLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRILAAYLNKIGVKARQYDAFDIGFITTDDFGNADVLEATYPAVAKRLHGDWIRDPAIPVVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNAKTVPYLTFEEATELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLARVFAIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQELDNVVEELEKIAIVHLLQHRAIISLIGNVRRSSLILEKAFHVLRKSGVNVQMISQGASKVNMSLIVHDSEAKACIKALHQAFFEDDDVLIQAEVENLLVS >PAN31088 pep chromosome:PHallii_v3.1:5:49244591:49248995:-1 gene:PAHAL_5G395500 transcript:PAN31088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTKEEDEILARYIKEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISEEEEEMIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRAADFRDGVVVNVDLSKLPGGGKRRGGRASRGVMAAAKVRKENKGKEKEKGKGKSKVAEAEQQEQLEEDDDMTVSTPSSHSQPSATAQSEEQAQASASGVTSDDGPEEDPLALSEEMMSALLGPESPKLEGGPDGSRMDSDSGPSVVVDSDSGPGGPTGDVAQELGDKAIMDWDLMGLDISTADDMWDQLVWDYADMDVVVPGGGHHQQEEVMSDLFFLDSM >PAN32617 pep chromosome:PHallii_v3.1:5:56696868:56698816:1 gene:PAHAL_5G500000 transcript:PAN32617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFWSFIPSYPEVFLAIICFFGLSIFRLIRQSQKSSLPLNWPVVRMLPFLVVNRHCIHDKVADLLREAGCTFMFFGPWLLDMNILITCDPATVNHCLNTHFEKYPKGREFAEMFDILGDGLLVADSDSWEYQRRVATTIFGARTFRSFAMSIIARKIGTVLLPYLDHMAKHSSDVELEGVFMRLSLDVSYSMVFAADLDCLSVSSPMPVFGRATKEAEEAMLFRHLVPSRLWKLLRWLNVGSEKKLANAKVVVNQFIYEEIAKRMAQESNGSQADILSMYMKVTLDASMSEQQKTQFLRDTAVGFILAGKDLIAVTLTWFFYMMCKHPNVEAKILEELKGLKSSTWPGDFSVFECDELRSAIYLQAALLETLRLFPATPFEEKEAHADDVLPNGTKVTKGTRVIFSLYAMGRIKGIWGKDCMEFRPERWISKSGRLRHEPSYKFLSFNSGPRSCIGKDLGLSNMKMTAASIIHNFKVELVDDHAVMPQSSVILHTQNGMMVRLKRRVAA >PVH38117 pep chromosome:PHallii_v3.1:5:11006058:11007548:1 gene:PAHAL_5G182200 transcript:PVH38117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYTSRISGPLFSTGRKFVSQMLTGNILILNVRGLISRARQLVVRELVAQERFTLVALQETKLDTCDDSLILEMLGVGFYFFLVPATHTCGGILLAWHRDFWSATNPIFHSSSLTAKLHCNNADEQWWFTCVYGPQGENDKETKSMSLQCSYTSWISCVEGTSARIPPGLAAAPSWSHLQILEFKKTCLTCDIT >PVH39084 pep chromosome:PHallii_v3.1:5:52285310:52291882:-1 gene:PAHAL_5G429600 transcript:PVH39084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAGQPKCLIAEVIAVGGEPFVAGFVRQFLDKVPDAVTLPNGSLSYLCNRPHYFPDEISVPQGLPAVSVFWGKDPVSAPQCNRFLQIRYSALARHVNRIRYLRDHRLRLEVDAQATVAGNDLTSKIQSCSTMLANVVQVIHKREQLYGSQIFRMPAALRDKILEDPSIVNQRQLPDIDCLLSLYPQGIPQNSPIHHGRAVFLLFESAMGYSLFWAYNTLSPADLLSFESFTSVVKLIVHYPFATQAIAIQQFQHINNDTCSAMLRLFLELHLPQLTEWGWNSYRLGVRNRRFGQSIETATGIPCRRKKHSVKELRAGIEQFLHLFLEIEQAQLTEAQQDLQSKLEDDNPQQEL >PAN30388 pep chromosome:PHallii_v3.1:5:18915254:18916734:1 gene:PAHAL_5G277100 transcript:PAN30388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSDACTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAAPADHLRPAVFRSLLYEACGRIVNPVYGSVGLLWSGQWQACQAAVEAVLKGGPVVQVDTASDAAAAPPLLGARAPGAAAYDIRHVAKDPDAAAAADLLRVARGGRSRFKRASSSTSSKPHHNKRASPSPPLRQQQEPEELEPEPMVVEHDEESAGSHDHHVQGSVDTDVEAGSHVSQTEAEAEPQSHAAPVSSQVLAEDQEEEDVGLELTLGLEPAAARQEARSARCDLSAASSLIGLRLELPA >PVH38866 pep chromosome:PHallii_v3.1:5:44939705:44939977:1 gene:PAHAL_5G372000 transcript:PVH38866 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 4L [Source:Projected from Arabidopsis thaliana (ATMG00650) UniProtKB/TrEMBL;Acc:G1C2W6] MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINCIQG >PAN28990 pep chromosome:PHallii_v3.1:5:11586793:11589239:-1 gene:PAHAL_5G191900 transcript:PAN28990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGAMEEWTFSFLAMAVGFVVVVYLYEPYWKVRHVPGPVPLPLVGHLHLLAKHGPGVFAALAKKHGPVFRFHVGRQPLIIVADAELCKEVGIKKFKSIPNRSLPSPIANSPIHLKGLFATRDSRWSAMRNIIVSIYQQSHLAGLIPAMESCIQRAATNLDDGEEVVFSDLAVSLATDVIGQAAFDADFGLSRKLAAPGDDTEGVDGGGAAAKASSEFIDMHIHSTTSLKMDLSGSLSTIVGTFVPFLQKPLRQALLRVPGSADREITRVNGELRRMMDGIVAARVAARERAPASQPHKDFLSVLLAAREGDASTRELLSPDYLSALTYEHLLAGSATTAFTLSSVVYLVAEHPEVEDKLVREIDAFGPRDRVPTAEDLQTKFPYLDQVVKESMRFFMVSPLVARETSERVEIGGYVLPKGTWVWMAPGVLAKDPINFPDPELFRPERFDPTGDEHKRRHPYAFIPFGIGPRVCIGQKFAIQEIKLAVIHLYQRYVFRHSPSMESPLEFQFGIVVNFKHGVKLQVIKRHKNY >PAN30366 pep chromosome:PHallii_v3.1:5:45101575:45102547:-1 gene:PAHAL_5G373400 transcript:PAN30366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCAVSQLRSSEPSCGGGSSPSPAAAAWAFVPQEATGDSECDDDLAAAATALDADDGDDAESCSGGEDYSVELDDRRLVSWECWIVESASVVVVGGEAACPAPTEDVAAATGDADSDRLFWEACIAHGY >PAN32705 pep chromosome:PHallii_v3.1:5:57032855:57036808:1 gene:PAHAL_5G505400 transcript:PAN32705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGVAPAPLAAPVKKKLLDLEKPFPAAAAANGAKASPAGKWAMKKKLVGGDAGYVLEDVPHLTDYLPELPTFPNPLQDNPAYSVVKQYFVNPDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVVRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTIPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIERRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKPNFPITLKYIDPTYMIRAVKSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDEHHLHNTQLLEGESSPMKNSAKCNGSAAGV >PVH38555 pep chromosome:PHallii_v3.1:5:20757997:20759388:-1 gene:PAHAL_5G287800 transcript:PVH38555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNALVNLQDPVLKATENPATPADTLVGLQDLQEPIVTLSIVGPFLQESIVTSSATSPPLEKVRLQEPIATSSAVTPPPERVRLQEPIVTSSAFTPSPEQGLNLSELLSFDPASVGSAILEVDEHQPDPTGAASQLLRVKGLLSAPIDALVRL >PAN31854 pep chromosome:PHallii_v3.1:5:53471352:53472118:1 gene:PAHAL_5G448100 transcript:PAN31854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAPSGSSSIACPAIFAALLIILSAAHVEPVEAGSRRVLSPPSPHPHSPIRPGKPPSAPPHLPGRSSIPPAAFNNLPLARREPVPPI >PAN26450 pep chromosome:PHallii_v3.1:5:858161:863497:-1 gene:PAHAL_5G012800 transcript:PAN26450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAMSGGTGGGAGQFGDTTFTKVFVGGLAWETHKEGMRAYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAALRACIDPYPVIDGRRANCNLAYLGVNKSKTAPVPPYLQPYAHVYGGGNMRAMKSIQTAGGASLMSFVPADHGIQQGIPTTYNLYAGYSPYFSDYGYPLSYYQAYGGLQGAQQQYAVFGSGATAAAAGLTMAAANSSGLYPYFQYGPASVAAAGYSMAQYPQLYQYAAAGVGATTAAATLTTVAGGLQQYAGAVAFTPNSIGQAGMTMSLTAPTLPAPTPQYQFSRLIPSHLAAAPDQKPSLA >PAN30592 pep chromosome:PHallii_v3.1:5:32414974:32416828:-1 gene:PAHAL_5G326600 transcript:PAN30592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSCAFHCSRVSIRCSASEGGGQHRSSSSNAVRVNGAAHRAPLQVGAALETSINRSLAELSAPVLTPPPPPLTGGGEDRVRRQNIPTEKQTVDPFRQALIVEGGVRYQQTLVVRSYEVGPDKTATMETVLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMHVQVDQYPIWGEVLDIDTWVGSSGKNGMRRDWLIRGRNSGDIFVRATSTWVMMNKVTRRLSKMPKEVRGEIAPWFIDRHAIEEEAAEKIIKLDSNAKYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDHFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDSVPPEEHVSMVTGPSLLPEIISGHHSLAGALQQWPTKYTHLLQLKAGDKHEEIVRGRTTWKKKSHKAP >PVH39109 pep chromosome:PHallii_v3.1:5:52685113:52685934:1 gene:PAHAL_5G436500 transcript:PVH39109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLGWRLAAQRPQQQQHIVTVASVQEYSTPNKKKEACMWR >PAN30503 pep chromosome:PHallii_v3.1:5:20682004:20688425:-1 gene:PAHAL_5G287600 transcript:PAN30503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMEAGLVRKYPSDAGGLRAARHGPHGHSASARTAHSMSASALRKKSDASLVRKVPFAPLRPVLANLQEVFLGTKLAVLFPAVPLAIAAQCANFGQVWVFALSLLGLIPLAERVSFLTEQIAIFTGPTVGGLLNATCGNATELIIALFALMQGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGIVNLGVDQPYDRSQADVSTGLLILGVLCQSLPLMLRYAVGAGEHSVAAATTGLELSRACSIVMLLAYVAYLFFQLKTHTQLFEPQEVDEDGEDQEEAVIGFASGLFWLAFKTILIAILSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVALGSATQISMFVVPLSVIVAWIAGIQMDLDFKLLETGTLFVSVIVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVTRQPASHANGNGAGLAVPTGTWNAQVA >PAN28347 pep chromosome:PHallii_v3.1:5:8829109:8836165:-1 gene:PAHAL_5G147000 transcript:PAN28347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAEPPPKKRRVVDAQDPSPASTSVPAAPPSPGPPPPPETLAAAAPSTSSPPSTETASLPLEEEKLQKRRNREELCKVMVHYRRIREYIGQRKDCGLTPELEQDYLHLISASRGCESVQCFLSLPIPRFASHCPTALEAATKVTINMYKCNMATVTSGKDSSTNAYKTVRACIVGLTDICSAASSEAPKSPVIKGICSAVYRTVLSFFISTFEGKDIHRMDSIKHLMLQDPMKLLETLKLELGNANQPTFDSLFELGAFCFLCIFLLFPENVLEACFTLLAAESDDVKGEGLYLLNQLTCHLNSNAANDALDDKIDGQSSGTEGNLSDTKKIVDSNPSSDDNVGLENSVAESNECYITMAISRHPSLRHWILSRYKKLCNSCKPAVVSEVSSCLKVLGSLSEPVEDKSDMGNESLVLEKLDKNIRENMQPDEVVSSSEQGAPLKTEKKDNYGDKSAQNKNTDMVHTDRQKSDRPADAKMDQCKGVNVVSDAVHQGTRPDSLTPKSMYASAGGSTSLTSPRQHFGKAKHIYSEPFDIYGAYVKRDVISVSKELWVGSLGNRAAEALVRSKFEEFGPLANFLFYPPKDFSLVEYRNIVHAVRAFGFMQGSSIWGGFLQIRYLDRLVGSKGFIRGIAIGESRHIYVAKIKNQKDKDEVFDELKAAGLKRPCGVTDLSGENALLLEFETAVDAATAKVFIRSQAPADVCSRDKNTSGHQLLVQNIDNSIPDMELINAFSRFGEVIRWQFNRSDGNCFVVYRSQDAAACAKSHLHGARFGLKSITVESRTSGAGSIHDKTMLPVAPLLGQSFPDNSIHQDIRTRVSGYHAGYAAPGDRPIYGPPPPNTNRASQGIIPCPPVSTHRGSVIPPPPIQTSFVRPVYHGPGSPWENTTPNPPPFSHVSPRMMPASNFRVNPAPLPFIPSSVTPIAQLPGGSAQHSEKMLPPPPLPNVAPPPFTPLDIPPPPPLPISQPPSVPPPPNSPPPAQPIADSSDLQKPSSHPRWQGFLAKSSLNYCRVYANRVELDACRYENAVSEPAEWPEKLDVTKRTDFQHVKTTFSNTPPSKREVCRLLPCSDGDQKGFQDFISYLINRECAGVIRIPAVNSMWTRLLFILPPTPDACGMLALPPHPSDCMIVVILPRETTVEAT >PAN28348 pep chromosome:PHallii_v3.1:5:8829250:8834194:-1 gene:PAHAL_5G147000 transcript:PAN28348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMMLWMIRLMGSLQGQKEICLTPKRLLIQILRPMIMLVWKILSRSQMSVTLQWFHLQAISRHPSLRHWILSRYKKLCNSCKPAVVSEVSSCLKVLGSLSEPVEDKSDMGNESLVLEKLDKNIRENMQPDEVVSSSEQGAPLKTEKKDNYGDKSAQNKNTDMVHTDRQKSDRPADAKMDQCKGVNVVSDAVHQGTRPDSLTPKSMYASAGGSTSLTSPRQHFGKAKHIYSEPFDIYGAYVKRDVISVSKELWVGSLGNRAAEALVRSKFEEFGPLANFLFYPPKDFSLVEYRNIVHAVRAFGFMQGSSIWGGFLQIRYLDRLVGSKGFIRGIAIGESRHIYVAKIKNQKDKDEVFDELKAAGLKRPCGVTDLSGENALLLEFETAVDAATAKVFIRSQAPADVCSRDKNTSGHQLLVQNIDNSIPDMELINAFSRFGEVIRWQFNRSDGNCFVVYRSQDAAACAKSHLHGARFGLKSITVESRTSGAGSIHDKTMLPVAPLLGQSFPDNSIHQDIRTRVSGYHAGYAAPGDRPIYGPPPPNTNRASQGIIPCPPVSTHRGSVIPPPPIQTSFVRPVYHGPGSPWENTTPNPPPFSHVSPRMMPASNFRVNPAPLPFIPSSVTPIAQLPGGSAQHSEKMLPPPPLPNVAPPPFTPLDIPPPPPLPISQPPSVPPPPNSPPPAQPIADSSDLQKPSSHPRWQGFLAKSSLNYCRVYANRVELDACRYENAVSEPAEWPEKLDVTKRTDFQHVKTTFSNTPPSKREVCRLLPCSDGDQKGFQDFISYLINRECAGVIRIPAVNSMWTRLLFILPPTPDACGMLALPPHPSDCMIVVILPRETTVEAT >PAN31372 pep chromosome:PHallii_v3.1:5:51251084:51254741:-1 gene:PAHAL_5G414400 transcript:PAN31372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGVAPAEVIVVGAGPSGLAVSACLSLRGVPSLVLERDDCVGSLWRKRAYDRLTLHLPKEASALPHAPHPAAAPAYLPRDDFARYLDGYAARFGVRARLCREVRAARYDAARRRWDVEAVDLGTAGGAAERYAARFLVVATGTYDEKFVPELPGLEGFPGKVMHASEYRSAVGMKGKSVLVVGCGNSGMEIALDLAEGGAITSIVVRSELHILTKRILNLGVTLGFYLPIWMIDKVVLLLCYLVFGDTSKHGLRRPAIGPFAWKQQTSTLPVIDVGTYKKIKSGEIQVVPAAMTSVHGNVVEFADGRRHPFDAIVFATGYRSGIKRWLQDGGELIGDDGILKQRSPKAENGLYYAGLSGRGIFGSGMDAEFIAGDISKQLQTVPGQAQGNPEH >PAN32506 pep chromosome:PHallii_v3.1:5:56180692:56185318:-1 gene:PAHAL_5G492800 transcript:PAN32506 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MAALLLRRLAGTHRGRVPLGAAVAACGGAALFYASSSPTVAHLEKGEEAGEKVALNPDKWLEFKLQEKAKVSHNSQLFRFSFDPNTKLGLDVASCLITRAPIGAEVEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDKTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSSDWRGGVGFVSKDMVLKGLPGPGEDSLILVCGPPGMMNHISGDKAKDRSQGEVSGLLKDLGYTAEMVYKF >PVH38011 pep chromosome:PHallii_v3.1:5:8985867:8986046:1 gene:PAHAL_5G149800 transcript:PVH38011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIPVDLGIQISYPSFPASHPKKKTTATANDLFSYALPCSTAQQSNFLGVESLQQGDEG >PAN28590 pep chromosome:PHallii_v3.1:5:9888041:9894375:1 gene:PAHAL_5G164000 transcript:PAN28590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGAVVATAVVVCAASAVAVGVAVVVSRRRRRRREAEDERKRKAAAVVEEVEQAFSTPTALLRGIADAMVEEMQRGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKHVVKQQYEEVSIPPHLMVGTSTELFDFIAAELEKFVGTEGEDFHLPDGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVAAAVILGTGTNAAYVEHASMIPKWNGRLPKSGNMVINMEWGNFRSDKLPRSEYDKALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQLFILRTPDMSAMHHDTSHDLKHLGAKLKEILGVPDTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGKDKLPNDFSQQRTVVAMDGGLYEHYKKFSSCLEATLTDLLGEEAASSVVVKLANDGSGIGAALLAASHSQYAA >PAN28447 pep chromosome:PHallii_v3.1:5:9214584:9216747:1 gene:PAHAL_5G153200 transcript:PAN28447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEPPQRASPRAPLHLKTTACSEANGAHHRPAVDRASPKVGDRHSPRSPLPEKKRAAGTRVAELEAKLGKVQDELKKLREQLASAEAAKKDAQVALEEAKKRVGTKGNSASAATSTLSAPSAGVETAKKTEELKAPPPAAEDEESSINSPATDVFEVVPAESGDKENQSAAAAADECEAVSCGEKAALAEKEEVVEEEETKKMIEEENKNAAAETDAAEKESPEVAELKAKLAEKDTEIAALTAENAELKKQAWEAAEAAQKAAEDAAAKAFQAEHDLKEGAAREARMCEQLRASEAAREALDGEMRRLRVQTEQWRKAAEAAAAVLGGDNHLTGLAGNGNGWGSPATMPDDGDDEGFGGKRKGAGIRMLGDLWKKKGSSK >PVH38648 pep chromosome:PHallii_v3.1:5:28550239:28551090:1 gene:PAHAL_5G315400 transcript:PVH38648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPNIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAISSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPESSAAADEGDAAMQPPTDEDGEREPLTLSAPEEDTPRK >PAN31525 pep chromosome:PHallii_v3.1:5:52009054:52012769:-1 gene:PAHAL_5G425100 transcript:PAN31525 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUR ALPHA-1 [Source:Projected from Arabidopsis thaliana (AT2G32080) UniProtKB/TrEMBL;Acc:A0A178VXA3] MDGGGGGGGAGGVGGGVMLGGGVGPGGGGGGGGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVDGVAWFLDLFDYYIRTDERDAFSKELRLQTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHMEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGNDRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMSSANVRTVEPSQR >PAN30429 pep chromosome:PHallii_v3.1:5:19724296:19727417:1 gene:PAHAL_5G281500 transcript:PAN30429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEQEQEMEVEALQAILMDDIKEIDPSESGIATTARCFQILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKPDDIASLKEKLDQEATENLGMAMVYTLVSSAKEWLSEKYGQNGGDEEPEETEEKEEEVIVPHGEAVTVESFLAWRERFEAELALQRAKLMPDSALTAPKEKKLTGRQYFESGRHAVKGASTVADEDEEEDEDIEFDDDFEDDEEDMLEHYLAEQSGKPAA >PAN29175 pep chromosome:PHallii_v3.1:5:12565255:12567307:1 gene:PAHAL_5G206300 transcript:PAN29175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >PAN27318 pep chromosome:PHallii_v3.1:5:4550022:4553576:-1 gene:PAHAL_5G073600 transcript:PAN27318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAPDAAGGDLFDANLKGSLLAIASSAFIGVSFIVKKKGLRRAGAAGARAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLHRVGVLGCGLCIVGSTMIILHAPQEMTPSSVEQIWHLATQPSFLCYAAIAVGVSLFLMLYCAPQCGQTNIIVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWVFAVVSATCIVIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQRASNIASEICGFLTVLAGTVVLHSTREPDQTASADLYAALPPKIYWHIQGNGDIGKQREDDSLTCEFITVVRQDYFV >PAN28625 pep chromosome:PHallii_v3.1:5:10124162:10124967:1 gene:PAHAL_5G166900 transcript:PAN28625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTIGCNYRLTGYLRWLLGARRACAALHGRHHSSSPRRPHACSNISVPFCCLGIAFKFVELEYVVKALLLGSSLFAFSSPSSF >PVH39227 pep chromosome:PHallii_v3.1:5:54276477:54278471:1 gene:PAHAL_5G459700 transcript:PVH39227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFEMSGDRTPLGDMTNIISGCQNSSNTRLQLIDHANERKRERERARYATMSDEKKMEINKKRHEAHGNAALNNENVDLDENNNWLHTNESYQPQLRNVDNENKPSNRYKRMTPTAKKARNEQQRLHNKTRGRKMSIVKLNLGNI >PAN26627 pep chromosome:PHallii_v3.1:5:1755731:1758074:1 gene:PAHAL_5G027100 transcript:PAN26627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTPVVAGIAAGAAALLLAAVLVAAWFVRRRLRARRDRSSDTGSSEAPPTLAEWGRFGRTSSAPEFHGARQFSLEEVAHATKNFAEANLVGAGSFGLVYKGLLLDGTVVAIKRRAGAPRQDFADEVRRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVSGHLYDTGKEPMTRLEFKQRLSIAIGAAKGLNHLHTLAPPLIHRDFKTSNVLVDENFIAKVSDAGIDRLLRGFEGAAPPNVSVYQDTELHSLAQLSESSDVYSFGVFLLELITGREAAGLIPPESKEPLAHWMEAHFSSNELIDPRLGGSFTSEGMTELVGLAFQCLSPSARRRPRMRLVAAELDRILEKEMTLTTVMGDGTAIVTLGSQLFTS >PVH38738 pep chromosome:PHallii_v3.1:5:35911769:35915957:-1 gene:PAHAL_5G338300 transcript:PVH38738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPRIRFCNRRARRRSGGRGRRWGPELRAAAAMGREDAEQWREDLEAARAAGRPGCAERAAREDDEVRHGRSFGRRQQWGARTRSSGTRTPRRQGQPGGQAARKGPRARTTTCAAAGALDGGSNGARMDIGAAEEKYRASMKKLLH >PVH39538 pep chromosome:PHallii_v3.1:5:58778477:58779685:1 gene:PAHAL_5G532000 transcript:PVH39538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSPSSTSSAPARWGIPATIHRVCAADADLPPGHADLSLALAAPPAISILTVPPRVSPDTVSSRNYPSVLAVDPSGLLLLHATQGERTGPILRRDRLSSNNFVCYRRHFVHAYFLCDARSANAYRLPDPDDNRILDAGNLGLLTRDGGRTYLLAELQPVRSWLRHRDPAVLFFRDTAVGQQSSGLPAPSGPTLGQSRRLLSRRAPVVVRRLVGAPHVPSLRGRAGVLEFVQLPELQGYGCFAVRNGAVRFVKIGVPNRPEVTVERVKKMVRRHGQGNDPWITMYTLCSDERSGSWSWRIEHEVSFKDIWCHQTYEASGLPKKCPKLACVDPFDPDNVYFFLQGFILSLDLSSWSLQKHARFNVKKPTRKHMSSRFVLACELPVAPS >PAN31540 pep chromosome:PHallii_v3.1:5:52078973:52087488:1 gene:PAHAL_5G426500 transcript:PAN31540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFHVYEAIGRGKHSTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVRALQFLHSQGIIYCDLKPSNILLDEFGCMKLCDFGLARRLKDIEKTNPGDVPQPMRGTPCYMAPELFREGGVHSYASDFWALGCVLYECYTGRPPFVGREFTQLVKSIISDPTPPLPDNPSRSLQNLIDCLLMKDPAERLQWSELCEHNFWRTSIPMIPLPPQPAFDNMIELSATPYLAERNGDKPSRQLTPPKHREYIGLKKKDENSTKGFTTPVKNVQSGKRNSAKPKADGFKGANILRMSRIAKLNLQRERDKENYRRPPTETSENETEVKIENNDMELDFGENPEGDVPDDTDGSDHPGSAAHEKPQVTDGNEENCMANQVDMLTDEGSVKPDIMMKTEQNSCSDNLDVVATPPSICMRKAQRAKVTPCSATGSEPTNIFEAFWHPTDLAVKPVMPSRKADKAVDTVPTLPFEALTACDYIKLPQEQLNAFNSQILQSLSGTFQVSEKQNTIRYLEMLSMNSDAANKITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTALDVELASSGILNALSDGLRDKHDKLRRFCMATLGELLFYISTKSDQDTKEINTQESPLKDNRPATSWQVPSAVIALVSSILRKGEDDLAQLYALRTIDNICSQGTEWTSRFASQDAIGHLCYIYKATGKQESTRLIAGSCLARLSRFSPSCIHLILEKISFKDIASTLIKGNPREQQISLNILNSALVNSHTVTNMNRYIHSLSDDKQLAPGLISLIEQGTDVLRGKALLFVALLCKNSQRWLPHFFCNAKLISAVDRLGKEKEGFIHKCTEAFVQLVASLVPAILDTVCSDIQQVMTGKRHGPALAGRAHPKSTIYLFPVILHLLGSASFRHRVVTSHVLLQLANLIKILEAPFQARDDFQMTLLRILEAATEEPSVVLNEHKIFISRIIPSLSILYKGNKDGDARFLCLKILSDVMIVIFSDSSLTADEQTISDLKSISLKHFLPLYPSFAEDEDPIPIYAQKLLVMLLEHDCVKVSDILHKATVSQCFEFLLGDLSNANVSNVKLCFALASAPEMDTHILSQLQVVRRIGNLLEFVAAKDMDDFLEPTLELCRAFIIRGIGSNRSTALSKNPALLVDNAFSMSIAVDQQTCVMDICDFGGNMGIFLELVGNSDPQISDLASDCVVLLLKAAPREATVGLLTSLPKLSTVMDLLKHDNCLRLTRLLYGLGFSCRQYLAQGMILSISMSALMRVEALVSVFRGSNDNFLADAASYLGAELQRLPRCG >PAN32629 pep chromosome:PHallii_v3.1:5:56730219:56734072:1 gene:PAHAL_5G501200 transcript:PAN32629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGARATPTRTPPRPSSPAPRTEAVVPPDWASSVTLVSSHPTPPVVVVCGPKNSGKSTFSRILLNALLPRHGKVAYLDTDVGQPEFALPGCISFHIVDEALEDLLNPTLREAERCCFFGDISSKRDPEAYLNCLFHLYDYFVGKYRCDENEMLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRITAQSKNLPDGMFWLEDEQTGPEMININAAFHDALNRSLLIQKDSCGMRERRLVEFLKQCFPSNISLSTNKELAYALASIPPYQVPFSDVTVVHLHCEVPAGEIWRSLNATIVGLAISNVSEASRSIPYCVGLGIVRGIDVQKGLLYVITPVPLERLQSVDLLQQGLIEIPTTLLQVRGCVSPYMSTNVLHKISERDLYAGDG >PVH38324 pep chromosome:PHallii_v3.1:5:14297894:14305183:-1 gene:PAHAL_5G229800 transcript:PVH38324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCAVNGSHNRVVRARGAFTSRPRAPAVYIGGRRRAPWSSHHRRSTMATAELSPRVFLPCFLLVMICLRPPLLRAARAPDTVSRDRPLSGGRRLVSRGGKFAVGFFQPDDTAPDRWYLAIWYNKISKHTPVWIANRARPISNPESSELTISNDGNMVLLDRLQPPIWSTNITSNISNSTVGVILDTGNFVLAPSSNTTNFLWQSFNEPTHVWLPGAKFGRNKVIGHNTRIISWQSSVDPSLGYYTLAIDPDGSNQFVHKWNNSEIYWATGKWTGSMFAGMPEMASYPKALVAYEFVDNDQESYFIYHINETMLTGMFLMEASGQVKGVAWLESVQDWVPFFAVPKAQCSVYFVCGSFAMCTENTFTFCSCLRGFNKQLEGNWLYGNPRGGCMRNARLQCGANSSKDAETDSFYTLAIANLPDKAWSVVAVSADGCKQACLDNCSCVAYFYDDNCSLWYGDLINLVSPDGSTGHIIHVKLAASEFFSASTKTGKALVVWALIGGAILIVIIVTVGVYLVPMKIYNKRNNIEGSLVVFSFRHLRTVTKNFSERLGKGSFGSVYKGILPDGTLVAVKKLDGLSQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERSMKMLVYEYMPNGSLERNIFASTPVELSWNVRFQIALGIAKGLSYLHEECRSCIIHCDIKPENVLLDASFMPKIADFGLAKLVGRDFSRVLTTMRGTVGYLAPEWISGTAITVKADVFSFGMMLFEIISGKRNSAWYEQGMETFFPVLVATRLHEGDSAALFDSELMQGANLQELERACKVACWCVQDDENTRPAMGEIVQILEGLLDINLPPIPCYLQVLAERSNASSLQSRHRIRINLG >PVH38323 pep chromosome:PHallii_v3.1:5:14298576:14305113:-1 gene:PAHAL_5G229800 transcript:PVH38323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCAVNGSHNRVVRARGAFTSRPRAPAVYIGGRRRAPWSSHHRRSTMATAELSPRVFLPCFLLVMICLRPPLLRAARAPDTVSRDRPLSGGRRLVSRGGKFAVGFFQPDDTAPDRWYLAIWYNKISKHTPVWIANRARPISNPESSELTISNDGNMVLLDRLQPPIWSTNITSNISNSTVGVILDTGNFVLAPSSNTTNFLWQSFNEPTHVWLPGAKFGRNKVIGHNTRIISWQSSVDPSLGYYTLAIDPDGSNQFVHKWNNSEIYWATGKWTGSMFAGMPEMASYPKALVAYEFVDNDQESYFIYHINETMLTGMFLMEASGQVKGVAWLESVQDWVPFFAVPKAQCSVYFVCGSFAMCTENTFTFCSCLRGFNKQLEGNWLYGNPRGGCMRNARLQCGANSSKDAETDSFYTLAIANLPDKAWSVVAVSADGCKQACLDNCSCVAYFYDDNCSLWYGDLINLVSPDGSTGHIIHVKLAASEFFSASTKTGKALVVWALIGGAILIVIIVTVGVYLVPMKIYNKRNNIEGSLVVFSFRHLRTVTKNFSERLGKGSFGSVYKGILPDGTLVAVKKLDGLSQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERSMKMLVYEYMPNGSLERNIFASTPVELSWNVRFQIALGIAKGLSYLHEECRSCIIHCDIKPENVLLDASFMPKIADFGLAKLVGRDFSRVLTTMRGTVGYLAPEWISGTAITVKADVFSFGMMLFEIISGKRNSAWYEQGMETFFPVLVATRLHEGDSAALFDSELMQGANLQELERACKVACWCVQDDENTRPAMGEIVQILEGLLDINLPPIPCYLQVLAERSNASSLQSRHRIRINLG >PAN26291 pep chromosome:PHallii_v3.1:5:73914:78663:1 gene:PAHAL_5G000600 transcript:PAN26291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLLVALLVFFFAAAAAQMPGFVSIDCGGSANYTDELGLLWTGDAGWFPFGETATIAVPSEKRTQYSTVRYFPTASSSPATASSTTKHCYTLPVRTRTRYLVRATFLYGNFDSSNVFPEFDLYLGASRWSTIVIYDDAKVVTREAVVLAAAPALSVCLSNATTGQPFISTLELRQLNGSLYYTDYEADAFLGLSARINFGAPTAEPIRYPDDPYDRIWESDMVRRANYLVDVAPGTVNVSTDRPVLAASSERPPQKVMQTAVVGSLGELTYRLNLNGFPGNGWAFSYLAEIEEFVVPETRKFKLFIPGLPDVSKPTVDIGENAPGKYRLYEPGFFNITLPFVLSFAFRKTNDSSKGPILNAFEIYKYIHIELGSPDAPVMAGLASRYPLAEWAMEGGDPCLPSPWSWVRCTSEAQPRVISINLSGKNLTGNVPPEVGALPCLAEIGLANNMLTGPIPDLSGSPNLSIIHLENNQLTGNVPSYFGSLPKLSELYLQNNKLSGSVPRALLSRSIFFNYSGNMHLGIGTQEKTKHVTIIVSALLGASLLLAAALCCYMLTRRTTTKKQHSEGADDGHDFTKKNKRNKLQNNNPISSQPREIATETAHPYGLSELEVATNNFASRIGSGGFGIVYYGKLSDGKEIAVKVPTNDSYQGKKQFRNEVSLLSRIHHRNLVAFLGYCHEDGRNILVYEFMHNGTLKEHLHGRDKHISWIKRLEIAEDAAKGIEYLHTGCTPSIIHRDIKTSNILLDKHMRAKVSDFGLSKFAAEESHASTNVRGTLGYLDPQYYTSQQLTEKSDMYSFGIILLELISGRPPISTISFGEHFRNIGPWAKFYYESGDIEAIIDPSVSVPGGYQDVQSIWKIAEAAVRCIDTEPRKRPCMPEVVKEIQDAMALETSSETMMRGGGGCPFSPAAASVRSGGTMRSHDMVMDNLLLMDDDDDSTSFSGSVSKLKYPELR >PVH39067 pep chromosome:PHallii_v3.1:5:52148100:52150532:1 gene:PAHAL_5G427300 transcript:PVH39067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSGLS >PAN28520 pep chromosome:PHallii_v3.1:5:9608781:9615198:1 gene:PAHAL_5G159300 transcript:PAN28520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLAEGNFAALGPAGGGGRRRQGSFGLKRMSRVMTVPGTLSELDGEDESEPAATSSVASDVPSSVAADRMIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVLFVGSLRADVPVAEQDDVSQALLDRFRCAPVFLPDHLNDRFYHGFCKRQLWPLFHYMLPFSSSASAATTSSSPGDGRFDRSAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSGLRLPDREWRLSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLETIQAEIEESCRRINGDFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGAPGSESISEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEGMNEAISMPEQEKQLRHEKHYRYVSSHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNIDSIVNAYEISESRAILLDYDGTLVPQTSINKPPSPEVVSIINTLCSDRRNIVFLVSGRDKDTLGEWFALCPKLGIAAEHGYFLRWSRDEEWQTCTQALDFGWMEMAKPVMNLYTEATDGSSIETKESALVWHHQDADPGFGSSQAKELLDHLESVLANEPVTVKSGQFIVEVKPQGVSKGVVAERILASMKEGGKQVDFVLCIGDDRSDEDMFENIADIMKRNMVAPRTPLFACTVGQKPSKAKFYLDDTFEVVTMLSALADATEPELETDSADELAASISSLDIGDEQSESTDRPIGGS >PAN28331 pep chromosome:PHallii_v3.1:5:8710725:8715294:1 gene:PAHAL_5G145500 transcript:PAN28331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSWGREARGGGGTSVVVKMESPDWAVPQSEGAAAGAAGGKAGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDADAGAAPPGESPALRTRFYGFLRAFLLLSMLLLAVDVAAHLQGWHLAVDVPDLLAVEGLFAAGYASWVRIRLEYLAPALQFLANACVVLFLIQSADRLILGLGCLWIKLRGIKPVPTAGGKGSDDVEAGAGEFPMVLVQIPMCNEKEVYQQSIGAVCSLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKQSKQQRVGSAPNLDFLTKESAHIKKDSTKKKHNRIYRKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQIE >PVH38713 pep chromosome:PHallii_v3.1:5:33816600:33817516:-1 gene:PAHAL_5G332700 transcript:PVH38713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIVSKQGRALAIRWLLEASQKCPDRNMAFKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR >PAN29945 pep chromosome:PHallii_v3.1:5:16836286:16836483:1 gene:PAHAL_5G261300 transcript:PAN29945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKAYILLFTAFFFSGLMQLSMAHDKPAPAAVAAARVIDAKAIDQAIAYLLMFAALFVTYFAS >PVH39233 pep chromosome:PHallii_v3.1:5:54381019:54383817:1 gene:PAHAL_5G461200 transcript:PVH39233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDGDASNPSSSRKGKRKAQERDLKSYFSPFVSSSINPSTHGSEVGNAIIEEEEVVETHLEDTNTIDQQPGSNENDQNDQGTITEFNPDYIISDPGLRIPIEQFSPNIRDEIRRAFMERGPTQPSSHVFPRGEDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDIPIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFGCLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN29524 pep chromosome:PHallii_v3.1:5:14469634:14471610:1 gene:PAHAL_5G232300 transcript:PAN29524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTENYDPYYPDQPVVDQYLPVWARQPAFGPKPAFIWAEDGDDPRGGGTPSYAALTYSELNAAAQRMALGLLETVRRGDTVLLLAAPGLRLVKLIFACQRAGLVAVPISPPDPSKLGTPTAHLHLLRAVAQTRPAAAVADAGYIGAVMESPAAALKRLRWVSVGHLESRCSGDAAAGGKTGRSRTGYGGCAPGETYLIQYTSGATGAPRPVVVTAGAAAHNVRAARKAYDLHPGSVVASWLPQYHDCGLMFLLLTVVAGATCVLASPAAFLRRPRLWLELVAEFRATCTPVPSFALPLVLRRGRPGHGTRPLDLGSLRNLILVNEPIYESSVDEFLEEFGRAGLDAASISPSYGLAENCTFVSTAWRGTEAKLWSGRRLRLPSYKKLLPSARLPPLASSREEPEIDIFIVDGQTGEPVEDGVEGEIWVSSPSNASGYLGLPSASREVFCARLPGRPGASFVRTGDCGVVRGTERYLYVLGRSADAIATGDGRRRVHAHYVETAAFGSSPGSLRGGCVAAFATPSPSSFVVVAELQKGRGNAHPSSICDGIRRAVWKQEGVKVGHVVLAESGALPKTTSGKLRRGSAREMLAGELIPKVFEAIYDEDDRGGAWARGGGNEMEVCGTSWVVGEAGSGEVTGMVVMASGSASHRLRLQSSL >PAN26547 pep chromosome:PHallii_v3.1:5:1368357:1372920:1 gene:PAHAL_5G020600 transcript:PAN26547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTLKSLSMDYLNLLINGQAFSDVTFNVEGRLVHAHRCILAARSLFFRKFFCGAAAADQAAAAGPGALLLDHLSPRSPSGASASSPRGAGASAAAAEAAAPGAVIPVNSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSSMSRRSPFLAHHHPHHPAGIEASSAGELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVEGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAHTAAAAVNAAPMYSEPSGGAGPVYNASGTNSSMVNLSLDNRMVYLNLGMDAQFGKMSDGDDGGSRGQGGPSSLFSPHGYH >PVH38653 pep chromosome:PHallii_v3.1:5:28985658:28986551:1 gene:PAHAL_5G317100 transcript:PVH38653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSNIRWDREGHAHTNALHWEGFPRLLWESLQIFGYDVPPLYDGYEFVEAGVPRCRVKMTIPQHPSRYLWQPVTVCTVGHRLVDTFESAALEAIHIFCDKHPEEVAAYPIGLFPATDPRDSEWTFRISYYSHLLGDLSHETLQALIRFMNVQHQYQLLQRRSMNQLSTLAQAHHGTITQQLDELNELHAVTDAQVDLLAQRDVIINNQENQIHERETVIAQHNTIMEFLQDQVQDLTIELDDAVNHINDLHEQPAPFEVSDESESEEEEEEEPEEIEGESGLDSEHGDPVPKSPI >PAN32587 pep chromosome:PHallii_v3.1:5:56573337:56575298:-1 gene:PAHAL_5G498400 transcript:PAN32587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIASSYLESYVKVHKISKTQFIMLPSDKCAAPIVSGVLLQISEFKFPAVTFSLDRWSDSNMSVLETLQFKIDVTLNWIPAHLCVPKNFGFPLRPYCSMEVYDGPQPILTTCEKFKCTAWTNDVKNLPKRIMTALLSKSPERIIHRQILEQHRYLSMLLFHIGMKLAIFQYSIIELGNVVLKIQGSFGYANYEQASRTVNGNLAPWLNIIWRSKCSEIHFDGMTGGLS >PAN29642 pep chromosome:PHallii_v3.1:5:15119893:15125309:1 gene:PAHAL_5G240200 transcript:PAN29642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAFDAEAGATNGHGASYGTKPAPAAGLGPGAEADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWGLGLTLLSVMAAVTFYEYSLMSRVLDHCEARGRRHIRFRELAADVLGSGWMFYFVVTVQTAINTGVSIGAILLAADCLEIMYTSLAPHGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFVSLLLSLGYTILVAAACIRAGLSKNVPPKDYSLSSSKSEKTFDAFLSVSILASVFGNGILPEIQATLAPPAAGKMMKALVQCYSVVVFTFFLSSITGYWAFGSRVQSNVLKSLMPDSGPALAPTWLLGVAVLFVLLQLLAIGLVYSQVAYEIMEKGSADASQDRFSRRNLVPRLLLRTLYLAFCAFVAAMLPFFGDIVGVVGAVGFVPLDFVLPVLMYNMALAPPRRSPVFLANTAVMVLFAGVGAIGAFASIRKLALDAGKFKLFSNNVVD >PVH38378 pep chromosome:PHallii_v3.1:5:15658185:15662358:1 gene:PAHAL_5G247500 transcript:PVH38378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSSSYYLHQSSSSAASPSPAAGAAASGPSGAAGAMDVGHLPTYDPMSDAAKKEALDASRANLAHTLVHLNPVVVLLCGRRLWSFSNAAVPEKGDGHTMVAHVTMMPQNSGAISSWEGSGKLTAALDSDPIDKAHGTKRRVLRSEM >PVH37751 pep chromosome:PHallii_v3.1:5:4779314:4782271:-1 gene:PAHAL_5G077100 transcript:PVH37751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKATVNQQIAGGTTVAPAAIAGGSPFEPCVWGDFFVTYNPPPLQACISEEWMRERADELKGKVRQMFGADRATSVVGMVNLVDELERLGVDNHFREEINAALSRIHSEELDAGMSTNLYAVALRFCLLRQHGFWVSTDVLDRFRDETGSFREDLKSDPRGLLSLYNAAHMSVPGEATLDNAIAFARRHLEAAIGKLGSPMARQYEQEEAHDATLLELARLDFNLLRALHLEELRTLSLWWMDLYSDVKLTYARDRIVESYFYSFGAFHEENSRIRIIVTKVFVLIGLMDDTYDVRATMEECQMLDEAIQRWNESAASFLPEYLRVLYIKTLSNFNEIEDTMEPCEKYRMAYIKKQFKSQSKYYLQETKWFNENHVPGFKEHVDVTLMSTVVPFLFFVALMAAGQVVSKEAFEWAFGVPDMVRASGEMGRFLNDIASYKRRKNMKDVASTVECYLKEHGATGEAAIGAMVEQAWRRINRAYMEMDRAVEPAARWLLDMTRMLEIYYLHGRDGLTYGRDIKNLVAFLFLEQVPL >PVH38170 pep chromosome:PHallii_v3.1:5:11689557:11692410:1 gene:PAHAL_5G193300 transcript:PVH38170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGAHGAPPRPRPWPPRDRGVDAEEETPKVLDDLLRGAW >PVH37829 pep chromosome:PHallii_v3.1:5:6087231:6087533:-1 gene:PAHAL_5G099900 transcript:PVH37829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPISALDSRVCCGEPTRAPVLDVGSLLGWSRARAGAVTTTPAVRFGTSVDWVPCGALMKTMAAHSARHGGDQETSWRRRQGRSVRRRRRGGTIGKGR >PAN31385 pep chromosome:PHallii_v3.1:5:51365418:51367018:1 gene:PAHAL_5G415900 transcript:PAN31385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGENQESQTGNVPAASAAASAAGPKPPAAGAGKGAEGQSVVRRLQSELMALMMGGDPGVSAFPEGDNIFNWVGTIAGSAGTAYEGTSYRLALAFTAEYPYKPPKVRFDTPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAATLWANQEEFRKMVEKLYKPAA >PAN32272 pep chromosome:PHallii_v3.1:5:55210686:55214985:1 gene:PAHAL_5G474700 transcript:PAN32272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALLSSPSSLLPALPRAGGGAAGPLPPLRLRPGRRRAAACAVRAGLHGLDSLGGPHLQAALERAEAALYTLADAAVVAADAAAGGGDAGQAATAVQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTILVKAATLPLTKKQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPIGWHDTICYLVLPVLLVASQYVSMEIMKPPQSDDPSQKNTLLVLKFLPFMIGWFSLSVPSGLSIYWFTNNVLSTAQQIWLRKMGGAKPVVSEGGSGIITAGRAKRSNAQPAGERFRQLKEQENRRKLNKTLASGDSKASAYDSEDEESDDETEEEGGPVEEASSTGSDKKLPSYSGKKGKRSKRKRMVQ >PAN29292 pep chromosome:PHallii_v3.1:5:13116117:13117867:1 gene:PAHAL_5G214700 transcript:PAN29292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEISERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRAPCIQIIKTATVHFKLCKRDNTKQFHNSKIKFPLVSRKIRPPTRKLKTTFKASRPNLFM >PVH37501 pep chromosome:PHallii_v3.1:5:1239434:1246129:-1 gene:PAHAL_5G018300 transcript:PVH37501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKVLDPAFQGVGQKVGTEIWRIEDFKPVALPKSDYGKFYCGDSYIVLQTSCAKGGVYLYDIHFWIGKDSSQDEAGTAAIKTVELDAVLGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICRGKRAIRVKEVPFARSSLNHDDVFVLDTENKIYQFNGANSNIQERAKALEVIQYLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKLVSDDDVVLETTAPKLYSINDGQLKLEEAALTKAMLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEEFLINQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNAAGSPGVEEGRGKVAALLKQKGVDVKGAAKNTAPVNEEVPPLLEGGGKLEVWCVDGNAKTALPKEDIGKFYSGDCYIILYAYNSGDKKEEYYLSYWIGKDSLADDQAMASQLANTMWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLVEEKGVTGETYSSEGIALFRVSGTSIHNNKILQVDAVATSLSSTECFVLQSGNAMFTWLGNSSTYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTNRNATQDIIAREPHLYAFSFKNGRLEVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYIEHANSIEDLSSYVPLYKVMEGNEPCFFKTYFSWDNTKSVVHGNSFQKKLTLLFGLRSEGAPRSSGNGGPTQRASALAALSSAFNPSSQQKLSNERPKSTGDGPTQRASALAALSNAFNPSSKPKTPTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTADKTDVDRVVITQSGASSPQSEAGESSAFHQEKDVVEGAPSEADGAEAEVPEEETTENVGEATFSYDRLISKSTDPVRGIDYKRREAYLSDSEFQTVFGMTKDAFYQQPNWKQELQKRKADLF >PAN26520 pep chromosome:PHallii_v3.1:5:1238862:1248975:-1 gene:PAHAL_5G018300 transcript:PAN26520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKVLDPAFQGVGQKVGTEIWRIEDFKPVALPKSDYGKFYCGDSYIVLQTSCAKGGVYLYDIHFWIGKDSSQDEAGTAAIKTVELDAVLGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICRGKRAIRVKEVPFARSSLNHDDVFVLDTENKIYQFNGANSNIQERAKALEVIQYLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKLVSDDDVVLETTAPKLYSINDGQLKLEEAALTKAMLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEEFLINQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNAAGSPGVEEGRGKVAALLKQKGVDVKGAAKNTAPVNEEVPPLLEGGGKLEVWCVDGNAKTALPKEDIGKFYSGDCYIILYAYNSGDKKEEYYLSYWIGKDSLADDQAMASQLANTMWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLVEEKGVTGETYSSEGIALFRVSGTSIHNNKILQVDAVATSLSSTECFVLQSGNAMFTWLGNSSTYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTNRNATQDIIAREPHLYAFSFKNGRLEVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYIEHANSIEDLSSYVPLYKVMEGNEPCFFKTYFSWDNTKSVVHGNSFQKKLTLLFGLRSEGAPRSSGNGGPTQRASALAALSSAFNPSSQQKLSNERPKSTGDGPTQRASALAALSNAFNPSSKPKTPTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTADKTDVDRVVITQSGASSPQSEAGESSAFHQEKDVVEGAPSEADGAEAEVPEEETTENVGEATFSYDRLISKSTDPVRGIDYKRREAYLSDSEFQTVFGMTKDAFYQQPNWKQELQKRKADLF >PAN32791 pep chromosome:PHallii_v3.1:5:57570529:57571639:-1 gene:PAHAL_5G513000 transcript:PAN32791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAATTARERPAPPSPVAALPMPMPMPQASADPSNPFPTTFVQADTTSFKQVVQILTGTPETAAAAAAGGAQASPPAPQKPAPAPTGPKKPAFKLYERRSSMKSLKMLCPLLPAAAAFAAGGSAGNGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSEEAAAAEDRAIAEKGFYLHPSPRGNAGPGGDLQAPPRLLPLFPVQSPTSRS >PVH37995 pep chromosome:PHallii_v3.1:5:8721254:8723205:1 gene:PAHAL_5G145600 transcript:PVH37995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSKTIAATNQAACTAQLKRGNANPAHLASSSGGLPAGQPPHDAPRRRLRAPPPQLRAPPPPRIEYSSRALRPGVRLACPRVDLSIPHSAARPPAAAMAAASVPPASSSGGWDFTCNFEVDYESEEHASIVYKTLAVDKELQPDKVKREMTLSGSKLAVHFAAVEARFLRASFSAFVDLMGLVTKLVEEYGAAKEGHS >PAN29892 pep chromosome:PHallii_v3.1:5:16388198:16390263:-1 gene:PAHAL_5G257100 transcript:PAN29892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSSGLRRFFSCFSARSSGVAGATASDSDGDGPHGGEDLISGLPDDVLSSIVSLLPIKEAARTAVLSSQWRRLWASSPLVLDDTDLLLNNPSHVGAVSATVSAAMRAHPGPFRSVSLTCYFSDAEKHVLRRWIRVLAAKGARELVLNNIPWAGLDLLPRALLECRSLQRLRISDWRFHDTSGAPVLPRGAAALPRLRELVLSRTIIQELDLDRVLASSPRLNTLVFVLSAGVPAQVRVSSRSLRCVVFWHSVAEELAVVAAPLLERIIIQTSSSSCGSRRDGSRMRVKIARASVLQALGFLNPNHHELQIGDTVIKDGKKVAPDAVVPSVKILALSVQFGVRSKARMVSCFLQCFPNIETLHVRSIPDRGATSKNGNSEFWKNEIDSVECVKGSINKVVIHGFQWENCEIEFLKSILEGGKVLQKIYILEDKSISVSGGGVNGTLSLLASLSNGIELMILAGQDYGIWTYEVASDLSRNDPFDCLN >PAN29502 pep chromosome:PHallii_v3.1:5:14350367:14353417:-1 gene:PAHAL_5G230500 transcript:PAN29502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSRMPSQPAARGLLRRTPPRILPVERAPRRLALAVRAVSGSPGPGGSPVPRRSPAPADAAAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQAALDEMCEVLGGGPIADEIKGLWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARRKEGCKKQK >PVH38570 pep chromosome:PHallii_v3.1:5:21446698:21448030:-1 gene:PAHAL_5G291200 transcript:PVH38570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILEILSSSGQTPTRSLISPRSYLQPSAPPGPSLPRGPPWRDWRRGLLLPPRPVAHPGAGQRSSRGGQGQSSPRLGADLGGGTAGREPRLPPCRLTEELRAVFMVGRLVLVHTVSVCSTCLTMFQKNVVSVSYACRKINLDVAMLHMLYKHVASV >PAN29414 pep chromosome:PHallii_v3.1:5:13830527:13836940:-1 gene:PAHAL_5G224400 transcript:PAN29414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGRVKAAMGFQRSPKSPAPAPEPASPSRTPGRAPPPGSASPCDPAPSKASALARSFGSYFPRSSAQVRPAAARAPPQVAELLRAIEQLQEREARLRVELLEHKILKETVAIVPFLEAELAAKRAELERCRETADRLEAENARLCAELDAAALEVTSRKQRIVEMEKEVAELRRQQEEAAAAAAADDCSSSASASNEHLETSSAAPRHASLAQPGAERPHIQPPTPAPPAPFKPKSYFSASSTPSPASSSPPSPSSSTSASPSSHSRSSSDAAAAPRPRVPELSKLPPIPPPPPPCPPPPPPLPRGTSNRSSPSAPPAAPPPPPPPPPARRPLGAAFLPAGAASPGQCVRRVPEVVEFYHSLMRRESKRDGAAACEGSNGGGAAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDDELSRLVDERAVLKHFEWPEHKADALREAAFGYCDLKKLEGEAVAFRDDARQPCAAALKKMQALFEKLEHGVYNLARVRDAATSRYARFQIPWEWMKQDAGIVSQIKLQSVKLAMKYLKRVSSELEAIEGGPEEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRMQRQNQNRHLRQHRLVART >PAN32850 pep chromosome:PHallii_v3.1:5:57791287:57795328:-1 gene:PAHAL_5G516300 transcript:PAN32850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRQGLHHGSGLQLLFSFLMLLQAQADRGAASINGEGLALLELKARVEADPHGAFQDWDPMDNSPCSWSGVRCLDGKVEILNLTGRELAGTLAPEIGSLRRLKSLLLPKNNFRGWIPREFVGLSALEVLDLSSNNLDGTIPEELRAMPLLKQLSLHDNQFQEGVSSFTIQEIVDDQSGCLSRKLGCWPLPYRSDFKDWISLSGVREKYYTNVPSFSEAHIMQNLQSFASAMRRRILSEADNLPALLGNDAKSSVPENTKEIQRPPDVLSLGSGSFPAFPKIYGEALTPLVPEAIDAAALQQLSTEVAKSTDVEMSDTKYSKWAYLITIPAAILLISMLVLILLVWRKRGRTPIAPWRTGLSGPIQKALVTGVSKLNRVELEAACEDFSNIINTYPSCTVFKGILSGGNEIGVVSTVISSSKDWSRSAETCFKKKIDTISRVNHKNFVNLLGYCLENEPFMRMMVFEFAPQGNLSQHLHLKEFEDLDWAARMRIIMGIAYCLEYMHHELNPPIAIHDVRSDSIFISDDFAAKLADVSMWSEFAAKAKAGKEDGSSRSEAPPDLPSNVYCFGALMIEVISGRVPESDDHKPMCSWASEYLKDKNYSKLVDESVKERKSNELEAVCEVIEECIDPDPTRRPTMRDVVDKLRTALGISPESAAPRLSPLWWAELELLSVKST >PAN27801 pep chromosome:PHallii_v3.1:5:6549883:6552555:-1 gene:PAHAL_5G108500 transcript:PAN27801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERERLDEIGKKIKREPDTAALALAAASASTAPADNRAPRRLGLGLGGALNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLDVAEGVRADAASSLVYEANLRLRDPVYGCMGAISVLQQQVNALEAELEAVRAEILKHRYRQAGAGAGLVDDARATASFAAPAPAPVHAGDVVSVVEAGQEVACGGAAGAPGMSASSAVYVAEAEQQPSSTTDHYSSLNPSEHAAYFG >PAN26875 pep chromosome:PHallii_v3.1:5:2821825:2825291:-1 gene:PAHAL_5G044300 transcript:PAN26875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVEVDVPAPAAANGGACCHAAKGPGYATPLEAMEKGPREKLIYVTCVYNGTGINKPDYLATVDLDPNSPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRAPSLHKVVQAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFNLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAIDVKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYVTDDGQEEQYNVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDFSKEPDGPALAHEMRYPGGDCTSDIWI >PVH38675 pep chromosome:PHallii_v3.1:5:31634444:31634878:-1 gene:PAHAL_5G323800 transcript:PVH38675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTRPDRRRRAGRGRDGGRAGPRRHERQAPTQEASGSGGRSTGMDEVVHAGAGGGGGHRGRAQAVVVRRRRGGRRGGRTRRWWLGSARRGKETSIDGGSGRPVAAEDKGGRWWRTSKDEERGGRHRRSGWAKKEGGVREWRKG >PAN31455 pep chromosome:PHallii_v3.1:5:51698686:51703884:1 gene:PAHAL_5G420900 transcript:PAN31455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKA >PVH38865 pep chromosome:PHallii_v3.1:5:44938474:44938755:1 gene:PAHAL_5G371900 transcript:PVH38865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSIWKGSFVDAFLFRIKKNRESLMSRKIWSRRSSISPEFVDCSVLIYNGKTPVRCKITEGKVGHKFGEFAFTRRRRPYRTNRGKGRKGQK >PAN32704 pep chromosome:PHallii_v3.1:5:57036812:57040541:-1 gene:PAHAL_5G505500 transcript:PAN32704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATETHGSEDKASAHANEEKRWVMSDFEVGKPLGRGKFGHVYLAREKRSNQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELTRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKVLEHPWIVQNADPSGVYRG >PAN31073 pep chromosome:PHallii_v3.1:5:49054735:49056213:1 gene:PAHAL_5G393400 transcript:PAN31073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFLKQAKQYVATRPSYPPELFDFIACKTPRRDLAWDVGTGNGQAAASLAKLYKAVVGTDTSAQQLAYAPPLPNVRYVHTPADLPLAGIHDAVAAPCSVDLITVAQAFHWLDLPNFYAQARSVLRPGRGVLAAWCYTEPRVGAAVDAVFWRLYHGSEPHWAPNRRMVDDEYRGADFPFDPVDGEAHTGPFEFSTERRMDLEDYLMYITSWSAYQTAKDRGVELLDEATVHELEAAWGGDAKEVKTVTYPIFLRIGKVRAQE >PAN26667 pep chromosome:PHallii_v3.1:5:1901101:1906661:-1 gene:PAHAL_5G029800 transcript:PAN26667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPQPPAPPAEEGAAPAAPLPAAPPGPRPYEVAVAAAELRPVDCNLAALCDHIQAEGFGSGAFSDVVVEAMGATYRLHRLILSRSAYFRNMLHGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRSACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALFTLLAKVTVCELQVSGNEANSPNADRSVRKGKSPMNEPGEEQLIESELQNVKLHDNLGTEIAHSIIAISDMNGEASKRMENDCSTGGPSGESTSYQFNESIWLSSEQTKNYFSRTSSNGVVPTEWGRPNAPLWGGRVVGRRQVRCIRGGSCLSTDEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCRNCCLTSNSCACKQAYVSSHTHYRQEHDRSSASGTVGNIYLADAQGDGNGVLGPVRINVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQFANDESEPRIDRNGDISADGLTALVNLSQENNAAHQQAESMFETGMQTRHRSVASVSTPGGSSVQMQESKEHELGSDWETAEDATISLDMKTPLSHFPPFRFGVEFEDVHRLADGQVKHSSEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEFLDPLRKAHMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV >PAN27585 pep chromosome:PHallii_v3.1:5:5531968:5532174:-1 gene:PAHAL_5G089900 transcript:PAN27585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDWAPSIIATALFALLCPGGVLQMPGRHRPVDLMNLKTSLPSMLVHAIIYFLLLMLFLVILEPHLYI >PAN31448 pep chromosome:PHallii_v3.1:5:51679003:51684827:1 gene:PAHAL_5G420600 transcript:PAN31448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKLETKSAAAAKSTPAAAARSATAMAAGLSEVTAPVVAPREEKLSEEAGVKEEVEEEVEVEEEVEVEEEEEEVEEGESDPASIQSLLDSFPKDQLVELLRDAAVAHSDVLSAVRRVADADPAQRKIFVHGLGWDATADTLTEAFGPYGEIEDLRVVTDRNTGKCKGYGFILFRHRSGARAALREPQKKIGNRNTACQLASVGPVPAGGAANNSAPAPAQLQLPPVSEYTQRKIFVSNVGADIDPQKLLQFFARYGEIEEGPLGLDKVTGKPKGFALFVYKTIESAKKALEEPHKHFEGVVLHCQKAIDGPKPNKLAGPGGFYGAGASSGSKGVPGYGSTSHTLPGSVGIGQPMSPLAPSLASLPGGIAAAPGVDPALGQALTALLATQGAGLNLNSILGVGANGSGVPPQGASGALSGSSLPGMQGGYMGGYGGSGGYGGAP >PAN27118 pep chromosome:PHallii_v3.1:5:3785456:3788754:-1 gene:PAHAL_5G060100 transcript:PAN27118 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MECLELDSSDFFVEGDAIDSPLEIHLESDSLAGTKAVPDHRRYDQLHCNNAPDPPPLPGTSYGARRSSTKAKACSRVPEEVLDSWDRLFLEGYQADLRVSTDDGTEILSHSCVLGVKSPVLRAMLEDAKLEHGFRCIRISGAPSEAVHVFVRFLYSSRFEQEQMKKHVLHLLVLSHVFSVSSMKTVCIDQLERNFLAPDNVVDILQLAGLCDAPRLSLVCTRMIIGDFKTVSLTDGWKVMRRVNPSLEQKLLESLVEADTKRQVRAKRMEEKKVYLQLYEAMEALIHICRDGCRTIGPRDQALKGSRAAVCKFPACKGIELLVRHFSTCSVRVPGGCANCKRMWQLLELHSRMCLTPDTCKVPLCRHFKEKMQHLGRKEETKWNLLVCKVLESRGTMGFISERRKFSP >PAN27991 pep chromosome:PHallii_v3.1:5:7415310:7418861:1 gene:PAHAL_5G123100 transcript:PAN27991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRPIYRETGKPRRPPRSHGGGNFSVPLWEKKFCTDACAIPWGKLCETKRLMSLYKNVVDWEDSAALEAFNDAKARFCAVYHGQHSDIPLPDPDMYIDTVNPDEHVDPELVADLEKSRRRVPRKDNSIPDVWDSFIFSDKPVPVTGWGDTETSNTAGQQHSVNWDSHLEQPEADCKQISGNWDCYVEQPAQTFLQQSTGNWDVYEALQDQTSSWREQTNPCIANWNMRDSSQDTWKHDYGWGSAATQTDPWDNHQDSHGVPDSHGMSYGHWTHWRRRNNESGRRSSKNRDRGGPISAKPMKSKYQADEHSGVNNSWRHCRVRNDMHYYSYEQAGYAKQSLAM >PAN29212 pep chromosome:PHallii_v3.1:5:12779888:12782742:-1 gene:PAHAL_5G209600 transcript:PAN29212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGFLRRGHSLDRLLSRRRRAVSPSPSFSSSPSPSSSQPSSPRGGSVRGGMADDDDDATTVPPLQKRVLSRSHGSRAISDRLNDLPPVPTRTVRDCGPPSDLDLMKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASIFGEQRRLEPMSADRRARWNKEIDWLLSVTDHIVEFAPSQQVSEDGTNMEVMGTQQRGDLLVNIPALRKLDAMLLEYLDSFHEPQEFWYVAKDADGGEDDDSCDKWWIPTVRVPPEGLSEASKKWLQHQKELVGQVLKAAMAINADVLTEMEIPEEYIEALPKNGRSILGDSMYKIITADIFDPSELLNSVDLSTEHKIVDLKDQIEASVVIWQRKICNKLSWGSGVSLEKREEFEERAQTVLLILEHKFPGVPQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLHADAVARDPKRTKSRRMTSLESPVLDAAAAEAEREPHQSTLHWQEQDLEDGERPPEAPDAAPSGPKLKKVHRISTRKFLHTQRLDSMTSGLKSFSHR >PAN28803 pep chromosome:PHallii_v3.1:5:10789535:10793149:-1 gene:PAHAL_5G179000 transcript:PAN28803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLGLDRVLDCFSLSLCANACACVHSVEEEEHEADERKALVSSQLEELVKLRDFVDGAAKTLAFHLEPKTVELKVSMHCYGCAKKVQKHISKMDGVTSFEVDLEKKKVVVIGDITPYEVLESISKVKFAELWVAPNPKQQAAERL >PAN26401 pep chromosome:PHallii_v3.1:5:658394:661781:1 gene:PAHAL_5G010100 transcript:PAN26401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENREDPPPAENASSSGGGPGKKGSPCEECGEQPWKYRCPGCARLTCSLPCVQVHKRRTACTGKRARTDPVPLGQFDDNQLISDYNFLEETKQARESAHRLIGGFGRNFGGQGGAQLPSWLFFLRKAAQRRGIRLYFLPRGMARREQNRSRHNHRKDCIYWTLEWKFNSADVVLTDHEIDEHTTLLSLLEKHLSPGPWKDQLTQYRNTELRDLKLFIQKSAKESESPFRLLNIEEPLRPQLRGVLVVEYPTIKVFLPSDSCDFEVEKVANKLVKDGKTTGSTTDDPPVEGNKFHEEEIEEGEFSPETEIIDLKDCGPSSASKLAAAEVTGESRRDINVDSSVLSYPSSQALHGQQKELNQYRKMPSNGSSGGTETKSLMEVCPLGMEKAREIELSSKGNIIDLKEHGTSYPGSLMEAEGATLSKIDIKTDTLVTSSINILAPDGAIGPQHEHSQQSRRTPSSTPEALKRKSCMKVYPLDFDDNIGGLFPEVPDLAFEQEMMDNYPELFGDMDVDDFLSCDFEMMTGDKSVEAMSGLLWDDLEEGEIPTM >PAN27743 pep chromosome:PHallii_v3.1:5:6292460:6295833:1 gene:PAHAL_5G103400 transcript:PAN27743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVQLVLMGLPILLFCSDVVTLFAPLPPAAPQPDHQSRPGPDAVQPGDPSAADASAQAEPQVDGPGSGTTVDLKFCASCSYRGTAMTMKRMLETSFPGIHVVLENYPPPFPKRALSKAVPLLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSELELQELIGSRIPDSQEPVGNKKPDSQDLVLDDGNDDDDDPAIL >PVH38507 pep chromosome:PHallii_v3.1:5:18207319:18207958:-1 gene:PAHAL_5G274100 transcript:PVH38507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFKRTTPTRTRKSHRRTNQRLRRQPLLRRRRRRELAMCAVVRITLLQSVRTAKATTPPTWLLASLEEHRDRRDFLLADGERITCACSWCWYGKSEVYFGEDRAAEERAACPHHQEEFSQRLSTV >PAN30556 pep chromosome:PHallii_v3.1:5:21788725:21791157:1 gene:PAHAL_5G293200 transcript:PAN30556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKDYDAAAALAVFHESRTGVRGLVESSATAVPPVFLTAATPSPQSPGTTAFAIPVVDLSLPRSDTAALVRAASRSCGFFHVINHGVPAGVVDSAVSAARAFHEQPRAARSSLYSLEPVGAVAYSTIPNAPEQGAPPLPWRDTLRVRFGPGEAPDLGCLPAACRDALKEYQRSLTEFGKEMAGLLSEALGVGTERLEQAMQVEGWLMVCHYYPPCPEPARVVGSLEHTDPSLFTVLAQDGVGGLQVRRDDGNGGGGEWVDVAPVTGALLVNIGDVLKVVSNDELKSVEHRVVIKSTQDARVSIALFFNPAKRDKSDLFGPLPELVTAEKPARYRSFTVQQFMSSRREHGHSRSSIEQFKVSSSQ >PAN26923 pep chromosome:PHallii_v3.1:5:2983444:2991290:-1 gene:PAHAL_5G047400 transcript:PAN26923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQAPSSSSAPSRKEHLEAGKKRLEQFRKKKAAKKATAVAASAELAKPPVTDVVENPPPIASTASSGDGLVSDIEPNQASTSSVPSALYESGPASSSRSAESQANGPVSVNASVGVSNVRPQQDAVSDGGRKFYGNLSFSDLVNGHHENWRSDAALKRDEHSPDKDVQSTSKLSAFGNTNSLSLPSSTNTLPSWGRNSLSGQVPDTEQSSSYSSSTFFGKSESAYTQDYSANNDIFGRLRATSKDSSQVEQSVYGSSWDYGSTFNSSRTADTVDHDTNIGARNAADSTPVNFEKQDPFLSSGYPTTYTRSRPSFLDSIGVQRTPPTTQASYGGPAKANQLSNQSSFLQQSNQQHTGSNVADISLTSGSQEYSHEKYSSSTPPDFLLSKEERSVQHGNQTSQNFTTHGKDDDFAALEQLIEDLTKEKFSLQRTLQKSQELAENLATDNSALTDKFNQQAHVISQLTSDMERLQEEIQAQLLALESVRTEYANAQLECSAADERAKVLAAEVILLEDKALKLRSSELKLEKEVEGLHTEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLYSKLRNIPVNEKATTAVEKPSADKIDASTMTEDLDTGEISSSETLTSTVDTSEDAGTAVLRSNNMSDFPSSEEVSSSIPDDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLETQTQRLELLTSQRMANENVLAKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >PVH39526 pep chromosome:PHallii_v3.1:5:58547864:58549573:-1 gene:PAHAL_5G528600 transcript:PVH39526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAYDYSSAVHVDSAGIDLILVGDSAAMVAHGHGNTLPISLDLMLEHCRAVVRGAPRPLIVGDLPFGSYESSAAQAVESAVRLVKEGGVDAVKLEGGAPSRVSAARAIVEAGIAVMGHVGLTPQAISVLGGFRAQGKTVDSALKVVEAALALQEAGCFAVVLECVPSPVAAAATQALQIPTIGIGAGSLCSGQVLVYHDLLGMFHSPDHSKVTPKFCKQFGNVGAVITKALTEYREEVEARSFPDAICTPYKMSCKDADDFASVLQKMGFDGSAAAAAAAADNAEKLVHRKPQEMSTNGVLTAGAAV >PVH38168 pep chromosome:PHallii_v3.1:5:11674859:11676961:-1 gene:PAHAL_5G193100 transcript:PVH38168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHWRRLHTLGRGASGAVVCLAADAASGELFAVKSAGAGAAAEALRRERGVLAGLRSPHVVRCAGGAEGADGSYQLFLEYAPGGSLADEAARNGGALGERAVRAYAADILRGLAYLHGRSVVHGDVKARNVVIGADGRARLADFGCARAPGAARRAIGGTPAFMAPEVARGEDQGPPADVWALGCTVVEMATGRAPWGDADDVLAALHRIGYTDAVPEVPLWLSAEAKDFLARCFARDAAGRPTAAQLLEHPFVAFAGAADKARWVSPKSTLDAAFWESESDDEADEMPEGAAERIKSLACPASGFPDWDCEEGWIDVLGEQQQQQQSEVQVARGAPSKVSGAAAVPAGGMAVGGGGLSDELEAEEDVPFGGDAPAAAASVGRQGKRCPGSDCHRHPRRPAAGLATHGRTAAPGREVTADAAPASRAPSRRTMRGGADPRRMRSKRGPSRDD >PAN28812 pep chromosome:PHallii_v3.1:5:10807009:10807903:-1 gene:PAHAL_5G179400 transcript:PAN28812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSAPLLRALLVATLVSFLLMQGVAGVFEACFCSCYNDCKRNNPHLPNAKERCHWWCFKDSRCSFSCKNRGHRGQSSSDDAVGVAIASGGGRLGGMVNGTGAGGAVAAGGGSSLGMVNGTGEGAAP >PVH38835 pep chromosome:PHallii_v3.1:5:43280548:43281963:1 gene:PAHAL_5G365700 transcript:PVH38835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPMVARLLALAQSSRWTPGSGPAPRTHATPRVAAVPPHAAPKRPRRATSRPTRTQPCWPRRWLLLRAARSSAWLARPCSIAAVPPAPIAPRAARLPLLRPRVPRAAPPLRASCPPCPACTPCSSCMLRRGEEGGAPSGGSEGRDLRWEGHRRVVAREETYAAAEDRGCGGGVRERDGEKGD >PAN28861 pep chromosome:PHallii_v3.1:5:11051805:11052308:-1 gene:PAHAL_5G183200 transcript:PAN28861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSPGKGDLKEPLNPPHHCTEANPAPTGASDGSSAWASGVALCFLAINCGVAIYHSRRDPSSVLFVVVSFVSLVLLFHLLRVFERLPPGSPKRLQVKAAVWALTTTLTVMFSKRVAALMPAPVAAVVWAMAAATILAGFCMFFVSRDDASAAAAEKPGGKLAEGP >PAN28709 pep chromosome:PHallii_v3.1:5:10499920:10505955:1 gene:PAHAL_5G173800 transcript:PAN28709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAHPRLGPLAAVRSSSDAPQCPALLRPALPFPAGRLRRLPELSPPATRHRRPVPPPTATVASGDAGGGSFLELTCPADFAAPGGRISVVGFGSLLSERSARSTFPDLEGFRVAALRGFRRVFAHAAPIFFERGIAVEATKEFSSLSVGPCEGELIVVIVFEIKEEVPAFIEREHEFKFLAVVPEGLHGVPFTNQAVVCARYSDEEYFKERCQVTETPEDVPVEPAEFVETEPGAECVVEQEENQGEPEVVFGHFYPAEPGAGEE >PAN29249 pep chromosome:PHallii_v3.1:5:12943522:12944800:1 gene:PAHAL_5G211900 transcript:PAN29249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDVVVEHGESSKAPLVAPVASGVGRAASVADVFLRFLAIVGTIASAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHIVRPRARYSRLVLVFFDAAMLTLLTAGASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVLLIMLIFLSAFALARCH >PAN28788 pep chromosome:PHallii_v3.1:5:10755571:10759322:-1 gene:PAHAL_5G178200 transcript:PAN28788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMSLSSPALAGKAAKIAPSSVFGEGRVTMRKTAAKAKPAAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEKFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVSNNAWAYATNFVPGN >PAN29165 pep chromosome:PHallii_v3.1:5:12518720:12525508:-1 gene:PAHAL_5G205700 transcript:PAN29165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLNRIDGPGEYAEFMHLKKKRFTDFALVRKEIADETDRATGRTKQISTVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVHDIENMVHSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQYPWIGVVNRSQQDINKNVDMIAARIREREYFASIPEYKQLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLITKATAELETELCRLGKPIAADAGGKLYTIMEICRMFDSIYKEHLDGMRSGGEKIYYVFDNQFPVALKRLQFEKHLAMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIKGPAEAAVDAVHAILKELVHRAIKETHELKQFPTLRVEVSSAAFKALDRMRDESKKNTLMLVDMECSYLTVDFFRKLPQDVEKGGSPTQSIFDRYNDSYLRRIGTNVQAYVNMVCSTLRNSIPKSIVYCQVRKAKRSLLDHFFTELGARETKQLSKLLDEDPDVMERRAKLAQRLELYRSAQAEIDAVAWAK >PAN29163 pep chromosome:PHallii_v3.1:5:12518512:12525722:-1 gene:PAHAL_5G205700 transcript:PAN29163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLNRIDGPGEYAEFMHLKKKRFTDFALVRKEIADETDRATGRTKQISTVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVHDIENMVHSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQYPWIGVVNRSQQDINKNVDMIAARIREREYFASIPEYKQLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLITKATAELETELCRLGKPIAADAGGKLYTIMEICRMFDSIYKEHLDGMRSGGEKIYYVFDNQFPVALKRLQFEKHLAMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIKGPAEAAVDAVHAILKELVHRAIKETHELKQFPTLRVELFNCRFL >PVH38238 pep chromosome:PHallii_v3.1:5:12518720:12524893:-1 gene:PAHAL_5G205700 transcript:PVH38238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKKKRFTDFALVRKEIADETDRATGRTKQISTVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVHDIENMVHSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQYPWIGVVNRSQQDINKNVDMIAARIREREYFASIPEYKQLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLITKATAELETELCRLGKPIAADAGGKLYTIMEICRMFDSIYKEHLDGMRSGGEKIYYVFDNQFPVALKRLQFEKHLAMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIKGPAEAAVDAVHAILKELVHRAIKETHELKQFPTLRVEVSSAAFKALDRMRDESKKNTLMLVDMECSYLTVDFFRKLPQDVEKGGSPTQSIFDRYNDSYLRRIGTNVQAYVNMVCSTLRNSIPKSIVYCQVRKAKRSLLDHFFTELGARETKQLSKLLDEDPDVMERRAKLAQRLELYRSAQAEIDAVAWAK >PAN29164 pep chromosome:PHallii_v3.1:5:12518512:12525722:-1 gene:PAHAL_5G205700 transcript:PAN29164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLNRIDGPGEYAEFMHLKKKRFTDFALVRKEIADETDRATGRTKQISTVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVHDIENMVHSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQYPWIGVVNRSQQDINKNVDMIAARIREREYFASIPEYKQLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLITKATAELETELCRLGKPIAADAGGKLYTIMEICRMFDSIYKEHLDGMRSGGEKIYYVFDNQFPVALKRLQFEKHLAMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIKGPAEAAVDAVHAILKELVHRAIKETHELKQFPTLRVEVSSAAFKALDRMRDESKKNTLMLVDMECSYLTVDFFRKLPQDVEKGGSPTQSIFDRYNDSYLRRIGVCQHGMLNLEELHPEIDRILPSPQGEALTTRSFLYGVGSKRDQAAV >PVH37964 pep chromosome:PHallii_v3.1:5:8152148:8152494:-1 gene:PAHAL_5G136700 transcript:PVH37964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPLYKTIKPSYKSLQTRVHQTFLAPSQDSNVFQDRWRWAVRGALKMARKGLNSLMILTAWSIWKLRNRCIFDGCQPRTQLVLQEVAEQATLWKMAAAEVLGELLQ >PVH38242 pep chromosome:PHallii_v3.1:5:12653054:12656239:-1 gene:PAHAL_5G207600 transcript:PVH38242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHSHLYRLLLLLRLLLLLPAATAASYSSLCHSNAAAKDVPAPDHSLGGTPPTLPWISTGHFSGGGDLHFAPGRSYARAFSFHPRDGSASTTADPAVTHLSATLTLDGARITRRHGDRPHSVSFDLDGYYYSTATAPAAELCMVGSGSYAREDGSGVVVLSDVVLRLTVPRPSNLSAPFVTGRLGGVDFNPIALVAYAEDDYAYGEAASCPPTPPPAGARRVLRAGFSCSLLRALLGRGSYRLEYRPPSGRAASGFPLPLRHESMYINQMRCAAADGAVRAYMVFYTNQSAAFPSNDTARWGRGRGFLVGDEALVADGFWDSSRSRLCLRACRVASSGQSGADLAVRECGIGASFWFPAVWSLCDRSVAAGMIWNASGNLDGDAAAVISVSRTGGYAYQVAGIKYNYTRVEEAKKHYDSMPALSKERKGRFPGNSSFRDFAFGFSLKKRGLTGYASPVTIGSALVEGDGLVADAAFAAEEVNKHRLLNVSYTLRYYAPAAYGKSSANSPQLRRISAEGVYDTRAGSLCMVACQAISVSSSESDCEMLVTVQFAPMDAVARERAVGTISSLRKPDDPLFFEALEVAGDGMYVERLAESVSRMDMESIMLVASMALSCVFTALQLRHVKKHPEVLAAMSVTALVVLALGYVIPLVLNLEDTYRDSRSHSRRQYFVKLTGGGLLELNALVLRASTVLALVLQLRLLQLALSSRRSADQAGIKREGSSPSSSSSDADAERSTLWVCLPLYALGAVVVWIVHTSDARPGPALVDDLAAYAGLVLDGFLLPQVVRNALSGSRVRALSPWFYAGSTAIRAAPHAYDAFRRRSYVPSLRPSFAYAGPRDDLFGVGWNVAVPCGAALLAALLFLQQRLGGAFLCGSKRRRSGEYEMVSTPSS >PVH37998 pep chromosome:PHallii_v3.1:5:8827731:8828426:-1 gene:PAHAL_5G146900 transcript:PVH37998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFYTIIFIVNQEARIEDGGTRRKGCAPTGHVVLPALARLPAPQRPERRRRRRDAHVQTLAAKPPPEPGARPPRRGQRHVLGHAAGLDAPRQGARRASFPSRAPRMMRSTIRSRSAASASSPTRTQPARAASSRSCTAPRPRCGTAPPSPPAMAAAGAGGTTRTTSAKLISVIASFQEKLYFIDSTREVCAIDLSPTRPTLQRFDVHGSAVRFPPG >PAN27864 pep chromosome:PHallii_v3.1:5:6858264:6860885:-1 gene:PAHAL_5G113900 transcript:PAN27864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWSAMTMRGASAAALLVLVALVAGARAEDPYHFFEWKVTYGTKNILGTPQKVILINGQFPGPTINCTSNNNILVNVFNMLDQPLLFHWHGIQQRKNSWQDGLPGTMCPIQPNTNWTYHWQPKDQIGSFYYFPSIGMQRAAGGYGLITVHSRDLIPVPFDPPADDFPVLVGDWYTKDHTVLAKNLDSGKGIGRPAGLIINGKNEKEASNPPMYNVEAGKTYRFRVCNVGIKAALNVRVQKHILKLVEMEGSHTVQNTYDSLDVHIGQCLSFLVTADQTPGDYLLVVSTRFIKEVSTITAVIRYKGSNTPPPPQIPESPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSTSHGKVDGKERYALNGVSHVDPDTPLKLAEYFNATNGVFQYNLIGDVPPAAGTPIKVAPNVVSAEFRTFIEVVFENPEKSIDTFHINGYAFFAAGMGPGTWSPQCRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNIRSNLWDRHYLGEQLYISVLSPARSLRDEYNMPETSLRCGKVVSLPMPPSYAPA >PAN32295 pep chromosome:PHallii_v3.1:5:55322307:55325774:1 gene:PAHAL_5G475800 transcript:PAN32295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRTMNLLPSLLSALVIAAASAAVINDDEAALLAFRAGLSDHSSSTLASWNGSTGVCAWEGVACNGRRSPRVVALSLPFNGIAGTISPAIGNLTYLQTLNLSSNRFSGEITPSFGRLIRLQTLDVGNNSLSGMLPANLSYCTSLTTLILHYNRLSGRIPAYIGDALPRLLGLSLMNNTFTGPIPESLGNLSSLQAMSLKENWLQGWIPDTLGNLNSLQFLNLEDNGLSGVIPPSLYNLSSLTTFAVGGNNLHGHIPVDIGERFPRMEVLSLGANKFSGAIPSSITNLTALTKLYLSQNRFSGFVPPSMGKLQSLNVLFLHDTEVESNDKEGWEFITSMANCSQLELLLIGNNSFTGQLPSSVANLSTNLESLYLGHNNISGSIPRDIGNLVSLSILEIEHTFMSGVIPDSIGRLVNLVQLGLDNNRLSGLIPPSIGNLTQLEWLLASRNNLEGPIPTSLGKLKNLDILDLSENYNLNGSIPREILGLTFLSSYMDLSYNWLSGPLPTEVGGLNNLNKLVLSANQLSGEIPDSIGNCIVLEFLLLDRNSFEGSMPHSLKNVKGLRILNLTMNKLSGAIPDTVGSLSGLEQLYLAHNSFSGEIPAVIQNLTLLSKMDVSFNNLQGEVPKGGVFRNLTYQSIVGNGDLCGGIPQLHLVPCPMDQKGNKKMQWSKSLKVALTTTGAIFLLVSVIVLIQLICKKIKKRQKAQVPPAVIEETYQKVSYYELSRGTNGFSEENLLGKGRYGVVYKCTFNDEHANITMAVKVFNLQQSGSSRSFEAECEALSSARHRCLVKIITCCSSINTQGQEFKALVFEFMPNGSLDDWLHPKSENCMSSNMLSLAQRLDIAVDIMDAIDYLHNHCDPQIIHCDLKPSNILLAEDMSARVGDFGISKVLSENTICALQNSSSTIGIRGSIGYVAPEYGEGSAVSATGDMYSLGILLLEMFTGLSPTDDMFRDSLDLHKFAEESLRERTLDIADPKIWLHVQSKDTITMSRIKDCLASVFRLAISCSKQHPRDRMTTSDAAVEMHTIRDSYIKCSSALEIKDTTLTHL >PVH38539 pep chromosome:PHallii_v3.1:5:20086454:20087383:-1 gene:PAHAL_5G283700 transcript:PVH38539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRMVTRFMDVQYHYQMLLRHGVGQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGISEIDSEHGDPVLSPYHSSSGSQSSVGNFDDF >PAN28949 pep chromosome:PHallii_v3.1:5:11375633:11377176:-1 gene:PAHAL_5G189000 transcript:PAN28949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHTFALSVILLIFLFNASAGQTIVVGNDNAVTARLIMGHIRKILTEVQDYDYGGANSRHDPRRRPGIGGRNG >PAN31351 pep chromosome:PHallii_v3.1:5:52246106:52247855:1 gene:PAHAL_5G429000 transcript:PAN31351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHNKLLRVRREMTRLEAPLKQLLEQLVHLRAQLARLLDVDADIELGNPAARLEHFQSAEMQDMMARWEQDLERARAAATAARRILILVVHHATILAVSDTVHAEPPVHDSQWEVREKRIDVAIQQLMRSLGMEMEPKQFVLFSLARSRRDLVECEGEVIRQELRLRVLRSIQDVMLAVASLRDVE >PAN29506 pep chromosome:PHallii_v3.1:5:14366263:14369211:-1 gene:PAHAL_5G230900 transcript:PAN29506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPHLTAPAPSAAAPPAASHAGPPRRRPRHRGATTTMPSACCCRAAALAFLLAAGAATALLTFSLPSSPSASATTRTDFTGQLLVGNQPPPHLSAPRAPATPPPPPPSPPAVRRRRREPSYWRMAPEEALRYAKKEIRDAEPVLDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRHVVDDATKAHLFYLPYSSQQLRLTRYVPDSHDLRPLSVYLRNFVRGLAIKYPFWNRTRGADHFLVACHDWGPYTTTAHRDLRKNTIKALCNADSSEGIFTPGKDVSLPETTIRTPRRPLRYVGGLPVSRRGILAFFAGNVHGRVRPELLRHWGGGQDDEMRVYSLLPSRVSRRMSYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWSAFSVVVAEKDVPDLKRILQGIPLRRYVKMHDCVKRLQRHFLWHARPIKYDLFHMILHSIWLSRVSQVEIEA >PVH39331 pep chromosome:PHallii_v3.1:5:55547876:55548466:-1 gene:PAHAL_5G480500 transcript:PVH39331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWWELARSVPGTLLLVVDGTTTRLLVSFDRTHGRLQRVINLAVCLRSGVTDHPRPSAGPCPSVNVEETRRELDRLSALHAAAGRVFDLCGMFLGLRAGPLWQNWKAHHAAALDHAAQAQHWLRSAAAHARAARAADRMADSFDRPSPAWDAWVDASLSHARRTIWVEMRAQAAVRRMCEAVALEFFDAWMILNR >PAN31361 pep chromosome:PHallii_v3.1:5:51069543:51070037:1 gene:PAHAL_5G413500 transcript:PAN31361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETESVPLLGGHEGRATSAASRLLQCLCNLELWGKAVDALTLVLLPVGFGSSAYLLATPSSCSVCKTVNGPALFKLGLQVLPMLLMFVGTLTSGLLTRDATTGAVSAAASFVQFLCGVVLCEWLSVCMLGGSPWMALTIGSLVVVAVVTVWVLRRRGPENEY >PVH38788 pep chromosome:PHallii_v3.1:5:39513746:39514219:1 gene:PAHAL_5G353700 transcript:PVH38788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVPKADEIRCMNLTAAASCVFHFKVEGYSFTREAAKSAFLHCYKSDKFSVGGYDWQVRYHPDGEASGCFDLVLQLLCLAEEDVAVRFACTVLCKSGDPLKEMEVAATGNILGFCDETVFAPRELRVSMGHGEAAEFVMVDCLALHYTISVLKKPF >PAN28034 pep chromosome:PHallii_v3.1:5:7553534:7555385:-1 gene:PAHAL_5G126200 transcript:PAN28034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASEIAAVGVIGAGQMGSGIAQLAAAAGCAVLLLDADPTALSRAVASISASLRRLAAKGQFSQAACEDSIKRIRCVSTVQDLRDADLVIEAIVENEDVKKKLFVELDKITKPSTILASNTSSISITRLGSATKRPSQVIGMHFFNPPPIMKLIEIIRGADTSDEVFAAVKSFSERLGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVGTKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDNKYSPCPLLVQYVDAGRLGKKRGVGVYSYGQKSSSVKPKSSL >PAN28133 pep chromosome:PHallii_v3.1:5:7934195:7934464:1 gene:PAHAL_5G133200 transcript:PAN28133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGAAALVVAFLLIAVITLADAHAPAPATASPLVCKKAYGVKERETCFAVAQATRLTLKQFLSFNPNINCKNLFIGQWVCLAAVPAA >PAN28749 pep chromosome:PHallii_v3.1:5:10636547:10639788:1 gene:PAHAL_5G176400 transcript:PAN28749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVTVDELRASMDNKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQDAAGDVRLTDTRADEAERGITIKSTGISLRYEMPEESLKRHGGERRGGGSSSSYLINLVDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALAERIRPVLAVNKMDRCFLELQQSGEEAYQAFRRVIENANVIAATYEDGGLGDCQVSPEKGTVAFSAGLHGWAFTLRDFARMYAAKFNVDEARMTERLWGEHFFDPATRTWSTRPTGSPTCQRGFVQFCYQPIRQVIDACMSSDDKQKKLWPMLDKLRVALRAEDRDLSGKALMKRVMQAWLPASAALLEMIVFHLPSPAEAQQYRVETLYEGPLDDAYATGIRNCDAEGPLMLYVSKMIPASDKGRFYAFGRVFSGTVATGTKVRIMGPNYVPGGKKDLYVKTVQRTVIWMGKRLESVENVPCGNTVALVGLDQFITKNATLTNDKAVDAHPMKAMKFSVSPVVHKSVACKNTADLPKLVEGLKRLAKSDPLVTCTITETGEHVIAGVGELHLEICIKDLQQDFMSGTEIVVGPPIVAYRETVLARSCRTVMSKSPNKHNRLYMEAWPLEKELAKAIDDELVGSKDEVKVRAKVLSEEFGWDKDLAKKIWCFGPDATGPNMFVDMCRGVQYVGEIRDSVVAGFQWASKEGALGEESMRGVCFELRDVVLHADAIHRGGGQIIPTARRAIYAAQLTAMPRLMEPVYLVEIQAPERATGSIYGLLSKKRGSVIEERQRPGTPLVNFKAYLPVTESLEFTERLRAETSGEAFPQCVFDHWEAVNSDPLEEGSTAAKLVGDIRRRKGLKDMMPLSEFEDRL >PAN28971 pep chromosome:PHallii_v3.1:5:11491775:11496354:-1 gene:PAHAL_5G190600 transcript:PAN28971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDAEVHSPVVYDDAGVTYMFIQHNNVFILTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKEYMCRAEFSLPSITAEEGAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >PAN32749 pep chromosome:PHallii_v3.1:5:57347628:57349495:1 gene:PAHAL_5G509100 transcript:PAN32749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPISGQLRTPGDSPPLTAALLSPSVPVSQRSTASRLLLLLTAALAAATAFLLILRPPLHSAVTAAYTTARPLSKLQKPVVLLISSDGFRFGYQFKAPLPHIRRLFANGTSAAEGLIPVFPTLTFPNHYSIVTGLYPSSHGIINNYFPDPISGDHFNMANHDPKWWGGEPLWATAAAQGVLAATFFWPGSEVKKGFWNCPDKYCRHYNGSVPFEDRVDTILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITDAVIHIDEMLGRLIAGLEARGVFEDVNIILVGDHGMVGTCDKKLVFLEELAPWITLKEDWVLSMTPLLAIRPPDGLSPAEVVAKMNEGLGSGKVENGGYLRMYLKEDLPSRLHYSENYRIPPIIGLVEEGYKVEMKRSKRNECGGAHGYDNAFFSMRTIFAAHGPRFQGGRTVPSFENVEIYNVVASILNLKPALNNGSASFPGTILLSSK >PAN27625 pep chromosome:PHallii_v3.1:5:5751323:5751559:-1 gene:PAHAL_5G093300 transcript:PAN27625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKEADLEKELKKEERCNKVFAFQEERIKLGKEKFEFERDLEEERILGLDLSTMNYKQQQYYEVRQNEILARRCNI >PAN28407 pep chromosome:PHallii_v3.1:5:9079235:9085457:1 gene:PAHAL_5G151100 transcript:PAN28407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANERAAQEPSPSADRRLSTLVRHLLPSSPRRTAAAADTSATLESFPTMASQVASVFAGIAQAPEDPILGVTVAFNKDPSPVKINLGVGAYRTEEGKPLVLNVVRRAEQMLINDPSRVKEYLPITGLAEYNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLAKHYHERTIYIPVPTWGNHPKVFTLAGLTVRSYRYYDPATRGLDFNGLLEDLSSAPLGSIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQPVRMFVADGGELLMAQSYAKNMGMYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSSPPLHGPSVVATILKDSEMFNEWTVELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSEQVAFMRQEYHIYMTSDGRISMAGLNMRNVPHLADAIHAAVTQLK >PVH38930 pep chromosome:PHallii_v3.1:5:48845015:48852596:1 gene:PAHAL_5G391900 transcript:PVH38930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTSFRISLRLLKEGKKVRQMFDKEIVHGKKSIKVLDDIAKLANQCLILENRQRPEMVEVADRLRKCMKDLQLRRREEMPQNFDLRQTKMSYISGQSSNYPTNPTKKEQPTQGLAISLDELKKITMNFRCENLIGKGSHAKVFLGKLKDSRKCAVKMLDYPDVEELDNEFLLKVQSISRLEHNNVVQLLSYCIEGNERALVYEYSSRGSLHDILHGKKGAVGAQPGQALSWAQRVNIALSSAEGLEFINEKAGHCITYRIIKSRNILLFDNDVAKVIGDFGVIKSNPADKNNQDPLYYFIPPHWLDSDGYGAPEWLMTGEFSNKSEVFSFGVVLLELLTGRKARDRTQPRDQQDLVSWARPRLRTRRVHRCADPRLGGEYPPKDFAKMADIASRCVLYGHHSRPSMSMVVKDLRTLLPGILGTASGARGE >PAN27658 pep chromosome:PHallii_v3.1:5:5905411:5907927:1 gene:PAHAL_5G095800 transcript:PAN27658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPQQGGGGALGLEGPGGAGAPLSPPLSPASAAAAALANSRWNPTKEQVAVLEGLYEHGLRTPSAEQIKQITARLREHGHIEGKNVFYWFQNHKARQRQKQKQDSFAYFTRLLRRPPPLPVLVSPPGPPYPHGRLPVPAAPAMTMAPSPAAAAAAAAAACNTSNGGTHVMYRAPFYMAAPQAPAANAAYYHTVQEQQQQQPWPVMYPRMAVAQDKMIPAAAMQHQQPPSHPGGAAYQAAAAPGNAPLHVVHLPAADAGGPSRETLQLFPLQPTFLLPDKGRPPTAAGTPASTASASFSGESESPGSPDSNGDAPAVPFYDFFGLQSGGR >PAN28525 pep chromosome:PHallii_v3.1:5:9624110:9625170:1 gene:PAHAL_5G159700 transcript:PAN28525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDDKPVPTPASAGGGGAPPGQPTTMASKVLDMGAAAMQSLQPVKQAKQHACTFALYAHDPKRQLETHHYVSRLNQDFLQCAVYDSDAADARLIGVEYIVSRKVFDTLPAEEQRLWHSHAHEIRAGLWASPRVPGMLEKPELDHLAATLGKFWCTWQVDRGDRLPLGAPALMVSPQADPAATVRPGLVRKRDDRYGFSTEELRAGRGDVEAPAEEHPGQADYWLRHRKGFAVDVVPHEMKRHAPFP >PVH38836 pep chromosome:PHallii_v3.1:5:43382260:43382598:1 gene:PAHAL_5G366400 transcript:PVH38836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLFSTAEGNLRLHDPVQDAKFSLEAGVIVRSQVPIFTHWKEYKAETEHFDVFVGRLSGRLEIDRRHKPTTDACVNVFKSGIP >PVH38707 pep chromosome:PHallii_v3.1:5:33644688:33645050:1 gene:PAHAL_5G331500 transcript:PVH38707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSDQSKASKHRLCVINASSHIIREVFLIKSASVVPLLISQIAVSSRSTGILKRECAVLPPGNNNEATPEEATARTIFLADLMDVIIVVHKNVFPVPPKPETKYNAASFAITLLIIAL >PVH37815 pep chromosome:PHallii_v3.1:5:5948454:5950181:-1 gene:PAHAL_5G096800 transcript:PVH37815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MGAMREAQGALEDTREPNVVSNTALIAGLLKNGMFDEADRLFRRMPERNLISWNAMIGGCSQAGLSEDAVNLFLEMCREGVTPNESTFPCVLTSVANAGALGVGRSVHASAIKFLGKLDVYVGNSLVSFYARCGSLEDSVLAFKRMKQKNLVSWNALICGYAQNGKGEEALNAYKAMRATGLKPNNVTLLGLLFGCNHAGLVDEGYALFKAAEREQPGILKPEHYACVVDLLSRAKRFDDAKRFLEDLPFEPGIGFWKALIGGCQIHWNRELAESVAERIHALDPKDTSSYILLSNVYSAAGSWQSVSRIRREIKEKGLKRITGCSWIEVQDKVHVFFNGDFRHPQSEEICAMLEACLYTREDDQHEQSTE >PVH38953 pep chromosome:PHallii_v3.1:5:49543331:49549121:1 gene:PAHAL_5G398400 transcript:PVH38953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNGKYKSVEHRVTVHPDRERVSAAMFHQLLPNTTVGPLPELVKGSGGARYKSVDYTDFMKHFFSTKHDKGVSHLDHYRI >PAN26670 pep chromosome:PHallii_v3.1:5:1801982:1802494:1 gene:PAHAL_5G027800 transcript:PAN26670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 [Source:Projected from Arabidopsis thaliana (AT1G09030) UniProtKB/Swiss-Prot;Acc:O04027] MADHHGQQPPGGGGGGGHGEEIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLHKYRELEGDRAAAAASSRGGGAPPDHASTSGGPGAGPGPSGGSGHFMFGAMDRSDNSSSRPF >PAN28676 pep chromosome:PHallii_v3.1:5:10380171:10382215:1 gene:PAHAL_5G171300 transcript:PAN28676 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29280 [Source:Projected from Arabidopsis thaliana (AT1G29280) UniProtKB/TrEMBL;Acc:Q0V866] MDGEWSDGAAVSSPTVSGGEGKVGPVRGVPADDCPGSPASPAAPSTAAPAASGRRRSANKRVVNVPLADVSGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSNKGCPARKQVERNRAEPDMVIVTYSFEHNHSNAVPKAQQNRPAPKPKPQPAPPEPADSLSSGSHEVAATACAGALATTEGGAAAAAVEVHDDFRWLYDGVSVTSSTSPSDVDAADEMLYGTMFFGAAQPAPLPDEFGDVAGLFDGGVGEEDAMFAGLGELPECAMVFRRHAGDGLPMSGGVKVEQPAESTAMS >PAN29179 pep chromosome:PHallii_v3.1:5:12584481:12594559:-1 gene:PAHAL_5G206700 transcript:PAN29179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQHGILLAVSIISDHFGPLVSKVCGCLLRHGALSLHEIVRRLELSPGQVKNSLLVLIQHNCVQAFSTPRGSGGKTVTVYLAIFDNVLHRLRFSKFLSVVRADIPESEDLLEGLLQNGRLTFDQLVWRTISKIPDGSPKPTREEIRMNFNKLVYAHYVERCPKPEPFFDPLIDEQPPSTRKRAPRAVETVLSLEQKVVRTAALSDAERFSEIPYSMEESSNANDDDHHAVAGGKRKHEALEVDEEDSIIAENEVLWRANFERFIFCLKKKFCADRKKAKLKVGTLAIWEAFFEANVTNNDNKTVTSPMNGILERVGQKEGGSSMTVDQIISALNDLECISTTRDPEQFTFDLNKLVETCRNDEVESLVKKKHGQEAYTIFRLLLKQGGPVETDEITDRTILDKQIVHETLYKLWKDEYIDSERVPSAASGTGNAQFFVWRVKNTYREHYIDHLYHAALNLRQMVNCMAELLLEGTKDETKLRNRKNILILALTRHDESLMLFHDF >PAN27337 pep chromosome:PHallii_v3.1:5:4634695:4636764:-1 gene:PAHAL_5G074800 transcript:PAN27337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLDSSSVFHFCSFCVSCCLGPSWTSWRTMAEWEASNSRSQRCFGEPRSERRVCCDTTGESSIEFGPRPGLVSPMESPTTRHRRSMDGRRERIRVGEGHLACCGHVQARRRVQPHGLDLWWRRGGMQTGINKGTVLLAKKWRSGTFRFREILLHFATMPSRFQYHSEKSLESEAVELLNMMVWQCRCSEHCCRFQYCSEKSPESEAVLFLKESEDVELLNMMV >PAN27260 pep chromosome:PHallii_v3.1:5:4347411:4351565:1 gene:PAHAL_5G070300 transcript:PAN27260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSAAAADDVERGDYEQEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGDRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRQSDCSRRNGAGARCDGSGTVLMLAFSVVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIGLGLSVGHWVSHGGGLGGRIAGAAAASSTKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKSPPAENKTMKKASMYGIGATTIFYISVGCAGYAAFGSNAPGNILTAAGLGPFWLVDIANMCLILHLIGAYQVYAQPIFASVERWAASRWPEAKFINSAYTVSIPLMQRGSVTVAPYKLVLRTVIVVATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQGKITKGRKWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKVSSNPFKTVS >PAN28486 pep chromosome:PHallii_v3.1:5:9426989:9428246:-1 gene:PAHAL_5G156000 transcript:PAN28486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATGDQLMRAQAELWNHVFAYTRSMSLRCAVELGIPDAVHRLGGAATVPELAAALSLPPSRAPYLRRLMRLLAHAGFFVFDDAAASYGLTPLSRLLVSAPGAGGQGLSPFALAMLHPIVVSPSMSLASWFRAADARTAAARVPFEAAHGRDLWAVAKDDREFGAAFNDAMACDGRFVMDVLVRDHRDVFRGLTSLVDVGGGSGGAARAIAAAFPHVRCSVLELPHVVASVPPDERGGVEFVAGDMFESVSKADAVLLKWVLHGWDDEKCVRLLRRCREAIPSREAGGRVIVMDLVVGSSPADEKATETQLLWDVMMMGVVGSPERDEREWRKIFEDAGFSGYKIVAFLGIRSVIEVYP >PAN26709 pep chromosome:PHallii_v3.1:5:2039864:2042992:1 gene:PAHAL_5G032700 transcript:PAN26709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSAPGKLDEITGGGGAPGDWSYLTSDGMASGSFPLFPFPRDALSTPPSAASLLLSMDPAALFDIRGAFPPPSSAAGGGGSELPAFHDFTSNNPFDDAGQFLAAPPPAPAEQHQGHKGGFLAPPPAPAFGIDWDDDDEMEHSVDASSMAISASMENAAGASTGGGGGGGGSGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNEIESAPSSSLVGPTSASFHPSTPTLQTFPGHVKEELCPAAFPSPNGQQATVEVRMREGHAVNIHMFCARRPGILLSTMTALDGLGLDIEQAVISCFNGFAMDVFRAEQCGDGPGLVPDEIKAVLLHTAGLPNAM >PAN31848 pep chromosome:PHallii_v3.1:5:53427430:53431234:-1 gene:PAHAL_5G447400 transcript:PAN31848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPEACSSGEASPVAAAERPSEAAALRALVDRVRAGEVDAAREVRRLTRASARHRRKLAAAVEPLVAMLRAAAPGAGEAALLALLNLAVKDERNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTNKPIISASGAIPLLVKVLKEGNPQAKNDAVMALYNLSTITDNLQAILAVQPIPPLIELLKGGKRSSKTADKCCALLESLLAFDQCRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTVHGTPKSRMKAHVLLDLLRNSPYSRSRLQPDTLENIVTNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >PAN27305 pep chromosome:PHallii_v3.1:5:4495412:4498456:-1 gene:PAHAL_5G073000 transcript:PAN27305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPQQQAAAAPAAAEVVVTEAAPAEAEKKAEVPAAAEAEPEAEAEKKADEAAVTADDAGAGSFKEESNLVEDLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPPKAKEEPKAEETKTEEPKVEEPAKEEPKTEEPAKAEEAAEETKTEAAAEAPAEETKAEAPAEETKAEAVAEEAKPEPEEKTVVVAEEESATKTVEAIQETVVPTAATSEEAAATEAEAETQEAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGITSLLDADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKELYEKAFGDEEKRERFLKWRIQLLERGILSKLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVTENSTIVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKLVLTINNPASKKKKLLYRSKVKSASE >PVH39434 pep chromosome:PHallii_v3.1:5:56714608:56716862:1 gene:PAHAL_5G500600 transcript:PVH39434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKVPRYTDLVKLHLMRQEITSSGMADWATLQTDIVGIIIKKLAIPDYIRFRAVCTSWNHVCKDVSYSPRVDPWLMLPQNPNPLGAQFFCIPEKKNQNIRLPSTASFFGSVWAPVGSLNGWLIYFSQTYGTMQLVNPISGSQIQLPPIGRRTFAKAKLLEMNERNFIVAVLYGDEKGYKITRKGSNNWSSVESKHILDDIIKHRGRLYTCDMYGTVELWAEPPRAWPDEEVTHQWRFRCLVETPAGDLVRVKRQSQNKFAVCTLDKGTFSWVETDNIGDLALFVSHYNSFCFPAKDHPNLKANCIYFIDIHNSLCAFNLEHSTKELVETLEIGPGRNDHYYRRPQRDQLLWFIPSLK >PVH39440 pep chromosome:PHallii_v3.1:5:56776574:56778484:-1 gene:PAHAL_5G502300 transcript:PVH39440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAASVSSCPSLPISHRRPAPILPFQQQPPTRERWLSNAKSTLHGGALNTLPRRRRPRGVVASVATTDRPAPVIGDVNRGSQLAETSGRSDDGQLAARWREIQGASNWEGLLDPLDAALRAELIRYGEFAQATYDSFDYDRFSPYSGSCRTFFRDVGLAGAGYEVSRYLYATCNDLKLPNFAHRKHKSAAVEDKLWSESGTFIGYVAVSTDEETARIGRRDIAVAWRGTITRLEWVADLTASQRRLSDMGVPCPDPDVKVELGFAELYTGKDVECRFCRYSAREQALAEVRKLVELYHGRGEEVSVTVTGHSLGSALAMLNAFDIAETGANATPDDGRTAPVCVFSFAGPRVGNLRFRERFERELGVRALRVVNVHDSVPKVPGVFFNEAAFPEGVLRAVDGLGVGGVYTHLGVALALDHEASPFLKKTMDISCYHNLEAHLHLLDGFRGTGEGFELRGRDPALVNKSTDFLRDDHMVPPVWYQAENKGMVRTEDGRWVLPPRHRDIDGHPEDTDHHLQKLGLNASP >PAN26842 pep chromosome:PHallii_v3.1:5:2696331:2700088:1 gene:PAHAL_5G042600 transcript:PAN26842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGCPHQPGDPSGADADDAASSSAASASSARGSASRTSRLRRGVRLRLRRRRQEPTLSAGSGSGSGGGGQGGAGVQDDLALPLGMSFAAVLAQVVNTKTHSGERLQPALLSKICTSAVKESLRNIYGDKLDSFMRNFEKSFSSTLTTLHLVNEMPVYEQRPIPQCSFKHEDSAAASMLSTGGPQKPPQEIKQDILNSVESQLVLYAGGNQQLTRRTRGISSTEADQRILNAFERSLKEQTRSNELKEFEIGLSMRKLQLKQSQLELNSYSHILEKMKLSLGFQKASFRGEKLKTEMQDTRHAQLLRTLTDFLVSAAIIMSVCFGYGTYIYSYQRITDITAACSVTSRGSKSWWVPNSVSNFNSGLLFLRCHFIAATRMGFGMVMIVAIAWLAFQRSAVSGSNMPVTFNFILLGVICGFAGRFCTNTLGGDGNIWLICWEVLCSIHLLGNCYPSVVYRVLHGPISVSHNKEVVWFPYWIRRWIFYAMLGFIIPALTGFLPFASLSDWLNHFTQEVKSIFVGEESEA >PVH37828 pep chromosome:PHallii_v3.1:5:6086139:6086589:-1 gene:PAHAL_5G099800 transcript:PVH37828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSLESTSLSGCTCIVMEASYRFDVYRDLLWLSGRSLCCTLVSKLAAECIVFGQSAVRTSPGSMLFFLEEAFRSQPSIFRRRKKSKPHSQS >PAN32787 pep chromosome:PHallii_v3.1:5:57555999:57558758:1 gene:PAHAL_5G512800 transcript:PAN32787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQANGRGEQHSPRSAAAGWPSPRGGGGEHYSPSPSKTPRGGAASPKLPASAAWLVDSRWALSAALSLLLFLAVALAVTCFSSSSPPYVSASAFFSSSDQAHIHVSQQQEQPESLAAAANATTAASPPPPSPPPGADLPRLAYLISGSKGDLDRLWRALHALYHPRNQYVVHLDREAPVSERLALAARVSNSTVFRRAGNVHVIRRANMVTYRGPTMVANTLHACAILLRRGGAWDWFINLSASDYPLMTQDDILQVFSTVPKNVNFIEHTGYLGWKEGQRARPLIVDPGLYGSKKQDIFWASPKRELPTAFKLFTGSAWVALSRDFVEYTVWGWDNLPRTLLMYYANFVSSPEGYFQTLICNAPRFVQTVANHDLHHIQWDVPPRQHPHALALADLPAMVRSGAPFARKFPRDDPVLDAIDAELLGRPPRPAAGGGNGNGTAPAAAAAATFVPGGWCGGDASCGSVDNDWVLRPGPGAERFQRLIERIVRSEAFPNRQCK >PAN31766 pep chromosome:PHallii_v3.1:5:53116782:53118971:1 gene:PAHAL_5G442900 transcript:PAN31766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSDAHGRHKCAACFRQFNKMEHLVEHMRAARHSPHEPRCGVCGKHCRSFEALRDHLGAGGSTLPKAASCASEFAARGCKLCLRVLASAAALRAHRGCELSRTPPMARLHHQAQAPQGGGRALALGCKMVGAGSDGSLDVVARVCVVDEQEGLVFEAFVKPLIPVTHYRYETTGIRPEHLREGMTVKSAQRRVQELLLNGEQPWKARTSRGRARLLVGHGLDHDLDALGMDYPAYLKRDTATYPPLMKTSKLSNSLRFLTHTYLGYDIQTGHQHPYEDCVAAMRLYHRMKRQQHPNSGGGADGPAASADQAFPAWRQRELERMTPEELLRLSTPDYHCWCLDD >PAN29645 pep chromosome:PHallii_v3.1:5:15125832:15128155:1 gene:PAHAL_5G240300 transcript:PAN29645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEPAAHVVLLASPGAGHVLPMAELARRVVAHGGGDGGAEFTATLVTYANFSSASHHYSSTLASLPPSVSTAVLPEMPLGDLPADARVETRIFTVVKRALPHLRDLLRSLLASPAGVAAFVPDLFGAWALEVSAELGVPGYVFCTTNLTTLHSLVYMPQLDETTASEFRDLPEPIRLPGCVPLRGADLIDPAQDRSNPAYSLVVEVGRKYLLADGFVVNTFDAMEHDAIAAFSSLSHDGVYPPAYAVGPFVRTCSGSEAADRSCLRWLDEQPDRSVLYVCFGSGGTLSTEQMAELAAGLEASRQRFLWMVRFPSDKGDHSASYLGGGRGHGHEDSPLGYLPEGFVERTRGTGLAVTEWTPQVEVLNHRAVGGFLSHCGWNSMLEAVAAGVPTLAWPLHAEQRINAVMLSEQVGLALRPGAGGKGKDGVVPREEVAAAVTELMVGEKGAAARRRARELRDAAAEAWVPDGPSRKAFEAVAGKWKKAASARAQACALPG >PAN29531 pep chromosome:PHallii_v3.1:5:14537674:14538978:-1 gene:PAHAL_5G232700 transcript:PAN29531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSMSSSRAQWTKKQDKLFEQALAVYDKDTPDRWHNIARAVGGKSAEEVRRYYELLEEDVKRIEAGKVPFPAYRCPGGGAPNY >PAN28580 pep chromosome:PHallii_v3.1:5:9860401:9865377:-1 gene:PAHAL_5G163400 transcript:PAN28580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLDGADEPSARAPLLPPHAPRRAAARLHPLPLIVAAAFVASFHVLFLSPGPSYYQSFFLSLGSNDTAAAHLRALTQRPHVAGTRANSLTAAYVRDALSSHSFPTRLTPYSVLLSYPARRSLSLSAPERGTIHFALEQETYPGDPYAAVSAEAVPTFLAYAASGSVAAEAVYANYGRAEDFAYLAARGVNVTGKVAVARYGKVFRGDIVRNARDAGAAAAVIYTDAKDYAAGKAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCQRLSIAEAMASDDMPGIPALPVSGMDGEAILRLIGGDVAPEDWQGGAAAPAYRLGPGPAVLNLTYVGNETMATIQNVISVIEGKEEPERYVILGNHRDAWTFGGVDPNSGTAALLELAQRLSELQKKGWRPRRTIILCNWDAEEYALIGSTEWVEENRAMITSRTVAYLNVDSAVYGRGFYASATPQLDELLKEASKQVQNPDNRTESLYDLWMASNTSPLIGRLGGGGSDYSSFVQHIGIPSADMSIGSEYAVYHSLYDDFIWMEKFGDPLFQRHVAAASIWGLVALRLSDEEILPFNYSYYAAELENGAMGISERVLGMPVSLSPLHKSIKEFRRAVRKVDSELKALQTWKIWAPWRSCPLKIRDINDRLMMTERAFTEREGLSGRPWYKHLIYGPSLHNDYGAEIYPGIDDAIQTAKRTKTSESWQAVQNEIHRVARVINQAALVLTGGLT >PAN32045 pep chromosome:PHallii_v3.1:5:54204307:54207229:-1 gene:PAHAL_5G458900 transcript:PAN32045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MAAAATPPLLLLLLLAAALPAPSHGWGVDGHLMVCQIAQGRLSGAAAAAVRDLLPSYARSNLSSLCSWADDVKFRYPWSSALHYIDTPDGLCAYSYDRDCKDEDGVKGRCVAGAINNYTSQLLTYGRSSATQYNLTQALLFLSHFIGDIHQPLHVGFTSDRGGNTIDVHWYRRKSVLHHVWDANIIQTAEDDFYGDGVADYIDTLTKNITGEWSEQVPSWEECGKNQTACPDIYASESITAACDWAYKGVDEDSTLEDAYFSSRLPVVNLRLAQGGVRLAATLNRIFG >PVH38384 pep chromosome:PHallii_v3.1:5:15823917:15824651:1 gene:PAHAL_5G249800 transcript:PVH38384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGITEPPEYAYREYIAGGTLRCDIMIFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAANAEYSVAALQVQIQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN28613 pep chromosome:PHallii_v3.1:5:10067882:10069247:1 gene:PAHAL_5G165900 transcript:PAN28613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKQKTAVLYPSLGVGHLNPMIELAKVLLRRGVAVVIAIVGSPDAIGRLAAASPGITFRHLPVPPTGSDHYSHPIMRTIDVLRAANPALRGFLHALPGVDALVVDMFCTDALDVAAELGVPACFFFTSALADLAVMLHLPYNFPAAPLKDMPETALRFPGVPAIRAMDMATTCARMPEARGFLVNSFDWLEARALEALRRGLCTPHRPTPPVYCIGPLVLPANTGAGPGVVFLSFGSMGTFPAAQLREMARGLENSGHRFLWVVRNPPEHPCESLEPDMEALLPGGFLDRTRERGMVVMNWAPQMEVLQHDAVGAFVTHCGWNSALEGIVSGVPMVCWPLYSEQRMNKVHMVEEMKVGVVVEGYEKELVKAEELEAKVRLVLESEEGKKLRERLVMAREMAVDALKDGGSSDVALDEFLNGL >PAN26742 pep chromosome:PHallii_v3.1:5:2167316:2169882:-1 gene:PAHAL_5G035300 transcript:PAN26742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(3,5)-Delta(2,4)-dienoyl-CoA isomerase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G43280) UniProtKB/Swiss-Prot;Acc:Q9FHR8] MAGGSSDAAAAAAAEAELRRGFETLAVARPDPSAGFYEVRLNRPAQRNALSPAAFAEIPRAMALLDRLPAARAVVLSAAGPHFCAGIELGGPGNPLTAAPAGGADPAAAAEGLRRAILEMQGALTAIERCRKPVIAAVHGACVGGGVDVVAACDIRCCSRDATFVLKEVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVSRVFDSKKELDAGVAKIAKEIAEKSAWAVMGTKAVLLRSRDVTVEQGLEHVATWNAGMLRSNDLKEAIRAFLEKRKPVFSKL >PAN26761 pep chromosome:PHallii_v3.1:5:2248468:2252515:-1 gene:PAHAL_5G036600 transcript:PAN26761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKFPTPPPLNKTEPTTATTTTTTSTAQQLDPKDYQHQQPAQHQEQHHHHLQIQIHQPQQQDGGGKEQQLQVATPAGERRVALAPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALASVAPSLPSPNSGLARPHHHHPHHMWAPPTASAGFSSPAFLNSAGAGAGDAAGIGGLMQRMGLPAGLEMPAGGAAGGTLGAGGHIGFAPMFAGHAMPGLELGLSQDGHIGVLAAHAQSISQFYHQVGAGGASGQLQHQHQHHHHQQQEDGEDDREDAESDEESGQ >PAN26908 pep chromosome:PHallii_v3.1:5:2944279:2947348:1 gene:PAHAL_5G046400 transcript:PAN26908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRALLSRLRLAPLLHDGHHLPRFLSAAAPPPPAADESPPGAPPPPSNSRLFVAGLSWSVDERSLTDAFSSFGTVTEDGARARCSKRCRGGGEPLHRSQPRELFDEMLVRDVVACSAAIYRHARSGSFHQSVGLFVSMMREGVCPNSFTLVGLLLAALGLGDAVLAKCIHGWTVKSRLESNPFVATALVNAYAKCGCPMNAWAFFSDLRDPSVVSWNAMITGLMHNGLFEEALLVFKRMCCCFGLVPNNVVTMINVAQAYAGCGDLGMCKSAHAYAVKMGLDMDVSVTNSILGMYLNFGDIEIGREIFRKIIVHDLVTWTMMMGFLLEQAHAGDVISLFVQMRSNGIVPDRVAMVSLVQACALLGDARRGKLVHNQMVIHGFIRELPAVNSLITMYSKCKDLSSARVLFDGTREKSLVSWTAMVSGYVGSGKALEGMHLFSKMRREDIFVIDSVTLVSLLIGCYEIAKLELCVQLHGYSYKSGLYLHRPVPNTLMAVYGKCGYVSLAHKVFDDMISRDVVSWNTMILSYGINGQGEQAVALFNDMEESSEERDSVTYLNAMLACSHSGLIDDGLIIFRGMINEKRINPCQEHIGCLVDMLARAGRLDEAAEVASLTNKEGANSWKALMGGGHLHSDTDLTEVAANKVLNMESFDYGHVVLLSNAYASAGKYIVAESIRSCYSKQTKRKTLGLSSIEVMPSSTR >PAN33048 pep chromosome:PHallii_v3.1:5:59269044:59275076:1 gene:PAHAL_5G537300 transcript:PAN33048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDPPTSGPGDACAVSADAWPFDSLTTSLLFSSVSASPPLPPLPANSSSWLTPPSPLWLFEDRHLLPLDAPQAPEAAVAAAVVEEVQRARSGNSDTTSKRVEQINHKWQFHLSLDEDGTDNSSLFKERLTQALRYFKDSTDQHLLVQVWAPVKNGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSVDGENVGELGLPGRVYKLKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSAQSCIAVVELIMTSKKINYACEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQTALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGLKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQRGQGVSGKAFITRKPCFSKDIRKFCKLKYPLVHYARMFGLAGCFAICLQSSYTGHDDYILEFFLPPDCIDEDDQNALLESIFTLMKQCLRSLKVVGDRDSSGVSLQLSNVLKIENEEFKTDAQFDNSDGSLHESPEDGGAHTFDNGDNKVPNLPEGHLMADDCSQDNGTSAGRPNGSGASDSLVLHKTSKPPERRRGKAEKTISLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSFSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSLNVEKVTQSKVAEPLNLVVDGDRDSSLQKSVENDGHFSILMAQQGFMDNNNDAQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANRTFVCKPIASTFAEPQLNPEEFHKEPFQEPQLPLSRMLIEDSGSSKDLKNLFTPAADQPFLAPPSNLVSMKHSGTVTIKASFKEDIVRFRFPCSGSVTVLKDEVAKRLRMDVGTFDIKYLDDDHEWVKLACNADLEECMEISRSSGSHVIRLLVSDITAHLGSSCGSSG >PAN28538 pep chromosome:PHallii_v3.1:5:9659389:9661033:1 gene:PAHAL_5G160500 transcript:PAN28538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVLPDSPSPLQRNKQPAKQMRKSAASHRPSKPSPSPHAPSLTTPLVSLALLLLAAAAVFLYSQTAARSYESRGEVRTLSSPTVETIDGARAIWELPAAAPARAVLFVAHGCRCRPENFWPPSPRCPGCVGLPEDVAITDRALRRRFAVLAVASAGECWSPGKEVTGAKRVIRSWAAKNGLEGLPIAALGASSGGYFVSRLAAKMSLAAVVIMIAEGAFGGAAGAPPAVYPPTMFIHMPKDRRRAALLERNSKMLMRNGVEVKELESLELPLTPKLLSERIPGVDRELSERIWKVFREEGFVDEKGYMKEDGRATPWKDALVKRGFWKEVSPLADHIQEELNLAYGYHEMTSLHADEMFDWIEKHLT >PVH38853 pep chromosome:PHallii_v3.1:5:44744993:44749882:-1 gene:PAHAL_5G370100 transcript:PVH38853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSPETPSITCPRESPSLPSPVAVVLPFRHSPGLHNPLVSTSSTPAPFAPVVVGRKPHPRPERARRRCAVTPSRPHRHSALVMPKSSTTTAQVDYMWQNQPELYRLKNILRRLRGDLVGPSVAALAKLSSCAALLPSVRVCSELLHFSFIHARLSRARSLVRVASCLHRPCAAAANPASAHARLHVIVLLGHLSWRMAPARTAYVALTSSLCTASPSPRHCRTHGPRSCRAVPVCAATSRQYLHTAAPLRPSHSRARQPRLQRPPRALRTSVTLEPSSLRSLLCASASPPAQLPNARPHLLTSPLLQCLLAPTSAPVPGRLLPRAPLPCAEPRAAAARLPRRPRARRLGPPARAARLEPPPHRPWAHWRLRHALPQRRPPQLPRHRPALAQHADPSAPPAARLGRRLTHTCAPAPAAAEPLPAPVPAWGRRGSRPARAPALRASHAGRQPPRAGPPVAAARPLRPGRQSSARDQAPGQRLLLPVMFGWKRGRGKTGRDRDGEEEGKKGLNRAAAVERR >PAN27650 pep chromosome:PHallii_v3.1:5:5872666:5875556:-1 gene:PAHAL_5G095100 transcript:PAN27650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGFEGFTRTLMAATTAVAVRRLCAAAELRSALAVLARGAKLGDAALDVAACTALVHGCCRSGDVVEARRVFDVMPRLGVTPNEVTYTALIHGYFVHGHRELGFALFEEMRRGGVEPNLYTYNCLIGEWCRTGEFERARLLFDEMPVKDVVRNVVSYNTLIAGLCRHGKLKDAVQLLEAMRREGIRPSMVTFNLLVDGYGKAGKMSNALHFFNQMKAAGFLPSAVTYNALIAGFCRVRDMARAIRAFSDMKERGLAPTKVTYTILIDAFAKENEMTSAFEMLSEMERTGLEVDVQSYGVILHALCMEGKMMEARKLFQSMDLKGVKPNNVIYDMMIYGYGREGSSYKALRLIMEMRRIGLVPNVASYCLTIRLLCNEGKCQEAEALIDDMASAGLQTSESIHRALLDAKARLGDSTDVPFT >PAN32597 pep chromosome:PHallii_v3.1:5:56562088:56563564:1 gene:PAHAL_5G498200 transcript:PAN32597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPSTGQALLAAHLEPWHSTLAFVKSMALNSALDLRIADAIQHHGGAATLDQIATKAAVHPSKIPCLRRLMRVLTATGVFGTQQAPPGGSSGEPLVYTLTPTSGLLVGSRNLAPITALMLHPAVLGGWFRRELPDPCAFKLRNGHAFWELGGRDPAFNKLVNDGMVSDSEFLMGIAVEECGEVFRGLSSLIDVGGGLGAAAFAIAKAFPHVKCSVLDVARVVAEAPSDTGVQYVVGDMFESVPPATAILLKWVLHDWGDEECIKILKNCREAIPPRDGGGKVIIIDIVVGAAQSSDMKHKEMHAVFDLFIMFASGIERDEQEWKKIFLEARFSSYKITPALGVRSIIEVYP >PVH37752 pep chromosome:PHallii_v3.1:5:4820838:4822385:-1 gene:PAHAL_5G077700 transcript:PVH37752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLRKSRSSSGVAGLGAQSGIDGVASQSNPAAGILPSFPAFGVPSGHGFRPAYAAAGVPPASGFILTYPTRPSSTAPSAGEAPTASCGGRNRRPPVAPSSIGVGMDNDMELTDARKQFKNKWERLKSDHSIWNQLKAQTGLGWDGNGNIIMTDEWWKKISKEIKGSGTFKTRGLQNEEKLEIMFENLHNTGEDHWCASSGIPPSQSYQPSEEEEEEEEEEDNSKPDPGTPTSGAKRRNRLSENSRGKQPKTSKGNWLLGEVERMVEMNERTTRSCESIARSVKEKVQFVCSIQEVMTLVKDCGAVPGTNEHFITTTIFTKKVEREMFMTLENQEDRFEWLSKKYEWMAKH >PVH39391 pep chromosome:PHallii_v3.1:5:56093173:56093712:1 gene:PAHAL_5G491000 transcript:PVH39391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAGPPLRRRRALLALAVLSCLQLAASQLSSTRPLPRPAATATEPLEEVGGGVARRACTYTVEIKTSCSSPRASPDAVSLAFGDAYRNEVYAARVSPAYGFERCARDTFRVSGPCGYGVCYLYLRRSGGVGWTPEWVRVYEPASATPSTFHFGDPLPNGVWYGLDRCLRRPAARASQ >PVH38226 pep chromosome:PHallii_v3.1:5:12297642:12302177:-1 gene:PAHAL_5G202600 transcript:PVH38226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATP synthase beta chain, mitochondrial precursor (EC 3.6.3.14) [Source: Projected from Oryza sativa (Os01g0685800)] MATRRALSSILRSASRLRAASPTPCPRTPLHHRPSPAGFLLNRAAAYASSAAAQAAPAPPSPSTGKTTGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >PVH38856 pep chromosome:PHallii_v3.1:5:44907025:44907318:1 gene:PAHAL_5G371000 transcript:PVH38856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKAVALPYTRIRFLAPSRVSTITRSRFLAGADTDRLSKKTLKWANTRPSGRTCRLRLRAPADTFRSTFTCEPKSSCRTPSFLISVLAFFIFRRGL >PAN29560 pep chromosome:PHallii_v3.1:5:14640601:14644725:-1 gene:PAHAL_5G234300 transcript:PAN29560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDLSSEMKRTVLGLTLWVWIAIGVVALLVAILLMICIWVASRRRTKRTMDNLRQTQIPIYSKEIPVDRVGGRSLAQTMHEREQPSFPPQEKYANRNTAGATLGHLALSKSSDHDNMSQGSSVCNVDRAASVHSGEDGSSGPRRKPNSPAAFVSASPLVGLPEFSHLGWGHWFTQRDLELATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQRGAFTWENRMKVVTGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRGPVDYSRSSNEVNLVEWLKTMVASRRAEEVADPTLEVRPSIRSLKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSAEFGKKVERTGSATSDRSQP >PVH37863 pep chromosome:PHallii_v3.1:5:6502235:6503229:-1 gene:PAHAL_5G107700 transcript:PVH37863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVSRWRTKVAVACMKEKGTAGGIYMLRDAERWTSSVGKLFEEFVHISLLLCSPGAMAVVELKVGMHCERCIKAIKKAIKTIDDMESYQLETETNKVTVTGNVTPEEVVKALHKIGKTATCWTEG >PAN31473 pep chromosome:PHallii_v3.1:5:51488156:51489703:1 gene:PAHAL_5G418000 transcript:PAN31473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRPTSDSLTARGSQCPPPLSGSISPLSRFLDPSRSCRLTAGGRRAPATRRQARRREPATVRPVPKAQQQPSRGDRQIKKSPRWLDEQNRRIANSQFLISSTIDNAAPVRSLSPTGSHGGAAPARQRPKPNGTSDSSRAARLKPVKPPARPRAHSSTTPISQSLGASKSKAAGLSYLIPPRHFVRNPRRIKRAPFASVSPGLGAGLSLQRVGGQSWRREKLGRGSPPGGGGGGLSPAGPELS >PAN26561 pep chromosome:PHallii_v3.1:5:1427663:1428842:-1 gene:PAHAL_5G021900 transcript:PAN26561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVHGVCYGMLGDNLPSRADVVQLYKSNNIQAMRIYSPDHAALDALRGSAIALILDVGGVDDVRSLASSASAAAAWVQANVQPYGRDVIIRYIAVGNEVPPADAAGILLPAMRNVRDALVSAGLDGIKVSTAVRMDVFANTFPPSSGAFAQGYMADVARYLASTGGPLLANVYPYFAYRDNPRDISLNYATFRPGTTVRDAGNGLTYTNLFDAMVDAIYAALEKAGAPSVGVVVSETGWPSAGGFGASVENARAHNQGVIDHVRRGTPKRPGVLETYVFAMFNENRKPGEEIERHFGLFNPDKSPVYSITFPN >PVH37820 pep chromosome:PHallii_v3.1:5:6010265:6010734:-1 gene:PAHAL_5G098000 transcript:PVH37820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLSIMLFSVPLYLYLLSTCDVSGGGAGKGAVRWRVPVTSRFCSDRTDHAARTGKALLLEAGLETTYELLDVSWDLARGDHSLDLRSYG >PVH38844 pep chromosome:PHallii_v3.1:5:43600122:43600984:-1 gene:PAHAL_5G367400 transcript:PVH38844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGYRQLCAKYHCAIGLRHDTKQLSGRIRTLKQMYGFIKDMHTDSGLCRDDQGWPTASKDWWDTKTKGCPEFKKLKWGPPEYFDLLEHCFHDVTVDGSSAFVPGQEEDEALYEDEAQGYEEEEEELQGTENSPMSSSGHKRASSTSTRSTADSPIKKSKSPMLKVMKQYLHMSARQSAERNLFLKKLGSKQENAEAKLEDAIKKAQQLAKQAGLDESSPEFYAVSHICKDEALMKFFINMETSEGRVAFLGRYCKEKI >PVH37740 pep chromosome:PHallii_v3.1:5:4527359:4528004:1 gene:PAHAL_5G073300 transcript:PVH37740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTVANLSLNNCVHTDGDTAAATPRERPLLVAVGHRGACACSGLDPPLPQLRWWSGRSATPPRGPRSTPPRRQEAAKFTHPAHRVVSILPQIRQFSVRKAGPSRPIPCRLADPSAAGARLAGWSRPDPTAVGTLVPSWFAFVRIGSMGMGQGCVGIRSVWVPGS >PAN32397 pep chromosome:PHallii_v3.1:5:55727603:55731066:-1 gene:PAHAL_5G484600 transcript:PAN32397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTASRLSPPRFHVSPRSPHPPLRRSRFSPVRAAKLEAVLSIGTHLIPHPRKAASGGEDAFFANSDAGGVFAIADGVSGWAEKDVNPALFSRELMRNSSNFLNDEEVNGDPQILLMKAHAATSSIGSATVIIAVLEKSGTLKIASVGDCGLKVIRKGQVMFSISPQEHYFDCPYQISSEAAGQTYQDALVCSVNLMDGDMIVSGSDGLFDNIFDQEIISTISESSGVDEAAKALAELARKHSVDVTFDSPYSMEARSKGFDVPWWKKLLGAKLIGGKMDDITVVVAQVKTVAVPDEGGDIEEQKGNEQGIAVAVASAVQNEE >PAN32398 pep chromosome:PHallii_v3.1:5:55728035:55729997:-1 gene:PAHAL_5G484600 transcript:PAN32398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPIAMLVEYLQLQMVFQVILLSTDRWAEKDVNPALFSRELMRNSSNFLNDEEVNGDPQILLMKAHAATSSIGSATVIIAVLEKSGTLKIASVGDCGLKVIRKGQVMFSISPQEHYFDCPYQISSEAAGQTYQDALVCSVNLMDGDMIVSGSDGLFDNIFDQEIISTISESSGVDEAAKALAELARKHSVDVTFDSPYSMEARSKGFDVPWWKKLLGAKLIGGKMDDITVVVAQVKTVAVPDEGGDIEEQKGNEQGIAVAVASAVQNEE >PAN32707 pep chromosome:PHallii_v3.1:5:57056461:57058470:-1 gene:PAHAL_5G506000 transcript:PAN32707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMGEGVSVPPWSHHLPVSGVDVGGTTGGDEMTPYLLAALRQYLPCNDASADDDEEAAAAAMAAGVDGYGSDEFRMYEFKVRRCARARSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPAQQSSPRGAGAAASPLAESYDGSPLRRQAFESYLTKSIMSSSPTSTLMSPPKSPPSESPPLSPDGAAAFRRGSWPGVGSPVNEVLASLRQLRLSKANSSPAGGWSGYPSSAVAYGSPTAAGLYSLPSTPTAMGGFMANLEPLDVSFGGCDEEPVQRVESGRALRAKVFERLSREGAISGDAAAAIGGPDVGWVSDLIN >PVH39562 pep chromosome:PHallii_v3.1:5:59226088:59227182:1 gene:PAHAL_5G536400 transcript:PVH39562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSRASTATATPPPGVRLPAATSLLTAAAARVRRLLACPHAPELTAAGRPQPSAAACYSCHRRSAPCNGHLPLVLVAWK >PAN32306 pep chromosome:PHallii_v3.1:5:55392330:55402744:1 gene:PAHAL_5G476800 transcript:PAN32306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLRASPLSLLSRLKPRPSALHLRRLLPLSTTSASAAPSSSGPAPQLRTLAAAAATDAAATPAEEAAAPAAAGEKVERLQPLQWPPRDALCGELGVGDAGRRVRLCGWVALRRAHAGLTFLTLRDRSGMVQVTTLPEYPEVYNIVNKLRVESVVAVEGVVRPRPADAINADMKTGAIEVAADRVLVLNSVTRSLPFPVTTADSVKEKFPEEIRLRFRVLDMRRPQMQSNLRLRHNVVKLIRRYLEDEHEFVEIETPVLSKSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEDLMRHVFQAVGGIKLPNPFPRLTYAEAMNRYGTDRPDLRFDWELKDVSDVFLGSGFKVFADTLENGGVIKALCVPGGATVFSNTDLKKGTVYTEASKAGAKGLPFLKVMENGDLEGIGPLVSSLKPEKKEQLVKLLDAKAGDLILFALGEQSSANRVLGRLRLFIAHKLEVIDTSAHSVLWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLESFDMGAPPHGGIAYGLDRLVMLLAGESSIRDVIAFPKTTTAQCALTKAPSAVDPQQLKDLAFPKSTL >PVH39281 pep chromosome:PHallii_v3.1:5:54894475:54895863:1 gene:PAHAL_5G469400 transcript:PVH39281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHDGGEIHGIRHVDGLPIRRRQPLDLAYHPRHDEVVGVVRAQRVPGAHPPAGAERHHPDLPAPRDVHAAPLAAVQEPLRPELARGRPHRLVAADLRHHEVHLRGFRHRVAADLRVASHAVRDEEVRERLEVVGDVELGVASGHLLVYLGLDAGVVHQLGHDPLHQGHRRVRPGVEELGAEADHLGVRERPVALVGHPQREEGLGVARRAVLLAAPARGVDQRGEYLLIQPPSHGHVRRPPLSEEPLDERREEREDREGGEGGEHVPLDEPRAEAHVHEQLEHGRPDRDGARLLRGAISLAARGAEEPGDERIAGPPPRRREEADAGAVQRVGGEVAVDEAPVGPVGSGVDVAGAEERVRIDERRAVGDGGAVADEDAVREAAVGDEDERAEEADGDDGPVARVQVPQQRGQVEDGSPQRQEVGQEDQRRRAWREPGLTADR >PVH38604 pep chromosome:PHallii_v3.1:5:23330408:23333097:1 gene:PAHAL_5G299900 transcript:PVH38604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLILLRATALGLAAAGAGALHAISRWTPPRDLSPYVPSVRFMLLESAQGLQAALLGAHPLSGKHLRDVRARAEHDLALADVDLTEGGDPATAIDLRLLLAFLATRDGRADDALHIYEEAARDAPFDARPRALAYYLCRLVGREDESVRWSAAYRRLVPVIDGASLVPEMESYEMQGLVRELLVAATVGCVCKIVHPEDRAVVMRAACGAVDQGLVAALQDKALSATERLYLRALRVYLHAKVRLLIKKEARDVADGDAEASPVS >PAN31334 pep chromosome:PHallii_v3.1:5:51331654:51334504:1 gene:PAHAL_5G415200 transcript:PAN31334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAARKAAGGGGGMGRAPALVLALVAAGAFLISYNFFAMLLRGGGASAAAGARDPVVAMPAWMREAAGREARRRPFHVALTATDAAYSRWQCRVMYYWYKRMQAQPGGEAMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLQKAKIEEEYILMAEPDHIFVKPLPNLANDNNPAAFPFFYITPSEHEKIIRKYYPKERGPVTDIDPIGNSPVIIKKTVLEKIAPTWMNVSIQMKEDEETDKKFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTKLGNTFIIHFTYGCDYSLKGELTYGKIGEWRFDKRSFQNGPPPRNLTLPPPGVPESVVTLVKKVNEASANLPRWDDGI >PAN28909 pep chromosome:PHallii_v3.1:5:11199059:11207816:-1 gene:PAHAL_5G185900 transcript:PAN28909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALAMCPAEPYVLSGGKDKSVVLWSIQDHISALGDSSSSPGASGSKQSGKTANEKESPKVDPRGIFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTSPAVKVEKAHSGDVHCVDWNPLDVNYILTGSADNSVRMWDRRNLGFGGAGSPIHKFEGHKAAVLCVQWSPDRASVFGSSAEDGFLNVWDHEKVGKKKNSNVPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEEEVLQELENFKAHLASCTPRN >PVH38279 pep chromosome:PHallii_v3.1:5:13322710:13323875:1 gene:PAHAL_5G218000 transcript:PVH38279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAKAKCRAAAAVGHSHGALEVLDADAAAKGALGLEADARGEAATRARASNSSSGRLDAEKVWMEMYRVGHWGFGRLSISVTPPTPVRPGGVATARSADGGDGGRKDADLRCES >PVH38449 pep chromosome:PHallii_v3.1:5:16850976:16854855:-1 gene:PAHAL_5G261500 transcript:PVH38449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGCNGNGAAAGVVVPEIKFTKLFINGEFVDAVSGKTFETRDPRTGDVLAHVAEADKADVDLAVKAARDAFEHGKWPRMSGYERGRILSRFADLVDEHTEELAALDGADAGKLLLLGKVIDVPSATQMLRYYAGAADKIHGDVLRVSGKYQGYTLREPVGVAGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGHTAGAAITSHMDVDTVAFTGSTEVGRLIMQSAARSNLKSVSLELGGKSPLIIFDDADVDMAVNLSRLAIFFNKGEICVAGSRVYVQEGIYDEFVKKAVEAAQSWKVGDPFDATSNMGPQVDKEQFEKVLKYIEHGKSEGATLLTGGKPAADKGYYIEPTIFVDVTEDMKIAQEEIFGPVMALMKFKTVDEVIEKANCTRYGLAAGIVTKSLDVANRVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGLAAMDKYLQVKSVITALPDSPWY >PVH38231 pep chromosome:PHallii_v3.1:5:12424525:12430966:-1 gene:PAHAL_5G204300 transcript:PVH38231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLCELLDEVFVRTKENAEKKLTLTYEEMEAEREEITMHIQQKGINSFFKRKRDESNIENEQVQVQVEEPPRDADQSPLPLIEAEHHHRQEEQQPIPVLFRGIEFLERDPALRPQIWEYPSNQQNEVQRAYLKLGPMQPKLKNYKAFGPQGHQRRFQYHWFSEFPSWLEYSESSGKAYCLLCFLCSKNIKKRGGFDAFTIQGFNNWKKVHDRKNCAFLVHIGSDPCSEHNNSAKECQALLNNLNHIDNIMEVASNQEREKNRLRLRTSIAVVKWLTFQACSLRAHDEKLESKNRGNFIELIKLLAEFNPEIAAVVLENAPQCAKYTSPDIQKEILSIFALKIRKHIREEIGDQKFSIIVDETCDISKREQMAIVLRFVDIDGVLQERFFDLVHVRNTKALTLKAEICYVLSTYGFDVQNLRGQGYDGASNMRGELNGLQALVLKECPYAYYVHCYAHRLQLALVAAAKDVVPVTQFFQKLLFIVNTVDSSAKRHDELHDAQVVELARLLAVDELETGQGANQIRSLKRPGDTRWGSHLGSISSLMDMFNPVSTVLQNLAADSTAGTNRADGDTSFNYMISFEFVFILCLMREILEITEQLGQALQKKSQDIVNAIRLVQTTKILLEKMRSDDGWETFICKVMEFCVDHDIDIPNMDETYILRGGRARRQPNHFTTDHFFRVEVFRATLDTQLAELNLKFNEKVIGLLSICVTLVPKNGFASFQSSEICKMVEKYYPADFNQQEIIGLEYQLNHFVVEASRSDDLKRIATLAELCKCLVNTGRHRVFNLVDRLLRLLVTLPVSTATAERAFSTLKIIKTRLRNRMEDDFLANSMLVNIEAEILGDYNYEDIIHDFIDVKKRKVHF >PAN32055 pep chromosome:PHallii_v3.1:5:54258556:54262108:-1 gene:PAHAL_5G459600 transcript:PAN32055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNASGSFWCSTVAVLQQVLGPQDAPHGLAGLQLMQRLGAASPGGTGRDGAMPPVPAPPPLLFRALASLNLLLLVGYLLLVLLAKLFARLHLRATAKDHTTSGWYHGCDDNRAEAATDAEDAAADDVVEGHRADTLFWFDEAVFEDTALLLGGEGKDHLYATTAAAAARCLQEVESTFPVEESAPRISPGNQDHRVDAEAAEPKQQVQEEAKGGVAVDVPMVVPEQRNNVPLVTSPEKNVSVEGKKESSSREEDARRDTGHDGRAGEEDHAAKSISGGQHDVKLFVNSRALADTRKLLLEGVVAGGKGGGGGAQLQDDKDRNGDSSRFGASTLASESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSARWESYTLFRKYDEDMVYFHRVGAQKLTETESFRSIKYQPRSMSERIVQKLTPKPSAPIGLRDPYPDLERAYVAQVCLTWEALNWNYTSFRRHNGGDGNIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGRRPEVYARMKNSTPKLLLVPEFRDEEDEKDDLISAVQFLLILEESIRTFMTFLRADKRSHYEMFREMVKRRSSAVDQTLVIALKRANKRKKSRLKDLTRPRRCLKRTKLREEEELSILLGLIDLKVVARVLRMPEVTDQQLHWCEEKMNRVRVDLEGRMQRDPSPLFYPAH >PAN26984 pep chromosome:PHallii_v3.1:5:3270916:3276434:1 gene:PAHAL_5G051600 transcript:PAN26984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETHTPPPRPVMLADLNFEPAESDGEDRPPTPRPNRAAAIAVPVAPAPAVAADSSTRSGNEEGGLAKNVTATKDSDTVECEDADQHCQGVSAPREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQAQKAIASYEKSTEILLQDEEEVRRPDLLSSVRIHHAQCILQASMGDSFDEELETSEVDEILVKLKSSVESDHRQAAVWNILGLVLLRGGQIQSAISVLSSLTAAAPDYLDSLANLGVAYIQSGDLELSAKCFQELLLKDQNHPAALVNYAALLLCKYGSLSAGAGGNVTAGPYLHQKEALAVAKECLLAAVKADPKAASVWVNLANAYYMAGEHRNSKRCLEQAAKLEPNHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEHINPNDTEERALYTLKQAIQEDPDDAVQWHQLGLYNICMTRFSRSVNFLKAALARSPDCSYAWSNLGIALQLSDDPSSETVYKRAMVLSPTQQLHAIFSNLGILYRQHRNYEFARKMLLRSLELCPGYAPANNNLGLVFVAEGRWEDARSCFEKALQSDPLLDAAKSNLLKVLALSKKQ >PAN31115 pep chromosome:PHallii_v3.1:5:49525012:49526621:-1 gene:PAHAL_5G397900 transcript:PAN31115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRRLRPSARMALAVALAVLASMAAVPRGRCDAAGTVVRSIFVNRNGSADFESVQHAVDSVPFGNGQWIRVHVAAGVYNEKVIIPQNKSFILLEGEGRQQTSIEWADHAGRDSSTAASPTFAAYSADFMARDITFKNTYGDGDGGMSPAVAALVSGDRSSFYRCGFVGVQDTLSDMDGRHYYEGCYIEGAMDFIFGNGQSIFQGCDIRTAPTPVSPGFITAQGRQSEADTSGFVFKGCTVRGDTPAYLGRAWRRYARVIFYRTDMSGVVVGQGWDAWNYKGREDTLTMVEAGCTGQGSNRTGRVPWTKALSDGELAKFVDLSYISGDGWLDAQPR >PVH37945 pep chromosome:PHallii_v3.1:5:7826977:7827678:1 gene:PAHAL_5G131300 transcript:PVH37945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPEGRAGPREGRARPRRPSAAGRCRARDMPGLPPGPRRRAEFPWPRAVRARRGLHAAPPGPVTAITPRRGPPPCPIPVPRPAERSPPPAYATRAVHGLQGGYKENVGACVRPPGPSRGPASRGRPAGPRPSRGRSTVRARRGFWPGSPSHTVATADRDRWASCRVPSLAVPSPDISERGSASGLDARTMPATATPADASSSRRMALRRPARAVHQQGRPRGGREEEVRSIG >PAN29942 pep chromosome:PHallii_v3.1:5:16821815:16822543:-1 gene:PAHAL_5G261000 transcript:PAN29942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERKRTRLRKSLQLYLCRTLKKIPPMHIPSSTIPANITGARLLSTCRFPRTASVDMDGDRAAVTAACADENSKDQAATLSDVDRFLFDNFRSLYIHDDDDNNHLCFRSSPGTSTSLVDETQPTAKTSSSSESVAEDIKEARPAEESGDNTAIVVFSMDPYADFRRSMQNMIKMHHGRISQPLDWDFLEELLFYYLQLNDQAVHKHILKAFADLTAGAHQKGSSAPRKAQWAHKSVRSRKH >PAN31431 pep chromosome:PHallii_v3.1:5:51569448:51570517:-1 gene:PAHAL_5G419300 transcript:PAN31431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRYEVEVTVGSGQDLKNVNWRNGDLKPYVVLWVDDGPKCSTGVDNHDGENPEWRDEKLVVRLPPSATRLEDAVLHVDVVHANAAAGTKPLVGSARLPLRDVLDGAGIGSKVSRTLRLKRPSGRPQGKLDIRVAVREAARYHDPSPYPAPYGHPAGSGSKDPYHAAPPPPPYYGQPPVGYPAYGAPSAPAYAAAAPVVVVPAAAAAAQQKGSNKMGMGTGLAVGAAAGVLGGLAIAGGASYLGERFDHDCCDSDDDY >PAN27937 pep chromosome:PHallii_v3.1:5:7189944:7192780:1 gene:PAHAL_5G119600 transcript:PAN27937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEEMLAMLYSMAIMRLVNGFVENQHKKTGRSISELAEAVGIPRILVNIRHESSHRNLPSLPLLRLACIKAFDWLKCIYWDRQTNSIPDVEVELGPRLHEIASFLKENDSKESKSGSKRKRSEKLIVKAIKYTRRLYYACPFEVVSGLLDLMQLDAPESPESSDMKEIHSLGVYHSSDTQMSNSDMKTIIMKLSEKEPRLLLSVLKSVIEMIKAKEELKKKLSIQSCEVQFVLPCFWPNWLLYLLCSLVLWLVTSIKELKDSGCIGLVHEIGVLSSDKNAVPCFCLAKLLRKLLNLSTIGESCIIDAALLLIEMVNSNSVKEKLRKLPVLSLPRLAKVSSLPQSRTICSEQGSLEKSMEMLELFKLQLKRQNNPCLTETGTTEGPFNKSTPEKHNRWSVAKSWTPCPIGTIPCSFSSCAVLPAFDVVDHGLEVATLEQHGTFEEVDHSERFESQSDELDGENILQISRSSPEYDISDMPELTSPLKGRLLVGGVWKKVSEEELLLIKSKMNLLL >PAN27397 pep chromosome:PHallii_v3.1:5:4826274:4827670:1 gene:PAHAL_5G077900 transcript:PAN27397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] MGVTKEDVEAAITSALSPSHLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPEPEPAADKPQA >PAN26335 pep chromosome:PHallii_v3.1:5:239376:241091:1 gene:PAHAL_5G003000 transcript:PAN26335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRPRGGDDKWKLSKKGRSRSGRPHTMDAAGTSTTMLSRSYSASVSTTRTASTSSCSSSSSSSSCPQPQRQQQGAGQQQSSRLSKKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >PVH37795 pep chromosome:PHallii_v3.1:5:5643535:5644913:-1 gene:PAHAL_5G091500 transcript:PVH37795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNGSNTIAQPKYKDTSQLDAVTSDVYGFPLRQDERSGMFIQINNIGDQRISIRNSVTFGWHIYMVTLNLIFFVHWTRDGQERTGCYNLQCPGYVPEPNKPTVPGIAIDAVSDPDGVKRTIIFKVFKDSAGDWLMRIGFDSRPYLIGRFPKSLFTSLGDKADNVRLGGFVATRTTQLAPMGSGFLPDNAKSASLSNIQLIDQNGKTSKLDRDQPADMNDRNIYSVSPISVEGKFTYSGPLK >PVH37981 pep chromosome:PHallii_v3.1:5:8525024:8526418:1 gene:PAHAL_5G142400 transcript:PVH37981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALCSASIFPSFVPSGRTRRPASDGWRQGSGGGAARRAPCGGGSEAVRFRRAVGRGRLEPRRRGVASHVRRPNERRQAARRKGGVVTRPVGAGGGGGEAEAAQPKPADVAAWVQLGPCGWRAASDQLVAGDARVCGQRPAAGPSAREQARLGRRAELEREALGQYKIAVGPTKLGKPCQQQDPRLCIRARTIV >PAN29071 pep chromosome:PHallii_v3.1:5:12152117:12155801:1 gene:PAHAL_5G200200 transcript:PAN29071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTGPVAPGFSALGHGSTQQEATLSPTHPFSLSAMEAASSKQEETGRSGGAGDGGDGDDEAKRKEEALASSRLLDPGFKPSKLSQDRLDKFKELHKKRLQITEKPKHKRKPKGTTGRNTKVNNDYKFPDKDESADSSPRDVHHSSSVTVIQQDPASALSSRNKRKLHWGLDIKERWERKANM >PVH38879 pep chromosome:PHallii_v3.1:5:46278192:46278732:1 gene:PAHAL_5G375900 transcript:PVH38879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHVLCQTIVDGTRVSVGYLSNITNGAAQLQSKSRSHTVDPKECKRQRERARYGAMSLQQRNDRNKKRRKACQRKNEAGSAYNKENEEQDGNSDWFYRNDSYQPDNLDNDIMASHLLGIVVYF >PAN33123 pep chromosome:PHallii_v3.1:5:59355773:59359397:1 gene:PAHAL_5G538800 transcript:PAN33123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFLLLLLLLLLANGAALLQALNQDGLHLLDAKRALTVPAPALADWNPRDDTPCNWTGVACHDHDGAVTAVSLPSLNLAGSFPAALCRIPGLRSIDLSDNYIGPDLDVARCKALQRLDLSVNALVGPLPDALADLPDLLYLNLQSNNFSGPIPEPFARFTKLQSLSLVYNLLGGKVPPFLGRVATLRELNLSYNPFAPGPVPPQLGDLSALRVLWLAGCNLVGTIPPSLGRLTNLTDLDLSTNALTGPIPPEITGLTSAVQIELYNNSLTGPIPTGFGKLQDLRGIDFAMNRLDGAIPEDLFHAPRLETLHLYANALTGPVPESVAKAPSLLELRLFANSLNGTLPADLGRNTPLVCLDISDNAISGEIPPGICDRGELEELLMLDNRLSGRIPDALGRCRRLRRVRLSNNRLAGDVPDAVWGLPHMSLLELNDNHLTGQISPAIAGAANLSKLVLSNNRLTGAIPSEIGSVSKLYELSAGGNMLSGPLPSSLGGLAELGRLVLRNNSLSGQLLRGIESWKKLSELNLADNGFTGSIPPELGDLPVLNYLDLSSNELSGEVPVQLENLKLNQFNVSNNQLHGPLPPQYATEAYRGSFLGNPALCGEIAGLCGGDSQSRKNFHSGFAWMMRSIFLFAAAILVAGVAWFYRRYRTFSRSKLRADRSKWTLTSFHKLSFSEYEILECLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWSAAAVKNGGGEGSAADDSFEAEVRTLGKIRHKNIVKLWCCCAHKECKLLVYEYMPNGSLGDVLHSSKAGLLDWGTRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAELSARVADFGVAKVVEAKSMSVIAGSCGYIAPEYAYTLRVNEKSDTYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTLQQKGVEHVVDSRLDMSCFKEEMVRVLNIGLQCASSLPINRPAMRSVVKMLQEVRGEGRPRVDKDGKLSPYYYEDASDQGSS >PVH38907 pep chromosome:PHallii_v3.1:5:48403425:48405646:1 gene:PAHAL_5G387600 transcript:PVH38907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSDSYLIRVRQRWFLFVDNCGNSIVADHLDPGAIGSGFPMSGGPQGITGCIANAAGPVPSSASVNCLCHGLMASFRRHHCREGDTDVISENKISSTYVVLFSELCQRAIQICCSQMFDGIPFQMLSEMQIVIVLLSYIQSIFEPFLDVPSRCHSNSSGHFFPICAYQGIRPTLMLAAYIPTN >PAN29367 pep chromosome:PHallii_v3.1:5:13550695:13552978:1 gene:PAHAL_5G221300 transcript:PAN29367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRTVRIFWDDPDLTDSSGEEEGCGARRVGRMVRELPPMAVAAAPAAPTPEQCSAGDGDRGRRLGGGGGVPGACSGGARRRLAKGGPGATSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDTAAIQLRGPNATTNFAAAQSGTGAAQDADPDPATPGYESGAESSPAASSPTSVLRKVPSLSSLAEDYSKDDSDAAPCEPAAAERFSLAVLEEEALGEFVPFEDAPVYATSGFWDIRPDAGFLYAEPSSPEASWNTAAYPASSGEAPSWAASSPATQENDYFEDLRDLFPLHPLPAIF >PAN29092 pep chromosome:PHallii_v3.1:5:12245315:12248693:1 gene:PAHAL_5G201800 transcript:PAN29092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFALMTGRLLTESTLRSAIHESLADAEPSTTAGDDRADPSVVSEDVQLGVGKAKSGVMVECRICQEEGDEAYMETPCSCKGSLKYAHHRCVQRWCNEKGDTICEICLQQLTPNYTAPLKLLRNGRHLINFRGAVERRENHGASYGHTSDQADSASSSYSQSSNTKGVMYCRVFAIALMTLLVLRDVILLILRSHEVCSMELITLLMFRTAGIVIPVYISLISVTTLLHRCNQQQAVHETPVSEPRGAGGLQPMPPQQQQHIINIR >PAN27221 pep chromosome:PHallii_v3.1:5:4176066:4178998:1 gene:PAHAL_5G067400 transcript:PAN27221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSTNRQVTFSKRRNGILKKAREISVLCDAEVGVVIFSSAGKLYDFCSPKTSLSKILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDTMQIELRHLKGEDLNSLQPKELIMIEEALDNGLTNLNEKLMEHWELRVRNNKMLEDENKLLAFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >PAN30295 pep chromosome:PHallii_v3.1:5:37516336:37524520:1 gene:PAHAL_5G342600 transcript:PAN30295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTAMSLSAASASASAAAVASGATASRPNAIRPAAGLRFCGLRREALGLRSVRSSPQVVAAGRSVAAAATAGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSSAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDNILNHLSIPAACFTHPEISMVGLTEPQAREQADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNAGDLHSVNEPVAA >PAN30296 pep chromosome:PHallii_v3.1:5:37516158:37524520:1 gene:PAHAL_5G342600 transcript:PAN30296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTAMSLSAASASASAAAVASGATASRPNAIRPAAGLRFCGLRREALGLRSVRSSPQVVAAGRSVAAAATAGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSSAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDNILNHLSIPAACFTHPEISMVGLTEPQAREQADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNAGDLHSVNEPVAA >PAN31990 pep chromosome:PHallii_v3.1:5:53946162:53951483:-1 gene:PAHAL_5G455300 transcript:PAN31990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGLGQLLAALAAALFVRAIAGPGPALLPPAEDTEDDETDAEAGGEGGGGVQPVAIRWARITCALKNKRGEVARFLLSNVSGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGYLYVNGRPTSKSGYKIAFVRQEDLFFSQLTVRETLSLAAELQLPDTWTPERKERYVNDLLFRLGLVNCADSIVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTIICSIHQPRGSVYGKFDDVVLLSEGEIVYMGPAKEEPLTYFASLGYQCPDHMNPAEFLADLISVDYGSADSVQSSQKRIENLIETFSNKALVTEGNDSIAKPQESEFSSKVVRKSSMKQRGGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAVIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERTIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPRISLIRWAFQGLCINEFKGLQFQQQHSYDIQTGEQALERFSLGGIRIADTLVAQGRILMFWYWSTYLLLKKNRPKYQPVLPPLEEDHHKQQVE >PAN30058 pep chromosome:PHallii_v3.1:5:17606874:17609745:-1 gene:PAHAL_5G269800 transcript:PAN30058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKPEPAAPPQAPSAAKGVFMRRIFPFLLATNVFIGVYVFAKTYKRDQEKKHTEAAAAAAAAAALSSPASATAKAADPAPAPAPTPKIVLPPPSEDEQRQVYKWMLEEMRKIKPRDAAEKNKLNEEKALLKDFIRAESLPRL >PAN31746 pep chromosome:PHallii_v3.1:5:52956593:52959951:-1 gene:PAHAL_5G441200 transcript:PAN31746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKSKILVVGATGYLGRHVVAASARLGHPTFALVRDAAPSDPAKAALLKSFQDAGVTLLKGDLYDQASLVGAVRLADVVISTVGAQQIADQTRLIDAIKEAGNVKRFFPSEFGLDVDRTGIVEPGKTLLSGKVAVRRAVEAAGIPYTYVVAGFFAGYALPSIGQVLAQGPPADKAVVLGDGNAKAVYVEEGDIGTYTVLAADDPRAENKTLYVRPPANTLSHNELLALWEKKSGRAFEREYIPEEAVLKQIQESPVPLNIILAIGHAAHVRGEQTGFEVDPAKGAEAAELYPDVKYTPVDEYLDRFL >PAN32628 pep chromosome:PHallii_v3.1:5:56729618:56730058:1 gene:PAHAL_5G501100 transcript:PAN32628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDDGSKQAAARPRTSPEQAKSRSLRRLPHVACLVLAAAHLARAPRDLAFVAAAHAALALLLLCVGRHEAAPTAEARGRLRVLVWALSTALTGLFACRAAAAVPPPLGVLVYGLALLVTAGGFVLLFLGDAGDLWTREKSQDKGL >PAN28954 pep chromosome:PHallii_v3.1:5:11258818:11259092:-1 gene:PAHAL_5G186600 transcript:PAN28954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNHIKLERVSHSKINVVTEIRLLIPGWRTHISWALWPVTLLLPLFGLFPGSVLSSKFVIFSLLQCGGSTQ >PAN26877 pep chromosome:PHallii_v3.1:5:2827841:2833097:1 gene:PAHAL_5G044400 transcript:PAN26877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSQNQPLPPGVGTWPQAPPNHPPQFHSDPQSYHPPFDTRPDDASANGSDSAANIESAVQEAVLHAQDVETQQVIQNQRHANTTSEPTAYGEDLLSNRRDPNALKEHLLKMTADHRAEMANKRGKPLHPSNGNVEIGNGYGVPGGGAYYAANLPSTQMNKPRDESDKAKCGNDLPDFLKQRLRARGILKDETTNKNSTGTQNADSQENWNKSAHELPPGWVEAKDPTTGAPYFYNQSTGVSQWDRPGGAVNTMQHQVSSSLPENWEEAIDETTGHKYYYNTKTQATQWEPPISVNTIVTPHAPSNTAVEPVSQTADIWDSQMQRCSGCGGWGVGLVQSWGYCNHCTRVQNLPFQPYPSYTNNTAHSGNSATRTQGNAAAKDRSSSKPPSGKPNRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVATHGKKRGGMAPITKRGDGSDGLGEAD >PAN32769 pep chromosome:PHallii_v3.1:5:57448669:57449835:1 gene:PAHAL_5G510900 transcript:PAN32769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADSSPPAGAAASGAWEWEGKVVSPVAAATADEAWALLSDFLAFHRWHPRVAACRLASGTPRLPGCVRYCEGTVPLAGDGAAPPADWAHETLLEYDAERRFFRYEMNDNNMGFGLFFATFRVVPAAAGAGCELRWEFECEPVRGTPREALVARLQAGLDGMAARVRDHVLAARAAAASGTAAVAPAAGELKLDNSIAV >PVH37961 pep chromosome:PHallii_v3.1:5:8086499:8089188:-1 gene:PAHAL_5G135300 transcript:PVH37961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQTNPIPAHDTTSTPSHWWSTPPWTRVAPISSLPRPPEPEGSPMGISGGGAGAGAVALPVLLLLLLLLPPPAAPFSFTYNFTSPSDTPPPGIAFQGDAFYNKAIRLTRDERLGPITSSAGRAFYSRAVPLADPVTRRPASFAAAFAFSIAAPDPAAASGDGLAFFLSPFPSTLPGSSAGGHLGLFNSSAPRAGRLVAVEFDTYKNEWDPSGDHVGVDIGGIVSAATADWPTSMKDGRTAHARVAYDGGARNLTVSLSYGAARPNATGDVLLWYAVDLRDHLPDSVAVGFSAATGEAAELHQVLYWEFTSTIDPKEETVVLWVVLGLCGLLLVLVGAGIVWFVKQWRKTGEFDDGDIVVDDVMGGEYDELADEFVVESGPRRFRYAELEAATRNFAEDRKLGQGGFGAVYRGFLKELGLEVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDHHLYGKGVLLTWPTRYDVALGLASAMLYLHEECAQCIVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPYTTALAGTLGYLAPECVMTGKASRESDVYSFGVVALEIACGRRPAELSEEPSKARLVPWVWELYGKNALLEAADWRLKGQFDEKQMERLMVVGLWCAHPDYAHRPSIRQALNVLKFEAPLPVLPPKMPVPTYFPLPDLVAPISVGGASSTEDPGISDCGSSGQGSSVRDRLLEREP >PAN32142 pep chromosome:PHallii_v3.1:5:54726577:54732257:1 gene:PAHAL_5G467000 transcript:PAN32142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRARPLLLSAVATFLVALLHAAAAAAATSCAPRACGNLTIAYPFWLPDQASPSSAPCGPAAFQVDCRGGQASLARSFRGAYKILRVSYGNRTLVVANDNVQTDASGCPVPRIDVSASLSLAPFTASSTNAQLVFLFNSNCNAAAGAPPPGFVNVTCPGTQALVRLDPRYNTSAARAVAGDCDYSVVPVLPGSPGATAADYPKLLRGGYQLEWRASPGDCAACNASGGRCGYDANADAFACICSDGSSRPTRCDAKKSGKKVILIVSLSITFGLLLAFLTIILKFRRRIRSFSLPSIMDRRGSSDTANVEKLLQKYGDLAPKRYRYSELKKITESFKHKLGEGGYGVVFSGVLSASRGNREREVAVKVLHHSRPNGEEFLNEVVSIGRTSHVNIVTLLGFCLEGSKRALVYEYMPNGSLDRYIFSDDPGAALGWETLHEVAAGIARGLEYLHEGCNTRIIHFDIKPQNVLLDADFRPKIADFGMAKLCSPQESILSMADARGTIGFIAPEVFSRGFGVISTKSDVYSYGMLLLEMVAGRSNVKAYAEKSSGDLFFPLWIYDHLLQDGGLLQDRGAGAADDEEIARKMALIGLWCIQTVPASRPSMSRVLEMLERSIHELAMPPRPYHVSPSNSPSPSHPSSYPSSTSGFTLRSSRVHTPESTA >PAN29814 pep chromosome:PHallii_v3.1:5:15949298:15950858:1 gene:PAHAL_5G251500 transcript:PAN29814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTSGHVQASRFLAKPRPSSSAAAGGGGTPDPLRTGSGLEGTRKPNKDILEHDRKRQVELRLLVLRDALEEQGYTEAEIVERVEEERKAAEAEAAAEEGQHCAQGKGFMNTHGHHAAARKENQLQTMRGALGLDAESDVEPGELVPRKQGTMMRLAARPVGRVKHECNLDADYDLDLRKSEK >PAN28852 pep chromosome:PHallii_v3.1:5:11016704:11027953:-1 gene:PAHAL_5G182700 transcript:PAN28852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69830) UniProtKB/Swiss-Prot;Acc:Q94A41] MSVGSGCIPAIPGVAPPPRAGLLGGAFLQVAAARPRAGRCRATQQGRVRLGGRVVARAGAAETPVAGADEDAGAVFSEKFPLRRCQTVEGKAWVRVDAEPDGDGKCKVVVGCDVAGKWILHWGVSYDGEHESEWDQPPSEMRPPGSVPIKDYAIETPLEILPNSEGRYEVQIKFDMDTPVAAINFVLKEEETGAWFQHKGRDFRIPLSGSSDGGVPFGATEDIGVWPGDLGQLKKHEGSNAQPQETIPGGKGLSGKHISGFYQEFQIIKSEYTHNFVTVTVKRDNETHKRRVEFDTDIPGEVIIHWGVCRDNNMTWEIPPEPHPPTTRIFRQKALQTLLQAKADGRGNYLSFLLDAEYSGLFFVLKLDEYTWLRNLDNGSDFFISLTRMEQRGSTQDPGKVEPQKVDKPSQPDGIISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDSVEASVDLDDQSIVKPACSGTGSGFEILCQGFNWESHKSGKWYVELGKKAKELSSLGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTMDELKELVKIFHEADIKVLGDAVLNHRCAQFQNNNGVWNIFGGRMNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRNDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPYGMELQGYAYILTHPGTPAVFYDHIFSHLQPEIAKFINIRQRQKIHCRSKIKILKAERSLYAAEIDEKLIMKIGSEHFEPSGPQNWIVAAEGQEYKIWEVSS >PAN31694 pep chromosome:PHallii_v3.1:5:52768226:52772212:1 gene:PAHAL_5G437900 transcript:PAN31694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGHRTRAAARLGGVTSRRHAELLLHSGVGAVSVKDLRLRRVVPPAAGSVGSSPECTAPVKSGSVESTPPEAASAAAAAEDLDRKPVLPRSKLVRDPGSFGYRRLLPFLNEMAKNDSSIGKEVPSDNAAAHSKNELSRSYSRLVDEPLGDSHRESDGIDSVEPAVVKTGDTEVKDGCNNVMEEANIFPHDLASSKPWLGRCTRSRFVHHPSSFSYKRMLPFLMENEISSQEGQRVKIRRVAEERLLASDENGIMASGQQQFAVSDDSSQERSRAQVERMEEEEPPKADENCVVDDRQTQSAVTKASPPECNAAEAQNVLQQEALTPSQDPLTSSEGDLISGGDDVQASGQHQIVVSEDSPEECKRDKVERSVQDEAVKSDGSHVLDRREFQPAEVSPSKNDTADVQKATQEEPSPLDGDEENSDKGDHLANEQLQPCVAKESLTAQLQDNAEFVEVPQCQNKDSGCHDVGFVSPTKTVIPLLYRRCAREPEDSVASHDDQLLDSDIQMICRPSHPCAVDRSLSVEEMSGCIPLAESGCKAGISQPIGAHSMEKSGLSPKKISPKKGILKRHPRGCKGICMCLACCTFRLHADRAFEFSRKQMQEADDIISNLLKEVANLRSLVEKPAVQQESTQAACKQASQVEEVARERRRQMFVDLNSHCRIPGPRVRFAQYAEEKKAAPSSGSSRR >PAN28725 pep chromosome:PHallii_v3.1:5:10397137:10398138:-1 gene:PAHAL_5G171800 transcript:PAN28725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNLHKTPLLPVVFVIPSHKAHGDPSRPATATPASSSRMDSRSSASPMICAAISPSTSASNSIPAEDEQEREAEPNFSGAAGVRGLTVAAYRLRRHRMLRKLPRSRRRLNRERRACRTSTDRRFLGSGNPKTDMTGSKLCFTKPTRDSNSHLACDLSMLQTMSCFA >PVH38916 pep chromosome:PHallii_v3.1:5:48482303:48483163:1 gene:PAHAL_5G389000 transcript:PVH38916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSFLIDTLEGLGVTERPRYYSREYEYHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDAYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEVVAQESLRQTRDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPESSAAADERDAAMQPLTDGNPEDGEREPLTLSAPEEDTPRK >PAN29394 pep chromosome:PHallii_v3.1:5:13718396:13727044:-1 gene:PAHAL_5G222900 transcript:PAN29394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKRNQRSEILALRRCNAAGGGGEDEGGGDPRPRKRRRGDEFFPVELLGHVPASGIPYAACGFRWSEEPEAPAEAAQPAAAARPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPAKPPAPVKTEQLVRKNGVLHDRGAISYRSYALFELDDDDDAEAIVAPYQARRNFGGSRKYLASRSTLTSVHDEPYSNYHRKEVMLRHYYEEDDEEDEEDEEEEDEEYEEEEKEEIFHCTEGFVYGDIVWAKLGKRQPMWPGVVVDPTQEVAAEAMPPQPRGVSVLCVMLFGWRTEFSDDEKKYVWVRQGLIFPFVDYMDRFQGQTELSSCKPADFQRAVEEAFLADQGFAEVLMDCSTKGQPVVCHSFPDDLHEVTGSNELEYQPQIKQYRRALQCESCGNCFPNKDSNKMVYVMEQLACRLCAMILRSKKYCGICLKSSQHKCGGRWVCCHGCESWVHAECDENCSDLKALGDNSYRCAYCRVKLNSTLPGKNTKFSDLKKDSWVQKGSKPDKVALVCFDMEGTYLPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWRSSIKLKGSLMPFGKWIDKHQPGVCPTNPSKRSYQKMKKQKLIDLLNDPYDPVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVSGKQDFTSWVCRACEKPEQKRECCLCPVRGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNIQPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYQMELHCLEKNGKQSTKKISYCANHRSPNPDNVLIIQTPAGTFSSKKLVQNNGKVAASRLIRKDVPMDSPSEVEISDNLSAARCRVYVRKDLKRSREGAIAHRVRGPCQHRWDEIDNLNPPREERDPESFSTFKERLRYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREEKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKRNVCAGDELTYDYLFDPDEADDRKVPCLCQTTNCRKFMN >PVH39394 pep chromosome:PHallii_v3.1:5:56137466:56138092:1 gene:PAHAL_5G491800 transcript:PVH39394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAGPPLRRRRALLALAVLSCLQLAASQLSSTRPLPRPAATATEPLEEVGGGVARRACTYTVEIKTSCSSPRASPDAVSLAFGDAYRNEVYAARVSPAYGFERCARDTFRVSGPCGYGVCYLYLRRSGGVGWTPEWSGCTSPPPPRPPPSTSATRSPTASGTASTAACAARGPGVTVSAGWGLAAHGGSQQQGGPLVVTTRLAVSL >PAN29078 pep chromosome:PHallii_v3.1:5:11911023:11913132:1 gene:PAHAL_5G196300 transcript:PAN29078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRPSPGKTTTRRRPFQPPPTRAPMLYIPRLPPRTPQLSSTLQQKQAYAVQEMDSASSLVDDTSSGGASTDKLRALAAAAAEGATLERMGSGASAVVDAAEPGAEADSGSAGHVGPAAAAGVGGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFAGEADAARAYDVAAQRFRGRDAVTNFRPLADADPDAAAELRFLASRSKAEVVDMLRKHTYFDELAQNKRAFAAAASAPKTSALAASALSPCSAPPSLAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLQAGDVVGFYRSAAGAGADSKLFIACRLRPSGVTASKAPAAEPSSAPAAKAVRLFGVDLLTAPAPAAAPAEAMAGCKRARDLAAPSQAAFKKQLVELALV >PAN29032 pep chromosome:PHallii_v3.1:5:11811979:11818565:-1 gene:PAHAL_5G195200 transcript:PAN29032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALQSWRKAYGALKDSTTVSLANLNSDFKDLDVAIVRATNHVESPPKERHLRKIVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPAFREEFLTFTQRVRILQLSNFKDDSSPIAWDYSSWVRTYGLFLEEKLECFRVLKYDIEAECLPKQGQGPEKGHSRTRELNSQDLLEQLPALQQLLYRLIGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALMALDIYRRAGQQAGSLSDFYESCRSLELARNFQFPTLREPPQTFLSTMDEYVKEAPRIVPVTEPLELPERLLLTYKPEEAEEAPEPVPIVEEKPQVVEEPAPVPSSSEIASPPPKPEIADTGDLLGLSDPNPSVSAIEESNALALAIIPTGVDNSRTNTQQDNGFDPTGWELSLVATPSSNTNPLAMESNLGGGFDKLTLDSLYDEGSYRQMQQQQLYGSAPPNPFMASDPFATSNQVAPPPSVQMAIMAQQPQPLMIEANPFGPPLQPQHTGIAPVANPFLDAGFGAFPAANGMHPQANPFGAAQLL >PVH38761 pep chromosome:PHallii_v3.1:5:38121908:38125900:1 gene:PAHAL_5G346900 transcript:PVH38761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSPPLPLSPSQEAGRPGNPLPTDLPRGYRFRPTDAELINHHLKPKLLGYHRPGKSIVIPEVDLRKVEPWDLPDTSRLDTSEWYVFNLRRHRGGFRIRVDRATPGGYWILTRKKRVMDDGNNRMVIGTKKTFFHYRGKAPKGTKNEEWVMHEYGVEVLPPAAPSAVPPPRPGRRRYCIRSCSTS >PAN27766 pep chromosome:PHallii_v3.1:5:6393342:6395429:1 gene:PAHAL_5G105500 transcript:PAN27766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEPASSAAAERLLEVSEEEVVIDFKPDAKCRADLRLRSLHPTLPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQAHPPPSFPRSRADRFLVLSSLSAAHLDAAGATDDTGGVSAVRLRVFFGGPYLLRLAADAGDAAAVRLILRRQPHLLPFLEPQPAAPDAAEQWAPLHAAAARGDCGEVRRLGPEALAARDREGRTVLHAAAAAGEAEAVAVLVDMGADTSAADARGRTPMDVAREKGYQEVVDVLERWELVMTAARRGDLQSLETLLGKRAGVRGRDQYGLTALHLAAIKGHSDAVALLAGSGCMDIECEDVEGHRPLHLAVEGGHAEAVELLLDMGADVNARTRRGATPLQMAEAMGYEAIAQLLCGRGAEVAAAPALCVASSSSSSISCA >PAN32330 pep chromosome:PHallii_v3.1:5:55489610:55497155:-1 gene:PAHAL_5G478900 transcript:PAN32330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLRSAVSKAVEAGGRSGVARTVLGYADAVAHHAGQAVAEGAKIINERMSTQNYKSVKQTVKRLEEAAVSSRGDERVQVLRRWLRALQEVEAEVGGSGGTPGQNSTEPNSSKASLARVLFYDADIGGSPMNFRDVFLYSQALEGITLSMILEAPKEEEVSLLLEIFGICLTGGKEVSNAIVSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNADIVRIDAEAVELWNKLDEKEASRVQSVDDPDKVAEKTGNVEYFKEALTEVRFCSRMEELLLKKKSINTGDSLEIHSQKVEKLKVLATSLANSSSKAEKRILDHRRQKEEALNFRAKKDNEVVIVEKDLTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFHEANNQMVFSLQAKENELSKSIDSCNVEAGVVKTWVNFLEDTWQLQSSYNEQKENKTIDELERCTTNFLKLTKRHLSNFKDVLSPSIDRIRTYVDNLAVLNSREESIEHGADDEISEKTSPQKSLEEEYLETEKKIVIAFSIVDRMKKMFYSEQGANSRQDDEEIRNLFSEIEKLREAFESVERPTLDIEVRRAKDPTKDKSESNRSTKDKSGPSHSPVPAPSSPKDVPVESPKSPVKPEQMLDPDSELAKLELEFGKVNKDHEDISGWDFDELEEELRADISKSSSPK >PAN31663 pep chromosome:PHallii_v3.1:5:52626518:52628650:1 gene:PAHAL_5G435500 transcript:PAN31663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGEEMLSVRNATRAATAWVASPVGRLARIEVLVTISCCLLAVLVLLGSGRRASRRATFRLAVWSALMLSYPAVSYTIGLMQSASFRNELIVAWGCFLLLLLGCADGIAAYSLNDSDQQARTILNQGLQVVYVLILLLSYVGALPLHLKVLLFLLWALSAAKLVMRVRSSVLAGRDSVLTVENKLIADYMSNKEHMEGGRNYDATTMKGYKYVVAGEADRPIDPSDDDIVTVEKVWQCQGILLSSDVDAAARRRKDICLSFAMFKLLRRRLGRFPLTEARLNKTRDFVKVGLLAGKEHERMYRVIEVELGFLFDFYYARYRSPKETLIPDALLFAAVVATSLGILFSPAVLDYRPSSSAAVATGYDIWLTRTVIALFLVLESFQFLMLVFSDWHKVKMLCRYVRDKSWHNRPGLQRMLKLMCQVRLIGYWNNSVGQYSLLLACLHSQRKGVWQLPLPGTIMGFLIRSRMTRHRKLPEEVKRSIYVFLKNGLTRVRYGEHTLEKNGVRGILRLSARPIQLPATSAAERILVWHIATQVCDLMSQSELGKVASGSNVRKEHLVAATLSAYCAYLVSSAPELLPEHSYDTRLLLEGVQREAREALKGCRSRDNICGKLNEVPADPPSVHRYILAEGKRLGEILHSSMPAAVSKWELLAELWVELLLSVAPSDNVNGHVQKLADGGELITHLWALLAHAGVVEKRTIIAESNV >PVH39285 pep chromosome:PHallii_v3.1:5:54961117:54961998:-1 gene:PAHAL_5G470000 transcript:PVH39285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAHVLRYLPIALSLLISKMFLRGMATNASLQIVSIEGVGEAWKWKIWRNIPFHNICLHDLRGRKLNVALFGDLGRNFDAELVSMQGRKAPIFAVFAGMLVRWYKGKGFTVCSTSTSKYYLDLDIPQVQEFRASLTDPHKPIHLLPCQVQNPVNPTDLVKNWRTIK >PAN32955 pep chromosome:PHallii_v3.1:5:58312122:58314498:1 gene:PAHAL_5G525000 transcript:PAN32955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRKKKSEFSGEEVIAEFERLTLDAAAVQRETLRRILDENAAVEYLQRLGLAGRTDADAFRACVPLATHGDLEPYIGRVADGDTSPVLTAKPITSISLSSGTTQGKRKYLPFNDDLFKLTMLVYRTSFAFRNRAFPVDDGKALQFVYGSRPFTTKGGLTATTATTNLYRNEEYKAAVRDIQLPCCSPEEVIFGPDFAESLYCHLLCGLLFAAEVRTVFAMFGHNLALAFETLEQVWQELCHDIRRGVPSPARVADPAVRRAVSALLAPNPALADEVARRCAGLTNWYGVIPALWPNAKYVHTIVTGSMEHYVRKLRHYAGGLPLVAMDYGASEGMVGANVEPEMPPESATFTVLPNIAYFEFIPLKTSDGGDACPVTSYAEAEPVGLTEVTVGEHYEVVMTTFAGLYRYRLGDVVKVAGFHNSTPKLKFVCRGMLTLSINVDKNSEQDVQLAVDSAAKILATAERLEVLDYTSHADVSSDPGHYVIFWELSAAEADDDVLQNCCDELDRGFVDAGYVSSRKTNAIGPLELRVLQPGTFQKVMDHYLSLGAPVNQFKMPRCVAGSNSSVLRILSSSTVKVLFSTAYG >PVH39216 pep chromosome:PHallii_v3.1:5:54013914:54015084:1 gene:PAHAL_5G456400 transcript:PVH39216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRPPSMGSDGAPGGEGAKEEQQQPLYPLAVNNGRQESKPRVAGVIVSFKARPAVAAPAPALPRRLTTVLVVDKARLCCSLCSLALKRPIYQCAVGHLACGGCRTKLSTNACRTCGDGGAASAYALCPGLDIFYGELHLQCPYEPYGCKSYIPYYRAASHQSACEHAPCLCPEPGCAFAAPPQVLLAHLVDAHSWPAHKIPRFGAIHALRVPAAGPDRLLVVAEEAEAEEEAAAAEDDDDDAEGPAVFVLSVRARGEATAVSVACLRANARAGPQYRCVLWAMAPAPRGAAGRAGRRLCMETDVPSCAQPGESAVEDGMWLGVAPVMMLGASREIHLSVLIDKL >PAN28532 pep chromosome:PHallii_v3.1:5:9643936:9648117:1 gene:PAHAL_5G160100 transcript:PAN28532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPPAPASESATPRFRLGKQSSLAPESGGEGGAGAGSAEGSAQANGVMSFQLMYLAHEGSAEGIRELLDDGADPNFRDSDGRTALHIAACEGHPEVVELLLQCGAEAAVEDQWGSTPLADAMHYQNHDVIKILEKHGSKHKIAPMHVNNVREVPEYEIDPAELDFSNGNDISKGTFRKATWRGIPVAVKKLDDDLSVDENKVRAFRDELDVLQLIRHPNVVQFLGAVTQSNPMMIVMEFMRKGDLRTHLNKKGALPPSYAVKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPYHDKKNDEIEKAHNSKERPPFRAPPKHYAHGLRELIEQCWSENPAQRPDFRVIINRLSAIHNEIAQRNRWKVRPLRCFLSFEGMWKKDRNEGSTTRSSRSSRSNF >PVH37809 pep chromosome:PHallii_v3.1:5:5825719:5827674:-1 gene:PAHAL_5G094100 transcript:PVH37809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIFFSGERKRKRGGRADLGGAEAPSYEGPDLISRLPDHVLGAIVTLLDTGEGARTAVLSRCWRHIWRDAAPLNLDDESFFSSLCYGDEHHPVISQIIAAHPGPARRLALRSSALYAFIGHYDAWNFTFTSHSIQELYLHFTVFHHHPELPASALRFAKLRVLDINNCTFPAAGDCSSPVFPYLTHLSLRHAVITEEQLHGIISNSPGVEAISLDTNFGYRRLCVSLPRLRYLAISDRTFGEREELSEVIVEDAASLERLLLHEVDNGPTVRITGAEKLKILGYLGVGFPIVELGNSSFKFSTVKILALEMPEAPKLKVVIGYLRCFPCLEKLHITLPSSASTAASRPSCCLQQYSGRKPHLEFAKFFVERARVLEVMKFCNTARVTPKWLENQCKRLNIMERASRCAQFPFVLQGQLPSRFWMGEGFSSDEPFMPCD >PVH39435 pep chromosome:PHallii_v3.1:5:56719877:56720817:1 gene:PAHAL_5G500800 transcript:PVH39435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAATAAQLPSPSTFPHGQQSSTSALSSASQPRSSSQCPEQSQLPCLHGAPKFKGNTHPRST >PVH38199 pep chromosome:PHallii_v3.1:5:11829881:11829997:1 gene:PAHAL_5G195400 transcript:PVH38199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNGGGLNRMLKEKKARLYIIRRCVVMLLCHRESTND >PVH38980 pep chromosome:PHallii_v3.1:5:50501133:50504384:-1 gene:PAHAL_5G407500 transcript:PVH38980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPALMEELVEEILLRIPPDEPAHLFRAALVCKDWCRIISDGGFCRRYRRFHRTPPLLGYINKEYHTSLKFVPTTTFSPPPLPATKSFRAVDCRHGRVLMTDIHGDPPGFIVWDLVTGNGPRRINIPAYHPHEDLCTFTGAVLCARHGCDHLDCRDGPFVVVFVGSYDDDGADNDDVYTSASVYSSETGAWSAPTPSVNYELRFCESCLLIGDALYVSLSSRAKILKYDLGGHGLSVIDAPLMRGLASVPMEIDGGLGLVEYDHGCIYTWSRQADGGWVRHNVAELQTIYIPRHNKFGMIRFAQETNTVLFSLDDYIDQGVFALDLNSRQVTKVGETRAYHTLPYMSFYTPDLAKGKLSPQ >PAN26498 pep chromosome:PHallii_v3.1:5:1109206:1113873:1 gene:PAHAL_5G016500 transcript:PAN26498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQLFDDPFSSSISSLEADIFSAGGQLPSPPWPDLDLDDDDIHDLSAPAANATSSGGYGSGGGSGGSHRKLSHNAYERDRRKQLNELYSSLRSLLPDADHTKKLSIPTTVSRVLKYIPELQKQVDNLERRKKELTNANCKPGVLNTSEIVTPVVSATCLNDTEIMVQVSLQSNAAATSLPLSKCIKVLENEGLHLISSSTYSTFDNKTFYSLHLQRSQRTMKEECPAFCDELESIIRKKAGA >PVH38984 pep chromosome:PHallii_v3.1:5:50704159:50705614:-1 gene:PAHAL_5G409700 transcript:PVH38984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNWVDDDGWVFSPALWGDVDEASVREALDGPSDGRLAITAVRRCCHCEVRAVRFLDSTKGRGAWAFGWYGAAARDVQVAADGGDLAANWPLLGAGRAHGRGIHLSVQGKPMPSVELSVASMNDQQTAHLLLCELSPGFPEIIDAGSPQSQPGSPLFRSGTDSSENPTWHVIWESSARTQILPLYMFSFRPTQLCKRSVSPHEEASIQKARLSM >PAN29349 pep chromosome:PHallii_v3.1:5:13349027:13350571:1 gene:PAHAL_5G218600 transcript:PAN29349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTKVYRVMFDGDAITTTVTSSGASVKGWLDEVFSVHHRRLHKLVVGLDVEWRPIFGRGYSPTALLQICVGRRCLVFQVLYADYIPNALVEFLGDSDYRFVGVGVGADAVRLSNDLGLDVANTVDLAELAAEEMGRRDLRNAGLKVIASAVMGVDIEKPDEVRLGPWDDYYLTDQQIKYACIDAFVSFEVGRMLLTGDY >PAN30278 pep chromosome:PHallii_v3.1:5:34861552:34864338:1 gene:PAHAL_5G336000 transcript:PAN30278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGAPRGGSRPRHDAGLREQRLSVGARGSPRWAGAVEASCGGGRGWRPRRCWDPGDRCANQGGASVRRGVRRHTPNLCSRVLGSHHYAARSNLFCLLECCLIMRDVNGA >PAN26583 pep chromosome:PHallii_v3.1:5:1510816:1514073:1 gene:PAHAL_5G023600 transcript:PAN26583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASASPPFFSGFDMALVLGVLAAILARAASVGVCYGTSGDNLPPASTAVGMLRENGFTVVRLYWPDPAALAALAGTGIKVVVGAPNDVLPALATSESAAAAWVRQNIQAHPLVTFRYVVVGNEVPAGETAHLVPAMENVHAALAAAGLGHVKVTTAISQGTIAVHLPPSAGEFTEEARSFMGYVVSFLERTRAPLLANLYPYFVYTLGLGHMDMGFALFTSPGPVVQDGEYGYQNLLDATVDALYTAVARLGGSRVRVVVSETGWPTAGGAAASVENAMTYNQNLVRHVRKGTPRRPRRVEAYVFAMFNENLKEAGVEQNWGLFYPNMERVYPITFGA >PVH39529 pep chromosome:PHallii_v3.1:5:58675478:58676811:1 gene:PAHAL_5G530300 transcript:PVH39529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSITDLEWGGGEQGNRSLFLFFTSCVPVLLRSILHMQRWWWRLPEPREVVAMAPLGYGGPAAACRACLADHMHI >PAN29270 pep chromosome:PHallii_v3.1:5:13029417:13032508:1 gene:PAHAL_5G213200 transcript:PAN29270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLYLVLLLPLLSFKICSGASPRQTMTTGSHIRGEDHGEVFLISPDRTFSCGFHELGTNALTFSIWYTTSATNRTVVWTANPYSVESGYSPVNKYGSRISLNHDGNLILTDTNGSMVWESRTSSGKHTTVTLLNSGNLVINDSSNNIVWQSFHSPTDTLLPGQNLTKDTRLVSGYHHLYFDNDNVLRMLYDGPEITSIYWPSPDYNAEKNGRNRFNSTRIAVLDDMGNFVSSDGFKIEASDSGPGIKRRITIDYDGNFRMYSLNASTGKWNITGQAVIQMCYVHGLCGKNGLCDYSSGLQCRCPPDYEMVDPTNWNKGCRPMFLTDKNQSTEDFTFVKQPHADYYGFDLSSNKSISFEACWNICLNISTCLSFTYKGGDGWCYTKDLLYNGQVYMYFPGDNYMKVPKNSNSLISTISKKESLTCEPPGSEVMLGSASMYGTKKDNINWTYFYVFAAILGALELLVIVTGWYFFFKKHNIPKSMEDGYKMITNQFRRFTYRELREATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGPNRLLVYEYVENESLDKYLFGERSTESLLGWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDFDAKIADFGLAKLAKRDSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGIRVSSGILLEERQIDFLEFVQEAKHILSTGNVGDIVDDRLHGHFDTEQAIAMVKIAFSCLEERSKRPTMDEIVKVLMSCDDEDDYHPAYSY >PAN28906 pep chromosome:PHallii_v3.1:5:11183177:11185391:1 gene:PAHAL_5G185700 transcript:PAN28906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Polyamine catabolis [Source: Projected from Oryza sativa (Os01g0710200)] MVAKKPRVVVVGAGVAGLAAARRLCGAGADRFEVAVVEAGARAGGRVLTSEFAGRRVEMGATWVQGVDGSPVHALARDAGALGALGLGWGGKDDDGGDAAGVLPYERMDGFPDRVLTVAEGGEVVDADRVAGPVEELYRGMMEAARAGEAGGSGGVEEYLRRGLRAYQAARPALAVAAGEANKELEEVEEALLAMHINRERTDTSADDLGDLDLAAEGEYRDFPGEHVTIPGGYSRVVERLVTALLPGTVRFGLRLRRLDWGEAPVRLHFADGAPTITADHVILTVSLGVLKASLGGKDASAAGAIAFDPPLPQFKREAVARLGFGVVNKLFMEVEPAEEPEPEGGGGGQPPEFPFLHMAFRGHVAKIPWWMRGTESICPVHAGSRVALAWFAGREAAHLESLPDDEVIRGVNATLDSFLPAPPRWRVKRVKRSGWATDPLFLGSYSYVAVGSSGEDLDAMAEPLPRGPGAAAARAPPPPRVLFAGEATHRTHYSTTHAAYLSGVREAERLLLHYL >PVH38708 pep chromosome:PHallii_v3.1:5:33681872:33682527:-1 gene:PAHAL_5G331600 transcript:PVH38708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYARLREVSPISKNWCIRARVARMWEHCGGRDGLPPLHVDLVLVDDEGDVMYPEGAGRDADVIKRAVKEGGVCTFTKFLVVNMKPSYSPFCAKYMIKLTPWTKMVSVSMSVDSFP >PAN32015 pep chromosome:PHallii_v3.1:5:54082175:54085127:-1 gene:PAHAL_5G457200 transcript:PAN32015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLLSMARSLVARHFLPHLRLQAPRPLPIPPLALGMITRCVGPSSSPVLAPQGTRYVADNRSHYDLFGKRRPGDEEFRKAWQEDIDEEDCLWTGSEDDDDEEDSDTKLEREIKKVKRQAKENANLIDADDSDELRSICPESDEDDMTLWSGSEDDDEDDIPSEAHPSERSDSYIDKVFEFDESPKYRTISELLKAENEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVSRKKWQKAKARKRKNDRR >PVH39200 pep chromosome:PHallii_v3.1:5:53834499:53834801:-1 gene:PAHAL_5G453300 transcript:PVH39200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLHIFFCRLLPYELQFQRARTMNRFFFSRNFRCSHEIAAHASSLVLRSEVVMLVFFNILNDYRSDTMI >PAN27721 pep chromosome:PHallii_v3.1:5:6199988:6208881:-1 gene:PAHAL_5G101800 transcript:PAN27721 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a1 [Source:Projected from Arabidopsis thaliana (AT2G28520) UniProtKB/Swiss-Prot;Acc:Q8RWZ7] MGVFDRLPPMDHLRSEKMCFVQLIIPAESARVAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINKAGVRSSVRPALEPDIDLEELEARLGEHEHELLEMITNSDKLQQTYNELLEFKLVLTKAGGILASSHNHAASAEQELDENIYDREVDEGNAYLLEQGVHQGSSGNSGVRFVSGMILKSKALAFERMLFRATRGNMLFNQAPAGEPVTDPISGEEVEKTVFVVFFSGEQAKAKILKICDSFGASCYPVPEEMIKQRQIFNEVSARLSDLEVTLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDCLQRATLHSNSQVGTIFHEMDTLESPPTYFRTDKFTNAFQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGALVLILREKKLSSQKLGSFMEMAFGGRYVILLMAIFSIYCGLIYNEFFSVPFHIFGKSAYECREKSCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVAQMNLGIVLSYFDARFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPAGDLGENQLFWGQKELQILLLLMALVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARARHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSLIVKSAGLVVFAFATAFILLMMETLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADDED >PVH38465 pep chromosome:PHallii_v3.1:5:17143895:17144973:1 gene:PAHAL_5G265500 transcript:PVH38465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGVGGSYVKKRRYYSDDLKIAIYLELLAKTDPPVLHHGVSKAVALKFGVPLRLVPSVWRNGQDYGGIEGVRNKLVKNCGRKKVEIDMEAIKDVPLRERKTIKDLANALGVKKTTLYNRFKKGYFRRHTN >PAN30471 pep chromosome:PHallii_v3.1:5:20306752:20312985:1 gene:PAHAL_5G284300 transcript:PAN30471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGARALIAAGATAVCCLVCAFWAFRTSSSSSPSFSKKQQSPSLNCSGCASCGCCAAKSANGEMAVGGDNKKKAQESAPPEGGGASMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQGTINPPNGWKAYYAATKAIMNLNAEFYNIIREGSLPAMSRFWLNADYVKCIHATGEFFTGYNAVMESWGLLFNWGQDGGQGIAFQIRDVRVRVLGEVAWVNLKANVDVDPVLFHVTNVYEFRNGRWYMVHHHSSLMADPVPHNMFG >PVH38405 pep chromosome:PHallii_v3.1:5:15969983:15970793:1 gene:PAHAL_5G251800 transcript:PVH38405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAYSRLLQPQPGERPHHRLPPPPPPPPMAGLLSKVSSAVAACARRVSRAARRLLRARRPRRDCRQLVPADDDRQEYSGDDSGGEEGGLWRRAILMGERCKPLDFPGAIHYDSFGRRLAAAPPPRGGKATAGPGPGALLCRSACDVDEAALAYMWTS >PAN31550 pep chromosome:PHallii_v3.1:5:52133328:52134406:1 gene:PAHAL_5G427200 transcript:PAN31550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLRAAALLLALAALAAAALLAPAAAGGVAGGDLGHLVMRRGGRACRGTVGECMGYFDVDAEGEGDVAGMATGGSKRRVLQGGSGYIGYDALRRDNVPCSQRGASYYNCRPGAEANPYSRGCSAIAQCRG >PAN31508 pep chromosome:PHallii_v3.1:5:51915025:51915862:1 gene:PAHAL_5G423600 transcript:PAN31508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTSYPMTSPVARSVLAILGASGGKVAKKYGAGPPLSLYPPTRSCDGCDGESAEDGEKDEGQFLRQEVLDSLLINATCSPQISSVEVHTPSQPSPWMTSTVPRTGSFSYPI >PVH38154 pep chromosome:PHallii_v3.1:5:11257528:11258582:1 gene:PAHAL_5G186500 transcript:PVH38154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAIAVLACFFPFLPRACSVPHDWYSEQVFLPWNTFNPEPNSQVQSDRHGGWWLQLTCVKSHPPTRICGEHPFSSPKLAEETTAAKVARQCSCVKFRQPKLIWIRGGAGGSSLFGSGYMTFQDQSVNLAAVDRFVDLLGKVFPNRIHKQKDDEC >PVH39574 pep chromosome:PHallii_v3.1:5:59338102:59339227:1 gene:PAHAL_5G538200 transcript:PVH39574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAARNDPMERPPADPIKCPSAACRDRVPALLHAAAATRNQYDRPDALLPQKIPAPTRCRHVHLRRRRAISSVLRPESAVWRIVGAAPPPEAPSPPSSRPRRTFSDSVTSVEPPPSHSRRSHRPRLRRAAAFLPRRPYAVPCAPTAAPSPTAAAPPKEAAALPTRRKWKLRWRAAPPPNSHCQV >PAN27187 pep chromosome:PHallii_v3.1:5:4030838:4035719:-1 gene:PAHAL_5G065000 transcript:PAN27187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSILCDAEIALVIFSSRGRLYEYSSNSVRSTIERYKKASASTSGTAPVVDVNSHQYFQQEAAKLHQQIQTLQNSNRHLMGESIGNMTAKELKSIESRLERGIGRIRSKKHELLLADIEYMQKREAELQSENMFLRAKVAEAERAQQEAAEDQQMAPPPDVAEATTELQALPASLDPRGYYLQAQASMMAAASAAAASSSSQHQQGQQEHHHLQTALHLGYHIKVDSAAASKGFL >PAN31680 pep chromosome:PHallii_v3.1:5:52712187:52712949:1 gene:PAHAL_5G436700 transcript:PAN31680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKISIYDRPSHAHARGWGAARTDPLAEHGPGTALAARATAALLSRLSLTLDPVLQPQKSKGTKPGRSHRLAPRPPPPPPPGRPAAAPHQGGGGYGRRRSRLHAGSCSCTASTSGRDSGVRPRPGRRSWERQLPARGHAGDVPVEGDRIRTPSNPSYTSAAGFGKEADRGGRRRGHGREGCAPPCQRQQMRSSRELRLEVAAGAMPGTAFGRAPVRCP >PVH37442 pep chromosome:PHallii_v3.1:5:246717:248606:1 gene:PAHAL_5G003300 transcript:PVH37442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAACCCASSHGLFYRSLTTTSRRPARLRLIYPSSAPAPRLSSRTLASPDPPPLDADDRDDAIGFEIQVSKMGKRNRRVVRARVRVDAPLEAVWATLTDYEGLADFIPGLSECRLLDQAHGFARLYQVGEQDLALGFKFNAKGTIDCYEGDMESLPDAAGARRREIAFNMIDGDFKVFKGKWSVQEEEQGGGPGDSYQYHTTLSYLVELEPKLWVPVRLLEGRICSEIKNNLVSIREQAQQQHEVRSAGAPP >PAN28117 pep chromosome:PHallii_v3.1:5:7866408:7871023:-1 gene:PAHAL_5G131900 transcript:PAN28117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRALCLVVLAAAAAFLPPSASTDSIGLAASIAGNQTLVSPGGVFQLGFFSPDGAGAGGRRYLGIWYYNIREPRPTVVWVANRQSPLVGSPGVLRLSADGHLVVLDAQNGTVWSSAAPTRNVTAGATARLRDDGNFVLSSDGSGSDQSVAWQSYDYPTDTLLPGMKLGVDLRAGITRNITSWSSATDPSPGAYTFRLVLGGLPQFFLLRGATKVYTSGPWNGEILTGVPYLKSNDFTFKVVSGPDETYYSYSVGASSLLSRLVVDGTAGQVQRFVWVNGWSSFWYYPTDPCDNYAKCGPFGYCDTAQTTQCSCLPGFQPRSPQQWNLRDGTGGCVRSTNLSCGAGSSDGFWVVNRMKLPEATNATVYAGMTLEQCRQVCLGNCSCRAYAAANVSGGVSRGCVIWAVDLLDMRQYTTVVEDVYIRLARSEIDALNAAAAAAAAAANGGGRPSKRVVTAAVATVAGVLLLLAVGCCCVWRKRRKRQGETDSSAPGGGDDVLPFRARKHPALEEDWKRAKKDVDLPLFDLEVILAATDNFALHNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSMQGAVEFKNEVKLIAKLQHRNLVRLLGCCIDEDERMLLYEYMHNQSLDTFIFDEGKRRLLRWQKRFDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTEYTKKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVMVLEIITGKRNRGFYEVELDLNLLRYAWMLWKEGRSVDLLDEVMDGSFNYGEVLRCVQVALLCVEVQPRNRPLMSSVVMMLASENATVPEPNEPGVNIGKNTSDTESSQGLTANNVTITAIDAR >PAN29395 pep chromosome:PHallii_v3.1:5:13730933:13735244:1 gene:PAHAL_5G223000 transcript:PAN29395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEKIKVANPIVEMDGDEMTRVFWQSIKDKLIFPFVDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDETRVKEFNLKHMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAAASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEADWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVAAELRSKLAAN >PAN32104 pep chromosome:PHallii_v3.1:5:54549586:54552318:1 gene:PAHAL_5G463600 transcript:PAN32104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNGGGTALPLPLPLLLLLSPLLLLHSLANAGSLEADVAALADFRLAADPSGSALASWNLSANPAPCGGSWRGVTCAGGRVTRLVLEGLGLSGAAALPALARLDGLRVLSLKGNALSGGIPDLSPLAGLKLLFLARNALSGPIPPSLGALYRLYRLDLSLNNLSGAVPPELGRLDRLLTLRLDSNRLSGGIDAVALPRLQEFNVSNNLMSGRIPAAVAAFPAAAFGGNAGLCGAPLPPCKDEAQQPNASGAVNASAARDCPPAAAMVASSPSGRPAGAEAPGSGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSGRRLQQGEKIVYSSSPYGAAGVVAAAGAGGATFERGKMVFLEDLSCSNGGTRRFELDELLRASAEMLGKGGCGTAYKAVLDDGTVVAVKRLRDATAAAGASKKEFEHHMAVLGRLRHPNIVPLTAYYYARDEKLLVYEYMPNGSLFSLLHGNRGPGRTPLEWAARLRIASGAARGLAYIHQSGRRGSGTPKLAHGNIKSTNILIDKFGVARLADCGLAQLGSSPAAAAARSAGYRAPEAPPPPRPWASHKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPEQRPKIGYVVKMIDEVRACGEASPSHDESVDESSGVSDSPAVSEGGALSQ >PVH37461 pep chromosome:PHallii_v3.1:5:691165:692209:-1 gene:PAHAL_5G010400 transcript:PVH37461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRRRRRRAKVEAEVPAPVAPAEASHSPAKTSSSSSSAGSAGRNAQPKIPHSRFHPQAEDDHDDGAWPQSDIRAMRRDVLNVIHRYYLDAISRLRPAELRTTLARGLLVGGHCFGPLGPVHNIIVNSIWYAAAFPLRGGAADTEDDDEEEVRAILATDGIVRICHRSLQGLVASLRHYCPSLSTADALYQLMNADADLAAAVALANGTTKSSALQAMASQNCDSFCT >PAN26863 pep chromosome:PHallii_v3.1:5:2776937:2777379:1 gene:PAHAL_5G043600 transcript:PAN26863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLPLLVLLLVSSAAASSGTQAQGAGPGAPSRRGLVPVAPSEAELGAMALGLNDTRRRLGGSFQLCAPCTCCGGDRHTCVLAPCCYAINCNIPNRPFGYCSFMPKSCDCLGCNL >PAN29451 pep chromosome:PHallii_v3.1:5:14040861:14043192:1 gene:PAHAL_5G227300 transcript:PAN29451 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MASAGDPLLPGEGSGRRPGFLPSSIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGLLFGIPMPVQPMKSIAAVALSSAHLTVPQIMSAGLAVAAVLLFLGATGLMTCIYRLLPLPVVRGIQLSQGLSFAFTAVKYIRYVQDFSHSSSASTAVARPLLGLDGLVLALAALLFIILATGSGDDEDFASDGTIRRRRSCSRVPAALIVFALGLLLCFARDPSIVRGLRFGPAPLRIVKITWDDFKTGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGTKEESFVMLVCAGVSLTGSSAALGFISGIVLYLLLRVRDVDYRGLLRRWGAGRRLTGSKVGGDGDEDA >PVH39307 pep chromosome:PHallii_v3.1:5:55192545:55193533:-1 gene:PAHAL_5G474200 transcript:PVH39307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALFRGAGTPPSTLLLPGAALPSARASVNLELLDR >PVH37695 pep chromosome:PHallii_v3.1:5:3882364:3883911:1 gene:PAHAL_5G061800 transcript:PVH37695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTIDGDDGSMAVRSTLRLQQRPENSIPFVCCGLVERSVGFSTRHHWALRLYSGGRCASQKRKEKRESTYGHVRARIGMNFDPSSMSFVV >PVH39222 pep chromosome:PHallii_v3.1:5:54123435:54125393:-1 gene:PAHAL_5G457900 transcript:PVH39222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHAWMLRRVVAAIVVAGVAVSAQAPPPPVTSALLQQVAGALQMYVDPLPQMAKIRGYGFQQGRVVPVNLTIGMFQKNWKFHRDLPETPVFVYGQCADSATFPGPTIVARHDVPLAVTWENHLPDRHILPWDPTVPTAIPRNGGVPTVVHLHGSAHPPQADGSAFAWFTAGFRETGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDVPMDLPCDDDDLHLVIADRSFNVDGSLYMNSTGSAPSVHPQWQPEYFGEAITVNGKAWPFLAVHRRRYRFRILNASNARYFNVSLSNGMPFHVVGSDASYLAAPVTVSSLLISPAEIFDVVVDFSMSQTAEVEMLNSAPYPFPTGTAPGPLNGKVMKFVVAPNGPRDPPDNSTVPDSEVPYANVASPGPTSETRYIAMYEYLTPSGQSTHLYINGLRLEDPVTETPRSGTTELWHVINLTGDNHPLHIHLGMFQAVKMQQLLDLQAFTDCMTQVNDAVRCGVDRHAVGPVVPVPDHEKTWKNVVKVPPGFVTTVVVAFKLVDTNQPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLLL >PVH38812 pep chromosome:PHallii_v3.1:5:41010754:41011193:1 gene:PAHAL_5G359100 transcript:PVH38812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIGVRFEYLYEFYQQSALDVHILALWCLMEAHKFKERGINDIGFLDPTSINEAIVGFRSTVNAGCDALVDMQDKKWILLPYNHQCMYPNSYIYFHLTIIRVSFILDVLNVVTIIFSLGST >PVH38069 pep chromosome:PHallii_v3.1:5:10118790:10119890:1 gene:PAHAL_5G166800 transcript:PVH38069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTNLGAFSAGRRRRHCPAPPARPPACAGALLSASLASSPRRRWQEPPPASAAAFLRRACLLASSGGGRIASERGGPEDGALLFGDEGRGRATGRDVQRQRRLGGLSVRGGARTVGQWPD >PAN28677 pep chromosome:PHallii_v3.1:5:10386539:10387352:1 gene:PAHAL_5G171400 transcript:PAN28677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDIFATMDSLWFYSSVLLQPPSKHKQRECAEELQPTQQQDSAETTSGSSGQAPNGVKEAAVATERRAAAATAEPRAAARCLRDREWDERMVAWQKEQRRRTRVAAAARCSQARMPPPGEGVAMKAHLRSWAHAVACSVR >PAN29678 pep chromosome:PHallii_v3.1:5:15321936:15323829:1 gene:PAHAL_5G243600 transcript:PAN29678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPSKELLDLPSEPRPPAFIESLLAGRDQQQDKEGKRKPEPPTAPLPKSQVLGKVKDFLGEIAKANQKLQLDTQNKPPEEYDIEALTGNEKEYIEMDLLLGVADLHSEQAVEAAEATVNGLRPSGMPFPCSSSDSEDDSDEDGGDRPIVPDKDKCKGPDEAETDPAKGKKPNKRQKIVVLN >PAN26537 pep chromosome:PHallii_v3.1:5:1302455:1303771:-1 gene:PAHAL_5G019700 transcript:PAN26537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVKVIGALGSTFSHRVEAALCLKGVPYELIQEDLNNKSELLLTHNPVKKKVPVLLHGDQAICESLVIIEYVDEAFEGPPLLPIDPYDRAVARFWADFMDNKLLKPFWLAHWTEGEVQKGFAKEAMENLALLEVQLRGKRFFGGDTVGYIDIVFCCLAPWLSVIEEVTGMTVVDESEYPALRQWEKEYNSYEALKPCLPGRDQLVAYFTENKEKYKMYANAWAQQ >PVH38884 pep chromosome:PHallii_v3.1:5:47114336:47114910:1 gene:PAHAL_5G379600 transcript:PVH38884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLSGLNKPIKRVVEFQTCNTVVELVHIAQKAERQLHDDVKPTKHSTFSSRASSAPKIAKFDLGRGMFSSGSSSNSNALGSTSRSSGIQCCKCLGRGHVIKDFPNNRVMIVIECGEYDSASEDECKPSAENDKFDDAQEAKMVTTRTIFLRPVTPLWSPKY >PVH38677 pep chromosome:PHallii_v3.1:5:31950730:31951350:-1 gene:PAHAL_5G325100 transcript:PVH38677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVAARRWVLADNNRELGPCAGFTSRGAPTRISGKQELSDTSPQHHECNGHPHLAHHRDKELVAAIGGKGCKAPAFASPEVQPFLSQGPEPLSRSPIYVARVTRFGVHC >PAN28101 pep chromosome:PHallii_v3.1:5:7812275:7813483:1 gene:PAHAL_5G131100 transcript:PAN28101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAMDGHVRRLLNRVSIALAAVATAALLQLFRHSFSSCLAGGGGPSTYASLSLAPFPRTSCDAASRRVVDSDLRLARFRASPRWSRRGAALSAAAFAPLRRLRFLGDSSRVLCVAAGAGQAVDAIHAAGVGDVTGVDLVDFPPLVRRADAHNLPFFDGAFDVVLSDDPGAVTGALFPSRFAAEVERTVRRGGAIVIALDRRFGFSTVARLFRKSRVVEVRNATLDGSLVNVIILRRNRTKTNTH >PAN33118 pep chromosome:PHallii_v3.1:5:58846844:58851468:-1 gene:PAHAL_5G532500 transcript:PAN33118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDSFRSVVCRSLSKSLPPRSKDGSYPETVQCDLPCVVTLQPSVCRNYQGRERSTSRSYREERSMSFHGDCLMAPSLSKHFAEDLLRGAMDLQESLVMLEKFQTASQSMRLSNKKRRPETGEKSPEIDTIIREVLLRPSNAKQALPRTANNGLHVQLNNSTDELKNVVKDSFCRKNLLSVSTNNDQASLSQSARYLPNNYLMSNTSQQKKVSPRSFPSCAAVQPDKSKAPSLVAKLMGLDGLPSQKDNSKMKDEKIKTVSSPRARFDIEMPKSQRLQTQLFGEESGSDAEMPRSEKLAPEHHNVRMYYTNSQKGIAPSYNTVVPNEIRPMKSSHRERNIEQARPKSPKEVKIAAPTSRKQQIKETTEVNRRTREKQKSNSTSRNRGGREDAKAKTVAASRNAKVVKNSDKKSVSSSSRSCDLVKPVLQRTHNNSRAKTVSRRNVKSSIIDELVAYEIQREIFHVLDQIDGPSTEHSATPSNESYPNADWEAESSVDDIQKDFWESNEVLLSTRDAERISSTDGDATHPSSTDITPIMEAEIKDEIILLLLSDKSFLSRAGKLIGIDVYEHPSNQHDGISEVEMKTHRIYLDTAVEQLERKHQQQNSLCCTGFQGQKCRATAYFSLEELLREISNGITKLNSYSDRDDAGGTKDCLDMKLERDLRFSDESINGVWDMGWQNFICTEETECFIRDAGEDILSLLIEEVALDMCIH >PVH37487 pep chromosome:PHallii_v3.1:5:1003472:1004383:1 gene:PAHAL_5G015200 transcript:PVH37487 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML38 [Source:Projected from Arabidopsis thaliana (AT1G76650) UniProtKB/TrEMBL;Acc:A0A178WMC5] MVVASSYSASISTSTSTSTSSELGSLFAAFDKDADGRISAAELRLCMKATLGEDVSAEDAEALVASVDADGDGLLDGDEFARLVHAEVGEEERHRGLRQAFGMYEMEGQGCITPTSLKRMLSRLGAHQEIDDCRAMICRFDLDGDGVLSFDEFKIMMNA >PVH38102 pep chromosome:PHallii_v3.1:5:10809763:10815152:-1 gene:PAHAL_5G179500 transcript:PVH38102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.5 [Source:Projected from Arabidopsis thaliana (AT5G19600) UniProtKB/Swiss-Prot;Acc:Q94LW6] MGTAAGDGGRPLGAVAAEHKVNLTAQRPFSEALRTGLAETFFPDDPFRGFGSLPPAERAWGGLKYFVPVLEWAPRYTLGKFKYDLLAGVTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLLLASIIEAEVPREDNPELYLQIFYTAAFFTGVIQTALGVFRLGLIVDFLSRSTITGFMGGTAAIIILQQLKGMLGMKHFTSKTDIISVVRSIFLYRDEWRWQSAVLGVCFLLFLLLSKKLRKKKPNLFWVSAIAPFMVVIIGGIFAFLVKGNEHGIPIVGDLKKGINPLSISQLTFTDKHVKTAVKAGFLSGILAVAEGIAVGRSLALIKNEQIDGNKEMIAFGIMNIAGSCTSCYLTTGPFSKSAVNFHAGCRTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFLHLYRVDKFDFCICMGAFVGVVFFTMVIGLGASIGLSVIRALLHVARPTTCKLGSMAGGEIFCDVRHYPHARSIPNVLVLQLGSPIYFVNAGYLRERILRWVEDEESASKTDGQDLQYVILDLGGVSSIDNTGIGMLGEVHKSLDRKGITVALTNPRLEVTEKLVLSGFIRDKVGKEWVFLTVKDAITAFRYGLQRSRGKEQSEV >PAN31140 pep chromosome:PHallii_v3.1:5:49697068:49701893:-1 gene:PAHAL_5G399700 transcript:PAN31140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVGLLNPSSGYEASTSGATDRLPDEMNGMSIRDEKEVEAVVVNGNGMEVGHTIVTSVGGRNSQSRQTISYMAERIVGQGSFGVVFQARCLETSERVAIKKVLQDVRYKNRELQTMQVLDHPNVVCLKHYFCSTTEKEELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYMHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVQGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTRDEIKRMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPNARLPNGRSLPHLFNFKPNELRGVPMDFVMKLIPQHAKKQCAFLGI >PAN31277 pep chromosome:PHallii_v3.1:5:50625757:50629957:-1 gene:PAHAL_5G408700 transcript:PAN31277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRASDSILLKAIIEAPRHEVTKALEKWANDGNTLDRGELFFVLLSLRKRRWFDKALQLHEWFEKSKVLEFGERDYASRVDLTAKVYGLQKAEQYIEKIPAPHRGEIVYRTLLANCVHEANVNKAEKVFNKMKDLGLPITVFSFNQLVLLYKRVDKKKIADVLAMMEKENVKPSLFSYKLLVDAKGAARDIEGMEKVVEQMETEGVEPDLMFQATIARHYIFDGQREKAEALLESMEGDDINTNRAAWKILLPLYAFLGKNHDVERIWSVCKDNPRLEECLSAIEAFGRLGNVEEAEKVFEDMLVKWKTLSSKYYNAMLKMYANQNLFDKGKEFFKRMDENHVKFGNRTLDSLVKLYVDAGDVGKAESLLHKLSLKNRIRPQYTTYLMLLDSYSKKGDIHNSEKVFNRLRQIGYTGRIRQYQLLLQAYLNAKAPAYGFKERMKADNIFPNNAVSTLIAATDPFVKKKSISELLD >PAN31278 pep chromosome:PHallii_v3.1:5:50626271:50629712:-1 gene:PAHAL_5G408700 transcript:PAN31278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRAGNPLRVRAQQVVSACGCASLEVLLNADAKDVEEHHEGNCQKACCRRNPKLPAFLLSFSPSHFTLSRSFSSQAGANSGNKDDALEDGFSDLEVPPEADTKDAGLTSEDSSDEDATDEVGLPDVDAAAKHEKEHMKRASDSILLKAIIEAPRHEVTKALEKWANDGNTLDRGELFFVLLSLRKRRWFDKALQLHEWFEKSKVLEFGERDYASRVDLTAKVYGLQKAEQYIEKIPAPHRGEIVYRTLLANCVHEANVNKAEKVFNKMKDLGLPITVFSFNQLVLLYKRVDKKKIADVLAMMEKENVKPSLFSYKLLVDAKGAARDIEGMEKVVEQMETEGVEPDLMFQATIARHYIFDGQREKAEALLESMEGDDINTNRAAWKILLPLYAFLGKNHDVERIWSVCKDNPRLEECLSAIEAFGRLGNVEEAEKVFEDMLVKWKTLSSKYYNAMLKMYANQNLFDKGKEFFKRMDENHVKFGNRTLDSLVKLYVDAGDVGKAESLLHKLSLKNRIRPQYTTYLMLLDSYSKKGDIHNSEKVFNRLRQIGYTGRIRQYQLLLQAYLNAKAPAYGFKERMKADNIFPNNAVSTLIAATDPFVKKKSISELLD >PAN31327 pep chromosome:PHallii_v3.1:5:50984158:50985851:1 gene:PAHAL_5G412600 transcript:PAN31327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDGGGLPSRSLGSGVIHGLPHQRRDDRPVLHLRDAVRVPAHLRLGPRLPRHNRASPRNAEGWQDVLSELPGGRVCVPSRSCPVQLRVGIEGALLLVRLVAAGVVLLCSSKRSRGETGGALIVGSEWKKEAMVLASCVNSKREKRQRRWLVRLSDLRKERDRWCLSCSGRSREGRGARSREERRGGLLLEKKRSTRHRGVKRALPHPLNVNIQSNEESTLQI >PVH38114 pep chromosome:PHallii_v3.1:5:10992794:10994472:-1 gene:PAHAL_5G181900 transcript:PVH38114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g40405 [Source:Projected from Arabidopsis thaliana (AT5G40405) UniProtKB/Swiss-Prot;Acc:Q9FND7] MYAALGDVADARAAFAEIACPDVVCVTAMVGALAAGGDVDAARELFDGMPLRDHVAWNAMIAGYVHVGRSREALRLFDQMQRAGAAIGEATLVSVLTACAQIGALDRGKWVHWYMRSRGMRMSVTLGTALVDMYSKCGAVVTAMEVFESMGERNVYTWTSAVSGLAMNGMGLECLELFKRMESAGIQPNGVTFVAVLRGCSMAGLVDEGQACFDSMKGKHGVDPWLEHYGCMVDLYGRAGRLDDAINFINDMPVEPHEGVWGALLNASRIHNNVELGKHALDKLLAIESQNDAAHVLLSNIYAESQNWKGVSRVRGIMKAKGVKKVPGWSAIEVDGKVHEFFVGGRSHPRHKEIEMMLAEMGRRLRLQGYAANTKEVLFDIEEEEKEGAISLHSEKLALAFGLIALPEGVEIRIVKNLRVCKDCHDYTKLISKVFNREIIMRDRNRFHHFKDGTCSCKDYW >PAN32820 pep chromosome:PHallii_v3.1:5:57704557:57708112:1 gene:PAHAL_5G514400 transcript:PAN32820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVEGAAPPPDRSLIGKYLSEPCRRERGVGCMIKGVGARTGGRGRKPLGVTRAKTWEREHGTTGSWYAYLKLGRKTKGD >PAN29250 pep chromosome:PHallii_v3.1:5:12948642:12951786:1 gene:PAHAL_5G212100 transcript:PAN29250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSLLQKCVFMMSIFRSILPRVARSNGWRCFSTRIPYDNIAELNKEMESIFGESPSPSPLGSSPPQQPVQPAHEAEDSQPVLTHTDSSGQAKMVDVSPKEDSKRVAIASCRVLLGQKVFNLVASNEIAKGDVLTVAKIAGITGAKQTSNLIPLCHNINLSHVRVDLILNEEDSSVVIEGEATTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKDIYITDVCLQHKSGGKSGSWSRN >PAN31076 pep chromosome:PHallii_v3.1:5:49095101:49100260:1 gene:PAHAL_5G393600 transcript:PAN31076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDIAGAPPPGPDAADAPVEELDARYAPYARRDAYGVMGRGPLAPAEAARLAIAAAVLLPLRLVAGMLVVLLYYLVCRVCTLFAAADGGRPRLVGWRRRAVLRAGCSLSRAMLFVFGFYWIRETDKRLPSAEDVNQGRSEELGRPGAIVSNHVSYVDILYHMSASFPSFVAKESVSRLPLIGLISKCLGCIFVQRESKSSDSKGVSGAVTERVREVSQDKNTPMMLLFPEGTTTNGDYLLPFKTGAFLASAPVQPVILRYTYRRFSPAWDSMDGARHVFLLLCQFVNYMEVVRLPVYYPSEQEKEDPKLYANNVRKLISTEGNLILSNLGLADKRVYHAALNGSSLPDNRHQKDD >PAN29077 pep chromosome:PHallii_v3.1:5:12187300:12190311:1 gene:PAHAL_5G200700 transcript:PAN29077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMAAVENDHHRGARFAPPPPNPLAPAPRPSSRTRLHDFSFPTLSWGTHRVLRCSKSGPASSPPPAAPETPSPDKEKTRRPDDGGGGGATGAGGSLQRQRASRRPWNLRTRRSATAAPARLVGSDDAAEEAVPAERAPAPAAEAKKRGFSIALSKEEIAEDFAAIRGSRPPRRPKKRPRTVQRQLDLLYPGLCLADVTPGSYKIEER >PAN30074 pep chromosome:PHallii_v3.1:5:17851245:17854458:1 gene:PAHAL_5G271200 transcript:PAN30074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAFLCARAAAALRPPSRGLSRRLLASRVAAASSSGEGGGGVEFQGKVGFLGLGIMGAPMASNLIKAGCDVTVWNRTKSKCDPLLSLGAKYEPSPAEVASSCDVTFAMLADPQSAAEVACGAGGAAEGLAPGKGYVDVSTVDGATSKLIEERITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYKRVAPLLDVMGKSRFYLGDVGNGAAMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKAAKSLGLADHDFSAVIEALKAKAESSQQQ >PAN31144 pep chromosome:PHallii_v3.1:5:49751303:49753962:1 gene:PAHAL_5G399900 transcript:PAN31144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAEVMHQVVPLLEARFHRCVVKSVEVIEEVVAVAPMPVQVAASPKAVVQELKRSSNAGGSVSVEPLQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSAHLGPLLMFSAPSAFYGVFDGHGGSDAAAYMKKHAMKLFFEDAEFPQASQEDEMFAESVEDSVRKAFLRADLALADDSLINRSSGTTALTALVLGRQLLVANAGDCRAVLCRKGIAVEMSKDHRPTYDAERQRVIESGGYIEDGYLNGVLSVTRALGDWDMKLPRGSASPLIAEPEIRWTTLTEDDEFLIIGCDGIWDVMTSQHAVSTVRKGLRRHDDPERCARELAMEAKRLETFDNLTVIVVCFRSEFGGGPTPLEPASNSRLRCCKSLSPEALSKLRRWLDSDQ >PAN27582 pep chromosome:PHallii_v3.1:5:5514383:5516861:-1 gene:PAHAL_5G089600 transcript:PAN27582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLGGMAEECPRAGGAEFWLPDEFLDDDFFSEEEKAAVAARSESDEEEGLGGLPRRVAGLLVGNGKGAGDDSSPAKAEVMAGSPQSILCGLAASGEESPNGGASQVSSPPSSPLEQQPADPWDVLHQAAGQVARLRSDSIPVPKNAAAHHGHSVVPPTKQPAAPAPAPKAAGGDHYQPNNLLEQRRKVAQFNALKQQQMLKHQREQELAVAAAAAWGTRICGPKRTAGYGAAPHALNPSAWPPLQKQPQQPPASAAGMRALFLAPPGAKRECAGTGVFIPRQAGAPAEPKKKPACSTVLLPARVVQALNLNVEDLGARPIYPGGFALDHDALVSRSNALVASRSSQLPGGGAARELNLPQEWTY >PAN26381 pep chromosome:PHallii_v3.1:5:586518:587879:-1 gene:PAHAL_5G008200 transcript:PAN26381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAVVPAFFLLRVPSSQSLSPLVPSQASSPRPRALRSFTLLSLSAKPRAWAAGGGGPDSSTNFRNQTSQMPPFSFTLDECSSRLKKTILMAKGVA >PAN28649 pep chromosome:PHallii_v3.1:5:10234461:10235909:-1 gene:PAHAL_5G169000 transcript:PAN28649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGVNGSVKQVVVLYPVGGVGHVGPMTQLAKVFLDHGYDVTMVLIEQPIKSTDSGAGFIERVAASNPSINFHVLPPIPTPDLATSPKHPFLLILELMRQYNGELESFLCSIPRARLHSLVIDLFCTHAIDVAALLGVPVYKFFASGAGTLAIFTQLPALLADRRTGLKELGDTPLEFLGVPPMPASHLVKSLLEDPEDELCGAMMKILKRNARTRGVLVNTFESLESRALRALRDSQCVPGQVLPPVYAIGPLIGKAGTDKAWHECLAWLDAQPERSVVFLCWGSKGALPKQQLEEIAAGLENSGHRFLWVVHTTPAGSDDPKRYWERRGEADLDALLPVGFSERTKDRGLVIKSWAPQVDVLNHPATGAFVTHCGWNSTLEAVAAGVPMLCWPLAAAEQKMNKVFVTDDMGVGVEMEGYREGFITAEEIEAKVRLVLECEEGRKLWERAIELKKEAGEALEDGGLSQAAFLQFLSDVENL >PAN28905 pep chromosome:PHallii_v3.1:5:11178934:11180878:1 gene:PAHAL_5G185600 transcript:PAN28905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASQGVLLSSSLVGAANGSASWPELLGSRHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSKYCGSCRYSRPTLFARTMFPAAADVAPAAYLYATSQASFPGGIMVFSLSREAWSKESNWIGYVSVSTDAAAAATGQRVIYVAWRGTIRTLEWVDVLKPDLVSPDDVLPEGDPARGHARVMKGWYLIYTSSDERSPFSKHSARDQLLAAVRELVARYKGESLSIVCTGHSLGASLATLSAFDIAVNGVSRVGGADIPVTAIVFGSPQIGNPEFKKRFEELPNLRALHVRNKPDLIPLYPSGLLGYANVGDLLAVDSKKSPYVKDNTTNVGDYHNLQGILHTVAGWNGKDGEFKLQVHRSLALVNKSSAFLKEDNLVPESWWVERNKGMVIGETGMWQLEPPAKENLPVPPVVIGKVIDDNVAATITAASKETKMPAEDKKKGTGANNLFSACFRGVN >PAN28291 pep chromosome:PHallii_v3.1:5:8556077:8558372:1 gene:PAHAL_5G143000 transcript:PAN28291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGSSRALLALRVLLLCALAAAAATILVPLATSPCARSLSRSILAATGLDPYLLFCAGGDASGGAPLLSQGGGANGTGRGAARAVVTDQRCVRGKFPPDALPPLQCCPPASASEPVNFTLPDPAEPLRTRRPVHAVGAEYMAKYARAVALMKALPRSDPRSFYQQANVHCAYCTGSHRQAGRPDLGVQIHFSWLFFPFHRAYLYFFERIAAKLLGEPGFALPVWTWDVPEGMGIPEVFADEASPLYDPIREPSHAPPKVADLDYILGGGGEKNLTDEQQILHNFRVMYKQMISGATLPSLFLGQPYRAGDPEMPGAGTVEWIPHNTMHLWTGDNSRPNAENMGVYYSAGRDPIFYPHHANIDRLWESWRGIVGGRRRADFADPDWLDSSFLLYDEEARLVRITVRDVLDIGKLRYAYAGAGLPWLNARPPVTPGVNPRGGRLASVSFPVSLDAAVTAEVRRPPPGARRRRSLQEEQAREEEVLMVEGIEADAADFVRFDVYVNAREYRKVPPGGREMAGTFATLKHPGKEGTVVRTSMTVALGELLEDLGAEGDDSVTVTLVPVRGKVRIGGLKIVYMAE >PAN30093 pep chromosome:PHallii_v3.1:5:18088655:18091009:1 gene:PAHAL_5G273200 transcript:PAN30093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRLLNTERNHLLSPKPHSPRRDGCSSPLRPSPSRKTMARGGLERSLSFKNWEAQVAPQEPEPAGAATGKSSGGGINGARPGTLALQPEPQSSPRRASPAAQAMIEFISPRPRVELDQAATRLQKIYKGHRTRRSLADGAIIAEELWWKTYDSVYLNIKSISFFDGEKQETAASRWSRAGKRIAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSCEPFFYWLDIGAGRDMHHPKCPRSKLYSQLIMYLGPNERAAYEVIVEEGRLLYKQNGELVNTNEESKWIFVLSTSRSLYVGQKRKGKFQHSSFLSGAATTAAGRLVAKEGVLKAIWPYSGHYLPTEENFREFIGFLEENSVDLANVKRCSVDDDEFPSFKKAPEQPAEEAEAPTAEAAAHDDEAVESQPVELPEVDIVKEAVAETAAGDEDAAGSKVMASRPSFKWSTPTGARIGCLRDYPADVQSMALEQVNLSPRVAPSPGAACRLPVPIPSPRPSPRIRLSPRLHYMGLPTPTGARLPVPSPASRRSPKQEFTGFQTPAVALTLPKHKAK >PVH37715 pep chromosome:PHallii_v3.1:5:4111291:4115427:-1 gene:PAHAL_5G066500 transcript:PVH37715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHPVQQRPAGSLPGDPTGQWGETPGAPCTWINSTSTTPAYPGSRALVAAASPSCSRSYRQAAPPQSSSSPPPPRPPREPRGAHGGHRHWSSQRSMGDSVPMSIINSISNFRVLFSSSVVETELVKRYGRKIDEILDLLKMVIDGVLTQITPDDKLLHVLEELDRTINEALKLVESWDWMMSKIYFVTQVESLINKMQKYVLEVCQVVNSLMLPSETNCISVYFEKAKQFHCEKMTAVIGEASRDLVGKVMPKSETLTNIQVSLSLSTNQELLMEAVSLSKIKMRVSSEDSAELDAINDLFKLVNYMHDKHVEEKQTHSINGIPIPADFCCPLSLELMSDPVIVASGQTYERVFIRKWLDLGYNVCPKTRQTLGHTNLIPNYTVKQLIENWSEIHGIMLPDPVELLSLSFPVSLNLTNDGISAESRFSDNSPMSNKSVSQEHKISSDDSRHNLTHDDSDSDDQISKASSFEDTDDSESDAFRLPLAATEANKSICNETIDRGEALKQLRKDGLHASDCEQHLPSSCSTSDIGTCTSSSSNHLEAVAKHKKEQVSSNSSASETTRNDQMATPSKVEPNRLPRLGGVRSRSQLVWHQLSDKAAPADARSDSPGVDVKVRSLIEDLKNESTELQRAATGELLVLSRHNMENRIAIANHGAIPFLVSLLYSTDLTMQESAVTVLLNLSLNDNNKIAIASANAIEALIHVLETGNPEAKANSAATLFSLSVNEENKARIGGSGAIKPLVDLLEYGNAQGKKDAATALFNLSIFHENKARIIEAGAVKPLVELMDPAAGMVDKAVAVLSILATVPEGRNGIAQAGGIPVLVEVVELGSARAKENAAAALLQLCINHSKFCSLVLQEGAMPPLVALSQSGTARAREKAQVLLSYFRNQRQVGKVIRR >PAN28168 pep chromosome:PHallii_v3.1:5:8117328:8118274:1 gene:PAHAL_5G135800 transcript:PAN28168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRRRPRLGMGWLRSVFSPLRRLWYRANAVQRKRRGIYILYDDVKSCQCEDVHVLWSILVESHGLPPPNPMLSLKR >PAN33077 pep chromosome:PHallii_v3.1:5:59106016:59110584:-1 gene:PAHAL_5G535100 transcript:PAN33077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIAASRRASSSTAKRPAMAESAKAAGAAAAQAKKRVALGNITNVAAQGGRAAGKVAPPPGSAKLNSATSAAPLKKPSLASARSVSSVRGPALKSASIKPAPPFSRHDSTTQKHSVPPPKVPTEAPSRIPALVPCNTFVSPGRSGDSVSVDETMSTCDSMKSPDFEYIDNEDSSMLASLQRRANEHLRISDDRDVEENKWKKNAPAPMEIDRICDVDNDLEDPQLCATLASDIYMHLREAETKKRPSTDFMEIIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFARAAQACDEDPALHLEFLANYIAELSLLEYSLLSYPPSLIAASAIFLAKFVLQPAKYPWNSTLAHYTQYKPSELCDCVKALHRLFSVGPGSNLPAIREKYSQHKYKFVAKKQCPTSIPTEFFRDGAC >PAN26380 pep chromosome:PHallii_v3.1:5:574415:578622:-1 gene:PAHAL_5G008000 transcript:PAN26380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSAPPSTSSPELRRKRTAAPPPEPPTPRRFCSMDDVMRRSRPVDAPPPIARAREAIYDAVLCDTCGSGDRDDELLLCDRCDRGRHTFCLRPIAAKVPIGPWFCPDCAPPAKPVKRFPMKQTKIVDFFRIQKDDQNGVPGKCRLSQDVRKRRKRSLVMHKKRRRILPFVPTEDRARRLKQMASLATALTSSKTEFSNELTYIPNMAPRSSNQARLEEGGMQILPKEDKETIELCRTMQQRGECPPLLVVFDSCEGFTVQADADIKDMTFIAEYAGDVDYLENRASDDCDCIMTLLLTADPSQRLVVCPDKRGNVSRFISGINNYTPDGKKKQNVKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHAYPTHHFL >PAN31761 pep chromosome:PHallii_v3.1:5:53079228:53081969:1 gene:PAHAL_5G442500 transcript:PAN31761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMETDINAPPPPAPAPAGEGSSAAGPSSSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >PAN27795 pep chromosome:PHallii_v3.1:5:6507596:6511286:1 gene:PAHAL_5G107900 transcript:PAN27795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPASGGKRAGGTDEPLLPEFSGGGHGGGGGASVSGAVFNVSTSIVGAGIMSIPAAMRVLGVAPALLLIAAVAVLANASVEFMLRYTGWAGGKRATYAGLMGDAFGRGGAAVLNVFIAFTTTGTLVVYLIIIGDVMSGSVGGGDDHAGVLRELFGARWWTGRQFVLLVTAVFVLLPLVLRRRVDSLRFTSAISILLAVVFMLISLGIAVYALFKGTATMPRMLPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELRKTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLFFSLRVNVDELLFPGRRPLATDTRRFVSLTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVTISLIFPGAIVLRDVHGIAKRKDKVLAATMIILAVVTSSIAIASNIMSSISDKVRGGHEASR >PAN29766 pep chromosome:PHallii_v3.1:5:15718670:15719980:-1 gene:PAHAL_5G248700 transcript:PAN29766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRGRRSGVSFIEDGRGRGLTFFKRRSGLFKAASDLSTLTGVRVAVVLESENERFSSFGTPDASPIVDAFLSGGVPTESDTSEEQKAKVTDLQNELFQLEKDKAMADKRQKENMVRIKEAQEASRTAKYAYGKEEDLDVTELYEMYRKLTWVMQEIKDCSPALLRDNHVEAGGRLRDPSLLQPKWWCSSLASQFSPPPKYSPLSLSQASFQQHPWPSTSHPMLARSGSSLPNPLMLPSQQMQSELQQHPLAPHAPSIVVRLQAPLPKEAYPYNYHIHGLDINGNSSHPFSLSPVSPSTPPQPSSLQTPASNGSSPPLLSPQLSSPPHLESQQFSFNPQNYNFVQPPHHNYADASSPLTPSHQPFYNSSLGLKVDLGNTGGNGGQTGGGHSKGFDSSTTLQGHGGTGVALESSPAGESSGAGNAGSNLGDFNFPCY >PAN29898 pep chromosome:PHallii_v3.1:5:16445983:16448332:1 gene:PAHAL_5G257400 transcript:PAN29898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRNAIMLLSYRPKLAVTRRATLHAADGEGYDGEDRISALSDDLLDLVVSRLPIDDAVRTTALSTRWRRIWHGAPLVLCDEHIPGSTQDERIATIDHILAAHTGPFQSVDIACCFKKKERELAQWQRLLPARGVQDLAFVSLSGELGLRLAPDILRCAKLRHLCLGFWTFPDTADLPDGVGVFPHLEELDILCTKMEDRDIDHMLASSPVLKTLTFVWSGPQLNHISLLSSSLQCTLIVNSMVMDLALVDVPCLERLILHGNATSTLNGSLPLRIFRAPELKVLGYLDAKDNKIQIGDIVIEAGTKVSPSSEVPSVKILAVEVNLHIFKEVQMLCSFLGCFPNTEILHLKSVVADESSDKPNAEFFQQPSPIECVQSHIKEVFLYKFQGHQCEMAFLKYLCQRANQMRKLTLVLRGTILDSADKIKDQLGDLVLPSLASEACTVLLLGPTVEVMSFNRASDLSIHDPFLSDHGNELFISLKREKKVWKEQTPVQHCFTTQAVQGGGNDTEPTTEEEALGRGKRMKKPVRRMDL >PAN27414 pep chromosome:PHallii_v3.1:5:4833536:4837359:-1 gene:PAHAL_5G078200 transcript:PAN27414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKPSTSGAGSSSSGGGSGPEAVVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKDFGDSWKSATADGTQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >PAN28453 pep chromosome:PHallii_v3.1:5:9149977:9151172:1 gene:PAHAL_5G152300 transcript:PAN28453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCCQLDGQGSPASCGMIGRPWGLAPHRGRTPLLRNLQRLNHLGRSCRVRSLSPTATARTTGDGLATKNSAATIATAGTSVDQEMRGPNSDNKRIR >PVH37794 pep chromosome:PHallii_v3.1:5:5600200:5600789:-1 gene:PAHAL_5G091000 transcript:PVH37794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKMPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQPLAKEPPPKMKKEEWIQLVKYWCDPKNQVHGLHHCFC >PVH37859 pep chromosome:PHallii_v3.1:5:6444927:6445894:-1 gene:PAHAL_5G106300 transcript:PVH37859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASEDSGGGGLGQDRRGEGERGQWRRRLARRLAATAGEESGGGGRGQDDGGDGERGEWRRRRARRLAEAG >PVH37434 pep chromosome:PHallii_v3.1:5:107014:113452:1 gene:PAHAL_5G001100 transcript:PVH37434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSRIAVGAPGELSHPDTAKAAVSEFISMLIFIFAGSGSGMAFSKLTAGGATTPAGLIAASLAYTLALIVAVSVGANISGGHVNPAVTFGAFVGGNITLFKAVVYWVAQLLGSVVACLLLKIATGGAAVGALSLSAGVGACNAVVFEIVMTFGLVYTVYATAVDPKCDIQRSCHTKKGDLGIIAPIAIGFIVGANILAGGAFDGAAMNPAVAFGPAVVTGVWENHWVYWLGSFVGAAIAALVYDIIFIGQRPHDQLLTTDY >PVH38582 pep chromosome:PHallii_v3.1:5:22051698:22052126:1 gene:PAHAL_5G295000 transcript:PVH38582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFLALKEFKITYEQFHSTRRNLQMVLQSQMPNYKLDLYCFVCFRVILCFFLQFTLTHAM >PAN29452 pep chromosome:PHallii_v3.1:5:14048398:14049388:1 gene:PAHAL_5G227400 transcript:PAN29452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAFPIRFTKGIRSYWRRRDYKPADGTGAAASRGRRRHRLVRLGDGSGSGPRPWAVRLGGMFRVKRRRGRAPAPAPAPAPAVAKAPMRVLGRIRDAYVDVMLGAAKTQPAAARALPTAADALWQKRVPTRRSSSKAQQKADELGQRLIMEMYKSVLASRSISGMLHASVAR >PAN28456 pep chromosome:PHallii_v3.1:5:9305504:9310403:1 gene:PAHAL_5G154000 transcript:PAN28456 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6A [Source:Projected from Arabidopsis thaliana (AT5G46250) UniProtKB/Swiss-Prot;Acc:Q94A38] MDGQAPPPLDALAPEPLAVADELPPPPPPLEVEDALPPGMDGQAPPLDGVAPGPFAVSDELPPPPSPTGEVEDAIPVAPDTVNAASSGVPEAGTGGVALTDELRDQIVKQVEYYFSDENLPTDEFLLKYVKKNKKGFVPIETIASFRRMKKLVQDLSVIAAALKTSPKLVVSSDGKRVRRLGPLPQNELKDSKKSTVLVENLPPDFSMESIQEKFGTVGKVVNITINDPELAKESSTAKKPAFILSSKVHALVEYEAVEAAEKAVTTLSDESNWRTGMKVRLLSKGSGKHSKSSKENQDTVSKKNIQNQHGKEQQTALEKNSIGDSMENTNDKENLNSAFTTETEHQHQKPNPKGGRKGQYKGDSQIQQNTNKQGSESLNKPIPGPRMPDGTKGFTMGRGRPLPLQKSEKAEE >PVH38878 pep chromosome:PHallii_v3.1:5:46209118:46212305:-1 gene:PAHAL_5G375800 transcript:PVH38878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLPAAPPSFAPHTPPRGGPVPHPPATQRGGRVAMALRLLLPLLLLAAADALTPDGQALLAFKSAVVQDPTGALANWDATAADPCAWNGVACSSSPTDATQPRRVVALSLPKKRLVAALPTAPLPSSLRHLNLRSNRLFGPVPPELVSGAPALQSLVLYGNALDGPLPDELGDLPFLQILDLSSNALNGSLPPSILKCRRLRALALARNNLTGPLPAGFGAQLSALERLDLSFNGFSGAIPEDIGNLSRLQGTVDLSHNHFSGLIPPSLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPSLPNDGESSAPDAAGGGKGKGKGLGKIAIVAIVLSDVVGILIIALVFFYCYWRAVSSKDRKGHNAAAGSKGSRCGKDCGCFSRDESGTPSEHAEQYDLVALDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYLPNGSLSAALHGKPGTMTFTPLPWESRLNIMKGVAKGMSFLHEFSPKKYVHGDLRPNNVLLGTHMEPYISDFGLGRLANIAGTSPFMQSDRVGLEKAQSQQSNASVSPLMSKGSCYQAPEALKTLKPSQKWDVYSYGVVLLEMITGRSPAVLLETMQMDLVQWVQFCIEDKKPSADVLDPFLARDSEREDEMIAVLKVALACVQANPERRPSMRHVADTLERLNGSS >PAN33060 pep chromosome:PHallii_v3.1:5:58765074:58766663:1 gene:PAHAL_5G531500 transcript:PAN33060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLVELLITVVAVVPIIFALLLLSSSSSRKRADGRRQPPSPPGLPLLGHLHLLGRLPHRALRSLAASHGPVMLLRLGRVPTVVASSAAAAEEAMKTRDLAFSGRPMLLMAQRLLYGGRDVGFAPYGEYWRQAPREVAALVARVRHEAAAGGAVNLSDFLICYSKAIISRAAFGDGDYGLDGDEGGEKLRRVFADFQELVLSSPMRESGGWTRSPGWRARRGARSRRSMACSSGSSRTTGAGVPVAGRFLLTARSTTTGISWTRWTRTPGSGSTRTTSRQSLWTYLLPALTLPPLHGAGMGHGGAHQPPARDAEAPGRGPRRRRPRNRGPPRRDGVPQGRDQRDHEAARPGGAPDTDTPRDDGGHRAAGLLHPGAHAGGDQRLGHRPGPGVVGARGGVRAGAVRRRARGLQQGGAGLPGTPLVDVSEVFGLSVRLKAPLVLVAKPWSG >PVH37621 pep chromosome:PHallii_v3.1:5:3051044:3051792:1 gene:PAHAL_5G048300 transcript:PVH37621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRGTRRRSACLAGGNDQAPPAMNYHRAHSEHVTKVAGNPCHTAGGHNNHALQQQQQQQAHRHHGYNNNNNHGGGGSHRYETYEETYEETCEEKTTYSAGRHHGHGHDGGGARRYEYETYEETCYEEEQEVVGGGGGAQLKRGYRCA >PAN27942 pep chromosome:PHallii_v3.1:5:7227155:7231128:-1 gene:PAHAL_5G120000 transcript:PAN27942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEEMHPDDM >PAN31229 pep chromosome:PHallii_v3.1:5:50304463:50308739:-1 gene:PAHAL_5G405900 transcript:PAN31229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] MACPPAVSAPSALAPAPTGTRLGSSTAARRGALSLRPARALPALSLGLGGRRGAVVVRAAAAEGAVELQAKVTSKCFFDVEVGGEPAGRIVIGLFGEVVPATAENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKQLESQETSRADTPKQPCRIVNCGELPLDG >PVH37662 pep chromosome:PHallii_v3.1:5:3508108:3509475:-1 gene:PAHAL_5G055400 transcript:PVH37662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVLRGFLLSAVSLTGSQRRRKDELRLHSAQAHAAVSVAQLAAAVAGMVSVCELSQPAARDGGGDRRIDGRGARVRRRAGGHRLRRGRGDRRRQPGTRRVRPLRGAAALRIRAADVRGIGGDGNSISIRASIQKGTTLRVCLPCGRVRVRTAAIFLQGGTVVLRPGKKLLRGIFATHKDYEVLVVSSGGGGEAVVDGRRLFPLALSTPGGATVQLLFEHQAHGKAWTASVEGMLPQQKLKHPTN >PAN31268 pep chromosome:PHallii_v3.1:5:50592352:50593438:1 gene:PAHAL_5G408200 transcript:PAN31268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLAASLMPHSHSAPLYLLYDLSASFPSKHGLAPPVPLHDDDDDGGGFEFAATARNAGGAAALRACASDVSAAAFADELLRAGALLPLSLPPRLQRPAYSAGASAATSPTSASSAAAHAGGRGGRKHRGFDPFAAALEKVRRDGAAAAAPRRARSLSPLRAAADASSSAHKKSSNRSRAPRPAPAAAVAARRARRGVRHLLCRVVMAGAAVAPKALWPRRKDGVSYRPGLLVCLGYGV >PVH39066 pep chromosome:PHallii_v3.1:5:52101890:52102665:-1 gene:PAHAL_5G426800 transcript:PVH39066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFVRSLHKCGGAITVGVKGVLFMALEGEVMRLVESSSPWIFDMRVYNSRTSSLVWFP >PAN28460 pep chromosome:PHallii_v3.1:5:9342191:9343255:1 gene:PAHAL_5G154400 transcript:PAN28460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGALRPPRRRPLPAQHLHQRRAGADPPLRGRAAAARLLLHGVLQLLLHQPSRALLLLRRLHLGAADRARGARREPRVDAGDVEHVGAGGQRPHDLPFLHGAEADGALGAGARLARLPVHRGRQRRDGRGVQPALRGRGRRRRRQHGRRAAPVPAADVADVEVQEEGEAEHGHESRDGRGDEPHVVGPHPRRRGPGAAAEPRGPRVARLHRRRRRHGRWLLRDRHGVRALLLATPRRVADSRVGAKFVLLGWW >PAN29689 pep chromosome:PHallii_v3.1:5:15390512:15392081:-1 gene:PAHAL_5G244700 transcript:PAN29689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATFSAAGRRLLSTAAKAAEKTELPVPIAELRRLARAGRLADIDATLAPLLPSHTVAALSALTSVGLPDRASALLGTIPSPTAAHLNAVLAPLLRRRRLAGLVPSILAAHASIPRDASTDSILAKSLCLTSGADSALHLLREPSSGAPPSLQLFTALIDSFYKQRLPHRAEELWRAMVQDHGIAPDAAAYNARISYKSANGTVDEVKELIRVMREEAGLQPDVVTYNALMRAMARHKRVDEAVEVYRGLEAGEVADVAPDCATYTCVVGALCGAGRWSEAEDVFYEGVKRRKLADLGTVRVLVRGLKGAGKGRAARRVVVGLRKKFPEQFDGPWRELEEAAGLPASGKEDDGADEQPPAATAAA >PAN29384 pep chromosome:PHallii_v3.1:5:13682857:13691156:-1 gene:PAHAL_5G222500 transcript:PAN29384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVVEVEPGRPAADGRPSVGPTYRSAFARDGFPPPVPGLDSCYDIFRMAVEKYPDNRMLGHREIADGKAGAYVWKTYREVFDIASKIGNSIRSCGLAKGSRCGIYGVNCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLLKTLPKSNEFLKTIVSFGKVTQEQKEEASKYGLSIYSWDEFLSLAADQEFDLPDKEKTDICTIMYTSGTTGDPKGVLISNESIICLIAGVDRLLNCVNEELAESDVYMSYLPLAHIFDRVVEELFIFHGASIGFWRGDVKLLVEDIGVLKPTILCAVPRVLDRIFSGLQAKISSGGFIKSTLFNLAYKFKQFRMMRGAKHDEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVIQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDVRLESVPEMDYDALASTARGEICIKGKTLFAGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWIYGNSFESFLVAVVNPNKEALESWAAANGISGDFESLCQNPKAKEYILGELSRIGKEKKLKGFEFIKDVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGVIDNMYKSAK >PVH39521 pep chromosome:PHallii_v3.1:5:58462723:58465785:-1 gene:PAHAL_5G527200 transcript:PVH39521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKRVCALSRPREPALHTFVLVLLGVAFLAVAAFAGDTIVPGEGISGNQALVSKNGEFELGFFSPGAGIHRFLGVRFKKMPTTSPSFWVGNRLPITDLPAAALEVFGGSVCIKEAGASLWCSSVAGDGPPPAAAAAVLLDNGNLVVTDQANSSRILWQSFDCPGDSLLPGARLGFDRDTGSNVSMTYMDYPHNGSISVDRSRRNGFVLTTDGHDSLGTFPDWMVTSQDNGSSLILNHPESLNVTEFLQFHLGQVSLMRWSEDSAVANNSGWVARWTFPSDCKSSGFFCGDFGACKSNGKCDCLDGFEPSYPAEWGLGYFVTGCSRSLPLSCETNGQTEHDDSFILIDRLQELPYNPQNDLAESDEDCKQACFSKCYCVAYVYDSGCKLWYFNLYNLSFASKPPYSKVYVRWGSKLQAKNGLHKGVVVLLVAGLIGLSSVMLILVLLRRYRRDLFTCRKFKVEGSLVFYSYGQIKKATRNFSGKLGEGGFGSVFRGTMPGPGSTNVAVKSLKGHGHADKQFRAEVQTVGVIKHTNLVRLLGFCVKGDLRFLVYEYMPNGSLDSHLFSERFGLLNWDLRYQIALGIAKGLAYLHEECEDCIIHCDIKPENILLDEEFCAKISDFGMAKLLGREFNSALTTIRGTMGYLAPEWISGQPITKKTDVYSFGIVLLEIVSGRRTTKRLKSGSHRYFPLYAAAQVNEGNVLCLLDSRLEGNANVKELEITCRVACWCIQDEENDRPSMGQVVHMLEGVVNTEIPPIPSSFQNLMEGESSSIYSDEG >PAN32988 pep chromosome:PHallii_v3.1:5:58462732:58465785:-1 gene:PAHAL_5G527200 transcript:PAN32988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKRVCALSRPREPALHTFVLVLLGVAFLAVAAFAGDTIVPGEGISGNQALVSKNGEFELGFFSPGAGIHRFLGVRFKKMPTTSPSFWVGNRLPITDLPAAALEVFGGSVCIKEAGASLWCSSVAGDGPPPAAAAAVLLDNGNLVVTDQANSSRILWQSFDCPGDSLLPGARLGFDRDTGSNVSMTYMDYPHNGSISVDRSRRNGFVLTTDGHDSLGTFPDWMVTSQDNGSSLILNHPESLNVTEFLQFHLGQVSLMRWSEDSAVANNSGWVARWTFPSDCKSSGFFCGDFGACKSNGKCDCLDGFEPSYPAEWGLGYFVTGCSRSLPLSCETNGQTEHDDSFILIDRLQELPYNPQNDLAESDEDCKQACFSKCYCVAYVYDSGCKLWYFNLYNLSFASKPPYSKVYVRWGSKLQAKNGLHKGVVVLLVAGLIGLSSVMLILVLLRRYRRDLFTCRKFKVEGSLVFYSYGQIKKATRNFSGKLGEGGFGSVFRGTMPGPGSTNVAVKSLKGHGHADKQFRAEVQTVGVIKHTNLVRLLGFCVKGDLRFLVYEYMPNGSLDSHLFSERFGLLNWDLRYQIALGIAKGLAYLHEECEDCIIHCDIKPENILLDEEFCAKISDFGMAKLLGREFNSALTTIRGTMGYLAPEWISGQPITKKTDVYSFGIVLLEIVSGRRTTKRLKSGSHRYFPLYAAAQVNEGNVLCLLDSRLEGNANVKELEITCRVACWCIQDEENDRPSMGQVVHMLEGVVNTEIPPIPSSFQNLMEGESSSIYSDEG >PAN28075 pep chromosome:PHallii_v3.1:5:7741649:7744247:-1 gene:PAHAL_5G129800 transcript:PAN28075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSNEPLLSSPSSRNASDSLGRPLLLLVTLLSATLLCAVAFLLLLGPAAPDLCAGSPDPASCNAIVADAVLASPRGARYSSRRPAQVLRAIVARSLVQHDAAAAAVAGMHRSAGSSDARQRAALAECVQLMGLARDRLADANAVASAAASGDARTWLSGALTFYATCADGVGEGPLRDAVGARLQPLKSLASASLAVLNAVGGLGSRGDVLTEAVADAFPSWVPARDRALLEAGADIKADVVVAQDGSGKYRTVKEAVDAAPDGGKRRYVIRVKKGVYKENVEVGKKKRELMIVGDGMDATVITGSRNVVDGATTFNSATLAVAGDGIILRDLRVENTAGPAKHQAVALRVSADRAVAYRCRVDGYQDTLYAHALRHLYRECFVSGTVDFVFGNAAAVLQGCTLAARLPLRGQSNAVTAQGREDPNQNTGTSVHRCRVVPAPDLAGREVPTFLGRPWKAYSRTVYVRSYLDAHVDPRGWLEWDGDFALATLFYGEYQNEGPGAGTAARVKWPGYHVITDRSVAAQFTVGQFIQGGGWLNGTGVPYTDGL >PAN28662 pep chromosome:PHallii_v3.1:5:10309680:10312004:-1 gene:PAHAL_5G170100 transcript:PAN28662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLAGLLGFLLVASVGSHAARAPDQYWKSALPNTPMPSSLSQLLNSPAGDTTVNVGWGGVHVDAGHGKPGGTTVDVGKGGVGVNVNPGSGKPGGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVKPGSGKPGGTTVGVGKGGVGVNVNPGKPGGTTVGVGKGGVGVGVNPGYGKPGGTTVGVGKGGVGVNVKPRGKPVHVNVSPFVYNYAATETQLHDDPSVALFFQEKDLHPGRKMTVQFTNTAAGTKFLPRSEAEAIPFSSEKVPEILSRFSVSPDSVEAAEMAQTLRDCEAPAAKGEKKACATSLESMVDFATSGLGTSHVRAVSTVVAKPGSPKQEYTITGVKRAAGADDGRLVACHAEPYAYAVFACHQTRQTRAYSVSMLGRDGTAVDAVAVCHADTSGWNPKHVAFQVLNVKPGTVPVCHFLPQDHVVWTRSG >PAN27423 pep chromosome:PHallii_v3.1:5:4937163:4937924:-1 gene:PAHAL_5G079900 transcript:PAN27423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRRLPLSSLFHGKARDTSPSRTPPATAVAAAWPWPSSKSTCTQSTRSAQPPAGTRTVASIVLDSAESSFTASSARQDCSDSLSTASDTSASAAPVAACDDEADDAVVRGIRSDRLLFDPGASATSSILEEKSGCAGARGGGGDEEAFGDAVAVAFESADPYEDFRASMEEMVAAHGVGDWGWLEEMLGWYLRANDRDTHGAIVAAFIDVVVAIADPSREACSSQSSSCTFAAGELEEAKRGSSKPTGVLTV >PVH39260 pep chromosome:PHallii_v3.1:5:54683479:54684449:1 gene:PAHAL_5G466300 transcript:PVH39260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFFLLFLVSSAPLMLAAAADGQGAEPCPTVPVPCGKVNISFPFAIVPEDAATPSCGAIGLQIVCLNNIPFLGYNEHYQQFQILDIFYGNASLLVVDTDKLELFDSSSPKPCHAPENNSSNKLGLPFSISTKNQNLIFYNCIKPLTEKVQRSGGLVETRCGNKTFARVAERLDESGSYFLEGCTATLVPVLVGSGKANASRYEELVKHGFLLSWQVPLPPPSGMQHLA >PAN33136 pep chromosome:PHallii_v3.1:5:59464066:59466942:1 gene:PAHAL_5G539800 transcript:PAN33136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGHLLALDSSPRPLAFLNLPPFHHRGTMSADHPSAGGRGRRSSVEVDFFSDDKQKEGAKKTPDPPPGGLAIKKKADLTINLLPAATRDDDDEHKMQQLPALQAELGRMNEENQRLRGMLAQVTTSYQALQMHLLALMQPQAQHPIPPPPPPRQFLGLDPAPEEPSNSSTEVVGSPRRSSSTGNNKDLQAAERSDSPDAAGGPSSTARWLPAERRQQQEASMRKARVSVRALSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMANACPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGTPMTSGNSFLARAVLPCSSSMATISASAPFPTVTLDLTHGPPSAARPLAPMTPQFHLPQAPLYNQSTKFSGLHMSSSDGTGSTPPSAGPPPPIRIGLADTVSAAAAAITADPNFTVALAAAISSIIGGSGGHQQQQQHGLQQGANANNNNVTSSSNNTATSNNTNSETQ >PAN26535 pep chromosome:PHallii_v3.1:5:1299831:1301165:1 gene:PAHAL_5G019500 transcript:PAN26535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPVKLIGFFGSPYAFRAQAALCLKGVPYELLLEDLFGTKSDLLLKLNPVHKKVPVLLHGGRAISESLVIAEYIDEAFDGPPLLPTDPYDRAMARFWADFIENKLTKPFFMAHWVEGGARERFEKEGLELLSLLEAQLKGKKFFGGDRPGYLDIAASALGPWSSAIQEVMGVTVVKEDGHPAIVQWAKDYSSHEALKPCIPDREKLLAYFTENLERYKTAVNTMLQQQ >PAN28275 pep chromosome:PHallii_v3.1:5:8487305:8487889:1 gene:PAHAL_5G141600 transcript:PAN28275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLLEDDDQRDFMEKHPCIAKALAILLCLALVPFFCIWGSQADFPPSEYWVKAPGVEGLERSADAVASPTFNIILRVNNKAVWQVVCGRGDRVDVAYEGVPLAHGELPDVCVPPGTVGSVPVVATGEGLGPPDELYERMEGQRRRRERVQVRMREITGNRGAPLLLWCPAILHGRPKGPFLCAVFGQPDDP >PAN28179 pep chromosome:PHallii_v3.1:5:8148212:8148884:1 gene:PAHAL_5G136500 transcript:PAN28179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPKREACCLGIFRLDVPPTIRTWTTATGAPMRSPGLAVRRRRFDLVWCWIWGKDFGFDGSNYSDSSAFYVAAFEA >PVH39354 pep chromosome:PHallii_v3.1:5:55731994:55738771:1 gene:PAHAL_5G484700 transcript:PVH39354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLSMRGSMRSRRDLPPPEKTIERLEAMVDGGNFYEAQQMYKSTSARYIAAQKYSEALDILESGALVQLKHGQVTCGGELAVLFVDTLVTGELPYNEETFGRIRKMYEAFPKISVPHFLGDDYDDEGHQLSEAISAAKARAESCSSFLRAAIRWSAEFEASRNGCPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDEMKEQLKSANSEFPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFDELLDEIAAKFYNIQRQNPLEGLFGEMFKI >PAN26349 pep chromosome:PHallii_v3.1:5:272984:273226:-1 gene:PAHAL_5G003900 transcript:PAN26349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAQPLRFHAQRKHIKFQDNQANAMKDGRAPGTADKLGHGNHMKDEKNTDVDTVASEFIKLKHRAWALQKSTTMYPAST >PVH37480 pep chromosome:PHallii_v3.1:5:905152:906775:-1 gene:PAHAL_5G013700 transcript:PVH37480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLGHVPTVVVSSPEAAREVMNTHDAVVSDRPLYVTANILSYGGQNIAFAPSGSRHWKELRRLCATELLSPKRVLSFRPIREEEAASLVRSVAASPVANLSERIKVLMNDILMRCAIGDTCPMRNEYMAGLDEVLKLLAGFNLVDLFPTSRLARTLGAGSLRAAREVHDRIHGIVQAIIQDHESKVANDDGDGGGRRDDILDALLRLQRDGGLETLLTTEVVCAVLFDVFAAGSETTATTTIWVMSELVRNPAVMQRAQSEVRQVLQGKTKVAEADIQGKLPYLQMVIKETLRMHPPLPLILPRSCAEPIKIMDHDIPKGTTVFVNAWAIGRDDKSWPNANAFRPERFEDGVIDFSGADFKFLPGGGGRRMCPGLTFGLANIEIALASLLYHFNWKLPNGASPCDLDMTEAYGITARRKTQLLLEATPFVSIDQ >PVH38343 pep chromosome:PHallii_v3.1:5:14959416:14960693:1 gene:PAHAL_5G238300 transcript:PVH38343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRENDEKGQAARENRRRHGDLLVFTILIRDTKLLETPSCARLVVLFFFVFLGNAPTGASRHALSHRTQMDACVPQRRPSVYLFSQTFLLPPESYFLPARLPRISSDISLRSYRQPWLQRRRVPRYPRGPTA >PAN30330 pep chromosome:PHallii_v3.1:5:24185886:24191423:1 gene:PAHAL_5G303800 transcript:PAN30330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPKETIEVIAQSVGIPSLGADVAAALAPDVEYRLREIMQESIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYLDDREVDFKEIIEARLPKAPLDTAVVAHWLAIEGVQPAIPENPPIDAIVPPAENKRSEHGKDDGFPVDVKLPVKHVLSRELQMYFDKIAELTMSRSDTPLFKEALVSLAKDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVRSLLHNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVALVCRRFGHVYHNLQNRLTKTLVHAFLDPAKSLTQHYGAVQGISALGPSAIRLLLLPNLVTYMQLLEPELHLEKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPVLLSPSTRPLLRSDKRVLTNNPNKRKSSIDLSASQPPLKKMAPDTSINSMAGNMQGAMDGFSTQLGNPSMMQASSSGQMVESIPLAAIRRDQGSDLAQRVSTVLRQAWKEDQDAGHLLGSLYEVFGEAIFSFVQPPEISLFV >PAN29966 pep chromosome:PHallii_v3.1:5:16925783:16930657:-1 gene:PAHAL_5G262300 transcript:PAN29966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTPEEEAALKAGVAKHGPGKWRTILRDPDFSVLLRLRSNVDLKDKWRNLSVTAGGYGSREKARMALKKGRRVVPKLTAEPMDVDVNGLDNVQDVVIDAEPLAMSVEPLALEESPEKSVARLDDLILEAIKKLKEPSGSNKAAIAAYIEEQYWPPADFQRLLSTKLKALVNSGKLMKVNQKYRIAPSSPSLGGISTKVYAAEEMNGENNAKQLTKPQVDAELEKMKGMTKEEAAAFAAKAVAEAEVAIAEAEEAARIAEAAENDAETAKAFLEAVTLSMRDRNAASMMLRAC >PAN26926 pep chromosome:PHallii_v3.1:5:3005692:3011394:-1 gene:PAHAL_5G047700 transcript:PAN26926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G21150) UniProtKB/Swiss-Prot;Acc:Q93Z16] MAGRRPAPAALLVLLLAAAFAPISSAVRPVSDAHRSAAAELFAPSADGSFGDLERTYEAVRTFQILGLEKYKSVTGKACKFAAEKLASPASSAAKDLFHAARISGVLGCSVDAGVYDGVIARLKAVIKDTNSLLEFYYTVGGLLSIKEQGHKIVLSDAESTFHAIKALSQSDGRWRYDTDSAESSTFAAGIALEALAGVVALADAEVDPSMIGVVKNDIVKLFDTIKSYDDGTFYFDEKHVDATEYKGPIMTSASVVRGVTSFATVASGKLNIPGEKVLGLGKFFLGIGLPGSAKDCFNQIESLSFLENNRVFIPLILSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLKVNLVQVLGSDSKVITSENKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEPEHESVYATGGRNTENVFITGLIKVDKAEIGISDNDAGTVESVQKLDLLKDTKVSLSANHLQKLRLSFQLATPLGHTFKPHQVFLKLKHESNVEHLFVVPGSARQFKIVLDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRPLGHLELDLPEAPEKAPRPPAQAVDPFSKFGPKAEISHIFRSPEKRPPKELSLAFTGLTLLPFIGFLIGLMHLGVNLKNFPSLPGPAAFASLFHAGIGAVLLLYVLFWLKLDLFTTLKYLGFLGIFLVFVGHRTLSYLSSASTKQKTA >PAN30977 pep chromosome:PHallii_v3.1:5:47426820:47432671:-1 gene:PAHAL_5G381600 transcript:PAN30977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRSCLAAPVALVAAVCLLLPAACLAQLQVGFYNTSCPNAEALVRQAVTAAFANNSGVAPGLIRLHFHDCFVRGCDASVLLTVNPAGGPTEREAPPNFPSLRGFEVIDAAKAAVERSCPRTVSCADILAFAARDSVNLTGNTFYQVPAGRRDGRVSNASDAIQFLPGPNSTAQNLTDGFGLKGLSTEDMVVLSGSHTIGRSHCDSFLFRNRERLANGTISPAYQALLEALCPPNTSPLTLITTEIDLSTPTVLDNNYYKLLPLNLGLHFSDDQLIRNATLAPFANAFAANETLWKEKFAAAMIKMGNIEVNTGTQGEIRLNCSVVNPGSSAAGIEMLFPAGDATADEVATS >PVH38904 pep chromosome:PHallii_v3.1:5:48339867:48340180:1 gene:PAHAL_5G387200 transcript:PVH38904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDDEQEPNRTVLRTDWITSALLIKQTRNELNRRKRDLHSIASHIKAKKEKRRREGRAGGWGLP >PVH38101 pep chromosome:PHallii_v3.1:5:10795221:10795777:-1 gene:PAHAL_5G179100 transcript:PVH38101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLYPARRSLTMRITDAVVIHSNSCSALPLLSSSAAAPCRVAHLLTNTCYARISLRTWFHASGSSKLAVASWPRKLDCFSVRSLAC >PAN31309 pep chromosome:PHallii_v3.1:5:50873617:50875514:1 gene:PAHAL_5G411200 transcript:PAN31309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPALMEELVEEILLRIPPDEPAHLFRAALVCKDWCRIISDGGFCRRYRRFHRTPPLLGYIIKEYRTSLKFVPTTTFSPPPLPATKSLEAVDCRHGRVLMTDIHGDPPGFIVWDLVTGNGPRRINIPAYHPHEDLCTFTGAVLCARHGCDHLDCRDGPFVVVFVGSYDDDGADNDDVYTLASVYSSETGAWSAPTPSVNYELRFCESCLLIGDALYVSLFSSRIEILKYDLGGHGLSVIDAPLMRGLASVPMEIDGGLGLVEYDHGCIYTWSRQADGGWVRHNVAELQTIYIPRHNKFGMIRFAQETNTVLFSLDDYIDQGVFALDLNSRQVTKVGETRAWHTLPCMSFYTPDLAKGKLSSPQ >PAN28876 pep chromosome:PHallii_v3.1:5:11086055:11090267:-1 gene:PAHAL_5G183700 transcript:PAN28876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEDEANAAAVAAEEGAEAPEGKTWRRKGKHDKPKPWDEDPSIDHWKIEKFDPSWNESGMLEVSSFSTLFPQYREKYLQESWPIVKGALKEHGISCELNLVEGSMTVSTTRKTRDPYIIVKARELIKLLSRSVPAPQAIKILDDEMNCDIIKIGGLVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSYTGRGLKQVRRIVEDCMKNVKHPVYHIKELLIKRELAKNPALATENWDRFLPKFKKKNVKQKKPQTKEKKPYTPFPPPQQPSKIDAQLETGEYFMSEKKKSAKKWQEKLEKQSGKAEENKRKREAAFVPPKEKTAGPSESVKTANDNSEIADIAKSLKEKAKEFRKNKAQENVRAESYLASNEEPHPKKKKSTKSK >PAN29115 pep chromosome:PHallii_v3.1:5:12353085:12354541:-1 gene:PAHAL_5G203200 transcript:PAN29115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREERLDLVLVPLALAALVGYHLWLLYAILRHPAKTVIGLNAIARKRWVAAMIANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFVGVTSPASSSSASPSRAAPRLVYGSKAGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGDGTPEEFAAYVARTVNRGSFAWSLGLRAFYVSLALFLWTFGPIPMLVCSVLMCGLLYFLDTTSDHGHGHRGSAGKDSSAV >PAN32976 pep chromosome:PHallii_v3.1:5:58412741:58416419:1 gene:PAHAL_5G526400 transcript:PAN32976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIREDGDYGLTFNDMHTYIQLDSSGVIRLTKRGECNSILWSAPETVCDLDSYCGPQSLCIMSGSCECLSGFDRPLMRGWIGDFGCTRKVDLNGESTAAQPEEAFYPIDGIHRYPRGALVSQTTNMNRCESSCLRNSACTAFAYNKSCLLWFGELWNTVVLDSGSNGDRLYIRIATEQQQACSRAASLFCSQKKVVFLSVIGALALIAIGLIFLWRCRRKLFETRRVNGDGSLMIYSFVQIKDSTKGFSEKLGEGGFGCVFRAMLPDCTVVAVKKLKGLRQEEKQFRAEVQTIGMIQHINIVRLLGFCAEGSRRFLVYEHMANGSLSNYLFSKGSSKLSWELRYSMALGIARGLAYMHEGCRDCILHCDIKPDNVLLDAELCPKIADFGLAKLLGRDFSRVLTTMRGTIGYLAPEWISGVPITHKADVYSYGMVLLEIISGRRNSEKIKEGKFTYFPTYAAVRLNEGDDAMCLLDGGLEGNADAEQLNRACRVACWCIQDGEDCRPMMGQVVRMLEGVMDVEVPPVPWLLQKYIGMEDSTSGDSETVSEVSC >PVH39466 pep chromosome:PHallii_v3.1:5:57199784:57200335:-1 gene:PAHAL_5G507900 transcript:PVH39466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGARRLRRNQNRAARLLFFVLLLNLVAASSQGDHQEQVHVREGAHPSPLRRRAGAAHQAGVEAAALRAQVRRVRAGAAEGGVRPQCADYEPVGWKCKCGAAVFDP >PVH37538 pep chromosome:PHallii_v3.1:5:1618371:1621701:-1 gene:PAHAL_5G025300 transcript:PVH37538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein Psb28, class 1 domain containing protein [Source: Projected from Oryza sativa (Os01g0938100)] MAVFWTHHHPIAPFTLSTLCTTQTPSSSPFISPLLSFPLDTSFPGQLPQEPPGCRMAAVMKALAVGSPVSARAQPRKCVAGAASQQQSLQSSFNGVSLQCRRSSRPRPCGTPRSSPVQVVMMAKPSIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFEQPSVFDSSAELGDITGFYMIDEEGVLQSVDVSAKFVNGKPARIEAKYVMRTPREWDRFMRFMERYSQANGLQFVKN >PAN27685 pep chromosome:PHallii_v3.1:5:6045491:6046629:-1 gene:PAHAL_5G098400 transcript:PAN27685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGSSSPASYIRLVQHLIEKCICYNMNKEECMETLEKHAKIMPVITSTVWKELEKENREFFETYKKDRGEDSTRKNPPDGGSASPSKSSADDDDQ >PAN31476 pep chromosome:PHallii_v3.1:5:51815065:51816958:-1 gene:PAHAL_5G422300 transcript:PAN31476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRLVLLVACLVAPLLLAGAAHGHPWGGLFPQFYDHSCPRAKEIVRSVVARAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTGIVSEKGSNPNKNSLRGFEVVDEIKAALEAACPGTVSCADVLALAARDSTVLVGGPSWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIVTKFKRQGLDVVDVVALSGAHTIGLSRCTSFRQRLYNQTGNGAADATLDVSYAARLRQGCPRSGGDGNLFPLDLATPARFDNLYFKNILGGRGLLSSDEVLLTKSAETAALVKAYAADVDLFFRHFAQSMVKMGNISPLTGAQGEVRKNCRRLNGNHY >PAN28554 pep chromosome:PHallii_v3.1:5:9740744:9744540:1 gene:PAHAL_5G161700 transcript:PAN28554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTMASSLCVFAAMSGAAAGRPGSGAAVPGRLATSQQGAKGKRAAAHPLVASAVTETPAPAPAIAPAPPGRPAVVDAPRRRGGRGAGGHAAWKSVRQERWEGALEVEGELPLWLDGTYLRNGPGLWNLGDYGFRHLFDGYATLVRVSFRSGRAVGAHRQIESDAYKAARAHGKVCYREFSEVPKPDGFLSAVGQLASLFSGSSLTDNSNTGVVRLGDGRVLCLTETIKGSIVVDPDTLDTLGKFEFTDKLGGLVHSAHPIVTDTEFWTLIPDLIRPGYSVVRMDAGTNERRLVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGRVVASVEVPPYVTFHFINAYEETDEDGRVTAIVADCCEHNADTSILDKLRLQNLRSSAGQDVLPDARVGRFRIPLDGSPFGELESALDPDEHGRGMDMCSINPAHVGKRYRYAYACGARRPCNFPNTLTKVDLVEKTAKNWYEEGAVPSEPFFVPRPGAVEEDDGVAISMVSARDGSAYALVLDAKTFQEVARAKFPYAMPYGLHCCWVPRNSNA >PAN28558 pep chromosome:PHallii_v3.1:5:9754280:9758104:-1 gene:PAHAL_5G162000 transcript:PAN28558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTHKTNEALASAHELASEAGHAQITPLHLAAALAADRSGVLRQAIAHASGGNDVAAADSFERVVAAALKRLPTQSPPPDTVPASPALVKVIRRAQSAQKERGDSHLAVDQLLVGLLEDPQVSDALKEAGVAASRVKAVVEKLRGDNRRVDSASGDSSFQALKTYGRDLVEVAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRSDVPSNLLDVHLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVVDTVSILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEVIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELEDLRDKLQPLQMKYHKEKERIDEIRKLKQRREDMLFSLQEAERRMDLARVADIKYGALLEVDAAIAKLEGETGENLILTETVGPEQIAEVVSRWTGIPVTRLGQNEKERLVGLADRLHQRVVGQQEAINAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGNNSMKAARDLVMLEVRRHFRPELLNRLDEIVIFNPLSHEQLRKVARLQMKDVASRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTELSKMLIKEEIDENSAVFIDASPNKDELTYKVNMNGGLVNAQTGHKSDILIQIPNGAINGRAAQVVKKMRILQDDDDADDMEEER >PVH38952 pep chromosome:PHallii_v3.1:5:49531811:49532458:1 gene:PAHAL_5G398000 transcript:PVH38952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMRFTMPGTQASSNPTTRLTVRFGSATIDTTVTRDAAAADEWVRAVRAAHPRGGGPLIVGLDCEWKPNYRSWTTSRVAVLQLCAGARCLVLQLFYVDRVPASVRSLLADRDVRLVGIGVGEDAARLAADYGLACAAPVDLEARCNDHLGRCAGGRRLGLKGFAREVLGLVMEKPRGVTMSNWEKHDLDEAQIRYACIDAYVSYKLGERVLITS >PVH38777 pep chromosome:PHallii_v3.1:5:38785662:38792932:-1 gene:PAHAL_5G350200 transcript:PVH38777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAATAASFRLAPATNPPHRRASATSSCSLKPAPIAQPSRVFTLARRAPVARASLGVSHDKGSEVSGADVVSQNDLLIVGPGVLGRIVAEKWQKEHPGCKVYGQTASTNHHSELTDLGIIPSLKGSTISQKVPHVIFCAPPSGSDDYPEDVRVAASNWNGEGSFLFTSSTALYDCSDNMMCNEYCPSVPIGRSPRTDVLLKVENVVLEAGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKRLRNQTFLGCDNKPLSRSLSTFWQEIMDAVNRSGKFDTKFEGFTGTDGPLGKRMENSKTRAEIGWEPKYPSFTEFLGLSS >PAN29244 pep chromosome:PHallii_v3.1:5:12895613:12902652:1 gene:PAHAL_5G211600 transcript:PAN29244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRATQRRAAAPPAAAGGEPYNILPIHDLLADHPSLRFPEVRAAAAALRAVGGLRPPPFAQWRADHDIMDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLSSADFSDTLDPRIAHQIRKKLLRNYTSWCGFLGRRPNVHVPDGDPRADLLFTGLHLLVWGEAANLRFVPECLCYIYHHMALELHRILEGYTDVATGRPANPAVHGENAFLTRVVTPIYNVIRAEVQSSRGGTAPHAAWRNYDDINEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYLQAAAIVAWEGATWPWDDLLSSRGSKSKDTQVRVLTIFITWAALRFLQSLLDIGTQFRRAFRDGRMLAVRMVLKAIAAAAWVLAFSVLYKGIWDQRRSNGQWSSAVNSRIMRFLYAAALFVIPEVLALVLFIVPWVRNALEKTNWRVCYALTWWFHSRSFVGRGLREGTFDNVKYSIFWVLLLAVKFAFSYFLQIRPLVKPTKEIYKLSGIQYTWHEFFGQSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNESFLPSRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALVWNEIISKFREEDIVSDHEVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVKGSDRRLWRKICKNDYRRCAVIEVYDSAKHLLLEILKEGTKEHGIVTQLFNDFDGSMQMEKFSVEYKMTELHSVHTRLVALLSLLLKPTKDVTKIVNALQTLYDVVIRDFQAEKRSMEQLRNEGLLFVDAVVLPGEENTTFYKQVRRMHTILTSRDSMINVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQKIYPDEWEYFLERMKREGMSDIKELYSEKERLRDLRHWVSYRGQTLTRTVRGMMYYYEALKMLTFLDSASEHDLKTGSRELATMGSSRIGSSRHDGVAGGSGYYSRASSSHALSRASSSVSSLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPCAFEILELMKKYEALRVAYVDERQNNGAETEYFSVLVKYDQQLKREVEIYRVKLPGELKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRHYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSLFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVVLTVYAFVWGRFYLALSGLEDYISKNTSSTNNAALGAVLNQQFVIQLGLFTALPMIIENSLEHGFLTAVWDFMKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFVKAIELGVILTVYASYGSASGNTLVYILLTLSSWFLVSSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFHGGISVKIDQSWEKWWEDETDHLRMTGLWGSILEIILDLRFFFFQYAIVYRLHIAGQSRSILVYLLSWACILLAFVALVTVAYFRDRYSAKKHIRYRLVQAIIVGGTVAAIVVLLEFTKFQFVDTFTSLLAFLPTGWGIISIALVFKPYLRKSEMVWKTVVTVARLYDILFGVIVMAPVALLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKAHAF >PVH38552 pep chromosome:PHallii_v3.1:5:20669710:20670633:-1 gene:PAHAL_5G287200 transcript:PVH38552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMDRSSTCRVVIQVPAYVEQPVEEYHVTSKNSIIVDKDTTNWIDFSAELDAMVKHGEQQELHVSFLDKTCNEYVRIASDAALLEAFSQYWDIRRLSLQVIVHDLEPLEEVLPSTNLETTLVVACVEPSSAPTHKELDLNKPASWGEEDEIEYVGVDDEKERYKNFKLDDDVMIDPNYIPNSDGEDSDDELSVDDDKGCEMHVHVTDVENPKIEVGVTFEDGYVLRNALDNMQSLMKLNLQCHIVRLIGTEPIANQRNSSGEFMLLGCMMGRHG >PAN32322 pep chromosome:PHallii_v3.1:5:55465805:55467025:-1 gene:PAHAL_5G478300 transcript:PAN32322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDGDTAPRVVEDYRGVIQILSDGTIVRSDPAVLRPPERFPDVPGVKWEDVVYDAAHGLKLRVYRSAAAGDAGKLPVLVYFHSGGFCLGTFEQPNFHSGCLRLASELPAVVLSADYRLGPEHRLPAAIDDAAAALSWLRGQAALGAGAHHPWLAESADFTKVFVAGESSGANMSHHVAVRRGSGQLALHPLRVAGHVLLTPFFGGAERTAAEAEPPAGALFTPEMSDALWRLSLPAGATRDHPAASPFGPDSPCLEPVAFPPVLVVSAGCDILHERVLRYAARLEGMGKPVELAVLEGQEHAFFSRQPWGEGASELIRVMKSFVHNGDCAGCN >PAN32174 pep chromosome:PHallii_v3.1:5:54902029:54904992:-1 gene:PAHAL_5G469500 transcript:PAN32174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRETYMEVLPPMDHIASRNSWYHPAVRIWTAEENKQFERALAGLDLRCPDWEQVARAIPGRTVREVVHHFKSLEVDVQQIENGMVPFPFYGGGGAAGSFTLQWDGNGGGGPGDFRHGYRFGGGCGKRHPGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSLITNQSNTSAPTTAVGQFSLTADVKQHSTANLPFNSPSRTPVMPTFGMGLQDQGLQCGPLHDQLVGNQSMLF >PAN30275 pep chromosome:PHallii_v3.1:5:34881880:34885703:1 gene:PAHAL_5G336200 transcript:PAN30275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g13230, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13230) UniProtKB/Swiss-Prot;Acc:Q9LYV3] MIRRLLHSTFYQPNGPPRRELATNAALQWLDDELASLALPKLNSYACARLLQRCIARGDARTGRAVHARVVQRGGVLRLDTFCANVLLNLYAKLGPLATARSVFDGMPERNMVSFVTLVQGHAMRGEFEEAAKLFLRLRREGHEVNQFVLTTILKLLVAMDAPGLACSVHACACKLGHDRNAFVGSVLIDAYSLCGSVRDARLVFDGIIGKDGVTWTAMVSCYSENDSPEDAINVFSKMRMAGSKPNPFALTSVLKAAVCLSSALLGKGIHGCSVKTLCDTEPHVGGALLDMYAKCGDIEDARTIFEMIPHEDVILWSFMISRYAQSYQSEHAFEMFLRMMRSSVVPNEFSLSGVLQACANVAFLDLGEQIHNLVIKLGYESELFVGNALMDLYAKCRNMENSLEIFSSLQDANEVSWNTVIVGYCQSGFGEDALSLFRQMRAAQMLSTQVTYSSVLRACASTASIKHAVQIHSLIEKSTFSSDTVVCNSLIDTYAKCGCIKDALKVFEAIKQCDVISWNAIISGYALHGRGMDALELFDRMKKTSIKANDVTFVALLSVCGSTGLVNQGLSLFNSMRMDHGIKPSMEHYTCIVRLLGRAGHLNDALKFIGDIPSAPTAMVWRALLSSCVVHKNVALGRFSAEKVLEIEPQDETTYVLLSNMYAAAGILDQVALLRKSMRNIGVKKEAGLSWVEIKGEVHAFSVGSVDHPDMRVINAMLEWLSLKATREGYVPDINVVLHDVDEEEKARMLWVHSERLALAYGLAMTPPGHPIRIMKNLRSCLDCHTVLKVISKIVQREIIVRDINRFHHFEQGICSCGDYW >PAN27085 pep chromosome:PHallii_v3.1:5:3669597:3672627:-1 gene:PAHAL_5G057900 transcript:PAN27085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFVKTQKTNAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIVSASIAGDMVLAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKLRDLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHRKYIYGGHVAEYMRTLADEEPEKYQAHFSDYIKKGIEADDMEALYKKVHAAIRADPTMAKSTKQPPKEHKRYNPKKLTYEQRKASLVERLNALNSSGGADDDDEDDE >PAN28455 pep chromosome:PHallii_v3.1:5:9295688:9300176:-1 gene:PAHAL_5G153900 transcript:PAN28455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSLSGRCALLALCSALLLLAAPARPAWAAPVEDGLLNNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASVGQVVEVEKGSEYAITFSAARTCAQLEALNVSVLGGVSQTVDLQTLYNIEGWDAYALAFQATDEQAHIQFMNPGMEDDPTCGPILDNVAIKKLFTPDKPKDNVVLNGDFEEGPWMFPNTSFGVLLPTNLDEQTSAIPGWMIESNRAVRFIDSDEYKVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGTAGDSCQPPMAIMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNAAAGLKASVGLVLGVVGMVGMVLL >PVH39129 pep chromosome:PHallii_v3.1:5:53128449:53129700:-1 gene:PAHAL_5G443000 transcript:PVH39129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHFVAVPSPIYTDDFMSTNGTCCSSKIRKYGSFSFLSSPLSLPNPILTTPSFLCLPVASLPLRIPPSPGSARAGGPVAQRGEVAGSRRATAGVGARERQQARDSRRAGGAVQACGGESTTAPSRASTGAGG >PVH38036 pep chromosome:PHallii_v3.1:5:9572575:9573903:1 gene:PAHAL_5G158500 transcript:PVH38036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVASRRQTKDGEADSSNRPQNEKDDYVTERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIRDCPECGPGRPEARDSVFQRIGPAPIRQVRVRSPRKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVSVLPAEFHARPQVEPSVAQLDLGPRPVIFEKLQGKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYLVLRRLGRSTADLIKTNVTLSDFNGQISEAQGVLSVDLTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIKISHAAMSIWDAEDQETISGISLEGCDRIEATKNGVRLVLSTGLTE >PAN28454 pep chromosome:PHallii_v3.1:5:9288639:9291983:-1 gene:PAHAL_5G153800 transcript:PAN28454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRRPSAEFTSTIYRPAARMACPSFCTSAWAPRLPCFDGDISNRFRGRNLSGDLLPSLGATTTQQPPKIRGHLVSPYDPRYQVWEIFLILLVVYSAWICPLEFAFLRYLPRAPFIVDDVVNGFFAVDIVLTFFVPYVDSKSYLLVDDPRKIAVRYLSSWFAFDVCSTFPFHSISLLFNRHDHSLGLKFLNALRLWRLRRVSSLFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGASMPDFREAGLWVRYVTSLYWSITTMTTTGYGDLHAENTREMLFGIAYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDMVQAASEFAARNQLPQQIEEQMLNHICLRFRTEGLKQQETLEILPKAMRASISICLFFPVVQGSYLFKGVSSGFIQQLVTEMQAEYFAPKEDVMLQNDKPSDLHLLVSGAVDVLASLDGTEQIFGKAAEGELLGETGVMSNKPQPFTFRTTKLSQILRISRSKLMDIMQENREDGQIIRSNFHQKLRMEQRLYIAMHQDDRVSAFEQRLDP >PVH37557 pep chromosome:PHallii_v3.1:5:1926270:1926980:1 gene:PAHAL_5G030200 transcript:PVH37557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRWEACRCFDDGEETRPWFRVHRTWRNGAAVAMHGSGRSRAYRIDGCSRKSDYKISGADGLIVAAIARKQTASGVALGEDVLTLTVGPEVDHLLVLGLVVVFGLINRCL >PAN30940 pep chromosome:PHallii_v3.1:5:46349954:46361096:-1 gene:PAHAL_5G376200 transcript:PAN30940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESGVCARLDPLRPFPPRRFFPNHRPLPHSLPPASSRPREICLPPRLHATQRRPASSPAIEVVPRRILRRMEAAGGGGADERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRAFFSDPALFPPDLVAHASSAPPGADPQSLLEEKVAAQEKLALAEYDLRLAKEDLSQLKLELRKQKESSPEDSNGVLLGASTWEGSISQQDKRDVKISALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWPNSAACVPDALRRYYYQYLSSTAEAAEEKISILQENETLLKDNERLNAEKDSLTKSRESANSQVAALRKSLEAAHKDIKEKEKMVQDLRQSLDVQRKELNDCRAEITSLKMYIEGAQSNKQLFVGNSDGLESHSIANSMGESASLNNKDGDSKGSESITNKLASAVNLTEDTQKDRQVIENSAEVPSVSEAPVSCSTDENGGYGTSEEDKSVLNISSENATSNSDLHGVSMIGKSQANSDGISVYLSTDKLESPSKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICSIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDAATVVREAATHNLALLLPFFPNLDKYYKVEELMFQLVCDPSGVVVEVTLKELVPAVVRWGGKLDQISRVLLAHILASAQRCPPISGVEGTIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAIETCPFASVDPTSSTPENFFSASCLKLYSTGDSEWSTFEWMHTDCLPDLIKLACLLPVKEDNLRTIITKYLLEVSGRYGKDYLEHIMLPIFLVAAGDIDSGDFTYFPLSIQPKIRGLRPKTSTAEKLAIMCVFPLLLSGILGSPSSHEQLEEYLRKVLIKNTKDGSFSMHHTTEIINTVRFLCLFVEHHGVIFNILWEMVVSSDTTLKINATALLKALVPYVDVKVASTHILPALITLGSDQTLTVKYASIDAFGAVAQHFKNDMVVEKIRIQMDAFLEDGSHEATISVIRALAVAVPHSTDRLREYLLTKIFKLTSITPSGDDIERRRDRANVYCEALRALDATDLPSTSVRDLLLPSIQNLLKDPDALDPAHKEAIEIIGRERSGGTLESLSKAMGAHLGIASSVSSFFGENSLLGKKEGGEQHDPATTSAPEPSPQAQPESTRFGRIMRGGFGDILRGQSKGQ >PAN32716 pep chromosome:PHallii_v3.1:5:57158661:57160813:1 gene:PAHAL_5G507000 transcript:PAN32716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQPGSPASPPSPGTPPSPGSAKVPTPDEPMEEATEQEPGRKSTSSSSSSSSSSESSAESPRHVDVVELGDTLLPAPEDEQFAVASSIQSAEGKPDGSATVGDEQADPTVQGARGKQDDRATLEDEHAAAPEHSAEVKPDDRALPEDEQAAAPAVRGAEVKPDDWAAWPEPPPPAVEYSSSSDGSAGAAPTGLTEAPQVQTMAKLDGAGAGTGEFDPQRIPASVFQTRASMSQAEWSMTSNESLFSIQGASDVGGPYAGSRSHFDFFYDEAMAAAEAESKLPSVAEGMESAEFAAPGSASSRASGGSANAKKATVFRRHDSGSGGSSSNFSFAFPILAPTSPKKKDLAGSALFQALEKEYDQQPPKLEAPVSAFEEMTTEAERRQNTGCCCCGCCWFDCSWATCCGWWRCCGSCSCPSFCRCRWCPCS >PAN32034 pep chromosome:PHallii_v3.1:5:54149928:54153371:1 gene:PAHAL_5G458400 transcript:PAN32034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPRSWAWACGCCVARRRKGGAGAGQTGGGAGAGASGRAEEGDEWSLFMDLPVLEAATDGFSDGNLLGRGGFGPVYKGVLQDGQQIAVKKLSLGSRQGVREFLNEVRLLLKVQHRNLVSLLGCCASSGQKMLVYPYFPNGSLDHILFNRQKSVQLDWPKRYQILIGLARGLLYLHEESPVKIIHRDIKASNVLLDDQLNPKISDFGMARLFLEDATHVNTFKISGTYGYMAPEYAMNGYLSTKTDVFSFGILVLEIVSGRKNIVRHVDDERIDLLNYTWKLFEEGRSLEIVDPNLSDPDTEQTLLCIQLGLLCCQAVVSDRPDMHSVHLILSSDSLTLPKPGKPAIHGRTGRWRTTTASGSASASSASNINTTSTFGTDTNTTRASVLANIAEDESRNSISISFTTEGR >PAN28668 pep chromosome:PHallii_v3.1:5:10349579:10351892:-1 gene:PAHAL_5G170600 transcript:PAN28668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGMEVHKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFHMQDEDAGRPLRKFL >PVH38518 pep chromosome:PHallii_v3.1:5:18584174:18588552:-1 gene:PAHAL_5G276100 transcript:PVH38518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRTNVLRVIITVHSAIWICATHSLVRVFLLYSICFERTGLRGSIPRYLPPQTLLYLSPTWTCSLLSLLRRRSTSLPLLRRRSLQFLFSFATASILRSLPHLYLLRHRSTSLPLLRYGSLSSLLLRRRSFSPSLPHLRLLRHRSTSLFSGTVPSNSYLLLRWRPLPPPLPPHLCLLRPAPPLSLFTGAVPSNSSLLLRRRTFSTRLPSAPLSSPTPFPPPIPFSCSAFKVLDFERTNSDLNNIMHEAQTIILMDQPNVVMAHCSFTKDQTLWVIMPYMAGGSCLHIMKSVHPTGFEEAVIAIVLREVLRGLEYFHHHGHIHRDVKTFFLHMFISGYFQSIRIAPEVMEQLHGCDFNRHMVLWNYCT >PAN27431 pep chromosome:PHallii_v3.1:5:4978929:4980002:-1 gene:PAHAL_5G080500 transcript:PAN27431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLPSSSCSSTALQRHACTGKPLFRGAGCWKKRERLPGFRARVPVKPPCAAAGKGGIVPAGDDDDGVSLGTVKLPGNIDIARFESLLFQWGNSLCQGATLPLPVPLKVDKVEGGIRLGFIAVEDGATQTLVYIDCLVSQAPDGTGPVFRAIRNGPMKDKEPPGEPRIMRSLLEALQKCIQIARV >PAN32018 pep chromosome:PHallii_v3.1:5:54095550:54101853:1 gene:PAHAL_5G457400 transcript:PAN32018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) UniProtKB/Swiss-Prot;Acc:Q9ZVX1] MENLPNGSANTAEHNQENEKSMDASEPGVPDVFVYREDVVSLKSKEDARGLVLEVAGEYDSEGSITDDDDTDTEEHEHKAARGAENGGADGDNASNGAEVDSQCSLPDNKVRVLWIDGSEKTEDIDDVVVVDRSFLHGDLVASASDPTGQMGLVVDVNLVVDLQVPNGDLIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVNKADPMRLKPVSSPIHPDTACPFYPGQRVKAVSSSVFKTSRWLNGLWKASRLEGTVTKVESVAVIVYWIASAHFAEQQSVPPEEQNPKDLTLLSCFSYANWQLTDWCLPYRYTSCADDAVAENSETKELNSDEHTGNKSTCSEISALLSDIPESQADCKTEQDANCRQTDVDSSADGLSMSDGDNSCVAKESESGTSVSAIPKESSQDYRKKFRKVFLKKDKRTKRRDESFKRALLIANTYTKVDVIWQDGTKECGVTSTSLIPIHSPNDHEFFPEQYVVDKVTHDVDDSAEPKRVGLVRSVNSKDRTASVSWFKPTLHPEEPKEIESHEIVSAYELDIHPDYDYCYGDVVVRLPSVSPLIESTNSEDKMELDKKLDSSEGLAASNVAPPDASADEQVSQKEPCSQFTSLSWAGNIVGFQDGEIEVIWGDGSTSKVGPHEIYVVGRDDDGGSLDDGTASDGASWETVDDNEMDLLDDSAKDDSQNVPENSMERENGSFSSQDGSSVATGPLSVAFGFMTRLASDLFSRGRRHLDGSNSDVMDEVESHQSNEVLETGDDIDKENHVEMAEHTTDTANDSSADKTVDVIMAESPTDLECFKQHFDVLQCPPDHHYLESTAQGTGGRKWVKKVQQEWGILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEYPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNAVPYNENTYLLSLKSMLYIMRRPPMHFEDFVKSHFRKRGHYILKACEAYLQGNVVGTLTDDACTTDRSKEHSSSVGFKLALAKILPRLITALKETGADCNQYEHLGKTETVRES >PAN32508 pep chromosome:PHallii_v3.1:5:56190344:56191114:1 gene:PAHAL_5G493000 transcript:PAN32508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCRVGDLRMIGGWAKLDLPSTETVRPRSTGSRRHLHLIRAGGDGFWWLPAAAGGIRFWRIGGAEGPRVSNVILLLLGSCLQNTYCLYLFRMYLYLYLYGILNINTGMF >PAN26499 pep chromosome:PHallii_v3.1:5:1120286:1122041:1 gene:PAHAL_5G016600 transcript:PAN26499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVSTEQSCVDVTPLSARSPSLFQLNTRSKRSSPARVKENTQSESCGSSPASGSKELVYEMFPTDPMDTRRRILLALHNIKFADRKDQWRATNPDVLERVERTLRVVDCNLTIDDIKEQITALENDVKLHLAGDHCISEDWVTYIAVFDYVTPDYVVETPPAQHVDNDNVADEPNPRKKMKMKMTWNAESTSSGKSDSSIGERFTNLMEDKEIAAELFKGRLSMENCCDKAMRCGFEGKELRSVINLCRLNWEHRQIFMKLKDSEAKDYALEAIYGFVPPPPPPPPTQ >PAN32846 pep chromosome:PHallii_v3.1:5:57787010:57791029:-1 gene:PAHAL_5G516200 transcript:PAN32846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVASLYRRVLPSPPAVDFASPEGKRLFAEALAAGTMEGFFPLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAEGITFGKVACLARCSGADVQSFRANRVTIDDLRRHLLRSVASQDCHLIASYHRQPFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPVQLLWDAMNTTDDSTGLLRGFMLISRKTAAPSSLYTVSCRDENWKSIAKYCVEDLPNLLKGENLDNVQTLLSCLIESLPADAEALIKWVVEVRRKEEGGPSLSKEEKERLFSKENVLQQVRDTRLFAIVHDQQYANNPCCNCSSSSEEDSLTRIAAVVCCQGAAMLSGNFASRHGFCCSETCLKCVQANGDGLKTVISASVVSEGNEQGVDMLLPMSSPGASSCNSNLKKNAVKYPSSADVLTVLLLALHPSTWLGIKDEKLKAELQTLVSTDNLPDELKREIMHLRRQLYYLKACKEKEEDEDDDPPSQK >PAN29333 pep chromosome:PHallii_v3.1:5:13268572:13271651:-1 gene:PAHAL_5G217300 transcript:PAN29333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRGALALLLLLCIHGGRQGADAARDGFVRVQGTRFVLNGNPFFANGFNAYWLMSFGADPAQRGRVTSALSQAAGAGLSVARTWAFSDGGSNALQYSPGRYNENTFQGLDFVLSEARKHGIKMILSLVNSYDSFGGRKQYVQWAREQGQSIGSDDEFFTNPVVKGFYKNHIKTVLTRVNTITGVAYKDDPTIMAWELMNEPRCQSDLSGRTLQSWIAEMAAHVKSIDGAHLLEAGMEGFYGASTPSRAAAVNPSGYQLGTDFVANNRAPGIDFATVHAYPDQWLPGLDAPSQLRFLGAWLDAHVADARDALRKPLLVAEFGRSRRDPGYSGDQRDAVFGAVYGKVYESARAGGPAAGALFWQLLADGMDSYGDGYEVVLGQASSTAGVIATQSRRLQGLARAFARARKPKQGQAGKGGN >PAN26690 pep chromosome:PHallii_v3.1:5:1986548:1991916:1 gene:PAHAL_5G031700 transcript:PAN26690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLAAALGGAVGGLIVLGIVIVAIVLCLRHRRRTSDSSESSSSGQALPESQGARCLTLEELNLATRNFSNANFIGHGMFGEVYKGLLQDGTIVAVKRRHSPPSQEFVQEVNYLSSLQHRNLVKLLGYCQENGMQMLVYEYIPNGSVSTHLHGNSHAPGVRLEFKHRLSIAHGTAKGLSHLHSLTPPAIHMNFKTSNVLVDEDFVPKVADTGIPGLLDRLGVTGLSSRTPNDPFVDPRMRESMNLNFSIQSDVYSFGVFLVELVSGRRAVSDQRIIQWVQNFQESSDISAIADNRMTSGFTSESMKELLRLTSWCVSPMSEQRPSMSLVEAEIHRIHEQEISLTTVMTGHSPTVTLGSQLFRTSR >PAN29585 pep chromosome:PHallii_v3.1:5:14802542:14805478:-1 gene:PAHAL_5G236100 transcript:PAN29585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAVVAAAAAAATSPWSLLLQGLLALLAVWAAYRAAERCWLRPRRLDRALRAQGLGGTGYRFPAGDLKENARLNEEARSRPMPLCHDVIPRIAPHLLNTVQEHGNICITWFGPIPRVIIAEAELVRDILSNKFGHFQKFTNKRMGKLLAHGLASHEGEKWAKHRRILNPAFHVEKLKRMLPAFSTCCTELIGRWERELADGSDGSYEVDIWPEFQNLTGDVISRTAFGSSFMEGRRIFQLQGEQAERLIKAFQYIYIPGFLFLPTQNNLRMKEINGEIEGILRGMIEKRERAIENGEASGNDLLGLLLQSNMDSGTGSLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQHRAREEVLTVFGRDDRPNFDGLSRLKTVTMILYEVLRLYPPAVSLNRRTFKDMTIGGVTYPAGVILELPIIVVHHNPDVWGKDAREFRPERFAEGISKATKDEQPAFFPFGWGPRICIGQNFALLEAKMALSMILQRFEFQLSPSYTHAPYTVITLHPQHGAPIIVNKI >PAN32998 pep chromosome:PHallii_v3.1:5:58520237:58525067:-1 gene:PAHAL_5G528100 transcript:PAN32998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPPTAASASASAPDELAADLSAATLSKKQQKKDARKAEKAEKAAQRQQQQQQPADAEDPFAANYGDVPVEEIQSKVISGRSWTKIGDLDADAAGRSVLIRGATQAIRPVSKKMAFVVLRQSMSTVQCVLVATADAGVSTQMVRFATALSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAVPTLPINLEDAARSEAEFEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGYERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLQYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTDFFILYRYPLAVRPFYTMPCYDNPAYTNSFDVFIRGEEIISGAQRIHTPELLAKRATECGIDVSTISAYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSMFPRDPQRLVP >PAN27128 pep chromosome:PHallii_v3.1:5:3835833:3836888:1 gene:PAHAL_5G060800 transcript:PAN27128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPIEYFSPAELIKSISTPTFIVNSEYDSFQIQNAVAPVGSYPGDAWSSCRDNIGNCNSKQMDVVHGFRRKLVHELNAAEGKRDWGLFIDSCFTHCQTHFNSSWHSPTSPRLGNKTIAEAVGDWYFGRTVEGVKQIDCEYPCNPTCGS >PAN32459 pep chromosome:PHallii_v3.1:5:55939208:55939635:1 gene:PAHAL_5G488500 transcript:PAN32459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSSPRSSSSSSPVALLLLVLLLVASSPAYSNSIQAEAGAAAEVARGRQQMLRGGRRLLAPPRDAPPAPAGAPPILPVVVVAPPPPPPK >PVH37959 pep chromosome:PHallii_v3.1:5:7992987:7998379:-1 gene:PAHAL_5G134100 transcript:PVH37959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVALAGLRWAASPIINKLLADASTYLGVDMARELQELETTVLPHFDLVIEAAEKSPHKDKLKAWLQRLKDAFYDAEDLLDEHEYNLLKRKDDSSVGDDDASSIKSTILKPFRATASRVRNLLPENRRLIRKLNELKDILLKAKDFRELLGLPAGNTCAAGPVVATTVVPPTTSLQPRKVFGRDMDRDRIIDLLTKRTAAGASSTNYSGVAIVGHGGAGKSTLAQYAYNDGRVKDHFDARMWVCISRKLDVHRHTRELIESATNGECPRVENIDTLQCKLRDTLQKSERFLLVLDDVWFEGSSNEREWDQLLEPLVSQKEGSKVLVTSRRDTFPAALHCEKVVRLEDLKNAEFLALFKHHAFCGAEIGDQQLKVQLEEIGEKIAKRLGKSPLAAKVVGSNLSRKKDVSSWRDALGIENLSEPRRALLWSYEKLDPVLQRCFLYCSLFPKGHTYDVNQVVRLWVAEGLVESCNHNRSLEDVGRDYINQLMSGSFFQPVYVGKDNVTHAYTMHDLLHDLAESLSREDSFILDDDMAEIPLTVRHLSVRVKSMLQHKQSICKLRHLRTIICLDPLVDDISDLFHVLLRNLKKLRVLLLCFYNRSKLPESVSELKHLRFLDLSGTSISELPESLCTLFHLQFISSDTKVKYLPGQFCNLRKLRRVQIYNEGVGTLSIPNIGRLTSVQRLGTFCVKKQKGYELHQLRNMNELHGSLCITNLEAVTGKEEALRAALHQKKHLKRLQLVWIEENGSREENTTHLEILEGLMPPPQLNELAIGGYKSSSYPSWLLDGSYFESLESFGLTECAVLECLPVNTGLFRNCRNLELCKIPNLKILPCLPAGLEKLEINQCPLLMFISNEELQEHGQRENTIRTDHLASQLALLWEVDSGSTIRSALSDEHSSMKQQLMALMDDDVSEHLQTIKSAVEEGRDKVLTKENIINAWICCHEQRIGLIYGQRIGLPLVPPSGLTELDLSSCSITDGALASCVGGLTSLRRLSLGQIMNLTALPSEEIFQHLNALESVFIIGCWCLRSLGGLRAAASVSYLYLSSCPSLELARGAEYMPLSLTELGINLCILAADSLSASLPHLRYLQIHDSRSSASLSIGHLTSLVSLSIRGIQDLCFLEGLSSLQLLWVYLRDVPKLTAECVSQFRVQRALTIGSSVLLSHMLSSESFTVPADLNLQSWKDQSFDFEESAKFSSVKELSLYACDMKSLPRNLNCLSSLKRLKIRSCPYISSLPDLPCSLQHIAISGCKLLMESCRAPDGESWPKIAHIRWKDIRL >PAN31721 pep chromosome:PHallii_v3.1:5:52893357:52895670:1 gene:PAHAL_5G440200 transcript:PAN31721 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor 47 [Source:Projected from Arabidopsis thaliana (AT3G04070) UniProtKB/Swiss-Prot;Acc:Q84TD6] MTIDLGLAPAPASSSSGGRGGMPSPCTALPPGFRFHPTDEELILHYLRKRAAAAPCPAPVIAEVDIYKFDPWDLPAKAIFGEAEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPITLSGAAAAPDSSESRAMIGVKKALVFYKGRPPKGIKTSWIMHEYRLAEALAAANTYRPMRFKNSSMRLDDWVLCRIYKKTTPQLYSSPPHDEPSMDGGLDLIGRQQDGSVSADDIVATYAPASALPRPASVSDYLVDYNYSPVSELLESMPAPETAAQLSIMDAGRLFYAASHSEAAASSSTAQQQQQSSHKRRFMEDYSNGDLNMLHASSGKRLMSDQASMAANHAFSSVFEPGQTSLPDRI >PAN30072 pep chromosome:PHallii_v3.1:5:17814304:17822525:-1 gene:PAHAL_5G270900 transcript:PAN30072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDKRIVLSVDLPDDRQKKKALKALSTFSGIDSIAMDTRTHKMTVIGCVDPVDVVCRLRKLRFAVHIVTVLPSEKKKEEKKERGDKKDGDKKDDWGQHYYYYYGPCTVPPVEFTLEFLNRITNNFSEERIIDRGRHGAIYKGVRDNGECLAVKKLNLKPGLEEEEFKNEFNKLMGIQHRNVTRLVGYCRHIEQVPVEHNGEYVSARVEERALCFEYLEGRSLDKHLSNEPCGLPWYICYRIIRGICEGLHYLHKGLEHPIYHLDLKPTNIFLDRDMMPQIGGFGLSLFDSLETNNTSEDMETSVYMPPEYINKQQISLKFDVFSLGVIIIQIMAGNQSFSKCADTPPEEFIQHVHQLWAKGTPETSWRHTSREVRTCIEIALKCVKSDLVMRPTVTEILDKLNKIYIADCSSIDQLYRTREFTLEFLERITNKFSMQSIVGRGAYGVIYKGVLDNGEEIAVKKLHQMLWIDNEQFKNELNNLMRVQHKNIVRLVGYCHNIAQILVEYKGELVSARVEDRALCLEYLQGGSLDKHISDEVCRLDWDTCYKIIKGICEGLDYLHNGSEGPIYHLDLKPANILLDKDMMPKIGDFGLSRLFDSIQTYMTQSQDVIGTRGYMPPEYINKGKISQKFDVFSLGVIIIQMMAGMEAYFDCADTPPEEFIKLVRGTWRKRLLQSSTVSYHASQEVRTCIEIALRCVEADRGQRPTITEIVNELSNIGTAKSSPVDQWPPKKLTARRTSLLFTHFKYWLPLLIALAAIFLAWPQLCPESWSRQT >PVH38296 pep chromosome:PHallii_v3.1:5:13568368:13570863:-1 gene:PAHAL_5G221600 transcript:PVH38296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSSDDDDEDDRIPLSQLIKKRRGAEPVPNGEPKKGQEDVQANSVGPLGDHPPERPPVNRGDPEASVGQRAGASPRLKVANLVKKKGAICQLRQGGGLSRAMPTPPPTDSAAKNSPRKNSSKADGAEDGGRIGNKRGSAAGPPFQVRTPSHTDMARRIVVSARGGADTPNIRTSSAPGATINLVPDTIREQGSTNGGMRKMKAPLETNGIAGKTCVVPRAIREQQKSQGQVLNIDVLPGSNGIGQQSGKLTTCQNNEVSVRGRLRTSDKAVSASLQLNNQLTLHSEQMPAESSLPTSLHPNNQITLHSEQKPVESSLPSSVTRHWKSASDVYTSCLVNDEICMQAACALLRQKKLTFQGGRSGYSILSKSDVQRAAELAGFLLDGNLQGPLKRTAEELVKHDSGGQNLLGRVAMGFSEQLFSIYRNKEDPYFR >PAN31297 pep chromosome:PHallii_v3.1:5:50838972:50840158:1 gene:PAHAL_5G410500 transcript:PAN31297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRFAGLDPTWAHLPTPAPTHAAASHYCSFTAAAFENEALTSALRASMAPAHAYTAAASPFSSPATPSSSTTSSASELLSGGHDAPAPSSGRAGPPPTRALKGPKAGRVSKRKPRTSRRPQTTYITADPANFRRMVQEITGLPASAPAAPEAAAAAAPSWTPAPSFVLPTLDTSAFLLLDRAAPALEDRSSSRGYVPAATAAGNDDSSALLEMEAMIDFPTLESWGII >PVH37687 pep chromosome:PHallii_v3.1:5:3735316:3735819:1 gene:PAHAL_5G059300 transcript:PVH37687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEELADGMAPTAPPPRMAWLRTPRRCDLDGGLLPSDSKIDGRRGAPPEGPRTHAHGSILACTSTHTHAPAAHRSATMPPHLQVWPRHGHKMRSTSTRPPTPVAPRLHPPSHRYRSPPQVSSSSSPPSNRHPPTPLPSRPFLNRIIAETPAVNPGHLLKFPNINLSS >PAN26495 pep chromosome:PHallii_v3.1:5:1097524:1100481:1 gene:PAHAL_5G016200 transcript:PAN26495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAVKPVLRVAAICGSLRKASFNRGLLRAAAEVCEDSVPGLRVDHLDISDLPIINTDLETDGGFPPAVEAFRAKVSQADCFLFGAPEYNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELFVQAFQQPPKFDSDGNLIDDQIRERLKQVLLSLQAFTLRFQKD >PAN32777 pep chromosome:PHallii_v3.1:5:57494698:57496348:-1 gene:PAHAL_5G511800 transcript:PAN32777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAAHEEEDAAAQQQGAAPATAAPPSPPAQEEVAPALESLPLETRCPPFPLRQLNGFWLPEITLAAGVPALRARFTPRPTDVLLASFPKSGTTWLKALAFAARNRAAHPPSDPDRHPLRRSNPHDLVRFLEVEFALAGEHAGGALGDEFEALPSPRTLATHLPYSLLPERVRSECRIVYVCRDPKDALVSTWLFTRKAAPAFGADAEGFAFREAFELFCEGRSICGPQWRHVREYWEESVRRPGGVLFLRYEEMLLAPRSSLRKLAEFMGCGFSGEEEEEGGAVDAIVELCSLGGLKSAEVNRSGSGKLPIDNKAFFRKGGAGNWRNHMTPEMARRLDRIVDDALRGSGFAFAGSA >PVH38417 pep chromosome:PHallii_v3.1:5:16056095:16057312:-1 gene:PAHAL_5G253300 transcript:PVH38417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANGFLYWVYEDQRYLVSLETATMEFCATELPHCLRDSTFDIGKAKDGATCIVYSDQLNVGVLMHTRDDDGVEKWVLDRVVPLDRELERVLRVGLDYDTVLNHLVADHSDLSVLAVQDGYVYLFSIASVHHDPQTSCWFLSLCLETMRLERLFRRTFDNAVHPHIMAWPPLVGNYGGFALQDAQSLK >PVH38344 pep chromosome:PHallii_v3.1:5:15021343:15021867:1 gene:PAHAL_5G238700 transcript:PVH38344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLAGGALNLRDGHGRSSRPAPRPVAFTGRPASRRPAPPLPLAGGHHPRIRVRENWKRRGPAGLPSAPKESPHLRAALYKSAAARAPAALQLLPPGASRPGNLSVSVGEERVQYRPADKLILPSPVDEGEYR >PAN26745 pep chromosome:PHallii_v3.1:5:2188350:2190950:1 gene:PAHAL_5G035600 transcript:PAN26745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVTTTTSTSKVGRKSLISRTLQRCKSGLGAGGSVRASPAGCFSVYVGPERERFVVRVERANHPLFRRLLDDAEREYGYAAQGPLALPGCDVDAFLDVLWQVEHDEADGGGGQQFDAAASSPVCGLHSGSKGRAAGYRMLMSPRSSSPVVGRRR >PVH39573 pep chromosome:PHallii_v3.1:5:59321776:59328062:1 gene:PAHAL_5G538000 transcript:PVH39573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKVISRSTEEFTRDRSQDLQKVFRNYDPGLRSQEKAVEYTRALNAAKLEKIFAKPFIGAMDGHIDAVSCMAKNPNHLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLTTSTDGDLLVSCGVDCTVRLWKVPMLKMVDTSDHTGDTSQPSAVYTWKHAFWGADHQWDGNLFATVGAQVDIWDPNRSEPVNSFEWGKDTVLSVRFDPGDCNILITSASDRSLTLYDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDARRLDEAKIVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFSYLGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERKKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRKHSAPGSMPVQPFRKRRIIKEVE >PAN27319 pep chromosome:PHallii_v3.1:5:4555697:4559224:1 gene:PAHAL_5G073700 transcript:PAN27319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAAATTTPSSPLLRRAAAAPTTGRHYHLRCSAKPSPLELRYRAARLAPARALLPDRVTPFSFDADGDDHPREECGVFGVIGDPDASSLCYLGLQKLQHRGEEGAGIAASSADGKLKSVTGLGLVGDVFGDPARLAKLPGDAAIGHVRYSTAGASSMRNVQPFLAAYRFGQLAVAHNGNLVNYQALRNKLEAQGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKLFAVRDPFGFRPLVMGRRPNGAIVFASETCALDLIDAAYEREVEPGEVVVVDRRDMSVSYACLVPHRPRKSCVFEHIYFSLPNSVVFGHAVHERRSAYGRALAEESPAPTADVVIPVPDSGFYAALGFAQASGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVRGVITGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVIGSCLYGIDTPSEGELISNRMDLEGVRRSIGCDSLAFLSLDKLHSIYGDEAHELCDACFSRNYPVLPTVPEPVPELVSAFED >PVH39503 pep chromosome:PHallii_v3.1:5:58067376:58067821:1 gene:PAHAL_5G520600 transcript:PVH39503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCGWKAAMRARASGTRPTESLNPTGVDGEWLCLFVGEGFLKQTPRACCGAPGVGEYNFNLTAKCGDPGAYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILDNKHLG >PAN31851 pep chromosome:PHallii_v3.1:5:53444247:53444656:1 gene:PAHAL_5G447700 transcript:PAN31851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKLPSLPAVYVLMMTTIVLAITVSSSRSVVVHCTEAKAAHHQHAGAAVAHPDGGRRGWGPPTPRGGTLRRPYMGRRPVHPPPPSPAPPLAPPPGKIGRSG >PAN32960 pep chromosome:PHallii_v3.1:5:58234414:58235997:-1 gene:PAHAL_5G523600 transcript:PAN32960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASNDPEGAAPEDPLKWGESPELYLSYGTVSLAGRRPELTDAVAAERSFTVLSPPMGLDYFAVVDGRHLGAAVAERLPSRLGKAIAEQVEGELLSESPRFLAASRDDVAAWWRAAIEEAFRVVHEEVAGEGGAPVVPTALVALVMEKYFVVASSGGAKAVLCRGGEHVQLTPDPERMAQANKQEDENKSVEHAGGHVVDDSTSKLDNVLQTTLASGSSSVHKPPPPAVIPELDVAAVERKDRDEFLILASGGLWGAVSTESACAFVRRRLGEKTSRIAVPWEAPPVDAAVSGTLLARELAEKAVHAGSQGNVSVAIVLFSDFWAQFERAPTGDE >PAN27980 pep chromosome:PHallii_v3.1:5:7380091:7381553:1 gene:PAHAL_5G122400 transcript:PAN27980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAQTESPGPVPPPHPPRGSSSSASPEKRALPVPGDDGRGGGEEEEEEEEGERRRLLHEPKRRRACVAALDSVPSAAADAGGAGLPGPGGDADAAPSFSFQHARSGFVAPETTPKFGSFNPPGEDAERAALDPKPAERGAGGEGRSVEADGEVPSAPGRGPEGSDEVGGEVDGQVQT >PAN30564 pep chromosome:PHallii_v3.1:5:22029165:22031791:1 gene:PAHAL_5G294800 transcript:PAN30564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDIGLSARAAGASSSSSPSAAGDDDDKSKTRKAHPAFAAAYARLHYSHRAAVALFLLLTVAVAAFLVGRARPSIDCATPRLCARFLALPDAAAAASDFGSLGVPWCRSKTGKTVKWTYKDLLNGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISLSPRHPEKYIKKGPAYVDGNCTYLAGKEFVDFGSVDWEKLLRNHGVSDPSKVLVFFDDHQSELKRLKQALKAGFRHLIFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFWDSDEARFRSKRKKLWEKAVEIDDLCGKDDAWWGVRGYMRDNFNHSNKVISYKEHFQNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARAADPIIEDGRYGLFRRTGLERLDASVFNGYTQMAYVEISGSTLNIDDV >PVH39409 pep chromosome:PHallii_v3.1:5:56293737:56294224:-1 gene:PAHAL_5G494800 transcript:PVH39409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSPLSLCAREEGAGWLCVHREYISTAQARNILGLGRDIKHAQRKTRCALGGERERERERERISVAATTLGFLGSGKEAKKILSFSL >PVH38418 pep chromosome:PHallii_v3.1:5:16063386:16064471:1 gene:PAHAL_5G253500 transcript:PVH38418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGANIVALSSYCIWKLYLAAKPWLHQYLRVVAPRFLEEEETGLQLPLRQATTFRIQDLPRQFSPGEVRAMTQDFRTMVGQGGFAQVFRGLLDDGAAVAVKRIVRAGNGEDDFLREISIVANVHHRSLVRLLGYCLQRGGDRYLVYPFFESGSLDLWLFHGGEGRRRLLPWPARRGIAVDVAKALAYLHHECHQQILHLDIKPGNILLDGDLRAHVSDFGISMSITQGLSNIDTRGRGTPGYMAPEMMVNAVSARSDVFSYGMTLLELVGGRRNFEPSSSTDASSATPDFARDFFPLIVREKMARGELMEAVDAAMALVDQKEVEAVVKVALSCIQSRWDMRPSMLTVVDMLEGKADHMIP >PAN28507 pep chromosome:PHallii_v3.1:5:9508219:9512077:1 gene:PAHAL_5G157600 transcript:PAN28507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPNSPATPSPATPTPVPPTPAPVPPTPSPVPPTPAPVPPTPSPVPPTPAPVPPTPSPLPPSPTPTPSPPPPSPPPPVVTPSPPPPVPVPTPSTPPPATVPTPSPPPPATVPTPSPPPPATVPTPSPPPPVRRPPPPNDVPASPPPPTGVPASLSPPPPSHLSLPPPPPPSSPGKPPTSSPSKSTVNGTAVGIGVAVAAVVLLGLAAGLIYFFVGRKRRRRPPPSQGYPAEFYDPRRPVTPPHMSHAASTTPSSSTPPLMHSWQSSRGPSEPPMPPLNPSPAITGGTFGYDDLAAATDGFSDANLLGQGGFGHVYRGTLGGQEVAIKKLRAGSGQGDREFRAEVEIISRVHHKNLVSLVGYCLFGEQRLLVYEYVPNKTLEFHLHGSGRPTLDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYNYEPKVADFGLAKYQAAEVTAVSTRVMGTFGYLAPEYAATGKVSDRSDVFSFGVMLLELITGRKPIMTSSAYQPETLVSWARPLLTRAVEEENYDELIDPRLETNYDAYDMARLIACAAAAVRQTARSRPRMSQIVRYLEGELSVEDLNAGVRPGQSAMQRSGGGTTDQIGRLRRMAFGPGAGGSTTGTGAGTITEYASSEFSDPTSEYGLNPSSEYTASSGGDTGEAPAAAQGQGQQWSGRRAAGDTERMSRRTTGRRGQT >PAN30841 pep chromosome:PHallii_v3.1:5:27162725:27163745:1 gene:PAHAL_5G312700 transcript:PAN30841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQCDQPTKLTPSASSLRIFGYDVAGGGAADIVVQAPPRDGRPVADGRKFECQYCCREFANSQALGGHQNAHKKERQQLKRARQLAARVADGGPAAGLAAFCAAGFVPPPPGHLMAVGNAGSNPYAPGPVPSWVYLAHQPTMGLQFHAVSPGVRHPEPLTLRGCTTSSSPRSYELCAPADDDAEEASAMGLNLHLSLAPASSS >PAN31129 pep chromosome:PHallii_v3.1:5:49573492:49576397:-1 gene:PAHAL_5G398800 transcript:PAN31129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFASHARRLVLAGAGAPARAFHAQPYQAKVGVVEFLNGVGKGVETHAAKLEEAVGGDLQRLLEARTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPRAEPRKVE >PAN28940 pep chromosome:PHallii_v3.1:5:11340906:11342421:1 gene:PAHAL_5G188100 transcript:PAN28940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAHQRRMVASSKVIRVGPWGGRGGSPWDDGAHRGVRSITVTYGRVLESMQVEYVDRNGRPVLGEKHGGGTGRSLSAKIELDFPYEFVTGVSGWYGAAHGGSPPAVRSLAFATSRGAAHGPFGGADADGVPFAYPMEGGVVVGFAGRSGWHLDALGLYVAALRAETLSDVVQERGLAAYRSFVYGDGGAPRRQDKKKPFEWCYK >PAN28716 pep chromosome:PHallii_v3.1:5:10517047:10517463:1 gene:PAHAL_5G174200 transcript:PAN28716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAELQEEEFQEADILWPDAADLEKLLPRAYFSHIGIDDDDGGSGEYSGDHQPPKVSSPIDIPAGRKAVGARGPQVPGRYSKFGASHAGVGAASVVVGSHVFVPPHVIVDRRAKRDKAMMMLVGPSRRAARARKMRE >PAN31266 pep chromosome:PHallii_v3.1:5:50578844:50581078:1 gene:PAHAL_5G408000 transcript:PAN31266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQLSGGRSRSMALPSCLAVVAAVAALAAAPRGAEAYRNYTVGDDKGWYDGLTLPGVDYQEWADGIKNFSLGDFLIFNTDKNHSVVQTRNATLYKSCDYNDSGPDDTLEWSAAAPEFSRDAVTVAVPLLREGRTYFFSGNYDGEQCESGQRFAIDVAHGQGLPPDLRPPAADAPAPAAAGPADGAAVLDFSHPKNVTTPSASDDDRDLSGSDDDTSGAGRTLVAGIGSGLAATTLVVTVLCFAA >PAN32376 pep chromosome:PHallii_v3.1:5:55657749:55658293:1 gene:PAHAL_5G482900 transcript:PAN32376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSSARVAAAAVVALCLLLAPAQEAAGVEYMTYPPNMISCKVLGNCEKNAGPDATRPGKPANRYTRGCSAIDRCRG >PVH38345 pep chromosome:PHallii_v3.1:5:15083108:15085963:-1 gene:PAHAL_5G239700 transcript:PVH38345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRVSSFRVSTNFSATSSPMDRFRPLMRIQVEPEPAQPPAPAAGAGGDAARDESPAPAAGLLMGAKVRRRAAVYRDCKGDYIGVPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISNLSDNFFAIIVPTEYDCLMASTRKKEIVNVIVKAIKSTSEFEPEVAFSNRFEYHAAAEVTKVVEFEDVDGSIKTRITN >PAN31764 pep chromosome:PHallii_v3.1:5:53100965:53105473:-1 gene:PAHAL_5G442800 transcript:PAN31764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQCFKQSSGGGNGCGPGKRLERRLSLGEYKKAVSWSKYLIAPPGARIRGGGEELWSADLSKLEIRGKFASGRHSRVYSGRYSGREVAIKMVSQPEEDAALATELERQFASEVALLLRLHHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLSLDIARGMSYLHSQGILHRDLKSENILLGEDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVMWEILTSLVPFSDMTPEQAAVAVALKNARPPLPASCPVAISHLIMQCWATNPDKRPQFDDIVAILESYKEALDDDPSFFLSYIPPPPHHSHHHHHHHHHHHHHHQSLLRCFPRSMRRSASLKV >PVH39376 pep chromosome:PHallii_v3.1:5:55882952:55886586:1 gene:PAHAL_5G487300 transcript:PVH39376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPPQAQPPRAPSPPPPPQPPAPSRRYGLHFSASSFIQAPLTALLEYSGILRPDPGGGAHQAGAGAGPGEVSIRIVAPGEAGTSSERAEEVIVEEEEVEGHATRARPEEPVPAAGVGEGGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKRERKLPVLVGITVLFVVHVSGFYWCYKNGDLIRPLVMLPLKEIPPFWHAIFIILVNDTMVRQTAMIVKCLLLMYYKDSRGRSYRRQGQMLTIVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSYATSEQVMAAGDMCAICQEKINVPILLRCKHIFCEDCVSEWFERERTCPLCRSLVKPADLRSFGDGSTSLFFQLF >PAN30491 pep chromosome:PHallii_v3.1:5:20542131:20545231:-1 gene:PAHAL_5G285900 transcript:PAN30491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQGSRRTKADQWLFGGKWRGTSKESRHPVVSEAKPPNPPVVQKDEGICLEKSRVHVSGLEQRKSIDIAPGRRSMPEMEINMKEVVPVLGVKVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKIRKVLAS >PVH38721 pep chromosome:PHallii_v3.1:5:33861731:33861982:1 gene:PAHAL_5G333600 transcript:PVH38721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYARRGGKIWVRIFPDKPVTIRPTETCMGSGKGSPEYWVAVVKPGRILYEMSGVSETVARAAISIAASKMPIRSQFLRLEI >PAN32558 pep chromosome:PHallii_v3.1:5:56424095:56425438:1 gene:PAHAL_5G496300 transcript:PAN32558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVLVLSGLLVLLAGQRACAAPGAGFSVEFIHRDSPRSPFHDPALSPHGRVLAAARRSLHGQVPGGSAPAPAADSGGVESKIISRSFEYLMAVNVGTPPTQMLAIADTGSDLVWVDCRNGSGAPAAAGGVVFEPSSSSTYNVVGCQSDACQGLNQASCDADSNCQYQYAYGDGSRTVGVLSTETFSFADGGGGSSAPQVRVPHVDFGCSTYMAGNFRADGLVGLGGGAFSLVSQLGSATPFGRRFSYCLMPSYAANSSSTLNFGSRAVVSEPGAATTPLVPGEVAAYYTVALESVAVGGRTVASRSSAIIVDSGTTLTFLDPALLRPLVAELGRRINLTRAQPPEQLLEVCYDVSGRAQEEWGVPDVALRFGGGGGDVTLRPENTFVLVQEGTLCLALVPVSESQPVAILGNVAQQNFHVGYDLDARTVTFAPADCARSSPSASS >PVH37611 pep chromosome:PHallii_v3.1:5:2856600:2857160:1 gene:PAHAL_5G044900 transcript:PVH37611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPASAAVTDWGWVTVAAGREADEGRPMTMAYPHHPRPVYNSGTSAASCSEASPNGNPCPIRTVIKPRLPSLSPRRCAMSGGRSADNYTATTTDTATGNCISITRWSR >PAN31371 pep chromosome:PHallii_v3.1:5:51239725:51241472:-1 gene:PAHAL_5G414300 transcript:PAN31371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGLLVLAIAAVLKLAGADSGWNGGRATFYGGNDASGTMGGACGYGNLYSTGYGTNTAALSTALFNNGRSCGACFEIRCSGGRSCLSGSAVVTATNFCPPNYALPNNNGGWCNPPQPHFDLAEPVFSKIAQASAGVVPVQYRRVACTRQGGIRFTISGHSYFVLVLITNVGGAGDVSAVSVKGSQSGWQSMSHNWGANWQSNAMLDRQALSFKVTTSDGRSVTSANAAPSGWSYGQTYSGNQF >PAN27792 pep chromosome:PHallii_v3.1:5:6494305:6497102:-1 gene:PAHAL_5G107600 transcript:PAN27792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSSGSIEAPANTRPGSFSFASTSFTDMLGSSAAAATGASRYKAMTPPSLPLSPPPLSPSSFFNIPGGLNPADFLDSPALLTSSFFPSPTTNAFASQQFSWLAPQGAEQGGKDEQRQSYPGFSFQTAPTTEEAVRTTTTFQQPPVAPGPLGEEAYRSQQQQQPWGYQQQQPGMDAGSSQAAYGGPFQAGSSDAAAMAPHAPASGGYNQAQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPGCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSSAAAQLLQASGGDASEHSFGGTPVATPENSSASFGDDDAGVGSPRAGNPGGDEFDEDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPPADTYLAAAAGVRPPAPMAYQTGQQYGFGGSSSFGLGGGAPAQQGGGFGFPSGFDNPMGSYMSQHQQQQRQNDAMHASRAKEEPREDMFFPQSMMYN >PAN30001 pep chromosome:PHallii_v3.1:5:17178621:17179423:1 gene:PAHAL_5G265900 transcript:PAN30001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSSAGKDGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >PAN26764 pep chromosome:PHallii_v3.1:5:2260709:2261935:-1 gene:PAHAL_5G036800 transcript:PAN26764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMSSIEKIRAMNKFSESRRLQKLPTLSIYAVATSVTCLLLTSPAWFPSLCSLLSFFFFTTLPGLVTAFLLSPKCLFVVGNLIVAFLVGESRLSPRRDDDQPSLVNEIHEEHVKTNMAMVAKATTEAVVVADHSASLGVVGLGKEVEVKEEEGEEEELHKRVEDFIARVKKQRKLEAKSFFDVDR >PAN27099 pep chromosome:PHallii_v3.1:5:3722431:3726635:-1 gene:PAHAL_5G059000 transcript:PAN27099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSRGGGLRGRLLVLSSLLLLASGEVFFEERFEDGWESRWVESDWKRSEGKAGRFKHTAGRYSGDPDDKGIQTTMDARHFAISAKFPQFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTETKKLHLILSYQGQNYPIKKDLECETDKLTHMYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVHAKRPKDWDDREYIDDPDDVKPEGYDSIPKQIPDPKDKKPDTWDDDDDGIWRPRMVSNPAYKGPWKRKRIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYVGIEVWQVKAGSVFDNILICDDPEYARKVVEETVGANREAEKEAFEEAEKERKAREDREAQQGKDEGGRRRRDKKDRYKRRYRDHWDDYHDEL >PAN32630 pep chromosome:PHallii_v3.1:5:56734073:56735066:-1 gene:PAHAL_5G501300 transcript:PAN32630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWMFGLETPLMAALQHLLDVPDGEVGDKASAAGSGPTRTYVRDAHAMAATPADVKELPGAYSFVVDMPGLGTGDIKVQVEDERVLVISGERRREEREDAKYLRMERRMGKFMRKFVLPDNADMDKISAVCKDGVLTVTVEKLPPPEPKKPKTIEVKVA >PVH39162 pep chromosome:PHallii_v3.1:5:53350633:53352255:-1 gene:PAHAL_5G445800 transcript:PVH39162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRILNTAKPTPAPTQTQRHHPSPPQPPPKLGRRAASAAIAIAAAPALLSVSPASSRAQEPEPEAVGVAGAAAAAPCLAELPVTATAFLDVSIGGEPAGRITVGLFGDAAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAVPAVTDRLAAEADSLRARCPGGAVHGAAGAVSIVVRDPSLPPPKPKLVARGGKLEVEEEQVGVAPNGTEFVITTGAAPELDASAVLVGRVLDGMDVVAKIAAVPTVRDNAASPYFRVAKLIGDKRAVVAERGFNRPYTKVVVSNCGVLSKTE >PAN32923 pep chromosome:PHallii_v3.1:5:58139815:58140146:-1 gene:PAHAL_5G522000 transcript:PAN32923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHCRPHQLLADANASTALYDERKNEDLVICVEYNINSCASAARTQDGRCDYCDVSVIL >PAN32134 pep chromosome:PHallii_v3.1:5:54694811:54699161:1 gene:PAHAL_5G466500 transcript:PAN32134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPFHSLSSSLTKLRNPIYSAAASLPYLLHPPSAMHQLLLLLLAYSFLLPPPAASAAQPSSCWPKTCGSLNITYPFWVEEPGRPPCGPPAFQLKCNSSGAFLSRSSYQAYRVESIFPKNRSLHVVDFNLPLATGCPAPIFNVSIVSRPLFFSKANKELLFLGKCTESQPEPSAGFHSLPCDSSSFVRLGDGRNFSSSHVQGGIPPSCFFAFVPVLVAPQGNGAEYIASLRKGFLLEWTEVPGNCPGCIASGGECAYGDNGLTFACKCSGSLRPEKCGTNGTGKKIILIVLMSVAASLLLLCIYVLACHRKRGKLCFFLCKKTSSSTERNIEALIVSHGSLAPKRYKYSEVTKITSSLNDKLGEGGYGMVLKGRLDDSRLVAVKFLHDSKGEGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEFMPNGSLDKYIYSDNPKAVLGWEKLYTIAIGIARGLEYLHHSCNTRIIHFDIKPQNILLDQNFHPKIADFGLAKLCRTKESKLSMTGARGTVGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVNSVAQKSSENYFPHWIYDHFGHDDGLQACEVSSQNEGIARKMSMIGLWCIQILPMHRPTITKVLEMFERGLDELDMPPRQNFSEILLDPLHSLNAESMSSSSGTKTQVLSEVLRMEEISNVNSKTLRQLPTL >PAN28072 pep chromosome:PHallii_v3.1:5:7679214:7679684:-1 gene:PAHAL_5G128800 transcript:PAN28072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN28096 pep chromosome:PHallii_v3.1:5:7749265:7752891:-1 gene:PAHAL_5G130000 transcript:PAN28096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVGDLSLEKYKRDIIVSTKMRGLQRISIYHPAYMALQYPLLFPYGERGFQLGINYHETNTNRVTKRKRSTVTPHEYYKYHMHFRPNQPNPFLCYGRLSKQAIVDARAIEDEDRLMYIAKNQNKLRAEYLQGIFDAIEKGLNKGNQIGKRVFLPSSHVGSRRYVIQNYHDGIAICREYGPPDLFITFTCNTKWFEITLAILKGEQANDRDDIIVRVFHMKLEELLEDIRSKKIFGEVIAILYSVEFQKRGLPHVHILVWLDKKDNQITPEIIDTWISAEIPNPNQDPLGYVLVAEHMIHGPCGNKNEKSPCMKKGKCSKYYPKEFQDETNFTDNGFTQYRRRDTNIYVRRDNHNLDNRWVVPHNLFLLKKFQAHINVEFVNKSKLLKYLCKYVNKGPDKATIVFEKINKDNDSFVNQEDKDINEIKEYLESRYICQQDSLWRLLGFDIHYHWPPVERLPVHLPLQNTIKLHENIDLKYIIRDPKYKSTKLTEWFETNKLNENAKKLTYCEFPKLWRWDETNNKWIQRERGFKIGRLYYVNPIEGERFYLRMLLMIVKGATSYEDLRTYNGTIYQTFKEACAARGLLQHDEEWYRTFDEATTWATSLQLRYLFTTMLLFCNLQDERRFYKKNWRKMVDDIEYHLIAKYKPIVYQPTELELQEKLLHELQEILSRNGGNICTYNLPQISIECTNNNNQLIEEELKYDVHSLEIEANKLYSQLNKDQKNAFHKIIDNVLSKKTDFFFVCGHGGTGKTFLWNTIVSFLRSQRKIVLTVASSGVASLLLPNGRTAHSRFKIPIDTDELSVCEIKRGTKLAQLLAQTDLIIWDEALMTNRQCFEAFDRSLRDILSEKESKLQDIPFGGKVVVLGGDPKQILPVIENASKSQIINASIFKSYLWNHIKILYLHENMRLKKLQTNTFEYNETLDFNDWILSIGNGTNGVTNDIDEDSDCKIVEIPSDLLITTTDNKMKVLVESTYPHLQTKFNDPEYIKDRAILATTNDIVDEINEYIMSFIPGSEKEYFSADSISNCTDTCNDADILYPIEYLNSLNANNFPTHRLKLKIGVPIMLLRNLNQSLGLCNGTRLIVTNLGQNVIEAVIITGTHTGDKILIPRINLTTRGSQWPFTLSRRQFPIKVCYSMTINKSQGQSLSNVGVYLKQPVFTHGQLYVAISRVKNRKGLKILIQNPDGSCGTKTKNIVYREILKII >PAN26336 pep chromosome:PHallii_v3.1:5:243093:244136:1 gene:PAHAL_5G003100 transcript:PAN26336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASSALLCTLLLLLSSLLLQASAHGGIDDGDGGEVDTPTPRPDSSTPIKQGRLIAVKVWCLVILFVFTFLAGVSPYFYRWSEAFLLLGTQFAAGVFLGTALMHFLADSASTFHGLTRNHYPFSYMLACVGFLLTMLADCVIAGVTKRSRGDRERAVNDAEEAEQQVEDGDAQGQDKEHQHPTMLLVRTSSLEDAILLILALCFHSIFEGIAIGVSATRSDAWRNLWTIGLHKIFAAVAMGVALLRIIPKRPLVTTVAYSMAFAVSSPVGVGIGIGIDATAEGRAADWSYAIAMGLATGVFVYVAINHLIGKGYRPQEPTGADRPLLKFLAVLLGAGVMAVVMIWD >PAN26907 pep chromosome:PHallii_v3.1:5:2939821:2944987:-1 gene:PAHAL_5G046300 transcript:PAN26907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATPVRWPRVLTPAQLAGAIRRQKNPLEAVHLYSDAPRRYPPSYRHNDDVRSSLLTAAAGSPALPSLLRRVLPSSPSADSLLAASIPNLSPAAAVSIFRSSLPFSPSPSWSLSFSALLCRLVSENLLPEAARLFADFAGRPEVSVVSGDLTLLISGLCRVRRPDLALQVLDEMPNLCLTPDRDAYRAIVPALCDAGMLDEATHVLYSMLWRVSQKGCDADIVVYRALLVALCAAGRGEQAETVLDKVLRKGLRSPGSRRSLRVPMLAVLNLDDAQEAIDQALAVRGGHTVVSFESMILDLYNEGRIGQVENLFEDMAKKGFKPTMCMYEAKITALCREGNVDDAVKVVEEELPKNDLVPTVTTYNLLMKGLCDSMQSIRALELLKKMDRQLGCVAQKDTFSILVHGLCSESKFIEASKLMERMVKGHHRPDRSAFNSVIEGLCSAGRTYDALLWLEEMIEHGETPDIRVWSSLVSAVCMSQFEALGAGLLEKA >PAN29040 pep chromosome:PHallii_v3.1:5:11724486:11728136:-1 gene:PAHAL_5G194000 transcript:PAN29040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGESGSGKSTVINLVQRFYDPQAGEVLIDGVNIKSLRLGWIRGKIGLVSQEPLLFMTSIKDNIIYGKEDATIEEIKRAAELANAANFIDKLPNGYDTLVGLHGAQLSGGQKQRIAIAKAIIKNPKILLLDETTSALDMESERIVQDALNRIMVDRTTLVVAHHLSTVRDADCISVVQPGKIVEKGPHDELVINQDGAYYQLIQLQESPKEVQKMIATYPI >PAN32772 pep chromosome:PHallii_v3.1:5:57465887:57470237:-1 gene:PAHAL_5G511100 transcript:PAN32772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGAGRGDGVMMTSGATGRIVPVFRSVLSRRALLRVAVALRALFLWLLVLAGGLRRRRAAGSEAAAASPAEAGAVAGAWKARRRRQAAEEEDVRRRRALAEEVAMAEGAGAGQGTRWGTFLVAGARRNALFCRLWAPAAEEMRGILVIIHGLNEHSGRYLHFAEQLTACGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDIEVLLNKIMMENPGVPCFLLGHSTGGAVVLKASLYPHIREKLEGIILTSPALRVKPAHPIVGAVAPIFSLVAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTVPFMVLHGTADRVTDPLASQDLHREAASRHKDLRLYDGFLHDLLFEPERDEIGAEIIGWMDGMLRRQTV >PAN28066 pep chromosome:PHallii_v3.1:5:7704703:7706493:1 gene:PAHAL_5G129100 transcript:PAN28066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERAATAAAAGGSSAEMAVDHAAGPGAVEKPRFDALMPSEMSGGRPQFRKVPVPQHRFAPLKRCWMEIYTPVYEHMKVDIRMNIKARRVELKTRKDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >PVH37657 pep chromosome:PHallii_v3.1:5:3430393:3435295:-1 gene:PAHAL_5G054300 transcript:PVH37657 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MPSIAGGGRGRLFAAHRGAIWWLRRRQHRPFSSLAGGGRRGDAPHLPVLIVGAGPVGLYLSFLLTKFGIKCAVIEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSVLGSVDHMKQEDFDKVISPISVAHFSQYKLVDLLLKKLEGIGFQTCFPSEIGNSTQDVGLESKILMGHECTSLQQTDEGILIGTSVNNGGRILERKLHCGMLLGTDGARSTVRELAGISMEGERDLQKLVSVHFLSRDLGRYLSSQRPGMLFFVFNPGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEEFSAKVCEQIIVKLVGWEPADVQVLDIKPWAMHAEVAEKYISCNNRVVLVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLGLMLNGVASPSILQTYESERRPVAIFNTELSVDNFKAAMSIPASLGLDPTVANSVHQVINRSLGSIIPRNVQKAVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFCYEEGALVAEHCSQKTRKGVKLKHSKRASREYIPSAKVGSRLPHMLVRALPASSEGVLSTLDLVSMDKLEFVLIIAPLKESYEVARATLKVADEFKLSVKVCVMWPQGSADVEVEESRSELAPWTTYVNVEELPRVSGNSWWEMCRISRKNIILVRPDEHIAWRTEWDMVRDADSEVRGVFSQILCLNCHQV >PAN31626 pep chromosome:PHallii_v3.1:5:52493426:52497609:-1 gene:PAHAL_5G432300 transcript:PAN31626 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17465) UniProtKB/Swiss-Prot;Acc:Q9LRN8] MAAASRGLLARLRGLSLSAGGGPRLSLLPPSRLFSAEPIVSHSDDDDAADGGGGRIIEARAGVMGPTSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNIVTQVKTTEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPSRNLLYLKGQVPGPQGSFVFVKDSIFKKPDTTLLPFPTYFTQEGVPEVLEPLIADLGDVDPFMAAD >PAN32414 pep chromosome:PHallii_v3.1:5:55794804:55796776:1 gene:PAHAL_5G485900 transcript:PAN32414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAVPADGRQRALHRHGDAAALEGPEALVPHAEARHRRRRPLVLAVGGVRDRERVHPAPHRRAGGGRLRAAERQRRRRGSGGGERRRGGGGAGRRGGRAGRRGPAGSPAQDDLGQDGAEDADVLLGVLLAGGGGGGGGGGGRLVVPVSGLLPGGVVGRRRRERRQGGRGDGVVLGRARLRFPQEGHGPRRGDAVVHPEGRRGGRALPGEQLGEDLAPSLLQLERLLLVLAGDGRHGCGCRERERERERERERCKQGREEKQRKHSEVRAGCAAGLQVPLCTSRDHRGS >PAN30123 pep chromosome:PHallii_v3.1:5:18307052:18309616:1 gene:PAHAL_5G275400 transcript:PAN30123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLMGKVGGYWFSQKANAEMNGAGDDINSISSSIGDGAKWMVNKIKGKMQKPLPEFLKEYDLPMGLFPQDATNYELAEDTKLLTVYIASPCEVGYKDSSVLRFATSVTGYLEKGKLTHVEGLKTKILIWTKVTEVRAEATKVHFAAGMNKTRNRDAYEVVRDGVVVDKF >PVH38034 pep chromosome:PHallii_v3.1:5:9542478:9543726:1 gene:PAHAL_5G157900 transcript:PVH38034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLTRLTISNANLSGFLPHHWHCPNLTHLDLSGNRITGAIPDTLTLLGGITHLNLSSNVLNGAIPNSIGDLISLTTLDLSNNTLSGGIPDTVSTLPELEVLNLGSNRLNGSIPLFLAEMRGLRELNLENNDFDGMVPFNAKFLSRLRVFRAAGNGKLCYNRSVLSAEIAVGVAPCDKYGFPVLPPPATARSERSADYDDGGGDAEADAGADTRGGPSSAVLGAAIGLSCLAFLVILLVCLCKVCR >PAN27595 pep chromosome:PHallii_v3.1:5:5581674:5584736:1 gene:PAHAL_5G090700 transcript:PAN27595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSSVTTEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILVMFILGMGFLRKPVAILASLATGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVIRGRPSKRRSIHICGRPRWVFVLLFSAVSCMLWLTSCSLLTVLWALLIGLLVTLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >PAN27806 pep chromosome:PHallii_v3.1:5:6576813:6578434:-1 gene:PAHAL_5G109100 transcript:PAN27806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRTILMGRYEIGKQLGQGTFAKVFYARNLTTSQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKGGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSCGVILFVLVAGYLPFHDTNLIEMYRKISRAEFRCPRGFSAELKDLLHRILDPDPSTRISFSRIKRSAWYRKPVEVTAKKNETETPENACTGEATTSGSTECSTSEGNQGSLSLPNLNAFDIISLSTGFNLSGFFEDKYGLNREERFTTRQSVTTVFAKLKELSRRLKLKVKKKENGILKLAAPKEGKKGVLELDAEIFEVAPSLLLVELKKTNGDTMEYQKLVKEEIRPALKDIVWVWQGDQHQHSQPTLQEQQPRPLFSPQHPHDQLQASLPRQEQQDIPNAPLAPQEPLDQQQSPIALEQPEQLPPQEQLDQSPLPVAPE >PAN31227 pep chromosome:PHallii_v3.1:5:50289583:50290703:-1 gene:PAHAL_5G405600 transcript:PAN31227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFPGNLCVTCTPCREREVTRSLLTRRNLPSIINGQHQIFAFQDREHLPFLCLHQPATQIFFRTSRLQSEIFAPIQRKSMLAPVKKFAISRENQTFCADDCLGCGMLSSLAVFRRKAKRNCNMHMFSKPWSGEYGEMYIGCWIFYGSGAKTSRRCLGSETEQWGYNSLNEVANPSILFVILHCLSAKRNVLIHDTMIGRCNGILIVPMCLRILL >PVH37951 pep chromosome:PHallii_v3.1:5:7899924:7900286:1 gene:PAHAL_5G132300 transcript:PVH37951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRDNSSMGADKGSSMQFQDFLPLMARKLGVEGLIQELCKGFQLLMEPSTGKITFQSLKRNASRLGLGELRDDELLEMMREGDLDGDGALDQMDFCILMVRLSPELMEEEAHRVFDFEH >PVH37587 pep chromosome:PHallii_v3.1:5:2333436:2335082:1 gene:PAHAL_5G037700 transcript:PVH37587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSHKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKRKGHTKKSLLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPICLMAKGNTKVCEVDSEDDSDEEFDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSTMFQNNHFLLSKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN32213 pep chromosome:PHallii_v3.1:5:55036682:55037875:1 gene:PAHAL_5G471600 transcript:PAN32213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRTWGEEEADAAAASELHQAPACFQASALAGLATTSMAVTLAVREPPPGLDKNTYLLAVAGAFFAGVAEVITAAVCVSNNPRARCAAGKKLMYASVAPLAAVVGLSVASLLW >PVH38870 pep chromosome:PHallii_v3.1:5:45648338:45648730:-1 gene:PAHAL_5G373800 transcript:PVH38870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMLVLLLPIATATVAQAPALSLSANTKSVIPSSSQQEADVSEDSARWSRRR >PAN26833 pep chromosome:PHallii_v3.1:5:2648586:2654172:1 gene:PAHAL_5G041700 transcript:PAN26833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWADVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIACWARFAAAKGAGCAVGWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVAADDSPAAIKDVEAAAAAATAAADGAVPVAIGGKPSLWALVKVVAHKLSRNPNTYASFVGITWACVANRLHIELPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKVLACGPCFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLLVGFYIVLELIR >PAN28997 pep chromosome:PHallii_v3.1:5:11623612:11624862:-1 gene:PAHAL_5G192700 transcript:PAN28997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGAKKRAKLKKQQQQGHPDDGGNNTSANNGHGNGSDDNNASSRLDGGHHLRIPPKVSRVDASEDSMESSEEMVTPRAAASEADEEERKAAADEVPVEHAVEAGEEVMVDAFPPETAGQEREGKVDAAVQEPEVKDVVVAEESVVQEPEAHEVEVPEVKREVAKVHPVQEPEPKVDEVVVLEETPLVQEPEVKGDGANVVVQEPEAKGGNVVAKDSTEVPRSREAVDVHTTEVARGPVVAVAASSQRATWWNCCGLFDVFSGSGR >PVH39252 pep chromosome:PHallii_v3.1:5:54628870:54629133:-1 gene:PAHAL_5G464500 transcript:PVH39252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWRQRVNDGYVAPYVQSLYGCLHPSGPRPRRRPRPIRPHLGVEVAWPYASAACGCLVGVGVPAAVPAPRESPHDASVAAVSLSGS >PAN31283 pep chromosome:PHallii_v3.1:5:50677455:50681817:1 gene:PAHAL_5G409300 transcript:PAN31283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAAATAAALVVAAAVLSPASVASASNAEGDALYALRRALKDPRGVLQSWDPTLVNPCTWFHVTCNRDNRVTRVDLGNSNLSGNLVPELGHLEHLQYLELYKNNIQGTIPAELGNLKSLISLDLYNNNITGNIPKELGMMKSLVFLRLNDNHLMGPVPRELTKISSLKVIDVSNNDLCGTIPTSGPFEHIPLSNFDNNPRMEGPELQGLATYDTNC >PAN26740 pep chromosome:PHallii_v3.1:5:2163593:2166886:1 gene:PAHAL_5G035100 transcript:PAN26740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGASASAGPGAGAGAEGPLVYKAWKGSNVFFLQGRFIFGPDARSLFVTMFLIVAPVSIFCAFVARELMDNFSYGMGLLVMIAVVLFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGFDGNAEVGANQTPPLRLPRVKDVVVNGVTVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNAEQITIWKAMAKTPASIALIIYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTPIPPSKNNFRGRVPQEHGLRPRATNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNLLEDKDGRFRSASPDLSRDALAVGGGLEEQGSSVMNPGRTSWGVEAGR >PAN27696 pep chromosome:PHallii_v3.1:5:6081905:6083517:-1 gene:PAHAL_5G099600 transcript:PAN27696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSPQSHDQPLQEEDYIDMDLSSPAADAATTKASLFCYSAAMATSPQHSREFEFHMPAAPLDQWEPVASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPATPYQSCNASAANSCYASGELNAEYYFHECISAGSDAAEEAAACEKKPWSKKLKFIRHLNLGLKLKASKAYLKTIFATKGGNPDDNGVPRADELSNSQSKTWRKNPISHIRSNRYIASPVSNRTTLGSKLKEDECGHRRSFSSVIIRYSSSNKPPSVSSSSCSSSNSSSFSIPSSNDSGVGPVLRRSSSASSEMDNPIQGAIAYCKKSQQLASVRKSASDAGFRFMSSSASKIAAESEDTEGIFDVGRNINVNSMFPQ >PVH38525 pep chromosome:PHallii_v3.1:5:19189832:19196471:-1 gene:PAHAL_5G278200 transcript:PVH38525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILGLQLCADTMVGNDMARGISGGQRKRVTIGEMLVCPAKVLLMDEISNGLDSSTAFQIVNYLRQLLHVLGGTALISLLQPAPETYVLFDDVLLLSEGHVMYQGPKEEVVEFFESLGFNCPHRKAIADFLLEVTSRKDQKQYWSWDCEPYQYFTVEQFSDAFPTFRAGQIVKKVLEVPFDQNLTSIAALTTSKHGLRKRELFKAVFAREVLFMWRSPSRNIVNFTHMIVKAFVASSIFWHSKMRHDSVTDGGIYLGLFFSVSETMFSSLGDLGAAVMKLLLFFKQRDVFYPVWAYTLSTWIIKIPITFIGVTIWVAMTYYAVGLDPNVGRLFKHYFLLIAVGQMSSSLFRLIAGVTRNMFIANVFSVFLMLILVLLSGFIISSDNLNKFWMLGYWISPLMYAQNAISTTEFTAHRWNKIVPGSTESLGTTVLKSRGLFFEAKWYWIGLGALVGYIFLFNGLCTAAFAYFKSPGRTYSSVPRNAQDIKLEKLRNDAPSKRFHQKIVTDESSSTLNNRRATLPFVPLSLTFENIRYSVDMPKAKKSHGEMNDRFEILKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYTEGTITVSGYPKKQETFSRVFGYCEQSDTFSTFDCS >PAN28647 pep chromosome:PHallii_v3.1:5:10230268:10232097:-1 gene:PAHAL_5G168800 transcript:PAN28647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTVILYPAAGVGHVVPMAELARVFLSHGYDVTMVIVPPPFKSSALGASQIEQIAAANPSISFHVLPPIPAPDFAGSPKHPFLLMLQMLRQYNDKLEGFLRSIPRQRLHSLVIDMFCVDAIDVAAKLGVPAYTFVPSGASSLAVVTQLPTLLAGRQTGLEELGDTPLEFLGVPPVPASHLIAELLAHPEEEQCKTMVSIFERGMNSRGVLVNTFESFESRAVRALRDPLCFPGKVLPPVYCVGPLVSGGGRNGEKTERHECLAWLDAQPERSVVFLCFGSMGTFSVEQLKEIAVGLEKSGQRFLWVVRVPANINDPKRLLDKPCEPDLDALLPEGFLERTKDRGLVIKSWAPQVDVLNHPATGAFVTHCGWNSTMEGIMAGVTMLCWPLYAEQKMNKIFMTEDMGVGVEIEGYRTGFIKAEEVEAKVRLVMESEEGRELQARVVARRKEAEAALEVGGSSHAAFVQFLLDVENLGEELAH >PVH37982 pep chromosome:PHallii_v3.1:5:8509628:8525023:1 gene:PAHAL_5G142300 transcript:PVH37982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPRAPELPQQDQDEEMLVPHQDVVEGPQQDVVEGPQQHVVEGPQPMEESASTVENQLMPDPSTSRFTWSIENFSKRNVRKHYSDDFVVGGYKWRVLVFPRGNNTDYLSMYLDVADSHLLPPGWSRYAQFSLAVVNQLDSKMSMRKEATHQFNARESDWGFTSFMPLMDLYDFSKGYVVNDKCIIEAEVAVRKIFDFWNYDSKKMTGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDTPSGSIPLALQSLFYKLQHSDNSVATKELTKSFGWDSYDSFTQHDVQELNRVLCEKLENKMKGTTVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEEHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDAMVKINDRYEFPLQLDLDKDDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPALSDQWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDKEKIICDLDEEDISEHLKVRLRKEQEEKEYKKKEKAEAHMFTALKVARDSDLKEQIGRHVHFDLVDFDKINSYRAPKDISINDVKVELSKEFGIPVESQRFWVWAKRQNNTYRPSRPLDLQEEKTAIGMLKDAITVTKLQTSEVRLFLEVHFRQENQPIVPPVKTKEDILLFFKLYDPEKEYLRYVGSFFVKASGKPSDIVERLNEIAGFPSDEDIELYEEIKFEPIVMCEPIGSNVSFHSSQIEDGDIICYQKRCLPDKMDQYRYPTVSSFFEYIHNKQVVHFRLLEKPKEDDFSLELSKRFTYDDVVEKVAHQLGLDEPSKIRLTQHNPFSHQPKPHHIKYRGVNYLSDMLQHHTQMCDILYYETLDIPLPELEGLKTLRVAFQNATNYEVSFHIMRLPKSNTLFDLIEDLKSKVELSCNDAEFRLFEVYLHKICKVYQPGDTIDSVSDQYGPLRIEEVPEEEKNAGPRDRLVHVYHFFKDNHHNQYFGEPFFFLIRDGEALADIKVRIQKRLQIPDEQFLKWKFACVTYSRTEYLQDSDVVLSRFQKQKPVYGPWEHHLGLEHTATTPKRSFLASQQLQNRHSFEKPVKIYN >PAN27574 pep chromosome:PHallii_v3.1:5:5492770:5494836:1 gene:PAHAL_5G089300 transcript:PAN27574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPETAVSPAPQPPSDPPADDDADADAAHSNSTPMAVETRTLTEPPPQPQSLQASPPPSGEDDDVVVVSGASVGGDAAASAASAGNATMDERVRGPWSPEEDAVLSNLVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAVHGNKWACIAKLLDGRTDNAIKNHWNSTLRRRHCNDGQCKHGVSVERSIPEVSRAVSEESWPLKDLSSFTAMDVRDAPVQTVTETSAGAWLIPDQCYSTQAVDPPYLSRPVAKIGAFRPYNLGHVSEPTQQETPSSVFKRDSTLKALTPESEVFKFADPTCFAAGVPNKCGHGCSSAHKRTRKNSLLGPEFYEFEDHPPILSSSFASLVSEISSIAWMRSGMQSGDASSLLQSAPPA >PAN28489 pep chromosome:PHallii_v3.1:5:9433615:9436499:1 gene:PAHAL_5G156200 transcript:PAN28489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGIAQANHRFTTNMQRRLYGRATDIHIRPLNEERAIQAAADLLGELFVFSVAGAAIIYEVQRSARSEARKEEVRKQEMEAIKKREEQLAIEVQLMKQKISEMERKYSKWTLLPGFRGFGTAQAAAQPAGTQQPTAA >PAN30866 pep chromosome:PHallii_v3.1:5:30423397:30430426:-1 gene:PAHAL_5G320700 transcript:PAN30866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVVCVTGAGGFIASWIVKLLLERGYTVRGTLRDPADPKKIDHLRALDGSAERLQLFKADLLEEGSFDAVVEGCECVFHTASPFYNNPKDPQVELIDPAVKGTLNVLGSCKKAASVKRVILTSSMAAVVFTEKPLSPDIVVDETSYSVPELCEKAKEWYVLSKTLAEQAAWKFSKDNGINLVTINPAVVIGPLLQPTLNTSAQIILYLISGSPVYLNYSFGWVNVKDVALAHVLAFETPSASGRYGMVDKVMHFSQVVKIIKDMYPSLPVPEKCADDEPFAPTYQVSRDKITSLGVELTPFQTSLKETIECLKEKGFVSL >PVH37713 pep chromosome:PHallii_v3.1:5:4091001:4093110:1 gene:PAHAL_5G066200 transcript:PVH37713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAAKKESSSIPILSLLAQTLKAPSNRKITTVSFQPRESSSPLPHPTRHTMTPLSPFHPSFPFSSVPPNPSARLRCQTLAGHLREMDEDWELLLASPKAAAEPYAGGGGEDDAGAIKHDYFDLGSDAKYPRRASLSKEDEEEEVEGLLAASDNASWVEPDPDDLLFPGRDRAALWSDSSSDGERPEVEVTEPVERTRAEAGAAAEEEGAEGAVAKGGGPVRWWKLPLDALRVWALRAARSAWSVPFAVALLGFAVLGRRLYRMRRQSKAVGRVRLVLDEKKASQFKGQASRLNESTAMLRRAPIIKPMLPANGVTPWPVLGHL >PVH39474 pep chromosome:PHallii_v3.1:5:57485584:57486879:1 gene:PAHAL_5G511500 transcript:PVH39474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCSDVNSSPQPRALFPLLHLRLRRHLHRHIIIRTVRTRTHHLHMLHLQAQGAGLKVCTHHLRTLHLHTLHLHMVHTHHSNDSITGNNQTDLSF >PAN26386 pep chromosome:PHallii_v3.1:5:606705:606929:-1 gene:PAHAL_5G008700 transcript:PAN26386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTRMVALVLAVLVVAAASLPAATAYGCYDDCYERCANDKDDPACTKMCNQACGPVDQAAAKQGAAGGAPKA >PAN28717 pep chromosome:PHallii_v3.1:5:10520584:10521604:1 gene:PAHAL_5G174300 transcript:PAN28717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAEELCEAEVLWPEAPHDDDDDGAAPPGCSSSSAAPAPRSRTPARRGVPEAPRREGSRPVDIPRPAARSAALRSDDGGGGFGRSGTMVPPHVLVSRRRSEGAAAFALRSGPGRARELSHLRHSVLRMTGFIEG >PAN26390 pep chromosome:PHallii_v3.1:5:617554:620918:1 gene:PAHAL_5G008900 transcript:PAN26390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >PVH37928 pep chromosome:PHallii_v3.1:5:7494798:7495145:1 gene:PAHAL_5G124900 transcript:PVH37928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMPTPASLPTPQSLNAMDSSSMSCWSNPWILLRSSQAVVQRSNPVAAAAPSSCDAAVSPPESFPTHWRTLRLTAATLLLVLENRHASGCMKNRRRRFGRLVSMRHSASKSVSS >PAN32076 pep chromosome:PHallii_v3.1:5:54387655:54388407:-1 gene:PAHAL_5G461400 transcript:PAN32076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPELISPRISFSHDLANFAAPPRRSDDASLLVSSSRLPEPEFDFANANAAADDVAPADRLFAGGKLLPMPPLPPAPRPSPCKLKQEPGGGGIRVKAQACPQKRPGSWASPFSRSSSVNSATTVAGTTGAPRSAGRFGCPPFPLMRSRSASAAVARDGGLGPAAVPDAATGHPPRYYKKFGGTVAPASNGGGGGSSRAYYYGGSRKGSSHGVRVSPVLNVPFIGTSVANVLSYLLCDCSTKTKRSREF >PVH38804 pep chromosome:PHallii_v3.1:5:40054507:40055889:-1 gene:PAHAL_5G356200 transcript:PVH38804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDKSRAGVNMKLELQRHTLESRGFRLSRIKTEYMMCDFNPTRHEDGDVSLEGQVVAKKDTFRYLRSMLQKNGDIDKDVRHRISADWLKWRQVSGVLCDKRVPQRLKSKFYRTAIRPAMLYGAECWPIKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVEVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVSEL >PAN30960 pep chromosome:PHallii_v3.1:5:47821515:47825579:1 gene:PAHAL_5G384200 transcript:PAN30960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCCSVADDDVGRRKKKDDDYVPLPVQVSNNGPSRAPAATYVTPTGRAQPIAVPAIPLEEMKEITKNFSNDALIGEGSYARVYFGVLKDGTKSAVKKLDSSKQPDQEFLVQVSAVSRLKHENVLQLVGFCAEGNIRVLAYEYATRGSLHDILHGKKGVKGAQPGPPLSWMQRVRIAVSAARGLEFLHEKAEPRVVHRDIKSSNILLFDNDVAKIGDFDVSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDPRLDGEYPPKAVAKMAAVAALCVQYEGDFRPNMSIVVKALSPLLHSRSGNRPSGSSASASTAAERSGL >PVH37488 pep chromosome:PHallii_v3.1:5:1006098:1009864:1 gene:PAHAL_5G015300 transcript:PVH37488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MAVCCPSTTGQPSPPHFTPNKTPRHRHRHRRGPSRASYPPARATPHHTHTPSLSPILLLLPTAHSRECRGQPRKGIMAARRTCSARPPSRLLARMAVLLALLLAAPPCLQAGGPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGAEWNPANTTDPAAGRVYFGEGAQYVDPDPGHSFQEIRQQIFGSDDASGPARMDGFVQQARSLGGNMTDAVMHGFAPDSVAVYRELVAQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPQLLAKGYPQRTIFDNLHDAGLSFGVYFQDVPAVLFYRNLRKLKYLLDFHPFHPTFRDHARRGSLPNYAVIEQHYLDSKLDPANDDHPSHDVYQGQMFVKEIYETLRASPQWNQTLMVLTYDEHGGFFDHVPTPVHGVPSPDGIVGPPPYNFTFDRLGVRVPAILISPWIEKGTVVHGPNGPTPTSQYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQARTEPRTDCPEQLPMPTRIRQSEANEEAKLSEFQQEIIQLASVLNGDHELSSLQDRIRDRMNVREGIAYMRGAVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRIEQRP >PAN27427 pep chromosome:PHallii_v3.1:5:4953211:4955098:-1 gene:PAHAL_5G080200 transcript:PAN27427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLPPGYRFYPTEEELICFYLRNKLDSLRDDIERVIPVVDVYSVDPGQLPGIHEMLCGGAGEGEPWFYFCPRQERETRGGRPSRTTPSGYWKAAGTPGVVYSSADRRAIGVKKTMVFYRGRAPSGAKTNWKMNEYRALQYYAAPAAAGTDGSPSSAHAHAAAARPNVPPQLRSEFSLCRLYTRSGTLRQFDRRPLAAAAGVPGEDPGPSTAAAASPPEDDDGSGSSMQQQQQQLVAERGSADDPYGDDAAILDALLYWPGDET >PVH38446 pep chromosome:PHallii_v3.1:5:16753692:16756114:-1 gene:PAHAL_5G260400 transcript:PVH38446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPPPPRTLPLALLFLLLAPHLLASASAAFVSRGLSASDAARIRRRQLLQYHGGDDGGDVPVDPSYSFPNPRLRDAYVALQAWRRAILSDPYNVTGSWHGPDVCAYAGVFCAPSPADPHLTVVASVDLNHADLAGHLPEALGLLADLAVLHLNSNRFCGLVPRSLEKLRALHELDLSNNRLVGPFPDVVLRMPALRYLDLRFNDFEGPVPRELFDRPLDAIFINSNRFHFQIPDNVGNSPASVLVLANNDFGGCLPASIANMSGTLNEIILMNTGLKSCVPPELGTLTGLTVLDLSFNKLMGAIPDELARLVSIEQLDLGHNRLVGDVPEGICHLPRLQNFTYSYNFITGEPPVCLHVKNIDDRRNCIPDRPDQRSPDQCNFFKNHHVNCDAFKCKKFVLPSPPPPPPSPPPPSPSPPPPSPPPPSPPPPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPVYYSSPPPPYYEVSPEDRYLSPPPPAYTEVPPPPYYEVSPEDRYLSPPPPPHHESPPPPPPVHLEPPPPPYYEVSPEDRYLSPPPPPASVPKYDYSSPPPPSSPPYSEVSPEERYPSPPPPRALPKLPVWDYSSPPPPAAGWTP >PAN27936 pep chromosome:PHallii_v3.1:5:7183720:7188249:1 gene:PAHAL_5G119400 transcript:PAN27936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAILGAFMQTLFQKLSEVALDQFRSYKGIQGKLDTLSCTLSQLQAFLDDAEAKQLADASVRGWLAKLKDVAYDIDDLLDSYSAKSMHLRQRQRQRKMKLPTKASVSSPTSFLRRNLHQYRIKQQISSILARLEKIAKERETIGLQMLGGMSRSETLERPQSSSLVDSSAVFGREADREEIVRLVLSDSGHNSCNVCVIPVVGMGGLGKTTLTQIVYNDERVKEHFQLRIWIYVSESFDEKKITQETLAAAYDQSLASTSMNMLQETLSRVLQGKRYLLVLDDVWNEDCDKWFSYRAALLSGGSGSKIVVTSRNENVGRIMGGIEPYKLQQLSDDDSWSVFKNHAFRHGDCSTHPQLEVIGRDIVKKLKGLPLASKALGSLLFCITDEEEWKHILRNDIWELSAERNNILPALRLSYNHLPAHLKQCFAFCSVYPKDYIFRREKLVKIWLALGFIRQSSKKRLEDTGNTYFNELLSRSFFQPYKDNYVMHDAMHDLAKSISMEDCDQFEDEGRHESAIKIRHLSLPCKDGKGMQSGPLYIYRKLRTLIIMHGHKSKMSQLPDDVFIKLRFLRVLDMHGIGLKELPESIGNLKQLRFLDLTSTEIETLPETIIQLYNLQILKLSDCNSLREVPQGITKLTNMRHLEASTRLLSRIPEIGSLICLQELEEFVVRKRPGYKITELRNMDQLHGQLSIRGLNNVVDGQEALAAKLRTKEHLRTLHLIWEWDCTVIPSGQKEEVLEGLQPHLDLKELMIKGFPVVRFPSWLASSSLPNLQTIHICNCKSKVLPPLGQLPFLKNLNIAGATEVTQLGHEFTGFGQQKCFPALEELLLEDMPNLREWMFDDAEQLFPQLTELGLFRCPKLKKLPPLPSTLTSLTIYESGLESLPELQSGVSQSSLTSLYINDCPDVTSLRVGLLACNLAVLKSLTIAHCERLVSLPEECFRPLISLRSLHIYECPCLVPWTALEGGLLPTSIEDIRLNSCSQLSCVLLNSLRYLPHLKHFEIADCPDISNFPAEGLPHTLQFLKISCCDDLQCLPPSLYEVSSLETLFIDNCPEVESLPEKGLPNGLKELYIKQCPQINQRCQEGGLDRGKIVHIRDIEIDGDVIVPEQI >PAN27438 pep chromosome:PHallii_v3.1:5:5002445:5004851:-1 gene:PAHAL_5G081200 transcript:PAN27438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRHRASSLLLLSLALGLAVALVSVSAQQKFGINYGQIANDLPDPTRVAGLLQSMNVNKVKLYDADPRVLTAFANTGVEFIIAVGNENLQTMAASPAAARQWVATHVQPYIPATRITCVTVGNEVFSSNDTVMMSSLLPAMKAVYAALGGLGLGSQVTVSSAHSVNVLATSFPPSSGAFREDLAEYIQPILDFHGQTGSPFLINAYPFFAYKANPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDVGVRISETGWPSKGDEDETGATVQNAAAYNGNLMQRIAMSQGTPLKPNVPIDVYVFALFNEDMKPGPTSERNYGLFYPNGSPVYAITAGSGSGSGSDGGSGPGGPLNPYYTSMFSSSSKLAVSTTFLTQRVMLLLLLQAIVILLQS >PAN29943 pep chromosome:PHallii_v3.1:5:16830330:16830744:1 gene:PAHAL_5G261100 transcript:PAN29943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMKACVLLFTAFFFSGLMQLSMAEDKPATMVATARVIDAKVIDQAIAYMLMFAALFVTYFAH >PAN31607 pep chromosome:PHallii_v3.1:5:52400888:52401415:-1 gene:PAHAL_5G431000 transcript:PAN31607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTRALLLVVAAASCCSLLVAANPPAATVADVCKRTAFPVLCTATAGKQAERYHNVVDPLTVLEMQVDAFAKRTEAARAHVAEAAQTASPAARAKLDLCNSLYLDVLDNLGACRRAIGFKDAVTIRATMSMAAQDMQNCDEQFRQIGENNPMKRFDESLVEMSENCRSLSNMI >PAN28753 pep chromosome:PHallii_v3.1:5:10652061:10652806:-1 gene:PAHAL_5G176700 transcript:PAN28753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREGRQHGWVFAVDRTLVDPEGKLRTRAVQVDGTAAANGGFVRAPRKPTNHSKPAVGRAYKALLRKGEAGSGRSRRKFKHDEAKMYALEVQGAEDAFDDAMMELCYY >PAN28414 pep chromosome:PHallii_v3.1:5:9091870:9096126:-1 gene:PAHAL_5G151400 transcript:PAN28414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILAFQHYILALGTAVMIPAVLVPMMGGDDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIVAIIQDSSLAAIPDGHERFLETMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPVGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIKDIPVLERFSLFICIALVWAYAQILTSGGAYKNSTEVTQINCRTDRANLISSAPWIKIPYPLQWGAPTFNAGQSFGMVSAVLVSLIESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYTMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLETKDAGNDRGLPWWARFRSFKGDSRNEEFYSLPFNLNRFFPPA >PVH38922 pep chromosome:PHallii_v3.1:5:48715488:48716249:-1 gene:PAHAL_5G390800 transcript:PVH38922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMLRQSVGFGPNLPRRRWCGNEAHCWCWYHGMDIYHLGIW >PAN26489 pep chromosome:PHallii_v3.1:5:1044366:1045579:-1 gene:PAHAL_5G015800 transcript:PAN26489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSTAQPPAKTVLRVAAISGSLRRASANTGLIRAAAEICKESIPGLQVDHVDISELPLLNTDLEVGGGFPPAVEAFRAKVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCFADRAAAILSASGGSGGSRSQYHIRQVGVFLDIHFINKPEIFTKAHQPPNKFDDDGNLIDPETKEQLRKMLLSLKAFALRLQGKTASSEQGN >PAN27444 pep chromosome:PHallii_v3.1:5:5032832:5035619:-1 gene:PAHAL_5G081900 transcript:PAN27444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSEALREAIASITNDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAERIGLDSMDVEALKKMNKNKKLVKKLAKRYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >PVH39582 pep chromosome:PHallii_v3.1:5:59360516:59369184:1 gene:PAHAL_5G538900 transcript:PVH39582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCLPAPPCHAGVDEDEDKTKARPKASLLPFPSPNSPSPNASSRTRTRSPTPAPPQDPRRRPLRPPPPPRLPTVIDRPKNHSCCWRQLVAGYFVLNAAADSSTLPLPEPQLIINAANLGIIASPTAFAGYMVAELQPFVGDDHATLLCFSSDVGEWVDKTISYPWSLGDQSNNPLPARPFSSHAVLSLHGRLCRPSRSTTARLPPCLTGTAPSGSATASCASSTCTEIGTPPARSRSPSGRTLPDPDSTEWALEHQASFHHIWAHPTYKAAGLPTKIPVLALIHPDNSAVVYFFLDHHLFVYIL >PAN32231 pep chromosome:PHallii_v3.1:5:55114775:55117812:-1 gene:PAHAL_5G473100 transcript:PAN32231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGGSDKTGESGGSPMRHGVDRSAAGGGGGGAAADQDLGTESGIGKMKAAVMSKNLIKLDGTGEHKVAYDDYQRILKEIEDLKAELDEDVKELGYCEANQKLRAELALKAKEMQCLRKQNEEMQCNNDGMRKQNDLLQAKSDVMAKQNKELQAKNDNLVKDNEELRTNIDKMGKRNGELQAKNGGLTKWNQELQAKNDGLKKQSEELQAKNDGLTKKIEELQAKNVSLVKQNEDLHANNDSMCRRNGELQAKNGALSMRNEDLQAKNDNLTKLIEELEDENDALEDLSGSLVRKDRQSDNELQQAQQELTMGLEDKLNGQTAIGIKRMGELDKKPFQNACKRKYRNIDYQTKAAELVSSWQDELKNPSWHPFLVVQVNGEDKEVLDNDDAKLKLLRFEYGNDVCNAVKTALMEINKYNPSERDLVPEFWNFRKGRKATIKEVLYLFGQMEMTTKRRRV >PAN29712 pep chromosome:PHallii_v3.1:5:15532889:15533935:-1 gene:PAHAL_5G245800 transcript:PAN29712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILEKFVDADQWEAEDIVGRLGNGHGRACRLPLCRLPALRRPTTAGSSPPEARGPGGLAVPLPLVHRATAGAPRGRRRRRADGARARERRHALMLLTAGGDWRGGAYLERLDAATVTPLLSRALADAEPWASRVCWALADGACWGLFAELCRGNGLPLTGFMSLTDDVKVEILKRLAGADDFARMERTCRDLRRLVAERDGELWKPMYEALRAQRRRCRRGGRWGLSFLLRSEISDSESEEEVLSWKEKFMEARQRLRDMSFWRRTVSGSLSPFPPSHCTELSSCLLEWLTLLDPPEQETVSTRGKSTAGHRRKVPRTRNVDKKKWHGGGAGAIPSPSSRYRWKHR >PVH38291 pep chromosome:PHallii_v3.1:5:13434859:13435234:1 gene:PAHAL_5G219800 transcript:PVH38291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFSGSDSQEMFLNNTYLLHFFPAAYNNSPHSLIFSCLLCVSYLQTLCSYFEDVLK >PAN26843 pep chromosome:PHallii_v3.1:5:2700175:2702320:-1 gene:PAHAL_5G042700 transcript:PAN26843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPLRTVHLRRSSSSPPGDTVAIAVDGGSGVDLARVGLALGLDPASVRPNGYFLSRGPGHVCSAVTWRALLNFFAARGLPTGADAAAPVAVDGNPAASPAPTSDPITLVCSKRKSGLVVERRSKRTKPQENGSSLSKRSDDVLSEEIVLGLKRRLRLDDTIPAKKIKQVEYGSDTQQPVKFSCSFVNANGKRPQEEEMIASLSCKRVR >PAN29578 pep chromosome:PHallii_v3.1:5:14738397:14740983:-1 gene:PAHAL_5G235400 transcript:PAN29578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMAIGALLELLYVLGGLAALWFTWRALEWAWLSPRRLGRALRAQGLRGTSYRFPSGDLAEEARLLAAERTKPMPLLSHAICGRVEPLLHSTVKEHGKVSMVWAGPTLRVILSDPKLVREVLSNKFGHFRKPKVPANLIKMIGDGLSNHEGEKWAVHRKIINHAFHLEKLKRMLPAFTSCTSELIRRWEDSMGSGKAREIDVWPELQDLTGDAISRAAFGSSLTEGRRIFRIQSEQAQLANRMTNLYIPGYTYLPTKLNRRIKANAREVEGLLKGIITKKDRAMRNGNADDGDLLVLLMQSNIKESKNGGSSKPMMSLDDIIGELKLFYFAGMETTSVLLTWTLVVLSKHSEWQDRAREEVLLVFGKKQPDLDGTHQLKVVTMVLYEVLRLYPPITWLERETYKEMELGGIKYPPGVKLVLPVVTIHHDPDIWGEDVDEFKPERFAEGISKASKEAPAFFPFGWGPRICIGQNFALLEAKIALSMILQHFSFGLSPSYIHAPFAVSTLQPDHGAHVILEKI >PAN29768 pep chromosome:PHallii_v3.1:5:15728021:15734517:-1 gene:PAHAL_5G248900 transcript:PAN29768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSNKQIQFSWGKRRAKGGLKMDTRFYESFTLDNVKYSLYDCVYLFKHGDPEPYIGKIVKIWEQNQAKKVKILWFFLPDEIQKYLRGSVMEKEIFLASGDGIGLADINPLEAVAGKCTVICISKDERNRQPSPQEQAMADYIFYRFFNVENCTLSDQLPDKIAGLEVKVLLNPKDELVISSPNTVNVPLNPNADDGLAATAPPPHSAVKEENESPDAAVPLSQPAAKEVDAKLPAAVPLSQSVVNKDQKPVAAIPISESVIKKEDKKSVAAISLSQSAVKEEEKSVAAISLSQSAVKEEEKPVASTPHPRSAVVENNAKHTQSQNVHNGERPPKKLKLSQEATLKNMTPAVTETRPFELPSRQADRSKWFKFSWDDKVRRADEQGTLVYIQNLDIHFAAADIEELIVKALQLSCTAKPINHPTYDDPNNGKAYAIFKTKNAADVAISKINSGLVVGGRPLYCSKGLLKVPKSSGTFVGHLNLYNIKIGQKQREEQKKAVSTSHCSQPNTIEYDLALDWMLLRAKQEKKFEILHKRHKDDRKGFASIGSKGSKAGK >PVH38448 pep chromosome:PHallii_v3.1:5:16842876:16846197:1 gene:PAHAL_5G261400 transcript:PVH38448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKARAHPAAHRPPSASTGSCGWLFVAQGTQAPGQQHGPWSETCSAGELMRWWRTSILSKHWKDVWCSRRNLKFSFKSLFYNKKCRIPYSSIKEQVFIDRVNTVLNQHSGGGVEKLEFEFSSLHNEHAEYIDGWLKFAIASKTKQLILDFTFVHPPMEPYSFPFQLFDATTGSQLQSMKLGSVSLKKPSNIKVLLNLTKLELVDVNITSEEFETTLFNCNVLEFLGISRCLMLTSLWTRHPLNHLNHLHVSHCPLLQELELSSGLITLECEGPLMPLASHSTLRNVCIKSPDVCSALAYIFTAFPSTLPRLEMLTIRCQEIEPLRFTYLRHMRLELNFVSLETRTTDVLDLACLLEAAPYMEKLELHMWMDRTLERYRKRHGELRSLPSQLHSHLKVVNMTGFYGQKDKVDLALHILGNASVLETMKINPKPMVAATNVLLTSEDGFCFVDGYRAAKKYLFRADHRGVVDVVKVRRRDVENVWPYKLVDPYWIALEAEQDR >PAN29411 pep chromosome:PHallii_v3.1:5:13814328:13816756:1 gene:PAHAL_5G224000 transcript:PAN29411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHWLVRFLFGPVPVYFSALAILIVLTNAQYFGLVGVGVAPRAAKLASSTPVVSVMKYCDIFRGEWVPDAEAPYYNHKTCHMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPLQFLQFARGKSLAFVGDSLARNHMQSLLCLLSQVAYPKDLSANPSDQNKVYHYRAYNFTISMFWSPFLVRAREPDHDGPAHTGHWSLYLDEPDDKWVSQMPRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPDLTLYYSQRRAWRVALRAINDLERLRARVIVRMLSPMSHFENGTWDHGGDCRRTQPLRANETSMEGRDLHFYTAQMEEFRAAEKAARDKGRRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDIWNDMLFQMLLA >PAN27074 pep chromosome:PHallii_v3.1:5:3615069:3619616:1 gene:PAHAL_5G056900 transcript:PAN27074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRQFLQLLLPILLSACCVDGANGPDALATARRQLHQPFFPDQPEQPSAPPPFFPTLPVPPPPQMPAGQDQPTYPALVLPNTDSSGAAPPAAGASHGSKKASKLVPAILLPLLTVAVLGLSIAFFFSHRRSNATRGGGGGCVGGGEPKFLHPERTSLFARDEFGGSGGGAGAAPAAATSAEFLYVGTLASRADEKSSDTTSSGDEESRSSGGSPELRPLPPLARQCAPATSRSPGGASPSSGDEEFYSPRGSSTKTSSSRRTLATAVQAALEARDRSRTPSPGSVLSTPSYPSSPGATLSPAPASPPAFSSPGESGRRSVKSRSDSARVVVLPPVPPTPPPPPPFAPTLPPPPPPRRKPPSPSPPCSPLNDKSALRSSTDAISRNPFAQPAPPPTSTHPPAPAAGPPPPPPPPPPPPPVGYWESRVRKPDTSKETRSPALSPPPQSANFRSVPAPTDEFPSRLPESSDQADKSEDTTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANAPAKEATRRPVLPTPKAENKVLDPKKAQNIAILLRALNVTKEEVCDALCEGNTENFGAELLETLLKMAPTKEEEIKLREFKEDISPIKLNPAEKFLKAVLDVPFAFKRVDAMLYIANFDSEVNYLKNSFETLETACDELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGHTTLLHFVVQEIIRTEGARLSASTQTTPRTQANPLREEHECKKLGLQVVAGLANELSNVKKAAAMDSDVLSSYVTKLAGGIEKITEVLRLNEELKSRDDAWQFHDRMQKFLKKADDEIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGFSDDESSAASVASP >PAN29963 pep chromosome:PHallii_v3.1:5:16914535:16917283:-1 gene:PAHAL_5G262000 transcript:PAN29963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEGGGFFSSSASGYSHGLALLLLGRKAEEKPVKVSPWNQYRLVDRGGDQVYHLASGKDQAPGKCAPFVCFGCTANGLEVASPPKVVSSNALDSTQEEASCSANKKCTSDSIIGNERRGCLKSNSKRDSLEHIIVVSEGEEPRESLEEVQTLRASMERRKVQWTDTCGKDLFEIREFETSDEGLSDDDAENEGFRKCECVIQ >PAN27306 pep chromosome:PHallii_v3.1:5:4510527:4512033:-1 gene:PAHAL_5G073100 transcript:PAN27306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDEDDELIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPLSGGSATAASGLTTSSTAGFPSPSPAPRPARPVIAIPPNAMFARPAPSEDGHSSSGGSTDAPRCPDLNLELDLSVGPPCSPPKTPAAAASTPTSQQQQQRATICLCYHLGVRGGEACSCKTASPAGFRFLRPLEEGQYI >PVH37754 pep chromosome:PHallii_v3.1:5:4871321:4872989:1 gene:PAHAL_5G078900 transcript:PVH37754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGGSMHVLMLPWLAFGHILPFTELAKRIARQGHRVTLLSTPRNTRRLIRIPPDLADLVSVVDVKLPRVERLPEDAEASIDLPSDDLRPYLRRAYDAAFAEELSDILQDAGPGRPDWVLIDYAAYWAPAAAARHGVPCACLSLFGIAALSVFGPPDALMGRGKYVRTKPEHLGGGPRLRAVPHRRRVPRLRGAPFFNPAFVADGSGVSEAYRFGKCIQGSQLVGIRSSAEFEPEWLQVLGDLYQKPVIPVGLFPSPPTQDVGGHEATLQWLDRQAPGSVVYAAFGSEAKLTSAQLQTIALGLEASGLPFLWAFRPPVDADEVTAGLPEGFEEPVNGRGLVCRGVRTAQFNTILDESPVVIIKRRANTRSRFGVPRVNPHLNRDDQHDVHPK >PVH38786 pep chromosome:PHallii_v3.1:5:39250400:39250828:-1 gene:PAHAL_5G352600 transcript:PVH38786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNIFILDANAFGFVQDPAVEPAWVTEGILPITMKRDDFYMTGETWAKFHSAHPVITFDEFLTIMLRSYEKCSTTAKVFLTEFKRDPARRPWILVDHALRREIRKSRIAPLPYTLPEGLKEPKPEPVETEAEPLEFMYHVPQ >PAN31186 pep chromosome:PHallii_v3.1:5:50068029:50070068:-1 gene:PAHAL_5G402900 transcript:PAN31186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPNTGFVFGLNEPVDDDPVDPNAPVDWDAIAEDVFNFYEPLFQQETEEDEVTHAFDLNLPAEGAEDAQVNANHVAVKVPMEFGNHGKGTLHNGVSLKIPQEIGVHRRTVQRIWQDGQEGGVNNVVGKKPKNYGRKKIEIIPEVIQGVPLCQMTTMQDLARALYVSKSTVHARLKEKQIKRHSNSIKSYLTPANKKARVEYDISMLDRRKPHQPTFIDMYNIVHIDENWFYRTNKV >PVH38987 pep chromosome:PHallii_v3.1:5:50860165:50863106:-1 gene:PAHAL_5G410900 transcript:PVH38987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLFGHRVRTLFLFFSRLRSAPVLDPDTCIGFHFKIRSELSSSFRLLFSSVLPLPALDLANPTRHRPAPPLPAAGGDSPSCRSGHPLYPARNPSSSSAQPTGDPPPRTAAPSTPRDHHHCLSGRCRRPPLLIPSALISPRSPSPNLTPNSNHVLRSSLWASGARSPYKNFASHNRLTTPAPTHISK >PAN26957 pep chromosome:PHallii_v3.1:5:3112318:3117060:1 gene:PAHAL_5G049700 transcript:PAN26957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPSRSSPRDGSCHGRGYGHSRSFGQPAGAPPQYGGYYPQDPSAGYYPAPQQGGGYAAPYAAPAYQAPAAAPPPQAAKPRQLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISSTPNPYEQAISIIGQTMSKFDEDNLIPCFGFGDASTHDQDVFCFYPDERPCNGFSEALHRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVKASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPDRVPLPPPAGIDDAYSYSSKSSSKPTTYTQSSSSSSPYPRYENPHSATPAAPTSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGPSLELCPICRTPIATRIKLY >PAN26675 pep chromosome:PHallii_v3.1:5:1932240:1935893:1 gene:PAHAL_5G030400 transcript:PAN26675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGAPWAERARVVGTQIRNRFRVAPVDRRWLWRRPDGRVATEAVRRWSDRVRTLLQRDRAADQSSTSTETTIGAAAKPSSSALRFYRKKVGKEVDGIEDSVIFRSFQALAVPLIGNACYVFMHGLNSVQIYGAEKLHQALQERPKGKPLLTVSNHVAAMDDPFVIASLLPPSVMLEAQKLRWTLCATDRCFTNPVLSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNSGGWVHIFPEGSRSRDGGKTIAPSKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRAGKQVIVIVGDPINFDDLIIDNSDDTQHLSRGILYDKATQRIGQRLQELKVEVDRLASEQQSELQNHHIQNVSDDGFRLWQQVDWEGFGIGSSMLPSEPSFVQKQSKEAEPEPPLEVEQSLSPAPSAAISFNVPHWFQRHVDPSELMGFAARGLIKNGKFLEECYRELQEPATLNSWWRSQACNAVPRWSTA >PVH39508 pep chromosome:PHallii_v3.1:5:58196553:58197977:-1 gene:PAHAL_5G522900 transcript:PVH39508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLNFALGINMAGVASRRQIEDGEADSSDRPQNEKGEYITERQVRYVRNQRPTSSDLLRKYEYQFQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIKDCPECGPRRPEARDSVFQRIGPAPLRQAWVRSSQKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEADAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHARPQEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTVGNKTVPTSLFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN30073 pep chromosome:PHallii_v3.1:5:17833916:17835292:1 gene:PAHAL_5G271100 transcript:PAN30073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRAYAPASKPIPVRATNGTANGGAGGPPRPAPPSMLPGGRVPPPPMYRPKPAQSRPPPRRPRRSARGWCCACCLWLTLVLVGLVFLGAIAAGVFYVVYRPQPPSFAVTSLRLAALNVSDSDALTSRIDFTVTARNPNDKIAFAYGDMAASFSADGAPLGDGVVPGFLHAAGNTTVIRGAASATAATIDPVQAAALRSKKSHAMSAEMDAKVGFQIGRFKSKRINVRVVCAGFSAGLAKPTPPPAPAPAPDAEPTVVVAAAPAPARGRGRGRGRPPRSVVRTSSSSSSSSSSGSGGGKMTPTDAKCKVRIKIWIWSF >PAN32220 pep chromosome:PHallii_v3.1:5:55078245:55079817:1 gene:PAHAL_5G472500 transcript:PAN32220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEVADASEYLAITGRGLDDVKLAKKASEQGKKFDTTPPVNYEFEVHATTSEKLSLVLPVVFTVGPKVDEHTSHSNKASQLLYSKLIIAPHDNGSSHVRELVKGAVEGQARALAASMTTEEIFQGAESFEQAVLEKVQLELDRFGLFIYSANVVNKQLVDVHKVGVHTTMAEAEAEALDQNERDAVVAAAKADLATKMPWVLGLFIASAAVTLVVYEAPPGVDKGAYYLAVSGAFFAAVAGIMAAVLAAN >PVH39458 pep chromosome:PHallii_v3.1:5:57055972:57056444:-1 gene:PAHAL_5G505900 transcript:PVH39458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTALFCVLGDFALRRPGDIGAQVERLATAEVRTPCSVDGWNSAGDVGVETPASATGGCPRPRLHGSRRPVIFPRGWGRKGSHGRVPSLSVAAAHAPRYAARGRRTHDSSTRPTLAADCCDVRGL >PAN31661 pep chromosome:PHallii_v3.1:5:52610940:52614403:1 gene:PAHAL_5G435300 transcript:PAN31661 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP domain-containing protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31890) UniProtKB/Swiss-Prot;Acc:Q8VZE7] MEAAIPLGLALPRSSTGICSFSVLLKSSPKPSLGCFGRVPGKPALFPPRAVSEDRADATPQWQLDFLGAGAGSPDPPEEEEEEGEELLPVEATDWCVRARRSALRSIEARGLAPSLQRMVSTPKKKKKKTAKKKDLKKAAAELKRRKKQLDAALEDEDGDEGEDEDDDVVDDLRDMDDLELRVAQFADGMFDEKRQRNREAFVQTLSRFSAAPSNRSKEVSLNRSIVQAQTADEVLGLAAEVIADVAKGLSPSPLTPLNIATALHRIAKNMEAVSMMQTHRLAFARQRDMSMLVGLAMVALPECSPQGVSNIAWALSKIGGDLLYLSEMGRIADVAITKVQEFNAQNVANIAGAFASMRQSAPGLFSALAQRAAQILQTFKEQELAQFLWGCASLNECPYPLLDALDAAFQNYARFQCHVSDVTSSMHQEMDRPLNFSRDQTGNIAWSYAVIGQMDRPFFLHIWRTLSQFEEQRVSDQYREDMMFASQVYLANQSLKLEYPNLGLCLRSDLEEKITRAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYAIDGYTVDAVLVDEKLAFEIDGPTHFSRNLGTPLGHTAFKRRYIAALGWKLVPLSLQEWDELQGEFEQLEYLRRILDIEAE >PAN30591 pep chromosome:PHallii_v3.1:5:32420913:32421547:-1 gene:PAHAL_5G326700 transcript:PAN30591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLSPVGWTSLLGACRTHGNMELGARAAKEILHLSPSNASAPVVLSNMYASADNWIELGRTVHIFVANEVSHPRIKEVYRFLEVPDVRWALAKDQTEEGEVRLRHHSEKLAVAFGLMNTKEGEPILVMKNLRICGDCHNAIKIISAIPHREITVRDTHRFHCFSDGSCSCGDYW >PAN27704 pep chromosome:PHallii_v3.1:5:6058608:6062224:-1 gene:PAHAL_5G098900 transcript:PAN27704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQLHKSSPTHAPSPAHAPAPKAAKPARPGPRSWIGYLLREQRLLFVLLGALIASTFFLLRPYLSLSASSHLPDARPLFSFARSGVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKQLLHWEPKVSLKEGLPLMVTDFRQRISDE >PVH38506 pep chromosome:PHallii_v3.1:5:18199846:18200876:1 gene:PAHAL_5G274000 transcript:PVH38506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVQLSICSRWRWAMESPGHREPNPGRRRARSNGRQLAAFEVRRDPHTKQIFLAHMDMDKGKVVAGASRG >PAN26354 pep chromosome:PHallii_v3.1:5:288190:290108:1 gene:PAHAL_5G004400 transcript:PAN26354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGSAPEQANNSADGAADAEARRAPPSTVRFFPDAERQKARQPPPIKLEEEEGAPPPPATEEEMAPRNLWQVYALGAFIVLRWAWAKWKENQDRNDSDSPDGDGGAPEGST >PAN26994 pep chromosome:PHallii_v3.1:5:3325074:3327978:-1 gene:PAHAL_5G052600 transcript:PAN26994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAVAKALEVIADAPGTLAEARLAVAAHAVLRSPGADADIWDAEATCVNRSLLSAVDEILQLKETHAFPTASPARRRMDGALGVAMSRLIDEFLLLRVWDASQLEGKDGLRVAVEKLSVSLAPGGSGVWPAFPTGGTTSTGELSVTTTDELRASGRSLSSWPDNALTTSVDGTFSDDLHLICPASLPVLHEIATRVIRAGYTKELLQTFTNAPCHVLDRFLSILQLDRPFLAANRINFEDAEWWTAEDMVKRWILATKLVGKALAVMQRQLMAQKCGAFDRFKDDYFMAIAKQSILVLLKFADGFTSTRSPEKLIYVLELYGALGSSAPGLLPLFTGKHGELITRQVPVVLAKLERALRAAIGGLIAKIRTDSSRAEGVGVHPLARYAMTSVELLAPHRVALDLILANAGEDERGPDPAGGAEGATSFRSLVSELIFGMERNLEGKSALACAGGSPSHHLFLANNTGFVLTRAADAGVASLLGDEWAARRRGRLEQHAASYLEASWGPVVARLEAAVGGGGKPGRALAKFNAAFEEAHGSQACREVPDPALRAAMRKAVSEMVVPAYSAFLQKHPKLGKSARYTADDVAESLSELFEGDAADGRKS >PAN28740 pep chromosome:PHallii_v3.1:5:10463005:10464453:-1 gene:PAHAL_5G173000 transcript:PAN28740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPKGPNPTAARATTVTMVPASAALLLTLLLPMAAAAAAAAMDPAERETLFRVMEAVSSDRDWRVESPDPCGAPWPGLECKPAGGSTGDAAAARMHVTRLDFGVEPNPTCKDAAAFPPEAFSLPHLQSLFFVDCFTNPAATTALALPAPANLSASGLQQLSIRANPALSGTVPPALATLRSLQVLTISQNALVRGGIPPGIGDLTSLVRLDLSYNSLSGPVPSQIGQLKSLVGLDLSYNSLSGAIPGRIGELRQLQKLDLSSNNLTGGVPDTVANLTSLTFLALSNNGLIGHFPPGISGLRNLQYLIMDNNPMGGPLPSELGGLARLQELRLAGSGYSGPIPEAFGQLSSLTTLSLQDNNLTGPIPAALSRLGRMYHLNLSNNALGGAVPFDGAFLRRLGGNLDLSGNSGLCLDDRSVLRGVGVGACRGGAGGGVTSSATGRGLMAARGSHSQDSLLLGLLGPACVAVSCLFALNGHAPY >PAN30536 pep chromosome:PHallii_v3.1:5:21433940:21440307:-1 gene:PAHAL_5G291100 transcript:PAN30536 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MASNGSGSSPPPASSPDARPSSPLPVTNSSPTQSTHRSGGRRRRGSASPYASSPSLGGFETPPHPGRRTPSGGGAGAARQQRQNWTGRFPPTPSTPMSTDDIPPSSDAGEDETDGGGAGVDATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRSIHRILELEGGESLDVDAHDVFDHDPDLYSKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPSQRTVKSIFKTYIDCLHIKKTDKSRLHVEDTMDIDNADANKSTEEDFLSDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNPLRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLAPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPNLEELEVLDLPTLVAYISYARKYIQPQLSDQAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRENLVAATRNLIMEKMQLGGPSLRMTELLEEMRKQSSMEIHLHDLRSALGTLMTEGAVVIHGDNVKRV >PVH37852 pep chromosome:PHallii_v3.1:5:6349107:6352926:-1 gene:PAHAL_5G104500 transcript:PVH37852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLLRSLTRHARGASAYHHHQTWAPFSTAAAAAARDEARRGFPGLGPTVKGEKARVVVLGTGWAGSRLLKDLDTSGYDVVCVAPRNHMVFTPLLASTCVGTLEFRSIAEPVARIQPAVSKSPGSYFLLARCTGVNPDAHTIDCETVTDGEKDTLEPRKFKVAYDKLVFACGAEALTFGIRGVTEHAIFLREVHHAQEIRRRLLLNLMLSDVPGLSEEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVKQRYSHVKDYIRVTLIEANEILSSFDVRLRQYATDQLIKSGVRLVQGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGASPFVKSLPFPKSPCGRIGVDEWLRVPSVRDVYAIGDCSGFLESTGKDVLPALAQVAERQGKYLASLLNRTMKAGGGHANSEAEADPGPPFVYKHLGSMATVGRYKALVDLRQSKESKGISLAGFVSFIIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >PAN27417 pep chromosome:PHallii_v3.1:5:4912091:4913616:1 gene:PAHAL_5G079400 transcript:PAN27417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYEKQQPQPQPPLNAGYYGPPIPPPQPAYYPPPPAPHRGGGGPRCLLCFLFKVVALAVIALGAASLVLWLIFRPDAPKAYADSAALSRFELGAGAGGDLLQYNLTVAIRLRNPNRFGIRYEYAEAQALYDGERFGFDPLQPFYLGGKSDARVTAAFSGSAPVDGDDDVRRTYRRENGEGFYYIKVRVYSDLSFRVRVFRVRDYKSKITCVLRLPAPAAGGNANATAMTTLGTRCDVDF >PAN32780 pep chromosome:PHallii_v3.1:5:57397714:57402214:-1 gene:PAHAL_5G510200 transcript:PAN32780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAMAAAELGFVLICAVLMATILRPTLASPTTTSVVDQIRELEGVTAGRIRTDAAATALASTDFGTNASSVDAARPAAVFYPSSAADIAALLRASSASASPFPVSARGCGHSTGGQATAPGGVVVDMASLGRSADGPSVARLAVSVHGRYIDAGGEQLWVDVLHAALAHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVVTGTGEMVTCSKEKQADLFDAVLGGLGQFGLITRARIPLVPAPARARWVRLLYADAAALTSDQERLIAERGALAGVMDYVEGSVLTDSQGLIGSWRSQPPSSFFSEGDAARVAALAKEAGGVLYCLEGAVYYGGAADTTAADVDKGLEVMLRELRYARGFAFVQDVSYVEFLDRVRAGELKLRAAGLWDVPHPWLNLFLPRSSILDFAAGVFHGVMLRRGGGTMMGPVLVYPMNRRGWDGAMSAVFPEDDGDEVFYTVGILRSAVAAGDLARMEAQNAEVARFCADAGIPCTQYLPSYATQAEWAARHFGPGRWDRFARRKRKYDPNAILSRGQRIFSYPLAA >PVH37766 pep chromosome:PHallii_v3.1:5:5093860:5094639:1 gene:PAHAL_5G082900 transcript:PVH37766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPFLLYRASWRALCRARKRLGSVAFSATEPGDTGIVAHLPALRCWSLGVSDQNVPVPRCSFWVAPSQLPR >PAN31366 pep chromosome:PHallii_v3.1:5:51167428:51180201:1 gene:PAHAL_5G414000 transcript:PAN31366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGGMLAAAVVKAAYGKVAAAAGDLVAQQRMFRRDIEYMRDALESIEALMGDAERRSIEEKSVQLWLNRLTTASYDISDIFDELEANATRKSALQKLKVLNLCLTAASKVGMADRMREVRERLENISKQRQEFSFTAGNSSYAQQVIDERETSSEVIKADTILGRDQDKQKVIALLTQASPSSEFIILPIYGIGGIGKTTLAQLVFNDTHFKDYEKAWVYVSQAFDLKKVGDSIRSKLQNNQSQLTDTEQPHDDPPALTKILIVLDDLWENDEFKVDDLKHSLKKFCNGRKVHVIVTTRDASIAQKIQTTEAYAIEPLSSDTCWTIIKQAIGGFEDRADKRRLEDIGKEIAMKCQGVALAARALGYILMRSMNFDGWVSVRDNDIWNISATECTPSPYDTVLASLKLSYISMLPYLRPCFAYCAIFPKGRKMAKDDLIYQWAALGFINKLSNEDSTWLQNGESIIRQLLGMSFLQHSNSPSSYGQPGKNVTFFTMHDLVHDLARSIMRDEVLDTTIKHNARGSNYRYVSLADCTKPLNSFVTYPDKIRALCFPGSAKVGRDADGFSCAKYLRVLDLAECSIQSLPYSIGQLKLLRYLNAPGIKDRVIPSCITKLSKLIYLNLRGSSEILALPESIGEMEDLQYLDLSGCEKIRKLPKSFEKLRNLVHLDLSDCPEANGIARALCSLSKLRYLNLSRENTWLDFGHSVPLRGLPEVIGKLIELRYLNLSNCTGYIFDPRTTVSEGEENSYPYIQKMENSGPVGSFLDTISTLSNLEYLDLSRNMVYSIPDSFCSLSKLHTLDLTGCYNLIRLPENVDDMDMLKFLIVKDCRILINTSNLQSNKHVIPLPEFVVHAAEGEHSSNLVLLKNGSPPELELCCLENVKSVEEARAIKLREKQSMVKLTLAWTTEKRFVEDMELLRELVPPRNLEHFELRGYNNVIFPTWLTDIAMYLPNLVSVCLWDITQCGSLPPLGQLPNLKSLKLVAISGITKIDRGFCGGVKAFTRLEDFSMLRMESLEEWTTAYSNGKGGAADKFMFPNLKDLTICRCHKLRLNPCPPRVKGTWRIEESDGVLSQWGESSPYTGSSTSSASLACLDIKSSMAPVHQWKLLHHLPAVKKLVIMCCNDLNSSSDFVGACSLRELTTLICNDITSLPQWLGRLTTLQKLEIRSCESLNNLPEWLGDLVSLKDLNIYNCHGIVSLPESIQRLTKLKRLSIQQCPALEQWGKLKENKKKIRHIKEVRWQEEVDIWTMLMECAEDFSEE >PVH38045 pep chromosome:PHallii_v3.1:5:9625182:9628732:-1 gene:PAHAL_5G159800 transcript:PVH38045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEEMSKVSEAKIKTDSISMDQSKVSEANNGGVDHSMVETRVVGGNTYEVIEGKVDWRGRPALRGRHGGVGNSFFILANFGLENMASLSLAVNLILYFMFVMHIDLAEASNLLTNYMGTSYMVAVLISVFADVFVGRYMTVIISSLIELVGLLLLMLQARSDRLKPPSCRYPVDPTCQRVHGGNEVHLYLALYLIAIGSAGIKAALPAHCADQFDEKHPEEKLQMSSCFNWLLLSLCTGGAISVTVFVYIQQYKGWDRGFAAAAGVMGLAVIVFIAGMPRYRLATVQGSSALTEIFQVYVAAFRNRNLPLPENPDELYEISRSKASPDTEFVAHRDKPFRFLDRAAIVQTPKDAAPNPWRQCRVTQVEHAKTVLAMVPIFCSAIIMGTCLAQLQTFSIGQGNTMDNWLGKHFQMPVASLPIIPLAMLILAVPIYERLFVPFARRLTGLPTGITYLQRVGVGLVLSIVSMAIAAAVEVRRKKVVARHGMVDMIPGHDYLPMSCFWLAPQFGVFGIADMFTYVGLMEFFYSQAPRALKSMSSSFLWCSLSFGYFMSTIIVQAVNAATKGATASGGWLASKNINRDRLDLFFWLLAVLSTLNFFNYLFWASWYKYKPAVPQPEQQQQQQQQQQEV >PVH38773 pep chromosome:PHallii_v3.1:5:38607172:38608405:-1 gene:PAHAL_5G349500 transcript:PVH38773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSATPNPKDSASIRTFRKDLTMWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PVH38236 pep chromosome:PHallii_v3.1:5:12499427:12500680:1 gene:PAHAL_5G205300 transcript:PVH38236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVPLLVRYQRSSVPPIQAAGLHIQRWREPSEVRLLRTQHKHAVAIASFPSIVRKQRDGCKWYVMARDAVDVASVTHGVVVAGGLQVDRCYGTSQLVNLDMRPRRFHATGSNKLTAARSLGSKRSTDNSGSKKGCTISL >PAN29409 pep chromosome:PHallii_v3.1:5:13577133:13585163:1 gene:PAHAL_5G221700 transcript:PAN29409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDKIRKSKHLLESKKDVDENVESILRMIGEENERTENEQEDSGNPVKKSKLSSLVKGFHEDYQYLHKHYKHLISKLENVGHSSSDSDSSDSDMEGDRSDGDVITPKIAPNEENSLSHSAEDHGVEAEIEKLKQTTEEQAKEISDLKQLLDKAIKDKEATRVELSSEVANLSSENENLKSSVEIAKREEGELLNTVKSKESEVTTLSSEKQIIEKERDNLKMLIVDMEKEKEDLRNQLKDTEDRCSLLSSEVEKAQHAEKEVQTLLEENQKLKNDNLILLNVHDNLKVLHQNLDIECSQLKAANAETSAKNESLITENHSAERQLQQLGVEIDGLKVEAAELMNNLDKERSIAAEEKERLLSENSMHLNELEKAQSSVKDLEKELESTKNVLNSNIAELHKEKNSATFEIEQLEASLMNLKTELAQQLERISDMQKSNEVLELANSNLHNEIVEVQGQKNEAVASVINLESKIEQQVQEVSNLQEANKDLKEAKTDLYNEVTTLQEEKNTALAQLQQSEANIKNLQSDLEQQQNQILFFQRENEELQNKNSILHKQLEEIRTTLQDEIIVLQGEKEEAISSLQQSNDSVKTLGAQLKQRVEEISVLQFANGDLQNSNSNLKMQLEEAKFSHHAEILELQDEKNKIISDLQQSEASIKNLRIELEQGKEQISVMNLADEDLKNNIAILDKQLQEARSSLHAEIAQLLAEKDTVLSELQMSQASVRNLEGVLEKQSEKISTLDQANDQLQKNICTLTEQSEQTKAELQKEVEATREEKDTALTQLKQSETSVQNLENEVTRLKEELSVQLENNSTLDKQLEEVRSSMHAEIAELRAEKDASLSELQTSQASVMNLEIALQTQNENISTLQQANDELQKNICTLSEQLEQTKAELQQEVKATQEEKDAVLTQLKQSEDSVQNLAKEVAQLKDELSVQLENYSTLDKQFEEVRSSMHAEIAELHAEKDASLLELQTSQASVRNLEVALQTQNENISTLQQANDELQKNIRTLSEQTELAKAELQQEVKATQEEKDAVLTQLKQSEDSVQNLAKAVARLKDELSVQLENYSTLDKQLEEVRSSMHAEIAELRAEKDTSLLELQISQASVRNLEIALQTQNENISTLQQANDELQKNICTFSEQTEQAKAKLQQEVKATQEEKDAVLTQLKQSEDSVQSLAKEVARLKDELSVQLENYSTLDKQLEEVRSSMHAEIAELRAEKDASLLELQTSQASVRNLEIALQTQNENISTLQQANDELQKNICTLSEKTEQAKAELQEEVKATQEEKDVVLIQLKQSEDSVQNLAKEVAWLKDELSVQLENNSTLDKQLEEAILNVSKLHENLEKVQAEAACQIDDMSTKTKYLEKTINLLSSEKTKLEEDLKIMVEACTVNMSFMTEFEDRVAQKISDHKAGLAVLHQSLRGAVSGCQRLQYAYHEVSSRVSQLEILKRSQIEQIDQLQEKHTETLDKHRLLEEEKLSANKENTKLQKHVHDLEVQLQLAKQKLKVTEAESKCKEDSYATAVETSQAEIRRLEQLVQQFSEKVSLLEEAFVQVKGNAESGVSELASKLDEIESLFSQSFALFVDRSSACGEELKILRKKLHDHLDEQKELVKENDEIAARLREKEKLVSEMSKNAAEAEAKMVLLEKAVAEKEEELAARVQEKREAIKQLSDTIVYHKNYSDDLVRYIRSHNRPRLPFCM >PVH38846 pep chromosome:PHallii_v3.1:5:43886451:43887758:1 gene:PAHAL_5G368000 transcript:PVH38846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGRTVDSIPESLSEEVFKQGVFDGFQLLENSEKNGVCEASGTYSGSCLDPATGKI >PAN31836 pep chromosome:PHallii_v3.1:5:53383572:53385419:-1 gene:PAHAL_5G446500 transcript:PAN31836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 123 homolog [Source:Projected from Arabidopsis thaliana (AT4G05440) UniProtKB/TrEMBL;Acc:Q9M0V1] MLLEELLRCQIHEWYPAFRRHSIPTVTIPLPAAFLRYLAGQAAYPDPDADADADADEEPLPFLLPAITSGRQPFAPVHAHHPDPDSLLSSELFFGSSTDDVYDPDADHPHRPEFPELEAAIDAAIAELGGAALPKLNWSAPKDATFMSADGTTRCTCFAEVAMLLRASDCVAHDLAAARQSCEDFVRPEGARRNARKVSAGAEEGARPNANETGGSSDIGEDGGKTNAVDCDAEDAQEEASNGDTWVDDGFQYHLALRKWYPGLRPESEFRCFVRERKLVAVSQRDASAYYPSLPGWISEVQPKIEVFFEEVIEPQFGSNSYTFDVYVTTHGRVKLIDFNPWGGYTLPLLFTWEELEEEGRDHELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADEELNRQMNSLDGD >PAN28092 pep chromosome:PHallii_v3.1:5:7773746:7776186:1 gene:PAHAL_5G130400 transcript:PAN28092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLLLVVAAAAVAAASCRAGLADPAVSALPGLPVAGLAVGFYKESCPEVEDLVLTEMRAIVEKDRTLGPAMLRFMFHDCLVRGCDASIMLKSRSNKGEQDALPSYGLRGYEEIEQIKAKVEAACPLTVSCADIIALAARDAVYLSNGPRYAVETGRRDGKVSAKFDAESDLPPPSSNIVDLKTYFSVKGLGWKDLVVLSGSHTIGTAQCSTFASDRLYNFSGRGMQDPSLDKAYAASLREECEPGLKNDTTPVVMDPTSPYEFDLSYYRHVYRDSGLFLSDQALMHDRWTREYVERMAAAASPDEFFADYAVAMTNMGRLEVLTGDSGEIRETCAAYVN >PVH38719 pep chromosome:PHallii_v3.1:5:33858926:33859081:1 gene:PAHAL_5G333400 transcript:PVH38719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSHESARKDTKSRR >PAN27982 pep chromosome:PHallii_v3.1:5:7387407:7390721:-1 gene:PAHAL_5G122600 transcript:PAN27982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRATHWCYACRRPIRLRGQDIICPNCNDGFIQEISEMGGMLNTYGLIEPDFEERRARRFGMMEAMSSLMRQRMAAMDRMAEMDRNSVFDIHGRQGTSTEHGRRPTSVPTLIFGSNPAPVPGSDSGNVNVVFSGGRRVGIDRPNFSRFLVGPSLEALFEQLLLQNNRQGPAPAPQSAIDSMPVVKINRRHFNDDPQCPVCKDKFELGAEAREMPCKHLYHTDCIIPWLVQHNSCPVCRHPLPSRQSGINNNARAPSAYSNETAGPGVTEADTEPVPINNDGASQETHSSFSSLWPFGPSSFPPTSYQYQETVDEPAAYDPNQIGYSEWYYDH >PAN27075 pep chromosome:PHallii_v3.1:5:3622362:3628333:-1 gene:PAHAL_5G057100 transcript:PAN27075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 42 [Source:Projected from Arabidopsis thaliana (AT5G36890) UniProtKB/Swiss-Prot;Acc:Q9FIW4] MTWRARFLWNWNECAATLETAPSDLPRPPACARLPRVTPPPHWRDRGTPDMPAWLLTCSLPARSPAGASRAGCRFHSSASEFRVDASRYLFNSPRSRNPKPTLPYSPTSPALRRDRSRLRVQATPPPSAPAGAMGSTAREAEVARADFPDGFVFGVATSAYQIEGARREGGKGDSIWDVFTEDKERVLDRSNGDIAVDHYHRYKEDIELMASLGFGAYRFSISWARIFPDGLGEKVNEQGVAFYNDLINFMIAKGIEPYATLYHWDLPNNLQKTLGGWISEKIVDYFALYAEACFANFGDRVKRWITINEPLQTAVNGYGIGVFAPGGCEGEIARCYLAAHHQILAHAAAVDVYRRKFKAAQGGEVGLVVDCEWAEPFSEKAEDQIAAQRRIDFQLGWYLDPIYFGDYPESMRERLGSDLPTFSEKDKKFIRNKIDFVGINHYTSRLIAHHQNPEDIYFYQVQQVERIEKWNTGEKIGERAASEWLFIVPWGLHKLLNYVAKKYDNPAIYVTENGMDEEDDQSATLEQVLNDTTRVGYFKGYLASVAQAIKDGVDVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSRFLKGEAAENKADTN >PVH39218 pep chromosome:PHallii_v3.1:5:54030392:54031435:1 gene:PAHAL_5G456700 transcript:PVH39218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKEKVEGDGSARERTITWDEDQTKFMLGWFIDYIKEQHAGFKLKKQHHFKCSEALNRQFNMGVTATQVERHFRHYKENWKFIATALGKSGNTFDASRSMVIISDLEKVNLKDRARRLLSKPIKFFSEMQELFLNSSADGSLAMDANTCMNEAQGDEENDYDDDLCNDLSNYAQAEDDLGDDSDTLPSPLSGMASMGSQVAEQSSSSSGVKHPRSESRPPKRDVRPKSRMSKVGDMIATTLVDLQNEIKKPAPPPPIIRNSDEIVWERLEKMTLTTGQKVMIGEYLAHKNQKRMRGFLSAASETTFESWIFKFLSDQGV >PAN26341 pep chromosome:PHallii_v3.1:5:254228:256841:-1 gene:PAHAL_5G003500 transcript:PAN26341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKVFTLEEVAKHNTKDDCWLIIAGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMEEYLVGEIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >PAN26622 pep chromosome:PHallii_v3.1:5:1688546:1688695:1 gene:PAHAL_5G026500 transcript:PAN26622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLCCSQEDDEPAFNLLGLLVTIVLALLLLMMCTPPRRRRCIAVYPCC >PVH38070 pep chromosome:PHallii_v3.1:5:10206687:10207150:1 gene:PAHAL_5G168200 transcript:PVH38070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRYPEVSMRLRFICLYQQELYAHSFICLYQQELFSIFQVRANQLESFEQHVNRKKT >PVH38612 pep chromosome:PHallii_v3.1:5:23769036:23769263:-1 gene:PAHAL_5G301900 transcript:PVH38612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHTWWWMRRMSLPCSNCRGTRLQICELNSNGCCKYPKSCTNACKFQTENQRVIREWLYLVAKAWVLLPLWRLL >PAN26750 pep chromosome:PHallii_v3.1:5:2213917:2220558:-1 gene:PAHAL_5G036100 transcript:PAN26750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDAKPASPPAAADPPAGDAEVAADPEPAAPADGKEESTPQQPEKKRGRRKKGEAQAEAAKKTPPPRKSGPAAERPSRERKTVERYSELAPRVTPVKKSPAILQGSGTKLKDIPNVSFKLSKRKADENLQSLHVLMYGKKSNVHFLKRNISQFSGFVWTDNQEKHRTKIKEKLDKFNKEKLLDFCDILDIYVAKATTKKEEVSAKVLEFLESPFVTRDVVLTDSKKGKKRGRKPKGSGEAASEGASAEKKRKRSKNQAAEAGKENDDEEDAGPAGSKDASTGEEGDEESEANDHSVSDDEPPVKKKSTYVNQVKKETGSNAKEKDASRKRVSTKPAKVASKPSQDIKDEPDIEVKKAGRRAKSSKESDAPQDSNKVNKVSKSKKDDGKESQNNKVAKPSSKNKGKGKGGAEAKPVPTIEQLHAVVTRILKEVDFNTATLADILRQLGTHFEMDLMDRKAEVKCIIEDVINNMSDDDAGEEDSEDDAEDNGKEEKAKGDPDGGEK >PAN31100 pep chromosome:PHallii_v3.1:5:49350414:49357302:-1 gene:PAHAL_5G396300 transcript:PAN31100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVATWGLTPLAGADPEVYDLLEREKRRQRRGIELIASENFTSFAVMEALGSPLTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDPAAWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEYGKLLKDFNKGLVNNKDIENLKAEVEKFADSFDMPGFTLESMKYKE >PAN26837 pep chromosome:PHallii_v3.1:5:2593405:2598500:1 gene:PAHAL_5G040800 transcript:PAN26837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSASHGVLGPLLGKLTSLLADECARLEGVRREIRSLRSELISMHAAVQKYAKLQDPDVQVKAWISLVRELAYDTEDVVDKFVHHLGNGCHNGGFKEFFRKTARRLKTLGSRRGIANQIDELKARVKEVKELKSSYKLDDIAGSTFEHSAVDPRLSALFVEEEHLVGIDGPREDLVNWMVEEKDSSTKNLKVLSIVGFGGLGKTTLAKEVCRKIQGHFHCQAFVSISQKPNVKNIMKDLIYQVPCKKEFKEDIDTWDDKKFIAKLRELLQDKRYLIVIDDIWSVSAWGTIKCAFPENNLSSRIIATTRIVDVARSCCPRGDIDRIYEMEALSDLHSKRLFFKRIFGSEDCCPDVLKQVSNKILKKCGGLPLAIISISSLLANRPVVQDEWERVRRSIGSALDKNRSLEGMNSILSLSYNDLPPNLKTCLLYLSIFPEDTVIEREMLVRRWIAEGFICEERGQSKQEVAENHFYELINRSMFQPVEIGYDGKAHGCQVHDMMLELIISKSVEDNFIAFMGHGQNDLANRHGLIRRLSVHYIDQEQASVLANEDLSHVRSLTVITSACIKHLPSLAEFEALRVLDFQGCQNVQEYDMNGIDKLFQLKYLSFSRTNMRELPSGIVRLYGLETLDLRDTCIEELPPEIVQLIKLQHLLASVGAAGGVKIPNRIGNMRNLRVISGFNVIESSLGAVEELGNLTALYELYIHLDGGGSQEYKRHEEMLLSSLCKLGGCKLQSLSIRAANSIPLQFLYSWSPLPSSLKSFRMFTHYYFPKMPKWIAPKLTRLACLHINLVEITEEDLRILGEMRALLSLLLACNGAQNERIVFRGHAFPCLKEFHLFIDNFGTRPTYVKFEEGAMPKLEKLHVPFFVSVAKAYYGFSLGINHLPCLKHADVILDNIHATSSESKAAVAAIRTEANAHSNHPRVLIYGKGREGSYTDEEEG >PAN32965 pep chromosome:PHallii_v3.1:5:58362806:58364385:1 gene:PAHAL_5G525500 transcript:PAN32965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVAANGGREAARTSYGKRDGQQAGVGVGCGQCFQMPLHYPRYSREDYEAMPEWQLDRLLSEYGLPATGTIQQKRTYAMGAFLWGGGNH >PAN27174 pep chromosome:PHallii_v3.1:5:3978783:3980364:-1 gene:PAHAL_5G063800 transcript:PAN27174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKRHATRRLWRRSREEKRRLSEGGGGTVTQQTSEADNQSNSDLKIVLEDLTVEEIDRAYHCVFHFNEVYGSLLLKEDGLRDDIEKFCQLKASMDEKITNIKMMIRSPDSERIKKLKAATRRSSELQDVILTLEQDLASVKLGQTVAEANYVQLMCTYSQ >PAN29850 pep chromosome:PHallii_v3.1:5:16097320:16100341:-1 gene:PAHAL_5G253900 transcript:PAN29850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKCSHCGNHGHNSRTCGLGHSRETMPCQGGDNGGVGGGGGGVRLFGVQVHAGGGGAGAASMKKSYSMDCLQLAAPAGCSLVSPSSSSSSSLLLSIEEGLERAATNGYLSDGSARVVAERKKGVPWSEEEHRQFLAGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKFFLRQNSMGKKKRRSSLFDMVPICENNSSISDPPSSDGASTSLSLNVPRHERAEMRTTAFDLNSAEEDDGRADVSSASGAGTRPFPAAAPAELQPSHHHGPAGAGHGHHCSPLDLELSMSLSTPSVGT >PVH38951 pep chromosome:PHallii_v3.1:5:49518203:49520069:1 gene:PAHAL_5G397700 transcript:PVH38951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVQTVLTAAVLVVDGECVARLAYSSPAPPSSTRHYKSSLLPSQLRSARPSDAPLISRRNPASPSGRAWLRPLLRKVK >PAN27735 pep chromosome:PHallii_v3.1:5:6243594:6246340:-1 gene:PAHAL_5G102700 transcript:PAN27735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALGLGRGCIPLHVCRCRRTAASPSAPAPPRPRNATRLSTRIAAVRASAAQPAQMERAHAEEPARVVKLRAVEATPESFAPFGQVIAASPDGDEFSPHDAQLDLSRGVPRFYIMRLQDRPLKFSTITHHASVTQCLGSIGGQDWYLGVAKPSIVDGPSDQSGQEGRKPLQSPAGHCYLPPDPAEVCVFRVSGPKFLKLNRGTWHAGPLFKADAVDFYNLELSNTNVVDRTTHHLKKHDGIIFVVED >PAN29025 pep chromosome:PHallii_v3.1:5:11616355:11617016:-1 gene:PAHAL_5G192500 transcript:PAN29025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPQEVVFDLNLIENSAGGRPGRLGAGGLVHGAVRAQGRASQGADRDDQRRWGGLPAQRPVHPRVRPRRRGGRPAPGDQGRAQAPGHVPRLRVDQPRRRRHRGGGPRGARQPPGGRRVRHQEWRGVRRGREVDGDQGRVPAQEELLRRRGYILKIPRDLEITPAKVLEGIWWSAQTISRPCN >PVH38624 pep chromosome:PHallii_v3.1:5:25115328:25115714:1 gene:PAHAL_5G306700 transcript:PVH38624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLAHLDLSPAWQFWFRNLLCHPRCLFRGESAPPSHTSSPCRYSALRCPPIFAQEEYLIRDEKWIAIQKC >PVH38954 pep chromosome:PHallii_v3.1:5:49542629:49543826:1 gene:PAHAL_5G398300 transcript:PVH38954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRNTCQHHRSSVLQGLGIHHHQSAGRREPDQPMAHPKTGGYLPVPNVQALAQTWNGSGDLVPDRYVRTEEEATAEEDVAGCALPVVDLGRLLDPRSSEEELANLGSACQHWGYFQLINNGVPEEVIRDVRRDIAEFFKLPLEAKKACAQAPDDIQGYGQGFVFSETQKLDWADMIYLKLRPMESRNMRFWPAHPPSFRNSVDRFSTEVVKVTSSLLRLMAVDMGVKPERLLEKFGGQPQTMKVTYYPPCRQAGDVLGLSPHTDACAVTLLLHVNDVQGLQIRRDDGRWLAVEPLEGAFIVNVGDTLQAITVT >PAN32021 pep chromosome:PHallii_v3.1:5:54107633:54110134:1 gene:PAHAL_5G457600 transcript:PAN32021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVRAEVAAALLLLVAAAGVAAGFRPEPPVSEDTLEKVAASLDMYVDVLPQMPRVLGYSLKYGRPAPAHLNIGMYQKKWKFHRDLPATTVFAFGTSAESATFPGPTVEALQGVPLWVTWENHLPDRHILPWDPTVPTAIPRAGGVPTVVHLHGGVHPPRSDGHANAWFTAGFRERGPAWASPTYAYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDAFDRVLVLADRSFYADGSIYMNCTGVNPRVHPQWQPEYFGEAVTVNGKAWPFLPVARRRYRFRIINASNARFFNLSLTNGLPFHVVGSDTSYLPRPAAVTHLLVAVAEAFDVVVDFSESPTPEAEVVNTAPYPYPDGDAPSGLSGKVMKFVVAPEKVWDDRSTVPARLLEYVEVAKEEAAQRRYIVMYEYEDEATGSPTHLYINGKRLEDPATETPRAGTTEVWEVINLTQDNHPLHLHLATFQAVRARGLVGLEEFKRCMERLNDATRCDVGRHAVGEEAAVPEHERTWKNVVKIAPGFMTTVVVKFLMVDTGRTYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >PVH38520 pep chromosome:PHallii_v3.1:5:19139654:19140577:-1 gene:PAHAL_5G277600 transcript:PVH38520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDLEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMIIPQHPFRSQWNPIEVEVVGYRLVDTIETAALEAIKVFCNQHPAEVAAYPIGLFPAIDFGYLEWNFWTKHLGHMLGDLAEETVRSITRFMDVQHHYQILLLQSMGQLTNVAQSHYRNADRQVTQIVELQALVTQKDKIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDAQAHLEELQQQPILPAAPIMPEEEDPEEIEGVSEFDSEHEDPVLSPYHSSSGSQSSIGNFDDF >PAN31693 pep chromosome:PHallii_v3.1:5:52761469:52765902:1 gene:PAHAL_5G437800 transcript:PAN31693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAAGGSRRGVLATTAAAVFLLLVLCGCGAPAAMAAAAGGGGGEQYLYKDPRQPLNRRIDDLLRRMTLAEKIGQMSQIERENATADVVRDYFVGSVLSGGGSVPAPQAPPEAWVKMVNEMQRAAMSTRLGIPMLYGIDAVHGHGNVYKATVFPHNVGLGCTRDPELVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEDPKVVQQMTSVISGLQGEIPASGLRGAPFVAGQRNVAACSKHYVGDGGTASGINENDTVATFHELLGTHMPPYYSAVIRGVSTVMVSFSSWNGVKMHANHFLVTDFLKTRLRFRGFVISDWRGLDKMTSPEHADYITSVKLGILAGIDMVMIPYTYTEFIDDLTTLVRNGTIPMSRIDDAVRRILRVKFTMGLFENPYGDPSLSAELGKPEHRELAREAVRRSLVLLKNGKDGEQPLLPLPKKAGSILVAGSHADNLGYQCGGWTITWQGQGGNNLTAGITILDGIRRAVDRGTEVVYSENPDAGFVRRNAGRFDYAVVVVGEPPYAESFGDNLNLTVPAPGPSVIRNVCGGGIRCAVVLVSGRPLAVEPFVGAVDALVAAWLPGTEGQGVSDVLFGDYEFTGKLARTWFRSVEQLPMNVGDAQYDPLFPFGFGLETQPSTA >PAN29655 pep chromosome:PHallii_v3.1:5:15183351:15186876:-1 gene:PAHAL_5G241200 transcript:PAN29655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1 PLIP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G61680) UniProtKB/Swiss-Prot;Acc:Q7Y220] MVASVAAAGAAAAAAAAGPRRGGRREPATMHAGIRRSRSEPHLRCSRRGGAAGASLTTSRSIGVFPFQFGAAPLRPPPLPDGGGDGSRLLTVADDPQPPEPEAEAEPEMPAARRPEAHWLERLLELRSRFHDPAKRDVLGDEDFDDDDVYHHDGDHDGGCGVSYDDDEEEEAEDARWDQHSFGKLLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKHYGLRFVTSSLEKKAEAGIISAKLDADSTRPRTAPAYEVASGPQPRRPIRSHLAYEVAASAASYVRARARGLLSFGAPPQHQQAAGQSRLYNSGVAAYMAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFACDEADARTRCFVIQGSDSLASWQANLLFEPTEFEGTGVLVHRGIYEAAKGILEQVMPEIEAHLAAHGGGGRRARLRLTGHSLGGSLAVLVSLMLLARGVVAPEALHPVVTFGAPSVFCGGHRVLEALGVGEAHVRSVAMHRDIVPRAFSCRYPGHAIALLKRLNGVLRTHPCLNTHRALYTPMGATYILQPDSSASPRHPFLPEGAALFRLDPDDAAPRALVASALRAFLNSPHPLETLSDLSAYGAEGAILRDHESSNYFRALSALARAPPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVIPAPVAISNKELVSEA >PAN32952 pep chromosome:PHallii_v3.1:5:58299145:58300894:-1 gene:PAHAL_5G524700 transcript:PAN32952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNTVRVAVGILGNAASMLLYAAPILTFRRVIKKGNVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENMTVSTINGLGILLEITFISIYVWFAPREKKRFALGLVLPVLTLFGLTAYLSSFMVHTHHMRKVFVGSVGLVASISMYSSPMVAAKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGRDLFIASPNFIGVPMGILQLALYCIYRRSDGAAGKLHGTAIDQEKGLKAVVAMPPQELAGTKPEAEGQK >PAN28843 pep chromosome:PHallii_v3.1:5:10990971:10992853:1 gene:PAHAL_5G181800 transcript:PAN28843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETDRAAAPAPAAVAEASEDAIQEVSAATAPGPDGKPGSGAAAASAPQVEVQLFRRGRPVAVFRSPLGGWTQDQLEVGDILEQYGLKSVFTFDPAARKRGVAIRFNPRNGRSLLTYAPGSTIFLDGEPKDSLLKPITKMVLGVAAMTVVAAVLLKEAKMPEWLQAKLGTVSFPPWVLACMVIVFMRLQKRTKDAMKKFGWAS >PVH39520 pep chromosome:PHallii_v3.1:5:58456434:58458353:-1 gene:PAHAL_5G527100 transcript:PVH39520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFQPTFQGNMAKDGNFLLEMMKHRHPMSSNFPTILLFTFILFAASLAAADAKDTLLSGQCISGNETLISKSGVFELGFFAYDGWYKYNLGIRYKNLVERTPVFLVQYPTHFSIGAPLCFLKDKLYTIGDHYPSENVLWSSEGNGSAASVAILLDTGNFVVRDEMNPSVVMWQSFDYQGTGDALQPGAWIASDTVTGASIMTNTNDFFPYYCTLQIDKRRKRGFAINVGGSYDFGGASYGYHGTFPDWMVTYKEGVDSVQLNVPKSPNAIEFLKLELGQVSFLRWSGNGTFGTWQPLWSFPSSCSLSPFICGAFGACTQAGKCRCIDGCKPTLADEWDLGRFTSGCSSIHPMKCDAENSFTTDTFVLLDNLQGLPVSEYARDEPATSSEECKSACLSSCYCTAYSYNSGCKIWQFKLHNLSLADSPPYSSI >PAN32603 pep chromosome:PHallii_v3.1:5:56637562:56638439:1 gene:PAHAL_5G499400 transcript:PAN32603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSRAYAPGSRNAGSVWAWHGNARRADWPHGQGSGVGAHVRSKRRLVDWWRPGGCPVSCVHEHGELGKERRSPDKWTAKVLLIQAARRDEVWWCPALSPAQSTEPPKVQVLYLPFL >PAN28295 pep chromosome:PHallii_v3.1:5:8578334:8579542:-1 gene:PAHAL_5G143300 transcript:PAN28295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGGGHDMGGMMAPPPSPPGGGGGGMGMRMRYTHMTFFWGKNSEILFTGWPGADGGMYALALVAVLALAFVLEFLGSRRLDALLPRAAAGGRRRAAAAGAARAAVHALRVGGAYLLMLSLMSFNGGVLLVAVAGHAAGFLAFKAGLFGDGRAQVEDGCSKEELETAAC >PVH37879 pep chromosome:PHallii_v3.1:5:6715776:6719905:-1 gene:PAHAL_5G111500 transcript:PVH37879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPMKTEQSFEFGELSAQDAMGSASESSYSPPGAVFGVSPPESSPRGRNNRRRDRPSWVKLTYTPYFDGHLWRKYGQKKIKDAEYPRLYFRCSYRGDRQCMASKLLQQKNGDDPPLYEVTYTYEHTCGAPPIPSPDIVAEPPAASTEGLVLRFDSPGGHGGDAQMMQQQGQYQQSSSRSPFMMLSFGSSCQAHDQQPAFHSDLEPGLSSSLPNEGLQAPPPANGDGDMFPTWDSFTYDFDSHVHFGDHSRLPYNSNYGCDDF >PVH38376 pep chromosome:PHallii_v3.1:5:15653802:15657932:1 gene:PAHAL_5G247400 transcript:PVH38376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSRLRAAAASPPLPRRRLCTDAAATTSSAASPSPSPPQQCAAQPFSPLFARPLAARGPAVADDLASSLRALLASSPTHPRAFPLLRSAALQTPLPPDALVDAVLSAAGAGSPAAAALLSSLLAWLSRVARDCSAATAAYARMVARGVVPDAKSRTDLLVVMARGASAADALALFDEMRSKGYHADAMMYDAVMRACVRGGMHGDAVRLFDEMASAGVKPDECVYSLAIAALCRLRDADRALQVLGEMREAGIRPWGYTYNYVVDALVKAGMTDKAYELCRQMIEQGLLSSAYEFNLVIKGLLRDKRWEDATSLLEVVVDTGVLDVYTYNCLIHWLCQHHKLREALNLWDKMNEKGVKPSIMTYHSLLLGYCEKGRMDEALTLYSEMPGKGFTPNEVTYATLMKGYIKKNSFDKAYALLDEMHQHGVSCNDYIYNILINGLCMVNRVSEVDEMLKRFISKGFVPTVMTYNSIINGFVKAGMMGSAFAMYQQMCGNGLTPNIVTYTSFIDGYCRTDCCDLAVKLLNDMRRKGIRPDIAAYNAFINGFCKQGNMSHALQFFVLLLKDGLKPNVTVYTSFITGYKNLKMMEEASRFYYSMLKEGIVADTATYTTLIDGFSKAGNVAFALDLYSEMLATGNIPDDKTFTALIHGLCRSGDIDGAKKLLDETRRLDVDPNIFTYNMLINAYIRDGKLQEAFQLHDEMLNSGVVPDDTTYDMLVGLKPVEASPADAENPVLNSAS >PVH38230 pep chromosome:PHallii_v3.1:5:12415647:12422126:-1 gene:PAHAL_5G204100 transcript:PVH38230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSENALIPGSATPLFSTEDAIEANRFMKGIEAADGDSIGGIDMAKFIAKQIEELGAEVCYHKFLPHSKHFHPLKFFTSMSNDMAIQPNGTDTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYAAVSAWLNLYHNPVFPSHSVILDTKIHGADHIYDGNAEKAEFEAFKRAGTMAAALIFKVGETRRYGDRDSVTMYAEASNGQMPNLDLLNAVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIADFFHTLGTVLRKINPDWKLDIAVPDYVEGTANLASSIYKQAIGVPTGSHGAFRDYQVDAVSLEFTPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMKKLAEKSIGDSKTNGSADFLQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPIQSSVIWAMLSIVILIALYVMSGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIMIPMCLFSRPLKARSGMNFLPRAVLLASNITLAVVGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCSQSESKMKQE >PAN30791 pep chromosome:PHallii_v3.1:5:48324294:48326428:-1 gene:PAHAL_5G387000 transcript:PAN30791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAKPEVTEEAKMDLLEDDDEFEEFEIDQEWDDKEEGNEALQQWEDDWDDDDVNDDFSLQLRKELESNAPKN >PVH38996 pep chromosome:PHallii_v3.1:5:51021846:51023747:-1 gene:PAHAL_5G413100 transcript:PVH38996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCRSGGAPLHLPVVPSSRKPFLVHRPVIKEQTYRDGMLGSYCDLEREVPFMDLSLQGTGRSRGAHG >PVH39239 pep chromosome:PHallii_v3.1:5:54411375:54412221:-1 gene:PAHAL_5G462000 transcript:PVH39239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACPLYWYLYFAFHLASPSFLVLFATGHSSCCSSLTHGFIHPMMMSSEPLIGWEIRLGRVTCKAEEDRAVT >PAN28792 pep chromosome:PHallii_v3.1:5:10768772:10770130:1 gene:PAHAL_5G178600 transcript:PAN28792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSLLLPLLLVSFSASATQPPSTLHVPVVHRDAVFPPAPGATPGGLLLRRHGADATHYTVQLASLHPTAAADDDDRLRSPVLSGLPFDSGEYFAVIGVGDPSTRALVVIDTGSDLIWLQCTPCRHCYRQLTPLYDPRSSSTYRRIPCASPRCRGVLRYPGCDARTGGCVYMVMYGDGSASRGDLATDRLVFPNDTHVHNVTLGCGHDNGGLFESAAGLLGVARGNLSFPTQVAAAYGRVFSYCLGDRMSRAKDSSSYLVFGRTPELPSTAFTPLRTNPRRPSLYYVDMVGFSVGNERVTGFSNASLALDPATGRGGIVVDSGTAISRFARDAYAAVRDAFNSRAAAGGMRKLATNFSVFDACYDLHGRGHATVRVPSIVLHFAGGVDMALPPANYLVPVEGSDRRTYFCLGLQAADDGLNVLGNVQQQGFGVVFDVERERIGFAPNGCSA >PAN27506 pep chromosome:PHallii_v3.1:5:5260398:5262257:1 gene:PAHAL_5G085400 transcript:PAN27506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGVRKKSGITKLLVLLLFFASAIVALLVGGNGCCVIVQVAVLPRIIPVSLRGAPPTDSHPSGEIPTTSTGVASSSAHGRQESEAEAASEEEEELPARPYAADSNWDIQWESVARSLSSYSVNDTGSGIVRVGLLNFNSSEVARWRSTLPTADVRAVSLAPAAGDVTWKALYPNWIDEDSNRNNCPSLPDPDPPLREYYDLVAVKLPCRRQGWYRDVRRLHLQLSAAKLALHGSSSSVPKAGMVLILSEPKCLPLPNLFPCKQLLARHAHAWLYRPDAAYLRDRLNLPVGSCQLAVPSLRPQPSPGQPGEAPRSGIGRQAYATVLHSADAYVCGAIALAQSIRQTGSTRDLVALVDAQNVGAEQRAALATAGWQVRPAPRIRNPRAARDAYNEWNYSKFRLWQLTNYDKVVFLDADLLVLRSMDFLFEEALELSATVNSGARFNSGVMVLEPCNCTFELLMAGIHDIDSYNGGDQGYLNEVFPWWHRLPRRANLLKYAWDEGDRAAQARVLGAEPAEVHAVHYLGIKPWLCYRDYDCNWNVAALRRFASDEAHARWWAVHDRIEPAELRDRFCALPEGQVAMLEQHRREAATVNASDGHWNRTITDPRRLIKGHYQH >PAN28048 pep chromosome:PHallii_v3.1:5:7624476:7627575:-1 gene:PAHAL_5G127400 transcript:PAN28048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFPKVDEAPEGGAGIRSVLTMGSLVSPSGNEVHFAELDGKIIGLYFAANWYPRCEAFTPVLAAAYQQLKGRGAGFEVVLVSCDEDRPSFERFHRTMPWLAVPFGDLQCKKRLSERFQVEGIPRLVVLAPGGEVVHPDAADLVHRYGERAFPFTAARVAELEADDQRKYASQTLEKLFSIDGMGKEFVTGGNGQVPISSLVGKTVGLYFSAHQCAPCMKFTAKLAAIYSSLKGKTEDFEIVYVPMDKEEDGYLRSCSDMPWLALPYDGAPSRALARYFDVREIPTLVVVGPDGKTVTRDGRNLVNLYFDMAFPFTDAQIRLLQEAEDEAAKGFPQSLRHRGHRHELSIVSEKSGGGPYVCCECEEQGLGWAYQCIACGYEIHLRCARDEEGGSAGTG >PVH37994 pep chromosome:PHallii_v3.1:5:8709926:8710435:1 gene:PAHAL_5G145400 transcript:PVH37994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIAPTEPRSTEHQRPLAPPGPPRPAGRPPGAARHRRRRHDRQSRGPGVFPDGTGKRRLATDRPNRGRNIVVRRSHRLPAAGRLGQRRGRHRQPPPRLHAPNHSPAPHVPRRFRCLPCCRCGWLRAASHALSLAVPRRGEAEPRQEEGEGPDGRTDACRIPRPPFCHG >PVH38158 pep chromosome:PHallii_v3.1:5:11357452:11360399:1 gene:PAHAL_5G188600 transcript:PVH38158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g10920 [Source:Projected from Arabidopsis thaliana (AT4G10920) UniProtKB/TrEMBL;Acc:Q0WP62] MDEATKKKVEATVLEILRGSDMDSVTEYKVRSAAADRLGIDLSVPDRKLFVRGVVEGYLTSLSSQEAEEEQQQGGAGEEAKGDEEEEEEEEEEEEEEEEEEEEGGARKREYDDQGDLILCRLSTKRRVTLSEFKGRTLVSIREFYLKDGKELPTSKGISMTVEQWEAFRNAVPAIEDAIKKLEDSD >PAN29769 pep chromosome:PHallii_v3.1:5:15736922:15739989:-1 gene:PAHAL_5G249000 transcript:PAN29769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQMYAPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQASWPAKA >PVH39289 pep chromosome:PHallii_v3.1:5:55053523:55053876:1 gene:PAHAL_5G471900 transcript:PVH39289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTAGSTLQEEEAVAAAAALKLHQAAAFEGGVVGLATASAAMTLAVCEPPAGIHPDAYFLAVSGCFFTGVAQLGAAVWALSGTGGRHGTGRKLLYASLGPLAAAVGLSVASSLLGQ >PVH39399 pep chromosome:PHallii_v3.1:5:56153803:56160075:-1 gene:PAHAL_5G492300 transcript:PVH39399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGSRDGVVTIRHDGDGPTAKQLKNANEQICQICGDTVGFSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSSRVPGDEEEDGVDDLDNEFNYAQGNGKGPQWQLQGQGEDVDLSSSSRHEPHHRIPCLTSGQQISGDIPDASPDRHSIRSPTPSYVDPSIPVPVRIVDPSKDLNSYGVGSVDWKERVESWRVKQEKNMIQVTHKYAAEGKGDIEGTGSNGEDLQMADDARLPLSRIVPISPNELNLYRIVIVLRLIILCFFFQYRITHPVWDAYGLWLVSVICEVWFALSWLLDQFPKWYPINRETYLDRLALRQVALLDIFLQDIGNASHVIFRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKVQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMADGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAALQMSICFIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQDLMINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIIKSCCGGRKKKDKSYIDSKNRAMKRTESSAPIFNMEDIEEGFEGYEDERSLLMSQKSLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPLRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKATDDEGDFSELYVFKWTSLLIPPTTVLVINLVGIVAGVSYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKALSRGQCGVNC >PVH37458 pep chromosome:PHallii_v3.1:5:633569:640255:-1 gene:PAHAL_5G009500 transcript:PVH37458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNTATAAAALGSPAPCRPSALPARLPAARWVPLRCSPPALGLRRGTGHSRRGSAASLRVEAKKQTFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVSEKLSDKIQVVKIDTEKYTSIASRYRIEALPTFIIFKDGKPCYRFEGALPANQMIEQIEGALAVTE >PAN26487 pep chromosome:PHallii_v3.1:5:1040007:1041274:1 gene:PAHAL_5G015700 transcript:PAN26487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLWSLLVARGESLQVWGRPAMSRHRRQPSRALPLDFNVDDEEGPAGAAKGATSLDGSQKPGAGSGGGRGDAGKGQEGHTSKKPPPGTGSRSSAEGAGKKSQEDATGGR >PAN27857 pep chromosome:PHallii_v3.1:5:6816858:6818426:-1 gene:PAHAL_5G113100 transcript:PAN27857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSVEFCVISARGLGRRSSLLKPQWFSVAWVDPNSKYCTKVDASGSSDPSWGMKFSVSADEHDLNSLQQMALTVEVYRREPIFLREHLQGAAVLQMKEYFDKFSNGEEHPGLIEGTASIQLRRRKSDKAHGFVDISIRICKEEDVHAQFSGSHDGSKYPNQVGITLAIEDGPLYNYPPLPSSHHRDHSERNDNYGNTMPTTPVTQPDPSPSGSNGYSNRPPPIPQTLPPPTSNPSYFSPPYPAARGQVPQNYINMPPRRFAGQNGPPNLGMEFGAGALAAGTMIFGENLLPGPNFGAGLDGVSLTLSSDAPF >PAN28167 pep chromosome:PHallii_v3.1:5:8113527:8115875:-1 gene:PAHAL_5G135700 transcript:PAN28167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGERARRARRDAIKGDSAEGDSDSDSDSDDDGGSRRRKRRREASEPDLSKPVRFVSTGYAVRSHEPEPVPVNAAGKEDEAGAEAEDIEPLPAMFGKIREGARARREEKERERDASNATVANMMRMGGYYPRMGLGKYGQGRTEPLEATVRLKNAGLGYENLPRETCPPASTKERRQRWLKKDSVRKTSVLTRIALLTTRDEEEQGGQPAFVQKVIDKRGPQERVLTDLRGLNDEHEIESNDVPMPELQYNVRLLVDDAKVDVQRLHGQLRREREKAASLAREQEKLSKQEAVQKRQLQVMETIAGALEKVRVDDAAGILTLEGLFMAIRDLKARFEEEFKMCGVAWIACQFAHPLLIRFFQGWQPLQDPSVGLEVMSSWKDLLEEDQPYDFSHGAASMAPYAQLVSELILPPVRIQGTNSWDARVPEPMLDLLRAWEQVLPPVALGLILEHVVKPKLSAAVESWDPRRENVPIHVWVHPWLPMLEQRSIETLCSSIRYKLSSVLHVWQARDASAHALLSPWQNVFDSAVWEDLTARYIVPKLKMALQEFQINPADQNLDQFNWVMLCASTIPVQQMVHMLEVDFFSKWHQAMYHWLCSPNPNFNEIVSWYKGWKGLFPPELLANERIRMLLALGLDMMNQAAEGLEVVQPGTKENPGYLRGTEKRPLDAARLPSCHGVLGTAMADLSFKECVQAYAMEKGLLFMPRVGKSYNGLPVYEFGTVSICLDSVKRVVYAQLREGAERWSAASLRQVVEMN >PAN29050 pep chromosome:PHallii_v3.1:5:11954262:11957299:-1 gene:PAHAL_5G197000 transcript:PAN29050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVLGRSSSVRVTRSIFGESTGGSGRKLEKNRARDVLLGQENLSPEMKQLAKFCMDRLNERKAAVDKERAAAESELLRARAMAKELERQIEQAKARATSRRSELPTMRATGAPKNGADASSSQEERDAAEYAEVARELDRAKQELRRLGLEMKSAAEAKAKAESDIVASAITIQCNLRSADEMKRLVDEANEEHVLVELARIEAERECREIDAQRRAEAERFAGEMEATRAKIEALRKDVVRARDMEAMLAVTNADVEVLQSEMELVRAMERNNAKNDDAAEAEARRKKEEAQDKALLQAAEAELDAAKKELESIKAERFQFMTSMDSARTEILRVSEEVNRLKAEEKKADAQVQQLNAKLLKARARLETLTETDERSRAIVSNLTLALQQLQAEKEKARKEEELTEIERRCVRAETESANAEIAVTEARIQQSVKELEAAKVAEAAAMKKLKAAVEGTMQARASQGSGTITISRFEYEYLSGRAALVRVVADKKVSAAQAWVQALKAGEKELEARAEAAELVAAEVRAREAEAAAEAESAAGEQKALELELYDLNAAAEREELMCEYPRRRSTRVSATMRRARARRPSVSSASGIRNPRSPSFTIKRKKKVMPSIFKLIKQRKDKSAS >PVH39157 pep chromosome:PHallii_v3.1:5:53284381:53285221:1 gene:PAHAL_5G444800 transcript:PVH39157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGQSQQTRGEFQYMPTATPNTITKAPTTPAFHNDVRSFTSYAKIKYCSHQNRKEA >PVH38169 pep chromosome:PHallii_v3.1:5:11683703:11685520:-1 gene:PAHAL_5G193200 transcript:PVH38169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNGVALGPWTFNQALSRRELMRMIVLHELPFSPVEYDGIRRFASSLNPRFKMICRKTVHSDCLKAFM >PAN32343 pep chromosome:PHallii_v3.1:5:55518529:55520157:1 gene:PAHAL_5G479500 transcript:PAN32343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAPTFATFRASSTPSRRAVKWSPSSKPSTPGRAGTAASRLSAVRRWPQHRTTPRRRTLPRRNPWRSGTGSERAARGGRRRPGCAGRRQAAPRTAKSSIASIEHKARASRRGRRTRPRSSRPRTSRASATCPGNARRGRSGVPPRPNAAGPAPSVAPPSLSLSLARARARWLFDCLVLGIACSEIASLAAWPERSSRIRDGESARERQTDLDGERSSRISHGESAGSAPPQQRKKDWTPEERNAAAEFIRALIEDYNVYAAMTEDDVEEEYRRAGKLDKYDPERELQKRGARVAKEHPPPAGFYPKLEQYFKLGDDAED >PAN32653 pep chromosome:PHallii_v3.1:5:56814808:56817405:1 gene:PAHAL_5G502700 transcript:PAN32653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVERPTPVKEEKRVDAKPEMAALGSALPIVFESFPSTQSDAGIKQEQRTLEAAKAEMGEVREENERLKTMLSRIVSQYHSLHTHFLDVVKVHEQTAKAKLPVAPAPASAADVDDPDDLVSLSLGTRSNGARRKGHERSSSSSGTAETTADEGQLSLGLGIARGSGLSADDDKASGASAAPVLNLSSDSSSAGDTAKPAQDADACPPGTARKSPSAGEGADDEVQQQAKKARVSVRVKCNTPTMPDGCQWRKYGQKISKGNPCPRAYYRCTVAPHCPVRKQVQRCAEDTSILVTTYEGQHNHQLPPTATAMASTTSAAAAMLTSGSTSSSPASLAHGHHLPLAAAGLLGPTTMVSTAASCPTITLDLTTPAAPHSLMHSSSYAAAAAAGYDSKAVPAAWSSGYLAYGAAPPSYYGKSSPALGHLFGGGLGGSLRPEQLYGAQSYLQSTSSLGGGHGAVAPAVTDTLAKAITSDPSFQTALVAAITSVMGRGGGAAAQK >PAN29230 pep chromosome:PHallii_v3.1:5:12837186:12841528:1 gene:PAHAL_5G210400 transcript:PAN29230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRDLYFPSLGMEVQVLDLSSDSEDEVSAHSPQHKRPARQAGPGADHGVGNTGIGGSGVDSLSRQEGAARLLQPSDAVKKGEKVGEGNSAWAAGPPKLGGESIGAGGVVVGAGRDPWSALVSKRKAGDGGDVGAGCWGGSGDWGDQLRNSVPVLQQGSESKEFCNGSAASGDHWKGILGARPADPANTPCYPWNAGNRENEVGMLSQDSLDTREVSGCDDFLMEESSSAWLSRIKGLNFPFPDERQLRTKQIEDDEVFAQRLQEQLNQEQPGSQHSEAVDMTIAWTLHEQDAQHARFAAREGQSSSNQRDRSMAHLYSFGRHSPAQSFASWASNRTQLPMSSRRSLPRNTNCPQVAQRDMLISQLTRGCFREDMDLETRMAVLDSLSEAFDNCGETFSPYSDDDDYDTLIALDANNHHRGASDDQINSLPLSLVEGDICSDEPCPICLDCPAGGDSLRHLPCLHKFHKECIDRWLGMRILCPVCKSTVFSQ >PAN30767 pep chromosome:PHallii_v3.1:5:38442907:38443859:1 gene:PAHAL_5G348600 transcript:PAN30767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDLGHREHERLRPDHRELPHCIIMAGMSASSAAQGHEGTLALLYSRLADEVTSAPALKPALECIRMSYMVTLFITANTVAAEWLGEVTALVCMPELIAGLVWFSTLLHTDTSSNRAVAKVTSDKDSISSIETEQVPVGIFKGFIFQGCMFIPLGAALAGLVTSTYAYDWELLSSWNTKATVACGVAGCMPYLSVWMISRWPGSIPASDKATQLLKFLANVCLTGACLMLFALLAEKTLASGVLKYLPFIYFSTAVASLGALFI >PVH38574 pep chromosome:PHallii_v3.1:5:21662784:21664024:1 gene:PAHAL_5G292200 transcript:PVH38574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAIKVLEDPRTLNKILHLRPPKNLCSLDKLVSLWENKIGKTLKKTYVREEELVKKVQDSPFPLNFQLAVVHATLVAGEAKLTEKTTTNGASSGDGVEATALYPDRNYVTVEHYLDSLP >PAN29136 pep chromosome:PHallii_v3.1:5:12134775:12138899:1 gene:PAHAL_5G199800 transcript:PAN29136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRLLAAMAAHLPRFPVLLFFFLAFHVAAAHGDPAPLPTTYDGSMCPESSSCGNVSIRYPFYLSSTTRNSTDYSYNYNPYSCGYTDLEIFCQDEGPTGTPVISLGGDNYTILNIDYGSKTIILADSDVLVGGSCPAVRHGVSFNKMWLHNTSSNANLTFYFNCYSTRRDGEVLPPDLVTYEIGCNFKSPYADGASFVFTPDDNDKAKEHALDQDGRCKEVVSVPVRSEVLMARNQSVLVTGGYAEVLWYGFELEWNRATTDECYLCEQSDGKCAYSQKREFMGCLCSNGKVGHLACRPSKFPKTDHSI >PVH38635 pep chromosome:PHallii_v3.1:5:25975013:25975410:-1 gene:PAHAL_5G309400 transcript:PVH38635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLAAALPAHATSAPISAPPFPFHARSYDAQQQRSTMGDLIASMLVRSGHTLTCAIAQARGTPLFPAETCLNPMPQLVDEMPQQARASNRCCT >PVH39338 pep chromosome:PHallii_v3.1:5:55579763:55582835:1 gene:PAHAL_5G481600 transcript:PVH39338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALAAVLALSCCGAASATAATAAAEADRIGSLPGQPPVNFSMYSGYVTVEAAAGRALFYWLIEAAGVPSESAPLVLWLNGGPGCSSVGYGASEELGALRINADGRTLSLNAYPWNKVANMLFLDSPAGVGYSYSNTTSDLYTAGDNKTAHDSYTFLVNWLERFPQYKYRDFYITGESYGGHYVPQLSQLVYRNNKGVEKPVLNFKGFMVGNAVIDDYHDYIGTFEYWWTHGLISDETYEKLRLACEFDVSEHPSEECKKILEVAAAEQGKIDAYSIYTPTCKKTSLHKRWLIRGRTPWFPRGYDPCTEKYSRKYYNLPEVQKALHANVTGIPYAWVGCSDPIYEYWKDSPRSMLPIYRELIAAGKRIWVFSGDADSVVPLTGTRYSIDALSLPTVTNWYPWYDGGEVGGWCQVYKGLTLVTIRGAGHEVPLHRPRQGLKLFEHFLRDEPMPKPVHSIQTF >PAN31549 pep chromosome:PHallii_v3.1:5:52124960:52126038:1 gene:PAHAL_5G427100 transcript:PAN31549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENGAAGGSEAVRKRKALVHTPSGQVVRSYAELEAELRALGWERYYEDPALYQFHKRGSLDLISLPADFARFSSVHMYDIVIKNRESFRVIDI >PAN29135 pep chromosome:PHallii_v3.1:5:12455073:12460368:1 gene:PAHAL_5G204800 transcript:PAN29135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKQETQPKPRLIVRLGVFLASHHILFSVICCSAGIIALLLLPSLAKNTYLSENALIPGSATPLFSTEDAIEANRFMKGIEAADGDSIGGIDMAKFIAKQIEELGAEVCYHKFLPHSKHFHPLKFFTSMSNDMAIQPNGTDTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYAAVSAWLNLYHNPVFPSHSVILDTKIHGADHIYDGNAEKAEFEAFKRAGTMAAALIFKVGETRRYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIADFFHTLGTVLRKINPDWKLDIAVPDYVEGTANLASSIYKQAIGVPTGSHGAFRDYQVDAVSLEFTPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMKKLAEKSIGDSKTNGSADFLQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPIQSSVIWAMLSIVILIALYVMSGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIMIPMCLFSRPLKARSGMNFLPRAVLLASNITLAVVGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCSQSESKMKQE >PAN29885 pep chromosome:PHallii_v3.1:5:16761960:16766984:-1 gene:PAHAL_5G260500 transcript:PAN29885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAMVWDPWLIVSQIVCLQCLYYLALGLSMALLVGARVPRLTLLYFFDFATLTPRTPTGWCAIASFLLAAVAGAGFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASVTWWVVNIAGLAIMALLGEYLCIRRELKEIPISRLRASV >PVH38815 pep chromosome:PHallii_v3.1:5:41852863:41853408:-1 gene:PAHAL_5G360800 transcript:PVH38815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDHEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYHEEGVSRCRVKMTILQHPFRSQWHPIEVEVVGYCLVDTIETAALEAIKLFCTQHPTEVAAYPIGLFPAIDSGNLEWNFRTEHLGHMLGDLAEETVRSITRFMDVQHHYQILLLHSMGQLTSVAQSHYLMRTR >PAN26375 pep chromosome:PHallii_v3.1:5:546905:556703:-1 gene:PAHAL_5G007500 transcript:PAN26375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLLQLTERGRNLLSSRRRTLAVVSGALIAGGTLAYTQSGRWKRQQKENSCSNGNAHTKDRIVHNGTDGKLVKQRKKKSGLKSLHFLAAILLKKIGPNGSNYLLGLIITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLHFKKILTDLVHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSDLVQDDLAAIAEGLIYIWRLCSYASPKYVLWILAYVIGAGGTIRKFSPAFGKLKSTEQQLEGEYHQVHSRLRTHAESVAFYGGENREASHIMQRFGALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIIEPFFAGNLRPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNILSGYANRIHELLDVSRELSGVRDRLLSQNSSAGNYISEANYIEFSGVKVVTPSGNVLVDDLTLRLESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYSGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKRVRAMGTSCITISHRPALVAFHEIVLSLDGEGGWNVQDNRNGSSFSPEVEFDVLKSSETDRKSDARTVQRAFVTNTKGNASSKLKKQSYSTEVIASSPSMEIEHTVQAPIVTQLQCPPRPLPARVAAMSQILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFIRLTGISVLQSAANSIVSPSLRNLTSRIALGWRIRMTNHLLQYYLKRNAFYKVFNISGMNVDADQRLTHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMFLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMIEAKFSTWLNHSKVLLRKKWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLQAAQSNPAVPSNAINASEEIISFHGVDIVTPSQKLLASQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTKPSEGIFNVPQRPYTCLGNLRDQIIYPLSREEAELKMLSHERSDKSTASKMLDDHLKTILEHVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYRIATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCAIHHQ >PAN29532 pep chromosome:PHallii_v3.1:5:14542044:14543446:-1 gene:PAHAL_5G232800 transcript:PAN29532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSTKLGSSSSSEWTRGEDKMFERALAVYDTDTPDRWHNVARYMGGTKSVDEVRRHYQLLVRDVAQIESGGVPFHWYAAAPPPPTLQRG >PAN30495 pep chromosome:PHallii_v3.1:5:20621301:20626523:1 gene:PAHAL_5G286800 transcript:PAN30495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKDDAAKCLRIGRGALEAGDRARAVKFLSKAKRLDPSLPIDDLLAPLLNPQDDSPASSSSSSPPPPPPQPSAAGAAGAAEADGLRERKQKGKKREEEEEATGAAREYTAEQLEVVRQVKKHSRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTHHRRPSTARAYNGFYEDDFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHAHGQQNSGGSTVRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTPRGVAYYVKMANFEEQYPHQSTERATLERHVERDYYSIITQNCRVELQRRQWGLAYQTPHCDMLKKFEAAAQ >PAN28490 pep chromosome:PHallii_v3.1:5:9435910:9441255:-1 gene:PAHAL_5G156300 transcript:PAN28490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRAVRARRRISGARPASTASASASASAAAEKSCRAVVVPRYGGPEVLEVRQGVPVPDLKPREVLVRTRAVSINPLDLRMRSGYGRSIFRPLLPLIIGRDISGEVAATGTSASSFSIGQEVFGALHPTALRGTYADYAILSLDELTPKPSTLSHAEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCGVSATCGAQSIERVMGAGAEQAIDYITEDTEAAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATAALLKKQMQYRYSHGIEYWWTYMRADSEGLHEIQRLSGAGKLQIPVEKTFPINQVREAHMAKEKKLVPGKVVLEFD >PAN29561 pep chromosome:PHallii_v3.1:5:14598406:14599616:-1 gene:PAHAL_5G233600 transcript:PAN29561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGSEFDEWEAKTYAGLISGDLKVKNVAGASTNSGVKATHSALIKHLNNSHGKSSEPQSQQVAMEPRLPEYRGKQYVWPWMGVLVNVPTKWEDGHRVRASAARLKEQLSHFRPLKVTALWNARGHTGTAITEFGNDWSGFENARAFGSYFMAEGHGPTGARLRKSGTLKTINDFENEIVRKTGRLVSHLASQVEVKDRHLNELECGYNGIIESLDKMIGENEKLKLSHEKRISEKQQQARIHSLAIIEKNQKLRLELDSKINELDVNTEQEKQMW >PVH38065 pep chromosome:PHallii_v3.1:5:10078809:10080341:-1 gene:PAHAL_5G166100 transcript:PVH38065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCETEGHHLTCAAIIGHDGTVWAQSAAFPSFKPEEMSNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVIGIYEEPMTPGQCNMVVERLGDYLVEQGL >PVH39497 pep chromosome:PHallii_v3.1:5:58001188:58001816:-1 gene:PAHAL_5G519100 transcript:PVH39497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNKDPLNRSLFKWLQAETDGGLKEGAERSCARCQSERDARNEADGKEIARRRGWVGGRTYCESSCTLSRLAGIVLVGPNLTPRMGKLNTGGLLLCPLNRNLGRPGDQIRCSFALFVVGERTEFSSDPMVQDNYACHGRPPLASFSFVLA >PAN29570 pep chromosome:PHallii_v3.1:5:14721214:14722969:-1 gene:PAHAL_5G235100 transcript:PAN29570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLLSRVAAAALLLVLVLMVTTSTSPATAQRFPARARPTRSGYLNVTSTNSLYFAFYEATDPVTTPPTAAPLLVWLQGGPGCSSLIGNFAELGPYLLNSTGLSRNRNRWNRRFGVIFIDNPLGSGFSAPASEADIPRDEPTIAEHLLAALQSFMALDGSFRARPLFLTGESYAGKYLPAAAKHILDANDKLPVGQRVNLQGIVIGNGMTHPIAQVTVHADQAYFAGLINAMQKAAVEAMQNRAVSLVKAGNWTGARKERNGIIRFLRRVTGVATPFNYARERPYPTRPLLNFLNTDGAKAALGARRDVAWVRCSKAVSEALGEDIMRSVKRDVEAVLARNGTARVLLFQGVFDLHSAPASVEAWVRELAWPGLPAFLDADRAVWRLGSGRLAGYVQRSGALANVVIVGAGHMAAGDNRPAAQAMIEGWVLQTGPFAGAGAQSSTS >PVH38961 pep chromosome:PHallii_v3.1:5:49983434:49985525:1 gene:PAHAL_5G402300 transcript:PVH38961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAGCSLEDVARLENYPFAIPEGDLLPDEAPGVEPLDLPLMMQVTEFTCGGFVVGLTSSHSITDGLGAGQFINAIGDYARGLPKPRVTPIWSRGELIPKPPKLLSGPLPVPRMSELRYLTVDLSIDSIEHAKSQFLQSTGQRCSTFDVAIARLWQARTRSLRLADPSTRVNLGFFANTRHLLRGGATAGFYGNCFYTVTVSAESGEVVSADLAGVIDLIRDAKARLPAEFARWAAGERVEPDPYDLSLSYESLFVTDWTRLGFMDADYGWGTPSLVIPFAFQPFMPKAIIGAPPAPKAGARITTQCVEEVNLPEFRDEMEAF >PAN31956 pep chromosome:PHallii_v3.1:5:53803308:53807567:-1 gene:PAHAL_5G452500 transcript:PAN31956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAAPARPVGPTRLVYFDDMWALRSAATVLAVHQEGGRVAVVLDATVFYPQGGGQPADTGAITGAGAKFLVEDVRAKDGVVFHYGRFEGAGEGCGHGFKEGGSVSLEVDAKRRSLNSRLHSAGHLLDICVSNVGLSYLEPGKGYHFPDGPFVEYKGVIPPDQIQDKQNELEREAKRLISEGAKVLASVFPYEEAAKLCGGSLPSYISKDSTPRIVKFGEYPGGACGGTHVVDISIINSLKVTNIRVKKGLTKVSYSISP >PAN31976 pep chromosome:PHallii_v3.1:5:53903091:53906284:1 gene:PAHAL_5G454500 transcript:PAN31976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRWPPEDPEIFPSRMVGSGVWVPVAPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADTAEVLLASSSGSAAAAPSASVAANPSSDFSFDKDVPDSSDVEPPLLVMQNFQDGAYAEDLANFHERSHADDWFGTEVMDIRVGWTKNLCSSKDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIELARNLAIRDGFEQINFLVDDVLESKLERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVASLVSPGGILVITSCNRTKDELVQEVENFNQRKLGAMGSEGLPVIDAALFSYLDHVQSYPSVDSSCITTVAFLHK >PAN32110 pep chromosome:PHallii_v3.1:5:54618529:54619599:1 gene:PAHAL_5G464200 transcript:PAN32110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVRSGHVFDPLSLDFWASADPLGVVRPLAEQCPVLTNVRVDWKETPAAHVLRADLPGVGKDAAKVEVEDGGVLVISGERAREEPGEGEAWRLVERSSGRFQRRFRLPRGARLDQVRAAMEDGVLTVTVPKEEAKKPQVKTVEISG >PAN32623 pep chromosome:PHallii_v3.1:5:56713446:56714220:-1 gene:PAHAL_5G500500 transcript:PAN32623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVICCCSKGVSFWYDPCRTTERTKFYEHPDTNDEQITPILWIDHNMGNQPETSAAITVHVKGRQSLMIANTCIETPERQHDCNSHHWKMENSRTPKIPRPKPYVTPNRPPQTHVPAAQNPDRRRTTSDGSTDHQGTLIP >PVH38495 pep chromosome:PHallii_v3.1:5:17926998:17930085:-1 gene:PAHAL_5G271800 transcript:PVH38495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAHSSPPGNEDQGRRESERRQPRAGPPLPRKEGRAAQVGGAWQREPPGKHSIARGREGSTTLGYYPPYGGSSSSFHGIQQQANWSQSSPINFQGFRPQQSLVHSPIEVSRAATNSSSHGSESASPCPTRQEENNVVNLEESSGNSEEGGRRGTRMNWTEDENIRLLSAWLNNSVDPIDGNDKKAEYYWKSVAAEFNSNTPPNYRRTVVQCKTHWGGVKKEITKFCGVYSQVRSTWSSGHSDDMIMESAHKWFKSENNEKLFTLEYMWREVKDQPKWRRVLEEEEKKNKRTKISESGAYTSSSNQDTEEDTNRKEKRPEGQKKAKAKLKGKGKGKNIAPSPLGDQPCQDFVLFNEAIKIKAATMEKSAEAIVKSVEAKKEHAKAEKYQTYLKLLDRDTSNFSEAKLRRHEAILEKLANELADG >PVH39118 pep chromosome:PHallii_v3.1:5:52938451:52938836:-1 gene:PAHAL_5G440800 transcript:PVH39118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPVGRPSWSSLSRLRLPDASNPGFQTSRRRRGTRHGEATAAASPGGVYPFQRRSIPAAARPEASPPGARRSPAEAPSGARPRTAEWTVLWSSVWFQV >PVH38685 pep chromosome:PHallii_v3.1:5:32706038:32707796:-1 gene:PAHAL_5G327900 transcript:PVH38685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREENARLRLKNEKLREENREMGLMQRRKVCGICILKAENARLKAELERLEAIAAMRRNKANPKEELGSSSSAFQTPTASSATGSQPDSGADVPKEETPSM >PAN28787 pep chromosome:PHallii_v3.1:5:10753498:10755209:-1 gene:PAHAL_5G178100 transcript:PAN28787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGQPLRADPAQPQRARRSSPPAALDAGLVPSYPPPESVGDESWVWSQIKAEARRDAEAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFVASLAAHPSIRAAAVADLLAARSRDPACAGFAHCLLNYKGFLAVQAHRVAHVLWAQSRRALALALQSRVAEVFAVDIHPAAAIGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGEGVLIGAGATILGNVRIGAGAKVGAGSVVLIDVPPRSTAVGNPARLIGGKKGEDVMPGESMDHTSFIQQWSDYII >PAN27062 pep chromosome:PHallii_v3.1:5:3554077:3556655:-1 gene:PAHAL_5G056200 transcript:PAN27062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSTAYFSSQPQLPASTADPATTTTASRGLARQPRRSCSFVMVEASSNTGGAVVRTRSLTEDDLEDLKGCLDLGFGFAYSEIPELCGTLPALELCYSMTRRFLDEQRAPGHEQEEEQENAATPLPNWRISGPGDDPEEVKARLKYWAQAVACTVKLCS >PVH38200 pep chromosome:PHallii_v3.1:5:11868196:11869895:-1 gene:PAHAL_5G195800 transcript:PVH38200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELRGAFSVTAAVDGGLEQPRSLGKLVIRLEQGGSGLELGRGHSSVGSRAGSNSNGSARSSVISSSEADAGSWASSNAGRLHGVGLLVRKKRERCATLRRDSLGQLGLFGCVKIGC >PAN27808 pep chromosome:PHallii_v3.1:5:6582034:6583675:-1 gene:PAHAL_5G109300 transcript:PAN27808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRGKRRWRWSAGSMLKLAGLCLAAAVCLCGVRSLACDGGSGCSARTVLLRSDFWRRAAASCGDQGCSGGGGQWLQRRRRLLAEGPGSYPPRCASKCGACSPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >PAN31131 pep chromosome:PHallii_v3.1:5:49579853:49589756:-1 gene:PAHAL_5G398900 transcript:PAN31131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g56570 [Source:Projected from Arabidopsis thaliana (AT1G56570) UniProtKB/Swiss-Prot;Acc:Q9FXA9] MSLKHATTLIKSLCARGVVRHARAVFDEMPDRDVVAWTAMLSGYASSGCHREALDVFRRMLAAGVVPNEFTLSSVLTACRGGGGAALIHAVAVMRGVDHMPYVVNALIDAYASHGDGLVDARRLFEALGGRRTAASWTSMIAGYVRWGQENTGLQLFQNIIQDDVELSPFTCSIAIHACASVGNLCFGQQIHVLSIRKALGVNLAVANSLVDMYCICESILEARRLFDEMPERNLVTWNTIIAGSSRCDPLMAMQLLVDMNLEPNCLTLTSITSACAGLAALRCGQQVHGAVLRRNYGDDLKISNALVDMYSKCGSISNAKKVFNMMNCKDIVSWTSMIGGYGTNGCANEAIELFNSMVHAGVHPDHVVFMGLISACSHAGLVDEGWNLLRSMLFEYDIQPNKEIYGCVTNLLARAGMLREAFNLIDTMPLTPDEPVWGALLDACKMHKNVDLGRLVARKIIEINPDEVRAYVLLANIYAADSKWGECAVTRKLLRGTGSSKEVGMSWIEVTDKVYSFSTADSSSPQVSLADEVLQILAQHMDETGNDFVDNISRAV >PVH39439 pep chromosome:PHallii_v3.1:5:56752687:56755646:-1 gene:PAHAL_5G501900 transcript:PVH39439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTSQACSIFISRPFASPATSPPPVLPPFPSPRGLRISLSLHSFMADYHFVYKDVEGTTTEWDDIQRRLGNLPPKPEPFKPPPFAPRVDADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKLRLAELREAAKAARFGSIVPITGSDFVREVSQAPSDIWVVVFLYKDGIPECGLLQNCLEELATRYAATKFVKIISTDCIPNYPDSNVPTILVYNSSAVKGTYVGLQKFGGKRCTPESVALALCQSDPVLNDGHGGNDSSRDNVIEGVRRKFIEKVVAQHEEREEEDSD >PAN33002 pep chromosome:PHallii_v3.1:5:58545034:58547588:-1 gene:PAHAL_5G528500 transcript:PAN33002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLLARQLARRLLSNLPESTVYGGPRPQEASAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDLMLEHCRAVARGAPRPLLVGDLPFGCYESSAAQAVDSAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGDVGNVINRALSEYKKEVETRTFPGPSHTPYKITPTDVDGFANALQKMGLSDAADAAAAAAENSGTDGGPKENS >PAN31101 pep chromosome:PHallii_v3.1:5:49364878:49368223:1 gene:PAHAL_5G396500 transcript:PAN31101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEEACSSSSSMALSLRQCRICHDEEDESGATMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTVPPKKNQPADVAVTIRESLEVPRPNYQPEEEDVDAALAGAGDPEYAECARAAGRSASWCRSVAVTFTVVLLLRHLVTVATVGAANQFAFSLLTVYLLRASGILLPFYVVMRLISVIQQGQRQYRLQVLQEQRRHALRLARLQGQEQQQHAILVR >PVH39422 pep chromosome:PHallii_v3.1:5:56504262:56508127:1 gene:PAHAL_5G497500 transcript:PVH39422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRDRESEDSLITAGELLPDERPEVPLRRAALRRRGARLLPPHGGLGAHHLGRGEAEAPPQLAGLQHAVLVADGGPDPGAEPLHQPRVGALVRPLGHPHGRHAARHALQRRVPAAVRDEAPHGRVRQHPHLVAPLHHHAALAAERRGVAGALAHAVVLPQHPQERPPALRHAARHLLDLPLAHHRQATERDVHHRAGRLRVQPLEVARAVLPEQVVAAVLGDGPGRRRLIEEGEHGADGDDVDAVLAQRRHRLRLHGVEGVGEHAGAVVAAEHVDELAEHVGHERVRVVLGGVGDERRQVADAERREARDADGGHGVAARRGDGPVDAVGAAEEVEVGGEHGGRLDPVERDGHAVATGHVSDPRQKQRVDDERDGPPRGTEAFEDGPERAGADDLDLAHVVRGVVHVTVEAGVVAVGVGHVPHPRGVAVALAGRRRPLLVAREHLAERGHGGGDGDPRPRGAQQAAREVARRVDVALRRVGHHQEVRLGRPRRRLRRDLLHHGRHCARRRCESRNHHGAHDKADA >PVH37813 pep chromosome:PHallii_v3.1:5:5887909:5888142:-1 gene:PAHAL_5G095400 transcript:PVH37813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKNEPNVVSYHRHHLSCIFEKRKKIIGITNICLSQGNNSMGPIEVMTPAKYA >PAN27385 pep chromosome:PHallii_v3.1:5:4786102:4794434:-1 gene:PAHAL_5G077300 transcript:PAN27385 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGRNGEVREEAGVGGGFRRRKGGSEAAGAGASSSFAEGMGEFVLSSMDARFSGSVDEDELFVPSRQPVFGHSKSTAASSGISKGQDHTFLRSYSDRLLKCDLTLDMLSENEKIKIFEKLVKFQNDGTVEVDVTRSALVTSELSEIDAFGYVPRDIEEVTPGITKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHYIRLATHVNFRTFVKSAGIDFYPLGGDPRIMAQYMTKNKGFCLAAPTEIYAQRKQLKEIIFSVLPACTEPDLDTGTPFRAQAIIANPPAYGHLHIAEALGIPLHIFFTFPWTPTDEFPHPLARMPQSATYRLSYLILDLIIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPQLMPKPKDWGPLVDVVGYCFLNLGTKYQPPPELSQWLQQGPKPIYIGFGSMPLDDEKKVTATILDALRETGQRGIISRGWGALGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLIAGCPTTVVPFFGDQFFWAERVHARGVGPAPIPIAALTVEALSNAIRFMLDPEVKSRAMELAIAIGNEDGVAAAVDAFHRHLPSELPLATPAHVEEERIDFFQWFSRALEKCCSPFNF >PVH38758 pep chromosome:PHallii_v3.1:5:37812522:37812968:-1 gene:PAHAL_5G345800 transcript:PVH38758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALVPPFATRSCSSWVLLVWMVVLRIGVVALPTPLAPCHLGRFVLPRRLLLWA >PAN30443 pep chromosome:PHallii_v3.1:5:19790880:19800081:-1 gene:PAHAL_5G282400 transcript:PAN30443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPEPSLALPLPLALAILLVLCPAASADPRASVAGQACAPGTAVSGSALADNFVPAMDDLNTNVSAHGFGTSAVGSRGPNTVFGLGQCLRDLSPVDCKLCFAEVRSLLPKCYPRVGGRLYLDGCFGRYGNYSFFGEALDAAADTAVCGSAAEGGNYTGAAGPLAFGAAVRAALANVTTAAAAPGSLGFGAGSAASGGATAFALAQCWESLNDTACAQCLRAASGAVATCAPATEGRALFAGCYIRYSMRLFWNVNATAGSGSSGNNGVIWILVGSFLGVFAVVLIIAFLAWKKRILRRKNGCNSFIDMYGDGLPVRIAQSSLNFKYEELRKATNYFDPSNKLGQGSCGAVYKAVLLDGKEVAVKRLFLNTRQWVDQFFNEVDLISQVRHKNLVRLLGCSMNGPESLLVYEYYFNKSLDLFFFDTSRRRNLTWDLRVDIIQGVAEGLSYLHEESETRIIHRDIKASNILLDDKLKPKITDFGLARAFGEDVTHLTTGVAGTLGYMAPEYIVHGHLTEKADVFSYGVLVLEIVTGKRCSSSNGSHGGQVLLTKVWKHYKDNTIEMIVDRSIYEDNIRDEVMHILQIGLLCTQANPDDRPTMGKVVELLRNHRNDLEIVLSDPPFLNVEPVENMQEGEHSRLLSTNSAPSLSGSSRSYLSGR >PAN28362 pep chromosome:PHallii_v3.1:5:8874818:8879027:1 gene:PAHAL_5G147800 transcript:PAN28362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MQGISICGSVASPHGANCRRACVARNSLRLPYEINNAVTHGAYSCHWHMHKLHKNTNGRRMNATVRTNARWLFGGEGRSSDARLERSESANEDILIFYFQMDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREARRGSSKTEAQDKSLDLLRVRADLQKAIDSENYALAAGLRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRGVICGMDPVCCESKSWMETANVEKLSKGPNQPFYQVLVDVYVDPELLVAYVAEENLSAAEESEKGRFDHPYIEFLFYGEDTAGDFIPIKQLREKYDQPRYEASGDENDNDGDTSS >PVH39186 pep chromosome:PHallii_v3.1:5:53576513:53580487:-1 gene:PAHAL_5G450000 transcript:PVH39186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKDKRKKRCSKSLPETSTDLFSGTESNRRRRQQILSSISQPEPRQTGVDQDVEGERVEPEQAAVGQDVEGERVEPEGEQDAGEQVHGPAANAVDQGHAEDADAGTQQPQGEGENFRFRGSLMLPPAKPLAQRQVIRPSGKTGWLEIGWNGQGHRTKVNSVLGRACRYFYPGLVQINGELVPATKWDHWKLKNYRADKSHSDVVWDTFWEQYKLEEGKSYEDPHLIYVFNSSANKVVKDTMSDARVKAVTIYYKKVEKTHMTNEDAARIHLTAEQYLQSEVDWLTQREDVWPRLCEFWASDRFKAISDRNRANRKSKPGLHRYGADGHIGKTQRMEGVSGVTPSMIEVFMEGHKGSDPDHPEILCDDNATEKLTRYANEMQKRHGPEVDWKKAPVDVDAVYVAGGGTPHGRLAIGDGVLDPRSLSTSRRSFASVGTEQTRRSTREDELAEQLSSMRQEMTELKTQQMTQQMWMQQMFSAAMQFQGGRPPFESPPFVPRRTQSGPPGDVAQGGTGAGVQQPPSQVMPWSFPQGSQPPDPLQQGMMGFFPFGFPPWGFGPQGMPYGRPPFAGSSHPSPMEGSTSHHEAPHTPNNATQEVTNQNVNPGGS >PAN27798 pep chromosome:PHallii_v3.1:5:6517397:6523185:1 gene:PAHAL_5G108200 transcript:PAN27798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSGAVVGAAPAAAPSAASRVEKATSHLLMGPDWAVNLEICDILNADVWQTKDVVKAVKKRLQNKDPKVQFFALTLLETMMKNCGEYVRFEVAEQHVLQEMVKIIQKKNDMQVRDKILLLLDSWQEAFGGPGSKYPQYHWAYLEVKTTGVVFPKRPIDAPPIFTPPATHNSSSPRYAAGSLSDRMSSDVETLSLGDLNNIRNVTDLLNDMVHALNPSDRTAVNDEIITDLVTQCRSNQQKLLQFVSSTGNEQLLKQGLEINDLLQSVLSKYDAVVSGAPLAVEAPLRETIEAPREAPAVKPSAPPENNGIADEEEDEFAQLAQRKNKSVVNSDDALSSTGDLALVPIDPVGSESPSSVASNALVPLDPAPSSSTESKELDMINLLSLTLCSPTPETSTDSPTQSQNGPTITHNQNGPQQPTVTNGQQYPSGVPQYPSNYQPHTTNQAYAQQNSNYVAPWAQTGAYPPQPPVYASGYGYPTPPWAAPTPPAVDSNPFLSANYQDPRPVTSPVAQAATYAPPPASYPPSSISNAPFATPQSIQHNSSVGSPPSNGLTATLSQMNLNQQPKDSSPSSSRPYYIPDNLFSDLIDVKSFNGGNKIGRPTTMGSSNGGQPMIGGKK >PAN32121 pep chromosome:PHallii_v3.1:5:54648314:54649081:-1 gene:PAHAL_5G465300 transcript:PAN32121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRRSSVFDPFSLDLWDPFEGIFRSVVPSAGASDSETAAFANARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSKEKEDKNDRWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEEKPEVKAIEISG >PAN27215 pep chromosome:PHallii_v3.1:5:4144914:4148307:-1 gene:PAHAL_5G067000 transcript:PAN27215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to GA C20oxidase2 [Source: Projected from Oryza sativa (Os01g0883800)] MVSQAQQEPALPRSSSIAKRAAAASMDASPAPPLLLRAPTPSIDLPAAKQDRADAASKAAAVFDLRREPKIPAPFVWPHDDARPTSAAELGVPVVDVGVLRNGDRAGLHRAAAQVAAACATHGFFQVCGHGVDAALARAALDGASDFFRLPLAEKQRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDGAASPVVVDYFAGTLGQDFEPMGRVYQRYCEEMKALSLTIMELLELSLGVERGYYREFFEDSRSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNRRQERRSLAFFLCPREDRVVRPPASGAVGAAPRRYPDFTWADLMRFTQRHYRADTRTLDAFTRWLSHGPAQEAAAAPCST >PAN27659 pep chromosome:PHallii_v3.1:5:5913638:5913865:1 gene:PAHAL_5G095900 transcript:PAN27659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRSCESRRGTRLGGELVGRLIVGSSTRWNLEASKRSTCRRQYRRPPLCACDPRVSAACRPLARRNRESRDMPL >PAN30415 pep chromosome:PHallii_v3.1:5:19540860:19541795:1 gene:PAHAL_5G280700 transcript:PAN30415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDFDKRLQSDIKKIVDDRVAATNVRHRVEVRPIEVNVVAHPIQSYAVWFGGSVAASTPEFYEYCHTKEEYEEHGASICRTSPVFKGMY >PAN28093 pep chromosome:PHallii_v3.1:5:7777638:7783821:1 gene:PAHAL_5G130500 transcript:PAN28093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18f [Source:Projected from Arabidopsis thaliana (AT5G54730) UniProtKB/Swiss-Prot;Acc:Q9FH32] MRDAAQAPRGGGGFFSARSLSNYMRIVSSGASTAASTLRSAGASLVNSIASHEEDGSRDQVQWAGFDKLECGGGMLRQVLLLAYKSGFQVWDVEHADDVRQLESRHDGAVSFMQLLKDPIFTTKSEDRFADARPLLALACEGTNTGSGNNHDTNVPIFDGTNGAFHNTGSENLPTVIRFYSLRAHEYVHTLRFRSAVYSIRCSPRVVAVSQATQIHCFDAATMEREYTVLTSPTAAQISGYGPLGLGPRWIAYSGIPVPVPGTGRVSPQLLSLSPFVPPPGSNGSVVAYYAKESSKQLAAGIVTLGDVGYKKLSKYCADFIPNGNGIVKQRSSGYKANGATNGHLIDNEYAGTVIVRDIVSKLLIVQFRAHTSPISALCFDPSGTLLVTASVHGQNINVFRIIPPPHGTSEAGQIGTYVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHLFSISPYSGSTRFRYSDNNPAENDYMVDSSSVNNTAHWSQKSAPSLSLSQKTLFVSGPPLTLSVVSRIRNGSNLFKGAVHGAAAFATGVSSPISGAIASTFHNCKGADLNSDGSSRMKYHLLVFSPSGCIIQYVLHLSAEQDSGFDFPVGPISYGSERETDTKFVIEALQKWDVCHKRNRRDSAESFAYSDFDNGENNKLFQKVMKKGTSIYPFDCAALERPKLGADENRNFYISQSELQTHVMQTPLWSRSGIHFQVMAGETLETDNLDITSGEVEVEKIQTHNIESRSKNLIPVFDSLHTSRFQQTRLNTPDNNRYGLLQRQKSGISEDGRLSRKSSCSSLDCMSEGPKSSDDGGFGKYVVDDSSASVNNNPSVKLHAELVNNTGSLKSEAQLGFVHSREDGEDEEQLPDL >PAN27342 pep chromosome:PHallii_v3.1:5:4669186:4672150:-1 gene:PAHAL_5G075400 transcript:PAN27342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDPTAYNMSAAYSPGAGVPHWLNKGDNAWQMVAATLVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYQMSFGEKLLPFWGKAGHAFGQGVLLGQAALPATEHHYHHGPIETFGIAPFYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWMLFVPLWLTFSYTIGAFSIWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANVDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGMLSGSIPWFTMMVVHKRSRLLQQVDDTLSVFHTHAVAGVLGGVTTGLFAHPSLCPLFLPVTNSKGAFYGSGIQLGKQLGGALFIISWNVVVTSLVCLVVRLVVPLRMPDDELAIGDDAVHGEEAYALWGDGEKFDSTKHGWYSENDTQHNKAPSGVTQDV >PVH38956 pep chromosome:PHallii_v3.1:5:49570930:49572333:1 gene:PAHAL_5G398700 transcript:PVH38956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAKAGESLPVPNVQALAQTWNGSGEQVPDRYVRTEKTGAEEVVAGCAIPVVDLSRLLDPGRRKRSSQTSELPAATGVSFIQTVKDCVQLINHGVPDEVIQDVKRDITEFFKLPLEAKKAHAQAPGGIQGYGQAFVFSEAQKLDWADMIYLMISPREERDLRFWPARPPSFRDSVDGYSAETARVAACLLRFMAADLGVEPEHLTEAFRGQPQSMRATYYPPCRQAGDVLGLSPHTDATGLRLLLHVNDVQGLQIRRDDGRWLAVDPLEGAFVVSIGDILELIKQPEIQKIEHRAVVHPDKDRISAAMFHQPCPDTTVGPLLELVKKDGGRAPYKCGNFCGFDPLINLEIIINLKSSCEGVVQI >PAN29518 pep chromosome:PHallii_v3.1:5:14438871:14440059:-1 gene:PAHAL_5G231800 transcript:PAN29518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVAVSTRGRAGDQPAVRKGPWTLEEDLILVGYISEHGEGSWDNLARAAGLNRNGKSCRLRWLNYLRPGVRHGGITPAEDAAIRQLHATLGNKWSKISKHLPGRTDNEIKNYWRTRIQKKPAAAKTTPRQQQQPAVSEGASSDAGDYYWCSTKPDPYQQAPYYFQKAAMAAATTPAAAAVSGEGASSALTSQDSPTAGDWRIQQSSFPDYSELMRLVAGHGETAVGVDALTPPHFFSSQFSDTFWNAVENFWETKPVAGAF >PVH37847 pep chromosome:PHallii_v3.1:5:6301553:6303262:1 gene:PAHAL_5G103600 transcript:PVH37847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVASTRMASPPEPGPYMPDLPGVPAWLNKGDNAWQLVAATFVGIQSMPGLVVLYGSIVKKKWAVNSAFMAMYAYASTLIVWVLVGFRMAFGERLLPFWAKAGPALTQDFLVHRAVFPATAHYGRGGVLETPRTEPYYAEASLVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRDRFSPNNILLMIAGGGLLWLGWAGFNGGAPYSPNVTASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGVSAGCIPWITMMILHKKSSFLMKVDDTLAVFHTHAVAGVLGGVLTGLLATPELCALDSPIPGARGVFYGGGIVQIGKQLGGALFVTVWNLVVTSAILLCIGLFIPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDLTRPETTRTGGASGAAREDTVEQRLTSMGARGVTIQL >PAN30590 pep chromosome:PHallii_v3.1:5:32503471:32504500:1 gene:PAHAL_5G327100 transcript:PAN30590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHLLLMLLCHLELDSREKLKICAFEDDYWSVSRLSWLVLLSQQSFGGMGRHVLLFILAQVSIGSESLQNILQLVCLLA >PVH38167 pep chromosome:PHallii_v3.1:5:11659491:11661232:1 gene:PAHAL_5G192900 transcript:PVH38167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATKQLRRVRTLGRGASGAVVWLASDEASGELLAVKSARAAGAAAQLRREGRVLEGLSSPHIVPCLGTRAAAGGEYQLLLEFAPGGSLADEAARSAGGSLAERDIRAYAGDVARGLAYLHGRSLVHGDVKARNVVIGADGRARLTDFGCARPAGSARPLGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDDLLAAVHRIGYTDVVPEVPAWLSAEAKDFVARCFERRASARPTAAQLVAHPFVASAAARGPDARPAKQELPSPKTTLHDAFWDSDTEDEADELSTGAAERIGALACAGSALPDWDSDEGWIDLQDGRSDTADAAPPAAASSTADYFVWAEPSDPESEPLFTTAAATADVSGHLHLPLIAGVTEATTTISWGSYLLHENKIPLGFDHEGVEKVEPHRACNRNRVKMKRISLKISRPKITAISLKVSVFGITWLASPVTLHVRTPRAAIARAGSWIG >PAN29928 pep chromosome:PHallii_v3.1:5:16750441:16751345:1 gene:PAHAL_5G260300 transcript:PAN29928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLLAVAAAAVTLAAAALPVLADKDCFETCFKHCVANDKSMTDYCNYACGMTCGPNGALRRPLAGAAIAGLPINCQLACVRESCRRLQADGKDMEACYGQCYHGCKTKAGLPRPLGAGTVWPAALPDHPFHKMQDAVQPTSEPDPDDVSRQARGALLP >PVH38273 pep chromosome:PHallii_v3.1:5:13220306:13220735:-1 gene:PAHAL_5G216300 transcript:PVH38273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPQKQSRPTGQQANNGEKERPGDDACPPPSIPATNLEGRKQLPCLPYPMEIDRAVANCPTPGRASLFLGVPHRHRIARPGQVAACPAGRSLECGIHRRSLSGQESERIAQKQQVACNCK >PAN27429 pep chromosome:PHallii_v3.1:5:4971339:4979353:1 gene:PAHAL_5G080400 transcript:PAN27429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) UniProtKB/Swiss-Prot;Acc:Q9FG38] MISPERSPSQSPRAPAAPAAGAPFLSIYVTDPVKMGTGVQAYISYRVITKTNLPEFEGPEKIVIRRYSDFEWLHDRLAERYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSEVLRVFLQADEEKMDRARSYETGIFKKPADFLQMFKDVQSKVSDVVLGKEKPVEESTPEYEKLKNYIFELENHLAEAQKQAYRLVKRHRELGQSLAEFGKAIKLLGACEGDVMEKVFSEVGSKSEMLSIKLQREADNLLFNFEEPLKDYVRAVQSIKATMMDRANAFRQHFDLDQERKYKELNLEKLKFMNPEKYAEAESEFRELKADSEEATKKFEHIVRLMNEELGRFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRSILPKLEACSTSQVEF >PAN26865 pep chromosome:PHallii_v3.1:5:2779674:2783353:-1 gene:PAHAL_5G043700 transcript:PAN26865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWREGAGWCFCSGGGDDGGRSERVKAAIFSARSPALAEVSGQGSASASGSGLLIHRNLLLTTHGNLPSAAAAEDAEARLGHGRLVARLEPHRFFITSSILDLTIVGLDYTEGDSTLQSQQPHYLKTSCKPSLDHGSAVYLLGHTGKKELVIGEGKVVIGTDNLIKLATNGVTWCPGSAGFDAQGKLAFMICDPMKLASSPTARSSSASSSSSHSWKKDHPMQFGIPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPDQENDDASVCSKPKYQQASGSSATARISHEANPLVDLRTSSEQGIATPEIYESPRPCQAQKDAAPGQLLDINFPPRAPNTIFLPLPLKQMLSDENNVETSKPKNRSKDNGFPSGLMWHRNSEAECRNPPVALRHEDCSSEGQSSLSPVEILEYRGQDHYSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYSRWSSPRTSSMQNGTLRKQHTLIPVRKTHSQNTALPQRNHDYLSPTVSSAMKKRNSMEQQQPTKPRQSVVHSSPKWMF >PAN30098 pep chromosome:PHallii_v3.1:5:18113701:18118685:-1 gene:PAHAL_5G273500 transcript:PAN30098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVANKFRLGRKLGSGSFGEIFLGTHVQTNEEVAIKLESVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEYVHMKSFLHRDIKPDNFLMGLGKRTNQVYIIDFGLAKKYRDSATHQHIPYREHKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLKGSLPWQGLKAGTKKQKYDKISEKKIATSVDALCRGYPTEFASYFHYCRSLRFDDTPDYQFLKRLFRDLFIREGFQFDYVFDWTIIKYQQSQMAVPPRAMAAAAGQSSGMAPMANTNRLSGTEEGRRSGWSDDPLRRQVPPAGINAVSFSKQKSPVRQEQSSSKDAVFPSSTFLGRSSGSLRRPAVSSSRVPTSDAEIHNRTPDASPGTFQRNAPPRRTSQMLEYTDPRLRHSSSGRLMSNTKNYESTLRGIQGLNFDANDRIHY >PVH38636 pep chromosome:PHallii_v3.1:5:25977425:25977776:-1 gene:PAHAL_5G309500 transcript:PVH38636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLAAALPAHATSAPISALPLPFHARSYDAQQQRSTLGALIASMLVRSGHTLTSAIAQARGTPLCSCRDMSESYAPAG >PVH38716 pep chromosome:PHallii_v3.1:5:33818717:33819013:1 gene:PAHAL_5G333000 transcript:PVH38716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASSSILVHGFSWLYGSSGGGEIELQEIVNGLINTQMYNSPGISIALIFITVGLGFKLSPAPFHQWTPDVYEGVWFVRQIPTSISISEVFGFCKTP >PAN28018 pep chromosome:PHallii_v3.1:5:7499215:7500134:1 gene:PAHAL_5G125100 transcript:PAN28018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTPDAGSDSQGFTCSALLMCLYLPGLSKKKPEAAAASATAEAAPKEPAEQAVPPDGAPSQAASLEKSEYASLYSGNNIVFDFAVGDQGGRPEEQGGARAIHGYCPSPCFDLPVELIRAGERFAADSDAPVTAAFVFGDGGQQGGALQRMASCLAPGVVEGSGEPRPPPLVRFLSASGRSTVPRPPVMVMPSRDD >PVH39347 pep chromosome:PHallii_v3.1:5:55671875:55673708:-1 gene:PAHAL_5G483400 transcript:PVH39347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRTSHRQQLLLHLDLLVHLVLASIQLSHSLDGTFSNQTATPPAKVPCRPDQASALLRLGRSFHSTNDSTCTLASWRAGTDCCGWEGVACAASDGGVTALDLGGCGLASAGGLHPALFDLTSLRHLDLSGNSLNESQLPDAGFERLTELAHLNLSYSDFVGKIPDGIRRLSNLESLDFSNWIYLVGDNDYFLPLGEGRWPVVEPDIGSLVSNLSSLKELYLDTVDLSGNGAAWCSAFANSTPQLQVLSLRGTNLDAPICGSLSLIHSLAKINLKYNRVHGQIPESFADLPSLSVLKLAYNLLEGPFPSRIFQNKNLTVVDISYNHKVSGVLPNFSSDSILTQLVCSNTNFSGLIPSSISNLKSLNKLGVAAADFHQELPSSLGELRSLTSLQVSGAGIVGEMPSWVANLTSLEILQFSNCGLSGQVPSFIGNLKKLSTLKLYSCNFSGQVPPHLFNLTNLGAINFHSNSFIGTIELSSFFKLPNLFRLNLSNNKLSIVEGKYNSSWESIDNFDTLCLASCNISKLPDTLRHMQSIEVLDLSNNHIHGTVPQWAWDNWIDSIILMNLSYNQFSSI >PVH37317 pep chromosome:PHallii_v3.1:6:44158852:44163017:-1 gene:PAHAL_6G285900 transcript:PVH37317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWAALWIRSNLISARRGPNGPKPSTFQPTWCVEVFLHLQSAPPLPARLRRRRLADRASRSGRSRSIPHPIWPSRTLESQSTDEGSRRHRGGPVAFCSSPPSCAAEAAVCTRTGRRGAAKPPRAAAPARLRRRGRRRGGDDSLAAEPTAEKGCANHWNINKQESLINRSF >PAN36605 pep chromosome:PHallii_v3.1:6:44840406:44842869:-1 gene:PAHAL_6G296300 transcript:PAN36605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAGSALSFARPVKAVNTSSLSFSASRKGNAFLRLQPVQKRFAVSYAAKKDTVDKVCEIVKKQLAVPEGTEVCGASKFSDLGADSLDTVEIVMGLEEEFGISVEESSAQSIATVEDAAELIDKLVSAKSP >PVH36845 pep chromosome:PHallii_v3.1:6:34734799:34738775:1 gene:PAHAL_6G181500 transcript:PVH36845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWPLEFLQKCKASDFLDPLEYEAWQTRNFKLLEAGLLVHPIVPLKKSSISAKRMRQILHEAYDGKLETGRNSESMIRLRTAVMSLACRSLDETSDECHWADGFPLNLHIYKMLLEACFDVQEGSVVQEIDETMELLKKTWPIFGVNQMLHNLYFTWALFNHFVMLGQANNDLLCATENLLVEVAKDAKITKDPDYCDVLGSSLSSIMGWTEKRLLVYHETFNTGNIYSLQYIVSIGISTAKILVETQDISYEYHGGVKGDIDVVRSRIETYIRSSLRSAFAQTMEEGASKLSSRNRRPALSILAKKTSDLAIKEKNVYSPILKKWHPLPAGVAVATLHACFENELKQFTAGLTELTPDAAQVLKAADKLEKDLIHIAIEDSMDIADGGKSLVKQMPPYEAGTVMANLVKAWIKEQVDKLKGCTDQKLEQETWNPKDNNRDSFAPSSVEMLQLIEETFDAFFELSIPMHTTLLSDLTAGLDKCLHYYVSKVKYGCGTQSTVFPQLPHLTRCDVGSKLFKKNEKQQFPVKQGSQVGSTTGNEASSLPGLCFRINTLHFIQNELENLHMKTKACLRNAAQPDVAGGLNINFELSQAACQEGIRQLCETTAYMVIFNDLSHVLMDTLYVGSPASNRILPLLKELGPILRLVSSTVHSKVRNRLITALMKASFDAFLLVLLAGGPTRAFCCQDYQIIEDDFRALRGLYLTYSDGLPEELVAKASSEVKSILPLLRTDTETLIARFKKTISESYECTTKSRFPMPPVPPHWSPDNPNTILRVLCYRNEEAATKFLKKAYDLPKTL >PAN35103 pep chromosome:PHallii_v3.1:6:34733236:34738775:1 gene:PAHAL_6G181500 transcript:PAN35103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFRDSSRSRDPAAATTAPPLPSPLPDLGVALSAADLRATAYELLVAASRATGAKPLTYIPQSVTAAAKMKGAFGLGSSPSSNGGTAAVLELVRARMGVTEPTDARIRRALLRVAAGQLGRHAESMVWPLEFLQKCKASDFLDPLEYEAWQTRNFKLLEAGLLVHPIVPLKKSSISAKRMRQILHEAYDGKLETGRNSESMIRLRTAVMSLACRSLDETSDECHWADGFPLNLHIYKMLLEACFDVQEGSVVQEIDETMELLKKTWPIFGVNQMLHNLYFTWALFNHFVMLGQANNDLLCATENLLVEVAKDAKITKDPDYCDVLGSSLSSIMGWTEKRLLVYHETFNTGNIYSLQYIVSIGISTAKILVETQDISYEYHGGVKGDIDVVRSRIETYIRSSLRSAFAQTMEEGASKLSSRNRRPALSILAKKTSDLAIKEKNVYSPILKKWHPLPAGVAVATLHACFENELKQFTAGLTELTPDAAQVLKAADKLEKDLIHIAIEDSMDIADGGKSLVKQMPPYEAGTVMANLVKAWIKEQVDKLKGCTDQKLEQETWNPKDNNRDSFAPSSVEMLQLIEETFDAFFELSIPMHTTLLSDLTAGLDKCLHYYVSKVKYGCGTQSTVFPQLPHLTRCDVGSKLFKKNEKQQFPVKQGSQVGSTTGNEASSLPGLCFRINTLHFIQNELENLHMKTKACLRNAAQPDVAGGLNINFELSQAACQEGIRQLCETTAYMVIFNDLSHVLMDTLYVGSPASNRILPLLKELGPILRLVSSTVHSKVRNRLITALMKASFDAFLLVLLAGGPTRAFCCQDYQIIEDDFRALRGLYLTYSDGLPEELVAKASSEVKSILPLLRTDTETLIARFKKTISESYECTTKSRFPMPPVPPHWSPDNPNTILRVLCYRNEEAATKFLKKAYDLPKTL >PVH37307 pep chromosome:PHallii_v3.1:6:43766194:43767909:1 gene:PAHAL_6G284700 transcript:PVH37307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSRAGRRVVLFPFPYQGHFNPVLRLAGAVHARGLAVTVFHTDLRAPDPADYPSDYRFVSVPVHVPMDLVASEDIARLVMELNVSCAAPFKERLAALLAEEEPGSVWCVITDVIWYSAQAVARELGVPALGFMTSSAASFRNFIAYPTLIEKGYLPVQEEHRDDPVDVLPPFRVKELQRIETSSLADFASLLGHTVDGARQSSGLIINTFEALEAVDLDKIREDMSIPVFAVGPLNKFAPPVKSSLYQLQQDCRCLDWLDTQAPRSVIYVSFGSLAAMDPHEFVELAWGLADSKRPFIWVVRPSLIRGFESGDLPDGFREEVGDRGRIVDWAPQDEVLSHPAVCAFLTHNGWNSTIEAISEGVPMISRPFLGDQYGNAMFACNVWRVGVEVEVEDQLDRGKIQDAIEKLMGNKRGKEVRERMANLKQMVEKGIKEGGLSHAAFLKLVDLILSV >PAN33162 pep chromosome:PHallii_v3.1:6:44391:50163:-1 gene:PAHAL_6G000300 transcript:PAN33162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEHGMPNGTADAVVNRRPRRLFGRASERKNPLNLQFERQVARLESRQQQQRCIVVTVIPLNFCCDYKSPSLTENGNNHPSYQQTSPEESPETSSLSPTSSSSLSPTSSSSLSPTSPSIFFMLNAPDGSHRRWPDNSSRLLEDESTKSNSVSNSDFLVNSFTKPSGNARFTSRRKSKKKSKKHRQRCRKPMDGPEAKCTESSSAAPAVDVGDCEDLALSPKHVGDIHFEETSSPSSSVKEASEEAPESDNDNEYPCCSGGSASCASYCDEIELSRSATSFPGSCGECNSSNFRYLDNAQNSVFTGSAQETCFSGSSVSGNHDTKTLLIIRNECGPDPCEATEFCSNRSGFDENWLEKSDYDSGIYSQNGIGACHGIQAVHLCSDTSSDNDFCLVVSRKRARKEKKMSLWRSYRERASTITNVRNEKYAGRAPMPIAKEVNTNNWSYRQNHVGRVHPQHGIALKHSTKNFMQRPSSVCMETQNGVQADGSKVGASLDHFTGLREQTCGKSTSCFDKEQQLYLSRKLSNALHSRESIYCEMRSVSSSEPTTLKSLRGLCTSESGESTDITVGSLSLQKRRLLDSVRTDDASETISGESSLGSKSTTTELMVECGTVPAVEGNRGCEEFGNSRTHLDEMLRVVNHAYKVQVAADVHLAAGYPITDLETFIYSATPVIGHAPCMRSSNFCSWDQVVSSSFCQHDISNVSLRSIWEWYEEPGCYGLGVKALNDLSSKTSCGSSSEFFAYFVPYISAIQLFGWSKNNTDHSFSVQGRELLKSSNTASSLSSHPVHAKVHKSFEESNACLSESSSVVEGHGELMFEYFETEQPSFRPPLFEKIKELVSGATISDNRILGDPEKLQNTKLCELHPASWFCVAWYPVYRVPRGTFRAAFLTYHSLGKLVPRKCSLDMTCGHTRVASPVVGLQSYNDKGEQWFHLRCTDLKLSPRDEASRAEIQKERLRTLKMGALAMARAVVPKGSGESVNHHPDYEFFLSRCG >PAN36766 pep chromosome:PHallii_v3.1:6:45630572:45633975:1 gene:PAHAL_6G308400 transcript:PAN36766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPGMTLCRLPTATLGLPLSLPCLLSRPRLSLAARRARAVAARASSSSSSSSSSSSPDSSFGSRMEESVKKTVADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDHLGAQGPQLQKVLERLTGQSTVPNVFIGGKHVGGCTDTVKLHRKGELASMLSDLDIDINNS >PAN33710 pep chromosome:PHallii_v3.1:6:2870623:2871366:1 gene:PAHAL_6G039700 transcript:PAN33710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDLSLGTTVDDTQEVQEEHQEEEQEDDLYQQQALGQDLQHGGGEPSHVMEREHMFDKVLTPSDVGKLNRLVVPKQHAERFFPVAGAGTQLCFEDRGGVPWRFRYSYWGSSQSYVMTKGWSRFVRAARLAAGDTVSFSRAAAAAGRYFIDYRHCQRRSRDINFGDSAAMPAWPMAVGIMQRTGAVGGGATMAVDTVPVPAGHGIEVGPTAGARSFRLFGFNVECSGDDDDAAASASAEVEYVDGDS >PVH36111 pep chromosome:PHallii_v3.1:6:66250:66738:-1 gene:PAHAL_6G000600 transcript:PVH36111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRNRAMQIGRLVAVLMLVISDLGTARPKPRKRREGGTTSQPHNSRAASAAPRGREGLGGREGGGDAATRGGRRSSSRRAAAAASPTPSPTQRRFQAAPPKKRRGSHAIRPPIPSPPAPRPPLSDPRVAGAWGGFRPARLPTLGWGRLLAPPPRRSLLLGLG >PAN34876 pep chromosome:PHallii_v3.1:6:22772040:22787735:1 gene:PAHAL_6G137500 transcript:PAN34876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELGQQTVELGAMVRLAAEESYLALRELVERSRAEAEAEAQGKEGVRLRSDTEKKIDLLKFVDRTRQRMLRLHVLAKWCQQVPLVNYCRQLASTLSNHETCFTQTADSLFFMHDGLQQARAPIFDVPFATEVLRTGSYRRLPKCIEEIGTQSMLFQDERRPTLKKLNSLVRAKLLETSVPKEISEVAVTDGIANLRVDGEFHVLLTLGYRGHFSLWRLLHMELLVGEKTGPIKLEETRRYALGDDIERRMANTGNPFMILYTVLHELCISLVMDTAIRQANVLRQGRWKDAIKSELISDSSAAQGGNASVQLGQDGELDLSGFRIPGLKLNYWLDENSSGSAEPDLSPFISIEVGKDMQINCLHSSFMLDPLTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELSKNGQLLQSPTDVVLKREVNEEGLGKKVDGKNFQNSCTNEVLQVRAYGESYIHLGINIRSGRLLLQSSKNILLPSVLLESEEALNKGSLTANEVFVNLRIKSILHLFAATGRFLGLKVFSQSQVTLKIPKSVLYGSDFMVMGFPQCANAYYFLIQLDNNLRPVFHLLETQSDESNSSNADANQVIRFNRIDISCIQTGEDEYNVNLFDTGKALQGIEGGSLRPSGNEKLVPLTPSLSSSFSSLVDEVFEHSTSSSTIENQHLPPSSLTSTHVSSFQVGPEGLCGTACLPELRNSIHSDINTSEVTHDASLNSDLLSSSSGPARISPMPSDCKSGHGLSSLSFLRGHDISHGGKSLQLVSSDGQRVLGNISTTKLGGPSRKRFLSEIVLNIPSLQQSRISDGPRKRRKASEIMKDSALSKEYSSGKPLTYGNIFTEENRCVTSAIYASVLCHAIKHCSLCIKYAQLTTQMDSLGIPYAEEAELGTPSSNLWLRLPFLKEDSWKHVCLRLGKTGRMSWDVRITDPYYGSLWKVYGGSTTTEWGIGVRIANTSEIDSHITFDDDGVVLTYHTVEAASVHRLVSDLQRLSNTRAFSCGMRRLIGIKIDDKREEKVTSAETNLHPTTKGSKHRLSEQIRKIFRIEAVGLMSLWFSYVSAPMVHFVVEWEAGNDGCAIHVSPDQLWPHTKFLEDFVNVGEVASFLDSIRLTAGPLLALSIAIRPAKMPVTTTGYSSVPKQNNFRAQGQPANDSSSTTMQNVCAPLSPSGAHPNYHNLQSSVLSATGRGGPGLVPSPSLPFDVTVVLRGPYWIRVIYRKIISVDIRCFSGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNAFEPSYMSARHSGAQLKANANTASGSQQSAPALNRFHGAHGVAISRPTPNVGNQVAPSFTRAGSAMVASSKFASGIAGHPSHLSPGTNLPVHMKGELSSAFTGLGDDGGYGGAWVPLAALKKVLRVILKYLGVLWLFSQFPELLKEILGSVLKENEGALLNLDQEQPALRFFVGGYVFAVSVQRAQLLLQVLNVKRFHHQQQKQQQQAQNPAQEELAISEINEICDYFSRRVACEPYDASRVASFITLLTLPISVLREFISLIAWKKSQSRAHGDIASAQRVRVELCLEKHHVSDSSDHAESSSSSRSNIKHDRANRSVDFGLTFVLDHGLKHHTNIGGAAWLPYCVSVRLRYNFGDNGHVMFLAMEGSHGGKACWLQYEDWERCKLAVVRAMESANGSPAPGETGQGRLRLVAEMIHKQLQLSLQQLRNGPLSAS >PVH36673 pep chromosome:PHallii_v3.1:6:22772040:22787735:1 gene:PAHAL_6G137500 transcript:PVH36673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELGQQTVELGAMVRLAAEESYLALRELVERSRAEAEAEAQGKEGVRLRSDTEKKIDLLKFVDRTRQRMLRLHVLAKWCQQVPLVNYCRQLASTLSNHETCFTQTADSLFFMHDGLQQARAPIFDVPFATEVLRTGSYRRLPKCIEEIGTQSMLFQDERRPTLKKLNSLVRAKLLETSVPKEISEVAVTDGIANLRVDGEFHVLLTLGYRGHFSLWRLLHMELLVGEKTGPIKLEETRRYALGDDIERRMANTGNPFMILYTVLHELCISLVMDTAIRQANVLRQGRWKDAIKSELISDSSAAQGGNASVQLGQDGELDLSGFRIPGLKLNYWLDENSSGSAEPDLSPFISIEVGKDMQINCLHSSFMLDPLTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELSKNGQLLQSPTDVVLKREVNEEGLGKKVDGKNFQNSCTNEVLQVRAYGESYIHLGINIRSGRLLLQSSKNILLPSVLLESEEALNKGSLTANEVFVNLRIKSILHLFAATGRFLGLKVFSQSQVTLKIPKSVLYGSDFMVMGFPQCANAYYFLIQLDNNLRPVFHLLETQSDESNSSNADANQVIRFNRIDISCIQTGEDEYNVNLFDTGKALQGIEGGSLRPSGNEKLVPLTPSLSSSFSSLVDEVFEHSTSSSTIENQHLPPSSLTSTHVSSFQVGPEGLCGTACLPELRNSIHSDINTSEVTHDASLNSDLLSSSSGPARISPMPSDCKSGHGLSSLSFLRGHDISHGVLGNISTTKLGGPSRKRFLSEIVLNIPSLQQSRISDGPRKRRKASEIMKDSALSKEYSSGKPLTYGNIFTEENRCVTSAIYASVLCHAIKHCSLCIKYAQLTTQMDSLGIPYAEEAELGTPSSNLWLRLPFLKEDSWKHVCLRLGKTGRMSWDVRITDPYYGSLWKVYGGSTTTEWGIGVRIANTSEIDSHITFDDDGVVLTYHTVEAASVHRLVSDLQRLSNTRAFSCGMRRLIGIKIDDKREEKVTSAETNLHPTTKGSKHRLSEQIRKIFRIEAVGLMSLWFSYVSAPMVHFVVEWEAGNDGCAIHVSPDQLWPHTKFLEDFVNVGEVASFLDSIRLTAGPLLALSIAIRPAKMPVTTTGYSSVPKQNNFRAQGQPANDSSSTTMQNVCAPLSPSGAHPNYHNLQSSVLSATGRGGPGLVPSPSLPFDVTVVLRGPYWIRVIYRKIISVDIRCFSGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNAFEPSYMSARHSGAQLKANANTASGSQQSAPALNRFHGAHGVAISRPTPNVGNQVAPSFTRAGSAMVASSKFASGIAGHPSHLSPGTNLPVHMKGELSSAFTGLGDDGGYGGAWVPLAALKKVLRVILKYLGVLWLFSQFPELLKEILGSVLKENEGALLNLDQEQPALRFFVGGYVFAVSVQRAQLLLQVLNVKRFHHQQQKQQQQAQNPAQEELAISEINEICDYFSRRVACEPYDASRVASFITLLTLPISVLREFISLIAWKKSQSRAHGDIASAQRVRVELCLEKHHVSDSSDHAESSSSSRSNIKHDRANRSVDFGLTFVLDHGLKHHTNIGGAAWLPYCVSVRLRYNFGDNGHVMFLAMEGSHGGKACWLQYEDWERCKLAVVRAMESANGSPAPGETGQGRLRLVAEMIHKQLQLSLQQLRNGPLSAS >PVH36674 pep chromosome:PHallii_v3.1:6:22772041:22786710:1 gene:PAHAL_6G137500 transcript:PVH36674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELGQQTVELGAMVRLAAEESYLALRELVERSRAEAEAEAQGKEGVRLRSDTEKKIDLLKFVDRTRQRMLRLHVLAKWCQQVPLVNYCRQLASTLSNHETCFTQTADSLFFMHDGLQQARAPIFDVPFATEVLRTGSYRRLPKCIEEIGTQSMLFQDERRPTLKKLNSLVRAKLLETSVPKEISEVAVTDGIANLRVDGEFHVLLTLGYRGHFSLWRLLHMELLVGEKTGPIKLEETRRYALGDDIERRMANTGNPFMILYTVLHELCISLVMDTAIRQANVLRQGRWKDAIKSELISDSSAAQGGNASVQLGQDGELDLSGFRIPGLKLNYWLDENSSGSAEPDLSPFISIEVGKDMQINCLHSSFMLDPLTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELSKNGQLLQSPTDVVLKREVNEEGLGKKVDGKNFQNSCTNEVLQVRAYGESYIHLGINIRSGRLLLQSSKNILLPSVLLESEEALNKGSLTANEVFVNLRIKSILHLFAATGRFLGLKVVTVFSQSQVTLKIPKSVLYGSDFMVMGFPQCANAYYFLIQLDNNLRPVFHLLETQSDESNSSNADANQVIRFNRIDISCIQTGEDEYNVNLFDTGKALQGIEGGSLRPSGNEKLVPLTPSLSSSFSSLVDEVFEHSTSSSTIENQHLPPSSLTSTHVSSFQVGPEGLCGTACLPELRNSIHSDINTSEVTHDASLNSDLLSSSSGPARISPMPSDCKSGHGLSSLSFLRGHDISHGGKSLQLVSSDGQRVLGNISTTKLGGPSRKRFLSEIVLNIPSLQQSRISDGPRKRRKASEIMKDSALSKEYSSGKPLTYGNIFTEENRCVTSAIYASVLCHAIKHCSLCIKYAQLTTQMDSLGIPYAEEAELGTPSSNLWLRLPFLKEDSWKHVCLRLGKTGRMSWDVRITDPYYGSLWKVYGGSTTTEWGIGVRIANTSEIDSHITFDDDGVVLTYHTVEAASVHRLVSDLQRLSNTRAFSCGMRRLIGIKIDDKREEKVTSAETNLHPTTKGSKHRLSEQIRKIFRIEAVGLMSLWFSYVSAPMVHFVVEWEAGNDGCAIHVSPDQLWPHTKFLEDFVNVGEVASFLDSIRLTAGPLLALSIAIRPAKMPVTTTGYSSVPKQNNFRAQGQPANDSSSTTMQNVCAPLSPSGAHPNYHNLQSSVLSATGRGGPGLVPSPSLPFDVTVVLRGPYWIRVIYRKIISVDIRCFSGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNAFEPSYMSARHSGAQLKANANTASGSQQSAPALNRFHGAHGVAISRPTPNVGNQVAPSFTRAGSAMVASSKFASGIAGHPSHLSPGTNLPVHMKGELSSAFTGLGDDGGYGGAWVPLAALKKVLRVILKYLGVLWLFSQFPELLKEILGSVLKENEGALLNLDQEQPALRFFVGGYVFAVSVQRAQLLLQVLNVKRFHHQQQKQQQQAQNPAQEELAISEINEICDYFSRRVACEPYDASRVASFITLLTLPISVLREFISLIAWKKSQSRAHGDIASAQRVRVELCLEKHHVSDSSDHAESSSSSRSNIKHDRANRSVDFGLTFVLDHGLKHHTNIGGAAWLPYCVSVRLRYNFGDNGHVMFLAMEGSHGGKACWLQYEDWERCKLAVVRAMESANGSPAPGETGQGRLRLVAEMIHKQLQLSLQQLRNGPLSAS >PVH36929 pep chromosome:PHallii_v3.1:6:37269312:37276850:-1 gene:PAHAL_6G200500 transcript:PVH36929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKNKRVAIVGAGPSGLAACKHALGKGFRPVVFESGNAVSGVWARTLSSTRLQTPASTSRFSDFPWPAGTAPEEFPRHDHVVAYMTAYARRFGVLERVRFGSTVLAAEYVGPSEREVAAWERWSGNGEAFGDGTGEWRLTVQHAGSEGTQTYEFDFLVLCVGRYGVAKVPKFPPGRGPEVFHGQVLHSMNYSRMAHADADELIRGKRVVVVGSGKSGLDTVSHCAEANGEGFLLFLLATMLTPLRWLTATLAEAYLKMHIPFKKHGTVPECSFSQSILGWRLGTLPEGFYDMVSEGSVQIKRCDSLSFCANGLVLDGTGKRVDADVVILATGFDADRLLRGVFVSPRFREIVASGDSDTMLPLYRHCVHPRIPQMAVVGYAESAASIYPYEMTAKWVAHLLDGAVRLPGFAAMERSVAEWERWGRWAERGSGAFFLKSCIATVTTWYHDQLCRDMGYSPRRKKGGGLVAEWLQPYGPTDYAGIQ >PAN34895 pep chromosome:PHallii_v3.1:6:14550048:14554815:-1 gene:PAHAL_6G111700 transcript:PAN34895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPALRPGRRLPVSSLRRLLSSAAGEGVTPVDAEAAAATAAKARAEAAARARMEAYKQVQNFDWSSGADWKTAANILFTVPPKRKEFGLDFHLVQLFFVCMPSLAVYLVAQYARREIKRMEAEAEEKRKKDEELEKQKQLDESTNEDSGSKLSKVLDRLDTLEGVVKEIVDDKTKVPPADLHTKEEVPEKDEASPSKASDLKSSASDTQPATVKSKDTNRAPNAPANTAQPNSKGNQDRASPTESKS >PAN34893 pep chromosome:PHallii_v3.1:6:14550048:14553571:-1 gene:PAHAL_6G111700 transcript:PAN34893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEAGAGRTGGEDGSRLDFHLVQLFFVCMPSLAVYLVAQYARREIKRMEAEAEEKRKKDEELEKQKQLDESTNEDSGSKLSKVLDRLDTLEGVVKEIVDDKTKVPPADLHTKEEVPEKDEASPSKASDLKSSASDTQPATVKSKDTNRAPNAPANTAQPNSKGNQDRASPTESKS >PVH36597 pep chromosome:PHallii_v3.1:6:16329327:16331013:1 gene:PAHAL_6G117500 transcript:PVH36597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKHNSGVVQPDSPNIRTKGRTFPTCLSHRSRVCAFCLRSSPRAASPRSPSPSRRSAQPPPPEVRPAERRSSLPAATQAALLPARGEGATPGCSNPHFALPSAWICPLAPPPRSRSPKVEGRNKEGHARTEREILEAVDHPFLPRLYGVAKEDRWSCLLTEFCPGGDLHVLRQRQPHRRFSEATVRYDPSVCFPASCWMHPLPPKK >PAN33305 pep chromosome:PHallii_v3.1:6:953367:958203:-1 gene:PAHAL_6G010400 transcript:PAN33305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPPAALSKHHLLRIRRCLPPVWLNAAPAQDPSPPPPPPPPPASLSSLLFSCTAGRARFPGEQVHARAVSLGLGAHPSVLPRLASFYIALGDLSAARAAIERAAGKARAFPWNLLIWGYADRGLWGDVVLAYERMLALGVGADRFTYPSVLRACGELQDASTGRGIEQRIQRWGYGLDMYVWNALVGMYAKCGELEDARRVFDGMPARDVVSWNAMVSGYASTGMWGEAFELLQKVPGANIVTWNIVAAGNLKAGNDGEVMRLVSQMRSSHGPGLDFVTVVIGLKACGRNGYLRIGHELHGVAVRLCLDRLERVECSLITMYSRCQMMRSAYRLFRTCSVQRMATWNSLLAGFAFMDQVEEAMLLFREMTGSTVFPNDVTVLTMLSLSARFGHLSHGREMHCYIIKHGLGGSNLLQNSLVDMYSKSRQMAAARRVFDQMQCQDRHAYTSLILGYGMQREGLVSLKLFDEMIANNVKVDHVTMVAVLSACSYSGLVTQGQLRFAEMFDVFCIAPRVEHFSCMVDLYCREGLLRMAEEIINKMPFQPTAAMLATLIEACRIHGKTEIGDQAAKRLLAMRTDNPGHYKLIANMYISAKRWPELAKVRSSMSVMELNVIPTHSLLDSEYDICPVEQDYCLKHSTHGGLSDDMTDTDFSSSEEVKFNEAFGG >PVH37151 pep chromosome:PHallii_v3.1:6:41878875:41883855:-1 gene:PAHAL_6G258200 transcript:PVH37151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTSSSQLQSSLRYCLCKYRCMCGVVAFSARAVRFTFQTSRPSLQGPRGTEGSTLNSNASGDLTPLTRLGIWAPFRHKPKSMADGDGAGVI >PVH37180 pep chromosome:PHallii_v3.1:6:42200562:42202523:1 gene:PAHAL_6G263300 transcript:PVH37180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASGFGRVVGNARSFVGNALGGLRGWSNLASWTVAGTLAYYLWVKPARELQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLSYGKKKEPSKSED >PAN35998 pep chromosome:PHallii_v3.1:6:41253305:41255371:1 gene:PAHAL_6G249700 transcript:PAN35998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSNTPPPAPVMGAPVAYPPPPGAAYAAGPYAHAPAAALYPPPPPPPPPHPSAAAAQQQQLGPAAQQQLNLFWAEQFREVEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAVARTEVFDFLVDIVPRDEAKDAEAAAAAGMGAGIPHPTAGMPAADPMGYYYVQPQ >PVH37215 pep chromosome:PHallii_v3.1:6:42978230:42979077:-1 gene:PAHAL_6G273100 transcript:PVH37215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRLGSDTSPDHSRRRRDWWGRGTNNYCLCLPPARGPIYQVTFDAFMDAFSDHVNGTSILPDRRPSGARGADSGVHPGIPVPCAPQGQTLAVRPDNLYIPLAFFVLVVSEAERFRPIRTAVTQAWDDRAPQLIPLPTIKLVVNWAEISCALLACDHYGRTEQTFF >PVH36717 pep chromosome:PHallii_v3.1:6:26420380:26421340:-1 gene:PAHAL_6G150200 transcript:PVH36717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCTVSPCTMSVWFSIKLMPLNAQFEAVNVVHCDISSLVSTGPGGGGEVIILTICGQLTTRG >PVH37422 pep chromosome:PHallii_v3.1:6:45649723:45650624:1 gene:PAHAL_6G308800 transcript:PVH37422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHGWKEIQSRFYAATGFWHDRPQFGNRYRQLRGLWQFIQQLRTDSGLGRRPDGSVVATEAWWTANTMGHPEWKKLQSGWPIYLDELDRMFMGVAVDGSSSYRTRDSSQSLRSTATSPDKKTKSPAVRAMVSQMQLHNEIQTQRNAAMKAARDCGVTEDNAQLWIGVLKIAQDKGASYFFLRSLPHGRKALIEHYARVVD >PAN33791 pep chromosome:PHallii_v3.1:6:3285591:3286190:1 gene:PAHAL_6G045100 transcript:PAN33791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGTVACGGRLSTQWRFYLGQWRQVKIAGTRSGGHHHVPPAAKLFISSTPGLRVIRVRLVAFVTVDVG >PAN35743 pep chromosome:PHallii_v3.1:6:39790833:39793675:-1 gene:PAHAL_6G230900 transcript:PAN35743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYGEGDKRWIVEERADGTNVHNWHWAERDCLEWSRARLSSLLAGLTVLDGEGGLTLRTVALDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEAASEAGAAKVAGAAEVPYLADENADEDPDLRVTVRGDETPLARRAKDAFLSRGKPLVLEKIREFVAAMAKGGPAKDELESKKTSAKPAPAAAPGAAPAAKKEEPPAPEPAAKEKKAKGKDKEGFKTIEMTEKFYCRAKDIYEILMDENRWKGFTQSNARISRDVGGEFSLFDGSITGVNEELQEGKLIAQKWRFGSWPDGLYSSVRLVFDEPESGVTIIKLKQTDVPEEDKYGNSTVVENTERGWRELIFQRIRGVFGFGI >PAN36157 pep chromosome:PHallii_v3.1:6:42415900:42420542:1 gene:PAHAL_6G266700 transcript:PAN36157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLENFVISPTENSVSPPSRKRQKTFDKDGGQCDDASHQIVIYKNDKSDRGQDEQSGSHFSLEPLKPSKTSKRASVKIGAFAAQCAKCQKWRLISTKEKYEEIRERIREDPFVCEKAREWKPDVTCNDPSDVSQDGSKLWAIDKPDIAQAPQGWERLIKIRGEGSTRFADVYYRSPTGVQLRSTNEVEKYLAEHPEYIAQGVELSHFSFKSPAPLKKDYVRKRSQTSQSGVTHTGSSNLLQLEEVQPISWAAPPENNKQMVIYNEDQPEVVPSELREPTRPGSPPPAPAV >PVH37079 pep chromosome:PHallii_v3.1:6:40468804:40476164:1 gene:PAHAL_6G237800 transcript:PVH37079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLQSCLVVIGRLLLLCRRPPPTPTPHSSTGTSSAWWSGDPSGLKADFVCWFALIIMVNENAEKDDGIDESPSASQVPENKSRHNFRLGDITWVKLGGSSWWPAQVIDESCVGSKPKKKDKYDCLVRLYGTCQYFYIDPWKSNSEFEMMLKQENKSAMEAFHEVLEKELSCVNSPSDCDEEVVNSKGGSTKVTSKKNSSRKVRKQEGLKPQYNEGEEDDQDVGSTETTGVTARKKKGGRVRQPSSIHDTIDKASSEISAEGLRNKRQKNAAQSASVGSREVLRRSARSDAKQYLVAAEENTEPLTDIHGTEDSLLYETPAPHTEIKAMVRDILFKDIIDREHDADMAYVDEVINGICSATDDIISGGAAASTKGGRGAKLSGSGVEGESSNVRQRHRDEASEDALHTTSPETMKGNTDTTHGSSGEDTGAT >PAN35831 pep chromosome:PHallii_v3.1:6:40468705:40476164:1 gene:PAHAL_6G237800 transcript:PAN35831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLQSCLVVIGRLLLLCRRPPPTPTPHSSTGTSSAWWSGDPSGLKADFVCWFALIIMVNENAEKDDGIDESPSASQVPENKSRHNFRLGDITWVKLGGSSWWPAQVIDESCVGSKPKKKDKYDCLVRLYGTCQYFYIDPWKSNSEFEMMLKQENKSAMEAFHEVLEKELSCVNSPSDCDEEVVNSKGGSTKVTSKKNSSRKVRKQEGLKPQYNEGEEDDQDVGSTETTGVTARKKKGGRVRQPSSIHDTIDKASSEISAEGLRNKRQKNAAQSASVGSREVLRRSARSDAKQYLVAAEENTEPLTDIHGTEDSLLYETPAPHTEIKAMVRDILFKDIIDREHDADMAYVDEVINGICSATDDIISGGAAASTKGGRGAKLSGSGVEGESSNVRQRHRDEASEDALHTTSPETMKGNTDTTHSREAVVKTPGQLSARQIRQIRIMQSLGLIAPSGSPFGRNEAVSAINRQR >PAN35832 pep chromosome:PHallii_v3.1:6:40468804:40476164:1 gene:PAHAL_6G237800 transcript:PAN35832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLQSCLVVIGRLLLLCRRPPPTPTPHSSTGTSSAWWSGDPSGLKADFVCWFALIIMVNENAEKDDGIDESPSASQVPENKSRHNFRLGDITWVKLGGSSWWPAQVIDESCVGSKPKKKDKYDCLVRLYGTCQYFYIDPWKSNSEFEMMLKQENKSAMEAFHEVLEKELSCVNSPSDCDEEVVNSKGGSTKVTSKKNSSRKVRKQEGLKPQYNEGEEDDQDVGSTETTGVTARKKKGGRVRQPSSIHDTIDKASSEISAEGLRNKRQKNAAQSASVGSREVLRRSARSDAKQYLVAAEENTEPLTDIHGTEDSLLYETPAPHTEIKAMVRDILFKDIIDREHDADMAYVDEVINGICSATDDIISGGAAASTKGGRGAKLSGSGVEGESSNVRQRHRDEASEDALHTTSPETMKGNTDTTHSREAVVKTPGQLSARQIRQIRIMQSLGLIAPSGSPFGRNEAVSAINRQR >PVH37078 pep chromosome:PHallii_v3.1:6:40468705:40476164:1 gene:PAHAL_6G237800 transcript:PVH37078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLQSCLVVIGRLLLLCRRPPPTPTPHSSTGTSSAWWSGDPSGLKADFVCWFALIIMVNENAEKDDGIDESPSASQVPENKSRHNFRLGDITWVKLGGSSWWPAQVIDESCVGSKPKKKDKYDCLVRLYGTCQYFYIDPWKSNSEFEMMLKQENKSAMEAFHEVLEKELSCVNSPSDCDEEVVNSKGGSTKVTSKKNSSRKVRKQEGLKPQYNEGEEDDQDVGSTETTGVTARKKKGGRVRQPSSIHDTIDKASSEISAEGLRNKRQKNAAQSASVGSREVLRRSARSDAKQYLVAAEENTEPLTDIHGTEDSLLYETPAPHTEIKAMVRDILFKDIIDREHDADMAYVDEVINGICSATDDIISGGAAASTKGGRGAKLSGSGVEGESSNVRQRHRDEASEDALHTTSPETMKGNTDTTHGSSGEDTGAT >PVH37059 pep chromosome:PHallii_v3.1:6:40097861:40100354:1 gene:PAHAL_6G233700 transcript:PVH37059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGAGAAAAAFTLWWLTRCAGAVWLELSTTATKCLSEEIQSNVVVMADYSILFEEHPVRPTVSAKVTSPYGDILHHAEKVSHGQFAFTTAESGIYLACFWAGTLEKGMVINLNLDWRIGIAAKDWDSIAKKEKIDGVALELVKLEATARAIHGNMLYLIVKEADMRNLSEWTQVKITWLSLLSLAVCITVSVLQVWHLKQFFRKKKLI >PAN35068 pep chromosome:PHallii_v3.1:6:33909111:33910581:1 gene:PAHAL_6G177500 transcript:PAN35068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDAPHVLVVDDSFVDRLVASRVLKGYDIRVTIKEGPKQALEFLNMEHDVKLIMTDYCMPDMTGYDLLMEVKESPKLKHIPVVIMSSDNIPERMKKCLDAGAKEYIIKPLNAVDVPRVLSYI >PVH37133 pep chromosome:PHallii_v3.1:6:41536224:41539844:-1 gene:PAHAL_6G253900 transcript:PVH37133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVESKRMVVVAFAAVVATLLLMLPPLGGAAPAAAATGPGSNNCTRWCGNISIPYPFGVEPGCYHGPGFNLTCRNGSRRHGPPELFLGDGNVQVLEVSVEDSTVRINSTGVVELPYDGSGRATNSTWGLGLPESGPFFLSESTNMLEAIGCNIQVSILGGGNNRLVSSCTAICPSIGPGSVTAGNGSCTGIGCCQASIVLGYPFYTIQMKWLPGDIALTLAAYIVDQSFDFSLDMINGKDPKALPATLDWIVSNSTCPTNETAFECRSTNSYCQNHTSWVHSGYLCQCSTGYEGNPYVSDGCQDIDECRFPDKYVCYGICENKPGNFSCQCSHGYTGNASVPNGCIDINECEHPEAYPCYGICLNSIGSFLCQCPNGTYGDPFTKGGCITIVKKSFTGLSIGLGVGGGTSILFLALGVPFIMHKIKLRKVKKMKEKFFKQNHGLLLQQLISQNANIGERMIISLRELEKATNNFDRARVIGGGGHGVVFKGIIDLKVVAIKKSRIVVQREIGEFINEVVVLSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHIEGPISLPWVDRIRIALEVARALSYLHSAASIPIFHRDIKSTNILLDESLTAKVSDFGTSRYIPIDRTGVTTEVQGTKGYLDPLYHYTGRLTDKSDVFSFGVLLIELLTRKQPFIYRSEHGDNLVSHFRKLLAIGNLVGIIDAQVMREEDGEVQEVAAVAAMCTKLRGEDRPTMREVETTLESILVKKKQVPCINTRRHDEVEAPVHYMSIELVSNELSRQHTIKEANTGEASRQFTMEEEVLLSESYPR >PAN34288 pep chromosome:PHallii_v3.1:6:6627186:6628090:1 gene:PAHAL_6G081200 transcript:PAN34288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPGDADAPPAVEEDGVPSKRAASAALGRIVAGLKFLPQEPELVPTGNGAFWDEVIGGSRAPSSPPRAERLLLGVKKPVQGDEGGEKPVQGDEDGEKSDVQKPAPGDEASDKPVPDDEVGEKQHFKRKRD >PVH36968 pep chromosome:PHallii_v3.1:6:38197949:38200111:-1 gene:PAHAL_6G211000 transcript:PVH36968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFSVFDVPVFWPILLCYWVVLFVLTMKRRIVHMIKYKYVPFSFGKQKYGGKKSGSSSGSPRD >PVH36967 pep chromosome:PHallii_v3.1:6:38197949:38200595:-1 gene:PAHAL_6G211000 transcript:PVH36967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFSVFDVPVFWPILLCYWVVLFVLTMKRRIVHMIKYKYVPFSFGKQKYGGKKSGSSSGSPRD >PVH37100 pep chromosome:PHallii_v3.1:6:41073165:41074467:1 gene:PAHAL_6G246100 transcript:PVH37100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFPLAAAAAAFLFALLPLAAAAATSGGKAKAKAPAAPPAPPNITALMSKGGCKAFASLVEASPDALSTFRSAVEGGVTAFCPADAALRPFMPNYRNLSADGRASLLLFHAVPVYYTLAGLKASNGPMNTLATDGSSRNYNFTVQNVGDQVSIRTEASGAAAARVRATVYDRDPVAIYAVDAVLEPVELFDPAGAPAPAPAPAANVPRASGRRARHRPRHVADSPGPAADDDAAPADQRKNAKKNAAPGAPCPRWLAAVAVAAAAALV >PAN34061 pep chromosome:PHallii_v3.1:6:5133055:5138540:1 gene:PAHAL_6G067200 transcript:PAN34061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMASISDSETTNRGSMWELDQNLDQPMDEEASQLKNMYREKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKVKRWLEGHAYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQNMSTDVVVIVAVVILIGLFCMQHYGTDKVGWLFAPLVLLWFILIGSVGVVNIHKYNSSVLKAYNPVYIFRYFKRGKSEIWTSLGGVMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIIDHKDHVADAFYRSIPGPIYWPAFIIATLAAVVASQATISATYSIIKQALALGCFPRVNVVHTSKKFLGQIYIPDINWVLMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPVMLLVWKSHWILVVIFLVLSLTVELPYFTACINKVDQGGWVPLVIAITFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFIMKRIGPKNYHMFRCVARYGYKDIHKKDEDFEKMLLDRLLVFVRLESMMDGYSDSEDFTMMEQKAERSTRALLTEKAGSNTMSSIGDMSYSSHDSIMPAKSPLTGNSLTRYSSQTFGDEQEFLNRCKDAGIVHILGNTVVLARRDSGIVKKVAVNYVYAFLRKLCRENSVIFNVPHESLLNVGQIYYI >PAN35597 pep chromosome:PHallii_v3.1:6:39008360:39009745:1 gene:PAHAL_6G220400 transcript:PAN35597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRDLLGVRKRPQPPAAAKRRRRVVAPAKAGGGAGARGLAKAIAAYLASDSYMYAPLVSAPPSPPPQPQPPAAAAPSSAPPISTPEKEVTLVQKYRSSWQATFTAY >PVH36433 pep chromosome:PHallii_v3.1:6:5556283:5557834:-1 gene:PAHAL_6G072300 transcript:PVH36433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACALRILSQGRAAPTVTPQCAVVSVVPTMCSTKYHFHGKFPCGVASKIVSNIP >PVH37175 pep chromosome:PHallii_v3.1:6:42129961:42132350:1 gene:PAHAL_6G261900 transcript:PVH37175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDSYAIGCLSTCDDRVPFRNGSCSITHGCCQLDLPKGVRNYQGYFNSFYNTIKIWRRTPCNYVAVMESAAFNFSTTYLTSTEFYDRDSSKAPVVMDWAVSRNTTCEEARINTTSYACVSDNSHCITNDAGYACSCSSGYKGNPYIVDGCKDIDECLENVTYPCAGICENTPGSFTCSCSRGKSMIDGVCVKDHRKSIIWIAPVVGTSLLLVSLGGPCIVRKIKLQKVKKMKQKFFKQNHGLLLQQLVSHNSDIGERMIITLRELEKATNNFDRARVVGGGGHGVVFKGILDLHVVAIKKSKIVVQREIDEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYNHLHVEGPISLLWVDRIRIALEVARALSYLHSAASMPIFHRDMKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTVIQGTMGYLDPMYHYTGRLTDKSDVFSFGVLLVELLTRKQPFIYRSNGGDNLVSHFENILATNNLVNIIDP >PAN35804 pep chromosome:PHallii_v3.1:6:40330549:40337908:1 gene:PAHAL_6G235700 transcript:PAN35804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMTWNVIPGTKQDATNCVVPVSAIFTPLKPNPAIPVLPYAPLRCRMCRSILNPFSIVDFVAKIWVCPFCFQRNHFPQHYSSISENNLPAELFPQYTTVEYASTAETGPVAPPVFLYVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMNFFAGKTKPTTGVIAGARDGLSTESIARFLLPASECEFVLNSVIEELQKDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTAGPGSIVSKSLSEPIRSHKDLDKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKIQGIIGPCTSLEKRSPLSSDTVIGQGNTSAWKMCGLDRKTSLCLIFDIAKKDGPESIGQSASDQFYFQFLTYYQHHEGQMRLRATTLSRKWVAGSGGVQELIAGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISLCSKFGDYHKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFTMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGITIAQWRKAGYQDQEGHEAFAQLLQAPHEEADAIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVSYNSDNPPPPGGDVIFTDDVSFQVFMDHLQRLAVQ >PVH36128 pep chromosome:PHallii_v3.1:6:327395:335321:-1 gene:PAHAL_6G004500 transcript:PVH36128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSDMDQHHHHATREAASDVLDANGDHRNMHAAHHLGQNGPGDTNSNPINHPSTDGNFSSNASCNKHGRMSRRPSASSVDDRSIKSGDDSDGAESTNGAKGSDTEISHLLNDTIWIPPEAADKEDEAESFDDDDYSDGIKWGHSSFPSPGKEDEASPSNPREEREKAMLEAMNGQLKILVSRFLASAGISSSKEEGSDSWLDIITSLSWEAALLIKPDGTMGKDMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHSPRLLLLKGVLGHSDVGLSSFNSMDQEKNLLERAIGKMMEICSPNVVLVEKTVSRNIQELLLKEGVTLILDMKLHRLERIARCTGSPIISFSEVLDKPKLKKCDYFHIEKFTEEHNTISEGGKMPSKTLMFLEGFPCPLGCTILLKGANSKELKKVKQVMHFTVFAAYHLILETSFFEDQRVFLNDKNIPKENSVSAIEGLSTTAFDLAALGGAIPNFPSHDDSPALRLFHATSNCYVDVNKTLRSPRNVEAQSSITGSSDLQEGASIRYDSSPSTNSERTATAVPGPLRKLFADNLCHQNIYLPVTSLQETNDKQKEVRVQSSQETISNGFHRAKVEESAVSSENRESTNDTQKQEINQAVMRTGSSASDKSGESPAMVENGAHCGTSIIIKEKDVDDDQADDALDSHSILILMSSQCTEKQIICEQSHLTRIKYYGNFDVSLGRYLQDILQNQKLSCSSCGEPPESHMYSYTHRNGNLTVLVKRLMPQHHLPGESEGKIWMWTRCLRCDHEHEISKSTPRVLISAEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSRVAMFRYSSVKIYTTCKPQPTLQFINPIRQEWFEGQRRHVHARGMTLYSKVATLLQKLKNEHPDAITVAINCGLSLPIKDFTELDELLIKEKASFEGSLDKAIDHNGRPSSSVHELLNINWSYQDLLLILYLWDRRLHQLFYCKSVGLQTAANCKNPADIVNEISDGNFEIGKKISGFTYDETMTAFVAASATESAGNKLYLDHQSGETGAPLLDESPEAGHSELSCNGGSKDEESSTVPGQIDIDSTTEAPKGPCFEISNEKGVQGNVTVADPTHAEQEPSTQQFKYPYWDDRERWIWNPMHESQLAYRNDIQDGYLDKFDIINHYKPSYLPPLFEQRDEAYPPQFTVGPGSNILCVQEDEISSIIARALAMSDERHHSKDSFFENEMENSRGEHARMMEKSYSFLSASSYSSSQWSSAGSQEYEASFSSLSTVSSDDFSGYDSSSLLSSAHPEMTVNGKVTFRDKYSVTSIYANEFYELRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIEFAPHYFQHVFHSLDTGSQTCLAKILGIYQVKQIRHGKEVKIDLMVMENLLFGHNISRIYDLKGATFSRRVTDSNDHDTVYLDQNYVEDMGVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPKEYKKRFRKFMSKYFLTVPDTWSPDNPSKQCKSIGHSNHKSVEVQNGDSQLQHPNEAEACA >PAN33226 pep chromosome:PHallii_v3.1:6:327546:335321:-1 gene:PAHAL_6G004500 transcript:PAN33226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSDMDQHHHHATREAASDVLDANGDHRNMHAAHHLGQNGPGDTNSNPINHPSTDGNFSSNASCNKHGRMSRRPSASSVDDRSIKSGDDSDGAESTNGAKGSDTEISHLLNDTIWIPPEAADKEDEAESFDDDDYSDGIKWGHSSFPSPGKEDEASPSNPREEREKAMLEAMNGQLKILVSRFLASAGISSSKEEGSDSWLDIITSLSWEAALLIKPDGTMGKDMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHSPRLLLLKGVLGHSDVGLSSFNSMDQEKNLLERAIGKMMEICSPNVVLVEKTVSRNIQELLLKEGVTLILDMKLHRLERIARCTGSPIISFSEVLDKPKLKKCDYFHIEKFTEEHNTISEGGKMPSKTLMFLEGFPCPLGCTILLKGANSKELKKVKQVMHFTVFAAYHLILETSFFEDQRVFLNDKNIPKENSVSAIEGLSTTAFDLAALGGAIPNFPSHDDSPALRLFHATSNCYVDVNKTLRSPRNVEAQSSITGSSDLQEGASIRYDSSPSTNSERTATAVPGPLRKLFADNLCHQNIYLPVTSLQETNDKQKEVRVQSSQETISNGFHRAKVEESAVSSENRESTNDTQKQEINQAVMRTGSSASDKSGESPAMVENGAHCGTSIIIKEKDVDDDQADDALDSHSILILMSSQCTEKQIICEQSHLTRIKYYGNFDVSLGRYLQDILQNQKLSCSSCGEPPESHMYSYTHRNGNLTVLVKRLMPQHHLPGESEGKIWMWTRCLRCDHEHEISKSTPRVLISAEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSRVAMFRYSSVKIYTTCKPQPTLQFINPIRQEWFEGQRRHVHARGMTLYSKVATLLQKLKNEHPDAITVAINCGLSLPIKDFTELDELLIKEKASFEGSLDKAIDHNGRPSSSVHELLNINWSYQDLLLILYLWDRRLHQLFYCKSVGLQTAANCKNPADIVNEISDGNFEIGKKISGFTYDETMTAFVAASATESAGNKLYLDHQSGETGAPLLDESPEAGHSELSCNGGSKDEESSTVPGQIDIDSTTEAPKGPCFEISNEKGVQGNVTVADPTHAEQEPSTQQFKYPYWDDRERWIWNPMHESQLAYRNDIQDGYLDKFDIINHYKPSYLPPLFEQRDEAYPPQFTVGPGSNILCVQEDEISSIIARALAMSDERHHSKDSFFENEMENSRGEHARMMEKSYSFLSASSYSSSQWSSAGSQEYEASFSSLSTVSSDDFSGYDSSSLLSSAHPEMTVNGKVTFRDKYSVTSIYANEFYELRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIEFAPHYFQHVFHSLDTGSQTCLAKILGIYQVKQIRHGKEVKIDLMVMENLLFGHNISRIYDLKGATFSRRVTDSNDHDTVYLDQNYVEDMGVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPKEYKKRFRKFMSKYFLTVPDTWSPDNPSKQCKSIGHSNHKSVEVQNGDSQLQHPNEAEACA >PAN34438 pep chromosome:PHallii_v3.1:6:8671379:8674632:1 gene:PAHAL_6G092300 transcript:PAN34438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLATSASSRPTPTPTPPAAPPPAAAALPSSPWHSPVPYLFGGLAAMLALITLALLILACSYWKLNNYLGTGGGDAVSSAPGATDGGGGSKSPAAAAAASPAVFSDLVAVVMAGEKTPTFLAAPIVRQARGNNSDDTAAGEEGSPETEDQEKHRGTAGEGESGAVADDTERDRQLDHV >PAN34440 pep chromosome:PHallii_v3.1:6:8671379:8674632:1 gene:PAHAL_6G092300 transcript:PAN34440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLATSASSRPTPTPTPPAAPPPAAAALPSSPWHSPVPYLFGGLAAMLALITLALLILACSYWKLNNYLGTGGGDAVSSAPGATDGGGGSKSPAAAAAASPAVFSDLVAVVMAGEKTPTFLAAPIVRQARGNNSDDTAAGEEGSPETEDQEKHRGTAGEGESGAVADDTERDRQLDHV >PAN34439 pep chromosome:PHallii_v3.1:6:8671379:8674632:1 gene:PAHAL_6G092300 transcript:PAN34439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLATSASSRPTPTPTPPAAPPPAAAALPSSPWHSPVPYLFGGLAAMLALITLALLILACSYWKLNNYLGTGGGDAVSSAPGATDGGGGSKSPAAAAAASPAVFSDLVAVVMAGEKTPTFLAAPIVRQARGNNSDDTAAGEEGSPETEDQEKHRGTAGEGESGAVADDTERDRQLDHV >PAN34374 pep chromosome:PHallii_v3.1:6:7831852:7832649:1 gene:PAHAL_6G088200 transcript:PAN34374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASSAGLVVLCLASLLLISSLADEASGALDAGKNMTSGGVVDADYPTYGPPTYGAVNRIAARCVLVVLCCMFSFLF >PAN35799 pep chromosome:PHallii_v3.1:6:40238329:40238878:-1 gene:PAHAL_6G235100 transcript:PAN35799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFVFSRPASAGNHTRSMLPVRREKAARRGSRAVSSSPGGVGSTVTTDADPGTAAVELSAIGEWRPRRSSFRATEAGC >PVH37015 pep chromosome:PHallii_v3.1:6:38934941:38935279:1 gene:PAHAL_6G219500 transcript:PVH37015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALAAAAHLACAFGAALYWAAGHAGHTTTALPCGDVHASAAAATPLLLLLVATTYFLAVALVYLELAVAANPSLVAARRLAAATASAAAVTSLLALSAAVYGGLRPHEI >PAN33636 pep chromosome:PHallii_v3.1:6:2556913:2572283:-1 gene:PAHAL_6G035300 transcript:PAN33636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSGGGARPWRTALLTLRDESLASPSPTALLALLRRVLLSPASLSLAASAAALSPHEVGSDVAFLAETAAAVASCPDADDALRGVCHLIHDIMCKTNMKIDSSGWLAILKFLDKLVKCSIEGACVKGLSFRTAALNTASECLQILRFWSRDYGRGSSLNENSHSLTVLISIVSCLQAELNLSDKANGIGISPRDSGSTNNKNSNTWDMIISAFSMVEDVLCKIASSMTEDLWQSLIEVLRKVMDFVTARNLIIESSIMSRFYTSFLRCLHLVLSDPKGSVSGHVAGFVANLQMFFVYGLRSASPPALGPKEIRTDLKPRASHRGRYRPPHLRNKAGREDDSLEGQSSDSEYSRYDLSSSDSDLSDSDGYAKSGDRFRSSKARLAAILCIQDICRADPKLLTSQWPVLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIATMLEGQALVLTQVAEYKESSKRGSFTTLSCSLGQILMQLHTGALYLIQRETQATLLAALFRVLILMISATPYARMPKELLPSVINVLCSRLPNTHSNKSEHYALLVNVLSCLEAAFSKVPPTLDVLAVLTQDCFAGPSHDQQEPSVVAVLLHCIEEEMHFSVRCGAFQVLRSVVHNYPSCANMLWEKIRDNVLDLLQIQSFEDQKCDANFGLPGSKEDSSIKGRCLVAGIKVMDECLRVSSGFKGADDIKECRLMDIQQISDCTVSKIIKSAPHFEVEGAGSSQNCTLDITLGTSRWIEVRTASLTCFAGMTSDVFFSLPENMRDYVTASSVHAALRDAVPSVRSAACRAIGIIACFPEILSSPSLPDKFIDAIELNTRNSSAPVRVTASWALANLCSCIRFKALEVYIDTSAGVLNKSSISLLVEIALRLVKDGEKVKSNAVRALGYLSRFIRFNHQADKINDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALEALSSNNSSLPSNFKQKDNLEKQLTFTALHLLGFVSPNEDPSLKDFLIKKASILEDWLKSLCTSFNNSEHQTLPTEAINDEDGFSPNVTQKVMLSSAVRSLLGIYAGRNQQAITQRFEQLAANVA >PAN33634 pep chromosome:PHallii_v3.1:6:2556912:2572284:-1 gene:PAHAL_6G035300 transcript:PAN33634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSGGGARPWRTALLTLRDESLASPSPTALLALLRRVLLSPASLSLAASAAALSPHEVGSDVAFLAETAAAVASCPDADDALRGVCHLIHDIMCKTNMKIDSSGWLAILKFLDKLVKCSIEGACVKGLSFRTAALNTASECLQILRFWSRDYGRANGIGISPRDSGSTNNKNSNTWDMIISAFSMVEDVLCKIASSMTEDLWQSLIEVLRKVMDFVTARNLIIESSIMSRFYTSFLRCLHLVLSDPKGSVSGHVAGFVANLQMFFVYGLRSASPPALGPKEIRTDLKPRASHRGRYRPPHLRNKAGREDDSLEGQSSDSEYSRYDLSSSDSDLSDSDGYAKSGDRFRSSKARLAAILCIQDICRADPKLLTSQWPVLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIATMLEGQALVLTQVAEYKESSKRGSFTTLSCSLGQILMQLHTGALYLIQRETQATLLAALFRVLILMISATPYARMPKELLPSVINVLCSRLPNTHSNKSEHYALLVNVLSCLEAAFSKVPPTLDVLAVLTQDCFAGPSHDQQEPSVVAVLLHCIEEEMHFSVRCGAFQVLRSVVHNYPSCANMLWEKIRDNVLDLLQIQSFEDQKCDANFGLPGSKEDSSIKGRCLVAGIKVMDECLRVSSGFKGADDIKECRLMDIQQISDCTVSKIIKSAPHFEVEGAGSSQNCTLDITLGTSRWIEVIETHLPCGLSHDSAMVRTASLTCFAGMTSDVFFSLPENMRDYVTASSVHAALRDAVPSVRSAACRAIGIIACFPEILSSPSLPDKFIDAIELNTRNSSAPVRVTASWALANLCSCIRFKALEVYIDTSAGVLNKSSISLLVEIALRLVKDGEKVKSNAVRALGYLSRFIRFNHQADKINDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALEALSSNNSSLPSNFKQKDNLEKQLTFTALHLLGFVSPNEDPSLKDFLIKKASILEDWLKSLCTSFNNSEHQTLPTEAINDEDGFSPNVTQKVMLSSAVRSLLGIYAGRNQQAITQRFEQLAANVA >PAN33638 pep chromosome:PHallii_v3.1:6:2557405:2572169:-1 gene:PAHAL_6G035300 transcript:PAN33638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSGGGARPWRTALLTLRDESLASPSPTALLALLRRVLLSPASLSLAASAAALSPHEVGSDVAFLAETAAAVASCPDADDALRGVCHLIHDIMCKTNMKIDSSGWLAILKFLDKLVKCSIEGACVKGLSFRTAALNTASECLQILRFWSRDYGRGSSLNENSHSLTVLISIVSCLQAELNLSDKANGIGISPRDSGSTNNKNSNTWDMIISAFSMVEDVLCKIASSMTEDLWQSLIEVLRKVMDFVTARNLIIESSIMSRFYTSFLRCLHLVLSDPKGSVSGHVAGFVANLQMFFVYGLRSASPPALGPKEIRTDLKPRASHRGRYRPPHLRNKAGREDDSLEGQSSDSEYSRYDLSSSDSDLSDSDGYAKSGDRFRSSKARLAAILCIQDICRADPKLLTSQWPVLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIATMLEGQALVLTQVAEYKESSKRGSFTTLSCSLGQILMQLHTGALYLIQRETQATLLAALFRVLILMISATPYARMPKELLPSVINVLCSRLPNTHSNKSEHYALLVNVLSCLEAAFSKVPPTLDVLAVLTQDCFAGPSHDQQEPSVVAVLLHCIEEEMHFSVRCGAFQVLRSVVHNYPSCANMLWEKIRDNVLDLLQIQSFEDQKCDANFGLPGSKEDSSIKGRCLVAGIKVMDECLRVSSGFKGADDIKECRLMDIQQISDCTVSKIIKSAPHFEVEGAGSSQNCTLDITLGTSRWIEVIETHLPCGLSHDSAMVRTASLTCFAGMTSDVFFSLPENMRDYVTASSVHAALRDAVPSVRSAACRAIGIIACFPEILSSPSLPDKFIDAIELNTRNSSAPVRVTASWALANLCSCIRFKALEVYIDTSAGVLNKSSISLLVEIALRLVKDGEKVKSNAVRALGYLSRFIRFNHQADKINDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALEALSSNNSSLPSNFKQKDNLEKQLTFTALHLLGFVSPNEDPSLKDFLIKKASILEDWLKSLCTSFNNSEHQTLPTEAINDEDGFSPNVTQKVMLSSAVRSLLGIYAGRNQQAITQRFEQLAANVA >PAN33639 pep chromosome:PHallii_v3.1:6:2557405:2572169:-1 gene:PAHAL_6G035300 transcript:PAN33639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSGGGARPWRTALLTLRDESLASPSPTALLALLRRVLLSPASLSLAASAAALSPHEVGSDVAFLAETAAAVASCPDADDALRGVCHLIHDIMCKTNMKIDSSGWLAILKFLDKLVKCSIEGACVKGLSFRTAALNTASECLQILRFWSRDYGRGSSLNENSHSLTVLISIVSCLQAELNLSDKANGIGISPRDSGSTNNKNSNTWDMIISAFSMVEDVLCKIASSMTEDLWQSLIEVLRKVMDFVTARNLIIESSIMSRFYTSFLRCLHLVLSDPKGSVSGHVAGFVANLQMFFVYGLRSASPPALGPKEIRTDLKPRASHRGRYRPPHLRNKAGREDDSLEGQSSDSEYSRYDLSSSDSDLSDSDGYAKSGDRFRSSKARLAAILCIQDICRADPKLLTSQWPVLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIATMLEGQALVLTQVAEYKESSKRGSFTTLSCSLGQILMQLHTGALYLIQRETQATLLAALFRVLILMISATPYARMPKELLPSVINVLCSRLPNTHSNKSEHYALLQVNVLSCLEAAFSKVPPTLDVLAVLTQDCFAGPSHDQQEPSVVAVLLHCIEEEMHFSVRCGAFQVLRSVVHNYPSCANMLWEKIRDNVLDLLQIQSFEDQKCDANFGLPGSKEDSSIKGRCLVAGIKVMDECLRVSSGFKGADDIKECRLMDIQQISDCTVSKIIKSAPHFEVEGAGSSQNCTLDITLGTSRWIEVIETHLPCGLSHDSAMVRTASLTCFAGMTSDVFFSLPENMRDYVTASSVHAALRDAVPSVRSAACRAIGIIACFPEILSSPSLPDKFIDAIELNTRNSSAPVRVTASWALANLCSCIRFKALEVYIDTSAGVLNKSSISLLVEIALRLVKDGEKVKSNAVRALGYLSRFIRFNHQADKINDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALEALSSNNSSLPSNFKQKDNLEKQLTFTALHLLGFVSPNEDPSLKDFLIKKASILEDWLKSLCTSFNNSEHQTLPTEAINDEDGFSPNVTQKVMLSSAVRSLLGIYAGRNQQAITQRFEQLAANVA >PAN33635 pep chromosome:PHallii_v3.1:6:2557405:2572169:-1 gene:PAHAL_6G035300 transcript:PAN33635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSGGGARPWRTALLTLRDESLASPSPTALLALLRRVLLSPASLSLAASAAALSPHEVGSDVAFLAETAAAVASCPDADDALRGVCHLIHDIMCKTNMKIDSSGWLAILKFLDKLVKCSIEGACVKGLSFRTAALNTASECLQILRFWSRDYGRANGIGISPRDSGSTNNKNSNTWDMIISAFSMVEDVLCKIASSMTEDLWQSLIEVLRKVMDFVTARNLIIESSIMSRFYTSFLRCLHLVLSDPKGSVSGHVAGFVANLQMFFVYGLRSASPPALGPKEIRTDLKPRASHRGRYRPPHLRNKAGREDDSLEGQSSDSEYSRYDLSSSDSDLSDSDGYAKSGDRFRSSKARLAAILCIQDICRADPKLLTSQWPVLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIATMLEGQALVLTQVAEYKESSKRGSFTTLSCSLGQILMQLHTGALYLIQRETQATLLAALFRVLILMISATPYARMPKELLPSVINVLCSRLPNTHSNKSEHYALLQVNVLSCLEAAFSKVPPTLDVLAVLTQDCFAGPSHDQQEPSVVAVLLHCIEEEMHFSVRCGAFQVLRSVVHNYPSCANMLWEKIRDNVLDLLQIQSFEDQKCDANFGLPGSKEDSSIKGRCLVAGIKVMDECLRVSSGFKGADDIKECRLMDIQQISDCTVSKIIKSAPHFEVEGAGSSQNCTLDITLGTSRWIEVIETHLPCGLSHDSAMVRTASLTCFAGMTSDVFFSLPENMRDYVTASSVHAALRDAVPSVRSAACRAIGIIACFPEILSSPSLPDKFIDAIELNTRNSSAPVRVTASWALANLCSCIRFKALEVYIDTSAGVLNKSSISLLVEIALRLVKDGEKVKSNAVRALGYLSRFIRFNHQADKINDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALEALSSNNSSLPSNFKQKDNLEKQLTFTALHLLGFVSPNEDPSLKDFLIKKASILEDWLKSLCTSFNNSEHQTLPTEAINDEDGFSPNVTQKVMLSSAVRSLLGIYAGRNQQAITQRFEQLAANVA >PAN33637 pep chromosome:PHallii_v3.1:6:2556913:2572283:-1 gene:PAHAL_6G035300 transcript:PAN33637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSGGGARPWRTALLTLRDESLASPSPTALLALLRRVLLSPASLSLAASAAALSPHEVGSDVAFLAETAAAVASCPDADDALRGVCHLIHDIMCKTNMKIDSSGWLAILKFLDKLVKCSIEGACVKGLSFRTAALNTASECLQILRFWSRDYGRGSSLNENSHSLTVLISIVSCLQAELNLSDKANGIGISPRDSGSTNNKNSNTWDMIISAFSMVEDVLCKIASSMTEDLWQSLIEVLRKVMDFVTARNLIIESSIMSRFYTSFLRCLHLVLSDPKGSVSGHVAGFVANLQMFFVYGLRSASPPALGPKEIRTDLKPRASHRGRYRPPHLRNKAGREDDSLEGQSSDSEYSRYDLSSSDSDLSDSDGYAKSGDRFRSSKARLAAILCIQDICRADPKLLTSQWPVLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIATMLEGQALVLTQVAEYKESSKRGSFTTLSCSLGQILMQLHTGALYLIQRETQATLLAALFRVLILMISATPYARMPKELLPSVINVLCSRLPNTHSNKSEHYALLQVNVLSCLEAAFSKVPPTLDVLAVLTQDCFAGPSHDQQEPSVVAVLLHCIEEEMHFSVRCGAFQVLRSVVHNYPSCANMLWEKIRDNVLDLLQIQSFEDQKCDANFGLPGSKEDSSIKGRCLVAGIKVMDECLRVSSGFKGADDIKECRLMDIQQISDCTVSKIIKSAPHFEVEGAGSSQNCTLDITLGTSRWIEVRTASLTCFAGMTSDVFFSLPENMRDYVTASSVHAALRDAVPSVRSAACRAIGIIACFPEILSSPSLPDKFIDAIELNTRNSSAPVRVTASWALANLCSCIRFKALEVYIDTSAGVLNKSSISLLVEIALRLVKDGEKVKSNAVRALGYLSRFIRFNHQADKINDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALEALSSNNSSLPSNFKQKDNLEKQLTFTALHLLGFVSPNEDPSLKDFLIKKASILEDWLKSLCTSFNNSEHQTLPTEAINDEDGFSPNVTQKVMLSSAVRSLLGIYAGRNQQAITQRFEQLAANVA >PVH36405 pep chromosome:PHallii_v3.1:6:5210714:5211532:1 gene:PAHAL_6G068400 transcript:PVH36405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRRRGWLAGGSTAGEVGGGRGRREWPAGVRWMAAALVAVPSATVGGGPWGWRWGGV >PAN36754 pep chromosome:PHallii_v3.1:6:45581601:45584104:-1 gene:PAHAL_6G307500 transcript:PAN36754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDASKKKAAAAAKRGAKAPVAPSSSSKGAAAAPPKSIDAVAALNLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNTITYTHSVMTKRYGLLGLNGCGKSTLLTAVGCRELPIPEHMDIYHLTREIEASDMSALQAVVSCDEERVKLEKEAEILAAQDDGGGGALDRVYERLEAIDASTAEKRAAEMLFGLGFNKQMQAKKTRDFSGGRRMRIALARALFMNRTILLLDEPTNHLDLEGCVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRCELEENQMKQYRWEQEQIASMEEYIARFGHGSGKLARQAQSKEKTLAKMERGGLTEKNCAGCPNGAGKSTLLKLMTGELSPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALEYMVKEYPGNGEERMRAAVGKFGLPGKAQVMPMRNLSDGQRSRVIFAWLACRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGVVLVSHDFRLINQVALEIWVC >PAN36048 pep chromosome:PHallii_v3.1:6:44306520:44309014:1 gene:PAHAL_6G288600 transcript:PAN36048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLCLLATSNLVNTMFQILSFEFLRGFCVLNFCKSYDPYQLAGARWGHISHGRRFWWARLAASEWWKPANSLSTLGSSPPSSCSLLSPRPSMAAAPASSSASASASPLLLPSGPRRASASRAWLRGGGASRSRALGSDAPCCCKAAAAGGSAAQCGATDGFVISSGSSTRRGLLGVALGASALGLAALDAVAAGLPPEEKPKLCDAACESELENVPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQVIQGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVPPSSPVVFDVNLLYIPGLDDE >PVH36481 pep chromosome:PHallii_v3.1:6:6716588:6724212:1 gene:PAHAL_6G081900 transcript:PVH36481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRSSSSLPLAMASPSFQTPVLTVVLLLAAGAADTVGVVCNYKTCYFDRQECSTSGNFTAGSQYHANLLNLIGDLPPSTIANDGFAEITAGSAPDRVFGLAMCYADRNLTQCQDCLRNVSGYVQQECPFSRQAKISGDACILRYSNLSFFSDADREIVSYASSEASPDPYVSDAATMNAERWTLMSGLVSEAASSLLRFANGSKEYTDSQGNAQQVIYGLAQCTRDLNDSMCSECLQNMVIELNSSRPNNTYGAVKCYSCYVAYSIREDVGITVPLPPPPPPPPLTVPPALYIAGVTIVSVIVISTCILVSFLLRCTGFLVSFLLRRTGILVCFLLRRRRSKGRQIGEDVPGEEAPEDEFEEQAGPRRFRYSELEAATNFFSEKEKLGEGGFGSVYQGHLKDTDLHVAVKRVSKSSGQGRKEYNSEVKIISQLRHRNLVQLIGWCHDGGELLLVYELMPNGSLNTHIHSQNNVMSWQLRYDIVLGIGSALLYLHQDSERCILHRDIKPSNIMLDASFTAKLGDFGLARLMDRDRQSHTTALAGTMWYMDPECLLSGKASTSSDVYSFGVVLLEVACGKHPIVEVSDDTDEEYATIHLVQWVWEFYGRGRIIELRTCG >PAN35851 pep chromosome:PHallii_v3.1:6:40586443:40591135:-1 gene:PAHAL_6G239700 transcript:PAN35851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPSNGRRRLLVLVAAAVAGAAADTVTAQQGPPTGPGPSYFDPKNFNPSMAVVMVVLVTAFFLLGFFSIYLRRCAGPPLGGPDEYPAGGRRPGVAYASRSRRARGLDRAVLESFPTMAYADVRAHRAGKGELECAVCLSEFDDDETLRLLPRCAHAFHADCIDAWLASHVTCPVCRAVLAPDYEAPAPPLAPAGVVPAAEQDAPAVPVPQQPAAEPATAPEQAVVVVVDAEETEEERIRREEAAELMRIGSVKRALRSKSGRRPAQFPRSHTTGHSLAAPADAAAAAAETSERYTLRLPEHVLREVVAAGNLRRSASVQTGGDGSVRRVFLGARTGRSVRLGSSGRWPNMSMLARTFSARLPAWGSMRRGEADAPAKGAKVAGDGKTVEQCDGGACPLGAHV >PAN35852 pep chromosome:PHallii_v3.1:6:40589030:40591135:-1 gene:PAHAL_6G239700 transcript:PAN35852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPSNGRRRLLVLVAAAVAGAAADTVTAQQGPPTGPGPSYFDPKNFNPSMAVVMVVLVTAFFLLGFFSIYLRRCAGPPLGGPDEYPAGGRRPGVAYASRSRRARGLDRAVLESFPTMAYADVRAHRAGKGELECAVCLSEFDDDETLRLLPRCAHAFHADCIDAWLASHVTCPVCRAVLAPDYEAPAPPLAPAGVVPAAEQDAPAVPVPQQPAAEPATAPEQAVVVVVDAEETEEERIRREEAAELMRIGSVKRALRSKSGRRPAQFPRSHTTGHSLAAPADAAAAAAETSERYTLRLPEHVLREVVAAGNLRRSASVQTGGDGSVRRVFLGARTGRSVRLGSSGRWPNMSMLARTFSARLPAWGSMRRGEADAPAKGAKVAGDGKTVEQCDGGACPLGAHV >PAN35853 pep chromosome:PHallii_v3.1:6:40589029:40591136:-1 gene:PAHAL_6G239700 transcript:PAN35853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPSNGRRRLLVLVAAAVAGAAADTVTAQQGPPTGPGPSYFDPKNFNPSMAVVMVVLVTAFFLLGFFSIYLRRCAGPPLGGPDEYPAGGRRPGVAYASRSRRARGLDRAVLESFPTMAYADVRAHRAGKGELECAVCLSEFDDDETLRLLPRCAHAFHADCIDAWLASHVTCPVCRAVLAPDYEAPAPPLAPAGVVPAAEQDAPAVPVPQQPAAEPATAPEQAVVVVVDAEETEEERIRREEAAELMRIGSVKRALRSKSGRRPAQFPRSHTTGHSLAAPADAAAAAAETSERYTLRLPEHVLREVVAAGNLRRSASVQTGGDGSVRRVFLGARTGRSVRLGSSGRWPNMSMLARTFSARLPAWGSMRRGEADAPAKGAKVAGDGKTVEQCDGGACPLGAHV >PAN35101 pep chromosome:PHallii_v3.1:6:34514156:34515499:-1 gene:PAHAL_6G181100 transcript:PAN35101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRRLLLLFLANVVATAAPALAIFHFDFRFDTDGPFSGGMMSRHDMWRRRAAESKARDAKNSARLAKAVGKGGGDDITAADVTVTPYTHQGHWLTVGIGTPPQPSKVILDMGSDLLWTQCSLVGPTVVQEEPVYDPSKSSSFSLLPCGSKHCEEGAFTSKNCTDKRCAYENYYGVLTATGVLATETFTFGAHHNASVNLTFGCGKLTNGTIASASGILGLSPGPLSLLKQLAIPKFSYCLTPFTDRKTSPVMFGAMADLGKYKTTGKVQTVPLLKNPVEDIYYYVPMVGISLGSKRLNVPAESLALKPDGTGGTAVDSATTLAYLVEPALTELNKAVMEGLKLPVANKTVDDYPLCFELPRGTSMDGVEAPPLVLHFDGGADMMLPRDNYFQEPSPGMMCLAVVRPPFKGAPSVIGNVQQQNMHVLYDLGERKFSYAPTQCDKL >PAN36176 pep chromosome:PHallii_v3.1:6:42351497:42352270:-1 gene:PAHAL_6G265400 transcript:PAN36176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAPTSGSSGAARKGSRRGGSRRPAIARPAPARRAGGGLHRDLGWVGVRERLWGGFAAEIRIPSSRSRVWIGRFDHALQAALAYDAAMFLFYGQKLPKLRRYNFPETPRPNVPEVVRKGLTVANVKAIAEKHGRSFGRFLPLPPPVIPAAPPAAAPPQMAEAGGAAAAAAAAAGAGVAHAAPLQLAEAAGAGGVAVDATPAGAGATTAAADRHGADEVYIDAEILTAADCQLPCNPDDDFIGLLDLDMDLIFSEI >PVH37139 pep chromosome:PHallii_v3.1:6:41588877:41591045:-1 gene:PAHAL_6G254500 transcript:PVH37139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLVLPMLLMQLAAAAATPLAENITLDGCERKCGEVDVPYPFGTSYGCHRRGFKVTCDRTSSPPKLFLGGDSVGLEVLEISVQNRTVRVRATVWSFAVGNTSDEVFNVVPENLEPYVLSANRNSLVIVGCGFQATARTTMSLQQGAAMFPWCTSNCTVQDQQKVQPAGRCAGVGCCEAAIPTGLTSFNIQFLWLDMNATARPPWMTPNVSVLVVEQEWWRDTNTSAFKVGQSSCTAAQTRPEFGCVSKNSECINSTSSAYGYVCQCNDGYDGNPYVPDGCQGSLRKHVTAVFLAIGIDIGLFLLLLGLAAIFANRILSIRKEKKMREYFFKQNRGLLLRQLVDKDIAEKMIFGLEELRKATNKFDEARVLGDGEHGTVYKGMLSNQHVVAIKKSRVVVQKEIDEFINEVAILSQINHRNIVKLFGCCLETEVPLLVYEFISNGTLYAHLHLLPLLWYTGTSRQLTYYLIEGISVDQSVVTTGIKGTHGYLDPEYFYTGRLTEKSDVYSYGIILVELLTRKKPSVYMSPEGVNLVAHFIMLLNQDKLNEILDEQVAEEGEHEAKQVAAIAAKCLRLNGQNRPTTRNVEMRLQCSDIDISGVEEHLTYLGGNGEASYNYCSRQYSMEEEILLSASLER >PVH36623 pep chromosome:PHallii_v3.1:6:18287454:18288505:-1 gene:PAHAL_6G124300 transcript:PVH36623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSGSGVDQPAAPRRRARNNTNPDPQPSQPHQTPPVGMEQFLAAQTQLLTNMANTIANMQAQMNQAPPPPPPPARDRHREFMSHKPPTFSHSPDPLQADDWIKTMW >PAN34363 pep chromosome:PHallii_v3.1:6:7539106:7541382:-1 gene:PAHAL_6G087000 transcript:PAN34363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQQSAAASSSASLDAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTAGSDHAAPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEARARGADSWPTVEHLAQDRHAAREGFSGASFELPQETLAAGDEFSSNIAPATTVATGDEPPPEEAPPVEKDPFAASDLINNKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDRAITGLELLATSGQPPNAAAGTPLENLLVTKRTEMTAPELFIAEEINAEFKESILVRVGLKGTIFLRTLPLNKAAGKETEFSFRLEGTSGMKRAALQSNVLSNLQNGLFHVRTVSKEEPIPLMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHISDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGPFTLSGIKLRPATEGIAQFNEVAHTFSSGSYLCV >PVH37194 pep chromosome:PHallii_v3.1:6:42611071:42614862:1 gene:PAHAL_6G268800 transcript:PVH37194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSALRAAGRLRWVPRVQPVVERSESYLAPHRCATASPFWDVQEPSPTSSCGLAPVGHGYQLRPYSAAAVRRRKEKPLGKDDDDEGVDKELKRIERRRVYWTAQQTFMEYLHVTRGLLFADAEHISKHSPAFVSKLLDEVKDAIEDPVEGGEEVVFRSKVKKTEARDQRATKALVRLFRYHPINEFEPFFESMGLKPGEYYSFLPRDLMFLADDERLLENYHVLCNYGFMRTKIGKIYKAAAELFSSGDNLLPSKLSALEDLGFSKTTVIKLVTSCPDILVCDLNAEFKIMQWLDDAGIPRDWIGQFLSVRKSYNWKKMVEVPQFFTELGFDKEGIGNLIRQNPDFLLDGSGKELFRAVTIMLKAGSGKKGLFNLFLGFPDVPTRNFTRNIQSGILLLANIGASDEDIKQFVNANASILGSARVKAANSILTNLSVGKTRLWKIIKEEPRQLMKYTFGSKLGRLPPCDRSTDKSLLEKVKFLKGIGFVEGSEDMKKALKAFRGKGDELQDRFDFLVKTGLSPKDVVSMIKTSPHVLNQKIHVLESKISFLLNETSYPLSALVAFPSFLSFTVERTKVRFLMYNWLQERGLAAPNFALATFLACSEKDFMKYFVGKHEMGPEVFEKFKREVAETKNMHCTSDD >PAN36135 pep chromosome:PHallii_v3.1:6:42611088:42614862:1 gene:PAHAL_6G268800 transcript:PAN36135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSALRAAGRLRWVPRVQPVVERSESYLAPHRCATASPFWDVQEPSPTSSCGLAPVGHGYQLRPYSAAAVRRRKEKPLGKDDDDEGVDKELKRIERRRVYWTAQQTFMEYLHVTRGLLFADAEHISKHSPAFVSKLLDEVKDAIEDPVEGGEEVVFRSKVKKTEARDQRATKALVRLFRYHPINEFEPFFESMGLKPGEYYSFLPRDLMFLADDERLLENYHVLCNYGFMRTKIGKIYKAAAELFSSGDNLLPSKLSALEDLGFSKTTVIKLVTSCPDILVCDLNAEFKIMQWLDDAGIPRDWIGQFLSVRKSYNWKKMVEVPQFFTELGFDKEGIGNLIRQNPDFLLDGSGKELFRAVTIMLKAGSGKKGLFNLFLGFPDVPTRNFTRNIQSGILLLANIGASDEDIKQFVNANASILGSARVKAANSILTNLSVGKTRLWKIIKEEPRQLMKYTFGSKLGRLPPCDRSTDKSLLEKVKFLKGIGFVEGSEDMKKALKAFRGKGDELQDRFDFLVKTGLSPKDVVSMIKTSPHVLNQKIHVLESKISFLLNETSYPLSALVAFPSFLSFTVERTKVRFLMYNWLQERGLAAPNFALATFLACSEKDFMKYFVGKHEMGPEVFEKFKREVAETKNMHCTSDD >PAN36134 pep chromosome:PHallii_v3.1:6:42611088:42614862:1 gene:PAHAL_6G268800 transcript:PAN36134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSALRAAGRLRWVPRVQPVVERSESYLAPHRCATASPFWDVQEPSPTSSCGLAPVGHGYQLRPYSAAAVRRRKEKPLGKDDDDEGVDKELKRIERRRVYWTAQQTFMEYLHVTRGLLFADAEHISKHSPAFVSKLLDEVKDAIEDPVEGGEEVVFRSKVKKTEARDQRATKALVRLFRYHPINEFEPFFESMGLKPGEYYSFLPRDLMFLADDERLLENYHVLCNYGFMRTKIGKIYKAAAELFSSGDNLLPSKLSALEDLGFSKTTVIKLVTSCPDILVCDLNAEFKIMQWLDDAGIPRDWIGQFLSVRKSYNWKKMVEVPQFFTELGFDKEGIGNLIRQNPDFLLDGSGKELFRAVTIMLKAGSGKKGLFNLFLGFPDVPTRNFTRNIQSGILLLANIGASDEDIKQFVNANASILGSARVKAANSILTNLSVGKTRLWKIIKEEPRQLMKYTFGSKLGRLPPCDRSTDKSLLEKVKFLKGIGFVEGSEDMKKALKAFRGKGDELQDRFDFLVKTGLSPKDVVSMIKTSPHVLNQKIHVLESKISFLLNETSYPLSALVAFPSFLSFTVERTKVRFLMYNWLQERGLAAPNFALATFLACSEKDFMKYFVGKHEMGPEVFEKFKREVAETKNMHCTSDD >PAN36133 pep chromosome:PHallii_v3.1:6:42611532:42613466:1 gene:PAHAL_6G268800 transcript:PAN36133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSALRAAGRLRWVPRVQPVVERSESYLAPHRCATASPFWDVQEPSPTSSCGLAPVGHGYQLRPYSAAAVRRRKEKPLGKDDDDEGVDKELKRIERRRVYWTAQQTFMEYLHVTRGLLFADAEHISKHSPAFVSKLLDEVKDAIEDPVEGGEEVVFRSKVKKTEARDQRATKALVRLFRYHPINEFEPFFESMGLKPGEYYSFLPRDLMFLADDERLLENYHVLCNYGFMRTKIGKIYKAAAELFSSGDNLLPSKLSALEDLGFSKTTVIKLVTSCPDILVCDLNAEFKIMQWLDDAGIPRDWIGQFLSVRKSYNWKKMVEVPQFFTELGFDKEGIGNLIRQNPDFLLDGSGKELFRAVTIMLKAGSGKKGLFNLFLGFPDVPTRNFTRNIQSGILLLANIGASDEDIKQFVNANASILGSARVKAANSILTNLSVGKTRLWKIIKEEPRQLMKYTFGSKLGRLPPCDRSTDKSLLEKVKFLKGIGFVEGSEDMKKALKAFRGKGDELQDRFDFLVKTGLSPKDVVSMIKTSPHVLNQKIHVLESKISFLLNETSYPLSALVAFPSFLSFTVERTKVRFLMYNWLQERGLAAPNFALATFLACSEKDFMKYFVGKHEMGPEVFEKFKREVAETKNMHCTSDD >PAN33214 pep chromosome:PHallii_v3.1:6:237352:238427:-1 gene:PAHAL_6G003600 transcript:PAN33214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASGAKAASGLCQWTPTQSTFVLTFLTNIVADGTKTSTGFKKVHLNACTKALNDHFKLTRTGDQVSNRLKTWKKKHVRINYLKNLSAALWDEDEFIVSLDHDHYKGHMADPKNKADDEYLNKPLPYYGFLATIFGNSVSTGQYAKSSNDPIGTDRSEGVSHGGDATAENDGLNHGIDKSVINDDISSSARPAKRAKTIDDTGERPMAWLKHFSVAPRR >PAN35053 pep chromosome:PHallii_v3.1:6:33543359:33546647:1 gene:PAHAL_6G176100 transcript:PAN35053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLLLLRLLCLLPLATAAALPADFAALLAAKSNLSDPASVLAAWDTHLSPSPCRWPHLLCSPDRSASDAPAVASLLLSNLSLSGAFPHPLCSLSSLVHLDLSYNSLAGPLPACLAALPSLTRLDLSGNAFSGEVPGAYGAGFPSLSTLSLAGNELSGTFPGLLLNVTTLEELLLAYNPFAPSALPPQSFSGLPRLRVLWLAGCGLVGEIPVSVGSLKSLVNLDLSTNNLTGEIPAGVGRLEKVEQIELYSNHLDGRVPDGLGQLRRLRFFDASMNRLTGEVPADLFLAPRLESLHLYQNELSGRVPATLAQVLALADLRLFSNRLTGELPPEFGKNSPLQFLDLSDNRISGRIPAALCGAGNLEQLLMLNNELLGPIPAELGQCRTLTRVRLANNQLSGPVPPDMWALPHLYLLELAGNKLSGTVDPTIAMATNLSQLLISDNRFTGALPAQIGTLPALFELSAANNMFSGPLPASLAEVSTLGRLDLRNNSLSGELPQGVRRWHKLTQLDLADNRLTGTIPPELGELPVLNSLDLSSNELTGDVPVQLENLKLSLFNLSNNRLTGALPPLFAGSMYRDSFAGNPALCRGACPRGRQSGSGRRDLVGSVTSILAVAGVVLLLGVAWFCYTYRSQRSGHAGEPGGGGGGRPRWALTSFHKVEFDEDDILGCLDEDNVVGMGAAGKVYKAVVGRGGEDAVVAVKKLWGGGGKAVDGAAKDSFDAEVATLGRIRHKNIVKLWCCFRSGDCRLLVYEYMPNGSLGDLLHGGKGGLLDWPARHRIMADAAEGLAYLHHDCAPPIVHRDVKSNNILLDAELGAKVADFGVARVVGDGPAAVTAIAGSCGYIAPEYSYTLRMTEKSDVYSFGVVMLELVTGKRPIAPELGDKDLVRWVHGGIERGGVDAVLDPRLAGEYREDMVRALHVALLCTSSLPINRPSMRSVVKLLLEAAPSPPAPPTKAAGEKPLYDV >PVH37143 pep chromosome:PHallii_v3.1:6:41671857:41673017:1 gene:PAHAL_6G255300 transcript:PVH37143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNQWLSRWLVKEALMMRGELSGSLVQLGRAPRAESWFAKYSCCFYIRDPAQDHWSSMLKNSCMFYKADTKKEYCE >PVH36478 pep chromosome:PHallii_v3.1:6:6691073:6691582:1 gene:PAHAL_6G081600 transcript:PVH36478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVERLMRRVIPKGFRISSSSKQLTHDCTVEFAGFITGEASEQARAQHRRAITPEDYIASFEALGFDDYVEPMNTYVRGYCGQHNTAGYGGGYAWPPHGTVPATVTAPDASRND >PVH37119 pep chromosome:PHallii_v3.1:6:41286410:41289785:1 gene:PAHAL_6G250200 transcript:PVH37119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLAGTVLPVAGTPFQFCLLQPPETSRLLASNPAPVPSPDTESQGERCCSRNPEVLEPNLVLFRSNCLPFAVFLWAMSLYDGLAGVYPEEEEDRHAVQMGDSDYEEDESDQSTCKATEDTTPMDVKKGKDIQGIPWDRMNTTRDRYRQARLQQYANFENIPNSGRTSEKECTPAEKGQVYYEFQHNTRSVKSTILHFQLRNLVWATTRHDVYLMSYYSVLHWSALTREKQEIIDLQGHVAPCEKHHGNFSEGFYHTQVSTLAVKNNLLVTGGFHGEIICKFLDRRGISYCCKSTNDDNGITNSLEIYEKPSGSLHFLASNNDCGVRDFDMEKFQICNNFRFAWPVNHTSLSPDGKLAVIVGDNPDGLLVDPNSGKTVHDLRGHLDYSFASAWNPDGRTFATGNQDKTCRVWDIRNLSKSIAVLGGNIGAIRSIRYTSDGKFLAMAEPADFIHIFDVDSGYSRKQELDFFGEIAGISFSPDTEALFVGVHDRAYSSLLQFSRRRFYSYLDSTL >PVH37342 pep chromosome:PHallii_v3.1:6:44586514:44587091:-1 gene:PAHAL_6G292500 transcript:PVH37342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYIVSASEKKELVHIDTYSATKKDLQCLLESSMYLSDAVINAYIRILKAQPSIQARQDGDAYLETTYFSTMIRDDSTTKLRDQNEKSFRVVFPINIKNNHWYLAVLNGSMLLNRYLTQWVPAAVVLN >PAN33531 pep chromosome:PHallii_v3.1:6:1978160:1981563:-1 gene:PAHAL_6G027500 transcript:PAN33531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPAPVEGGGGGGGSAGDDWFLDCGILDDLPAAACGAFPWDASPSSSNPSVEVGSYVNTGDVFKEPGSNKRLRSGSSGRPTSKASREKMRRDKLNDRFLELGSTLEPGKPVKADKAAILSDATRMVIQLRSEAQQLKDTNGSLEEKIKELKAEKDELRDEKQKLKLEKENLEHQMKLMTSTPAYMPHPTLMPAPFPQAPLAPFHPQAQAAGQKLMMPFVGYPGYPMWQFMPPSEVDTSKDSEACPPVA >PVH36469 pep chromosome:PHallii_v3.1:6:6459724:6460231:1 gene:PAHAL_6G079500 transcript:PVH36469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVHGTLIRSTSIFQTESHNHILEQAHRSRHSECSFVYIFRGHENLIIPSVTIHETQNFVTSSRINQYFCNRHWVFILRSSPIEISEIHANPPPAILLLHGTSAFIWRARCWNGRNPLLRGSRCSTMLLSRPGISV >PVH36614 pep chromosome:PHallii_v3.1:6:17739076:17739948:1 gene:PAHAL_6G123300 transcript:PVH36614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGICHEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTHHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPVLAIGETQVLIGTPITGWGGLFRTPQAPPEGTAAATGGGAVERSQENGILEDDEEELLIPLEVHSAPEDDSPRD >PAN35734 pep chromosome:PHallii_v3.1:6:39721332:39722916:-1 gene:PAHAL_6G230200 transcript:PAN35734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNKNLFQGYVELYHNGLFHVKSMALRCAVELGIPDAIHRRGGAATVSDLVVDTGVHLAKLPCLRRLMRVLTVSGIFAAADHQPAPPSDDESETVYKLTPISRLLVDDDGDDGVSSTPRGMSAMLRLLARPDTAVAPFFGLGAWLRDAGAATLFEAARGAPTWSLTRSDASYNEALNDGCAADSSFAMDAVLNDGAAAGGASSIFRGLGSLVDVGGGHGAAAVAIARAVPHIKCSVLDLEQVVSKAPSDGTVQFIAGDMFQSIPPADAVLLKYVLHCWDDDDCVKILRQCKRAISARDGVGKVIIMNVVVGYGTQDNVVKETQMLFDMFMMRYGGAEREEHEWKKIFLKAGFSDYKITPILGFQSLIEVFP >PAN35107 pep chromosome:PHallii_v3.1:6:34761878:34768891:-1 gene:PAHAL_6G181800 transcript:PAN35107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSIATYRESLSRLAVEVDDAAADEVPAPSPPAAMGGDLSATPPSSGRRRRYSRPGPGTGPGSDPADPDEISKLREEIQKLQASEAEIKALSFNYAAMLKEKEEQLGKLREENGSLKRNLESCKAVSANSNGTLERSPRAQRNAVQENSLNVTKQNGYGGGSSHGIQPNGLHSVTGNHKGNVLEEERASFAIKQASLENEIKQLKQQLSNKSKKETEIERRLEDENKLNGFLQQELNELKINKERISTSMEELHKELNEKKSELRRVQDELSRRDKEHVSDASLQSLRNMLMALQKENSDLKIERARLEADLKSMKSTSQKTAGSTSDNKIPDSEKVKEEMDLLKRELQDASRERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRVICEQQRLHIVQLERALKAEIAKQEENKRIVNEEHQRSNEQLEELKYKLATCMSALESKNVELLNLQTALGQYYAESEAKERLGGDLAVAREELSKLSESLKVANQTIEIARREKEDMATKLSQAERMLADGKRSMQKLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQNSAGKGVVRGVLGLPGRLVGGIVGGGSSGKSAQASQDSQSFADLWVDFLLKETEEREKREASEAAKQLQDESQTATSTSSSSGGQQGSQHPSNVAPGPSTTTRPHVFGRPDSEFSTVPLASSSYSSVPTQFSRPPQR >PAN35106 pep chromosome:PHallii_v3.1:6:34761878:34768892:-1 gene:PAHAL_6G181800 transcript:PAN35106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLKEIWRAGTLERSPRAQRNAVQENSLNVTKQNGYGGGSSHGIQPNGLHSVTGNHKGNVLEEERASFAIKQASLENEIKQLKQQLSNKSKKETEIERRLEDENKLNGFLQQELNELKINKERISTSMEELHKELNEKKSELRRVQDELSRRDKEHVSDASLQSLRNMLMALQKENSDLKIERARLEADLKSMKSTSQKTAGSTSDNKIPDSEKVKEEMDLLKRELQDASRERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRVICEQQRLHIVQLERALKAEIAKQEENKRIVNEEHQRSNEQLEELKYKLATCMSALESKNVELLNLQTALGQYYAESEAKERLGGDLAVAREELSKLSESLKVANQTIEIARREKEDMATKLSQAERMLADGKRSMQKLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQNSAGKGVVRGVLGLPGRLVGGIVGGGSSGKSAQASQDSQSFADLWVDFLLKETEEREKREASEAAKQLQDESQTATSTSSSSGGQQGSQHPSNVAPGPSTTTRPHVFGRPDSEFSTVPLASSSYSSVPTQFSRPPQR >PAN35444 pep chromosome:PHallii_v3.1:6:38017892:38025576:-1 gene:PAHAL_6G209200 transcript:PAN35444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIERIENTTNRQVTFSKRRTGLFKKAKELAILCDAEVGLIVFSSTGRLYDFTNTSMKSVIERYHEAKDHHVIMSATAEAKLWQREAGILRQQLHNLQEHHLQLMGQQLSCLDVKDLQNLENTLEMSLRNIRLKKDQLMTDQIQELNTKGSLLHKENIELYNKFNCIHQENTEIKKKVYGQGVNEHPTDTAVKHRIVNLEDEDVAVNLELSQPQNVESEKSGTPSLGEDDKN >PAN35445 pep chromosome:PHallii_v3.1:6:38017892:38025538:-1 gene:PAHAL_6G209200 transcript:PAN35445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIERIENTTNRQVTFSKRRTGLFKKAKELAILCDAEVGLIVFSSTGRLYDFTNTSMKSVIERYHEAKDHHVIMSATAEAKLWQREAGILRQQLHNLQEHHLQLMGQQLSCLDVKDLQNLENTLEMSLRNIRLKKDQLMTDQIQELNTKGSLLHKENIELYNKFNCIHQENTEIKKKVYGQGVNEHPTDTAVKHRIVNLEDEDVAVNLELSQPQNVESEKSGTPSLGFQLQ >PVH36958 pep chromosome:PHallii_v3.1:6:38018297:38025081:-1 gene:PAHAL_6G209200 transcript:PVH36958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIERIENTTNRQVTFSKRRTGLFKKAKELAILCDAEVGLIVFSSTGRLYDFTNTSMKSVIERYHEAKDHHVIMSATAEAKLWQREAGILRQQLHNLQEHHLQLMGQQLSCLDVKDLQNLENTLEMSLRNIRLKKDQLMTDQIQELNTKGSLLHKENIELYNKFNCIHQENTEIKKKVYGQGVNEHPTDTAVKHRIVNLEDEDVAVNLELSQPQNVESEKSGTPSLG >PAN33663 pep chromosome:PHallii_v3.1:6:2637696:2638989:-1 gene:PAHAL_6G036600 transcript:PAN33663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGWSQLDYVLVPLGLAVLLGYHLWLLLRICRRPETTVIGINAINRRIWVRHIMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGAAHGLFSGSGGAGSSLVVGAAGEAALTLKFFAVLVCFLVAFLLNVQSIRYYSHTGLLVNVPLAAHRRPASAVGYVTGTLNRGFYFWSLGVRAYYFSCPVFLWLFGPIPMCASCIAMVASLYFLDVYTEWDKDDDGAGDGDGHARERKTGASGRLMPVEGV >PVH36286 pep chromosome:PHallii_v3.1:6:2821055:2823044:-1 gene:PAHAL_6G039100 transcript:PVH36286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQTLLRSFSLIYRPHTSPPPPSSSSSSIISLFPGSTFYHLSLEKGRKQAGRVGHGVPVCVLLRDPAAAAHPGAAAAGLAPARRPALPLLAWPRRAAGRRRPPGLRGPAAGPLGAAAVPAGGRGGAAAHAGRHQGPPPRRPVRRPPPGPPRPGTGHVRRVPGRARGAAPRPRARQLCARLPQGVHRQVGRQGPGHLPALPRAPPPRRARRRRRRLLLALLLLRPRAHAPTNREEPKPAQPD >PVH36873 pep chromosome:PHallii_v3.1:6:35487491:35487979:1 gene:PAHAL_6G186100 transcript:PVH36873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSPARRRSPQSIHRSSTSTPKQPAAAAFRHRAGHHLPPPPRLASTAIGVYLTGGAYQVFAVMPQPTTNHSLPHPGSARSNVPAPDHRRHHIERIQKAARVNPQTCHVTVSFSFCGRLLWGSPSEHQFVGVGYDLAEWAVGKPSAWLIRLGTMFLPFSYLI >PVH36135 pep chromosome:PHallii_v3.1:6:353471:359072:1 gene:PAHAL_6G004900 transcript:PVH36135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAGVNMPGGDGNPSAAASSSTPECRLRRRRRLAPPRAAAAGGEKRVRPASPSSSSSEDDSVEGEEGEADAAVEGDEEGGTTVSAAGGPQPPPPPGPAQAWPMAFGWLSVAGRSREMEDAVSLRPGFCTWVDGSPMHFFGVFDGHGGSHVSTLCRDRMHEFLAEELAAEGAAFQIQQPAAAAAGEEAAAAASGTTSSAAVEQEEEEERAWRAALARTFRRVDALASLACACGRIVSPPCRCTLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKPDRPDELARIEAAGGRVVYINGHRVRGILAMSRALGDRMLRPEVIAEPEITITDRTPEDQCLILASDGMWDVIPNEIACSVARQCLEDGNPAPVDDVPPTAGGEGAAAAAVAESSEPEARCSRAASLLVRLALGRTTWDNVSVVVIDLKQRE >PVH36130 pep chromosome:PHallii_v3.1:6:353816:355409:1 gene:PAHAL_6G004900 transcript:PVH36130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAGVNMPGGDGNPSAAASSSTPECRLRRRRRLAPPRAAAAGGEKRVRPASPSSSSSEDDSVEGEEGEADAAVEGDEEGGTTVSAAGGPQPPPPPGPAQAWPMAFGWLSVAGRSREMEDAVSLRPGFCTWVDGSPMHFFGVFDGHGGSHVSTLCRDRMHEFLAEELAAEGAAFQIQQPAAAAAGEEAAAAASGTTSSAAVEQEEEEERAWRAALARTFRRVDALASLACACGRIVSPPCRCTLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKVTCLPMRHAPQSSGRPLTRAWGTCTDVEARGAMQWQSRG >PVH36129 pep chromosome:PHallii_v3.1:6:353471:359069:1 gene:PAHAL_6G004900 transcript:PVH36129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAGVNMPGGDGNPSAAASSSTPECRLRRRRRLAPPRAAAAGGEKRVRPASPSSSSSEDDSVEGEEGEADAAVEGDEEGGTTVSAAGGPQPPPPPGPAQAWPMAFGWLSVAGRSREMEDAVSLRPGFCTWVDGSPMHFFGVFDGHGGSHVSTLCRDRMHEFLAEELAAEGAAFQIQQPAAAAAGEEAAAAASGTTSSAAVEQEEEEERAWRAALARTFRRVDALASLACACGRIVSPPCRCTLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKVTCLPMRHAPQSSGRPLTRAWGTCTDVEARGAMQWQSRG >PVH36136 pep chromosome:PHallii_v3.1:6:353472:359068:1 gene:PAHAL_6G004900 transcript:PVH36136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAGVNMPGGDGNPSAAASSSTPECRLRRRRRLAPPRAAAAGGEKRVRPASPSSSSSEDDSVEGEEGEADAAVEGDEEGGTTVSAAGGPQPPPPPGPAQAWPMAFGWLSVAGRSREMEDAVSLRPGFCTWVDGSPMHFFGVFDGHGGSHVSTLCRDRMHEFLAEELAAEGAAFQIQQPAAAAAGEEAAAAASGTTSSAAVEQEEEEERAWRAALARTFRRVDALASLACACGRIVSPPCRCTLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKPDRPDELARIEAAGGRVVYINGHRVRGILAMSRALASP >PVH36133 pep chromosome:PHallii_v3.1:6:353472:359068:1 gene:PAHAL_6G004900 transcript:PVH36133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAGVNMPGGDGNPSAAASSSTPECRLRRRRRLAPPRAAAAGGEKRVRPASPSSSSSEDDSVEGEEGEADAAVEGDEEGGTTVSAAGGPQPPPPPGPAQAWPMAFGWLSVAGRSREMEDAVSLRPGFCTWVDGSPMHFFGVFDGHGGSHVSTLCRDRMHEFLAEELAAEGAAFQIQQPAAAAAGEEAAAAASGTTSSAAVEQEEEEERAWRAALARTFRRVDALASLACACGRIVSPPCRCTLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKPDRPDELARIEAAGGRVVYINGHRVRGILAMSRALASP >PVH36132 pep chromosome:PHallii_v3.1:6:353472:358221:1 gene:PAHAL_6G004900 transcript:PVH36132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPQAPPPRAPARRGRRGGEARPPGLPVFVVVGGRLGGRGGRRSGRRGGRGRRGGNDGLGGRRASAASAPRPGAGVADGVRVAVGGGAVAGDGGRGVAPAGLLHLGGRLAHALLRRLRRPRRIPCKYVSTLCRDRMHEFLAEELAAEGAAFQIQQPAAAAAGEEAAAAASGTTSSAAVEQEEEEERAWRAALARTFRRVDALASLACACGRIVSPPCRCTLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKPDRPDELARIEAAGGRVVYINGHRVRGILAMSRALASP >PVH36131 pep chromosome:PHallii_v3.1:6:353471:359069:1 gene:PAHAL_6G004900 transcript:PVH36131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAGVNMPGGDGNPSAAASSSTPECRLRRRRRLAPPRAAAAGGEKRVRPASPSSSSSEDDSVEGEEGEADAAVEGDEEGGTTVSAAGGPQPPPPPGPAQAWPMAFGWLSVAGRSREMEDAVSLRPGFCTWVDGSPMHFFGVFDGHGGSHVSTLCRDRMHEFLAEELAAEGAAFQIQQPAAAAAGEEAAAAASGTTSSAAVEQEEEEERAWRAALARTFRRVDALASLACACGRIVSPPCRCTLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKVTCLPMRHAPQSSGRPLTRAWGTCTDVEARGAMQWQSRG >PVH36134 pep chromosome:PHallii_v3.1:6:353472:359068:1 gene:PAHAL_6G004900 transcript:PVH36134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPQAPPPRAPARRGRRGGEARPPGLPVFVVVGGRLGGRGGRRSGRRGGRGRRGGNDGLGGRRASAASAPRPGAGVADGVRVAVGGGAVAGDGGRGVAPAGLLHLGGRLAHALLRRLRRPRRIPCKYVSTLCRDRMHEFLAEELAAEGAAFQIQQPAAAAAGEEAAAAASGTTSSAAVEQEEEEERAWRAALARTFRRVDALASLACACGRIVSPPCRCTLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKPDRPDELARIEAAGGRVVYINGHRVRGILAMSRALGDRMLRPEVIAEPEITITDRTPEDQCLILASDGMWDVIPNEIACSVARQCLEDGNPAPVDDVPPTAGGEGAAAAAVAESSEPEARCSRAASLLVRLALGRTTWDNVSVVVIDLKQRE >PAN33729 pep chromosome:PHallii_v3.1:6:2960766:2968141:1 gene:PAHAL_6G041000 transcript:PAN33729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGFFECVLKLLNLVVMAVGLAMVGYGAYLLAMWLQVVPPPAPPMPPSPAPVAVAASGGLVRLGRPLLLLVDASALSAGTAERLSSAWFIFAFIGVGVVLFITSIFGCAGARNGCCLSIYSFLIILFILVELAAGGFIFFNHNWKEVVPVDKTGNFDMMYSFLKENWRIAKWVALGAVIFEALLFTVAIIVQSGNQADYDSDDEYIGPRSGIRQPLVNQHQQAAADPRVPNLDYRPIRNDAWSQRMREKYGVDSFDPNRFQQATISPAEQRNRCTIL >PAN35126 pep chromosome:PHallii_v3.1:6:35104361:35106192:1 gene:PAHAL_6G183300 transcript:PAN35126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPPLRVTLQDALWLADSDPAANRVTGALPRSQSSFSSSLPADLADGKLQFRSGEELRWKGEQEIAATTCKRILVSH >PAN35125 pep chromosome:PHallii_v3.1:6:35104400:35106192:1 gene:PAHAL_6G183300 transcript:PAN35125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPPLRVTLQDALWLADSDPAANRVTGALPRSQSSFSSSLPADLADGKLQFRSGEELRWKGEQEIAATTCKSTIHTGFRAPWRLSGQDRQGD >PAN36740 pep chromosome:PHallii_v3.1:6:45501273:45510243:-1 gene:PAHAL_6G306500 transcript:PAN36740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLISPAAACRGCGFVNAGFLGAVSSFHYQSLPACSSKQGSSVRNCFSFRADLRKEGSRWIRSEPFLSVNSAKFVGKRTSCSVATEPPPAATEKPEMDAPKEIFLKDYRKPDYLFDKVDLQFQLGEEKTIVTSKIVVSPGAEGSISAPLVLHGCDLKLLSIKVNGTELKGEEYTVDSRHLTVSTPPAGVFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHFALWEDPFKKPSYLFALVAGQLGCREDSFVTCSGHNVTLRIWTPAQDLPKTAHAMYSLKAAMQWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNAQLPNFLQWYSQAGTPTVKVSSSYDPSSQTFSLKLSQEVPPTPGQPVKEPMFIPVAVGLVDSTGKDMPLTSVCSDGTLQTLSSDGKPVFTTVLQFKKKEEEFIFKNVPEKPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLILNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRNFIKKELALQLKDDLRAAVTSNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDVTELALHEYKTATNMTEQFAALAALSQNPGQVREDALLDFYNKWQNDYLVVSKWFALQATSDIPGNVVNVQKLLSHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIVSANGLSENVYEIASKSLAG >PAN36575 pep chromosome:PHallii_v3.1:6:44663152:44665633:-1 gene:PAHAL_6G294000 transcript:PAN36575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSEDCGWLLYLSLAAKCGDPHRLLGFAAVFAAAFVVTALLHWAAPGGPAWGWYWWTRRAGLGIGAAIPGPRGLPVLGSMGLMTGLAHRKLAAAAAAGGKARRRLMAFSLGETRVVVTADPDVARELLASAAFADRPVKESAYGLLFDRAIGFAPHGAYWRALRRVASAHLFSPRQIAGSAAQRAVIARQMVDAMMKECSGTTAPGGVVTARRFLKRASLHNVMWSVFGRRYELQTRSEEAAELKCLVDEGYDLLGQLNWSDHLPWLACFDLQRTRARCSALVPRVNRFVGRIIDEHRAARLGHGAASAVMDFTDVLLSLQGSDKLSDADMIAVLWEMIFRGTDTVAVLIEWVLARLVLHQDVQRRAHEELDRVVGPGQTVTESDTASLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGFLVPAGTTAMVNMWAITHDPAVWPDPNEFKPERFVGSSGHADEFPVMGSDLRLAPFGSGRRVCPGKSLAMATVGFWVATLLHEFKWLPVSDDPPRGVDLSEVLRLSCEMAAPLEARLVPRHAA >PAN33891 pep chromosome:PHallii_v3.1:6:4067872:4072779:-1 gene:PAHAL_6G055200 transcript:PAN33891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEADIVVGSCSKPCGPLEEYHIPDYILKPDCQQVIVDHAPPCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHIILRMRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSFNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFRNQLTNQGTYAKLGLKQGWFCASLSQPSSRNLAQLAKVKVMKRPGSQWEELQVHHSIRSIVCLNLPSFSGGLNPWGMPGTRRAADREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPMDDDTVVVEISHLRQVTMLANEPCRSKSVSDPSLPSPAHDSHGDDKDAAEEDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >PAN33894 pep chromosome:PHallii_v3.1:6:4067794:4073554:-1 gene:PAHAL_6G055200 transcript:PAN33894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEADIVVGSCSKPCGPLEEYHIPDYILKPDCQQVIVDHAPPCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHIILRMRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSFNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFRNQLTNQGTYAKLGLKQGWFCASLSQPSSRNLAQLAKVKVMKRPGSQWEELQVHHSIRSIVCLNLPSFSGGLNPWGMPGTRRAADREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPMDDDTVVVEISHLRQVTMLANEPCRSKSVSDPSLPSPAHDSHGDDKDAAEEDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >PAN33898 pep chromosome:PHallii_v3.1:6:4067794:4073554:-1 gene:PAHAL_6G055200 transcript:PAN33898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKFSACICHWSSPHAHARKKNRFPEEMMEGEADIVVGSCSKPCGPLEEYHIPDYILKPDCQQVIVDHAPPCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHIILRMRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSFNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFRNQLTNQGTYAKLGLKQGWFCASLSQPSSRNLAQLAKVKVMKRPGSQWEELQVHHRGSLPHLLSTMDSLRLLASVTLGMGWSCWPLMDMVLALRRPIESGSSSTKARRTTRS >PVH36347 pep chromosome:PHallii_v3.1:6:4068120:4070500:-1 gene:PAHAL_6G055200 transcript:PVH36347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSFNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFRNQLTNQGTYAKLGLKQGWFCASLSQPSSRNLAQLAKVKVMKRPGSQWEELQVHHSIRSIVCLNLPSFSGGLNPWGMPGTRRAADREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPMDDDTVVVEISHLRQVTMLANEPCRSKSVSDPSLPSPAHDSHGDDKDAAEEDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >PAN33892 pep chromosome:PHallii_v3.1:6:4068120:4073063:-1 gene:PAHAL_6G055200 transcript:PAN33892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKFSACICHWSSPHAHARKKNRFPEEMMEGEADIVVGSCSKPCGPLEEYHIPDYILKPDCQQVIVDHAPPCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHIILRMRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSFNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFRNQLTNQGTYAKLGLKQGWFCASLSQPSSRNLAQLAKVKVMKRPGSQWEELQVHHSIRSIVCLNLPSFSGGLNPWGMPGTRRAADREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPMDDDTVVVEISHLRQVTMLANEPCRSKSVSDPSLPSPAHDSHGDDKDAAEEDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >PAN33895 pep chromosome:PHallii_v3.1:6:4067794:4072779:-1 gene:PAHAL_6G055200 transcript:PAN33895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEADIVVGSCSKPCGPLEEYHIPDYILKPDCQQVIVDHAPPCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHIILRMRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSFNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFRNQLTNQGTYAKLGLKQGWFCASLSQPSSRNLAQLAKVKVMKRPGSQWEELQVHHRGSLPHLLSTMDSLRLLASVTLGMGWSCWPLMDMVLALRRPIESGSSSTKARRTTRS >PAN33893 pep chromosome:PHallii_v3.1:6:4067794:4072772:-1 gene:PAHAL_6G055200 transcript:PAN33893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEADIVVGSCSKPCGPLEEYHIPDYILKPDCQQVIVDHAPPCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHIILRMRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSFNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFRNQLTNQGTYAKLGLKQGWFCASLSQPSSRNLAQLAKVKVMKRPGSQWEELQVHHSIRSIVCLNLPSFSGGLNPWGMPGTRRAADREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPMDDDTVVVEISHLRQVTMLANEPCRSKSVSDPSLPSPAHDSHGDDKDAAEEDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >PAN35318 pep chromosome:PHallii_v3.1:6:37140196:37141086:1 gene:PAHAL_6G198900 transcript:PAN35318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRSKPFLAAFPLIDAAIEGAGAGGVLSRDEFRSARARIVELLCDAADDDEKVEGFCELLDEAMAGSLATLRAVPPEKIELASGDLVGAVGALMKDHPSERVRELARDVVRGWRAGVKAELARARAAMDVLDGLSSTPPPPLRDETAPMADYNTKAKKIPEEPPRPRKSTVASSCRRISTAESKKGAPVVGTSNAKPSANMGAPAIVPAQPKKTPPAVVSSAAEEEKKMETTKRKLHERYQEAEDAKRRRTIQVIEPPRPPPGMNKGQMQRNAHPARCAAARCFVKSSSLGMRV >PAN35822 pep chromosome:PHallii_v3.1:6:40398885:40401163:-1 gene:PAHAL_6G237100 transcript:PAN35822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVHAAEPGTNGSADGLTLNPAAAQRALSRGASALGTPRSPPPAYGSIVTVLSIDGGGVRGIIPGTILAFLEAKLQELDGPDARIADYFDVIAGTSTGGLVTAMLTAPNKEGRPLFAAKDINDFYLKHCPKIFPAKRGGPLGLIKSVVLGPKYDGKYLHGIVREILGDTKVSQALQNIVIPTFDIKLLQPTVFSRSDAMNDVSKDALLSDVCISTSAAPTYLPGHQFETKDKDGKPRAFNLIDGGVAANNPTLLAMTHVSKQILLGNKDFFPIKPADYGKFMVLSLGTGSAKVEEKFDAVESSKWGLLGWLYKKGTTPIIDSFSQASADLVDIHASVLFQALRSNDRYLRIQDDELKGDTSSVDVSTKENLNRLVDVGNALLKKPVGKVNVETGKNEPDGSRGTNEQELTRFAEMLVEERRARLQKKGYTSP >PVH36170 pep chromosome:PHallii_v3.1:6:1111571:1115538:-1 gene:PAHAL_6G013100 transcript:PVH36170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRQPSEEPEEQVDLEGDDDGMDDDDGYRRRGNRDDSEEPEEEDYNEERQPEGDAGMAAEPAAGGGSGGDDMGKEGAGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDRETKENKGFAFVTFTDKDAAQRAIEDVQEREYKGRTLRCSLSQAKHRLFVGNVPKGLSEEELRNIIKGKGPGVVNIEMFKHDPNRNRGFLFVEYYNHACADYAKQKLSSPNFKVDGSQLTVSWAEPKGSTDASSAAAQVKTIYVKNLPENASKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRPGGGPSYPLPPYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMQPPPPPRRGGDRRDGSRGGEGSHSRRYRPY >PAN33337 pep chromosome:PHallii_v3.1:6:1112003:1115055:-1 gene:PAHAL_6G013100 transcript:PAN33337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRQPSEEPEEQVDLEGDDDGMDDDDGYRRRGNRDDSEEPEEEDYNEERQPEGDAGMAAEPAAGGGSGGDDMGKEGAGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDRETKENKGFAFVTFTDKDAAQRAIEDVQEREYKGRTLRCSLSQAKHRLFVGNVPKGLSEEELRNIIKGKGPGVVNIEMFKDQHDPNRNRGFLFVEYYNHACADYAKQKLSSPNFKVDGSQLTVSWAEPKGSTDASSAAAQVKTIYVKNLPENASKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRPGGGPSYPLPPYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMQPPPPPRRGGDRRDGSRGGEGSHSRRYRPY >PAN33336 pep chromosome:PHallii_v3.1:6:1112003:1115055:-1 gene:PAHAL_6G013100 transcript:PAN33336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRQPSEEPEEQVDLEGDDDGMDDDDGYRRRGNRDDSEEPEEEDYNEERQPEGDAGMAAEPAAGGGSGGDDMGKEGAGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDRETKENKGFAFVTFTDKDAAQRAIEDVQEREYKGRTLRCSLSQAKHRLFVGNVPKGLSEEELRNIIKGKGPGVVNIEMFKDQHDPNRNRGFLFVEYYNHACADYAKQKLSSPNFKVDGSQLTVSWAEPKGSTDASSAAAQVKTIYVKNLPENASKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRPGGGPSYPLPPYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMQPPPPPRRGGDRRDGSRGGEGSHSRRYRPY >PVH36171 pep chromosome:PHallii_v3.1:6:1111571:1115538:-1 gene:PAHAL_6G013100 transcript:PVH36171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRQPSEEPEEQVDLEGDDDGMDDDDGYRRRGNRDDSEEPEEEDYNEERQPEGDAGMAAEPAAGGGSGGDDMGKEGAGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDRETKENKGFAFVTFTDKDAAQRAIEDVQEREYKGRTLRCSLSQAKHRLFVGNVPKGLSEEELRNIIKGKGPGVVNIEMFKHDPNRNRGFLFVEYYNHACADYAKQKLSSPNFKVDGSQLTVSWAEPKGSTDASSAAAQVKTIYVKNLPENASKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRPGGGPSYPLPPYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMQPPPPPRRGGDRRDGSRGGEGSHSRRYRPY >PAN36438 pep chromosome:PHallii_v3.1:6:43588456:43593072:1 gene:PAHAL_6G282900 transcript:PAN36438 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MSPAAAWCAQHRLRFLLPALFLAPVLYFLLSPPSSPPFFSVPASGELPPPGSRIIWAQRRLVEWRPCGWWQKAPMPAPSRRNGYVRIDCYGGLNQLRRDLCDGIGVARLLNATMVVPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEIVKEIPAEIASKEPFKVDCSKRKGHFDYVETVLPVLLEHQYISLTPSMNQRRDRNPSYAKASYCQGCYNALRLNKKVESKAIELLQTIPKPFLSLHLRFEPDMVAYSRCAYTGLSSKSLDSIEAARGEGRKVLTGDAARLWRNRGKCPLTPSETAFILQALGIPTNTNIYLSAGDGLMELEGFTSVYKNIYTKSSLLTHEDFERMHGNTKAALDYYVSVNSDAYIATFFGNMDKMVTAMRTMQGLQKTLVLSRRAFANYTAAGLAGEQLAKAMWDAHREEYIRGRGSALPEHCFCEFRL >PVH37292 pep chromosome:PHallii_v3.1:6:43589134:43593072:1 gene:PAHAL_6G282900 transcript:PVH37292 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MSLDVFDVDYFIEQTRGYVEIVKEIPAEIASKEPFKVDCSKRKGHFDYVETVLPVLLEHQYISLTPSMNQRRDRNPSYAKASYCQGCYNALRLNKKVESKAIELLQTIPKPFLSLHLRFEPDMVAYSRCAYTGLSSKSLDSIEAARGEGRKVLTGDAARLWRNRGKCPLTPSETAFILQALGIPTNTNIYLSAGDGLMELEGFTSVYKNIYTKSSLLTHEDFERMHGNTKAALDYYVSVNSDAYIATFFGNMDKMVTAMRTMQGLQKTLVLSRRAFANYTAAGLAGEQLAKAMWDAHREEYIRGRGSALPEHCFCEFRL >PAN36439 pep chromosome:PHallii_v3.1:6:43589134:43593072:1 gene:PAHAL_6G282900 transcript:PAN36439 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MIVLQLCDGIGVARLLNATMVVPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEIVKEIPAEIASKEPFKVDCSKRKGHFDYVETVLPVLLEHQYISLTPSMNQRRDRNPSYAKASYCQGCYNALRLNKKVESKAIELLQTIPKPFLSLHLRFEPDMVAYSRCAYTGLSSKSLDSIEAARGEGRKVLTGDAARLWRNRGKCPLTPSETAFILQALGIPTNTNIYLSAGDGLMELEGFTSVYKNIYTKSSLLTHEDFERMHGNTKAALDYYVSVNSDAYIATFFGNMDKMVTAMRTMQGLQKTLVLSRRAFANYTAAGLAGEQLAKAMWDAHREEYIRGRGSALPEHCFCEFRL >PAN36541 pep chromosome:PHallii_v3.1:6:44503692:44513233:1 gene:PAHAL_6G291200 transcript:PAN36541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSEAGRQPAAAAAVEMSAGREEERWYDDVATTAAAGRREPPPLTHDDNRGFLRMLREKKERLGVEAAKVEVRFERLTVEADVRVGRRAVPTLLNCAVNAAQELATSAHMCVTRKRPMRIIYEVSGVIQPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKFKGKVLYNGEEMSSSTPQYLRAYVSQYDLHHAEMTVRETIDFSSKMLGTNNEFEMLGEAIRRKKGVINKMDQDLDSFIKATTFGEGSNLTTNYIIKILGLSECADTLVGDELRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWAGDPNKYQYHSIEKFAEAFRMSYLPRLAEEKLCSTNNTGKNKEVKMNASRQISRWNIFKACFSREVLLLKRNSPVHIFKTIQITVMALVISTLFLRTKMSHKSVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLALPGWALLSSVFLISIPISLVETGLWTGLTYYVIGYAPSPIRFIQHFLVLFAMHQMSMSLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDDLQPWLRWGYWTSPFTYAQNAIALNEFHDKRWATEFYYADANTVGEAILKIRGLLMEWQWYWICVSILFGYSLVFNILSILALEFMSSPHKHQVNIKTAKANFEYHSQMVANGNLSNDQVILPFRPLSLVFDHINYFVDMPKEMTKNGVTEKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLKFSAWLRLPSNVKPHQRDMFIDEVMSLVELTDLKNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTVHQPSIEIFESFDELLLMKRGGQLIYNGSLGPLSSNMIKYFEAIPGVPRINKGQNPAAWMLDISSNITEYEIGMDYAEIYRNSSLYRENRLLIDELEKPEPNAEDLHFPQGYWQNFTTQCVACLWKQSCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGIVYASALFLGFMNCSILQPVVATERVVLYREKAAGMYSTMAYAIAQVSVELPYMLVQVLIFSSIVYPMIGFELTAGKFFWFFLYLVMSFMYYTLYGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIIARELMPVWWRWVYWADPAAWTVYGLMFSQLGDRTERILVPGVGEQTVREFLEGYLSLQDSHFELVTCLHLAIIGLFAFLFFLAIKHLNFQRR >PAN36316 pep chromosome:PHallii_v3.1:6:42999116:43001178:-1 gene:PAHAL_6G273600 transcript:PAN36316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATMAPSFSHRAAAVVLLAAALACHLNVVVAVKPECHCPGGRCRGLGVNYGTVADDLPSATRSVQLLRAAGAGAVKIYDANPGILRALAGTGLPVAIMVPNAAIPSLASSRAAAEDWVAANLAPHSPATRVMYLLVGNEVLSNRAIAGSTWRAIVPAMANLHRALRAHGIRKVKLGTTLAMGALSASYPPSAGAFRDDVAEDVIRPLLRFLNATRSFYFVDAYPYFAWAANRDTISLDYALFQGAASSHYVDPGTGLTYTNLLDQMLDAVIAAMGKLGYGNVKLAVSETGWPSGGDAGEAGANVRNAATYNRNLAARMSKNPGTPARPGAKMPVFLFSLYNEDQKPGAGTERHWGLYYPNQTKVYEIDLTGQRPSRSYPPLPPADDTDSSPAWCVLAGGGKAADKKAVQDALDYACQHGSGTCTAIQPGGACYEPDTLDAHASYAFNSYWQQFRNAGGTCFFNGLAETTTKDPSYGSCKFPSSED >PAN35503 pep chromosome:PHallii_v3.1:6:38437919:38439639:1 gene:PAHAL_6G214100 transcript:PAN35503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCEKTGVKKGPWTPEEDLVLVSYVQDHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFSDQEEKLIIHLQALLGNRWAAIASYLPDRTDNDIKNFWNTHLKKKLSRMDAGGDGAGAGGAVARSGRSAAPKGQWERRLQTDIHTARQALREALSLDPALPLAKTEPQPLPLLMPQPAPAPPSQAAYASSAENIARLLEGWMRPGTGSAGKASSGSRSSASAVSGGEGASASHSGTPGGSTGTSKAEDAGPVPAFSMLESWLLDDGMGHGDAGLMGGLADPCEFF >PAN34450 pep chromosome:PHallii_v3.1:6:8772111:8773632:-1 gene:PAHAL_6G092800 transcript:PAN34450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRDAHHRQSAAATTSETSTENMNDAGERALSASAMERIQLCMQLQELQNPLGAAHHNPLVWPGCSRAATLSNNSFNSNRSTVTLAEQGQSISMNEHLMSTQPEGGAAMDGGLGSPSSAENSNVISMEAELEELLYGEGNRSGKAGAVDGGVQQGDVDWWSYDQGKSPVGCWDFAPEATNAVFQDYTSVYDI >PAN36729 pep chromosome:PHallii_v3.1:6:45393304:45398728:-1 gene:PAHAL_6G305400 transcript:PAN36729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPTRRRAASSPSPGRNKVWVEPPGKSHHHQTPSPPPPPPPPQAPAAKRVAVVYYLCRNRHLEHPHFIEVPLASPEEGLYLRDVINRLNVLRGKGMASMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPPDRQQNGISNPKVEGLKHPKEESPQSRGSQEGCSSSSSPSAVVKEISPPPATPRLQQQAQSALLPSSSTSTNHEDEQCQTTHSGSSGNQSPEPAGRNAPLSEASSPGPSEYRVCKPNGAQDAATQTDDSERDVPEKDTRLAGLSMEAGTSDAEIQECHERTSLVLPKGPEIIRESPAVCSSDASPGGRVETLESLIRAEASRRSSFRTLEEEHMFGPMGVKLKPANLLMQLITCGSISVKEHRGFGIIPTYRPRFTQVEFPSPVFSTPVTLRHLDKIPCNSRTIAMRAPESECFSGSLAETKKQDESERGIDTLKRSSSYDEDRVYRGAHSKSDTESSVESGSFRCLPQTIRIISCKQSRTGTILSPASDVRNSSSRQEYSARSSPLDSSKSASNRMTDPSLGKLSSARIESFHKEKDVIKIEESFLLELGL >PAN36728 pep chromosome:PHallii_v3.1:6:45394522:45398728:-1 gene:PAHAL_6G305400 transcript:PAN36728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPTRRRAASSPSPGRNKVWVEPPGKSHHHQTPSPPPPPPPPQAPAAKRVAVVYYLCRNRHLEHPHFIEVPLASPEEGLYLRDVINRLNVLRGKGMASMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPPDRQQNGISNPKVEGLKHPKEESPQSRGSQEGCSSSSSPSAVVKEISPPPATPRLQQQAQSALLPSSSTSTNHEDEQCQTTHSGSSGNQSPEPAGRNAPLSEASSPGPSEYRVCKPNGAQDAATQTDDSERDVPEKDTRLAGLSMEAGTSDAEIQECHERTSLVLPKGPEIIRESPAVCSSDASPGGRVETLESLIRAEASRRSSFRTLEEEHMFGPMGVKLKPANLLMQLITCGSISVKEHRGFGIIPTYRPRFTQVEFPSPVFSTPVTLRHLDKIPCNSRTIAMRAPESECFSGSLAETKKQDESERGIDTLKRSSSYDEDRVYRGAHSKSDTESSVESGSFRCLPQTIRIISCKQSRTGTILSPASDVRNSSSRQEYSARSSPLDSSKSASNRMTDPSLGKLSSARIESFHKEKDVIKIEESFLLELGL >PAN33359 pep chromosome:PHallii_v3.1:6:1259347:1263150:-1 gene:PAHAL_6G014800 transcript:PAN33359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAAALRPHKAPPARVPTRWVATLCAACFLLGVCVVNRYWPVPEHPGCPDKASSDHSRAALNQVSQTREVIMALDKTISDIEMRLAAARAAQAMSQGMSPSDSEGDQGSMRHRMSFVMGVFTTFANRKRRDSIRQTWMPQGDQLRRLEEKGAVIRFVIGRSENPNPDNEVDRAIDAEDKEYNDILRLNHVEGNGGLPMKIQMFLSTALTTWDADFYVKVDDNVHVNIGITRSILARHRMKPRVYIGCMKSGPVVTKNDSKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLEVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMTEVHRRCWEGRGAEEHAQF >PVH36177 pep chromosome:PHallii_v3.1:6:1260080:1263150:-1 gene:PAHAL_6G014800 transcript:PVH36177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAAALRPHKAPPARVPTRWVATLCAACFLLGVCVVNRYWPVPEHPGCPDKASSDHSRAALNQVSQTREVIMALDKTISDIEMRLAAARAAQAMSQGMSPSDSEGDQGSMRHRMSFVMGVFTTFANRKRRDSIRQTWMPQGDQLRRLEEKGAVIRFVIGRSENPNPDNEVDRAIDAEDKEYNDILRLNHVEGNGGLPMKIQMFLSTALTTWDADFYVKVDDNVHVNIGITRSILARHRMKPRVYIGCMKSGPVVTKNDSKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLEVEHVDERSLCCGTPPAMAPYSKYVHS >PVH36256 pep chromosome:PHallii_v3.1:6:2430992:2431806:-1 gene:PAHAL_6G032900 transcript:PVH36256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGSRGFRLSRTKTEYMMCDFSLTRHEDGYVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQPSGVLCDKKVPQRLKGKFYRTAIRPAMLYGVECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKPPEAPVRSGVLKRGDNIKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH37364 pep chromosome:PHallii_v3.1:6:44905957:44906544:-1 gene:PAHAL_6G297200 transcript:PVH37364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKKLAQLSKKWQGMGAIERRRITNVDKEINPSCSSMVAGKGNCIVHSSDGKQFEIPLMYLHTTVFVELLKLSQEEFGFTSDGRITLPCDTAVMEYVMCLLRREASEDVEKALLSSIVMPCHHQSRMVQPSSGLNQQFAVCSS >PAN36139 pep chromosome:PHallii_v3.1:6:44983823:44989791:-1 gene:PAHAL_6G299000 transcript:PAN36139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHLFGLGDAQMQPPLPQQAAPPPPAAQAPAPKKKRNQPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHNPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARAPPIGAGMYGSGGMALGLSGLAAPQLHSFQDQAHSSATTTISGNPAAQFEHIMPSSAGSPAFRGAQQASSTSSPFYLGGAEDGHQSQPGHTSLLHGKPAFHGLMQLPEQHGQPGSNGLLNLGFFSGASSGQDARLVFPDQFNATAGGNGRGDGGEHGNSSANTESAAIFSGNLMGNQMAGDGGGGFSSSLYNSTETVAPPQMSATALLQKAAQMGATTSGGGAGGSVNSLLRGLSSGGALNARPAGAAGFMAGESSSSRSTSQAENESQFRDLMNSLAASGSGGFPGMDDGKLSTRDFLGVGGGVVRSMGGAAGLPLRHGAAGIGMGSLDPEMK >PAN36635 pep chromosome:PHallii_v3.1:6:44984402:44988691:-1 gene:PAHAL_6G299000 transcript:PAN36635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHLFGLGDAQMQPPLPQQAAPPPPAAQAPAPKKKRNQPGNPNPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHNPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARAPPIGAGMYGSGGMALGLSGLAAPQLHSFQDQAHSSATTTISGNPAAQFEHIMPSSAGSPAFRGAQQASSTSSPFYLGGAEDGHQSQPGHTSLLHGKPAFHGLMQLPEQHGQPGSNGLLNLGFFSGASSGQDARLVFPDQFNATAGGNGRGDGGEHGNSSANTESAAIFSGNLMGNQMAGDGGGGFSSSLYNSTETVAPPQMSATALLQKAAQMGATTSGGGAGGSVNSLLRGLSSGGALNARPAGAAGFMAGESSSSRSTSQAENESQFRDLMNSLAASGSGGFPGMDDGKLSTRDFLGVGGGVVRSMGGAAGLPLRHGAAGIGMGSLDPEMK >PAN35381 pep chromosome:PHallii_v3.1:6:37577213:37583088:1 gene:PAHAL_6G204200 transcript:PAN35381 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRRTRSQSASGARAEPSPSAGPGAEAATGVAGRRRASPAAKGKSPAKVEAGSPLGNTRKKGRAKAETQDNASVERCGAGGLEKNNLEEQVEAVCDNDAADMDWEEGHVERNEYSHELGESFTVEFNDDVPSSTTKKTVRRATAEEKELAELVHKVHLLCLIARGRVVDKTCNDPLIQASILSLLPNHLLWSVADVRNLKAVNLQNLVSWFHRTFCVTAQSTHRGSFVSNLGFTIQDRVGTAEEVCALSVALFRALNLTARFVTNLDVAGLKPDTKVMGTLNQDASRLCTRSLPHSSAAADGNVVSSPALLKDNTQDSVSMNQRRGGLGKSKQTSACKRSLSKTLSSIKADNESSCISASSQLPSTSGNAEVPKRKGDVEFELQLEMALSATAAENQNNNQVNHMSQSIGSLQDSALPKKKLRQNTEATSSSSAVWSRSAGAPLYWAEVYCSGQASTGRWVHVDVVNDLLDAERKVEASSAVCKKPLRYVVAFAGNGAKDVTRRYCLQWHRIAQGRVNPEWWENVLAPLKQMELTATNNSEDMELQTRALTEPLPTSQQAYKDHHLYALEKWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQIRENELPAKFVTRPKSTFNAQSVQSSANEDALKPTLELYGEWQLEPLQLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTEFKHAILEAYAEGEEQRRAEERKQEEAQALSRWYQLLCSIVTRQRLKDSYKTPSHGLGHEEALGNDNIQKNTLSSQRSERKPISSKLQTDHEHEHVHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >PVH36947 pep chromosome:PHallii_v3.1:6:37577748:37583088:1 gene:PAHAL_6G204200 transcript:PVH36947 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MDWEEGHVERNEYSHELGESFTVEFNDDVPSSTTKKTVRRATAEEKELAELVHKVHLLCLIARGRVVDKTCNDPLIQASILSLLPNHLLWSVADVRNLKAVNLQNLVSWFHRTFCVTAQSTHRGSFVSNLGFTIQDRVGTAEEVCALSVALFRALNLTARFVTNLDVAGLKPDTKVMGTLNQDASRLCTRSLPHSSAAADGNVVSSPALLKDNTQDSVSMNQRRGGLGKSKQTSACKRSLSKTLSSIKADNESSCISASSQLPSTSGNAEVPKRKGDVEFELQLEMALSATAAENQNNNQVNHMSQSIGSLQDSALPKKKLRQNTEATSSSSAVWSRSAGAPLYWAEVYCSGQASTGRWVHVDVVNDLLDAERKVEASSAVCKKPLRYVVAFAGNGAKDVTRRYCLQWHRIAQGRVNPEWWENVLAPLKQMELTATNNSEDMELQTRALTEPLPTSQQAYKDHHLYALEKWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQIRENELPAKFVTRPKSTFNAQSVQSSANEDALKPTLELYGEWQLEPLQLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTEFKHAILEAYAEGEEQRRAEERKQEEAQALSRWYQLLCSIVTRQRLKDSYKTPSHGLGHEEALGNDNIQKNTLSSQRSERKPISSKLQTDHEHEHVHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >PAN35380 pep chromosome:PHallii_v3.1:6:37577291:37583037:1 gene:PAHAL_6G204200 transcript:PAN35380 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRRTRSQSASGARAEPSPSAGPGAEAATGVAGRRRASPAAKGKSPAKVEAGSPLGNTRKKGRAKAETQDNASVERCGAGGLEKNNLEEQVEAVCDNDAADMDWEEGHVERNEYSHELGESFTVEFNDDVPSSTTKKTVRRATAEEKELAELVHKVHLLCLIARGRVVDKTCNDPLIQASILSLLPNHLLWSVADVRNLKAVNLQNLVSWFHRTFCVTAQSTHRGSFVSNLGFTIQDRVGTAEELSHKWSSMQVCALSVALFRALNLTARFVTNLDVAGLKPDTKVMGTLNQDASRLCTRSLPHSSAAADGNVVSSPALLKDNTQDSVSMNQRRGGLGKSKQTSACKRSLSKTLSSIKADNESSCISASSQLPSTSGNAEVPKRKGDVEFELQLEMALSATAAENQNNNQVNHMSQSIGSLQDSALPKKKLRQNTEATSSSSAVWSRSAGAPLYWAEVYCSGQASTGRWVHVDVVNDLLDAERKVEASSAVCKKPLRYVVAFAGNGAKDVTRRYCLQWHRIAQGRVNPEWWENVLAPLKQMELTATNNSEDMELQTRALTEPLPTSQQAYKDHHLYALEKWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQIRENELPAKFVTRPKSTFNAQSVQSSANEDALKPTLELYGEWQLEPLQLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTEFKHAILEAYAEGEEQRRAEERKQEEAQALSRWYQLLCSIVTRQRLKDSYKTPSHGLGHEEALGNDNIQKNTLSSQRSERKPISSKLQTDHEHEHVHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >PAN36293 pep chromosome:PHallii_v3.1:6:42909916:42912562:1 gene:PAHAL_6G271800 transcript:PAN36293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRAGGGDYIASLLSSAPRLDFGVLGSVPAMLDAAAAGGDGGEDCLDRFCGDPGFAERAARLSSFSGQRFAGAGAAAGLFGLPPPAPAASNGEFAGSREASSVSDPASAMKDANAKKRKAPAAAKGKGKESSAQAGDQKDPDAKRCKTEGAEGKKEESPVKPKSEQAGSDSSVEDGGQKPAGKGNNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSDLPTLLQKDMFQACGPSASSVFSLESSSSGFPFSDQGDVFQSFVPNGLENQCGLNPLDLALSQATNAPYAFQDGTPSTNLQQRNFWEDDLQSVFHIDNGQSQENGGVSAQSFHGQLQAGHMKIEF >PAN36292 pep chromosome:PHallii_v3.1:6:42909916:42912562:1 gene:PAHAL_6G271800 transcript:PAN36292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRAGGGDYIASLLSSAPRLDFGVLGSVPAMLDAAAAGGDGGEDCLDRFCGDPGFAERAARLSSFSGQRFAGAGAAAGLFGLPPPAPAASNGEFAGSREASSVSDPASAMKDANAKKRKAPAAAKGKGKESSAQAGDQKDPDAKRCKTEGAEGKKEESPVKPKSEQAGSDSSVEDGGQKPAGKGNNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSDLPTLLQKDMFQACGPSASSVFSLESSSSGFPFSDQGDVFQSFVPNGLENQCGLNPLDLALSQATNAPYAFQDGTPSTNLQRNFWEDDLQSVFHIDNGQSQENGGVSAQSFHGQLQAGHMKIEF >PVH36247 pep chromosome:PHallii_v3.1:6:2176395:2177076:1 gene:PAHAL_6G029700 transcript:PVH36247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLRSSSCCSPLAAFFFFFLVAVIGSTSSNLQQATRYNAMFSFGDSVAETGNICVVSSSSSNSTELEVLTCTHPPYGTTYFGRPSCRWSARRPCRR >PVH36966 pep chromosome:PHallii_v3.1:6:38189062:38190506:1 gene:PAHAL_6G210700 transcript:PVH36966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKSRILVVGGTGCLGRHVVAASARLGHPTLALVRDTAPSDPAKAALLKSFQDAGGTLLKGDLDDHGSLVSAVRLADVVISTIGVRQIPDQTKLVAAIEEAGNAYIDRPAGPSRRKRGSSRRSSAWTPTARRPWSRPGPCSRRPRRPSAAPSRPRASPTRTCWRATSSATGCPASGRCSPRRPPSTRPSSSATATPGWCSWTRRTSRPTRCWPPATRAPRTRRCTSGRRPTRCRTTSCWRCGRGRPAGRSSGCTSPRAPSSSRSKRLRFR >PAN34156 pep chromosome:PHallii_v3.1:6:5548405:5549828:-1 gene:PAHAL_6G072100 transcript:PAN34156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPIRLFSFSDHFCSMVNIVDVEERYVAVGNEPFLETFNGTYLKTTFPAMQNIQAALVKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYADPNFPLDYAFFQGSSSPVVDGGVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPTDGDASANLDYARRFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFNYDGTPKYALSLAGGNGSTLKPARGVRYLEKRWCVLKPSADLADQKVGDSVSYACGLADCTALGYRTSCGGLDAKGNVSYAFNSYYQTMDQDARACDFKGLATTTSVDPTAGTCRFIVEIDVGGAAAISSARGVAGGVASVLAALVLMSSMLL >PAN34153 pep chromosome:PHallii_v3.1:6:5548405:5551513:-1 gene:PAHAL_6G072100 transcript:PAN34153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRAAAVALLSVAVLCGAGLRRSQAIGVNWGTQLSHPLPASTVVRLLRDNGFDKVKLFDAEDAILGALRGSGIQVMVGIPNDMLADLAGGGKAAEDWVAKNVSGHVRDGVDIRYVAVGNEPFLETFNGTYLKTTFPAMQNIQAALVKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYADPNFPLDYAFFQGSSSPVVDGGVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPTDGDASANLDYARRFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFNYDGTPKYALSLAGGNGSTLKPARGVRYLEKRWCVLKPSADLADQKVGDSVSYACGLADCTALGYRTSCGGLDAKGNVSYAFNSYYQTMDQDARACDFKGLATTTSVDPTAGTCRFIVEIDVGGAAAISSARGVAGGVASVLAALVLMSSMLL >PAN34155 pep chromosome:PHallii_v3.1:6:5548123:5551149:-1 gene:PAHAL_6G072100 transcript:PAN34155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIQAALVKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYADPNFPLDYAFFQGSSSPVVDGGVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPTDGDASANLDYARRFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFNYDGTPKYALSLAGGNGSTLKPARGVRYLEKRWCVLKPSADLADQKVGDSVSYACGLADCTALGYRTSCGGLDAKGNVSYAFNSYYQTMDQDARACDFKGLATTTSVDPTAGTCRFIVEIDVGGAAAISSARGVAGGVASVLAALVLMSSMLL >PAN34154 pep chromosome:PHallii_v3.1:6:5548123:5552619:-1 gene:PAHAL_6G072100 transcript:PAN34154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRAAAVALLSVAVLCGAGLRRSQAIGVNWGTQLSHPLPASTVVRLLRDNGFDKVKLFDAEDAILGALRGSGIQVMVGIPNDMLADLAGGGKAAEDWVAKNVSGHVRDGVDIRYVAVGNEPFLETFNGTYLKTTFPAMQNIQAALVKAGLADKFLASTGAPFVANVYPFISLYADPNFPLDYAFFQGSSSPVVDGGVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPTDGDASANLDYARRFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFNYDGTPKYALSLAGGNGSTLKPARGVRYLEKRWCVLKPSADLADQKVGDSVSYACGLADCTALGYRTSCGGLDAKGNVSYAFNSYYQTMDQDARACDFKGLATTTSVDPTAGTCRFIVEIDVGGAAAISSARGVAGGVASVLAALVLMSSMLL >PVH36621 pep chromosome:PHallii_v3.1:6:18180386:18189663:-1 gene:PAHAL_6G124200 transcript:PVH36621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGGAVDSSGTDDDLPPSYQNSRGMKGSGRITGNGRDTIGAGPYTRVQQPQTDMEAQIHHLEQEAYCSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLNGVNSDDIIRRIREWRESTGGLQMNSVNAQRLHDPVPSPTTSARKRQKTSQPIPSASVPAPSAMHSQPLAAPMQPSSSGAKKAAPPGTKVKKNKPGQKIPGGPAVKSMPSSAGPSGRGPVINRNTSAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAEKDLYALVYDMNTAHETWEWVDFKEMAPEDVRWEGEEPDLNLLGRGAPGHGVKKSTGRGGPMLGAGRGRGPQKNSFKKDYPPSQNGVGKKSSDYIEILHTETLIKEVERVFSAGNPDPLEVERSKKVLKEHEQSLIDAIARLAEASDGEGADDHGRSLEYNRG >PAN34942 pep chromosome:PHallii_v3.1:6:18180370:18189635:-1 gene:PAHAL_6G124200 transcript:PAN34942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGGAVDSSGTDDDLPPSYQNSRGMKGSGRITGNGRDTIGAGPYTRVQQPQTDMEAQIHHLEQEAYCSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLNGVNSDDIIRRIREWRESTGGLQMNSVNAQRLHDPVPSPTTSARKRQKTSQPIPSASVPAPSAMHSQPLAAPMQPSSSGAKKAAPPGTKVKKNKPGQKIPGGPAVKSMPSSAGPSGRGPVINRNTSAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAEKDLYALVYDMNTAHETWEWVDFKEMAPEDVRWEGEEPDLNLLGRGAPGHGVKKSTGRGGPMLGAGRGRGPQKNSFKKDYPPSQNGVGKKSSDYIEILHTETLIKEVERVFSAGNPDPLEVERSKKVLKEHEQSLIDAIARLAEASDGEGDDHGRSLEYNRG >PVH36622 pep chromosome:PHallii_v3.1:6:18183409:18189628:-1 gene:PAHAL_6G124200 transcript:PVH36622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGGAVDSSGTDDDLPPSYQNSRGMKGSGRITGNGRDTIGAGPYTRVQQPQTDMEAQIHHLEQEAYCSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLNGVNSDDIIRRIREWRESTGGLQMNSVNAQRLHDPVPSPTTSARKRQKTSQPIPSASVPAPSAMHSQPLAAPMQPSSSGAKKAAPPGTKVKKNKPGQKIPGGPAVKSMPSSAGPSGRGPVINRNTSAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAEKDLYALVYDMNTAHETWEWVDFKEMAPEDVRWEGEEPDLNLLGRGAPGHGVKKSTGRGGPMLGAGRGRGPQKNSFKKDYPPSQNGVGKKSSDYIEILHTETLIKEVERVFSAGNPDPLEVERSKKVLKEHEQSLIDAIARLAEASDGEGDI >PVH36620 pep chromosome:PHallii_v3.1:6:18180022:18189629:-1 gene:PAHAL_6G124200 transcript:PVH36620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGGAVDSSGTDDDLPPSYQNSRGMKGSGRITGNGRDTIGAGPYTRVQQPQTDMEAQIHHLEQEAYCSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLNGVNSDDIIRRIREWRESTGGLQMNSVNAQRLHDPVPSPTTSARKRQKTSQPIPSASVPAPSAMHSQPLAAPMQPSSSGAKKAAPPGTKVKKNKPGQKIPGGPAVKSMPSSAGPSGRGPVINRNTSAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAEKDLYALVYDMNTAHETWEWVDFKEMAPEDVRWEGEEPDLNLLGRGAPGHGVKKSTGRGGPMLGAGRGRGPQKNSFKKDYPPSQNGVGKKSSDYIEILHTETLIKEVERVFSAGNPDPLEVERSKKVLKEHEQSLIDAIARLAEASDGEGDDHGRSLEYNRG >PVH36895 pep chromosome:PHallii_v3.1:6:36462963:36464377:1 gene:PAHAL_6G192900 transcript:PVH36895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATKPLSLFKSQPEAASGPPPEGRNSGYLVVKGAADEETRFWGLFPDRRVRELPFPQNCVLKVRYTVGAGERRSTYEEAVVFVPVPDQPLVSNRYYAVIGKGRRKGLVRACSREEDMATCCFCRCVRDVEPRPFDPADIYQQIEIVQRRRGWFTARAVAPDAFPSSILRHKHWEVYASKNKKFDLGEALGLDAALRSRQLAPADTFPAAATASVGKWYSPFFLIREAGVAPREQMERSAFYEVTLEQRWEPVRPDGGVSKLASKKALIGGIVEAQQEPLNSRHGDAYVWFQAAATGQQIGVCTTVWERMRWEQYRGGWVDEEEDVGKVAGGSVLVERFVVKRLDSSVVLAFDFVHLNKIRGKQI >PAN35403 pep chromosome:PHallii_v3.1:6:37800354:37801965:-1 gene:PAHAL_6G206100 transcript:PAN35403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVVTRSPSVVVRPSEPEPTAATSGAGGTIKLSSFDRVCAMVPVTALLVFEHPIRQAAETIKGALSRALVPYYPIAGRIAAGPGGDEVHIQCSGEGVAFVAASANCALKDAEFFARSPDTRTPPLVEELAVYYPAESCGPADPLLLMQVTEFSCGGFVVGVTWNHGVADGIGMAQFLQTIGELARGSPSPSLAPVRWDDSLPSLPLPTDQTYKPLGLVCLDITVPSSSIDRIRAEFHERSNGRRRTCTVFEAVAAVLWQCRTRAIASSPDSLALLTFEANVRKHVNTKDGYYGNCVATQRVVVTAGTVANADVTDLIEMIKRAKDGVSDQLLAKNDGHGHDDDDDPLRAMVENQLDELRYNALHLSSWQNVGFEKANFGGGTPERVTCYMQPSVQGWPCCMVCLPCKGKDGASSVFSVCVREEHTDAFLGELARFT >PAN35438 pep chromosome:PHallii_v3.1:6:37988076:37989523:1 gene:PAHAL_6G208900 transcript:PAN35438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASTKPEYPVVDRNPAFTKVVGNFSALDYMRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKYKL >PVH37053 pep chromosome:PHallii_v3.1:6:39965502:39965871:-1 gene:PAHAL_6G232300 transcript:PVH37053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFTVKLRSVGLQRLHSRARAPVSCATGLAGRSAGAPGNGHVNRQPCQPAAARVGALSGPIRLSLSQHGRGRRSMKTCMKGREASPDKQ >PAN36009 pep chromosome:PHallii_v3.1:6:41301980:41303130:1 gene:PAHAL_6G250500 transcript:PAN36009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGVGRRISERTLRTRGARTEELSGGVAAARASTWARRAFGGARPGQAGRTPQASPPSRASLTPRNGLWERNGKGGSAAHASMCRHRDERGEGIRTPWPDQRKKRSAMRARLLLSSASLTTRPGRAARDGRAGFPSPPPRGQGAHRRVTHPAAHQTRRQGRAPATNRPTLSPAISDATQVNRHTASQPLPCAPACLPCCAERAGASASCGGAPRRRQARQHIGGRRGRGQVGVAAIWGGRHGRGTWFLRGVEAGRQGQPRHSFGYMSCPLRYRVCVCVYS >PVH36250 pep chromosome:PHallii_v3.1:6:2219793:2220332:-1 gene:PAHAL_6G030100 transcript:PVH36250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFILAFLVPMATLFITGAACNNVATMKRDDACHKSCDMKPEWYELCQETLRPAPDTAEVTLYALMATRQANLKYGDTMNTINQMLGAGNLPSKEREAVSHCKGKYGEAAALMASIAKQLDGCDFTRGRQEYIDAQVAIGSCQDGLWTFQYMPLYAMVTADHDLTMVTFELGGLIFGN >PAN33882 pep chromosome:PHallii_v3.1:6:4020472:4028401:-1 gene:PAHAL_6G054400 transcript:PAN33882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYPGYLEDHFNIHKLSRLQPLAASGAHPGRYANATPAAAAAGAGAMGIYGRQRHQMAAAGVWGDPFRPDGDALAAVAAPVTAVAAPVDVDVETEVKFGNHLAQDDVVPVEDAPPSSDSFGHDDARPRDKVQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAQLEQELTMARRQQGAHAAGGLLAPPVDPRVAAFEMEYARWVEDQKKQARELRAALQSGAPEFQLRFLVDAALAHYGALFEAKSRAARADAFFVLSGVWRAPAERFFLWIGGFRPSELLKVLAPQLDPLAEPQASAVRMLQNTARQLEDALSQGMNKLQQTLVDALMTVDAPDDAASGAGGGYAARQMASAVGKLDDLVSFVDQADHLRQQTLRNMNKILTVPQAARGLLALADYSQRLRALSSLWAARPREPA >PAN33881 pep chromosome:PHallii_v3.1:6:4020472:4027497:-1 gene:PAHAL_6G054400 transcript:PAN33881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYGRQRHQMAAAGVWGDPFRPDGDALAAVAAPVTAVAAPVDVDVETEVKFGNHLAQDDVVPVEDAPPSSDSFGHDDARPRDKVQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAQLEQELTMARRQQGAHAAGGLLAPPVDPRVAAFEMEYARWVEDQKKQARELRAALQSGAPEFQLRFLVDAALAHYGALFEAKSRAARADAFFVLSGVWRAPAERFFLWIGGFRPSELLKVLAPQLDPLAEPQASAVRMLQNTARQLEDALSQGMNKLQQTLVDALMTVDAPDDAASGAGGGYAARQMASAVGKLDDLVSFVDQADHLRQQTLRNMNKILTVPQAARGLLALADYSQRLRALSSLWAARPREPA >PAN33880 pep chromosome:PHallii_v3.1:6:4020472:4028400:-1 gene:PAHAL_6G054400 transcript:PAN33880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYGRQRHQMAAAGVWGDPFRPDGDALAAVAAPVTAVAAPVDVDVETEVKFGNHLAQDDVVPVEDAPPSSDSFGHDDARPRDKVQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAQLEQELTMARRQQGAHAAGGLLAPPVDPRVAAFEMEYARWVEDQKKQARELRAALQSGAPEFQLRFLVDAALAHYGALFEAKSRAARADAFFVLSGVWRAPAERFFLWIGGFRPSELLKVLAPQLDPLAEPQASAVRMLQNTARQLEDALSQGMNKLQQTLVDALMTVDAPDDAASGAGGGYAARQMASAVGKLDDLVSFVDQADHLRQQTLRNMNKILTVPQAARGLLALADYSQRLRALSSLWAARPREPA >PVH36443 pep chromosome:PHallii_v3.1:6:5853548:5863410:1 gene:PAHAL_6G074700 transcript:PVH36443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDFWMSGETGPCGPSVGIFVDGSNNQDGVNGKFIEISRIVFVEFNRQADGVLSPLQAKHIITGINLQCLAAILQKKESLYELDDYDNIIDCISCRAGEEFDSYSGKVGEADTDGVDTAYRLLADHMRMISVTNAPGSQLGPGHEGREYFLKCADKLAVQYCHKVLEILEQDRYAVIVFTSLLDSDLCPELGGYRKKDQIEEDEVMIYPKTIREKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKFASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSCYFRLAVTLAMYESLLPEHQKYDVGCKDDMFYSALAFSSAEPEELTGCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDRNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTVTPEWLLLDCLDLNIRHGWIPNVDLLPGPEVPEVSYERLTLKSLEPGCPDAETIKNFLNGHQLTLIGLVSLLEDLGENIPCILYCHYHYSTIVKVNGVIYSLVININYLRTPAVWQMLEVNGGGVYLDSKFRPIYMWLDAAPSGSFSVPETSTSEASTSFMKPDSEGITSHGDRLGLDSFTQLHSGPDTAPLRDNLHASWFVPKIRTRRSCTNSMRPYIDKDMSNEKSVPGPQIVPGTREISLEEFVLIPGNEFSKSRTIFAGGTSLNVADTTRTGRVLGLDFDLSTIYVVDGVRAEIRAPYCEKFCEKAKFNDYFSYICNIVELFKIEGIGLPAFFTQLVRLLSDPPLRPSGCNIAQLEGYRRRLHGFWDCVLTTLALRSSSARSGLFNGIRRIRRSAPLRVRSKLREILARHPVLKKVLGYIPGDGSDEEAKEKEPEDKKFGFFTPDSSEEAKEKEPEDQKFGLFTLNEHAKSKEDLELEWRKGVVKEPFNKLSELELLTSHYLEDELPVILEALL >PVH36444 pep chromosome:PHallii_v3.1:6:5853548:5863410:1 gene:PAHAL_6G074700 transcript:PVH36444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFNRQADGVLSPLQAKHIITGINLQCLAAILQKKESLYELDDYDNIIDCISCRAGEEFDSYSGKVGEADTDGVDTAYRLLADHMRMISVTNAPGSQLGPGHEGREYFLKCADKLAVQYCHKVLEILEQDRYAVIVFTSLLDSDLCPELGGYRKKDQIEEDEVMIYPKTIREKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKFASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSCYFRLAVTLAMYESLLPEHQKYDVGCKDDMFYSALAFSSAEPEELTGCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDRNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTVTPEWLLLDCLDLNIRHGWIPNVDLLPGPEVPEVSYERLTLKSLEPGCPDAETIKNFLNGHQLTLIGLVSLLEDLGENIPCILYCHYHYSTIVKVNGVIYSLVININYLRTPAVWQMLEVNGGGVYLDSKFRPIYMWLDAAPSGSFSVPETSTSEASTSFMKPDSEGITSHGDRLGLDSFTQLHSGPDTAPLRDNLHASWFVPKIRTRRSCTNSMRPYIDKDMSNEKSVPGPQIVPGTREISLEEFVLIPGNEFSKSRTIFAGGTSLNVADTTRTGRVLGLDFDLSTIYVVDGVRAEIRAPYCEKFCEKAKFNDYFSYICNIVELFKIEGIGLPAFFTQLVRLLSDPPLRPSGCNIAQLEGYRRRLHGFWDCVLTTLALRSSSARSGLFNGIRRIRRSAPLRVRSKLREILARHPVLKKVLGYIPGDGSDEEAKEKEPEDKKFGFFTPDSSEEAKEKEPEDQKFGLFTLNEHAKSKEDLELEWRKGVVKEPFNKLSELELLTSHYLEDELPVILEALL >PVH36442 pep chromosome:PHallii_v3.1:6:5853548:5863410:1 gene:PAHAL_6G074700 transcript:PVH36442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRAGEEFDSYSGKVGEADTDGVDTAYRLLADHMRMISVTNAPGSQLGPGHEGREYFLKCADKLAVQYCHKVLEILEQDRYAVIVFTSLLDSDLCPELGGYRKKDQIEEDEVMIYPKTIREKKRKKGATSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVKFASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSCYFRLAVTLAMYESLLPEHQKYDVGCKDDMFYSALAFSSAEPEELTGCARISTFLRGPQLTPYGFSSLKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLREGNDDGYFVDRNFMPTNSLIQSILPLTKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFGRSTHIIHQINDGPCALIAAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTVTPEWLLLDCLDLNIRHGWIPNVDLLPGPEVPEVSYERLTLKSLEPGCPDAETIKNFLNGHQLTLIGLVSLLEDLGENIPCILYCHYHYSTIVKVNGVIYSLVININYLRTPAVWQMLEVNGGGVYLDSKFRPIYMWLDAAPSGSFSVPETSTSEASTSFMKPDSEGITSHGDRLGLDSFTQLHSGPDTAPLRDNLHASWFVPKIRTRRSCTNSMRPYIDKDMSNEKSVPGPQIVPGTREISLEEFVLIPGNEFSKSRTIFAGGTSLNVADTTRTGRVLGLDFDLSTIYVVDGVRAEIRAPYCEKFCEKAKFNDYFSYICNIVELFKIEGIGLPAFFTQLVRLLSDPPLRPSGCNIAQLEGYRRRLHGFWDCVLTTLALRSSSARSGLFNGIRRIRRSAPLRVRSKLREILARHPVLKKVLGYIPGDGSDEEAKEKEPEDKKFGFFTPDSSEEAKEKEPEDQKFGLFTLNEHAKSKEDLELEWRKGVVKEPFNKLSELELLTSHYLEDELPVILEALL >PVH37348 pep chromosome:PHallii_v3.1:6:44635146:44639299:1 gene:PAHAL_6G293500 transcript:PVH37348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSEAYVQSFEFVFGFADVSGGQDMTGYYPRETDRAGYHSLRENEAIGASYDRYLRNGMPSVGANDTSRAAGMSAGIAAGMGAGMAAGMGAGMAGYGVDDRRMMGVVGMDNRGMGYGGRPEPPLPPDASSTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATIALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >PAN36567 pep chromosome:PHallii_v3.1:6:44633723:44639299:1 gene:PAHAL_6G293500 transcript:PAN36567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENYWRYADARQQQQAMVAAAAAAAGMAPAATVTAAQTIGGAAAGMNPQAAAAAMAQQAAAPPLKRARPDFGDVSGGQDMTGYYPRETDRAGYHSLRENEAIGASYDRYLRNGMPSVGANDTSRAAGMSAGIAAGMGAGMAAGMGAGMAGYGVDDRRMMGVVGMDNRGMGYGGRPEPPLPPDASSTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATIALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >PAN36351 pep chromosome:PHallii_v3.1:6:43169581:43172080:1 gene:PAHAL_6G276200 transcript:PAN36351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSDDPSSCVSPSPPSRSKSMDWKVRGDMNRPLPVIAFFLGAALTAAFVFLGATLDVNWRFSEMAVWGNGARDEGKPYAELADMLKNASMEDNTVIVTSINQAYAAPGSLLDLFLESFRLGEGTARLLDHLLIVAVDPGALQTCRSVHRHCYLLRPDGGVAADLGAEKHFMTPEYLDMMWARNRFQLTILELGFNFLFTDIDILWFRNPMRHIAITSDIAIASDYFNGDPDSLLNLPNGGFLYVRSKNRTVEFYRRWRAARRQFPAGTNEQHILAQVQAPLTGQLGVRMQFLDTANCGGFCQLSGDLRRVSTMHANCCTGLPNKVQDLQSVLRDWRNYTLAPQELRRRGGFGWTKPGRCIR >PVH37240 pep chromosome:PHallii_v3.1:6:43053897:43059854:1 gene:PAHAL_6G274400 transcript:PVH37240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37233 pep chromosome:PHallii_v3.1:6:43053897:43059854:1 gene:PAHAL_6G274400 transcript:PVH37233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37236 pep chromosome:PHallii_v3.1:6:43053897:43059725:1 gene:PAHAL_6G274400 transcript:PVH37236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37232 pep chromosome:PHallii_v3.1:6:43053897:43059740:1 gene:PAHAL_6G274400 transcript:PVH37232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37237 pep chromosome:PHallii_v3.1:6:43053897:43059740:1 gene:PAHAL_6G274400 transcript:PVH37237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37234 pep chromosome:PHallii_v3.1:6:43053897:43059854:1 gene:PAHAL_6G274400 transcript:PVH37234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37231 pep chromosome:PHallii_v3.1:6:43053897:43059725:1 gene:PAHAL_6G274400 transcript:PVH37231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37239 pep chromosome:PHallii_v3.1:6:43053897:43059854:1 gene:PAHAL_6G274400 transcript:PVH37239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37235 pep chromosome:PHallii_v3.1:6:43053897:43059747:1 gene:PAHAL_6G274400 transcript:PVH37235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNETSQLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37238 pep chromosome:PHallii_v3.1:6:43053897:43059725:1 gene:PAHAL_6G274400 transcript:PVH37238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH37230 pep chromosome:PHallii_v3.1:6:43053897:43059854:1 gene:PAHAL_6G274400 transcript:PVH37230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTTRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNDIRGMRDTVQEQKPEERTETGRQNLERELNADEQEEEDRKRILALYKQKRDEEGRTRGRIRNQLQDGQRERIQAAKDLMEAKRTLEENQRKRMIETRIADQEEEKRARDRIRQRIADDMAERRRRLGLPQENPAGASVAIITPTKVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQTLLKIIANIVKNPEEKKFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDGIDLALLNAAGVEIASAMENPYFGLLSK >PVH36299 pep chromosome:PHallii_v3.1:6:3019566:3026770:1 gene:PAHAL_6G041700 transcript:PVH36299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERCLRCCRGARCPVSRRGSPSSRRSRRRTPSGRTRPPAASSPPACRGTMPSTCCSSTPGGGTRWWPSTSGTPARASPCSTRTATPPTSASSTTSLCSSRYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTLCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETITTKIRLKKIRQSLQPRKKAHRTNTATTTTFTANCCCRIRVRKPTCPSCNFSCGCWGLRNCFTFRFLRCCHSCFSCSSCCSCRSCFKCCCCGDAR >PAN33737 pep chromosome:PHallii_v3.1:6:3020525:3025004:1 gene:PAHAL_6G041700 transcript:PAN33737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDNALDVLLVDTRRGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKINLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTLCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETITTKIRLKKIRQSLQPRKKAHRTNTATTTTFTANCCCRIRVRKPTCPSCNFSCGCWGLRNCFTFRFLRCCHSCFSCSSCCSCRSCFKCCCCGDAR >PAN33738 pep chromosome:PHallii_v3.1:6:3019566:3026770:1 gene:PAHAL_6G041700 transcript:PAN33738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDNALDVLLVDTRRGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKINLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTLCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETITTKIRLKKIRQSLQPRKKAHRTNTATTTTFTANCCCRIRVRKPTCPSCNFSCGCWGLRNCFTFRFLRCCHSCFSCSSCCSCRSCFKCCCCGDAR >PVH36300 pep chromosome:PHallii_v3.1:6:3019564:3026770:1 gene:PAHAL_6G041700 transcript:PVH36300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERCLRCCRGARCPVSRRGSPSSRRSRRRTPSGRTRPPAASSPPACRGTMPSTCCSSTPGGGTRWWPSTSGTPARASPCSTRTATPPTSASSTTSLCSSRYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTLCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETITTKIRLKKIRQSLQPRKKAHRTNTATTTTFTANCCCRIRVRKPTCPSCNFSCGCWGLRNCFTFRFLRCCHSCFSCSSCCSCRSCFKCCCCGDAR >PAN33324 pep chromosome:PHallii_v3.1:6:1038055:1041456:1 gene:PAHAL_6G011700 transcript:PAN33324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSPTILVATPEACKQVLMDDDSFVTGWPKATVALIGPKSFVAMPYDEHRRLRKLTAAPINGFDALTAYLPFIDRTVRSSLRAWAGASADGGEVAFLTELRRMTFKIIVQIFLGGAGEATMRALERSYTDLNYGMRAMAINLPGFAYRRALGARRRLVSVLQGVLDERRASTAKGFTRSSSMDMMDRLIEAEDERGRRLDDDEIIDILIMYLNAGHESSGHITMWATVFLQENPDIFAKAKAEQEAIVRSIPANQQGLTLRDFRKMEYLSQVIDETLRLVNISFVSFRQATKDVCVNGFLIPKGWKVQLWYRSVHMDPQVYPDPKKFNPSRWEGHSPRAGTFLSFGLGARLCPGNDLAKLEISVFLHHFLLGYRLTRTNPNCRVRYLPHPRPVDNCLAKITKVSDDY >PAN33323 pep chromosome:PHallii_v3.1:6:1037553:1041455:1 gene:PAHAL_6G011700 transcript:PAN33323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRVPVGPHSSAVHEFIERGASGRPRRRFHFALFAILVSSSVPPGAPRVCTRAARPGTVPEMGEGVAGPAAMWWAAAAAVVLVGGAVLLLLDAAARALHGWYREASLGAARRARLPPGDMGWPFIGAMWAFLRAFKSGKPDAFVASFIRRFGRTGVYRTFMFSSPTILVATPEACKQVLMDDDSFVTGWPKATVALIGPKSFVAMPYDEHRRLRKLTAAPINGFDALTAYLPFIDRTVRSSLRAWAGASADGGEVAFLTELRRMTFKIIVQIFLGGAGEATMRALERSYTDLNYGMRAMAINLPGFAYRRALGARRRLVSVLQGVLDERRASTAKGFTRSSSMDMMDRLIEAEDERGRRLDDDEIIDILIMYLNAGHESSGHITMWATVFLQENPDIFAKAKAEQEAIVRSIPANQQGLTLRDFRKMEYLSQVIDETLRLVNISFVSFRQATKDVCVNGFLIPKGWKVQLWYRSVHMDPQVYPDPKKFNPSRWEGHSPRAGTFLSFGLGARLCPGNDLAKLEISVFLHHFLLGYRLTRTNPNCRVRYLPHPRPVDNCLAKITKVSDDY >PAN33963 pep chromosome:PHallii_v3.1:6:4593592:4596248:1 gene:PAHAL_6G060600 transcript:PAN33963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLF >PVH37332 pep chromosome:PHallii_v3.1:6:44355994:44357983:-1 gene:PAHAL_6G289600 transcript:PVH37332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISYMYSLKKNNARQLHLRRRRFHAPTAVPLPRHRQAAPRPFPLPLQPPLSLLPLPLQAPLSLLRHGRRYQCRLRLCPPPLFPPAPHRLLIQCHVPLLCHLAPGHHPSPAPPHAPLRAPPRHLHAPLLAPRCGAHPIPSARSLAPPTRLLRSLGSGTMTTPSTP >PAN34852 pep chromosome:PHallii_v3.1:6:14088290:14088968:1 gene:PAHAL_6G110600 transcript:PAN34852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAITMHQKVVVTVFFMLILLFNGPSAMAYLPPNCSIACDNACRLYGEAACWSASGIVCPKVQECRDKLQTPCTTSCNQRCNDTPIVC >PVH36452 pep chromosome:PHallii_v3.1:6:6003956:6007640:1 gene:PAHAL_6G075500 transcript:PVH36452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLQHKNIVQFLGYCSHTEEEAMKYGGLIMADRRERLLCFEYLSNGSLDRYVSDASCGLGWRERYQIIKGICEGLHYLHGNYIVSMDLKPTSILLDDNMVPKISDLCLSDFFAEGQNQTVIEKKIATQNIYCLGVIITEILTGQKEYYFANDEKLLESWKERLLHTSSADLILLEQVRVCAEIRRACRADDPDKRPDTGRIIEMLPETETDGAGAQGDLLYMEFNVMECILKGTKKPGILSHQLLLFITGNFSRQQEIGRSEFAITYRHKNIVQFLGYTQEEAMEYQGEVIMPDTRERLLCFEYLSKGSLKDYVSDASRGLEWRSRYQIIKGICEGIHYLHGNTIVHGELRPTNVLLDDNMDPKIACFCLSRCFVEHQRSAVTPNKIESMGYMAPDAFAGFITFMSDIYSLGIIIAEMLTGCKDIQKENVLESWRTRLGTSLTDILLEQVRVCTEISIACINPNQERRPDMQHIIEMLDETECEEPAEQ >PVH36453 pep chromosome:PHallii_v3.1:6:6003956:6007640:1 gene:PAHAL_6G075500 transcript:PVH36453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLQHKNIVQFLGYCSHTEEEAMKYGGLIMADRRERLLCFEYLSNGSLDRYVSDASCGLGWRERYQIIKGICEGLHYLHGNYIVSMDLKPTSILLDDNMVPKISDLCLSDFFAEGQNQTVIEKKIATQNIYCLGVIITEILTGQKEYYFANDEKLLESWKERLLHTSSADLILLEQVRVCAEIRRACRADDPDKRPDTGRIIEMLPETETDGAGAQGDLLYMEFNVMECILKGTKKPGILSHQLLLFITGNFSRQQEIGRSEFAITYRGILLHPSVAVKRLSMTKEFDDRLFSNEINTLVLVQHKNIVQFLGYTQEEAMEYQGEVIMPDTRERLLCFEYLSKGSLKDYVSDASRGLEWRSRYQIIKGICEGIHYLHGNTIVHGELRPTNVLLDDNMDPKIACFCLSRCFVEHQRSAVTPNKIESMCLKAGGPDWVHH >PVH36454 pep chromosome:PHallii_v3.1:6:6004384:6007329:1 gene:PAHAL_6G075500 transcript:PVH36454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKPTSILLDDNMVPKISDLCLSDFFAEGQNQTVIEKKIATQNIYCLGVIITEILTGQKEYYFANDEKLLESWKERLLHTSSADLILLEQVRVCAEIRRACRADDPDKRPDTGRIIEMLPETETDGAGAQGDLLYMEFNVMECILKGTKKPGILSHQLLLFITGNFSRQQEIGRSEFAITYRGILLHPSVAVKRLSMTKEFDDRLFSNEINTLVLVQHKNIVQFLGYTQEEAMEYQGEVIMPDTRERLLCFEYLSKGSLKDYVSDASRGLEWRSRYQIIKGICEGIHYLHGNTIVHGELRPTNVLLDDNMDPKIACFCLSRCFVEHQRSAVTPNKIESMGYMAPDAFAGFITFMSDIYSLGIIIAEMLTGCKDIQKENVLESWRTRLGTSLTDILLEQVRVCTEISIACINPNQERRPDMQHIIEMLDETECEEPAEQ >PAN34214 pep chromosome:PHallii_v3.1:6:6003956:6007640:1 gene:PAHAL_6G075500 transcript:PAN34214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLQHKNIVQFLGYCSHTEEEAMKYGGLIMADRRERLLCFEYLSNGSLDRYVSDASCGLGWRERYQIIKGICEGLHYLHGNYIVSMDLKPTSILLDDNMVPKISDLCLSDFFAEGQNQTVIEKKIATQNIYCLGVIITEILTGQKEYYFANDEKLLESWKERLLHTSSADLILLEQVRVCAEIRRACRADDPDKRPDTGRIIEMLPETETDGAGAQGDLLYMEFNVMECILKGTKKPGILSHQLLLFITGNFSRQQEIGRSEFAITYRGILLHPSVAVKRLSMTKEFDDRLFSNEINTLVLVQHKNIVQFLGYTQEEAMEYQGEVIMPDTRERLLCFEYLSKGSLKDYVSDASRGLEWRSRYQIIKGICEGIHYLHGNTIVHGELRPTNVLLDDNMDPKIACFCLSRCFVEHQRSAVTPNKIESMGYMAPDAFAGFITFMSDIYSLGIIIAEMLTGCKDIQKENVLESWRTRLGTSLTDILLEQVRVCTEISIACINPNQERRPDMQHIIEMLDETECEEPAEQ >PVH37272 pep chromosome:PHallii_v3.1:6:43463123:43470101:1 gene:PAHAL_6G280900 transcript:PVH37272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVARRRLGSGCVLGQLAQALRPAAAARSYSAAAKEITVREALNSSLDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERQGKDVTITAFSKMVGYALQAADILAKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMAVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAAAA >PVH37273 pep chromosome:PHallii_v3.1:6:43463194:43470101:1 gene:PAHAL_6G280900 transcript:PVH37273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVARRRLGSGCVLGQLAQALRPAAAARSYSAAAKEITVREALNSSLDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERQGKDVTITAFSKMVGYALQAADILAKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMAVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAAAA >PVH37196 pep chromosome:PHallii_v3.1:6:42669895:42672005:1 gene:PAHAL_6G269200 transcript:PVH37196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAYRKLEEDADQKWKSNSLVGYNEFSIFMRGIISERHPSSHNMLPKGLDAFFSLLDGNEVEPEFALDYPCLVSDEKYGSMLIQVSRLLRNEFRVFRKSAKARAILKEIYCSEPDYVAVCQKHPVLNEYLPSSLKNGQFHLDDLADNISCGPGTIMSLGPGYIFGLASSSIKTSTGGPRTPISLSMPVPGNSNHIG >PVH37334 pep chromosome:PHallii_v3.1:6:44360579:44368084:1 gene:PAHAL_6G289700 transcript:PVH37334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNPHGASSSTAKPADDPETTVEINIKTLDSQVHKLRVNKNAPVSVLKEKIVDATGVPLDQQRLIFRGRVLKDDHLLSEYHLEDGFTLHLVARRAAEGQNSSGTSEGNTHANVNFAANGGLLDDISRSVRDLLGSLGVAMSGGLTNTSFSVPLATAPEGANNVPGRTQPVNPAQPGFLVPNHQIHVTQLQPGAIPRSMVIPDSLTTLTEYMERVDRVLQNNGTPPSRDSEGQQRPTADDANVNPRFPSPEVLASVIERAQQLLSGSASSALSHVAQRIRQDGSTGDASIRREIQTESVQLGIAMQHLGAMFFELGRTMMMLRTGLSPSEAFVNSGPAVYINSTGPNPIMVQPSFQNTPPFGVSNIPVLGGVSGAFGVVDPSRSSGFGDPFRNINVQSSGASATSGSSAGTTTTSEGATNGNRQDAARTEGSNPPGHPAGTRGLPTRTVVAAIPARSSVEAPNHVLSVFLPVQVRGQVAVPNQSASFQGSQTAAGNGAQPNSTPAVPQASVGGVPPIVAQVTAQVANAPGQVSSSAQSAADQGFNQTTDSRAGVLSSSTPATTPQQNDPSGTCGSTLPSQDGLHQHPQLEDTSAGRTNLSGDPTGPCGIDVPSSTSAENSALKNKSSDGVGSQSLEHSASGSSEPVGLGGGLIPMRRSRAAKPSGSTTDSGRDSSSVSQSQDAISVAQQFLQGFASQNTNASRSNTPTSGPPSSRSQPTGVPPRRQSGEGQHDFGSMISGMLNNPVFGNLLSNVATQAGGSSADMRSVMEGLQSPAVVDTISNIVQNVDEQDLGAMFGSGRGQGGMDLSRMLQQMMPVVSQVLGGAGAPPAGANNGQPRSQRRSSITAGGNVLDNSSSQLNLRQARQSIEQHESPENIFSAVLETAAQAYGEDDSIQSMLEELASDPELTNDYLKLLVEQVRQRLQSESQPGSQS >PVH37333 pep chromosome:PHallii_v3.1:6:44360763:44368087:1 gene:PAHAL_6G289700 transcript:PVH37333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNPHGASSSTAKPADDPETTVEINIKTLDSQVHKLRVNKNAPVSVLKEKIVDATGVPLDQQRLIFRGRVLKDDHLLSEYHLEDGFTLHLVARRAAEGQNSSGTSEGNTHANVNFAANGGLLDDISRSVRDLLGSLGVAMSGGLTNTSFSVPLATAPEGANNVPGRTQPVNPAQPGFLVPNHQIHVTQLQPGAIPRSMVIPDSLTTLTEYMERVDRVLQNNGTPPSRDSEGQQRPTADDANVNPRFPSPEVLASVIERAQQLLSGSASSALSHVAQRIRQDGSTGDASIRREIQTESVQLGIAMQHLGAMFFELGRTMMMLRTGLSPSEAFVNSGPAVYINSTGPNPIMVQPSFQNTPPFGVSNIPVLGGVSGAFGVVDPSRSSGFGDPFRNINVQSSGASATSGSSAGTTTTSEGATNGNRQDAARTEGSNPPGHPAGTRGLPTRTVVAAIPARSSVEAPNHVLSVFLPVQVRGQVAVPNQSASFQGSQTAAGNGAQPNSTPAVPQASVGGVPPIVAQVTAQVANAPGQVSSSAQSAADQGFNQTTDSRAGVLSSSTPATTPQQNDPSGTCGSTLPSQDGLHQHPQLEDTSAGRTNLSGDPTGPCGIDVPSSTSAENSALKNKSSDGVGSQSLEHSASGSSEPVGLGGGLIPMRRSRAAKPSGSTTDSGRDSSSVSQSQDAISVAQQFLQGFASQNTNASRSNTPTSGPPSSRSQPTGVPPRRQSGEGQHDFGSMISGMLNNPVFGNLLSNVATQAGGSSADMRSVMEGLQSPAVVDTISNIVQNVDEQDLGAMFGSGRGQGGMDLSRMLQQMMPVVSQVLGGAGAPPAGANNGQPRSQRRSSITAGGNVLDNSSSQLNLRQARQSIEQHESPENIFSAVLETAAQAYGEDDSIQSMLEELASDPELTNDYLKLLVEQVRQRLQSESQPGSQS >PAN33490 pep chromosome:PHallii_v3.1:6:1827806:1830837:-1 gene:PAHAL_6G025000 transcript:PAN33490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMPIYYMQGAKLCMLADMLGSTGSLTGVDVAKHRLSACRTMLQKYSLGDRTRLFVADGTLFSILPVNSNLRRMEGSIGLEENGGTFSEWTSRRSWKDRQKAKKLNATGSQHLLASSEPELIYYGKHSGLVGLCKADVLCPAVDDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDNLLQLQLHLLTNGFRLLKTGGSLVYSTCSMTIAQNENVVQQFLSTQPSADLQKIDLSDSWPCRSGGIPKTLRFDPVTSQTSGLFVAKFSKLPT >PAN33491 pep chromosome:PHallii_v3.1:6:1827806:1832577:-1 gene:PAHAL_6G025000 transcript:PAN33491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETDRAQGSVPEPGEEQQDAPLPLPPAFLEFLCENGLDPALYSTAATIPRYIRLKPGMESQIAEIEGELKCVLENVSWLPGFYAIPPEVLIAGSKAYQQGKIYGIDAASGAAILALDVRPGDHVLDLCAAPGAKLCMLADMLGSTGSLTGVDVAKHRLSACRTMLQKYSLGDRTRLFVADGTLFSILPVNSNLRRMEGSIGLEENGGTFSEWTSRRSWKDRQKAKKLNATGSQHLLASSEPELIYYGKHSGLVGLCKADVLCPAVDDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDNLLQLQLHLLTNGFRLLKTGGSLVYSTCSMTIAQNENVVQQFLSTQPSADLQKIDLSDSWPCRSGGIPKTLRFDPVTSQTSGLFVAKFSKLPT >PVH36901 pep chromosome:PHallii_v3.1:6:36604567:36609946:1 gene:PAHAL_6G194000 transcript:PVH36901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESAEHSSFIMLNFYFLVFALRCRNPLGLSPDQDSLDGVEAINRYAMFMGYVSKAISGLRFLVFTWTTVVLLGGFVSLLQKDFWALTVITLVQTAGFWSSLSCCFLSAPSTCSGISLWRLVQHDYGAADGDPSTANLTQALDILYVLPLVQGALLCYRAIFTVSKREHAAVNRVLARYELDEEGEGRSVWDYFRETMAGCEKDPSFASGRNLITHAVDLMASTSPETYLSGARLMDAPERVSYAPSVDALGVQRLRLCNIVAKALLESVSGTQLIWKLLRTLDSRAQYDETARPQAASTAIIGTPCLLATITALLDFHRSFFLHRHNAPSVQLSLESLVLVRRLLESPQEVPLSIELHKIIEAFNDTTQKVINITKSILICNGCHDLLQAEAKTLPYLFFSRGIAYRDSIILRDPSEHKKEFISILRHVFLRGRKNYSRELAGEKLVMLSSELDAPIVFDRVDNFVVSLRRILAGEARDSEYGCSIQAARILKNLCSHSSYLQTLKEALVHGVPQEVLRGMRILRRLSAGKSNAATISGTPDLLAKITTLLDYHRFLVLREMLNIQMELRQAVMLPCGEGFSAPDIDLEQGRLSQGVPRPDPQQPRDTIELQDALLSECATACEKFVVEEQGLAPRLDEIAAEICSDAGKPVRSWGALITEAQEKNLR >PAN33440 pep chromosome:PHallii_v3.1:6:1631060:1635133:-1 gene:PAHAL_6G021400 transcript:PAN33440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATAAAAAPAFALKPSLSRRVFVTLPRRAGRPVPHSLRLVASALRRPRYAVVVAAAAAGGAEFGDEENPYELLGIRPLDSFDHMKMAYKKKRKDAEETGEEEFLAKLDRAYDTVMMQQLEYRKKGVTYGSVQVSKDIKYADNQPIVPWGPRFSRSTVKDMRINMAISAAFVVWIAIMGIADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCIFTASLGYTAAINLIELSWQHTPRIVYYYQELIVTAAASVLLYITASYYR >PVH36593 pep chromosome:PHallii_v3.1:6:15074124:15075176:1 gene:PAHAL_6G113300 transcript:PVH36593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN33180 pep chromosome:PHallii_v3.1:6:101741:102710:-1 gene:PAHAL_6G001800 transcript:PAN33180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQIMQPRPRPRGITCPSSSNRRGLLRPPRASAATNGSVRLTVSDAELASRGFAVQRTAEGLDVAALNEVFARVGFPRRQEERLRRALAHSRVVWLSEAGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVEELRRDGVGNIVLYAEPRVVGFYRLLDFAMDPDGIRGMAYYRKASSPSSSAAAAPSSL >PAN34521 pep chromosome:PHallii_v3.1:6:27446508:27450978:-1 gene:PAHAL_6G154700 transcript:PAN34521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTNLVLTCSRAPLAPLPRSLPSPHVARTALAGITSGARAGAPLFVSLAACRTGGRRRGWAQICRDSSLQGPPSADSPAQEQEDKKKREAVAAAAARIASDSGGGGGKLSDWTTSVLIFGIWAGLMYYIFQLAPNQTPYRDTYFLQKLLNLKGDDGFRMNEVLVALWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIDEDEIERWPLKFLESKLTAGVVFALGIGLIIYAGKAGGDDWKEFIRYFRESKFEKWFMASAACTDTFCGALTVPSITPIAFFSAGSICFTI >PAN34522 pep chromosome:PHallii_v3.1:6:27447046:27450886:-1 gene:PAHAL_6G154700 transcript:PAN34522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTNLVLTCSRAPLAPLPRSLPSPHVARTALAGITSGARAGAPLFVSLAACRTGGRRRGWAQICRDSSLQGPPSADSPAQEQEDKKKREAVAAAAARIASDSGGGGGKLSDWTTSVLIFGIWAGLMYYIFQLAPNQTPYRDTYFLQKLLNLKGDDGFRMNEVLVALWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIDEDEIERWPLKFLESKLTAGVVFALGIGLIIYAGKAGGDDWKEFIRYFRESKFIHATCLDFTLLSTFSPFWVYNDMTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLAASASPSDEIQK >PAN33871 pep chromosome:PHallii_v3.1:6:3862238:3870805:1 gene:PAHAL_6G052600 transcript:PAN33871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGVTTGVMKPLLSKLTKLLEEEYIKVKGVRKQIKFLRDELSAMSATLEDLADADPEQLNSEVRLWRNKIRELAYDLEDCIDSFMARVDDGRDGPTGFKKYFRKLKTLKARHDIANQIQELKASVMEASERHRRYEFARLKHKSGTSSIDPRLQALHEDIEKLVGIEVPKKHIVELLSMEMNGPSTKLKVVSIAGCGGLGKTTLAKQVYDTIKGHFSCSAFVSVSRTPDLRKILIHISSGLGFTGYTQDDGEQQLIDKIRNHLHCKRYIVVIDDVWDTEAWEFVKLALPNNELGSRIISTTRSVTVAKCCSSQVYEMEPLSFDDSKRLFFKRAFGSETPCYPHLEDVPDRILKKCGGLPLAIVTVSSMLTNQLTKAEWDRVLSAMGSALANKPDAKKMTSIISLSYFDIPYHLRTCLLYLSVFLEDYKIEKQCLINRWIAEGFIHEEEGRSKHEIGQGYFNDLINRSMIQPVDVKYGQAKACRVHDIILDYIRCKATEENFVTSSHASEHVYTTEYKVRRLCVSNHTKENVTVWKDPMLSHVRSVTIFGQPVKTCLLPSTSLHVLDLGGCWSMKDHHLESIETLIHLKYLRLSSRSITKLLEKIGELKYLQTLDVRGTRIEELPSTITKLQRLAHLYVDCDTRFSNGVIGQMHSLEEMREYGVQSYEQGKSLQEFSKLTKLRTLKIRWYFNSLEGSEGLRQAESFHSYVGTLLSSCNLYNLYITDCSEDNNYPLSLDSWHPAAPCSLRKLCIKTCPIYKVPNWMGSLGNLVVLKLQYIIYMRPEDVEILEAIPGLLFLKLATFGGTNGRITVHGRNGFRSLKYLYLGIYHCGTALEFQVGSMPKLEHVKLLFPAHNRECLNGASDLGIQHLSTLSKVEVEIWGNCMADSNYNPTEDENDGAVRWVASAINGAIMTHPNRPTVIYKTHYYKDCEHFKSLGGLLTGAGPVSN >PVH36996 pep chromosome:PHallii_v3.1:6:38635540:38639950:1 gene:PAHAL_6G216900 transcript:PVH36996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAADDDADYHSSGGSVMPDVLAKGREACYKARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRANFVSNCRPTWVKHFDRQFCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >PAN35553 pep chromosome:PHallii_v3.1:6:38635540:38639950:1 gene:PAHAL_6G216900 transcript:PAN35553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAADDDADYHSSGGSVMPDVLAKGREACYKARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRANFVSNCRPTWVKHFDRQFCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >PVH36995 pep chromosome:PHallii_v3.1:6:38635517:38639950:1 gene:PAHAL_6G216900 transcript:PVH36995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAADDDADYHSSGGSVMPDVLAKGREACYKARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRANFVSNCRPTWVKHFDRQFCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >PAN34431 pep chromosome:PHallii_v3.1:6:8596267:8605623:-1 gene:PAHAL_6G091600 transcript:PAN34431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVFSQILEKQVLSAAKAVEDKIDEEIAALDRLDPDDIEALRERRIQQMRRAAERRAKWRAQGHGEYAEVPEKEFFAAAKASERLVCHFYRDNWPCKVLDKHLSIIAKQHVETRFIKVHAEKAPFLTEKLRIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVIFLDGEGSAYAAKQAAAASKRSVRQSDTGNSSDSE >PVH36771 pep chromosome:PHallii_v3.1:6:31143068:31145302:1 gene:PAHAL_6G165600 transcript:PVH36771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLRLYLHPHPLGTPVRRLGVRKPRLAMVFGDLYPSAMQLQMVSVASVLEAMGYEMKVHIDSGGYKGADDIGAQGREGCVQHAGKRLSEIADFW >PAN33291 pep chromosome:PHallii_v3.1:6:896843:902334:1 gene:PAHAL_6G009600 transcript:PAN33291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPDAAPLTPPPEVVAPEEHPAAAESAAAAAQEVAAAGEVDHKRKLEEVGADAEANGDGEDAKRPRVDGETDASAGTEQQNDGSSVNVEEPAAAGDGNVAPTEGVADGDNCAVAASEVLPLEPTPEAAAGAPQQEGEAATASHETSRKIEVPNSKVGVLIGKAGETIRNLQTSSGAKIQITKDVDADSNALTRSVELVGNLASVDKAEQLIKSVIAEAEAGGSPALIARGFGSGQSGSEQFEMTVPDNKVGLIIGKGGETIKGLQTRSGARIQLIPQHPPEGVTLTERTVRVTGNKKQIEVAKDLIKQAMNQNFSKHVNQSGGYGPQGYRPQGHGAASQWGPRSQSQPGYGYPPRGMPPPQNYNPPYGGYPQQGPPRGSMGWDQRQGPPPHPSYQGGGSDYYKPGSQPYDSQPPSYPPGPGNYNSYGQSQAPGYGQPPYPQHAPPQNYGHGYGDPRYNAPPPNQYYGQPPMAPQQGYPQQADPYARPPYSGPGQWAPRGAPAADGSYQAPPPASYGPPSQQPPAYGQTYGAATAPDGYAQQGYPQQSGQAPAPYGQNAPAAPSYPQQGTQQGGYAQYPQTQPAYGDQAAQANSNYGYQGAPADPNYGSAYPQSGYGAPAAGQPGYASAPAAGQPAAYGQAGYTQPTTNPPSYDQSAAAPAQSGYAAPAANPQPAPAKGVSPQPAAGYAGGQWAA >PVH36312 pep chromosome:PHallii_v3.1:6:3262894:3270398:1 gene:PAHAL_6G045000 transcript:PVH36312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPQVVDLEDDSINFWASLGVSPHVDQMPLHNVHIVDHQAQPPPAAAAAQLQSVCRDLFPVESDACLEPRLGMEFESGEAAKTFYIAYAGRVGFSIRIARSRKSKCSESIIMLRFVCSREGFSKEKRSVAAGKKTRKRAASIREGCNAMLEVLRRGDSKWVVTKLVKEHNHEVGLPSRVHYIAIEGDAVVDPYLGMEFESLEAAKTFYYSYASRAGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRENNGEDTKRVRALDPSRDGCDALFEIIRKGKDVWMVSKLILEHTHELNPAPASRVHCVRSQGEVLVIANNFADTRNLLLNGQDSQRPREMRYNDLGPEDAQSLFEYLKKRQDEDPSFFYAMQHGKNGQSANIFWADAKARMAYYHFGDAVRFETAYRKNKETIPIVIFLGVNHHVQPVVFGCALLIDESEASFTWLFEKWLEAMHMEPPISLVTEFNRVMATAIAKVLPDTHHIFCEKHILDTVREGLHGMFPDLEPFITDLRKCIDGSRIEELFESGWNSVIIKHGLSNNELLQSLYDIRQQWAPAYTKKVFYPGNQMPTTCENIEKVVEKYFSSKTELRVAVWQLGEAISSSFDAEVQADYLTMFQMLPLKTASPVEKQGSSIFTSTIFGLFQGQFAESFGYNAERLEDETVHKYRVTRYEGDEETHTVSFNPDQSTVNCSCCLFESCGMLCRHALRIFIIEGVRALPKAYILKRWTKHAKNIITSENYIDLRGDHEDPSTVRYNDLFCDAVKCAKEGSKSSEIYAIAKDALHKTLDEVIRSSKNFRGQQLLRSCTTSIKRPIKKFDKAKDSSGKSLKSV >PVH36313 pep chromosome:PHallii_v3.1:6:3263028:3270391:1 gene:PAHAL_6G045000 transcript:PVH36313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPQVVDLEDDSINFWASLGVSPHVDQMPLHNVHIVDHQAQPPPAAAAAQLQSVCRDLFPVESDACLEPRLGMEFESGEAAKTFYIAYAGRVGFSIRIARSRKSKCSESIIMLRFVCSREGFSKEKRSVAAGKKTRKRAASIREGCNAMLEVLRRGDSKWVVTKLVKEHNHEVGLPSRVHYIAIEGDAVVDPYLGMEFESLEAAKTFYYSYASRAGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRENNGEDTKRVRALDPSRDGCDALFEIIRKGKDVWMVSKLILEHTHELNPAPASRVHCVRSQGEVLVIANNFADTRNLLLNGQDSQRPREMRYNDLGPEDAQSLFEYLKKRQDEDPSFFYAMQHGKNGQSANIFWADAKARMAYYHFGDAVRFETAYRKNKETIPIVIFLGVNHHVQPVVFGCALLIDESEASFTWLFEKWLEAMHMEPPISLVTEFNRVMATAIAKVLPDTHHIFCEKHILDTVREGLHGMFPDLEPFITDLRKCIDGSRIEELFESGWNSVIIKHGLSNNELLQSLYDIRQQWAPAYTKKVFYPGNQMPTTCENIEKVVEKYFSSKTELRVAVWQLGEAISSSFDAEVQADYLTMFQMLPLKTASPVEKQGSSIFTSTIFGLFQGQFAESFGYNAERLEDETVHKYRVTRYEGDEETHTVSFNPDQSTVNCSCCLFESCGMLCRHALRIFIIEGVRALPKAYILKRWTKHAKNIITSENYIDLRGDHEDPSTVRYNDLFCDAVKCAKEGSKSSEIYAIAKDALHKTLDEVIRSSKNFRGQQLLRSCTTSIKRPIKKFDKAKDSSGKSLKRNMEAAKSCREVNIDHLNLFRSWNILDTYFFCV >PVH36311 pep chromosome:PHallii_v3.1:6:3262894:3270398:1 gene:PAHAL_6G045000 transcript:PVH36311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPQVVDLEDDSINFWASLGVSPHVDQMPLHNVHIVDHQAQPPPAAAAAQLQSVCRDLFPVESDACLEPRLGMEFESGEAAKTFYIAYAGRVGFSIRIARSRKSKCSESIIMLRFVCSREGFSKEKRSVAAGKKTRKRAASIREGCNAMLEVLRRGDSKWVVTKLVKEHNHEVGLPSRVHYIAIEGDAVVDPYLGMEFESLEAAKTFYYSYASRAGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRENNGEDTKRVRALDPSRDGCDALFEIIRKGKDVWMVSKLILEHTHELNPAPASRVHCVRSQGEVLVIANNFADTRNLLLNGQDSQRPREMRYNDLGPEDAQSLFEYLKKRQDEDPSFFYAMQHGKNGQSANIFWADAKARMAYYHFGDAVRFETAYRKNKETIPIVIFLGVNHHVQPVVFGCALLIDESEASFTWLFEKWLEAMHMEPPISLVTEFNRVMATAIAKVLPDTHHIFCEKHILDTVREGLHGMFPDLEPFITDLRKCIDGSRIEELFESGWNSVIIKHGLSNNELLQSLYDIRQQWAPAYTKKVFYPGNQMPTTCENIEKVVEKYFSSKTELRVAVWQLGEAISSSFDAEVQADYLTMFQMLPLKTASPVEKQGSSIFTSTIFGLFQGQFAESFGYNAERLEDETVHKYRVTRYEGDEETHTVSFNPDQSTVNCSCCLFESCGMLCRHALRIFIIEGVRALPKAYILKRWTKHAKNIITSENYIDLRGDHEDPSTVRYNDLFCDAVKCAKEGSKSSEIYAIAKDALHKTLDEVIRSSKNFRGQQLLRSCTTSIKRPIKKFDKAKDSSGKSLKSV >PVH36314 pep chromosome:PHallii_v3.1:6:3263028:3270391:1 gene:PAHAL_6G045000 transcript:PVH36314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPQVVDLEDDSINFWASLGVSPHVDQMPLHNVHIVDHQAQPPPAAAAAQLQSVCRDLFPVESDACLEPRLGMEFESGEAAKTFYIAYAGRVGFSIRIARSRKSKCSESIIMLRFVCSREGFSKEKRSVAAGKKTRKRAASIREGCNAMLEVLRRGDSKWVVTKLVKEHNHEVGLPSRVHYIAIEGDAVVDPYLGMEFESLEAAKTFYYSYASRAGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRENNGEDTKRVRALDPSRDGCDALFEIIRKGKDVWMVSKLILEHTHELNPAPASRVHCVRSQGEVLVIANNFADTRNLLLNGQDSQRPREMRYNDLGPEDAQSLFEYLKKRQDEDPSFFYAMQHGKNGQSANIFWADAKARMAYYHFGDAVRFETAYRKNKETIPIVIFLGVNHHVQPVVFGCALLIDESEASFTWLFEKWLEAMHMEPPISLVTEFNRVMATAIAKVLPDTHHIFCEKHILDTVREGLHGMFPDLEPFITDLRKCIDGSRIEELFESGWNSVIIKHGLSNNELLQSLYDIRQQWAPAYTKKVFYPGNQMPTTCENIEKVVEKYFSSKTELRVAVWQLGEAISSSFDAEVQADYLTMFQMLPLKTASPVEKQGSSIFTSTIFGLFQGQFAESFGYNAERLEDETVHKYRVTRYEGDEETHTVSFNPDQSTVNCSCCLFESCGMLCRHALRIFIIEGVRALPKAYILKRWTKHAKNIITSENYIDLRGDHEDPSTVRYNDLFCDAVKCAKEGSKSSEIYAIAKDALHKTLDEVIRSSKNFRGQQLLRSCTTSIKRPIKKFDKAKDSSGKSLKRNMEAAKSCREVNIDHLNLFRSWNILDTYFFCV >PAN36588 pep chromosome:PHallii_v3.1:6:44738676:44740588:-1 gene:PAHAL_6G294800 transcript:PAN36588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIIAAACDAPAPQMTKENNLFMQIAVHPDGTVTRPEVPLLPPSAPGAAVASRDVPLDASLGTYLRLFLPDPAPRSPARLPVVLFFHGGGFVLFSPATAFYHAHCEAMAAAVPCLVASLEYRLAPERRLPAAYEDAASAIAWLRTTADPWVAAHGDLSRCYVMGSSSGGNMAFFAGLRTRALDLVRGLLLHQPYFGGVERTPSEARSEDDAMLPLEANDRLWSLALPPGADRDHEFCNPARAVAPEALAGLPRCLVTGNRDDPLIDRQREFARWLRDQGGVEVVVRTDHAGSHASELFVPERAEELFAAMREFVSADGARGAASC >PAN34883 pep chromosome:PHallii_v3.1:6:23373991:23376482:1 gene:PAHAL_6G139700 transcript:PAN34883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPEAVRPAATGTPASCTAATPAAATEPAFSRPTPASATSLASTVSRSRATMALFRTPSARTATPALAEQDGGNGSQEPESCLRSSQIDNRSEEKWTLYFTCKGVPAGQEFIQYETDRSDLTFGNLLIMKSHMGYGVRDYLYYKNKKAVATLKEIDYDVDANSMVVINADEGEIRLVGIK >PVH36676 pep chromosome:PHallii_v3.1:6:23373992:23376481:1 gene:PAHAL_6G139700 transcript:PVH36676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPEAVRPAATGTPASCTAATPAAATEPAFSRPTPASATSLASTVSRSRATMALFRTPSARTATPALAEQDGGNGSQEPESCLRSSQIDNRSEEKWTLYFTCKGVPAGQEFIQYETDRSDLTFGNLLIMKSHMGYGVRDYLYYKNKKAVATLKEIDYDVDANSMVVINADEGEIRLVGIK >PAN36282 pep chromosome:PHallii_v3.1:6:42845991:42849778:-1 gene:PAHAL_6G271000 transcript:PAN36282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DOT4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18750) UniProtKB/Swiss-Prot;Acc:Q9SN39] MTTPPLSTISTHHRAPPPWPPPKNATNPRMKIRCGVLAPPAGQALQTAAAAPGPRSTSCPPNRVPSSDVNLQIQRLCRAGDLAEAVRLLGSDGVDVRGYCAAIQLCGEERSLEAGRRAHAVVRASGGGTGGIGSVLGKRLVLMYLKCGDLGSARGVFDEMPPQVANVRVWTSLMSAYAKAGDFGEGVLLFRQMHCCGVGPDAHAVSCVLKCIASLGSIMDGEVVHGLLEKLGLGAECAVANALIALYSRCGWMEDAMQVFESMHPRDAISWNSMISGCFSNGWHGRAVDLFSKMWSEGLEISSVTMVSVLPACAELGYDLVGKVVHGYSVKSGLLWELQSLEGGIDDVLGSKLVFLHVKCGDMASARRVFDVMSSKSNLHVWNLLIGGYAKAGEFQESLLLFEQMHGLGITPDEHTISCLLKCITSLSRVRDGLVAHGYLIKLGFGAQCAVCNALISFYGKSNRIEDALEVFDGMLHQDIISWNSIISGCTSNGLKNEAIQLFVTMWIQGQKLDSATLLSVLPACSQSRYWFLGRGLHGYSVKTGLIGETSLANALIDMYSNCSDWHSTNQIFRSMDQKNVVSWTAMITSYTRAGLFDKVAGLLEEMVLDGIRPDVFAVTSALHAFAGDESLKQGKSVHGYAIRNGMEKLLPVANALMEMYVRCGNIEEARLIFDHVTNKDIISWNTLIGGYSRNNLANESFILFSDMLLQFKPNAVTMTCILPAAASLSSLERGREIHAYALRRGYLEDSYTSNALVDMYVKCGALRVARLLFDRLTKKNLISWTIMIAGYGMHGCGRDAIALFEEMKSSGVEPDSASFSAILYACCHSGLRNEAWRFFNAMRNEHKIEPKLKHYACIVDLLSHTGNLKEAFELIESMPIGPDSSIWVSLLYGCRIHRDVKFAEKVADRVFKLEPENTGYYVLLANIYAEAERWEAAKKLKIKIGGRGLRENTGCSWIEVRGKVHVFVADNRNHPQGSRIAGFLDDVTTRMKEGHDPKKKYALMGANDAVHDEALCGHSSKLAIAFGVLNLSEGRPIRVTKNSRVCSHCHEAAKFISKMCNREIILRDSNRFHHFEGGRCSCRGYC >PVH37284 pep chromosome:PHallii_v3.1:6:43564955:43565352:-1 gene:PAHAL_6G282500 transcript:PVH37284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHSR >PAN35933 pep chromosome:PHallii_v3.1:6:40997199:41002651:-1 gene:PAHAL_6G245200 transcript:PAN35933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWNTDSPVKTQMAVAVLDHSLSNDYPSKNITEGRSLSWKRVFVQTDNGSVLGIELEPGENAHTVKKKMQIALNVSTEESSLTFGDQVLNNDLSYVLNDSPLLLTRNHMHRSCSTPCLSPKGEGQQRDRSKVIEILGCSSPSAAMKQLVKDIIRGITNGVDPIAVTGGMGGAYYFGDILGQRVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHKNFAKVPLTMLVKVTHSVFNVNEDVNCKNKTSKNRSQAHSKIASLQQFIPHDYDASDHGTSSFPVSCIHRIGILDIRIFNTDRHGGNLLVKKLDSESGRFEARAELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYIRNLDPIKDAEMLRMELPMIHEASLRVLVLSTTFLKEAAAYGLCLSEIGDMMSRQFTGKEEEPSTLEVLCMEARNWVKGRELLLPEADFEEDDDDDDCDADFTQFDLDSGDDAATYEASFFNKYGSIGVSCRNPLSKLTEGNEDIEEDESNEVSQDDVDACTSPVPKCTHSTSKLPVSLKGLCFSGNSKCRTSVPKNRVSAKTDYYSGYRSEYQSAGWSANEMLPPSSSFVKLSDLSAIEWSAFLEKFQELLPSMFHERKQTAACSPWLTQRLGTSCQF >PVH37095 pep chromosome:PHallii_v3.1:6:40997528:41001776:-1 gene:PAHAL_6G245200 transcript:PVH37095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWNTDSPVKTQMAVAVLDHSLSNDYPSKNITEGRSLSWKRVFVQTDNGSVLGIELEPGENAHTVKKKMQIALNVSTEESSLTFGDQVLNNDLSYVLNDSPLLLTRNHMHRSCSTPCLSPKGEGQQRDRSKVIEILGCSSPSAAMKQLVKDIIRGITNGVDPIAVTGGMGGAYYFGDILGQRVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHKNFAKVPLTMLVKVTHSVFNVNEDVNCKNKTSKNRSQAHSKIASLQQFIPHDYDASDHGTSSFPVSCIHRIGILDIRIFNTDRHGGNLLVKKLDSESGRFEARAELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYIRNLDPIKDAEMLRMELPMIHEASLRVLVLSTTFLKEAAAYGLCLSEIGDMMSRQFTGKEEEPSTLEVLCMEARNWVKGRELLLPEADFEEDDDDDDCDADFTQFDLDSGDDAATYEASFFNKYGSIGVSCRNPLSKLTEGNEDIEEDESNEVSQDDVDACTSPVPKCTHSTSKLPVSLKGLCFSGNSKCRTSVPKNRVSAKTDYYSGYRSEYQSAGWSANEMLPPSSSFVKLSDLSAIEWSAFLEKFQELLPSMFHERKQTAACSPWLTQRLGTSCQF >PVH37096 pep chromosome:PHallii_v3.1:6:40996656:41001791:-1 gene:PAHAL_6G245200 transcript:PVH37096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWNTDSPVKTQMAVAVLDHSLSNDYPSKNITEGRSLSWKRVFVQTDNGSVLGIELEPGENAHTVKKKMQIALNVSTEESSLTFGDQVLNNDLSYVLNDSPLLLTRNHMHRSCSTPCLSPKGEGQQRDRSKVIEILGCSSPSAAMKQLVKDIIRGITNGVDPIAVTGGMGGAYYFGDILGQRVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHKNFAKVPLTMLVKVTHSVFNVNEDVNCKNKTSKNRSQAHSKIASLQQFIPHDYDASDHGTSSFPVSCIHRIGILDIRIFNTDRHGGNLLVKKLDSESGRFEARAELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYIRNLDPIKDAEMLRMELPMIHEASLRVLVLSTTFLKEAAAYGLCLSEIGDMMSRQFTGKEEEPSTLEVLCMEARNWVKGRELLLPEADFEEDDDDDDCDADFTQFDLDSGDDAATYEASFFNKYGSIGVSCRNPLSKLTEGNEDIEEDESNEVSQDDVDACTSPVPKCTHSTSKLPVSLKGLCFSGNSKCRTSVPKNRVSAKTDYYSGYRSEYQSAGWSANEMLPPSSSFVKLSDLSAIEWSAFLEKFQELLPSMFHERKQTAACSPWLTQRLGTSCQF >PVH37094 pep chromosome:PHallii_v3.1:6:40997528:41002545:-1 gene:PAHAL_6G245200 transcript:PVH37094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWNTDSPVKTQMAVAVLDHSLSNDYPSKNITEGRSLSWKRVFVQTDNGSVLGIELEPGENAHTVKKKMQIALNVSTEESSLTFGDQVLNNDLSYVLNDSPLLLTRNHMHRSCSTPCLSPKGEGQQRDRSKVIEILGCSSPSAAMKQLVKDIIRGITNGVDPIAVTGGMGGAYYFGDILGQRVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHKNFAKVPLTMLVKVTHSVFNVNEDVNCKNKTSKNRSQAHSKIASLQQFIPHDYDASDHGTSSFPVSCIHRIGILDIRIFNTDRHGGNLLVKKLDSESGRFEARAELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYIRNLDPIKDAEMLRMELPMIHEASLRVLVLSTTFLKEAAAYGLCLSEIGDMMSRQFTGKEEEPSTLEVLCMEARNWVKGRELLLPEADFEEDDDDDDCDADFTQFDLDSGDDAATYEASFFNKYGSIGVSCRNPLSKLTEGNEDIEEDESNEVSQDDVDACTSPVPKCTHSTSKLPVSLKGLCFSGNSKCRTSVPKNRVSAKTDYYSGYRSEYQSAGWSANEMLPPSSSFVKLSDLSAIEWSAFLEKFQELLPSMFHERKQTAACSPWLTQRLGTSCQF >PAN35934 pep chromosome:PHallii_v3.1:6:40997170:41002597:-1 gene:PAHAL_6G245200 transcript:PAN35934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWNTDSPVKTQMAVAVLDHSLSNDYPSKNITEGRSLSWKRVFVQTDNGSVLGIELEPGENAHTVKKKMQIALNVSTEESSLTFGDQVLNNDLSYVLNDSPLLLTRNHMHRSCSTPCLSPKGEGQQRDRSKVIEILGCSSPSAAMKQLVKDIIRGITNGVDPIAVTGGMGGAYYFGDILGQRVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHKNFAKVPLTMLVKVTHSVFNVNEDVNCKNKTSKNRSQAHSKIASLQQFIPHDYDASDHGTSSFPVSCIHRIGILDIRIFNTDRHGGNLLVKKLDSESGRFEARAELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYIRNLDPIKDAEMLRMELPMIHEASLRVLVLSTTFLKEAAAYGLCLSEIGDMMSRQFTGKEEEPSTLEVLCMEARNWVKGRELLLPEADFEEDDDDDDCDADFTQFDLDSGDDAATYEASFFNKYGSIGVSCRNPLSKLTEGNEDIEEDESNEVSQDDVDACTSPVPKCTHSTSKLPVSLKGLCFSGNSKCRTSVPKNRVSAKTDYYSGYRSEYQSAGWSANEMLPPSSSFVKLSDLSAIEWSAFLEKFQELLPSMFHERKQTAACSPWLTQRLGTSCQF >PVH36739 pep chromosome:PHallii_v3.1:6:28083916:28084845:1 gene:PAHAL_6G156400 transcript:PVH36739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPEGHLHTNALHWEGFPRLLWESLQAFHYTEPPQYDAVEHVEDGIHRAYVRMSIPQHPFRSQWQPIEISTTGYRIMDTIEAAALEAIYAFCSQHPEEVVGQPIGLFATTDPGEAERDLGTIPESHRLEGPPEEVVQGMRRYTGVQYHYHMLLRREIGHLITAARSFHGDAARYFTQADQLQAVVIEKNGIIATQNETIHHREDQINESDHIITQRDTVIEFLQAQVQDLILAVDDAQAQIEELQQPPIPPVAPATPEAEEEDPEEIEGVSELDSEHGDPVVSPHHSSSGSQSSVGNFDDF >PVH37409 pep chromosome:PHallii_v3.1:6:45523070:45524920:-1 gene:PAHAL_6G306700 transcript:PVH37409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKDGGATEQPTSGGSGDDRENGSGEPKEGAVVTGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIANFARRRQRGVCVLSGAGTVTDVALRQPAAPGAVVALRGRFEILSLTGTLLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDEAEEESGGQAQLPPPGAGAGPPLMGGMAADPSAMPMFGGVPPNLMPGGGGGASGAGLQLGHEGLAWAHARPPPY >PVH37410 pep chromosome:PHallii_v3.1:6:45522145:45525005:-1 gene:PAHAL_6G306700 transcript:PVH37410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKDGGATEQPTSGGSGDDRENGSGEPKEGAVVTGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIANFARRRQRGVCVLSGAGTVTDVALRQPAAPGAVVALRGRFEILSLTGTLLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDEAEEESGGQAQLPPPGAGAGPPLMGGMAADPSAMPMFGGVPPNLMPGGGGGASGAGLQLGHEGLAWAHARPPPY >PAN36744 pep chromosome:PHallii_v3.1:6:45523516:45524310:-1 gene:PAHAL_6G306700 transcript:PAN36744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKDGGATEQPTSGGSGDDRENGSGEPKEGAVVTGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIANFARRRQRGVCVLSGAGTVTDVALRQPAAPGAVVALRGRFEILSLTGTLLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDEAEEESGGQAQLPPPGAGAGPPLMGGMAADPSAMPMFGGVPPNLMPGGGGGASGAGLQLGHEGLAWAHARPPPY >PVH36461 pep chromosome:PHallii_v3.1:6:6281121:6282135:1 gene:PAHAL_6G077500 transcript:PVH36461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFGPLKLLFMLCDGFFKYHIVQCRWTGNGCTMLIDVLRSLLRAALFFDVAETNKRNDFICCLCRDCQNKKYYSASRTLHNHIFTNTVSYPITFVGPATEKRKRIMEDNEEEDCDDNFPGHVGFDAFDDDTAMEEPEGEMTDDDPTDDLGQALCDAP >PAN35531 pep chromosome:PHallii_v3.1:6:38549305:38554317:1 gene:PAHAL_6G215600 transcript:PAN35531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASKKSSSSAATASAGPTKAVSKEVERIDQFFYTYADNSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRTGLKALRADSISKLKKAFPELVQEVTRPTNFQDFYTYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLNDYLKYQNDYKVINMDQWMGFIRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >PAN35529 pep chromosome:PHallii_v3.1:6:38549305:38554317:1 gene:PAHAL_6G215600 transcript:PAN35529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASKKSSSSAATASAGAEQVNEKQNRKRKGVSTNLTSRKAQRGPTKAVSKEVERIDQFFYTYADNSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRTGLKALRADSISKLKKAFPELVQEVTRPTNFQDFYTYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLNDYLKYQNDYKVINMDQWMGFIRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >PAN35528 pep chromosome:PHallii_v3.1:6:38549299:38554317:1 gene:PAHAL_6G215600 transcript:PAN35528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASKKSSSSAATASAGPTKAVSKEVERIDQFFYTYADNSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRTGLKALRADSISKLKKAFPELVQEVTRPTNFQDFYTYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLNDYLKYQNDYKVINMDQWMGFIRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >PAN35530 pep chromosome:PHallii_v3.1:6:38549299:38554317:1 gene:PAHAL_6G215600 transcript:PAN35530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASKKSSSSAATASAGAEQVNEKQNRKRKGVSTNLTSRKAQRGPTKAVSKEVERIDQFFYTYADNSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRTGLKALRADSISKLKKAFPELVQEVTRPTNFQDFYTYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLNDYLKYQNDYKVINMDQWMGFIRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >PAN36471 pep chromosome:PHallii_v3.1:6:44165903:44169406:-1 gene:PAHAL_6G286300 transcript:PAN36471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKAQLSDSNRRIMEVDAPPRRVYQAWKGSNLFFLGGRLIFGPDVRSLVLTVCLIVTPVIFFAAAVCPQLGHEFQSQIGGWVASVAVIFTACILLVLLLTSGRDPGIVPRNAHPPEPEDIGESPDWPGGQHGSTGLPLTRDVLVNGVSVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTLLCIYVFAFCWVNLKRIMDMHECKIGGLTSFHLYLISTNQTTYENFRYRYDRKTNPYNLGATQNFIDILFSRIPSSKNNFRAKVKEDSATFTTSLSMGRVLSPPKMSVDLEMGTKRQAVAAEDLEDLHSQIGSAMGLERCGTEPPHFVGRKGCSEISSDIEAFAEEFGMERGLSERKKIERRTNDGP >PAN36470 pep chromosome:PHallii_v3.1:6:44166280:44169052:-1 gene:PAHAL_6G286300 transcript:PAN36470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKAQLSDSNRRIMEVDAPPRRVYQAWKGSNLFFLGGRLIFGPDVRSLVLTVCLIVTPVIFFAAAVCPQLGHEFQSQIGGWVASVAVIFTACILLVLLLTSGRDPGIVPRNAHPPEPEDIGESPDWPGGQHGSTGLPLTRDVLVNGVSVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTLLCIYVFAFCWVNLKRIMDMHECKIGRALLKSPISGFLILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRKTNPYNLGATQNFIDILFSRIPSSKNNFRAKVKEDSATFTTSLSMGRVLSPPKMSVDLEMGTKRQAVAAEDLEDLHSQIGSAMGLERCGTEPPHFVGRKGCSEISSDIEAFAEEFGMERGLSERKKIERRTNDGP >PVH37380 pep chromosome:PHallii_v3.1:6:45005765:45012454:1 gene:PAHAL_6G299200 transcript:PVH37380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILASLKNILSSEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PVH37383 pep chromosome:PHallii_v3.1:6:45005765:45012454:1 gene:PAHAL_6G299200 transcript:PVH37383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PVH37381 pep chromosome:PHallii_v3.1:6:45005765:45012454:1 gene:PAHAL_6G299200 transcript:PVH37381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILASLKNILSSEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PVH37384 pep chromosome:PHallii_v3.1:6:45005765:45012454:1 gene:PAHAL_6G299200 transcript:PVH37384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PAN36642 pep chromosome:PHallii_v3.1:6:45005765:45012455:1 gene:PAHAL_6G299200 transcript:PAN36642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPASSRPPTPTASAATATTSSRIDSPSLKAALAMALIHYNRLPGKAAAIVTAASASASAGTTSPPLVHWKRKAKDRKREIIRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILASLKNILSSEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PAN36639 pep chromosome:PHallii_v3.1:6:45005765:45012455:1 gene:PAHAL_6G299200 transcript:PAN36639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPASSRPPTPTASAATATTSSRIDSPSLKAALAMALIHYNRLPGKAAAIVTAASASASAGTTSPPLVHWKRKAKDRKREIIRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PAN36641 pep chromosome:PHallii_v3.1:6:45005765:45012455:1 gene:PAHAL_6G299200 transcript:PAN36641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPASSRPPTPTASAATATTSSRIDSPSLKAALAMALIHYNRLPGKAAAIVTAASASASAGTTSPPLVHWKRKAKDRKREIIRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PVH37379 pep chromosome:PHallii_v3.1:6:45005765:45012454:1 gene:PAHAL_6G299200 transcript:PVH37379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PAN36643 pep chromosome:PHallii_v3.1:6:45005765:45012455:1 gene:PAHAL_6G299200 transcript:PAN36643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPASSRPPTPTASAATATTSSRIDSPSLKAALAMALIHYNRLPGKAAAIVTAASASASAGTTSPPLVHWKRKAKDRKREIIRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILASLKNILSSEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PVH37382 pep chromosome:PHallii_v3.1:6:45005765:45012454:1 gene:PAHAL_6G299200 transcript:PVH37382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILASLKNILSSEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PAN36644 pep chromosome:PHallii_v3.1:6:45005765:45012455:1 gene:PAHAL_6G299200 transcript:PAN36644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPASSRPPTPTASAATATTSSRIDSPSLKAALAMALIHYNRLPGKAAAIVTAASASASAGTTSPPLVHWKRKAKDRKREIIRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILASLKNILSSEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PAN36640 pep chromosome:PHallii_v3.1:6:45005765:45012455:1 gene:PAHAL_6G299200 transcript:PAN36640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPASSRPPTPTASAATATTSSRIDSPSLKAALAMALIHYNRLPGKAAAIVTAASASASAGTTSPPLVHWKRKAKDRKREIIRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDFNSEDEVQQLCMSTDFLVELSDSIFAKKEAAPSFATFSHQAVDFILEREKELVGEIIDDLVTRLMKRMCTVPENADTSDSGSIDCSDAQFSVQHLFHKLGKEEFIGQRVILAVSQKISSTSERLLLVDPFDDAFPDMHGNIFIMVQLIEFLISDYMKDWLCREHFDKRLFEECARSILKARNDLQILENMNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVFSKLMC >PAN33758 pep chromosome:PHallii_v3.1:6:3106217:3110606:1 gene:PAHAL_6G042700 transcript:PAN33758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAGVGGVLALVVLACALGARADGSDHKYKDGDHVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKKEALGEVLNGDRLVDAPYELNFKEDKNSKILCKKTLTKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKMDKDREQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPKQKSLFAAIIGSGTQLLALAFFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLIFTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREVPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >PAN33757 pep chromosome:PHallii_v3.1:6:3106711:3110552:1 gene:PAHAL_6G042700 transcript:PAN33757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAGVGGVLALVVLACALGARADGSDHKYKDGDHVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKKEALGEVLNGDRLVDAPYELNFKEDKNSKILCKKTLTKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKMDKDREQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPKQKSLFAAIIGSGTQLLALAFFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLIFTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREVPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >PAN36301 pep chromosome:PHallii_v3.1:6:42947020:42947641:1 gene:PAHAL_6G272500 transcript:PAN36301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVRMGPCGGGGGGARDVDTRGVDRVARVAVRHGDAVHAVSVLYERGGRQEWTDLWGGPGGELAEICLRPGEHLTSVEGHCGEFEGSFVVRALTLISNRRAYGPYGRPGPDGVPFALPAAGGRILGFHARSGRHLDAIGTYVKVERQEREQR >PAN33942 pep chromosome:PHallii_v3.1:6:4408702:4410012:1 gene:PAHAL_6G058800 transcript:PAN33942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSYFLISALLALVASQVIASDPSPLQDFCVADLHSPVKVNGFVCKDPMAVCADDFFKAANLDKPRDTMKSKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLLAKVLNKGDVFVFPEGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >PVH36908 pep chromosome:PHallii_v3.1:6:36704665:36706956:1 gene:PAHAL_6G195700 transcript:PVH36908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCSTATADDAAPRVVEDCRGVLQVLSDGTIVRSAAGAVDVPVDPSVEWKDVVYDAALGLGLRVYKPPASSAAAFADGDAGSKLPVVVAFHGGGFCINSYATRNSTRRLAAGAGALVLSADYSLAPEHRLPAAIDDAAAVLLWLRGRPLPLLRLSESGGADPWLSELADPGRVFVAGESAVGVLAHHLNVRFSGAPGVRALHPVRLRGFVPLMPFFTGTEPTPSELRCPDDAYLNRDMSTRFARLCLPPGATADHPFLNPFGPGAPAPDAVALAPTLVVVAGDDILRDRNVEFVRRMRGMG >PAN34475 pep chromosome:PHallii_v3.1:6:11789411:11790172:-1 gene:PAHAL_6G103600 transcript:PAN34475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPPAKKTRTPHLPRPHSWAKQFPARARLPLVLAEATTPASPTRSPPPLAPLTPFARRLHCHPQSSLSLPARWSAFTSGLSQRSPLPGSPTRLHSGLASRLRAAEKGSSAPMTSRGAFCSLPTHGQLRHHRYREPPPLHRRRPAVPLSPSPSPPPPPPPPAWPSPSGIARCLTPQPTAVALALRPCVVFHVTKHDGGVLAGLTADGCNLSRFVWNECINHSEALLPVSKLALKLADKAQACSSRCASRS >PAN34839 pep chromosome:PHallii_v3.1:6:30289216:30294422:-1 gene:PAHAL_6G163000 transcript:PAN34839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDGREEEGTSARVFRPGTTTAAGASLSLARAMAALLLWQGAIHFNVALAAACAFVFPARVAMLVLCTQLFSVLVPADGTSRFGSSIARFICKHGLGYFPVTLQVEHYEAFDPQGAYVFGYEPHSIMPFGAWALTEPASCMPLPKMKILTSSAAFYIPLMRQIWMWLGSVPATREIFCSHLADGYSCVVSPGGLRETLHMNPGLEVAFIKSRKGFVKIAMQNGCPLVPIFCFGQNDAYKWWNPDIKLLTRIATALKSIPVIYWGKFGTPIPYRTPMHVFVGKPIPVEKRGQPTKNEVWYYFAVKNKI >PAN34840 pep chromosome:PHallii_v3.1:6:30287112:30294259:-1 gene:PAHAL_6G163000 transcript:PAN34840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDGREEEGTSARVFRPGTTTAAGASLSLARAMAALLLWQGAIHFNVALAAACAFVFPARVAMLVLCTQLFSVLVPADGTSRFGSSIARFICKHGLGYFPVTLQVEHYEAFDPQGAYVFGYEPHSIMPFGAWALTEPASCMPLPKMKILTSSAAFYIPLMRQIWMWLGSVPATREIFCSHLADGYSCVVSPGGLRETLHMNPGLEVAFIKSRKGFVKIAMQNGCPLVPIFCFGQNDAYKWWNPDIKLLTRIATALKSIPVIYWGKFGTPIPYRTPMHVFVGKPIPVEKRGQPTKNEVNEVHGQFVTALQELFEKNKAKAGYPDLHLEIV >PVH36762 pep chromosome:PHallii_v3.1:6:30286844:30293813:-1 gene:PAHAL_6G163000 transcript:PVH36762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIAACKCRFICKHGLGYFPVTLQVEHYEAFDPQGAYVFGYEPHSIMPFGAWALTEPASCMPLPKMKILTSSAAFYIPLMRQIWMWLGSVPATREIFCSHLADGYSCVVSPGGLRETLHMNPGLEVAFIKSRKGFVKIAMQNGCPLVPIFCFGQNDAYKWWNPDIKLLTRIATALKSIPVIYWGKFGTPIPYRTPMHVFVGKPIPVEKRGQPTKNEVNEVHGQFVTALQELFEKNKAKAGYPDLHLEIV >PAN34838 pep chromosome:PHallii_v3.1:6:30286844:30294422:-1 gene:PAHAL_6G163000 transcript:PAN34838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDGREEEGTSARVFRPGTTTAAGASLSLARAMAALLLWQGAIHFNVALAAACAFVFPARVAMLVLCTQLFSVLVPADGTSRFGSSIARFICKHGLGYFPVTLQVEHYEAFDPQGAYVFGYEPHSIMPFGAWALTEPASCMPLPKMKILTSSAVAFIKSRKGFVKIAMQNGCPLVPIFCFGQNDAYKWWNPDIKLLTRIATALKSIPVIYWGKFGTPIPYRTPMHVFVGKPIPVEKRGQPTKNEVNEVHGQFVTALQELFEKNKAKAGYPDLHLEIV >PAN34837 pep chromosome:PHallii_v3.1:6:30286844:30294422:-1 gene:PAHAL_6G163000 transcript:PAN34837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDGREEEGTSARVFRPGTTTAAGASLSLARAMAALLLWQGAIHFNVALAAACAFVFPARVAMLVLCTQLFSVLVPADGTSRFGSSIARFICKHGLGYFPVTLQVEHYEAFDPQGAYVFGYEPHSIMPFGAWALTEPASCMPLPKMKILTSSAAFYIPLMRQIWMWLGSVPATREIFCSHLADGYSCVVSPGGLRETLHMNPGLEDANTISNPHACFCWKTYPC >PVH37423 pep chromosome:PHallii_v3.1:6:45658583:45660363:-1 gene:PAHAL_6G308900 transcript:PVH37423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKTEEWIELIKYWCDPKNQEKSAKNKVNRSKVQLHQKTGSRSYIAYRYSLRPKYNNSDPDAVEFFGECMKSSKNGRTPLANEIYERMVAEKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIRAEFEATLQAEREEAARKREELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLRLQKD >PVH36495 pep chromosome:PHallii_v3.1:6:7107173:7109043:-1 gene:PAHAL_6G084400 transcript:PVH36495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYRETSIYYTTSSFPSTTYILHTMKITVQSSKAVKPDYGGGDTPATAFVPLTAFDLISFDDYMFGIHAFHPPSPPTAALEAGLARALAEYREWAGRLRTDSATGRRGILLNDAGVRFVEAAADDGATLGSVMPLQPTPEVRRLHPSGGDGAGELMLVQVTRFACGSIVVGHAMHHAVGDGFAISRFLVAWGQATRGVAIDPVPVHDRTSFFVPRDPPRVEFEHRGAEFKLPRDKNNKNDVRRSIDGGAGDGSDNDEVVVQHVSFSHEFISELKSRASAGAPRPYSTVQCLAAHLWRCVTKARGLGGGRATTTLHLAVNGRARMPRVPEAYTGNLVLWAHPATTARDLLAGPLGRAAELVRAEAARVDDAYFRSFIDFASSGAVEEEGLVPPLADPEAVALGADVAVYCLLRVPFYDVDFGGGRQFFYTPGYYPAEGVVYILPRSPLSDGSVEAHVSLFSLAMETFKAYCFSIEHREALEDQ >PAN34175 pep chromosome:PHallii_v3.1:6:5662469:5663486:1 gene:PAHAL_6G073400 transcript:PAN34175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPACMLRGVVTCEAAGLPCATTERERERAAAKDANSGAGEAATTHAHPSTPYRPAGKSYPSATSSSLLIAHGSARQHLLARHGSIQQTVHTIQAQLYWWDPHACVDLPRAMLARNCIGTGQVIE >PAN35582 pep chromosome:PHallii_v3.1:6:38869590:38870448:-1 gene:PAHAL_6G219000 transcript:PAN35582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKAIAVLGVAVMASSLLAAEGRHIARKDLGVGLRGGGNGGVGVGTGGGVGTGGGLGVGTGGGLGVGSGIGVGVGGGGGSASGSGSGSYSGSGSGPGSGSSRSGAGSSPAGSRAGSYAESGAGSYAGSGHGK >PAN36462 pep chromosome:PHallii_v3.1:6:44140822:44143905:1 gene:PAHAL_6G285500 transcript:PAN36462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSPFLVLLITLLSATAALPSEALNVRGHLLKSKTFLSPPIFLRPGSVSNKWYFDIAFPRGHLALKSFNGEVVDERGVPVPLHETYLHHWVVEPYYAPKEAAAAAETQKLSKIILNRNSGVCKTTLGQYYGLGSETRHTATWVPDPYGIEVGNPEAPPEGYEEKWLLNVHAIDTRGVTDKLGCTECRCDLYNVTIDEYGRRITEDYTGGLYCCYDETRCKVKEGFADGEARKVFLRYTVTWLDWSDAVLPVKIYIFDVTDRALLEGKSEPACKVEYQVEECSSENRANNDCVHVQATKQILPRGGDIVFGVAHQHSGGIAASLHGEDGRLLCESVATYGEGQEAGNESGYIVGMSTCYPKPGSVTVRDGEVLTVVSNYSSERQHTGVMGLFYILVAEHEQQQQLLPAAAGKPGLCFSFPVSWCLPSWLSSNL >PVH37312 pep chromosome:PHallii_v3.1:6:44141295:44143870:1 gene:PAHAL_6G285500 transcript:PVH37312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLGSLPFYATCLCIILPRRSVDSFHVSCERFVRKIREMFSSKQLWYGGGKMKMSPFLVLLITLLSATAALPSEALNVRGHLLKSKTFLSPPIFLRPGSVSNKWYFDIAFPRGHLALKSFNGEVVDERGVPVPLHETYLHHWVVEPYYAPKEAAAAAETQKLSKIILNRNSGVCKTTLGQYYGLGSETRHTATWVPDPYGIEVGNPEAPPEGYEEKWLLNVHAIDTRGVTDKLGCTECRCDLYNVTIDEYGRRITEDYTGGLYCCYDETRCKVKEGFADGEARKVFLRYTVTWLDWSDAVLPVKIYIFDVTDRALLEGKSEPACKVEYQVEECSSENRANNDCVHVQATKQILPRGGDIVFGVAHQHSGGIAASLHGEDGRLLCESVATYGEGQEAGNESGYIVGMSTCYPKPGSVTVRDGEVLTVVSNYSSERQHTGVMGLFYILVAEHEQQQQLLPAAAGKPGLCFSFPVSWCLPSWLSSNL >PAN36461 pep chromosome:PHallii_v3.1:6:44140884:44143906:1 gene:PAHAL_6G285500 transcript:PAN36461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSPFLVLLITLLSATAALPSEALNVRGHLLKSKTFLSPPIFLRPGSVSNKWYFDIAFPRGHLALKSFNGEVVDERGVPVPLHETYLHHWVVEPYYAPKEAAAAAETQKLSKIILNRNSGVCKTTLGQYYGLGSETRHTATWVPDPYGIEVGNPEAPPEGYEEKWLLNVHAIDTRGVTDKLGCTECRCDLYNVTIDEYGRRITEDYTGGLYCCYDETRCKVKEGFADGEARKVFLRYTVTWLDWSDAVLPVKIYIFDVTDRALLEGKSEPACKVEYQVEECSSENRANNDCVHVQATKQILPRGGDIVFGVAHQHSGGIAASLHGEDGRLLCESVATYGEGQEAGNESGYIVGMSTCYPKPGSVTVRDGEVLTVVSNYSSERQHTGVMGLFYILVAEHEQQQQLLPAAAGKPGLCFSFPVSWCLPSWLSSNL >PVH36399 pep chromosome:PHallii_v3.1:6:5045492:5046331:-1 gene:PAHAL_6G066600 transcript:PVH36399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSGRKQGRAPFGDVSNSNALDSQVVGYRELKRERDRIRTASMTDEKRSERNKKRRESYRRKKTEAANKENNIEIDQPSANDICLNVGCMDHTKIDPSYVRPTIASGSNVYDELGIPHRCDE >PVH37281 pep chromosome:PHallii_v3.1:6:43550006:43556522:-1 gene:PAHAL_6G282200 transcript:PVH37281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLLLPVVRGVLGKAADALVQKVTAMWGVDDDRRDLELKLLYVQSLLADAEAKAEAETEAGRAVKGWMRELRAAAYQADDVLDDFQYEALRREAQSLRSTTSKVLDFFSSRNRLVFRDKASRDLKNVLGKIDKLVKDMQKFVLLQREPEAPQPLNRQTHSALDESAEIFGRDDDKAVVVKLLLDQQDQRSVQVLPIIGMGGLGKTTLAKMVYNDRKVQEHFEFRMWHCVSENFEATAVVKSVIELATNGRCDLPDTMELLRQRLQEVIGRKRFLLILDDVWNEDQLKWDDDLKPLLCSSIGGLGSMIVVTSRIPKVASIMGTLPTHELACLSEEDSWELFSKKAFCKGVEEQEEFITVGKLIVNKCKGLPLALKTMGGLMSSKYQIKEWEAIAESNRGGNIEILSILKLSYMHLSSEMKQCFAFCAVFPKDYEMDKEKLIQLWMANNFIQAEGDIDLVQKGEFVFYELVWRSFIQDVNVKVFDGYGPFRRCKEIGCKMHDLMHDLAKDITDECAFAEELIQQKASVNNVRHMQLPWDESDEITGLMKASLSLRTLLAQNPKCKDVKELKLKSVRAIHCPDAYVIHRLINTTHLRYLDISGSEIVELPNSFCMLYNLQSLWLNLCTKLKFLPEGMQTMRQLTHIYLLGCIRLERMPPKLSLLHNLCTLTSFIVDTGDGFGIEELQGLRQLGNMLELFNLRKVKSGSKANLHEKKNLTGLFLHWGREVGYNPLHDEVVSNNQEEVLESLVPYTKLKTLELHGYGGLAISQWMRDPQMFCCLRELRISDCPRCKDLPLVWLLSPLEKLHLSSMNSLTTLCKNIDAEAAGYSTSQEIFPKLKMMRLHKLPEFERWAENSAGEPNSLVMFPQLEELSITNCNKIVNLPEAPALTSASFEEKSADCIVPMSMEWGSFPSLIHLTFGRLVNVVMPVKDHQNQSQRPLRTLRRLAVQGNNGFISMFNSSKLQLGLGDCLAFVEHLEIFSCDNIVRWPVEEFRCFVRLQSLEIHWCSKLEGKGSSSEEFLPLPRLEMLQIRSCRSLLEIPKLPALLEKMDIRRCVSLVALPSNLGDLAKLRHLGLVSCSELKVLPGGMDGLTSLEELDIFYCGSLVALPSNLGDLAKLRRLWLDGCSELKALPDGMDGLTSLEELMIEDCPGINKFPQGLLQRLPALKFLIIYGCPDLQRRCREGGEYFDLVFSIPDKRIPPPDEPETKKSTKSRLLPWCVGSSSSS >PVH37280 pep chromosome:PHallii_v3.1:6:43550567:43556522:-1 gene:PAHAL_6G282200 transcript:PVH37280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLLLPVVRGVLGKAADALVQKVTAMWGVDDDRRDLELKLLYVQSLLADAEAKAEAETEAGRAVKGWMRELRAAAYQADDVLDDFQYEALRREAQSLRSTTSKVLDFFSSRNRLVFRDKASRDLKNVLGKIDKLVKDMQKFVLLQREPEAPQPLNRQTHSALDESAEIFGRDDDKAVVVKLLLDQQDQRSVQVLPIIGMGGLGKTTLAKMVYNDRKVQEHFEFRMWHCVSENFEATAVVKSVIELATNGRCDLPDTMELLRQRLQEVIGRKRFLLILDDVWNEDQLKWDDDLKPLLCSSIGGLGSMIVVTSRIPKVASIMGTLPTHELACLSEEDSWELFSKKAFCKGVEEQEEFITVGKLIVNKCKGLPLALKTMGGLMSSKYQIKEWEAIAESNRGGNIEILSILKLSYMHLSSEMKQCFAFCAVFPKDYEMDKEKLIQLWMANNFIQAEGDIDLVQKGEFVFYELVWRSFIQDVNVKVFDGYGPFRRCKEIGCKMHDLMHDLAKDITDECAFAEELIQQKASVNNVRHMQLPWDESDEITGLMKASLSLRTLLAQNPKCKDVKELKLKSVRAIHCPDAYVIHRLINTTHLRYLDISGSEIVELPNSFCMLYNLQSLWLNLCTKLKFLPEGMQTMRQLTHIYLLGCIRLERMPPKLSLLHNLCTLTSFIVDTGDGFGIEELQGLRQLGNMLELFNLRKVKSGSKANLHEKKNLTGLFLHWGREVGYNPLHDEVVSNNQEEVLESLVPYTKLKTLELHGYGGLAISQWMRDPQMFCCLRELRISDCPRCKDLPLVWLLSPLEKLHLSSMNSLTTLCKNIDAEAAGYSTSQEIFPKLKMMRLHKLPEFERWAENSAGEPNSLVMFPQLEELSITNCNKIVNLPEAPALTSASFEEKSADCIVPMSMEWGSFPSLIHLTFGRLVNVVMPVKDHQNQSQRPLRTLRRLAVQGNNGFISMFNSSKLQLGLGDCLAFVEHLEIFSCDNIVRWPVEEFRCFVRLQSLEIHWCSKLEGKGSSSEEFLPLPRLEMLQIRSCRSLLEIPKLPALLEKMDIRRCVSLVALPSNLGDLAKLRHLGLVSCSELKVLPGGMDGLTSLEELDIFYCGSLVALPSNLGDLAKLRRLWLDGCSELKALPDGMDGLTSLEELMIEDCPGINKFPQGLLQRLPALKFLIIYGCPDLQRRCREGGEYFDLVFSIPDKRIPPPDEPETKKSTKSRLLPWCVGSSSSS >PVH36380 pep chromosome:PHallii_v3.1:6:4781347:4785574:-1 gene:PAHAL_6G063400 transcript:PVH36380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWRWPKCTAEWFRHRKVFPWEAASCPFSVFTASAQLDDSSGDEKLNCAPDNEPFRKRRRTLSSDSVVQTLRCLRRKPAVAFAYFKDTQSLGFHHDFSTFSEITQILSHSFQGKMLVSLFCEIISATDSGGPEILTSLLDHLRKTCVTSHVLPFAVNCLIKACTTCYDAQATIEEFCHLCRLGFLPTVWACNFVLQFVSQSGESDMVVAAYDQMKCFQLTLDSHSLKIVTRSLFQAKKADEAFQVWIGMIEMGVTPDVRGYSSFIIGLCDCGKYDLAYNMVSRYTVLQEITQERVPIAAMAYNMVIDGLCKEMKLEEAEKVLENKTKHGSAPDLYGYSYLVRSYCKMGNLQKAWQHVEAMVSHGIDINCHIVGYLLQCIRKLGMTSEVIVYFQKFRDLGVHFDGVLYNIGMDAYCKLGNMNEAVQLLNEMMAEGLAPDKIHYTCLINGYCLKGETENAWQAFEQMLKANIKPDVVTYNILASGYSRNGLVMKVFDLLEHMMDQGLEPNSLTYGVVIAGFCRGGNLSEAEVLFNIVEEKGIDNIEVMYSSMVCGYLHSGWTDHAYMLFLRVAKQGNTVDQFSCLKLISGLCRDGKVEGASTVCNMMLEKNVVPDVISYSKLISAYCQTGDMHSARLWFDDMVERGLSDVIAYTVMMNGYCKVRRFKEAFDLFDQMRNLGIKPDVVAYTVLLDGHLKEILLRGWQDIESKERKIFHLRAEHKKLLSSMKDMEIEPDVACYTVLIDGQCKTEYLDEAQGLFDEMLVKGLTPDVYTYTALINGYCSQGEIAKAEDLLQEMMDKGMKPDELTFSVLHQRTLR >PVH36536 pep chromosome:PHallii_v3.1:6:9123968:9125812:1 gene:PAHAL_6G095000 transcript:PVH36536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVQSSKVVKPAYGEGSTPAVDVVIPLTVFDEVYDEYMSSIHGFRSPSPTPEALEAGLARALAEYREWAGRLIAADGSPAAGRRALLLNDAGARFVEATAGIALEAAMPLLLQPAAARRLHPSGEGAEELMLVQVTRFACGSLVVGHTMHHAVGDGFAMCQCLLAWGQCTRGATVDPVPVHDRESFFLPRHPPRVEFDHRGTEFKVPNDNDDDDEKKSPPRAADNDLVVTHKVRFSREFISDLKSRASAAATTLRPYTTMQCLVAHLWRCVTRARGLDGGEATTMLHMAVNGRARMRSPRVPQGYTGNLVLRAHPAATARELLAGPLGRAAELIRREVARVDDAYFRSFIDFIGSGAVEEEGLEPMYDAAESPDVDVYCLYRIPFYDLDFGGGRQFLYMPSNQPVDGTVYILPLCPQGDGSVEALVSLYSRAMEAFKDCCFSLVHYKKIA >PVH37091 pep chromosome:PHallii_v3.1:6:40802844:40804845:1 gene:PAHAL_6G242800 transcript:PVH37091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGAHDDADEPPRRSALKKSAPAQPWSEVETFHLIDAYDERWTALGRGQLKAQQWEEVAADVAARCAATPGVTVQRKTGTQCRHKLEKLRKRYRTEAARPVTSLWTFFRRMDQLERGPLAVSSAYPPAAGSPTGAASDEEEEEEEEEEEEEEEEEEENEEEEEELVPRNNNTRSINGIIREFGSGLAPRHPQLQLQQPPSSITPSTAPPRKRVAYEAFQAKAAAAAAAAVAADKAKEEEVELFRRPSGRGGANAQLSAVLRDFSEGVMRLERRRMEMQWEIERGWQETEARHAKMLQDAQRQLRDTVAASCALPPKKARRDYGSSGDS >PAN35889 pep chromosome:PHallii_v3.1:6:40802847:40804819:1 gene:PAHAL_6G242800 transcript:PAN35889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAHDDADEPPRRSALKKSAPAQPWSEVETFHLIDAYDERWTALGRGQLKAQQWEEVAADVAARCAATPGVTVQRKTGTQCRHKLEKLRKRYRTEAARPVTSLWTFFRRMDQLERGPLAVSSAYPPAAGSPTGAASDEEEEEEEEEEEEEEEEEEENEEEEEELVPRNNNTRSINGIIREFGSGLAPRHPQLQLQQPPSSITPSTAPPRKRVAYEAFQAKAAAAAAAAVAADKAKEEEVELFRRPSGRGGANAQLSAVLRDFSEGVMRLERRRMEMQWEIERGWQETEARHAKMLQDAQRQLRDTVAASCALPPKKARRDYGSSGDS >PAN35389 pep chromosome:PHallii_v3.1:6:37706408:37708939:-1 gene:PAHAL_6G205100 transcript:PAN35389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAIAAVLGILLALALLEPTAAAGLKFTTHDLRSEKAVRQLYERWCKHFKVVRKQEEKVHRFANFNQTVHHVASHTVRVADEPLRLNGFADATWAELEACRCRMTPEGRRNLAPVPVKRRGGDGNLTLPDSIDWTSRICGQGRPCISPVRNQFLCGSCWAFAATAAIESHLAIRPEASIIVQLSEQELVDCDHASHGCKGGLAIKAFNYINQNGITSRQNYPYRTFQRENGTCLARTTPRTNITMIGWTQLEPHNEYELLAQVTSALVVVAISIGDNNTEFRGYAGGMYWGKCGTKIDHELLLVGYDPDSYLLKNSWGQSWGDFGYLLLPRTHNCGILERGGSYPVMGWD >PAN33999 pep chromosome:PHallii_v3.1:6:4738675:4740923:-1 gene:PAHAL_6G062900 transcript:PAN33999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGDLGGLMEAVPARDWADGLGTDALLGILHRLDHVDVLTAADHVCRSWRRAAREEPALWRRITMRGREGISRRLNRGGLACEAVRRSAGRCEAFCGEYAGDDGFLVYLSEQAPCLKSLRLISCNGVTNEGVAEAAKGLPLLEELELSLCDNVGGSEVFEVVGEVCPQLKQFRLNKKRFDVTVWVKENYVQGIATMHGLRTLQLFSNILTNTGLETILDNCPHLESLDIRHCFNVGMDETLLLKCARIKTLRLPDDPTDDYDLEVQSPIRTYVPREEYMCYSDCCYNRYEDSEDSGDDSDFYGEPSRYESDLDKYEKMLPLSMRTFLR >PAN36651 pep chromosome:PHallii_v3.1:6:45056447:45058211:1 gene:PAHAL_6G299700 transcript:PAN36651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGPGGDKLILRGLQFHGFHGVKREEQTLGQKFVVDVDAWMDLAAAGESDSIADTISYTDIYGIAKDVVEGTPHNLLESVSHSIAKATLLKFPQISAVRVKVGKPHVAVQGVVDYLGVEITRHRKKA >PAN36736 pep chromosome:PHallii_v3.1:6:45474398:45477839:-1 gene:PAHAL_6G306200 transcript:PAN36736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT5G16310) UniProtKB/Swiss-Prot;Acc:Q9FFF2] MQLKGLQVDELYSLDLDALSDLQPIYGLIVLYKWRPPEKDERPVIKDAIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKRLKEFAKDLPPELKGLAIVNCASIRMLNNSFARSEVPEEQKPSSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGADEAGWLRVAQPVIQEHIDRFSQNEIRFSVMAILKNRKEMYTAELKDLQRKRESLLQQMSDPSASRHVPSVEQSLAEVAAQIEAVTEKIIMEEEKLKKWKTENMRRKHNYVPFLFNFLKILEEKKQLKPLIEKAKAKQKSHSHSTNPR >PAN36737 pep chromosome:PHallii_v3.1:6:45474691:45477231:-1 gene:PAHAL_6G306200 transcript:PAN36737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT5G16310) UniProtKB/Swiss-Prot;Acc:Q9FFF2] MSWSAIENDPGVLTDLLQQMQLKGLQVDELYSLDLDALSDLQPIYGLIVLYKWRPPEKDERPVIKDAIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKRLKEFAKDLPPELKGLAIVNCASIRMLNNSFARSEVPEEQKPSSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGADEAGWLRVAQPVIQEHIDRFSQNEIRFSVMAILKNRKEMYTAELKDLQRKRESLLQQMSDPSASRHVPSVEQSLAEVAAQIEAVTEKIIMEEEKLKKWKTENMRRKHNYVPFLFNFLKILEEKKQLKPLIEKAKAKQKSHSHSTNPR >PVH37082 pep chromosome:PHallii_v3.1:6:40516357:40517334:-1 gene:PAHAL_6G238700 transcript:PVH37082 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIC3 [Source:Projected from Arabidopsis thaliana (AT5G09470) UniProtKB/TrEMBL;Acc:A0A178UKG1] MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEAAAAAAPQPAMRPALAVPAGGQTVALPQEVPVPPPRKPGPLAVGAQILRSEGAAGLFSGVSATMLRQTLYSTTRMGLYDILKTKWTQENGGVLPLHRKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYRSVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGAGADGLATHVAASFTAGLVAAAASNPVDVVKTRMMNMKVAPGAPPPYAGAVDCALKTVRSEGPMALYKGFIPTVMRQGPFTVVLFVTLEQVRKVFKGVEF >PAN33432 pep chromosome:PHallii_v3.1:6:1604220:1607542:1 gene:PAHAL_6G020800 transcript:PAN33432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQSSMETQAPGNSSPPKDGRSAQEKAVEDWLPINASRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGAGITVLILSWVITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGTSLKKFHDTVCEDCKSIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKLDDVDYSLRATTTPGKVFGFLGALGTVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVVVAYIVVAVCYFPVSLVGYWAFGNRVDSDILITLSRPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKRLKFPPGLTLRLIARTVYVAFTMFIAITFPFFDGLLSFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIVLGVLLMVLAPIGGLRNIILNAKTYKFYQ >PAN34862 pep chromosome:PHallii_v3.1:6:14318452:14321618:-1 gene:PAHAL_6G111000 transcript:PAN34862 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MIRATGSGAAARSVACACCAVLPTAMASPATPSTAAAGRRHCRRRLALPLSLLVPSCLALLLAEAAGIPTTLDGPFPPATRAFDRALRRGSDDVPLTDPCLAPRARPPAPEQIALAASADAGSLWVSWVTGRAQVGSDLTPLDPAAVRSEVWYGECSTAAADSYPHVATGSAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSLPGGLSDERSFTTLPAAGTGSYPRRVAVVGDLGLTGNSTATVDHLAQNDPSLVLMVGDMTYANQYRTTGGKGFPCFSCSFPDAPIRESYQPRWDGWGRFMEPITSKIPLMVIEGNHEIEPQGHGGEVTFASYLARFAVPSKESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLQRVDRRVTPWVVAAWHSPWYNSYSSHYQEFECMRQEMEDLLYRHRVDIVLSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGVCHLNFTSGPAKGKFCWDRQPEWSAYRESSFGHGILEVVNSTYALWTWHRNQDAYGENSLGDQIYIVRQPDKCLLQPTIPLEDAIL >PAN34863 pep chromosome:PHallii_v3.1:6:14318917:14321511:-1 gene:PAHAL_6G111000 transcript:PAN34863 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MIRATGSGAAARSVACACCAVLPTAMASPATPSTAAAGRRHCRRRLALPLSLLVPSCLALLLAEAAGIPTTLDGPFPPATRAFDRALRRGSDDVPLTDPCLAPRARPPAPEQIALAASADAGSLWVSWVTGRAQVGSDLTPLDPAAVRSEVWYGECSTAAADSYPHVATGSAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSLPGGLSDERSFTTLPAAGTGSYPRRVAVVGDLGLTGNSTATVDHLAQNDPSLVLMVGDMTYANQYRTTGGKGFPCFSCSFPDAPIRESYQPRWDGWGRFMEPITSKIPLMVIEGNHEIEPQGHGGEVTFASYLARFAVPSKESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLQRVDRRVTPWVVAAWHSPWYNSYSSHYQEFECMRQEMEDLLYRHRVDIVLSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGVCHLNFTSGPAKGKFCWDRQPEWSAYRESSFGHGILEIQCVGGYMHTGCEFNICLVDMAP >PAN36212 pep chromosome:PHallii_v3.1:6:42188614:42191416:1 gene:PAHAL_6G263000 transcript:PAN36212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQHQPKPAAAPSTTTGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGVNKEGQTILYLVFEYMDTDLKKFIRGHRANHEKIPALTVKILMYQLCKGVAFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAIIVPLKKYTHEILTLWYRAPEVLLGATHYATPVDIWSVGCIFAELVTNNPLFPGDSELQQLLHIFKLLGTPNEQVWPGVGKLPNWHEYPQWKPTKLSTLVPGLDEDGYDLLGKMLEYEPARRISAKKALEHPYFNDVNKEQY >PVH37102 pep chromosome:PHallii_v3.1:6:41077580:41078676:-1 gene:PAHAL_6G246300 transcript:PVH37102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAPKERRSLSPSLANRAAALANLAWPSANRALFRESSSTAGESSSPPANRARLHATRVSGEGGEAASAPPANRARLPATRAPAREARRRALHPQIEHCCLQLELRRGRQGGELGGRLASGAAGDGRCVPCAGREGGKRERQVRSRMPSLRMAGLLVTMEGYQYLI >PAN36002 pep chromosome:PHallii_v3.1:6:41277726:41282787:1 gene:PAHAL_6G250100 transcript:PAN36002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRFLKARKFDAEKAMQMWADMLKWRKDFGADTILEDFEFEELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMNITSTDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGLKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKLIHNLEAGCVREIKPVSEGEERSCSSFRLEQLKWQGMLSDTSNAESGSEVDDFGPSYVQKFSDYGCLTPVHEEVKGTDCATYYSCDDQSHPDMGPVSYSGARRTTEMVQKQTADFRQSSTNTRPRHLGNDALNVDGTVAQRGWENIVKLVVTGLIKLFTFIRLFISRAEGRLENVHRSAPPVILAPEKPRPWTVSDEEMCSCLQRLDNLESLCNHLATKPPQIPEDKDLVLLSSFERIKSVEADLERTKRVLHATVAKQKALVEALESVQESSRVKKRMFCS >PAN36003 pep chromosome:PHallii_v3.1:6:41277497:41282787:1 gene:PAHAL_6G250100 transcript:PAN36003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPPVAISIEDVRDAEEERAVAAFRDRLNAHELLPDKHDDYHMMLRFLKARKFDAEKAMQMWADMLKWRKDFGADTILEDFEFEELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMNITSTDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGLKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKLIHNLEAGCVREIKPVSEGEERSCSSFRLEQLKWQGMLSDTSNAESGSEVDDFGPSYVQKFSDYGCLTPVHEEVKGTDCATYYSCDDQSHPDMGPVSYSGARRTTEMVQKQTADFRQSSTNTRPRHLGNDALNVDGTVAQRGWENIVKLVVTGLIKLFTFIRLFISRAEGRLENVHRSAPPVILAPEKPRPWTVSDEEMCSCLQRLDNLESLCNHLATKPPQIPEDKDLVLLSSFERIKSVEADLERTKRVLHATVAKQKALVEALESVQESSRVKKRMFCS >PAN36004 pep chromosome:PHallii_v3.1:6:41277995:41282787:1 gene:PAHAL_6G250100 transcript:PAN36004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPPVAISIEDVRDAEEERAVAAFRDRLNAHELLPDKHDDYHMMLRFLKARKFDAEKAMQMWADMLKWRKDFGADTILEDFEFEELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMNITSTDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGLKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKLIHNLEAGCVREIKPVSEGEERSCSSFRLEQLKWQGMLSDTSNAESGSEVDDFGPSYVQKFSDYGCLTPVHEEVKGTDCATYYSCDDQSHPDMGPVSYSGARRTTEMVQKQTADFRQSSTNTRPRHLGNDALNVDGTVAQRGWENIVKLVVTGLIKLFTFIRLFISRAEGRLENVHRSAPPVILAPEKPRPWTVSDEEMCSCLQRLDNLESLCNHLATKPPQIPEDKDLVLLSSFERIKSVEADLERTKRVLHATVAKQKALVEALESVQESSRVKKRMFCS >PVH36139 pep chromosome:PHallii_v3.1:6:382933:384400:1 gene:PAHAL_6G005400 transcript:PVH36139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDMCATFVGVSSGDILFLRDELPAMNALLEKLDDAEELLDPDAKHWRKQVREMAYDIEDCIDDLSSNVASVDVRAGFVDKASHFLRTCRAHLEAAWQVKELKTRLLEINERRKRYRAEDSISSTASPVIVGFGGLARQDHLC >PVH37301 pep chromosome:PHallii_v3.1:6:43654101:43654559:-1 gene:PAHAL_6G284000 transcript:PVH37301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLPEVVPVYQSLKLEPSTSFAFWPFHLVSCTSLNLPVRDPTFQLPRRILVGSASFLTLRTRREKCEDPCSFFTTPGRRCSAPQRLRRPDPCSLIIVPGSRYDAPLRG >PVH36866 pep chromosome:PHallii_v3.1:6:35382989:35391615:1 gene:PAHAL_6G185200 transcript:PVH36866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPTVGLPRDSRGSLEVFNPDAPTLICPPKREPITSPFLLSFAADDDEVQEEDKDVVVGRAAQRAAEWGLILQTDKHTGRPQGVTARPSGSGPTSESGNSLDETTADMARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQATYEGSKGRCSKVLCCRSGHSTGIPALPRCFFRKIMIRRKGGERVWVLPYSLLNQCEHQIRLLVQRSTLRLRSLLELGIQVLSIGGL >PVH36863 pep chromosome:PHallii_v3.1:6:35382989:35391615:1 gene:PAHAL_6G185200 transcript:PVH36863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPTVGLPRDSRGSLEVFNPDAPTLICPPKREPITSPFLLSFAADDDEVQEEDKDVVVGRAAQRAAEWGLILQTDKHTGRPQGVTARPSGSGPTSESGNSLDETTADMARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQTKTHICLITDYCSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCLPQVFLPEDNDKKKGRRKSMGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANVLHKDIRFPTSTEVSLVARQLMYRLLHRDPANRLGSYEGASEIKQHAFFRGINWALVRAAAPPKLEVEEETPVTAGHTDMF >PVH36860 pep chromosome:PHallii_v3.1:6:35382504:35391615:1 gene:PAHAL_6G185200 transcript:PVH36860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKGLPRDSRGSLEVFNPDAPTLICPPKREPITSPFLLSFAADDDEVQEEDKDVVVGRAAQRAAEWGLILQTDKHTGRPQGVTARPSGSGPTSESGNSLDETTADMARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQATYEGSKGRCSKVLCCRSGHSTGIPALPRCFFRKIMIRRKGGERVWVLPYSLLNQCEHQIRLLVQRSTLRLRSLLELGIQVLSIGGL >PVH36865 pep chromosome:PHallii_v3.1:6:35382989:35391615:1 gene:PAHAL_6G185200 transcript:PVH36865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQATYEGSKGRCSKVLCCRSGHSTGIPALPRCFFRKIMIRRKGGERVWVLPYSLLNQCEHQIRLLVQRSTLRLRSLLELGIQVLSIGGL >PVH36861 pep chromosome:PHallii_v3.1:6:35382988:35391615:1 gene:PAHAL_6G185200 transcript:PVH36861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQTKTHICLITDYCSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCLPQVFLPEDNDKKKGRRKSMGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANVLHKDIRFPTSTEVSLVARQLMYRLLHRDPANRLGSYEGASEIKQHAFFRGINWALVRAAAPPKLEVEEETPVTAGHTDMF >PVH36862 pep chromosome:PHallii_v3.1:6:35382989:35391615:1 gene:PAHAL_6G185200 transcript:PVH36862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQHLSIAIYMSQTKTHICLITDYCSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCLPQVFLPEDNDKKKGRRKSMGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANVLHKDIRFPTSTEVSLVARQLMYRLLHRDPANRLGSYEGASEIKQHAFFRGINWALVRAAAPPKLEVEEETPVTAGHTDMF >PVH36867 pep chromosome:PHallii_v3.1:6:35382989:35391615:1 gene:PAHAL_6G185200 transcript:PVH36867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKGLPRDSRGSLEVFNPDAPTLICPPKREPITSPFLLSFAADDDEVQEEDKDVVVGRAAQRAAEWGLILQTDKHTGRPQGVTARPSGSGPTSESGNSLDETTADMARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQHLSIAIYMSQTKTHICLITDYCSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCLPQVFLPEDNDKKKGRRKSMGSPIFFAEPMRASNSFVGTEEYIAPVCVFL >PVH36859 pep chromosome:PHallii_v3.1:6:35382504:35391615:1 gene:PAHAL_6G185200 transcript:PVH36859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKGLPRDSRGSLEVFNPDAPTLICPPKREPITSPFLLSFAADDDEVQEEDKDVVVGRAAQRAAEWGLILQTDKHTGRPQGVTARPSGSGPTSESGNSLDETTADMARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQTKTHICLITDYCSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCLPQVFLPEDNDKKKGRRKSMGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANVLHKDIRFPTSTEVSLVARQLMYRLLHRDPANRLGSYEGASEIKQHAFFRGINWALVRAAAPPKLEVEEETPVTAGHTDMF >PVH36864 pep chromosome:PHallii_v3.1:6:35382988:35391615:1 gene:PAHAL_6G185200 transcript:PVH36864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKGLPRDSRGSLEVFNPDAPTLICPPKREPITSPFLLSFAADDDEVQEEDKDVVVGRAAQRAAEWGLILQTDKHTGRPQGVTARPSGSGPTSESGNSLDETTADMARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQHLSIAIYMSQTKTHICLITDYCSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCLPQVFLPEDNDKKKGRRKSMGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANVLHKDIRFPTSTEVSLVARQLMYRLLHRDPANRLGSYEGASEIKQHAFFRGINWALVRAAAPPKLEVEEETPVTAGHTDMF >PVH36868 pep chromosome:PHallii_v3.1:6:35382989:35391615:1 gene:PAHAL_6G185200 transcript:PVH36868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALSAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTAVRPNGLPESLIKYDARQKDQARGSVSELLLALKNPRSLSEARNSTLKRKSQEAECVFSTEVPGKRTSESGMRSSLQKISEVPEGGNKSRKSGLRSLIGFLGMGHGNVQKNMLKPREDLLIDSDDERPESFDDDFRRKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLSPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFKPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATVERQILDMLDHPFLPTLYASFQTKTHICLITDYCSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCLPQVFLPEDNDKKKGRRKSMGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANVLHKDIRFPTSTEVSLVARQLMYRLLHRDPANRLGSYEGASEIKQHAFFRGINWALVRAAAPPKLEVEEETPVTAGHTDMF >PAN34071 pep chromosome:PHallii_v3.1:6:5163020:5169592:1 gene:PAHAL_6G067700 transcript:PAN34071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDWRSCPRIQSRVVRVNCVMDSGSSSCSRTRSGLVRRKGIFAPSKASCSRTRSGLVRIKSFIHSRDGSCSRTRSGLVRMKSFVDSSDSSCSRTRSDPVRGSPQVVEDEEVLKGSPDGWVKEDSPVRTQNVKGSPAAKAVTMDEPVTKGLPDGCLNDEMPSRTRSGLVRRRPTFKILSKDQPVIKGLADGWLKEDTPPGTRSGLVRGSLASKAPVKAELVRKAQHDGWLKKDKPAGTQSDLVGGRPAVKTLQKNGRVIEGLPDGWRKEYRPRKIGSFQDPFYIDPVSGYEFRSLKDVHRYLETGDIDQCAMKPKKGSTIYDVHITESQTLTSSSSQRTKPSTADKGIQCEILTSEGIMMPWEKLVTPYSENDTEDTVLPESESLKAMQGYGDKLETLEHRSVEPVSAQCGTRETKSLKRKEQNVEVRSKKRKANPAVTTIRVSPRLAALNVQQEASIEPEDQPINVNPIDRVHTIEENSNDLSQMSQSGTVNQIHGNLESTSIHLQLSQADTAEGMEDIQENTTSHSQLSQVDTVNHIQTNQEKTASQVESILADIPVLEDRSIIDHADIPIQTMQECTKDPLSQADIVNHIQTDQDFTANQLQLSLADTVIPVQPFQEYTISYSQPVKADTINQIQANQESTCDRFHLSQVDTVTKMQIIQENLTRQPNLSQADNVGQAHIDLESTIDYSQLSKADTINQLQANQENTADQLHFSQADCVTQIQTIQGNMSKHPQLSQADTVDRIHINREGTTNHLQPNYAENSMLQASLSWAPEQNGGADFWKNFENHDSLVPMPVGGATVASFPANVRFQNAAGTEEPALPAQSAAPETGSDQSGLAFQSIFGNAWSDPCIEFAFKTLTGDIPVLDDTTVVTEYFPEQQDLNKDPSPNCSASVLDNTKNHTQVDVNLPAPMPSDKLYNGSWFPPQ >PAN34072 pep chromosome:PHallii_v3.1:6:5163020:5169592:1 gene:PAHAL_6G067700 transcript:PAN34072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDWRSCPRIQSRVVRVNCVMDSGSSSCSRTRSGLVRRKGIFAPSKASCSRTRSGLVRIKSFIHSRDGSCSRTRSGLVRMKSFVDSSDSSCSRTRSDPVRGSPQVVEDEEVLKGSPDGWVKEDSPVRTQNVKGSPAAKAVTMDEPVTKGLPDGCLNDEMPSRTRSGLVRRRPTFKFLRKDEPDIYGLPDGWQGQDMPDRTQSGFVRGSPAIKILSKDQPVIKGLADGWLKEDTPPGTRSGLVRGSLASKAPVKAELVRKAQHDGWLKKDKPAGTQSDLVGGRPAVKTLQKNGRVIEGLPDGWRKEYRPRKIGSFQDPFYIDPVSGYEFRSLKDVHRYLETGDIDQCAMKPKKGSTIYDVHITESQTLTSSSSQRTKPSTADKGIQCEILTSEGIMMPWEKLVTPYSENDTEDTVLPESESLKAMQGYGDKLETLEHRSVEPVSAQCGTRETKSLKRKEQNVEVRSKKRKANPAVTTIRVSPRLAALNVQQEASIEPEDQPINVNPIDRVHTIEENSNDLSQMSQSGTVNQIHGNLESTSIHLQLSQADTAEGMEDIQENTTSHSQLSQVDTVNHIQTNQEKTASQVESILADIPVLEDRSIIDHADIPIQTMQECTKDPLSQADIVNHIQTDQDFTANQLQLSLADTVIPVQPFQEYTISYSQPVKADTINQIQANQESTCDRFHLSQVDTVTKMQIIQENLTRQPNLSQADNVGQAHIDLESTIDYSQLSKADTINQLQANQENTADQLHFSQADCVTQIQTIQGNMSKHPQLSQADTVDRIHINREGTTNHLQPNYAENSMLQASLSWAPEQNGGADFWKNFENHDSLVPMPVGGATVASFPANVRFQNAAGTEEPALPAQSAAPETGSDQSGLAFQSIFGNAWSDPCIEFAFKTLTGDIPVLDDTTVVTEYFPEQQDLNKDPSPNCSASVLDNTKNHTQVDVNLPAPMPSDKLYNGSWFPPQ >PAN34070 pep chromosome:PHallii_v3.1:6:5163020:5169592:1 gene:PAHAL_6G067700 transcript:PAN34070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDWRSCPRIQSRVVRVNCVMDSGSSSCSRTRSGLVRRKGIFAPSKASCSRTRSGLVRIKSFIHSRDGSCSRTRSGLVRMKSFVDSSDSSCSRTRSDPVRGSPQVVEDEEVLKGSPDGWVKEDSPVRTQNVKGSPAAKAVTMDEPVTKGLPDGCLNDEMPSRTRSGLVRRRPTFKGQDMPDRTQSGFVRGSPAIKILSKDQPVIKGLADGWLKEDTPPGTRSGLVRGSLASKAPVKAELVRKAQHDGWLKKDKPAGTQSDLVGGRPAVKTLQKNGRVIEGLPDGWRKEYRPRKIGSFQDPFYIDPVSGYEFRSLKDVHRYLETGDIDQCAMKPKKGSTIYDVHITESQTLTSSSSQRTKPSTADKGIQCEILTSEGIMMPWEKLVTPYSENDTEDTVLPESESLKAMQGYGDKLETLEHRSVEPVSAQCGTRETKSLKRKEQNVEVRSKKRKANPAVTTIRVSPRLAALNVQQEASIEPEDQPINVNPIDRVHTIEENSNDLSQMSQSGTVNQIHGNLESTSIHLQLSQADTAEGMEDIQENTTSHSQLSQVDTVNHIQTNQEKTASQVESILADIPVLEDRSIIDHADIPIQTMQECTKDPLSQADIVNHIQTDQDFTANQLQLSLADTVIPVQPFQEYTISYSQPVKADTINQIQANQESTCDRFHLSQVDTVTKMQIIQENLTRQPNLSQADNVGQAHIDLESTIDYSQLSKADTINQLQANQENTADQLHFSQADCVTQIQTIQGNMSKHPQLSQADTVDRIHINREGTTNHLQPNYAENSMLQASLSWAPEQNGGADFWKNFENHDSLVPMPVGGATVASFPANVRFQNAAGTEEPALPAQSAAPETGSDQSGLAFQSIFGNAWSDPCIEFAFKTLTGDIPVLDDTTVVTEYFPEQQDLNKDPSPNCSASVLDNTKNHTQVDVNLPAPMPSDKLYNGSWFPPQ >PAN36203 pep chromosome:PHallii_v3.1:6:42216017:42216436:1 gene:PAHAL_6G263600 transcript:PAN36203 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-3 [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/TrEMBL;Acc:A0A178WDK7] MASPCLASLFSAASALPAGIGTRRSPPSARAWHHDNCTHHQLQRLVVAAAAAKRRYKGTARKEAALAELVERKVAEAMEACAGREAEAGCRVAWDEVEEVSQARADLRRRIAEAPGDPLEPFCADNPADDDCAVVYVDD >PVH36224 pep chromosome:PHallii_v3.1:6:1804392:1807271:-1 gene:PAHAL_6G024500 transcript:PVH36224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVVGEQSFHLHKFPLLSKSGLLEGRIREKIDKGEDSWVIDLSDIPGGAKAFELAAKFCYGVKFEMTASNVVHLRCAADYLEMTEEMSEGNLIAQTENFLTQTVLRSWKDSVKALQTCDDVLDIAERLQIIKRCVDSIATRSCSDPDLFGWPVAQYGGPMQSPGGSLLWNGISTGARPRNSSPDWWYDDVSCLSLPLYKKLISAMEYRGISQEIIVGSLNHYAKRRLPGLNRRKSISDASNCLSITSLTSIPSEDDQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIFLKASPSCLSNLERRIGMQLDKASLEDLLIPNISESVETLYDVDCVQRIVDHFLAMDQETGGASPGLGEDGQILASPSLMPITMVAKLIDGYLAEVAPDENLKLPKFRSLAAAIPEYARPIDDGLYRAIDIYLKAHPYLSESDKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMISEPLDGGVSRQLGGQPVSGEHHRGVAGWPLAARENQTLREGMDSMKQRVAELEKECTAMRQDIERLGRSRSAGKSRFPFALAAAKPQVCSTKDKDAAPETSKTSATEGEDKVAVVKGGAGGEGATQLKLRKHKMKLSTC >PVH36226 pep chromosome:PHallii_v3.1:6:1804592:1808732:-1 gene:PAHAL_6G024500 transcript:PVH36226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQKLGSRADVFRKQGQEWYCTSGLPSDMTVVVGEQSFHLHKFPLLSKSGLLEGRIREKIDKGEDSWVIDLSDIPGGAKAFELAAKFCYGVKFEMTASNVVHLRCAADYLEMTEEMSEGNLIAQTENFLTQTVLRSWKDSVKALQTCDDVLDIAERLQIIKRCVDSIATRSCSDPDLFGWPVAQYGGPMQSPGGSLLWNGISTGARPRNSSPDWWYDDVSCLSLPLYKKLISAMEYRGISQEIIVGSLNHYAKRRLPGLNRRKSISDASNCLSITSLTSIPSEDDQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIFLKASPSCLSNLERRIGMQLDKASLEDLLIPNISESVETLYDVDCVQRIVDHFLAMDQETGGASPGLGEDGQILASPSLMPITMVAKLIDGYLAEVAPDENLKLPKFRSLAAAIPEYARPIDDGLYRAIDIYLKAHPYLSESDKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMISEPLDGGVSRQLGGQPVSGEHHRGVAGWPLAARENQTLREGMDSMKQRVAELEKECTAMRQDIERLGRSRSAGKSRFPFALAAAKPQVCSTKDKDAAPETSKTSATEGEDKVAVVKGGAGGEGATQLKLRKHKMKLSTC >PVH36225 pep chromosome:PHallii_v3.1:6:1804392:1809724:-1 gene:PAHAL_6G024500 transcript:PVH36225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQKLGSRADVFRKQGQEWYCTSGLPSDMTVVVGEQSFHLHKFPLLSKSGLLEGRIREKIDKGEDSWVIDLSDIPGGAKAFELAAKFCYGVKFEMTASNVVHLRCAADYLEMTEEMSEGNLIAQTENFLTQTVLRSWKDSVKALQTCDDVLDIAERLQIIKRCVDSIATRSCSDPDLFGWPVAQYGGPMQSPGGSLLWNGISTGARPRNSSPDWWYDDVSCLSLPLYKKLISAMEYRGISQEIIVGSLNHYAKRRLPGLNRRKSISDASNCLSITSLTSIPSEDDQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIFLKASPSCLSNLERRIGMQLDKASLEDLLIPNISESVETLYDVDCVQRIVDHFLAMDQETGGASPGLGEDGQILASPSLMPITMVAKLIDGYLAEVAPDENLKLPKFRSLAAAIPEYARPIDDGLYRAIDIYLKAHPYLSESDKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMISEPLDGGVSRQLGGQPVSGEHHRGVAGWPLAARENQTLREGMDSMKQRVAELEKECTAMRQDIERLGRSRSAGKSRFPFALAAAKPQVCSTKDKDAAPETSKTSATEGEDKVAVVKGGAGGEGATQLKLRKHKMKLSTC >PVH36235 pep chromosome:PHallii_v3.1:6:1930203:1931434:-1 gene:PAHAL_6G026500 transcript:PVH36235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSGRFWLAARKTAAAVARAFPSRASGAIFWRQPARPWPGPHRWQELLQAWDTEASNSQVNSDLGHRSRTSSAWFLSGSADENKLNSSACISSYASAGK >PVH36468 pep chromosome:PHallii_v3.1:6:6404331:6405023:-1 gene:PAHAL_6G078900 transcript:PVH36468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGSGNRAREEEHGEPTASRRRRRAPKPAPPADGPPTVPTDDAIRYLATVKRKFAGELPASTRSSSPSYVSAGAGGFLGISSAMDSLQVVLQGHPDLIRGFNDFLPRGNGLKEKQGGDDDA >PVH36710 pep chromosome:PHallii_v3.1:6:26014207:26016711:-1 gene:PAHAL_6G149000 transcript:PVH36710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIVRMKLNPLLAVLSAAAIGFFIGVSFPVQITPKVFPFSFGDGNCTFVASYVLGRFSTQIRNDTSTVDRTPLLQSNATSQKVVAPAKPKGAERLPPNVVVRESDLHMRRLWGHPREDTPTRKYLLVLTVGYSDKVNVNATVRKFSENFDVMLFHYDGRTTEWDEFEWSKQAVHVSARKQAKWWYAKRFMHPSIVAPYEYIFIWDQDLGSETFDAEEYIKIVRRHGLEISQPGMDITRGVKTYDINVRRNDTEIHTSTSAGKCSTDLHKRPCSAFVEVNGPVFTREAWACVWHMIQNDLVHGWGLDWNFWRCVDEPEEQIGIVDAQYVAHHAGFTLGNPGNETADGSRRKVRLRASAEFGMFKARLHNADKAQAAALLAQSNADPRDQSRR >PVH37061 pep chromosome:PHallii_v3.1:6:40104361:40107141:1 gene:PAHAL_6G233900 transcript:PVH37061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPHHAYRVGRLPLRGSPIRSLASPRAAVRAASEDGDRVERARRGSCPPPPGPVVVRAASPAPLPLFFLSPHSPTTPPPRRVDPSRPGRQEAEAARRERRAKQARGWTVGRGNRTAADAAARRRGGMDGHGGGAGAGGKLTRTPSSLLRSPTVRAGPGAGAASFHTLLDDPEPDDKKAQAPPPPLASKPGALLRPGPAQSALLLLLLPALALVALLLGGGGGHHLALLAAAAGAALAAAAAVARLRAGGARARASPAHQLAASVRWFIGEGEGGEQQQQRGARKGRRAEVREGVEFYSNGDRYEGEFHGGRCSGSGVYSFFGKGKYEGDWVDGRYDGFGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGSYHFRNGDRYAGEYFADKIHGFGVYTFANGHSYEGSWHEGKKQGFGMYSFRNGDERAGEWDSGILKNSMPLSDPAVQRALQAARRASDCAVHLPRVDEQVNKAVMAANRAATAARVAAIKAVQNRIDGKLCFIDV >PAN33348 pep chromosome:PHallii_v3.1:6:1218667:1224722:-1 gene:PAHAL_6G014500 transcript:PAN33348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAEAAAADHAAPRPEEAPGSGSDAGEREMRDLEELLSKLNPMAEEFVPPSLASPVAAGGGGGPGAAALAPVPAPLSPAAYGYYPANAGFAVASPGHRGVVGFPAVADGPAGRGRKKGGAGGFGGHGHPGRRRTNSRTSMAQRDEVIRRTVYVSDIDHQVTEENLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEEGARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVTQADLKLFFESICGEVFRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >PVH36491 pep chromosome:PHallii_v3.1:6:6977282:6981985:-1 gene:PAHAL_6G084100 transcript:PVH36491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEHLDPTAPAPASASSSAAVAEVNAWLASLAAEAGSGGGAGGRGGGGAAAELSLGPDPTPRGVAYLRALAAASQARSRAAGIAATGLRAQASEYRAEAARLREALERAGLARDALPPPAAAAARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAINKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >PAN34320 pep chromosome:PHallii_v3.1:6:6980066:6981917:-1 gene:PAHAL_6G084100 transcript:PAN34320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEHLDPTAPAPASASSSAAVAEVNAWLASLAAEAGSGGGAGGRGGGGAAAELSLGPDPTPRGVAYLRALAAASQARSRAAGIAATGLRAQASEYRAEAARLREALERAGLARDALPPPAAAAARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAINKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >PVH36493 pep chromosome:PHallii_v3.1:6:6977282:6981996:-1 gene:PAHAL_6G084100 transcript:PVH36493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEHLDPTAPAPASASSSAAVAEVNAWLASLAAEAGSGGGAGGRGGGGAAAELSLGPDPTPRGVAYLRALAAASQARSRAAGIAATGLRAQASEYRAEAARLREALERAGLARDALPPPAAAAARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAINKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >PVH36490 pep chromosome:PHallii_v3.1:6:6980066:6981917:-1 gene:PAHAL_6G084100 transcript:PVH36490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEHLDPTAPAPASASSSAAVAEVNAWLASLAAEAGSGGGAGGRGGGGAAAELSLGPDPTPRGVAYLRALAAASQARSRAAGIAATGLRAQASEYRAEAARLREALERAGLARDALPPPAAAAARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAINKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >PVH36492 pep chromosome:PHallii_v3.1:6:6977274:6982033:-1 gene:PAHAL_6G084100 transcript:PVH36492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEHLDPTAPAPASASSSAAVAEVNAWLASLAAEAGSGGGAGGRGGGGAAAELSLGPDPTPRGVAYLRALAAASQARSRAAGIAATGLRAQASEYRAEAARLREALERAGLARDALPPPAAAAARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAINKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >PVH36494 pep chromosome:PHallii_v3.1:6:6977282:6981917:-1 gene:PAHAL_6G084100 transcript:PVH36494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEHLDPTAPAPASASSSAAVAEVNAWLASLAAEAGSGGGAGGRGGGGAAAELSLGPDPTPRGVAYLRALAAASQARSRAAGIAATGLRAQASEYRAEAARLREALERAGLARDALPPPAAAAARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAINKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >PAN34228 pep chromosome:PHallii_v3.1:6:6078979:6080775:-1 gene:PAHAL_6G076200 transcript:PAN34228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVDEERHAATAGVDFVERLGPDASATVFAALRDPADLAHAAAVSRSWRTLVMAVHLSKILCLRLFPEVSIFTRIEQSATSASSSSNGVNEEDAGSTATATATAWENHKREQRVYMRLVHALLSPLLSPHTWQGCIAACIGASSTDNFPEEGIQNTLVPGDMDMDDMESYWSSGGQEDPGVPEFLMYKLCSDLCLIDEIRIQPFRAYEQPDHPIYSARYVRVKFGCPKSPLRLEDLVSEDNEGQLTADDNYMWSYTSSEFPMLQENVLQSFKFPRPVLCIGGVVKVEFLGRIQKQVYDDLYYIWLVPFCAVWPMSKCWELRCRKNWGQLLARMV >PAN34229 pep chromosome:PHallii_v3.1:6:6078456:6080977:-1 gene:PAHAL_6G076200 transcript:PAN34229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVDEERHAATAGVDFVERLGPDASATVFAALRDPADLAHAAAVSRSWRTLVMAVHLSKILCLRLFPEVSIFTRIEQSATSASSSSNGVNEEDAGSTATATATAWENHKREQRVYMRLVHALLSPLLSPHTWQGCIAACIGASSTDNFPEEGIQNTLVPGDMDMDDMESYWSSGGQEDPGVPEFLMYKLCSDLCLIDEIRIQPFRAYEQPDHPIYSARYVRVKFGCPKSPLRLEDLVSEDNEGQLTADDNYMWSYTSSEFPMLQVVVFVKLSAGFISSA >PAN34232 pep chromosome:PHallii_v3.1:6:6079529:6080775:-1 gene:PAHAL_6G076200 transcript:PAN34232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVDEERHAATAGVDFVERLGPDASATVFAALRDPADLAHAAAVSRSWRTLVMAVHLSKILCLRLFPEVSIFTRIEQSATSASSSSNGVNEEDAGSTATATATAWENHKREQRVYMRLVHALLSPLLSPHTWQGCIAACIGASSTDNFPEEGIQNTLVPGDMDMDDMESYWSSGGQEDPGVPEFLMYKLCSDLCLIDEIRIQPFRAYEQPDHPIYSARYVRVKFGCPKSPLRLEDLVSEDNEGQLTADDNYMWSYTSSEFPMLQVSSIYFVLFALIVYPLERKFVNSGNVPMS >PAN34231 pep chromosome:PHallii_v3.1:6:6078456:6080977:-1 gene:PAHAL_6G076200 transcript:PAN34231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHLSKILCLRLFPEVSIFTRIEQSATSASSSSNGVNEEDAGSTATATATAWENHKREQRVYMRLVHALLSPLLSPHTWQGCIAACIGASSTDNFPEEGIQNTLVPGDMDMDDMESYWSSGGQEDPGVPEFLMYKLCSDLCLIDEIRIQPFRAYEQPDHPIYSARYVRVKFGCPKSPLRLEDLVSEDNEGQLTADDNYMWSYTSSEFPMLQENVLQSFKFPRPVLCIGGVVKVEFLGRIQKQVYDDLYYICMAHVQVLGTPLPQELGAAPCEDGIVLKYFPEHEPSRDSGCSRPKWHDIETRIWRALKATGQGIGFNQELLSRLLGPSLSFAVDDLSMVKTGGGRSPLASSGLSGIVGD >PAN34230 pep chromosome:PHallii_v3.1:6:6078405:6080977:-1 gene:PAHAL_6G076200 transcript:PAN34230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVDEERHAATAGVDFVERLGPDASATVFAALRDPADLAHAAAVSRSWRTLVMAVHLSKILCLRLFPEVSIFTRIEQSATSASSSSNGVNEEDAGSTATATATAWENHKREQRVYMRLVHALLSPLLSPHTWQGCIAACIGASSTDNFPEEGIQNTLVPGDMDMDDMESYWSSGGQEDPGVPEFLMYKLCSDLCLIDEIRIQPFRAYEQPDHPIYSARYVRVKFGCPKSPLRLEDLVSEDNEGQLTADDNYMWSYTSSEFPMLQENVLQSFKFPRPVLCIGGVVKVEFLGRIQKQVYDDLYYICMAHVQVLGTPLPQELGAAPCEDGIVLKYFPEHEPSRDSGCSRPKWHDIETRIWRALKATGQGIGFNQELLSRLLGPSLSFAVDDLSMVKTGGGRSPLASSGLSGIVGD >PAN35632 pep chromosome:PHallii_v3.1:6:39202607:39213100:1 gene:PAHAL_6G223000 transcript:PAN35632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPATASALPKSGAVSKGYNFASTWEQNAPLTEQQKAAIAALSHAVAERPFPANLEKSSGKDGGVAVPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYENTLEERVNTCDGILKQVDDTLNLFEELQSLHSSVATKTKTLHDACDQLLVQKQRLIEFAEALRSRLNYFDELENVSSSFYSQNMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKGASSQVQAAIRGSDSGKNIVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYAQILSECHNLFCEQRLYLVRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPSSAADVSSMAPLMDPLCTYLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLSRRGESIAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDASSSATVGDNSDVYVTWYRPLEKTVSCLSKLYRCLEPSVFTGLAQEAVEVCSTSLQSASKVISKKTTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLESQIDARKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVAAAIQQDLPKVMAKMRLYLQNPSTRMILFKPIKTNIVEAHIQLQSLLKSEYSTEEMQSIGMLPIPDLQSQLDSLL >PVH37248 pep chromosome:PHallii_v3.1:6:43094842:43097643:1 gene:PAHAL_6G275200 transcript:PVH37248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKNLAAKELVYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSQGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDRLEIVVINSSGTHREYIELRKD >PAN35402 pep chromosome:PHallii_v3.1:6:40635430:40639262:1 gene:PAHAL_6G240100 transcript:PAN35402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLARLLLPAPPACQCQPPLGLRFPTRHVSFAACSRGHRAAFAARAAASAPAAPAPEAVAEEKVEPRTRLVAQNIPWDCTADDMRALFEKHGSVVGVELSMYNASKNRGLAFVTMGSEEEALAALNNLNSTTLNDRKIKVDFARPRKKQPKQVRQPVVVSDSTEKYILFVGNLTWRVRNRHLRELFASTPGVLSAEVIFHTTTPRRSAGYAFVSFSSKEAAEAAISTLNGEKLMGRPINVMFKEENAKKNESSVPKEEVSEVESSEQSDS >PAN35857 pep chromosome:PHallii_v3.1:6:40635430:40639262:1 gene:PAHAL_6G240100 transcript:PAN35857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLARLLLPAPPACQCQPPLGLRFPTRHVSFAACSRGHRAAFAARAAASAPAAPAPEAVAEEKVEPRTRLVAQNIPWDCTADDMRALFEKHGSVVGVELSMYNASKNRGLAFVTMGSEEEALAALNNLNSTTLNDRKIKVDFARPRKKQPKQVRQPVVVSDSTEKYILFVGNLTWRVRNRHLRELFASTPGVLSAEVIFHTTTPRRSAGYAFVSFSSKEAAEAAISTLNGEKLMGRPINVMFKEENAKKNESSVPKEEVSEVESSEQSDS >PVH36636 pep chromosome:PHallii_v3.1:6:19778842:19786249:1 gene:PAHAL_6G128200 transcript:PVH36636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPPRLPPQLRRRHLSSAGDAAAELTGALAAAPSPDAKRELAVMLRRLGSRSLASALSSLPAPLPAAFALRLVQHVLSDSPACASRTGEDLLTPRVSALLLPSLVADRAALPSARRLLSRLIRFHPLPMAAAAVADVACTATSDLLVHACLNSPAPGSLLRATDAFRVLSTRGAPPSIKTCNILVEALGCAGQLDAASLVFDEMRDGKTVAPDEYTYTAMIKALCRAGEVDAAFVMLAELRRAGLQPTVVTYNVLMDALCKSGRVEEAFQLKGRMVEGRVRPSVVTFGILINGLARGDRFGEVGAVLQEMEGFGITPNEVIYNELIGWHCRKGHCSEALRLFDEMVSKGMKPTAVTFNLIAKALCKEGEMERAEQILDEMLLSGMTVHCGLFNTVVAWLLQRTGRLDSVLRLIREMVARFMKPNDALLTACTQELCKRGKHLEAAEIWFKMLEKGLGINIATSNALIHGLCEGNNMEEATKVLRAMVENGVELDCITYNIMIQGCCKDSKMEEAIQLRDDMIKRGFKPDLFTFNTFLCAYCNLGKMEEALHLLDQMKSEGLKPDIVTYGTIIDGYCKAKDIQKAKEYLNELVKVGLKPNVVIYNALIGGHCRNGNISDAIGVLGTMKSNGIQPTAVTYGSLMHWMCHSGLVEKAKAIFAQCIVKNIELGVIGYTIMIQGLCKIGKIDEALIYFREMSSRDIPPNKLTYTTLMFAYCKSGNSEEASKLFDEMVSSGIVPDSVSYNTIISGFCETDSLDKAIEMPAEMPSRVLKQDYCSYNPLVNGRTTLWCQKEATSSAE >PAN34616 pep chromosome:PHallii_v3.1:6:19778842:19786249:1 gene:PAHAL_6G128200 transcript:PAN34616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPPRLPPQLRRRHLSSAGDAAAELTGALAAAPSPDAKRELAVMLRRLGSRSLASALSSLPAPLPAAFALRLVQHVLSDSPACASRTGEDLLTPRVSALLLPSLVADRAALPSARRLLSRLIRFHPLPMAAAAVADVACTATSDLLVHACLNSPAPGSLLRATDAFRVLSTRGAPPSIKTCNILVEALGCAGQLDAASLVFDEMRDGKTVAPDEYTYTAMIKALCRAGEVDAAFVMLAELRRAGLQPTVVTYNVLMDALCKSGRVEEAFQLKGRMVEGRVRPSVVTFGILINGLARGDRFGEVGAVLQEMEGFGITPNEVIYNELIGWHCRKGHCSEALRLFDEMVSKGMKPTAVTFNLIAKALCKEGEMERAEQILDEMLLSGMTVHCGLFNTVVAWLLQRTGRLDSVLRLIREMVARFMKPNDALLTACTQELCKRGKHLEAAEIWFKMLEKGLGINIATSNALIHGLCEGNNMEEATKVLRAMVENGVELDCITYNIMIQGCCKDSKMEEAIQLRDDMIKRGFKPDLFTFNTFLCAYCNLGKMEEALHLLDQMKSEGLKPDIVTYGTIIDGYCKAKDIQKAKEYLNELVKVGLKPNVVIYNALIGGHCRNGNISDAIGVLGTMKSNGIQPTAVTYGSLMHWMCHSGLVEKAKAIFAQCIVKNIELGVIGYTIMIQGLCKIGKIDEALIYFREMSSRDIPPNKLTYTTLMFAYCKSGNSEEASKLFDEMVSSGIVPDSVSYNTIISGFCETDSLDKAIEMPAEMPSRVLKQDYCSYNPLVNGRTTLWCQKEATSSAE >PAN35093 pep chromosome:PHallii_v3.1:6:34226883:34229968:-1 gene:PAHAL_6G180300 transcript:PAN35093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIIIISLVILLALGALFVIPKSQNKGKSKGTDSGDGTTSKSYTKEEISKHNTRKDCWIIIKDKVYDVTPYVEEHPGGDAILNNAGGDSTEGFFGPQHGTRVFDIIEDFCIGQLKAS >PVH36693 pep chromosome:PHallii_v3.1:6:24646804:24647541:1 gene:PAHAL_6G143800 transcript:PVH36693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKLTQELEEQRTRAATAEYSLAALQAQMQVYETRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN33926 pep chromosome:PHallii_v3.1:6:4247079:4252063:1 gene:PAHAL_6G057000 transcript:PAN33926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPARRMPAMIGRNGVAYGSSSALSLSQADLLDSHHLQQAFQQQLFDQIPAGVDSGDNIIHGRSDTLADEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENAQLRAENDKLRAENMRYKEALSTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDVVGAFGSAGLGPDHLFGVGAGAGELLRSVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGNGAAGAQLDEEEYGRMFPGGLGPRQYGLRPEASRDGAVVIMTRDSLVEILMDANRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVMSMEFQVPSPLVPTRESYFARYCKNNPDGTWAVVDVSLDSLRPNPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNLYRPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGASPHGEISAGLEAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCAEGNPQ >PAN33927 pep chromosome:PHallii_v3.1:6:4246748:4252089:1 gene:PAHAL_6G057000 transcript:PAN33927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPARRMPAMIGRNGVAYGSSSALSLSQADLLDSHHLQQAFQQQLFDQIPAGVDSGDNIIHGRSDTLADEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENAQLRAENDKLRAENMRYKEALSTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDVVGAFGSAGLGPDHLFGVGAGAGELLRSVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGNGAAGAQLDEEEYGRMFPGGLGPRQYGLRPEASRDGAVVIMTRDSLVEILMDANRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVMSMEFQVPSPLVPTRESYFARYCKNNPDGTWAVVDVSLDSLRPNPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNLYRPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGASPHGEISAGLEAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCAEGNPQ >PVH36354 pep chromosome:PHallii_v3.1:6:4246748:4252089:1 gene:PAHAL_6G057000 transcript:PVH36354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPARRMPAMIGRNGVAYGSSSALSLSQADLLDSHHLQQAFQQQLFDQIPAGVDSGDNIIHGRSDTLADEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENAQLRAENDKLRAENMRYKEALSTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDVVGAFGSAGLGPDHLFGVGAGAGELLRSVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGNGAAGAQLDEEEYGRMFPGGLGPRQYGLRPEASRDGAVVIMTRDSLVEILMDANRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVMSMEFQVPSPLVPTRESYFARYCKNNPDGTWAVVDVSLDSLRPNPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNLYRPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGASPHGEISAGLEAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCAEGNPQ >PVH36355 pep chromosome:PHallii_v3.1:6:4247079:4252063:1 gene:PAHAL_6G057000 transcript:PVH36355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPARRMPAMIGRNGVAYGSSSALSLSQADLLDSHHLQQAFQQQLFDQIPAGVDSGDNIIHGRSDTLADEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENAQLRAENDKLRAENMRYKEALSTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDVVGAFGSAGLGPDHLFGVGAGAGELLRSVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGNGAAGAQLDEEEYGRMFPGGLGPRQYGLRPEASRDGAVVIMTRDSLVEILMDANRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVMSMEFQVPSPLVPTRESYFARYCKNNPDGTWAVVDVSLDSLRPNPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNLYRPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGASPHGEISAGLEAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCAEGNPQ >PAN36170 pep chromosome:PHallii_v3.1:6:42355620:42358675:-1 gene:PAHAL_6G265500 transcript:PAN36170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTAMQKPMQTPPHYTTSGGYMGPGTPPSMYLGGGAPPYGTSMFNGPAMPPRYGIPQFPGSSAYPYGYGGRVPMGNLYGPMQMAGPPPYSGGSMMGGGIYGMPMDRYGLIPPSPSAMGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSKPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPLQTNNTNDSATDQDNQ >PAN36173 pep chromosome:PHallii_v3.1:6:42354297:42358934:-1 gene:PAHAL_6G265500 transcript:PAN36173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTKAMQKPMQTPPHYTTSGGYMGPGTPPSMYLGGGAPPYGTSMFNGPAMPPRYGIPQFPGSSAYPYGYGGRVPMGNLYGPMQMAGPPPYSGGSMMGGGIYGMPMDRYGLIPPSPSAMGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSKPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPLQTNNTNDSATDQDNQFLSCNITKLLSKLRLLHESQDNSEQTDRSSW >PAN36171 pep chromosome:PHallii_v3.1:6:42355620:42358675:-1 gene:PAHAL_6G265500 transcript:PAN36171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTKAMQKPMQTPPHYTTSGGYMGPGTPPSMYLGGGAPPYGTSMFNGPAMPPRYGIPQFPGSSAYPYGYGGRVPMGNLYGPMQMAGPPPYSGGSMMGGGIYGMPMDRYGLIPPSPSAMGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSKPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPLQTNNTNDSATDQDNQ >PAN36172 pep chromosome:PHallii_v3.1:6:42354295:42358951:-1 gene:PAHAL_6G265500 transcript:PAN36172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTAMQKPMQTPPHYTTSGGYMGPGTPPSMYLGGGAPPYGTSMFNGPAMPPRYGIPQFPGSSAYPYGYGGRVPMGNLYGPMQMAGPPPYSGGSMMGGGIYGMPMDRYGLIPPSPSAMGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSKPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPLQTNNTNDSATDQDNQFLSCNITKLLSKLRLLHESQDNSEQTDRSSW >PAN36175 pep chromosome:PHallii_v3.1:6:42355095:42358675:-1 gene:PAHAL_6G265500 transcript:PAN36175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTKAMQKPMQTPPHYTTSGGYMGPGTPPSMYLGGGAPPYGTSMFNGPAMPPRYGIPQFPGSSAYPYGYGGRVPMGNLYGPMQMAGPPPYSGGSMMGGGIYGMPMDRYGLIPPSPSAMGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSKPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPLQTNNTNDSATDQDNQVFFLNGWRWQPFLPSKTMFLGMLAT >PAN36174 pep chromosome:PHallii_v3.1:6:42354297:42358951:-1 gene:PAHAL_6G265500 transcript:PAN36174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTAMQKPMQTPPHYTTSGGYMGPGTPPSMYLGGGAPPYGTSMFNGPAMPPRYGIPQFPGSSAYPYGYGGRVPMGNLYGPMQMAGPPPYSGGSMMGGGIYGMPMDRYGLIPPSPSAMGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSKPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPLQTNNTNDSATDQDNQVFFLNGWRWQPFLPSKTMFLGMLAT >PAN36590 pep chromosome:PHallii_v3.1:6:44744393:44746965:-1 gene:PAHAL_6G294900 transcript:PAN36590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAALLYAALLLAAAAAYLYLAASRRGNHNLPPGPRGLPLVGSLPSLDPQLHAYFARLAGRYGPIFSIRLGSKLGVVVTSPALARDVLRDQDLLFSGRDVPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLSPAGLENVRGLRDREFRATLRHLHAQAAAAAPIDVGAQMFLTTMNVITGTLWGSSIGSDAERATVGKEFRHLVAEITEMLGAPNVSDFFPALARFDLQGIRRKSDVLKERFNQMFARIIEQRVRADQAGAEPPAPDFLEYMLKLEKEGGDGKTAFTMTNVKALLMDMVVGGTETTSNTVEWAMAELMTKPRLLAKVREELDAVVGRDAVVEEAHLPQLPYLHAVLKETLRLHPALPLMVPHCPDADATVAGYRVPAGSRVFVNVWAIMRDPTVWEDPAEFVPERFLPGGGEGRKLDFTGSEMDYLPFGSGRRICAGIAMADRMTAYSLAMLLQAFDWELPAGARLDLEERFAIVMKKATPLVAVPTPRLSRPELYSA >PVH36934 pep chromosome:PHallii_v3.1:6:37346656:37351763:1 gene:PAHAL_6G201500 transcript:PVH36934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDLYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYRAQYCKCEMPYNPDDLMVQCDACKDWFHPSCMGMTIEQAKKLDHFVCSDCVKENGSKRLSNAYATSPNSEPKEQCGLGTRRHPGGGIGGPQRRMPRRGAVAGACGRAAPAQIWPWRPGWAHESCGGSWWWWGNCSLLLPSICCMLQQIHRSHQAAAQFNPSRARIEKQQ >PVH36935 pep chromosome:PHallii_v3.1:6:37346787:37350276:1 gene:PAHAL_6G201500 transcript:PVH36935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDLYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYRAQYCKCEMPYNPDDLMVQCDACKDWFHPSCMGMTIEQAKKLDHFVCSDCVKENGSKRLSNAYATSPNSEPKSRNSAALAHGGTLEEA >PVH36932 pep chromosome:PHallii_v3.1:6:37346555:37351776:1 gene:PAHAL_6G201500 transcript:PVH36932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDLYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYCKCEMPYNPDDLMVQCDACKDWFHPSCMGMTIEQAKKLDHFVCSDCVKENGSKRLSNAYATSPNSEPKAESKRQRR >PVH36937 pep chromosome:PHallii_v3.1:6:37346656:37351777:1 gene:PAHAL_6G201500 transcript:PVH36937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDLYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYCKCEMPYNPDDLMVPSILHGHDH >PVH36933 pep chromosome:PHallii_v3.1:6:37346656:37351763:1 gene:PAHAL_6G201500 transcript:PVH36933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDLYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYCKCEMPYNPDDLMVQCDACKDWFHPSCMGMTIEQAKKLDHFVCSDCVKENGSKRLSNAYATSPNSEPKSRNSAALAHGGTLEEA >PAN35346 pep chromosome:PHallii_v3.1:6:37346653:37351776:1 gene:PAHAL_6G201500 transcript:PAN35346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDLYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYRAQYCKCEMPYNPDDLMVQCDACKDWFHPSCMGMTIEQAKKLDHFVCSDCVKENGSKRLSNAYATSPNSEPKAESKRQRR >PVH36936 pep chromosome:PHallii_v3.1:6:37346656:37351763:1 gene:PAHAL_6G201500 transcript:PVH36936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDLYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYCKCEMPYNPDDLMVQCDACKDWFHPSCMGMTIEQAKKLDHFVCSDCVKENGSKRLSNAYATSPNSEPKEQCGLGTRRHPGGGIGGPQRRMPRRGAVAGACGRAAPAQIWPWRPGWAHESCGGSWWWWGNCSLLLPSICCMLQQIHRSHQAAAQFNPSRARIEKQQ >PVH37165 pep chromosome:PHallii_v3.1:6:42044325:42046703:1 gene:PAHAL_6G260600 transcript:PVH37165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRTNRNKSPIRSRSYREPIGQSPLASTSSAATKPHPLFPWPVWPSPSSLYSDPGHCPRRAMEPDADEDYMGDLSHFLPPSPSSSTPSSNLGRRKQPPAQAQARAKRGKGVPWQERWKQERERKQREEDARTMARLAEAIPESNVGFRMLKLMGYDPGARGAAEPVGIEIRRSRAGLGAEPAVAPAPAPAPTEKTREAVEMERRRQEEMMGELRARKSTQWRGRRLVWDFRKAEAALAQLENREVEPPAPEGEDKDKEAEEEEEVITEEDLQNILSKLRNQYQYCLYCGCKYESVEALAKECPGPNEDDH >PVH37167 pep chromosome:PHallii_v3.1:6:42044325:42048271:1 gene:PAHAL_6G260600 transcript:PVH37167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRTNRNKSPIRSRSYREPIGQSPLASTSSAATKPHPLFPWPVWPSPSSLYSDPGHCPRRAMEPDADEDYMGDLSHFLPPSPSSSTPSSNLGRRKQPPAQAQARAKRGKGVPWQERWKQERERKQREEDARTMARLAEAIPESNVGFRMLKLMGYDPGARGAAEPVGIEIRRSRAGLGAEPAVAPAPAPAPTEKTREAVEMERRRQEEMMGELRARKSTQWRGRRLVWDFRKAEAALAQLENREVEPPAPEGEDKDKEAEEEEEVITEEDLQNILSKLRNQYQYCLYCGCKYESVEALAKECPGPNEDDH >PVH37163 pep chromosome:PHallii_v3.1:6:42044325:42048197:1 gene:PAHAL_6G260600 transcript:PVH37163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRTNRNKSPIRSRSYREPIGQSPLASTSSAATKPHPLFPWPVWPSPSSLYSDPGHCPRRAMEPDADEDYMGDLSHFLPPSPSSSTPSSNLGRRKQPPAQAQARAKRGKGVPWQERWKQERERKQREEDARTMARLAEAIPESNVGFRMLKLMGYDPGARGAAEPVGIEIRRSRAGLGAEPAVAPAPAPAPTEKTREAVEMERRRQEEMMGELRARKSTQWRGRRLVWDFRKAEAALAQLENREVEPPAPEGEDKDKEAEEEEEVITEEDLQNILSKLRNQYQYCLYCGCKYESVEALAKECPGPNEDDH >PVH37166 pep chromosome:PHallii_v3.1:6:42044325:42048197:1 gene:PAHAL_6G260600 transcript:PVH37166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRTNRNKSPIRSRSYREPIGQSPLASTSSAATKPHPLFPWPVWPSPSSLYSDPGHCPRRAMEPDADEDYMGDLSHFLPPSPSSSTPSSNLGRRKQPPAQAQARAKRGKGVPWQERWKQERERKQREEDARTMARLAEAIPESNVGFRMLKLMGYDPGARGAAEPVGIEIRRSRAGLGAEPAVAPAPAPAPTEKTREAVEMERRRQEEMMGELRARKSTQWRGRRLVWDFRKAEAALAQLENREVEPPAPEGEDKDKEAEEEEEVITEEDLQNILSKLRNQYQYCLYCGCKYESVEALAKECPGPNEDDH >PVH37164 pep chromosome:PHallii_v3.1:6:42044325:42048311:1 gene:PAHAL_6G260600 transcript:PVH37164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRTNRNKSPIRSRSYREPIGQSPLASTSSAATKPHPLFPWPVWPSPSSLYSDPGHCPRRAMEPDADEDYMGDLSHFLPPSPSSSTPSSNLGRRKQPPAQAQARAKRGKGVPWQERWKQERERKQREEDARTMARLAEAIPESNVGFRMLKLMGYDPGARGAAEPVGIEIRRSRAGLGAEPAVAPAPAPAPTEKTREAVEMERRRQEEMMGELRARKSTQWRGRRLVWDFRKAEAALAQLENREVEPPAPEGEDKDKEAEEEEEVITEEDLQNILSKLRNQYQYCLYCGCKYESVEALAKECPGPNEDDH >PVH37162 pep chromosome:PHallii_v3.1:6:42044325:42048311:1 gene:PAHAL_6G260600 transcript:PVH37162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRTNRNKSPIRSRSYREPIGQSPLASTSSAATKPHPLFPWPVWPSPSSLYSDPGHCPRRAMEPDADEDYMGDLSHFLPPSPSSSTPSSNLGRRKQPPAQAQARAKRGKGVPWQERWKQERERKQREEDARTMARLAEAIPESNVGFRMLKLMGYDPGARGAAEPVGIEIRRSRAGLGAEPAVAPAPAPAPTEKTREAVEMERRRQEEMMGELRARKSTQWRGRRLVWDFRKAEAALAQLENREVEPPAPEGEDKDKEAEEEEEVITEEDLQNILSKLRNQYQYCLYCGCKYESVEALAKECPGPNEDDH >PVH37168 pep chromosome:PHallii_v3.1:6:42044325:42046703:1 gene:PAHAL_6G260600 transcript:PVH37168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRTNRNKSPIRSRSYREPIGQSPLASTSSAATKPHPLFPWPVWPSPSSLYSDPGHCPRRAMEPDADEDYMGDLSHFLPPSPSSSTPSSNLGRRKQPPAQAQARAKRGKGVPWQERWKQERERKQREEDARTMARLAEAIPESNVGFRMLKLMGYDPGARGAAEPVGIEIRRSRAGLGAEPAVAPAPAPAPTEKTREAVEMERRRQEEMMGELRARKSTQWRGRRLVWDFRKAEAALAQLENREVEPPAPEGEDKDKEAEEEEEVITEEDLQNILSKLRNQYQYCLYCGCKYESVEALAKECPGPNEDDH >PAN36262 pep chromosome:PHallii_v3.1:6:41989021:41989996:-1 gene:PAHAL_6G259700 transcript:PAN36262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESRVFEFKVDYLETKDMAIGRFVSSRDISVGGHLWRVNCFPNGDWVDDNGKYVSVFLKLADKSKNVKAVFEASMVGKDGKPRRRQWALDVFPTDCENGDWGWKQFVERSHLLPDYVKDDGCFTIVCGITVLPGGDALDVAPSDMGAHLGRLLDSGDGSDVAFVGGGETFRAHRAVLAARSPVFRAQLLGSMAEAAMPSITLHDVAPATFEIMLRFIYTDALPGDEKLRDSPTECCRICSPWPISEKSFFAEEEYNLKKAELTDDFVRLRQKFPSILDELKEKMGP >PAN34163 pep chromosome:PHallii_v3.1:6:5595668:5598714:1 gene:PAHAL_6G072600 transcript:PAN34163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGLLAFESHQGLWNSGYYSQLFGIGGVVITVAILMLSTGYFGGIGAPFAPYFWPYLGQVPKKREHKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYSIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKHKDADTDFNVAVDEKAGAKQNDEVKSHLSHFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIHDDQSIRDSRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVTEGNSTGEIDPYAVPKSMGIFKTITSPKSITSVSVATRIVDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >PVH36326 pep chromosome:PHallii_v3.1:6:3603176:3603876:1 gene:PAHAL_6G048800 transcript:PVH36326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLSFGIQAAYHIKSIFSEARTLYSSKAQKNKIFQFLHCWIKMRNYPKFESLGGAEEEEGDGSGKGQTPDLAQANQEKRPIGRKPAKQRLRTGGDEGPYKEAIKELILDKKEEKKLKGERWEEERKIKEERWKETRMIHQQKISLGKKNLMWEQEQRIMFCDLSTMDSDKKNYVLAMRHKLQHQSWLP >PVH36360 pep chromosome:PHallii_v3.1:6:4440351:4442503:-1 gene:PAHAL_6G059200 transcript:PVH36360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >PAN35054 pep chromosome:PHallii_v3.1:6:33539593:33541644:1 gene:PAHAL_6G176000 transcript:PAN35054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHMHAFLLPAVAALSLLAALSGGGQAQAVRIRHVYFNLATQPYTHLYTQLETLLKAPSNPPYHPRDIDGRYVLGPRRSVFKAAPLGWIMLHVTAGPERGPANSATLALAEDDLYLFGFANGTNQWYFLENFASGVQGATTLPFSLNYGDIIDGGHKSLWKVPLGKGSAVYAATTMATYDRARSPTPQIKDAFARSIVMYSEAIRFKPIRMAFSNGDRWEHRTYISDIQATWIAHWGQMSTLLIAWERSGRQKWGAPPFDLIAESVREDIHVGNPAEALVKLDFILRPTSKDLPTLLNTTTTTTYHAYMYNPND >PAN35269 pep chromosome:PHallii_v3.1:6:36812832:36822360:-1 gene:PAHAL_6G196200 transcript:PAN35269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DEAD-box ATP-dependent RNA helicase 29 [Source:Projected from Arabidopsis thaliana (AT1G77030) UniProtKB/Swiss-Prot;Acc:O49289] MARLTPTKPKSSRKPKPSSSSGMAAAAAPNLEPQRRPKRERSAVGGGSKKSGGSKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKTMPLILAGVDVAAMARTGSGKTAAFLLPMLHRLRRRDPGAGVRALILSPTRDLAMQTLKFTKQLGKFTDLRTSVIVGGDSMESQFEELAECPDIIIATPGRLMHHLNDVKDMTLRSVEYVVFDEADSLFSMGFAKHLHDILKKLSDTRQTLLFSATLPSALADFAKAGLRDPQIVRLDLDKRISPDLKLVFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARMIHISKFRARKTMLLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFIHRVGRVARQGRSGTAYTFVTSEDMPYLLDLHLFLSRPLKPAPTEEEILKDMDSINMKIDQAIANGESVYGRFPQTVLDLVSDGIKEVISGCSELIALEKPCANAFRLYLKTRAVPSKESIKRAKDLPREGLHPIFRDVLRSDELSALAFSERLKSFRPKQTILEAEGEAAKARNSKGSNQWLDVMKKKREVHEGIINLVHQKSFVDPRPEEEEDDISNWEKKEVCGTKRNSQSFRDEDYYISSIPQNQHLEAGLAVRNNEGFVENRLDAAVLDLVDDEASGMQAQKTRYHWMKNKFVKLNSGDRVTATGKIKTEGGAKIKASATGIYKRWQQRTHKSINIGGKSGHFAEEGTSSTGGYHGGNKKHFPGGRGRRFIPNADVPSEIRNPEQMQKSRQQKAMEITRLKNKSAKDGKFQKNRRPEGSRKGRGNGKGAGKGGFGKGPGKGGKGKGKVKGKGGR >PAN33717 pep chromosome:PHallii_v3.1:6:2893112:2897423:-1 gene:PAHAL_6G040000 transcript:PAN33717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSPSRRPRATPRSSTPPSATSASRSRASAGRSPWCSRDPGTASAPPCSARAAPRWPAVRVRSGGNSYEGLSYTTENHVPFAVIDLARLNRVRVGRGRGRRDPGRALPRRGPVQPVPGVPRRVLLDRRAGRHHLRRRVRAPGAEARARRRQRAGRRPRRRERRGPRPARDGRRRVLGRQRRLRLVHRPGVGTKAPRNVPAAPELPRDTVDRVGASRDELARRDRAVRRPRHGGRPPEPGARIQAVLQGQVRLRPVTDPAPRRGGRRPAPLDGAARGRLDLSECQEIMCLKIPCVLLQLHCLKVSYCWKLRVIESKARNLFSFILIGERVEVSLGETMQVKNLCMSRAGLVCYARTELPSNMPNLETLSIRSHRERVNTPMLPTKFLFLKRLAITLKCCPSYDYFSLVSFLDGSPSLETWLLDVGQESMDHHVSIFGGSSSQLRQIPEQRLKSVKIKGFSSAKSLVELTCYILKNAKSLDCLTLDTTYGDPKCDTGMSGGRCAPMSKGFLMEARRRRCGY >PAN33753 pep chromosome:PHallii_v3.1:6:3098168:3099895:-1 gene:PAHAL_6G042500 transcript:PAN33753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAEDAAVPETATAAQTEWYDPMSPPAPQQQPAVEAAPETSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHRYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGAANDVYEFVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGFSKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMDCMLLGQETAIKDRIQEGLKRQSRSESNLSGGSGEDSDY >PAN33755 pep chromosome:PHallii_v3.1:6:3097870:3100016:-1 gene:PAHAL_6G042500 transcript:PAN33755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAEDAAVPETATAAQTEWYDPMSPPAPQQQPAVEAAPETSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHRYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGAANDVYEFVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGFSKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSESNLSGGSGEDSDY >PVH36302 pep chromosome:PHallii_v3.1:6:3097870:3100016:-1 gene:PAHAL_6G042500 transcript:PVH36302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAEDAAVPETATAAQTEWYDPMSPPAPQQQPAVEAAPETSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHRYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGAANDVYEFVRTSASPHCFGWASRGSDDQVRECLISAFVQVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGFSKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSESNLSGGSGEDSDY >PAN33754 pep chromosome:PHallii_v3.1:6:3097869:3100017:-1 gene:PAHAL_6G042500 transcript:PAN33754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAEDAAVPETATAAQTEWYDPMSPPAPQQQPAVEAAPETSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSDEITHRYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGAANDVYEFVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGFSKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSESNLSGGSGEDSDY >PAN33752 pep chromosome:PHallii_v3.1:6:3098168:3099895:-1 gene:PAHAL_6G042500 transcript:PAN33752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAEDAAVPETATAAQTEWYDPMSPPAPQQQPAVEAAPETSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSDEITHRYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGAANDVYEFVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGFSKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMDCMLLGQETAIKDRIQEGLKRQSRSESNLSGGSGEDSDY >PVH36416 pep chromosome:PHallii_v3.1:6:5350209:5354152:1 gene:PAHAL_6G069900 transcript:PVH36416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRACFPFLTPLSSLKPPSLLASSLSPLLLLVLAGDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36414 pep chromosome:PHallii_v3.1:6:5350209:5354152:1 gene:PAHAL_6G069900 transcript:PVH36414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRACFPFLTPLSSLKPPSLLASSLSPLLLLVLAGDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTCQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36419 pep chromosome:PHallii_v3.1:6:5350199:5354419:1 gene:PAHAL_6G069900 transcript:PVH36419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTCQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36410 pep chromosome:PHallii_v3.1:6:5350262:5353692:1 gene:PAHAL_6G069900 transcript:PVH36410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36415 pep chromosome:PHallii_v3.1:6:5350209:5354152:1 gene:PAHAL_6G069900 transcript:PVH36415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRACFPFLTPLSSLKPPSLLASSLSPLLLLVLAGDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTCQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36411 pep chromosome:PHallii_v3.1:6:5350199:5354419:1 gene:PAHAL_6G069900 transcript:PVH36411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PAN34122 pep chromosome:PHallii_v3.1:6:5350199:5354419:1 gene:PAHAL_6G069900 transcript:PAN34122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36409 pep chromosome:PHallii_v3.1:6:5350215:5353692:1 gene:PAHAL_6G069900 transcript:PVH36409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTCQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36412 pep chromosome:PHallii_v3.1:6:5350200:5354419:1 gene:PAHAL_6G069900 transcript:PVH36412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36418 pep chromosome:PHallii_v3.1:6:5350215:5353692:1 gene:PAHAL_6G069900 transcript:PVH36418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISDGSAWVVSVSMPASMAMASTPSLGMALGGYSIANPSYGVHARRSPSSRRCRWGARSACCGMTAGRRWLRTAASARSSSTPCWSPATVWRSSLGLPSSIRSRWKTAATAADGRSMCRRAGTR >PVH36413 pep chromosome:PHallii_v3.1:6:5350200:5354419:1 gene:PAHAL_6G069900 transcript:PVH36413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTCQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36420 pep chromosome:PHallii_v3.1:6:5350224:5353692:1 gene:PAHAL_6G069900 transcript:PVH36420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRACFPFLTPLSSLKPPSLLASSLSPLLLLVLAGDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36417 pep chromosome:PHallii_v3.1:6:5350209:5354152:1 gene:PAHAL_6G069900 transcript:PVH36417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVPAAELNQHRSSPFAASHCRRPRACFPFLTPLSSLKPPSLLASSLSPLLLLVLAGDAAPQAPLRVASRTPRRTEESNRIICRAAEVQGAGGGARRRREPLRRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMRMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYFCGDVDEPTKQLVKVTEECMMRGISACRHGASFKDIGQRISEHASKYGYGIDPFVGHGVGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVLWDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGSP >PVH36199 pep chromosome:PHallii_v3.1:6:1555494:1556229:1 gene:PAHAL_6G020100 transcript:PVH36199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDDDRVRPWACEPCLLSGPHVPDPTASRDPGVDGISNSTPGRHLVCRPPLFVAASAASRGSPERRRARPPVWDDFQRPVTLRHDRERGEPPGVALPVTTAHQQPPARRPGATRRRRR >PVH36594 pep chromosome:PHallii_v3.1:6:15084483:15084954:1 gene:PAHAL_6G113600 transcript:PVH36594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN35146 pep chromosome:PHallii_v3.1:6:35337522:35344366:1 gene:PAHAL_6G184800 transcript:PAN35146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPAQFRGQARLPRSAAPLHYGLRLRPDLAACTFSGAAAVDVAVRAPTRFLVLNAAELDVDRASIRFRDLVPSEVVQFEEDEILVIGFDRELPAGEGVLTMEFTGTLNDRMRGFYRSTYVYNGESRNMAAKFKITLEVPSDLVALSSMPVVKETVSGSIKTTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFATGAMENYGLVTYRDTALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVDSLFPEWNNWTQFLDETTSGLTLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTAWTKQQGYPVIYAKLNGHELELEQEQFLSDGSSGRGMWIVPITSCFGSYNEQKKVLLKDKTDKIHIKEFTASQSSDGEKSQNIWIKLNIDQTGFCRVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVARKQTLTSLLRLLNAYRDESDYTVLSHVTSVCLSISKISADATPQLNRDIKQLLINLLLPAAIKLGWDPKDGESHLDVMLRSLLLTALVRLGHDETVNEGVRRFHIFFEDRKTSLLPPDTRKAAYLAVMRTVSASNRSGYDALLKIYREAAEPQEKSLVLGSLSSSPDKDIFLEALNFILTDKVRNQDSYYILGGISLEGREVAWAWLKKNWDHVLKTWTTSELISDFIDSIISPFTSEEKASEVSEFFADRINPSFERVLKQSLERVCISARWIETIRSESPSLGQAVQELLQNQA >PAN35763 pep chromosome:PHallii_v3.1:6:39953874:39955186:-1 gene:PAHAL_6G232200 transcript:PAN35763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHVLLVEDSCVDRFVASRLLESFSIRVTTVEGPKKALKFLDMEHDVQLILTDYCMPDMTGYDLLVEVKKSPKLNHLAVVITCTEDVPERIKMCLDGGEKDYIIKPIKVVNVSRLLSYI >PVH36556 pep chromosome:PHallii_v3.1:6:10732205:10735801:1 gene:PAHAL_6G100800 transcript:PVH36556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAFAESIMFPEVPIALRLSGHLLLGLIRIYSWKVNYLFLDCNRMVTTIRTTFAAVEIDLLVEVEPAPFDSITLPPTFNLDNLNLDDVISQINTSDNHQKTPDQSTLAEGGEYMMIDLDEDDRVEPSASYLSPYMGPEPFEPETFPRFDDGFGASNTLSDEIPLDPPGNMPENPNIENPPDGAHDPPEIMREAPQEGPGHFTDSVFGNDDPMVVDQDSSPFVQNKVITPPSMDGTSSAGQQLAGIYVPLQTPNTYDLIDDVRPLNSDNQLPELRLEPSPPSPQAQDKKRKREMMFDYEIELDCDYLKEQIDGPADKLLCKRRNIPQTALDMWKFSRTGRKDSSFLLEPLVQGMCTYLHVTYDRNFPRVSDPDAEPNSSEPMADYGGSQDAPSERQLTPKSHENEDTLPEGDLTPKSPGNPDEQPEPQPTLKSPGGAGAAQDGDTLPEFPRFSPVDMPSPIREDDSPFKTVRRTPHSGLGGTGVTEMPQSVRTNSLPGQSTPHSDHMASLFPVNDDYEDQPEIPGLISTPGGISKADTGTTGLGSMSARTGAVALFFKDHVPSTSSDEQPGKFSLSRILEGKVRKQAARMFFETMVLKSYDYIDVQQEEPYADIEISVRPSLAEAKL >PAN35793 pep chromosome:PHallii_v3.1:6:40194212:40197628:1 gene:PAHAL_6G234700 transcript:PAN35793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDGERRGAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAMALASASASAAGAAGEDGAALQRQGSLTLPRTLSVKTVDEVWRDFVREGPPPGTAGGAEPQPSRQPTLGEMTLEEFLVRAGVVRDNPAAAAMAAAVPAQPVAPRPIQAVSNGASIFFGNFGAANDAGAGAMGFAPVRIEDQGMGNGLMPGVAGMPSAAVTVSPVDTSVAQLDSVGKGNGDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNAELQKKQEEIMEMQKNQRPFGRASEGSGSS >PVH37067 pep chromosome:PHallii_v3.1:6:40193851:40197885:1 gene:PAHAL_6G234700 transcript:PVH37067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDGERRGAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAMALASASASAAGAAGEDGAALQRQGSLTLPRTLSVKTVDEVWRDFVREGPPPGTAGGAEPQPSRQPTLGEMTLEEFLVRAGVVRDNPAAAAMAAAVPAQPVAPRPIQAVSNGASIFFGNFGAANDAGAGAMGFAPVRIEDQGMGNGLMPGVAGMPSAAVTVSPVDTSVAQLDSVGKGNGDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNAELQKKQEEIMEMQKNQVVFLVRLPLLLFFFSPYT >PAN35795 pep chromosome:PHallii_v3.1:6:40193851:40200136:1 gene:PAHAL_6G234700 transcript:PAN35795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDGERRGAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAMALASASASAAGAAGEDGAALQRQGSLTLPRTLSVKTVDEVWRDFVREGPPPGTAGGAEPQPSRQPTLGEMTLEEFLVRAGVVRDNPAAAAMAAAVPAQPVAPRPIQAVSNGASIFFGNFGAANDAGAGAMGFAPVRIEDQGMGNGLMPGVAGMPSAAVTVSPVDTSVAQLDSVGKGNGDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNAELQKKQEEIMEMQKNQVLEVVSNPYAQKKRCLRRTLTGPW >PAN33499 pep chromosome:PHallii_v3.1:6:1849043:1850780:1 gene:PAHAL_6G025400 transcript:PAN33499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNVNVTMLAFCVVFALSVLHTDVAEAVAQPLRHSHRKATKTWCVAKPSAEATALQGNLEFACSESDCGAIQGTGGCTRPDSLLSRASVAMNAYYQARGRNSWNCFFNGTGLITITDPSLGACKYA >PAN33498 pep chromosome:PHallii_v3.1:6:1849043:1850780:1 gene:PAHAL_6G025400 transcript:PAN33498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNVNVTMLAFCVVFALSVLHTDAVAEAVAQPLRHSHRKATKTWCVAKPSAEATALQGNLEFACSESDCGAIQGTGGCTRPDSLLSRASVAMNAYYQARGRNSWNCFFNGTGLITITDPSLGACKYA >PAN33494 pep chromosome:PHallii_v3.1:6:1845526:1848802:1 gene:PAHAL_6G025300 transcript:PAN33494 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG4 [Source:Projected from Arabidopsis thaliana (AT1G79380) UniProtKB/Swiss-Prot;Acc:Q9SAL0] MPATTDERLKKFHRNFPKSLPFHRKRINFIFSIPLLVQLFFACAGLCADPCHQLRRLSVRSNKRRASSLPPSHVRRLPPASASGSLYHRIGHRRRRMGGVFGALFGGHRRPGRRAPAVRRHRGLVPQPSAAYDGGHRRAMLSKKYSYIPDTFTSLDQVAAALRQQGLESSNLILGIDFTRSNEWTGKQSFGGQSLHRLGDTPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHHDNSPCHGFEEVLACYRKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTGDSDLSPQEKRTVDSIVMASSYPLSIILVGVGDGPWEDMQKFDDKLPARDFDNFQFVNFTSIMARSTTAQQKESAFALAALMEVPIQYKATVELGILGRLTGNAKRVMPAPPPLPPAQRQPSLRTGASNVNAGSAQPGEPRDDQVCPICLTNAKDLAFGCGHMCCRECGESLTRCPICRQPIRSKLRLYSG >PAN33495 pep chromosome:PHallii_v3.1:6:1845810:1848315:1 gene:PAHAL_6G025300 transcript:PAN33495 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG4 [Source:Projected from Arabidopsis thaliana (AT1G79380) UniProtKB/Swiss-Prot;Acc:Q9SAL0] MLDGCHRPAPAAASTTVLATAAGAWAACSAPCSAATGGRAGGRRPSGGTVAWSRSRLRPTTAGTGGPCSARSTRTYPTPSRRSTRIFFLKKHGRDKVIVLTCATAAICSQVAAALRQQGLESSNLILGIDFTRSNEWTGKQSFGGQSLHRLGDTPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHHDNSPCHGFEEVLACYRKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTGDSDLSPQEKRTVDSIVMASSYPLSIILVGVGDGPWEDMQKFDDKLPARDFDNFQFVNFTSIMARSTTAQQKESAFALAALMEVPIQYKATVELGILGRLTGNAKRVMPAPPPLPPAQRQPSLRTGASNVNAGSAQPGEPRDDQVCPICLTNAKDLAFGCGHMCCRECGESLTRCPICRQPIRSKLRLYSG >PAN34227 pep chromosome:PHallii_v3.1:6:6070280:6071623:-1 gene:PAHAL_6G076000 transcript:PAN34227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPTTVSTCTAVTEQGKHVFEIFDYSKHRGMGTGELIRSGTFSVGGFDWAIRFYPDGFATMSRDYISVYLELLGKDTRVRASCDLSLVDQTTGLPTSVHKTDLRVFNSGDLSRFAPQCDLFMRRGVFEASPYLRDDHLTIQCIATVRKGPQVSAPVLLDEIEVSPSNIAEHLWNFLDAGEGVDVRFSVGGETFAAHKVVLAMRSPVFKAELFGRMREAKEQLVTIEEMQPEVFRTLLHFIYTDSLPDMDGSEGDADREMIQHLLVAADRYAVDRLKLVCQSILRNNLDVETVSATMALAYQHNCDRLKDVCLEFITSSSSVMDSVVATQGYKNLKTTCPSALVDLFEKSRKLHKA >PVH36606 pep chromosome:PHallii_v3.1:6:17068315:17068608:1 gene:PAHAL_6G120600 transcript:PVH36606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSFLYLSINCRTKKIASMVDLPGMNLNWFWVTLVNLLRRCSINLSQSFIVWLISLIPR >PAN36769 pep chromosome:PHallii_v3.1:6:45642902:45646851:-1 gene:PAHAL_6G308700 transcript:PAN36769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAADSRKKKRRRRMSMSPPFPSSSSSRSAAAAAPCQWCCYSQSQSQQQLMLLLALALALLLPHLAVAGRMARIQSHLDRINKPALRSIRSVDGDTIDCVAAHQQHALDHPLLKGHTIQAEPTEMPASRRGLAAAADAKTGSSSRGGAWQTWHHGGHCPRGTVAVRRTTAADVLRAGSISRFGCKKRKKRSSVDAARAANAPDVISGNGHEHAIAYTAPSSQQQQPVYGAKATINVWDPAIQESNGFSLSQLWILSGSFNGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSPGGAQYDMTLLVWKDPKLGNWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPGGVHTATQMGSGRFAAEGFGRASYFRNLETVDADNSLAEVPLDAIQTLAEDAACYDIRKAYDDDDDGHSAGSGGGWGTHFYYGGPGHNPACP >PAN36485 pep chromosome:PHallii_v3.1:6:44218984:44232371:-1 gene:PAHAL_6G287200 transcript:PAN36485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATGLSLGKSVLDGALGYAKSAVAEEVALQLGIQRDHAFIREELEMMQAFLRAAHGERDDHEVLMTWVKQVRDVAYDAEDCLQDFSIHLKKPSWWRLPCTLRERHRIAKQMKELRARVEDVSQRNLRYQLIKSAGSKPATTAEMSSITAAAIFGISEARRAAKHDNLKVDLVDLINQESKNLRVIAVWGTSGDLGQASIINEAYENPDIKNRFPCQAWVRVSHPFNPNDFIKSLVKQFRSAVGVSTLLETEKTGQELAVEFTRYVEEKSYLIVLNGVYTYEEWNVIKGCFPNNKRRSRIIVCTPQAEVASLCAGQESQVLELKQLSADQTIYAFYEKDSQEKANFLMPASSSNADTTSTNDIVEHQPTGGDGSKVVTRSLTQLKTMASAMEESQLIGREKEKYEMVKLICNQSGHELPVISVWGMGGLGKTTLVKDVYESQKLIGMFEKRACVTVMRPFILKEFLKSLIIQLSMQSSSEKKGAIDFGRSTRNTAVMVGVEELTKDLARLLEGKKCLIVVDDVSSRAEWDHIIQHFPKLDSTCRIVVTTREESIAKYCSEKQENIYKLKVLEYRDALQLFTRKVFKEAIDLDKHPDLIEEAKLILKKCNGLPLAIVTIGGFLANQPKIAVEWRKLNEHISAELEMNPDLEVIRTVLGKSYDGLPYHLKSCFLYLSIFPEDHKISRRLLIRRWSAEGYSREIRDKTAEEIADSYFMQLIGRSMILASKRSSNNRKEIDSCQVHDLMREICILKSAEENLVFRLEEGCSSNTQDKVRHLAVSSNWNGDKVEFESVVDLSHIRSLTVFGYWKHFFISEKMKLLRVLDLEGTSGLFDHHLEHIGRLLHLKYLSIRQCDGIYHLPDSWGNLKQLQTLDMKGTRVCKLPKTIIKLRKLQYLFAGDCAPFCVIPDECLPVDLAKLCLACCAPKFLEDVEDLDGDLDRQDVCTFWCHVVFPTLASRRLEPYGVVVPRGMRNLKGLRTLGLVNITNGKAILQDIRRLSQLRKLAVSGVSKKNSQEFCSTLADLSRLESLSVQSSVEPGLHGCLDGVSSPPKNLQSLKVSGTLVELPGWIEGLHSLVKLVLESTLLREFDATMQVLGKLPNLAILRLRYHPFLPFDIKRGERIRLTFHREAFPSLMVLELLSVGGLGLVEFKDGATPKLEQLHLDPNAGTYSSGFLSGLASLQSLKELTMELRDYLFKEKFLEDVQDQLARNRNGPVFKLV >PVH36756 pep chromosome:PHallii_v3.1:6:29949376:29950113:-1 gene:PAHAL_6G161700 transcript:PVH36756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKLTQELEEQRTRAATAEYSLAALQAQMQVYETRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN34525 pep chromosome:PHallii_v3.1:6:27089345:27091873:1 gene:PAHAL_6G153200 transcript:PAN34525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDAEIEDAE >PAN36163 pep chromosome:PHallii_v3.1:6:45088905:45090656:1 gene:PAHAL_6G300200 transcript:PAN36163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLLPARNVILVHATHRPGRRPCYIRTPSSPWQPHLLTAPRKQASTAPLPATHLDQVSAGSGSAEPGSSSCSSDGESAGAGGHGGRAAAAAGRRGPGSGGRGGLRRGGPGVGGGAVGAVRALARRARGGARPGRQVPPLQRLQGERAPHPRLQPAGRALQAPPQPLRRHDRRRVPPPLRGIQGRAPPHVPRRPHRRRVLQVRRRARPPGLRRLAPEGRRHRRQGPGPVRELLGVLDDRRRGGHQRDHDQEPDVAVGAAAGGLRHQGQRRLQRRAHGLRVPVHRQARRGGRRGRVPVPGPAGRLQEGRRRPGREHRRVRGRAGQRRGGAAEGGGAPAGVGGHRGQRVALPVLLGGRVRGAVRDGAGPRRGGGRVRGRRRRDQVLGGQELVGPRVGGEGVHPHGARRGRQGGSLRHRHGGVLPRQDLAQPRQAPRRRRRRRGPPRRALKLLVMHLIDRWVD >PVH36182 pep chromosome:PHallii_v3.1:6:1358671:1359381:-1 gene:PAHAL_6G016500 transcript:PVH36182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHQRSVSVPSSPRSNEVSIEEQLQGFKLIVCSPSATIETMVDDLSKIGSIYNRINGLTCLPSSQRGQRKTVEEELDRSLVLLDLCNAMQESFADLKASVQEMQLALKRGDDASVQARVQSYTRLAKKAQKQCKKISNKASSDIEGCRVIKLLAEARQIAMATLESTINILSKQLVMPSSSKWSLVSKALQKKRVVCEEQLQELELDIVDLEGGVGTLFRTLIQSRVSLLNTLSL >PAN33378 pep chromosome:PHallii_v3.1:6:1358521:1360319:-1 gene:PAHAL_6G016500 transcript:PAN33378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHQRSVSVPSSPRSNEVSIEEQLQGFKLIVCSPSATIETMVDDLSKIGSIYNRINGLTCLPSSQRGQRKTVEEELDRSLVLLDLCNAMQESFADLKASVQEMQLALKRGDDASVQARVQSYTRLAKKAQKQCKKISNKASSDIEGCRVIKLLAEARQIAMATLESTINILSKQLVMPSSSKWSLVSKALQKKRVVCEEQLQELELDIVDLEGGVGTLFRTLIQSRVSLLNTLSL >PAN35844 pep chromosome:PHallii_v3.1:6:40561920:40565090:-1 gene:PAHAL_6G239200 transcript:PAN35844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAQRKRKGAPPACSAARSLQDLASRKRACRGSDPQRSPRADARGGPPAVVMTAPAASGASASAGFLPGRGLKRKVGCIDSATRIGRRKRLESEYDLGEEIGHGKFGSVRVCRAKAGAPRGEKEFACKALPKNAGDTAHREVEIMQHLSGHPGVVTLTAVFEDADAFYLVMELCRGGRLLDEVAREGRLSERRAASVIRELMAVLKYCHEMGVVHRDIKPDNVLLTKAGRLKLADFGLAVRVADGQKLTGVAGSPAYMAPEVLLGHYSQEVDIWAAGVVLHVLLVGTLPFQGNSVEAIFEAIKTVELDFHSEQWASVSLLARDLISKMLNRDASSRLAAADVLRHPWILFYDKYPLKAEFSNLWSANKAATAMIDRERVWSCCESSSSESSSDNSEEQDECGIVDALTTAITQVRISEPKRSRLCSPAPVPLPPSRNALQT >PAN36562 pep chromosome:PHallii_v3.1:6:44608220:44611569:-1 gene:PAHAL_6G293100 transcript:PAN36562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEAKAPGAGYYQYPGSYGGGADEERRWWPWLVPTVLVACIAVFAAEMFVNDCPRHGSALGGGAGCVASGFLRRFAFQPLRENPLLGPSSATLEKMGALNWAKVVHEHQGWRLISCIWLHAGLVHLIVNMLSLLFIGIRLEQQFGFVRIGVIYLISGFGGSVLSALFLRSNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFASGFLLGFVLLARPQFGWMERNELPQTNQPPKYKLYQYVLWVAALILLVVGFVIILVMLFKGKNGNDSCHWCQYLNCVPTSRWKCNT >PAN36060 pep chromosome:PHallii_v3.1:6:41653856:41662420:-1 gene:PAHAL_6G255100 transcript:PAN36060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYADEDTCSNVDLVCALCDNGGEIASCEGRCLRSFHATKDCGEDCATLGYSREQFNAMEVFMCKNCEHERYQCFACGCLGSAKADPPEVFPCASATCGYFYHAKCVAQLLFPENEVKVTEYTARIANGAKFACPLHKCDICKFGENKEVKELQFAVCRRCPKAYHRRCLPREIAFEDFTENGQCVSQRAWDDLLPNNRILIYCLKHDIDPKHKTPTRNHIKFPEDPAGIKKPAAIKKPIHYVNGMNKKIVKIRRIDELPSVPLSSVKRSSGIVKHSSLSNLMNKRRKVPVFGERSEVIEKPVVMSKLPFSSFPEIDRYTEMRIFEFAQTISAAITMEDVQKKLVVPSTHAPALHNTDNITLGKVERSVEAVKAALHMLENGGCIEDAKSVCAPSDLFQLAKWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLQLIVDKLQWYIQSGDMVVDFCCGSNDFSLLLKETLEASGKRCFYKNYDLIQPKNDFSFERRDWMTVQPHELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDKKYPPYELIWQDSQQLAGKSFYLPGSLDADNKVMEQWNMSPPPLSLWSRSDWAKRHSEIAKSVGHLPSQNSFSGDCQREVADGLPLPTPGHVEMDDAEGAGIPPSVLDQLLSDTYHDPTSAPGDYWNDTNGRSRQPCNYETPGRSDPMYAHHADMVAGPDMSISLSETDFEREDQASSISEHGGTNSQACYTVGSAPAEEPTAADDYAVGSALEEESTAAADYAVGCAPEEEPTAAADCNEVTSAAGSYYLPEDPLQAGRYAAGVEYWRVEDSPGLEEGELSDVPPLGSPTARAQHQRTEDTPPAVTPEADSQCGQPDNSRIADSPPAVTPEADSQCGQRDDSRIAGSRPAARHNARTLPPRNSFPGLRFRPGCKASRQFLSQGMGHPAVHQGPSNGWIEDDDY >PAN36059 pep chromosome:PHallii_v3.1:6:41653856:41663606:-1 gene:PAHAL_6G255100 transcript:PAN36059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYADEDTCSNVDLVCALCDNGGEIASCEGRCLRSFHATKDCGEDCATLGYSREQFNAMEVFMCKNCEHERYQCFACGCLGSAKADPPEVFPCASATCGYFYHAKCVAQLLFPENEVKVTEYTARIANGAKFACPLHKCDICKFGENKEVKELQFAVCRRCPKAYHRRCLPREIAFEDFTENGQCVSQRAWDDLLPNNRILIYCLKHDIDPKHKTPTRNHIKFPEDPAGIKKPAAIKKPIHYVNGMNKKIVKIRRIDELPSVPLSSVKRSSGIVKHSSLSNLMNKRRKVPVFGERSEVIEKPVVMSKLPFSSFPEIDRYTEMRIFEFAQTISAAITMEDVQKKLVVPSTHAPALHNTDNITLGKVERSVEAVKAALHMLENGGCIEDAKSVCAPSDLFQLAKWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLQLIVDKLQWYIQSGDMVVDFCCGSNDFSLLLKETLEASGKRCFYKNYDLIQPKNDFSFERRDWMTVQPHELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDKKYPPYELIWQDSQQLAGKSFYLPGSLDADNKVMEQWNMSPPPLSLWSRSDWAKRHSEIAKSVGHLPSQNSFSGDCQREVADGLPLPTPGHVEMDDAEGAGIPPSVLDQLLSDTYHDPTSAPGDYWNDTNGRSRQPCNYETPGRSDPMYAHHADMVAGPDMSISLSETDFEREDQASSISEHGGTNSQACYTVGSAPAEEPTAADDYAVGSALEEESTAAADYAVGCAPEEEPTAAADCNEVTSAAGSYYLPEDPLQAGRYAAGVEYWRVEDSPGLEEGELSDVPPLGSPTARAQHQRTEDTPPAVTPEADSQCGQPDNSRIADSPPAVTPEADSQCGQRDDSRIAGSRPAARHNARTLPPRNSFPGLRFRPGCKASRQFLSQGMGHPAVHQGPSNGWIEDDDY >PVH37420 pep chromosome:PHallii_v3.1:6:45609697:45617942:-1 gene:PAHAL_6G308100 transcript:PVH37420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAANWRPTQGADPAAVAAAGGVDPNAAAPAGGDWRTQLQPEARNRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKTQQNPGNAQVIPNQNPPAPGLPPQGSNPAQSSAIPLMSQQTRQPNASTSVQGSLPSLGQSLPGVSQTSTLQNMSGMPQNTMNNGLAQGTPQDMYAAQRQMAGRQQQQQQQQQQQAQNQLIYQQQKMLMNQKLQQNSLMQPHIQQQQSLLQSTQMQSSQQAMMQMSSGLQPGQSTISQTQPMAMQSATQSGIQQNPLNSVQQSVQSLLQQPTQSVMRQQQHPQSSMHQQTSLQQTQPTQQSNIPLQQQQPQLMGPQSNLQQNQLISQQNGAGLPVQSNNLLNMQQTQQMLNQQSMPLHQPQQLAPQGNMPNLHQQQQQQNQQQQLLGTAPNVSSMQRMHMSNKAIQQPQQQHHAQQASMGLMQPQSQQNQLQQSQHHMMSQFQSQPNQLQQQLGMQQRLQTSAGMLLQQNNIDQKQYIQAPRGLQEVSSSTSVDSTAQTGHPGAGDLQEEIYQMIKNLKDQYFAELNDLYNKISMKIQHVDNHMPAHQKSSDQYEKMKNFKALLERTLHFLQLNKSSIQTGFREKIPIYEKQIVNILNSQRRKPVQAPGQQQFQQSVGQAPSSNISQQQQAPGLQQHDSHTNQMPQASLPSMSTGVQSSGAPGMQHVPAPQATNFGVPTTQQNVTNALQPGSNLESAQGNNFNHVQHGSMGAALQQGSTGPMQGAMNAQQQSSSNLLSNNAMSTMQPNTNSMQQNASSLQQLKQQQQEHQIMQSQQIKRQQQMMQHLQQQKQMHQSQLPMQQQLQKQQQQGQMQVPQLHSGNDMNELKVRQGAAMKSGIYQQLGQRNYYQQMKQGGAFPISSPQNLQASSPQISHHSPQVDQHNLLQSQLKTGTPLHSANSPFVPSPSPPVAPSPIPVDSDKPLSNLSSLTSTGQAGHQQTSLPPQTQSIAVNTPGISASPLLAEFTSADGSQANVPTQVPIKSSAAERPLDRLLKALRTTQRQSLTAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGTSKKMKRDTSAMPLNVSLPGSVNDSLKQSYSVETPELQSTATSCVKWQKAEVNHALMEEIQEINQQLIDTELHVSEDDAESFAATSEGAEGTVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQSRNSDDLSTKAKSKFSILLRGLAEPMSLREIARTWDACARKVISEYAQQTGGGSFSSSYGCWESCVGA >PAN36759 pep chromosome:PHallii_v3.1:6:45609697:45617942:-1 gene:PAHAL_6G308100 transcript:PAN36759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAANWRPTQGADPAAVAAAGGVDPNAAAPAGGDWRTQLQPEARNRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKTQQNPGNAQVIPNQNPPGPAPGLPPQGSNPAQSSAIPLMSQQTRQPNASTSVQGSLPSLGQSLPGVSQTSTLQNMSGMPQNTMNNGLAQGTPQDMYAAQRQMAGRQQQQQQQQQQQAQNQLIYQQQKMLMNQKLQQNSLMQPHIQQQQSLLQSTQMQSSQQAMMQMSSGLQPGQSTISQTQPMAMQSATQSGIQQNPLNSVQQSVQSLLQQPTQSVMRQQQHPQSSMHQQTSLQQTQPTQQSNIPLQQQQPQLMGPQSNLQQNQLISQQNGAGLPVQSNNLLNMQQTQQMLNQQSMPLHQPQQLAPQGNMPNLHQQQQQQNQQQQLLGTAPNVSSMQRMHMSNKAIQQPQQQHHAQQASMGLMQPQSQQNQLQQSQHHMMSQFQSQPNQLQQQLGMQQRLQTSAGMLLQQNNIDQKQYIQAPRGLQEVSSSTSVDSTAQTGHPGAGDLQEEIYQMIKNLKDQYFAELNDLYNKISMKIQHVDNHMPAHQKSSDQYEKMKNFKALLERTLHFLQLNKSSIQTGFREKIPIYEKQIVNILNSQRRKPVQAPGQQQFQQSVGQAPSSNISQQQQAPGLQQHDSHTNQMPQASLPSMSTGVQSSGAPGMQHVPAPQATNFGVPTTQQNVTNALQPGSNLESAQGNNFNHVQHGSMGAALQQGSTGPMQGAMNAQQQSSSNLLSNNAMSTMQPNTNSMQQNASSLQQLKQQQQEHQIMQSQQIKRQQQMMQHLQQQKQMHQSQLPMQQQLQKQQQQGQMQVPQLHSGNDMNELKVRQGAAMKSGIYQQLGQRNYYQQMKQGGAFPISSPQNLQASSPQISHHSPQVDQHNLLQSQLKTGTPLHSANSPFVPSPSPPVAPSPIPVDSDKPLSNLSSLTSTGQAGHQQTSLPPQTQSIAVNTPGISASPLLAEFTSADGSQANVPTQVPIKSSAAERPLDRLLKALRTTQRQSLTAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGTSKKMKRDTSAMPLNVSLPGSVNDSLKQSYSVETPELQSTATSCVKWQKAEVNHALMEEIQEINQQLIDTELHVSEDDAESFAATSEGAEGTVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQSRNSDDLSTKAKSKFSILLRGLAEPMSLREIARTWDACARKVISEYAQQTGGGSFSSSYGCWESCVGA >PVH37419 pep chromosome:PHallii_v3.1:6:45609290:45618154:-1 gene:PAHAL_6G308100 transcript:PVH37419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAANWRPTQGADPAAVAAAGGVDPNAAAPAGGDWRTQLQPEARNRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKTQQNPGNAQVIPNQNPPAPGLPPQGSNPAQSSAIPLMSQQTRQPNASTSVQGSLPSLGQSLPGVSQTSTLQNMSGMPQNTMNNGLAQGTPQDMYAAQRQMAGRQQQQQQQQQQQAQNQLIYQQQKMLMNQKLQQNSLMQPHIQQQQSLLQSTQMQSSQQAMMQMSSGLQPGQSTISQTQPMAMQSATQSGIQQNPLNSVQQSVQSLLQQPTQSVMRQQQHPQSSMHQQTSLQQTQPTQQSNIPLQQQQPQLMGPQSNLQQNQLISQQNGAGLPVQSNNLLNMQQTQQMLNQQSMPLHQPQQLAPQGNMPNLHQQQQQQNQQQQLLGTAPNVSSMQRMHMSNKAIQQPQQQHHAQQASMGLMQPQSQQNQLQQSQHHMMSQFQSQPNQLQQQLGMQQRLQTSAGMLLQQNNIDQKQYIQAPRGLQEVSSSTSVDSTAQTGHPGAGDLQEEIYQMIKNLKDQYFAELNDLYNKISMKIQHVDNHMPAHQKSSDQYEKMKNFKALLERTLHFLQLNKSSIQTGFREKIPIYEKQIVNILNSQRRKPVQAPGQQQFQQSVGQAPSSNISQQQQAPGLQQHDSHTNQMPQASLPSMSTGVQSSGAPGMQHVPAPQATNFGVPTTQQNVTNALQPGSNLESAQGNNFNHVQHGSMGAALQQGSTGPMQGAMNAQQQSSSNLLSNNAMSTMQPNTNSMQQNASSLQQLKQQQQEHQIMQSQQIKRQQQMMQHLQQQKQMHQSQLPMQQQLQKQQQQGQMQVPQLHSGNDMNELKVRQGAAMKSGIYQQLGQRNYYQQMKQGGAFPISSPQNLQASSPQISHHSPQVDQHNLLQSQLKTGTPLHSANSPFVPSPSPPVAPSPIPVDSDKPLSNLSSLTSTGQAGHQQTSLPPQTQSIAVNTPGISASPLLAEFTSADGSQANVPTQVPIKSSAAERPLDRLLKALRTTQRQSLTAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGTSKKMKRDTSAMPLNVSLPGSVNDSLKQSYSVETPELQSTATSCVKWQKAEVNHALMEEIQEINQQLIDTELHVSEDDAESFAATSEGAEGTVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQRNSDDLSTKAKSKFSILLRGLAEPMSLREIARTWDACARKVISEYAQQTGGGSFSSSYGCWESCVGA >PVH37421 pep chromosome:PHallii_v3.1:6:45609290:45618154:-1 gene:PAHAL_6G308100 transcript:PVH37421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAANWRPTQGADPAAVAAAGGVDPNAAAPAGGDWRTQLQPEARNRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKTQQNPGNAQVIPNQNPPGPAPGLPPQGSNPAQSSAIPLMSQQTRQPNASTSVQGSLPSLGQSLPGVSQTSTLQNMSGMPQNTMNNGLAQGTPQDMYAAQRQMAGRQQQQQQQQQQQAQNQLIYQQQKMLMNQKLQQNSLMQPHIQQQQSLLQSTQMQSSQQAMMQMSSGLQPGQSTISQTQPMAMQSATQSGIQQNPLNSVQQSVQSLLQQPTQSVMRQQQHPQSSMHQQTSLQQTQPTQQSNIPLQQQQPQLMGPQSNLQQNQLISQQNGAGLPVQSNNLLNMQQTQQMLNQQSMPLHQPQQLAPQGNMPNLHQQQQQQNQQQQLLGTAPNVSSMQRMHMSNKAIQQPQQQHHAQQASMGLMQPQSQQNQLQQSQHHMMSQFQSQPNQLQQQLGMQQRLQTSAGMLLQQNNIDQKQYIQAPRGLQEVSSSTSVDSTAQTGHPGAGDLQEEIYQMIKNLKDQYFAELNDLYNKISMKIQHVDNHMPAHQKSSDQYEKMKNFKALLERTLHFLQLNKSSIQTGFREKIPIYEKQIVNILNSQRRKPVQAPGQQQFQQSVGQAPSSNISQQQQAPGLQQHDSHTNQMPQASLPSMSTGVQSSGAPGMQHVPAPQATNFGVPTTQQNVTNALQPGSNLESAQGNNFNHVQHGSMGAALQQGSTGPMQGAMNAQQQSSSNLLSNNAMSTMQPNTNSMQQNASSLQQLKQQQQEHQIMQSQQIKRQQQMMQHLQQQKQMHQSQLPMQQQLQKQQQQGQMQVPQLHSGNDMNELKVRQGAAMKSGIYQQLGQRNYYQQMKQGGAFPISSPQNLQASSPQISHHSPQVDQHNLLQSQLKTGTPLHSANSPFVPSPSPPVAPSPIPVDSDKPLSNLSSLTSTGQAGHQQTSLPPQTQSIAVNTPGISASPLLAEFTSADGSQANVPTQVPIKSSAAERPLDRLLKALRTTQRQSLTAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGTSKKMKRDTSAMPLNVSLPGSVNDSLKQSYSVETPELQSTATSCVKWQKAEVNHALMEEIQEINQQLIDTELHVSEDDAESFAATSEGAEGTVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQRNSDDLSTKAKSKFSILLRGLAEPMSLREIARTWDACARKVISEYAQQTGGGSFSSSYGCWESCVGA >PAN35999 pep chromosome:PHallii_v3.1:6:41255406:41256994:-1 gene:PAHAL_6G249800 transcript:PAN35999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAKNDAHIVEIPVSVDGGEAEAAGSLEKAAAGGAHPLGEIATSAGHLLLLKLWQREEDRLGRRACALEARMDAARRDAFYLCAAFLAFHGLSLAVLFAASVAASASSAAAGPASAACRRWWAPSSLSLAASLALAAAVQLRVCAYWRAAARLRRERGDARALARAVQELRMKGAAFDLSKEPQYGVTRAKCASVEGTGAWAPLRWCQQNVVTACLLAVAAAALPSGKFILCA >PAN35359 pep chromosome:PHallii_v3.1:6:37461524:37464682:-1 gene:PAHAL_6G202800 transcript:PAN35359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAVEAELDPVAVRAAQILVSLRFRKLRERPEWLPAPAGEASSPAPALEVPAGWARRRPRSRGRHGSAAPWRKALRELDLAAAGSAGEERDYAAPARRPAEAAAGSGSPSTSSAGRAARHADGKAAAHAAKEPMKASSPDTPLDYGAGGSGASSSADDAARPHAKRRAPGARGSGGGGASCADDDDGCSSPAKRARVLAADDEEPIPTGPSTQSETRASITKGLAFDLDLNLPPPSDDDNACPDAC >PAN36563 pep chromosome:PHallii_v3.1:6:44615132:44617319:-1 gene:PAHAL_6G293200 transcript:PAN36563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIHKALVVFFAVAAALLRGGHAHGEDHAASVHDVTEYGAAPSNRDNRDAFLAAWRAACGSTAGNSTLVFPKGTFAVGAVQFEGPCANGDAPAVVIHGVLQPPCAGGGGCHLSDDAWITFSGLNNLLVTGNGTLDGQGHRSGKSKSKTTTLVFEDVTNSTLRGLAFVNSRGFHVNLRRCTRVVAEGLGIHAPAASRNTDGVHVGHSRHVRILDSVIGTGDDCVSVGPGSVDVVVSGVTCGPGHGLSVGSLGKDEGEQDVRGLVIRNCTVKGTTNGVRIKTWPGSPRSRASNITFEDIAMANVTNPIIIDQRYCPHDRCSDADKPSLVQISDVTFRRIEGTSSGPLAVRLLCSEDRPCTGVRLDDINLTCGDVPCRSEFSNVRGALAPVVAQAPSPAPAARREEDDEESRVTEQLGRLTWWLPFTVRG >PVH36458 pep chromosome:PHallii_v3.1:6:6277110:6278078:-1 gene:PAHAL_6G077400 transcript:PVH36458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSPTGRQPDRLPNGRLAPTRARAAGLAPRSPMALGTPPSQRALLPEPRRLRVRHPWTPTSTRHRAPTTARTGAPTPARPCTMPSPLKRWCPAVGSKHGRLLAHRREVARDLHGGVRRPRPLEQVERQRPLELHTSCSCSTRAVSAASSTPRPAPASPTPDSSTSRDRRLMIKHFSYLLWAPIRTDTWSQRGGSYRLLEVRAYRLSGS >PVH36459 pep chromosome:PHallii_v3.1:6:6276716:6279635:-1 gene:PAHAL_6G077400 transcript:PVH36459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSPTGRQPDRLPNGRLAPTRARAAGLAPRSPMALGTPPSQRALLPEPRRLRVRHPWTPTSTRHRAPTTARTGAPTPARPCTMPSPLKRWCPAVGSKHGRLLAHRREVARDLHGGVRRPRPLEQVERQRPLELHTSCSCSTRAVSAASSTPRPAPASPTPDSSTSRDRRLMIKHFSYLLWAPIRTDTWSQRGGSYRLLEVRAYRLSGS >PVH36460 pep chromosome:PHallii_v3.1:6:6277371:6279635:-1 gene:PAHAL_6G077400 transcript:PVH36460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSPTGRQPDRLPNGRLAPTRARAAGLAPRSPMALGTPPSQRALLPEPRRLRVRHPWTPTSTRHRAPTTARTGAPTPARPCTMPSPLKRWCPAVGSKHGRLLAHRREVARDLHGGVRRPRPLEQVERQRPLELHTSCSCSTRAVSAASSTPRPAPASPTPDSSTSRDRRLMIKHFSYLLW >PAN35610 pep chromosome:PHallii_v3.1:6:39116006:39122160:-1 gene:PAHAL_6G221600 transcript:PAN35610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASASASNPFPFPSRRPPDDTLFCAVYPLPIPTKLPAPALLASLQSLHLSLLSHLAPFLSSHLFHRDPFTLSIPADPAASCALCASPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGEFLLIEAAFALPRWLDPESAPNRVFIFRGELHILPPSLFPETPSLEAALAAVHDDSIDTRAPDAVQAAIQRRIAGLPERAAENLHTARVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKVASGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRRQGEEGKGSTWEVYRKSLEATGCFEGLLPGSKEYNRVMDNAMQYYKSSTLYSRTREILSEPVRRIDEILSMPYSADEFKGVDLPPSDGDSWLYGGEEELNAELREREKELEEYEAAKKHRKSQKQSVSGGSKSQADQFKLGEITESMQDFVRKMSSFEGAEVPANRRDMESVDLDVNQFFKAMESVFGEGSQEQAGSDDGFDRKSSSSDMDFDDSDEENDFPEELGDKDMDDSFMESYSDALNKELSSTTLEKSFARAPRTGTIDEGPSNAAATDGEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVEVPPDGKKS >PVH37040 pep chromosome:PHallii_v3.1:6:39590724:39594570:-1 gene:PAHAL_6G228600 transcript:PVH37040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFFPNKIRVLVVDGDAKFLKLSSMILSLLNYKVTTCGCPISALKVLTKNKLDVVLTNSVDAAACGFDFRAIVESNLGIPVLYYVPLPRKASGKEADRLLRRLPPATYAIREPPHVDDMRRLWRVIAWRKFCLEAKVKQAAIVEDLTAGSTVDDEEREDEEERVQFKVVKARGGGRKRKSSSNPGGSSGASLAGDRPGKAQQQMNVTEGQERDNMASTRAKKNKGKASQLQEPQAMDDRQPGQQQQMSLLLQSLLHSLNVPPYNPSLFVDAAGRSNNVAACAGASNAAAPPPALPLPPVYPGPALALRPVYSAPAPPPPVNPSPVPVPFPAPAPRPGNPAPALAPVPPVYPVPALALPLVHQGLQPPIVQQGMFVPWWYGNGMRSRENGSIMLSFQQPPAGDLYTGIGSRGATFGASAAATPHAGANGDAAGALLQSLNLLGADDHGELSAMVTMNTGYNPPLAPHHVGAASNDEAAIAALYSNNYCARSLMAPQHIGRGVAAANGAVDIEALISDGYINYNTASFMESQQVDHGVAPGEAAAMEEALNSINFSSGSPLAPDQVLGMASIVNEQAIIAGGAISGNAGSFAPFQDLIVAAPNGNDQLAPGALDEDLNGDGSLMGFQGLGHGAAVDGDAGFAALFPADQYEKNTMFSLEELLGLNDPPTNEAGLELDGQQGGATTGAAGTSLAGGEGGKGTWGDIGAAGNSDILDDLWDHRTPFDVNNGRK >PVH37352 pep chromosome:PHallii_v3.1:6:44695116:44698847:1 gene:PAHAL_6G294200 transcript:PVH37352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELIKAAGKRSYTLVMGRPNSAKLANFPQCEIFVYVSCAQTAQLDSKDFLAPVITPFEAVFAFSRGREWTGEYLLDFKDLITSEKQEVASTTEEARFSFIKGSHVEDHCAQAENMEQSGTALALAEVTEKALSVQNHNNEAVLYQGRAMSSIHYLKARSYRGITGEYEGPAPDSILVGRTGRAAGYNDEKTWSVR >PVH37353 pep chromosome:PHallii_v3.1:6:44695116:44698847:1 gene:PAHAL_6G294200 transcript:PVH37353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELIKAAGKRSYTLVMGRPNSAKLANFPQCEIFVYVSCAQTAQLDSKDFLAPVITPFEAVFAFSRGREWTGEYLLDFKDLITSEKQEVASTTEEARFSFIKGSHVEDHCAQENMEQSGTALALAEVTEKALSVQNHNNEAVLYQGRAMSSIHYLKARSYRGITGEYEGPAPDSILVGRTGRAAGYNDEKTWSVR >PVH37351 pep chromosome:PHallii_v3.1:6:44694332:44698844:1 gene:PAHAL_6G294200 transcript:PVH37351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELIKAAGKRSYTLVMGRPNSAKLANFPQCEIFVYVSCAQTAQLDSKDFLAPVITPFEAVFAFSRGREWTGEYLLDFKDLITSEKQEVASTTEEARFSFIKGSHVEDHCAQENMEQSGTALALAEVTEKALSVQNHNNEAVLYQGRAMSSIHYLKARSYRGITGEYEGPAPDSILVGRTGRAAGYNDEKTWSVR >PVH36477 pep chromosome:PHallii_v3.1:6:6682109:6683248:1 gene:PAHAL_6G081400 transcript:PVH36477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSPSCKHRMLLLALLILAAEASSTKAAAYLYDDLKVTWGSGCSYFYMDGGDVDTLALCLDRSSGSGFGSNGSYLYARYDMDIKLVANDSAGTVATFYLMPDDVPWEYHDEVDMEFLGNATGEPYTLHTNVYVNGAGGREQQFQLWFDPTEDFHTYSIEWNPKYIMFLVDDTPIRAYKNDRARGVPFPTWQSMRAEGSLWDAEEWATQGGRVKTDWAQAPFYAYYRNFRVTPCVPSPGVAWCGDEPPESAWFDQRLDAAALVRVQAENMIYDYCVDQKRFNNTGFPVECTTA >PAN34393 pep chromosome:PHallii_v3.1:6:8211451:8213045:1 gene:PAHAL_6G089700 transcript:PAN34393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSKLLLLLLCSYYALIARAGEHSSYITGSVKTEAVCFETKASPSSSGGGTVTLHHRHGPCSLAPTKATPTMEEILLSDQLRASNIQRKLTAATNGTGDAQKLEATLPTSLGTALETLQYVINISIGTPAVTQTMMIDTGSDVSWVHCKPCSPCHSQVDPIFDPSMSSTYSALSCSSAACAQLGSDSSGGCSSSQCQYIVRYLDGSNTTGTYGSDTLTLGPNVITGFQFGCSRAGTGFDDEKTAGLMGLGGDVQSLVSQTAGTFGRAFSYCLPPPQSPAGFLTLGAASGGNFTTTRMFRSSRVPTFYLVLLQAIRVGGRQINVEPSVFAAGSVMDSGTIITRLPPRAYAALSSAFRAGMTQYRRARPVGILDTCYDFGSLTTVRIPTVELVFDGGAVVDLDLDGIMILGRCLAFAPSDGASSVGIIGNVQQRTFEVLYDVSRGTMGFRAGAC >PVH37241 pep chromosome:PHallii_v3.1:6:43068504:43071864:-1 gene:PAHAL_6G274500 transcript:PVH37241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRVMRRSVTLADQLAAVGPPPAVGAAAAAGSCNLRDLLKLRDEDDLAAGRRAAVTLASAMAAERQASLPPPSSSAAAAAAAARTLLDIIRDDQQPPPAASGAGAGAGDPVHVRRAVSLPAPSTAASPPASAFAPAEAAPSPAPPPPPRPASQPPAVAAEEEQGERVSLMALLEQTDRQWSAGAGGAAPRDEDLAEAEAPELVEDDADAEPEAAGKGVAAGCCCVCMARAKGAAFIPCGHTFCRACARELLAGRGRCPLCNAAIVDVLDIF >PAN35358 pep chromosome:PHallii_v3.1:6:37450876:37452963:-1 gene:PAHAL_6G202700 transcript:PAN35358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGSIKTLASLCAVLMLFQLAAAGGSGGHGSGSSFDYKRALHSSLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAIEFGAEVAAAGEWRHVLEAIKWGTDYFVKAHTEPDVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRENPGSDLVGETAAAMAAASIVFRRSNPHYSHLLLHHAEQLFEFGDKYRGKYDSSVSEVWRYYASVSGYGDEMLWAALWLHRATGRAEYLDYAVAMADEFGGTSWAITEFSWDVKYAGLQILAAKLLLEGRHRPEHRPTLEQYSSKAEHYLCACLGKNGAAGNVNRTAGGMLYVRQWNNMQYVTNAAFLLTVYSRYLTASGGAAAAEPAVLQCPDGPVGAGELLALARAQADYVLGANPAGVSYMVGYGRRFPRRVHHRAASIVSHRADGRFVGCVQGYDHWFRRPGSNPNVVVGAIVGGPDHRDRFSDRRSNYMQTEACTYNTAPMVGVFAHLHSEGAQERK >PAN36628 pep chromosome:PHallii_v3.1:6:44941518:44942520:1 gene:PAHAL_6G298300 transcript:PAN36628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHQRSISLPSRPASKVEEELQILEACTSSPSMTIQTTCDGLRRLGDIYSSIEEVMCLPSNQGCSSQQRKMLDAEMECSLELLDLCNAMHEDFAELKAIVQDLQVSLRKGDDAAVQAKIQSYFRLVKKAKKNFKKASKKVISDKEDCRILRLLSEARAITTSLLESTVQLLAKQIAMPKSSNILKAFQKKTSVVCKEEQLQVLECNIRDLEVGAGHLFRRLVQSRVTLLNILSQ >PVH36327 pep chromosome:PHallii_v3.1:6:3604607:3606822:1 gene:PAHAL_6G048900 transcript:PVH36327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRSSCMCVAMRMHARTPMPDRGSEPDEEDGELGPRGASLGRDHVDRLRVPGPDEVVEAAAQVRHLVVRRRRVGRGRGAPRPGEHAGAHGHRPQRLHHRQVVVRGLAPHRVPGLLAVAEHGPRRLVQQRRRVGASPTLPARAGLGVRGRRAALRLQDDARAGRVAELAEQRVRLERELDDEAGQAARRLLQRQHDAAPAVAVERPREAPARPRGAREGRALGHEAGARAAGGGGRGRGRRRCRSAGLRRRADLQPPAPRRQRQDGDRVPQLLEQPRRPGRAAPPLPVPPRRHLQRLPRRARRPLRRAAAAVVAGAAVEGRGGRGRPGAVDGRQAVAVAVGVVAGAAGGGVDGEAHRRAPGAGEAGVRRPVGRRNGQPCEAAVRWGWPLVILWRGTRDLERTGGGYAYRVVVLPGAGRRLVSRLYHFGAPRFSRVPSVLVLLSSRSTSTTTMATMAACSARGGAQ >PAN33608 pep chromosome:PHallii_v3.1:6:2440298:2441335:-1 gene:PAHAL_6G033100 transcript:PAN33608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRSISMDLTLSISGAASMDSMSDDKIAIIVPHSSPILSKSPSNHNLPQWVHSEASHPAPSSEKRAAPALVAKKVAAEFLGTFLLIFILLSTLIVDEAHGGALGPLGVAAAAGSAVAVVVASLAHVSGAHLNPAVSAAMAAFGYLPRAQLAPYVAAQLLGSTVASFAAKAVYNDPADLGATVATVPALGTAGALVVEFVTTFVLLFVITALATDPKAVKELVAVGTGAAVMMNALISAESTGASMNPARTLGPAIASGTYTKIWIYMVAPPLGAIAGTGAYVALK >PAN33283 pep chromosome:PHallii_v3.1:6:853993:856331:-1 gene:PAHAL_6G009000 transcript:PAN33283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRDLRKKLYGHSASRRYHGRSRSRSPPPQRRGHRDRDDYRGGGGGGGGGGRGRGSRHDRYDDGGGRGGRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >PAN33403 pep chromosome:PHallii_v3.1:6:1421312:1424561:-1 gene:PAHAL_6G018200 transcript:PAN33403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase iron-protein subunit (SDHB) [Source: Projected from Oryza sativa (Os08g0120000)] MAAAALLRRSPAARALLSPSLSSRLVASKPHSSSPAPPPPPKASSDMKTFSIYRWDPDSPSTKPHLKDYQVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTVSPLPHMFVIKDLVVDMTNFYSQYKSVEPWLKRKDPPPQEGKEILQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDQFTKERLDAINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQIDT >PAN33402 pep chromosome:PHallii_v3.1:6:1422121:1424561:-1 gene:PAHAL_6G018200 transcript:PAN33402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase iron-protein subunit (SDHB) [Source: Projected from Oryza sativa (Os08g0120000)] MAAAALLRRSPAARALLSPSLSSRLVASKPHSSSPAPPPPPKASSDMKTFSIYRWDPDSPSTKPHLKDYQVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTVSPLPHMFVIKDLVVDMTNFYSQYKSVEPWLKRKDPPPQEGKEILQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLWGTLIKPKPNMFMHLQARGYHGVAEKRNLLDHKRRLLAEKYELRGKLYKAVCRDPDLPLDMREEFRYKLSKLPRNSSMTRLRNRCIFTGRPRAVYKKFRMSRIMFRTLANKGELTGVKKASW >PAN33706 pep chromosome:PHallii_v3.1:6:2830308:2830677:1 gene:PAHAL_6G039400 transcript:PAN33706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGNADARALLLAAIMVMAMVLSPADPAQAQDVNCIDLRGSTCDQPTCTRACKAASYVDPVVKCKSAHICCCFVKCCGGKRLAMDAVEPVD >PAN36657 pep chromosome:PHallii_v3.1:6:45072153:45073764:1 gene:PAHAL_6G300000 transcript:PAN36657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGINGNKGRCYDFWLEFSECMSRCRQPSDCGLLREDYLECLHHSKEFQRRNRIYKEEQRQVRAAARKAKEEAEGAPAVAAHH >PAN36132 pep chromosome:PHallii_v3.1:6:42621726:42626718:-1 gene:PAHAL_6G269000 transcript:PAN36132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEERRRFANLRSVRWRVNLGILPASPEASVDELRRAAADSRRRYVSLKRRLMVDPHLPKEEDRSSNLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPNCQSMLRRILLMWCLQHPECGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDSKWHSRDDNGNDSERASKVNSLDELDMDTKEIILLSDAYGAEGELGVVLSERFMEHDAYSIFDGLMDGGSGVVRMAEFFSASKVGSSSSLPPVIEASSSLFYLLSIVEPSLHSHFIELDVEPQYFALRWLRVLFGREFCLNDLLVVWDEVFACSNDMLLRENEEYNFKILCSSRGAFIAAMAVSMILHLRSSLLATEINTSCLQRLLNFPNNVDVHKLIEKAKSLQSIAIDANTTSPSFLSKKDSYDYDRVYSNLATSTPPRTPLHPLSESYWEEQWRNLHKDGAAPKEIEKVQSYKRSLRKSLSQRLGLSRTESDPSPVKVVSEKNDTKSSVRRCLLNSYSDCEVAGKIQHDEFPIISIHKEPAASAERPSHLKVKPASEILTVSQSSVTNVNPLIDSQSKSADESSTKRVETCSSGESSPVFYAATAGNEPENCPDNDSERSSITSNSCAGDDDRDEVLQDESFSGSCENKAALEAKTSEKNADPVGPSERSAVSNERKPFINKLQWFLRFGRPSGEGNVEKGSVEASDDKHGVVPPSASPPDVSLDNSRGGVNLASGDKKVMGTLKNIGQNMLENIQVIESAFQQERGQPSAMENFSNNILGGKGQVTAMAALTELRKISNLLREM >PVH36765 pep chromosome:PHallii_v3.1:6:30680979:30681597:1 gene:PAHAL_6G163900 transcript:PVH36765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPPWPPTSVSSSRDSKLYEAAVWNAPFDPAPAQLFGRLWGPISTRQWRQPVTADGAKLRLLERSCNARSDVGGDATRYLTVL >PAN36465 pep chromosome:PHallii_v3.1:6:44149192:44155868:-1 gene:PAHAL_6G285700 transcript:PAN36465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAIRAHDCPAGPVPVPIPSHQNLPPTPPLSRQNQPTPPRGAARAGPGPPRSETGAPFCRASRPRRYKKSARARPHRTEFFLARIPTDAAATAVPRPRVLVHCSRRRRLLASRRRESLVAVRVSRAWIGCASSGGGMEGAAKPSTSSGSGVTDGASGGASTAAAASMEERFADLCKSKLGLDESTTRQAMQLFKETKNILKSSMSSLGGGSPEEIERFWSACVLYCVSRLSKAGRSKEDGDVSLCQILRASKLNIVDFFKEMPQFCIKVAHILTGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQDLFLSNDAKSSENSSESNNQEASDYYRFGWLLFLVLRIRSFSRFKDLVTSTNELVSVLAVLIIHVPVWLRSFNIKDSPYFGKKSDQGVNLIASLCEKYHTSEDELSKALEKTNTLIMDILKNKPCSASECQQDNLSFIDPGLKVFKDLLQGNSLKSSLLILEKEYDNAINSEGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCLSPKGNSFGNSKMAPITPVSTAMTTAKWLRTTITPLPSKPSGELLRFFSACDKDLTEDITRRAGIILGAIFTSSSFGERICTNVRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDIIKSKLPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKIAKLAAIRIRSLCERLQLSQQVLERVYFLVQQILSQQTALFFNRHIDQIILCSIYGVAKISQLELSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRCRNGKTGEDHVDIITFYNEVFIPAAKPLLVEVGPGASPNKKEEEKGSGDVGPFPESPRLARFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVSGRLNFDVVSDLVVASSLSSDQNAKPAAMEVVPVKTPVKSEPSES >PVH37314 pep chromosome:PHallii_v3.1:6:44149191:44155868:-1 gene:PAHAL_6G285700 transcript:PVH37314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAIRAHDCPAGPVPVPIPSHQNLPPTPPLSRQNQPTPPRGAARAGPGPPRSETGAPFCRASRPRRYKKSARARPHRTEFFLARIPTDAAATAVPRPRVLVHCSRRRRLLASRRRESLVAVRVSRAWIGCASSGGGMEGAAKPSTSSGSGVTDGASGGASTAAAASMEERFADLCKSKLGLDESTTRQAMQLFKETKNILKSSMSSLGGGSPEEIERFWSACVLYCVSRLSKAGRSKEDGDVSLCQILRASKLNIVDFFKEMPQFCIKVAHILTGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQDLFLSNDAKSSENSSESNNQEASDYYRFGWLLFLVLRIRSFSRFKDLVTSTNELVSVLAVLIIHVPVWLRSFNIKDSPYFGKKSDQGVNLIASLCEKYHTSEDELSKALEKTNTLIMDILKNKPCSASECQQDNLSFIDPGLKVFKDLLQGNSLKSSLLILEKEYDNAINSEGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCLSPKGNSFGNSKMAPITPVSTAMTTAKWLRTTITPLPSKPSGELLRFFSACDKDLTEDITRRAGIILGAIFTSSSFGERICTNVRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDIIKSKLPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKIAKLAAIRIRSLCERLQLSQQVLERVYFLVQQILSQQTALFFNRHIDQIILCSIYGVAKISQLELSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRCRNGKTGEDHVDIITFYNEVFIPAAKPLLVEVGPGASPNKKEEEKGSGDGPFPESPRLARFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVSGRLNFDVVSDLVVASSLSSDQNAKPAAMEVVPVKTPVKSEPSES >PVH37315 pep chromosome:PHallii_v3.1:6:44149624:44155853:-1 gene:PAHAL_6G285700 transcript:PVH37315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAIRAHDCPAGPVPVPIPSHQNLPPTPPLSRQNQPTPPRGAARAGPGPPRSETGAPFCRASRPRRYKKSARARPHRTEFFLARIPTDAAATAVPRPRVLVHCSRRRRLLASRRRESLVAVRVSRAWIGCASSGGGMEGAAKPSTSSGSGVTDGASGGASTAAAASMEERFADLCKSKLGLDESTTRQAMQLFKETKNILKSSMSSLGGGSPEEIERFWSACVLYCVSRLSKAGRSKEDGDVSLCQILRASKLNIVDFFKEMPQFCIKVAHILTGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQDLFLSNDAKSSENSSESNNQEASDYYRFGWLLFLVLRIRSFSRFKDLVTSTNELVSVLAVLIIHVPVWLRSFNIKDSPYFGKKSDQGVNLIASLCEKYHTSEDELSKALEKTNTLIMDILKNKPCSASECQQDNLSFIDPEGLKVFKDLLQGNSLKSSLLILEKEYDNAINSEGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCLSPKGNSFGNSKMAPITPVSTAMTTAKWLRTTITPLPSKPSGELLRFFSACDKDLTEDITRRAGIILGAIFTSSSFGERICTNVRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDIIKSKLPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKIAKLAAIRIRSLCERLQLSQQVLERVYFLVQQILSQQTALFFNRHIDQIILCSIYGVAKISQLELSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRCRNGKTGEDHVDIITFYNEVFIPAAKPLLVEVGPGASPNKKEEEKGSGDGPFPESPRLARFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVSGRLNFDVVSDLVVASSLSSDQNAKPAAMEVVPVKTPVKSEPSES >PAN36466 pep chromosome:PHallii_v3.1:6:44149624:44155853:-1 gene:PAHAL_6G285700 transcript:PAN36466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAIRAHDCPAGPVPVPIPSHQNLPPTPPLSRQNQPTPPRGAARAGPGPPRSETGAPFCRASRPRRYKKSARARPHRTEFFLARIPTDAAATAVPRPRVLVHCSRRRRLLASRRRESLVAVRVSRAWIGCASSGGGMEGAAKPSTSSGSGVTDGASGGASTAAAASMEERFADLCKSKLGLDESTTRQAMQLFKETKNILKSSMSSLGGGSPEEIERFWSACVLYCVSRLSKAGRSKEDGDVSLCQILRASKLNIVDFFKEMPQFCIKVAHILTGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQDLFLSNDAKSSENSSESNNQEASDYYRFGWLLFLVLRIRSFSRFKDLVTSTNELVSVLAVLIIHVPVWLRSFNIKDSPYFGKKSDQGVNLIASLCEKYHTSEDELSKALEKTNTLIMDILKNKPCSASECQQDNLSFIDPEGLKVFKDLLQGNSLKSSLLILEKEYDNAINSEGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCLSPKGNSFGNSKMAPITPVSTAMTTAKWLRTTITPLPSKPSGELLRFFSACDKDLTEDITRRAGIILGAIFTSSSFGERICTNVRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDIIKSKLPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKIAKLAAIRIRSLCERLQLSQQVLERVYFLVQQILSQQTALFFNRHIDQIILCSIYGVAKISQLELSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRCRNGKTGEDHVDIITFYNEVFIPAAKPLLVEVGPGASPNKKEEEKGSGDVGPFPESPRLARFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVSGRLNFDVVSDLVVASSLSSDQNAKPAAMEVVPVKTPVKSEPSES >PAN33576 pep chromosome:PHallii_v3.1:6:2278811:2281678:1 gene:PAHAL_6G030900 transcript:PAN33576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDGVPPAAPPAPAAAGGAGGGDGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPMPIRKSASMGNLAQLAAEGGGSGGREEGYGSDGERPHKKRGEAWTEDEHKKFLLGLNKLGKGDWRGISRNFVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDSSDQLPLSRSSSQEVEQDDPQSVAALPAPVVSPAAVAPPPVSVEAPASVPPPVQVPVPVATPQPMEHDSVASSSSAGQAGVVMPEAIPPYLYPMMIPPPYYHPAFVPVPCYGYVPFYYGPPGVAQVPHEVVKPVAVHSTPPLNVKDLYNMSELSLKGDDSNANSGVPASPLPPKPIGRPERQSAFHGKGPAGGSSGGLIPAVK >PAN34142 pep chromosome:PHallii_v3.1:6:5462517:5480330:1 gene:PAHAL_6G071200 transcript:PAN34142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGASPAPAAAVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPSAPTPTPPPPSLPPPPPAPAPHAPPPPGRRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLARFRCPQCGVDLAVDMSKLRHFLASAGPGFVPPPMPPPPPVPMPHMPFLPMMPPHLQVPMVPMFPPAEPPEEINEVAVDVERDEDEGGTFGETFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTHKLTIMEELDKRNALSCLQIETLVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSEAIGIKDGVVFVTYSSLIASSEKGLSRLQQLVQWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFENFNQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDVLEAPLEERMMNMYKKAAGLWVELRVELLSAIEYYAEDKVNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAEEKCVVIGLQSTGEARTEEAIAKYGVELEDFVSGPRELLLKLVEDHYPLPPKPDCFQQDEENVMEFQRKRHYGPDVSLKGRVSKLGKLEDVSDAGSDEYPPPESDHESTDSDEEFYMCQICNSEEEKSLLLYCSVCAARVHPGCLTPPWTDALTDDWSCYGCKEKVENYFKERDAYLTELSKRYDAAVERKSKILDIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVIYQARNTKDVALDMINMHEKQQFMNGEKNIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPEYRLIFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQDTFPVVPLGCSENQANLEEFITKAKAALVSVGIIRDPVMCNAKNGGKLTGRIIDSDMHDVARFLNRILGLFPDIQNRLFDLFTSILDLVIQNARTEGQLDSGIVDIKAKSVKMKESPKTVHVDTLSGATTVLYTFTIDRGVSFELANAILEERLKDEAGSSSDGFYESRKEWMGRRHFLLAFEGSTEGMYRVIRPAVGEASREMPLVELKSKYRKVSSVDKVGKGWQEEYDASSKQCMHGPKCKLGSHCTVGRRLQEINILGGLILPVWGAVEKALAKQVRQIHKRIRVVRLETTNDNQRFVGLIIPNSAVESVLEGLQWVQDIDD >PVH36429 pep chromosome:PHallii_v3.1:6:5462517:5480330:1 gene:PAHAL_6G071200 transcript:PVH36429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGASPAPAAAVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPSAPTPTPPPPSLPPPPPAPAPHAPPPPGRRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLARFRCPQCGVDLAVDMSKLRHFLASAGPGFVPPPMPPPPPVPMPHMPFLPMMPPHLQVPMVPMFPPAEPPEEINEVAVDVERDEDEGGTFGETFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTHKLTIMEELDKRNALSCLQIETLVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSEAIGIKDGVVFVTYSSLIASSEKGLSRLQQLVQWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFENFNQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDVLEAPLEERMMNMYKKAAGLWVELRVELLSAIEYYAEDKVNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAEEKCVVIGLQSTGEARTEEAIAKYGVELEDFVSGPRELLLKLVEDHYPLPPKPDCFQQDEENVMEFQRKRHYGPDVSLKGRVSKLGKLEDVSDAGSDEYPPQSDHESTDSDEEFYMCQICNSEEEKSLLLYCSVCAARVHPGCLTPPWTDALTDDWSCYGCKEKVENYFKERDAYLTELSKRYDAAVERKSKILDIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVIYQARNTKDVALDMINMHEKQQFMNGEKNIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPEYRLIFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQDTFPVVPLGCSENQANLEEFITKAKAALVSVGIIRDPVMCNAKNGGKLTGRIIDSDMHDVARFLNRILGLFPDIQNRLFDLFTSILDLVIQNARTEGQLDSGIVDIKAKSVKMKESPKTVHVDTLSGATTVLYTFTIDRGVSFELANAILEERLKDEAGSSSDGFYESRKEWMGRRHFLLAFEGSTEGMYRVIRPAVGEASREMPLVELKSKYRKVSSVDKVGKGWQEEYDASSKQCMHGPKCKLGSHCTVGRRLQEINILGGLILPVWGAVEKALAKQVRQIHKRIRVVRLETTNDNQRFVGLIIPNSAVESVLEGLQWVQDIDD >PVH37155 pep chromosome:PHallii_v3.1:6:41918297:41920992:-1 gene:PAHAL_6G258900 transcript:PVH37155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTYDEEPLGGTNYIRQDNRSLSENWSGMGLDHDGLEDEVAFSDFDNHNTFSSSNSELHFSSSNEHLRNRMACRNHPSFLQPALSADSLLRSASRMTDLTEFKAVTTCNTCKPATISRDTEADAKSLKNLNSTAPLSIYHPAAFSRTRHKGPHILSWLLPKSKRKPKSDMSPNTIECENMSQLLKEWGVFSLESLKKEVIEANEHRDAALQEVSEVKSSLGELTTKLVSLEAYCSELKKALKQATSAKNMQSHSKRSTRSVSGKDNSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQITLSDKHPKLVLYHLEALMNQAMYQDFENCTFQKNGSPKCLDPKQDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSHFCDQKMSCIVSTLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLTVLRVEENRAFDQMYMEDVLFDKQQAQNHPSAAQVKLMVMPGFYVQDRVLKCRVLCRYS >PAN36122 pep chromosome:PHallii_v3.1:6:41918535:41921294:-1 gene:PAHAL_6G258900 transcript:PAN36122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADATTSSAAAYLPPALPASRQDIQAAVAKAAELRALHAALLQGGANAGAYASASRSPAVIRLPPAASPALARPGLALAGPASAGAEDYPVFAPGPPVLQADVQNQLMISLTYDEEPLGGTNYIRQDNRSLSENWSGMGLDHDGLEDEVAFSDFDNHNTFSSSNSELHFSSSNEHLRNRMACRNHPSFLQPALSADSLLRSASRMTDLTEFKAVTTCNTCKPATISRDTEADAKSLKNLNSTAPLSIYHPAAFSRTRHKGPHILSWLLPKSKRKPKSDMSPNTIECENMSQLLKEWGVFSLESLKKEVIEANEHRDAALQEVSEVKSSLGELTTKLVSLEAYCSELKKALKQATSAKNMQSHSKRSTRSVSGKDNSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQITLSDKHPKLVLYHLEALMNQAMYQDFENCTFQKNGSPKCLDPKQDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSHFCDQKMSCIVSTLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLTVLRVEENRAFDQMYMEDVLFDKQQAQNHPSAAQVKLMVMPGFYVQDRVLKCRVLCRYS >PAN36120 pep chromosome:PHallii_v3.1:6:41918298:41921371:-1 gene:PAHAL_6G258900 transcript:PAN36120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADATTSSAAAYLPPALPASRQDIQAAVAKAAELRALHAALLQGGANAGAYASASRSPAVIRLPPAASPALARPGLALAGPASAGAEDYPVFAPTYDEEPLGGTNYIRQDNRSLSENWSGMGLDHDGLEDEVAFSDFDNHNTFSSSNSELHFSSSNEHLRNRMACRNHPSFLQPALSADSLLRSASRMTDLTEFKAVTTCNTCKPATISRDTEADAKSLKNLNSTAPLSIYHPAAFSRTRHKGPHILSWLLPKSKRKPKSDMSPNTIECENMSQLLKEWGVFSLESLKKEVIEANEHRDAALQEVSEVKSSLGELTTKLVSLEAYCSELKKALKQATSAKNMQSHSKRSTRSVSGKDNSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQITLSDKHPKLVLYHLEALMNQAMYQDFENCTFQKNGSPKCLDPKQDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSHFCDQKMSCIVSTLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLTVLRVEENRAFDQMYMEDVLFDKQQAQNHPSAAQVKLMVMPGFYVQDRVLKCRVLCRYS >PAN36631 pep chromosome:PHallii_v3.1:6:44963688:44968579:1 gene:PAHAL_6G298700 transcript:PAN36631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSRRRGGSYRCPRRAAGSALLLFLLAAVALLYVSPPPVSDHPVVASSRRRRSAHALLNSSGGGGMDESERREISRVPMNGSTGRDDLWGSKLASKFYGCSNSSSKFLDSNITTQTDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQASFWKDSSNFSEIFDADWFISSLSKDVKIVKELPRIGGKLRAPHRMRVPRKCTERCYLNRVLPALLKKHVIRLTKFDYRLANRLQTDLQKLRCRVNYHALRFTAPIQEMGEKLIQRMRERSKYFIALHLRFEPDMLAFSGCYYGGGEKERRELGAIRKRWKGLHPNPEKGRRQGRCPLTPEEVGLMLRALGYSKDVHIYVASGEIYGGARTLAPLKALFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDESDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKRLYPLFLSRGNMSWDAFSSKVRMFQKGFMGEPKELRPGRGEFHENPSTCICERTDGKAKSRNDQVLDSSSDRGKAMDEQAVPNYTFEEVGEFEDGDEDAPAEKGMVDLEMDDDALVRPEDPELEQILSD >PAN34280 pep chromosome:PHallii_v3.1:6:6581380:6588653:1 gene:PAHAL_6G080600 transcript:PAN34280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSQSSSSSSRAWRHLSPWYYLKRPTRLALLIVGFVAATFASWNRLSLVRDYEAEISRLDEEVNRLYDQLRMAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEGKDIADYVIQPLGRKHGKPE >PAN34279 pep chromosome:PHallii_v3.1:6:6581380:6588694:1 gene:PAHAL_6G080600 transcript:PAN34279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSQSSSSSSRAWRHLSPWYYLKRPTRLALLIVGFVAATFASWNRLSLVRDYEAEISRLDEEVNRLYDQLRMAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEGKDIADYVIQPLGRKHGKPE >PVH36473 pep chromosome:PHallii_v3.1:6:6581380:6588653:1 gene:PAHAL_6G080600 transcript:PVH36473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEVQSTGLLLMPSDLYRHDKGDPP >PAN34281 pep chromosome:PHallii_v3.1:6:6581380:6588656:1 gene:PAHAL_6G080600 transcript:PAN34281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSQSSSSSSRAWRHLSPWYYLKRPTRLALLIVGFVAATFASWNRLSLVRDYEAEISRLDEEVNRLYDQLRMAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEGLLLMPSDLYRHDKGDPP >PAN34278 pep chromosome:PHallii_v3.1:6:6581380:6588736:1 gene:PAHAL_6G080600 transcript:PAN34278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSQSSSSSSRAWRHLSPWYYLKRPTRLALLIVGFVAATFASWNRLSLVRDYEAEISRLDEEVNRLYDQLRMAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEGLLLMPSDLYRHDKGDPP >PVH36474 pep chromosome:PHallii_v3.1:6:6582346:6588071:1 gene:PAHAL_6G080600 transcript:PVH36474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWNDSYGLLVCFQAEISRLDEEVNRLYDQLRMAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEGKDIADYVIQPLGRKHGKPE >PAN34282 pep chromosome:PHallii_v3.1:6:6581380:6588736:1 gene:PAHAL_6G080600 transcript:PAN34282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSQSSSSSSRAWRHLSPWYYLKRPTRLALLIVGFVAATFASWNRLSLVRDYEAEISRLDEEVNRLYDQLRMAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEVQSTGLLLMPSDLYRHDKGDPP >PVH36475 pep chromosome:PHallii_v3.1:6:6582346:6588150:1 gene:PAHAL_6G080600 transcript:PVH36475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWNDSYGLLVCFQAEISRLDEEVNRLYDQLRMAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEVQSTGLLLMPSDLYRHDKGDPP >PVH36472 pep chromosome:PHallii_v3.1:6:6581380:6588653:1 gene:PAHAL_6G080600 transcript:PVH36472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIYLDENPNIENIIKKHHLEVDPVNNARREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNTFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLEFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAEGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSKITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLGLTRKTSSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEKIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHGNEGSSGDAEGKDIADYVIQPLGRKHGKPE >PAN33626 pep chromosome:PHallii_v3.1:6:2523133:2525932:-1 gene:PAHAL_6G034700 transcript:PAN33626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRPSSSSAAAAESSLPLSPADGFLRVKDGVDGMIKYVANEPSVGLYFVQQHARASMPILLDVKDKLVEKTHEVTLHTEDMEDSICAVRSMADFGLPLADDMINDISRSLQIMSKTQPKRGLIQNPSWGFQSGKCSGTWDELGATNGSSSRNYLSSMFNTAKQKASSLRWPQPDFSTKDDSSENSASSAAPGSSQAAGQGASTPDTERDDLPISSRLSDGTTATNKSLPAAGISETVETYNKFKEEQELKLQKWLRESEEAGDNKD >PVH36535 pep chromosome:PHallii_v3.1:6:9097764:9098510:1 gene:PAHAL_6G094700 transcript:PVH36535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPAGGAGAINGAPAARGRRDVAWLGAALCNTGQEAAARARAGRGSGERRGTRGGRRTRAPYGAAGGAALQRRARACARTARVARARGEARRSVACGYAARARVRHGRAGPVHSGARARREGGGKGKEEERKKREGRKRKKKGRKEKKGKGRKRNGREKGKRGRERGGASVPVAAATAAGRPRAHGDSRAAREAPALIAAAVAAGRPRAHAARDEPRCTGADCGTQLRVDGAEMGRRSGSRRPSVWQ >PVH37299 pep chromosome:PHallii_v3.1:6:43618732:43619373:1 gene:PAHAL_6G283500 transcript:PVH37299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPALLARALLLLAAAASAAGGGCRSGCDLALASFLIARNQNLTYIAQLFSIDYRSLAPYNPQYPNLDFIPADASVNVSFPCGCHSLPGAPSATYLAGSFPYLVRSGETYESIAGHFSNLTTPGWLASTNSYPANNIPDTGVRVNVTVNCSCGDPGISTAYGLFLTYPLRDGQTLASVAANYSFSSPAQMDLLRQYNPGMATNTSGLVFIPVK >PAN35233 pep chromosome:PHallii_v3.1:6:36374596:36378188:-1 gene:PAHAL_6G191900 transcript:PAN35233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRDKKRNQKRVLARRTAAPRSGEGKDFLPLEDGSGKRTRKQLQQPEEPENTATVVYIGHIPHGFYEDQMKGFFKQFGDIKRLRIARNRKTGKSKHYGFIEFESPVAAKIVADEMNNYLLFEHTLRVSLVPPEKVHPKLWKGVRRGFVPIDRVAIERKMHNKDKSVEEHKKMVEGIVKRDEKRRKRIQAAGIDYECPALIGVIQPSAKKIKFDEN >PVH37390 pep chromosome:PHallii_v3.1:6:45111607:45118928:-1 gene:PAHAL_6G301000 transcript:PVH37390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGMAIERCRIWWPRQELQLEQGPDSARLILFGWFFTSSGCLDIVVSAAVPQDQILRSFATLDALQTIVLSSNKRMPVSLQESSTFTILGDCGRHFLGELEEYCCTKQLPLDAQFVRKEHFGTSRNSISIGSVGNGDQHPIYDHRRWRCDCCVLDGFLDACRKSAVKEGSWVQLFCKSGMSFKSNLNQTVICHLYLDGQQIEINHCHVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PVH37394 pep chromosome:PHallii_v3.1:6:45112466:45116260:-1 gene:PAHAL_6G301000 transcript:PVH37394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLKSIIATYVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PAN36670 pep chromosome:PHallii_v3.1:6:45112056:45118928:-1 gene:PAHAL_6G301000 transcript:PAN36670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGMAIERCRIWWPRQELQLEQGPDSARLILFGWFFTSSGCLDIVVSAAVPQDQILRSFATLDALQVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLRLHTRHNLSYTQVQITKLHFNCPWFSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PAN36668 pep chromosome:PHallii_v3.1:6:45111732:45118823:-1 gene:PAHAL_6G301000 transcript:PAN36668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLKSIIATYVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PAN36671 pep chromosome:PHallii_v3.1:6:45112466:45116260:-1 gene:PAHAL_6G301000 transcript:PAN36671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLKSIIATYVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PVH37393 pep chromosome:PHallii_v3.1:6:45112466:45116260:-1 gene:PAHAL_6G301000 transcript:PVH37393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLKSIIATYVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PAN36672 pep chromosome:PHallii_v3.1:6:45111619:45118975:-1 gene:PAHAL_6G301000 transcript:PAN36672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGNWVRVPSSGSSGEGSMAGGMAIERCRIWWPRQELQLEQGPDSARLILFGWFFTSSGCLDIVVSAAVPQDQILRSFATLDALQTIVLSSNKRMPVSLQESSTFTILGDCGRHFLGELEEYCCTKQLPLDAQFVRKEHFGTSRNSISIGSVGNGDQHPIYDHRRWRCDCCVLDGFLDACRKSAVKEGSWVQLFCKSGMSFKSNLNQTVICHLYLDGQQIEINHCHVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PVH37389 pep chromosome:PHallii_v3.1:6:45111593:45118976:-1 gene:PAHAL_6G301000 transcript:PVH37389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSLQESSTFTILGDCGRHFLGELEEYCCTKQLPLDAQFVRKEHFGTSRNSISIGSVGNGDQHPIYDHRRWRCDCCVLDGFLDACRKSAVKEGSWVQLFCKSGMSFKSNLNQTVICHLYLDGQQIEINHCHVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PVH37391 pep chromosome:PHallii_v3.1:6:45111608:45119386:-1 gene:PAHAL_6G301000 transcript:PVH37391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSLQESSTFTILGDCGRHFLGELEEYCCTKQLPLDAQFVRKEHFGTSRNSISIGSVGNGDQHPIYDHRRWRCDCCVLDGFLDACRKSAVKEGSWVQLFCKSGMSFKSNLNQTVICHLYLDGQQIEINHCHVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PAN36674 pep chromosome:PHallii_v3.1:6:45111593:45119386:-1 gene:PAHAL_6G301000 transcript:PAN36674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGMAIERCRIWWPRQELQLEQGPDSARLILFGWFFTSSGCLDIVVSAAVPQDQILRSFATLDALQTIVLSSNKRMPVSLQESSTFTILGDCGRHFLGELEEYCCTKQLPLDAQFVRKEHFGTSRNSISIGSVGNGDQHPIYDHRRWRCDCCVLDGFLDACRKSAVKEGSWVQLFCKSGMSFKSNLNQTVICHLYLDGQQIEINHCHVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PAN36673 pep chromosome:PHallii_v3.1:6:45112466:45118746:-1 gene:PAHAL_6G301000 transcript:PAN36673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGMAIERCRIWWPRQELQLEQGPDSARLILFGWFFTSSGCLDIVVSAAVPQDQILRSFATLDALQTIVLSSNKRMPVSLQESSTFTILGDCGRHFLGELEEYCCTKQLPLDAQFVRKEHFGTSRNSISIGSVGNGDQHPIYDHRRWRCDCCVLDGFLDACRKSAVKEGSWVQLFCKSGMSFKSNLNQTVICHLYLDGQQIEINHCHVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLRLHTRHNLSYTQVQITKLHFNCPWFSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PVH37395 pep chromosome:PHallii_v3.1:6:45112466:45116260:-1 gene:PAHAL_6G301000 transcript:PVH37395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLKSIIATYVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLRLHTRHNLSYTQVQITKLHFNCPWFSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PVH37392 pep chromosome:PHallii_v3.1:6:45112466:45116260:-1 gene:PAHAL_6G301000 transcript:PVH37392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLKSIIATYVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PAN36669 pep chromosome:PHallii_v3.1:6:45111608:45118993:-1 gene:PAHAL_6G301000 transcript:PAN36669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGMAIERCRIWWPRQELQLEQGPDSARLILFGWFFTSSGCLDIVVSAAVPQDQILRSFATLDALQVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLDSILLALNCSNAARLPVIQECSASSSGAYFVFASVYDVLVQVTWHCMGIFLASASTILYIMILVFQKCLSHMSQYLMLHKVFKHSWSNIHLRSCQILYWPFVLQDTSLSSTMNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLNTETICIWTVALVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHIIQGIAFSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIAITVIQSTPYAELTLWVMRRQRFPAGIFFLHVPSSGRAFEDDDLSTHQIRGCSETKTKDAVHGQSETLVSELNCNYATLVQVIRSNYERVFNRTGFSFCKQLAYGILSGERVPSSLQLQPSPSFPWMNIGITEYWMHCHGSVLSCAPKR >PVH36114 pep chromosome:PHallii_v3.1:6:98083:100383:1 gene:PAHAL_6G001500 transcript:PVH36114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) UniProtKB/Swiss-Prot;Acc:Q9FMR5] MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRADAGITFLCMANDTFGRRIPFLYLEDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEVSEIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLLAVLTVAIVVLLYLLISAFCGGLSLPSCRS >PVH37256 pep chromosome:PHallii_v3.1:6:43190088:43191872:-1 gene:PAHAL_6G276800 transcript:PVH37256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRLRDGRKKIARAHRTRTAHAPTQAGARLRPAHVFRKVKDPPMALGPLANGLQQICNRSRANSDRHFLPKYQISCDDVLASCSVMARRE >PAN35391 pep chromosome:PHallii_v3.1:6:37710366:37715390:-1 gene:PAHAL_6G205300 transcript:PAN35391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYARRGGDRGSGRIQGSRGRGGHALRGRSGLPPRAPLGVSSRPSARTIAKSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYRVSNEDIKELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLSLPMTPRINVVGASNGRATRTVVMTPEFSQCGRGSSSRLLSNPSNRFNNRGGFQAGRGRAQFQARGRGRAQFQSRGRGRGQFQGRGRGRKPEKTADELDKDLESYHAEAMKTD >PVH37328 pep chromosome:PHallii_v3.1:6:44299616:44303924:-1 gene:PAHAL_6G288500 transcript:PVH37328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGKICDVADGRPGGTRPGTPARRRIRFTPAASKLPLQIQGADAMSSPPIRFTHVEPKESLHLHCKGSGSFFASKYMKLMFAGWKYLSTTDVSFSSLIVSTRVVKHWVS >PVH37327 pep chromosome:PHallii_v3.1:6:44300165:44303325:-1 gene:PAHAL_6G288500 transcript:PVH37327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGKICDVADGRPGGTRPGTPARRRIRFTPAASKLPLQIQGADAMSSPPIRFTHVEPKESLHLHCKGSGSFFASKYMKLMFAGWKYLSTTDVSFRKAL >PVH36176 pep chromosome:PHallii_v3.1:6:1208867:1209989:1 gene:PAHAL_6G014300 transcript:PVH36176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPTPSSSSSAPVPSATLPPAASARNSLARIRTVTRWPGGTSGGGVHGCTAAGLVVAAALTSTLQATTLLQQDDLDAQLPMDRSISD >PAN36634 pep chromosome:PHallii_v3.1:6:44975780:44982283:1 gene:PAHAL_6G298900 transcript:PAN36634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSCRSLCCMGPPRAASPAGAAVDATAGVRVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDLVWNPPGQKTGIFDVIKGTEFRIIYHDENQAEVSFTRNWDPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGLGETRVAFKLRKDKFHYMALADDRQRIMPMPDDRLPPRGQPLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWMSFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPMFTNGEYWKKVHGPVFMYLNSSWDGSDPTMLWEDAKVQMMIEKESWPYSFALSDDFQKTEQRGCVSGRLLVRDRYIDDGDLYASGAYVGLALPGEAGSWQRECKGYQFWCRADVDGSFYIRNIVTGNYNLYAWVPGFIGDYKLDATLTIASGDDIYLGDLVYQPPRDGPTMWEIGVPDRSAAEFYVPEPNPKYVNRLYINHPDRFRQYGLWERYAELYPDSDLVYTIGQSDYSTDWFYAHVNRKVDENTYQPTTWQIKFNLDSVSPGSAYKFRVALASSARAELQVFFNDKDRGVPHFATGLIGRDNAVARHGIHGLYWLFNINVDSAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRLEGPCGC >PAN35315 pep chromosome:PHallii_v3.1:6:37125627:37128648:1 gene:PAHAL_6G198500 transcript:PAN35315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYALAAAAVLIVFLCAVKNRRSGKLPPSPPSLPLIGHLHLIGRLAHRSLHELHLRYGGGACGLLFLQLGRRRTLVVCTAAAAADLFRNHDLAFASRPRSVAADRMMYGCRNVSFAPYGEDWRRGKKVAVVHLLSPRRVASFAPVRAAEAAALVARARRAAEAGEAVELRELLYGYTNAVVTRAATGAAGATAERLKQLMGNTAALVTGFQPEDVLPDAPARFVTWATGLDKKLDDMAEAWDKFLSEIVAAHEEKRGGCAGEEEDEDFLDVLLRLRREGADGLELTDDRIKATIKDMIAAATGTSSETLEWAMAELVANPRVMAKLQDEITRVAGAAADELAIAEADLDKMEYLKAVFKEVLRLHPPAPLLVPHESTAPAVVRGYEIPARTALFVNAWAIGRDPAAWDAPEEFRPERFVGGGPAADFRGTDYELIPFGAGRRMCPGINFALPVLELALASILCHFDWELPAGMRPADLDMGEAPGLTTPPRVPLVLVPRCKTLARPAN >PAN34571 pep chromosome:PHallii_v3.1:6:13609756:13615338:-1 gene:PAHAL_6G109200 transcript:PAN34571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHEARGDDFEKKAEKKLTGWGIFGSKYEDAADLFDKAANSFKLAKNWSRAASVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLEKATDYLERAADLFDSEGQSSQANTIKQKVAEIAAQLEQYPKATEIFEGIARQSINNNLLKYGVRGILLNAGICQLCRGDPVAITNSLERYQEIDPTFSGTREYKLLADLAASMDEGDIAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >PAN34035 pep chromosome:PHallii_v3.1:6:4982365:4988080:1 gene:PAHAL_6G065600 transcript:PAN34035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNYLTGPVPSFIGKFPMQYLSLAINPLSGTLPKELGNLTNLISLGISLNNFTGELPSELGNLTKLEQIYFDSSGFSGPFPSTFSKLKNLKILWASDNDFTGKIPDFIGSLTKLEVLRFQGNSFEGPIPESLSNLTKLTDLRIGDIVNGSSSLSFISNLTSLNVLILRNCRISDNLATVNFSNLAGLTLLDLSFNNITGQIPQSILNLENLGFLFLGNNSLSGSLPNVKSPSLNNLDFSYNQLSGSFPSWATQNNLQLNLVANNFVLGSSNNSIPHSGLNCLQQDIPCFRGSPEYYSFAVDCGSNRSMTGSDNTFYEIDPTNTGAASYYVTDQTRWGVSNVGKFNEAPNGSYIIYSSQQFQNALDSELFQTARMSPSSLRYYGIGLENGDYTVELQFAEFAYPESPTWQSIGRRVFDIYVQGDLKEKNFDIRKTAGGKSYIAVYKKYNATVSANFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGATAGIVIGASVLGLAALVGVFMLVQKRRRVVQQQEELYNMVGRPNVFSNAELKLATDNFSSQNILGEGGYGPVYKGKLPDGRIIAVKQLSQTSHQGKSQFVTEVATISAVQHRNLVKLYGCCIDSNTPLLVYEYHENGSLDRALFGDSGLSLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLTPKISDFGLAKLFDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALEAVAGRSNTDNSLEEDKIYLFEWAWEMYERDQTLSILDPSIEEFDSEEASRVIHVALLCTQGSPHQRPPMSRVVKMLTGEVEMTEVVTKPSYITEWQRRGGNTSYVTNDYSGDTTGEFSVQREEIAPLTPSPAITGVIDEGR >PAN34034 pep chromosome:PHallii_v3.1:6:4981505:4988080:1 gene:PAHAL_6G065600 transcript:PAN34034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGCSGSHGRLLWLLLACSCVAAARAQQTARTDPIEVAALNTILGRWGLRASPAWNISGEPCSGVAVDTTDVDNNPNINPAIKCDCSYDNATVCHITKLKANALNVVGQIPAELQNLTYLNNLNLMQNYLTGPVPSFIGKFPMQYLSLAINPLSGTLPKELGNLTNLISLGISLNNFTGELPSELGNLTKLEQIYFDSSGFSGPFPSTFSKLKNLKILWASDNDFTGKIPDFIGSLTKLEVLRFQGNSFEGPIPESLSNLTKLTDLRIGDIVNGSSSLSFISNLTSLNVLILRNCRISDNLATVNFSNLAGLTLLDLSFNNITGQIPQSILNLENLGFLFLGNNSLSGSLPNVKSPSLNNLDFSYNQLSGSFPSWATQNNLQLNLVANNFVLGSSNNSIPHSGLNCLQQDIPCFRGSPEYYSFAVDCGSNRSMTGSDNTFYEIDPTNTGAASYYVTDQTRWGVSNVGKFNEAPNGSYIIYSSQQFQNALDSELFQTARMSPSSLRYYGIGLENGDYTVELQFAEFAYPESPTWQSIGRRVFDIYVQGDLKEKNFDIRKTAGGKSYIAVYKKYNATVSANFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGATAGIVIGASVLGLAALVGVFMLVQKRRRVVQQQEELYNMVGRPNVFSNAELKLATDNFSSQNILGEGGYGPVYKGKLPDGRIIAVKQLSQTSHQGKSQFVTEVATISAVQHRNLVKLYGCCIDSNTPLLVYEYHENGSLDRALFGDSGLSLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLTPKISDFGLAKLFDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALEAVAGRSNTDNSLEEDKIYLFEWAWEMYERDQTLSILDPSIEEFDSEEASRVIHVALLCTQGSPHQRPPMSRVVKMLTGEVEMTEVVTKPSYITEWQRRGGNTSYVTNDYSGDTTGEFSVQREEIAPLTPSPAITGVIDEGR >PAN35207 pep chromosome:PHallii_v3.1:6:35991158:35995737:1 gene:PAHAL_6G189400 transcript:PAN35207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGMLCRSQAATAVCVPGDARSMVVARRADRTIAAGGTDARALHDVRYARLGGTGAEGGRRSASRRFAAPRQAAAAPPPPPPPPPAVSSAASCKPRVEMVRRRPAGAARAPVAVTLPMVTKSPSKEAPAKDLAAAAKRAAVAAPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLA >PAN33462 pep chromosome:PHallii_v3.1:6:1722696:1728207:1 gene:PAHAL_6G023100 transcript:PAN33462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFEDDEPPSKRARASSVESASLPDCFSFSKSANPLGSTMARPLPSQGKEVMVGSKGVIKKEEFVRIITKTLYSLGYEKSGAVLEEESGITLHNPMVNLFREQVIDGKWDNAVVTLNKIGLLDENIVKSAAFLILEQKFFELLRNDNVMGAMKTLRSEITPLGVNRKRVHELSSCMISCSPQQLFLGFSKLGIDSSTSRSKLLEELEKVLPPNVMVPERRLENLVEQALTVQRDACYFHNSIDGLSLYIDHHCGKDQIPSRALQVLRAHRDEVWFIQFSNNGKYLASASNDKSAMIWEVDEDGELLLKHTLNGHEKSVMMVAWSPDDCQLLTCGVEETIRRWDVESGKCLHVYEKPGIGFISCAWFPDGKQILSGLTDQHFCIWDLDGKEVDCWKGQRSTKTPDFVVGKDGKLIISMNGEKTILLFDRETKQERLIEEDHTITSFSLSEDGDCLLVNLVNEVIHLWNIRNGPIRVNRYSGHKRNRFVIRSCFGGSEQAFIASGSEDSQVYIWHRATGDLIETLAGHSGTVNCVSWNPANPHMLASASDDHTIRIWGAKKAGLKRKDVGSSSCSSNGIHANGNTHGNGFIHQCNGNSTK >PAN35915 pep chromosome:PHallii_v3.1:6:40882369:40884047:-1 gene:PAHAL_6G243900 transcript:PAN35915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDESGLKKGPWTPEEDEKLLQYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALAALRDQLAADPPGSAQLQPGAGAGVDVAIQAAKLQYLQCLLQSAATTIDGIASTAAAGSTPDAEAAALCALCSPQGTQDSTAPVSAASDQLLRSCTFPEAPVSSEANQGLGYGGADVDVFTCHGGASLPPLADLSDAANNHPADGCSATASSSFGGGAGSPLPWPEFFPDDPFITDFL >PVH36387 pep chromosome:PHallii_v3.1:6:4882834:4885819:-1 gene:PAHAL_6G064500 transcript:PVH36387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVEGLGHGAGFAKRRPAALLNSLPLFLLSFLHRYCRDAFNSGSCPGGGLNAELAERPHRRPPPTWGRCREVGRSASTARRRLHAYLAVGPAPKTSTDPVPPATSAHGGCGCEFGRSASTAGDEHGSHSDRDLDSRGAAAAREVGLHRSSAFAYLSTGLAAETSEAPAATAAGDTCGQRGEQQGPSRGALSPRHHKHTIVVHPSAGGVDAHPAARCNLPMRRERWWPWRNSWAPSSHHLDCRRALCPLLWPPIVLTVCFPVPPTCLTKCILHIDKILCIEHQQSATCIHL >PAN36216 pep chromosome:PHallii_v3.1:6:42170777:42175631:1 gene:PAHAL_6G262700 transcript:PAN36216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAADQHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVDAAEVVDWIMSCYHPESGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDIDKVADYVAGLQNEDGSFSGDIWGEVDTRFSYIALCTLSLLHRLHKINMQKAVDFVVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRK >PAN34867 pep chromosome:PHallii_v3.1:6:14406952:14412437:1 gene:PAHAL_6G111400 transcript:PAN34867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKLSGIQKQVLTLYRGFLRTARLKDPEERRRIESVVSAEFRDNARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLATLEVKK >PAN34870 pep chromosome:PHallii_v3.1:6:14407088:14413652:1 gene:PAHAL_6G111400 transcript:PAN34870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKLSGIQKQVLTLYRGFLRTARLKDPEERRRIESVVSAEFRDNARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLATLEVKK >PAN34868 pep chromosome:PHallii_v3.1:6:14407088:14412824:1 gene:PAHAL_6G111400 transcript:PAN34868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKLSGIQKQVLTLYRGFLRTARLKDPEERRRIESVVSAEFRDNARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLATLEVKK >PAN34866 pep chromosome:PHallii_v3.1:6:14406750:14413720:1 gene:PAHAL_6G111400 transcript:PAN34866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKLSGIQKQVLTLYRGFLRTARLKDPEERRRIESVVSAEFRDNARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLATLEVKK >PAN34869 pep chromosome:PHallii_v3.1:6:14406750:14413720:1 gene:PAHAL_6G111400 transcript:PAN34869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKLSGIQKQVLTLYRGFLRTARLKDPEERRRIESVVSAEFRDNARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLATLEVKK >PAN34871 pep chromosome:PHallii_v3.1:6:14407088:14412824:1 gene:PAHAL_6G111400 transcript:PAN34871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKLSGIQKQVLTLYRGFLRTARLKDPEERRRIESVVSAEFRDNARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLATLEVKK >PVH36175 pep chromosome:PHallii_v3.1:6:1193459:1196301:1 gene:PAHAL_6G014200 transcript:PVH36175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASQEIDLDVIRDVDLNKLEPWDIQEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPAASGDAAATVAAAAAAAASSDAGQEDGWVVCRVFKKKHHHKESGGGGGRHGSGGGGDGGKAAAAAHHGLQYSSSDDALDQILQYMGRSCKQEHEQLLSPQGGGGGPGARAGPRYLRPIDTVLGGHGFMKLPPLESPSAALTPPHLSAAAGDAAAPPVDDLLHGAGASGITDWAMMDRLVASHLNGQAADAAAPASHHQLCFDDGPGADDADGLAFYAAATTRLLGGGGVGGGSSDDDLWSFTRSSAPAAAATSTERLSHVSL >PAN33345 pep chromosome:PHallii_v3.1:6:1193459:1196433:1 gene:PAHAL_6G014200 transcript:PAN33345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASQEIDLDVIRDVDLNKLEPWDIQEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPAASGDAAATVAAAAAAAASSDAGQEDGWVVCRVFKKKHHHKESGGGGGRHGSGGGGDGGKAAAAAHHGLQYSSSDDALDQILQYMGRSCKQEHEQLLSPQGGGGGPGARAGPRYLRPIDTVLGGHGFMKLPPLESPSAALTPPHLSAAAGDAAAPPVDDLLHGAGASGITDWAMMDRLVASHLNGQAADAAAPASHHQLCFDDGPGADDADGLAFYAAATTRLLGGGGVGGGSSDDDLWSFTRSSAPAAAATSTERLSHVSL >PVH37325 pep chromosome:PHallii_v3.1:6:44267668:44273350:-1 gene:PAHAL_6G287700 transcript:PVH37325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQATDGQLQATEQEVSVHASQQAGQPHMNTTDQFSKSELVTEGSKGEQPVQAEQQNPQLQQFQPESRLQQAETNSFQLAEKETGSFGQQSFSVSKVDVAQPSVVQQNAKQVVGPQAPSGAQDTRKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFLQMQAQAQRNNQANPSQHSLFSQASAQQMPSSGSAQLHDQKVRSPGPSNQGQKNQVPSSPQAFAPQSGTQAQTSTQHLSHDNPNPDPDAKQASQQIYGASNPGAQGYPRSITGSLRPSNPVPETQPSMHAHGMPPAKVAPPPTHPMMQHNAVAWQMHQNKELKTNTLPPNANAKQNYESAGKARTVGAGNSSAKGKQGPPNSSTSNASGGAKSNKKSGGQKKSSEAAGTTQSSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEEKLFLWKGPLLKKLAEIARKCNMKNVNIDVEHCLSMCVEERLRRFISTLIRVSKQRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQTEADGTGAAELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVAAASQPGKGQGPRSLSKFGKGLGENQEGSKRSHSAAFGTGGMKRPGRTPFAGPQRTISVKDVICALEREPQMTKSRLIFRLHERLPGDSSAD >PVH37326 pep chromosome:PHallii_v3.1:6:44267668:44273350:-1 gene:PAHAL_6G287700 transcript:PVH37326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQATDGQLQATEQEVSVHASQQAGQPHMNTTDQFSKSELVTEGSKGEQPVQAEQQNPQLQQFQPESRLQQAETNSFQLAEKETGSFGQQSFSVSKVDVAQPSVVQQNAKQVVGPQAPSGAQDTRKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFLQMQAQAQRNNQANPSQHSLFSQASAQQMPSSGSAQLHDQKVRSPGPSNQGQKNQVPSSPQAFAPQSGTQAQTSTQHLSHDNPNPDPDAKVPNAIPNQPPRMNSAVSLQTKNKQHQPTQFQQASQQIYGASNPGAQGYPRSITGSLRPSNPVPETQPSMHAHGMPPAKVAPPPTHPMMQHNAVAWQMHQNKELKTNTLPPNANAKQNYESAGKARTVGAGNSSAKGKQGPPNSSTSNASGGAKSNKKSGGQKKSSEAAGTTQSSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEEKLFLWKGPLLKKLAEIARKCNMKNVNIDVEHCLSMCVEERLRRFISTLIRVSKQRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQTEADGTGAAELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVAAASQPGKGQGPRSLSKFGKGLGENQEGSKRSHSAAFGTGGMKRPGRTPFAGPQRTISVKDVICALEREPQMTKSRLIFRLHERLPGDSSAD >PVH37324 pep chromosome:PHallii_v3.1:6:44267220:44275556:-1 gene:PAHAL_6G287700 transcript:PVH37324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQATDGQLQATEQEVSVHASQQAGQPHMNTTDQFSKSELVTEGSKGEQPVQAEQQNPQLQQFQPESRLQQAETNSFQLAEKETGSFGQQSFSVSKVDVAQPSVVQQNAKQVVGPQAPSGAQDTRKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFLQMQAQAQRNNQANPSQHSLFSQASAQQMPSSGSAQLHDQKVRSPGPSNQGQKNQVPSSPQAFAPQSGTQAQTSTQHLSHDNPNPDPDAKASQQIYGASNPGAQGYPRSITGSLRPSNPVPETQPSMHAHGMPPAKVAPPPTHPMMQHNAVAWQMHQNKELKTNTLPPNANAKQNYESAGKARTVGAGNSSAKGKQGPPNSSTSNASGGAKSNKKSGGQKKSSEAAGTTQSSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEEKLFLWKGPLLKKLAEIARKCNMKNVNIDVEHCLSMCVEERLRRFISTLIRVSKQRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQTEADGTGAAELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVAAASQPGKGQGPRSLSKFGKGLGENQEGSKRSHSAAFGTGGMKRPGRTPFAGPQRTISVKDVICALEREPQMTKSRLIFRLHERLPGDSSAD >PAN34346 pep chromosome:PHallii_v3.1:6:7489753:7490783:-1 gene:PAHAL_6G086600 transcript:PAN34346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDSGGCRSVSRGSPSKSKSVKVSSPAFVPFICEAGRLCEGCMLNYHSKREAAAKAAKMKMQGSNTQSGGVSLPDDESENPSSDPFSISSSGADSLSGSDAKLPQGMETSKWGGVDHETAERCRHGKRPRRLLCWDGNNTGRRYLACPLRGKFNMCDFISWVDDQWPPMFQRVVASIGEVVGKFKKKLDDLQVDLLEAIQLRNDAVEENEAILSEKQEQLLENQRLEREFTMRTRLAQTTCSTLQNRISNDLYDKNMLYGFILCMFRVIVAILFGIALKK >PAN34344 pep chromosome:PHallii_v3.1:6:7489753:7490603:-1 gene:PAHAL_6G086600 transcript:PAN34344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQGSNTQSGGVSLPDDESENPSSDPFSISSSGADSLSGSDAKLPQGMETSKWGGVDHETAERCRHGKRPRRLLCWDGNNTGRRYLACPLRGKFNMCDFISWVDDQWPPMFQRVVASIGEVVGKFKKKLDDLQVDLLEAIQLRNDAVEENEAILSEKQEQLLENQRLEREFTMRTRLAQTTCSTLQNRISNDLYDKNMLYGFILCMFRVIVAILFGIALKK >PAN34345 pep chromosome:PHallii_v3.1:6:7489386:7490949:-1 gene:PAHAL_6G086600 transcript:PAN34345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPRIPLRIPSAFHPQSGSDAKLPQGMETSKWGGVDHETAERCRHGKRPRRLLCWDGNNTGRRYLACPLRGKFNMCDFISWVDDQWPPMFQRVVASIGEVVGKFKKKLDDLQVDLLEAIQLRNDAVEENEAILSEKQEQLLENQRLEREFTMRTRLAQTTCSTLQNRISNDLYDKNMLYGFILCMFRVIVAILFGIALKK >PAN34343 pep chromosome:PHallii_v3.1:6:7489491:7490916:-1 gene:PAHAL_6G086600 transcript:PAN34343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPRIPLRIPSAFHPQSGSDAKLPQGMETSKWGGVDHETAERCRHGKRPRRLLCWDGNNTGRRYLACPLRGKFNMCDFISWVDDQWPPMFQRVVASIGEVVGKFKKKLDDLQVDLLEAIQLRNDAVEENEAILSEKQEQLLENQRLEREFTMRTRLAQTTCSTLQNRISNDLYDKNMLYGFILCMFRVIVAILFGIALKK >PVH37111 pep chromosome:PHallii_v3.1:6:41191084:41193771:-1 gene:PAHAL_6G248600 transcript:PVH37111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRLKREGDMATQLANPGALNERIEEIQREIYSSQQQLHIYEERLRLFEPDTAAFGSTSEIHGCEKVLADLLARVVQRKNYLLGDHMTPFDPAASGMEGTDGAQMYTDQAEGTFAGDAALWAAADVGADDPGHQMFGAADPLMYLRDQDVYDATSQVAGLHGDPCAAGGEAADAEAEAEAWRQAYTCTELLSTLIPSTPFPLMPHCLGPDDEFLPLQDDGGMAAAQEPVEASESCS >PAN34024 pep chromosome:PHallii_v3.1:6:4917140:4922324:1 gene:PAHAL_6G064900 transcript:PAN34024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDQQRSTQRWERLRRAAESNEFLIERTDTWDDRVEHDAGDDLLMERRESLVKRWRWLKAVSMVVFSGRPAYKMSQQIEPSHQPTESQTDHLLAAAKTDLGVNRPQPTTTAQVQDLQSPRHTTKLRSNNPVPEVVIMDVEPNLPRSTETAQVQSWLSPRHAAKSPNNSVPEVVVDVTSNLPRPTETSQVVDKMKKEQQGRCLKYSQKALGFAICTLIGYASAVSLSPSSNGGNDGKKDNATFKLAIAPFFVAICTDLFSLKTKAKQGNVLVYLSSFHLMLMIYLIFISFNMDYAYAIIFLPLVAGASLLQQKIWPEGHRQSTDEKVSKDLDSMFDLSALILNWSTFISAIMAIFRDLIPSGQNQYISFSAVGLLFFLTIILGLYLMLITTVRTAALNLRAKYLDVLLICLLVSTLITALITFIKKK >PAN34027 pep chromosome:PHallii_v3.1:6:4918223:4922324:1 gene:PAHAL_6G064900 transcript:PAN34027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRIRIYIPHLCLNFLVNFSLNGVGRGGGWRAGHAGNPMEEGGGAWGAVGVKGIEMGWDNNKVQDLQSPRHTTKLRSNNPVPEVVIMDVEPNLPRSTETAQVQSWLSPRHAAKSPNNSVPEVVVDVTSNLPRPTETSQVVDKMKKEQQGRCLKYSQKALGFAICTLIGYASAVSLSPSSNGGNDGKKDNATFKLAIAPFFVAICTDLFSLKTKAKQGNVLVYLSSFHLMLMIYLIFISFNMDYAYAIIFLPLVAGASLLQQKIWPEGHRQSTDEKVSKDLDSMFDLSALILNWSTFISAIMAIFRDLIPSGQNQYISFSAVGLLFFLTIILGLYLMLITTVRTAALNLRAKYLDVLLICLLVSTLITALITFIKKK >PVH36390 pep chromosome:PHallii_v3.1:6:4917139:4922324:1 gene:PAHAL_6G064900 transcript:PVH36390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDQQRSTQRWERLRRAAESNEFLIERTDTWDDRVEHDAGDDLLMERRESLVKRWRWLKAVSMVVFSGRPAYKMQIEPSHQPTESQTDHLLAAAKTDLGVNRPQPTTTAQVQDLQSPRHTTKLRSNNPVPEVVIMDVEPNLPRSTETAQVQSWLSPRHAAKSPNNSVPEVVVDVTSNLPRPTETSQVVDKMKKEQQGRCLKYSQKALGFAICTLIGYASAVSLSPSSNGGNDGKKDNATFKLAIAPFFVAICTDLFSLKTKAKQGNVLVYLSSFHLMLMIYLIFISFNMDYAYAIIFLPLVAGASLLQQKIWPEGHRQSTDEKVSKDLDSMFDLSALILNWSTFISAIMAIFRDLIPSGQNQYISFSAVGLLFFLTIILGLYLMLITTVRTAALNLRAKYLDVLLICLLVSTLITALITFIKKK >PAN34874 pep chromosome:PHallii_v3.1:6:14418785:14419639:1 gene:PAHAL_6G111500 transcript:PAN34874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSSSRTASMEVEGAGLQVEDGEEEVQAREKEHEAPAKELDLLGALGSEPPEVKAVAPGKGKEKVVVAEEEKAPATAPASSGGEKKRSFKCNFCQRKFYTSQALGGHQNAHKRERSLAKRGAAAAAAAAAAAGRGLYGGADPFLPPHHLRFPHAWPYSTGGGRPSSSSFLGLGRGSAAAAPFYGLHHGWAAHAHAHGQPSMPGLARHAGAERPIYAPHGYGYGYGASSRAPSPAVLDSGMAGLRWAGVASGASAGGDNGAAHEVTQQQEESQSCKIDLNLRL >PAN33552 pep chromosome:PHallii_v3.1:6:2116042:2118467:1 gene:PAHAL_6G029400 transcript:PAN33552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLRSSSCCSPLAAAFFFFFLVAVIGSTSSNLQQATKYNAMFSFGDSVAETGNICVVSSSSSNSTELEALTCTHPPYGTTNFGRPSCRWSDGRVVVDFIAQSLGLPLLPPSKSKGKDFRRGANMAITGATAMNFSFYQSLGIEDPVWNHGSLYMQIQWFTELIPSLCGTKQNCKEFLAKSLFLFGGFGGNDYNIQLLELGLTPEQTMKNTPIIVNATVNGIERLIALGAVHIVVPSILPTEHHNSMLREQVQILQRKYKSTRVMYADYYSQVYKMVQQPQKFGFSNPFEACCGAGGGKYNFDVAARCGMPGATTACRDPSARLSWDGVHPTEAVNKMIADAWLNGPYCNPPILS >PAN33553 pep chromosome:PHallii_v3.1:6:2116042:2118467:1 gene:PAHAL_6G029400 transcript:PAN33553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLRSSSCCSPLAAAFFFFFLVAVIGSTSSNLQQATKYNAMFSFGDSVAETGNICVVSSSSSNSTELEALTCTHPPYGTTNFGRPSCRWSDGRVVVDFIAQSLGLPLLPPSKSKGKDFRRGANMAITGATAMNFSFYQSLGIEDPVWNHGSLYMQIQWFTELIPSLCGTKQNCKEFLAKSLFLFGGFGGNDYNIQLLELGLTPEQTMKNTPIIVNATVNGIERLIALGAVHIVVPSILPTGCLPLFLALFVAGSSGESDFDQYGCLKSYNRLTEHHNSMLREQVQILQRKYKSTRVMYADYYSQVYKMVQQPQKFGFSNPFEACCGAGGGKYNFDVAARCGMPGATTACRDPSARLSWDGVHPTEAVNKMIADAWLNGPYCNPPILS >PVH37371 pep chromosome:PHallii_v3.1:6:44935914:44938546:1 gene:PAHAL_6G298000 transcript:PVH37371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNQTDVEEQLQNLKATISAPSSTIETIVDGLSKLGSIYSCIDELICFPSSQPQQRKVVEEELECSLIVLDLCSAMQESFAELRASIQEIHLALKRGDDVAVQAKAKSYSRLAKKAQKQIKKINKKVALDNESCRMIKLLSEAREIALSMLESTLDLLSKEITMPSASKWSLVSKAFQKKRVTCEEGQLQVLELEIVDLESQVQIVFRRLIQCRVSILNTLSL >PVH36544 pep chromosome:PHallii_v3.1:6:9885134:9888618:-1 gene:PAHAL_6G098300 transcript:PVH36544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQRKPFHAPRPSNPSIRRSTRNRPDKPIPNRVAAVCRSNGTQVPKPNAVTSLLPHPTTPLPVEMALKAAVSVTPLPSPPLRRLLSLASSRSAAARRLARVSAAMAATAVHPAVVVGGGRVGQALLSMGPPGADVLVGRGEKVPNDAPGPILVCTRNDDLDAVLEATPKSRWRDLVFFQNGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGSWAPAVAARLQNGRLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVASLIAELASAVAGERGLTFDEGIEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLTEKALAEGKPDPCPLHTAWLKEIKVI >PVH36488 pep chromosome:PHallii_v3.1:6:6912768:6915560:1 gene:PAHAL_6G083500 transcript:PVH36488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRGVEAGEVVDDLPGGFSAYVTGPSKSSHAVVLASDVYGFEAPILRKIADQVAAKGYYVVVPDFFHGDPYNDSKILSEWIKSHSPVTAAQDAKPLFASLRNKGKSIGVGGYCWGEVKWPIEILGAQNDTITPPEQVRQFDQALRQRMDIEYFVKIFPRVAHGFACRYNTSDPFAVKSAEQALAYMLDWFHKYLK >PVH36487 pep chromosome:PHallii_v3.1:6:6912768:6915560:1 gene:PAHAL_6G083500 transcript:PVH36487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRGVEAGEVVDDLPGGFSAYVTGPSKSSHAVVLASDVYGFEAPILRKIADQVAAKGYYVVVPDFFHGDPYNDSKILSEWIKSHSPVTAAQDAKPLFASLRNKGKSIGVGGYCWGGKFATEIAKTDNVKVAVLSHPAYVIVDDMKEVKWPIEILGAQNDTITPPEQVRQFDQALRQRMDIEYFVKIFPRVAHGFACRYNTSDPFAVKSAEQALAYMLDWFHKYLK >PAN34886 pep chromosome:PHallii_v3.1:6:23441479:23443141:-1 gene:PAHAL_6G140100 transcript:PAN34886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 40 precursor (EC 1.11.1.7) (Atperox P40) [Source: Projected from Oryza sativa (Os08g0302000)] MAYSTTTVALQVVGLLLVLTAAAASATTGSAAHVNTSCVTGSAGATVSIGYGGARASAGAGVSLGSGVYQATCPRAEEIVRAAVERAVAADPRMAASLLRLHFHDCFVNGCDGSVLLDDKPPFFVGEKTAVPNANSLRGFEVIDTIKAELERECPETVSCADLLAIAARDSVVVSGGPSWEVEAGRKDSRTASLQGANTNLPAPTSGVATLVQKFRNVGLSAKDMVALSGAHTIGKARCTSFSARLSGARVSAGAVGASKDLTFLQSLQQLCSGSAGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALAASPAGAVPGTDDDDVASLVAAYAFDASVFLQDFAESMLRMGRLAPGGGDDGEVRVNCRVVNSSS >PVH36831 pep chromosome:PHallii_v3.1:6:34169426:34173689:-1 gene:PAHAL_6G179200 transcript:PVH36831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36832 pep chromosome:PHallii_v3.1:6:34169426:34176563:-1 gene:PAHAL_6G179200 transcript:PVH36832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36833 pep chromosome:PHallii_v3.1:6:34171421:34173616:-1 gene:PAHAL_6G179200 transcript:PVH36833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH37118 pep chromosome:PHallii_v3.1:6:41259882:41262983:-1 gene:PAHAL_6G250000 transcript:PVH37118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTPPTTAPAPAHPLPFPPHLPVPSDATPVSQPPSRFRTPGAPVTGWLAHVLGVQMAGAPHNSCFMAPLLWIVLLLLVSPGNAFYLPGSYMHTYSQGESISAKVNSLTSIETEMPFNYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFHVNVNESLFLCTTKGLNDNDAKLLKQRARDLYQVNMMLDNLPVMRFTEQNGVTVQWTGFPVGYSPAGSSEDYIINHLKFKVLVHEYEGSNVEIIGTGEEGSGVISEIDKKGMSGYQIVGFQVTPCSVKRNAEDFSKLNMYDSIDPVDCPVELKKAQVIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGSKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMIGDGVQILGMAIVTIVFATLGFMSPASRGMLLTGMIVLYLFLGIAAGYVSVRFWRTIKGTSEGWRSVSWLTACFFPGVMFTVLTVLNFVLWKSESTGALPISLFFTLLALWFCISVPLTLVGGFLGTRAEQIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVVVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAMLYVGYSFLMAFAIMLATGTIGFLTSFAFVHYLFSSVKID >PVH37117 pep chromosome:PHallii_v3.1:6:41259342:41263033:-1 gene:PAHAL_6G250000 transcript:PVH37117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTPPTTAPAPAHPLPFPPHLPVPSDATPVSQPPSRFRTPGAPGWLAHVLGVQMAGAPHNSCFMAPLLWIVLLLLVSPGNAFYLPGSYMHTYSQGESISAKVNSLTSIETEMPFNYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFHVNVNESLFLCTTKGLNDNDAKLLKQRARDLYQVNMMLDNLPVMRFTEQNGVTVQWTGFPVGYSPAGSSEDYIINHLKFKVLVHEYEGSNVEIIGTGEEGSGVISEIDKKGMSGYQIVGFQVTPCSVKRNAEDFSKLNMYDSIDPVDCPVELKKAQVIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGSKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMIGDGVQILGMAIVTIVFATLGFMSPASRGMLLTGMIVLYLFLGIAAGYVSVRFWRTIKGTSEGWRSVSWLTACFFPGVMFTVLTVLNFVLWKSESTGALPISLFFTLLALWFCISVPLTLVGGFLGTRAEQIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVVVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAMLYVGYSFLMAFAIMLATGTIGFLTSFAFVHYLFSSVKID >PAN35393 pep chromosome:PHallii_v3.1:6:37729419:37731327:1 gene:PAHAL_6G205500 transcript:PAN35393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLVSFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKLVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLAPPPQQQEHQPIPPPTGGSPEAQPSPPPERSPPEEKAVPVSATATATATSSSEHGDSELLLSKSPGFCTDEVPMMHPDEIMVPLGDQPPPLPASACAPAPAAAVSTPTTSYSTSASSSSLSRDEESPFAFMDMELPEFVLQMGLDDVDARWRDCLLQPPLSPSPVFEDPFDSYQLQRNGVLFSSY >PAN35913 pep chromosome:PHallii_v3.1:6:40877182:40881424:-1 gene:PAHAL_6G243800 transcript:PAN35913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVKEVVEKPRDRSRSRSPRPRHRDRDHRRRSRSRSRERHGRDRDRDYRRQSRSRSRSRSRSRSPSSSPDHISHRKARDDDKRRSRSKSRSRSRSRSRSRSHSYHSASPARRSASPRKSSPPRRSPTPEKHTNGKDLPPSRSVSPSPKHAGSRSPNSESKE >PAN35912 pep chromosome:PHallii_v3.1:6:40878270:40880584:-1 gene:PAHAL_6G243800 transcript:PAN35912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVKEVVEKPRDRSRSRSPRPRHRDRDHRRRSRSRSRERHGRDRDRDYRRQSRSRSRSRSRSRSPSSSPDHISHRKARDDDKRRSRSKSRSRSRSRSRSRSHSYHSASPARRSASPRKSSPPRRSPTPEKHTNGKDLPPSRSVSPSPKHAGSRSPNSESKE >PVH36145 pep chromosome:PHallii_v3.1:6:466784:468228:-1 gene:PAHAL_6G006700 transcript:PVH36145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNPGPPGLGFPYFPPNPYLPRPPPRPQAPPPPQRFPPPMRAPPPPQRAPPPPQSPPPPQSPPPRRAPPPPTLPPPPPRRAPPPPALPPPPPRRAPPPPSLPPPPPRRAPPPPSSPPPPPRRAPPPPSPPIRPPPTPHPQAPPPPRPLAPPPPHIHPPPAPVPPPPSPPHHIVIIVVFVSLGGLLLLGCLAAFFCWHKKKGRKTETKAEVLNYSEHVHVHKETMSGPHGADVVKLSIDEDIKFQEAVKKQDSVGESSSTAAAGKTAHHHLPWTWHKKHESKEEKKTELINVTKHKHVDEKIMPGPHGEKIEVLSEDEDIRFEEAGQNEEEFEKSKAHITKN >PAN35904 pep chromosome:PHallii_v3.1:6:40853677:40861667:1 gene:PAHAL_6G243500 transcript:PAN35904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKRRRTGKFGEPVVGKDGKFECPICQKTFEEESRYFGHVGSHARYQGLTPEAFFDKATSGRVMNGSLAEVSFTLQELTESPGQNNKVSDGEAGFQHHNHSNEHGDNNSTVTELFSKNCSDNFIGTNKAQSRPEEIHPIIDVPSVSRYPSDTGHADVTIPKRASNTSHQSESNTNGFAGVTFFNGQLGSYHVARPTAFGTANHYQDRIVDHGMAAPKHANNHTVKARDVNLNSSLDTISFPIASANNETSAPLNEVNRSSVTAKYFCGSFNNNDGASSTSSCSGSTNKISSSVGTVNKTSAVASRCFDPSYGPYGHDHGAVKANHFANKNNTTVYQANLGTEPVYPVATKADYLASRPVQTKNSGKELTSNTKEQMDNVKNRTSKEAGFGNEAHNRGTFTGGITDRGSAQFNNSFTHIKPNSSTRSSLPQSNTLAASNFIKGSGSDVNCMKGSLANRGDANFMKGPFVNRPINNNEPNVPMLEVKGKSNNEMQNHYNDCVPGCDPHAASRTSRNVNGLMSTQANFAGMSSTVQSVGDVPLTSTTQDQCDLQLGFGAQKQRIFSSHGEFRSAATGSPQLGSNARNNSLPTGSPQFGSMDGPNPHSSGTPQFGGFARPNSVPAAPSQLGSIARPNYLHSAESSQFASMVQPNSITPAPSQFGREGRPDSVPNAQPSQFRSMAGPNSVPPAQSSQFGSMARLNSVSPEPSQFFGSVARPNSAAPVESSQFGSISRPNSAHPAVSSQFGNMPIQNFVSTSEPTLVLGYAPQMGSVPPPSVQLGWDSSLPRMVTGGMVTCVCIWCNSQFHHFGPVDGQQAGSFGFICPACKDRMSGRHNMPNNGSWQP >PAN35899 pep chromosome:PHallii_v3.1:6:40854125:40858777:1 gene:PAHAL_6G243500 transcript:PAN35899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELLPVVDLRTLSQSDLDALAAASAHALAPRSCPDADPLPPLTIDRAVFNESAGSRKQTFSRLRLGTAAASSSSSPSARPASAQPSTARSDLVACHLRRLFVPDDPSLPTPPEPQTLALTEPSSPSPPPDPDRETTNAKGVSVDLVRLAGMVDPYDAELRRRTAGMASETELQGFIASVAGKWLSQRQRRKYVDASFFGDHLPRGWRLQLGLKRKGGTFWVHCFSYVSPKGNQFSTCKEVSAYLMSLLGYPEVKSVTNQYESTGQIYLCANNGADNVLGSQDQIGSGVDKPNILPVASLNCEKCNLTFCDRTAYEQHYFSCHEMSAKRRRTGKFGEPVVGKDGKFECPICQKTFEEESRYFGHVGSHARYQGLTPEAFFDKATSGRVMNGSLAEVSFTLQELTESPGQNNKVSDGEAGFQHHNHSNEHGDNNSTVTELFSKNCSDNFIGTNKAQSRPEEIHPIIDVPSVSRYPSDTGHADVTIPKRASNTSHQSESNTNGFAGVTFFNGQLGSYHVARPTAFGTANHYQDRIVDHGMAAPKHANNHTVKARDVNLNSSLDTISFPIASANNETSAPLNEVNRSSVTAKYFCGSFNNNDGASSTSSCSGSTNKISSSVGTVNKTSAVASRCFDPSYGPYGHDHGAVKANHFANKNNTTVYQANLGTEPVYPVATKADYLASRPVQTKNSGKELTSNTKEQMDNVKNRTSKEAGFGNEAHNRGTFTGGITDRGSAQFNNSFTHIKPNSSTRSSLPQSNTLAASNFIKGSGSDVNCMKGSLANRGDANFMKGPFVNRPINNNEPNVPMLEVKGKSNNEMQNHYNDCVPGCDPHAASRTSRNVNGLMSTQANFAGMSSTVQSVGDVPLTSTTQDQPAGLIPAVLIGPAVRLPKFSHASSFPLPLSHSVPHSQKLGFALPLAHSPLPSPLPASASLRPPCSL >PAN35901 pep chromosome:PHallii_v3.1:6:40853677:40861667:1 gene:PAHAL_6G243500 transcript:PAN35901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELLPVVDLRTLSQSDLDALAAASAHALAPRSCPDADPLPPLTIDRAVFNESAGSRKQTFSRLRLGTAAASSSSSPSARPASAQPSTARSDLVACHLRRLFVPDDPSLPTPPEPQTLALTEPSSPSPPPDPDRETTNAKGVSVDLVRLAGMVDPYDAELRRRTAGMASETELQGFIASVAGKWLSQRQRRKYVDASFFGDHLPRGWRLQLGLKRKGGTFWVHCFSYVSPKGNQFSTCKEVSAYLMSLLGYPEVKSVTNQYESTGQIYLCANNGADNVLGSQDQIGSGVDKPNILPVASLNCEKCNLTFCDRTAYEQHYFSCHEMSAKRRRTGKFGEPVVGKDGKFECPICQKTFEEESRYFGHVGSHARYQGLTPEAFFDKATSGRVMNGSLAEVSFTLQELTESPGQNNKVSDGEAGFQHHNHSNEHGDNNSTVTELFSKNCSDNFIGTNKAQSRPEEIHPIIDVPSVSRYPSDTGHADVTIPKRASNTSHQSESNTNGFAGVTFFNGQLGSYHVARPTAFGTANHYQDRIVDHGMAAPKHANNHTVKARDVNLNSSLDTISFPIASANNETSAPLNEVNRSSVTAKYFCGSFNNNDGASSTSSCSGSTNKISSSVGTVNKTSAVASRCFDPSYGPYGHDHGAVKANHFANKNNTTVYQANLGTEPVYPVATKADYLASRPVQTKNSGKELTSNTKEQMDNVKNRTSKEAGFGNEAHNRGTFTGGITDRGSAQFNNSFTHIKPNSSTRSSLPQSNTLAASNFIKGSGSDVNCMKGSLANRGDANFMKGPFVNRPINNNEPNVPMLEVKGKSNNEMQNHYNDCVPGCDPHAASRTSRNVNGLMSTQANFAGMSSTVQSVGDVPLTSTTQDQCDLQLGFGAQKQRIFSSHGEFRSAATGSPQLGSNARNNSLPTGSPQFGSMDGPNPHSSGTPQFGGFARPNSVPAAPSQLGSIARPNYLHSAESSQFASMVQPNSITPAPSQFGREGRPDSVPNAQPSQFRSMAGPNSVPPAQSSQFGSMARLNSVSPEPSQFFGSVARPNSAAPVESSQFGSISRPNSAHPAVSSQFGNMPIQNFVSTSEPTLVLGYAPQMGSVPPPSVQLGWDSSLPRMVTGGMVTCVCIWCNSQFHHFGPVDGQQAGSFGFICPACKDRMSGRHNMPNNGSWQP >PAN35898 pep chromosome:PHallii_v3.1:6:40853677:40861667:1 gene:PAHAL_6G243500 transcript:PAN35898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELLPVVDLRTLSQSDLDALAAASAHALAPRSCPDADPLPPLTIDRAVFNESAGSRKQTFSRLRLGTAAASSSSSPSARPASAQPSTARSDLVACHLRRLFVPDDPSLPTPPEPQTLALTEPSSPSPPPDPDRETTNAKGVSVDLVRLAGMVDPYDAELRRRTAGMASETELQGFIASVAGKWLSQRQRRKYVDASFFGDHLPRGWRLQLGLKRKGGTFWVHCFSYVSPKGNQFSTCKEVSAYLMSLLGYPEVKSVTNQYESTGQIYLCANNGADNVLGSQDQIGSGVDKPNILPVASLNCEKCNLTFCDRTAYEQHYFSCHEMSAKRRRTGKFGEPVVGKDGKFECPICQKTFEEESRYFGHVGSHARYQGLTPEAFFDKATSGRVMNGSLAEVSFTLQELTESPGQNNKVSDGEAGFQHHNHSNEHGDNNSTVTELFSKNCSDNFIGTNKAQSRPEEIHPIIDVPSVSRYPSDTGHADVTIPKRASNTSHQSESNTNGFAGVTFFNGQLGSYHVARPTAFGTANHYQDRIVDHGMAAPKHANNHTVKARDVNLNSSLDTISFPIASANNETSAPLNEVNRSSVTAKYFCGSFNNNDGASSTSSCSGSTNKISSSVGTVNKTSAVASRCFDPSYGPYGHDHGAVKANHFANKNNTTVYQANLGTEPVYPVATKADYLASRPVQTKNSGKELTSNTKEQMDNVKNRTSKEAGFGNEAHNRGTFTGGITDRGSAQFNNSFTHIKPNSSTRSSLPQSNTLAASNFIKGSGSDVNCMKGSLANRGDANFMKGPFVNRPINNNEPNVPMLEVKGKSNNEMQNHYNDCVPGCDPHAASRTSRNVNGLMSTQANFAGMSSTVQSVGDVPLTSTTQDQKLGFALPLAHSPLPSPLPASASLRPPCSL >PAN36192 pep chromosome:PHallii_v3.1:6:42305251:42308333:1 gene:PAHAL_6G264200 transcript:PAN36192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFLVHLILLAAAVTSAAAAASLATNATAGPRVPAAPGDGGNVTGFSFSGFVSANRGVNVTVLGDANINQGALQITPDSLNDAANFLTHKSGRVLYATPFRLWQREGHGSGANATAGGVKRVASFSTVFTINIFRPNGTEPAEGFAFVIAPSADEPPAGSSGGYLGLTNAATDGNATNQIVAVELDTEKQPYDPDDNHVGLNVNSVVSVANTSLKPLGIEISPAKPTNYTVWVDYDGAARRIAVFMAVAGKAKPGRAVLAAPLDLGATAAEWSYFGFSASTGRKYQLNCVLAWDMTLDKLPCDDDGGDARRRTLALAIGVPVGVTALAAAAILAYVCVVKRRKVHGDDSSAITGTMIRSLAGGPREFDYREIRKATNNFDENMKLGQGGYGVVYRGVVVGDHTSPGGPGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEFMPNGSLDQHLFSSAPGRQLLGWELRYSIVQGVASALHYLHDEYDQRVVHRDLKASNIMLDAAFGARLGDFGLARAIETDKTSYIEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIDGFHFLADWVWRLHRDGCALEAVDARLGGAFDRDQAERLLLLGLACSHPTPAERPRTPAIQQILLGSVPPPVVPPFKPSFVWPATDGGLDTVSSTAGTTASQLSLTSASTWSGNFMKGSLRHAFEQEVSDSLP >PAN36698 pep chromosome:PHallii_v3.1:6:45228265:45231446:-1 gene:PAHAL_6G302800 transcript:PAN36698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFKWDDVKVDKYRENYLGHSVKAPVGRWQKGKDLFWYARDKKSDTEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPQGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKAPRDEGESSSFKLDPPETEMPPEQGDPPAAAKQEDSEDDRKGKRRRECEERRGEKERKRVKHDGKGRKRDKHERRHDSEDRSKRHRKDKQSRRHDSDSE >PAN36546 pep chromosome:PHallii_v3.1:6:44531614:44532321:-1 gene:PAHAL_6G291800 transcript:PAN36546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQIKQKRSSCESTSSSVASTSTPSPAAAAKRPAGRTKFRETRHPVFRGVRRRGRAGGRCRWVCEIRVPGRRGCRLWLGTFAAAEAAARAHDAAMLALRGACGAAAARRLNFADSTWLLDVPPPALRGAEGSALRRAVARAVEGFLRTRPAAEDAMSATSEPPHSAEDDDDAASTAKSDDGTASPFEMDDVLSDMGAGLYYASMAQGLLMDPPASDASCCDDVDCDDAAVPLWAY >PAN36685 pep chromosome:PHallii_v3.1:6:45169880:45174775:1 gene:PAHAL_6G301700 transcript:PAN36685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAMDAASIIGYFKGKSILVTGATGFLGKILVEKIMRVQPDVHKIYLLVRASDEPSAKQRVQQEVIDTELFGLLREKHGKGFQQFVEEKVVALAGDIIYDNLGLETLPMLEALAKEIDVIVNIAATTNFYGRYDVSLDVNVMGVKHLCHFARQCANLKMLMHVSTAFVSGFREGLILEKPINPGESLREGTYLDIDAELRLVREVKKELAAMNSSSSDDDDAAHTNKKKTERTAMKELGLQRARHFGWSNTYVFTKAMGEVLLGQLRGDIPVVIMRPSIITSIREDPVPGWMQGTRTIDTLIIGYAKQNLSCFLADLNFVMDVIPGDMVVNAMMAAMVAHSEEKGAQVVYHSTSSLRNPATYNVLYQSGRRHFYENPRVGKDGKVIPTREMYFFPTIARFHLYMIFTYKIPLEILHLVNLLLCGFFSRLYNDLNRKYKFVMHLVDVYGPFAFFKGCFDDMNLERLRLTMAMKTPEDCMFNFDPKTIDWDEYFTRIHIPGVLKYLCK >PAN36257 pep chromosome:PHallii_v3.1:6:42009995:42010774:-1 gene:PAHAL_6G260000 transcript:PAN36257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSIESTIGFSRRFLNLIVGNRTPGVKSRVKSLCCFDLMDQQLFYPEIPSQSPNVNAEEKITPKSLWPFMMDSIGLPHSSFNFRASALNDQWKIDCFPACGARGSFFKKKDKKN >PVH36567 pep chromosome:PHallii_v3.1:6:12458095:12459188:1 gene:PAHAL_6G105300 transcript:PVH36567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAASDSSGLRFFADRRGGTSGSGVRPSALASRAPDAVLRPALARPRRGVRAGTDRGEGPRVEGLRASPLTRRAGADRRAAARRGCGERRRQPVRIRFEMKCGWCCG >PAN36402 pep chromosome:PHallii_v3.1:6:43366627:43367858:-1 gene:PAHAL_6G279300 transcript:PAN36402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHIDRWSFCGRHQILFKINQLKLRARFRSASFGTYGIVAMLRISEVRMNRVFKFPPAAKVFLFSGLRCYKAEDKDRLLE >PAN34797 pep chromosome:PHallii_v3.1:6:33074109:33075666:1 gene:PAHAL_6G174200 transcript:PAN34797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDYYEILNVGRDATDDNLRRAYRRLAMRWHPDKNPGGKADAEARFKEITEAYNVLTDADKRAVYDQYGEEGLRGEVPQPGGGRGSDDIFAEFFGSTPFTYCNTGGGSGGGNARGGRQPPPPPAWDGGFGRSYRRSQGGGGGAAPSMAPPPPPVESRLACTLEELYVGVTKKMRISRNVVDATGRMKTESEVLSIEVKPGWKKGTKITFPGKGHQQWNQLPADLVFVVDEKPHPVYRRDGNDLVAEVRVTLAEALGGTVVVLAALDGRELAVDVGGGEEDDAPVVRPGYELVVPAEGMPIAREPGRRGSLRIRFDVAFPERLTRRQRAQIKRVLEAGR >PAN35876 pep chromosome:PHallii_v3.1:6:40764569:40766227:1 gene:PAHAL_6G242400 transcript:PAN35876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEKQRRGAEAHGFRGHPRRTMPSFIIPSRGGVRCPVRSPEFMSPDTQEHITPSVSLTRDLVAPPASGWSFRACARGRRAGDDWIVKIVPVLMAVAFPPHIMALSKVQRLYDACDVVFASPAAGPPTLGEIRWLQKILDGVEAADVGIDDGEKPSSSDDELSPKSGRFLSARAFTRITYVHIHQCDDFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVAAPPRSAARKCGLARVVAADEVRRAPCEASVLFPQSGGNLHAFTAVTPCAILDVLTPPYSEESPSTYFSDVPIPSLPGFAFLEETDLPEDFSVAGAPYLGPELTVDMDDDDDDYDDYDE >PAN35846 pep chromosome:PHallii_v3.1:6:40568973:40573663:1 gene:PAHAL_6G239400 transcript:PAN35846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGARAPLLQPRGEAADTKAGRARRAAAEWWVESKKLWRIVAPAIFQRIALFGINVVTQAFIGHLGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGVYLQRSWIVLLIFAAALTPTYIFMEDLLLLIGQSPELSRLAGQMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAATAGAALALHVAVTYVLVQHLQLGIVGAVAAADMSWWLVVLGQFIYVVGGGCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRLLVLLTGYLKNAEIAVDALSICQTINGWELMIPFGFLAATGVRVANELGAGSGKGARFAIIVSITTSVVIALFFWCLILYFNDQIALLFTSSEVVLAAVHDLSVLLAFTILLNSVQPVLSGVAVGSGWQALVAYVNVGTYYLIGIPLGILLGWPLHFGVGGIWSGMIGGTAVQTMILAYLTIKCDWDEEARIASMRMQKWADSK >PVH36431 pep chromosome:PHallii_v3.1:6:5492025:5500121:1 gene:PAHAL_6G071500 transcript:PVH36431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGPWIKSGNSNIGRETWEFDQNFGSNEEKEAVDSAREEFQKNRFRMRHSSDILARMQLAKENNFSFDLQKAKDETPADINPNTVSEKLRKALSYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLGITLSFQHRKEICRYLYNRQNIDGGWGLHAEGESSMLSTALNYTALRLLGESVDDGPDMSMPKARKWVHDHGGATMIPILGKVWLSVLGVFEWSGVNPIPPELFLLPSLVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVLSLREELHIHPYKKIDWKQARKLCAKEDVYNPHTWLQECLSDCLYSFGEPFLTRWPVSYMRKKALQQIAEFLKYEDENSQYICIGAAQKALSMLCCWIEDPSSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACNIAEEYKNTLKKAHDFIKASQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKFSPDIAGDPMETYRLHDAVNVLLSLQNPNGGYGTWELARTYPWMEIFNMTEIYADIMVEHQYVECTSSVIQALVLFCEKCPGHRKDEIDHCIMRAKEFIEKSQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQSYSNSTCIRKACKFLLSKQLCNGGWGESHLSSRTKAYTILDGGKSHIVNTAWAMLALMKAGQVERDPIPLHKAARLIMSMQLGNGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVLQCDLQWPTL >PAN34150 pep chromosome:PHallii_v3.1:6:5491484:5500121:1 gene:PAHAL_6G071500 transcript:PAN34150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGPWIKSGNSNIGRETWEFDQNFGSNEEKEAVDSAREEFQKNRFRMRHSSDILARMQLAKENNFSFDLQKAKDETPADINPNTVSEKLRKALSYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLGITLSFQHRKEICRYLYNRQNIDGGWGLHAEGESSMLSTALNYTALRLLGESVDDGPDMSMPKARKWVHDHGGATMIPILGKVWLSVLGVFEWSGVNPIPPELFLLPSLVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVLSLREELHIHPYKKIDWKQARKLCAKEDVYNPHTWLQECLSDCLYSFGEPFLTRWPVSYMRKKALQQIAEFLKYEDENSQYICIGAAQKALSMLCCWIEDPSSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACNIAEEYKNTLKKAHDFIKASQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKFSPDIAGDPMETYRLHDAVNVLLSLQNPNGGYGTWELARTYPWMEIFNMTEIYADIMVEHQYVECTSSVIQALVLFCEKCPGHRKDEIDHCIMRAKEFIEKSQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQSYSNSTCIRKACKFLLSKQLCNGGWGESHLSSRTKAYTILDGGKSHIVNTAWAMLALMKAGQVERDPIPLHKAARLIMSMQLGNGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVLQCDLQWPTL >PVH37152 pep chromosome:PHallii_v3.1:6:41888288:41889779:-1 gene:PAHAL_6G258400 transcript:PVH37152 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRT100 [Source:Projected from Arabidopsis thaliana (AT3G12610) UniProtKB/TrEMBL;Acc:A0A178VMS3] MAPAGRPASGVMSGYISDAVCRLGRLSSLVLADWKQISGPVPSCVATDLPYLRILELPGNRLTGGIPPSVGALSRLTVLNLADNLLSGPIPGSITSLASLKHLDLANNQLTGHVPADLGKLALLSRALLGRNRLLGPIPPSVSSMPRLADLDLSENQLTGSIPDGLGSGHVLTSLYLGANRLSGSIPASLLRNSGLGILNLSRNALEGAVPDVFTPRSYFMLLDLSRNRLSGGVPRSLTSAAYVGHLDLSHNRLCGAIPAGPPFDHLDADSFGGNTCLCGGPLGKCT >PVH37426 pep chromosome:PHallii_v3.1:6:45676848:45677656:-1 gene:PAHAL_6G309200 transcript:PVH37426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSALGKRDGGDGFLAALSRSQVAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQTLLGGPPPPSK >PVH36260 pep chromosome:PHallii_v3.1:6:2492439:2492840:-1 gene:PAHAL_6G034100 transcript:PVH36260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRSSQGLLLLPLLLLACSAIPALTSGDRAVRDASGESFLDGGGRKSKMAIGAGKVAVINDDGDAPFNGCTPHDRALKFCCNKNKRCWATLYDCAFNCIRKVRYDQASPSSSPSPSMVDPRLAVSALFKKL >PAN34557 pep chromosome:PHallii_v3.1:6:14935633:14937202:-1 gene:PAHAL_6G113000 transcript:PAN34557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLKDHLAKAHNHMKHKLIVVGWMVSFSGEDWEGSLSPESARTATRGCHGSAHVEGRSSHPLVIDQVQQAASSSATWEDRLLGDSRRLGFGAGMSHSALMVTWTG >PAN34556 pep chromosome:PHallii_v3.1:6:14935730:14937165:-1 gene:PAHAL_6G113000 transcript:PAN34556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLKDHLAKAHNHMKHKLIVVGWMVSFSGEDWEGSLSPESARTATRGCHGSAHVEGRSSHPLVIDQVQQAASSSATWEDRLLGDSRRLGFGAGMSHSALMVTWTG >PVH36760 pep chromosome:PHallii_v3.1:6:30277030:30278107:1 gene:PAHAL_6G162800 transcript:PVH36760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGTADRRRVTSSSISDRHSRRSPPIATGVAGRAGGSGDSIIQGDGRGRDRRKRMRKVWLPLGMDHRASRWRKAPAAASDRAPSCTRMKAAGRQRHDGRPRLKERRGPLGEPVPGSELPAR >PAN36580 pep chromosome:PHallii_v3.1:6:44701400:44704885:1 gene:PAHAL_6G294300 transcript:PAN36580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPELAAERRARQRHGQLRDGDDAKGGAADIKGFEVHYNDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGNEIKDAWLDSVEVDPTFAAKVQKKGKEKVEEFEDLSSDDIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMSEGTKRIFDELTEAAMKLMENGDYNVYSDDRETFEREAVGYERLARARLGLPEVEDASTDTKDNRAPSPILEVNQPSSILEMNQPSSILEMDLGASTANISTATAAFNDDDSNLDMFGDDDNDDVKRSSGVNTVGSGPNPGPVPQGTLGTSGVEKADNGSVDSDYVYDPSSGYYYSSSTGYYYDPNSGFYGSASTGTWYSYDEQTGAYKEIQDEQTGVVKEELGDAIKE >PAN36579 pep chromosome:PHallii_v3.1:6:44700980:44705194:1 gene:PAHAL_6G294300 transcript:PAN36579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPELAAERRARQRHGQLRDGDDAKGGAADIKGFEVHYNDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGNEIKDAWLDSVEVDPTFAAKVQKKGKEKVEEFEDLSSDDIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMSEGTKRIFDELTEAAMKLMENGDYNVYSDDRETFEREAVGYERLARARLGLPEVEDASTDTKDNRAPSPILEVNQPSSILEMNQPSSILEMDLGASTANISTATAAFNDDDSNLDMFGDDDNDDVKRSSGVNTVGSGPNPGPVPQGTLGTSEADNGSVDSDYVYDPSSGYYYSSSTGYYYDPNSGFYGSASTGTWYSYDEQTGAYKEIQDEQTGVVKEELGDAIKE >PAN36582 pep chromosome:PHallii_v3.1:6:44700980:44705194:1 gene:PAHAL_6G294300 transcript:PAN36582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAEPTRGTKRPLAGDDDDAGQERKPRFPKGKKAKHRAAGAEGGPSASAAVDIDSMLNPELAAERRARQRHGQLRDGDDAKGGAADIKGFEVHYNDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGNEIKDAWLDSVEVDPTFAAKVQKKGKEKVEEFEDLSSDDIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMSEGTKRIFDELTEAAMKLMENGDYNVYSDDRETFEREAVGYERLARARLGLPEVEDASTDTKDNRAPSPILEVNQPSSILEMNQPSSILEMDLGASTANISTATAAFNDDDSNLDMFGDDDNDDVKRSSGVNTVGSGPNPGPVPQGTLGTSEADNGSVDSDYVYDPSSGYYYSSSTGYYYDPNSGFYGSASTGTWYSYDEQTGAYKEIQDEQTGVVKEELGDAIKE >PAN36581 pep chromosome:PHallii_v3.1:6:44700962:44705193:1 gene:PAHAL_6G294300 transcript:PAN36581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAEPTRGTKRPLAGDDDDAGQERKPRFPKGKKAKHRAAGAEGGPSASAAVDIDSMLNPELAAERRARQRHGQLRDGDDAKGGAADIKGFEVHYNDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGNEIKDAWLDSVEVDPTFAAKVQKKGKEKVEEFEDLSSDDIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMSEGTKRIFDELTEAAMKLMENGDYNVYSDDRETFEREAVGYERLARARLGLPEVEDASTDTKDNRAPSPILEVNQPSSILEMNQPSSILEMDLGASTANISTATAAFNDDDSNLDMFGDDDNDDVKRSSGVNTVGSGPNPGPVPQGTLGTSGVEKADNGSVDSDYVYDPSSGYYYSSSTGYYYDPNSGFYGSASTGTWYSYDEQTGAYKEIQDEQTGVVKEELGDAIKE >PVH37354 pep chromosome:PHallii_v3.1:6:44700981:44705193:1 gene:PAHAL_6G294300 transcript:PVH37354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPELAAERRARQRHGQLRDGDDAKGGAADIKGFEVHYNDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGNEIKDAWLDSVEVDPTFAAKVQKKGKEKVEEFEDLSSDDIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMSEGTKRIFDELTEAAMKLMENGDYNVYSDDRETFEREAVGYERLARARLGLPEVEDASTDTKDNRAPSPILEVNQPSSILEMNQPSSILEMDLGASTANISTATAAFNDDDSNLDMFGDDDNDDVKRSSGVNTVGSGPNPGPVPQGTLGTSGVEKADNGSVDSDYVYDPSSGYYYSSSTGYYYDPNSGFYGSASTGTWYSYDEQTGAYKEIQDEQTGVVKEELGDAIKE >PVH36233 pep chromosome:PHallii_v3.1:6:1888463:1890084:1 gene:PAHAL_6G026100 transcript:PVH36233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGEDLSSTANHFSSGDGSVATSEESGWTSYIDYFMETQQRQKGEASLAAGALSTEDVGRCRSTSEYSGDCGVGAPTRLPALVEPSVVSRRLSLKEGWRRKKVLYDESLEDTATSPISSPKLIDELRDSDANHQRKGNSCDEISHSKNTTGDVNGANTTADTKIKEDGAYDNNELRKKGLCLVPVSAFRV >PAN33509 pep chromosome:PHallii_v3.1:6:1888543:1889207:1 gene:PAHAL_6G026100 transcript:PAN33509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGEDLSSTANHFSSGDGSVATSEESGWTSYIDYFMETQQRQKGEASLAAGALSTEDVGRCRSTSEYSGDCGVGAPTRLPALVEPSVVSRRLSLKEGWRRKKVLYDESLEDTATSPISSPKLIDELRDSDANHQRKGNSCDEISHSKYI >PAN33510 pep chromosome:PHallii_v3.1:6:1888463:1890084:1 gene:PAHAL_6G026100 transcript:PAN33510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGEDLSSTANHFSSGDGSVATSEESGWTSYIDYFMETQQRQKGEASLAAGALSTEDVGRCRSTSEYSGDCGVGAPTRLPALVEPSVVSRRLSLKEGWRRKKVLYDESLEDTATSPISSPKLIDELRDSDANHQRKGNSCDEISHSKKNTTGDVNGANTTADTKIKEDGAYDNNELRKKGLCLVPVSAFRV >PAN34720 pep chromosome:PHallii_v3.1:6:31936850:31937872:-1 gene:PAHAL_6G169000 transcript:PAN34720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWVLGKLGFLYHDPFNFQTAKKFAPAAVVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFMSLVIILGGAVGYVMTDSAFTLTAYSWALAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGLLTGEHLAVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASAFGLVCLLFTLAGGVLYQQSVTTKGNSPAQREMAAKQGRGDDDAIELDEENQRLVSSPKVV >PAN34721 pep chromosome:PHallii_v3.1:6:31936850:31937872:-1 gene:PAHAL_6G169000 transcript:PAN34721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWVLGKLGFLYHDPFNFQTAKKFAPAAVVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFMSLVIILGGAVGYVMTDSAFTLTAYSWALAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGLLTGEHLAVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASAFGLVCLLFTLAGGVLYQQSVTTKGNSPAQREMAAKQGRGDDDAIELDEENQRLVSSPKVV >PAN34725 pep chromosome:PHallii_v3.1:6:31935733:31938288:-1 gene:PAHAL_6G169000 transcript:PAN34725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWVLGKLGFLYHDPFNFQTAKKFAPAAVVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFMSLVIILGGAVGYVMTDSAFTLTAYSWALAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGLLTGEHLAVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASAFGLVCLLFTLAGGVLYQQSVTTKGNSPAQREMAAKQGRGDDDAIELDEENQRLVSSPKVV >PAN34724 pep chromosome:PHallii_v3.1:6:31935733:31938288:-1 gene:PAHAL_6G169000 transcript:PAN34724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWVLGKLGFLYHDPFNFQTAKKFAPAAVVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFMSLVIILGGAVGYVMTDSAFTLTAYSWALAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGLLTGEHLAVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASAFGLVCLLFTLAGGVLYQQSVTTKGNSPAQREMAAKQGRGDDDAIELDEENQRLVSSPKVV >PVH36813 pep chromosome:PHallii_v3.1:6:32943999:32944421:-1 gene:PAHAL_6G173500 transcript:PVH36813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRINPPPPPDGSHRPPAAAPFPAAAPSPTTAPASSATTPSLPLRQRFGIVYTRRDKAAPAGGSTAAAPDEAALGAPTASPPDVAARGAPTASSSAATPSPGASVPPTSGAAPAPGSNPTSPSSPSIPAGAIPVPPVAN >PAN35072 pep chromosome:PHallii_v3.1:6:33964027:33966081:-1 gene:PAHAL_6G178300 transcript:PAN35072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFLSSSIPATSYSRSSFLPQPPQAPAKLAILPLSGPRAAAVARRTEAGRPAGRCVAASASSSPAATAAATAEVPAAMKAWAYDAYGDASVLKLDEAAAVPAVGEGQVLVKVAAAALNPVDAKRRAGKFQATDSPLPTVPGYDVAGVVVKVGGGVKGLKEGDEVYGMISEKPLEGPKQSGSLAEYTAVEEKLLALKPKGLDFAQAAGLPLAILTANEGLERAGLAAGKSVLVLGGAGGVGSLAIQLAKQVYGASKVGATASTKKIELLKSLGADVAIDYTKENFEELPDMYDVVFDAVGQGDKAVKVVKEGGSVVVLTGAVTPPGFRFVVSSNGSTLEKLNPYLESGKVKPLIDPTGPFPFSQVVEAFSYLETGRATGKVVIFPVP >PAN36611 pep chromosome:PHallii_v3.1:6:44857622:44861080:-1 gene:PAHAL_6G296700 transcript:PAN36611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVQMNDSEPHPAAPPPAAAPAAAAPALSTLHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSTFLAFALPASSEAYARLTALVPKEDDTEMDVDAAAPTAQISIKHGLPEIEIFCYLLVLIFLIDQKKYDEAKACATASIARLKNLNRRTVDVLASRLYFYYSYVYELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRVGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >PAN34918 pep chromosome:PHallii_v3.1:6:16784187:16787479:1 gene:PAHAL_6G118900 transcript:PAN34918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRMDQEDAYFYQLGFHVGAKGKYLGGKDEKYFIHNHLSFIVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTHLTTCDPHAQRVITSSESPQEVEVGKDIIFTYDVDFKESNIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMLLLTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNSIFSILIGGILPFGAVFIELFFILTSIWLQQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >PAN34920 pep chromosome:PHallii_v3.1:6:16783879:16787479:1 gene:PAHAL_6G118900 transcript:PAN34920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHHSPPHLVARRSGKAPSSSPPRPPPACCSLPNRARGGKPRARRPAAPFRPAAMAPPAWAVALALAALCLAALAPPAAGFYLPGVAPNDFEKKDPLLVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRMDQEDAYFYQLGFHVGAKGKYLGGKDEKYFIHNHLSFIVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTHLTTCDPHAQRVITSSESPQEVEVGKDIIFTYDVDFKESNIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMLLLTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQACSAVRITCGGGGHT >PVH36599 pep chromosome:PHallii_v3.1:6:16784187:16787479:1 gene:PAHAL_6G118900 transcript:PVH36599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRMDQEDAYFYQLGFHVGAKGKYLGGKDEKYFIHNHLSFIVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTHLTTCDPHAQRVITSSESPQEVEVGKDIIFTYDVDFKESNIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMLLLTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQACSAVRITCGGGGHT >PAN34919 pep chromosome:PHallii_v3.1:6:16783879:16787479:1 gene:PAHAL_6G118900 transcript:PAN34919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHHSPPHLVARRSGKAPSSSPPRPPPACCSLPNRARGGKPRARRPAAPFRPAAMAPPAWAVALALAALCLAALAPPAAGFYLPGVAPNDFEKKDPLLVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRMDQEDAYFYQLGFHVGAKGKYLGGKDEKYFIHNHLSFIVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTHLTTCDPHAQRVITSSESPQEVEVGKDIIFTYDVDFKESNIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMLLLTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNSIFSILIGGILPFGAVFIELFFILTSIWLQQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >PVH36546 pep chromosome:PHallii_v3.1:6:10227916:10228650:-1 gene:PAHAL_6G099100 transcript:PVH36546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDVMVFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCHEQSAQLKQLIRGIERITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN36335 pep chromosome:PHallii_v3.1:6:43084591:43086420:-1 gene:PAHAL_6G274900 transcript:PAN36335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQRGGSVLGGGRRGDDPGILTRAVDKVFRFVRLAEFEILFVLFFLVAFLLFKDLMSRPEYNQMFVKKPDLDDPWP >PAN36334 pep chromosome:PHallii_v3.1:6:43084598:43086310:-1 gene:PAHAL_6G274900 transcript:PAN36334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQRGGSVLGGGRRGDDPGILTRAVDKVFRFVRLAEFEILFVLFFLVAFLLFKDLMSRPEYNQMFVKKPDLDDPWP >PVH37247 pep chromosome:PHallii_v3.1:6:43084904:43086009:-1 gene:PAHAL_6G274900 transcript:PVH37247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQRGGSVLGGGRRGDDPGILTRAVDKVFRFVRLAEFEILFVLFFLVAFLLFKDLMSRPEYNQMFVKKPDLDDPWP >PAN35498 pep chromosome:PHallii_v3.1:6:38376311:38377003:1 gene:PAHAL_6G213600 transcript:PAN35498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERQEVSGGGAAPMCANGCGFFGSAATKNMCSKCYKEHVMKTADTAAATVAEKKADDVAPAPAPAVEESGSSTETTEEHEAAAAAGASADSAAPVMCANGCSFFGSAATKNLCSSCYRDLLKAADAGPAVAEKIEAAPEHPAPEASAAASSSAAPAAEAPAAKPAPSRCTSCNKKVGLLGFVCRCGGTFCSLHRYTDEHACGYDFKTAGREQIAKKNPVVVAPKINKI >PVH36916 pep chromosome:PHallii_v3.1:6:36961395:36962932:1 gene:PAHAL_6G197400 transcript:PVH36916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSHPAFASLAAACAVLVGVAFASVRGWIRPYGRTHAAGAVVSTLLGTNAFVLYTDDGPEAGVKAAAEAAAERHLIDGEDAWPLLFVVAGCVLGCVVVSVFRACVEASGRRRRRMVPLVPGRSGRAGRRATGCGWHTLVEPMLFLVSVWACVYCLPGVAGDHIAALPKGGDPAGAGIVCDHPSPASMS >PAN36088 pep chromosome:PHallii_v3.1:6:41767430:41771727:-1 gene:PAHAL_6G256700 transcript:PAN36088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGAAAAPETGAVQLLLRNVDSRTTVIRARREDTVGSVLDRLGNGVAASGAEQRLRAVHAGRELPRGATVGELGLPWDATLHVSYRLVPTPHGDAWRLASEIAAAARLAAATVGQPYAAASLELQVRRFLDSARAAHQRKSNSALGPVAEHLGIFLRRGVPGVLVQLYQSDDKRRRTDAERAIRCFLSPDPPAGKSIVKALTAPVLLEFCESFATGARKGDPLYAALRDMVATVLSDPEWTPGLWGDVPRRRVAEQLIRLARETAVAVIVEIAGAYRSRGHPAAAFGNLVEFKTFWSVLRQQVLELDADTPLRPWRKALSQTLEALLKSVEDCMTRFEMNLPPPPPPAAAGRKHTSSSSALTKWTASLHSVWAVLAELDAWSDAHHAMRTTLAAHPRAVTALVLTAGSELSESIRWITRHRDLLEFEARRHLAMAMLPEIVRGADAPPPHEMLIDRGRLLPDSFGYIAHATPQELRAVLSVAFKHEQATGPGVLREWFCLVCQALFNPRLVLFSACPQDRRRFFINPTSVVDPLHLEYFEFAGRMIALALRHKIHAGVFFDQTLFLQLAGRPITLDDIAEADPSLHASCKKILEMDPSLVDSNILELTFAREDEVLGSRTVTELFPGGKDIAVTRFRIMFNKWKPWTEFFASLDVEDFDRMLGGSKGTIDVNEWRAQTDYRGYKEKCRQIKWFWKAVENMTVEQQGRLLFFWTSVKCLPSDGFSGLGCRLFIYRASSSRDHLPTSQTCFYHLNLPAYTSLSMMQRRLHMIVQEHVSSGFGAS >PAN36089 pep chromosome:PHallii_v3.1:6:41768209:41771617:-1 gene:PAHAL_6G256700 transcript:PAN36089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGAAAAPETGAVQLLLRNVDSRTTVIRARREDTVGSVLDRLGNGVAASGAEQRLRAVHAGRELPRGATVGELGLPWDATLHVSYRLVPTPHGDAWRLASEIAAAARLAAATVGQPYAAASLELQVRRFLDSARAAHQRKSNSALGPVAEHLGIFLRRGVPGVLVQLYQSDDKRRRTDAERAIRCFLSPDPPAGKSIVKALTAPVLLEFCESFATGARKGDPLYAALRDMVATVLSDPEWTPGLWGDVPRRRVAEQLIRLARETAVAVIVEIAGAYRSRGHPAAAFGNLVEFKTFWSVLRQQVLELDADTPLRPWRKALSQTLEALLKSVEDCMTRFEMNLPPPPPPAAAGRKHTSSSSALTKWTASLHSVWAVLAELDAWSDAHHAMRTTLAAHPRAVTALVLTAGSELSESIRWITRHRDLLEFEARRHLAMAMLPEIVRGADAPPPHEMLIDRGRLLPDSFGYIAHATPQELRAVLSVAFKHEQATGPGVLREWFCLVCQALFNPRLVLFSACPQDRRRFFINPTSVVDPLHLEYFEFAGRMIALALRHKIHAGVFFDQTLFLQLAGRPITLDDIAEADPSLHASCKKILEMDPSLVDSNILELTFAREDEVLGSRTVTELFPGGKDIAVTSENRCQYIDLLIQDRFVNCTRRQLAYFAAGFRIMFNKWKPWTEFFASLDVEDFDRMLGGSKGTIDVNEWRAQTDYRGYKEKCRQIKWFWKAVENMTVEQQGRLLFFWTSVKCLPSDGFSGLGCRLFIYRASSSRDHLPTSQTCFYHLNLPAYTSLSMMQRRLHMIVQEHVSSGFGAS >PAN33693 pep chromosome:PHallii_v3.1:6:2795976:2799590:-1 gene:PAHAL_6G038700 transcript:PAN33693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSDMLTICGLFAVQCIYGLYMMFLDGLLAAGVPSLFIIAVACAASSVVVLPFAFALERKKWPKVWSPMLVLQLVVISLGGVSIYQVFMMLGVERTSPAIASAMPNLGPGFIFVIAACLRFERFNWKCKYTRAKILGTLVCLSGAMCVSFLKNSTPPSVSPKSIPGDEEELSNGKSRKEWILGCFYLLTGVTIFACNTVMQAGALKRFPAPLSVCSITAMMGSIFSAIIQVLMEGKLTAGTGDNITWIIGEIVLVGGVVIGLCTTFQVSSIGRKGPVLVSMFSPFQTVFSAFISLIFFGQWIGLGCFVGIVLMFVGLYGVLWAKNREDKMLTELTAPPESECDVERPLLQ >PAN33694 pep chromosome:PHallii_v3.1:6:2796468:2798258:-1 gene:PAHAL_6G038700 transcript:PAN33694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSDMLTICGLFAVQCIYGLYMMFLDGLLAAGVPSLFIIAVACAASSVVVLPFAFALERKKWPKVWSPMLVLQLVVISLGGVSIYQVFMMLGVERTSPAIASAMPNLGPGFIFVIAACLRFERFNWKCKYTRAKILGTLVCLSGAMCVSFLKNSTPPSVSPKSIPGDEEELSNGKSRKEWILGCFYLLTGVTIFACNTVMQAGALKRFPAPLSVCSITAMMGSIFSAIIQVLMEGKLTAGTGDNITWIIGEIVLVGGVVIGLCTTFQVSSIGRKGPVLVSMFSPFQTVFSAFISLIFFGQWIGLGCFVGIVLMFVGLYGVLWAKNREDKMLTELTAPPESECDVERPLLQ >PAN36260 pep chromosome:PHallii_v3.1:6:41999718:42001517:1 gene:PAHAL_6G259800 transcript:PAN36260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTPILALHLLVALCILSSARTSSSASSDVDGFIGCLSAGIPLSLIHTPAANSYSSLLMSSVRNLRYVLPGTTRPLLIVAATEPAHVQATVVCGRRHSVRIRTRSGGHDYEGLSYASVDPDQHFAVLDLAELRAISIDASRSEAWVGSGATLGELYYAAAAANQTFGFPAGNCPTVGVGGHLSGGGFGALSRKYGLSADNVIDAVVVDAEGRLLNRSTMGKDLFWAIRGGGGESFGVVLSWKVRLVAVPETVTVFSIRRLRNESAVDLITKWQAIAPALPRDLYLRVLVQNQQANFVALFLGRCGGLVDTMRGHFPDLGMTERDCQEMSWVKSTVFFFYNTADRPTEVLLDRRSANYYLKVKSDHVAEPIPRQALEGIWTKWLEQPEAALIMLDPYGGRMGSIPPSATPFPHRHYLYQFQFFSFWFENGTAALERRLSWVRGVYEDLTPFVSKNPRAVYVNYRDLDLGTNELEGNVTSYAKARVWGEKYFKGNFKRLAAVKSMVDPDDFFRNEQSIPPLPAAKG >PAN36045 pep chromosome:PHallii_v3.1:6:41515991:41521416:1 gene:PAHAL_6G253200 transcript:PAN36045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPPSQILAPRLPTPGPVPAVEAPAAPAVPTRSRWAAEIKVYSRKYPRKNPKPPPPEPGLVPSPAPAPAPASVPNPNPLSETLSSIRRSIRRAEAGGAAARPDPVAAASTLASTPPGERDASSADPTLGRNRDGGGIVPNGHSDDREAEKAEKARKRRVRSELRRRLAGELDQVRVLSERLKEAAETLAQQEASVPAPLPLVVVPQQQVVDAGYVQSQFSAGDMVTPMSAQLTTTVPPVRSLLQRRPLTVSVVHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPASDSHGRKKSKHHKKKHRSLESRGTDFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFTIIKHPMDLGTIRGRLSQGQYRNPKEFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDMRFLERSDSMRHHMALDSSRPISHTPTYSRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVRQHEDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHSVQQPQPVQFTQEPNVGEKSPKQVEKDSVAGKQLAKGTAPEQNDENRQNASSSSNSSSSSSDSGSSSSDSDSDSSSSDGSDAGNS >PAN34275 pep chromosome:PHallii_v3.1:6:6503849:6509404:1 gene:PAHAL_6G080300 transcript:PAN34275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSSGLYVSGRPEQPKEKGPVMCSSAMPYTGGDIKKSGELGKMFDLHRKSGPLGNQPSRNTSFGGAASNSGPVSNAVGRSNYSGSISSAVPGTGGSSRTKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPILPTTGLITSGPITSGQMNSSGAQRKVSGPLDPSVSMKMRTASFAHNPAVTNLNAEDGYSIKGSIPTAIMWLVALLFVVGFVAGGFILAAIHNPILLIVVVVIFAFVAALITWNICWGTKGVTGFVSRYPDADLRTAKDGEYVKVTGVVTCGNLPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTTHRRFTWGLRSMERHAVDFYISDFQSGLRALVRTGSGARVTPYVDESVVIDINPDNKDMSPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVAPAEPISTGCQWAKCMLPTSLDGLVLRCEDTSDMDVIPV >PAN34276 pep chromosome:PHallii_v3.1:6:6504003:6509404:1 gene:PAHAL_6G080300 transcript:PAN34276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSSGLYVSGRPEQPKEKGPVMCSSAMPYTGGDIKKSGELGKMFDLHRKSGPLGNQPSRNTSFGGAASNSGPVSNAVGRSNYSGSISSAVPGTGGSSRTKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPILPTTGLITSGPITSGQMNSSGAQRKVSGPLDPSVSMKMRTASFAHNPAVTNLNAEDGYSIKGSIPTAIMWLVALLFVVGFVAGGFILAAIHNPILLIVVVVIFAFVAALITWNICWGTKGVTGFVSRYPDADLRTAKDGEYVKVTGVVTCGNLPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTTHRRFTWGLRSMERHAVDFYISDFQSGLRALVRTGSGARVTPYVDESVVIDINPDNKDMSPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVAPAEPISTGCQWAKCMLPTSLDGLVLRCEDTSDMDVIPV >PAN34427 pep chromosome:PHallii_v3.1:6:8582278:8586533:1 gene:PAHAL_6G091400 transcript:PAN34427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADLSTIQQTLTQEAAAALARAIDEAARRRHGQTTPLHVAAALLAAPAGLLRQACDRAAAAGPGTATAGGGGAHPLQCRALELCFSVALDRLPAAASAASAAAQGAGPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSSAVKSTIEQSLSSPSPAAPSAAAAAAPTLAGTTPLSPVPSPLPRLGAANAYINPRLAAAAGAGAGAGDDARKVLDVMLKPARRNPVLVGDAGPDAVLKEAVRRIPTAGSPALAGAKVLPLEAELAKHAGDKAAMATRIGDLAAVVERLLADHGAVVLDLGDLKWLVDGLAAATSEGGKAVVSEMARLLRRFGSGKVWAVGTAACATYLRCKVYHPTMEADWDLQAVPIARGVPLAGAALRPGLLGNTVGMLSPTLRPMPVTQTALRWPPGAGSDQPLMAKPAMCLLCKGSYDRELVKLAAERKDKPTSRPEVAKPGLPHWLQPSSDQPQTKEQELKWKGTAQELEKKWRETCARTHGTRGAPALSMPMAAFGPRPPVEPKLQLARGAAPTLKMNTNWEKAEGTPTSELRKSPPGSPVKTDLVLGPLDPGATVDKDQKETYTDGLTAMQKAKIAGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSGKRRNIGTRGDMWLLFVGPDQAGKRKMVNALSELMANTQPVVVNFGGDSRLGKDGNGLNAGFWGKTSLDRVTEAVRQNSLSVIVLEGIDQVDAVVRAKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWLPEELKGPKFETLLQDEGRMLEVANSNWQLELSIGDKQVKHRADWLCDDARPAKVAKELSGGHGLSLDLNLAVGALDDTESSRNSSDLSVEQEQEKRHLAVKCITPAPDTDILNLVDDAIVFRPVDFGPFRKKVTDCIAAKFESLIGSSNSFRIDEDAIDRMAGSAWLTDEKLEDWAEKVLMPSIERLWRNMKHHNGRAVVRLAAVADKALPRWGGGREGLPATVPIAIDGM >PAN35572 pep chromosome:PHallii_v3.1:6:38783341:38786369:1 gene:PAHAL_6G218000 transcript:PAN35572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSSMLTQYDIEEVQDHCNHAFSQQEIVSLYQRFCQLDRNGGGFVSADEFMSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRTSLQQKIEFIFRVYDTDCNGKVAFDDILSILRDLTGSFMTEQQRQKVLTHVLEEAGYTKDSHFTLPDFMKILGNSELKMEVEVPID >PAN35637 pep chromosome:PHallii_v3.1:6:39221082:39230494:-1 gene:PAHAL_6G223300 transcript:PAN35637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIQSTSRQNCVLLAIRCGRFAEKQQTPARLVPEPKRVRPSYPFPELVSCGRLEVHTLINPSVEQFREVQQAVQPNLLYLQGQQLENEEIGSLVWGDTDLSDPQTFSSLISPPFPTIVYLEVPIGGKLAQSLHSKGIPYVIYWRNSFSCYAASHFRQALISVIQSSCSHTWDAFQLAHASFRLYCVRNNYVESVKLGPRLLGDAPKINIIPAENDVDEEEGCSEAFLAIKIYDEDVNMKFLVCGVPCTLDACLLGALEDGLNALLNVEIRGSKLQNRVSAAAPPLQAETLSHGVVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKNEIIKSQLVRALSNGDDNKSSSAEPLPSMCLACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVALGIACVNGTPVSSFDRQDADRLIFFCSNQCKDQAIQNAPCAHLSRWSASLTKDRFTGSLESKQISFDANGVREDNKHLTEGSSLSSLEPRLKPATMRPLPHSPKQQMHLFMGLSQSTIHDASQVKPSLPAPPPVKHSVLPVVPATQRKSSYGLPRVKPSVPLNPLPMKKHGCDRSPIRICSEEDFLKDVMQFLLQRGHTRLVPQGGLAEFPDAVLNTKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNKMTGVGNTLKRHYETYLLEYELAHDDVDGECCLICHSSALGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPQCSLAKSKKPPLPVRVGNGLAASGPRIVN >PAN34370 pep chromosome:PHallii_v3.1:6:7784776:7785132:1 gene:PAHAL_6G087900 transcript:PAN34370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRASSISLVVLCFASLLLISSFAAETSGAHDAAGRKMKRGLLAADENPPLTYGGGPTAPEYPTSYGTPSPPVPTYTPTQP >PVH37076 pep chromosome:PHallii_v3.1:6:40471467:40471760:-1 gene:PAHAL_6G237900 transcript:PVH37076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKTHHMTSLGTLSYAFSRSIKTICKSFFSSLYLPINCHTKKIVSMVDLLGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PVH36479 pep chromosome:PHallii_v3.1:6:6705050:6707332:1 gene:PAHAL_6G081800 transcript:PVH36479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRSSSSLPLAMASPSFQTPVLTVVLLLAAGAADAVGVVSQPNFRCYTTGNYKTCYVDRPECSTSGNFTAGSQYQANLLNLIGNLPPSNIANDSFAEITAGSAPDRVFGLAMCYADRNLTQCQDCLRNVSGDVQQECPFSRQAKISRDACILRYSNLSFFSDADREIVYYASSEASPDPYVSDAATMNAERWTLMNGLVPEAASSLLRFANGSKEYTDSQGNAQQVIYGLAQPTRDLNDNMCSECLQNMVIELNSSRPNNTYGAVKCYSCYVAYSTGEDLGITVPLPPPPPPPPTRPPVALIAHVIIGSVVLVVSKGRQIGEDVPGEEAPEDEFEKQAGPRRFRYSELEAATNFFSEKEKLGEGGFGSVYQGHLKDTDLHVAVKRVSKSSGQGRKEYNSEVKIISQLRHRNLVQLIGWCHDGGELLLVYELMPNGSLNTHIHSQNNVMSWQLRYDIVLGIGSALLYLHQDSERCILHRDIKPSNIMLDASFTAKLGDFGLARLMDRDRQSHTTALAGTMWYMDPECLLSGKASTSSDVYSFGVVLLEVACGKHPIVEVSDDTDEEYATVHLVQWVWEFYGRGRIIELRTCVTGLWCAHPDRNLRPSMRQAINVLRLDAPLQRLPMKMLASTFLSPVISGTQV >PAN34917 pep chromosome:PHallii_v3.1:6:16793427:16795758:-1 gene:PAHAL_6G119000 transcript:PAN34917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRLLLQQPLPPPAPPPPPVPAGNHHRLRRALLAGIAASAAVAAALLLLLALVLLHRRRRRRHPTLPFSPPPDPARPLRRYSRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCASPHELHLLASLPDSPRLVSLLGYCPSSGSGSGSGACGGAAAAERPLLLVFEYMPQGSLQGALFGGGGDAAATRDAQFLDWPKRLAVIRDVARALAFLHAECRPPVVHGDLKPSNVLLDADFCAKLADFGLARFKTPDAVAAPGAAGDDFMSQELGEAGDHLSTTSAAGGAKTDTKDESGPASAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPERNPDWADENDANDHKNSPSRTDEHAVSASPEEKKNADCNGNVDGSKKEATKMREWWKEEFFEEMSKKGPSFDKRRGGSKPWLRSISMNTGNGDSNVEPSALDLSFRRSRKRSRRRGRSVGSDIHSGCGGDFLSRELSSTTSMRGTVCYVAPEWGGGPCEHGSELLEKADVYSFGVLVLVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLDGRYDRDQATQCVQLALLCLQRQPELRPDSTDIVKILDGEMELPPAPVEFSPSPRVRPFPRSSRRAAQPDAAE >PVH36681 pep chromosome:PHallii_v3.1:6:23984448:23985616:1 gene:PAHAL_6G141500 transcript:PVH36681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEWEEESRGGGAFLWNLLHPRPQPEAGGGLDPEAQPQPTDPLPDLGEEAIGPLGRRLGRQRAGAGQGGRGLGWRYSDGAATGWPPWRHGGETCWRRRWGGAVGRRANVLARRSQSRGGRRSEAEAGARGSAWDGGSGERESWLAQGGSLRQD >PAN33618 pep chromosome:PHallii_v3.1:6:2490208:2491261:-1 gene:PAHAL_6G034000 transcript:PAN33618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMMKSKRSSHGLLLLSLLLLAFSAIPALISGESFIDGGGRKSKMAIGAGKGADINDDDPFNGCTPHDARASRFCCSKDNLCWTTLYDCAINCPCKVNCDQPSPSSSPSPSMVDPRLYV >PAN35893 pep chromosome:PHallii_v3.1:6:40841384:40845439:1 gene:PAHAL_6G243200 transcript:PAN35893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWRGILGLDYGIVQAPLGPDISGPELAAAVANAGAIGLLRLPDWPAPDRVRELIRRTRSLTSRPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVEEAHRAGVKVLHQVGSLEEAVKAKEAGVDGIIVQGREAGGHVIGQEGLFPLLPRVVDLVSDSGIPVIAAGGIVNGRGYVAALALGAQGVCLGTRFVATAESFAHPIYKQKLIEMSRTDYTNLFGRARWPDAPQRVLETPFYAGWKNNLSDQETEESQPIIGHSIIHGMRKDIRRFAGTVPNATATGDVESMAMYAGQGVGLITEIIPAGEVVKRLVAEAKDVIREKLYDLE >PVH37103 pep chromosome:PHallii_v3.1:6:41080098:41080701:1 gene:PAHAL_6G246400 transcript:PVH37103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVFLVVLLLAAVAVAPLAAEARDVAADNVEGRSLADAPSDAPAPSPDSASPSDAPSSSSDA >PVH36330 pep chromosome:PHallii_v3.1:6:3739331:3740207:-1 gene:PAHAL_6G051300 transcript:PVH36330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCLEHERGIQETAHSRPEPLQFTSLPSDSYWRSACHRTAFSNPLVATGITTKSVLTFQVSLASQNESRSSGAFSNCT >PAN34456 pep chromosome:PHallii_v3.1:6:8908914:8912222:1 gene:PAHAL_6G093700 transcript:PAN34456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPASYPPPAKDDSKTAEEKKLEEWLPINGSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGIVVMVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGEKLGLWIVVPQQLVVEVSLNIIYMVTGGQSLKKFHDVICDGRCKDIKLSYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSISYSTIAWGASLDKGREANVDYDLRATTTPGKVFGVLGGLGDVAFAYSGHNVVLEIQATMPSTPEKPSKHAMWKGALVAYIIVALCYFPVTFVGYWAFGNSVDDNILITLSKPKWLIAAANMMVVIHVIGSYQVYAMPVFDMMESFLVKKLRFAPSLRLRLMSRTFYVAFTMFIGISFPFFGGLLSFFGGLAFAPTTYFLPCIMWLAVYKPKRFSLSWLTNWICIIIGVLLLVFAPIGGLRQIIMTAKTYKFYQ >PVH36542 pep chromosome:PHallii_v3.1:6:9869864:9870724:-1 gene:PAHAL_6G098100 transcript:PVH36542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDPITYEELSAEHKQRYDEIKAQFEADLIGSFERTRNHGVRWRGFSPEGALDGVDLSTLSEDRTRALRQEVNYTVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPTLGIHKGKLPFQTRPPLPYALAAAESHGAPAYVVYKVRGDPMDHQFFSEPPKEIPHGYMCAYIPDSNKPVHSAQKVAGGVSRADADKQAWLATYATGPSHDSMHSAPGLQTAEQISAILRDQFAILPKRRTIGYTKPYPSDYDLISLPPKYRLPEFTKFSGAEGSSSIEHVS >PVH36913 pep chromosome:PHallii_v3.1:6:36892868:36893639:1 gene:PAHAL_6G197100 transcript:PVH36913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVGAAAAPPQARAPAPPPPRRDAGPATPPDGDRELEVLAGAGLCCFLGALLLCNVASAALMATRRAFGRDSGAAAAAAETFVVAAGYLLYFAILALVGHLVNRRHKGEAREALVGGARDATRPAARRPHAESIRARGHQEDALYGPVTGGIYLASCVLMVVGFLMLMLAPARSYPERAGYVVAEIGWFLHSAAFCFIICPALSVQWRSTYAKLKED >PVH36736 pep chromosome:PHallii_v3.1:6:27922668:27923528:-1 gene:PAHAL_6G156000 transcript:PVH36736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDPISYEELSAEHKQRYDEIKTQFEADLIGSFERTRNHGIRWRGFSPEGALDGVDLSTPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPALGSHKGELPFQTRPPLPYALAAAESHGAPAYVVYKVGGDPTDHQFFSEPPKEIPHGYMCAYIPDNNNPTHLSQKVTGGVPGADADKQAWLATYATGPSHDSVHSAPGSQTAEQIGAILRDQFGILPKKRAIGYTKPYPSDYDLIPLPPKYRLPEFTKFSGAEGASSIEHVS >PVH37042 pep chromosome:PHallii_v3.1:6:39613750:39614577:-1 gene:PAHAL_6G229000 transcript:PVH37042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSAMAPSCPRSLHGPSRLSRASPVAVSPPPSPLLFSKERLILQAQVFCSQLQAAPPFSLDDLYAPISEDDADVLAPMFCEEEIQALCAATRASLQSAGQRSDGQAGEKRKSPPSPPCISDDDENKEASPPPPPVKRARTHRSRSRRPASPRQLREWRKKIATRILRRRFRAPELSHGRTALGCRCLELALAGGGGGGPCALHQDAPQPGHRAWMYSAQGLVPLVGGPGEVLVPTLSAGNSKATVSQYARWRRGVRMPTRFYYAQERLGGVA >PVH37370 pep chromosome:PHallii_v3.1:6:44933564:44934277:-1 gene:PAHAL_6G297900 transcript:PVH37370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSISLPSRPHSSEAEVQQELDILEAIISSPSTCIVTMCDGLRRLGDIYSSVEEMIHLPSNQVCFSQQRKMLDGEIESSLELLDLCNTMQEIFVELKAIIQELQMALRKGDDATVQSRIHSYSRLVKKAKQHFKKASKVTSDKTDCAMIMLLTKAREITISLLESTMQLLSKQIEMPKQSLVSKAFYKRKAVVCEEDQLQALECNIGDLESGAGHLFRILVQSRVSLLNILSS >PAN36117 pep chromosome:PHallii_v3.1:6:41900147:41903350:-1 gene:PAHAL_6G258700 transcript:PAN36117 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEAGLRLRALGHHHYYCGGGFKRGWLAAARGERRQRRVGAASCSPALCSLAASGNGNGAAIGPVGSGAEVVCARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGEGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQQALEDCCREGLGGGTVRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLQYQPELPKEAQGLFPADDIYA >PVH37154 pep chromosome:PHallii_v3.1:6:41900147:41903351:-1 gene:PAHAL_6G258700 transcript:PVH37154 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEAGLRLRALGHHHYYCGGGFKRGWLAAARGERRQRRVVAASGNGNGAAIGPVGSGAEVVCARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGEGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQQALEDCCREGLGGGTVRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLQYQPELPKEAQGLFPADDIYA >PAN36116 pep chromosome:PHallii_v3.1:6:41901267:41903207:-1 gene:PAHAL_6G258700 transcript:PAN36116 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEAGLRLRALGHHHYYCGGGFKRGWLAAARGERRQRRVGAASCSPALCSLAASGNGNGAAIGPVGSGAEVVCARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWDDFRDYFIKQEGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQQALEDCCREGLGGGTVRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLQYQPELPKEAQGLFPADDIYA >PVH37153 pep chromosome:PHallii_v3.1:6:41901267:41902887:-1 gene:PAHAL_6G258700 transcript:PVH37153 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWDDFRDYFIKQEGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQQALEDCCREGLGGGTVRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLQYQPELPKEAQGLFPADDIYA >PAN36118 pep chromosome:PHallii_v3.1:6:41900147:41903350:-1 gene:PAHAL_6G258700 transcript:PAN36118 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEAGLRLRALGHHHYYCGGGFKRGWLAAARGERRQRRVVAASGNGNGAAIGPVGSGAEVVCARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWDDFRDYFIKQEGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQQALEDCCREGLGGGTVRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLQYQPELPKEAQGLFPADDIYA >PAN36369 pep chromosome:PHallii_v3.1:6:43215932:43221077:-1 gene:PAHAL_6G277300 transcript:PAN36369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVKSDAEEEWSDSDLDDASDTEVGDALDWLDAVEGPDGSARPSGAFSSSGGGAAARRPNAHGGVLSRPFQPLSNRTQKLASHIRAAPLEEWEGRMNVGMSNSVTTAIRDSIRDTAIGKIRYTEKADRATVEQAIDPRTRMVLFKMLNRGTFSNINGCISTGKEANVYHATKKNGEEQAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVRAAGIRCPKPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFEIVTIMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPLALDFLKEDCLHVSDFFKKRGVAVMPVIDLFNFVVNQNIADEDVDAYLEKIQQKILENGGIVPNDDEITPTVMVQTLDYVKQCEADIVNMSMMQRSSSGYEPPADKLYDQPLLGFVRTKNVHTEKQQDQLPQDTAEATLDLQNKCSLEDNTESEEDDSESCSSSDEDSWHEADPKLGPEERKAARKEHKKKVKEEKREARKTKKPKAEKKRRKKMAKAKCKR >PVH36221 pep chromosome:PHallii_v3.1:6:1748599:1750070:-1 gene:PAHAL_6G023800 transcript:PVH36221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQLICSGCKRVLQYRRGATGVCCPGCNTFTAANPSGPEMSELVCGGCFTMLVYNRGAANIRCPHCGRVNSTRSAANQIGHLSCGHCRTTLAYPPGASTVGCPTCRCINPVRNNSSGGSARPAPSDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >PVH36222 pep chromosome:PHallii_v3.1:6:1747799:1751127:-1 gene:PAHAL_6G023800 transcript:PVH36222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQLICSGCKRVLQYRRGATGVCCPGCNTFTAANPSGPEMSELVCGGCFTMLVYNRGAANIRCPHCGRVNSTRSANQIGHLSCGHCRTTLAYPPGASTVGCPTCRCINPVRNNSSGGSARPAPSDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >PVH36220 pep chromosome:PHallii_v3.1:6:1748599:1750070:-1 gene:PAHAL_6G023800 transcript:PVH36220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQLICSGCKRVLQYRRGATGVCCPGCNTFTAANPSGPEMSELVCGGCFTMLVYNRGAANIRCPHCGRVNSTRSAANQIGHLSCGHCRTTLAYPPGASTVGCPTCRCINPVRNNSSGGSARPAPSDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >PAN33472 pep chromosome:PHallii_v3.1:6:1747818:1750697:-1 gene:PAHAL_6G023800 transcript:PAN33472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQLICSGCKRVLQYRRGATGVCCPGCNTFTAANPSGPEMSELVCGGCFTMLVYNRGAANIRCPHCGRVNSTRSANQIGHLSCGHCRTTLAYPPGASTVGCPTCRCINPVRNNSSGGSARPAPSDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >PVH37142 pep chromosome:PHallii_v3.1:6:41669102:41671487:-1 gene:PAHAL_6G255200 transcript:PVH37142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELKAGIFTVAMLAIVLSSPATAQKSPPAPATSVLPPAPAPAPAPHHVDLADLLSVAGPFHTFLEYLQKTNVIETFQNQANNTKEGITIFVPKDSAFAALKKTTFANLTQDQLKSLLLYHALPKYYSLAEFNKLSTLNPVATFAGSQYTLNLTYDMGTIQVKSMWSNPKISSSVYSTRPVAVYEVNKVLLPMQIFKSNPPLAPAPAPAPDAKASDVAPSPTSGKAASGKAKADEKNSSYQVGVSVITYLALAVSGGLMLFW >PAN35047 pep chromosome:PHallii_v3.1:6:33465682:33470081:1 gene:PAHAL_6G175700 transcript:PAN35047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEASCAEMEALVRRLRLHRPAPSPYEPSDAVAPAPGAGAGELFRPRRAAVLVCLFRSAAGELRVILTKRSSSLSTHSGEVALPGGKAEERDADDAATALRESKEEIGLDPALVTIVTYLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVAEVDEIFDVPLEMFLKDENRTSDELEWMGQPFTLHHFTYDKGNENYIIWGLTAGILIHAASVVYQRQPDFPEKRAQFNLPKNSKECYSMPSSGPVKH >PAN36158 pep chromosome:PHallii_v3.1:6:42412826:42415092:1 gene:PAHAL_6G266600 transcript:PAN36158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLMEELNGLSLKRKGADEPELFEAGADDRSGFPLSCRATKMRRLACPAGGPQHQGAPAEGVAAAGQDDVPVYCDDDPAPASGGGEEEGALVLYGGGGRSDAASARGTPRLAVRGGADWVRAMLREADSRTVRELLAGAAQEQGCDDLALAVVPWVPPSPAGEEAEPSTAAEEADDDGDEGAAAMEVEEGEAPGLRWTGQACGNGAAEGLVYRWPQHCMAPPQMPAVAQPSPVMWSW >PVH36234 pep chromosome:PHallii_v3.1:6:1896836:1897696:1 gene:PAHAL_6G026300 transcript:PVH36234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLIDTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSARAPPENPESSAAADEGDAATQPLTDGNPEDGEREPLTLSAPEEDTPRK >PAN33732 pep chromosome:PHallii_v3.1:6:2982780:2984857:-1 gene:PAHAL_6G041400 transcript:PAN33732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTSSYLNHHHQPLHLHHDDGGAAGSDDGQDSLSPGSGGGGPPSTAGGAGIGGGEIVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSSQTGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLEEGDDVPPPAPPGSDQPGVPFGNDPAAAAAAAGGLPFFNLPMGMPPMPMDGNGGWPGAPGGGVGRPPFS >PVH36295 pep chromosome:PHallii_v3.1:6:2983397:2984857:-1 gene:PAHAL_6G041400 transcript:PVH36295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTSSYLNHHHQPLHLHHDDGGAAGSDDGQDSLSPGSGGGGPPSTAGGAGIGGGEIVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSSQTGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLEEGDDVPPPAPPGSDQPGVPFGNDPAAAAAAAGGLPFFNLPMGMPPMPMDGNGGWPGAPGGGVGRPPFS >PVH36728 pep chromosome:PHallii_v3.1:6:27462327:27464510:1 gene:PAHAL_6G154900 transcript:PVH36728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNLIGQERNTEAARGKAIHQTRRRNVQFEELPRVRRPVLNYLQITTKNARTSVLSSRWRCMWSECPRLSFDAVKICNSIRDDLHQHTDKFIHKVNAVLQKHQGKVVETFEIRIDFVDSLIHHLDNWIEFAPLKFLERNDHYVFPFKLLNHGSISRLHHMQLSFVFLKLPSQFRGFPNLRKLYIQVVLASRKDLEHVLSHCCKLEWLRIDRCNLNDELTVNGPLPRLLYLYVEHCKLTRIKFHAVNLATFKYEGGFIPIDLSHSSKLQNAYFRLVSWKMLVYTKQWLWDSPLKFSHLRQLQLVIHVFTVRTYVDKILYLVSFLRATPFVEKLEVHEFGQCKYLYLKNMWINGFKAARGQVEFLLHVVENAPALEDITVDTKQRHSTDLSP >PVH37116 pep chromosome:PHallii_v3.1:6:41246764:41248008:1 gene:PAHAL_6G249500 transcript:PVH37116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAGAAPGDRDEATAAVVDEGWNLPTDVFVEILLLLPATRRWRRRIVCRHWRDVIHERTPAPERWSQPLALVFFQNYEGLREVSASAFVIGDTAAGGRGRELWRSQTVPPAVLHRHPKRWWKGVDAFDTMMLGTCNRLRCLCDNTRPGGAISLLNPATGEALTLPPLPGSAQWVWWGMQMSSWHDAYTFAYEPMTEQYKVVHLPCYLDRSGGFNAAQVFALGKAAAVPRSWCDAPTPGASCCLDAGVVSVDGVAYWLTKGAERVVSFDVREERVTSTRALPAQPRRRYSWHLAEVHGRLGLVSSSDLWVTPEKVDVWVLDRQGWSRRRGVQLNDGLRHLTARPHFAHGDYVVTGKGGQVFEHRLGSTGMCGKNIHKPSTIYAIIYGGLLHLKTIKSIKSNILPLNFPKPIK >PVH36342 pep chromosome:PHallii_v3.1:6:4007024:4007415:1 gene:PAHAL_6G054000 transcript:PVH36342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLEHAKIMVNAHKRECLNSASDLGIQHLSALSKVEVEIYGNCRNHSNYIQQNMRTMALSDGLQMQLMSYMKQTTMRIVNISNLLEVY >PVH36914 pep chromosome:PHallii_v3.1:6:36901778:36908145:1 gene:PAHAL_6G197200 transcript:PVH36914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPFINRRSSGNSTYEGALFSATMNNDLGIVETLGEISGGRASVLSLKMHGYGVLHCVASYGHLEVSKYLVEELGGDANMTGADGVTPFMASAQSGDLSTVKYFLDHGGDLIKADEKGGTVLHHAAFTGSSKVTEFLLSKGIAVDIDYGHGTPLYEAAINEQDEIVKILLDHHANPNIIFGGIHTPLLGALLNHSLTCMKLLAGVDVYNEGSYASPLVVAIGKGGYTNKIRLLLKAGADPNIPDDLGRLPVELAALKDCMEEVELLFPLTSPIPGVPNWSVDGVISHAKLKNAKPLEERQIARRKAMLKSLASNAFKQKDYDAASKFMAIDHEPDATLYSNRSLCRLRMGDGEGALSDAYICRLMRPDWAKACYRQAAAHTLLGENSQACIALLDAQKLDPGNEEIERELRKAMALRDASPSSIL >PVH37397 pep chromosome:PHallii_v3.1:6:45126816:45130394:1 gene:PAHAL_6G301200 transcript:PVH37397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAVAPTLAAASSSTAAASSLAPNPTARGDPLASRPCRIAAPAPLVPLAATGRHVHGCRGAPAPLAVASPHQARNLRLRFAAAAAEGMAAEASTADAASAAQAKPFAVLFVCLGNICRSPAAEAVFRNLVSKRGLDSKFQIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILNAFERWRHKEPLPDGAPNKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVADNASISA >PAN36677 pep chromosome:PHallii_v3.1:6:45126816:45130394:1 gene:PAHAL_6G301200 transcript:PAN36677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAVAPTLAAASSSTAAASSLAPNPTARGDPLASRPCRIAAPAPLVPLAATGRHVHGCRGAPAPLAVASPHQARNLRLRFAAAAAEGMAAEASTADAASAAQAKPFAVLFVCLGNICRSPAAEAVFRNLVSKRGLDSKFQIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILNAFERWRHKEPLPDGAPNKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVADNASISA >PAN35741 pep chromosome:PHallii_v3.1:6:39786074:39788567:1 gene:PAHAL_6G230800 transcript:PAN35741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MAGTGCHSLLSPASPLSPEFFSRRRASAVGSGACRPSKVRPQIRCCSKGDDARGCGDMRKDKDEETRPSRRKCLVCLGAVTLISATGPTICTPNGIAADMTNKSGIQKAVCRNCNGSGAVICRGKLVCPVCLGTGLPNNKGLLRRPEAKQLLDKMYNGKILPGS >PAN35740 pep chromosome:PHallii_v3.1:6:39786074:39788524:1 gene:PAHAL_6G230800 transcript:PAN35740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MAGTGCHSLLSPASPLSPEFFSRRRASAVGSGACRPSKVRPQIRCCSKGDDARGCGDMRKDKVDEETRPSRRKCLVCLGAVTLISATGPTICTPNGIAADMTNKSGIQKAVCRNCNGSGAVICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEAKQLLDKMYNGKILPGS >PAN35742 pep chromosome:PHallii_v3.1:6:39785933:39788867:1 gene:PAHAL_6G230800 transcript:PAN35742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MAGTGCHSLLSPASPLSPEFFSRRRASAVGSGACRPSKVRPQIRCCSKGDDARGCGDMRKDKDEETRPSRRKCLVCLGAVTLISATGPTICTPNGIAADMTNKSGIQKAVCRNCNGSGAVICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEAKQLLDKMYNGKILPGS >PAN36738 pep chromosome:PHallii_v3.1:6:45481690:45484371:-1 gene:PAHAL_6G306300 transcript:PAN36738 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD acyltransferase DCR [Source:Projected from Arabidopsis thaliana (AT5G23940) UniProtKB/Swiss-Prot;Acc:Q9FF86] MAAENGSGSSISTKEQVVVTVTGSRTVSPAKSRCALATFDLPYITFYYNQKLLLYRTALDFPDAVARIAAALSDALRVFYPLAGRIRQDADGALAVEGDEGAEVLEAEAVGVAVDELAGGDCGEEAERVMQQLVPYTGVMNLEGLRRPLLAVQLTQLRDGLAVGCAFNHAVLDGTSTWHFMSYWAQLCRSSTTTRSPPSLLQPILDRSLARSVRVRLDLPESAEAHEKTDPNGPKKALVARVFSFSEASVGRIKAGVNAALPPGAKPLSTFQSLGAHIWRAVSRARGLGPADITAFAVFADCRARLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIDEHDAAAVARRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGEGGIDVELALQPEPMQRLQKDAEFLLLQQAAA >PAN34706 pep chromosome:PHallii_v3.1:6:31597139:31598668:1 gene:PAHAL_6G167700 transcript:PAN34706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGGPSQGGDNSNELSLPLLSTIRELEKYNLNGAFSGSMHNMGVPTQPASPLVNASFRLAPTEDPEVEEVDGTQVSNNKGKKRVAQRGRSFTHEEDRAICSAFLHVSKDPIIGTNQTSAGYYTRMHRHFVDNNGVSTNRTKVSIENRRGTIQKAVNKFCGFYDAIERRNQSGKNEQDRINDAIRMYEEIEPWQFHHCWLILRGEPKWHARMVECNMAQRANQRPAPKCSETETCSVQAESTLPDRPEGRDSAKKRARIMADTSSSSAAMEMLQKMHDRGEKNDVKEDQLRHEMFKMEREGLELQKLNWEKKWVASEKKWAVMESNAMTRQNEYELNQWNADLMVMSLDLDKLAQPLRAMYQQKQGEIMKRRGISTPPTSDS >PAN36712 pep chromosome:PHallii_v3.1:6:45325704:45327144:1 gene:PAHAL_6G304100 transcript:PAN36712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETPQPEKKKAPLPKVVTLNKALKLAQTWVDKMTASEPDEPNDKDFEGRPSRLGLGAKLAPGVKRAAPTNPVERKLLGKVNAQKRKALEDENRTAKEANESSDGDSDEPESRTSAFNKKRTLPSVTSTSLGKKAK >PAN33347 pep chromosome:PHallii_v3.1:6:1214064:1216556:1 gene:PAHAL_6G014400 transcript:PAN33347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGGAGGPDLIVDDGGDATLLIHEGVKAEEDYEKTGKVPDPDSTDNAEFKIVLTIIRDGLKADPRKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVLSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKSQADYISVPVEGPYKPAHYRY >PAN36600 pep chromosome:PHallii_v3.1:6:44814832:44816245:1 gene:PAHAL_6G295800 transcript:PAN36600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTTPEKQRGNTITNNDEEIKGAAALPEDQVFELLTRVPLDDLAACRVVSTRWRRITYEPAFAPLHCRRAAAVSGYFVQSMARHRYRADFVSMHASPAPAVSLDFLPSAHVRLEAVAAHRGLACCVEADTRRRPCYYVCKPATRQWRALPNPRVRFRTAATAMVARPSSGGSAAAEFKVARFSVPALRDRLRCEVFDSRRFAWRRAGDVPLCPDSLDPSAPAVRAHGAVHWLRWPDQLTGAQDVFAFDLRTEAWRLVPLPREVDERDDPWARKQISAVEGRLCLMVTTEAAAVDEEVLEVWEMAGYAEGRWEKKMAVSLKSLQAQEGNAMILQDFFSSDVAFLDTFCRVMWYDFLRGKMAEVEVNHVCIQQVFKYESDLIPCELGKARHPPLPARAPSSKEAQRGDCNCMHALMNFNPLTMMPTN >PAN33544 pep chromosome:PHallii_v3.1:6:2023095:2026097:-1 gene:PAHAL_6G028400 transcript:PAN33544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWPDDFRCPITLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLIAAVAPSAVAAPVPSEGPAQGRQEAAPAVQSSSSVAALLRLAKSGAAGRREVLESGNAAVLLRHAAAGDEAAARALLLLTLDGDDTRVGLVADGAVDALSAAVRRGGAVAALAATALTSLATVDVNKCSIGAHPSVIPELVGLLRRGDPRERREAATALYELCKLPENRRRAVREGAAPALADFAAVGSARAVEVLGLLAKCREGRQELCKIPGIVSVLSGVAGSGTSRAIEQAVLVLNWICSESNELALEAIKLGAFQLCETLVNDDNCKIAKNAVELARTLETA >PAN34402 pep chromosome:PHallii_v3.1:6:8439649:8444112:-1 gene:PAHAL_6G090400 transcript:PAN34402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MSSSSSPSLLSSPLPSPATVLSKKPASLRAVPSGTARRWVRVVAAAAAAAAPVSAASQARPSAAEVARTVVELAPSGTLSVVGPDGWPLGVGARFVADAAGAPALCLAAAEVAVPDAPSSFHVEFRQSGARTPQCTMLGALTKPSDESVLKKLSTRWQKKFGEQIDQDLLYLISVDRVLHMEDFNEDGMWVVPSEYTSAEPDPLRNSAENLVEEFNSKHAEDVHRIYSIYVESDLQVSLLFGSLFQETSLTRRV >PAN34403 pep chromosome:PHallii_v3.1:6:8440465:8444054:-1 gene:PAHAL_6G090400 transcript:PAN34403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MSSSSSPSLLSSPLPSPATVLSKKPASLRAVPSGTARRWVRVVAAAAAAAAPVSAASQARPSAAEVARTVVELAPSGTLSVVGPDGWPLGVGARFVADAAGAPALCLAAAEVAVPDAPSSFHVEFRQSGARTPQCTMLGALTKPSDESVLKKLSTRWQKKFGEQIDQDLLYLISVDRVLHMEDFNEDGMWVVPSEYTSAEPDPLRNSAENLVEEFNSKHAEDVHRIYSIYVESDLQVADVKMIWVDRLGFDLHVNSGEGVFAVRIPFSRDVSDEKGVKSSFNMMSHHAWEVEKSYASPEFEKVQFLKKVR >PAN35257 pep chromosome:PHallii_v3.1:6:36636506:36637086:-1 gene:PAHAL_6G194700 transcript:PAN35257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFCVIDGESTRLPVGRIRKFRGPWNSGNGIGIFGIVPEGRRTRSRGGEATVAAPEEGSRGRFRSGAMDAAASNGGLLYHGVQEGKLCVVHCINTALQGPYFFEFDLAALACDLDQRECLVMLESSQSPARPTLPVGTSTMSPSEAISAFRSVQEFQ >PAN36000 pep chromosome:PHallii_v3.1:6:41257459:41259217:1 gene:PAHAL_6G249900 transcript:PAN36000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFAAVLLAAAAATLLAAGQLTHAVPSTAGVFWRAVLPSSAVPDAVLQLLRPGTAGNNFVSEAEADGAGAPNLPFDYQNYERSSAPYGYDYKPSSAPYGYDYKAPSEGGEAAPGEHHHAGRGEAATTTTVFFHEEAVRVGERLRFRFPAAAPAALGFLPRHVADAIPFTTPALPSILALLGVAPGTAQAAAMAETLRTCESPPLAGEAKFCATSLEALVERAMEALRTRRVRAVTSTLPRAGAPLQQYTVRAVRPVDGASFVACHDEAYPYTVYRCHSTGPARAYMVEMEGAREGAVTVATVCHTDTSRWNPEHVSFKLLGTKPGGAPICHLMPYGHIIWAKSVKRSPA >PAN35877 pep chromosome:PHallii_v3.1:6:40770083:40774924:1 gene:PAHAL_6G242500 transcript:PAN35877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHKLRFVRCPRCNQLLVEYPSIPVYKCGGCGTVLRAKNRAQAQAGSGSDQHNSFPNSLQGSPQSSKSICSDEQKAASSVDQPRVDQPCEATVDGSISSSIENIDPCKGAIPEGVMSAADTLTHDEHLNEEAGSLIDGNIQNSEEDMVKEIHDKDSGVGTSSNLTEKLGDLDTSENPNGGKVDGFATSDVSTLNGKTEVVHRKERLQPYERMQVESHEALIEELERSLSFSSDDEYFSDEAENVGLSDALRNQMGSRRFVLGVKANDASRSDPHGRLIEELEMSFSDAEEPMEQHAVVVERVHGNVHDMHPQNLGAGSAHPCEESLSSLDDGHLKYEQTSHQEIRLIGNDNNVKEECNTEENSTAKHAAEDIVNSSSESARDWQSIDVEIADPCEVSASLVVDSNIKDNSNDAIEECHTEDASTANRVNGNAHIMVADEDIVEVSHENGKDQQFTDAESAHPFEGSVSSIDDGNEKLKQSFQRNDLIADVTQETEVCCTEDENMNSYVHGIESLVISNDISDRPCGNEGLMADYCSGENKESHMEDHNMANTVDAKENVAAADDHIAERVDNNEAPLHSGDMANTDGKDQRSLEAEGTDLVEEVISSLNTGHIKSEQCLQQNELISDGTKEKEEADMEDSNASATVARISSRSYKRTQNEVPSSNKNKEEISYGYKASQLRQGLSLDSEDFKSIQNFIESQIDGTSSSRSSGSPSQGVLVHRTSTKFNNIVRHERLKKMDELRDQLSRLSSEKISEKSYQKRDPEYQQQSNSCDVEQHLPSVDGDSIRSSCALESYYGHGRPPRYQPSNSFSPTHTYPNCHFGHAQTRIPHNYDQWEFNSYYQSSYAESTILDYESLRSSYKEHKRVVRKHILRPLSGASPYTICNSCFNLVQMPSDIYISKAMIGKMQCGKCSKVLALSFPSVHQAHAKISVDVVQQSYNPDCTIPTNEDITSYFSECLTGGPVSTGEDYGASYTRSLPTQAGSSSLAATQSGKKVSDSALHRLMGYDSASQLLRHSRVFDDGYESFESMVPVSTRVSRRKNK >PAN34999 pep chromosome:PHallii_v3.1:6:24161447:24163072:-1 gene:PAHAL_6G141900 transcript:PAN34999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPLPRAAAPLAVLRAFRFAPWGAPAPRRRLLPGPPTAGEPPPPVLPPASKLADPPVLGAPEPPLPFRAAEAEILRDIEPVVQLIKDILHSDRYGDGECLCPKDENVVVEKLLAFHPRAQDKIGCGLDAIMVDRHPEFRKSRCLFVVRTDGVWIDFSYQKCLRAYIREKYPSHAERFIREHFKRT >PAN34785 pep chromosome:PHallii_v3.1:6:32952121:32956266:1 gene:PAHAL_6G173600 transcript:PAN34785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRPLLRRLAALAGGRVRANHRLLSSSPSAVSAERAAQSPAEPEAVRMTEGCVRRIKELQAKEPSAEGKMLRLSVEAGGCSGFQYSFSLDDKKNSDDRIFETDGVKLVVDGISYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVK >PAN35544 pep chromosome:PHallii_v3.1:6:38600037:38604554:1 gene:PAHAL_6G216400 transcript:PAN35544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTGAFAEILDGEVYKYYADGEWRASASGKSVAIVNPTTRKTQYRVQACTQEEVNKVMEAAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESIADAVVEKVNAKLAKLKVGPPEDDSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >PVH37041 pep chromosome:PHallii_v3.1:6:39612418:39613669:1 gene:PAHAL_6G228900 transcript:PVH37041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAASSPAQSGYRGVRRWSSGKWTAEIRRPGDPNRHCLGSFDTAEEAALAYDQAARREYGGTARLNFPERAAAWKAAADEARTPGAWAEEAERAIAGDTAAAAAADEAAEAADAELAPGRGGGTGEPAARALKRYRGVRRRRSGKWAAAIRRPGDSQRHYLGNFDTAEEAALAYDHAACRLQGPGARLNFPERAASWEAAVADTVDEATMAATAAAAAATADTASTMTPTEAGLIYYSFI >PVH36837 pep chromosome:PHallii_v3.1:6:34192120:34197704:-1 gene:PAHAL_6G179500 transcript:PVH36837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36844 pep chromosome:PHallii_v3.1:6:34190125:34201035:-1 gene:PAHAL_6G179500 transcript:PVH36844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTAMEVTATGTTAEAAAVPPPAVAEKYMHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36839 pep chromosome:PHallii_v3.1:6:34192120:34197704:-1 gene:PAHAL_6G179500 transcript:PVH36839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36838 pep chromosome:PHallii_v3.1:6:34190129:34199593:-1 gene:PAHAL_6G179500 transcript:PVH36838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36841 pep chromosome:PHallii_v3.1:6:34190129:34201030:-1 gene:PAHAL_6G179500 transcript:PVH36841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36842 pep chromosome:PHallii_v3.1:6:34192120:34197704:-1 gene:PAHAL_6G179500 transcript:PVH36842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36840 pep chromosome:PHallii_v3.1:6:34192120:34197704:-1 gene:PAHAL_6G179500 transcript:PVH36840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PVH36843 pep chromosome:PHallii_v3.1:6:34190129:34201030:-1 gene:PAHAL_6G179500 transcript:PVH36843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTAMEVTATGTTAEAAAVPPPAVAEKYMHKNRLQEFCVQTYKNLPIYKVEFEGEYHQPKYKCTVEVDGKQFSSTSSFSRKKEAEQDAARVAYEILATIGESDVKEVFGLINQDAVFCKSILYEFAVKTKTTWPSYSVVRLEKPFTMFVASVVFNGNTYTGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAVGTSANPSAKAVTGSKKRKRRVGAEANETMVAKGH >PAN34339 pep chromosome:PHallii_v3.1:6:7446036:7447133:1 gene:PAHAL_6G086200 transcript:PAN34339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGINASVSDGLAWAPCYTRAERPACVASSPVSWQPVACCFDCRAMRLYGSQGTDDQFLFHGCVCMAVPATQVLQTSTHLYRQS >PAN35312 pep chromosome:PHallii_v3.1:6:37007149:37011578:1 gene:PAHAL_6G198000 transcript:PAN35312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTPGCSPPSATRTRSSFQAKLTNHSHPLPPPSRAAARRPLEPRVPAPMALPSHPAFASLAAACAVLVGVAFASVRGWIRPYGRTHAAGAVVSTLLGTNAFVLYTDDGPEAGGKAAAERLLIDGEDAWPLLFVVAGCVLGCVVVSVFRACVEASGRRRRRMVPLVPGRSGRAGRRATGCSWDTLVEPMLFLVSVWACVYCLPGVAGDHIAALPKGGDPAGAGIVCDHPRCQSSYVAF >PAN33970 pep chromosome:PHallii_v3.1:6:4623804:4626401:1 gene:PAHAL_6G061200 transcript:PAN33970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVLAGRNEVHHPHPHHRHWGGARVPLVPKPSSNPNPRRHRAAPANPIPGGPPTPPRAVPAAPEPEPSSSGHVKFRPSEMTPAEARQLRARLAGELGRVRALLSRVDTWQDGQRRRRAAADPGPEQQQPPPRARCESPPPPPALVEAMQKRCAEILMRLRKSKNSVWFNSPVDVEGLKLHDYHAIIRSPMDLGTVKQNLAAGRYPSHEAFANDVRLTFNNAVRYNPPDHHVHRYAGSLLATFEGLYREAVSWFEQQRQPAVEPPMPLDLLPPPQQPVSVPVQAPPRMGAGRRPKPKARELNKRGMDEEEKQKLRVEVENLPEEKMVNVLQIVQKRNSDPALTGEVVELDFDELDTETLWELDRFVVNWRRALKKSQRNSVMNGDAAAVMNGDAIDVTVVPDEDDMVQVDANPPMMVETGDSETDMPEKRAAEPDMADEYVDIGDEMPTVNYQSVEIEKDAQVGSSSSGSGSGSSSSSDSDSDSDSDGDDARSPN >PAN33969 pep chromosome:PHallii_v3.1:6:4623804:4625516:1 gene:PAHAL_6G061200 transcript:PAN33969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVLAGRNEVHHPHPHHRHWGGARVPLVPKPSSNPNPRRHRAAPANPIPGGPPTPPRAVPAAPEPEPSSSGHVKFRPSEMTPAEARQLRARLAGELGRVRALLSRVDTWQDGQRRRRAAADPGPEQQQPPPRARCESPPPPPALVEAMQKRCAEILMRLRKSKNSVWFNSPVDVEGLKLHDYHAIIRSPMDLGTVKQNLAAGRYPSHEAFANDVRLTFNNAVRYNPPDHHVHRYAGSLLATFEGLYREAVSWFEQQRQPAVEPPMPLDLLPPPQQPVSVPVQAPPRMGAGRRPKPKARELNKRGMDEEEKQKLRVEVENLPEEKMVNVLQIVQKRNSDPALTGEVVELDFDELDTETLWELDRFVVNWRRALKKSQRNSVMNGDAAAVMNGDAIDVTVVPDEDDMVQVDANPPMMVETGDSETDMPEKRAAEPDMADEYVDIGDEMPTVNYQSVEIEKDAQVGSSSSGSGSGSSSSSGMHGGSR >PVH36978 pep chromosome:PHallii_v3.1:6:38316881:38317792:1 gene:PAHAL_6G212900 transcript:PVH36978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECSKPKQTDKDNQATQPLNTPPAPIPTGGAPIERPEGRDSVKKRRTSVEESSSSVAVEMLEKIHVRGQQMDELEAKQKEELIDIERAKFDLQQKALFAKIEHGNKKLELQREISRDKKEISREQIKLQRDIMETTRFQTEAQIMFTDLNSWQPAVRFWITKKQRDILIKEGINPDEVESASGASTQQQQPSGDK >PVH36223 pep chromosome:PHallii_v3.1:6:1779269:1783734:-1 gene:PAHAL_6G024000 transcript:PVH36223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGRRLPPWTSPRGAGPGVPRWSPAAGTPAGAGGPGSGYGTPPVSAGCCFGTRVTPPTSGGGGARVTPPSAGGCSSRPPRPPPSLDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMANVLKQANRAEEAIEAIRSFRDRCPYEAQESLDNILLDLYKKCGRTEEQIEMLTIKLRIVDEELASGRWKTKQSKSHGRVVYLSLRDEKARLLGNLAWAYMQSENYEEAEMLYRQALAVEADYNKECNLAICLMKTGKLAEAKYLLQAIPYNCDDESHVKSLSRATEMLRELELQSLPSPITQMKSKESRILLAADVEMLEDPQPQILSTPLSQLKCKEPHISVSAEEHENCSSWLPSPITQLKREEPQILAIVDAEKNEGCAKFQDLSRLFNDAATPHSMLEKLRKRLVKEAPKISIIHDQILTPTATECLPNSDGNQDASENPVQGGKQLAKGVRKTWADMVDEEEQQLGEDKPWADMVAKDEQQLDDDKLTVGVGTTEQTESSKHASKQEYRIPSSSQGSSTLHRPVVGGHQQGSSANSWRHSDSKISRDNRVNWDLVRTAPTWSKHKVQDHSDRVCQRPNTAHLNENASGSKQAPWRSSASQRALFPDWNSKGEGYGHGYVPFGDNEHSQGPGCTEASHRWHNNMAGTVTWRPQNRLRVFQEITNEVNQNVV >PVH36287 pep chromosome:PHallii_v3.1:6:2855893:2867800:1 gene:PAHAL_6G039600 transcript:PVH36287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNSSGEEMVVKVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAMNNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSGLSSETPSKEVPNDKSTKSNLTVGNGNVQMAGDVSLQKFQRKEVSEKGSCSEVLNLFRDAPSASFTSVNKSSSNNGVPRGIEPTKKEIRDMTTMEKNSINPTMQEDVKEINDQEMGRVNGIHISSKCNHSNEEYLDFSMQQMKLKPKSSETTYVDKQTARTSHTLAERNGATSIPVTGIEGTHPDQTSDQVGVNGSMSPCIHPMLSMDPKFDSSATPQSFPHNYAAFAPMMQCNCNQDTYRSFMNMSSTFSSMLVSTLLSNPAIHAAARLAASYWPAAEGNTHVDPNQENPAEGVQGRNLGSPPSMASIVAATVAAASAWWATQGLLPFLAHPMAFPFVPAPSAAFPTADVPRPSEKDRDCLVENAQKECQEAQKQGQSEALRVVAYSESDGSRKGDMSIHTELKISPVQNAEVTPTTGADTSDALRNKKKKDRSSCGSNTPSSSDVEAVNVPEKEDKVNDKAKQASCSNSSAGDTNHRRFRSTGSTSDSWKEVSEEGRLAFDALFSREKLPQSFSPPQAEESKEVANEEEDEVTTVTVDLNKNATSIDHELDMMDEPRTSFPNELSHLKLKSRKTGFKPYKRCSVEAKENRVQASDEIGTKRIRLESEAST >PVH37226 pep chromosome:PHallii_v3.1:6:43031252:43034190:-1 gene:PAHAL_6G274100 transcript:PVH37226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWHHEGEPLDHAVEELPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDSVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARERVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLQQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH37223 pep chromosome:PHallii_v3.1:6:43030345:43037058:-1 gene:PAHAL_6G274100 transcript:PVH37223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAESMVGKVSAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTSTVTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWHHEGEPLDHAVEELPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDSVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARERVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLQQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH37224 pep chromosome:PHallii_v3.1:6:43030345:43043013:-1 gene:PAHAL_6G274100 transcript:PVH37224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEMPPAGADEMMGDDEMGDFGGDEGPVMKVGEEKELGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTSTVTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWHHEGEPLDHAVEELPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDSVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARERVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLQQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH37222 pep chromosome:PHallii_v3.1:6:43031252:43036328:-1 gene:PAHAL_6G274100 transcript:PVH37222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAESMVGKVSAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTSTVTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWHHEGEPLDHAVEELPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDSVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARERVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLQQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH37227 pep chromosome:PHallii_v3.1:6:43030345:43034612:-1 gene:PAHAL_6G274100 transcript:PVH37227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWHHEGEPLDHAVEELPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDSVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARERVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLQQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH37225 pep chromosome:PHallii_v3.1:6:43031252:43042866:-1 gene:PAHAL_6G274100 transcript:PVH37225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEMPPAGADEMMGDDEMGDFGGDEGPVMKVGEEKELGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQAEATCKSQPNVGREKGKGKSVSFGLSDASGVVTSTVTNSEMGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWHHEGEPLDHAVEELPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDSVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARERVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLQQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH37221 pep chromosome:PHallii_v3.1:6:43031252:43034190:-1 gene:PAHAL_6G274100 transcript:PVH37221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWHHEGEPLDHAVEELPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDSVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARERVNAKENPSGPSSNTSSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLQQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PVH37220 pep chromosome:PHallii_v3.1:6:43030345:43043012:-1 gene:PAHAL_6G274100 transcript:PVH37220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSNSCNDKLTDENLRNLSQQTVEKEHNDNESWHHEGEPLDHAVEELPKSGIGENRSSMKLRSRKKSQKVGTPKHTDDYFDEDSVEPSLVEEDNDSGDDYTAGTNRKVRKKSRDDVEEPQQQNVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQRRAKEVKTLLEKPHEINPMKLSAAHLRLLQEARERVNAKENPSGPSSNTSFQFHDMDDLDYGDEEARNFDNDRTQNHVQDTKKLNYHSYMNKQTRGKWSKSDTDVFYQGLQQFGSDFAMIQQLLPDKTRQQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKNLNIEDVAQQEINNTHKQDGASSEPGKEDALDDCIHEEDDSNWLDEEPGVQKPEEHASGNDDDGDLGDVFDWY >PAN34106 pep chromosome:PHallii_v3.1:6:5261022:5263900:1 gene:PAHAL_6G069200 transcript:PAN34106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKLTEVAQMFARFKAAYARSDLDACVTLLSQLKVQLTKFPSLPPLFQQTPNAVEELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSISDAKQMLMFTSDQELHQYITEEHPEWEIKNGSVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >PAN34065 pep chromosome:PHallii_v3.1:6:5143298:5148783:1 gene:PAHAL_6G067400 transcript:PAN34065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYLVSSPAAAAKLAAGTRASPRRRRQLLFTARHSHSSRASLSSLSSSAESAAGRAMAAAEAGASTAPEASLCATGVKQALISLSDKTDLANLGNGLQRLGFSIISTGGTASSLEAAGVNVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQKHHREALNEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPALLEYLEGKQDDQDFRRKLAWKAFQHVASYDSAVSEWLCKQSNKGDTFPPSFTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDVLEAYRLAVKADPVSAFGGIVAFNMTIDEDLAREIREFRSPTDGETRMFYEIVVAPGYTEKGLEVLKRKSKTLRILEAQRSGKGMLSLRQVNGGWLAQESDDLTPEAITFTKMSERAPEGSELSDARFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACRSGIAVIAEPGGSIRDQDAVDCCDKYGVSLLFTGVRHFRH >PVH36402 pep chromosome:PHallii_v3.1:6:5143303:5148783:1 gene:PAHAL_6G067400 transcript:PVH36402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYLVSSPAAAAKLAAGTRASPRRRRQLLFTARHSHQSSRASLSSLSSSAESAAGRAMAAAEAGASTAPEASLCATGVKQALISLSDKTDLANLGNGLQRLGFSIISTGGTASSLEAAGVNVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQKHHREALNEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPALLEYLEGKQDDQDFRRKLAWKAFQHVASYDSAVSEWLCKQSNKGDTFPPSFTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDVLEAYRLAVKADPVSAFGGIVAFNMTIDEDLAREIREFRSPTDGETRMFYEIVVAPGYTEKGLEVLKRKSKTLRILEAQRSGKGMLSLRQVNGGWLAQESDDLTPEAITFTKMSERAPEGSELSDARFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACRSGIAVIAEPGGSIRDQDAVDCCDKYGVSLLFTGVRHFRH >PVH36401 pep chromosome:PHallii_v3.1:6:5143301:5148783:1 gene:PAHAL_6G067400 transcript:PVH36401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGRVKTLHPSIHGGILARRDQKHHREALNEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPALLEYLEGKQDDQDFRRKLAWKAFQHVASYDSAVSEWLCKQSNKGDTFPPSFTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDVLEAYRLAVKADPVSAFGGIVAFNMTIDEDLAREIREFRSPTDGETRMFYEIVVAPGYTEKGLEVLKRKSKTLRILEAQRSGKGMLSLRQVNGGWLAQESDDLTPEAITFTKMSERAPEGSELSDARFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACRSGIAVIAEPGGSIRDQDAVDCCDKYGVSLLFTGVRHFRH >PVH36470 pep chromosome:PHallii_v3.1:6:6478247:6478823:-1 gene:PAHAL_6G079800 transcript:PVH36470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHLLAHIPAAGRPYPSTTIRRTAANHSVVSSSSSSHPSQITVDTAMVVVQIQVVMDSNEELPGRDWEWLQDGRKQADIFFLLKKSSKQTLVGEV >PAN35854 pep chromosome:PHallii_v3.1:6:40592376:40596503:-1 gene:PAHAL_6G239800 transcript:PAN35854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLPRAGLFVFGVLVSAFRLAHSSTDNNSSEVRAVNLGGWLVVEGWIKPSLFDGIPNRDMLDGTQVQLKSVGLQKYVSAGDGGGSNVTVDRDAASSWETFKLWRISMNEFQFRCFNGQFLTSSDGDVISATADSSGDPETFYIERNNTLLHIKLLNGSYLQVTDNNQLTPNYPSQPGWDDAMATFEMTIVANNLHGDYQLANGYGPDKAKSVLEEHRKNFVSGSDFSFISQNGINAVRIPVGWWIAYDPDPPAPFIGGSLNALDRAFHWAQIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSEANIQKTLDVINFLAQRYADNPCLLGIELLNEPSAAAVPLDTLVSYYKTGYQIVRSYSDTAYVIFCQRIGNADPMELYQAYLGATNTVVDLHYYNLFDPYFEKLNATENIQFIYKNRLPQVQSLNRANGPLVFIGEWVNEWNVTNASQVQYQLFGNAQLEVYGEASFGWSYWTVKCNSVHWDYEWNIRNRYLLGGSLLISPNYMLLVAGCLMYLLSAFT >PVH36625 pep chromosome:PHallii_v3.1:6:18585524:18585751:1 gene:PAHAL_6G125000 transcript:PVH36625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVGDERWPDVGGEEMRPGTADGDKRTIRTSGRRCRWDERVPASWTGTSRHPTRARTSGRQARGAMSGGGYGRG >PAN35096 pep chromosome:PHallii_v3.1:6:34272264:34275206:1 gene:PAHAL_6G180600 transcript:PAN35096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSPFLALLLLALLSLLLFFFSAGRKTSPSYAGGRSLPPSPPGFPLLGHLPLLGSLPHRALLSLAASHGPVMLLRLGRVPAVVVSSADAAREALKTRDPAFASRFRSRMTERLFYGCDMAFAPYGEHWRRARRVCVLHLLSQRCVLSFRRVREQEAAALVGRVRAAARDGAVNLSDLLISYASSVTIRAAFGDCSSYGLGGGGKVRKVFDDLEEMLGSGTLGELVPWLAWVDTLTGLDAKATRTFEALDGLLEQVIADHRERRRGGQRVGDDGGQRDFVDVLLDVNEEEDEAGGLWFDTVTIKAIVMNMFVGGIDTTFASLEWAMAELINHPDEMRRLQEEIRAAVRDDDHIIEDHLNKLHYLKLVIKETLRLHPPVPLVPRETVEDAELLGYHVPARTRILVNVWAIGREPTTWERAEKFLPERFAEDVDMDQYMLGQDFKLLPFGAGRRGCPGAGFAMASVELVLANLLYHFNWSLPGGASMVNMDEQGGLAVRLKKTLHLVAMPWCSE >PAN35992 pep chromosome:PHallii_v3.1:6:41231412:41236286:1 gene:PAHAL_6G249200 transcript:PAN35992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVAAALLRRGRDQASALMIPRLAPRSAPAPAVPRIGSGSGGGGCLIPPRPGSTVAFSSASRFAPFHAFRSLAPKTLLGQCTRKMSTATAALNSTMANGAANSGLKLLVTKGPQAQKAVGIWLFGCAAWVFSLVILGGITRLTRSGLSMTDWKFTGEIPPVSNEAWLLEFEKYKQSPEYKRVNKGMSLEDFKFIYWMEYAHRMWGRALGFVFAGPFAYFIAKGYVTRQLGLRLSALFALGGAQGLIGWWMVKSGLEEPTSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPDPPTGSLSWVNGAAKIRKMAIPVSAVVGITAISGAFVAGNDAGHAYNSFPKMGDTWVPEDVFCMEPFIRNFFENTSTVQLNHRILATTTLLSVAGLWLAARKIDMHPAVKSLIGSTLGMAALQVTLGVSTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPTLLKSLAAAAKPT >PVH37192 pep chromosome:PHallii_v3.1:6:42505030:42509950:-1 gene:PAHAL_6G268100 transcript:PVH37192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIPEEECGEGEEDGGEEGEEEGSSSREWLESDVVNGFPLCGFDSLYDKYCERMLVFHKMIAQLMKDPESLNMSKKSPRSASKLASTLRSLSFKRKDELQEDCEQLQQQQSEDDPYQTLETAYVAHVSLSWEALHCTYVHLSLIVAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEHGTRVEIYARSRSLLSKLLQVPTFQVADKKDNTEDQMEPSIFAPDLIKLLEDSILTFRLFLKKDKKKNSAHMSTHGHTGSSIHHVQSSLDKKEAKVKELFKKRWKSKTWPPTMEEVQLLFALIDIKVVSRVLRMGKLSKEQLLWCEEKMSKLDLSENRLRRDGSPILFPC >PAN33405 pep chromosome:PHallii_v3.1:6:1429121:1434077:1 gene:PAHAL_6G018300 transcript:PAN33405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGRRHSVDIPISRALVAIMRSRSLRDPDTNSLAKFSAKKTIWEGCSFEEDEPEGNNYGRHSFSYNAYDHLQRRREEFGDSLRSGRLANSPINIIKANAMAKAVLHNQSCCSAISGMSRAAKDRAFALEIQGEELGRREASTFQESSRSLLQKYRPKSFSELVGHDVIAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAAALNCRSPGGNQPCGRCEECMAIFSGSSSSVVEVDASKLDCKSRVAVLLRNACEVPASSHFKVLIVDDCQHMDKEGWYSIYNSLEEIPASTIFVMITSDIDKLPSNGIGWCQSYRFCKIDDAEIACRLIKICTKEGMEFEAEALELLARKANGSIRDAIQMLDQLTLLGKRISKSVTHELIGDVSDEELLDLLNLAMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAAKHPSDSSEVRRVTGRHTSADVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLDDTAVSSMFTESQTDDGTAVLKDESLDTSSHLCSQNKVGSLDMNLGDPDVLETIWMKALENCSSRPLQNLLRRDGKLSSLYTSQGVAVAELQFCHPEDVPTSETFWKPLCTSLQNLLRCNVDIRINLSPISSNRMGSKDSSVSLVMQSREDRETQDPVTTNCRTVASSRRDCPSPLAGQAKEKPSHILGCLHGTADGDAVDTESRILSYQKISVVPAPSTPGNAPLKAAGDTSEVDEGRVNRGCFLNLLPCCASSPRRKSQTREKRRASLFSCCFCKIRPDCKTKAEQG >PAN33404 pep chromosome:PHallii_v3.1:6:1429085:1434219:1 gene:PAHAL_6G018300 transcript:PAN33404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGRRHSVDIPISRALVAIMRSRSLRDPDTNSLAKFSAKKTIWEGCSFEEDEPEGNNYGRHSFSYNAYDHLQRRREEFGDSLRSGRLANSPINIIKANAMAKAVLHNQSCCSAISGMSRAAKDRAFALEIQGEELGRREASTFQESSRSLLQKYRPKSFSELVGHDVIAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAAALNCRSPGGNQPCGRCEECMAIFSGSSSSVVEVDASKLDCKSRVAVLLRNACEVPASSHFKVLIVDDCQHMDKEGWYSIYNSLEEIPASTIFVMITSDIDKLPSNGIGWCQSYRFCKIDDAEIACRLIKICTKEGMEFEAEALELLARKANGSIRDAIQMLDQLTLLGKRISKSVTHELIGDVSDEELLDLLNLAMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAAKHPSDSSEVRRVTGRHTSADVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLDDTAVSSMFTESQTDDGTAVLKDESLDTSSHLCSQNKVGSLDMNLGDPDVLETIWMKALENCSSRPLQNLLRRDGKLSSLYTSQGVAVAELQFCHPEDVPTSETFWKPLCTSLQNLLRCNVDIRINLSPISSNRMGSKDSSVSLVMQSREDRETQDPVTTNCRTVASSRRDCPSPLAGQAKEKPSHILGCLHGTADGDAVDTESRILSYQKISVVPAPSTPGNAPLKAAGDTSEVDEGRVNRGCFLNLLPCCASSPRRKSQTREKRRASLFSCCFCKIRPDCKTKAEQG >PAN35974 pep chromosome:PHallii_v3.1:6:41180249:41183835:1 gene:PAHAL_6G248300 transcript:PAN35974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLRATTAARLLALLVCLSPALLAPCRGVNEQGQALLRWKGSMNATRGALDSWRAADATPCRWLGVSCDARGDVVSLSIKSADLGGALPADLRPLGASLRTLVLSGTNLTGAIPKELGDLAELTTLDLSKNQLSGAIPPELCRLGKLQTLALNTNSLRGAIPNDIGNLTSLTSLTLYDNELSGVIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGMSGSLPETIGQLKKIQTIAIYTAMLTGSIPASIGNCTELTSLYLYQNSLSGPIPPQLGRLRKLQTVLLWQNQLVGTIPPEIANCKELVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNELSGEIGLDFPRLRNLTLFYAWQNRLTGPVPASLSQCEGLQSLDLSYNNLTGPVPRDLFALQNLTKLLLLDNDLSGFIPPEIGNCTNLYRLRLNNNRLSGTIPAEIGRLKNLNFLDLGGNRLVGPLPAALKGCDNLEFMDLHSNALSGALPDELPRSLQFVDISDNKLTGLLGPGIGLLPELTKLNLGKNRISGAIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPSLEISLNLSCNRLSGEIPSQFGDLDKLGSLDISYNQLSGSLAALARLENLVMLNISYNAFSGDLPDTPFFQKLPLSDIAGNHLLVVGAGADEASRHAALSALKLAMTILAVVSALLLLAATYVLARSRRRDGAIHGADETWEVTLYQKLDFSVDEVVRSLTSGNVIGTGSSGVVYRVGLPNGDSLAVKKMWSSDEAGAFRNEISALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAADWGARYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVASGSAKLDSSKPTRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVREHVQAKRGTAELLDPRLRGKPEAQVQEMLQVFSVAMLCIAHRADDRPAMKDVVALLKEVRRPAEGGDEGKEQPACNSAAAPPTVQAQRSPARSPLPKGGSSSYSFATSDYSS >PAN35124 pep chromosome:PHallii_v3.1:6:35053717:35056871:1 gene:PAHAL_6G183200 transcript:PAN35124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILLLLVLTIIRLAGSSPPLDPVWCSNGMSDCTVTNAGGSFSDRGICRAANAAYPRTEQELLAAVADAAAAKRKVKVATRYSHSFTPLACPGGRDGTVISTRWLNRTVRIDAQKRLLTVESGMVLKDLIRIAAAAGLALPNSPYWSGITIGGLLATGAHGSSLWGKGGAMHEYVVGLRIVTPAPASHGFAVVRELGADHPDLDAAKVSLGVLGVISQVTLALQPQFKRSVTFVPRDESDMAEQVALWGALHEFADISWLPQDRKVIYREDNRVDVSTPGNGLFDFHAFWSLPADQIIAMGVEERRMEENGTGAARCSATKYERQGHGFTNDGVSFTGYPVVGYQHRMQASGACIDSPDDGLQTACFWDPRIRGPSAYNSGFSVALSRAPAFLADVQRLRDLDPTAFCVLHSSIGVYMRYVRASSAFLGKAEDSIDVDLVYYRSDTPGVPRAHADMVDELEQMALHKYGALPHWGKNRNFAFEGAIAKYPKASEFLKVKDRYDPDGIFSSEWSDRLLGIKGSPSIVEKGCAIEGLCVCSDDSHCAPELGYFCRPGKVYTEARVCRFYTPATRISDEL >PVH37109 pep chromosome:PHallii_v3.1:6:41170728:41171915:1 gene:PAHAL_6G248100 transcript:PVH37109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGFRRLPDDVFVQILVLLPTSSRRRFRLVCKRWRDMINERTPERQVGTNVLAFIRQQRSCSRALVFDNKDWLRRHAWMYPCSHERSKIDMVGTCNGLLCLHEIMASSNGGSFFSAITVTNPITGETLALPPAPRSWEWEQVRSPGKYSFGYHPTTGRYKVVHIPCGRRQAVDALQVFTLGDTAWREVPVDTWPGATYNRLYEPISVDGRTYWLDAFSHRVMELDLEDERVTSFAAPPAACPGLIPEDAGWKLTNVRGRLGVVVATATGRVEVWVLDGGGAQPQWSRRYDDIVGVEPVAPRTSGYWVAAPQLTHGGYILRALRNWVPDHVWGFRRWGRRRLHRHKVGDLTGGGQLRAVKEPELVMLMSEEESNGDLKTFAYVETLEPVPSIHG >PVH37132 pep chromosome:PHallii_v3.1:6:41535098:41535629:1 gene:PAHAL_6G253800 transcript:PVH37132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNGPPKSGPILAGTRLPFADLFCHFESVTDFTTPKILAVLSYKYARVLARNRTQQKTHNTPRPACCAAAYATSSRSSACTGDRESRSPEPHPQRSCTGRGGIRFLGSAPRDCSNASTSPSSTSSSPRLVFLLVSGARLGVVKRVKVLIVAVVFFTRLFRSA >PVH36600 pep chromosome:PHallii_v3.1:6:16800482:16804858:-1 gene:PAHAL_6G119100 transcript:PVH36600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGYYDIDDILMEDEPISVVFHVTANGVGLLDPGAESNCVEKGAKVELPFWLAHGLLSLEQAVSINPPPCFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVPLVSDKSIGLFLRYAFTSRYKEVLSKSHSSSMMTVPKFVPRLTKEETRVFESARESMAAFKKWRAGGVRLQKASILGRKRKTKLLDGTSTP >PVH36649 pep chromosome:PHallii_v3.1:6:20456250:20457179:1 gene:PAHAL_6G130700 transcript:PVH36649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPHLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPTEVEVVGYRLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPIIDPDNSGWNFRTEYLGHMLGDLAEETVRIITRFMDVQHHYQILLRHGMNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIIPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFNDF >PVH36708 pep chromosome:PHallii_v3.1:6:25953217:25958187:1 gene:PAHAL_6G148600 transcript:PVH36708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDGAGSRPHARGIALLVLAVVSLRALAAGAAAITRSDFPEGFVFGAGTSAYQVEGAWDEDGKKPSIWDTFAHGGYAFDHATGDVAADQYHKYKEDVKLMYEMGLDAYRFSIAWTRLIPDGRGAVNPKGLEYYNNLIDELMTYGIQPHATIYHFDLPQALQDEYNGLLSPRFIEDFTAYADLCFRSFGDRVKHWTTLNEPNIEPLGGYDLGSLPPRRCSTPFGESCAGGNSTTEPYIAAHHLLLAHASAVSLYRDKYQAEQGGQIGVTLLAFWYEPVTRKLEDVEAAARMIDFTVGWFMHPLLYGYYPPVMKKNAGTRLPVFTAEEAARVRGSFDFVGINHYGAIYVVADLGQLKQSPRDYASDAAVKYITMPFQSSRNKHGLRMANHPAPWALRKLFEHLKHKYRNPPVLIYENGAGHEPDPSGGFMYDDRFRSRFLQDYIEATLLSIRS >PVH36709 pep chromosome:PHallii_v3.1:6:25953217:25958834:1 gene:PAHAL_6G148600 transcript:PVH36709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDGAGSRPHARGIALLVLAVVSLRALAAGAAAITRSDFPEGFVFGAGTSAYQVEGAWDEDGKKPSIWDTFAHGGYAFDHATGDVAADQYHKYKEDVKLMYEMGLDAYRFSIAWTRLIPDGRGAVNPKGLEYYNNLIDELMTYGIQPHATIYHFDLPQALQDEYNGLLSPRFIEDFTAYADLCFRSFGDRVKHWTTLNEPNIEPLGGYDLGSLPPRRCSTPFGESCAGGNSTTEPYIAAHHLLLAHASAVSLYRDKYQAEQGGQIGVTLLAFWYEPVTRKLEDVEAAARMIDFTVGWFMHPLLYGYYPPVMKKNAGTRLPVFTAEEAARVRGSFDFVGINHYGAIYVVADLGQLKQSPRDYASDAAVKYITMPFQSSRNKHGLRMANHPAPWALRKLFEHLKHKYRNPPVLIYENGAGHEPDPSGGFMYDDRFRSRFLQDYIEATLLSIRNGSIVHGYFVWSFVDVFEVLFAYRFRFGLYGVDFGAADRTRYARHSARWYAGFLRGGELRPAAMRAGSGAYSE >PAN33514 pep chromosome:PHallii_v3.1:6:1917878:1921172:1 gene:PAHAL_6G026400 transcript:PAN33514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGPGQGLGCEAAEGSLVPSRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLENGSFAVLQAYVDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLAKSFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILVFAGAGGHRNEVLSVDFHPSDIERFASCGMDNTVKIWSMKEFWLYVDKSYSWTDLPSKFPTKYVQFPVLIAAVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIERLLIAYLES >PAN33511 pep chromosome:PHallii_v3.1:6:1917307:1922112:1 gene:PAHAL_6G026400 transcript:PAN33511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGPGQGLGCEAAEGSLVPSRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLENGSFAVLQAYVDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLAKSFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILVFAGAGGHRNEVLSVDFHPSDIERFASCGMDNTVKIWSMKEFWLYVDKSYSWTDLPSKFPTKYVQFPVLIAAVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWEVQSSPPVLTARLYNQQCRSPIRQTAVSFDGSTILGAGEDGTIWRWDEVDHASAKN >PAN33512 pep chromosome:PHallii_v3.1:6:1917390:1922112:1 gene:PAHAL_6G026400 transcript:PAN33512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGPGQGLGCEAAEGSLVPSRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLENGSFAVLQAYVDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLAKSFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILVFAGAGGHRNEVLSVDFHPSDIERFASCGMDNTVKIWSMKEFWLYVDKSYSWTDLPSKFPTKYVQFPVLIAAVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWEVQSSPPVLTARLYNQQCRSPIRQTAVSFDGSTILGAGEDGTIWRWDEVDHASAKN >PAN33513 pep chromosome:PHallii_v3.1:6:1917878:1920056:1 gene:PAHAL_6G026400 transcript:PAN33513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGPGQGLGCEAAEGSLVPSRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLENGSFAVLQAYVDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLAKSFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILVFAGAGGHRNEVLSVVSSSCLLLWYCFRIFLAYSALKLPLFQLLMLLFVIEHYIRDKIRVLVTAMAFVLPLFQA >PAN36276 pep chromosome:PHallii_v3.1:6:42813605:42816307:-1 gene:PAHAL_6G270600 transcript:PAN36276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYLAPHHSLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDMPRLKYMKMDVKDMSDFESGSFDAVIDKGTLDSIMCGQNSQENATKMLEEVNRILKEKGVYMLITYGDPSYRLRLLKDMENWTVKLHVIERWEKSSNQNKWELTKPLPLDDDSTSVVAVLGPKPDVHYIYVCVKGDDGARADTKSGEAAK >PVH37073 pep chromosome:PHallii_v3.1:6:40344828:40345328:1 gene:PAHAL_6G236000 transcript:PVH37073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFPGTALLGREAVVDGHGHHAGRGHERAEEPEVRRGRRASGPRRRRRGSKREGAASQSYRGRRWECIAARRGRWRRRWRRPWR >PVH36518 pep chromosome:PHallii_v3.1:6:8537160:8541486:-1 gene:PAHAL_6G091000 transcript:PVH36518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSWWGSEEQRGTPVVVKMDNPYSLVEIDGPGMPASDKKARGKNAKQFTWVLLLRAHRAVGCVAWMAAGFWGVLGAVNRRVRRSRDADDEPDAEASGRGRVMLRFLRAFLLLSLAMLALETIAHLKGWQFPQHLPGNLQELEEQLQHLPEHLQHLPEHLRHLPENLRQLPEHLRVPERQEIQGWLHRAYVAWLEFRVDYIAWAIQKLSTFCILLFMVQSVDRIVQCLACFWIKIRGIRPRIPASGNKPRRGRKSAAADVENGDADDDADGYFPMVLVQMPMCNEKEVYETSISHVCQMDWPRDRLLIQVLDDSDDEVCQMLIKAEVTKWSQRGVNIIYRHRLSRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMQLFRLCLPAVFKSKIPFWKKANLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPVLMSILNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRTSSASDILSLAEETHVPARPAAKLVRGVSEGGLQEWGKLREQEAAEWANKEDAAAALAAAPATPKKSSKANKKPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >PAN34358 pep chromosome:PHallii_v3.1:6:15688171:15690419:-1 gene:PAHAL_6G115800 transcript:PAN34358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDGGSHLSAGLPMQGSALVLEGPASALLPAQQHPSSIQAAVRPVRRRPQAEHSMERSPVATPPENEDWLSSGSPSARTLVVEVMMLLPRISPRDKQTRVSTTDLARINRAKRARFIKFQYLPT >PAN34359 pep chromosome:PHallii_v3.1:6:15688171:15690396:-1 gene:PAHAL_6G115800 transcript:PAN34359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDGGSHLSAGLPMQGSALVLEGPASALLPAQQHPSSIQAAVRPVRRRPQAEHSMERSPVATPPENEDWLSSGSPSARTLVVEVMMLLPRISPRDKQTRVSTTDLARINRAKRARFIKFQYLPT >PAN33452 pep chromosome:PHallii_v3.1:6:1679293:1680540:1 gene:PAHAL_6G022600 transcript:PAN33452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNTKSTSTTETVRGDHRFDIAGYSRKQGVEAGNVLTSATFAVGGFDWAIRYYPDGKGDEAFVSAFIRLVTPTTPLVTPSATARARFDLRLVDRATGLPRSVRRSVEPAAFDAGRARKCERGARAFMARAELAASPYLRDDRLTVECVLDVVQGTRLSRTTASPETVEPPPQPDLRGHLGALLRTQVGADVAFTVQAEAFRAHRVVLAARSPVLKAELSGSPPTVAVDGMTPLVFKTLLHFIYTDALPGLGDLGREEYRELVRNLLAAADRYAMHRLKQICRVILQEELDAKTVAAALDSAGHSRHCQALGDGCVQFMPSSGLEG >PAN34004 pep chromosome:PHallii_v3.1:6:4753872:4757196:-1 gene:PAHAL_6G063100 transcript:PAN34004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCFMCGTDMKQKVEGEGRNKVKVFSYSEMRKATHGFSGANKIGEGGFGSVFRGRLKDGTIVAIKVLSVDSRQGFREFVNELAAISDIVHENLITLVGCCAEGSHRIIVYNYLENNSLACTLLGSGRSNIRFNWKARVKIAVGVARGLAYLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVSGRCNHNARLPQGDQFLLERTWTHYEQGKLEEIIDIDLGDDLDVEGACRLLKIGLLCTQDAMKVRPNMTSIIHMLTGEKSVCMDRVTKPAVIGDSDLNGNNEQRPTDPDSTTMRSFATTQPLTSSEVNTETSL >PAN34001 pep chromosome:PHallii_v3.1:6:4753872:4758263:-1 gene:PAHAL_6G063100 transcript:PAN34001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCFMCGTDMKQKVEGEGRNKVKVFSYSEMRKATHGFSGANKIGEGGFGSVFRGRLKDGTIVAIKVLSVDSRQGFREFVNELAAISDIVHENLITLVGCCAEGSHRIIVYNYLENNSLACTLLGSGRSNIRFNWKARVKIAVGVARGLAYLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVSGRCNHNARLPQGDQFLLERTWTHYEQGKLEEIIDIDLGDDLDVEGACRLLKIGLLCTQDAMKVRPNMTSIIHMLTGEKSVCMDRVTKPAVIGDSDLNGNNEQRPTDPDSTTMRSFATTQPLTSSEVNTETSL >PAN34002 pep chromosome:PHallii_v3.1:6:4753872:4756659:-1 gene:PAHAL_6G063100 transcript:PAN34002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCFMCGTDMKQKVEGEGRNKVKVFSYSEMRKATHGFSGANKIGEGGFGSVFRGRLKDGTIVAIKVLSVDSRQGFREFVNELAAISDIVHENLITLVGCCAEGSHRIIVYNYLENNSLACTLLGSGRSNIRFNWKARVKIAVGVARGLAYLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVSGRCNHNARLPQGDQFLLERTWTHYEQGKLEEIIDIDLGDDLDVEGACRLLKIGLLCTQDAMKVRPNMTSIIHMLTGEKSVCMDRVTKPAVIGDSDLNGNNEQRPTDPDSTTMRSFATTQPLTSSEVNTETSL >PAN34005 pep chromosome:PHallii_v3.1:6:4754611:4756548:-1 gene:PAHAL_6G063100 transcript:PAN34005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCFMCGTDMKQKVEGEGRNKVKVFSYSEMRKATHGFSGANKIGEGGFGSVFRGRLKDGTIVAIKVLSVDSRQGFREFVNELAAISDIVHENLITLVGCCAEGSHRIIVYNYLENNSLACTLLGSGRSNIRFNWKARVKIAVGVARGLAYLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVSGRCNHNARLPQGDQFLLERTWTHYEQGKLEEIIDIDLGDDLDVEGACRLLKIGLLCTQDAMKVRPNMTSIIHMLTGEKSVCMDRVTKPAVIGDSDLNGNNEQRPTDPDSTTMRSFATTQPLTSSEVNTETSL >PAN34003 pep chromosome:PHallii_v3.1:6:4753872:4758263:-1 gene:PAHAL_6G063100 transcript:PAN34003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCFMCGTDMKQKVEGEGRNKVKVFSYSEMRKATHGFSGANKIGEGGFGSVFRGRLKDGTIVAIKVLSVDSRQGFREFVNELAAISDIVHENLITLVGCCAEGSHRIIVYNYLENNSLACTLLGSGRSNIRFNWKARVKIAVGVARGLAYLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVSGRCNHNARLPQGDQFLLERTWTHYEQGKLEEIIDIDLGDDLDVEGACRLLKIGLLCTQDAMKVRPNMTSIIHMLTGEKSVCMDRVTKPAVIGDSDLNGNNEQRPTDPDSTTMRSFATTQPLTSSEVNTETSL >PVH37124 pep chromosome:PHallii_v3.1:6:41387174:41387465:1 gene:PAHAL_6G251700 transcript:PVH37124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSMGRDSSKCSLRMLDLACCSKLSRNFDSLSHHCFKACGGLASGRMWFNVAVCRASGVEARIDTLWQRVRHGLQEQMPS >PAN36686 pep chromosome:PHallii_v3.1:6:45175050:45179167:1 gene:PAHAL_6G301800 transcript:PAN36686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGSMLDAARIIGYLKGKSILITGSTGFLGKILVEKILRVQPQVHKMYLLVRAIDAPSAEQRVQQEVIGSELFGLLRDKYGEGFDSFIQDKIVPLAGDITGEDLGLEASTLDQLANDMDVIINIAATTNFYQRYDVSLDVNVMGVKHLCHFARQCANLKMLMHVSTAFVSGDSAEVIPEKPITPGESLREGLRLDIDAELRLAGDVKNAASGGGGDDAAHKTAERKAMKELGLQRARHFGWSNTYVFTKAMGEMVLEQHRGDMPVVIMRPSIITSVRADPLPGWMQGTRTIDTLIIGYAKQNISCFLADLRMVMDVVPGDMVVNAMLAAAAARSGEKGALAIYQATSSLCNPVTYAVLYRAGGRHFAEHPRVRDNGEVIPNREMRLFSTIPRFRLYMILTYKLPLEILHAANLLLCGLFSKLYNDSNRKYKFVMHLVDVYGPFAFFKGCFDDSNLESLRSTMVRKSPEDEMFSFDPKIIDWDDYFYRIHIPGVLKYVCK >PAN33301 pep chromosome:PHallii_v3.1:6:922445:924468:-1 gene:PAHAL_6G010100 transcript:PAN33301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGTDGGVQVVSRRMVRPFTSPPMANGNGCHPAKEEVEVIHLTPWDLRLISIDYIQKGILLPKPPVSGVSLVKALQSSFARALRLFYPFAGRLASEERGDGTVSVFLRCTDEGAEFVHAVAPGVAVADIVSSLYTPSVVWKFYSLALVLGADAATESLPVLAVQVTELADGVFVGMTLNHSVGDGTAFWHFFNTWSEIHRPGGVGITDDLRGLSTPLPVLQRWFLETCPVPILMPFRKLEHIVRRFERTTVQECFFTFSAASVRKLKARANDEMAGTATAAISSLQAVLAHLWRAVCRARCLPPEQGTFYSVVVGCRGRVNGIPPGYVGNAMVFGKAEATAGEIEEKGLGWTAWLLNRAVASFDEATMRQSLERWVREPDFTYMSNLSSAGTALVTGSSPRFDVFGNDFGWGKPVAVRSGAGNKADGKATVFEGPERGGSMSLEVCMAPDALARLVADEEFMNAVSLPA >PAN33428 pep chromosome:PHallii_v3.1:6:1573795:1574463:-1 gene:PAHAL_6G020500 transcript:PAN33428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYVRSPSGRTICLRAQPSDTLNTIKAKILEQHRLVFDGVQLEDNLTLADYGIQHQSTIDLQEKMQIYVMETLVGRSITLEVDSLDTIEKVKTKIESSEGLPKGQQCLIFANKQLKDNSTLADHNICKESTLLLVLHPFPRAEGTMRISAMRLDGKRIPLEVESSDTISTVKVKIYEKDGTRPIQQRIVYAGKQLEDSRTLADYYIQNESTIHVVLCLCGC >PVH36381 pep chromosome:PHallii_v3.1:6:4785655:4786216:1 gene:PAHAL_6G063500 transcript:PVH36381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGGLEPGAVLAGKLAKARTLLGSWPWPAARATAGRAVAGAAAASGPSAAAARSPAGRRGADEAAWTGDRSSAAPQIPKSLAQGSAQGQNRRRQRRAAACGAAGGGRRRAGGAAAGGRRAGLRAGGERRRAGGRRAGLRAGLQNHGGEQSHGGEQTR >PVH37266 pep chromosome:PHallii_v3.1:6:43342505:43342959:-1 gene:PAHAL_6G279000 transcript:PVH37266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAAVKFYRLHAAIIQQHAMPILGSENTMNTSTWQKVICLHYTVHPVVVRLNSWIGGFWSSQGPLNKEEGLQH >PAN33181 pep chromosome:PHallii_v3.1:6:103004:106215:1 gene:PAHAL_6G001900 transcript:PAN33181 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MRASSISAIVCRSDGATGQESPPLFPFLPPPGPGTAPIQSAAAAMDAGDDEPPVLLDRASRATRGKRITKLLEDEIEQDEVFWNQDALKDEDNDDNYEEEQDAGDEFDSDFDRYESGPDDEPEKEERERLPIKKRLMFPGKTLRKTNAKKKKKVTPKLEDDKPSPSTQADFPDELETEKTIRKSTRTSVIVRQAEREAIRAEKEATVKPIKKKKEGEEKRMTQEEMLLEAAETEIMNLRNLERVLAREEEVKKKAVVHKDTYEGPTIRFFSRDGESCLEFINGASFGSELCTTSAPYPEKSVCVVTGLPAKYRDPKTGLPYATMEAFKIIRESFLKEEADKKRPNMSNMGELFESIAGECSAPKKRRVEVRSPSISGGLRHGGRFRRIPALDMDED >PAN35960 pep chromosome:PHallii_v3.1:6:41122903:41126580:1 gene:PAHAL_6G247400 transcript:PAN35960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQVELSHLDGRDGRPGANGGTTDSAEEVDDCPIEEVRLTVPITDNPALPALTFRTWFLGLISCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPTKAVRIRGTKWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISLVCLVWKNSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIMNIMAGFFLIVYVIVPVAYWTDAYGAKRFPIISSHVFMANGSRYDVNKVLDPKTFEFNQAGYDGAGQINLSIFFSFTYGLSFATLAATLSHVALYHGRSIWEQTKATVRAQTGDVHTRLMKRNYAAVPQWWFQVMLVLVLGFSVFTCEGFGRQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVPNICDPARLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGRYAKMNYFFLAGALAPVPFWAASRAFPGSAWAPWLRLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNHVVYRRYKGWWARHNYVLSAGLDAGVAFMGIVSYAVLQSRGVNGVDWWGLQVDDHCALARCPTAPGVRVPGCPVH >PVH36822 pep chromosome:PHallii_v3.1:6:33533519:33537264:-1 gene:PAHAL_6G175900 transcript:PVH36822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLLLLRLLCLLPLATAAALPADFAALLAAKSNLSDPASVLAAWDTHLSPSPCRWPHLLCSPDRSASDAPAVASLLLSNLSLSGAFPHPLCSLSSLVHLDLSYNSLAGPLPACLAALPSLTRLDLSGNAFSGEVPGAYGAGFPSLSTLSLAGNELSGTFPGLLLNVTTLEELLLAYNPFAPSALPPQSFSGLPRLRVLWLAGCGLVGEIPVSVGSLKSLVNLDLSTNNLTGEIPAGVGRLEKVEQIELYSNHLDGRVPDGLGQLRRLRFFDASMNRLTGEVPADLFLAPRLESLHLYQNELSGRVPATLAQVLALADLRLFSNRLTGELPPEFGKNSPLQFLDLSDNRISGRIPAALCGAGNLEQLLMLNNELLGPIPAELGQCRTLTRVRLANNQLSGPVPPDMWALPHLYLLELAGNKLSGTVDPTIAMATNLSQLLISDNRFTGALPAQIGTLPALFELSAANNMFSGPLPASLAEVSTLGRLDLRNNSLSGELPQGVRRWHKLTQLDLADNRLTGTIPPELGELPVLNSLDLSSNELTGDVPVQLENLKLSLFNLSNNRLTGALPPLFAGSMYRDSFAGNPALCRGACPRGRQSGSGRRDLVGSVTSILAVAGVVLLLGVAWFCYTYRSQRSGHAGEPGGGGGGRPRWALTSFHKVEFDEDDILGCLDEDNVVGMGAAGKVYKAVVGRGGEDAVVAVKKLWGGGGKAVDGAAKDSFDAEVATLGRIRHKNIVKLWCCFRSGDCRLLVYEYMPNGSLGDLLHGGKGGLLDWPARHRIMADAAEGLAYLHHDCAPPIVHRDVKSNNILLDAELGAKVADFGVARVVGDGPAAVTAIAGSCGYIAPEYSYTLRVTEKSDVYSFGVVMLELVTGKRPIAPELGDKDLVRWVHGGIERGGVDAVLDPRLAGEYREDMVRALHVALLCTSSLPINRPSMRSVVKLLLEAAPSPPAPPTKAAGEKPLYDV >PAN34484 pep chromosome:PHallii_v3.1:6:9309926:9312820:1 gene:PAHAL_6G096100 transcript:PAN34484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASRLLPPAPPPAAPLHRPRTRSHLAVPRLRCRAASSAATSSGAALLEGGGSAAEVALREFVTLDELRAAVRLRVRTFCEYAVDSVGAEDHRKALADREFEALQDRISGKMINFQRVSCINGTVPLSPSLMTADELCSMCKFVEDGEERVVVGSLDLNQCLWLPDELTGKRPGVNEDSQTRAYLSNVCVAKELQKKGLGYALVDKSKKLAREWGITDLYVHVAINNIAGQKLYKKSGFVYEGEEPAWKARFLGRPRRLLLWFDMNKEPL >PAN35768 pep chromosome:PHallii_v3.1:6:39996268:40003973:-1 gene:PAHAL_6G232600 transcript:PAN35768 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 [Source:Projected from Arabidopsis thaliana (AT1G68100) UniProtKB/Swiss-Prot;Acc:Q9M647] MRRRSLAALLLLLAAAAAVPAPAAGHSDDSSCPFHDHAGHGHGEPPHDHGHGHGHGHSCGGGADHEHHHGRDEIQRRLLPEEIAEEADLELESFGYDEHDHGHHHHHHGHGHGGTEASPMGVWLSAMGCSLLVSMASLVCLILLPVIFFQGKPSKAMVDALAVFGAGAMLGDSFLHQLPHAFGGGHSHSHDHEGHDHAHEHAHAHSLEDLSVGLSILFGIVLFFIVEKIVRYVEDNSQKGAHSMGHGHHHYHHKRHDSSDKTKLNHQKNDDDGKETNQTDEEPLVDGTTAKVTTIRKRSSSASSKATDGEHANSENEPAPDKALTSDGSSISNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVSKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLQSSIVQLISLAMGMLVALGISLVE >PVH37055 pep chromosome:PHallii_v3.1:6:39996268:40003973:-1 gene:PAHAL_6G232600 transcript:PVH37055 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 [Source:Projected from Arabidopsis thaliana (AT1G68100) UniProtKB/Swiss-Prot;Acc:Q9M647] MRRRSLAALLLLLAAAAAVPAPAAGHSDDSSCPFHDHAGHGHGEPPHDHGHGHGHGHSCGGGADHEHHHGRDEIQRRLLPEEIAEEADLELESFGYDEHDHGHHHHHHGHGHGGTEASPMGVWLSAMGCSLLVSMASLVCLILLPVIFFQGKPSKAMVDALAVFGVRAMLGDSFLHQLPHAFGGGHSHSHDHEGHDHAHEHAHAHSLEDLSVGLSILFGIVLFFIVEKIVRYVEDNSQKGAHSMGHGHHHYHHKRHDSSDKTKLNHQKNDDDGKETNQTDEEPLVDGTTAKVTTIRKRSSSASSKATDGEHANSENEPAPDKALTSDGSSISNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVSKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLQSSIVQLISLAMGMLVALGISLVE >PAN36073 pep chromosome:PHallii_v3.1:6:41721392:41722427:-1 gene:PAHAL_6G256200 transcript:PAN36073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFPCEPNVLLWHRRLAGIPKPARSRIRRLQPIHPFHIQTCDFDPMDSTLPRLLPPLPSLHHQRRAYRPKIIPGDHDGPGGGGRSMPARVRTTGRGGRNAGKFRAKGLFGAGGGGDGLRAVMRMVKLNSAIQNRSVRELLELVGDECLYFLGNLRSVDVSQLGKDMFLLLHALLVRHHVSLVLKPSPDEAGFDLGIKWSLEWKGQKLPWDLDCNISTTHVYRGLLLIRRQD >PAN36072 pep chromosome:PHallii_v3.1:6:41720353:41722622:-1 gene:PAHAL_6G256200 transcript:PAN36072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFPCEPNVLLWHRRLAGIPKPARSRIRRLQPIHPFHIQTCDFDPMDSTLPRLLPPLPSLHHQRRAYRPKIIPGDHDGPGGGGRSMPARVRTTGRGGRNAGKFRAKGLFGAGGGGDGLRAVMRMVKLNSAIQNRSVRELLELVGDECLYFLGNLRSVDVSQLGKDMFLLLHALLVRHHVSLVLKPSPDEAGFDLGIKWSLEWKGQKLPWDLDCNISTTHVYRGLLLISQVNKTCVPLLQRILGIIQQNLDAVILTIVNKVLPEGTLDEKKTSTIIFCVIISLVVMILFYALFKNL >PVH36653 pep chromosome:PHallii_v3.1:6:20554171:20555260:-1 gene:PAHAL_6G131400 transcript:PVH36653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCVEALNARFGIGATRHQAYRQFRALKEKWNWISQALAKMFRKFSLPYSEKPPSKLGTLKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENDSDTTARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASTAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGAKYGASGGYACEYGSSE >PAN35419 pep chromosome:PHallii_v3.1:6:37910228:37912354:-1 gene:PAHAL_6G207500 transcript:PAN35419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTTAISMTPSSPLPHSTGIQARFLLVLLPIISYALLFGARRGKKRPVAAANASGLPPSPWRLPVIGNLHQLGSLPHRSLRALAAAHGPVMLLRLGQAPAVVVSSADAAREVMQAQDHVFASRPSLTIPRRLLYGCTDIAFAPHGPYWRGARKMSVRHLLSPARVRAYRAVREQEVEALARRVAEQASCRGGGGVVRLSELLNGFAKDVAGRIVLGLRADGDDGWRAKVDALLEESNVLLGALHVGDYIPWLSWVSAVDGTDARVRRAFERIDRILDEVVDAAAGREMPSSPGEEQTDADAFIHVLLSLQQKDAAPAATGTAEWRLSRDNVKALLEDLFGAGTEATIIVLEWAMAELLRNKGVMKKLQREVRRHARSTGGSGSSHGNMIGENDLLGMEYLRAVIKETMRLHTPGPLLLPHKSMQATQISGGRYDVPGGTTVIVNAWAIGRDPAAWESPEEFRPDRFAGSAVDFRGRHFQLIPFGAGRRMCPGVNLAMSVVELALANLVARFDWALPEGEAVQLDMEETTGCTSRKRAPLRAIATQHCFSPSVSF >PVH36239 pep chromosome:PHallii_v3.1:6:1939419:1942300:-1 gene:PAHAL_6G026800 transcript:PVH36239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCNPSTAAAAAVEIQPLVLSLLSLKEAARTSVVSRNWRKLWTRYPNLCFDGTKDGSSGIDSVKIERAKFIETVNSIIQQHSGIGLNKFSIRCNLQMDSDILNRWICFATASKAKIIDVNLWPKRNYVGPTKQVHHFPLEALGAQDRPFIQCLFLTNVSIKPHLDICGFTTLRSLRLHCIQIIGDLSGLLLNCSSLEDLEVIANLLISNMRIQMVEFHVPNLSHFEYKGTAIPIMLHGCSRLQKATLNFHQTWLEEDNNKVLGHVFHGISSVSSVKVLHVHANICTNFPVWSSQVHTLITRPACMFLNLRHLTYEIIIFTRGPNSHSGLLQLSQYLASAPHLETLELDMIYHVSVGRCWRGEGVSYRMRRHDHLKTVYMSGFRCYRAQVELLCGILEMGAVLEQVTIEPMVRLPYSGDLMNLGIPRNEICEWAHLTSERFVQCCIPLLLVSG >PAN33952 pep chromosome:PHallii_v3.1:6:4456685:4466391:1 gene:PAHAL_6G059500 transcript:PAN33952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTLLPQSPLYSRSRPTLMLRPTTLGGGPGQPLLRTSRFAASRIIRCPVASSDFPTRKSRKMVYPKVKVAAFRGYVPRLPAESSTKKSIHHGSDEETIGTFNRLLSTDTEESTSSTDLDTAEVDLQEDALSSSVSGEVDGAEEDALDMLEVELSRNELINISLGAEEAVDAAAVEEDRFDVDFTGVQFGSAAAWELDPENEDKAEEDMFAADSSEETSEVDNDQWQYPALPSTSIEDKANNETHEHLEPEPRTVVRVKEQDKLVFGIDVGSAFDTHEEDKQVVDCDWQEQNITTFDEQEQDQFIIGSRRQDNSIVGVPEQIQSVVVYSKPDQSIVASHRKDESIAAVPEKIQSVIGYKKPDQSIAGVPEQTKSIVGYSKAEQSIIGLPKQQQSVVHIPQEKQSIVGFHKQDLSIVSTSKESQTRKLDIPESHDALRMEEKEAKDGDYTSQNTDGDKLHAKSDVDLLQQHKEDFTKEALEIITSNKINDEHLAMVEDQKSISLEEEQWIVTDEGISAAEVMETGEDKSLHLSEEESSWAEDEVGISEDEEHYEVEETSVSPEQVIQESPQDEMDPQALQRMLQELAAKNYLMGSKFFVFPEVLKADSTIALYFNRDLSAIANEPDVLIKGAFNGWKWRFFTEKLHKSELGGDWWSCKLYIPKQAYRFDFVFFNGRTMYENNGNKDFVIQVESTMDEHSFADFLVEEKQRELERFATEEAERKKQTEEEKQIKEERAAHEAATAQAKAEIVTKKNKLQNVLDSAKGSVDSLWYIEPITTMQGATVRLYYNRHSRPLVHSAEIWMHGGYNNWIDGLSLTERLIHHDDKDGDWWYADVVLPQRAYVLDWVFADGPPGNARIYDNNGRQDFHSILPNNMTEEEYWAEEEQRIYTRLRQERREREEAIKMKAERREKLKAEMKEKTMRMFLVSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLKGKPEVWFRCSFNRWMHPGGVLPPQKMVKAEHGSHLKATVNVPQDAYIMDFVFSESEEGGIFDNRNGLDYHIPVFGATAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKHDCLNLSSVRNLHIHQSFSWGGSEIKVWRGHVEDLCVYFLEPQNGMFGVGCVYGRNDDRRFGFFCHSALEFLLQSGSSPNIIHCHDWSSAPTAWLYKENYAQSSLANARVIFTIHNLEFGAHHIGKAMKYCDKATTVSNTYSREVSGHGAIAPHLGKFYGILNGIDPDIWDPYSDSFIPVHYTPENVVEGKAAAKKALQQKLGLQQNDVPIVGIISRLTAQKGIHLIKHAMRRTLERNGQVVLLGSAPDPRIQGDFANLANTLQGENHGRVKMCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLVAMRYGAIPIVRKTGGLYDTVFDVDNDKERARARGLEPNGFSFDGADNNGVDYALNRAISSWFDARSWFHSLCKRVMEQDWSWNRPALDYIELYRSASKL >PVH36373 pep chromosome:PHallii_v3.1:6:4670541:4672841:-1 gene:PAHAL_6G061900 transcript:PVH36373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWAIRPADISPVSLRTGKKNQSALNSPPSSSPSPNIPASHRRRRAAPTRSGVARLRMESATSSSQPEPTPPSAAGGRDWSELSLDALVLVFARLGPVEILMGSGLVCRSWLQAAREPELWRSVDMASHRVVEEMKGDVLRAMAMVAVDRSGGKLEVFSGKYFVTDELLKSPSLKTLSLISCHEVSNKGFTELVTKSPLLEDLSLELCPKIGGRNAYESTGKACPQLRRFSLRRECFRFSLNYPRRVAEALGIAAMTGLRSLSLTSSNITNDELAAVLDGCPRLETLCLRDCYKVIADDAALRARCARIKTLALPECER >PVH36374 pep chromosome:PHallii_v3.1:6:4671265:4672745:-1 gene:PAHAL_6G061900 transcript:PVH36374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWAIRPADISPVSLRTGKKNQSALNSPPSSSPSPNIPASHRRRRAAPTRSGVARLRMESATSSSQPEPTPPSAAGGRDWSELSLDALVLVFARLGPVEILMGSGLVCRSWLQAAREPELWRSVDMASHRVVEEMKGDVLRAMAMVAVDRSGGKLEVFSGKYFVTDELLKYIGDRSPSLKTLSLISCHEVSNKGFTELVTKSPLLEDLSLELCPKIGGRNAYESTGKACPQLRRFSLRRECFRFSLNYPRRVAEALGIAAMTGLRSLSLTSSNITNDELAAVLDGCPRLETLCLRDCYKVIADDAALRARCARIKTLALPECER >PVH37056 pep chromosome:PHallii_v3.1:6:40051451:40051795:-1 gene:PAHAL_6G233100 transcript:PVH37056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPCARTRSPRPPGLAARPRAAGRRSPRPQKMGWKDGVTGYRAGFYLGPYVRAAHPTVRPTTDAAAVGGGDVESTGASRRATAPGRTGRTPSKVRKPWQTGSTAGLDKSAEQL >PAN33541 pep chromosome:PHallii_v3.1:6:2015778:2018133:-1 gene:PAHAL_6G028200 transcript:PAN33541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MAASLPTAAAAARLAAKTFAFPSPRPSSATASAALPRAAAAFPAISVAAAPLGGGRRSRGGVQLKPPAAGAGGEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPANRKPRQQAPQTESASS >PAN33540 pep chromosome:PHallii_v3.1:6:2016546:2018060:-1 gene:PAHAL_6G028200 transcript:PAN33540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MAASLPTAAAAARLAAKTFAFPSPRPSSATASAALPRAAAAFPAISVAAAPLGGGRRSRGGVQLKPPAAGAGGEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPANRKPRQQAPQTESASS >PVH36896 pep chromosome:PHallii_v3.1:6:36469565:36470469:1 gene:PAHAL_6G193000 transcript:PVH36896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSGRKRGRAPFGDVSNSNALDSQVVGYKELKRERDRTRSASMTDEKSSERNKKRRESYRRKKTEAANKENNIEIDQPSENDVCLNVGCMDHTQIDPSYVHPTIASGSNVYMSSASPTPQRTIQV >PAN34538 pep chromosome:PHallii_v3.1:6:26875295:26880546:1 gene:PAHAL_6G152300 transcript:PAN34538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGRYMAYSPSPSTGPHSPRLPLADHEKYIAELLVEKQKLAPFMQVLPCTSRLLNQEILHVSALLGIPVLDQPGYQHGSPLINGGAIPNGRPVEMNGWAPAIPSEGAGMFHPPSRNWVSPQGNSSGFIVKKTMRMDIPVDKYPNFNFVGRLLGPKGNSLKRVEANTDCRVLIRGRGSIKDAAKEELMRGKPGYEHLNEPLHLVIEAELPAEIIDIRLMQAREILDDMLKPVDESMDFFKKQQLRELAMLNGTLRDNSSRKSGSVSPFRNSMGMKRAKTRG >PAN34534 pep chromosome:PHallii_v3.1:6:26875295:26880546:1 gene:PAHAL_6G152300 transcript:PAN34534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGRYMAYSPSPSTGPHSPRLPLADHEKYIAELLVEKQKLAPFMQVLPCTSRLLNQEILHVSALLGIPVLDQPGYQHGSPLINGGAIPNGRPVEMNGWAPAIPSEGAGMFHPPSRNWVSPQGNSSGFIVKKTMRMDIPVDKYPNFNFVGRLLGPKGNSLKRVEANTDCRVLIRGRGSIKDAAKEELMRGKPGYEHLNEPLHLVIEAELPAEIIDIRLMQAREILDDMLKPVDESMDFFKKQQLRELAMLNGTLRDNSSRKSGSVSPFRNSMGMKRAKTRG >PAN33263 pep chromosome:PHallii_v3.1:6:490772:492524:-1 gene:PAHAL_6G006900 transcript:PAN33263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRKEMEKAKSGLRLTMEELCLCSPGDGEEEMQVQVQVKVQEQPRSSTMDLLSVSKQLLHVLDEIGPTLLVLRQDIQQNVQRLQDLHERDSSKYASLMAIVTEEVEQGTAKKTKSCTRAIIWLSRSISFSKYLLEKLLKAPESSLEEIVEEAYAKTLKPWHGWISSAAYKVATKLIPEREVLIALLMGNCQDFEDLAEDAKMLTHAVQPLLEEIDAISAKHNMDKLKSS >PAN33265 pep chromosome:PHallii_v3.1:6:490114:492758:-1 gene:PAHAL_6G006900 transcript:PAN33265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTKAPLFFFPRKGQKLDVDPIICPKKMSANELASVICVGISSGLVEPWRFSPGDGEEEMQVQVQVKVQEQPRSSTMDLLSVSKQLLHVLDEIGPTLLVLRQDIQQNVQRLQDLHERDSSKYASLMAIVTEEVEQGTAKKTKSCTRAIIWLSRSISFSKYLLEKLLKAPESSLEEIVEEAYAKTLKPWHGWISSAAYKVATKLIPEREVLIALLMGNCQDFEDLAEDAKMLTHAVQPLLEEIDAISAKHNMDKLKSS >PVH36146 pep chromosome:PHallii_v3.1:6:490501:492721:-1 gene:PAHAL_6G006900 transcript:PVH36146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTKAPLFFFPRKGQKLDVDPIICPKKMSANELASVICVGISSGLVEPWRFSPGDGEEEMQVQVQVKVQEQPRSSTMDLLSVSKQLLHVLDEIGPTLLVLRQDIQQNVQRLQDLHERDSSKYASLMAIVTEEVEQGTAKKTKSCTRAIIWLSRSISFSKYLLEKLLKAPESSLEEIVEEAYAKTLKPWHGWISSAAYKLPGSNEAHPRKRSSHSAADG >PAN33264 pep chromosome:PHallii_v3.1:6:490998:492524:-1 gene:PAHAL_6G006900 transcript:PAN33264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRKEMEKAKSGLRLTMEELCLCSPGDGEEEMQVQVQVKVQEQPRSSTMDLLSVSKQLLHVLDEIGPTLLVLRQDIQQNVQRLQDLHERDSSKYASLMAIVTEEVEQGTAKKTKSCTRAIIWLSRSISFSKYLLEKLLKAPESSLEEIVEEAYAKTLKPWHGWISSAAYKLPGSNEAHPRKRSSHSAADG >PVH37083 pep chromosome:PHallii_v3.1:6:40518080:40519502:1 gene:PAHAL_6G238800 transcript:PVH37083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFQLVNCGLVTKSMLLLILSPLLPCGGYGSSLCFQNGSWKDVQGVLHHISNLGHSWKLLCPPEKLPRALGRNIETLGKTTWKFSNVAGELQKELAAFLMATDGDREHAPPHLASLLKKIGNGVLES >PAN33592 pep chromosome:PHallii_v3.1:6:2387804:2388855:1 gene:PAHAL_6G032000 transcript:PAN33592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRSVSMDVSMSIPPPAAAASMETMSDDKIAIFIPPRSPSNKILPLGFQHTEPSHPPPGGSAERVALPLIKKVVAELLGTFLLVFIVLSALITDAAHGGALGPLGMAAAAGLAMVVLVSSLAHVSGGHMNPAVSVAMAALGHLPRPHLAPYVAAQLLGSTAASFAAKALYGGGPADLGAAVATVPAVGAAEAFLVEFAATFVFLFVVTALATDPNAVKELVAVGAAAAVMMNALVFGKLTGASMNPARTLGPAIATGTYTKIWVYMVAPPLGAIAGSGAYHALK >PVH36275 pep chromosome:PHallii_v3.1:6:2648204:2648401:-1 gene:PAHAL_6G036800 transcript:PVH36275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPHFVKKQRSVVAILMITVWNVWNERNRRVFDNRSLQPVQVFHLIKAELLQRVAACGRPELS >PAN34832 pep chromosome:PHallii_v3.1:6:20651097:20652921:-1 gene:PAHAL_6G132100 transcript:PAN34832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLYRLLPLLFLPFVLFRHGASPPPLSQHTVTGGDPGRHPVVLLPGNTCSQLEARLTDAYQPPSPQCGAAKGNGRWFRLWKNSTAMQDAELAPCLSDQLRLVYDPVARDFRNVPGVETRVLGFGSTRGFLADTPANQDLCMGKLVEALEKAGYRDGETLFGAPFDFRQAPAEPGQPCRAFSQFSRRLRALVEHASRKNGGKPVVVMSHSQGGYFALEFLNRSPLPWRRRYVRHYVMASIGPGGFLLSMQLLASNPSSALSSGSAFIALPSPKVFGPDAPLVVTRARNYTAQDIPEFLTAIGLPRLAVTLYQTRALPVKLNFRAPVVPTTCINGVGVPTMKELVYWDGNFSKAPEVVYGDGDDLILLESTLALDTVIGDDPRQEYYKSIKLAGTSHAGVVSDGAALERVISEILGVHE >PAN36417 pep chromosome:PHallii_v3.1:6:43446448:43450079:1 gene:PAHAL_6G280700 transcript:PAN36417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPVLVRHAGGVAALRQLPPGFRFRPTDEELVVQYLRRKAFGVPLPAAVIPVVRDLYSLDPWDIPAAADASEGEKYFFAVRPASVGGGCRKSGARSPATASGRWKPAGKEKPVVLPRPCGGGSLLVGVKRAMTFAPRRKKKASAPSAALAAGWVMHEYRLAAPLHKNGCSLAQAEGEWVVCRVFQTQRSSNRPRRRGTPAGHAAAAASPSSSTASCVTDGSDQEEVSS >PAN36416 pep chromosome:PHallii_v3.1:6:43446448:43450079:1 gene:PAHAL_6G280700 transcript:PAN36416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPVLVRHAGGVAALRQLPPGFRFRPTDEELVVQYLRRKAFGVPLPAAVIPVVRDLYSLDPWDIPAADASEGEKYFFAVRPASVGGGCRKSGARSPATASGRWKPAGKEKPVVLPRPCGGGSLLVGVKRAMTFAPRRKKKASAPSAALAAGWVMHEYRLAAPLHKNGCSLAQAEGEWVVCRVFQTQRSSNRPRRRGTPAGHAAAAASPSSSTASCVTDGSDQEEVSS >PVH36159 pep chromosome:PHallii_v3.1:6:910756:911570:1 gene:PAHAL_6G009800 transcript:PVH36159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKRCQNAAPSATPWLSDMVTNTPSASSVTCAARMGRAREPAAASAPTSPRAGRRTRPRGGRGAAPRRRPRLRRPPRRARTRRRRRCPTIVAAPPGLLDGEEAGEGVEAGQGAREGRREAFDELVAAAAARTRRLGQEDAHLDVVDGEHLEVPRREVHRLGLRARRPAARVARRTRRRPDQAPGHDADGVASRRRALHHRHLIILRRPLTRR >PAN33595 pep chromosome:PHallii_v3.1:6:2397257:2402596:1 gene:PAHAL_6G032200 transcript:PAN33595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSRPAGMRLFGVTIAPVPPPAPEADPPDRDPSPNPPVAVREDVMRKCKSMGNLAALGAAADGGGAGADGGGAGDGYLSDGGLMQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPGELSPAPNCPILPPSMAKVHDVVAMTRQLQNSNLEGGSSSNTANIAPQVGRDLPPVPSFRATNMDSSFSKLNGMEPFWRTPYPFRPIPRSPDGTSPSTPVAANIASPVSKANPTACTSTFLSPRSDTSSLPPKADPPAETKDLELTVAPPSQQNMTNMSSQNAELAQA >PAN33594 pep chromosome:PHallii_v3.1:6:2397257:2401974:1 gene:PAHAL_6G032200 transcript:PAN33594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSRPAGMRLFGVTIAPVPPPAPEADPPDRDPSPNPPVAVREDVMRKCKSMGNLAALGAAADGGGAGADGGGAGDGYLSDGGLMQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPGELSPAPNCPILPPSMAKVHDVVAMTRQLQNSNLEGGSSSNTANIAPQVGRDLPPVPSFRATNMDSSFSKLNGMEPFWRTPYPFRPIPRSPDGTSPSTPVAANIASPVSKANPTACTSTFLSPRSDTSSLPPKADPPAETKDLELTVAPPSQQNMTNMSSQNAVGVIQVI >PAN35175 pep chromosome:PHallii_v3.1:6:37750910:37752130:-1 gene:PAHAL_6G205700 transcript:PAN35175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPSVRCRPLLYTRDRCRSLLSVCPHPRLPPDPLSCSFGTPPLQQRIHLRIRVEASPVTAMRKEYFS >PVH37287 pep chromosome:PHallii_v3.1:6:43571137:43581560:-1 gene:PAHAL_6G282700 transcript:PVH37287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAKLDDSPMFRTQIQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLEIFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLAYDQIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHIESKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSTVNGLSDCYNGDGIQTIGRSSHKMIEAVMQTASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPGGCSIQRGVNPPEHGSGLLSRLFSSHYHGIIHDDKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQFLLSSPKGSGHDRSTSESSSFTSSVEFEPPTIDDLILEKYSANGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNMCADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKSLGNMFVNNIWEEMLPSSNNGRPDDNLSADGSDSSQYLSVRKPKHKDAFSAKEKFIHAKYVDKEFIRNHSMDESHLAQQMWNSVAANDKKAAYSLIVRSRANVNFVWGDMHSSSCLTLGKALQLEQPALTPDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEESCEGFSLLHLACRIADVGMVELLLQYGSSVNMTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHNR >PVH37289 pep chromosome:PHallii_v3.1:6:43571636:43581159:-1 gene:PAHAL_6G282700 transcript:PVH37289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAKLDDSPMFRTQIQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLEIFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLAYDQIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHIESKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSTVNGLSDCYNGDGIQTIGRSSHKMIEAVMQTASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPGGCSIQRGVNPPEHGSGLLSRLFSSHYHGIIHDDKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQFLLSSPKGSGHDRSTSESSSFTSSVEFEPPTIDDLILEKYSANGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNMCADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKKGASNFSIKTKFSYAHMVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLPSSNNGRPDDNLSADGSDSSQYLSVRKPKHKDAFSAKEKFIHAKYVDKEFIRNHSMDESHLAQQMWNSVAANDKKAAYSLIVRSRANVNFVWGDMHSSSCLTLGKALQLEQPALTPDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEESCEGFSLLHLACRIADVGMVELLLQYGSSVNMTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHNR >PVH37290 pep chromosome:PHallii_v3.1:6:43571636:43581159:-1 gene:PAHAL_6G282700 transcript:PVH37290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAKLDDSPMFRTQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLEIFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLAYDQIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHIESKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSTVNGLSDCYNGDGIQTIGRSSHKMIEAVMQTASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPGGCSIQRGVNPPEHGSGLLSRLFSSHYHGIIHDDKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQFLLSSPKGSGHDRSTSESSSFTSSVEFEPPTIDDLILEKYSANGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNMCADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLPSSNNGRPDDNLSADGSDSSQYLSVRKPKHKDAFSAKEKFIHAKYVDKEFIRNHSMDESHLAQQMWNSVAANDKKAAYSLIVRSRANVNFVWGDMHSSSCLTLGKALQLEQPALTPDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEESCEGFSLLHLACRIADVGMVELLLQYGSSVNMTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHNR >PVH37291 pep chromosome:PHallii_v3.1:6:43571137:43581560:-1 gene:PAHAL_6G282700 transcript:PVH37291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAKLDDSPMFRTQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLEIFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLAYDQIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHIESKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSTVNGLSDCYNGDGIQTIGRSSHKMIEAVMQTASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPGGCSIQRGVNPPEHGSGLLSRLFSSHYHGIIHDDKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQFLLSSPKGSGHDRSTSESSSFTSSVEFEPPTIDDLILEKYSANGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNMCADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKSLGNMFVNNIWEEMLPSSNNGRPDDNLSADGSDSSQYLSVRKPKHKDAFSAKEKFIHAKYVDKEFIRNHSMDESHLAQQMWNSVAANDKKAAYSLIVRSRANVNFVWGDMHSSSCLTLGKALQLEQPALTPDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEESCEGFSLLHLACRIADVGMVELLLQYGSSVNMTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHNR >PVH37286 pep chromosome:PHallii_v3.1:6:43571136:43581632:-1 gene:PAHAL_6G282700 transcript:PVH37286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAKLDDSPMFRTQIQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLEIFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLAYDQIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHIESKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSTVNGLSDCYNGDGIQTIGRSSHKMIEAVMQTASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPGGCSIQRGVNPPEHGSGLLSRLFSSHYHGIIHDDKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQFLLSSPKGSGHDRSTSESSSFTSSVEFEPPTIDDLILEKYSANGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNMCADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLPSSNNGRPDDNLSADGSDSSQYLSVRKPKHKDAFSAKEKFIHAKYVDKEFIRNHSMDESHLAQQMWNSVAANDKKAAYSLIVRSRANVNFVWGDMHSSSCLTLGKALQLEQPALTPDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEESCEGFSLLHLACRIADVGMVELLLQYGSSVNMTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHNR >PVH37288 pep chromosome:PHallii_v3.1:6:43571137:43581560:-1 gene:PAHAL_6G282700 transcript:PVH37288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAKLDDSPMFRTQIQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLEIFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLAYDQIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHIESKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSTVNGLSDCYNGDGIQTIGRSSHKMIEAVMQTASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPGGCSIQRGVNPPEHGSGLLSRLFSSHYHGIIHDDKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQGSGHDRSTSESSSFTSSVEFEPPTIDDLILEKYSANGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNMCADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLPSSNNGRPDDNLSADGSDSSQYLSVRKPKHKDAFSAKEKFIHAKYVDKEFIRNHSMDESHLAQQMWNSVAANDKKAAYSLIVRSRANVNFVWGDMHSSSCLTLGKALQLEQPALTPDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEESCEGFSLLHLACRIADVGMVELLLQYGSSVNMTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHNR >PAN35545 pep chromosome:PHallii_v3.1:6:38604524:38609043:-1 gene:PAHAL_6G216500 transcript:PAN35545 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MAEDFGFTQIGEELPDSVTLKDVMDTLPKEVFEINDVKAWTSVLISVTSYALGLFFIAKSPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFMPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVWQKEIESSPFLRKAIIFGYGPIRPWMSIAHWLIWHFDLKKFRPNEVPRVKISLACVFAFMAIGWPLIILKSGLAGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCSYPQWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWNWRLMKTILTRCHVYDKERYYVPFDELAPEESQPIKFLRKFMPDYA >PVH36992 pep chromosome:PHallii_v3.1:6:38604525:38609043:-1 gene:PAHAL_6G216500 transcript:PVH36992 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVWQKEIESSPFLRKAIIFGYGPIRPWMSIAHWLIWHFDLKKFRPNEVPRVKISLACVFAFMAIGWPLIILKSGLAGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCSYPQWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWNWRLMKTILTRCHVYDKERYYVPFDELAPEESQPIKFLRKFMPDYA >PAN35546 pep chromosome:PHallii_v3.1:6:38604525:38609440:-1 gene:PAHAL_6G216500 transcript:PAN35546 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MATASGVSAGLVLQLPSLRVPSSRLLPRRATAGAPAGNLFVKRDVVYNRRSYHHFLPSKQRGGLQAAVLPVAPPILDDEEKRIQMAEDFGFTQIGEELPDSVTLKDVMDTLPKEVFEINDVKAWTSVLISVTSYALGLFFIAKSPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFMPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVWQKEIESSPFLRKAIIFGYGPIRPWMSIAHWLIWHFDLKKFRPNEVPRVKISLACVFAFMAIGWPLIILKSGLAGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCSYPQWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWNWRLMKTILTRCHVYDKERYYVPFDELAPEESQPIKFLRKFMPDYA >PVH36466 pep chromosome:PHallii_v3.1:6:6343005:6344413:1 gene:PAHAL_6G078100 transcript:PVH36466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYYSIAVLSMLAFSVSMGHALDEKKLQTTLYIKQRPAQDQRPLGTDTVVINWLIQDGPGAAANTIGHAEGLTVRANPAKRLWATIMDLVFEGGSLAGSTLKVMGLLGGVNDAPTGQWSVMGGTGELTIARGIINYRIIQEDGASRTFEICIYVYYTPKETIPALGCIASSLELPTNK >PAN33665 pep chromosome:PHallii_v3.1:6:2642277:2646668:1 gene:PAHAL_6G036700 transcript:PAN33665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRLAAALPLPPPPAAGAAAARGLGVPRPRTLLSGASVAFSSPSNRRGALACLVRLMCSRHSAAAAAVAEAVEEARSGRKQLGMTPPLYEYLLANVREHPVLRELREETAAMRGSQMQVSPAQAQLLAMLVQIQGAQRCIEVGVFTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRAGVAHKIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDQKTISIRNFNKKVLEDKRVDISMVPIGDGMTICRKLVDT >PVH36273 pep chromosome:PHallii_v3.1:6:2642277:2646668:1 gene:PAHAL_6G036700 transcript:PVH36273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRLAAALPLPPPPAAGAAAARGLGVPRPRTLLSGASVAFSSPSNRRGALACLVRLMCSRHSAAAAAVAEAVEEARSGRKQLGMTPPLYEYLLANVREHPVLRELREETAAMRGSQMQVSPAQAQLLAMLVQIQGAQRCIEVGVFTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRAGVAHKVILLIYIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDQKTISIRNFNKKVLEDKRVDISMVPIGDGMTICRKLVDT >PVH36274 pep chromosome:PHallii_v3.1:6:2642277:2646675:1 gene:PAHAL_6G036700 transcript:PVH36274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRLAAALPLPPPPAAGAAAARGLGVPRPRTLLSGASVAFSSPSNRRGALACLVRLMCSRHSAAAAAVAEAVEEARSGRKQLGMTPPLYEYLLANVREHPVLRELREETAAMRGSQMQVSPAQAQLLAMLVQIQGAQRCIEVGVFTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRAGVAHKIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDQKTISIRNFNKKVLEDKRVDISMVSFFLPLYYVWCLLGMG >PAN33664 pep chromosome:PHallii_v3.1:6:2642277:2646668:1 gene:PAHAL_6G036700 transcript:PAN33664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRLAAALPLPPPPAAGAAAARGLGVPRPRTLLSGASVAFSSPSNRRGALACLVRLMCSRHSAAAAAVAEAVEEARSGRKQLGMTPPLYEYLLANVREHPVLRELREETAAMRGSQMQVSPAQAQLLAMLVQIQGAQRCIEVGVFTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRAGVAHKIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDQKTISIRNFNKKVLEDKRVDISMVPIGDGMTICRKLVDT >PVH36911 pep chromosome:PHallii_v3.1:6:36834288:36835375:1 gene:PAHAL_6G196500 transcript:PVH36911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGLKEMAVGGGWPGGGGGLGGGDGCGDPVTDGGRRERERQNGGRRREERDAGTDFSILLRSTYVSPSCFCTFRIPVLFTNLHT >PVH36659 pep chromosome:PHallii_v3.1:6:20601798:20602191:-1 gene:PAHAL_6G132000 transcript:PVH36659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWQPSRRGSVQTPKARQERERSEAAMAELAADQHVRYIVTVGKILNWVHTVGVSGRLQLGAGPIDGDAGASSGVGWICTVLRR >PAN34931 pep chromosome:PHallii_v3.1:6:11726876:11733380:1 gene:PAHAL_6G103300 transcript:PAN34931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSGPTASADLKGGVRSEDVVATLGPNAPQPVRPASSSDSVVVPSLDSHALADVGASRQDIGSNKTSVEHKVGRDVPIDNKGSSQQSVSSSFGRSSGSRPSSSYSSRSQQSCGSQKVIPSKEWKPKPISSAASQAPENVTILNDVPLAADTIPQSSAISNSVGTEELLKVDKSFNSLQLLDKQHVIIPDHLQVSESEKYGLSFGSFNASFQQTMGSSDPESAKSSIPKYNLSHELNGSDDEPQPNQRDQNSSSPVQDEADPFLQQLPSAKIENHAPSAVEISSIAPTESDECRDDSATSEVPQSTAICTTPCYMTYGLAPQSHGDQIALIDKSESQVQPPIDYSTSYSTQSYQPANDADERLSPFLAAEASHKYRNIPVHPAQTGQDHEENNSPVIASSVSASVATPASGVLPTSVAIPQQSVPVFRQPLGVHIPHFPTNYVPYNQYISPFFIPPPTLHPFMGNATFPPPPSTGAMYPAPGSTGIIPPVKYTVPAFKPGPNTGSQASIGIPGGYGTYGSSPVYTNNTTVSSGNLAENDDVTSSQFKENSIYIAGLQTEGSALWVPTPGRDISALQANPFYGLPPQGQQVTFAPQAGPFGGIYHPAHTMAGAAVHPLLQPSHTMAGAVEIVGAPGSVYQHPQAQMNWGSY >PAN34928 pep chromosome:PHallii_v3.1:6:11721312:11733380:1 gene:PAHAL_6G103300 transcript:PAN34928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRVSIPVGARRTVADIKEIAGGHTDEEVYAMLRECNMDPNETAQRLLLQDTFHEVKRKRDKKKEGSKEPLDSRWRPALQGRGGKSGRGNYSSRSLSSSNDSAGRSAISGKENGINHIIEKGSSSTPTISTNMDVKAFTSMPSLSSGLPNGPSQAVNPATVVASSSAAGDMIKSGPTASADLKGGVRSEDVVATLGPNAPQPVRPASSSDSVVVPSLDSHALADVGASRQDIGSNKTSVEHKVGRDVPIDNKGSSQQSVSSSFGRSSGSRPSSSYSSRSQQSCGSQKVIPSKEWKPKPISSAASQAPENVTILNDVPLAADTIPQSSAISNSVGTEELLKVDKSFNSLQLLDKQHVIIPDHLQVSESEKYGLSFGSFNASFQQTMGSSDPESAKSSIPKYNLSHELNGSDDEPQPNQRDQNSSSPVQDEADPFLQQLPSAKIENHAPSAVEISSIAPTESDECRDDSATSEVPQSTAICTTPCYMTYGLAPQSHGDQIALIDKSESQVQPPIDYSTSYSTQSYQPANDADERLSPFLAAEASHKYRNIPVHPAQTGQDHEENNSPVIASSVSASVATPASGVLPTSVAIPQQSVPVFRQPLGVHIPHFPTNYVPYNQYISPFFIPPPTLHPFMGNATFPPPPSTGAMYPAPGSTGIIPPVKYTVPAFKPGPNTGSQASIGIPGGYGTYGSSPVYTNNTTVSSGNLAENDDVTSSQFKENSIYIAGLQTEGSALWVPTPGRDISALQANPFYGLPPQGQQVTFAPQAGPFGGIYHPAHTMAGAAVHPLLQPSHTMAGAVEIVGAPGSVYQHPQAQMNWGSY >PAN34929 pep chromosome:PHallii_v3.1:6:11721928:11733380:1 gene:PAHAL_6G103300 transcript:PAN34929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKAFTSMPSLSSGLPNGPSQAVNPATVVASSSAAGDMIKSGPTASADLKGGVRSEDVVATLGPNAPQPVRPASSSDSVVVPSLDSHALADVGASRQDIGSNKTSVEHKVGRDVPIDNKGSSQQSVSSSFGRSSGSRPSSSYSSRSQQSCGSQKVIPSKEWKPKPISSAASQAPENVTILNDVPLAADTIPQSSAISNSVGTEELLKVDKSFNSLQLLDKQHVIIPDHLQVSESEKYGLSFGSFNASFQQTMGSSDPESAKSSIPKYNLSHELNGSDDEPQPNQRDQNSSSPVQDEADPFLQQLPSAKIENHAPSAVEISSIAPTESDECRDDSATSEVPQSTAICTTPCYMTYGLAPQSHGDQIALIDKSESQVQPPIDYSTSYSTQSYQPANDADERLSPFLAAEASHKYRNIPVHPAQTGQDHEENNSPVIASSVSASVATPASGVLPTSVAIPQQSVPVFRQPLGVHIPHFPTNYVPYNQYISPFFIPPPTLHPFMGNATFPPPPSTGAMYPAPGSTGIIPPVKYTVPAFKPGPNTGSQASIGIPGGYGTYGSSPVYTNNTTVSSGNLAENDDVTSSQFKENSIYIAGLQTEGSALWVPTPGRDISALQANPFYGLPPQGQQVTFAPQAGPFGGIYHPAHTMAGAAVHPLLQPSHTMAGAVEIVGAPGSVYQHPQAQMNWGSY >PAN34930 pep chromosome:PHallii_v3.1:6:11724592:11733380:1 gene:PAHAL_6G103300 transcript:PAN34930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTAIPFSTPVKGSKEPLDSRWRPALQGRGGKSGRGNYSSRSLSSSNDSAGRSAISGKENGINHIIEKGSSSTPTISTNMDVKAFTSMPSLSSGLPNGPSQAVNPATVVASSSAAGDMIKSGPTASADLKGGVRSEDVVATLGPNAPQPVRPASSSDSVVVPSLDSHALADVGASRQDIGSNKTSVEHKVGRDVPIDNKGSSQQSVSSSFGRSSGSRPSSSYSSRSQQSCGSQKVIPSKEWKPKPISSAASQAPENVTILNDVPLAADTIPQSSAISNSVGTEELLKVDKSFNSLQLLDKQHVIIPDHLQVSESEKYGLSFGSFNASFQQTMGSSDPESAKSSIPKYNLSHELNGSDDEPQPNQRDQNSSSPVQDEADPFLQQLPSAKIENHAPSAVEISSIAPTESDECRDDSATSEVPQSTAICTTPCYMTYGLAPQSHGDQIALIDKSESQVQPPIDYSTSYSTQSYQPANDADERLSPFLAAEASHKYRNIPVHPAQTGQDHEENNSPVIASSVSASVATPASGVLPTSVAIPQQSVPVFRQPLGVHIPHFPTNYVPYNQYISPFFIPPPTLHPFMGNATFPPPPSTGAMYPAPGSTGIIPPVKYTVPAFKPGPNTGSQASIGIPGGYGTYGSSPVYTNNTTVSSGNLAENDDVTSSQFKENSIYIAGLQTEGSALWVPTPGRDISALQANPFYGLPPQGQQVTFAPQAGPFGGIYHPAHTMAGAAVHPLLQPSHTMAGAVEIVGAPGSVYQHPQAQMNWGSY >PVH37368 pep chromosome:PHallii_v3.1:6:44922352:44923106:1 gene:PAHAL_6G297700 transcript:PVH37368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSLQVLDLCNTMQESFTDLKMTIQELQMVLNRGDLPVAQVKAQSYARLVKKAKRHLKKAVSKSTSDDDAWLVSLLTTARGIAVSALKSAVELLSKQMATCSASKWSLITKSIQKRRVSCEEVQLQALELGIVGLERSVENLFRNLIQTRVSLLNTLSS >PVH37022 pep chromosome:PHallii_v3.1:6:39182039:39183409:1 gene:PAHAL_6G222700 transcript:PVH37022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAARPGGGARDGGRRCCSGHVGCKGRASGAAGSRSGKQRRRRRRNSGRCNGNEGDGDSDFHGWHTCSVNIQMNQVLDTPHRINGATETSASTEKNC >PAN34045 pep chromosome:PHallii_v3.1:6:5036107:5036474:1 gene:PAHAL_6G066500 transcript:PAN34045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQAGYPPPGTAAYPPPGQQAYGAPPPQAYVAPPPAYPPTQDGGYQQQQQQPETTSRGGDGFWKGCCAAICCCCLLDMCF >PAN36721 pep chromosome:PHallii_v3.1:6:45373526:45376550:-1 gene:PAHAL_6G304900 transcript:PAN36721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLAAAARRLLATARSRRAFWSASWSAEQAAPSASSPPPDSKKKKAPSGSGPHRLAAVMDAVNERKLPPELRGRGNAVRSETDIVNVVEQRIWHSMEEGHFENLPGKGKPLNLNSNPHADSAEDTLYRILSRNGCAPEWVELNKEIRGMIAGWRSALKKAWANQSEVDGSSWNDDCRVLQEQIRQINDKVFRYNLIVPFGRQMFGLNWEEEVDKLKSNKEETRTRRWTD >PVH37014 pep chromosome:PHallii_v3.1:6:38888826:38892485:-1 gene:PAHAL_6G219300 transcript:PVH37014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLHHFPSYLLLVIVILATSGGGCHQDQSAALLRLRASFRFPDTDSNCPWFSFDGRTLLPWKVDTSCCTWDGVTCDGTSGHVTALDLSRLCISGNLSSSDIFELTSLRSLSLAFNNFDANPWPNRGFEQLTELKYLDLSYSGLSGALPVENGQLSNLVALDLSGLDLKNLSLLHTLIDSLGNLQELQLEEVIISASPTDLAHASSTNTTSGLKELSIGWCTITGGRFDTDLANLLFHSKFANLVTLYLSGFDLKNLSLHTLISSLGNLQELYLEEVNIWWVSPSDLAHASSNNTTSSLKELSMWQCTIKGGHFDTVLTMLANLEMLYLYGFDLKNLSLHTLISRLGNLQELHLEEIIISANPTDLAHASSTNTTSGIKKLTMLGCTITSGRLDTVLSKLPFLSTLILDGTNISGPTPVPEHFADFSSLAVLSLDSCGLTGTFPSWIFRIKSLMSLDVSGNENLCGELPEFIQGSALQVLRLSGTKFSGKIPESIGSLRNLTELDLSNCLLYGPIPSFSQWPMISWVDLSGNNLTGSLPSDGYLSLHNLTRITLSNNSISGAIPASLFSHPSLEYLDLSQNNFTGNFLLYPNISSSLTKIDVSFNKLEGPLPKLLSKFVGLEWLDLSSNNLTGTVDLSFIKNYRTLYYLSLSYNKLSVVVEDGNHSYAEHPIIWEHLGLASCNLSYVPKFLMHQISITDLDLSSNNIGGHIPDWIWGIGSLALNLSHNSFTSVNTNLSNALVSDFDLHSNKIEGALPLPPWGTYRADYSNNHFNSSIMPEFWSRISSATSLSLANNSLIGEVSHLICSATNIEVLDLSFNSFSGLIPPCLLKHNEGLEILNLRGNNFHGPLPQDIINECALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNRIVDTYPEWLGVLPLLKVLVLKSNMFHGPIDYEMNKQTNPFFLKLQVLDLSSNYFNGSIPTRFLKQFKAMMVISPGAPSMYVEIIPKSLNSSSGYRESVTVSLKGQETTLVQILSVFTYIDLSNNNFMGVIPNAICDLKFLKQLNLSRNSFTGEIPPSIANMMQLESLDLSYNQLFGEIPPAMAAMSFLEVLNLSYNHLSGMIPQSSQFLTFPNTSFLGNDRLCGKPLARLCETNHAPSAAATPGSSKELNWEFLSVEVGVVSGLAIVVATMLLWGNGRGWVYWHVDKFWLQVLQPWICRRRRRKWISCMVVCVWLSDVCKNMSEH >PAN35585 pep chromosome:PHallii_v3.1:6:38888672:38892530:-1 gene:PAHAL_6G219300 transcript:PAN35585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSTCPVSASRELQLEEVIISASPTDLAHASSTNTTSGLKELSIGWCTITGGRFDTDLANLLFHSKFANLVTLYLSGFDLKNLSLHTLISSLGNLQELYLEEVNIWWVSPSDLAHASSNNTTSSLKELSMWQCTIKGGHFDTVLTMLANLEMLYLYGFDLKNLSLHTLISRLGNLQELHLEEIIISANPTDLAHASSTNTTSGIKKLTMLGCTITSGRLDTVLSKLPFLSTLILDGTNISGPTPVPEHFADFSSLAVLSLDSCGLTGTFPSWIFRIKSLMSLDVSGNENLCGELPEFIQGSALQVLRLSGTKFSGKIPESIGSLRNLTELDLSNCLLYGPIPSFSQWPMISWVDLSGNNLTGSLPSDGYLSLHNLTRITLSNNSISGAIPASLFSHPSLEYLDLSQNNFTGNFLLYPNISSSLTKIDVSFNKLEGPLPKLLSKFVGLEWLDLSSNNLTGTVDLSFIKNYRTLYYLSLSYNKLSVVVEDGNHSYAEHPIIWEHLGLASCNLSYVPKFLMHQISITDLDLSSNNIGGHIPDWIWGIGSLALNLSHNSFTSVNTNLSNALVSDFDLHSNKIEGALPLPPWGTYRADYSNNHFNSSIMPEFWSRISSATSLSLANNSLIGEVSHLICSATNIEVLDLSFNSFSGLIPPCLLKHNEGLEILNLRGNNFHGPLPQDIINECALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNRIVDTYPEWLGVLPLLKVLVLKSNMFHGPIDYEMNKQTNPFFLKLQVLDLSSNYFNGSIPTRFLKQFKAMMVISPGAPSMYVEIIPKSLNSSSGYRESVTVSLKGQETTLVQILSVFTYIDLSNNNFMGVIPNAICDLKFLKQLNLSRNSFTGEIPPSIANMMQLESLDLSYNQLFGEIPPAMAAMSFLEVLNLSYNHLSGMIPQSSQFLTFPNTSFLGNDRLCGKPLARLCETNHAPSAAATPGSSKELNWEFLSVEVGVVSGLAIVVATMLLWGNGRGWVYWHVDKFWLQVLQPWICRRRRRKWISCMVVCVWLSDVCKNMSEH >PVH36699 pep chromosome:PHallii_v3.1:6:25085171:25086311:1 gene:PAHAL_6G145400 transcript:PVH36699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTKARNLLLGFSLSLGEEDGDLSSFLTLPLEPMPAVKSCLPLLVVKLRRALHRLHRGRRLQRPRQPEALQVGTAVRVRGHGALVQPVAGGSRGTGGDGQAARGPSSNSNPRPALGRGGGGESEIRGAGSQFEEEGATGRGGRRRRGVEHRAGCAGRFSSGDAGAGARNARCCRLLVIERRRGVNEGMKIKL >PVH36167 pep chromosome:PHallii_v3.1:6:1100439:1101352:-1 gene:PAHAL_6G012700 transcript:PVH36167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHESIFGGSSQLRQMPEQHHGCLKSMEIKGFYSAKSLVELTCYILKNAKSLDCLRLDTTLGDPKCDTQPPGGLCTEMDKDFVMEARRGAAAIRTYIQDKVPPTVKLTVVEHCRQCHPCS >PAN34321 pep chromosome:PHallii_v3.1:6:6975863:6976996:1 gene:PAHAL_6G084000 transcript:PAN34321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQARILAALYFILTISPAISDMTDSIEMMWGNTQVLYDSAGHQTMSLTLDRWTTSAFRSKSQYLFGRFDIDIKLVPKESAGTVTTIYMVTEGPWQYHDEIDLEFLGNTTGEPYTLHTNIYAKGKGGREKQYRLWFDPTEDFNTYSIIWNPHMILILVNGKPIRRMKNQMRADTPFPLYQPMRMYASIWNAEDWATQGGRIKTDWSQAPFTAFFRNYTANACVPYNKAWICGQGSGDSSWFNKELDEEGQQKMKDVDDKNKIYDYCTDSRRFPDGYPPECASQ >PVH36519 pep chromosome:PHallii_v3.1:6:8542088:8542351:1 gene:PAHAL_6G091100 transcript:PVH36519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKKLPLEYLGIAGFFSLSLVGISFSDGISPDWHSAMLSVRSCGLMPASWTWASCYWARTQIEFWTSLFCLNIVTTNTGLMCIQFF >PAN33578 pep chromosome:PHallii_v3.1:6:2322934:2327987:-1 gene:PAHAL_6G031000 transcript:PAN33578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHYEILMDIISHHQPHHILVEKPLCTTVQHCKKVIEAAKQRPDIIVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEKYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDTGKGEAFVPESIVRFGKRTEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRAQGACGPSVNLSDGLLSVAIGVAGQLSIEQGRFVTMEEVLGS >PAN33581 pep chromosome:PHallii_v3.1:6:2322934:2327987:-1 gene:PAHAL_6G031000 transcript:PAN33581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAEVRYGIVGVGMMGREHLHNLAHLAAEVGREQSVKVRVTGLADPHQESLRLGLQLADELGLPAPQTFSGHRELLDSGLCDAVIVSSPNMTHYEILMDIISHHQPHHILVEKPLCTTVQHCKKVIEAAKQRPDIIVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEKYDGKNLIMALVACLISACLLKEVEMSKKFLWLVTLGRVKLSFQRALSGLEREQKAEMEL >PAN33582 pep chromosome:PHallii_v3.1:6:2324124:2327822:-1 gene:PAHAL_6G031000 transcript:PAN33582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAEVRYGIVGVGMMGREHLHNLAHLAAEVGREQSVKVRVTGLADPHQESLRLGLQLADELGLPAPQTFSGHRELLDSGLCDAVIVSSPNMTHYEILMDIISHHQPHHILVEKPLCTTVQHCKKVIEAAKQRPDIIVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEKYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDTGKGEAFVPESIVRFGKRTEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRAQGACGPSVNLSDGLLSVAIGVAGQLSIEQGRFVTMEEVLGS >PAN35917 pep chromosome:PHallii_v3.1:6:40898708:40902514:1 gene:PAHAL_6G244100 transcript:PAN35917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPWPPTAGAPPPRPAQDTVSTAALERRPVEGSRSPPAQEEGSVAAADSAQEARARPPSEDYFSEVRTQEHGEKINKYQGMLAARLKAKYFSNKTSEKGYMFEEIVIQSETIRLSRWPFTRLFADPAKFCQEKSYTEKDVSPSLASAAMSK >PAN36776 pep chromosome:PHallii_v3.1:6:45703282:45706468:-1 gene:PAHAL_6G309800 transcript:PAN36776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCHHLLIVLPLFLALASAAPGHGQGHHGEASAVFIDAAPHRYLRDQQTDDQGTSMSFNEVSAAVSVLLGFAPPAALPVLSSSQLNKLLIPNPFDRPGAVFLVQIAGSNASADSFISEASSTFKTRIEGANNAAAGLTDKDELIIIRSDESLDHPESGFLASELSSLANWLEGSYQKSSGKLVIPLESGNSLTLVLDKEADLEFVSSLASLLRTIERAIQIHEDFSGVISPAELLVCHFTGIKALEDEYASTEIVKQGTEIVRRAITKAFQSLRGAYKGKIVGLVISAEEASSFLGSIIEAPSSLHISRRLEEASQTNATASVVLVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >PAN35164 pep chromosome:PHallii_v3.1:6:35488271:35492916:-1 gene:PAHAL_6G186200 transcript:PAN35164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLISRRVVFKALVFLCFIRSSQGRDHFTKLNLPPFLKASRFPTFQHEAYDYIIVGGGTAGCPLAATLSQKYKVLLLERGGSPYDNRNITLLENFHICLADVSPESPAQGFISTDGVINARAKVLGGGTCINAGFYSRAKPSFVQLAGWDAELVNQSYPWVEERIVHWPKVAPWQAALRDGLLEAGVSPYNGYTYDHLYGTKVGGTIFDETGYRHTAADLLAAANPNNLRVLLYASVNKIVFNTKQGHRKPRAMGVQFKDENGRHHQAFLREERGSEIIVSAGAIGSPQLLLLSGIGPRNELKKHNISVTLRNEHVGKGMSDNPMNSIFVPMKKPTKQSLIETVGITDAGVFIEASSGFSQTDDSIHCHHGIMSAEIGQLSTIPPKKRSFDAIQKYVDNKYSLPKEVFDGGFILEKIDGPLSTGNLVLVDTDVDSNPSVTFNYFQHPKDLSRCVYGIKTIEKILKTNHFTNLTANGAGYLMETLLNMSVSANINLIPKHTNDTTSLEQFCRDTVTTIWHYHGGCHVGKVVDQQYRVIGISGLRVVDGSTLFRSPGTNPQATVLMMGRYMGVKILRERLGHAAGV >PVH36141 pep chromosome:PHallii_v3.1:6:427115:429041:1 gene:PAHAL_6G006000 transcript:PVH36141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTHVEHGEIWGFEERGTLEASPDSAWKRRSSRPSHWRASPGRNGEEQSSGGTVLALDAPAGVTGRPSTASSRRSLTPSPRSSHAVLLFVRDGKHQGNCCCLWSSIGGFPYSDWCGFVYMCDTSWATTCLRKSF >PVH36971 pep chromosome:PHallii_v3.1:6:38217723:38218353:-1 gene:PAHAL_6G211500 transcript:PVH36971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDWRRRREGRMPDPDRIVPSRAEDSGGGRRRPGSPRILPDSMEYSHLLHTFLSPPGFFRLLFVEAHGGP >PAN34908 pep chromosome:PHallii_v3.1:6:15999860:16004872:-1 gene:PAHAL_6G116600 transcript:PAN34908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPLIEVVVVDISKPRIEAWNSDQLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPSAVKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDGEKLREIGFIVYSIGKPLDAWLKDMPAVA >PAN34906 pep chromosome:PHallii_v3.1:6:15999957:16004872:-1 gene:PAHAL_6G116600 transcript:PAN34906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPLIEVVVVDISKPRIEAWNSDQLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPSAVKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDGEKLREIGFIVYSIGKPLDAWLKDMPAVA >PAN34907 pep chromosome:PHallii_v3.1:6:15999964:16004872:-1 gene:PAHAL_6G116600 transcript:PAN34907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPLIEVVVVDISKPRIEAWNSDQLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPSAVKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDGEKLREIGFIVYSIGKPLDAWLKDMPAVA >PAN34909 pep chromosome:PHallii_v3.1:6:15999958:16004872:-1 gene:PAHAL_6G116600 transcript:PAN34909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPLIEVVVVDISKPRIEAWNSDQLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPSAVKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDGEKLREIGFIVYSIGKPLDAWLKDMPAVA >PAN34905 pep chromosome:PHallii_v3.1:6:15999963:16004873:-1 gene:PAHAL_6G116600 transcript:PAN34905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPLIEVVVVDISKPRIEAWNSDQLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPSAVKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDGEKLREIGFIVYSIGKPLDAWLKDMPAVA >PVH36741 pep chromosome:PHallii_v3.1:6:28371126:28372192:-1 gene:PAHAL_6G156800 transcript:PVH36741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTFSVRNITLCTLIRESPNYKKTTPEEVLGKIINHEMMESEAKYVKGLSKGTSTSKGQDIALKANKKEKSKKAVQESSSSDNDNDSSSLDDDDMALLMKNFSKLMRNRNYKGNKRHESSKRKTKRNCYNCGKNGHFIANCPYEKKEDKEEKRKDNKEKNGEAHLGKEWDSDDESSSSDEEKVATLAFNKTSLFPNLKDGKNITHTCLMARGGRRKVKTIPCSSPKYTTSDNESSSSSSSGNDRDIDMIAMLKNLDKNAIAKFNELMEELNEKNDLLDKQEDLLILEKKRNLELKEIITKQEEKCKP >PAN35721 pep chromosome:PHallii_v3.1:6:39628032:39630487:-1 gene:PAHAL_6G229200 transcript:PAN35721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEPLLSGMAAGSKHGGGEEESLVWAEVKRQLHLAGPLVPGYLLQYVVQLMSLMFVGHLGELELAGASVATSFATVTGFSLLGGMATSLETLCGQAFGAKQHHLLGVYKQRAMLVLVLVSVPVVAMWGYTGEILLWFGQDPEIAAAAAGYIRGLIPALLVNGPLNCHVRFLQAQNAVVPVMLGSGATALAHLPVCWLLVRALGLGSAGAALGIAVSYAANLCFLALYVRLSPRCRSTWTGFSREAFRGIPAFFRLAVPSAMMVCIEWWSFELLVLLSSLLPDPKLEAAVLSICINTITLAFMVPLGLGGATSTRVSNELGAGRPQAARLAAWVVVLLSLMVAAVGGLVMVLVRNLWGYAYSSDERVVKYIARMLPLLAVSFLFDCVQGVLSGAIRGCGRQKVGASINLASYYLVAIPLGYFFAFGCHVGGMGLWFGLLCGLVVQTILLICITLCTNWNKEALKAKDRIHSSACLGNMTT >PVH37200 pep chromosome:PHallii_v3.1:6:42752129:42753114:1 gene:PAHAL_6G269800 transcript:PVH37200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSAAVEFKVDYEQTKHLAAREAVHSDPISAGGHMWRINWYPRGICTSGRMRGVTMMVELMSKSRNAKAIVEASLLIKGEELDLVASEGSFLRVFEIRFHNLGWLCFLDHKDLLKYVIDGQITVFCTIMVLHDDSSIPVPPSDIGKHLGTLLDSTDGVDVSFIVDGETFHAHRAVLAARSPVFRATMSSITLHDIAPATFTVMLQFMYTDALPGDDKLGDSPNEMFKHLLAAADRYALDRLKILCAQRLWDNVSVDTVGDALACAEIYSSDENFKKVVLTESFMQLGQKFPSIIAEVRKRVGA >PVH36245 pep chromosome:PHallii_v3.1:6:2110449:2110990:-1 gene:PAHAL_6G029300 transcript:PVH36245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGAVTSSVLVILVSLAALSTVGYACNQVPFLRWDEACLKACATAALYNLCQETLQHAPDAAEASVYALLAARFAGRSYDDSAGAAERLLAAGSSVPAYRRCVDSCDLWRLRYRYADAAAAVESCGKGLAAGAPLAAMNAADRDRTVVASGLGALIVGK >PVH36857 pep chromosome:PHallii_v3.1:6:35378399:35379601:1 gene:PAHAL_6G185000 transcript:PVH36857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQYAQQQAAAAPPPLIPPAAGGSSAASAVHPAVMQLHHHHHPYAASTHMPHPHLQQGGYLQGQQQQQQAAALLPAPPNPAAGGNKQATAAGAYGGAAALSNQQHQHLEEWDTEMMMEHCNANSGAASGSSDEGGAGAALQLPPCCRRPLKTLDLFPTKSTGLKDECSSSKSSSCSTSTN >PAN35618 pep chromosome:PHallii_v3.1:6:39155508:39156534:1 gene:PAHAL_6G222200 transcript:PAN35618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSGARPPSTEEEEAVAACRSKKRSSILGTLREAIRKVRFLLSSGATRWMLLARAAPRRGLSFGSRPPGLLDVEGSIVSPASSSSSRTSRSASLGTATTRSLSLASSAAAASPEAASSGGSPATSGGDSDVDRRADLFISNFRRHLEMERQVSLQLRYVRLNSWDRTSSPSSG >PAN35963 pep chromosome:PHallii_v3.1:6:41144808:41148527:-1 gene:PAHAL_6G247700 transcript:PAN35963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAETRTRGRGGGGGDGGGGGNPGKIFVGGLPRDTTDATFVRHFGQYGDIIDSVIMKDRYTSQPRGFGFITYSDPAVVDKVIEDTHVINGKQVEIKRTIPKGAMQSSSKDFKTRKIFVGGLPSALTEDDFKNFFERYGTVADHQIMFDRETKRSRGFGFIVFTSEETVDDLLANGNMIDLAGSKVEIKKAEPKKSSNPPPSGRGRSSRSSYDSGSRDHPSSDNYGGLANAYGSYRGGGFDPYRSDAGFSGSRLGSYGGMGEFGVGYGRYYAGLGAYGAASSFGYPGRFGLYGGYGGAYAGGDLSAYRRTVADESFGAPGNSGFGGDADEGFGGPGSSGFGGAAYGGAYDPALGGYGPSSTPDRNKGSFTGGFGRYHPYG >PAN34083 pep chromosome:PHallii_v3.1:6:5218050:5222704:-1 gene:PAHAL_6G068600 transcript:PAN34083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINADPTIRLLNRLYAKKRKELQERQKLKDVQTQEEQKDERSLDELLCFINGDGDSRGGKAAKNKRKNKRRKDQAKNPAKANSEPVNKFRRELAVWFRAKQIVVIFLGFLAEGQICRAISTPLTMLNSMMDLILQ >PAN34085 pep chromosome:PHallii_v3.1:6:5218051:5222754:-1 gene:PAHAL_6G068600 transcript:PAN34085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINADPTIRLLNRLYAKKRKELQERQKLKDVQTQEEQKDERSLDELLCFINGDGDSRGGKAAKNKRKNKRRKDQAKNPAKANSEPVNKEGASCVVPRKADSGNISGLPCRRPDLQGDIDPFDDAELDDGLDPAMREEIDREVADFAMKLNLVWPERMRLDQDQRMESHVGARW >PAN34086 pep chromosome:PHallii_v3.1:6:5218049:5222754:-1 gene:PAHAL_6G068600 transcript:PAN34086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINADPTIRLLNRLYAKKRKELQERQKLKDVQTQEEQKDERSLDELLCFINGDGDSRGGKAAKNKRKNKRRKDQAKNPAKANSEPVNKEGASCVVPRKADSGNISGLPCRRPDLQGDIDPFDDAELDDGLDPAMREEIDREVADFAMKLNLVWPERMRLDQDQRMESHVGAR >PAN34084 pep chromosome:PHallii_v3.1:6:5218818:5221015:-1 gene:PAHAL_6G068600 transcript:PAN34084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINADPTIRLLNRLYAKKRKELQERQKLKDVQTQEEQKDERSLDELLCFINGDGDSRGGKAAKNKRKNKRRKDQAKNPAKANSEPVNKFRRELAVWFRAKQIVVIFLGFLAEGQICRAISTPLTMLNSMMDLILQ >PVH36426 pep chromosome:PHallii_v3.1:6:5431309:5431533:1 gene:PAHAL_6G070700 transcript:PVH36426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSYFSSPLQPVAESEGRNWKAGGYAGGGACAAIRESRRTRTARLLPPAGPPNPAVACGPRGGRAPPGPRTF >PAN35841 pep chromosome:PHallii_v3.1:6:40529240:40532480:1 gene:PAHAL_6G238900 transcript:PAN35841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSCYDAAASMLLCAEEHSSILCLDEEDDVAAAGRKRARSPGCADGFGADLFPPQSEECVAGLVEREPEHMPRSDYGERLRGGDGVDLCVRREGVDWIWKVYTYYNFGPVTAYLAVNYLDRFLSRYELPDGKDWMTQLLSVACLSLAAKMEETFVPQSLDLQVGDARYVFEAKTIQRMELLVLSTLNWRMQAVTPFSYLDYFLNKLNGGIRAPRSWFFQSAELILCVARGTGCIGFRPSEIAAAVAATVVGEAAVSGIEAACAHVDKERVLQCQGAIQSMATPAINTVPPKSASGRASPAPQSPVGVLDAGCLSYKSDDDATAAATVASHGASAYGFAASSPVTSKRRKITTR >PAN35842 pep chromosome:PHallii_v3.1:6:40529240:40532480:1 gene:PAHAL_6G238900 transcript:PAN35842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSCYDAAASMLLCAEEHSSILCLDEEDDVAAAGRKRARSPGCADGFGADLFPPQSEECVAGLVEREPEHMPRSDYGERLRGGDGVDLCVRREGVDWIWKVYTYYNFGPVTAYLAVNYLDRFLSRYELPDGKDWMTQLLSVACLSLAAKMEETFVPQSLDLQVGDARYVFEAKTIQRMELLVLSTLNWRMQAVTPFSYLDYFLNKLNGGIRAPRSWFFQSAELILCVARAGTGCIGFRPSEIAAAVAATVVGEAAVSGIEAACAHVDKERVLQCQGAIQSMATPAINTVPPKSASGRASPAPQSPVGVLDAGCLSYKSDDDATAAATVASHGASAYGFAASSPVTSKRRKITTR >PVH36439 pep chromosome:PHallii_v3.1:6:5683522:5688086:1 gene:PAHAL_6G073800 transcript:PVH36439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWPKVCRPPELGGLGFLDLQLFIYVLRMRWLSLSRTDDSRPWSQLPDRHESLVQAMFQASISINLGDGNRSFFWTDHWLQGKSIKDWHFSLCRDCTLYPRLVFPLMPGMVAQGLQEDVWIRDITGAVTVQVLLDYLLIWDLTRNVVLHSDVPDRLIWKWTSDQKKENTLQADDNCALCCQASETIAHLLVGCPFSRELWYAILQYLHWQALFPEQEPLCLADWWSGARKKLTKTDHRCFDSIIILISRILWIERNKRVFDRHTRSVQQLLSFVADEAVQWTLAGYKQLVL >PAN34810 pep chromosome:PHallii_v3.1:6:22682178:22696432:1 gene:PAHAL_6G137100 transcript:PAN34810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARALAPPPPLGSMWGPPCAAAGSPVTEASAAAAHAARRGGAAVSSALSGLRGRHSLCSVQFMDGLRSNIQAEVNLALSPKASMSNGSDDSTITCKGFCTISWNLKADVQDGYLIFITGDPVTLGCWESNMAVQLAPSVESNNIWTAEIKVPYGVHFKYNYFVREEKGSCSDIIWRPGPDYSLSIPSVGRKKQLLVKDLWMKTSVAGLPAPSWGSWLMEAGSFEGEYFEGGNHWSTAEAHSARDTADHDLSVGEHIILKIGNGTPLHAKLLSENLSTSMHNSITNKPNANNINQHGRYQLVEEPWIHESIVASKKPVARVKDKKGKKKFVNNKHDSSRASEYMPQEDQPVEEPWLLESMVEANETIVHADGKIEAKDIIRKLRNIEKPPAPLEENKSTTGEPSSRVILINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGISRPSLMSIKQNRDPFVYPQVVKNSRADPVDDSYYNEENLPTYDEDDDMSDDEFADEETHDGSSSFPVENITDNEEGMALIPDAKIDIVDSAEFEGISGYDEDKDDEDDHMEDEYSEEILQADQSEISNDLKTLSSIQHALRESNDDTNGSRWSRVRKGTKIMVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQRDLDGLLSTWKVITEHAQSAALAAEEGVDGAVPVMLHRAKGQALSVVQDDFNEKVKRLVLDSPRTYHEVTSYLQEVAPELCSRVDLYEKRKPIFDEYNIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDLNKRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHGTGRVEALDTSFSKIEREICRRLAASRRKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFSRGAFELLPYSEKENDEEKETVSESPQKEGSPKLSVFPIKKWMSRAKRAK >PAN34809 pep chromosome:PHallii_v3.1:6:22683353:22696432:1 gene:PAHAL_6G137100 transcript:PAN34809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQLAPSVESNNIWTAEIKVPYGVHFKYNYFVREEKGSCSDIIWRPGPDYSLSIPSVGRKKQLLVKDLWMKTSVAGLPAPSWGSWLMEAGSFEGEYFEGGNHWSTAEAHSARDTADHDLSVGEHIILKIGNGTPLHAKLLSENLSTSMHNSITNKPNANNINQHGRYQLVEEPWIHESIVASKKPVARVKDKKGKKKFVNNKHDSSRASEYMPQEDQPVEEPWLLESMVEANETIVHADGKIEAKDIIRKLRNIEKPPAPLEENKSTTGEPSSRVILINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGISRPSLMSIKQNRDPFVYPQVVKNSRADPVDDSYYNEENLPTYDEDDDMSDDEFADEETHDGSSSFPVENITDNEEGMALIPDAKIDIVDSAEFEGISGYDEDKDDEDDHMEDEYSEEILQADQSEISNDLKTLSSIQHALRESNDDTNGSRWSRVRKGTKIMVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQRDLDGLLSTWKVITEHAQSAALAAEEGVDGAVPVMLHRAKGQALSVVQDDFNEKVKRLVLDSPRTYHEVTSYLQEVAPELCSRVDLYEKRKPIFDEYNIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDLNKRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHGTGRVEALDTSFSKIEREICRRLAASRRKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFSRGAFELLPYSEKENDEEKETVSESPQKEGSPKLSVFPIKKWMSRAKRAK >PAN36413 pep chromosome:PHallii_v3.1:6:43429522:43432103:-1 gene:PAHAL_6G280400 transcript:PAN36413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPEMQRFLQQEQQKALMGEMVGKLTSVCWDKCITGTPGSKFSSGESTCLTNCAQRFLDMSVLIAKRFEMQ >PAN36476 pep chromosome:PHallii_v3.1:6:44173398:44178716:1 gene:PAHAL_6G286500 transcript:PAN36476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERSPPASPAEAAGGGGGGAACSICLDPVLARGGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHRPSADIDMGGWATSDNYDITSDLPFGFQWCPFSGFTQLASVFEEREAEPTSYHTIGDHSSAASSSLVCPYLALRGFLHPVHVPSTSNSGAESTSFHRHSTGLEGHATPDLSNAQVFHATESRNHDSEHRHLSNLPVSGIPDHSMAPFGIGLPRYDTSSQQRSRSYARHHPLIHRPTPRSGNNLVAPLGSAPAVVAETRGHGHGARGHMYQQSMHSSMQSSPFPPTTRRVRPRALTITSFIAASSSAEVGGPHGFSAPGAVNRSIPDAEGITRPMDRPYPWGREGFAPFPWIPAEGESHWWGTFNPMQNPAHGSFTRRPAGERMPQSHPENGYPPVPPPQRMPPFL >PAN36474 pep chromosome:PHallii_v3.1:6:44173398:44178716:1 gene:PAHAL_6G286500 transcript:PAN36474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERSPPASPAEAAGGGGGGAACSICLDPVLARGGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHRPSADIDMGGWATSDNYDITSDLPFGFQWCPFSGFTQLASVFEEREAEPTSYHTIGDHSSAASSSLVCPYLALRGFLHPVHVPSTSNSGAESTSFHRHSTGLEGHATPDLRLPRYDTSSQQRSRSYARHHPLIHRPTPRSGNNLVAPLGSAPAVVAETRGHGHGARGHMYQQSMHSSMQSSPFPPTTRRVRPRALTITSFIAASSSAEVGGPHGFSAPGAVNRSIPDAEGITRPMDRPYPWGREGFAPFPWIPAEGESHWWGTFNPMQNPAHGSFTRRPAGERMPQSHPENGYPPVPPPQRMPPFL >PAN36475 pep chromosome:PHallii_v3.1:6:44173398:44178716:1 gene:PAHAL_6G286500 transcript:PAN36475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERSPPASPAEAAGGGGGGAACSICLDPVLARGGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHRPSADIDMGGWATSDNYDITSDLPFGFQWCPFSGFTQLASVFEEREAEPTSYHTIGDHSSAASSSLVCPYLALRGFLHPVHVPSTSNSGAESTSFHRHSTGLEGHATPDLRIPDHSMAPFGIGLPRYDTSSQQRSRSYARHHPLIHRPTPRSGNNLVAPLGSAPAVVAETRGHGHGARGHMYQQSMHSSMQSSPFPPTTRRVRPRALTITSFIAASSSAEVGGPHGFSAPGAVNRSIPDAEGITRPMDRPYPWGREGFAPFPWIPAEGESHWWGTFNPMQNPAHGSFTRRPAGERMPQSHPENGYPPVPPPQRMPPFL >PAN36477 pep chromosome:PHallii_v3.1:6:44173982:44178716:1 gene:PAHAL_6G286500 transcript:PAN36477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPNCRKIEKGRWLYASGHRPSADIDMGGWATSDNYDITSDLPFGFQWCPFSGFTQLASVFEEREAEPTSYHTIGDHSSAASSSLVCPYLALRGFLHPVHVPSTSNSGAESTSFHRHSTGLEGHATPDLSNAQVFHATESRNHDSEHRHLSNLPVSGIPDHSMAPFGIGLPRYDTSSQQRSRSYARHHPLIHRPTPRSGNNLVAPLGSAPAVVAETRGHGHGARGHMYQQSMHSSMQSSPFPPTTRRVRPRALTITSFIAASSSAEVGGPHGFSAPGAVNRSIPDAEGITRPMDRPYPWGREGFAPFPWIPAEGESHWWGTFNPMQNPAHGSFTRRPAGERMPQSHPENGYPPVPPPQRMPPFL >PAN35865 pep chromosome:PHallii_v3.1:6:40663449:40667462:-1 gene:PAHAL_6G240700 transcript:PAN35865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASCARIHGRYRTSGLPCDTSLLPTATSNSLRTIRSIRLENTHQFRNLHVSYAGGDSSENVIINGKANPSNSVQADVAALGTIAADMAPVVDGFSADDDELDLDSPTEGFSSIPEAIDDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEEDLERLQLPLMVTAKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTILALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDDDGSMALLPKLQQFAKRENLKIISIADLIRYRRKRDRLVECVCVTPLQLQWGSFKAYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANLELGLPADSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGTRPSGHTSGSCMTDDGAKEEGGQEQTPEA >PAN33739 pep chromosome:PHallii_v3.1:6:3778897:3791476:-1 gene:PAHAL_6G052000 transcript:PAN33739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRESSGFKLGFYANLNVQNIAQEWLVESRRLFYLRTINSVTNNIYKGTTPRRAGNLQNELSEDCRGLNYPSLCNIREHVSSKSIVNRHENTELVKHSMINQPVQSVFPVSVVNNSVKQNMPRGPNVGISLHDISNTEVIWELDNKVHDGDGKKVKKLVVKKRVSSLPTKASFSKESVEARKEVAAIYDKVLVVDNIQSARTVVQLLTTKYKNFIHACDTEVANIDVKDETPVGHGQVTCFSIYSANSNAQVADFGNGKTCIWVDVLDGGRDVLTEFAPFFEDPSIKKVWHNYSFDSHVIENHGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDRRVMDVAPENLPNAGKTSMKTIFGKKKVRKDGSEGKVIAVDPVEKLQREDRELWICYSSLDSMSTLRLYESLKRKLETKEWIFDGCPRGTMYDFYEEYWRPFGALLVKMETEGMLVDRGYLSEIEKAAIAEREVAANKFRKWASKYCPDAKYMNVNSDTQIRQLLFGGIENRHKSGETWPHSKTFKVPNEESVDTEGKKTSKYRTIKLCSIVEDLKIDMFTPSGWPSVSGDALRSLAGKIPTGHIYTIDDNEGDEEDTSGSEHSEQEVDESSSYGTAYEAFGGGKKGKEACNAIAALCEICSIDSLISNFILPLQGDHISCAAGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVDEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSRDWKVSVKEARDTLKLWYGDRKEVLAWQKRQKKLAHEKCEVYTLLGRSRRFPNLTQFGPGQRGHIERAAINAPVQGSAADVAMCAMLEIERNTRLKELGWRLLLQVHDEVILEGPSDSAEMAKAIVVECMSKPFYGTNILNVDLAVDAKCAKSWYAAK >PAN33229 pep chromosome:PHallii_v3.1:6:350146:350508:1 gene:PAHAL_6G004800 transcript:PAN33229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSERRMKHGTAQTKVAGDDEMLRTGFHNGTPLEAGKVADSQPVDLFAPAHRIPSQQQQQEADEAAAAGRNSRVIAESEPVDLPASARGLAEANEDKKPAQQGRQGMADQHAAWDARLN >PVH36366 pep chromosome:PHallii_v3.1:6:4589581:4592933:1 gene:PAHAL_6G060500 transcript:PVH36366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >PVH37197 pep chromosome:PHallii_v3.1:6:42672117:42675965:-1 gene:PAHAL_6G269300 transcript:PVH37197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKLYSNEIMVAVQEMVKEHVDRVPLEPADTDVTFLVVHWEGHIWLHKYIAKHVEVSSTREVSLGHQIYIGHF >PVH36149 pep chromosome:PHallii_v3.1:6:526485:534108:1 gene:PAHAL_6G007500 transcript:PVH36149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGRKPAGKKAAPAAAAAESPSPDSPSSPSTEATNSTPDQAEVDNAQPLPEAAEVAAPEPPPPPPPPELPQKPSAAAPVNPPVDIPYTKPKVGAVYGRVKLKFKSSKSVDLPPLRQLGSSGAQALAADAGKSQGTTIPEVVKEAADAEKAAVRTDGKQADVQASELIDADKEKVARKVGGIKIKSAGLSSVGNNTPDRKADSVDEPPPSKQEAVSEIKEIEETIELRSSQESEEKQSTPERQRDEKELSAALEAIKKVMKMDAAEPFNVPVDPVALGIPDYFDIIDTPMDFGTICTNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVPDSGGNDNTGDEDAKGHSKSKSKNKRRRPGNDRHKSDCICAVCQVTRRKKERDEILAVVDNETAAMDSNTSDQHDMEGNSGANNAGSHDTSSSQEQPPRTDVYKETAEADDSGIGIEDVGKFSSGRPSSLPCADYEDEGSRQGKEQVEYRDMNSNEEHTSTQPNEYSDVENQQKAQIETSQEVEMEEDYPMQQENPSFLELCASLFPSNQRSTFRVRHSLFRPRRRAPLKESPLHVAMAAIMKR >PVH37023 pep chromosome:PHallii_v3.1:6:39254260:39256088:1 gene:PAHAL_6G223800 transcript:PVH37023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEGAQPQQHHPCSICMEPMAPAAAHRGGAACAHAFCRACLSGHVRAKLESGGGGGGAVVRCPDASCAAALDPELCRGALPPEVFERWCRALCESLFLGARRTYCPFPDCSEMMVADDDGGGAEECVTQSECQGCRRLFCARCGVPWHAGVSCEEFARLGEGERAREDLLLVEAAREGNWKRCPRCRFYVEKSSGCLHITCRCGFEFCYGCGGQWGITHSSCSTAFEM >PVH37032 pep chromosome:PHallii_v3.1:6:39446487:39447994:-1 gene:PAHAL_6G226400 transcript:PVH37032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVITRMVLGKRFFGEGADAAEGPVSSLAEFKWMLDELLLLNGVLNVGDWIPWLDWLDLQGYVRRMKKLGRMFDAFMEHVLDEHSERRRREGEGFVARDMVDVLMQLADDPALEVKLGRVGVKAFTQDLIAGGTESSSVTVEWAISELLRKPAIFAAATEELDRVVGRGRWVAEHDLPRLPYLEAVVKETMRVHPIVPLLIPRVAREDAAVGGYDIPRGTRVLVNMWTIGRDPALWDAPEEFAPERFVGSKVDVKGQDFELLPFGSGRRMCPGYNLGLKVIQLTLANLLHGFSWRLPDGMAREELSMDEVFGLSTTRKVPLEVVVEPKLPVELYV >PAN33547 pep chromosome:PHallii_v3.1:6:2091956:2094464:1 gene:PAHAL_6G029000 transcript:PAN33547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLWGTASGPPAAEGEAARAPPGSSGGGGVKVIRSLLPTRRRLRLDPPAKLYFPYEPGKQVRSAVRIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERPSPQMDKLKRQLAEAEAALEARKKPPEDNGPRIVGEGLVIDEWKERRERYLARQQIEGVDSV >PVH36263 pep chromosome:PHallii_v3.1:6:2533794:2534045:1 gene:PAHAL_6G034900 transcript:PVH36263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSESGSNQLELLPARETTPLPTTSKPREKKTRGKAAGKKNKKEVSLPHDNPAMSTRRKIPQQDSCASHTKSKRKLSLADLN >PVH36316 pep chromosome:PHallii_v3.1:6:3299451:3300082:-1 gene:PAHAL_6G045400 transcript:PVH36316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGHRHVLPPRLPRELPPRRGHRHGLLGDQLDQRHRARRHEAREPAPALPLLPGPRAPPPHRAGRRRRAARRPRPLHQGGVPDQDDRRARRGRRRQARAHARAPLPLPRPRRGRLRRGRRPGAAADGSGQCSSCRCQQAARGGSLFCRWSQSCR >PAN36350 pep chromosome:PHallii_v3.1:6:43161883:43168548:1 gene:PAHAL_6G276100 transcript:PAN36350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVMDSAVGPHFSGLRLDSRRLSSSSLPSPTSANGNGNGAADAAKGLASPKPDGTRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEHVQDYNFDHPDAFDTDQLLECMGKLKSGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQKVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPKGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVFVVHTTFQIRGMHTLIRDKNITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEDRIIFLNLISAPEGVNCVCKRFPRLKIVTSEIDGGLNEEYRVIPGLGEYGDRYFGTD >PVH36678 pep chromosome:PHallii_v3.1:6:23931313:23932755:-1 gene:PAHAL_6G140800 transcript:PVH36678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAARAGGRRCPSRLPLAARACGRRAEEAGTSSEKVKDGSPPSKKGGEPSPAARAGGRHSATVSPACPPSVPPSPTQVAAAAPPGSRLPRTQVVAVVSPTAARAGGRHNAFARRPRSDGDGDGEVSKPTGGTSTPTTAAARKAQPPTGCSGGPELPPPHANRRRRRLLTWIRDDSSTQEPGQAVPLPQPTTSKIESMSFEAAGHGSNFLYSETERLG >PAN34574 pep chromosome:PHallii_v3.1:6:13599212:13601738:1 gene:PAHAL_6G109100 transcript:PAN34574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKRLDSSLTGSKASQSWSSDSGCQGEVVPRKRPRSGVNLSNIKEKNGNENVLVSSRCSISHLHDCIAGLTDRKKELVKSIGFEGLLLFPNIRQLNRRFILWLMNKVDPLTPAMVIGSTRKIVFDKEDAARVFGIPSQGLSVADNGKPGNEVVASIKRAYLGIDPKDNHSIKAAQDVIERNYDRSMTDEDETAFKVAFVIYVMDTLLSPGAKYDYAPVDYWNCLQEPSKIPAFDCAMKYESNWSNLCLFYWHIQWGFECPVVA >PAN34573 pep chromosome:PHallii_v3.1:6:13599759:13600487:1 gene:PAHAL_6G109100 transcript:PAN34573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFGQVVPRKRPRSGVNLSNIKEKNGNENVLVSSRCSISHLHDCIAGLTDRKKELVKSIGFEGLLLFPNIRQLNRRFILWLMNKVDPLTPAMVIGSTRKIVFDKEDAARVFGIPSQGLSVADNGKPGNEVVASIKRAYLGIDPKDNHSIKAAQDVIERNYDRSMTDEDETAFKVAFVIYVMDTLLSPGAKYDYAPVDYWNCLQEPSKIPAFDCAMKYESNWSNLCLFYWHIQWGFECPVVA >PVH36755 pep chromosome:PHallii_v3.1:6:29948377:29949264:-1 gene:PAHAL_6G161600 transcript:PVH36755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTLLLFQMAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLCCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAVRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPGGSAATDEGDAAMQPLTDGNPEDGEREPLTLSAPEEDTPHK >PVH36120 pep chromosome:PHallii_v3.1:6:204906:209501:1 gene:PAHAL_6G002900 transcript:PVH36120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKALVAYQDGVLRWVGLPPELLRDVMKRLEEGESTWPSRKVIVACAAVCGTWREICKDIVPSPEFGGKLTFPVSLKQPGPRDGIIECFIKRDKSTSTYYLHLCLSPAVLSENGKFLLAAKRNRRTTYTEYIISMDPKNISRSSNGYVGKMRSNFLGTKFIIYDTQPPYNAGSLVSCGRGSRRISSRRVSPKVPAASYPIARVNYELNVLGTRGPRRMNCTMHSIPASALEPEGMVPGQPKQLFLPGSSAYEESFRSVNTASSSRFSVTDHSLDFSSSRFSEVSGVIQQGEDDGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAALLDAEPSESSQQAQQQTQPSSSSSASDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFTICLTSFDTKLACE >PAN33198 pep chromosome:PHallii_v3.1:6:204906:209501:1 gene:PAHAL_6G002900 transcript:PAN33198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRESFGSLSRRSFEVRISGLPGLGHQRGKSVGSVSNLHNGPIVVDQSRWVGLPPELLRDVMKRLEEGESTWPSRKVIVACAAVCGTWREICKDIVPSPEFGGKLTFPVSLKQPGPRDGIIECFIKRDKSTSTYYLHLCLSPAVLSENGKFLLAAKRNRRTTYTEYIISMDPKNISRSSNGYVGKMRSNFLGTKFIIYDTQPPYNAGSLVSCGRGSRRISSRRVSPKVPAASYPIARVNYELNVLGTRGPRRMNCTMHSIPASALEPEGMVPGQPKQLFLPGSSAYEESFRSVNTASSSRFSVTDHSLDFSSSRFSEVSGVIQQGEDDGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAALLDAEPSESSQQAQQQTQPSSSSSASDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFTICLTSFDTKLACE >PVH36121 pep chromosome:PHallii_v3.1:6:204906:209501:1 gene:PAHAL_6G002900 transcript:PVH36121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKALVAYQDGVLRWVGLPPELLRDVMKRLEEGESTWPSRKVIVACAAVCGTWREICKDIVPSPEFGGKLTFPVSLKQPGPRDGIIECFIKRDKSTSTYYLHLCLSPAVLSENGKFLLAAKRNRRTTYTEYIISMDPKNISRSSNGYVGKMRSNFLGTKFIIYDTQPPYNAGSLVSCGRGSRRISSRRVSPKVPAASYPIARVNYELNVLGTRGPRRMNCTMHSIPASALEPEGMVPGQPKQLFLPGSSAYEESFRSVNTASSSRFSVTDHSLDFSSSRFSEVSGVIQQGEDDGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAALLDAEPSESSQQAQQQTQPSSSSSASDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFTICLTSFDTKLACE >PAN33199 pep chromosome:PHallii_v3.1:6:204889:209501:1 gene:PAHAL_6G002900 transcript:PAN33199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRESFGSLSRRSFEVRISGLPGLGHQRGKSVGSVSNLHNGPIVVDQSRWVGLPPELLRDVMKRLEEGESTWPSRKVIVACAAVCGTWREICKDIVPSPEFGGKLTFPVSLKQPGPRDGIIECFIKRDKSTSTYYLHLCLSPAVLSENGKFLLAAKRNRRTTYTEYIISMDPKNISRSSNGYVGKMRSNFLGTKFIIYDTQPPYNAGSLVSCGRGSRRISSRRVSPKVPAASYPIARVNYELNVLGTRGPRRMNCTMHSIPASALEPEGMVPGQPKQLFLPGSSAYEESFRSVNTASSSRFSVTDHSLDFSSSRFSEVSGVIQQGEDDGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAALLDAEPSESSQQAQQQTQPSSSSSASDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFTICLTSFDTKLACE >PAN33200 pep chromosome:PHallii_v3.1:6:204889:209501:1 gene:PAHAL_6G002900 transcript:PAN33200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRESFGSLSRRSFEVRISGLPGLGHQRGKSVGSVSNLHNGPIVVDQSRWVGLPPELLRDVMKRLEEGESTWPSRKVIVACAAVCGTWREICKDIVPSPEFGGKLTFPVSLKQPGPRDGIIECFIKRDKSTSTYYLHLCLSPAVLSENGKFLLAAKRNRRTTYTEYIISMDPKNISRSSNGYVGKMRSNFLGTKFIIYDTQPPYNAGSLVSCGRGSRRISSRRVSPKVPAASYPIARVNYELNVLGTRGPRRMNCTMHSIPASALEPEGMVPGQPKQLFLPGSSAYEESFRSVNTASSSRFSVTDHSLDFSSSRFSEVSGVIQQGEDDGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAALLDAEPSESSQQAQQQTQPSSSSSASDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFTICLTSFDTKLACE >PVH37144 pep chromosome:PHallii_v3.1:6:41678116:41680512:1 gene:PAHAL_6G255500 transcript:PVH37144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYVTNGPQMSSKAFPREIEEIVEPSPITPTEEVRTGVAPSDIQVYLEGHRGRDPTNPDQLCSQAATERLAAYGDQMIARHGEGYDWRNVPIDPEAVYSSGGGKPHGRYPLFDKVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLREKVRQQELQQQWFEAQLAQQNAILQINLNCYNLG >PVH36748 pep chromosome:PHallii_v3.1:6:29191600:29192631:-1 gene:PAHAL_6G159000 transcript:PVH36748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWDGPTLLGGDFNLIINAAEKNNDNINYHWSDSFNDWINHWCLIELKNPNRSYTWTNNQEQPIMAVLDRVFATTDFEAHYPMINVKGASRLGSDHVPLVVNFGISQEKKPFLFRFEKWWLEQDDFHDIVKNVCESPCHYTDALDVWQYKLRSRRRKLKGWSLNINADLRRKKQALLEEFDVLDVFSEESSLEENEKARMQEVKQELEHIWQMEEIKAKQRSRDRFIRGDQNTSYFQALANQRKRKKNISALQGPEGDCTDNKSMLEPPTFIKSFLVMRRNLTFISRTPFGRVMRWCQLRKMNYWMPPSLKWKLKQPFFAHMLTGHQAQMVSLFCSIRNFGT >PVH36500 pep chromosome:PHallii_v3.1:6:7255651:7256667:1 gene:PAHAL_6G085300 transcript:PVH36500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVSCKQWVHALYPLLECPDMLLSAGQSLMVMKSNARRLKCSSACTSHTRTPSSFHSKRRSSTSSFRYTSKSLPGYLGPRISTSIIMNFHFIHSPGGRLYIQSVTGQVLWPLLCSPKGLMPSILKPNLMFLASSAKAGNVMSTFLHWAHNWGVSTYYLASSFLYAIASTLYWFYL >PVH36583 pep chromosome:PHallii_v3.1:6:14383908:14384473:-1 gene:PAHAL_6G111200 transcript:PVH36583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPPSQSVQPTTKKKIRKGTLDSGDLIRSKSAGSAGSTDQPEPISSEFPMARGNPLVAAKEKGNKLANKAKDKGKLMKPKAKKQTEDKGKKNDVSFESPAMATRSKKVDSCSPAMSTRSKRQLNL >PVH36585 pep chromosome:PHallii_v3.1:6:14383846:14384984:-1 gene:PAHAL_6G111200 transcript:PVH36585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPPSQSVQPTTKKKIRKGTLDSGDLIRSKSAGSAGSTDQPEPISSEFPMARGNPLVAAKEKGNKLANKAKDKGKLMKPKAKKQTEDKGKKNDVSFESPAMATRSKKVDSCSPAMSTRSKRQLNL >PVH36584 pep chromosome:PHallii_v3.1:6:14383846:14385747:-1 gene:PAHAL_6G111200 transcript:PVH36584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPPSQSVQPTTKKKIRKGTLDSGDLIRSKSAGSAGSTDQPEPISSEFPMARGNPLVAAKEKGNKLANKAKDKGKLMKPKAKKQTEDKGKKNDVSFESPAMATRSKKVDSCSPAMSTRSKRQLNL >PAN34865 pep chromosome:PHallii_v3.1:6:14383744:14384821:-1 gene:PAHAL_6G111200 transcript:PAN34865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPPSQSVQPTTKKKIRKGTLDSGDLIRSKSAGSAGSTDQPEPISSEFPMARGNPLVAAKEKGNKLANKAKDKGKLMKPKAKKQTEDKGKKNDVSFESPAMATRSKKVDSCSPAMSTRSKRQLNL >PVH36123 pep chromosome:PHallii_v3.1:6:274142:276790:1 gene:PAHAL_6G003800 transcript:PVH36123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLQVRHVLHQLQLQLHLLLPQESAAVVSIVLLIIVCPLLALLILRRPSTAATARRAREQLLSKLPSPPGRLPVIGHLHLVGSLPHVSLRDLAARHGRDGLMLLRLGAVPTLVVSSPRAAQAVLRTHDHVFASRAYSPVTDILFYGSTDVAFAPYGEHWRQVRKIATTHLLTTRKVRSYRRAREHEVRLVVAKIREAGSAVDLSDLLNCFTNDVVCHAVSGKFFREEGRNRLFRELVEANSSLIGGFNVEDYFPALVKLDVVKRMVCAKARKVNRMWDELLDKLIDDHASRPAPERDGEESDFIDVLLSVQQEYKLTRDHIKAQLAIMFEAGTDTSFIVLEYAMVELMQNPRLMTKLQAEVRSSIGKGKDMVTEDELNNLAYLKAVIKETLRLHMPAPLLVPHLSMADCDIEGYTIPAGTRAIVNSWALARDPNHWEKAEEFMPERFMEGGSAAAMDYKGNDFLYLPFGTGRRICPGINFATSTIEVMLANLVYHFNWELPPESAEKGIDMTEAFGVTVHRTEKLLLVPVVHASSVVR >PVH36148 pep chromosome:PHallii_v3.1:6:534109:538143:1 gene:PAHAL_6G007600 transcript:PVH36148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSRHARACHRSAFPFLLVTRTTTGGILPLLLAVFILTGSVGVCSASKQFSTVAISHAPNSTLVCALVTTNGGDAAATGGSSSKLHCTSLPDGQQFVYPSADIPYNAIAAGTDFLCGLMAPAGGHAAMRWWSFSEEAAANRSRPVGRRLYWGPSLRSLNAGGAHVCGLSNDHDPACWEWPGLKLPKGVDFSGIALGQDFLCGILAKDNTSMSCYGGMKAPLLTPKPAAFKTVAAGHRHACAVDEEGGFACWGDGVPKVPPAELPESMSAMALGNDTTCILDGKGIAQCWGGAPVPAQYKSTPFLAIEADGDAVCAITLYNYSVVCWGKGDRFGGGRLIYNATMPGACAPQRNCSCGIISGSGALCGNGGGEGVQELAVCQPCPLLLNASRIVIANGMNKAAPPPGDDDARKKKTLAVALSVAGVGAAVLAAAGTAFYLVAFKKREKKTLRLGESSSRRLCRDVEAMVMPAPQVSPLRPARPLGCEEFTLRELSRLTNGFAEESKIGSGSFGSVYRAKLPDGREVAIKRAERAGSGGRRRRRFDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGGSREGAGYSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDVKPSNILLDGDWTAKVSDFGLSLASGGAAAAAASSSATAGTVGYIDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRTSQDGSGSPRNVIEFAVPAVETGNITKILDDRVPPPRGHEVEAVARVAKIASECVRPRGRARPIMSEVVAELEWAVTLCEESVLVAAAAAGQNSSRHGGSDLSRSRSRSESDDPSPFHTRELGFGFGFSHSHSSSRPVTHGRSHSTM >PAN33269 pep chromosome:PHallii_v3.1:6:503476:509669:1 gene:PAHAL_6G007100 transcript:PAN33269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEADDPSLAATLEKFRLYETRARFYVIGSSREKRWFRVLKIDRSEPSELNVSEDPVWYSLQEVKSLLQRIDEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTRRRQIGCICGHAIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTEPIRSRCRNTLWTVALVHGHFKQVRLSIFGREINVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSLKGRMSAVVQMRGSIPLFWSQEASRLSPKPDIFVQRYDPTYEATKLHFDDLAQRYGHPIIILNLIKTVEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGKVAGEALDLTGFYYSGKPKVQKKRSTQLSRTSTARDGSLDIRVGSGDLSRLSSNADALSSTGFQDMRNEANKQEPFGDAPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTHVSKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFTERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDLMSNSYPSSSAPGNNAFMGSGTALIPGTTLSPVPACKEDYSRMKLTSFDKLMGMTCSSIKNVRLHCDADLKLSGGVGTSGMAPDAAEIQLKSPNWLFGQRKHTETIPTARVAPVENANEGNKDEANASLCGELNWLSSSAESCEEDIFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSELCQGAVMDPFENDPEKERHYAEALSVDLDISNDARVEAEMQAALDDYQIIGSDLSIIPSCRALAEDPSHLTRWIIGDEKLRVGTAQ >PAN33268 pep chromosome:PHallii_v3.1:6:503476:509669:1 gene:PAHAL_6G007100 transcript:PAN33268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEADDPSLAATLEKFRLYETRAQRFYVIGSSREKRWFRVLKIDRSEPSELNVSEDPVWYSLQEVKSLLQRIDEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTRRRQIGCICGHAIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTEPIRSRCRNTLWTVALVHGHFKQVRLSIFGREINVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSLKGRMSAVVQMRGSIPLFWSQEASRLSPKPDIFVQRYDPTYEATKLHFDDLAQRYGHPIIILNLIKTVEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGKVAGEALDLTGFYYSGKPKVQKKRSTQLSRTSTARDGSLDIRVGSGDLSRLSSNADALSSTGFQDMRNEANKQEPFGDAPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTHVSKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFTERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDLMSNSYPSSSAPGNNAFMGSGTALIPGTTLSPVPACKEDYSRMKLTSFDKLMGMTCSSIKNVRLHCDADLKLSGGVGTSGMAPDAAEIQLKSPNWLFGQRKHTETIPTARVAPVENANEGNKDEANASLCGELNWLSSSAESCEEDIFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSELCQGAVMDPFENDPEKERHYAEALSVDLDISNDARVEAEMQAALDDYQIIGSDLSIIPSCRALAEDPSHLTRWIIGDEKLRVGTAQ >PVH37160 pep chromosome:PHallii_v3.1:6:42040218:42043519:1 gene:PAHAL_6G260500 transcript:PVH37160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLELHSDQVKHILPNYGSLTGGTRPRSRTATWWLSSALGRRSPRDTRTLRPRRRCSAGSATPTCWLSGPATWGPRGRSCLSSITCPRAASPHFCTLALRTRRWTGRCG >PVH37161 pep chromosome:PHallii_v3.1:6:42040995:42043228:1 gene:PAHAL_6G260500 transcript:PVH37161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAHICCPKVKHILPNYGSLTGGTRPRSRTATWWLSSALGRRSPRDTRTLRPRRRCSAGSATPTCWLSGPATWGPRGRSCLSSITCPRAASPHFCTLALRTRRWTGRCG >PVH36701 pep chromosome:PHallii_v3.1:6:25481484:25482158:1 gene:PAHAL_6G146600 transcript:PVH36701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGSSSTPGAYFWGSEGEEEGQQEVHGGGGGAQDAGCSGRSPPHACATRAPASAHRLGHASACRRPPATHASLQGRTAAPPPQPRHRSASHCCLTRLRPPRVPSLLLPPARLRALRPRAPPPGARHRLPRDPTEPAPPSLNGRASAVRAPPRAALASRAPARHQLSRAAPRALHRMGPRARLHTRCTGPLAAGSCASEWRRGSTEGRTEGGDKVGGGEKRIC >PAN34044 pep chromosome:PHallii_v3.1:6:5031267:5033135:1 gene:PAHAL_6G066300 transcript:PAN34044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLHLAPCHASVPDCFVVPPDQLPPAASAAVSLPVVDMSGSRAEVCRAILDAGREFGFFQVVNHGVPEQLLRDMEAVCDEFYYELPAAEKAHLYSEDKQKPNRLFSGTTYETGGHKYWMDCLRLAFTFPVGDSTSEWPHKPHRLREVFEKFAVLTRGVGMELLRLLCEALGLRPDYFDGDLSGGDVILSVNHYPPCPNPSVALGLPPHCDRNLITLLHTGPVHGLEVVYDGDWIKVEPVPGAFVVNFGLQLEVVTNGMLKSVEHRVMTNATLARTSRAIFIQPKEDCLVGPAEEFLSEDNPPCYRTVTFGDFRRMHSVVKLGSSSLNLTTNLKDIQKGMYQMN >PAN33673 pep chromosome:PHallii_v3.1:6:2674748:2680399:1 gene:PAHAL_6G037200 transcript:PAN33673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >PAN33672 pep chromosome:PHallii_v3.1:6:2674743:2680399:1 gene:PAHAL_6G037200 transcript:PAN33672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >PAN35593 pep chromosome:PHallii_v3.1:6:38942672:38946481:-1 gene:PAHAL_6G219700 transcript:PAN35593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLHHFPSYLLLVIVILATSGGGCHQDQSAALLRLRASFRFFPDTDLFCPFGRTHLLPWKVDTSCCTWDGVTCDGTSGHVTALDLSRLCISGNLSSSDIFELTSLRSLSLAFNNFHANPWPNRGFEQLTELKYLDLSYSGLSGALPVENGQLSNLVALNLSGLDLKNLSLRTLIDSLSNLQKLHLEEVIISASPTDLAHASSTNTTSGLKELIMEQCTITGGRFDTDLANLLFHSKFANLVTLYLSGFDLKNLSLHTLINSLGNLQKLYLEEVNIWWVSPSDLAHASSTYTMSSLKELSMRQCTIKGGHFDTVLTNSRFHSMLANLEMLEIGGFDLKNLSLHTLINSLGNLPKLHLEGVIISASPTDLAHASSTNTTSGLKELTMHGCTITSGRLDTVLTKLPFLSTLILDGTNISGPTPVPEHFADFSSLAVLILRSCGLTGTFPSWIFHIKSLMYLDVSGNENLCVELPEFIQGSALQVLRLNGTKFSGKIPESIGSLRNLTELDLSNCLLYGPIPSFSQWPMISSVDLSGNNLTGSLPSDGYLSLHNLTQIILSYNSISGAIPASLFSHPSLECLYLSQNNFTGNFLLYPNISSSLTQIDVSSNKLEGPLPKLLSKFVGLELLDLSSNNLTGTVDLSFIKNYKMLYYLSLSYNKLSVVDEDSNHSYAEYPIIWNLRLASCNLSYVPKFLMHQRSISQLDLSSNNIGGHIPDWIWGIGIFDLNLSHNSFTSVNTNLSNASVRDFDLHSNKIEGALPLPPWGTFSWDYSNNHFNSSIMPEFWSCISSATSLSLANNSLIGEVSHLICSATYIEVLDLSFNSFSGLIPPCLLKHNEGLEILNLRGNNFHGPLPQDIINECALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNLIVDTYPEWLGVLPLLKVLVLKSNRFHGPIDYEMKKQTHPFFPELQVLDLSSNYFNGSIPARFLKQFKAMMVISPGAPTMYVEIIATSPRGNGSWSPSSPYYRESVTVSLKGQETTLVQILSVFMYIDLSNNSFMGVIPNAIGDLKFLKQLNLSRNSFTGEIPPRIANMLQLESLDLSYNQLSGEIPPAMAAMSFLEVLNLSYNHLSGMIPQSSQFLTFPNTSFLGNDRLCGKPLARLCETNHAPSAAATPGSSKELNWEFLSVEVGVVSGLAIVAATMLLWGNGRGWVYWHVDKFWLQVLQLWIYRRRR >PVH36112 pep chromosome:PHallii_v3.1:6:83966:89450:-1 gene:PAHAL_6G001200 transcript:PVH36112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKNLWDILDSCKKKLPLQHLQNKKVCVDLSCWLVQLCSAHRSPAFLKDKVYLKNLFHRIRALLALNCSLVFVTDGAIPSVKLATYRRRLGVNAAEIAAAALFLFPSIFPQPQSFKFSFGINSPQAAREEDNSQPLTSLRRNKSSEFSRMIKEAKHLGMALGIPCLDGVEEAEAQCALLNFASLCDGCFTSDSDSFLFGARTVYRDVFIGDGGYVICYEMEDIEKKLGFGRNSLISLAVLLGSDYSNGVLGFGPEAACRLVKSVGDDAILDQILSNGVKPTRKSKAKNSGVNVERVGGTCPKASTCKLAMSQDSGGQFRDIINAYLEPKCHSPDSEAVQRACSQHPYLRSQLQQICEQYFEWSPEKTDEYILPKIAERELRRFSNLRSTSSDLGTKPSLNEIPVPCPVLAILKQRKVQGNEYYEVSWRNIDGLQVSVVPGDLVRSACPEKIAEFLEKKDEQKKQKRRARPKKSAPAAVKEVDAQLQELLLGIESESGTLTCTAASPQKADIHTVAPLQDIVDLSSPSPPIRACKIARSRKFSESAAGPMDGIDLQSQSLLPGTMELQENTLLCDMQNWTQDNDVIDLFSPLPCAAHKPHTTQGLQLCISDISNFPEKGNTLGALCYKLEGGARGSDVHTEEASSSISHGIEVAGKADDCSWRSDAENNAVAEAVTIDLSSPSPVIGDKSKKNVDVIGICEADSDRSPEHERKARELRSFLASIRNELY >PAN33174 pep chromosome:PHallii_v3.1:6:84043:89450:-1 gene:PAHAL_6G001200 transcript:PAN33174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKNLWDILDSCKKKLPLQHLQNKKVCVDLSCWLVQLCSAHRSPAFLKDKVYLKNLFHRIRALLALNCSLVFVTDGAIPSVKLATYRRRLGAAREEDNSQPLTSLRRNKSSEFSRMIKEAKHLGMALGIPCLDGVEEAEAQCALLNFASLCDGCFTSDSDSFLFGARTVYRDVFIGDGGYVICYEMEDIEKKLGFGRNSLISLAVLLGSDYSNGVLGFGPEAACRLVKSVGDDAILDQILSNGVKPTRKSKAKNSGVNVERVGGTCPKASTCKLAMSQDSGGQFRDIINAYLEPKCHSPDSEAVQRACSQHPYLRSQLQQICEQYFEWSPEKTDEYILPKIAERELRRFSNLRSTSSDLGTKPSLNEIPVPCPVLAILKQRKVQGNEYYEVSWRNIDGLQVSVVPGDLVRSACPEKIAEFLEKKDEQKKQKRRARPKKSAPAAVKEVDAQLQELLLGIESESGTLTCTAASPQKADIHTVAPLQDIVDLSSPSPPIRACKIARSRKFSESAAGPMDGIDLQSQSLLPGTMELQENTLLCDMQNWTQDNDVIDLFSPLPCAAHKPHTTQGLQLCISDISNFPEKGNTLGALCYKLEGGARGSDVHTEEASSSISHGIEVAGKADDCSWRSDAENNAVAEAVTIDLSSPSPVIGDKSKKNVDVIGICEADSDRSPEHERKARELRSFLASIRNELY >PAN33928 pep chromosome:PHallii_v3.1:6:4252859:4261335:-1 gene:PAHAL_6G057200 transcript:PAN33928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MAGAPAAGQVVERFRARLREEAGGEPGAAAVVRVYAEALRELTFNCKPVITELTIIAGQHAALAARGIADAVCARVAEVPPDQILPSLYLLDSIVKNIGREYIDHFAVRLQKVFVDAYCRVHPSQYASMRRLFRTWWSVFPSSVLRGIEDDLQFSPSEDKRPAIVTNPHQSESLSPRPSHGIHVNPKYLEAQQKLKQANVTHQPAVRGTRQMADLEEDLMNGLTSNGLRGRPSSMLQKSTVQYADDPDQQETFRSIAGIRATSPHLLSTHPSDVILDGPLATSRRNLSRSPPLDVFPRNVSPKRAIERMPPSHSVLGPDPRRLPDRNGRSRWTFDDGAQRPTISMLDEEYRKQSARELIDAYGNCQGRDADERVPKMQRLDSNGMASKSSARNWLTSEEEEYSWEDMSPTLTDRVRSSMPSFTPGTMRAGFPGANAGLLESDTGRHNFPSQSHRSSIDGPPLNLEDRITSANHVDMSTSRRYPSNYGVQNGAHLEFQISEHTLNHGRTATMQTPPWQQPTGLPLRVQALEHPSVVDRIPLPADSEMPVKRLEISGTYNALGVDIPLVEKHRPLTAPAPIEWPPLRHTQSQTLLPIPPDTKHVRNAADSLEIRPFVSQGASSSVFVPQHHYDALDRKTLRTGSLAQPPYQHQDLLPSSQQNQGTILGNQAQPHHPQQFHPHPNPHHQEAFRSFAPGMSVSQFAGQGGTAAMPPVSLLPSSFSVPPAVPPYGMPSVPSFPRPPLPPGPPPASLQIGSSSSQVGSAQPFVSGLLSNLMRQGVITLETPGRPQDSIGVDFNVDLKVRNEPVINALYQDLSRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQSSRKYFVTAEEWLRAAETVGNDGVPAFVPSDPVPDRKEEKEMAVPADEEQTACALCKEPFEDFYSDETEEWMYKGAVYMNAPDGNIDGLERSQLGPIVHAKCRSAPSNTS >PVH36357 pep chromosome:PHallii_v3.1:6:4255367:4261134:-1 gene:PAHAL_6G057200 transcript:PVH36357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MAGAPAAGQVVERFRARLREEAGGEPGAAAVVRVYAEALRELTFNCKPVITELTIIAGQHAALAARGIADAVCARVAEVPPDQILPSLYLLDSIVKNIGREYIDHFAVRLQKVFVDAYCRVHPSQYASMRRLFRTWWSVFPSSVLRGIEDDLQFSPSEDKRPAIVTNPHQSESLSPRPSHGIHVNPKYLEAQQKLKQANVQTHQPAVRGTRQMADLEEDLMNGLTSNGLRGRPSSMLQKSTVQYADDPDQQETFRSIAGIRATSPHLLSTHPSDVILDGPLATSRRNLSRSPPLDVFPRNVSPKRAIERMPPSHSVLGPDPRRLPDRNGRSRWTFDDGAQRPTISMLDEEYRKQSARELIDAYGNCQGRDADERVPKMQRLDSNGMASKSSARNWLTSEEEEYSWEDMSPTLTDRVRSSMPSFTPGTMRAGFPGANAGLLESDTGRHNFPSQSHRSSIDGPPLNLEDRITSANHVDMSTSRRYPSNYGVQNGAHLEFQISEHTLNHGRTATMQTPPWQQPTGLPLRVQALEHPSVVDRIPLPADSEMPVKRLEISGTYNALGVDIPLVEKHRPLTAPAPIEWPPLRHTQSQTLLPIPPDTKHVRNAADSLEIRPFVSQGASSSVFVPQHHYDALDRKTLRTGSLAQPPYQHQDLLPSSQQNQGTILGNQAQPHHPQQFHPHPNPHHQEAFRSFAPGMSVSQFAGQGGTAAMPPVSLLPSSFSVPPAVPPYGMPSVPSFPRPPLPPGPPPASLQIGSSSSQVGSAQPFVSGLLSNLMRQGVITLETPGRPQDSIGVDFNVDLKVRNEPVINALYQDLSRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQSSRKYFVTAEEWLRAAETVGNDGVPAFVPSDPVPDRKEEKEMAVPADEEQTACALCKEPFEDFYSDETEEWMYKGAVYMNAPDGNIDGLERSQLGPIVHAKCRSAPSNTS >PAN36177 pep chromosome:PHallii_v3.1:6:45132876:45144282:1 gene:PAHAL_6G301300 transcript:PAN36177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MASESETTAVSTITSATVDDGGAHESKRELGSGAASTTSVGQEEEDMIGPGPAPAKQRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPVGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISSDHSVKIYDVVNYDMMFMMRLPFVPGAIEWVYREGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHVHDVVISADARGLLDYWSPSTLNFPDQEVRFRLKTDTNLFEIVKCKTSVSAIEVSSDGSQFAVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDVPLYHLDAIDFGRRMAVEKELEKTENVPQPNAVFDESCNFLIYATLLGIKIVNLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLVLHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGSEFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >PAN36107 pep chromosome:PHallii_v3.1:6:41870454:41872104:1 gene:PAHAL_6G257900 transcript:PAN36107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKGAAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVIDEAD >PAN36214 pep chromosome:PHallii_v3.1:6:42176337:42179043:-1 gene:PAHAL_6G262800 transcript:PAN36214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSEQGLMAGRDLFGMPKSPPAQPASAAMQSVRMAHTADGTPVFAPVTSAAPPSYQPQVGVHGPSMSTAAVTGGNGAIASPGMGEPVAKKKRGRPRKYGSDGSMSLALVPASAATGSPAMGQGSSGPFSLVGLNPANSVSGVSPDGVKKRGRPKGSTNKPRMDALGSTGVGFTPHVITVQAGEDVSSKIMSFSQHGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLIENGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVLGSFSSEGKKEPKQHAPSDPVSAPLKVAPTTSMGPNSPPSRGTLSESSGGAGSPPPLHQGMAASNSNQQPPIMSSMPWK >PAN36215 pep chromosome:PHallii_v3.1:6:42175961:42179887:-1 gene:PAHAL_6G262800 transcript:PAN36215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSEQGLMAGRDLFGMPKSPPAQPASAAMQSVRMAHTADGTPVFAPVTSAAPPSYQPQVGVHGPSMSTAAVTGGNGAIASPGMGEPVAKKKRGRPRKYGSDGSMSLALVPASAATGSPAMGQGSSGPFSLVGLNPANSVSGVSPDGVKKRGRPKGSTNKPRMDALGSTGVGFTPHVITVQAGEDVSSKIMSFSQHGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLIENGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVLGSFSSEGKKEPKQHAPSDPVSAPLKVAPTTSMGPNSPPSRGTLSESSGGAGSPPPLHQGMAASNSNQQPPIMSSMPWK >PAN34266 pep chromosome:PHallii_v3.1:6:6441726:6442799:-1 gene:PAHAL_6G079400 transcript:PAN34266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPEPREKTTSRCTAETDRCVHVFEIHGYSLHKELGAGKFIQSAAFTVGGHKWRIRFYPGGREEESEHYVSVYLELLSKTAEVTALFSFCFKKTDELEASPYLRDDRLVIHQCDVTVITGTRASQSEKTCGIQVPPSDLSRDLGRLLDAAKRTDATFKVRGEVVHAHKIVLALRSPTISIEDMEPAIFKALLQFIYTDSLPAMDDLDGDEKEETVKHLLVAADRYAMERMKVMCESILCKGLKVETVAETLALADQHNCIKLRDACIGFINSSERMDAVVESSGFQHLKRACPTVFMDMWEKIAKSRRI >PAN35183 pep chromosome:PHallii_v3.1:6:35741401:35743022:1 gene:PAHAL_6G187300 transcript:PAN35183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAAPAPAASQPPRLHITTSTTGASTITSPSSHHSPSSARSPHSASRSSSPRGSSNTGAGAGGGSGSGGTNQACAACKYQRRKCNPDCPLAPYFPADQQRRFLNAHRLFGVSNILKTLKRLRPDLCADAMSTLIYQSDMRAQDPVGGCYRFILSLERQLELETAELTAVLHHLALCRQAAAAATAIPPQEGGMADLDVTSSNQPLLLNAEQEVVDALYANHEPDAAILQTDHVHHHDHGDSPHDHGEQQQQQQLFDYFYYEATASDDASSKPTIDINLDNMQQFDFDDTCAAEKVDLSTAGPEEIGQQQHHLDMNCQIDHEDYEIKAAALVDAFDMRQELQPVDVNAGVDVKAVDVNAGIGVDIKAVDVNANLDVNVDLQEEDANNIAAGDGAAQMAADLSHCRLGLGFSSF >PAN36525 pep chromosome:PHallii_v3.1:6:44388407:44392093:1 gene:PAHAL_6G290000 transcript:PAN36525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSSIRLGALEKLKSFRGMEKQKSFRGIMSLERRSRDSPGKRGDTPLHLVARSGSVAHAQRILADLDRALVAEMAAKQNQDGETPLYVAAEKGHAEVVREILKVSDVQTAGIKASNSFDAFHIAAKQGHLEVLKEMLQAFPALAMTTNSVNATALDTAAIQGHVDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVRSLLNKDPSIGLRTDKKGQTALHMASKGQNADIVVELLKPDISVVHVEDKQGNRPLHVATRKGNIIIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNDELVNILKEAGGVIAKEPVHPPNSTKQLKQTVSDIRHDVQSQIKQTRQTKMQVHKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPDMSLGQAYVASNPAFIVFLVFDALALFISLAVVVVQTSLIVVEQKAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGTVIMLTTLGSMCYCIIAHRLEEKNTRKIRKASASQSRGSWSRSVDSDEEILNSEYKTKMYAL >PVH37176 pep chromosome:PHallii_v3.1:6:42151986:42156411:-1 gene:PAHAL_6G262300 transcript:PVH37176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGTKLNPKKGKKNICATSLHDRPATPSRRHSPPPTASPNPPQTRTHTATRAPFVAMGTKNLRRKPPASMATASTTLLLSLALLASAATASPSSDADAISRFQEYLRIDTAQPAPDYAAAVAFLRGQASEAGLEARTLELAAGKPLLLLRWHGRRPSLPSILLNSHTDVVPSEPQKWDHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLHSAGFVPDRNIYITFVPDEEIGGHEGVELFVLSKEFKELNVGLVLDEGLASPREEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKTVEAIRRFRTSQFDLVKSGEKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEVGLDIRIPPSAHVEALQRRLVEEWAPSSRNLTFEFKQKMSVLDNFGKPAITPADSTNPWWLLLEEAVKSAGGKLGKPEIFPASTDARYFRQIGLPAFGFSPMANTPILLHDHNEFLHKDEYLRGIGIYQSIIKALATHKDGVKDDESRAEL >PVH36733 pep chromosome:PHallii_v3.1:6:27633728:27635148:-1 gene:PAHAL_6G155200 transcript:PVH36733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKSTTAVNSREERVVTLASDGNRSSWDFPPPDFDIMKAIEEPTPPGEGTSGSHQIQVDATPTAMPKKYSIEPDDASSWTLDSLPDEELQMFEDEAIEMLKQVQAKRNRVVPATPKCAATPADNLNVVSSSKQNMIGQSTSAIYQVLTKELAMQTVGDSSITPDDTPAPRRVLRTLVTLQSPYVEIARKISFKCSKAVPKVYDVVCSCPERCTRSNNRDVIIINYLFNHATLGDLVDSVKPGGKLKSAIVEIAIYMINGKKTRGATRCVMPLHVSTLLLNHQTGRVAVQRAFRKDVNHLDHRQMKFFPVL >PVH36734 pep chromosome:PHallii_v3.1:6:27627203:27635419:-1 gene:PAHAL_6G155200 transcript:PVH36734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKSTTAVNSREERVVTLASDGNRSSWDFPPPDFDIMKAIEEPTPPGEGTSGSHQIQVDATPTAMPKKYSIEPDDASSWTLDSLPDEELQMFEDEAIEMLKQVQAKRNRVVPATPKCAATPADNLNVVSSSKQNMIGQSTSAIYQVLTKELAMQTVGDSSITPDDTPAPRRVLRTLVTLQSPYVEIARKISFKCSKAVPKVYDVVCSCPERCTRSNNRDVIIINYLFNHATLGDLVDSVKPGGKLKSAIVEIAIYMINGKKTRGATRCVMPLHVSTLLLNHQTGRVAVQRAFRKDVNHLDHRQMIAGFT >PAN33372 pep chromosome:PHallii_v3.1:6:1331125:1334858:1 gene:PAHAL_6G015900 transcript:PAN33372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSGEASAGPATAPAPMAAAAAPVVTAAPEATVSFQSPAVEKGSSSGVLVSPPATGPPPAAGPPPPAGPGGALALGPVAMKVPKKRGRPRKYGPDGSLIRPLNATPISASAPMAAAVAAGQYTPASAVGAAMKRGRGRPLDFAAAAAAGKHHQHHQLHHHQSPFGFHFDSIGDMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGTFLPSYQMEQKNKKPRVDPAPTLPQTPPAIPISSVDTHSGDQGQHSSAVHQRTASVSYGADQSWVSPAQQPTPEASRTPSGDQKTTASGS >PVH36820 pep chromosome:PHallii_v3.1:6:33458679:33460517:1 gene:PAHAL_6G175500 transcript:PVH36820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKCRCLSDARRVFDGMPQRNTVSWNALIAGYSESGNLAQAMALFAEMEREGLAPDEATFATLLVVVEGPSWCFLMQELHGKIMKYGSALGLVVSNAAITAYSQCGALADSRRIFDGIESKDLISWNSMLGAYAYHGMDEEAMRFFVRMMQESGVRPDMYSFTSIISVCSEHGRDDHRGRGIHGLVMKIGLEAVTHVCNALIAMYTRFTENCMMEDACKCFNPLALKDTVSWNSMLTGYSQHGLSADALRFFRCMRSENIRTDEFAFSAALRSCSDLAVLQLGRQIHSLVIQSGFASNDFVSGSLIFMYSKSGMLGDARKSFEEADKSSSVPWNSMMFGYAQHGQAQFVTNLFNEMLQLRVPLDHVTFVGLITAYSHAGLVDEGSEIINTMETMYGIPLRMEHYACGVDLYGRAGQLDKAKELIDSMPFQPDAMVWMTLLGACKIHGNMELASDVASYLLVEDPRQHSTYVLLSSMFSGLGMWGDRATVQKVMKNRGISKVPGWSWIEVKNEVHSFNAEDRSHPSMDEIYEMLRMLLQVAQRLCSSEDEEILVTTPSDT >PVH36888 pep chromosome:PHallii_v3.1:6:36331376:36332975:-1 gene:PAHAL_6G191300 transcript:PVH36888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGYRYQGRTDLRLRLLSNLLTFVEAVHIQIVEFLMINHRCCLVEFILIIMLSSFRLIREAYFI >PAN36508 pep chromosome:PHallii_v3.1:6:44316726:44319811:-1 gene:PAHAL_6G288800 transcript:PAN36508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDKTPIPGGGGGDAGGGGGGGCAGNVPAMQSSCDAVAASPAPHTECDISRMPDSPMRKPGHRRALSDIIGLPEDLDLGAPGAGDGPALSDENEEELFSMFLDVEKLNSRCGASESESSCAMAGGGGEATQTSAAPGAGLRPKHQQRHSMDASSSIDTENLFGTSAMEGVSPAEAKKAMSAAKLAELALIDPKKAKRIINNRQSAARSKERKMRYIAELERKVQFMQREATALATQLALLQRDTAGLTAENSELKIRLQNTEQQVHLQDALNEALKSELQRLKMATGQMGSGGGGAMSFHTSPHPFGVSQQVFHPNQAMPPFVAMQQQQHPNQPLHPLQTQQLQQAALNLNMNGPAPAPNQWHWGDAWSESSSS >PAN36298 pep chromosome:PHallii_v3.1:6:42937975:42938643:1 gene:PAHAL_6G272300 transcript:PAN36298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAWSKPRYVPLCRLLLVAALVIAAGGIVVGAAGAESSPPTTRVLLLTEQTQVMPAAGGRRMLVGSRAPTCTYNECRGCRLRCSVQVVPVDASDPINSAYHYKCICRL >PVH36993 pep chromosome:PHallii_v3.1:6:38623841:38625525:1 gene:PAHAL_6G216600 transcript:PVH36993 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 6 [Source:Projected from Arabidopsis thaliana (AT4G30960) UniProtKB/Swiss-Prot;Acc:O65554] MGEGDGEVKAGGVLQGRYELGRVLGHGNFGRVHAARDLRTGRSVAVKVLSKDKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSRIYLALELVRGGELFARIVRAGRVREDVARRYFRQLISAVGFCHARGVFHRDLKPENLLIDDAGNLKVVDFGLSALADHARSDGLLHTLCGTPGYVAPEVFRNKGYDGAKADIWSCGVILYVLLAGSLPFPEDNIAAMFKKMSRGDYRCPPWLSTEARRLIPRLLDPNPDTRITVTQIVETPWFKKPSVARPVNAATEPPAEPACAAKDSGDKDEPPETLNAFHLISLSAGFDLSPLFDVEGGSARSHREGGMRFATRESASGVISRLEEVAARGGGRMRVTKSGARGVRFEGAERGGPKGRLAVAANIFSVAPSVLVVDVKKDGGDTLEYRSFCSDELRPALKDIVWAADPAPAATAVV >PVH36202 pep chromosome:PHallii_v3.1:6:1563636:1564224:1 gene:PAHAL_6G020400 transcript:PVH36202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAHRLRWKRLEQLHISRGISPWKELLNRERKRRRRSWPTVRGTSPASMLESRLSSRRNVRLPIAGEIMPESCLDGMSSDATLPGCRRLHVTPAHWQTSELVLFHELSQPMGSESSSLTASRALRSPSATSCCKDA >PVH36923 pep chromosome:PHallii_v3.1:6:37153913:37154979:-1 gene:PAHAL_6G199200 transcript:PVH36923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDHCLLLLRFTTMYVYHVCSVDYFVDSKMSDNADWNDENTRLVCELFAEQVTAHNRSGTHLNKSGYKNVMEKFKDKTGLDYSRRQFKNKWDKMRREYANWKRLVKETGLGWDNEKKTYTAPDSRWKQLNKDYPGINKFKDGPLQFEELKTIMFEDIRNSGDDHWAPSSGAAPASQQDAEPDEADDRDEDCDDNEASDDCDEYSPEPSRGKRGHWVQKELSKLVSLSARSTASCESLAKKDESSGCSIKDVMALVRECGAVPGTKEHFIASQVFVKRAEREMFLTLETPEERFQWLSMKHMWMTRNDSSM >PAN33711 pep chromosome:PHallii_v3.1:6:2874476:2878538:-1 gene:PAHAL_6G039800 transcript:PAN33711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSFVGAVAAAAISSGASSTLSPNAAPYTLLARQGRAPPGCLQDGDASRVIDDNSVLNGEVNNAYSASLATHFGMKPSDAVYPSNAHGMRQSQPSSSRGSPASVYLSPSSSVSESSVAIASNFNQGRIPMTSGRVTVTIRSPPNKTSETDNTSFGSSKLSIWQNDEFNKETGKVVSCGNLELSNPTNGNGTSQGTMVFSKELNPEVSVKPHGPSVCASPCVTVADDVNPDPSECSVDSPCWRGTASRPSPFDVHQTLVAQSVKQESVAFDAGQEQSFSTDCEAPTKLPHLVASKSKQNHPQSHAELGLSKKPGDIGTNLTHDSHEKELEFVKHGAAKCNAEKHCLEMIDDDIKRSGLNSAAPDFIPLSVRKSNSSSGSCSSGTNISGILRAIKSMSEVLCNNYSDEIELEEHDYSLLQSVIENLQSYLSKAKKVPVKGISDKAGGLKACYSQNAVSKSVTRNYNGSYTADNGKGIIISNIADSSRLLGELRKKCATGYQPSLNNFPKELSCEEDHSQALIYKNLWIDAERANCALKYQLKQTRLEIDLESSRAHIGGGPRIPPFHQCDPGRDLSSSCGSAITSPLMLKDNPGGRKSHNLLYAADRIQSGDNSVLSRSKGHITVPNSIEDEYFLSGLEETGVHPHAHSGVLVAPSRAHRGLDARTSDGLPSLSHITGSDGILL >PVH36538 pep chromosome:PHallii_v3.1:6:9500894:9510573:1 gene:PAHAL_6G096600 transcript:PVH36538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSGSDGGEWVWVRLPTQAEAAEQQKSPSAAATEVEDEERALKVVFASPAEYFTDAAPIGNGSLGGMVWGGVATEKLQLNHDTLWTGAPGNYTDSEAPAALAVVRELVNQGRFADATEAATHLFGGQSEVYQPLGDINLEFGASNQAYDSYKRELDLHTATVLTTYNIGEVRYMREHFCSNPHQVIVTMISANIPGQVSCTMSLSSQLKNNVTVTNANELVMEGICPSQRPSLRKGNSNDVTGIKFAAVLGLQIGGNTAKATVVNDQQLRLDNADWVVLVVAASSSFNGPFVNPADSALDPTSIALNTLNLTRNLTYDQLKAAHLDDYQHLFYRLTIQLSRGLKDTHSLLTEKERPNEEVGVEIRTSADRVKSFSIDEDPSLVELLFQYGRYLFISCSRPGTQVSNLQGIWNQDVDPAWDAAPHLNINLQMNYWPALPCNLSECQEPLFDFLASLAVNGSKTAKVNYQSSGWVTHHVTDIWAKSSAFLKNPKHAVWPMGGAWLCTHLWEHYQFSLDKDFLENKAYPLLEGCATFLVDWLIEGQGGYLETNPSTSPEHAFLTPDGQPASVSYSTTMDILIIREVFSAVLLSAEVLGKSDTDLVKNIKKALPRLPPIQIARDRTIMEWALDFQDPEVHHRHLSHLFGIYPGHTITLEKNADVCEAAANSLYKRGEDGPGWSTTWKMALWARLFNSENAYRMVLKLITLVPPGEKVDFEGGLYNNLWTAHPPFQIDANFGFTAAIAEMLLQSTQNDLYLLPALPRDKWPRGCVKGLRARGDVTVNICWDEGELQEALLWSNHGNSVTRLHYGGLVTAIAVCSSTVYKFNRGLECLEAWPLGK >PAN35983 pep chromosome:PHallii_v3.1:6:41195254:41198958:-1 gene:PAHAL_6G248800 transcript:PAN35983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWCSKENQSGGRQPHDYNHNHNHYHNHSNGAATASKGGKNRYAKIGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGRMSYNNRSLHAMGNTPNPYEQAISIIGRTLARFDEDNLIPCFGFGDTTTHDQSVFSFYRDNQPCDGFEQALARYRELVPQLNLAGPTSFAPIIETAIGIVDSSGGQYHVLLIIADGQVTRSVETGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTQIMSRPIPASKKEAEFALSALMEIPEQFKAAMNLQLLGRRRGFPNRPVLPPPVSDRQQYSGCSAVKQTQSTSYGAAPETPSAPQQDSDIGDPQTCPICWNQAKDLAFGCGHQTCSDCAKDLKVCPMCQKAISVRLKLY >PVH36714 pep chromosome:PHallii_v3.1:6:26388004:26388755:-1 gene:PAHAL_6G149800 transcript:PVH36714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLILYLLIQAFSNCSGSAEVNLRQPCVVVSLQTNLEILFKERNMVNAIIYLEPFTKEKGRGQPAFYCSIEGSRSR >PAN33726 pep chromosome:PHallii_v3.1:6:2930165:2935255:-1 gene:PAHAL_6G040700 transcript:PAN33726 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MRAAAGGRAVFHLRPRQPWRAPPPRPPTTTTTTTALLSHSMPASRAHHRRLPKGFLPTLEPGVSAVYLCRRAHSTTCSVLMEDRLQGELDGAKGEVRKHETVGAFQKIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKELSVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEQVLGRVDALRKRITSVGKQHASVCAKSTTKREAEERLTEGRKRLEEAFQHGKHAIDDLVNVAKALRSMPVVDLHIPTLCLVGSPNVGKSSLVCILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGGRLWIDVISKCDLLGKKAPMSLDGADEEVAQYRRFGPEGALQVSVQSEIGVKELKERVHQLLTSQMARIKADKAEHETQEAGTSVP >PVH36576 pep chromosome:PHallii_v3.1:6:13513093:13513305:-1 gene:PAHAL_6G108600 transcript:PVH36576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQAISAYLGSDVCFGAGGWSNIYFPFDVNTDTGQSVAIRMMTRLSITDDEVTHITEMIDGRAGALLPP >PAN36274 pep chromosome:PHallii_v3.1:6:42802140:42809663:-1 gene:PAHAL_6G270400 transcript:PAN36274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDADASPPPTGGGGGDRKRRHASPVLPPPPPGPPPPGPHKRHRREEGGGGGFDRRRLGPVGGGGHDQDDRRYGNGHGGIGGRGGDGRYVNRAPDWSDSGRGGWNEGPGNGRREGLMSYKQFIQELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKDEDWLRNKYHPTNLEVVIERRNELARTTANEFFHDLPSGSLDTGPGLTGSAASKSGNNSSKNLVDSSDGKKGKHGNGPEDLYYAAPKAHPVSSEARRIRIDIEQAQALICKLDSEKGIENNVLSSSDHDKPDRDKSHGSVGPIVIIRGSSTVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADARTYNGASSNATEWENKLDSFWQDRIQGQDPLEILKAKEKIDAAATEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVQKHLKLKHPDVVVELTSKVRDDIYFENYMNDPKAPGGTPLMQQPAPRGKGRQRPPIESRLRDERGNRRFDGNVDSPPRDGSGENPEDAIYDSFGDPIMHGAFPPDIPAPPILMPVPGAGPLGPFIPAPPEVAMHMLRDQGGPPPFEPAGGPHPRKAGRGGGPPMHGPSPIFSAPPPPHMHDPRRIRSYQDLDAPEDEVTVMDYRSL >PVH37205 pep chromosome:PHallii_v3.1:6:42803491:42809499:-1 gene:PAHAL_6G270400 transcript:PVH37205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDADASPPPTGGGGGDRKRRHASPVLPPPPPGPPPPGPHKRHRREEGGGGGFDRRRLGPVGGGGHDQDDRRYGNGHGGIGGRGGDGRYVNRAPDWSDSGRGGWNEGPGNGRREGLMSYKQFIQELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKDEDWLRNKYHPTNLEVVIERRNELARTTANEFFHDLPSGSLDTGPGLTGSAASKSGNNSSKNLVDSSDGKKGKHGNGPEDLYYAAPKAHPVSSEARRIRIDIEQAQALICKLDSEKGIENNVLSSSDHDKPDRDKSHGSVGPIVIIRGSSTVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADARTYNGASSNATEWENKLDSFWQDRIQGQDPLEILKAKEKIDAAATEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVQKHLKLKHPDVVVELTSKVRDDIYFENYMNDPKAPGGTPLMQQPAP >PAN35277 pep chromosome:PHallii_v3.1:6:36847180:36850557:1 gene:PAHAL_6G196600 transcript:PAN35277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAAFNRAAFSARPLHRPPRPLLHIAGAEDGPAGRSGAPLTRLPCSGSLSVSAGGYGNERVPVFPRQQSWDPYKLLGVDHDASEEEVRSARNFLLRQYAGYEESEEAIEGAYDKIIMNSYSHRKHSKINLKSKLKKQVEESPSWVKALLGYFEVPSLEIISRRLAFFGFIAGWSIATSAESGPAFQLALSLVSCIYFLNDKMKNLVRASTTGLGVFVGGWILGSLLVPAIPAFIIPPTWSVELLTSLTAYVFMFLGCTYLK >PVH36956 pep chromosome:PHallii_v3.1:6:37990611:37991343:1 gene:PAHAL_6G209000 transcript:PVH36956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTPFIHCSTRYIVGACNMVSQLSGQVLYSVGSTTISALMTLETPCKAMMYVHMRTAPLDLKPIPFLQEKCISNS >PAN34048 pep chromosome:PHallii_v3.1:6:5068556:5070132:-1 gene:PAHAL_6G066700 transcript:PAN34048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQPHVVVAPHPSLGHVNPALQLAQMLHHHGVFVTFVSTEHNHRRSALAADGLSSRRDGPPSFRFETIPDGLLDADRGGSTPLEHDRALSQATSERGAAPLRELVARLRDGISRRGVPPVTCVIPTALMSFALDVARELQVPSMVLWVCAAASLSCQMRLRELRERGYLPLKDASCLTNGHLEKTIIDWIPGVPPICLGDVSSFVRTTDPDDFSLWFNDTEANSCTKAGALILNTFDGLDAAGLAALRAEYPRIYAIGPLGLLLRRHLHADADDPIGLLNPWKQDTACLAWLDAQAPGSVVYANFGSLVALTAGQLAEFAWGLAATGRPFLWVVRDDLVPGGPAALPPAFLSRTAGQCCYVATWCPQERVLRHPAVGCFLTHNGGNSTLEALAAGVPVVCWPKFADGYTNSKYACEVWGVGLRLDAEVRREQVAGRIRSVMESEGIRACAARWKAEADKAVCPGGSSYESLLAMVKALGVGGSLDT >PVH36871 pep chromosome:PHallii_v3.1:6:35466894:35470159:-1 gene:PAHAL_6G185800 transcript:PVH36871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRLQVDAPATRSVAPRPLMLPAAASTPAPPPHPSGSKEPLTPALQLAVTEELIRRFGMAQEKRELLDAELSLIKFLLDRMPALEAAVGACSEHPTARPSLRWGFMVRALLEIAKEVISRLTSLVKWPQLEIAKEVISRLTSLVKCNPEDRIPGLKAASGVSGGATPLLSKVAPSGRVPAKARRAVATNLSPVATLRPGGQVATPAAAHATVGRQVVTRHSDEGDAHGTSGVHNKMSEANLLEDRILGLEAEVAWEVCGGGATLSLSKVAFSDHARLQAKARQLLPRSYHRRCPTYFALGCLHRWCIHRLTRLLLEDRIPGLEAEVAWEVCGGGATLSLSKVAASAAYVTVDQQVVTRHSSEGDAHATSSVHNKMSEANVSHEHRTGKETLAPTLRLEIAKKDRILGLEYRIPGLEAEVAWEVCGGGATLSLSKVASSDHASLQAKARRVIAAILSPPVPTLFRLGLSAPLVHPPSHQVAAPAAYVTIVQQVITRYLGEEDAYATSGIHNKMPKAYGTWVRENCQGKMNLCPSPHLLQAKNPLALALLLKIAKEVINSLTMAQEKRMLSTNEHSLIKFLEDRIPGLEAEVALEVSGGEETLFPSKVAFSGHACLQAKVRSIISGILSWPVTTLFHPGLSTLLVHPLSHQVAAPAAYVTVDQHVVTGFSGEEDGYATSGVHNKMPDASVSHDHGRGQEFSSEHEFFSEKCNSGCNLGIVTEKSSTTIALEIAPPPSDGDSTAESSGVSNRWGTAPEAKDFDDLGFLDDLGLYRDSKQ >PVH36173 pep chromosome:PHallii_v3.1:6:1132286:1134326:-1 gene:PAHAL_6G013400 transcript:PVH36173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGATSWLTAVKRAFRSPSKDDSASPARKASRLREDAPADADEDKQGKRERRRWLFRRSSSPSPSPAPPPAPEHPRPHPHPAAPAVTEEQRHAIALAVATAATAEAAVATAHAAAEVVRLTRPGHASSGLAVREHYAAVVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSMSLSMSLSAAGGAGAAAPCGSSKSSYSVDTSTFWDSKYTHDFADRRSVERSRDGSSFAADDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQLWRNPAAAADEMDVDGGGQQPRWAERWMASRASFDTNRSSIRGAVAAPGRASMDHREPVKTLEIDTARPFSYSTPRRQAPSSSPMHRAHHGHSAVTPSPGKARPPIQVRSASPRVDRGAGGGSYTSSLHSQRHHAGAVPNYMAATESAKARVRSQSAPRQRPATPERDRLSGGGGFGAAGGGGSAKKRLSFPAVAQAAEAYAQSLRSPSFKSAAGRFSSEQRSTVSSSCAESLGGSRRRRRPPPTSAAGSAENRSGRRPVRCKAFCLGRHDHVPL >PVH36172 pep chromosome:PHallii_v3.1:6:1131669:1134644:-1 gene:PAHAL_6G013400 transcript:PVH36172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGATSWLTAVKRAFRSPSKDDSASPARKASRLREDAPADADEDKGKRERRRWLFRRSSSPSPSPAPPPAPEHPRPHPHPAAPAVTEEQRHAIALAVATAATAEAAVATAHAAAEVVRLTRPGHASSGLAVREHYAAVVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSMSLSMSLSAAGGAGAAAPCGSSKSSYSVDTSTFWDSKYTHDFADRRSVERSRDGSSFAADDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQLWRNPAAAADEMDVDGGGQQPRWAERWMASRASFDTNRSSIRGAVAAPGRASMDHREPVKTLEIDTARPFSYSTPRRQAPSSSPMHRAHHGHSAVTPSPGKARPPIQVRSASPRVDRGAGGGSYTSSLHSQRHHAGAVPNYMAATESAKARVRSQSAPRQRPATPERDRLSGGGGFGAAGGGGSAKKRLSFPAVAQAAEAYAQSLRSPSFKSAAGRFSSEQRSTVSSSCAESLGGSRRRRRPPPTSAAGSAENRSGRRPVRCKAFCLGRHDHVPL >PAN33684 pep chromosome:PHallii_v3.1:6:2758468:2759074:-1 gene:PAHAL_6G037800 transcript:PAN33684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAYLLLLLLHLSASTCAATSRSHRLFLPGAAAAPSPPAIHVGHRHAAKDFLSYHDAHYHPATMMARRGGPPSPGVGASGVVEGAPPPALPAGVEASGLGGGAPPPPPPGEDASAAIELSPRQVDGGGGEGGPSSSTDQEESATDDVGVDYEGPKTHPPSHN >PVH36406 pep chromosome:PHallii_v3.1:6:5211543:5216935:1 gene:PAHAL_6G068500 transcript:PVH36406 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MRRFLQALRPLQTLSLTPAPLRLLSSSSSAAASSDSDSPPAPAPAADADFDSAEYDLPTPGPAPSRKINIPVSALRKLRFDPALRARADEALFGEVRGGAAVEEEDEERSRDVALALLDAAMEPPDEEDEDPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGNTQICFFDTPGLMLGHHGFPYRDVTVRVESAWSSINLYDLLIVMFDVNRHLNMPDSRVTKLIKRLGTEVNPNQRRILCMNKVDLVEDKKDLLKVAKEFEDLPGYERYFMVSGLKGKGLKDLVQYLMEQAVRRPWDEEPTVMTEEVMKTISLEVVREKMLHHIHQEIPYVIEHRLMDWKELKDGSLRVEQHFITPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRNVHLILQVRVAKRRSA >PVH37174 pep chromosome:PHallii_v3.1:6:42091711:42095039:1 gene:PAHAL_6G261500 transcript:PVH37174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRELKDDPALGKFYAAMDTIEKLHEEVQKPLEQLVDGEALLELADVLVSSTKAENRDEPTPSEFVTALLRKFGVTATPLDDSNEPFSWSSLGGAASTLFMTATGCQTMHGPMGLAIKERRHVFRRESGCLDSRPAEPDALAPDQDERNDTDKNMAVMFDLLVHHKSVKLEHLILNRQSFAQTVENIFALSFLVKDGRAEINVVDSGDHFVAPRNAPAAGLIASRKVTNSQFVFRFDTEDWQIMQRVVKPGEEVMPRRSSYHGGEYRNTQSCPARDCTKLGSDSEHLKEDKFAKEDPVEFTNDEAVKENLINWCSEDDTMKKRKRQHVARRLFSADD >PAN35673 pep chromosome:PHallii_v3.1:6:39355135:39361648:-1 gene:PAHAL_6G225600 transcript:PAN35673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEAPLGALNLDEYAPAGARTVDCYRRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPGSAHGTGGSDNNQMYRGDIYMVFEYMDHDLKKVLHHSTPSQVKVYMGQLLKGLHYCHVNNVLHRDIKGANLLISGGKLLKLADFGLARPFTREGTLTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLKKPLFPGRTEQDQLSKIFELCGSPNEENWPGVSKLPLYKTMAIHPVTPTKRRLRDMLQNFDYHAVDLIERMLILNPTQRISAQDALDAAYFIN >PVH37031 pep chromosome:PHallii_v3.1:6:39355716:39359727:-1 gene:PAHAL_6G225600 transcript:PVH37031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGDIYMVFEYMDHDLKKVLHHSTPSQVKVYMGQLLKGLHYCHVNNVLHRDIKGANLLISGGKLLKLADFGLARPFTREGTLTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLKKPLFPGRTEQDQLSKIFELCGSPNEENWPGVSKLPLYKTMAIHPVTPTKRRLRDMLQNFDYHAVDLIERMLILNPTQRISAQDALDAAYFIN >PVH37322 pep chromosome:PHallii_v3.1:6:44248342:44252899:1 gene:PAHAL_6G287600 transcript:PVH37322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWFCCTHFDTPYLENENGFKSSPDRTSGTGLTSNSDPAKPPSIEVPALSFDELKEKTDDFGSKALVGEGSYGRVYYAVLENGKHAAVKKLDASADPEPDNEFLAQVSVVSRLKHENFVDMHGYCIEGDQRLLAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNLSSQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLGEDKVKQCVDPRLNGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLANAPYQAAPAPDTPSEA >PAN36279 pep chromosome:PHallii_v3.1:6:42825590:42831309:1 gene:PAHAL_6G270700 transcript:PAN36279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESEGELEAARAAAVLTPPLSLEGGLAAELRPANLARRVLSLFGNVSPGSDLSHFQLPATFNLPKSQLQMYGEGVYCAGEDLLGRCARGKDSLERLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSHGPLNVLLEQVSHCPPVSALHATDAAGDVRLVWCQSPVPKFHGASIEAAVRGRREVSLVRHGERYEVDCPNLLIRLLPAPSVEWAGDVRVVCADSGLEAELSYCRTRSFLGFGGDARCVRGRVFRSASREETVYEIDGFWDRTVSLKDVATGEVSVLYDAQQAITNLTTPAVQDHKGVAPSESAVVWGEVSDALLKKDWEKARQAKRLVEDEARRLAKERNEKGEVWTPKHFSLSQNKNGEWECWPLEESVPPAPIVVPS >PAN36278 pep chromosome:PHallii_v3.1:6:42825590:42831246:1 gene:PAHAL_6G270700 transcript:PAN36278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPATFNLPKSQLQMYGEGVYCAGEDLLGRCARGKDSLERLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSHGPLNVLLEQVSHCPPVSALHATDAAGDVRLVWCQSPVPKFHGASIEAAVRGRREVSLVRHGERYEVDCPNLLIRLLPAPSVEWAGDVRVVCADSGLEAELSYCRTRSFLGFGGDARCVRGRVFRSASREETVYEIDGFWDRTVSLKDVATGEVSVLYDAQQAITNLTTPAVQDHKGVAPSESAVVWGEVSDALLKKDWEKARQAKRLVEDEARRLAKERNEKGEVWTPKHFSLSQNKNGEWECWPLEESVPPAPIVVPS >PAN36277 pep chromosome:PHallii_v3.1:6:42825590:42831246:1 gene:PAHAL_6G270700 transcript:PAN36277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGEGVYCAGEDLLGRCARGKDSLERLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSHGPLNVLLEQVSHCPPVSALHATDAAGDVRLVWCQSPVPKFHGASIEAAVRGRREVSLVRHGERYEVDCPNLLIRLLPAPSVEWAGDVRVVCADSGLEAELSYCRTRSFLGFGGDARCVRGRVFRSASREETVYEIDGFWDRTVSLKDVATGEVSVLYDAQQAITNLTTPAVQDHKGVAPSESAVVWGEVSDALLKKDWEKARQAKRLVEDEARRLAKERNEKGEVWTPKHFSLSQNKNGEWECWPLEESVPPAPIVVPS >PAN35711 pep chromosome:PHallii_v3.1:6:42426916:42429203:-1 gene:PAHAL_6G266900 transcript:PAN35711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGRLNGAMMPSSPPELVYLMRKLITPGKTSGPTFAGPPYTGQPHPKSRSPNPALVSSFSGNERTAVKFGRPRSTMRSATDRTQLSRRAPVSQQTVLRPYPSPKSSRARHHALVAARRRPRRDAVSSVALDAAVCRAVALSSPRRRAHTVQPPLPPPGGRTRVHEYTRPEARAVLHRTARAAGVHPVDTVEAIEDRTFYLGFWEAGTRQQLGKRAAETWTAWRGGRVGPQGWTVLRLPSGVDVPVVPLASSSSAARSSSSATVQREDAACTAVEAVSTIREQHADTSTGTAPATSFASTSTSCAGHGARRGRAPRAVASSPVHRRERTAIESRLSAAPPPSAAWTSSMGGEEAPSLVPRPESDAVFSVAFPILPHRAAALSRSTARNHAAHAWHARPGAVKPPRPQRTQFPTQRSKPSTSTRTEQPSVDLRAPPRRRPRRRYDEADDGTSSLVIWNKSRTVLGPGNRPVETWTAGRGGRVANAADRVGPQGGRYFVYRLGVDGK >PAN34746 pep chromosome:PHallii_v3.1:6:32315598:32318753:1 gene:PAHAL_6G170700 transcript:PAN34746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPVGASAIVPASHHPCMDIIVHTPATDVDRAPTPVAVQMQSAFWTSCEECGLRLEYPMIYQHCLLRCPHCHKPFEAIEKSLKSIIGQNDSSVPQAPNDEVAVKCVEALPCKKNSRRVPRKFQHESGHGVSIIRGDLLGSIMQARHKTRRNDLNEPSSSHYKVSNYTSFAIPFVPPAIHKKASPEDVIAELKTIPDLAPMDWLRACNMLRYDNFQFRSLKALPMDMRKEWLLNEMK >PAN36113 pep chromosome:PHallii_v3.1:6:41892206:41895982:1 gene:PAHAL_6G258500 transcript:PAN36113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYSPALCLRWSSMHSHPNQFQGGFTHNMALWKYSHSQSSIYHVKSSQPQSLKYFVSLMGQQFRCGLSTREGSLSIKLDMPSHEKLRIGWNWKGMHQKLGGVASGLCFGFSVTGLASAEVPIIRINDNAETSSSSTSSTHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRSGKPIPNEDLQRKLADELRAMVADEFVKRREETEWFVEGDFDTYVSHIREPHVWGGEPELFMASHVLQMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYHGFGHYDALRIPAKIGSKRKL >PAN36112 pep chromosome:PHallii_v3.1:6:41892222:41895142:1 gene:PAHAL_6G258500 transcript:PAN36112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYSPALCLRWSSMHSHPNQFQGGFTHNMALWKYSHSQSSIYHVKSSQPQSLKYFVSLMGQQFRCGLSTREGSLSIKLDMPSHEKLRIGWNWKGMHQKLGGVASGLCFGFSVTGLASAEVPIIRINDNAETSSSSTSSTHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRSGKPIPNEDLQRKLADELRAMVADEFVKRREETEWFVEGDFDTYVSHIREPHVWGGEPELFMASHVLQMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYHGFGHYDALRIPAKIGSKRKL >PVH36700 pep chromosome:PHallii_v3.1:6:25257675:25258604:-1 gene:PAHAL_6G145700 transcript:PVH36700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYIEEGVSRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSSSGSQSSVGNFDDF >PAN34236 pep chromosome:PHallii_v3.1:6:6199565:6204164:1 gene:PAHAL_6G076500 transcript:PAN34236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSPLPPLQQHSSSSIVPITDPGSTVAIVSCLPTAPSPLPMPLPPTGGLPPLRRAPPRHQPQPSVAKSSHRQNAAPRKQRRKRSLCVLSTPITTTGAQAANPKPKPSSVKKKEQQEEQEVEVELECPTVPDIPSLPPIMAEHAPLEGLGCEVLVGSVDYPSLKRLYKPRSKLTEGKCPLYGIGFNFLDARYYDTFATVGGNRVTTYRCLENGSFALLQAYIDEDKDESFYTLSWACDDVDSAPPLLVVGGCSGIIRVINCATEKIAKSLVGHGGSINEIRTQALKPSLIISASKDESVRLWNVHTGICILVFAGAGGHRNDVLSVDFHPNDITCFASCGMDNTVKIWSMKEFWVYVEKSYSWTDLQSKFPTKYVQLPVLNAVVHSDYVDCTRWLGDFILSKSVEHEIVLWEPKIKENSPGEGSIDILQKYPVPKCDNWFIKFSCDFHFNQLAIGNCEGAIYLWEVQSSPPVLTARLYNEQCKSLIRQTAVSFDGSTILGAGEDGNIWRWDEVDQHSVNEKKQVETRHRRRHRRCRD >PAN33575 pep chromosome:PHallii_v3.1:6:2264196:2266146:1 gene:PAHAL_6G030700 transcript:PAN33575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAAPPSLTLHRPAVPAAARASAAAPGNTAHLSNLDKLFRNRGAAVESATPAAAVEPVVGAGTRRRQHPLLRLPSFLARARGESLREDPAAPAMSPRRLERLLQPVAPDGPSPRGNIAPAWRRLHGEDGWRGLLDPLHPDLRREIVRYGEFVDAAYGAFLSRPDAEPGHRARVPLPDAAYRVTAPLFATSSVGLPTWLAAAAPCAAQRTSLVGYVAVCDSPAEVRRMGRRDIVIALRGTCTILEWAENVRAGLVPATDNNADASPDASKAKVECGFWNIYKTAGDRSPSLSEMVVSEVRRLLDQYKGEEVSITVTGHSLGAALAVLIADELAGGVARRAKAPVAVFSFGGPRVGNRAFAARVEARGARVLRVVNAHDVVPRFPPSLPLPGYADVGRELRLDSRASPFLRPDADPACCHDLEAYIHLVDGFLGSHCPFRDNAKRSILRLVKNQGGNVKQLYMSKAKDMRIQLDGGADMPGSMLGRVDMPGAASTVVECVH >PAN33821 pep chromosome:PHallii_v3.1:6:3607822:3608768:1 gene:PAHAL_6G049000 transcript:PAN33821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLSESEQQATAAAPPPASKPPGLCQLLRYVWPCCSFLLPSPPSPLPRSTSVQREINPPAAGAAAAVAAAARQAGVGVAGGPDHKPKETPDQDRHHKQGGEAAPPQPAPPAAAAAAS >PAN36213 pep chromosome:PHallii_v3.1:6:42186736:42188286:1 gene:PAHAL_6G262900 transcript:PAN36213 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MAAVTSSTASLCPAAGLPSSSSPSSSFRRKSSSSSNHGRRLQTAIACHCRPARSTTLLEGGVGRREAVFGILLSAVAAPALAPAGAPADEGTELQEGFTTYEDEANKFSIAVPQGWLIGAGESSGIKSVTAFYPEQAADSNVSVAITGIGPDFTSLKSFGDVDAFAEGLVNGLDRSWQRPPGLAAKLIDSKAANGLYYVEYTLQNPGERRRHILSAIGMAFNGWYNRLYTVTGQYIDDEESEKYRAQIQKAVRSFRLT >PAN33590 pep chromosome:PHallii_v3.1:6:2372587:2374780:1 gene:PAHAL_6G031800 transcript:PAN33590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVGAGRTRATTTATNSRRTSSVSSYPVPVRPDLIRSYSTSYRIHPSAMAAAAEGARGRETQLGDLPADCLAQAIALTSPRDASRCAAVSPTFRAAADSDHVWQRFIPSDDAQLLLAALPAKGGGGGGGGGRNKKDAYLGLCDAASAAAVGGDGGCRVWLDRATGAKCYALSARRLSLPWDDGEFSWRFTPHPLSRFGEVAELVECTCLDIYGRLPAAALTPAAPYAAYLVYDTAAGGRHRGLSFPDQETAVSLGGRPAARHAVCLRPDDAEARKFGGTSGPRRGGGGQQVLVRQPRRREDGWWEMEMGRLRTAGGREEEQEEVVASFEALGWYPKSGLVLHGIEFRPLH >PVH36601 pep chromosome:PHallii_v3.1:6:16995305:16996837:1 gene:PAHAL_6G119800 transcript:PVH36601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGNEGMSTIPGFSQIQFEGFCRFINQGLAEELEKFPTIKDPDHEIAFQFFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGLNLREILDNVSYPEIFLSFPNAKEKKEIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRVGRRNMNRRLNLDIPQNNTFLLPRDVLAATDQLIGMKFGTGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHVVQKTIRRVFIRQSKPTPQTLVAPTSTSILLITTYETFFWHISLISSF >PAN36339 pep chromosome:PHallii_v3.1:6:43100427:43105372:1 gene:PAHAL_6G275400 transcript:PAN36339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPADHGCFCFAWSPIGSGASGKTCLSAAAAGEAARPRPRAIKGRPRVISDGSATSPLLLFWVCRITNTRTHH >PAN33653 pep chromosome:PHallii_v3.1:6:2610523:2616710:-1 gene:PAHAL_6G036000 transcript:PAN33653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASPAVAAPPSAGSASRVTATSTAISLPRAHPPLLSHPGTTRPGQALPPGPTSPEKHVRRPAGAGRAEQNKITIHKSVARNKPKGQGGQRQRKPSSPLSLSRDLKRRRGGRRGGARSGDPSPPAALDGSAWYIAHRRRGSSGRHHPRPPRPLRCAPSRRSDQSMAHGRQTWSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PAN33650 pep chromosome:PHallii_v3.1:6:2610523:2615160:-1 gene:PAHAL_6G036000 transcript:PAN33650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLPRARAVCAIASDGWLLSGGRSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PAN33647 pep chromosome:PHallii_v3.1:6:2610523:2614579:-1 gene:PAHAL_6G036000 transcript:PAN33647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFVSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PAN33655 pep chromosome:PHallii_v3.1:6:2610520:2616710:-1 gene:PAHAL_6G036000 transcript:PAN33655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASPAVAAPPSAGSASRVTATSTAISLPRAHPPLLSHPGTTRPGQALPPGPTSPEKHVRRPAGAGRAEQNKITIHKSVARNKPKGQGGQRQRKPSSPLSLSRDLKRRRGGRRGGARSGDPSPPAALDGSAWYIAHRRRGSSGRHHPRPPRPLRCAPSRRSDQSMAHGRQTWSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PAN33652 pep chromosome:PHallii_v3.1:6:2611078:2615550:-1 gene:PAHAL_6G036000 transcript:PAN33652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGRQTWSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PAN33651 pep chromosome:PHallii_v3.1:6:2611078:2615550:-1 gene:PAHAL_6G036000 transcript:PAN33651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGRQTWSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PAN33648 pep chromosome:PHallii_v3.1:6:2610523:2615102:-1 gene:PAHAL_6G036000 transcript:PAN33648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLPRARAVCAIASDGWLLSGGRSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITNY >PAN33654 pep chromosome:PHallii_v3.1:6:2610523:2616710:-1 gene:PAHAL_6G036000 transcript:PAN33654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASPAVAAPPSAGSASRVTATSTAISLPRAHPPLLSHPGTTRPGQALPPGPTSPEKHVRRPAGAGRAEQNKITIHKSVARNKPKGQGGQRQRKPSSPLSLSRDLKRRRGGRRGGARSGDPSPPAALDGSAWYIAHRRRGSSGRHHPRPPRPLRCAPSRRSDQSMAHGRQTWSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITNY >PAN33649 pep chromosome:PHallii_v3.1:6:2611078:2614900:-1 gene:PAHAL_6G036000 transcript:PAN33649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLPRARAVCAIASDGWLLSGGRSAMECSSDESSELSETDIDDYAEKSYLDLKSGKLVARLASDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPSRQALALSNPPKPVKDQEVFVWPWMGILTNVPVEQTQGGGAILMKQLADFKPLRYTAVYGSNGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKMDWKETKRHGKYVFGWLAKEEDYKSDDPVGVFLSANGDLKTVSDLEQEMSSKTDNLIANLAQQITAKSKYLQELECKCNQMNLSLQRAMEERDLLHKRYNEEMRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSWQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PVH37150 pep chromosome:PHallii_v3.1:6:41876788:41877232:1 gene:PAHAL_6G258100 transcript:PVH37150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSNKDPGSMSRNTRTQAGENNYGVQTKVLGNPARKEDGESRATPPLTIPQRSDGRRRLHGEQGSRLELAEQYEAEE >PVH36745 pep chromosome:PHallii_v3.1:6:28602690:28603392:1 gene:PAHAL_6G157500 transcript:PVH36745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFGMFCSFFSFSEPGYCASFLSKFCVSTLKAANKNIIEDTSLYHVEHFL >PAN36077 pep chromosome:PHallii_v3.1:6:41740691:41742113:1 gene:PAHAL_6G256400 transcript:PAN36077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVQVPTATLPAEEAPAVVEAVQQPAVVAEEAPKEEAAVPAAEAAPAETEGAKEPEAEAAPAPAPAETEAKEVEVVAAPAEAATKEAEPEAAPAVAETKEAEPVAAPGEVEAREVEPEAVAAEAETKEAEPEADPAAEAETKEAEQEAAPAEAETKEAEPAATETEVEAAPADTEAVPAEAAKEEEAPAAAAPEAAPAAEKTVAVEEAAAPAEAEVAAPAPEAAAKSE >PAN33379 pep chromosome:PHallii_v3.1:6:1362500:1363210:-1 gene:PAHAL_6G016600 transcript:PAN33379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHQRSVSVPSSPRSNEASIEEHLQGVKSIVCSPSATIETMVDGLSKIGSIYNRINGLTCLPSSQRGQRKAVEDELDRSLVLLDLCNAVQESFADLKASVQEMQLALKRGDDASVQAKVQSYTRLAKKAQKQCKKISNKASSDIEGCRVIKLLAEARQIAMATLESTLNLMSKQLVMPSSSKWSLVSKALQKKRVVCEEQLQELELDIVDLESGVGTLFRTLIQSRVSLLNTLSL >PAN33433 pep chromosome:PHallii_v3.1:6:1609962:1612669:1 gene:PAHAL_6G020900 transcript:PAN33433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQQLDDYSPAKSKGRTDSQEGSWSGPVEDWRAINSRRNAKWWYAAFHNVTAMVGAGVLSLPYAMSELGWGVGITALVLSWIITVYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLQKFHEMVCDERCKNIKLPYFIMIFASVQFVLSQLPNFHSISSISLIAAVMSVSYSTIAFVASADHHRTNSAEVDYSLRATTTPGKVFDFLGGLGDVAFTYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIIAACYFPVALAGYWAFGNLVDENILITLEKPRWLIAVANMMVVVHVVGSYQVYAMPVFDMIETVLVRKFWFTPDLRLRMISRTVYVAFTMFVAMTFPFFSELLSFFGGFAFAPTSYFLPCIMWLIICKPKRFSLSWFTNWTCIFIGVSLMILAPIGGLRQIILKVKTYKFYQDYHGLSH >PVH36204 pep chromosome:PHallii_v3.1:6:1609962:1612669:1 gene:PAHAL_6G020900 transcript:PVH36204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLQKFHEMVCDERCKNIKLPYFIMIFASVQFVLSQLPNFHSISSISLIAAVMSVSYSTIAFVASADHHRTNSAEVDYSLRATTTPGKVFDFLGGLGDVAFTYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIIAACYFPVALAGYWAFGNLVDENILITLEKPRWLIAVANMMVVVHVVGSYQVYAMPVFDMIETVLVRKFWFTPDLRLRMISRTVYVAFTMFVAMTFPFFSELLSFFGGFAFAPTSYFLPCIMWLIICKPKRFSLSWFTNWTCIFIGVSLMILAPIGGLRQIILKVKTYKFYQDYHGLSH >PAN34775 pep chromosome:PHallii_v3.1:6:22369443:22369984:-1 gene:PAHAL_6G136400 transcript:PAN34775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKIPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKTEEWIELVKYWCDPKNQVHGLHHCFC >PVH37034 pep chromosome:PHallii_v3.1:6:39464829:39467760:-1 gene:PAHAL_6G226800 transcript:PVH37034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGQPVMQVVSVMRSGQGNLEKFHLVLSDGVHTQNATLASHLNRLVKNSHLRMGTIVRLLEFICNSVQNPSMVSVVQLEVLQTDCELIGRSKAYEQPYGLQVKRGELYHGSVPNYAQPDSASYSSGEGLKWLLTQGAVEAMLESKMAVEQQPVMQVVDVSTVSRGKITVYCVLLSDGVYQEHALLCPDVYPLAELRNGSIVRILKFKFNTLNSDTRINYKAFIVKLEILQMECKLIGRPRFHERGLKHKEKEPYLKHAANVPPLPEQSYLSVLGASEDATKLSDQFHPGYKSMPD >PAN34329 pep chromosome:PHallii_v3.1:6:7168874:7174448:-1 gene:PAHAL_6G084900 transcript:PAN34329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPAGTAGSPSPPPQLPSPFAELVKSPSGLEKVVLRGSRNCCVEVYLYGGQVTSWKNDNGEELLFLSTKAIFKPPKAIRGGIPICFPQFGTHGNLEQHGFARNRFWTIDNDPPPLPANPAIKAYVDLILRPSEEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEVDKVYLAAPSKIAIIDHEKKKTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKNMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKVLQG >PAN36098 pep chromosome:PHallii_v3.1:6:41809406:41813111:1 gene:PAHAL_6G257200 transcript:PAN36098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] MMASRAHGHRNRLPRLIPRVLLIVFAVYAVSFAIYLLRQPHRPSPPDPTPRTDVRDGVRAPTSSQKPWPRLPSFLPWVGGPSPPPPPHTCEAYFGNGFSRRVEVLPARGGGGGWFRCHHSETLGSSICEGARVRLDPALIAMSRGGEPLEQVMGRAEEEELPRYEPGALLVEGPAAGKTAPLVDTGLLNNYVPTGGIGMHTMRALLESARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTNLPNRPNVVFVDGHCKAQLEQTWEALFSSVTYAKNFSGPVCFRHAILSPLGYETAMFKGLSESFSCEGASAQSLQGKPDYDKTARLSEFGEMIVASFGLPQDDIMSSKKSKGLNVLFVRREDYLAHPRHSGKVESRLSNEREVYDAIDKWAKGLKCKVNVVNGLFAHMNMKEQLHAILEASVVIGAHGAGLTHLVSATPDTRVLEIISSMYRRPHFALISQWKALEYHAINLPGSYARITDVISELSNILTGLGC >PAN35506 pep chromosome:PHallii_v3.1:6:38457162:38462734:-1 gene:PAHAL_6G214300 transcript:PAN35506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAREGEVLDGGTVNLLSRSSGEYKISEVGFRKRKTGRDKARSSDKAYRCSSREMHIFGSVASGSSSVVHRAIFIPAHRIMALKKINVFDKEKREQILNELGTLSEACCYPGLVEFHGAFYKPNSGAIYFALEYMDGGSLADIIRVKKFIPEPVLAHMLVKVLLALRYLHEVKHVVHRDIKPANLLVNLKGDVKITDFGVTAGLHDSVSACTTFVGTVTYMSPERIRNSSYSYAADIWSLGLTVLECATGRFPYCVNGGLSDLMLQILDDPSPTPAKYVYSPEFCSFICACLQKDADARPTCEQLLSHPFINRYKRTGVDLSAYFKSAYDPTEILWQMAHMLAVHYYLIFYGSDTVWRYMKTFYREESVFSFLGEEHIGQNDIFGTLSRIRKMLKGNCPRSKIVHVIEKVHCCAHGEEGVAIRVSGSFIVGNELLVCEDGLRAEGMPSADEGMPSTDEVPLNIMSKRIGRFREEFFMEPGNAMGCFIIRTQKLHIGKHEGNSTSQIVESVWW >PVH36445 pep chromosome:PHallii_v3.1:6:5873831:5879574:1 gene:PAHAL_6G074800 transcript:PVH36445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVLLGSSPVFVAVPDPFSLSCSGLTALVLLVVESLVMAGATPKTSWFSLDWPVYEIRETFFSYLGENQYAKLSSTPVIPIDDPKVPLIRTCLNRFKGALNGTGSHRTCFSLRCIVTSSDDVIEHFRSDATYHRFTEILGSWSSGDYFKEEAIGLLHSLLSKKYGLPESRIHATYFSGDTSSGLSPDNESKTALQKCIGEERILPSMSKEDFWMSGETGPCGPSVGIFVDGSNSQDGVNGKFIEISRTVFVEFNRQADGVLSPLQAKHIITGINLQCLAAILQKKESLYELDDYDNIIDCISCRAGEEFDSYSGKVGEADTDGVDRAYRLLADHMRMISVTNASGSQLGPGHKGLEYFLKCADKLAVQYGHKVLEILEQDRYAVIVFTSLLDSDLCPELEGYRKKDQIEEDEVMIYQKTIRKLQDQNLPFDMVKKKRKFCCVVCNKNY >PVH37011 pep chromosome:PHallii_v3.1:6:38859795:38861121:1 gene:PAHAL_6G218700 transcript:PVH37011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTAAAGLAWATLLVCVALGAGQAQPQANLAAWFPSGSLPPPVRIYSRQNDALNVAVRRGNVVFARADCSDDSQKWYPLYTSGSFSGRQPFSLVNAKTFQVMTIPSGSGQKVGLSGPTDATRAAREELWTPEKPTRADGFFQLFVTNNPALTLNGLRGVRSGSEVGIFSASPNSLNAIWKITSYPPCLP >PVH36828 pep chromosome:PHallii_v3.1:6:33933322:33933642:-1 gene:PAHAL_6G177600 transcript:PVH36828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSNGGLNHRQDWVQDETLLDVFGDVVWVFHCKSKNGVSTNVLSKSNHTHGGGITETNAQDNPRIRLWFICGKLSCMQGFVLKHFQNEFSNTKEHTMSIHTGSKF >PAN35354 pep chromosome:PHallii_v3.1:6:37401243:37408810:1 gene:PAHAL_6G202400 transcript:PAN35354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMEELAELADATLQGSALLADGDPSAGRQPTRRGSSFLTAVAIGNVGTGKSAVLNGLIGHPVLPTGENGATRAPICVELQRETSLSSKAIVLQIEGKSQQVSASSLRHSLQDRLSKAGSSGKGRSDEISMKLCTSTAPALKLIDLPGIDQRSMDESTIGNYAARNDAILLVIVPALQAPDVASSRALRIARELDSEGTRTIGVLSKIDQAAGEQKALGAVQALLVNQGPRTAADIQWVATIGHSVPTASVQSEVGSETSPEASWQAEVKSLISILGGAPQSKLGRVALVDSLAKQIKTRMNVRLPNLLNGLQGKSQVVQEELAKIGEQMAQSSEGTKAIALGLCREFEDKFLEHIAGGEGAGWKVVANFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIKGLLELAKEPSILLVDEVHRVLIDIVSSAANATPGLGRYPPFKREVIAIASAALDGFKNEARKMVVALVDMERAFVPPQHFIRLVQRRMERQRQEDELKSRSTRKGQDSDQKRDTSPLKISNQSDMKQSSNIQVLGPAGEIIAGFLFKKRAKTNDWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEDCNLEEILDDDDPPRSSKDAKKANMLDTGKDSSLMFKITHKIAYKNVLKAHNAVILKAESMSDKTEWVTKIQSIVDPKGLSAKRPNPSEGGAPMKQSYSDGSLDTMLKKPVNPEEELRWISQEVRGYVEAVLNSLAANVPKLWFFAKLRKQRKICLIICIRP >PVH36991 pep chromosome:PHallii_v3.1:6:38582361:38583728:1 gene:PAHAL_6G216200 transcript:PVH36991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPPAAEGPDAVEIRDVWAGNLEEEFAVIREVIDEYPYVGMDTEFPGFVLQPSGRFFCQSDVNYASLAGNVNVLKLIQLGLTLSNEAGALPPFGTGGRGCVWQFNFRGFDQRTDPYSANSVDMLRNSGIDFDRFAAEGVDSTRFAELMMSSGVVLNDNIQWATFHSGHDFGYVLRLLTGREMPNTLDEFLKLTKTFFPVLYDIKQLMKYCAGLYGGLNKLGELLKVERVGISHQAGSDSLMTLRCFLKVKNLYLKESVKLYDGLLYGLIPGEGVIKHGPPPI >PAN35384 pep chromosome:PHallii_v3.1:6:37590193:37596519:-1 gene:PAHAL_6G204500 transcript:PAN35384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFTAAALLHFQMLVLLLLPLLPPSAAQPGFISLDCGGARDHTDAIGIQWTSDATFVSGGQTAQLLVQNGLQGQQFNTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVVDDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLALSARINFGAESNDSVRYPDDPFDRIWESDSVRRANYLVDVAPGTERISTTKPIFVSINEEPPEKVMQTAVVGQDGSLNYRLDLEGFPANAWGVSYFAEIEDLAPNETRKFKLDVPGMPALSKPTVDVEENAQGKYRLYEPGYTNLSLPFVFSFGFRKTNDSSKGPILNALEIYKYVQITMGSQDASIMASMVSRYSEAGWAQEGGDPCLPASWSWVQCSSEDAPRVFSITLSGKNITGSIPVELTKLSGLVELRLDGNSFSGQIPDFSACRNLQYIHLENNQLTGELPPSLGDLPNLKELYVQNNKLSGQVPKSLFKRSIILNFSGNSDLHIVNNGISHTILIICVVIGAIVLLGAAFGCYFFTCRRKKKPHEDTVVIAAPAKKLGSYFSEVATESAHRFSLSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGASEEKITSWLKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIEGGNIHAIIDQSMDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSTTIQQQLLVSSSNRSMGDSSSVNNNLDLENNGASFDELLMRPGLR >PVH36484 pep chromosome:PHallii_v3.1:6:6840008:6842917:1 gene:PAHAL_6G082900 transcript:PVH36484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGSSSLRALGRAWLRPSIPAAAGAAEAGAQPGAWARGTAGARPGRGPGAGAGGAAAARAGRGEGPGRGRGPGARRRRGRRPGARPGARRGRGRRPGTRPGERRGARPGARRRRGRRPGTRPGERRRRGRGAAGGGGAGAASDFRARMWVTGRGVAGWF >PAN34429 pep chromosome:PHallii_v3.1:6:8592882:8593166:1 gene:PAHAL_6G091500 transcript:PAN34429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPTSLVLLALALAAAWPDYCQPTMIIIRDRDPWRNLPARNYGMGRPKRPWGQMLDSIDRLAFPHRLFQKGPMAKRLWQLQKRQRAKRCYLQR >PVH36310 pep chromosome:PHallii_v3.1:6:3253682:3260253:1 gene:PAHAL_6G044700 transcript:PVH36310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPFVRLSVGQLGLKLPAGGNASKAASRLCDCEIRLGGFPVQTAQVPLIHSPEFNLDPFTNAAVFSLDESDLKALAAPGCFRAPRSYLEVAVYLARFGARCGVAWRKRLVGVFRVELASSSEWREGKPVLLHHGWAGIGKGEARPELHLRVKMEADPRYIFQFDDEIALNPQVVQLHGSIRQPIFSCKFIRDRRPSQSDPLGGQYWSSSGSEEKDMEMVRRERKGWKVVIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRADTTGSSESWQPWGRLEAWRESSAPAAVASSRDTVRLRLHLLPDGQDDCVLVSEAPLSSDKGGEFSIDMDRQVPAATAADHCAASLGAACAGGGFVMSCRVEGEARSSRPFVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFQRKPNKKAGSPAPDPLELDT >PVH36309 pep chromosome:PHallii_v3.1:6:3255064:3260211:1 gene:PAHAL_6G044700 transcript:PVH36309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPFVRLSVGQLGLKLPAGGNASKAASRLCDCEIRLGGFPVQTAQVPLIHSPEFNLDPFTNAAVFSLDESDLKALAAPGCFRAPRSYLEVAVYLARFGARCGVAWRKRLVGVFRVELASSSEWREGKPVLLHHGWAGIGKGEARPELHLRVKMEADPRYIFQFDDEIALNPQVVQLHGSIRQPIFSCKFIRDRRPSQSDPLGGQYWSSSGSEEKDMEMVRRERKGWKVVIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRADTTGSSESWQPWGRLEAWRESSAPAAVASSRDTVRLRLHLLPDGQDDCVLVSEAPLSSDKGGEFSIDMDRQVPAATAADHCAASLGAACAGGGFVMSCRVEGEARSSRPFVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFQRKPNKKAGSPAPDPLELDT >PVH36308 pep chromosome:PHallii_v3.1:6:3257425:3260211:1 gene:PAHAL_6G044700 transcript:PVH36308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPFVRLSVGQLGLKLPAGGNASKAASRLCDCEIRLGGFPVQTAQVPLIHSPEFNLDPFTNAAVFSLDESDLKALAAPGCFRAPRSYLEVAVYLARFGARCGVAWRKRLVGVFRVELASSSEWREGKPVLLHHGWAGIGKGEARPELHLRVKMEADPRYIFQFDDEIALNPQVVQLHGSIRQPIFSCKFIRDRRPSQSDPLGGQYWSSSGSEEKDMEMVRRERKGWKVVIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRADTTGSSESWQPWGRLEAWRESSAPAAVASSRDTVRLRLHLLPDGQDDCVLVSEAPLSSDKGGEFSIDMDRQVPAATAADHCAASLGAACAGGGFVMSCRVEGEARSSRPFVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFQRKPNKKAGSPAPDPLELDT >PAN33788 pep chromosome:PHallii_v3.1:6:3253682:3260253:1 gene:PAHAL_6G044700 transcript:PAN33788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPFVRLSVGQLGLKLPAGGNASKAASRLCDCEIRLGGFPVQTAQVPLIHSPEFNLDPFTNAAVFSLDESDLKALAAPGCFRAPRSYLEVAVYLARFGARCGVAWRKRLVGVFRVELASSSEWREGKPVLLHHGWAGIGKGEARPELHLRVKMEADPRYIFQFDDEIALNPQVVQLHGSIRQPIFSCKFIRDRRPSQSDPLGGQYWSSSGSEEKDMEMVRRERKGWKVVIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRADTTGSSESWQPWGRLEAWRESSAPAAVASSRDTVRLRLHLLPDGQDDCVLVSEAPLSSDKGGEFSIDMDRQVPAATAADHCAASLGAACAGGGFVMSCRVEGEARSSRPFVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFQRKPNKKAGSPAPDPLELDT >PAN35951 pep chromosome:PHallii_v3.1:6:41103330:41107049:1 gene:PAHAL_6G247100 transcript:PAN35951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMSVEAAEQNVPGHQVKPGVDPVPAKEKKKDVDSTPELTAKEILEEQKPSHRRQESSASMMDKGPSSVCSDSGVLDEPLTQQGDSGELKDVQSLDCNGNQEKNTSQKSSISESFVSAKASDGTNSLRKTSGSAKISDRADIPESGKSSMCRPSASSNISDESSCSSMSSNTTKPHKGSDSRWEAIRVIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELNGTKNHFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVSPTVVKSSNPGPDALQRNNQAYCVQPACIEPSCIQPACVAPTTCFGPRFFSSKSKSKKEKKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPPKPAPANDKATPAANQKGTDNFLEFEFF >PAN35950 pep chromosome:PHallii_v3.1:6:41103408:41107024:1 gene:PAHAL_6G247100 transcript:PAN35950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMSVEAAEQNVPGHQVKPGVDPVPAKEKKKDVDSTPELTAKEILEEQKPSHRRQESSASMMDKGPSSVCSDSGVLDEPLTQQGDSGELKDVQSLDCNGNQEKNTSQKSSISESFVSAKASDGTNSLRKTSGSAKISDRADIPESGKSSMCRPSASSNISDESSCSSMSSNTTKPHKGSDSRWEAIRVIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELNGTKNHFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVSPTVVKSSNPGPDALQRNNQAYCVQPACIEPSCIQPACVAPTTCFGPRFFSSKSKSKKEKKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPPKPAPANDKATPAANQKGTDNFLEFEFF >PAN35952 pep chromosome:PHallii_v3.1:6:41103408:41107025:1 gene:PAHAL_6G247100 transcript:PAN35952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMSVEAAEQNVPGHQVKPGVDPVPAKEKKKDVDSTPELTAKEILEEQKPSHRRQESSASMMDKGPSSVCSDSGVLDEPLTQQGDSGELKDVQSLDCNGNQEKNTSQKSSISESFVSAKASDGTNSLRKTSGSAKISDRADIPESGKSSMCRPSASSNISDESSCSSMSSNTTKPHKGSDSRWEAIRVIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELNGTKNHFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVSPTVVKSSNPGPDALQRNNQAYCVQPACIEPSCIQPACVAPTTCFGPRFFSSKSKSKKEKKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPPKPAPANDKATPAANQKGTDNFLEFEFF >PAN35456 pep chromosome:PHallii_v3.1:6:38156611:38160026:-1 gene:PAHAL_6G210100 transcript:PAN35456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEAGGVHGSHEALLLQAAGSGAGGDYGHAGPALLPWLGPAAAPGFSYMAPHHQGPAFGAEAAAGAFGFGGGYGGDGGGAGQLGVFGLEPPLPPPPQGLLAAAAGGGGALPQGPRMVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAVLGAAAEGEGEEAAARQHLVLPTESDELAVDAGEDGEGRLVVRASLCCEDRAGLIPDIARALAALRLRARRAEIATLGGRVRNVLLITTAAADEDEEGRGQGDDDEEGGGDDADGCGARASSHHRRHELVASIQEALRGVMDRKTASSDTSSSSGGGGGSIKRQRMSGAHEQGSL >PVH36592 pep chromosome:PHallii_v3.1:6:14902080:14906897:1 gene:PAHAL_6G112900 transcript:PVH36592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRAAQARAPRATSITWGRRGAGHDAAQGRRRERRGRGRARACAHDPVRRKPGRGCERRLARRGARSRDARAGRAWARAAEHRGSPPIICGARPPPKAAAAAAEAPASHPFLDLLDACFNNDAPAAASDSANGGKGPRMMLTENNSATYASSGNPCLDLFFQAVPDTLPQRVRELVTLAWVHDPLTALKLVANLRGVRGTGKSEKEGFYTAALWLHERHPKTLACNLPALAEFGYLKDFPELLYRLIHGADVRKLAKAKVGIEKIRRKVAEVRAARLAGTKRARGHTATPQPAAAPVLAGFISTALSNPKTKSKRSSKSGAGVSAAAMETEEEASHHQATEAVVQKPKAMEVDHKEAAAAKKEIPMTKEVRKAAKLAVQSLETYYADGAYRFLLDCVAQFFADLLASDVEQLAPGGKKRKIGLAAKWCPTPGSSFDRTMLLCEAIARRLILRDSSPDYADLSEEHYAYRVLHHLRREVLVPLRKVLELPELYMSAQRWSELPYTCVASVAMRRYKDLFKKHDEARFDKYLEDVEAGKAKIAAGALLPHEIVAAAFSGEADDVSELQWRRMVEDLRKKGSLSNCIAVCDVSGSMTGTPMEVCVALGLLISELSEKPWGGRVITFSETPQIHKIEGKTLTQKMSFIKRMQWNMNTNFQAVFDRILRTAMDGRLPKDKMIRTVFVGVMGLAHSFHCIKRI >PAN35526 pep chromosome:PHallii_v3.1:6:38532894:38546013:1 gene:PAHAL_6G215500 transcript:PAN35526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAAKPPAPPGAGDVFEVELSEAGSPDLGSRSSGSGSGGSGRSAAEYSGWVYHLGVNSIGHEYCHLRFLVIRGRTVAMYKRDPHNHPGLEPIRKGAVGHTLMVEELGRRRVNHGDVYVLRLCNRLDQTKKGEIACATPGEARKWIEAFEQAKQQADNDLMRGVSWNRLQNENEINLDGHRPRVRRYAQGLGKLVRIGKGPEMLLRQSSDLRNQERVNTNFGGDTGDAFEAHEWRYVRTFNGIRIFEDIANPKGGKGILLKSVGVVGANPDTVFEVVLNLDKHKRYEWDMLTADLELVETIDGYCDVVYGTYEPKYLNWWKEKKDFVFSRQWFRGQDGAYTILQSPIGHNKRPPKHGCKRTKINPSTWEIRRLNTSGSSPKCVVTLMLEISPSFWGRWKRRHSSNFDKSIPFALLSQVAGLREYFAANPALTSDLPSTVVKSKASEPLIIQSELEDSEPGDEFYDALARGESFEDEDSDDDDDDDDDDDATTPKAGKVKLKNVSWAIAGLALKKTKALVETSELVTDSSPVTVDPSHFHGTLRRAKSENDPNSWSAPGGGKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKVDERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLNRVNPDSAVSI >PAN35527 pep chromosome:PHallii_v3.1:6:38532894:38546013:1 gene:PAHAL_6G215500 transcript:PAN35527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEELGRRRVNHGDVYVLRLCNRLDQTKKGEIACATPGEARKWIEAFEQAKQQADNDLMRGVSWNRLQNENEINLDGHRPRVRRYAQGLGKLVRIGKGPEMLLRQSSDLRNQERVNTNFGGDTGDAFEAHEWRYVRTFNGIRIFEDIANPKGGKGILLKSVGVVGANPDTVFEVVLNLDKHKRYEWDMLTADLELVETIDGYCDVVYGTYEPKYLNWWKEKKDFVFSRQWFRGQDGAYTILQSPIGHNKRPPKHGCKRTKINPSTWEIRRLNTSGSSPKCVVTLMLEISPSFWGRWKRRHSSNFDKSIPFALLSQVAGLREYFAANPALTSDLPSTVVKSKASEPLIIQSELEDSEPGDEFYDALARGESFEDEDSDDDDDDDDDDDATTPKAGKVKLKNVSWAIAGLALKKTKALVETSELVTDSSPVTVDPSHFHGTLRRAKSENDPNSWSAPGGGKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKVDERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLNRVNPDSAVSI >PAN35213 pep chromosome:PHallii_v3.1:6:38532972:38545056:1 gene:PAHAL_6G215500 transcript:PAN35213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAAKPPAPPGAGDVFEVELSEAGSPDLGSRSSGSGSGGSGRSAAEYSGWVYHLGVNSIGHEYCHLRFLVIRGRTVAMYKRDPHNHPGLEPIRKGAVGHTLMVEELGRRRVNHGDVYVLRLCNRLDQTKKGEIACATPGEARKWIEAFEQAKQQADNDLMRGVSWNRLQNENEINLDGHRPRVRRYAQGLGKLVRIGKGPEMLLRQSSDLRNQERVNTNFGGDTGDAFEAHEWRYVRTFNGIRIFEDIANPKGGKGILLKSVGVVGANPDTVFEVVLNLDKHKRYEWDMLTADLELVETIDGYCDVVYGTYEPKYLNWWKEKKDFVFSRQWFRGQDGAYTILQSPIGHNKRPPKHGCKRTKINPSTWEIRRLNTSGSSPKCVVTLMLEISPSFWGRWKRRHSSNFDKSIPFALLSQVAGLREYFAANPALTSDLPSTVVKSKASEPLIIQSELEDSEPGDEFYDALARGESFEDEDSDDDDDDDDDDDATTPKAGKVKLKNVSWAIAGLALKKTKALVETSELVTDSSPVTVDPSHFHGTLRRAKSENDPNSWSAPGGGKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKVDERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLECPYKGNLLCR >PAN33256 pep chromosome:PHallii_v3.1:6:434901:439594:1 gene:PAHAL_6G006200 transcript:PAN33256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEMPRGLPFAVDSWTPASALKRHSFLTHAHRDHLAGIAATSAVSASSPVYASRLTVLIAIRIFPHLDRAAFVELEAGAPPLRVPDPDGDFTVTAFDANHCPGAVMFLFEGPFGAVLHTGDCRLTADCLSALMPFLARRVDYLFLDCTFARCPLQFPTKEDSIRQVINCIWKHPNAPVVYLVCDMLGQEDVLIEVSKAFGSRIYVDRDKNSDCHQRLTHVAPEILAADDAASSTRFHVIPFPRLSERATEILALARTRQQPKPLIIRPSSQWYAYYGPPDGSTERKPVLTEPMRDEFGVWHVCLSMHSSREELEQALGILKPKWVISTTPPCMAMDLSYVKKNCSLSRFGPDDPIWKLLGIPDGMATGTSKQQAALTVEAVGKSEEEFSSCTDERGSDDDNQVEAAEPTLLDFEIRVEPPVMLFGRAMFGLVLHESEMWEHEYQSVEMIDNVELEAKDPVTEIGLCNNSKPDDSVDVIDLTEVSTKKQNSVSESELLKDRKPDDGVEVVDLTENGRKDLSLRAEPEQCTNDKGKGEAELVEAQEQNLTVHADLWEVCQHKVTDGSKNRIQMTKEISAVHVTVSATINKEATENGTTASETGKNSDQDSERPSDSSTTVGSSKSLNASLRRLYRSMNVSVPRPLPSLVELMGASKRPRVSQTVQL >PVH36142 pep chromosome:PHallii_v3.1:6:434894:439594:1 gene:PAHAL_6G006200 transcript:PVH36142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEMPRGLPFAVDSWTPASALKRHSFLTHAHRDHLAGIAATSAVSASSPVYASRLTVLIAIRIFPHLDRAAFVELEAGAPPLRVPDPDGDFTVTAFDANHCPGAVMFLFEGPFGAVLHTGDCRLTADCLSALMPFLARRVDYLFLDCTFARCPLQFPTKEDSIRQVINCIWKHPNAPVVYLVCDMLGQEDVLIEVSKAFGSRIYVDRDKNSDCHQRLTHVAPEILAADDAASSTRFHVIPFPRLSERATEILALARTRQQPKPLIIRPSSQWYAYYGPPDGSTERKPVLTEPMRDEFGVWHVCLSMHSSREELEQALGILKPKWVISTTPPCMAMDLSYVKKNCSLSRFGPDDPIWKLLGIPDGMATGTSKQQAALTVEAVGKSEEEFSSCTDERGSDDDNQVEAAEPTLLDFEIRVEPPVMLFGRAMFGLVLHESEMWEHEYQSVEMIDNVELEAKDPVTEIGLCNNSKPDDSVDVIDLTEVSTKKQNSVSESELLKDRKPDDGVEVVDLTENGRKDLSLRAEPEQCTNDKGKGEAELVEAQEQNLTVHADLWEVCQHKVTDGSKNRIQMTKEISAVHVTVSATINKEATENGTTASETGKNSDQDSERPSDSSTTVGSSKSLNASLRRLYRSMNVSVPRPLPSLVELMGASKRPRVSQTVQL >PVH36143 pep chromosome:PHallii_v3.1:6:434901:439597:1 gene:PAHAL_6G006200 transcript:PVH36143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEMPRGLPFAVDSWTPASALKRHSFLTHAHRDHLAGIAATSAVSASSPVYASRLTVLIAIRIFPHLDRAAFVELEAGAPPLRVPDPDGDFTVTAFDANHCPGAVMFLFEGPFGAVLHTGDCRLTADCLSALMPFLARRVDYLFLDCTFARCPLQFPTKEDSIRQVINCIWKHPNAPVVYLVCDMLGQEDVLIEVSKAFGSRIYVDRDKNSDCHQRLTHVAPEILAADDAASSTRFHVIPFPRLSERATEILALARTRQQPKPLIIRPSSQWYAYYGPPDGSTERKPVLTEPMRDEFGVWHVCLSMHSSREELEQALGILKPKWVISTTPPCMAMDLSYVKKNCSLSRFGPDDPIWKLLGIPDGMATGTSKQQAALTVEAVGKSEEEFSSCTDERGSDDDNQVEAAEPTLLDFEIRVEPPVMLFGRAMFGLVLHESEMWEHEYQSVEMIDNVELEAKDPVTEIGLCNNSKPDDSVDVIDLTEVSTKKQNSVSESELLKDRKPDDGVEVVDLTENGRKDLSLRAEPEQCTNDKGKGEAELVEAQEQNLTVHADLWEVCQHKVTDGSKNRIQMTKEISAVHVTVSATINKEATENGTTASETGKNSDQDSERPSDSSTTVGSSKSLNASLRRLYRSMNVSVPRPLPSLVELMGASKRPRVSQTVQL >PAN35836 pep chromosome:PHallii_v3.1:6:40485822:40488796:-1 gene:PAHAL_6G238300 transcript:PAN35836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRASPRLRSLPLLLSQPDAAAAAVQRSFSCASASPSPAAARAMASPSSSSAAPSPYTTLVGRVSCEREIKRSKFIAIAAPVPNERAAMAFLDEVKDPKATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHFQAEDIKQDYDTGKDGTVMVMFKVGYEKIEDLGNAVNSACSRKIELFL >PVH36726 pep chromosome:PHallii_v3.1:6:27184055:27184984:-1 gene:PAHAL_6G154200 transcript:PVH36726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDHEGHFHTNALHWEGFPHLLWESLSLFHYTEPPQYDGVEYREEGVLRCRVKMTIPQHPFRSQWHPIEVEVVGYHLVYTIETAALEAIKLFCNQHPTEVAAYPIGLFPAIDSGNSEWNFRIEHLGHMLGELAEETVRSITRFLDVQYHYQILLRHSMGQLTSAAQSHYRNADRQKDEIIAARDETILHREDQINESDHIITQCDTVIEFLQAQIHDLILAADDAQAHLEELQQQPILPAAPVMPEEEEEDLEEIEGVSEIDSEHGDPVLSPYHSSSGSHSSIGNFDDF >PVH36660 pep chromosome:PHallii_v3.1:6:20943899:20946349:1 gene:PAHAL_6G132500 transcript:PVH36660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLALVVVALLASAPAGGANVFNAKNYGAKGNGVVDDTKPLMATWKAACGTAGAVTMMVPAGIYHIGPVQFHGPCKASTLTFQLQGTLKAATDLKRFGNDWIEFGWVNGLTVTGGTIDGQGAASWPFNKCPVRKDCKVLPTSVLFVNNQNTVVKDLTSVNPKFFHIALLSTKNIKMSGLKISAPSNSPNTDGIHIERSAGVYIMDTHIATGDDCISVGQGNDNVDVARVQCGPGHGMSVGSLGRYAGEGDVTRVHIRDMTFTGTMNGVRIKTWENSPTKSNAAHMIFENLIMNDVQNPIIIDQKYCPYYNCEHKYVSGVTIKDIQFKNIKGTATTPVAVLLRCGVPCQGLVLQDVNLKLKGQGTVSAKCENAKAKYVGVQLPKPCP >PVH36661 pep chromosome:PHallii_v3.1:6:20944950:20946103:1 gene:PAHAL_6G132500 transcript:PVH36661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTLKAATDLKRFGNDWIEFGWVNGLTVTGGTIDGQGAASWPFNKCPVRKDCKVLPTSVLFVNNQNTVVKDLTSVNPKFFHIALLSTKNIKMSGLKISAPSNSPNTDGIHIERSAGVYIMDTHIATGDDCISVGQGNDNVDVARVQCGPGHGMSVGSLGRYAGEGDVTRVHIRDMTFTGTMNGVRIKTWENSPTKSNAAHMIFENLIMNDVQNPIIIDQKYCPYYNCEHKYVSGVTIKDIQFKNIKGTATTPVAVLLRCGVPCQGLVLQDVNLKLKGQGTVSAKCENAKAKYVGVQLPKPCP >PVH37350 pep chromosome:PHallii_v3.1:6:44648754:44649122:-1 gene:PAHAL_6G293700 transcript:PVH37350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNSTVHSPYTYGRQVGNVLQFQDTNADCMYAYQTTHIFFLKDYQRSKKDNSLSPKFNIFLSIRHSRPPNI >PVH37181 pep chromosome:PHallii_v3.1:6:42205047:42209815:-1 gene:PAHAL_6G263400 transcript:PVH37181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLETSKKAAFTGSVCILSTLEVHQRLKGYRYCTCCHRRRRRSTICCVPAFSPGSFGNSCCAGSSSLTWHPNQSWSVCSSGGSKPETPSTRIPDKGENMVSMEAAVVTGILKVVGNKLAPLVIKEYRSVVGVKKDLQELQNLAMEINSWLEAQGDRAEGNWLKKLKDVTYDVDDVLDEFQLEAEKKDANADGGAMSRFRTKPKSFLLQCMAAWKIKDIKKRFAEIVNQRTDINEIKNNIPDAHPARHTTVELLPLPNVDASSVIGRDREKHQIISKLVESKHQQKIKIVSIIGLGGFGKTTLAKLVFNDGNIIAKDFEVRLWVHVSQEFAVQKLVEKLFEAFAENKSDHHRLPHMSKRISEKLSEKRFLLVLDDVWTEDRIQWEELMAFLNGIAPGPGSTILLTTRSREVAVTVGSTDHFDLPLLSPNDSWQLFQQSIIMPATGWNFQFEEVGNQIVKKCGGLPLAIKVLACALTGKERIEEWTAMRDNSLLDAVGEENRLFACLKLSYFHLPSHLKPCFTICSLFPKGYMIDKERLIDQWIAHDMIGVEDGVDYFEYTAHKYFNSLIQRSFLQDVDENSYGRVKYRMHDLVHDLAQSILGDKISLVVPKEASSSTRSYRYFSLTKQTIHLLPKSLFQKARALYADKCEVDCKIFGMALKNARHLRSVTMDYVKNLKYLEISRQYGEEALPEAISDIWSLQALHLTYSDLLELPKSIGQLQKLRTLNLSHCEKLKCLPDSIVDCQMISSIDLCNCKQLTVLPSSIGRNKVLRVLRLGNTKMERLPSSITSLRNLECLDLHECRELVELPKDIGNLEKLHVLNLTKCEKLGGVPVGIGQLSWLQKLGLFALGEGEKFAGISELANLPRIGGELSIRGIYHGLDPEDAYAACLKQMTNLQGLDLWWRMKDEGEVNTEMEQAVLDGLEPPPGIKKLMISGYSGRQYASWMQNRVDGGVNGLPFFPFLRVMTLFKLPNLKHLHGLAELPCLEELVLRAMPCLETISGGPFPLLVKLLMCELPRLEEVWMVAERIMPDEKEGGGCSNSTPHVGQLRVGSCLSNLDIVKCPRLKVKPYLPSSLHKLLLAGRTEQLLQSSNFSQLKKLVVWGNGGLGSGRGWELLQPMTALELLEIQHSSDLIELPESLGNLKSLRSLQVFACSAIRMLPMSLGDLQSLQDLTITICHCFVSFPQSIGRLTSLQVLKILLCDALQQLPKCLGDLRSLRKLYLSGLPRLTCIPQFICHLTSLKELKIGRCPGIKSLPEGLKGLTTLQELDIRGCPDLARHCERGKGEGWHLISHIPRLRIGTGEA >PAN35517 pep chromosome:PHallii_v3.1:6:38519350:38521210:-1 gene:PAHAL_6G215100 transcript:PAN35517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIDDAATEILLRLPPDDPACLVRASLVCKAWRELLSDPAFLRRYRAFHGAPPLLGFLRNIYDDGPCARFVASRFPAPAFDRPNWWVVECRHGRALLQTFEQDAPARLVVWDPITGDQQHVPVPGYPYFYRAAAVLCAADGCDHLDCHGGPFLVVVIGAYDEEDLTCASVYSSETSAWTTSSAIQLYAYIEERPSLLARDALYFSVQQGERILKYDFVGQGLQVIDAPDMFVQTGAIVVAAEDGGLGLASLKDGNLHLWSWQAGTHGVAEWVQGRVIKLRMLMPTIKPLTSIDVIGFREDTYTIYISTDVGVFAVMPKSGQVNKVGERGSYYAIAPYTSFYTPDLAKGRLPPP >PVH36270 pep chromosome:PHallii_v3.1:6:2627285:2629212:1 gene:PAHAL_6G036300 transcript:PVH36270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSRQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVLKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PVH36269 pep chromosome:PHallii_v3.1:6:2627285:2629212:1 gene:PAHAL_6G036300 transcript:PVH36269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMESATREHTQRVFQETDKLRKQLVEKESYIQRRSRQLNELVAQTDMERRKLEEEREKNADENDSLNMARIEQQKADECALKLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVLKHMEGEGVDVKKRSEELTAELNERIEQMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIRIKRMGELDEKPFLLACKQRYGDNAEMEAVKLCSLWQEDLKDPNWHPFKIVTTGPTAEQVINDEDEKLVSLKEQLGEDVYKAVTTALLEINEYNASGSYVVSELWNNKENRKASITEAIQHVLKQWKAQKRRR >PAN34564 pep chromosome:PHallii_v3.1:6:17075569:17079592:-1 gene:PAHAL_6G120900 transcript:PAN34564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAAAIRRLPLSNLLRLLSSHHLRLSTSYSPSDQSDFEPDPDHPLPLPPATDDDGELASFVHRISNAASSASSPKEALSLLLFSSTITGSSPVPASPALLVRALWELRRDPDAAALAVRYGDESSAVDGADGAGAGPQPPPAEAWHLAVWATGKARRFDLAWAVLRRMRRRGVLTRRAMVILMERYAAANEVNKAIKTFDVMEKFKVEVDQTAFYSLLRALCKSKNIEDAEELLLLRKKFFPLTAEGFNIILDGWCNVITDVAEAKRVWREMSNCCITPDGISYTLVVSCFSKVGNLFDTLRVYDEMKKRGWTPGIGVYNSLVYVLTRENCMKDAHNIFSKLIDEGIQPDVETYNSMIVPLCESFKLDEARMVMESMILKGIVPTISTYHAFLKQEGIDESLKLLQKMKEDGCGPKSDTFLMLIDKFFLLNESRNALRVWNEMRKYDINPGRSHYMTVVQGLVKHGCIPRALEYYDEMKEKGFASDTQLDKDFNTFLLANRDHWRGAGKFNIIPQRGKHFTRRSRMQ >PAN36682 pep chromosome:PHallii_v3.1:6:45151188:45154843:1 gene:PAHAL_6G301500 transcript:PAN36682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os08g0557700)] MAAANQLSALLNNMYATGLLDEQFQQLQMLQDASAPDFVSEVVTLFCQDGERIIGELAKLLEKPSVDFDRVDAFVHQLKGSSASVGAQKVKNTCIQFREFCQSRSKDGCLKTLDSVRTEFYDLRGKFQTMLQLERQIQGFYPK >PAN35923 pep chromosome:PHallii_v3.1:6:40923517:40928280:-1 gene:PAHAL_6G244500 transcript:PAN35923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALSGTLGASSVAALRPCAARRAPSAASSVAPRGSGAARCPRGVRWEAEKSRGRLVRVRCDAAVTEKPAGEEAAEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGVGMTKDELKDCLGTIAQSGTSKFLKALKENQDLGSDNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAVADSSSYVIKEETDPEKMLTRGTQITLFLRPDDKYEFADPSRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEPKEGEEATEGEKQKKKKTITEKYWDWELANETKPIWMRNPKEIEKSEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKEDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHSSKNEGDTISLDKYVENMPESQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEEEENKETKQEFTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLNAACKNEPESTEAKRAVELLYEAALISSGYTPESPAELGGKIYEMMAIALGGRWGRSDTEEAEATTTGEASAEADSSEGTETEVIEPSEVRPESDPWRD >PVH37017 pep chromosome:PHallii_v3.1:6:39010612:39015828:1 gene:PAHAL_6G220500 transcript:PVH37017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTCYMGCDLSMVDSPNHSIAETHAHTWMGSRCCNKATQPLGAVSLRIVFTQKDKLVMSGKREGTALSNDVEQKAREQSCMHMPFLDASSGSPAAIYLGDMLMQQCLKNLHSHYTVLTGQGISCATCACLTSHNLNKIQHCHESVSLNSLVIAVDENMQAAAGLL >PVH37016 pep chromosome:PHallii_v3.1:6:39010612:39014558:1 gene:PAHAL_6G220500 transcript:PVH37016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTCYMGCDLSMVDSPNHSIAETHAHTWMGSRCCNKATQPLGAVSLRIVFTQKDKLVMSGKREGTALSNDVEQKAREQSCMHMPFLDASSGSPAAIYLGDMLMQQCLKNLHSHYTVLTGQGISCATCACLTSHNLNKIQHCKYSLIIYRLISNQLMIDKLVWIERRIVSQIFFALSLLVMESFKCLIGRYTQVHVLLMSSPISIQ >PAN34712 pep chromosome:PHallii_v3.1:6:31687097:31687881:1 gene:PAHAL_6G168000 transcript:PAN34712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALALALLVSAALLLRAHQPASAQVFCRSQFNLANEACSMRNFIPGPGVRRGVLPRLQQQLNETSVEQQHRSGDDDDEDADSRSSSSRRSSRHRHQHQHRHGEEGRGEDPRDTACCRRLMGLDNSCVCQATARLPAFMNSVRHAITLTPIEGCEISFECPGPLF >PAN35873 pep chromosome:PHallii_v3.1:6:40747916:40748969:1 gene:PAHAL_6G242100 transcript:PAN35873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFPPHIMALSKVQRLYDACDVVFASPAAGPPTLGEIRWLQKILDGVETADVGIDDGEKPAPSSSSDDELSPKSDRCLSARAFTRITYVHIHQCDDFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVAAPPRSGARKCGLARVVAADEVRRAPCEASVLFPRSGGNLHAFTAVTPCAILDVLTPPYSEERPSTYFSDVPIPSLPGFAFLEETDLPEDFSVAGAPYLGPELTVDMDDYDDDYDDYDE >PVH37259 pep chromosome:PHallii_v3.1:6:43200115:43206033:-1 gene:PAHAL_6G277000 transcript:PVH37259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPAPASAAPATPPNPTPPQPQTPAAPPSSSSTRPPHPWEVAARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLDMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATVQPSAAPVAPEESIAPLPKTIPPVTGRFSSGSAGRQQSGAAGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLNQCRTVATKEADSSYVELQNPVTNMNIREGNTSASTSAPSEATRVFVSEKSIPAGATESELGQKRKRNPIIVTPAWCYSEASTGTARNEQNSYSSSDATRNFNIWKGHANPLFPRRDIKKNISFFLEGRETGASWSQAASYGGYAGRNSERWTPFLEGWNSPAVQFEGPAVPLVRKSCLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKVQSVAFAVKGASIVSCASNLLKVWDCITGSCLYTLGGDDRNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLR >PAN36360 pep chromosome:PHallii_v3.1:6:43196550:43205926:-1 gene:PAHAL_6G277000 transcript:PAN36360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPAPASAAPATPPNPTPPQPQTPAAPPSSSSTRPPHPWEVAARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLDMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATVQPSAAPVAPEESIAPLPKTIPPVTGRFSSGSAGRQQSGAAGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLNQCRTVATKEADSSYVELQNPVTNMNIREGNTSASTSAPSEATRVFVSEKSIPAGATESELGQKRKRNPIIVTPAWCYSEASTGTARNEQNSYSSSDATRNFNIWKGHANPLFPRRDIKKNISFFLEGRETGASWSQAASYGGYAGRNSERWTPFLEGWNSPAVQFEGPAVPLVRKSCLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKVQSVAFAVKGASIVSCASNLLKVWDCITGSCLYTLGGDDRNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKANPAGNTIITGSGDGTIGLFDVRTCSAINHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSQSRDMRFFRPLHCLSHGNQMPTAEYTSQLPGHVDEGDQGVNATQWLHGEPVLVTVSGDGSVGMWDVTLGQPCVRHIVTHTRCANAVAVAPNDEYISTGGSDQKVVLYHNRSGRTHLNWRLSHPLQGND >PVH37258 pep chromosome:PHallii_v3.1:6:43194062:43206032:-1 gene:PAHAL_6G277000 transcript:PVH37258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPAPASAAPATPPNPTPPQPQTPAAPPSSSSTRPPHPWEVAARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLDMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATVQPSAAPVAPEESIAPLPKTIPPVTGRFSSGSAGRQQSGAAGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLNQCRTVATKEADSSYVELQNPVTNMNIREGNTSASTSAPSEATRVFVSEKSIPAGATESELGQKRKRNPIIVTPAWCYSEASTGTARNEQNSYSSSDATRNFNIWKGHANPLFPRRDIKKNISFFLEGRETGASWSQAASYGGYAGRNSERWTPFLEGWNSPAVQFEGPAVPLVRKSCLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKVQSVAFAVKGASIVSCASNLLKVWDCITGSCLYTLGGDDRNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKANPAGNTIITGSGDGTIGLFDVRTCSAINHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSQSRDMRFFRPLHCLSHGNQMPTAEYTSQLPGHVDEGDQGVNATQWLHGEPVLVTVSGDGSVGMWDVTLGQPCVRHIVTHTRCANAVAVAPNDEYISTGGSDQKVVLYHNRSGRTHLNWRLSHPLQGND >PVH37257 pep chromosome:PHallii_v3.1:6:43195575:43206032:-1 gene:PAHAL_6G277000 transcript:PVH37257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPAPASAAPATPPNPTPPQPQTPAAPPSSSSTRPPHPWEVAARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLDMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATVQPSAAPVAPEESIAPLPKTIPPVTGRFSSGSAGRQQSGAAGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLNQCRTVATKEADSSYVELQNPVTNMNIREGNTSASTSAPSEATRVFVSEKSIPAGATESELGQKRKRNPIIVTPAWCYSEASTGTARNEQNSYSSSDATRNFNIWKGHANPLFPRRDIKKNISFFLEGRETGASWSQAASYGGYAGRNSERWTPFLEVQFEGPAVPLVRKSCLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKVQSVAFAVKGASIVSCASNLLKVWDCITGSCLYTLGGDDRNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKANPAGNTIITGSGDGTIGLFDVRTCSAINHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSQSRDMRFFRPLHCLSHGNQMPTAEYTSQLPGHVDEGDQGVNATQWLHGEPVLVTVSGDGSVGMWDVTLGQPCVRHIVTHTRCANAVAVAPNDEYISTGGSDQKVVLYHNRSGRTHLNWRLSHPLQGND >PAN36361 pep chromosome:PHallii_v3.1:6:43195463:43206032:-1 gene:PAHAL_6G277000 transcript:PAN36361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPAPASAAPATPPNPTPPQPQTPAAPPSSSSTRPPHPWEVAARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLDMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATVQPSAAPVAPEESIAPLPKTIPPVTGRFSSGSAGRQQSGAAGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLNQCRTVATKEADSSYVELQNPVTNMNIREGNTSASTSAPSEATRVFVSEKSIPAGATESELGQKRKRNPIIVTPAWCYSEASTGTARNEQNSYSSSDATRNFNIWKGHANPLFPRRDIKKNISFFLEGRETGASWSQAASYGGYAGRNSERWTPFLEGWNSPAVQFEGPAVPLVRKSCLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKVQSVAFAVKGASIVSCASNLLKVWDCITGSCLYTLGGDDRNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKANPAGNTIITGSGDGTIGLFDVRTCSAINHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSQSRDMRFFRPLHCLSHGNQMPTAEYTSQLPGHVDEGDQGVNATQWLHGEPVLVTVSGDGSVGMWDVTLGQPCVRHIVTHTRCANAVAVAPNDEYISTGGSDQKVVLYHNRSGRTHLNWRLSHPLQGND >PAN33441 pep chromosome:PHallii_v3.1:6:1635148:1639578:1 gene:PAHAL_6G021500 transcript:PAN33441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVTFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKAWYDRGTKLFQANKYRKGACENCGAMTHDKKSCMERPRSVGAKWTNVDIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDSEKDGENAGSEDDEEDGLRIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLYKSFKVKKEMLKSEHKDKIMEKYGNAASEDTMPRELLLGQSEREIEYDRTGRIIKGQDVSLPKSKYEEDIFINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEDEPVQHEEKRLATWGTDVPQDLVLDKKLLEESLKKENARRKEEKDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLS >PAN36025 pep chromosome:PHallii_v3.1:6:41374870:41378977:1 gene:PAHAL_6G251500 transcript:PAN36025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGAIRKLCAAFDAVALTVIAAGLSRPPTGRHPFSSAHAHSPHPADFPTIAACRAAVSASKGSRRRCRRQPSPSPAAAAKEEQQPVLLRIKHELDPERLYELFRANAHNRLLVENRFAFEDTVARLAAARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMPDHALRTFQEMEMYGCRRTAKSLNAAMKVLLRARLFDEALSLFEEGSQKYGVELDDISYNTVVKMLCDMGKLRAAYRAMQKMEEAGVRPDVITYTTLMAAFYKCGQREIGDGLWNLMRLRGCNPTLASYNVRIQFLINRRRGWQANDLVRKMYAAGIKPDEITYNLIIKGFFMMGEHEMAKTVFGTMHGSGCKPNSKVYQTMVHYLCEQRDFDLAFRLCKDSMEKNWFPSAATIGQLLKGLMAISKDRNAIETMKLVTGKKPSYSDDEMKVFKDILSHGKTGR >PAN36026 pep chromosome:PHallii_v3.1:6:41374870:41379001:1 gene:PAHAL_6G251500 transcript:PAN36026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGAIRKLCAAFDAVALTVIAAGLSRPPTGRHPFSSAHAHSPHPADFPTIAACRAAVSASKGSRRRCRRQPSPSPAAAAKEEQQPVLLRIKHELDPERLYELFRANAHNRLLVENRFAFEDTVARLAAARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMPDHALRTFQEMEMYGCRRTAKSLNAAMKVLLRARLFDEALSLFEEGSQKYGVELDDISYNTVVKMLCDMGKLRAAYRAMQKMEEAGVRPDVITYTTLMAAFYKCGQREIGDGLWNLMRLRGCNPTLASYNVRIQFLINRRRGWQANDLVRKMYAAGIKPDEITYNLIIKGFFMMGEHEMAKTVFGTMHGSGCKPNSKVYQTMVHYLCEQRDFDLAFRLCKDSMEKNWFPSAATIGQLLKGLMAISKDRNAIETMKLVTGKKPSYSDDEMKVFKDILSHGKTGR >PVH37375 pep chromosome:PHallii_v3.1:6:44945908:44950112:1 gene:PAHAL_6G298500 transcript:PVH37375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRCPSRHVHRRPVIRFLPRATSPSASSSSAVTPPAARKTPPVEYVFRPPKRKFYWPKGVWKAAGCLRSLPSPTPSERSAVGEGDPPKRARMQGGSSGIVYGGLKYQARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGAAVWKIPEQHGQSNSPQLEQLFELTGHTGKIRRVLWWPVGKHDKLISIDDRNIFLWNIDTSNKSAKVISQGSADMLPNLRGGAWDPHNHNSIAAISDSSLNLWDLRSMDKSTAIEHAHIRDVDYNPKKQNIIATAEDEFGIRLWDLRMLKHPLKDLPGHSHWTWTVRHNLEYDELLLSAGTDSTVNLWLAQVSSNDSGPDSPGSTKRQEESLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRVVLESVKPYLQRK >PVH37374 pep chromosome:PHallii_v3.1:6:44945908:44950112:1 gene:PAHAL_6G298500 transcript:PVH37374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRCPSRHVHRRPVIRFLPRATSPSASSSSAVTPPAARKTPPVEYVFRPPKRKFYWPKGVWKAAGCLRSLPSPTPSERSAVGEGDPPKRARMQGGSSGIVYGGLKYQARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGAAVWKIPEQHGQSNSPQLEQLFELTGHTGKIRRVLWWPVGKHDKLISIDDRNIFLWNIDTSNKSAKVISQGSADMLPNLRGGAWDPHNHNSIAAISDSSLNLWDLRSMDKSTAIEHAHIRDVDYNPKKQNIIATAEDEFGIRLWDLRMLKHPLKDLPGHSHWTWTVRHNLEYDELLLSAGTDSTVNLWLAQVSSNDSGPDSPGSTKRQEESLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRVVLESVKPYLQRK >PVH37173 pep chromosome:PHallii_v3.1:6:42089869:42091026:-1 gene:PAHAL_6G261400 transcript:PVH37173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASIKFRDDDRPLMRAKVPVGVLGLPFQSGLASGGDPRELRFDLSTAFASGPALRLSYRPNDPGLPFALTVRAGLGPLGSPARAPFVLAAEFNLLSSDPSTPAFFLRLKPRLGDFSLSHTLRSPADGASPAPRKVGEAPPDADGLGHVREQEFGYRPSFSFTGSGLAADVAAAGTKSGVGALLSGMRLTTRSVLPLWGRASLRFNWGLRVPPELLADGGGRSKGARAPVSKMPLLVMSKLSIEQSPRADADSRKCGRAEAPSPLCVDAPNGPGDGEAAAFSLVRRQLESLNVDNMMLRRAVEDLRAEIRSSSRRSTPVAAAGARGEGRVAVAATAPPPRPQPYHAFPAKPDRPRGAAREPAPEKAAAPDDVGEELKKALEARLR >PAN35936 pep chromosome:PHallii_v3.1:6:41019495:41024755:1 gene:PAHAL_6G245500 transcript:PAN35936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQGDKATHDFLSLYSTAKDSALPLLPESKPPPPAAHQGFFLKTHDFLQPLERPSSQSPPPRPAAEKPVRQHALPGGIGTFSISRAAAAANQPGAAVKQEQPPFAVWGQPDPRGHPWSLPFAARCVAVASARPQPQQQQQQRPPERKAGGGFMDAGSRSSGGAGFDDDDGLAARREVSSALKELSVRVETKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQILREILPQNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSNPEWNQENAKIMPWSNIYFRSSWKNSQNKDEINGDLASDPSQVIKNGSSPRFPFAAKPEDHNNAGAFEIASGAQELAEPKGCVPFKQAETPSNITNTVASQQPGPLTNSSPSDDGAAPNGMLSNPELAIDEGTISLSSQYSQGLLTTLNLALQNSGIDLSQASISVQINLGKRAIKRSAPGLNSTSKDLITQASRDQEIGHQLGSGDGAREHSQATKRHKSDR >PVH37098 pep chromosome:PHallii_v3.1:6:41020899:41024755:1 gene:PAHAL_6G245500 transcript:PVH37098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLHPFYFEKLIIVVGSFFLTERMLHFANLQLFIKQKAGEKEDVAKGVCFPLCLFVDLAGHPWSLPFAARCVAVASARPQPQQQQQQRPPERKAGGGFMDAGSRSSGGAGFDDDDGLAARREVSSALKELSVRVETKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQILREILPQNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSNPEWNQENAKIMPWSNIYFRSSWKNSQNKDEINGDLASDPSQVIKNGSSPRFPFAAKPEDHNNAGAFEIASGAQELAEPKGCVPFKQAETPSNITNTVASQQPGPLTNSSPSDDGAAPNGMLSNPELAIDEGTISLSSQYSQGLLTTLNLALQNSGIDLSQASISVQINLGKRAIKRSAPGLNSTSKDLITQASRDQEIGHQLGSGDGAREHSQATKRHKSDR >PAN35194 pep chromosome:PHallii_v3.1:6:35862748:35865157:1 gene:PAHAL_6G188200 transcript:PAN35194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVSALAGASRERQSRKRKQFHTVELKVRMDCDGCELKVRNTLARMRGVESVEINRKQQKVTVQGFVEPQRVLRRALSTGKRAELWPYVPYTNPYVAPPVYDKRAPAGHVRRVDALIAPAAGREEDLSTIFSDDNLNGCSVM >PAN35195 pep chromosome:PHallii_v3.1:6:35862550:35865157:1 gene:PAHAL_6G188200 transcript:PAN35195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVSALAGASRERQSRKRKQFHTVELKVRMDCDGCELKVRNTLARMRGVESVEINRKQQKVTVQGFVEPQRVLRRALSTGKRAELWPYVPYTNPYVAPPVYDKRAPAGHVRRVDALIAPAAGREEDLSTIFSDDNLNGCSVM >PAN36755 pep chromosome:PHallii_v3.1:6:45587957:45595007:1 gene:PAHAL_6G307600 transcript:PAN36755 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 1 [Source:Projected from Arabidopsis thaliana (AT2G36910) UniProtKB/Swiss-Prot;Acc:Q9ZR72] MSSAAADPEEIRARVVVLGAHHADAADEWARPELEAFHLPSPSHQPSSDGFLAQDGEAEQSTPPAAAGTPAPPPPPPPPPLETAEQPASNARPSSNNDAKKPTPPAALRDLFRFADGLDCVLMLVGTLGALVHGCSLPVFLRFFADLVDSFGSHADDPDTMVRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLDAALRQDVSFFDTDVRTSDVIYAINADAVIVQDAISEKLGNLIHYIATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSNASNIAEQALAQIRIVQAFVGEERAMRAYSAALAVAQKIGYRSGFAKGIGLGGTYFTVFCCYGLLLWYGGHLVRAHHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRIIDHKPGISRDGGGVELESVTGRVEMRSVDFAYPSRPDVPILRGFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPSAGQILLDGHDLKSLKLRWLRQQMGLVAQEPTLFATSIKENLLLGRESESATQAEMEEAARVANAHSFIIKLPDGYDTQVGDRGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAVSEMGTHDELMAKGEHGTYAKLIRMQEQAHEAALVNARRSSARPSSARNSVSSPIMTRNSSYGRSPYSRRLSDFSTADFTLSIHDHHHHQNQPVAFRAGASSFLRLARMNSPEWGYALLGSLGSMVCGSFSAIFAYVLSAVLSVYYAPDPRYMERQIAKYCYLLIGMSSAALVFNTVQHMFWDAVGENLTKRVREKMFAAVLRNEIAWFDADENASARVAARLALDAQNVRSAIGDRISVIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVGATVLQKMFMKGFSGDLEAAHARATQIAGEAVANLRTVAAFNAERKITGLFEANLRGPLRRCMWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSRTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMRSVFETIDRKTEVEPDDVDAAPVPERPRGEVELKHVDFAYPSRPDVQVFRDLSLRARAGKTLALVGPSGCGKSSVLALVQRFYEPSSGRVLLDGKDVRKYNLRALRRVIAVVPQEPFLFAASIHDNIAYGREGATEAEVVEAAAQANAHKFISALPEGYRTQVGERGVQLSGGQRQRIAVARALVKQAPILLLDEATSALDAESERCVQEALERAGAGRTTTTIVVAHRLATVRNAHTIAVIDDGKVVEQGSHSHLLKHHPDGCYARMLQLQRLTGAGAGPGPSSSLASPSIGT >PVH37087 pep chromosome:PHallii_v3.1:6:40674606:40676511:-1 gene:PAHAL_6G240900 transcript:PVH37087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMGRKGRSSRAEQGRSLHACGRELSNGVASSGGPSISRRTATERIVQGVHGGAAARPPACARARAGNGKTTPRHARRGKARPARARRVRLWSTLTGKALVSLPPRLPWLGRRHRPAGRVGAGGSGRLASLVPPRPRCFPRPRTCRASPHTRT >PAN35306 pep chromosome:PHallii_v3.1:6:36964887:36965945:1 gene:PAHAL_6G197500 transcript:PAN35306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEEGLKQVSEEADEEARKEADKEGEALKHASNELDRKWEKSITVRDEITCEHDEDSITLASDGVHKDAGTIKILVQQALKEAFINWGDYYFKDFMRDSLKQVVEEYHNSLPVSSNNSIASVDMMKVVKEAMTEHQTFSRVLYVLARGTPITLLIARTVRGDYNRYPDKILIRNLVVEMIFSFIAVTVFHLYIIIFHFVHTTAPRLSMHCGCG >PAN35887 pep chromosome:PHallii_v3.1:6:40775170:40782084:-1 gene:PAHAL_6G242600 transcript:PAN35887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGDIWRVSSEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCASPGSKTFQLLEMIHQSKEPGLLPGALVMANDLYVQRCDLLIHNTKRMCTANLIVTNHEAQNFPDCSLANDYSEAYKDTCKPQGLEFDRILCDVPCSGDGTIRKGHEMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNLVLPSMFPSSNGTEESHTMRGDVEVNIDNRSSFLRNCNIEETSKINHDTDGLSINSNKKLDCTSNILNSKFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNGCQIQGTKIQHPLAKGIIVQPQKEHEPETRLYETILTRQQNNVSKVVDAAEVLESSRAESGDMQLQKQSRWKGVDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYVSKSVKKILELNGEVGEQLKIVSLGIKMFESHRSKDCCSCAYRLSYEGLSLLLPYVSKRILYASPLDFHRLLQYRSINFAHFVDARFGEEAASLMPGCCVVVLLEGHKHVDSICKDPSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTEED >PAN35881 pep chromosome:PHallii_v3.1:6:40775193:40782085:-1 gene:PAHAL_6G242600 transcript:PAN35881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGDIWRVSSEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCASPGSKTFQLLEMIHQSKEPGLLPGALVMANDLYVQRCDLLIHNTKRMCTANLIVTNHEAQNFPDCSLANDYSEAYKDTCKPQGLEFDRILCDVPCSGDGTIRKGHEMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNLVLPSMFPSSNGTEESHTMRGDVEVNIDNRSSFLRNCNIEETSKINHDTDGLSINSNKKLDCTSNILNSKFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNGCQIQGTKIQHPLAKGIIVQPQKEHEPETRLYETILTRQQNNVSKVVDAAEVLGRQQNLSIDNQTSNDNSTEVEMVFNDVESSRAESGDMQLQKQSRWKGVDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYVSKSVKKILELNGEVGEQLKIVSLGIKMFESHRSKDCCSCAYRLSYEGLSLLLPYVSKRILYASPLDFHRLLQYRSINFAHFVDARFGEEAASLMPGCCVVVLLEGHKHVDSICKDPSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTEED >PAN35879 pep chromosome:PHallii_v3.1:6:40775169:40782084:-1 gene:PAHAL_6G242600 transcript:PAN35879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGDIWRVSSEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCASPGSKTFQLLEMIHQSKEPGLLPGALVMANDLYVQRCDLLIHNTKRMCTANLIVTNHEAQNFPDCSLANDYSEAYKDTCKPQGLEFDRILCDVPCSGDGTIRKGHEMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNLVLPSMFPSSNGTEESHTMRGDVEVNIDNRSSFLRNCNIEETSKINHDTDGLSINSNKKLDCTSNILNSKFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNGCQIQGTKIQHPLAKGIIVQPQKEHEPETRLYETILTRQQNNVSKVVDAAEVLESSRAESGDMQLQKQSRWKGVDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYVSKSVKKILELNGEVGEQLKIVSLGIKMFESHRSKDCCSCAYRLSYEGLSLLLPYVSKRILYASPLDFHRLLQYRSINFAHFVDARFGEEAASLMPGCCVVVLLEGHKHVDSICKDPSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTEED >PAN35886 pep chromosome:PHallii_v3.1:6:40775549:40782025:-1 gene:PAHAL_6G242600 transcript:PAN35886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASKTPVSLHHRIVAAGQRRRCVGGSERKPQGRHFTQALESLWRYSPRIPPLPAAAATGRDAKSSWEPPVLENAAFEEYYKVQQIVHKEEWDAFMSVLRTPLPATFRINACCQFYKDICSKLENDFRRYLESEVSSEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCASPGSKTFQLLEMIHQSKEPGLLPGALVMANDLYVQRCDLLIHNTKRMCTANLIVTNHEAQNFPDCSLANDYSEAYKDTCKPQGLEFDRILCDVPCSGDGTIRKGHEMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNLVLPSMFPSSNGTEESHTMRGDVEVNIDNRSSFLRNCNIEETSKINHDTDGLSINSNKKLDCTSNILNSKFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNGCQIQGTKIQHPLAKGIIVQPQKEHEPETRLYETILTRQQNNVSKVVDAAEVLESSRAESGDMQLQKQSRWKGVDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYVSKSVKKILELNGEVGEQLKIVSLGIKMFESHRSKDCCSCAYRLSYEGLSLLLPYVSKRILYASPLDFHRLLQYRSINFAHFVDARFGEEAASLMPGCCVVVLLEGHKHVDSICKDPSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTEED >PAN35882 pep chromosome:PHallii_v3.1:6:40775549:40782025:-1 gene:PAHAL_6G242600 transcript:PAN35882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASKTPVSLHHRIVAAGQRRRCVGGSERKPQGRHFTQALESLWRYSPRIPPLPAAAATGRDAKSSWEPPVLENAAFEEYYKVQQIVHKEEWDAFMSVLRTPLPATFRINACCQFYKDICSKLENDFRRYLESEVSSEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCASPGSKTFQLLEMIHQSKEPGLLPGALVMANDLYVQRCDLLIHNTKRMCTANLIVTNHEAQNFPDCSLANDYSEAYKDTCKPQGLEFDRILCDVPCSGDGTIRKGHEMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNLVLPSMFPSSNGTEESHTMRGDVEVNIDNRSSFLRNCNIEETSKINHDTDGLSINSNKKLDCTSNILNSKFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNGCQIQGTKIQHPLAKGIIVQPQKEHEPETRLYETILTRQQNNVSKVVDAAEVLGRQQNLSIDNQTSNDNSTEVEMVFNDVESSRAESGDMQLQKQSRWKGVDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYVSKSVKKILELNGEVGEQLKIVSLGIKMFESHRSKDCCSCAYRLSYEGLSLLLPYVSKRILYASPLDFHRLLQYRSINFAHFVDARFGEEAASLMPGCCVVVLLEGHKHVDSICKDPSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTEED >PAN35883 pep chromosome:PHallii_v3.1:6:40775193:40782084:-1 gene:PAHAL_6G242600 transcript:PAN35883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGDIWRVSSEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCASPGSKTFQLLEMIHQSKEPGLLPGALVMANDLYVQRCDLLIHNTKRMCTANLIVTNHEAQNFPDCSLANDYSEAYKDTCKPQGLEFDRILCDVPCSGDGTIRKGHEMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNLVLPSMFPSSNGTEESHTMRGDVEVNIDNRSSFLRNCNIEETSKINHDTDGLSINSNKKLDCTSNILNSKFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNGCQIQGTKIQHPLAKGIIVQPQKEHEPETRLYETILTRQQNNVSKVVDAAEVLGRQQNLSIDNQTSNDNSTEVEMVFNDVESSRAESGDMQLQKQSRWKGVDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYVSKSVKKILELNGEVGEQLKIVSLGIKMFESHRSKDCCSCAYRLSYEGLSLLLPYVSKRILYASPLDFHRLLQYRSINFAHFVDARFGEEAASLMPGCCVVVLLEGHKHVDSICKDPSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTEED >PAN35884 pep chromosome:PHallii_v3.1:6:40775170:40782085:-1 gene:PAHAL_6G242600 transcript:PAN35884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGDIWRVSSEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCASPGSKTFQLLEMIHQSKEPGLLPGALVMANDLYVQRCDLLIHNTKRMCTANLIVTNHEAQNFPDCSLANDYSEAYKDTCKPQGLEFDRILCDVPCSGDGTIRKGHEMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNLVLPSMFPSSNGTEESHTMRGDVEVNIDNRSSFLRNCNIEETSKINHDTDGLSINSNKKLDCTSNILNSKFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNGCQIQGTKIQHPLAKGIIVQPQKEHEPETRLYETILTRQQNNVSKVVDAAEVLESSRAESGDMQLQKQSRWKGVDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYVSKSVKKILELNGEVGEQLKIVSLGIKMFESHRSKDCCSCAYRLSYEGLSLLLPYVSKRILYASPLDFHRLLQYRSINFAHFVDARFGEEAASLMPGCCVVVLLEGHKHVDSICKDPSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTEED >PAN35878 pep chromosome:PHallii_v3.1:6:40775549:40782025:-1 gene:PAHAL_6G242600 transcript:PAN35878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASKTPVSLHHRIVAAGQRRRCVGGSERKPQGRHFTQALESLWRYSPRIPPLPAAAATGRDAKSSWEPPVLENAAFEEYYKQIVHKEEWDAFMSVLRTPLPATFRINACCQFYKDICSKLENDFRRYLESEVSSEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCASPGSKTFQLLEMIHQSKEPGLLPGALVMANDLYVQRCDLLIHNTKRMCTANLIVTNHEAQNFPDCSLANDYSEAYKDTCKPQGLEFDRILCDVPCSGDGTIRKGHEMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNLVLPSMFPSSNGTEESHTMRGDVEVNIDNRSSFLRNCNIEETSKINHDTDGLSINSNKKLDCTSNILNSKFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNGCQIQGTKIQHPLAKGIIVQPQKEHEPETRLYETILTRQQNNVSKVVDAAEVLESSRAESGDMQLQKQSRWKGVDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYVSKSVKKILELNGEVGEQLKIVSLGIKMFESHRSKDCCSCAYRLSYEGLSLLLPYVSKRILYASPLDFHRLLQYRSINFAHFVDARFGEEAASLMPGCCVVVLLEGHKHVDSICKDPSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTEED >PAN35964 pep chromosome:PHallii_v3.1:6:41150817:41157114:1 gene:PAHAL_6G247800 transcript:PAN35964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDVRDVLKLDVYDEDILRMDDFLGQLRVPLEDVLAAEDLSLGTQWHQLLPKGKTDKAVDCGEICVSISLESAGATRSWSDDLAAELTDRERDYSLSSQSTAPSIALAYRETETCKEDSINEYSDGSETPAEDKCSEVTDRSQAATEERSKGNSNAALNGTETSSSKTDKPSFVDRVCQIFAKKNGDVVTTSLGSVEASEEVQDEPRGFEIPISQNDSACPEATFSELLKSLESRHEGVEMPVNLQGILVNQSYLASPSDLNNLLFSPDSDFKQTMVELQGCTDFKTEPWRLDNDGESLKRVVTYTTAPSKLVKAVRATEEQSYLKADGKEYAVLLSVSTPDVPCGTYFRTEILFRIMPGPELDSQQQTSHLVISWRMNFLQSTMMKGMIENGARQGLEQNYAQFSDLLSQKIKPVDVEGSGSDKDQVLASLQGDQESDWKIAFLYFCNFGVLSSLFVSIYVVLHVLKVKSSSVQGLEFTGLDLPDSLSEIVMGGLLFLQVQSILKKITCFVQARGQKGGDHGVKAQGDGWLLTVALIEGIKLAPVDATGFSDPYVVFTCNGKTKTSSIKFQTLEPQWNEIFEFDAMDDPPSVMSVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADLWVPLKGNLAQSWQSKLHLRIFLNNSKGTGMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCYLKRKLPTQGHLFLSPRTIGFYSSMFGRKTKFYFLWEDIEDIQGIPQSISSWSPSVVITLHRGRGMDAKHGAKSMDNGKLKFCLQSFASFSVAHRTIMALWKARSLSTELKVQLAEEQSQTNSLQSEDSGIFVGIEDAKGLQMTEVFSSTISTNMASLMEVFEGGSLEMKVMEKVGCQKYSATQWESDKPNEYQRQIHYKFSKKLSPVGGEVTGTQQKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIKYQIEDLAPKQRASNVQVFLGIEWSKSTRHQKRIEKNVLSSSSARLKEMFNVASRELSHAR >PAN35965 pep chromosome:PHallii_v3.1:6:41149794:41157553:1 gene:PAHAL_6G247800 transcript:PAN35965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDVRDVLKLDVYDEDILRMDDFLGQLRVPLEDVLAAEDLSLGTQWHQLLPKGKTDKAVDCGEICVSISLESAGATRSWSDDLAAELTDRERDYSLSSQSTAPSIALAYRETETCKEDSINEYSDGSETPAEDKCSEVTDRSQAATEERSKGNSNAALNGTETSSSKTDKPSFVDRVCQIFAKKNGDVVTTSLGSVEASEEVQDEPRGFEIPISQNDSACPEATFSELLKSLESRHEGVEMPVNLQGILVNQSYLASPSDLNNLLFSPDSDFKQTMVELQGCTDFKTEPWRLDNDGESLKRVVTYTTAPSKLVKAVRATEEQSYLKADGKEYAVLLSVSTPDVPCGTYFRTEILFRIMPGPELDSQQQTSHLVISWRMNFLQSTMMKGMIENGARQGLEQNYAQFSDLLSQKIKPVDVEGSGSDKDQVLASLQGDQESDWKIAFLYFCNFGVLSSLFVSIYVVLHVLKVKSSSVQGLEFTGLDLPDSLSEIVMGGLLFLQVQSILKKITCFVQARGQKGGDHGVKAQGDGWLLTVALIEGIKLAPVDATGFSDPYVVFTCNGKTKTSSIKFQTLEPQWNEIFEFDAMDDPPSVMSVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADLWVPLKGNLAQSWQSKLHLRIFLNNSKGTGMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCYLKRKLPTQGHLFLSPRTIGFYSSMFGRKTKFYFLWEDIEDIQGIPQSISSWSPSVVITLHRGRGMDAKHGAKSMDNGKLKFCLQSFASFSVAHRTIMALWKARSLSTELKVQLAEEQSQTNSLQSEDSGIFVGIEDAKGLQMTEVFSSTISTNMASLMEVFEGGSLEMKVMEKVGCQKYSATQWESDKPNEYQRQIHYKFSKKLSPVGGEVTGTQQKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIKYQIEDLAPKQRASNVQVFLGIEWSKSTRHQKRIEKNVLSSSSARLKEMFNVASRELSHAR >PAN35966 pep chromosome:PHallii_v3.1:6:41149849:41157120:1 gene:PAHAL_6G247800 transcript:PAN35966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDVRDVLKLDVYDEDILRMDDFLGQLRVPLEDVLAAEDLSLGTQWHQLLPKGKTDKAVDCGEICVSISLESAGATRSWSDDLAAELTDRERDYSLSSQSTAPSIALAYRETETCKEDSINEYSDGSETPAEDKCSEVTDRSQAATEERSKGNSNAALNGTETSSSKTDKPSFVDRVCQIFAKKNGDVVTTSLGSVEASEEVQDEPRGFEIPISQNDSACPEATFSELLKSLESRHEGVEMPVNLQGILVNQSYLASPSDLNNLLFSPDSDFKQTMVELQGCTDFKTEPWRLDNDGESLKRVVTYTTAPSKLVKAVRATEEQSYLKADGKEYAVLLSVSTPDVPCGTYFRTEILFRIMPGPELDSQQQTSHLVISWRMNFLQSTMMKGMIENGARQGLEQNYAQFSDLLSQKIKPVDVEGSGSDKDQVLASLQGDQESDWKIAFLYFCNFGVLSSLFVSIYVVLHVLKVKSSSVQGLEFTGLDLPDSLSEIVMGGLLFLQVQSILKKITCFVQARGQKGGDHGVKAQGDGWLLTVALIEGIKLAPVDATGFSDPYVVFTCNGKTKTSSIKFQTLEPQWNEIFEFDAMDDPPSVMSVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADLWVPLKGNLAQSWQSKLHLRIFLNNSKGTGMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCYLKRKLPTQGHLFLSPRTIGFYSSMFGRKTKFYFLWEDIEDIQGIPQSISSWSPSVVITLHRGRGMDAKHGAKSMDNGKLKFCLQSFASFSVAHRTIMALWKARSLSTELKVQLAEEQSQTNSLQSEDSGIFVGIEDAKGLQMTEVFSSTISTNMASLMEVFEGGSLEMKVMEKVGCQKYSATQWESDKPNEYQRQIHYKFSKKLSPVGGEVTGTQQKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIKYQIEDLAPKQRASNVQVFLGIEWSKSTRHQKRIEKNVLSSSSARLKEMFNVASRELSHAR >PAN34387 pep chromosome:PHallii_v3.1:6:7939765:7941380:1 gene:PAHAL_6G088900 transcript:PAN34387 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesi [Source: Projected from Oryza sativa (Os08g0245200)] MIKRLSIIDDLCLSDCSGYLNNPEATKNSIDADGWLHTGDVGLVDDDDEIFIVDRLKEIIKYKGLQVAPAELEALLITHPSIIDAAVVGKQVEPEIGEIPVAFVAKAEGSELSEDDVKQFVAKEVIYYKKVREVNFVDKIPKAPSGKILRKELRKQLQQQNPPAV >PVH36512 pep chromosome:PHallii_v3.1:6:7933759:7941380:1 gene:PAHAL_6G088900 transcript:PVH36512 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesi [Source: Projected from Oryza sativa (Os08g0245200)] MKGYLNNPEATKNSIDADGWLHTGDVGLVDDDDEIFIVDRLKEIIKYKGLQVAPAELEALLITHPSIIDAAVVGKQVEPEIGEIPVAFVAKAEGSELSEDDVKQFVAKEVIYYKKVREVNFVDKIPKAPSGKILRKELRKQLQQQNPPAV >PVH37068 pep chromosome:PHallii_v3.1:6:40208411:40209992:1 gene:PAHAL_6G234800 transcript:PVH37068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGLTFSYSFYYSIKVQVFFPCIAKKSIKKEQLFSLEEREMAAGRRRQVPVPPRRGRSAARHRLREPLNLDGDLRRYPHGGPRPLLAPPEVEPERVRDVLGAPPGAPERVGAPVGFEQQRVEHRVGVGRGGRRPLLAVEHPGGRHLDHLRHLRVPPPALPQHGAEPVQVGVHPVRGPRPPGRHRRRRRRAPGGQRRRGGGLRGGRVAAAAVGRRVAPELDPARGRAAAGRIEAAARARRRGGGLAVLRHRRRRRPRVQRLEAGVPGEEVEHVEAAAEDAVVGDEEHRVARQAGTAGQEAAVEERHEQRRAADGGARQPQRAVRVGGAGAPAARPQRAARDLQRRRGDGQVEGGPEPRAGAPVREPGPRGEPRGEHRAEVGREREPQLGLGARQLELREPREHGHPGRPEVRQHRGRERGEGVQAVPRGGRRRRGPRRGARGQAPQPRRVRRRGQAGHPAVHVHHCRPHDRPAARLPRMEGAVR >PAN33312 pep chromosome:PHallii_v3.1:6:988905:992515:1 gene:PAHAL_6G011000 transcript:PAN33312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGHCLRLLLLAVLAAAARGGGEERAPALFVFGDSLIDSGNNNNLASLAKANYFPYGIDFAGGPTGRFCNGYTIVDELAELLGLPLVPPYSQASSVQQVLQGANYASAAAGILDDSGGNFAGRIPFNQQIQNFESTVAQIAAAAGASAAAERLARSIVFVGMGSNDYLNNYLAPNYDTRQRYDPRQFADLLAHQFASQLTGRSQEVCGGRRGVDGVHPDRAGAERGGPVLAGGGRPRAAVQRQREGDARRPQRQPPRRPVHVPRQLPDLQSHPRQPGRLRVQRGGQGLLRHREERRADHVPAVHAAVRRPGALRVLGRVPPDGGGERHHRQGGLPRRRRRDGAHQRWAACQALSL >PAN33313 pep chromosome:PHallii_v3.1:6:988906:992515:1 gene:PAHAL_6G011000 transcript:PAN33313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGHCLRLLLLAVLAAAARGGGEERAPALFVFGDSLIDSGNNNNLASLAKANYFPYGIDFAGGPTGRFCNGYTIVDELAELLGLPLVPPYSQASSVQQVLQGANYASAAAGILDDSGGNFAGRIPFNQQIQNFESTVAQIAAAAGASAAAERLARSIVFVGMGSNDYLNNYLAPNYDTRQRYDPRQFADLLAHQFASQLTRLYRAGARKFVVAGVGSMGCIPTVLAQSVAGRCSPVVDGLVLPFNANVRAMLDGLNANLPGARFTYLDNFRIFKAILANPAAFGFSVVDRGCCGIGRNGGQITCLPFMPPCADRERYVFWDAYHPTAAVNVIIAREAFRGGADVMAPINVGQLARL >PAN36603 pep chromosome:PHallii_v3.1:6:44830367:44834319:-1 gene:PAHAL_6G296100 transcript:PAN36603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVVDAEYLRQVDRARRQLRALISNKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRFEEEYTHGSNAGLKIAIDLLEPIKAKNPKITYADLYQLAGVVAVEVTGGPTVEFVPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHTLGKAHPERSGFEGAWTQEPLKFDNSYFLELLKGESEGLLQLPTDKALLSDPEFRHYVELYAKDEDAFFKDYAESHKKLSELGFAPRGAASAKTDLPTGAVLAQGAFGVAVAAAVVVAGYLYEASRKAK >PAN36280 pep chromosome:PHallii_v3.1:6:42831481:42832849:-1 gene:PAHAL_6G270800 transcript:PAN36280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPRSCSSPRLLIFVLALAHWCSIAMASCSFTISNYCSQPIWPGTLAGAGTPQLPTTGFRLDPGQTVQVPAPPGWSGRLWARTGCAFDTGGKGACQTGDCGGRMECAGTGATPPATLFEVTLGKGAGDLDYYDVSLVDGYNLPVVAVPRARQGAGGGCNATGCMADLNRSCPKELQVDRGGGTVACRSACEAFGQDQYCCSGAYATPTTCRPTAYSSVFKSACPRAYSYAYDDGSSTFTCGNAADYTIAFCLALSGLQNPGAVPVGSPPAYGQSTSDGTGGTPPPSIDDNGVGSTNPPSPTTGNNGVGSTNLSPPSTDNNGVGCTDQPPPAASDGAGSTYQPPWMTLSSASTLHDQLWLVLPAVLLFLLWSFSP >PAN33760 pep chromosome:PHallii_v3.1:6:3112899:3117421:1 gene:PAHAL_6G042900 transcript:PAN33760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGGGENGGAVGDGEFYLRYYVGHKGKFGHEFRPDGKLHYANNSNYKNDTMIRKEVFVSPSILREARRIIQESDIMEDNSNWPEPDRIGQQELEIVMGNISPSPPPRSAPSSMSSPARTRRASRSSTTSSRI >PAN35690 pep chromosome:PHallii_v3.1:6:39486268:39487055:1 gene:PAHAL_6G227000 transcript:PAN35690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHLLLGSGRRPRQPGACGAAPRPRQTGGQGAGAAAGPRVAGGGEDGSGQERAAAAPQGAEAACHVRGERDLRVRAQSAGRLLGPRRGRPPAGRRGAQGRAPRCAPQGLLRLQHHGVRRVPAHPRHAAGEEALLQPEGAFNLGYAHSLFDVIYSILVNINLDISRTYAPFSLSTCTF >PAN33520 pep chromosome:PHallii_v3.1:6:1942782:1944201:1 gene:PAHAL_6G026900 transcript:PAN33520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTRALVPVAAMAAALVVAAVMEAAAAATYTVGAPDGLWDMQTDYAQWVKTKTFHPGDKITFTYSPELHDVVEVTEAGYGACSSANNISAFRTGNDVVALAAVGTRYFLCGLTGHCDSGMKIRVDVVPAGSSPGPDAAGPASSAAPPSASWAAGSAGIAAAALLLQRAVVVRPFW >PAN34178 pep chromosome:PHallii_v3.1:6:5718466:5719610:1 gene:PAHAL_6G074100 transcript:PAN34178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESRNTASSITEADSGTYTFEIDGYSLKIRSVGVGDFVRSGTFTVGGLDWAIRFYPNGIHKGSKQFFIASLELMSSNAEARARYVLGLCELTVIRESLLSENRAESEIEVPPSDMMEHFGNLLKEKKGVDVTFRVGGKTFEAHKIVLAARSPVFKAEFFGPMRESGTCCVTVEDMHPEVFSAQLHFIYNDLLPDMGDLEGNDYHEMMWHLLVAADRYAMDRMKLVCQSIICKNLHLETVAATLALAVQHNCDRLKAACIEFIAFPNNKDAVAVTKVMRISKGCALLCSLIYLREKVSSHNRDE >PVH36530 pep chromosome:PHallii_v3.1:6:8905923:8907826:-1 gene:PAHAL_6G093600 transcript:PVH36530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDETCWDHRLDDLTGPRGLGACLLLSCIWGGGTWGAPMELNWIASTRATGRQLAPRAEHADLSKLCESLSSFVLYPRKIEGSVEKITDIFRGA >PVH37045 pep chromosome:PHallii_v3.1:6:39715234:39718087:-1 gene:PAHAL_6G230000 transcript:PVH37045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPLRRRLRSPSPDAAVAPGGLDSLPPELRNEIPSRLPLRCAFCTAALARAWRRCWESVPFLCIKWPAGADPNTITSVLRRYSCPVREFCHSHIGEASFRHSDRWLRLLALRGVQTLNLDFERSDEESVHTLHPSIFSCRGLTVLKLRGGDIPTMPPGFAGFPNLTKLSLFNIGFPDEINVAMYSTHRDFAKLMTGLDSTKKLKIAIPLEDVNCVEGLSCNFNNLKSLSLQTSLHLLSGALSLFCMLRNAPILEVLDIEVICMMTTDYSEEEDEVDSDFLNAQWTDDLFSNLIRVGVTHVTCKLSEMCFIKFVLSKARRLREFHVCLDEGCPKSNEGAVTELVKYRTSPRAKVFFSRPQYD >PAN34118 pep chromosome:PHallii_v3.1:6:5335976:5338814:-1 gene:PAHAL_6G069600 transcript:PAN34118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAQRKEMADAVSYEEQRRRQVEANKRKLEELQLHHLSAAVREAAAKPSPAKKRKARVPRDAAAEPLRRSGRVANLPEKPKYREEVQDFGRKVRRTYGSRRKDLANRVYATDEERSHAITKAEELADELGSSFPLFVKPMTQSHVTGGFWLGLPTPFCRKYLPKRDETITLEDEEDDESETLYLTRKMGLSAGWRGFAIEHKLVDGDCLVFQLIERTKFKVYMIRASSYHEDEE >PAN34119 pep chromosome:PHallii_v3.1:6:5335976:5338843:-1 gene:PAHAL_6G069600 transcript:PAN34119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAQRKEMADAVSYEEQRRRQVEANKRKLEELQLHHLSAAVREAAAKPSPAKKRKARVPRDAAAEPLRRSGRVANLPEKPKYREEVQDFGRKVRRTYGSRRKDLANRVYATDEERSHAITKAEELADELGSSFPLFVKPMTQSHVTGGFWLGLPTPFCRKYLPKRDETITLEDEEDDESETLYLTRKMGLSAGWRGFAIEHKLVDGDCLVFQLIERTKFKVYMIRASSYHEDEE >PVH36793 pep chromosome:PHallii_v3.1:6:31974641:31977223:-1 gene:PAHAL_6G169200 transcript:PVH36793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGRGGTVGRGGGPTHLAILSQPPDTIHGSLGVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALLDEMAVVATKEYRSIVFQEPRFVQYFRLATPEMKYGRMNIGSRPSKRAEWWNRVSSCHPMDLCMDTDSLPPPSLAGLWCCLQAHHGEGH >PVH37249 pep chromosome:PHallii_v3.1:6:43091796:43094906:-1 gene:PAHAL_6G275100 transcript:PVH37249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRNFPRPVAQFDTLPGRPERLDLAVVSGSGGTNIVAVRRQQRQRRRLGVAVRTPRPVRPGPGPLLRHLPAPPRPLRLRRPHLGGRGATGGPLRVADWQSAETGDSTCSAPAAWPTWETANSASPGPSPSSTPAKTWCPRGLPSF >PAN34939 pep chromosome:PHallii_v3.1:6:18373991:18375575:-1 gene:PAHAL_6G124500 transcript:PAN34939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLARFELCSPWIPPPGQDASVLLRLPGRPSISTAAMEPLELQIDGMASPSKSTSRGAVQRQKVCSTSGSNRCKSRPRWREQQLQPQPPSPAPSLLLQFCNCRSLHCLQGVRQNAKLPDAQQL >PAN33668 pep chromosome:PHallii_v3.1:6:2653761:2658539:-1 gene:PAHAL_6G036900 transcript:PAN33668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMAAAVSLAAVLASAAAQAEVRWEVSYLTLEPLGDAQKVIAINNQFPGPLLNVTTNQNVRVNVQNNLDEPLLITWDGIQMRMNSWQDGVSGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPITVNNRATVPVPFGQPDGDITLFIGDWYTKSHIELRNMLDDGRDLGVPDAILINGRAPYRYDTTLVPDGLQYEIVGVEPGKTYRFRVHNVGISTSLNFRIQNHNMLLVEAEGTYTNQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFISNPQWSQVTGVAILQYSNSKGKASGPLPDAPNDYYDKYYSINQARSIRMNTSAGAARPNPQGSFHYGSINITQTFVLKNEAPLLINGKRRRTINRISYSPPETPLRLADLHNLTGVYTTDFPAMPSNVPAKVASSALNASYKGFLEIVFQNNDTDVQAYHLDGYSFFVVGMDYGEWTPDRRNEYNRWDAISRCTTQVFPGGWTAVLVSLDNVGIWNLRAEKLDNWYRGHEVYVKVPDPLGYNITEMVTPDNVLYCGLLKDRQKPQVHESNSKSSAQAEAGCSNRFLATVMLVVAAVIFS >PVH36276 pep chromosome:PHallii_v3.1:6:2653761:2658258:-1 gene:PAHAL_6G036900 transcript:PVH36276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSVHFFQVIAINNQFPGPLLNVTTNQNVRVNVQNNLDEPLLITWDGIQMRMNSWQDGVSGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPITVNNRATVPVPFGQPDGDITLFIGDWYTKSHIELRNMLDDGRDLGVPDAILINGRAPYRYDTTLVPDGLQYEIVGVEPGKTYRFRVHNVGISTSLNFRIQNHNMLLVEAEGTYTNQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFISNPQWSQVTGVAILQYSNSKGKASGPLPDAPNDYYDKYYSINQARSIRMNTSAGAARPNPQGSFHYGSINITQTFVLKNEAPLLINGKRRRTINRISYSPPETPLRLADLHNLTGVYTTDFPAMPSNVPAKVASSALNASYKGFLEIVFQNNDTDVQAYHLDGYSFFVVGMDYGEWTPDRRNEYNRWDAISRCTTQVFPGGWTAVLVSLDNVGIWNLRAEKLDNWYRGHEVYVKVPDPLGYNITEMVTPDNVLYCGLLKDRQKPQVHESNSKSSAQAEAGCSNRFLATVMLVVAAVIFS >PAN36498 pep chromosome:PHallii_v3.1:6:44288346:44290865:-1 gene:PAHAL_6G288100 transcript:PAN36498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCYSAYTCSRKLRGQISFVLPVPEPDRDDRSSSDAASTSTASPSPSPRADSTPAVVRTTAAEFARRYVLGRELGRGEFGVTRRCRDAATGEALACKTIRRPRRRPPRRLGGAAGNPQGAQDRAAAAAAAHAADVQREVAIMRRMSARGGAAVVRLREACCEDAAAGGAVHLVMELCEGGELFDRIVARGHYSERAAANLFRTIVDVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFNPGDRFTEVVGSAYYMAPEVLKRSYGPEVDVWSAGVMLYILLCGVPPFWGDNDEKIAQAILRGGIDFNREPWPRVSGNAKDLVRRMLDPDPSTRLTARQVLEHPWLKNADAAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARNLPVEELDKYVQMFRLMDKDQNGNLSLEELMDGLHINGQPVPESEIRMLMEAADTDGNGTLDCDEFVTVSLHLKKMTNDEYLASAFRYFDKDGSGFIELEELREELGPNEQVILDIIRDVDTDQDGRISYQEFELMMKAGTDWRNGSRQYSRANFNSLSRKLCKDMS >PAN34184 pep chromosome:PHallii_v3.1:6:6358788:6359317:1 gene:PAHAL_6G078300 transcript:PAN34184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILSGLECGCQNLLLKQTEICQEMHKNLFGLETICWRIWTARKAIHFEALSLSELLLSLIHKMWGGMKVYTEIHPGVRVCGIYGSIAAKLWFQNPMSCNLNLFAILKCFCIYLCCLSMKRFCNDTAIFSIHWLTSFV >PAN35040 pep chromosome:PHallii_v3.1:6:33449968:33451223:1 gene:PAHAL_6G175400 transcript:PAN35040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVLAWHVCELLHLPNLLEDSFWHVCELLHFQTFSNTGSGMFVNYYIFWTLSMTSGMFVNYHIFQTFSRTASEIVFLAKLGVSTST >PAN33704 pep chromosome:PHallii_v3.1:6:2826517:2827624:-1 gene:PAHAL_6G039200 transcript:PAN33704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPTHVLLRWPHELALDRQRSQSRPPSLCRFACYCQYRTSSSKSLLVLVVNHPVHDAAAGWARPGRRQVPGGEQGRVGGGRTEEGLVHPVRPSVSARRPWLPPAACADAPRRRQEPPRPPARRPAAAQTRRVPGCRGCSRRVRLARSPAPALPIPLQCTIALLGTAAEWEKHQSCVVCSSNPTGHDTSLQFG >PAN33701 pep chromosome:PHallii_v3.1:6:2826072:2827750:-1 gene:PAHAL_6G039200 transcript:PAN33701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPTHVLLRWPHELALDRQRSQSRPPSLCRFACYCQYRTSSSKSLLVLVVNHPVHDAAAGWARPGRRQVPGGEQGRVGGGRTEEGLVHPVRPSVSARRPWLPPAACADAPRRRQEPPRPPARRPAAAQTRRVPGCRGCSRRVRLARSPAPALPIPLQCTIALLGTAAEWEKHQSCAADSPL >PAN33703 pep chromosome:PHallii_v3.1:6:2826319:2827624:-1 gene:PAHAL_6G039200 transcript:PAN33703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPTHVLLRWPHELALDRQRSQSRPPSLCRFACYCQYRTSSSKSLLVLVVNHPVHDAAAGWARPGRRQVPGGEQGRVGGGRTEEGLVHPVRPSVSARRPWLPPAACADAPRRRQEPPRPPARRPAAAQTRRVPGCRGCSRRVRLARSPAPALPIPLQCTIALLGTAAEWEKHQSCVVCSSNPTGPQTRHYNWAAGDKHNPGRFGSISPAPGTIIANATDGYVCAPGGDADKD >PAN33700 pep chromosome:PHallii_v3.1:6:2826446:2827624:-1 gene:PAHAL_6G039200 transcript:PAN33700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPTHVLLRWPHELALDRQRSQSRPPSLCRFACYCQYRTSSSKSLLVLVVNHPVHDAAAGWARPGRRQVPGGEQGRVGGGRTEEGLVHPVRPSVSARRPWLPPAACADAPRRRQEPPRPPARRPAAAQTRRVPGCRGCSRRVRLARSPAPALPIPLQCTIALLGTAAEWEKHQSCVAADSPL >PAN33702 pep chromosome:PHallii_v3.1:6:2826072:2827751:-1 gene:PAHAL_6G039200 transcript:PAN33702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPTHVLLRWPHELALDRQRSQSRPPSLCRFACYCQYRTSSSKSLLVLVVNHPVHDAAAGWARPGRRQVPGGEQGRVGGGRTEEGLVHPVRPSVSARRPWLPPAACADAPRRRQEPPRPPARRPAAAQTRIALLGTAAEWEKHQSCAADSPL >PAN35443 pep chromosome:PHallii_v3.1:6:40861624:40865827:-1 gene:PAHAL_6G243600 transcript:PAN35443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTTMGSTSLFLPTAGAGAAAAATARRHPFFSHRSHSHVPTTRLRREPRFVAVSAAASPASPASSLDALIFDCDGVILESENLHRQAYNDAFAHFGVRCPPASADPLYWDEAFYDELQNRIGGGKPKMRWYFGENGWPSSKIFETPPSTDSDKEKLVDIIQVWKTERYKEIINSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPTIYITAAEKLGVESKNCLVVEDSVIGLQAAKGAGMSCIITYTASTASQDFTDAIATYPDLSNVRLEDLKLLLQKTLVTG >PVH36954 pep chromosome:PHallii_v3.1:6:37953836:37956443:-1 gene:PAHAL_6G208300 transcript:PVH36954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRYFRQDHGDNSASSSSSSSGSDSDRDVAEEAETDDEVEQQEVEQVEAVGEESGEEEEKELEQQIQEESSGYQSEESSGNVVDSPSVDDDHSSPRDQECHEISLPVKKSSRANADSAKSGANTDDAVEADFANYILKCKSVYKCKLCPRIMCLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEEETHAERHARTIALAQQVQKPKKDSGRQRQNRRKKVIGSLLFACALFVEHISKAFRCHLENLLSSKFVLYHAFIILDDLKQHTTAEVMF >PAN35429 pep chromosome:PHallii_v3.1:6:37952928:37956793:-1 gene:PAHAL_6G208300 transcript:PAN35429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRYFRQDHGDNSASSSSSSSGSDSDRDVAEEAETDDEVEQQEVEQVEAVGEESGEEEEKELEQQIQEESSGYQSEESSGNVVDSPSVVSDDDHSSPRDQECHEISLPVKKSSRANADSAKSGANTDDAVEADFANYILKCKSVYKCKLCPRIMCLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEEETHAERHARTIALAQQVQKPKKDSGRQRQNRRKKRSRNHQEKKQEAQGSNKKQRKAKG >PAN35430 pep chromosome:PHallii_v3.1:6:37953836:37956443:-1 gene:PAHAL_6G208300 transcript:PAN35430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRYFRQDHGDNSASSSSSSSGSDSDRDVAEEAETDDEVEQQEVEQVEAVGEESGEEEEKELEQQIQEESSGYQSEESSGNVVDSPSVVSDDDHSSPRDQECHEISLPVKKSSRANADSAKSGANTDDAVEADFANYILKCKSVYKCKLCPRIMCLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEEETHAERHARTIALAQQVQKPKKDSGRQRQNRRKKVIGSLLFACALFVEHISKAFRCHLENLLSSKFVLYHAFIILDDLKQHTTAEVMF >PAN35431 pep chromosome:PHallii_v3.1:6:37952928:37956794:-1 gene:PAHAL_6G208300 transcript:PAN35431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRYFRQDHGDNSASSSSSSSGSDSDRDVAEEAETDDEVEQQEVEQVEAVGEESGEEEEKELEQQIQEESSGYQSEESSGNVVDSPSVDDDHSSPRDQECHEISLPVKKSSRANADSAKSGANTDDAVEADFANYILKCKSVYKCKLCPRIMCLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEEETHAERHARTIALAQQVQKPKKDSGRQRQNRRKKRSRNHQEKKQEAQGSNKKQRKAKG >PAN35750 pep chromosome:PHallii_v3.1:6:39841922:39849311:1 gene:PAHAL_6G231400 transcript:PAN35750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASWLLLATLAISLLCYLANLRRRSGAPRRPPGPRPLPLIGNILDLRGGNLHHTLAGLARAHGPVMRLELGLAAAVVVSSRGAAMEAFTRHDRRLAARAVPDAVRALGFADRSVVWMPSSDPRWKNLRGIVAAHIFAPRSLAAARGVRERKVRDLVDYLRGRAGEEVEVGQAMYGGALNLLSNAFCSADVVNVGAGSAQGLRKVVEDLVSLIAKPNVSDLFPFLRPLDLQGRRRHAARQIEKVLRVLDGIVDRRLASSASPTDKQQGDFLDTLLELMSTGKITREDVTTILSDVFIAGSDTIAITVEWTMAELLRNPTAMGKVRAEINGALGGKDAIEEPDAAGLPYLHAVMKEAMRLHPVAPILLPRRAAEDGVEIGGYAVSKGSTVIFNAWAIMRDPVCWERPNEFRPERFLDEAAKVDFRGKDFEFIPFGSGRRVCPGVPMAERVVPLILASLLRAFEWRLPDGMSSEKLDVREKFTTANVMAVPLKAVPVIVA >PVH37052 pep chromosome:PHallii_v3.1:6:39841920:39845048:1 gene:PAHAL_6G231400 transcript:PVH37052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASWLLLATLAISLLCYLANLRRRSGAPRRPPGPRPLPLIGNILDLRGGNLHHTLAGLARAHGPVMRLELGLAAAVVVSSRGAAMEAFTRHDRRLAARAVPDAVRALGFADRSVVWMPSSDPRWKNLRGIVAAHIFAPRSLAAARGVRERKVRDLVDYLRGRAGEEVEVGQAMYGGALNLLSNAFCSADVVNVGAGSAQGLRKVVEDLVSLIAKPNVSDLFPFLRPLDLQGRRRHAARQIEKVLRVLDGIVDRRLASSASPTDKQQGDFLDTLLELMSTGKITREDVTTILSDVFIAGSDTIAITVEWTMAELLRNPTAMGKVRAEINGALGGKDAIEEPDAAGLPYLHAVMKEAMRLHPVAPILLPRRAAEDGVEIGGYAVSKGSTVIFNAWAIMRDPVCWERPNEFRPERFLDEAAKVDFRGKDFEFIPFGSGRRVCPGVPMAERVVPLILASLLRAFEWRLPDGMSSEKLDVREKFTTANVMAVPLKAVPVIVA >PAN35457 pep chromosome:PHallii_v3.1:6:40983623:40990489:1 gene:PAHAL_6G245100 transcript:PAN35457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAVSVGKAVLDGALGYAKSIVAEEIALQLGIERDVIFIGDELEMMRSFLLTADEEHDKHKVLLTWVNQVRELAYNVEDSLMDFALQSEKKPFCWCIPRNLWQRRSIAKEVKELRTRVEDVSNRNLRYRLIKGSSSTNAAVEVQGSVSSASLFQINEARWAAQEQETLKMSLHQLITSDDTDLRVFAVWGTGGDLGKTSTIREAYDHQDIYEKFGCRAWVKLTTPFLQNKFFHDLLRQFYVYSREVTGKSNQGNASGYNVLKELEKMERSHIVDQVSAHVNEKRYLVVIEGLSTIVEWDCIKTYFPDKKNGSRIIVSTQHVEIGSLCTEQPYQVTELKELSPNHSIYLFHNRVEPRSRNAMSIDGINSTMQSSSSEIQADAQQIGEGGDSIESKLDRSKTMVVIDEDFVGRTNDKTQLINLILQTEDGHTCKVISVWGMGGVGKTSLVQSVYRSQELGSLKHAWVTAVRPFNYESIIRNLVWQLQKDIQEDPAEASQKKREIQPGKTMEQELAKKTMEQELAHLLQTQKCLIVIDDLSSIEEWNLIKEHLAKATRIIVTSREKYVAKYCSREDMNIYGLQSLEYAAAFDLFKRKECWTSNYMYTAVKTEDVFKDSSEKIELSPDMMEQARLILKKCNGLPLAISTIGAFLATRHKSSIEWRKTNDRISTELEINPELRTIKTVLVRSYDGLPYHLKSCFLYLSIFPEDYNIRRKRVIRRWDAEGYLREMHGMTAAEVGDEYFDGLLDRSMILQGGKVIISGSKVDSCQLHDIMRHICISKAREENLIFTLDEGCILGKMTGAIRHLVISSSWKRDKDMFQRWLDLSHVRSLTVFGEWKSFFLYSKMKFLRVLDFEDTLGLQNHHLDKIMELIHLKYLSLRRCKSISKLPNSLGNLSHLETLDVRGTLILKFPTTITKLWKLQHLLAVHADPSGKLKGLRTLCDVYVNDTNLSATLMELKQLTQLCKLRLVCCTRNEKAGEKLWSAIDGHRHLQSFSLKFYGCNLESQLGGTLSPPKSIDSLKLCARLVQVSQWIHKLQNLSKLQLRGTDLNQDGIHALGKLPNLAVLHMWWNSVQQKQLQFIQFFFPSLILLDLRDLHELEYVKFEDGTMPKLELLQVSGKWSKLQEFSGLQYLMKLKEIHVNGEFRLTMDNAENLLVDCPNHVSLKLMETKS >PAN35931 pep chromosome:PHallii_v3.1:6:40983554:40990489:1 gene:PAHAL_6G245100 transcript:PAN35931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAVSVGKAVLDGALGYAKSIVAEEIALQLGIERDVIFIGDELEMMRSFLLTADEEHDKHKVLLTWVNQVRELAYNVEDSLMDFALQSEKKPFCWCIPRNLWQRRSIAKEVKELRTRVEDVSNRNLRYRLIKGSSSTNAAVEVQGSVSSASLFQINEARWAAQEQETLKMSLHQLITSDDTDLRVFAVWGTGGDLGKTSTIREAYDHQDIYEKFGCRAWVKLTTPFLQNKFFHDLLRQFYVYSREVTGKSNQGNASGYNVLKELEKMERSHIVDQVSAHVNEKRYLVVIEGLSTIVEWDCIKTYFPDKKNGSRIIVSTQHVEIGSLCTEQPYQVTELKELSPNHSIYLFHNRVEPRSRNAMSIDGINSTMQSSSSEIQADAQQIGEGGDSIESKLDRSKTMVVIDEDFVGRTNDKTQLINLILQTEDGHTCKVISVWGMGGVGKTSLVQSVYRSQELGSLKHAWVTAVRPFNYESIIRNLVWQLQKDIQEDPAEASQKKREIQPGKTMEQELAKKTMEQELAHLLQTQKCLIVIDDLSSIEEWNLIKEHLAKATRIIVTSREKYVAKYCSREDMNIYGLQSLEYAAAFDLFKRKVFKDSSEKIELSPDMMEQARLILKKCNGLPLAISTIGAFLATRHKSSIEWRKTNDRISTELEINPELRTIKTVLVRSYDGLPYHLKSCFLYLSIFPEDYNIRRKRVIRRWDAEGYLREMHGMTAAEVGDEYFDGLLDRSMILQGGKVIISGSKVDSCQLHDIMRHICISKAREENLIFTLDEGCILGKMTGAIRHLVISSSWKRDKDMFQRWLDLSHVRSLTVFGEWKSFFLYSKMKFLRVLDFEDTLGLQNHHLDKIMELIHLKYLSLRRCKSISKLPNSLGNLSHLETLDVRGTLILKFPTTITKLWKLQHLLAVHADPSGKLKGLRTLCDVYVNDTNLSATLMELKQLTQLCKLRLVCCTRNEKAGEKLWSAIDGHRHLQSFSLKFYGCNLESQLGGTLSPPKSIDSLKLCARLVQVSQWIHKLQNLSKLQLRGTDLNQDGIHALGKLPNLAVLHMWWNSVQQKQLQFIQFFFPSLILLDLRDLHELEYVKFEDGTMPKLELLQVSGKWSKLQEFSGLQYLMKLKEIHVNGEFRLTMDNAENLLVDCPNHVSLKLMETKS >PAN34137 pep chromosome:PHallii_v3.1:6:6011742:6012881:-1 gene:PAHAL_6G075600 transcript:PAN34137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRTHVKTASTCRPPETVQGTHVFDILGYSEHRGIGAHSSIRSGVFDVAGYSWVIFFYPDGYGEEAGGFDFVSAYLRLLSTGCGKVRASCDLRLVNPATGAAVSVHPSLVAVRELDPDGDGSKICHCMCISRGELEGTYLRDDRLTMECVVTVRKEPRVSKSRAFPSIRVPGSNLKRQLAGLLESREGADVAFAVAGETFSAHRLVLAMRSPVFKAELCGPMREVGAQPIVIEDMQPDVFRAMLYFIYTDSMDHNDDLGRDYHSKNCDMVRHLLVAADRYAIERLKLTCQSILCSNLDVKNVATTLALADQHHCDRLKQACIEFMCCSNNMEAVVDTQGYKDLATTSPSVLADAMVRMSKVGKKLTKRALGDAPNKSA >PAN33843 pep chromosome:PHallii_v3.1:6:3708958:3710562:-1 gene:PAHAL_6G050800 transcript:PAN33843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative HAP3 subunit of the CCAAT box-binding transcription factor, Flowering time, Short-day promotion, Long-day repressio [Source: Projected from Oryza sativa (Os08g0174500)] MKSRKGYLLSPVGSPPSDNESGAAAAAGCGSSAGYGGGGGGGDSPAKDQGRLLPIANVSRIMKRSLAANAKISKEAKEMVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGDGGAADDGPLGAGAIAAPGDRAGHDAGASADHVGLMMGVGGGFGAAGGGTSYYAAAGRAYGGDGSKVVEFDGEEENGRGMQRGFGGGHLHGAVQW >PAN34113 pep chromosome:PHallii_v3.1:6:5328875:5330459:-1 gene:PAHAL_6G069500 transcript:PAN34113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETPELVAPATFLRGEEGASPESVRARFERMIRRMQAEVCAELEAVEGGASDGGGAALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDIKHFHSVQKQTCDKFDPSFYPRFKKWCDDYFYIKHRGERRGVGGIFFDDLSDYDQKTLLQFATGIISSLVVFSVKVSLDINSPHVFMVLRISPQRGRL >PAN34116 pep chromosome:PHallii_v3.1:6:5327252:5330499:-1 gene:PAHAL_6G069500 transcript:PAN34116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETPELVAPATFLRGEEGASPESVRARFERMIRRMQAEVCAELEAVEGGASDGGGAALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDIKHFHSHRGERRGVGGIFFDDLSDYDQKTLLQFATECADSVLPAYIPIIERRKDIPFTEEHKAWQQLRRGL >PAN34109 pep chromosome:PHallii_v3.1:6:5327253:5330499:-1 gene:PAHAL_6G069500 transcript:PAN34109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETPELVAPATFLRGEEGASPESVRARFERMIRRMQAEVCAELEAVEGGASDGGGAALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDIKHFHSVQKQTCDKFDPSFYPRFKKWCDDYFYIKHRGERRGVGGIFFDDLSDYDQKTLLQFATECADSVLPAYIPIIERRKDIPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEVGSEEWKLLDTCINPKEWIRLVQKFLLFQYLTIKMSLWSLYGSWAHCLHVRRNAIFFFLFCLVAM >PAN34115 pep chromosome:PHallii_v3.1:6:5327352:5330459:-1 gene:PAHAL_6G069500 transcript:PAN34115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETPELVAPATFLRGEEGASPESVRARFERMIRRMQAEVCAELEAVEGGASDGGGAALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDIKHFHSHRGERRGVGGIFFDDLSDYDQKTLLQFATECADSVLPAYIPIIERRKDIPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEVGSEEWKLLDTCINPKEWIRLVQKFLLFQYLTIKMSLWSLYGSWAHCLHVRRNAIFFFLFCLVAM >PVH36407 pep chromosome:PHallii_v3.1:6:5328875:5330459:-1 gene:PAHAL_6G069500 transcript:PVH36407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETPELVAPATFLRGEEGASPESVRARFERMIRRMQAEVCAELEAVEGGASDGGGAALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDIKHFHSHRGERRGVGGIFFDDLSDYDQKTLLQFATGIISSLVVFSVKVSLDINSPHVFMVLRISPQRGRL >PAN34112 pep chromosome:PHallii_v3.1:6:5327253:5330498:-1 gene:PAHAL_6G069500 transcript:PAN34112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETPELVAPATFLRGEEGASPESVRARFERMIRRMQAEVCAELEAVEGGASDGGGAALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDIKHFHSVQKQTCDKFDPSFYPRFKKWCDDYFYIKHRGERRGVGGIFFDDLSDYDQKTLLQFATECADSVLPAYIPIIERRKDIPFTEEHKAWQQLRRGL >PVH36227 pep chromosome:PHallii_v3.1:6:1811624:1816738:1 gene:PAHAL_6G024600 transcript:PVH36227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAWALGLAAWEEAAAFAGDPWALLASATARASDASRCPSAVSQRARGRVVFLPCGLAAGSSVTVVGTPRAAHREFVPQLARMRQGDGTVMVSQFMVELQGLRAVDGEEPPRILHLNPRLRGDWSQHPILEHNTCYRMQWGAAQRCDGTPADDNDDKVDGFPKCEKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFAEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEEATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSRPLPKRSVSLFIGILSASNHFAERMAVRKTWMQTPEIKSSEAVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAANIMKCDDDTFVRVDVVLRHIKLNNSGKPLYLGNLNLLHRPLRTGKWAVTDEEWPEDIYPPYANGPGYVISRDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNSTKPVQYSHSWRFCQYGCLENYYTAHYQSPRQMLCLWDKLIRGRASCCNYR >PVH36228 pep chromosome:PHallii_v3.1:6:1811624:1816738:1 gene:PAHAL_6G024600 transcript:PVH36228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAWALGLAAWEEAAAFAGDPWALLASATARASDASRCPSAVSQRARGRVVFLPCGLAAGSSVTVVGTPRAAHREFVPQLARMRQGDGTVMVSQFMVELQGLRAVDGEEPPRILHLNPRLRGDWSQHPILEHNTCYRMQWGAAQRCDGTPADDNDDKVDGFPKCEKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFAEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEEATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSRPLPKRSVSLFIGILSASNHFAERMAVRKTWMQTPEIKSSEAVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICPELDCCKHNEMR >PAN33826 pep chromosome:PHallii_v3.1:6:3649529:3650194:1 gene:PAHAL_6G049700 transcript:PAN33826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPARSLLLQLRRYASSGLGALYRPSPSAARLLPKAAAAACRQQEEAGGHRAHDGAASATSVPREAGVAAAAELRAARRAGASPPKGEDPAKKKPKQGDGGNGGKHN >PAN33744 pep chromosome:PHallii_v3.1:6:3065699:3069711:1 gene:PAHAL_6G042100 transcript:PAN33744 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLG (mixed-linkage glucan) synthase, Biosynthesis of MLG (cell wall polysaccharide [Source: Projected from Oryza sativa (Os08g0160500)] MLLTYEAMVEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRSQEDFVNDRRRVRKEYDEFKARINGLEHDIKQRSDAYNAARGLKDGEPRATWMADGSQWEGTWVEPSENHRKGDHAGIVLVLVNHPSHSRQLGPPASADNPLDFSMVDVRLPMLVYVSREKRPGFNHEKKAGAMNALTRCSAVLTNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRVTLYGFDPPRINVGGPCFPSLGGMFAKTKYEKPGLEMTTKAAVAKGKHGFLPLPKKAYGKSDAFVDSIPRASHPSPFADAAAVVADEATISEAVAVTTAAYEKKTGWGSNIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVVVKVVFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVTPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGGKHGHGGGMGRHAHHHAGSTHVTELYGWP >PAN33743 pep chromosome:PHallii_v3.1:6:3063217:3069711:1 gene:PAHAL_6G042100 transcript:PAN33743 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLG (mixed-linkage glucan) synthase, Biosynthesis of MLG (cell wall polysaccharide [Source: Projected from Oryza sativa (Os08g0160500)] MAPGGGDGRRNGEQPQQASGGRHGCACGFPVCACAGAAAVASAASSADMDRAAVAATEGQIGAVNDESWVAVDLSDDLSGDGGDDGVAIEDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRISHRNPDALWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRQRFDRADGTSRLPGLDIFVTTADPFKEPILSTANSILSILAADYPVEKNTCYLSDDSGMLLTYEAMVEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRSQEDFVNDRRRVRKEYDEFKARINGLEHDIKQRSDAYNAARGLKDGEPRATWMADGSQWEGTWVEPSENHRKGDHAGIVLVLVNHPSHSRQLGPPASADNPLDFSMVDVRLPMLVYVSREKRPGFNHEKKAGAMNALTRCSAVLTNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRVTLYGFDPPRINVGGPCFPSLGGMFAKTKYEKPGLEMTTKAAVAKGKHGFLPLPKKAYGKSDAFVDSIPRASHPSPFADAAAVVADEATISEAVAVTTAAYEKKTGWGSNIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVVVKVVFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVTPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGGKHGHGGGMGRHAHHHAGSTHVTELYGWP >PAN35676 pep chromosome:PHallii_v3.1:6:39398185:39398883:1 gene:PAHAL_6G226000 transcript:PAN35676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGAAGASRSDGEGSPRPPAERRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRAGSLNFPESPPNVRHIPGVLLTPEQIQAEAVRHANQPTTSSPVASSASTAVSLSQQAAAAGGASIDRTALSLPSTCGGGAALAGSDDALDWSFMDTLPSMPASSAGTTAGPHHIVPALDDFMYGSPHPVMPSSGEASQDMIDGYDDHTFISDDLWRF >PVH36369 pep chromosome:PHallii_v3.1:6:4633517:4637105:1 gene:PAHAL_6G061400 transcript:PVH36369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPSRYRCIQGITVHCVLRKLKRAAQECDDTLHKCKQRILEDEETEKRVRNSPFPVRIAHTTKSFVFSIFSPNKDESNSSIVRRFEWFADGASEFLRLVELGGTPYCHMPFDPLIRHLLTGKKLQHRIIRANKCPLFLQLVPFITAEYRVEARLIFIQTDGNASEDDFFLSIMLQLSESIDIVGTAIKCLQPYAPLFKSTVETIRKELVQLATEDFSWVPHVDTHHKEHWDNLHSFGTDWFRPNPLCCKQNDQHKLYHGRKLNTSRLPDVSLEPVIEVHLQCYISLSECSQHRSLLFDTKKSLQDSPYLKVGLLLTPHGYLEDILLVGRSHAIPAIYSKEPHCLHTDFTFGQLGEIMLPKAIDYFCKNDEATVYQMLWKPKHGTAYIQVEKASMSSQRTSMRTRRNFQGPRKGKMLQWHDQEIGRHTNTIFQFLNLWVAHAPVQLQGSIVDWIQKEKESQLAASQLHLKF >PAN33972 pep chromosome:PHallii_v3.1:6:4633517:4637104:1 gene:PAHAL_6G061400 transcript:PAN33972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVRSAVVQETVSQILSNLVQNYEEKEESNANRNMERLEMAHIRLEAALETSEKWQITDASLLRWRRKLKRAAQECDDTLHKCKQRILEDEETEKRVRNSPFPVRIAHTTKSFVFSIFSPNKDESNSSIVRRFEWFADGASEFLRLVELGGTPYCHMPFDPLIRHLLTGKKLQHRIIRANKCPLFLQLVPFITAEYRVEARLIFIQTDGNASEDDFFLSIMLQLSESIDIVGTAIKCLQPYAPLFKSTVETIRKELVQLATEDFSWVPHVDTHHKEHWDNLHSFGTDWFRPNPLCCKQNDQHKLYHGRKLNTSRLPDVSLEPVIEVHLQCYISLSECSQHRSLLFDTKKSLQDSPYLKVGLLLTPHGYLEDILLVGRSHAIPAIYSKEPHCLHTDFTFGQLGEIMLPKAIDYFCKNDEATVYQMLWKPKHGTAYIQVEKASMSSQRTSMRTRRNFQGPRKGKMLQWHDQEIGRHTNTIFQFLNLWVAHAPVQLQGSIVDWIQKEKESQLAASQLHLKF >PAN35677 pep chromosome:PHallii_v3.1:6:39418659:39420530:1 gene:PAHAL_6G226100 transcript:PAN35677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVMDGSAVEELIRRLLEGKKHKAPGKKVLLTEAEIRNLCVTAKEVFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEYGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLSRIREIQRPVDVPDQGLLCDLLWSDPDRESSGWGDNDRGVSFTFGADKVAEFLNKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKAQTE >PAN36752 pep chromosome:PHallii_v3.1:6:45574026:45574712:-1 gene:PAHAL_6G307400 transcript:PAN36752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTLLCFVLLVMAVVAAADEAAVASASADDKPVVVAPKPPNTKPQPGDQPKKPMPHQPYQPKPQPEPDHPTKPTPHPKPTPEQPTKPKPMPQPYPKPKPEPQPGGPKPKPKPPAYSTGTPDDGN >PVH36301 pep chromosome:PHallii_v3.1:6:3051145:3051411:1 gene:PAHAL_6G042000 transcript:PVH36301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDYTPVGAGNRAPNYCQATKLVAASTCLRHLDRFIFVSEGLRTRKSPERMKSGGPSSLRACLERRNSLCSTNYTRRWDLFFPTKLF >PAN33299 pep chromosome:PHallii_v3.1:6:913012:918526:-1 gene:PAHAL_6G009900 transcript:PAN33299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial (EC 1.3.5.1) (FP) (Flavoprotein subunit of complex II) [Source: Projected from Oryza sativa (Os07g0134800)] MWRSCVSRGLSRAKASASRLLSTAPSFYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDNEGNCQGVIALNMEDGTLHRFRASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDAVVPGLLAAGEAACASVHGANRLGANSLLDIVVFGRACANRVANISKPGQKQKPLEKDAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKTWESFHDVKLSDRSLIWNSDLIETLELENLLINACITMYSAEARKESRGAHAREDFTTRDDENWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >PAN34039 pep chromosome:PHallii_v3.1:6:5013653:5014885:-1 gene:PAHAL_6G065900 transcript:PAN34039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMDAAAACVPALPEDVVSEILTRVPDVVSLFRCASVCHLWRPPRRSPSSSRPGRRFLSSFVRDDAGILDQAKPVAARGGLLLVRVSHRPRDKITVLRLCVCDLLAGKRDLPPLDVAPFGDEGVRGYAVLTAADHGAGHHQPADGYSTFFQVLLTGVDHEDGRAFILKFSSAAAASRSWSCIQGSLAGPYGSRVAAVTRGTARWLFHGNSSSPNGPSLYTIDVGVGIGSVSATKLPLDMLPRIMRVDRSNVWLCLSTDERLSLIYLHNNHLWILTKKDGDLGGTGNWMCTRAVQVGVELGLFGMESLSMVSVGEKSGTSGSTTKLAGWKRSFNYMTAVAHEINWLEFFMSRLGVRQ >PAN34979 pep chromosome:PHallii_v3.1:6:22296182:22299860:1 gene:PAHAL_6G135800 transcript:PAN34979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAAAAASALPASAGSGENLVVILDYGSQYTHLITRRVRQLGVLSLCVSGTAPLAALEGLRPRAIVLSGGPHSVHAKGAPTFPEGFLDFADGAGAHVLGVCYGMQLLVQSLGGAVEPGERQEYGKMDLEVTAPSSALYGEAGTEKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAIENREKRFYGFQYHPEVTHSPQGMETLRRFLFDVCGIRADWKMQDVLDEEIKTIQSMVGTDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMSTFESDLHLPVTCVDASEQFLSKLKGVEDPEQKRKIIGREFIAVFDDFAHKLEQKIGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPDSFLKRHPFPGPGLAVRVLGDVTQGNALDTLRQVDEIFVQAIKDAGLYDKIWQAFAVFLPVQTVGVQGDQRTHSNAVVLRAITSEDGMTADWYYFGHEFLVDVVNKICNNVRGINRVCQDITSKPPATVEWE >PAN33546 pep chromosome:PHallii_v3.1:6:2072115:2074829:-1 gene:PAHAL_6G028800 transcript:PAN33546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDTNPAAFSAFGDDAGSFQPLNPEDVRSYLHKAVDFISDYYKSVESLPVLPDVKPGYLQGELQAAPPASSAPFDVAMKELRAAVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPASFMNRTAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSEGVSGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSSNAVDPVGAIADVAAVFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDTHRLTDSLETSPEYLKNDASESGTVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEDSVRSDDRFEVVVPRNFALVCFRIKPSRGMTEEDADEANRELMERLNRTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRSAWELIKKTTAEIMQEKIVVE >PAN36033 pep chromosome:PHallii_v3.1:6:41399837:41403043:-1 gene:PAHAL_6G252000 transcript:PAN36033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIERVWKAEQAEEAEKRKTEELKKQVAAEKEKAEFRAMQERAGLRPAQERLDFLYESGLAVGKSSEGFQALQQSAPGAAAASSSAQASVADSSKAAAPGALFEDKPQSANDTWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKKKHKKHRHHKSKSKRRHSDENSDSDEISDGKDERRKRVHSSPDHKKEEKRSRHEKKHRRHDSSDSDNDGPPRRQDLSEDDEPRRRRHDDDEPRRRRHDDDEPRRRRHDDNEPRRRQQGDNEPRRRQEDLEPRRRWQDDEEPRRRQQGDEEPRGRRHDDPPRYDRLDADDRKMRQQSPPDRHHAYSKHDGPGSRSKRVEDGHKTGNSTSEHRSRAEQGSGEQTRQESEHGRNNGPSFNRRRGGVHHMSEEERLARLRQMEADAEVHEEQRWKRLKKAADDDAKEAATVNVNQFKGKNFLEDEKKSIFGTEKGGSATIEESIRRRAFYSQGGRDAEGNAFRR >PVH36277 pep chromosome:PHallii_v3.1:6:2660171:2665164:-1 gene:PAHAL_6G037000 transcript:PVH36277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPPILLSCSVVPLPIFTHSPPAPIPTPMALSISTPTSSSLLPASRQAGRWSPLARSAKPVSFSLWRSPLAARSAAGNAPASPVDEVVTELDAVAGFSEIVPDTVVFDDFERFAPTAATVSSSLLLGISGLPDTKFKSAIDTALADGECNALEKPEDRMSCYLTKALANVGAELAQQVPGRVSTEIDARLAYDTQGIIQRVHELVNLYNQHDVSTDRLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRLRDWARNHSGDPEIDEALKKGEDAGLALAKKVYAYIHRNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDPDVKYSYVPRLTPAIGKTYEFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >PVH37331 pep chromosome:PHallii_v3.1:6:44343333:44345647:-1 gene:PAHAL_6G289200 transcript:PVH37331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKAQLAYPYAAFFHRGRALPTSRAHLQRARTRAFPEPLLPRVLSSGCYIIFSPPARRRLCISFFPWDPSRRRREKKSSATPRSHEKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGLSVQEV >PAN36472 pep chromosome:PHallii_v3.1:6:44164933:44170167:1 gene:PAHAL_6G286200 transcript:PAN36472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLLCFGMGSSVPRALVHEVGVAPWWMQMSTGGAAMVRWAPEPQVQRHGVLSALSPLLHGMQQKIKVKGCKLACHHEDMSSEQHKKQKI >PAN35057 pep chromosome:PHallii_v3.1:6:33738332:33740417:-1 gene:PAHAL_6G176400 transcript:PAN35057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSHAVDIELLARQLREELADAAAPAPRLASGCPIVIAEVGEPTRNVDPEEYAPQHVSIGPYHRIRNPHLARDDEKIRTLDDVMSAATAGATLELEDYLGEVARLEDRARSCYAHTFERQMHSAAFVRMLLLDACYVLVRFGRVAEAAGRRGNGGGGAPGADGHVQGGPHRHCRSRTEAPSVGGDMMEAVAVVRDVLYLAENQIPFFVVDTIHRLAVPDAGVSAADAIAGYVRELLQGQQYSVATPAAAEPGNLLHLLHVHFTPTALSPPTAGGKVTGGRRPVGRWRTATEYHCAGVGFRARPLGGKGGACSILDVKLDSRGGALEIPRLNVDAETWRLLRNLMALEQSNPAVAGSRVTAYCVFVSQLACTPRDVELLSKRGIISHGLGGHSEVAERLAWLCRGVAFGADDPAGNYLYVKWQALEGRFQSRPRRWAAWLMLKYFSNPWLAVGLAAAALGLVCTVVQAVYSVLSYTPGGT >PAN36161 pep chromosome:PHallii_v3.1:6:42404560:42405634:-1 gene:PAHAL_6G266300 transcript:PAN36161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQWSTAARLDRWTGPWRRSSPLPPPCRAPAPAVPPRRRARRKGRIGCASVPRELAAAAEAEQATPPVVADGTEEEGVACEACSGAGWLLCDFCKGKKNNVKSEGSRVYRRCPTCKAAGFILCPRCRVYKCVTYPESNES >PAN33389 pep chromosome:PHallii_v3.1:6:1376658:1383693:-1 gene:PAHAL_6G017100 transcript:PAN33389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPMAAAPDAAVGMVPAVPAASNAVVGEARRRLRCPGCRLDQRKAEREGMFPYKELFLIWLVTLCSTLPIQMLFPFLYFMIRDLHIARDEKDIGFYAGFVGASFMAGRALTAVIWGMVADKHGRKQVIVITLAAIVIFNTLFGLSSTYWMAIFTRALLGLLSGMLGPIKAYATEACRKEYSHLGLAIVSSSRGIGLVVGPAIGGYLAQPADKYPGIFSKKSIFGRFPYFLPSLSVSILAFVALFSCFWLQETLHKHTGDVTNNSIETVEDPLASTDAQEKSQGGSGAFLQLFKNWPLMSAIILYSIFSLQDVAYAEVFSLWAISDRRYGGLSFSTTDVGNVLAISGLFLMLYQLFIYPSVAKFVAPITLVRITAILTVPLLSSYAIMPAVLSGFPLHLVVNCASFLKNAFSVSSITVFNVLINDAVTQDVRGQANGIAVTIMSISKAIAPAVAGIIFSWAQKRQKASFLPGDHLVFFMLNVVTVIGIVFTFRPFFVRSIINH >PVH36186 pep chromosome:PHallii_v3.1:6:1376767:1383640:-1 gene:PAHAL_6G017100 transcript:PVH36186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPMAAAPDAAVGMVPAVPAASNAVVGEARRRLRCPGCRLDQRKAEREGMFPYKELFLIWLVTLCSTLPIQMLFPFLYFMIRDLHIARDEKDIGFYAGFVGASFMAGRALTAVIWGMVADKHGRKQVIVITLAAIVIFNTLFGLSSTYWMAIFTRALLGLLSGMLGPIKAYATEACRKEYSHLGLAIVSSSRGIGLVVGPAIGGYLAQPADKYPGIFSKKSIFGRFPYFLPSLSVSILAFVALFSCFWLQETLHKHTGDVTNNSIETVEDPLASTDAQEKSQGGSGAFLQLFKNWPLMSAIILYSIFSLQDVAYAEVKLPDVFSLWAISDRRYGGLSFSTTDVGNVLAISGLFLMLYQLFIYPSVAKFVAPITLVRITAILTVPLLSSYAIMPAVLSGFPLHLVVNCASFLKNAFSVSSITVFNVLINDAVTQDVRGQANGIAVTIMSISKAIAPAVAGIIFSWAQKRQKASFLPGDHLVFFMLNVVTVIGIVFTFRPFFVRSIINH >PAN33388 pep chromosome:PHallii_v3.1:6:1376658:1383694:-1 gene:PAHAL_6G017100 transcript:PAN33388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPMAAAPDAAVGMVPAVPAASNAVVGEARRRLRCPGCRLDQRKAEREGMFPYKELFLIWLVTLCSTLPIQMLFPFLYFMIRDLHIARDEKDIGFYAGFVGASFMAGRALTAVIWGMVADKHGRKQVIVITLAAIVIFNTLFGLSSTYWMAIFTRALLGLLSGMLGPIKAYATEACRKEYSHLGLAIVSSSRGIGLVVGPAIGGYLAQPADKYPGIFSKKSIFGRFPYFLPSLSVSILAFVALFSCFWLQETLHKHTGDVTNNSIETVEDPLASTDAQEKSQGGSGAFLQLFKNWPLMSAIILYSIFSLQDVAYAEVFSLWAISDRRYGGLSFSTTDVGNVLAISGLFLMLYQLFIYPSVAKFVAPITLVRITAILTVPLLSSYAIMPAVLSGFPLHLVVNCASFLKNAFSTQDVRGQANGIAVTIMSISKAIAPAVAGIIFSWAQKRQKASFLPGDHLVFFMLNVVTVIGIVFTFRPFFVRSIINH >PVH36187 pep chromosome:PHallii_v3.1:6:1376767:1383640:-1 gene:PAHAL_6G017100 transcript:PVH36187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPMAAAPDAAVGMVPAVPAASNAVVGEARRRLRCPGCRLDQRKAEREGMFPYKELFLIWLVTLCSTLPIQMLFPFLYFMIRDLHIARDEKDIGFYAGFVGASFMAGRALTAVIWGMVADKHGRKQVIVITLAAIVIFNTLFGLSSTYWMAIFTRALLGLLSGMLGPIKAYATEACRKEYSHLGLAIVSSSRGIGLVVGPAIGGYLAQPADKYPGIFSKKSIFGRFPYFLPSLSVSILAFVALFSCFWLQETLHKHTGDVTNNSIETVEDPLASTDAQEKSQGGSGAFLQLFKNWPLMSAIILYSIFSLQDVAYAEVKLPDVFSLWAISDRRYGGLSFSTTDVGNVLAISGLFLMLYQLFIYPSVAKFVAPITLVRITAILTVPLLSSYAIMPAVLSGFPLHLVVNCASFLKNAFSTQDVRGQANGIAVTIMSISKAIAPAVAGIIFSWAQKRQKASFLPGDHLVFFMLNVVTVIGIVFTFRPFFVRSIINH >PVH36185 pep chromosome:PHallii_v3.1:6:1376509:1382384:-1 gene:PAHAL_6G017100 transcript:PVH36185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRALTAVIWGMVADKHGRKQVIVITLAAIVIFNTLFGLSSTYWMAIFTRALLGLLSGMLGPIKAYATEACRKEYSHLGLAIVSSSRGIGLVVGPAIGGYLAQPADKYPGIFSKKSIFGRFPYFLPSLSVSILAFVALFSCFWLQETLHKHTGDVTNNSIETVEDPLASTDAQEKSQGGSGAFLQLFKNWPLMSAIILYSIFSLQDVAYAEVFSLWAISDRRYGGLSFSTTDVGNVLAISGLFLMLYQLFIYPSVAKFVAPITLVRITAILTVPLLSSYAIMPAVLSGFPLHLVVNCASFLKNAFSVSSITVFNVLINDAVTQDVRGQANGIAVTIMSISKAIAPAVAGIM >PVH36278 pep chromosome:PHallii_v3.1:6:2687060:2693719:1 gene:PAHAL_6G037300 transcript:PVH36278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSMSRRGGSGRGYGLHHHHHSALCCLSAAPPLPRDATPTLPLAPDPAAAASAAAASGAAVAVEGVLHKWTNYGRGWRERWFSLRDGVLSYSKIRAGAGAGAAEEDGEVRLIGSRVGGARHTEKPTGVVSLKCDVSISTARLRDRMHQEGLNENLIEDCEQIVLSEFSSYRKQLKRRYEDYLSLFGSCRHNFEEGKDGSITQGELTRNDFSSSRHGNFSEYSTTESDEFEKNDGGELTYEEETPFFDSVDYFIESDNRSSTMLSVQEVVDTQTQDSSDRLPHIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNDGNKASFLIGKWDESMYYSNSDTFKVRSADQLKGASLLWEKNKPAPNPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPRWFEQDMENGTYRYKGGYWETREKGRWDGCLDIFGEFAET >PAN33675 pep chromosome:PHallii_v3.1:6:2687059:2693719:1 gene:PAHAL_6G037300 transcript:PAN33675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSMSRRGGSGRGYGLHHHHHSALCCLSAAPPLPRDATPTLPLAPDPAAAASAAAASGAAVAVEGVLHKWTNYGRGWRERWFSLRDGVLSYSKIRAGAGAGAAEEDGEVRLIGSRVGGARHTEKPTGVVSLKVSAFRESKSDDRRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLGSLSGRVTFVQCDVSISTARLRDRMHQEGLNENLIEDCEQIVLSEFSSYRKQLKRRYEDYLSLFGSCRHNFEEGKDGSITQGELTRNDFSSSRHGNFSEYSTTESDEFEKNDGGELTYEEETPFFDSVDYFIESDNRSSTMLSVQEVVDTQTQDSSDRLPHIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNDGNKASFLIGKWDESMYYSNSDTFKVRSADQLKGASLLWEKNKPAPNPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPRWFEQDMENGTYRYKGGYWETREKGRWDGCLDIFGEFAET >PAN33676 pep chromosome:PHallii_v3.1:6:2687605:2693749:1 gene:PAHAL_6G037300 transcript:PAN33676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVQEVVDTQTQDSSDRLPHIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNDGNKASFLIGKWDESMYYSNSDTFKVRSADQLKGASLLWEKNKPAPNPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPRWFEQDMENGTYRYKGGYWETREKGRWDGCLDIFGEFAET >PVH36279 pep chromosome:PHallii_v3.1:6:2687605:2693749:1 gene:PAHAL_6G037300 transcript:PVH36279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEGLNENLIEDCEQIVLSEFSSYRKQLKRRYEDYLSLFGSCRHNFEEGKDGSITQGELTRNDFSSSRHGNFSEYSTTESDEFEKNDGGELTYEEETPFFDSVDYFIESDNRSSTMLSVQEVVDTQTQDSSDRLPHIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNDGNKASFLIGKWDESMYYSNSDTFKVRSADQLKGASLLWEKNKPAPNPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPRWFEQDMENGTYRYKGGYWETREKGRWDGCLDIFGEFAET >PAN33677 pep chromosome:PHallii_v3.1:6:2687060:2693719:1 gene:PAHAL_6G037300 transcript:PAN33677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEGLNENLIEDCEQIVLSEFSSYRKQLKRRYEDYLSLFGSCRHNFEEGKDGSITQGELTRNDFSSSRHGNFSEYSTTESDEFEKNDGGELTYEEETPFFDSVDYFIESDNRSSTMLSVQEVVDTQTQDSSDRLPHIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNDGNKASFLIGKWDESMYYSNSDTFKVRSADQLKGASLLWEKNKPAPNPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPRWFEQDMENGTYRYKGGYWETREKGRWDGCLDIFGEFAET >PAN34284 pep chromosome:PHallii_v3.1:6:6606452:6609345:1 gene:PAHAL_6G080800 transcript:PAN34284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPSQPQPSDPSTRGSAETLAGAKPSASHKYPPPAAPPPIPSLPPPGGLLPTRTQVSEMSAAEAKAAAVPESVLRKRKREEQWAADKKEKALVDRKKALESRKIIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNSVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >PAN34215 pep chromosome:PHallii_v3.1:6:6606452:6609345:1 gene:PAHAL_6G080800 transcript:PAN34215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPSQPQPSDPSTRGSAETLAGAKPSASHKYPPPAAPPPIPSLPPPGGLLPTRTQMSAAEAKAAAVPESVLRKRKREEQWAADKKEKALVDRKKALESRKIIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNSVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >PAN33550 pep chromosome:PHallii_v3.1:6:2108916:2109458:-1 gene:PAHAL_6G029200 transcript:PAN33550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARTLALALLLSLATFFLTAGDACGNVPLMRWTDACFKACRPPLYSLCQETLRQHAPAVAELTAYAVLAARLARRAYDGTVGRAERLIAAGSVPGDQRQAYLRCIDRYATARIRMVGVVAGLTSCDFSRAGKEYADAVAAMESCGEGLAAGTPLVAMNAADRDLTMVAYDLGALIVGK >PAN35451 pep chromosome:PHallii_v3.1:6:38061294:38068986:-1 gene:PAHAL_6G209400 transcript:PAN35451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIDNATSRQVTFSKRRSGLFKKAKELAILCDAEVGLIVFSSTGRLYDFASTSMKSVIERYSEAKEDHHQTMSASAEAKLWQREAGSLRQQLHNLQEHQRQLLGQQLSGLDVKDLQNLETKLEMSLRNIRIKKDQLMIDQIQELNRKGSLMHQENIELYNKVNLVHQENIELRRKVYGHEVNEHPESSTVRHGMLNTENEDVLVNLELSQPQSVQRDKSETPSTG >PVH36959 pep chromosome:PHallii_v3.1:6:38060874:38069343:-1 gene:PAHAL_6G209400 transcript:PVH36959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIDNATSRQVTFSKRRSGLFKKAKELAILCDAEVGLIVFSSTGRLYDFASTSMKSVIERYSEAKEDHHQTMSASAEAKLWQREAGSLRQQLHNLQEHQRQLLGQQLSGLDVKDLQNLETKLEMSLRNIRIKKDQLMIDQIQELNRKPHAPGKHRTIQQSQPCTSREH >PAN33488 pep chromosome:PHallii_v3.1:6:1822884:1823778:1 gene:PAHAL_6G024900 transcript:PAN33488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGGAAAAVVVLAAAMAFMVLAARPAEGAVTCPEVDANLVPCVGYVTGKEAAPPAECCAGVRRIRALPSGTAERRQACECVKQAAARFPGLNADAIRVLPAQCGSPLPFPLTLNFDCTTIP >PAN34938 pep chromosome:PHallii_v3.1:6:18377580:18387472:-1 gene:PAHAL_6G124600 transcript:PAN34938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDAGGAAGEISAAAGGGGGIEGASGEKRDKSSLMLRERGRFSPARYFVEEVISSFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKQRLEREKARQYAAADFSEDLSEGEKGENSNEPSIHDERTRTRIPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPICSENFGHEMGESSGAYIVRIPFGPRDKYIPKEHLWPYIQEFVDGALVHIVQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSTALLSGALNVPMVFTGHSLGRDKLEQILKQGRQTRDEINATYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCFGRYMPRMIAIPPGMEFSHIAPHDVDLDGEEGNEDGSASPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNAAVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKHLWAQCRQNGLENIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSNDATEISEADSPEDSLRDIHDISLNLKLSLDSEKSGSKDGNSNTVRRHLEDAVQKLSRDVSDSRMEVLSENGRWPSLRGRKQIIVIAVDSVQDADFVQVIKNIFEASSNGRLSGSVGFILSTSKAILEIHALLISGGIETSDFDAFICNSGSDLCYPSSSSEDMLSPAELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNNESGQNVIVEDEECSSTYCISFKVMNTEAASPVKEIRRTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWGLDLSNMTVVVGESGDTDYEVLIGGVHKTIILKGSFNAVPNQVHTARSYSLQDVVSFEKPGIASVEGYGPDNLKSALQQFGILKD >PAN36765 pep chromosome:PHallii_v3.1:6:45626914:45629543:-1 gene:PAHAL_6G308300 transcript:PAN36765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPPPPPPPPQIAQLLSQFPVIPKPDPDGPIPPQTPIPIAAPPPLMLALTPELCDALHRELAPSPDDDAFFARCLRQSQLHLEALAAQLRPNSSAAPSLPLPPPLPPLPPRQEMQLPRDSGAGGSDPSATKKRARPAAEMVRVANLTPADHLHYRALVRRARLTFEALRVAYQRQEPSAGARNRVDLRASSRMLSAGHWLHREVRIVGDIPGVLVGDAFYYRAELCVVGLHTAPQAGIGYIPASLVNEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRLDHHADQTLERGNLALHNSYLYGVEVRVIRGHVCDQSPNPNRKVYVYDGLYRVVSSTFGPGKSGHDVCKFKLARLPGQEELGSKSWHTAKQLNDAMDSRIRPPRYISLDLSKGAEVLRVPVCNKLDDDRSPLLFEYTVRPEFPVPPAHGPVRRQRGCHCASGCGSKCRCERKNTGGLAYNEDGTLVMGRPVVYECGALCGCPMTCVNRVTQRGMKHRLEVFRSNETGWGVRALDLIPPGAFVCEYGGDVVIMDDHSGNALMEDRSIIDPKRFPERWKEWGDGSAVDPSIRGPQFAEFAGPGYLLDVSQKRNVACYISHSCTPNVFLQFVVRGNEDERYPHLMVFAMETIPPMRELSIDYGIDGYLSAS >PAN35805 pep chromosome:PHallii_v3.1:6:40337909:40339439:-1 gene:PAHAL_6G235800 transcript:PAN35805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRLSSAVLLLLNMAGALLAPRGALHPGPPAAVAEDDADVEFFFFPFLVLYKSGRVQRFMGTDTVPAATDPATGVASRDVVVDAAAGLAVRLYLPSLATNSTAVGAEGGRLPLVVFYHGGAFVTESAFSPTYHRYLNTLASRARVLAVSVEYHLAPERRLPTGYDDAWAALRWALADARSTGPGAGADPWLSRHADPARLFLAGDSAGGNIAHNMAMRAGREGLEGGAAIRGLALLDPFFWGKRPVPSETRDEDTRRWRERTWSFVCAGRYGIDDPVINPVAMPPKEWRRLASARVLVTVAGLDMLSARGRAYVRALRESGWRGEAELYETPGEQHVYFLDKPDSEKAAKEMEVVVDFIKGGQGSSTSLSMDE >PAN34300 pep chromosome:PHallii_v3.1:6:6793991:6795174:-1 gene:PAHAL_6G082500 transcript:PAN34300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLALLAVSLALLQVASAARSWLTQFTTDGIVRTGYDASGQKVMMLNLDRSSGPAGFNSKEQFLYGEFSIEMKLIPGNSAGTVSCFYLSSGTDDWRDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPENILFKVDNVFIRSFKRYADLPYPSSKPMTLHATLWDGSYWATEKGKVPIDWNNAPFVVSYRSFYANACVSGGACHAGRDGWMHRQLDAAEWGSVRWAERNYMRYNYCQDGYRFPLGLPAECSRS >PAN33438 pep chromosome:PHallii_v3.1:6:1627876:1630728:1 gene:PAHAL_6G021300 transcript:PAN33438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVTAAAAPPPGAPAFSYLAVFSNCPLVAAVLAFAIAQSIKVFTTWYKENRWDAKQLIGSGGMPSSHSATVTALAVAVGMQEGFASSLFATAAVFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPPQVFAGAVLGFAVATFTAMIAGLGS >PAN35979 pep chromosome:PHallii_v3.1:6:41184142:41189298:1 gene:PAHAL_6G248400 transcript:PAN35979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MVSLSLAVLPEQGSHLILAMGGLDHKIHIYCGDRSGKFIKACELKGHSDWIRSLDFSIPVTISSEKHNLFLVSSSQDRTIRIWKMDSEAVSSGSTAQLRKANIEMTSYIEGPLFVAGNTSYQVSLESLLVGHEDWVYSVEWQPPTLLPGNEAHQVMSILSASMDKMMMIWRPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLDSENWQPQIVPSGHFAPVSDLTWARSGQYLLSVSHDQTTRIFAPWRNQVNPGDMIYWREIARPQIHGHDINCVAFIQGSGNHRFVSGADEKVSRVFEAPLSFLKTLQQATLLKPDISDDFDNVQVLGANMSALGLSQKPIYTHGVKESPSSNSSDGPDSMETIPDAVPTVFTKPPVEDQLAWNTLWPESHKLYGHGNELFSICCDYEGKLVASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSIFSIRKTKEGVEHHLVTKHEAHKRIIWACSWNPFGYEFATGSRDKTVKIWCVQDASSVKLLATLPQFRDSVTALAWMGRDRASNAGILAVGMDDGLIELWSVSGGRASAGSTPDSSLLSAACMLQFDPLLCHVSTVHRLRWQEPDSSDEKSALELASCGADHCVRVFDVHCRT >PAN35976 pep chromosome:PHallii_v3.1:6:41184141:41189298:1 gene:PAHAL_6G248400 transcript:PAN35976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MSPAAGEVAGARGEGGGVEAQRVFISAGCNRVVNNVSWGACGLVAFGAQNAVALFSPQRGEIVTTLPGHKAPVNCTLWLPTKKDVLQVRGRETYYLLSGSADGAIMAWKIGSGKGDWSHVLKLPVMHKKGITCLAGRMVSDTIAIFASTSSDGIVVIWEMVIEPTPGGSCKVSCLHTLSVGSKPMVSLSLAVLPEQGSHLILAMGGLDHKIHIYCGDRSGKFIKACELKGHSDWIRSLDFSIPVTISSEKHNLFLVSSSQDRTIRIWKMDSEAVSSGSTAQLRKANIEMTSYIEGPLFVAGNTSYQVSLESLLVGHEDWVYSVEWQPPTLLPGNEAHQVMSILSASMDKMMMIWRPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLDSENWQPQIVPSGHFAPVSDLTWARSGQYLLSVSHDQTTRIFAPWRNQVNPGDMIYWREIARPQIHGHDINCVAFIQGSGNHRFVSGADEKVSRVFEAPLSFLKTLQQATLLKPDISDDFDNVQVLGANMSALGLSQKPIYTHGVKESPSSNSSDGPDSMETIPDAVPTVFTKPPVEDQLAWNTLWPESHKLYGHGNELFSICCDYEGKLVASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSIFSIRKTKEGVEHHLVTKHEAHKRIIWACSWNPFGYEFATGSRDKTVKIWCVQDASSVKLLATLPQFRDSVTALAWMGRDRASNAGILAVGMDDGLIELWSVSGGRASAGSTPDSSLLSAACMLQFDPLLCHVSTVHRLRWQEPDSSDEKSALELASCGADHCVRVFDVHCRT >PAN35977 pep chromosome:PHallii_v3.1:6:41184373:41188035:1 gene:PAHAL_6G248400 transcript:PAN35977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MSPAAGEVAGARGEGGGVEAQRVFISAGCNRVVNNVSWGACGLVAFGAQNAVALFSPQRGEIVTTLPGHKAPVNCTLWLPTKKDVLQVRGRETYYLLSGSADGAIMAWKIGSGKGDWSHVLKLPVMHKKGITCLAGRMVSDTIAIFASTSSDGIVVIWEMVIEPTPGGSCKVSCLHTLSVGSKPMVSLSLAVLPEQGSHLILAMGGLDHKIHIYCGDRSGKFIKACELKGHSDWIRSLDFSIPVTISSEKHNLFLVSSSQDRTIRIWKMDSEAVSSGSTAQLRKANIEMTSYIEGPLFVAGNTSYQVSLESLLVGHEDWVYSVEWQPPTLLPGNEAHQVMSILSASMDKMMMIWRPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLDSENWQPQIVPSGHFAPVSDLTWARSGQYLLSVSHDQTTRIFAPWRNQVNPGDMIYWREIARPQIHGHDINCVAFIQGSGNHRFVSGADEKVSRVFEAPLSFLKTLQQATLLKPDISDDFDNVQVLGANMSALGLSQKPIYTHGVKESPSSNSSDGPDSMETIPDAVPTVFTKPPVEDQLAWNTLWPESHKLYGHGNELFSICCDYEGKLVASSCKGGGLKFQYCWCFFISRDP >PVH36400 pep chromosome:PHallii_v3.1:6:5139013:5141527:-1 gene:PAHAL_6G067300 transcript:PVH36400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLISAHLPVPRPEPPTPPQPGPRARPSKPPGAPRRRAVLHKTRAGETRARYLPLSSPQSPPPTRPPSSPPPPRGRLLPSDPTGVAHRAAPSLPTSLPTGSNSRHTKKCTLDMDQHSRINNDEGATSGGTPGSCPLTTFLQPAAFESVPPPSSAGAAFLPVPASQGAVAYPATILSSFSNQRSSQANAFEAVTNVGTPAALALTTYNHQPEALASVPPSSSAGATFLPAAGSQGGGAAYQASIPVPLSSQHQAAFQKLQQLQHHQLQYQQQQLQALWAGQLVEAEQATDLKVHNLPLARIKKIMKADEDVKMIAAEVPVVFAKACEMFILELTLRSWLHAEGTKRRTLQRSDVAAAIIANEFFDFLMDVSPTEEQNGDGVAPPQPQTTTMSATFPMHVPFPMYGNQQPLTFMWPPTEYQQQQRQQQNSDGGQAE >PAN35810 pep chromosome:PHallii_v3.1:6:40352339:40353999:1 gene:PAHAL_6G236400 transcript:PAN35810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPVAPPPAAADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDAATGVASRDVVISPNVSARLYLPRLEDGAAKLPVLVYYHGGGFCLGSAFNPTFHAYFNSFAALANVLVVSVEYRLAPEHPVPAAYADSWEALAWVVSHLAGPANDGKDPWVAGHADFSRLYLGGESAGSNIAHHMAMRVAAEGLPHGAQIRGLVMIHPYFLGTDKVPSDDLDPVARESLGSLWRVMCPATTGEDDPLINPFVDGAPPLASLACGRVLVCIGEGDVLRDRGRAYYDRLRASGWQGEAAIWQAPDKGHTFHLLEPCCDEAVAQDKVISDFLNR >PAN33207 pep chromosome:PHallii_v3.1:6:223414:225929:-1 gene:PAHAL_6G003300 transcript:PAN33207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQQQQTPCVPPGFRFHPTEEELVGYYLARKVAAQKIDLDIIREVDLYRIEPWDLQERCSYYGGAGAGGQEEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSRSAALSVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQNNEHAPAQEEGWVVCRAFQKPMPNQQQRHGCYAGGFPAGYPSVPTYYYDGSNAAAHARLLMSGAAAPALHDHHSLAAESKLQVHLLADMPPLQSPALEAAGQSYEQSAAESSAVDWNLLSSLLPAAQLNFHQPPASPSSCSKNNNDL >PAN33206 pep chromosome:PHallii_v3.1:6:223218:225493:-1 gene:PAHAL_6G003300 transcript:PAN33206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQQQQTPCVPPGFRFHPTEEELVGYYLARKVAAQKIDLDIIREVDLYRIEPWDLQERCSYYGGAGAGGQEEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSRSAALSVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQNNEHAPAQEEGWVVCRAFQKPMPNQQQRHGCYAGGFPAGYPSVPTYYYDGSNAAAHARLLMSGAAAPALHDHHSLAAESKLQVHLLADMPPLQSPALEAAGQSYEQSAAESSAVDWNLLSSLLPAAQLNFHQPPASPSSCSKNNNDL >PVH36874 pep chromosome:PHallii_v3.1:6:35502994:35503960:1 gene:PAHAL_6G186400 transcript:PVH36874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDDYNRIVCELFAEQVRRGNRPNTHLNTLGYTEVSGRFYQMTGIELSKTQIKNKWDRLKNDWSIWQKLLRNPTGTGWDNTRGVINMDNEWWKKMKVDVPGSGKFKKKVLQNEDFLREMFGDISNDETDHWNLMSDNPVIPESQKDTENIDGAGEEEEEDNLLHDYTALLIQEQITKVADSAKSFTSKKQAEVVSIKEVMDVVLDCGAQYGSNEHDIATQLFVKKEQRQMFLTLPTREICLNWLTRRYNDKYGN >PAN35211 pep chromosome:PHallii_v3.1:6:36060033:36062550:-1 gene:PAHAL_6G189700 transcript:PAN35211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGKRSGRPAPARQHMRRTTSMTEFAPPDALPGVLEEELEDEEAELQLLPAHAEGEAEDPYGWAVGGAAAGGRADWLAAYSARAAPARAGLRRNSADFSAAETAAFLRACGLCNRRLGPGRDTFMYRGDTAFCSLECRQQHITIEEWKEKSASAPAAASDPVVLTPAGAGSDKPGETLAAA >PVH36654 pep chromosome:PHallii_v3.1:6:20558284:20558677:-1 gene:PAHAL_6G131500 transcript:PVH36654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWQPSRRGSVQTPKARQERERSEAAMAELAADQHVRYIVTVGKILNWVHTVGVSGRLQLGAGPIDGDAGASSGVGWICTVLRR >PVH37396 pep chromosome:PHallii_v3.1:6:45120757:45121832:-1 gene:PAHAL_6G301100 transcript:PVH37396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPAPAATEPPPSLLPLAPHRWGFGSLASVPNLSLTGEQWRRLLSSYYRRRQWRGAKSLGAVVMEEGVSP >PAN36697 pep chromosome:PHallii_v3.1:6:45205990:45209016:-1 gene:PAHAL_6G302500 transcript:PAN36697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MSSSKQALIYSFVAKGSVVLAEHTAFSGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVREDFMQRYGSSIDEEGLHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSRVKAHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADTFHRHGRELRRKMWLQNLRFKLMVGGGIAALILILWLMVCRGFKC >PAN36696 pep chromosome:PHallii_v3.1:6:45206311:45208598:-1 gene:PAHAL_6G302500 transcript:PAN36696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MSSSKQALIYSFVAKGSVVLAEHTAFSGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVREDFMQRYGSSIDEEGLHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSRVKAHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADTFHRHGRELRRKMWLQNLRFKLMVGGGIAALILILWLMVCRGFKC >PAN36604 pep chromosome:PHallii_v3.1:6:44834748:44839398:1 gene:PAHAL_6G296200 transcript:PAN36604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSMSGHRARLAALAVALMMASGAAAAWELTKKGTVISYDRRSLMVDGRREIFFSGSIHYPRSPSDMWPDLIAKAKEGGLNVIESYVFWNIHEPEKGVLNFEGRYDMVKFFKMIQDNDMYAIVRIGPFIQAEWNHGGLPYWLREIPDIVFRTNNEPFKSHMEKFVNLIVKKLKDANLFASQGGPIILAQIENEYQHLEAAFKEEGTKYINWAAKMAEATSTGVPWIMCKQTKAPGEVIPTCNGRNCGDTWPGPTNKTKPLLWTENWTAQYRVFGDPPSQRSAEDIAFAVARFFSVGGTMTNYYMYHGGTNFGRTAAAFVMPKYYDEAPLDEFGLYKEPKWGHLRDLHQALKLCKKALLWGTMSTQPLGKQLEARVFEVKDQNVCVAFLSNHNTKDDVTLTFRGQPYFVPRHSISILADCKTVVFSTQHVNSQHNQRTFHFTDQTVQNNVWQMYDEEKIPKYKQAKIRTRKPEELYNLTKDKTDYVWYTSSFKLESDDMPFRRDIRPVLEVNSHGHASVAFVNNAFVGCGHGTKMNKAFMLQKPMDLKKGVNHIAVLASTLGMMDSGAYLEHREAGIDRVQITGLNAGTLDLTNNGWGHIVSLVGEQKEIYTEKGMGTVAWKPAVNDKPLTWYKRNFDMPSGDDPVVLDLSPMGKGMMFVNGEGIGRYWISYKHALGRPSQQLYHVPRSFLREKNNVLVLFEEEGGHPEAIMILTVKRDNICTFMSEKNPAHIKNWQREDSQITAKGGGGGDLKPQATLSCPPKKVIQQVVFASFGNPQGICGNYTLGNCHTPRAHEVAEKACLGQRTCTLPVSADAYGGDLKCPGTTATLAVQAKCSKRAPGAPGAPAAAAQ >PAN36591 pep chromosome:PHallii_v3.1:6:44748793:44755563:-1 gene:PAHAL_6G295000 transcript:PAN36591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANSKAATSQSTDTAESTKSSDTRDEQGVKEGSRRDMALHTDSSEQSSWLESGNNAGGQDQEQLVKWLSETDLPVDEPWLNFTSTNGDALGIVEEPLPWDGATDWLLDYQDFGMCSSDLINSTTLPSSDGSNF >PVH37358 pep chromosome:PHallii_v3.1:6:44748627:44753494:-1 gene:PAHAL_6G295000 transcript:PVH37358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANSKAATSQSTDTAESTKSSDTRDEQGVKEGSRRDMALHTDSSEQSSWLESGNNAGGQDQEQLVKWLSETDLPVDEPWLNFTSTNGDALGIVEEPLPWDGATDWLLDYQDFGMCSSDLINSTTLPSSDGSNF >PVH37357 pep chromosome:PHallii_v3.1:6:44748793:44755708:-1 gene:PAHAL_6G295000 transcript:PVH37357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANSKAATSQSTDTAESTKSSDTRDEQGVKEGSRRDMALHTDSSEQSSWLESGNNAGGQDQEQLVKWLSETDLPVDEPWLNFTSTNGDALGIVEEPLPWDGATDWLLDYQDFGMCSSDLINSTTLPSSDGSNF >PVH37359 pep chromosome:PHallii_v3.1:6:44749156:44751183:-1 gene:PAHAL_6G295000 transcript:PVH37359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANSKAATSQSTDTAESTKSSDTRDEQGVKEGSRRDMALHTDSSEQSSWLESGNNAGGQDQEQLVKWLSETDLPVDEPWLNFTSTNGDALGIVEEPLPWDGATDWLLDYQDFGMCSSDLINSTTLPSSDGSNF >PVH36970 pep chromosome:PHallii_v3.1:6:38206874:38209736:1 gene:PAHAL_6G211300 transcript:PVH36970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHRLCAIEVLIDVLGHRVVLESTCFYIICIVGNYVQQKPLQGQCCNILSKLLASFDGNSSAGTVEVLGRQLQVVVPKLITACLTNEKEERSGTADSSGLLSLLRHLTVDADPLLYDYIRELEPLPDLDCLKDIREFHTSLSASYASRDQFLKGSFLWSSNCTANSCIYYNYVRDY >PAN35630 pep chromosome:PHallii_v3.1:6:39189224:39197466:1 gene:PAHAL_6G222800 transcript:PAN35630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFDGHQVDCRDDAKESTSPRKDVTALVDSLGADKNEDHSVDECCNARSSSMYSMEVLDNEPVDFENNSSLWVPPEPEDEEDDHDGERDDDEGVDATGEWAYQRSSSFGSGHCRSRDKSAEEHKKAMKDIVDGHFRALVSQLLQAEKVPLTDKTGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKVKCLACGHPSESFVVKGVVCKKNVAHRRMSSKKEKPQILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAQIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLTSPIIVALPDKPSSADRSISTIPILSIPSASSPNSSLQAHDLQNDDLTFNSNKRMGQTSLGVRCDEINSQNGHITCLLDASQSSIGPLVQHSNNSLCHCPDCTRDVNRKMNLQDSQPGTTRNVLDNDFGVFPSHSTNLLSVKSDNSSTKNSEIGDKIAVMPAAPLNVQISHDDDSAKDNSVAKTDEIPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCHSCELPPEAHVYCYVHPQGSLTISVRKLSVKLPGDGEHDGRIWMWHRCLRCPRVNGLPPATKRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEAKEVDDSAELLFSEVLNALHKISGGRPITGSFDGNLKILELRRNIGELEEILLAEKADFMESLKNLLKKDMRKGQPFIDILEVNKLRRHLLFLCYLWDQRLKFIANSGGKYCDALAGLRIGSGNCDFSDKSVGASAAPKLEKGSKVIEIPSIAKEGSLQQSSSHSLHGEDEGLNQANQSNENSLRNVAELNHATSADVKNQLDNQESRIGVRRVVSDGQFPVTTDIPDTLDAKWRGQNGPVPDSNLAKPLHSVEGTADDVKSQAKAVPSHIFTVRSGDAAEELLRWLKVPYMTSNSSLNTTSGSPLRFASLTDYTPKYIELFCELSQKGGARFFLPTGANDIVIPVFDDEPTSVISYALVSPMYCFQLSYESIKSRDKDSSLPLPVYDSGNFNPFHLFEDFGSHYDVTPSVSGGNQVHLSVSFEDGGPLGKVKYNVTCYYAKKFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGTEYFKYLSESISTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTVVSPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKASSNICEEDSQNASQE >PAN35629 pep chromosome:PHallii_v3.1:6:39186587:39197466:1 gene:PAHAL_6G222800 transcript:PAN35629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSPEGRLVELFGAVKSWMPRRGEQSLPAVAAGQPQQPLPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCTYCFQRWLEEEAAVRGDMAAQQPSSPSMSAASVGSDKSSFTGTNGQMSSYANVSYTDFASMPVHGEGKCGEDDGYPEKKQTVMEPAPTMEPAAYGDNSSDTFNFCVQRSDDEDDDYAVFHSDLEGQHLQNSDEYYGPMYFDGHQVDCRDDAKESTSPRKDVTALVDSLGADKNEDHSVDECCNARSSSMYSMEVLDNEPVDFENNSSLWVPPEPEDEEDDHDGERDDDEGVDATGEWAYQRSSSFGSGHCRSRDKSAEEHKKAMKDIVDGHFRALVSQLLQAEKVPLTDKTGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKVKCLACGHPSESFVVKGVVCKKNVAHRRMSSKKEKPQILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAQIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLTSPIIVALPDKPSSADRSISTIPILSIPSASSPNSSLQAHDLQNDDLTFNSNKRMGQTSLGVRCDEINSQNGHITCLLDASQSSIGPLVQHSNNSLCHCPDCTRDVNRKMNLQDSQPGTTRNVLDNDFGVFPSHSTNLLSVKSDNSSTKNSEIGDKIAVMPAAPLNVQISHDDDSAKDNSVAKTDEIPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCHSCELPPEAHVYCYVHPQGSLTISVRKLSVKLPGDGEHDGRIWMWHRCLRCPRVNGLPPATKRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEAKEVDDSAELLFSEVLNALHKISGGRPITGSFDGNLKILELRRNIGELEEILLAEKADFMESLKNLLKKDMRKGQPFIDILEVNKLRRHLLFLCYLWDQRLKFIANSGGKYCDALAGLRIGSGNCDFSDKSVGASAAPKLEKGSKVIEIPSIAKEGSLQQSSSHSLHGEDEGLNQANQSNENSLRNVAELNHATSADVKNQLDNQESRIGVRRVVSDGQFPVTTDIPDTLDAKWRGQNGPVPDSNLAKPLHSVEGTADDVKSQAKAVPSHIFTVRSGDAAEELLRWLKVPYMTSNSSLNTTSGSPLRFASLTDYTPKYIELFCELSQKGGARFFLPTGANDIVIPVFDDEPTSVISYALVSPMYCFQLSYESIKSRDKDSSLPLPVYDSGNFNPFHLFEDFGSHYDVTPSVSGGNQVHLSVSFEDGGPLGKVKYNVTCYYAKKFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGTEYFKYLSESISTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTVVSPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKASSNICEEDSQNASQE >PAN36658 pep chromosome:PHallii_v3.1:6:45074380:45084783:-1 gene:PAHAL_6G300100 transcript:PAN36658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGSWDAIDWNQIEDPRPRRLGQAVKESMDDFLLEDEAVIAQGHGVVLLNIREAGTLSVTNFRLLFVSQAKKCVIELGTIPLTTIEKLNDDVKLQPLPHLSDKSRPRELLQVIGKDMRIIVFAFVPKTKQKNEVFDALRRYTKPANLWDLYAFSCDPSTIKNDSEPKWRLLREYFRLFRKSLPQLRGAEEVSLSNDWWRLTRVNSSYSLCSTYPTELIVPRSISDEDLFQASTFRSGKRLPVISWCDPGSGAVLARSSQPMVGLMMNFRNNADEKLVCALSSRTTGDKGSPRKLYIIDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGTPSAVSVVGDRRNRGSTWGGGNLNSMTSFSSTLGEWLNHIQNILVGASWIAAQIAEEAATVLVHCSDGWDRTTQLVALSCLLLDPYYRTFSGFQALVEKDWLAFGHPFAERMGVPTVAENGGSQYELLRQPSLGNLSSSPSRNALGPSGPSSNASAQSQTSNNSSPILLQWLDCISQLLRLYPSAFQFSSKFLVDFMDCVLSCRFGNFLCNSEREREQSGVTNSCRCMWSYLADLRASGGSFHEHYNPFYDPVKHNGALLPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMTKKYAEAMKAKDIAELRAKDIKMKMESVKQELQREKRASNTALATAQSAQRENAAIKKAIESIGCTIKFSTSGNQEDRTEVLSCSLRRGTDVRDQEDENGGFSVSISAIEDSLVSEAPGDHFCESLCPFRTREGCRWPDAPCAQLGSQFVGLKANFDAFDRLSIQDCYFGPE >PAN35617 pep chromosome:PHallii_v3.1:6:39141715:39144433:-1 gene:PAHAL_6G222100 transcript:PAN35617 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os08g0448000)] MGSLTTEPPAETVFRSTLPDIAIPDHLPLHDYVFERLADRRDRACLIDGATGEVLTFGDVDRLSRRVAVGMRAALGVRPGGTVMLLLPNSVEFALAFLACSRLGAATTTANPLHTPPEIAKQAAASGATIVVTEPAFVAKVRGLAGVAVVATGDGAEGCVSFADLAAAHDEPAAPPEEAAIDVVNDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGDNPNLHLREDDVVLCVLPMFHVYSLHSILLCGMRAGAALVIMKRFDTARMFELVERHGVTIAPLVPPIVVEMAKSDAIDRHDLSSVRMVISGAAPMGKELQDLLRAKLPRAVLGQGYGMTEAGPVLSMCMAFAKEPLPVKSGACGTVVRNAELKIIDPETGLSLPRNQPGEICIRGKQIMKGYLNNPEATAKTIDAEGWLHTGDIGYVDDDEEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPGIADAAVVPMKDDSCGEIPVAFVVASDGFDITEDEIKQYVAKQVVFYKRLHKIFFVETIPKAPSGKILRKDLRAKLAAC >PAN35554 pep chromosome:PHallii_v3.1:6:38656177:38659114:1 gene:PAHAL_6G217100 transcript:PAN35554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAIYAPLVCTAALLLAFVVSPSAGLSLDTVREFLTREEDTIVFSLIERARYPLNRPAYDPIHLGDGAGPGRRLNASFAELFIRESEAVQSKAGRYQSLQEIPFFAYRVPFTLAPPYNFTRELYPAAAFVNVNDAIWSMYFNELLPLLAKNGDDGNYAVTVDSDLACLQVLSRRINYGRYVAEVKFRGDQQTYTSLIQAKDRDSLMKLLTSEAQEDVVKRRIEKKATVFGQSITSDGPIKTGVNNSSGTNFKVDPSVVYKLYDQWVIPLTKQVEVEYLLHRLD >PAN36285 pep chromosome:PHallii_v3.1:6:42869899:42878079:1 gene:PAHAL_6G271200 transcript:PAN36285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDDDATAAGAQRKGAGAYGQPLPRATESAPACARHAAPRVHSFGDGPAASPAPAQRHDPARCASFPQRHGAHRHAEPAHAPAASFAAWVGGGLERALSGYGGAGLPEFVGAGGGEGIFRVPLRAAMHPGRPPPLEVRPHPLRETQVGAFLRTLACDPRRLQLWAGAESGVRVWGLDEVFDGWPGDAARRRGDEESAPFRESVPAPPALCAAVDSANRLVWTGHRDGRIRAWRMDLATAAGVGGGCGDAPLFKEALAWQAFSRTPVLAIVVTSYGEIWSGSEGGMIKAWPWDAIAKSLSLTSDEKHMASLLVEKAYIDLRNNATVGNMCSLPAADVKHMLADHCRAKVWSITSMTFALWDARTRELLKVFGIDGQVDLARLEAPVMPEQFIEEEIKVKPTKKEKPQGSFTFFQKSRNALMGAADAVRRVATKGTFVEDNRRTEAVAQAMDGTIWSGCTNGSIIVWDGSGNKLQDIHYHSSSVQCIKALGERVWVGYASGTIQVMDVEGNLLAGWTGHSCPVIKMAIGGSYIFTLAHHGGIRGWPLTSPSPLDDILRTELANRELSYTRIENIKILVGTWNVAQEKASFESLRSWLGSALSDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGKTLDEGISFHRVGSRQLAGLLIAAWARNDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVSNHFAAHQENVSRRNADFDHIYRTMSFNKPHGSTASATSVQLHKAVSANGNQADEDIPELAEADMVVFLGDLNYRLDGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGPIRFPPTYKFQRNQPGLSGYDSGEKKRIPAWCDRILYRDSRPVSTAECSLDCPVVAAVTAYEACMDVTDSDHKPVRCAFRVDIARVDELIRRQEFGKIIESNEKVRSLLRGSHIVPDTIVSTNNIILENQEDVILRISNNCETSKAAFEILCEGQSITKHDGTKSELPPRASFGFPLWLEVQPSVGLIEPGETMEVAVHHEDFFTEEEFVDGVQQNWWCEATRDMGAVLLVNVTGSASTETITHRINVRHCCPVPSAPPPVNPRSVTNAPSDAVSGSKNNQSNHLQRSDFANFGSSEVHDLCDVPKRNM >PVH37209 pep chromosome:PHallii_v3.1:6:42871470:42878079:1 gene:PAHAL_6G271200 transcript:PVH37209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFGAFGWSSFGEIWSGSEGGMIKAWPWDAIAKSLSLTSDEKHMASLLVEKAYIDLRNNATVGNMCSLPAADVKHMLADHCRAKVWSITSMTFALWDARTRELLKVFGIDGQVDLARLEAPVMPEQFIEEEIKVKPTKKEKPQGSFTFFQKSRNALMGAADAVRRVATKGTFVEDNRRTEAVAQAMDGTIWSGCTNGSIIVWDGSGNKLQDIHYHSSSVQCIKALGERVWVGYASGTIQVMDVEGNLLAGWTGHSCPVIKMAIGGSYIFTLAHHGGIRGWPLTSPSPLDDILRTELANRELSYTRIENIKILVGTWNVAQEKASFESLRSWLGSALSDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGKTLDEGISFHRVGSRQLAGLLIAAWARNDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVSNHFAAHQENVSRRNADFDHIYRTMSFNKPHGSTASATSVQLHKAVSANGNQADEDIPELAEADMVVFLGDLNYRLDGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGPIRFPPTYKFQRNQPGLSGYDSGEKKRIPAWCDRILYRDSRPVSTAECSLDCPVVAAVTAYEACMDVTDSDHKPVRCAFRVDIARVDELIRRQEFGKIIESNEKVRSLLRGSHIVPDTIVSTNNIILENQEDVILRISNNCETSKAAFEILCEGQSITKHDGTKSELPPRASFGFPLWLEVQPSVGLIEPGETMEVAVHHEDFFTEEEFVDGVQQNWWCEATRDMGAVLLVNVTGSASTETITHRINVRHCCPVPSAPPPVNPRSVTNAPSDAVSGSKNNQSNHLQRSDFANFGSSEVHDLCDVPKRNM >PVH37208 pep chromosome:PHallii_v3.1:6:42869899:42878079:1 gene:PAHAL_6G271200 transcript:PVH37208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDDDATAAGAQRKGAGAYGQPLPRATESAPACARHAAPRVHSFGDGPAASPAPAQRHDPARCASFPQRHGAHRHAEPAHAPAASFAAWVGGGLERALSGYGGAGLPEFVGAGGGEGIFRVPLRAAMHPGRPPPLEVRPHPLRETQVGAFLRTLACDPRRLQLWAGAESGVRVWGLDEVFDGWPGDAARRRGDEESAPFRESVPAPPALCAAVDSANRLVWTGHRDGRIRAWRMDLATAAGVGGGCGDAPLFKEALAWQAFSRTPVLAIVVTSYGEIWSGSEGGMIKAWPWDAIAKSLSLTSDEKHMASLLVEKAYIDLRNNATVGNMCSLPAADVKHMLADHCRAKVWSITSMTFALWDARTRELLKVFGIDGQVDLARLEAPVMPEQFIEEEIKVKPTKKEKPQGSFTFFQKSRNALMGAADAVRRVATKGTFVEDNRRTEAVAQAMDGTIWSGCTNGSIIVWDGSGNKLQDIHYHSSSVQCIKALGERVWVGYASGTIQVMDVEGNLLAGWTGHSCPVIKMAIGGSYIFTLAHHGGIRGWPLTSPSPLDDILRTELANRELSYTRIENIKILVGTWNVAQEKASFESLRSWLGSALSDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGKTLDEGISFHRVGSRQLAGLLIAAWARNDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVSNHFAAHQENVSRRNADFDHIYRTMSFNKPHGSTASATSVQLHKAANGNQADEDIPELAEADMVVFLGDLNYRLDGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGPIRFPPTYKFQRNQPGLSGYDSGEKKRIPAWCDRILYRDSRPVSTAECSLDCPVVAAVTAYEACMDVTDSDHKPVRCAFRVDIARVDELIRRQEFGKIIESNEKVRSLLRGSHIVPDTIVSTNNIILENQEDVILRISNNCETSKAAFEILCEGQSITKHDGTKSELPPRASFGFPLWLEVQPSVGLIEPGETMEVAVHHEDFFTEEEFVDGVQQNWWCEATRDMGAVLLVNVTGSASTETITHRINVRHCCPVPSAPPPVNPRSVTNAPSDAVSGSKNNQSNHLQRSDFANFGSSEVHDLCDVPKRNM >PAN34957 pep chromosome:PHallii_v3.1:6:31217984:31219401:-1 gene:PAHAL_6G165800 transcript:PAN34957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPQRFKLLATRCAAGAPSPSRSPAPAYATGAASPGYRLRRRARGGAGASARRRGRLRRFLCRRGGGSGEGEPLAAARREEEDDDVRRPLFGGGRAGGGGRTLRDLFVASPEAGRRRGGCSCDEDEDGEEDGGGRAGADPGGGGAWRGSRRFGSGGLRSLLMRRSWRPVLVAIPEADGGKIELGAIEE >PVH36283 pep chromosome:PHallii_v3.1:6:2804999:2809056:1 gene:PAHAL_6G038900 transcript:PVH36283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKRRALHLSTGSSSNSNSNQLLFGRHGTSISARGKAPAFSTFPNAPPYLLPPWPPRNQPRARRCQPLFFLPCPRCSFSSPSLLPELARSLAAARPAGVSIGLLVTADRTRGGDRSMRMEGGGGGGGGAGVGGCLGLGQGGEAQIKGTHTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGAFGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMNHENVISLKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTQAIDMWSVGCILGEMVTREPLFPGKDYVHQLRLITELVGSPDDTSLGFLRSDNARRYVRSLPQYPKQHFGARFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEHPSLTEEDIKELIWRESLKFNPDPIH >PAN36336 pep chromosome:PHallii_v3.1:6:43086607:43090009:-1 gene:PAHAL_6G275000 transcript:PAN36336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGDASEWCFCSGGAKLERIKSRLLAAKGAAVAAVSFPSGDGRGGGGGAGSKGGSGFLIDRGLLLTTHGTIPSAAAAGAAEVRLSHGRLLARLVPHRIFITSPILDLTVVGLGVVDDYSSSHGQQPHFLKTCLNPSLDLGSTVLLLGHNRRDLAVGEGKVVIATDNLIKFSTDEVLWHPGSAGFDMHGNLAFMVCDPMKIAPSTPNGYASASSTALLASRKDAPIQFGIPIPAVCEWLKQHWNGSLEDVSKPMMTPARLTTSGERSGRSFFGHLRFIKTTEREGGDILSSSQIPSRPIWQHGACSSASAKISHGENDSTVSHSFHGQNELTSKICKAKNEQADALVDISLPPEYSRSIRLPLPLKHMIPGENKNEANRPAPHGTHPSNVQINCGTLHNVAYQENCWSEVQSSSSPLAISEIGDKREGFSSGEETIYSAETRESRNIPSPKDKKAEIVGRSQSFVNHNKWDSPKSVGSSKGAPSKPRTFIPPRKLHLQAAAISQKSQDYFSPTVSSKMKKRNLSQTPMKPRQRAQVTSKWIT >PVH37269 pep chromosome:PHallii_v3.1:6:43393014:43394940:-1 gene:PAHAL_6G280000 transcript:PVH37269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTIPHPQLLSDFHNPSPIYMTPLLVLNELCLCEHVKICDAVCVNSWVLCCLLLYLSWLWDLFLISEGHLQACALHESSSNNIQEHFIKRTEHDKAIGDINIMLDKGLNELKVLKVNMDKKFAEHKQCFDQAIVILRYELKRDADEQNMKHCVDLLNVKNEMKANDLKMEGNIELRKGELKRLKNLGWFFEASSAIISRFSNLFDYVFKKPGESE >PAN35341 pep chromosome:PHallii_v3.1:6:37315041:37321355:-1 gene:PAHAL_6G201000 transcript:PAN35341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDDAGGGGFHELFDSVRRSISFRAGAAALDEPAASPSSSSTAAGGGGFRERISNRLRRSRGMGLLGMATKSPSPTRRLLPPPSVSPPAPVAAASASEGCGGVREEGIGRGEENPPIRWRKGDLIGSGAFGQVYLGMDLDSGELLAVKQVLIGSSNATREKAQAHVRELEDEVKMLKNLSHPNIVRYIGTVREDNTLNILLEFVPGGSIQSLLGRLGSFPEAVIRKYTKQLLYGLEYLHRNGIIHRDIKGANILVDNKGCIKLADFGASKQVEKLATATAAKTMKGTPYWMAPEVIVGSGHNCSADIWSVGCTVIEMATGKAPWSHEYQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPEMRSVASDLLQHPFVTGGMEDFCQLNHAAPKETTSNEPTTYVIPTDDSDLSRPGKLRNLNSYKSSDTRPRPLWDLHCNDDDMCHIPMGSSFNPMFEPSDEWERKLDISPEQVSQSREFAGLAKLAESQMSQNDFTFPCEGSCEEDDEFTESKIKEFLDEKAMDLKKLQTPLYEFYNTVNAGISQGFSDVCRASNMTDPMLPPRAIKMVGGASIEPICVNLNNVSPKSCTRRSSRSSVENSRVLREIASPRLNKLEDKVHDATQDNPSLSFSEIQKKWKEELDQELKRERDEI >PAN35340 pep chromosome:PHallii_v3.1:6:37315505:37321245:-1 gene:PAHAL_6G201000 transcript:PAN35340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDDAGGGGFHELFDSVRRSISFRAGAAALDEPAASPSSSSTAAGGGGFRERISNRLRRSRGMGLLGMATKSPSPTRRLLPPPSVSPPAPVAAASASEGCGGVREEGIGRGEENPPIRWRKGDLIGSGAFGQVYLGMDLDSGELLAVKQVLIGSSNATREKAQAHVRELEDEVKMLKNLSHPNIVRYIGTVREDNTLNILLEFVPGGSIQSLLGRLGSFPEAVIRKYTKQLLYGLEYLHRNGIIHRDIKGANILVDNKGCIKLADFGASKQVEKLATATAAKTMKGTPYWMAPEVIVGSGHNCSADIWSVGCTVIEMATGKAPWSHEYQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPEMRSVASDLLQHPFVTGGMEDFCQLNHAAPKETTSNEPTTYVIPTDDSDLSRPGKLRNLNSYKSSDTRPRPLWDLHCNDDDMCHIPMGSSFNPMFEPSDEWERKLDISPEQVSQSREFAGLAKLAESQMSQNDFTFPCEGSCEEDDEFTESKIKEFLDEKAMDLKKLQTPLYEFYNTVNAGISQGFSDVCRASNMTDPMLPPRAIKMVGGASIEPICVNLNNVSPKSCTRRSSRSSVENSRVLREIASPRLNKLEDKVHDATQDNPSLSFSEIQKKWKEELDQELKREREMRSSGYGKVSSPSPRIRRLTGKRDRSPVY >PAN35726 pep chromosome:PHallii_v3.1:6:39697430:39700635:-1 gene:PAHAL_6G229800 transcript:PAN35726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) UniProtKB/Swiss-Prot;Acc:Q9FUJ1] MMLAYMDRAAAAAEPEDAGEPAAVAAGCAAATDFGGLVSAMPAAVVRPASADDVANAIRAAALTAGLTVAARGNGHSVAGQATAEGGLVLDMRALALSRRPQMQLVGCPGGGGPCFADVPGGALWEEVLHWGVKTHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAELEVVTGNGECRVCSPSSHPDLFFAVLGGLGQFGVITRARIPLRRAPQQVRWARVVYASFAEYTADAEWLVTRPPDAAFDYVEGFAFVNSDDPVNGWPSVPIPGGARFDPALLPAGAGPVLYCLEVALYRYPDDEDKAAAVSRMMAPLKHVRGLEFAADVGYVDFLSRVNRVEEEARRNGSWDAPHPWLNLFVSARDIADFDRAVIKGMLADGIDGPMLVYPMLKSKWDPNTSVALPEGEIFYLVALLRFCRGGGPAVDELVAQNGAILRACRANGYDHKAYFPSYRGEAEWARHFGAARWRRFVERKARYDPLAILAPGQKIFPRAPASVAVQL >PVH36655 pep chromosome:PHallii_v3.1:6:20567776:20568036:-1 gene:PAHAL_6G131600 transcript:PVH36655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSRDPPAVGALLDFYLVFDGGPIPDLSGSVGSRQSRLVAILDMDISYHKQLV >PAN33787 pep chromosome:PHallii_v3.1:6:4013700:4019653:-1 gene:PAHAL_6G054200 transcript:PAN33787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTGLTANPNPNKSFEILPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKVSISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQANPVHIQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPAAAKTSIYLHSPQESEVKGKPRIATGRK >PAN34179 pep chromosome:PHallii_v3.1:6:5719997:5722729:-1 gene:PAHAL_6G074200 transcript:PAN34179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGGSARSIAVLLVLTSLKPAQGGRSDIADAAVVWEACPCREETATTAAIFVRPICRSSACVTSSTAASSPRLSLPTVAGTPLRCLVP >PVH36758 pep chromosome:PHallii_v3.1:6:30224885:30226238:-1 gene:PAHAL_6G162400 transcript:PVH36758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAVVSNLHAITTSPAAPRSRFTWTSSPSCVVVPKRGSVKARSMRNGSTDSLDHLQRASKPRQQQQQASPPIPRRRLIQTTPFGLWDSFPEARTLDQMVRTMERIMDGEGDDDDGRVLVVPAAVASSAVPRADAGSNGVAVPAAGGASGAAYRRRGGRTPWEVRERAGEYLVRFDMPGMTREDVRVSVRDRTLVVTAEKKDDAAAEEEEEGRESRGRRRASGGTGRGSSCRRTWTWRGSRPR >PAN36130 pep chromosome:PHallii_v3.1:6:42640199:42643038:-1 gene:PAHAL_6G269100 transcript:PAN36130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLYIYMLKITKTIIISLSRVCLQVSMEKHDWAALPRDILLEILGRLRQVDVLRGAGLACAPWWRAAVEEPALWRTIDVAFNEEDHIDQQAWDARVAMGRAAVDRSAGQCESFRGVADGDLLAYLPPGRLAKEPPRDCRPLPLFHAARASRTVLPPSRGARRRRLRHRRANRVPHAVQVASEAEGFSWAVTAVHGIGKFSVQAEVFHSSTIRRLWRVSMVVAQRG >PAN36233 pep chromosome:PHallii_v3.1:6:42106523:42108775:-1 gene:PAHAL_6G261600 transcript:PAN36233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQAMGDALWDLLGEEMAAAAAGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGVDIAEVDLNRCEPWELPDAARMGEREWYFFSLRDRKYPTGMRTNRATGAGYWKATGKDREVLNAATGALLGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSCKEEWVICRILHKAGDQYSKLMMVKNPYCYLPMGVVDPSSLCFQQDPAAPPLPNPSGCSSAGLPFHHGHHPGMQPPLLPTNQLKLSSGCGFPASAQEPPPNGCGGSSNAAAMGMPSYPSPFTSIVAGKPAAPPAQAAAVVNAGAQEPPAPPPTWMEAYVQHGGFLYEMGPAAAPRGA >PVH37302 pep chromosome:PHallii_v3.1:6:43658989:43659805:1 gene:PAHAL_6G284100 transcript:PVH37302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRVGVNIKLELWRHTLESSGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVKDGDIDEDVRHRISASWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAKMRMLRWFCGHTRRDRVRNEEIRDRVGWFGHVQRRPPEAPVRSGVLKRGDTVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN33777 pep chromosome:PHallii_v3.1:6:3188033:3188849:-1 gene:PAHAL_6G044000 transcript:PAN33777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRPGGAAPACLARSSSSGFHAMIWPATAINPSRIGLPSVRAARRRPFRSGDRATRGICYASQAVELLPALCPEIVVRDARLEDCWEVADTHCGSFFPGYKFPLDLVLRIDRYIALLSGFTVPPGCMRTCLVAVNSNSVNNTFDVECGDAGDAHFQKYALSRGSIAGILTVDTVADYLPRRGHLKQRRLSR >PAN33775 pep chromosome:PHallii_v3.1:6:3185285:3188985:-1 gene:PAHAL_6G044000 transcript:PAN33775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRPGGAAPACLARSSSSGFHAMIWPATAINPSRIGLPSVRAARRRPFRSGDRATRGICYASQAVELLPALCPEIVVRDARLEDCWEVADTHCGSFFPGYKFPLDLVLRIDRYIALLSGFTVPPGCMRTCLVAVNSNSVNNTFDVECGDAGDAHFQKYALSRGSIAGILTVDTVADYLPRRGHLKQRRYCVYSKRRGAKGGTAERNR >PAN33776 pep chromosome:PHallii_v3.1:6:3185286:3188984:-1 gene:PAHAL_6G044000 transcript:PAN33776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRPGGAAPACLARSSSSGFHAMIWPATAINPSRIGLPSVRAARRRPFRSGDRATRGICYASQAVELLPALCPEIVVRDARLEDCWEVADTHCGSFFPGYKFPLDLVLRIDRYIALLSGFTVPPGCMRTCLVAVNSNSVNNTFDVECGDAGDAHFQKYALSRGSIAGILTVDTVADYLPRRGHLKQRRTGIAYIANVAVRKEERRKGIAKMLVQEAEARARSWGCRSMALHCDVNNIAALRLYKNQGFKCIRVPEGARWPEPKIVEGVRYSFMMKLVPKT >PVH36634 pep chromosome:PHallii_v3.1:6:19260020:19260949:1 gene:PAHAL_6G126800 transcript:PVH36634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDHEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEEVLRCRDKMTIPQHPFRSQWHPIEVEVVGYRLVDTIETAALEAIKLFCNQHPTEVAAYPIGLFPIIDSGNSERNFRTEHLGHMLGELAEETVRSITRFMDVQYHYQILLRHSMSQLTSAAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQCDTVIEFLQAQIHNLILAADDAQAHLEELQQQLILPAAPVMPEEEEEDLEEIEGVSEIDSEHGDPVLSPYHSSSGSQSSIGNFDDF >PAN36393 pep chromosome:PHallii_v3.1:6:43313340:43316418:1 gene:PAHAL_6G278500 transcript:PAN36393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACGSRSRSNEMVDEFEKLVIRMNPPRVTVDNDSDMTATLVKVDSANKYGTLLEVVQVLTDLKLTIKRGYISSDGEWFMDVFHVVDQDGNKLYDGQVIDRIEQSLGAGSLSFRAAPERSVGVEAEAEEEQTTIELIGRDRPGLLSEVFAVLTDLKCNIVASEVWTHDARVAALVRVTDADAGGAVADPDRLDTVKRLLRHVLRGSSRDKKAARAAISARAAHAQRRLHQMMHADRRRGGGEDGGFDGAGDGGSDDDGDCGAASRGGSGRPVVEVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLGDSAGSSGEDRDRLRRALEAAIERRYTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVETRGARAANVFYVVDASGQPVQGQAVEAVRAEIGEQVLSVREPADGGGPRSPVGASGGRRSLGNMIRSRSEKFLYNLGLIRSCS >PVH37264 pep chromosome:PHallii_v3.1:6:43313631:43315454:1 gene:PAHAL_6G278500 transcript:PVH37264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACGSRSRSNEMVDEFEKLVIRMNPPRVTVDNDSDMTATLVKVDSANKYGTLLEVVQVLTDLKLTIKRGYISSDGEWFMDVFHVVDQDGNKLYDGQVIDRIEQSLGAGSLSFRAAPERSVGVEAEAEEEQTTIELIGRDRPGLLSEVFAVLTDLKCNIVASEVWTHDARVAALVRVTDADAGGAVADPDRLDTVKRLLRHVLRGSSRDKKAARAAISARAAHAQRRLHQMMHADRRRGGGEDGGFDGAGDGGSDDDGDCGAASRGGSGRPVVEVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQVLALTLPPPPHGRTPPLYLASHGFDHETVASRCAGTWLQKNTDTDTVTVLSLPHLHGPCSSRSKMHALSALTPAHVLTAARDVWQRLDTGRSWHVSGGRSFLVWCEELE >PVH36639 pep chromosome:PHallii_v3.1:6:19827850:19828653:-1 gene:PAHAL_6G128700 transcript:PVH36639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGGKGTEHHVRALMEKLNLTAEEEEMAAFSDEEDDGGGVGRTGTDRKGSFAGCWRSLTYGNNFTSV >PAN35220 pep chromosome:PHallii_v3.1:6:36274716:36277396:1 gene:PAHAL_6G190900 transcript:PAN35220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRGLNGIGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPVTFLGVAGWRLAFHAVAVVSVALGALMWIFAADPRAKSKTTASAPEEAKELLRDARRVLGVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGIVGDPVARRFPNAGRIALAQISSASALPLGAILLLALPNDPSTGVAHAVVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAERVFGYKPVSSDTSVDTDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRERAREELLMASDDRLGEGASDSEPGAVRALGDEESSVRSLNQRLISRE >PAN35221 pep chromosome:PHallii_v3.1:6:36274716:36277397:1 gene:PAHAL_6G190900 transcript:PAN35221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDPDEAAQRRWTLVLVNLASVLEKADEVLLPAVYREVGAALGASPTALGSLTLCRALVQAACYPLAAYASARHDRARVVAAGAFLWAAATFLVAVSGTFLQMAISRGLNGIGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPVTFLGVAGWRLAFHAVAVVSVALGALMWIFAADPRAKSKTTASAPEEAKELLRDARRVLGVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGIVGDPVARRFPNAGRIALAQISSASALPLGAILLLALPNDPSTGVAHAVVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAERVFGYKPVSSDTSVDTDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRERAREELLMASDDRLGEGASDSEPGAVRALGDEESSVRSLNQRLISRE >PAN34715 pep chromosome:PHallii_v3.1:6:31889949:31893076:1 gene:PAHAL_6G168700 transcript:PAN34715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLARPPLPRAPLRSAPSVRLAPRGRLRATAASGGGAAGPVLRTCKNCKQQYDSAANHPSACRYHTAHFGGETKRKFESVYAGGTMDTPDSVLVSSNGV >PAN34716 pep chromosome:PHallii_v3.1:6:31889949:31893076:1 gene:PAHAL_6G168700 transcript:PAN34716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLARPPLPRAPLRSAPSVRLAPRGRLRATAASGGGAAGPVLRTCKNCKQQYDSAANHPSACRYHTAHFGGETKRKFESVYAGGTMDTPDSGKVFQYWHCCGSEDPFDVGCTASPHCSYDD >PAN33338 pep chromosome:PHallii_v3.1:6:1115768:1118406:-1 gene:PAHAL_6G013200 transcript:PAN33338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMQGPRAMRALSVLLPVLLLTSQLAGASPPPDPVSCARGTSDCTVTSTYGSFPDRTICRAANATFPSTEQELVAAVAAAAAAWRKVKVATSHSHSFPKLACPGGRDGTIISTERLNRTVSVDKARRLLTVESGMLLRDLVKVAADAGLALPHSPYWYGLTVGGMLATGAHGSSLWGKGSAVHEYVVGIRIVTPAPASQGFAVVRELGASDPDLNAAKVSLGVLGVISQVTLELQPQFKRSVTFVTRDDKDMAAKLASWGGLHEFGDVSWLPRQRKAIYREDNRVDVSTPGNGLNNYLGFRAQPTLGLLTARAAEELLEKNGTDIARCLAARLPAATFELQAYGLTNDGVFFTGYPVVGFQHRIQASGTCIDSREDALLSACTWDSRIRGPFFYQSGFSVAMPKVPAFVDDMQRLRDLNPRAFCGMDAKLGVLMRYVRASSAYLGKAEDSLDFDVTYYRSYTEGAPRAHADVYDELEQMALRKYGAMPHWGKNRNFAFDGAIAKYPRAAEFLKVKDRYDPDGIFSSEWSDQVLGINGSSPNVVGAGCAIEGLCVCSDDSHCAPEQGYFCRPGMVYTEARVCKFERTARLVDQV >PAN34579 pep chromosome:PHallii_v3.1:6:13578950:13582555:1 gene:PAHAL_6G108900 transcript:PAN34579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPAEAGLTDYERRREENIRRNETILASLRRKAAELSASVRPSPSLKRPKKEQPRARPAGPVVLRRSLRTRGIAPGEPSASTGANTSPATPPSPTKPRTTRFSSSLASALREATAIKPPPRLEGGICAADGFDAGRELVLRSVNVRRVVPERILSVRILPLADRTVVAAGNKLGHIGFWDVDGLVEDDGDGDGADGVFEYFPHRGPVGGIVMHPDAPRKIYSCSYQGEICLMDVEKENFNMIHLCDYPIFSLCQAPNSPSSLYFAEGNDLKLYDERTGKVSATWNLHNHRINSIDFRPENPYVFATSSTDRTVCIWDMRSMKKKGLEKLKVLEYSRAIQSAYFSPSGNMLATTSLDDTVQIFNTDNFDESCILKHDNRTGRWLSTFKAIWSWNDNNLFVGNMKRAIDVISVDHSGKSLSASYAAFLESEHMTAISCRFSLHPYKVGHLAGASSSGKVFLWTRA >PVH37054 pep chromosome:PHallii_v3.1:6:39993293:39995501:1 gene:PAHAL_6G232500 transcript:PVH37054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNARQWWRRAAAAVKDRRSLYLTRVAALRPPGSGLAAALRSPEMEAAVIRATSHDERSVDYGSASRVFALARASPPALQPLMWALARRAGRTRCWAVALKALMLAHGLLLRSDLAPRAARLGRVPFDLADFRDRSSPPSKSSGFSAFVRAYFRFLDTRSLFTAQELDAASGEADDEDARLDRLTKQQHLLDLLMQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQICTGIARFLVAVLGSAPTTPRRRPGETLAAARRRRGVQGMRVLRKAAEQSAQLSSYFELCRGLGVLNAAEFPAVERVPDGDIRELEKIIMSHVVEEGSRVPETESKALVAVEETGLASRTVVTKEWVVFDDDDDAAAAAARQGHFGGYVNPFVAAPWDAVAGCRDLLV >PAN35363 pep chromosome:PHallii_v3.1:6:37486310:37487942:-1 gene:PAHAL_6G203000 transcript:PAN35363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWPRSAASRVPGHRFVPEFVGLVKSSMQEGHSETVVNYRVFVGTWNVGGEAPPDDLDLEDWLDTKAGSHDIYVLGFQEIVPLNARSVLGPKQRSAAMKWQRLIEDALNSRRSVQDGEDATRGAAMDHGQGVFRCVVSKQMVGIFVSVWARSGLRRHVRHPGVSAVGAGVLGRLGNKGAVSVRFLLHDTSFCFVCCHLASGGEDGDAQRRNADAADILSRTSFLYSGGARAPGEMPKKILDHDRVVLLGDLNYRIAMDDAEARQLVRARKWSMLLESDELLLELSAGRQFDGWREGHITFAPTYKYHRNSDQFCWCADGSAIAGRDKKRHRAPAWCDRILWRGKGMRQIRYERCGGYRLSDHRPVRAVFHAVCEVVESKQY >PAN35362 pep chromosome:PHallii_v3.1:6:37485984:37488223:-1 gene:PAHAL_6G203000 transcript:PAN35362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWPRSAASRVPGHRFVPEFVGLVKSSMQEGHSETVVNYRVFVGTWNVGGEAPPDDLDLEDWLDTKAGSHDIYVLGFQEIVPLNARSVLGPKQRSAAMKWQRLIEDALNSRRSVQDGEDATRGAAMDHGQGVFRCVVSKQMVGIFVSVWARSGLRRHVRHPGVSAVGAGVLGRLGNKGAVSVRFLLHDTSFCFVCCHLASGGEDGDAQRRNADAADILSRTSFLYSGGARAPGEMPKKILDHDRVVLLGDLNYRIAMDDAEARQLVRARKWSMLLESDELLLELSAGRQFDGWREGHITFAPTYKYHRNSDQFCWCADGSAIAGRDKKRHRAPAWCDRILWRGKGMRQIRYERCGGYRLSDHRPVRAVFHAVCEVVESKQY >PAN34177 pep chromosome:PHallii_v3.1:6:5710376:5717038:1 gene:PAHAL_6G074000 transcript:PAN34177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVTVPRTRASPRRRIWISVVVIFITITVLWAYLYPPQDYSYPVRDWFPSEPTRELTDAETASRVVFRQILSTPPFISRNPKIAFMFLTPSKLPFEKLWELFFKGHEGRYTIYVHASREKPEHVSPIFVGRDIHSETVGWGMITMVDAERRLLAKALEDIDNQHFVLLSESCVPLHNFDYVYDFLMGSRHSFLDCFNDPGPHGVYRYSKNMLPEVRESEFRKGSQWFSMKRQHAMVVIADSLYYTKFRLYCRPGMEEGRNCYADEHYLPTLFNMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTSIDVSNHITSDEKKELLQRPCLWNGLKRPCYLFARKFYPEALDNLVNLFSNYTIF >PVH36511 pep chromosome:PHallii_v3.1:6:7903925:7905063:1 gene:PAHAL_6G088600 transcript:PVH36511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:Projected from Arabidopsis thaliana (ATCG00340) UniProtKB/TrEMBL;Acc:A0A1B1W4U2] MELRFPRFSQGLAQDPTTRRIWFSIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIHDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESHLNHHLSGLFGVSSLAWTGHLVHVAIPGSWGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAKNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGSIRTWA >PAN35827 pep chromosome:PHallii_v3.1:6:40424090:40426422:-1 gene:PAHAL_6G237400 transcript:PAN35827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGGDSWARDAQQRKRRLDDLLSPSTPESFRRLPNGKLACLVCPHRPVLDSPLMLSMHNKGARHIAAESRLREKELSKKHEMNKRLALSSDASHSNSANPHSSSKLTNMKEKPLIEQTRRAILEAQSSRFHDFNGKKVSHDLKWMANTSSSDPHAAPSDVTMEKLTGNTGSIQCNSSKGESFAGNQIQGKLLSDWQTEAQKRQEQELRFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLR >PVH36830 pep chromosome:PHallii_v3.1:6:34033170:34035631:1 gene:PAHAL_6G178400 transcript:PVH36830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPRAALLLLLLLLPHNAAAGGAGTAAPSPAVNPFTAKAAFIRYWNRKVPNNRPHPAFFVAKLSPLPAADTASFPSALPDIRARLPALCSRAGLLCAGPAADAAAASLAKAAGPFKGYSNANFSNYGTGGDQGADSFRNYSPDLNIAADSFRRYGRDSSGRADRFESYEADGNVVTANFTSYAGGATGGSGSFAAYAAGTNVPDSTFTNYDVQANGRARGFASYSQEANHGESGFSGYGRSGNGVRETFASYGNESNVLASAFANYGQSANGATDTFTGYGVEGNVPENTFRSYGAGGNAGVDTFKRYRDDANVGDDRFSSYAKGANGGAAEFQSYGNSANPGSIAFKGYGEGTNPNHHIGFKEYAGENNTFKGYASTGVDFKEYHNTSASTLTVSAEAAASMRHQHLKWSPEPGKFFRERELVAGNRMPMPDIRDKMPPRAFLPRDIAARIPFEPNAVSEVFGLPLDTAMGKAVASTVAECQRAPSRGETKRCATSAEDIVDFAVEMLGSDVVVRSTASAAGSGGDIRLGAVTGVDGGRVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADIAAADGEKINRGVAICHLDTSDWSPAHGAFATLGGRPGEVEVCHWIFEGDMTWTVAD >PAN36454 pep chromosome:PHallii_v3.1:6:43661076:43662260:1 gene:PAHAL_6G284200 transcript:PAN36454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSIESTIGFSRRFLNLIVGNRIPGVKSLCCFDLTDQQLFYPEIPSQSPNVNAEKKITPKSLWPFMMDSIGLPHSSFNFRASALNDQWKIDCFPLAGHEVICADQSGRAFLFNAATQLAETMPSLHKSKSLPFTLFVPNANADNDYHHNGYGSSLFVMERIPKPEVGCRAQYSEQFEAFVYRKPTKSNYYKSWHCQLLPPPPYIREPKYCHGCPEICSYAVLRGGSHICISVKGVGTYCLDTASHTWSEVGKWTLPFHGRVEYVPELKLWFGLSGEAQNLAAADLSSMDSEPQLVGPWKEHRLPEEWKECKDSQLVNLGSGRFCITRFFHNRIYNGDFEDESIAVFTGVEVVPHVRDFNGNANKGGNGKVELQMIPHKSKCHMYNGSTIDAVF >PAN36496 pep chromosome:PHallii_v3.1:6:44275583:44280614:1 gene:PAHAL_6G287900 transcript:PAN36496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLLARSLRSSLRPPLASAAAAFSSASAAAAEAERAIRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRNVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLEDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEEAAAPADTEKSEQAASM >PVH36345 pep chromosome:PHallii_v3.1:6:4044074:4049228:-1 gene:PAHAL_6G054800 transcript:PVH36345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEEEGGSTLRILVATDCHLGYLEKDEVRRFDSFDTFEEICSLAEKYKVDFLLLGGDLFHENKPSNSTLVKTIEILRRYCTNDRPVQFQVISDQAASLQNRFGQVNYEDQNYNIGLPVFAIHGSHGGPAGVDNLSLNDILSAGNFLNFFGKTDLGCTGVGKITVYPLFIRKGQTYLALYGLGNIRDERLNRMLHEPHAVNWMLPEIQDEIDWFNILVLHQKRKKASPSNGVSELLLPRFLDFVIWGGHEHECLIDPEEVSGMGFHVTQPGSSIATSLINAEAKQKHVLLLEIKGTQYRPTKIPLQSVRPFEYVEVILEDQVDVDPSDEATIHAYLHKIVSSLIEKVRETAASGSEPKLPLVRIKVDYSGFSTINSKQFGQKYVGKVANPQDILLFTKSGKRCKNTQESTNSSGQVDTNDLNQQTIEALISETNLQLETLNVNDLNSALHDFVNKDDKTAFHSYLKQSIDEARNKLTTATEDSTKIDEQQIACLLDQSMQAPGQETITKGRPGSQSLLIDALSVLESDDEPVESSDPEESGSSSHQAAGRKRRAAPGGGDGGSAASAAWRRKTDLASFQRAPTKEDDADAAKKRRAPVAPGRYGAVIRRR >PAN33366 pep chromosome:PHallii_v3.1:6:1271963:1276870:1 gene:PAHAL_6G014900 transcript:PAN33366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MSNVTVCVRFRPLSHKERKANADNVCFRKLDSESFVFKDEREEDVIFSFDKVFYEDAQQSDVYNFLAVPIVSDAINGINGTIITYGQTGAGKTYSMEGPSILHCNEQKTGLVQRVVDELFVCLRSSASTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIFISGATEISIVNSSDALENLSQGIANRAVGETQMNLASSRSHCLYIFSVQHGSTSDERVKAGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGKQNHVPFRDSKLTRILQDALGGNSRAALLCCCSPSPSNAPESLSTLRFGTRTKLIKASPKSIPEVVDNAKKPILGTHDQDDLRDRILTKLRLSLKEQDVDLLEELFLQEGIIFDPDSITDIDSACQDTASEEISLLMQAVEELKGTVEELTDENEKLRRELEVAQEIAAQAQLAAAAGAAARSRTLLDFVPAPLLRPFGFVPD >PAN36333 pep chromosome:PHallii_v3.1:6:43080020:43083362:-1 gene:PAHAL_6G274800 transcript:PAN36333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRAPAAALLVVLALSVSISVCADADQVANGAAGNGTGTGVARLDRRTKMFLQTARARGDAVGAQEPGLGLFDAFFASLSIIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRVFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAIGVATGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >PVH37246 pep chromosome:PHallii_v3.1:6:43080020:43082898:-1 gene:PAHAL_6G274800 transcript:PVH37246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQTARARGDAVGAQEPGLGLFDAFFASLSIIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRVFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAIGVATGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >PAN34079 pep chromosome:PHallii_v3.1:6:5191168:5191897:1 gene:PAHAL_6G068200 transcript:PAN34079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCPSPVALPLHRCPTDPRRPTFPLPITASPTRRRRRVTLWPQDLRARRRQRGASGLPRRESRLPPPRRESAALPRFCESLLFPCLLALLLLRTVLDPDPDAAALLPLHFRHDGTFKILQVADMLFGNGAATCCLRGAGRRRRALLGPQHHAVSAPGHRGGEVRPHRLHKCGSVSSSSSSRAAPPPPRSCSCCAPKLAALAKQLS >PAN36031 pep chromosome:PHallii_v3.1:6:41392078:41399728:1 gene:PAHAL_6G251900 transcript:PAN36031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MAMRHLITGQNSCAPDGASSSNPLNAFANAVLGQSSKTQSIKELPGSVSVPSTSDFGTAPPLSTIPGSENEFKQDQRPLARGADFIRGGPANDWIESFRPPGVPEFGGAESQYAEFDQIYNNAGTTIRPPLDGPPQRVLSGVLHSFLASGRAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNRMRGPMHGQYPELEQYWNQSQSSMGPASMHNAADKWITEFGNQNNNPESWANSFEQQYGPNGWASEFEQHQSQMAMGQMGGANMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSNGWADEFQTQYNANANSWADQFAHEELSQGADKWASEFASEHNQGALNDNWVDEFSKLNVTDEWAEEFSGGGFGESSADPWADEFQEQLSSFKQSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEANPTNLEVLLALGVSHTNELEQGEALTYLYRWLQNHPKYGGLAPPPQATGSPYGPDVVRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQKALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDARNLDALQKEFPL >PAN36032 pep chromosome:PHallii_v3.1:6:41392078:41399728:1 gene:PAHAL_6G251900 transcript:PAN36032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MAMRHLITGQNSCAPDGASSSNPLNAFANAVLGQSSKTQSIKELPGSVSVPSTSDFGTAPPLSTIPGSENEFKQDQRPLARGADFIRGGPANDWIESFRPPGVPEFGGAESQYAEFDQIYNNAGTTIRPPLDGPPQRVLSGVLHSFLASGRAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNRMRGPMHGQYPELEQYWNQSQSSMGPASMHNAADKWITEFGNQNNNPESWANSFEQQYGPNGWASEFEQHQSQMAMGQMGGANMANLAAMEQSRMLAQTLASNNDPKFQLSQGADKWASEFASEHNQGALNDNWVDEFSKLNVTDEWAEEFSGGGFGESSADPWADEFQEQLSSFKQSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEANPTNLEVLLALGVSHTNELEQGEALTYLYRWLQNHPKYGGLAPPPQATGSPYGPDVVRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQKALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDARNLDALQKEFPL >PVH37108 pep chromosome:PHallii_v3.1:6:41166172:41167831:1 gene:PAHAL_6G248000 transcript:PVH37108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKLQGGAAGYGVSMVGTCNGLLCLYRCHGDVVVVNPVTGEKIAVPLPPKTSGVLTPAAAYSFAYHPATVLYKIVHVPCHAGGGPFDAVNVLTLGDGSWREVPVPVGTSCRLSFGLVSVDGATYWVSNDAHSVMRSTSRTSASQSSRSCRCAEGWGWTSLGI >PAN34488 pep chromosome:PHallii_v3.1:6:9347146:9350861:-1 gene:PAHAL_6G096400 transcript:PAN34488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFATSRPASIGLCAFLCFLNLFAFLLAVGAERRRSTGKVVPDEYDDRSYCLYDTDASTVYGVSAFFVLLLQQAIVTAATRCLCFGPALSSLGCAVAAFVLSWTTFLIAEACLIGGSVKNAKHTKYLGYYMKHDLVSCATLRKGVFAAAAAMMIINLVASLLYYWSYSKAATGGFMKHQNEVGVGMTDYGLDKGVSDPE >PVH36682 pep chromosome:PHallii_v3.1:6:24158358:24159902:1 gene:PAHAL_6G141800 transcript:PVH36682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGESPNGVVLDPVRYAGGEGFYNRNPSSTTSCEGPCLRSYHGTRVAGHPSGCRSLGFTTAEVQAMRHFLCWSCTNRQHRCAVCGARGSSSELNAQVFRCDHDTCGRFCHPMCISTQLHPGDPAEAARCRIRVAIGRPFWCRGPHRPRPLVFHD >PVH37104 pep chromosome:PHallii_v3.1:6:41082666:41083302:1 gene:PAHAL_6G246500 transcript:PVH37104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAMFLIVLLLAAVTVAPFAAEARDIAADVEGRSLAADAPSSDAPAPSPDFASPSDAPSSSSDM >PAN34542 pep chromosome:PHallii_v3.1:6:26857266:26863620:-1 gene:PAHAL_6G152000 transcript:PAN34542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIVTSVGDIEVDLHTDKCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKTGTVAMASAGENCNASQFYVTLRDDVDYLDDKHTVFGMVAEGFDTLTKINEAYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLAELIPENSPTGKPRGEIAEERLEDSWVPLDETVAPEELEEMIRSKEAHTNAVILESLADIPDAEIKPPENVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAYFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKTNAKKDGCFKCGAPDHLARDCDQDGDQKNKGPNYVLKDENAQRGGNHRRSYDLVFDEDDADYSDKKDDENGHRRKIQRNDDRRSELPPRGNRDRNNRERTYSDEKGIRLGKDENRGGRKHDDYHSYSRSGARSSGRYDDRDYSKRHSRGRSGEGEDIRRDRSDGERRHRDDGYEKSDRHRRDEVDHRKRSPESRRREDGGHRERNQHSDDRSYKERRHRDGR >PVH36212 pep chromosome:PHallii_v3.1:6:1644217:1647844:1 gene:PAHAL_6G021800 transcript:PVH36212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSKCKSRACGPTAPVPTCATETGRRSGGGQNVEPKPRAVPRGRPPSPPPQETFAHAAADDASPSFPRPPRLPRSPSEPAMAASRPLPLRLAVPLALALLLALALVADFLRASSSSRRISARTAKGKRAKAVERAVGHLNATYADLPAPRWDWEEMPAAPVPRLDGAAVQIGDLLYVFAGYGSLDHVHSYVDVYNFTSNTWTERFHMPKEMAHSHLGMVSDGRYVYAVSGQYGPQCRASINRNFVLDTETKEWRELPPLPLPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGEALENEWRAEVPIPRGGPHRACVVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGSKWKQVSPMPKPDSHIEFAWVVVNNSIVIVGGTTEKHPITKKMILVGEVFRFDLETMTWSVIGRMPFRIKTALAGYWQGWLYFTSGQRDRGPDNPGPKKVVGSMWRTRLHL >PVH37149 pep chromosome:PHallii_v3.1:6:41855771:41857730:1 gene:PAHAL_6G257700 transcript:PVH37149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSVSSPPPISSLQTLLASPFVWCLPCACAVAPAVPLAILSPEPAPQQHPAVHGVRTHGCPARPCRPSAMDIDLDRARALRVLGRGAMGTVFLVADGPSAASRPYRYALKAFDKRSAKPDADRRARWEINVLSRLAHPHLPSLLGFTETDDLLAWAVPYCSGGDLNELRYSLPDRIFSPAAIRFYIAEIVSAIAELHAAGFVYRDLKPENVLLRADGHVILTDFDLSRLLHHGPTSASSSPPPPSHRGHNRRARVAARSDSLVGQAKSRPPQPWSAAPSPRQQLQNLVRYLMGSDGALAKKTKSARVSPVSRKPGSFGSSGAGAWGKSYSFVGTEEYVAPEMVRGEGHGFAVDWWAVGVLVYEMAYGRTPFKGQNRKETFRNVLHKELEFPGDSRRRTPELADLVSRLLERDPRRRLGYAGGADEIREHPFFAGVAWDMLTEVSRPPYIPPPSDEGLAAGEGFDVRDHFRNLHQPPPPKGASDASSDFSSEF >PAN36359 pep chromosome:PHallii_v3.1:6:43185657:43190213:1 gene:PAHAL_6G276700 transcript:PAN36359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGRDSAAALVVVLAAAAAAASASSPAEGFQPLSKIAVHRTTVEMQPSAYVRATPSLLGGQGEDTEWVTVKYGWSSPSVHDWIAVFSPADFISGTCPNPARHPGEPPLCTAPIKYRYANYSANYIYWGKGSVRFQLINQRYNFSFALFTGGLENPKLIAVSEPISFKNPKAPVFPRLAQGKTHDEMTVTWTSGYDISEAYPFVEWGAVGAAAGAEPARTPAGTLTFSRGSMCGEPARTVGWREPGFIHTAFMRNLWPNKEYYYKIGHELQDGSVVWGKPYTFRAPPSPGQNSLQRVIVFGDMGKAERDGSNEFANYQPGSLNTTDTLVKDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITANKPYMIASGNHERDWPNTGGFFNVKDSGGECGVPAETYYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTPQYAFIEQCLSTVDRKHQPWLVFAAHRVLGYSSNDWYAMEGSFEEPEGRESLQKLWQKYRVDIAFFGHVHNYERTCPVYQSRCMTNEKSHYSGTMNGTIFVVAGGGGSHLSDYTTAVPKWSIFRDRDFGFVKLTAFNHSSLLFEYKRSSDGKVYDSFTVDRDYRDVLSCVHDSCFPTTLAT >PVH36675 pep chromosome:PHallii_v3.1:6:23326586:23327055:-1 gene:PAHAL_6G139600 transcript:PVH36675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSFCTQSCLWLRPPLDSAWRKTLMILFS >PVH36902 pep chromosome:PHallii_v3.1:6:36626110:36626952:1 gene:PAHAL_6G194300 transcript:PVH36902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFPILRLARGKPYPISREPLDGSGLRLARGELQVRQRGTERPAWRRPTRGEGGGATAYGLRRTSNATTEVPLSSGAGGVWISLVAPVSRSPFFFPFFVFLPAARRCCSRSDARAASRGARCYAAACRGGCFNELLRLAARIWIPAVLYPFDNLASFFSSYFAYHDHG >PAN33332 pep chromosome:PHallii_v3.1:6:1091426:1100112:-1 gene:PAHAL_6G012600 transcript:PAN33332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATARPMELCFASRAATPTPAGRAPARPKKRRAGTTVRCAATAPAPMGEKTEYRDGPLERAFMGLFARKMEKYAAGGGGRKKPQPAEEEKEKAVWEWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVIEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYPNLCSMSTPSAPVCPKLQ >PAN33250 pep chromosome:PHallii_v3.1:6:395809:399235:1 gene:PAHAL_6G005800 transcript:PAN33250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRARVEKDLDEVLQSHTVYSNVSKGVLAKSKDLIKAFGTDDQTKICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLIEHFPIKRAPLRVRFTAPKSNFAGLIEKVAEWNATVISKDESGTQPSIVCEIEPSILHSCEERLKDVQGRVEVLSVSAHAEGGPSVEQHGIVEVPQAAPAKESDAVAEISEAMQKQSISSESQGNAQGKQQRRCKECDVLVDDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECMVEIELADSKKDLKDYDF >PAN36739 pep chromosome:PHallii_v3.1:6:45498475:45500752:-1 gene:PAHAL_6G306400 transcript:PAN36739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRYEYEVEVTVGSATTLKNVNWRHGDLKPYAVLWVDDGPKCSTRVDLDNGEDPVWDEKLLVPVPPSVTRLEDAVLCIDVVHANAAEGVKPLVGSARLPLRDVLDDAGVGGKVSRSLGLKRPSGRPQGRLEVRVAVREAARHYDESYPAPAYGVGSRDPYYAAAQPPYGSGQQPYAAPPVGHPAAYGCGYGAAAAAAAAPPPPPVAYGAGLGGGNPAYSSAAAYGSAAPATKSSKMGMGAGLAVGAAAGVLGGLALAEGASYLEDKFEDHVAEKVEEDLYGGDDDGGYDDDY >PVH36663 pep chromosome:PHallii_v3.1:6:21509265:21512354:1 gene:PAHAL_6G133900 transcript:PVH36663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARRTTERKKSLESFLDAPDNARGGQQQHQHRGSGGHVPVRPVPAPGEKVMSFPGQGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIARGSLEGSVSVDGRPVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSREEKLKRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAHRGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGTPITLPTYLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVAYQRDGSKPNEAAKTPIPKTPRTPHQKSVQFRQIQLKSNQFSVTTATPHAHPFSNYESYNIDDEEENFDNSLERKTQTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPVSHRKPTWTPARTPARTPMSSYQRSRVATPHHQPPPSPHEPVFKPEEPTYHEYQLDLEPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTIMALILSTLFHRLSDANFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKFMLHLQSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTKIPIAWRWLHYISAIKYPFEALLVNEFKGSRCYVGTQNELSPGPLGQIKPSDLHISLNLNSTSTTCPLIGQDVLSTMDITIDNIWIDVGILLAWGVLYRLFFYVVLRFYSKNERK >PVH36346 pep chromosome:PHallii_v3.1:6:4063946:4064450:-1 gene:PAHAL_6G055100 transcript:PVH36346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRHVMSRMAWKDAASSSSSPVPWGPRRAPADSPRRTAASAGPSEGARRGVAAAAAGEEPVRALMFLSFWGPNT >PAN36665 pep chromosome:PHallii_v3.1:6:45098617:45101174:1 gene:PAHAL_6G300600 transcript:PAN36665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARGINQLLKRTLQNQSSGSSLLSSFRGKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAPDYPWPHAGIMSSYDHGSIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVFKSRKLVLDVVN >PVH36794 pep chromosome:PHallii_v3.1:6:31977441:31980161:-1 gene:PAHAL_6G169300 transcript:PVH36794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDAQLRMLAPSMLSEDDKVVEYDALLLDRFLDILQDLHGEDLREMVQECYAIAAEYERKHDSQKLDELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRVKLKKGAFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRQSLLQKHSRVRNCLVQLYS >PVH37186 pep chromosome:PHallii_v3.1:6:42392593:42396156:-1 gene:PAHAL_6G266100 transcript:PVH37186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLRAAAGKAEDVLDGFEYEALRREAQAGAGSNSTSRKVIGYLNVLGSPLWFRLRASWELRGVLGRINGLVKEMNTFGLVERKEPQQVAFRQTHSALDDSAEIVGREGDREVVVEMLLRQRREHAVQVLPIVGMGGLGKTTLAKMVYNDLRVQKHFELRMWHCVSQANLDACPIVKSIIELATEGRCDLPDTFELLQGRLQQEIGRRRYLLILDDVWNEEQPKWEEDLKPLLCSSIGGSGSIILVTSRSQKVACIMGTLPPYELSCLSEDDSWELFSMKAFSKGVQEQAELAAAGRRIVDKCKGLPLALKIMGGLMSSKQQARDWETIADTNLGHTNSGKDEIISILKLSYKQLTSEMKQCFAFCAVFPKDHEMDKETLIQLWTANGFIQEDGTDDLEQKGEFIFDYLVWRSFLQHVKIVKASRYWHGLKQESDGCKMHDLAKDVANESSNIFQYSRETRGLLFIKGAIPFRTLLMPSMSYQDLMESKLGSLRALCCYCYDPSIIPTNFTNTVRLRYLDLSWSRIFRLPSSVCTLYHLESLKLNYCSKLRHINLLECGSLVRMPSKLSLLHNLRTLTTFVVDTEDGCGIEELQDMRQLSNRLELYNLSKVKSGSKANLHEKQNLSELSLYWGRKVSDIPKIGEVNSEVEVLESLLPYGGLKILEIYGYGGHEISQWMRDPQMFQYLRELIVSNCPRCKDLPIVWLSSSLEHLSLSNMVSLTTICHGIDVEAEGCSNSLLIFPKLKRMELSYLPELERWAGNSSGELNGSIVFLQLEELIIYDCYKLSTLPESPVLTHLVCISYTEDQLVSMSMPLYSWPSLASLKVGLLANMVVFPPDQDQQSQSPRPLEALRSLEVKGDYGFVSTFSLPAHLDCFTAVEELVISNCSNIVRWPLVELRCFARLRSLHISHCTNLGQEVLEGSSSEETLPLLQLERLSIVSCDSLTRIPMLPASLEQLDISRCTSMVALPPDLGNLAKLKELCVLGCHQLRPLPDGMDGLTSLEALTIRECPKIQKFPEGLLQRVPTLKTLTIGGCPDLQKRCGQGGEYLDLVASIPRRSIKSTKPIKKDRYMPHLSGIMYKGMPFN >PAN33802 pep chromosome:PHallii_v3.1:6:3515742:3521226:-1 gene:PAHAL_6G047400 transcript:PAN33802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLDQSSPAEHRRPKSSAPQSSTPKISIFGTKAGFVIPKNKLAGSIVTRGATSKNDTATASKEDNSRHAQRKTKWGPDLAADPAVCKGRALAYQTRVEQITKQLKSGTLDMDKTEGSMSTGKGSNSAGTENLKDNEQGKVELLELERSEIIGEILRLNPGYKVPENYKPVLKETKIPLPAEAHQGHDIIGVLIGPESNTQKRLHEETGAVIQVYGTKKINGEMIEIHYQDINEAQAAYEDLHINVSADSYDKVDAAVALIELLLAPVSVNSTATSTTTTVSSAVTSSDVNPVQNTTSQQGLLHYQSNNAPWLSTPQTYGPSVPSSGPVLSTLPNNSLQPQPLAGSFSIPPYTGQPLHTNSMQKNPFPVPGPQQPISSIQHHPPQFRANSSFGPFGQPPGIVNPQMAPSSSVPPPVRPLQIPHASGGWPSFSPITSQSQWPPQASPTFMPVRPPISVSPLGAAPPQGPVALTPPSNIPTMYHSQQPAVSNFTCSAPLVSRPPVGAQPFSTVAPQGPSSVAFPGGTSTQSAYPLSMQVVSAPGQMRGLPPAFSQVGPTPGIVPPLVASSCPPASGPASTSCSRAPIGALRPPHPVAGDFTFQPAVSPAPTPDFAASGSQMGIQGRSHPGALFFHPGNQSPNQSFQRPCDGRTMNIMGQARMHAPPPHLHGAFPRNPSPLELPGGFPGIPPAVQAPQMPALSNPSSFLPPRPFQPRPSLQPNPFASRSRQGGNPIYDPFAPSAAQKAEASDPEYEDLMASVGVK >PVH36344 pep chromosome:PHallii_v3.1:6:4042733:4043344:-1 gene:PAHAL_6G054700 transcript:PVH36344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPGSSRPPTPTASGATATSSSRMDSPSLKAATSARVSMRLRFNLWVPRNRAIASVHRFTRVQRVKAMEARDTGDRNGMRYSGGRGKVEEEPAECLVPAKSSNLGAAVQFPTGSSPHQRELCRFSLDASAMSEAVAVIYNFEVHVVCVGMGLLGLWTLNACFQEP >PAN33424 pep chromosome:PHallii_v3.1:6:1549244:1553083:1 gene:PAHAL_6G020000 transcript:PAN33424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAAIPSRSRLYKGGRSSGPPSNHIRRLLVIAASDPILVFAAPFAMGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDIKLKDSKTLLFGEKPVTVFGMRNPEEIPWGEAGADYVVESTGVFTDKEKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDINIVSNASCTTNCLAPLAKVINDNFGIIEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLEKAASYEDIKKAIKAASEGPLKGIMGYTEEDLVSTDFTGDSRSSIFDAKAGIALNEHFVKLVSWYDNEWGYSNRVVDLIRHIFKTQ >PAN33240 pep chromosome:PHallii_v3.1:6:372237:373500:1 gene:PAHAL_6G005200 transcript:PAN33240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPEEHRQQEQEDDDSDEFTFPTPPPQLLAGGAGRKDGRRVADFHHLPCSASASSSPPLWLLSSPIRRSFSAADCAVSPWRDRVLLSRQRRNGACSPALSDYAGFCVDEEEEEERMDSLWEDLNDDDAAARKDDLFPGSLDMSRRRSVAGQDAAERARRAAKDPHEAAAAVLGASRSSRRRPPGLVVMMRALRRMFVAHKGKSRVHRDEQSAASASASSCSNPFNKG >PAN36741 pep chromosome:PHallii_v3.1:6:45512040:45514042:-1 gene:PAHAL_6G306600 transcript:PAN36741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPEVDGDTPALRSVADAARMVWDESKRLWGIGLPIAVGMVSMYAISSITQMFIGHLGNLPLAAASIGLSVFATFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIILLATSVLMSPFFVFAEPLLLLIGQEPDVAREAGRFALYILPSVFGMAVNFASSKFLQAQSKVTVPAWIGLGALLANLLLNYLFVVVLGWGLPGAAAAYDLAHWGIALGQIAYIIGWCRDGWRGWSAAAFHDIWAFVRLSLESAVMLCLELWYVGLITVLTGDLQDAQIAVDSLGICMNINGWEAMIFIGLNAAISVRVSNELGSGRPRAAWNAVMVVVAEALLIGVLCMVLVLVFRDSFSIIFTSDDDLRHAVSRIAGLLGLTMVLNSVQPVISGVAVGGGWQGLVAYINLGCYYIFGLPLGYLLGYQLNYGVGGIWSGMLCGIALQTLILLAVVWRTDWKAEAAQASSRVQKWGGKGTDEEVIKPLLE >PVH36549 pep chromosome:PHallii_v3.1:6:10351040:10351945:-1 gene:PAHAL_6G099700 transcript:PVH36549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIRGVLVSWVMFCWLLCSVICVTSLLMDLLNIITSIQDAWAIVRELLPSVNNSLLAC >PVH37195 pep chromosome:PHallii_v3.1:6:42621073:42621333:1 gene:PAHAL_6G268900 transcript:PVH37195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPCILISQPARDFILPTLLGRRLITQQVAETQPVSINAVSFRVQTTTTTENEPHGNDCSAQLHSSCRLCPRGVHERAAKILSP >PAN35165 pep chromosome:PHallii_v3.1:6:35499572:35500251:1 gene:PAHAL_6G186300 transcript:PAN35165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRAADPAKQPLPSWGAYSFTLCGYAILTTLEASAALSDGAARSVRRPLALRRRRRVDPSKPPSRRAYPPPCRRKGTRSSRRAPSSSCRAIGRRLRRQTSSSPSPCTREKREAEDKGNREGERCLSRVMNSKQFSSPIYDSNGACQIFPLAYI >PAN33603 pep chromosome:PHallii_v3.1:6:2424971:2429125:1 gene:PAHAL_6G032700 transcript:PAN33603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKRTCPVCKQPCGAAHPPTRLYFQSTGACPTQADPTSQDAPGGPDPEALATELARLEQKASSLGRVVEEQRDGIKNLNAEVARLMAKAATAEAMQEAARKEKECVQMLLNARTEELLRKTSECGRLQEKSLALAKELAALKLSSDMNLQEEEILKLASLGNHGNLENAVDVLKRSLAIRNKSYKELMIQCNVLGRSESRMQQKVEKAKELVKKLKARVQELEKELEEKENSLIRDLRPSKKLKADQTKSGNITANDGFPCPSAGNRNQTTKLDEVMQDSCNDKLDLNRLKPEAKSDLNSNGNFENKNADVIELDADDSAFGNEHKTQLSARPFGTDDNTLDSQNKSSLCQNDNRQTMAFECTITHVAKEASFLKNREATGKSTSLENLRAKLHIPQDTLFERTNMTTSTWEKETLTIDGISKQATRLTSGTGPQQVHNFNSLSDDFQSPGVPGMDGARKSIGKWCKSSTAPGSAAANPNRGSLIAVGPDGRGGNVKILRDLGRFQDSKSQALWPKAPKVGSKGGQSQIDHFFGKR >PVH36425 pep chromosome:PHallii_v3.1:6:5429276:5429796:1 gene:PAHAL_6G070500 transcript:PVH36425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRRTRYRSTPTAAAHARAWGHPPPPTLAVRWGQDELSRERRPRIPLSGWDCERPAAATPGHALSAGPGRLAALPTRSRCLESRPRPAPTRPATRTRRRHRRRRLLHARHEGYSFRSVPVRVPELAGRCRPGRRDGRSAARDDPEHS >PAN34252 pep chromosome:PHallii_v3.1:6:6953258:6953594:-1 gene:PAHAL_6G083700 transcript:PAN34252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGGGAARFVGEDPPWVGPLGSMYHSAGEGRGGVAGECRSAATLQVRGGAAWQVSAGRRQPCRCWSPARQQVGVA >PVH37402 pep chromosome:PHallii_v3.1:6:45236725:45240004:-1 gene:PAHAL_6G303000 transcript:PVH37402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPAPAILPPRKNSTWAHLQRLRRSSATLRHAGRRIRIHNHVPAAHHTTVAPNNSRIHGYEGGIRTLHNLSNGASAHRSMAPPNFPATHGHATRYFNTLLRTRASSGTGIPASSVPSVHTLKPEFVNSAGVKPTFSSSTSDVADWFAKKKAEDAAFLGYIRRHVGSWCLIFCCYFSMLDSGSSHSDTSNCEGKSGSYCQAAASANPAVKNP >PVH37404 pep chromosome:PHallii_v3.1:6:45237530:45239613:-1 gene:PAHAL_6G303000 transcript:PVH37404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPAPAILPPRKNSTWAHLQRLRRSSATLRHAGRRIRIHNHVPAAHHTTVAPNNSRIHGYEGGIRTLHNLSNGASAHRSMAPPNFPATHGHATRYFNTLLRTRASSGTGIPASSVPSVHTLKPEFVNSAGVKPTFSSSTSDVADWFAKKKAEDAAFLGYIRRHVGSWCLIFCCYFSMLDSGSSHSDTSNCEGKSGSYCQAAASANPAVKNP >PAN36701 pep chromosome:PHallii_v3.1:6:45237530:45238749:-1 gene:PAHAL_6G303000 transcript:PAN36701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNFPATHGHATRYFNTLLRTRASSGTGIPASSVPSVHTLKPEFVNSAGVKPTFSSSTSDVADWFAKKKAEDAAFLGYIRRHVGSWCLIFCCYFSMLDSGSSHSDTSNCEGKSGSYCQAAASANPAVKNP >PVH37400 pep chromosome:PHallii_v3.1:6:45237530:45238749:-1 gene:PAHAL_6G303000 transcript:PVH37400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNFPATHGHATRYFNTLLRTRASSGTGIPASSVPSVHTLKPEFVNSAGVKPTFSSSTSDVADWFAKKKAEDAAFLGYIRRHVGSWCLIFCCYFSMLDSGSSHSDTSNCEGKSGSYCQAAASANPAVKNP >PVH37403 pep chromosome:PHallii_v3.1:6:45236740:45240004:-1 gene:PAHAL_6G303000 transcript:PVH37403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPAPAILPPRKNSTWAHLQRLRRSSATLRHAGRRIRIHNHVPAAHHTTVAPNNSRIHGYATRYFNTLLRTRASSGTGIPASSVPSVHTLKPEFVNSAGVKPTFSSSTSDVADWFAKKKAEDAAFLGYIRRHVGSWCLIFCCYFSMLDSGSSHSDTSNCEGKSGSYCQAAASANPAVKNP >PVH37401 pep chromosome:PHallii_v3.1:6:45237216:45240004:-1 gene:PAHAL_6G303000 transcript:PVH37401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPAPAILPPRKNSTWAHLQRLRRSSATLRHAGRRIRIHNHVPAAHHTTVAPNNSRIHGYATRYFNTLLRTRASSGTGIPASSVPSVHTLKPEFVNSAGVKPTFSSSTSDVADWFAKKKAEDAAFLGYIRRHVGSWCLIFCCYFSMLDSGSSHSDTSNCEGKSGSYCQAAASANPAVKNP >PAN34777 pep chromosome:PHallii_v3.1:6:32926152:32934324:1 gene:PAHAL_6G173300 transcript:PAN34777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMKHIARLAALVQVLFLFLLVVATSCLSTAMRMMLLFPCTTRRHQGEEPPMEMTDMKPEEMSHPPMDQLIGMEYCIDSNPSWGGAIVLGFQHFILCLGTAVMIPTMLVPLMGGNAHDKAMVVQTVLLVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISVISDPSLIRIADDHTRFKMTMRAIQGALIISSCIQIILGYSQLWGVCSRFFSPLGMVPVIALAGFGLFERGFPVIGKCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTVSGAYKHSSPLTQVNCRTDRANLITTAPWISIPYPLQWGAPTFSADHSFGMMSAVLVSLIESTGAFMAAARLASATPPPPFVLSRGIGWQGIGLLFDGLFGTVSGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTLFAAIYCVLFGYVGAVGLSFMQFTNMNSMRNLFIIGISLFLGISIPEYFFRFTISAQHSPAHTRAGWFNDLINTIFSSPPTVGFIISVVLDNTLEVRNRAKDRGMPWWARFRTFRGDSRNEEFYNLPFNLNRFFPPS >PAN35417 pep chromosome:PHallii_v3.1:6:40739013:40741167:-1 gene:PAHAL_6G241900 transcript:PAN35417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFRSRSSSNGNKVPDSGFLDESDMQKQLNRLQEELRKEKEEKARALDEIEELRKTNTNRNKKLKSNGAEGQVDLADRLQQLEGELEAARDSEKKMLLSLEAQTKQLEQTKVSLEEAKLEIASLRDSTKSSEAFNPGRQPVKNLRRRGVMSFSFADPGEVETWSLHRELKLAVESEEKCKKAMDDLAIALKEQSTEAREAKGKLLLAQSELNNARTEVESLKASLETAADKLQLALEEAGRLKVESDELAAASKEKERGLVDCIKMFEGELSKGKQENEKLIESQRVIRDENSRLREMLKHAVGEANVARESLEIARVENSRLNEQMFEKESALQSIKQEYESLKISEAAAQSSIKELKDMIDAMFSSESTKTSAEASPRDAKRGEMKESSVAADDVYFDVQRSTRLEEIKNPGKQKKKTIVRKFSEIMKKSNSQKAI >PAN35871 pep chromosome:PHallii_v3.1:6:40738881:40741553:-1 gene:PAHAL_6G241900 transcript:PAN35871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFRSRSSSNGNKVPDSGFLDESDMQKQLNRLQEELRKEKEEKARALDEIEELRKTNTNRNKKLKSNGAEGQVDLADRLQQLEGELEAARDSEKKMLLSLEAQTKQLEQTKVSLEEAKLEIASLRDSTKSSEAFNPGRQPVKNLRRRGVMSFSFADPGEVETWSLHRELKLAVESEEKCKKAMDDLAIALKEQSTEAREAKGKLLLAQSELNNARTEVESLKASLETAADKLQLALEEAGRLKVESDELAAASKEKERGLVDCIKMFEGELSKGKQENEKLIESQRVIRDENSRLREMLKHAVGEANVARESLEIARVENSRLNEQMFEKESALQSIKQEYESLKISEAAAQSSIKELKDMIDAMFSSESTKTSAEASPRDAKRGEMKESSVAADDVYFDVQRSTRLEEIKNPGKQKKKTIVRKFSEIMKKSNSQKAI >PVH37007 pep chromosome:PHallii_v3.1:6:38725910:38727253:1 gene:PAHAL_6G217600 transcript:PVH37007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTSSSHRKLHIRGLVTTLAGSLGQSCRPVDQGRRASSCGGRCGASARSGPSPHNVELNPQGAHGGACGATSVPRVLQTEMTSCSSLSGGSDPGSRHQGASWRRGPRVSGEGGDDDNDGGSDSRRASGHVRRSKAACGPVLPYLAVAVCADEGQHEAMIFLIGSYNFLVRSNLSRRSAGPRVGGSPVAAASLAAGPPRLLIISPAGRANVRRRQPPLDRSRCNIKVTHVIRT >PAN33522 pep chromosome:PHallii_v3.1:6:1946011:1960001:1 gene:PAHAL_6G027000 transcript:PAN33522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MDPALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKVPRKMILQVYNILHVMLKGGRDCEFYHRLVQFVDSYDPPIKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLILPLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLTEVHEQALVSCDAMHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALSLAQCEVIWYFQHVGVALSKSTRGKTVDIDATDPTIGFLLDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQFLHCLENIPKPQGESVPAISSDLTDLRKHWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNSTTRAKGVSSLLTPGHESYPDNSSSIKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCILGNFRRRFHTMIRTDNCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQMFETPVGTQGGGSAVKMICNWYIENVVKDASRIGVAFDAIQNCFRSSQPIGGGCLAEAFTDKRELKALVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADLCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLALQLPDEVPDKNEITRLRRVASSVGVGDKHDAEWVHAILAEAGAANDNSWILLPFLCAAFMVSNIWSGAIYDVNIGGFSNNLHCLARCLSAVVGGSEYTRVEREQRINSLSNGHTDDLQEAELPSRVSAEASIKSAMQIYVKLSAGIVLDSWNDSSRLYIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMDQSPRQSPLISLAHASPSARQNRPETTPRSHTFEPSYYSSSGSQHDDGYEADKRTGLLRSMRRSGPLDFSASRKVKFVEGSSSGSSHGAGSLQRFAVSRSGPLSYK >PAN33521 pep chromosome:PHallii_v3.1:6:1946011:1960001:1 gene:PAHAL_6G027000 transcript:PAN33521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAHVSFKNKEVDGGMSRWSEYLNVEEPTPSALASWRNMVADGPQGSSTGGHKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNTHTFSDAFWKAGVFPNFPKICITLSKKFPEHPNKLQLERVDKFALDALNENAEGYMHNLEQWILLLLDLLAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKVPRKMILQVYNILHVMLKGGRDCEFYHRLVQFVDSYDPPIKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLILPLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLTEVHEQALVSCDAMHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALSLAQCEVIWYFQHVGVALSKSTRGKTVDIDATDPTIGFLLDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQFLHCLENIPKPQGESVPAISSDLTDLRKHWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNSTTRAKGVSSLLTPGHESYPDNSSSIKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCILGNFRRRFHTMIRTDNCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQMFETPVGTQGGGSAVKMICNWYIENVVKDASRIGVAFDAIQNCFRSSQPIGGGCLAEAFTDKRELKALVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADLCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLALQLPDEVPDKNEITRLRRVASSVGVGDKHDAEWVHAILAEAGAANDNSWILLPFLCAAFMVSNIWSGAIYDVNIGGFSNNLHCLARCLSAVVGGSEYTRVEREQRINSLSNGHTDDLQEAELPSRVSAEASIKSAMQIYVKLSAGIVLDSWNDSSRLYIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMDQSPRQSPLISLAHASPSARQNRPETTPRSHTFEPSYYSSSGSQHDDGYEADKRTGLLRSMRRSGPLDFSASRKVKFVEGSSSGSSHGAGSLQRFAVSRSGPLSYK >PAN33523 pep chromosome:PHallii_v3.1:6:1948215:1960001:1 gene:PAHAL_6G027000 transcript:PAN33523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MDLFCSFVRVNLFSEKVPRKMILQVYNILHVMLKGGRDCEFYHRLVQFVDSYDPPIKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLILPLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLTEVHEQALVSCDAMHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALSLAQCEVIWYFQHVGVALSKSTRGKTVDIDATDPTIGFLLDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQFLHCLENIPKPQGESVPAISSDLTDLRKHWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNSTTRAKGVSSLLTPGHESYPDNSSSIKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCILGNFRRRFHTMIRTDNCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQMFETPVGTQGGGSAVKMICNWYIENVVKDASRIGVAFDAIQNCFRSSQPIGGGCLAEAFTDKRELKALVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADLCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLALQLPDEVPDKNEITRLRRVASSVGVGDKHDAEWVHAILAEAGAANDNSWILLPFLCAAFMVSNIWSGAIYDVNIGGFSNNLHCLARCLSAVVGGSEYTRVEREQRINSLSNGHTDDLQEAELPSRVSAEASIKSAMQIYVKLSAGIVLDSWNDSSRLYIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMDQSPRQSPLISLAHASPSARQNRPETTPRSHTFEPSYYSSSGSQHDDGYEADKRTGLLRSMRRSGPLDFSASRKVKFVEGSSSGSSHGAGSLQRFAVSRSGPLSYK >PAN35823 pep chromosome:PHallii_v3.1:6:40404096:40407071:-1 gene:PAHAL_6G237200 transcript:PAN35823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASSAEGARWTSPHKLKLITILSIDGGGIRGIIPATILAFLEAKLQELDGPDARIADYFDVIAGTSTGGLLTAMLTAPDANGRPLFAAKDLARFYINHSPKIFRRKNPIRSKIASKLKMVCGPKYDGKYLHALLRRYFGDTRLDRTLTNVVIPTFDIAYMQPTIFSTLELRHQASKNALLSDISMSTSAAPTFFPPHYFETKDKDGRRRAFNLVDGGLAANNPTLCAMNQVSQDIILGNERFFPVKPADYGKFMVISLGCGSNRNRRYCAKAAAKWGIFNWLIKDGTAPIVDMFNSASADMVDINLCVLFRALRSSQNYLRIQYDQLTGSAGSIDDCSKENMDRLVRIGKRLLNMNVSRVDLETGRIEEVPGVGTNAEQLTKFAKQLSDERRRRQNELIYSEAGFQNQAW >PAN33953 pep chromosome:PHallii_v3.1:6:4472635:4477510:1 gene:PAHAL_6G059600 transcript:PAN33953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMLQPQSLICSLSDQVVVIRPAFVGNGPAQALLRTSRCARSRLIRCPVASSDRPNRKSRRMVYPKIKVNAFRGYAPKVTIQTSTKKSEHHDCDEETIGTYNRQLGWTDVVESTSGTGGETAKEDLLHNASSYPVFREIEEVRLDLFEAELPGNALIDISLGELEVVDEAEVEEDKFEVDFSGIALSSAAVWELDSKDEANDKEDIFVVDLSRIASDSAAVGEVVDEAEAIHETFNVDSSGSASSSGTYGDVDEVGEPWADQEVTFEMDVSKLGELNVVDHAKVGTNIFEMEFLSSSSGKAMYIAAESSEENLAKGKNEQGQCPALPSTSMEDNAIDSPENSKSVHQRHNLVFDIDKEEGLTIDSCVKDQPVEDYHKQGGNVAYFDKQKQLTYGFREQDLSIVQFPGQNHAIFGFPKQDISFLEQKQAIVGSYKQDQTIVSLHEQDRSFDGSHCQDESIVGVPEPIQCIVGYNKSDQSIVGSYRGDKSIVGGSKKIQSTVGYYESDESNIVSHKQDDSITGVPVQIQSIIDYSTSDQSIDDLPKQHQSIVHIPEQKQCIVGFHIQDLPIVGNSKESQTMQHAIVRTHDALLNKEEHFEMIEEQKSIKVDEEQWIATKERISVNAAEFLLLLSKKESSWAEDGVRITEDEEQYKVDETSMFAEQDIQDLPHDNVDPQALQRTLQELAHKNYSLGSKLFAFPEALKADSTIDLYFNRDLSTLANEPDILIKGAFNGWKWRFFTEKLHMSELGGDWWCCKLYIPKQAYRLDFVFFNGRTVYENDGNNDFMIQIESTMDEHLFEGFLAEEKQRELERLAIEEAERMRQAEEQRRRAEERAEDEADMAQAKVEVEMKKNKLQNVLGLAGHHI >PVH37062 pep chromosome:PHallii_v3.1:6:40128700:40130877:-1 gene:PAHAL_6G234000 transcript:PVH37062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPSRRHPRGLAAAAAAVLLLISAAAPGARAQEEVEDEHEFSYDPRDAHGPAHWGAIKAEWANCSAGRMQSPIDLSHERVTLVRALGYLDHAYRAAGASIVNRGHDIMVRFRGDAGSLVVNGTAYRLRQLHWHSPTEHTVGGRRYDMELHLVHESAENKAAVVGVLYEVGDAPDPFLRALEPAIRRIADRQDKEEDVGAVDPRRARGRASVYYRYMGSLTTPPCTEGVIWTVVKRVRTVSKYQLELLREAVHDDMEKNARPLQAVNDRDISIFRPKPHKHY >PVH36246 pep chromosome:PHallii_v3.1:6:2176953:2180921:-1 gene:PAHAL_6G029800 transcript:PVH36246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCSPAAPAIPLAAAEQDCRRRYAPSVWGDFFITYQPCTLEELHSMQEKARAMKKEVRRTLLAAADASGDDGLVRKLELVDALQRLGVDYHYEEEIDALLRAVFYLLRKHGCAVSSDVFVKFRDEQGNISSDDVNTLITLYDAAHMRVHGEDILDSIITFNKSRLQSLIMETDLEPALREEVRVTLETTRFRRVERVEARRFISAYEKKAARDDTLLEFAKLDYNIVQVVYCNELKELTVWWKDLRSRVDLTFSRDRLVEMHFWMMGIVYEPLYSYARITLTKQVLLFVALLDDIYDNYSSTEESDIFTTALERWDEKAAEQIPEYLRPFYRNVVCNTDMVVQELKLQNNKHAEVVREMALHVAKSYRAEVTWRDEHYIPADVDEHLQISLGSIAAMQTVVLTFVSLGDVTTREAIDWALTYPKIVRGLTVIARIMNDIMSHEREQASDHMASTVQTCMKQYGVTVEEAIEKLKVILEKAWMDMVQECLDQKYPMALLEKVVSFAQSIDFFYKSEDLYTLPCNLKDTLTSIYAKFVV >PAN35492 pep chromosome:PHallii_v3.1:6:38353298:38355561:-1 gene:PAHAL_6G213300 transcript:PAN35492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 1 [Source:Projected from Arabidopsis thaliana (AT2G02990) UniProtKB/Swiss-Prot;Acc:P42813] MAARLPLAILLALAAVAGRAAAQDYDFFYLVLQWPGSYCDTKQSCCYPKSGKPTADFGIHGLWPNRDDGSYPQNCNPDAAFNPSKVSDLLSSLRAKWPTLACPTNDGLRFWGHEWEKHGTCAADVFDEHGYFQAALRLRDRLGVLAALTSAGVNPDGGYYTLDQIKGAIREGTGFEPYVECNRDEAGNSQLYQLYFCVSAAGDSFVECPVLPRGRPCGSRIEFPAF >PAN36101 pep chromosome:PHallii_v3.1:6:41832056:41842052:1 gene:PAHAL_6G257500 transcript:PAN36101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLKNGRCKKTAIEELHVFLGDDTDGFISWLWEHLSSHLHLYVQAQEQNQETKNDEAPKEVSGRQKSSDVLPRSNGQTHSEHTIESSTATRRRNKREWKGIGREGNENFPLRSVLTDILHGEEKRSQKSSEIRHPPSKQQNGRKRERDDEPQQTKRDVSSRSMIGGGASRRLLQFAVRDAVKAVQPTSSSAEPASKRLRSVVSTTSAENMCDRRSERSQDKLSDRISERSQDKMSDRRSERSQANPSDRRLERSQDNPSERRSERTRPTLQVQGAALALRAAAEAAADSTKVRSTGSVFKRLGQGNVVKQPSRSREEKRDYEDFEPVMTIDEHDSDRYVNNEESEEESGELTMTDRVAEMNVDSSSEDDMDRDEGITRYQTSVSHEGAFSSFEEKKALSTKCSVELETDAMRSSSVIAEEQPVPSSTKTANKAVAISVDVNTVEPLSYETPKDVHVVEKPYVTPMNSNATSVATNAKEFGHAEVQKDSQRAAPSVAVSYSTAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMTRILKVVRRSSHEAAHFYGWPGSGRSSLYGRHGRMAYPRAVLPGGSFRGRAPMKAGARSLQWKREPSGTDSGTKTDMSVPLSSEQVLPPAT >PAN36103 pep chromosome:PHallii_v3.1:6:41832056:41842052:1 gene:PAHAL_6G257500 transcript:PAN36103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEADDDARGFKAVFTAAGEELLRGRVREKLREFMSAPEDSLLDYVMVLLKNGRCKKTAIEELHVFLGDDTDGFISWLWEHLSSHLHLYVQAQEQNQETKNDEAPKEVSGRQKSSDVLPRSNGQTHSEHTIESSTATRRRNKREWKGIGREGNENFPLRSVLTDILHGEEKRSQKSSEIRHPPSKQQNGRKRERDDEPQQTKRDVSSRSMIGGGASRRLLQFAVRDAVKAVQPTSSSAEPASKRLRSVVSTTSAENMCDRRSERSQDKLSDRISERSQDKMSDRRSERSQANPSDRRLERSQDNPSERRSERTRPTLQVQGAALALRAAAEAAADSTKVRSTGSVFKRLGQGNVVKQPSRSREEKRDYEDFEPVMTIDEHDSDRYVNNEESEEESGELTMTDRVAEMNVDSSSEDDMDRDEGITRYQTSVSHEGAFSSFEEKKALSTKCSVELETDAMRSSSVIAEEQPVPSSTKTANKAVAISVDVNTVEPLSYETPKDVHVVEKPYVTPMNSNATSVATNAKEFGHAEVQKDSQRAAPSVAVSYSTAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMTRILKVVRRSSHEAAHFYGWPGSGRSSLYGRHGRMAYPRAVLPGGSFRGRAPMKAGARSLQWKREPSGTDSGTKTDMSVPLSSEQVLPPAT >PVH36821 pep chromosome:PHallii_v3.1:6:33476138:33477478:-1 gene:PAHAL_6G175800 transcript:PVH36821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTWDHIDDGTQHGFTGPSFQQCSQYVGSQMHELQQQQPFFEVEISQATNNQNSQLRTGKKGKKKRQSPTKEQSEPKGAARTANYKQAEDEVICSAYLNVSRDAVVGVNQPYKTYWERITEYYNMNRGIYGERSHNSLQHRWGEISKSTSKFCGFYAEVERKNQSGKCEDDKIKDALQLYQGVMEESFKFIHCWLILKREQKWNEFLAEKMVPKTKQAPSAAQVDPNQTPSSVPQFTEENFVRPMGRDSSKKLRAANSAASSTGCLEVLQKIHSDRAKYDARQEELVMDESREIAERYERKLKIQEETMKIHSESVSIQKELLSKQVAIQQQMLELQEKERTDRVMMADLEKFSPWVREFYIQEQKEIAAKRRMQGGQSCSAHSAEK >PVH37242 pep chromosome:PHallii_v3.1:6:43074380:43077453:-1 gene:PAHAL_6G274600 transcript:PVH37242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTRPRAWEAGESSRDAKTPRLDLLASAALEQNDLSRWDEWPEVTGDKDWCNHVPTDSAHKEILDSSLQSDHAGECADCQREEEPGKCGPVNSRILVCLECGRQSCGDSETYLPYGHAQDHAKHEQHWVAAMFADPQAGFCFKCGTEVSVYPEQEEMSGEIQAGGHAFGFDEHTGPVSGLLNLEYTWLGHEFGSANVQGYAIRGIPNCGSTCYVNAIVQCLLVLDKLRARMLGPDAPPGQLGLALMELFVETCVEDAVGEMLNPDKLLRRIRLHADKFEVYKMHDSHELLDSLRSALHSEENEIDTPNKQRGAATVIDSIFRGEISYTRSCIYCGSSLVLHDQFCELSLPLPSKEHPSRSAAEPQTSESLKSQPKKAASQLIPENEKSTSEKIQEVAKSGDSHILGSELKDVVMEKTPEPLEVDSSEAQCIWQSKDVIQDPLEIREDKVSCSELSHGIIEAPPKSISFVPQNLSNVKVEQVIEMTADSCSPEDMVPPPLVALLSENGALMALGCSVDQNGNADPGDLLNQLEVSIQAQENTYTGQLTAEDKGNARSRDAVHDKVVGVSNIVPSIEDCLSLFFKEQVVERNCDDCPKVIEDPSTNQSENGGQMVASTTDNTAVDGNQTEQSDRLTCQIGQSIEPNSLSVECKSSSSRQPDDSDAKSEIIQTEEANTEIINSGMSHGDKEIECHEGIQEAVSSCLPAEKQTDLLSSQHSQNLSTPNQDRSKRVGLDLSASQLGDNQNEKKERSGCAIETPCITKLPSVLTLHIKRYIKYGNAHHKNEAHVSYEEYLDVERFMDPRYC >PVH37244 pep chromosome:PHallii_v3.1:6:43072425:43078189:-1 gene:PAHAL_6G274600 transcript:PVH37244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTRPRAWEAGESSRDAKTPRLDLLASAALEQNDLSRWDEWPEVTGDKDWCNHVPTDSAHKEILDSSLQSDHAGECADCQREEEPGKCGPVNSRILVCLECGRQSCGDSETYLPYGHAQDHAKHEQHWVAAMFADPQAGFCFKCGTEVSVYPEQEEMSGEIQAGGHAFGFDEHTGPVSGLLNLEYTWLGHEFGSANVQGYAIRGIPNCGSTCYVNAIVQCLLVLDKLRARMLGPDAPPGQLGLALMELFVETCVEDAVGEMLNPDKLLRRIRLHADKFEVYKMHDSHELLDSLRSALHSEENEIDTPNKQRGAATVIDSIFRGEISYTRSCIYCGSSLVLHDQFCELSLPLPSKEHPSRSAAEPQTSESLKSQPKKAASQLIPENEKSTSEKIQEVAKSGDSHILGSELKDVVMEKTPEPLEVDSSEAQCIWQSKDVIQDPLEIREDKVSCSELSHGIIEAPPKSISFVPQNLSNVKVEQVIEMTADSCSPEDMVPPPLVALLSENGALMALGCSVDQNGNADPGDLLNQLEVSIQAQENTYTGQLTAEDKGNARSRDAVHDKVVGVSNIVPSIEDCLSLFFKEQVVERNCDDCPKVIEDPSTNQSENGGQMVASTTDNTAVDGNQTEQSDRLTCQIGQSIEPNSLSVECKSSSSRQPDDSDAKSEIIQTEEANTEIINSGMSHGDKEIECHEGIQEAVSSCLPAEKQTDLLSSQHSQNLSTPNQDRSKRVGLDLSASQLGDNQNEKKERSGCAIETPCITKLPSVLTLHIKRYIKYGNAHHKNEAHVSYEEYLDVERFMDPSSVDKDKSLYHLAGVVEHRGPSMNAGHYVAYVRARRLGNQEQQSSCSSSWFCADDGHIREVTLEEVLKREAYILFYEKMEG >PVH37243 pep chromosome:PHallii_v3.1:6:43074380:43077453:-1 gene:PAHAL_6G274600 transcript:PVH37243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTRPRAWEAGESSRDAKTPRLDLLASAALEQNDLSRWDEWPEVTGDKDWCNHVPTDSAHKEILDSSLQSDHAGECADCQREEEPGKCGPVNSRILVCLECGRQSCGDSETYLPYGHAQDHAKHEQHWVAAMFADPQAGFCFKCGTEVSVYPEQEEMSGEIQAGGHAFGFDEHTGPVSGLLNLEYTWLGHEFGSANVQGYAIRGIPNCGSTCYVNAIVQCLLVLDKLRARMLGPDAPPGQLGLALMELFVETCVEDAVGEMLNPDKLLRRIRLHADKFEVYKMHDSHELLDSLRSALHSEENEIDTPNKQRGAATVIDSIFRGEISYTRSCIYCGSSLVLHDQFCELSLPLPSKEHPSRSAAEPQTSESLKSQPKKAASQLIPENEKSTSEKIQEVAKSGDSHILGSELKDVVMEKTPEPLEVDSSEAQCIWQSKDVIQDPLEIREDKVSCSELSHGIIEAPPKSISFVPQNLSNVKVEQVIEMTADSCSPEDMVPPPLVALLSENGALMALGCSVDQNGNADPGDLLNQLEVSIQAQENTYTGQLTAEDKGNARSRDAVHDKVVGVSNIVPSIEDCLSLFFKEQVVERNCDDCPKVIEDPSTNQSENGGQMVASTTDNTAVDGNQTEQSDRLTCQIGQSIEPNSLSVECKSSSSRQPDDSDAKSEIIQTEEANTEIINSGMSHGDKEIECHEGIQEAVSSCLPAEKQTDLLSSQHSQNLSTPNQDRSKRVGLDLSASQLGDNQNEKKERSGCAIETPCITKLPSVLTLHIKRYIKYGNAHHKNEAHVSYEEYLDVERFMDPRYC >PVH37245 pep chromosome:PHallii_v3.1:6:43072425:43078189:-1 gene:PAHAL_6G274600 transcript:PVH37245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTRPRAWEAGESSRDAKTPRLDLLASAALEQNDLSRWDEWPEVTGDKDWCNHVPTDSAHKEILDSSLQSDHAGECADCQREEEPGKCGPVNSRILVCLECGRQSCGDSETYLPYGHAQDHAKHEQHWVAAMFADPQAGFCFKCGTEVSVYPEQEEMSGEIQAGGHAFGFDEHTGPVSGLLNLEYTWLGHEFGSANVQGYAIRGIPNCGSTCYVNAIVQCLLVLDKLRARMLGPDAPPGQLGLALMELFVETCVEDAVGEMLNPDKLLRRIRLHADKFEVYKMHDSHELLDSLRSALHSEENEIDTPNKQRGAATVIDSIFRGEISYTRSCIYCGSSLVLHDQFCELSLPLPSKEHPSRSAAEPQTSESLKSQPKKAASQLIPENEKSTSEKIQEVAKSGDSHILGSELKDVVMEKTPEPLEVDSSEAQCIWQSKDVIQDPLEIREDKVSCSELSHGIIEAPPKSISFVPQNLSNVKVEQVIEMTADSCSPEDMVPPPLVALLSENGALMALGCSVDQNGNADPGDLLNQLEVSIQAQENTYTGQLTAEDKGNARSRDAVHDKVVGVSNIVPSIEDCLSLFFKEQVVERNCDDCPKVIEDPSTNQSENGGQMVASTTDNTAVDGNQTEQSDRLTCQIGQSIEPNSLSVECKSSSSRQPDDSDAKSEIIQTEEANTEIINSGMSHGDKEIECHEGIQEAVSSCLPAEKQTDLLSSQHSQNLSTPNQDRSKRVGLDLSASQLGDNQNEKKERSGCAIETPCITKLPSVLTLHIKRYIKYGNAHHKNEAHVSYEEYLDVERFMDPSSVDKDKSLYHLAGVVEHRGPSMNAGHYVAYVRARRLGNQEQQSSCSSSWFCADDGHIREVTLEEVLKREAYILFYEKMEG >PAN34662 pep chromosome:PHallii_v3.1:6:17342233:17344468:-1 gene:PAHAL_6G122000 transcript:PAN34662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFGNSLATGAYAKGASDPLASEVTATANASQETKDGAETNEQEGGSPLEADEMTFSANTNGAGSSGTKPPPAKKHKVAAVEDPNIAMVSIMSEGLGNLAAAIEKVAKEDDGIPEGLYDDMMSIPGFDEAHLDHYYAYLCEHPSLARRFYNMRLSSKMVWVARYIKEHL >PAN34066 pep chromosome:PHallii_v3.1:6:5149470:5150317:-1 gene:PAHAL_6G067500 transcript:PAN34066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSRAAACALLLLLLVLTHGGSSDVGGMVAVEARVCTGKSQQHSFPCISDRLCTRNCVNEGGGWTAGYCRWRVCTCQKAC >PVH37048 pep chromosome:PHallii_v3.1:6:39784397:39785616:-1 gene:PAHAL_6G230700 transcript:PVH37048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESSNSEMLPELITGTGRQNVVEVCCSRCCPTAEEANGGLVIQSINGNNVRSRSCRSSSSFDQAIRLSRANPAQILAKERHSCHR >PVH37047 pep chromosome:PHallii_v3.1:6:39784311:39785616:-1 gene:PAHAL_6G230700 transcript:PVH37047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESSNSELITGTGRQNVVEVCCSRCCPTAEEANGGLVIQSINGNNVRSRSCRSSSSFDQAIRLSRANPAQILAKERHSCHR >PVH37097 pep chromosome:PHallii_v3.1:6:41007915:41008868:-1 gene:PAHAL_6G245400 transcript:PVH37097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILDLDLFSRALRLRWLWYEWTSPNRPWVGTEPPANAVDRQLFRASTVVTLGDGSKAIFLAIFMATRTGANGSLSCLPDLFKLAWRKNKIVQEELVNLNWTRGLWKTQTIEEMANFVKLWDLYTLRNSAMSQTRLHGDKLMARQWPCNPVCVLCNHEQETAAHLILHCPFARLVWEKMEDWTRELVHPPQGGFEIIDWCQKELAQLPKKTRRTKAAFMMYGAWNIWKERNLRIFENKQGTPADVLHEIKMEVEARRLACGGPELP >PAN35599 pep chromosome:PHallii_v3.1:6:39033023:39035297:1 gene:PAHAL_6G220800 transcript:PAN35599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYTMHATINYAALPPTSPLELPLPYLPPPPPPQLSLPPLLSPPPPPVAAAVASTDAGFQSRISPSVLLIILILAVIFFVSGLLHLLVRFLLRPAPRDAGDAFGADANATAFQGQLQQLFHLHDAGVDQSFIDALPVFLYSAVVGAGGKDPFDCAVCLCEFEDDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPCGGGCSPLVFVLESGSEGSVSDRLDAASSARLSFVMEQEEAVQDRKHATAEAVEKKDEVVVPVKLGKFRSQATEGAGGSAHHGNQDVRRCFSMGTYEYVMDDSSLLRVAVKPPEKKRPATRMPGHRVAMSECDCHSKREGFCGFDAPLKQQPQPSKAAVDKRESFSVSKIWMRGGPRRKDASSGAAIAAGSCSTSRRASSFRLSSALQRTASDVGAAVPKRRADVVSPVTESEYNVSTWDKSASGSVVDWDLESAGGGHGLSSRADEAPSFARRTLLWIRGHL >PVH36506 pep chromosome:PHallii_v3.1:6:7434424:7435543:-1 gene:PAHAL_6G086100 transcript:PVH36506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDPITYEELSAEHKQRYDEIRTQIEADLIGSFERTRSHGIRWRGFSPEGALDGVDLSTPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPALGSHKGELPFQTRPPLPYALAAAESHGAPAYVVYKVGGDPTDHQFFSEPPKEIPHGYMCAYIPDSNNPMHLSQKVAGGVSGADADKQAWLATYATGPNHDSMHSAPGLQTAEQIGAILRDQFGILPKRRAIGYTKPIIRKLQKQGLPIWRKCDTSVEKLWWNLFDASGK >PAN36119 pep chromosome:PHallii_v3.1:6:41909858:41913372:1 gene:PAHAL_6G258800 transcript:PAN36119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVSKDLNLPVQPPMTSSGLLRYRSAPSTLLGEVCEDFLQPGPRAGSPEHGADNVFSRFLADHQIRDKPPAPAPHAPAAAHFPDAAAMASQQQQMMFHSQQQQQQQQQMAAVESGLYRTVSSGMEAPTAGASSLIRQSSSPAGFLNHLNMDNGYGGMLRAGMGVGFSNGASAAAAADSTSGGGGGRLKGQLSFSSRQGSLMSQISEMGSEELGGSSPEGAGGGRGYIPGYPMSSGWEDSSSLMSENLSGMKRPRDPSEPGQSGLTQQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQQQVKVLNESRASCTCSASKQQRQFSC >PAN34598 pep chromosome:PHallii_v3.1:6:12341518:12354399:1 gene:PAHAL_6G105000 transcript:PAN34598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPATTAPPLVAPGTHRGHGAGIRRLSASPSCYPYLALSSSPAVSSVAASAGSLKPKHKGLGLRCRAAEEAPPPARGKATLKVMISGAPASGKGTLCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDSGKLVPDQDVTDMVVSRLSQLDVQERGWLLDGYPRSFSQAQSLESLKIRPDTFIVLEVPDDILIDRCVGRRLDPVTGKIYHVKNSPPENEEISARLITRSDDTFEKVKSRLDTYKQNSEAILPTYSDLLNQIDGNRPVEVIFQEIDSLLQKICENNSANKLTQTNGRPSDSEDTGSKHEWRGIPTRLNNIPHSREIRKYFYDDVLHATKRAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGTFINIGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGREMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKYEIYRRVDEPSGKEKYVLIAEFTEKPTPDDITGAFKGRKKDEEKASSGF >PAN34599 pep chromosome:PHallii_v3.1:6:12341518:12351128:1 gene:PAHAL_6G105000 transcript:PAN34599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPATTAPPLVAPGTHRGHGAGIRRLSASPSCYPYLALSSSPAVSSVAASAGSLKPKHKGLGLRCRAAEEAPPPARGKATLKVMISGAPASGKGTLCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDSGKLVPDQDVTDMVVSRLSQLDVQERGWLLDGYPRSFSQAQSLESLKIRPDTFIVLEVPDDILIDRCVGRRLDPVTGKIYHVKNSPPENEEISARLITRSDDTFEKVKSRLDTYKQNSEAILPTYSDLLNQIDGNRPVEVIFQEIDSLLQKICENNSANKLTQTNGRPSDSEDTGSKHEWRGIPTRLNNIPHSREIRKYFYDDVLHATKRAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGTFINIGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGREMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKYEIYRRVDEPSGKEKYVLIAEFTEKPTPDDITGAFKGRKKDEEKASSGFWGFLSGIL >PAN34597 pep chromosome:PHallii_v3.1:6:12341518:12354399:1 gene:PAHAL_6G105000 transcript:PAN34597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPATTAPPLVAPGTHRGHGAGIRRLSASPSCYPYLALSSSPAVSSVAASAGSLKPKHKGLGLRCRAAEEAPPPARGKATLKVMISGAPASGKGTLCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDSGKLVPDQDVTDMVVSRLSQLDVQERGWLLDGYPRSFSQAQSLESLKIRPDTFIVLEVPDDILIDRCVGRRLDPVTGKIYHVKNSPPENEEISARLITRSDDTFEKVKSRLDTYKQNSEAILPTYSDLLNQIDGNRPVEVIFQEIDSLLQKICENNSANKLTQTNGRPSDSEDTGSKHEWRGIPTRLNNIPHSREIRKYFYDDVLHATKRAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGTFINIGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGREMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKYEIYRRVDEPSGKEKYVLIAEFTEKPTPDDITGAFKGRKKDEEKASSGFWGFLSGIL >PAN33916 pep chromosome:PHallii_v3.1:6:4193925:4194598:1 gene:PAHAL_6G056300 transcript:PAN33916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYNNSSEDGAARDEEGYGAAYQHEYSGAPTEVPDEVGAAYVSPGTRSAAQNDTVAVYCLDCDDTPAAAPAAKRRAAADQPGASCYSSAVAAGEALPRASQVLARPDKKTTVPAADAGPGKVAGAFTERLRRGLPVLGLSVASLAVAGLATGEPTPAAGFGLFLMLIVGLSSVTIRAIGA >PAN35833 pep chromosome:PHallii_v3.1:6:43278056:43281595:1 gene:PAHAL_6G277900 transcript:PAN35833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILIFIGNNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQIYAMIHSPDDAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMLLEDLVDLSQSMIALLTIILIIFLLVPIVIPVLLSFFSDDDETLYALLLPSPRKEEPSASTSSEEQQEVILSELEDEKPRDVDLLSASERQKRIAALQARLFQAAAVGAVRVKRRRGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYKETHIFVSMISIWNFLGRIGGGYFSEIIVKDYAYPRAIALAIAQVLMAIGHFNFAMAWPGTMYIGTLLVGIGYGAHWAIVPAAASELFGVKYFGALYNFLTVANPAGSLVFSGIIASGIYDSEAAKQAQQRHNSTLLAMPARVVTMISEAAPSLKCEGAICFFLSSLIMSGFCIIAVVLSLILVYRTKIVYTNLYGKPRT >PAN36380 pep chromosome:PHallii_v3.1:6:43276304:43281595:1 gene:PAHAL_6G277900 transcript:PAN36380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGSRVQGFLRNRWLVFVAAMWMQSCAGVGYLFGSLSPVIKSSLGYNQRQVAGLGVAKDLGDSVGFLAGTLCALLPLWAALLVGAAQNLVGYGWVWLAVTRRVPVPPLWAMCILIFIGNNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQIYAMIHSPDDAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMLLEDLVDLSQSMIALLTIILIIFLLVPIVIPVLLSFFSDDDETLYALLLPSPRKEEPSASTSSEEQQEVILSELEDEKPRDVDLLSASERQKRIAALQARLFQAAAVGAVRVKRRRGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYKETHIFVSMISIWNFLGRIGGGYFSEIIVKDYAYPRAIALAIAQVLMAIGHFNFAMAWPGTMYIGTLLVGIGYGAHWAIVPAAASELFGVKYFGALYNFLTVANPAGSLVFSGIIASGIYDSEAAKQAQQRHNSTLLAMPARVVTMISEAAPSLKCEGAICFFLSSLIMSGFCIIAVVLSLILVYRTKIVYTNLYGKPRT >PAN33528 pep chromosome:PHallii_v3.1:6:1970679:1971707:1 gene:PAHAL_6G027300 transcript:PAN33528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMWKLPLLVMILLAVLLLLPSAAVAKAIDASKTQRLELPDGLVGPESVAFDRRGAGPYVSISDGRVLKYGGEGGVGWATFAYSPSYTKNGCDAQSELPAVATESSCGRPLGLRFHNNSGNLYIADAYMGLMRVGPDGGEATVLATEAGGAPLRFTNGVDVDQVTGDVYFTDSSTTYTRAQHQMVTASGDSTGRVMRYNRRTNKVTVLLSGVTYPNGIAISADRSHLIVALTGPCKLTRYWIRGPKTGTSELFADLPGYPDNVRPDGKGGYWVALHREKYELPFGSDSHLVAIRIGANGEKLQEMRGPKNVRPTEAVEREDGKTYLGSVELSYVSIVKST >PAN36554 pep chromosome:PHallii_v3.1:6:44566356:44567942:-1 gene:PAHAL_6G292100 transcript:PAN36554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHCSEPTQCHHQALLPPTKACPALCDRPRPAGAAAAAEAASILRLAAPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLAAALRRTVALLLAASVPIALLWLAMRRVLVAAGQEPDIAAAAYDFILCSLPDLAVQSFLHPLRVYLRAQSVTLPLTYAAAAALVLHVPVNCLLVQGLRLGIRGVALGAVCTNLNFLLFLVAYVYLSGLMHGNDGDGKAAAAGACAMPAEDGAVEWGYLLRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPERARLAARVGLCCGAALGVVACASAASVRGVWARMFTADAAILRLASVALPILGAAELGNCPQTAGCGVLRGSARPGKAARINVSAFYGVGMPAALALAFRPARLDFPGMWVGMLAAQLVCAALMLHAVLRTDWAEQAARARHLTTGGGGVTVVVADVRSGHADAAKVKAGNGILVVTVLT >PVH37344 pep chromosome:PHallii_v3.1:6:44583600:44585831:1 gene:PAHAL_6G292300 transcript:PVH37344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGSAPLGSRPAHAPQLATGEWHQASLGRQLLGGGDPRDPWPPAGMAALGSRIREVSPARRRLSPPSEGEGECEIQIRGPNPRLAQASYREFRAAGESVRRHDVHSSYATAASCRWSGGAGPLDGSIAATCARATTLSLPPPPPRRAAAGEALDPACSQASASPSSSSPLLQPFDPARFSMTR >PAN36558 pep chromosome:PHallii_v3.1:6:44583962:44588199:1 gene:PAHAL_6G292300 transcript:PAN36558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGSAPLGSRPAHAPQLATGEWHQASLGRQLLGGGDPRDPWPPAGMAALGSRIREVSPARRRLSPPSEGEGECEIQIRGPNPRLAQASYREFRAAGESVRRHDVHSSYATAASCRWSGGAGPLDGSIAATCARATTLSLPPPPPRRAAAGEALDPACSQASASPSSSSPLLQPFDPARCHARDLLAQRSILHWIAGLQSVYCTSFQ >PVH37345 pep chromosome:PHallii_v3.1:6:44583960:44585857:1 gene:PAHAL_6G292300 transcript:PVH37345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGSAPLGSRPAHAPQLATGEWHQASLGRQLLGGGDPRDPWPPAGMAALGSRIREVSPARRRLSPPSEGEGECEIQIRGPNPRLAQASYREFRAAGESVRRHDVHSSYATAASCRWSGGAGPLDGSIAATCARATTLSLPPPPPRRAAAGEALDPACSQASASPSSSSPLLQPFDPARFSMTR >PVH37346 pep chromosome:PHallii_v3.1:6:44583962:44585831:1 gene:PAHAL_6G292300 transcript:PVH37346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGSAPLGSRPAHAPQLATGEWHQASLGRQLLGGGDPRDPWPPAGMAALGSRIREVSPARRRLSPPSEGEGECEIQIRGPNPRLAQASYREFRAAGESVRRHDVHSSYATAASCRWSGGAGPLDGSIAATCARATTLSLPPPPPRRAAAGEALDPACSQASASPSSSSPLLQPFDPAR >PVH36800 pep chromosome:PHallii_v3.1:6:32550215:32550994:1 gene:PAHAL_6G171300 transcript:PVH36800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDHPVILILEEQGTFKKLNTAWCCPTLHSQVHVELHISCWCCPTLHSQVHVELHISFQFILLSCFLLLLGLQDNMDLKLLCPY >PVH36571 pep chromosome:PHallii_v3.1:6:13098600:13099867:-1 gene:PAHAL_6G107300 transcript:PVH36571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRANKFLSIFDLELMKYCFLKNSQQWNSRCEERSYAGLTWIMFIRATPAGPLNTVPNLYLEAKIKQQTTYI >PAN36179 pep chromosome:PHallii_v3.1:6:42336962:42340106:1 gene:PAHAL_6G265000 transcript:PAN36179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MELTNIPYLASSSSSSFYSSYSRCTCRGKRAGNLVITASAAGGRCAADLACSQLVEQQNEDALSGPRSSWHYRRREFASAIFLPLLLPHVDVSSAAETYNESIIRSGVRNVLTKAKAAGVLRLVFHDAGTFDVSDKSGGMNGSIIYEVDRPENTGLNRSIKILGKAKAGIDDIQKVSWADLIAVAGAEAVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDAAALKTLFSTKGFSPQEMVVLSGAHTIGGKGFGSPIVFDNTYFKVLLEKKPQTSSSGMEAMVGLRTDWALTEDDECLRWIRIYAEDQARFFDNFRDAYIKLVGSGATWRTA >PAN33351 pep chromosome:PHallii_v3.1:6:1232290:1233881:1 gene:PAHAL_6G014600 transcript:PAN33351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAELACTYAALILSDDGIPITAEKIATVVKAANVKVESYWPALFAKLLEKRSVEDLILSVGSGGGAAPVAVAAPAGGAAAAAAPAVEEKKEEAKEESDDDMGFSLFD >PAN33350 pep chromosome:PHallii_v3.1:6:1232374:1233850:1 gene:PAHAL_6G014600 transcript:PAN33350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAELACTYAALILSDDGIPITAEKIATVVKAANVKVESYWPALFAKLLEKRSVEDLILSVGSGGGAAPVAVAAPAGGAAAAAAPAVEEKKEEAKEESDDDMGFSLFD >PVH36851 pep chromosome:PHallii_v3.1:6:34853399:34860616:1 gene:PAHAL_6G182300 transcript:PVH36851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGPSIFSSLLPPALPCPARLPSFQAPLTAATAAGTRRIPGSGRVGAASGRRSDSGRAGSVVAAGFHTVAGESTACASSSPVVSTASSPAISPRASLPPSSLFERPRQQARGIRGGGCAGAAAASEQRLPSPPTLLPSSSTREQWRVGGSNSGRLGPAAAGTQRAVGVTATQSRRCDAQAEAGTLTGQANCKRIMRNPKVTNRKLYASLHT >PAN36094 pep chromosome:PHallii_v3.1:6:41785933:41804080:1 gene:PAHAL_6G257000 transcript:PAN36094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRASPPHDYLHMVSHVEQLRRRHQSLSELTYARDEDAKLETTRARLSNILKRHEDLKERLSRDSDKLIFERLQKEFEGARAAQTEEISIDDDDWNDGLLATIREKVHMEADRKAMSNQVNVPADLPLQSKTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTLIEHTLPFFLPIRELESDLLSSNAIKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKVTVSIRYSDLLLTLPSQARVLAWPLRSSKRISTRSSSASAAQPVPFRLPYAEEALKTLCLPEAYADIVLDLPHALKRIFSSQDSD >PAN36096 pep chromosome:PHallii_v3.1:6:41785933:41804290:1 gene:PAHAL_6G257000 transcript:PAN36096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRASPPHDYLHMHVEQLRRRHQSLSELQTYARDEDAKLETTRARLSNILKRHEDLKERLSRDSDKLIFERLQKEFEGARAAQTEEISIDDDDWNDGLLATIREKVHMEADRKAMSNQVNVPADLPLQSKTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTLIEHTLPFFLPIRELESDLLSSNAIKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKVTVSIRYSDLLLTLPSQARVLAWPLRSSKRISTRSSSASAAQPVPFRLPYAEEALKTLCLPEAYADIVLDLPHALKRIFSSQDSD >PAN36093 pep chromosome:PHallii_v3.1:6:41785933:41804290:1 gene:PAHAL_6G257000 transcript:PAN36093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRASPPHDYLHMHVEQLRRRHQSLSELTYARDEDAKLETTRARLSNILKRHEDLKERLSRDSDKLIFERLQKEFEGARAAQTEEISIDDDDWNDGLLATIREKVHMEADRKAMSNQVNVPADLPLQSKTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTLIEHTLPFFLPIRELESDLLSSNAIKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKVTVSIRYSDLLLTLPSQARVLAWPLRSSKRISTRSSSASAAQPVPFRLPYAEEALKTLCLPEAYADIVLDLPHALKRIFSSQDSD >PAN36095 pep chromosome:PHallii_v3.1:6:41785933:41804080:1 gene:PAHAL_6G257000 transcript:PAN36095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRASPPHDYLHMVSHVEQLRRRHQSLSELQTYARDEDAKLETTRARLSNILKRHEDLKERLSRDSDKLIFERLQKEFEGARAAQTEEISIDDDDWNDGLLATIREKVHMEADRKAMSNQVNVPADLPLQSKTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTLIEHTLPFFLPIRELESDLLSSNAIKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKVTVSIRYSDLLLTLPSQARVLAWPLRSSKRISTRSSSASAAQPVPFRLPYAEEALKTLCLPEAYADIVLDLPHALKRIFSSQDSD >PAN36255 pep chromosome:PHallii_v3.1:6:42024817:42028302:1 gene:PAHAL_6G260200 transcript:PAN36255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAQNGILKYMLKLVEVCNARGFVYGIIPEKGKPVGGASENIRAWWKEKVKFDKNGPAAIAKYELENSMLSNAKSSGTKNQHSLMDLQDATLGSLLSALMQHCSPQQRRYPLDKGVPPPWWPSGNEAWWTALGLPKGESPPYKKPHDLKKAWKAGVLMGVIKHMAPNFDKIRNQVRKSKCLQDKMTAKESLIWLGVLQREEKNDTYSSSDEYDVDRLEQPPRSTSSKEDEGDTQPVLQIRGPQISTRKKKRRRRDESSNQVVSKEEMTKSQQQKSPSDHPPIAEDEVEVTQRNDNPPETVSTAISDMNIFYPMDVVGMANQPASLNHVPTIGSLQQHADSQGNFLSPGAAINNCNSNQDASAALNNNNNSNQAASAALNNYSSNQAARAAQSSTYLSDPPLACEGSDDIVNSWSGHSFLRDIGRGPIGFNPSPVVQPSTMQQPLPLPTDHHAPIVGTGALSEDGTYSYPTAGSGHPGTVASEARQLMDGSFFGEAADKFAGDSFGALPLSLIPISSPIPFLDVFLDDDELMPYLGT >PVH37145 pep chromosome:PHallii_v3.1:6:41683659:41684782:-1 gene:PAHAL_6G255600 transcript:PVH37145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPCSNLRRLTRSDGAGEVSPDWASLDRDLLELIGWRVLAGDLQDYVRLRAVCTHWSASTAAARGRGVLDPRFHPRRWMMLPEGHGLYAGHPDLGGFVRFFKLSTGAFVRAHLPVLDDHVVLDSVDGLLLVLLHRDHDTAVRVLHPFTGDVAELPPLASLLPQMEPDRYGELNKRGKLMRVCTSITVNPTGAITGMLALDLVHRVAHATAGDRRWTLSAWNLKPLLKSVSFQGELYALQGIMGRHKVCIYKINPPCPDADEGPSHLPLPEKIAECPSEKFTYMLNVARSSYLISGKIEPVTSIGDNTLFIDERCLCVSVSPNKGSCLASIPPNSIICWHSLPGDPGFAGLGRFGQ >PAN35922 pep chromosome:PHallii_v3.1:6:40920431:40923224:1 gene:PAHAL_6G244400 transcript:PAN35922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFAWAAVSQTPGLAGGGAVSDGRGGGLLPPMPGFQLPPPAGLERFSVDSGLVERAGRSSCFGAANGTATTASASNITLEGASRHRSKVAGGNSSVSGVHDEAATGDCSSGGPEPDSKNMKRSNEDVLATDQAKASNASTDSANESSRSKGVKGEENGLAAASVVAGKRKRNGSKAPDEEGEEYIHVRARKGQATNRHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLAAVNPQLGLNIEGILSKDLIRFPGAPPSAPIGFSLSQSGMVQEGVHGVAGSNGFRTVMQGQLNERDSFREHVSQSLEQIPRALDGWFHNAGQTAYRAGIDPEHLSIGPDQDAFHL >PVH36285 pep chromosome:PHallii_v3.1:6:2811348:2817211:-1 gene:PAHAL_6G039000 transcript:PVH36285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MASYRPYPPPQQHPPPPPPQGGFPPQMNPFAPPPQQQAPYGRMPAPPYHAGAPPPPPPGPPPPHQPQFNFGPGPPQQPPPPPQMYYQPPPPPYGGTSNPPPPPPSAPPPPPSPPPAAPPPPPPPPAQPPPAQAPPPPKEQQPKAALPRAETEEERRARKKREFEKQRVEDRKQQQMMRQTQATILQKTQQARTSQQQPSSQSRHHHHHPPGGSRAAATGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYMPKMIVPEDLGIPLDLLDMSVYNPPAVQPPIAPEDEELLRDDEVLTPIKQEGIRKKERPTDKGVSWLVKTQYISPLSTDAAKTSLTEKQAKERRESRMGRNAFLDSLNDREKQIKAIEESFRAAKSRPVHQTKRGMQAEWVMPLLPDFDRYDDPFVMVNFDGDPTADSEQYNKLERPVRDECEARAVMKSFSVNGSDPTKQEKFLAYMAPAPHEVARDLDDEDDIQYSWLREYHWEVRGDDKDDPTTYLVTFDEEEGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGTMERGESSGMHENLKRRRSPVDDDLDEHQKHSRGDVDQYSGEEYSD >PVH36284 pep chromosome:PHallii_v3.1:6:2809108:2817727:-1 gene:PAHAL_6G039000 transcript:PVH36284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MASYRPYPPPQQHPPPPPPQGGFPPQMNPFAPPPQQQAPYGRMPAPPYHAGAPPPPPPGPPPPHQPQFNFGPGPPQQPPPPPQMYYQPPPPPYGGTSNPPPPPPSAPPPPPSPPPAAPPPPPPPPAQPPPAQAPPPPKEQQPKAALPRAETEEERRARKKREFEKQRVEDRKQQQMMRQTQATILQKTQQARTSQQQPSSQSRHHHHHPPGGSRAAATGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYMPKMIVPEDLGIPLDLLDMSVYNPPAVQPPIAPEDEELLRDDEVLTPIKQEGIRKKERPTDKGVSWLVKTQYISPLSTDAAKTSLTEKQAKERRESRMGRNAFLDSLNDREKQIKAIEESFRAAKSRPVHQTKRGMQAEWVMPLLPDFDRYDDPFVMVNFDGDPTADSEQYNKLERPVRDECEARAVMKSFSVNGSDPTKQEKFLAYMAPAPHEVARDLDDEDDIQYSWLREYHWEVRGDDKDDPTTYLVTFDEEEGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGTMERGESSGMHENLKRRRSPVDDDLDEHQKHSRGDVDQYSGEEYSD >PVH36521 pep chromosome:PHallii_v3.1:6:8608985:8611485:1 gene:PAHAL_6G091700 transcript:PVH36521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAAAAPFWRAAGMTYIGYSNICATLMRGCLKEPFKSEAASRAVIHFSVAKWADGKQEKSTIRKDSD >PAN35190 pep chromosome:PHallii_v3.1:6:35809146:35810786:1 gene:PAHAL_6G187900 transcript:PAN35190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFIRALQLALRQKKSRIIGPGSSGFVASAFSSSAPSSQSQQRLAGKVAVVTGGASGIGKATAAEFVRNGAKVVIADIQDDLGHAVAAELGGPGVVCYTRCDVADEAQVAAAVDLAVARHGHLDVMFNHAGIGGDPRPPPPLGAVDLADFDRVMAINARGVLAGLKHAARVMVPRRRGSILCTASVAALVGGVATPAYGASKAAVLGLVRTVAAELARSGVRVNAICPAGIMTPMGLQSLQSWLPGRSAEEIKRMVEVDFNPMAGTVLEVEDIARAALYLASDEAKYVNGHNLVIDGGSSVSTRA >PAN35189 pep chromosome:PHallii_v3.1:6:35808898:35811036:1 gene:PAHAL_6G187900 transcript:PAN35189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFIRALQLALRQKKSRIIGPGSSGFVASAFSSSAPSSQSQQRLAGKVAVVTGGASGIGKATAAEFVRNGAKVVIADIQDDLGHAVAAELGGPGVVCYTRCDVADEAQVAAAVDLAVARHGHLDVMFNHAGIGGDPRPPPPLGAVDLADFDRVMAINARGVLAGLKHAARVMVPRRRGSILCTASVAALVGGVATPAYGASKAAVLGLVRTVAAELARSGVRVNAICPAGIMTPMGLQSLQSWLPGRSAEEIKRMVEVDFNPMAGTVLEVEDIARAALYLASDEAKYVNGHNLVIDGGSSVSTRA >PAN34471 pep chromosome:PHallii_v3.1:6:9200173:9201446:-1 gene:PAHAL_6G095400 transcript:PAN34471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPVTDPGTERGEGPLLQCPYCDSEAMHKLAQLLLPGLAAVCVDGTTGDLFRKPSVVAVELRKEMVDYVTQRSDTFIADALIESEANQETENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDNREDKIDDFVQEMEMTRFWPLDRREAIAEVLLKNVDIKTKFHCPEKYENEERLADHKEQCSFRPVTCPNEGCRAKVSVRCMDAHDAACPFKVLQCEQNCDKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFAERDLEKHCLEFLQAHLLKVLKVIHKKGRSEEELKELAQKLEKYDEHGKLAKARDARPLTNTVKDLEAKMKGEPSS >PAN34472 pep chromosome:PHallii_v3.1:6:9199752:9203459:-1 gene:PAHAL_6G095400 transcript:PAN34472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPVTDPGTERGEGPLLQCPYCDSEAMHKLAQLLLPGLAAVCVDGTTGDLFRKPSVVAVELRKEMVDYVTQRSDTFIADALIESEANQETENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDNREDKIDDFVQEMEMTRFWPLDRREAIAEVLLKNVDIKTKFHCPEKYENEERLADHKEQCSFRPVTCPNEGCRAKVSVRCMDAHDAACPFKVLQCEQNCDKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFAERDLEKHCLEFLQAHLLKVLKVIHKKGRSEEELKELAQKLEKYDEHGKLAKARDARPLTNTVKDLEAKMKGEPSS >PAN33287 pep chromosome:PHallii_v3.1:6:878795:886199:1 gene:PAHAL_6G009400 transcript:PAN33287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSPSTLLNNISHLGVLTSDGSTVRPKPIQKYCQNVYDISSIVNPLLEDLCKSPEEQLNEVLRDLDTAINEASGLIGNWHQTTSKIYFGWQIESVISDIQGCSLQLCQLANSLLPSLTGRACTCIEKLQDINYEHMFDLVKEAAKELAETSAVSSDNLLKLSRSLSLSTNIELYMEAISLENLRTRALRSENREELDLAEQMIPLVNHMHDRLLREKQQLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIRLPDPMKSLKLNFPLASSSLQDLGASGGSPLHPSVISRANIPGSPEADVYLRNLNGASPPHSVANQNSHVHASRSGHDVSTSQTSENTNGSAPDVSRLSLASSEARESSLEGRRGGSIGQTSEQSTEEAFQSSNLDRDMQDNMASSSLNGSLPNSGQLDGECDNGVTRVPSDRTNYSSDASGEVTDGGGAPVASSVPQREHLIPPRLATRGQFIRRQASDRGFPRIISSSSMDAKSDLSAIENQVRKLIEDLRSDSVDVQRSAASELRLLAKHNMENRIVIANCGAVNLLVGLLHSPDAKTQEHAVTALLNLSINDNNKIAIANANAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKLRIVQADAVQHLVELMDPAAGMVDKAVAVLANLATITEGRTAIGQARGIPALVEVVELGSARGKENAAATLLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >PVH36157 pep chromosome:PHallii_v3.1:6:881506:886199:1 gene:PAHAL_6G009400 transcript:PVH36157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLVKEAAKELAETSAVSSDNLLKLSRSLSLSTNIELYMEAISLENLRTRALRSENREELDLAEQMIPLVNHMHDRLLREKQQLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIRLPDPMKSLKLNFPLASSSLQDLGASGGSPLHPSVISRANIPGSPEADVYLRNLNGASPPHSVANQNSHVHASRSGHDVSTSQTSENTNGSAPDVSRLSLASSEARESSLEGRRGGSIGQTSEQSTEEAFQSSNLDRDMQDNMASSSLNGSLPNSGQLDGECDNGVTRVPSDRTNYSSDASGEVTDGGGAPVASSVPQREHLIPPRLATRGQFIRRQASDRGFPRIISSSSMDAKSDLSAIENQVRKLIEDLRSDSVDVQRSAASELRLLAKHNMENRIVIANCGAVNLLVGLLHSPDAKTQEHAVTALLNLSINDNNKIAIANANAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKLRIVQADAVQHLVELMDPAAGMVDKAVAVLANLATITEGRTAIGQARGIPALVEVVELGSARGKENAAATLLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >PVH37075 pep chromosome:PHallii_v3.1:6:40373211:40374761:1 gene:PAHAL_6G236700 transcript:PVH37075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTIAILSRDLEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYIACEPPADDAAPAPRPTPFLAVTPDAFHRSLAVSAAGAFHCAQQVIPGMVERGRGTIIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSVRAPSSSY >PAN35818 pep chromosome:PHallii_v3.1:6:40373211:40377125:1 gene:PAHAL_6G236700 transcript:PAN35818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTIAILSRDLEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYIACEPPADDAAPAPRPTPFLAVTPDAFHRSLAVSAAGAFHCAQQVIPGMVERGRGTIIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSPRSSKAGGGGDAAAAAAGADPDAVAKSYWHVHAQDKSAWTQEMDIRSPSSFVQQAASSIDDGRSS >PAN34067 pep chromosome:PHallii_v3.1:6:5150767:5151340:1 gene:PAHAL_6G067600 transcript:PAN34067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRKAAARAAVMLLLLIVVAQEYSPAPAAEARVCRRRSAGFRGACMSDHNCAQVCLQEGWGGGNCDGFRRQCKCVRQC >PVH36687 pep chromosome:PHallii_v3.1:6:24391385:24392627:1 gene:PAHAL_6G142900 transcript:PVH36687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRLKHGCILIEERYKEKALELNGPEFDWLHSPVDVKALYQCSCGRPHSKWTIFNGIVNDGEALPELKKSRASVAKCQRQLEEERARKEAYESRLAKEYAQRMFDWGKIVLNHNDSMQKFMECGCAHWNACFSGSSSPASSTFTSSICFNISNSISSKCCCYA >PVH36688 pep chromosome:PHallii_v3.1:6:24389659:24393067:1 gene:PAHAL_6G142900 transcript:PVH36688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRLKHGCILIEERYKEKALELNGPEFDWLHSPVDVKALYQCSCGRPHSKWTIFNGIVNDGEALPELKKSRASVAKCQRQLEEERARKEAYESRLAKEYAQRMFDWGKIVLNHNDSMQKFMECGCAHWNACFSGSSSPASSTFTSSICFNISNSISSKCCCYA >PVH36689 pep chromosome:PHallii_v3.1:6:24389640:24393096:1 gene:PAHAL_6G142900 transcript:PVH36689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRLKHGCILIEERYKEKALELNGPEFDWLHSPVDVKALYQCSCGRPHSKWTIFNGIVNDGEALPELKKSRASVAKCQRQLEEERARKEAYESRLAKEYAQRMFDWGKIVLNHNDSMQKFMEAAATLSSAIREETPDETLSRIATGVFRSHVNTTTSGGGNYSPLQGDDFPMF >PAN34687 pep chromosome:PHallii_v3.1:6:24389640:24393097:1 gene:PAHAL_6G142900 transcript:PAN34687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRLKHGCILIEERYKEKALELNGPEFDWLHSPVDVKALYQCSCGRPHSKWTIFNGIVNDGEALPELKKSRASVAKCQRQLEEERARKEAYESRLAKEYAQRMFDWGKIVLNHNDSMQKFMEAAATLSSAIREETPDETLSRIATGVFRSHVNTTTSGGGNYSPLQGDDFPMF >PVH36434 pep chromosome:PHallii_v3.1:6:5605271:5607990:-1 gene:PAHAL_6G072800 transcript:PVH36434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALKLSYDYLPFDLKQCFSYFALFPEDYEFGSEELIHLWIGLGILHSSDHNKRIEDIGMSYLNDLVNHGFLKKNEKDDGSSYYIVHDLLHELAVKVSSYECLSIRSSSVRSVKIPPYVRHLSIIIENTVVKDRMTFENFKKEMIALGKRLKVENLRTLMLFGEHHGSFVNIFGDLLREARAFRTIYLSGLSYNVDKDMLFNFSKLVHLRYLRIKSVWNADLCFPTSLVRLYHLEVPDLKEWIGSFRLKGHISNLVELRHFLVPYYYEPSLHAGIYEVGKLEFLQELRKFNVRNENGFELSQLGKLAELGGSLQICDLEKVQTKEEADESKLIHKSRLHKLELEWNISRTNKDPTREKDVLESLRPHSNLQNLCIKGHGGINCPTWLGANLCVKNLEYLELHNMPWIDLPPLGDMFLVDESGEEHLCCTQSPIFNNLKFLRLSCIQRLRKWAVSCPHSVRYQNLKRLELTKTTNVESSCGGNGARYFFNHLKVLIIHDCPELFEVPFFHPICCQQEAPWLGFLD >PAN35261 pep chromosome:PHallii_v3.1:6:36651642:36654589:1 gene:PAHAL_6G195000 transcript:PAN35261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPAGRPIVLLLFLLPVLAASDPVLDDGYAVTTFSDLNPLPASGPHPYAVLPRPRAGDLLLLDSAGAALYTLALPTPGEPRGLAAAFDRPRSVAVDAADNVYVADRARVAGHLHGVVRKVAPDGSTTTIAGGLSSGSGRRDGPAQNATFSTDFELVYVPKICALLVADRGNRLIRQINLKPEDCAHDTHSGLGTTSVSVIAIFCALLGSVIGFLFRHFYPINEISINRFFSRMQKQYQRTQRKATLISFCDIKSAVASSMAFTLLLKLVRVSRGYLAVVFPTVRLQREVPRKLYRRPELRRTSTSPNIGLCNKAPLAPTEQQGDLISFAGDDGDEDSSKANSQGAKEPSFDYDLMGLVYTPQGSTKKIDHMIEANLSGFSGHEERCSLTVSSYSISRRRVHGDK >PAN35862 pep chromosome:PHallii_v3.1:6:40653285:40662916:-1 gene:PAHAL_6G240600 transcript:PAN35862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMASQPLHLPLGAGAEPRCTASTSKVYTLEKVYGFRFVCRSIIDLKSQKFHPRISKRKCHLRSSTPECDRTIHSARWLEFRRQKGVFQRTRRIVHIIPLASNDDGNSVSVNGATQVGSASSMEEIRLKLNKAFQSEDISNGLVQSIHDAARSIELAFIEHSKSSKGSWFSKTWLGVDNNAWIKSLSYQAAVDSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLNPLESVIKNELSKREPTLYEWYSSSQNPLVVRQFVNIFESDPLFNSATAICCEGEKMNTSESDLSLLMLGLICLAAITKLGSAKVSCQQFSSMVPDTIGRFMDMLLEFVPLSKVYKLTKDIGLQREFLHNFGPRAAVPKLTNDHGLEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALARSTQGYLSSNGLTDLDDSLNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYNNDVPSAKTDTEGREEVSKGEVISRVLNVCSYWMTSFIKYSSWLEDPSNVKAAKFLSKGHAMLSDCMKEIDISKNNMSKGRGLPESEEDLDTGTELASFDKSLESVEEALVKLENLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASSGPVSPTSEEGRGKTGSRTNDTAAPQKSGSRVDNKRRPFWDFFGRSSGNKVEPALQIADQDGTVANVEKKNMESNDIFRFEQLRRELIELEKRVQKSADDAQKEEEMGVADGTTAPSPVASVPSGEASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLKRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPDRLDLLRQLEKVKEMEVAEGSSEDLLETVGSRTEQVE >PAN35863 pep chromosome:PHallii_v3.1:6:40654093:40662589:-1 gene:PAHAL_6G240600 transcript:PAN35863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMASQPLHLPLGAGAEPRCTARIRSLMAQRICVCSTSKVYTLEKVYGFRFVCRSIIDLKSQKFHPRISKRKCHLRSSTPECDRTIHSARWLEFRRQKGVFQRTRRIVHIIPLASNDDGNSVSVNGATQVGSASSMEEIRLKLNKAFQSEDISNGLVQSIHDAARSIELAFIEHSKSSKGSWFSKTWLGVDNNAWIKSLSYQAAVDSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLNPLESVIKNELSKREPTLYEWYSSSQNPLVVRQFVNIFESDPLFNSATAICCEGEKMNTSESDLSLLMLGLICLAAITKLGSAKVSCQQFSSMVPDTIGRFMDMLLEFVPLSKVYKLTKDIGLQREFLHNFGPRAAVPKLTNDHGLEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALARSTQGYLSSNGLTDLDDSLNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYNNDVPSAKTDTEGREEVSKGEVISRVLNVCSYWMTSFIKYSSWLEDPSNVKAAKFLSKGHAMLSDCMKEIDISKNNMSKGRGLPESEEDLDTGTELASFDKSLESVEEALVKLENLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASSGPVSPTSEEGRGKTGSRTNDTAAPQKSGSRVDNKRRPFWDFFGRSSGNKVEPALQIADQDGTVANVEKKNMESNDIFRFEQLRRELIELEKRVQKSADDAQKEEEMGVADGTTAPSPVASVPSGEASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLKRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPDRLDLLRQLEKVKEMEVAEGSSEDLLETVGSRTEQVE >PAN34051 pep chromosome:PHallii_v3.1:6:5090506:5092752:1 gene:PAHAL_6G066900 transcript:PAN34051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQAGYPPPGTAAYPPPGQQAYGAPPPQAYVAPPPAYPPSQDAGAYGQQQHQTTSRGGDGFWKGCCAAICCCCVLDMCF >PAN33989 pep chromosome:PHallii_v3.1:6:4685468:4687428:-1 gene:PAHAL_6G062100 transcript:PAN33989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAQEIQLQITGVPELESGDKGGGSRDGPGPEPPTRRLARGRGVRWWALVAVDMLMLLAGGTVATLLSRLYYNSGGNSKWMATLTQSGGAPLLAIPLLLTPPTPSEEERRRPAPSKLAAVYLGIGVLIGIDNLMYAYALQYLPVSTFSLVAATQLAFNAVTSRLINAQRFTPLIANSVVVLTFAAALLGVGASSDGTSSGGGAPAPPRGRYAAGFVLTLAASAVFALILSLYELAFERVVRARTPRWVLRTQMHTNLVASAVAVAGLLASGEWRTVQGEMAAFRDGPARYVLTLAGAAVSWQAALAGQVRLVARVSSLFANATGAVQLPLVPVFAVVLFGDRMTGVKAVAMLMAVWGFLSYVYQHYLDGRRAAAAAGKDGGECAVCAARAASDAVSHAP >PVH36376 pep chromosome:PHallii_v3.1:6:4685856:4686896:-1 gene:PAHAL_6G062100 transcript:PVH36376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLAGGTVATLLSRLYYNSGGNSKWMATLTQSGGAPLLAIPLLLTPPTPSEEERRRPAPSKLAAVYLGIGVLIGIDNLMYAYALQYLPVSTFSLVAATQLAFNAVTSRLINAQRFTPLIANSVVVLTFAAALLGVGASSDGTSSGGGAPAPPRGRYAAGFVLTLAASAVFALILSLYELAFERVVRARTPRWVLRTQMHTNLVASAVAVAGLLASGEWRTVQGEMAAFRDGPARYVLTLAGAAVSWQAALAGQVRLVARVSSLFANATGAVQLPLVPVFAVVLFGDRMTGVKAVAMLMAVWGFLSYVYQHYLDGRRAAAAAGKDGGECAVCAARAASDAVSHAP >PVH36322 pep chromosome:PHallii_v3.1:6:3504502:3505878:-1 gene:PAHAL_6G047200 transcript:PVH36322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFALDVARELGVPSMVLVAFGAAPLMAHMRLRELKERGYLPLKDESCLTNGYLETTIDWIPGMPPISLGDVSSFVRTTDPDDFGLWFNITEANNCTKASAIIINTFDALEADVLAALRAEYPRIYTVGQLGTMLRRSHLDEEASDGSIDLSLWKHDTECLSWLDAQEPASVVYVNFGSLTVLTADQLAEFAWGLAETGHPFLLVVREDLVHGGGALPPEFLAETAERCRVVTWCPQEQVLRHCAVGCFLTHCGWNSMLESIAAGVPMVCWPVFADQYTNRKYACELWGVGLRLDEEVRREQVAGRVREAMESEEMRKSAARWKAEAEAAACPGGSSRENLLGLVKALKEGSLNTEA >PVH36725 pep chromosome:PHallii_v3.1:6:27110724:27112178:1 gene:PAHAL_6G153500 transcript:PVH36725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVNLWDKELKKKWNLLVVYGAVQDENKNAFLSELSSFCSANTDPILIGGNFNIIRSSSENNKGKGNGVHRHTDLFNSLFHFHELRELEMNCGAWSSNQPNPTLVKLDRILITREWEAMFPLATVSKLPREVSDHNPPHPISGRVGGDRTRKKIDFRFELSWLKHQDFIPKVKQVWDKTCRAPSALDKIQQKLKLFKQYFKGWGYNLQGEWKKRRKEIREELLQIEQEEELYGLNIAMMEKKMNLMSENLKSLDDEELYWYKRAHETWLLEGDNNFEFFHRVANGRKRKSTIFSLKDGDATIMGDKKLLDYATAYYKDIFGPASANLFHLDPDLWKPHENITTQDNEVLCKPFSEEEIKVALFQMKTNKAAGPDSIPIEFYQVCWDVVKYDIVQMFDDFYAGNLNVNRLNYGAITLLPKNADAERIQQYRPICLLNCLYKLITKVLTIRLEPFMLKIIHEAQTAFMKGRNIMSGVMALHENSP >PAN34655 pep chromosome:PHallii_v3.1:6:17448917:17451554:1 gene:PAHAL_6G122600 transcript:PAN34655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEEEGEVAALREALCQQARAVEELRAELEEERQAAASGADEALAMILRLQAEKAAERMEAEQFRRVAEERIQHDEDTLAFLKAVVFHQEMEISSLNRRLLAVHATGDDPFSPATVDLPWLRKLAKNGVASRRNASLPSAHLEELCSELDVVVDTDAADKKNFGDRRPARTVSDIGEVIGREDRARHNQSAPPRLYPSASHRLRRAPSYSVQCRRTSPEIITEEDERSCKSNAALEADIEQIKATVQSLQTELSKLRESTLSVCDAHSQLLSEIHSKLDVAMPRQQSPQGGHVSSPVLPKKAIREAGSSSSSKEQSYQPQGELLMNHFIEAMLYIA >PAN34656 pep chromosome:PHallii_v3.1:6:17448917:17451577:1 gene:PAHAL_6G122600 transcript:PAN34656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEEEGEVAALREALCQQARAVEELRAELEEERQAAASGADEALAMILRLQAEKAAERMEAEQFRRVAEERIQHDEDTLAFLKAVVFHQEMEISSLNRRLLAVHATGDDPFSPATVDLPWLRKLAKNGVASRRNASLPSAHLEELCSELDVVVDTDAADKKNFGDRRPARTVSDIGEVIGREDRARHNQSAPPRLYPSASHRLRRAPSYSVQCRRTSPEIITEEDERSCKSNAALEADIEQIKATVQSLQTELSKLRESTLSVCDAHSQLLSEIHSKLDVAMPRQQSPQGGHVSSPVLPKKAIREAGSSSSSKEQSYQPQGELLMNHFIEAMLYIA >PAN33446 pep chromosome:PHallii_v3.1:6:1653938:1660152:-1 gene:PAHAL_6G022100 transcript:PAN33446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATARPAIVIDNGTGYSKLGFSGNSEPSFTLPTVVAVNESFLDQKELLNSANWIAQYNAGVMADLDYFIGDEALSRLRSSGLYTSRSPIRHGQVEDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVGTPESRECTGEIMFETFNVPGLYISVQSVLSLSAGYAYLKSISDEDSDPLSDMTGVVVDIGDGAPHIVPVVNGYVIGSSIKSFPFSGSDVTQFVSQLLQERGELLPPEDSLDISRKVKEMYCYTCSDIVKEFKKHDKKPDKYVKHWSAIKPKTGVPYTIDIGYERFLGPEIFFNPEIYSADFSTPLPELIDSCVQSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQSDIKKIVDDRVAATNARHRVEVRPIEVNVVAHPIQSYAVWFGGSVAASTPEFYEYCHTKEEYEEHGASICRTSPVFKGMY >PAN33687 pep chromosome:PHallii_v3.1:6:2765871:2768034:1 gene:PAHAL_6G038100 transcript:PAN33687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPWVSSSLAVLLATALFFLAAALRRRRARNKRNLPPGPSPWPVIGNLNLIGPLPHRSIRELSARYGPLMSLRFGSFPVVVGSSVDAAEFFLKTQDLAFLDRPRMACGKYTVYNYSGMLWSHYGAYWRQFRKLWLTELLSARQLRQTERVRAEEVRAMLRDLRRAGAAGAAAAVVVKEHLLMVTLNVVSRMVLGRKYVGEGAGAGAAAATPEEFRWMIEEIFFLNGALHIGDMVPWLGWFDPNGYVGRMKRLGKMFDRFVEHVLREHEDRRRREGQAFVPNDMVDQLLQLADDPSLDVPIDRDGVKASILELITGGTDTSSVTVEWAMSELLRKPEVLAKATEELDRVVGRDRLVAEGDIPSLPYLDAVVKETMRLHPVVPLLIPRVSREDTSVAGYGIPRGTRVLVNVWAIGRDPAVWGDAAEEFRPERFVGSEVDVKGQDLRLLPFGSGRRMCPAHGLGLRMVQLVLANLVHGFAWRLPDGMAPEKLSMEEKFGISVSRMEQLKAIPEPKLPDHLY >PVH36282 pep chromosome:PHallii_v3.1:6:2772982:2773909:1 gene:PAHAL_6G038300 transcript:PVH36282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINPNPIRLLCTASGGRRTTGIVARKKALESRPPVPVASRVTLPYQRLPLALICFFPPATLRHPSTCVPDVSDPCIPFFYVATCTRPSVSDRRKVGGGGGGGSTGGGGEAALLIPGGRWPVAGTRRRPGLKADSGAAVATGRVVDEGVEEDAEVDRLEEEEKRCGSSALLQ >PVH37115 pep chromosome:PHallii_v3.1:6:41242651:41246614:1 gene:PAHAL_6G249400 transcript:PVH37115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAARRCRWRRWRCRPQDVVLALLLASVLAPLALYSGAPFSGPLLTGRRALRRDPSNSVARNERSKRLHALAQDTFGTVVKEPVQTVASAIGARDKDGVVVAVDHGTRLGQGVVSRQNVDRRSGSDRSSESTVSKDNVLWNGEEMQGMESEDAVKREHDHRVAQLGKESGHASDVEAMHHKAAVMHDTANASLKKEISADRSSEQFRGGTSKEPDAKAVSSSTSHHTSQPDAMIRVIKNQLRTAKTYIGLLPSRGRHAFVRDLRRKMRDIQQALGDATSDKRLPKNVHGKIRAMELTLAKIKQVHDNCAAIIDKLLATLHSTEDQVQAQKQKANYVAQIAAKAIPKRLYCLALRLTNEYYSSSTNKKQFPYEERFEDPKLQHYALFSDNVLAAAVVVNSTLINAKNPASHVFHIVTDKHNYAAMRMWFLANPIGETAVQVQNIADFTWLNSSYSPVLKQLESHFMINYYFNTNQDKLGKNPKFQNPKYLSILNHLRFYLPEIFPKLSKV >PVH37113 pep chromosome:PHallii_v3.1:6:41242840:41246059:1 gene:PAHAL_6G249400 transcript:PVH37113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAARRCRWRRWRCRPQDVVLALLLASVLAPLALYSGAPFSGPLLTGRRALRRDPSNSVARNERSKRLHALAQDTFGTVVKEPVQTVASAIGARDKDGVVVAVDHGTRLGQGVVSRQNVDRRSGSDRSSESTVSKDNVLWNGEEMQGMESEDAVKREHDHRVAQLGKESGHASDVEAMHHKAAVMHDTANASLKKEISADRSSEQFRGGTSKEPDAKAVSSSTSHHTSQPDAMIRVIKNQLRTAKTYIGLLPSRGRHAFVRDLRRKMRDIQQALGDATSDKRLPKNVHGKIRAMELTLAKIKQVHDNCAAIIDKLLATLHSTEDQVQAQKQKANYVAQIAAKAIPKRLYCLALRLTNEYYSSSTNKKQFPYEERFEDPKLQHYALFSDNVLAAAVVVNSTLINAKNPASHVFHIVTDKHNYAAMRMWFLANPIGETAVQVQNIADFTWLNSSYSPVLKQLESHFMINYYFNTNQDKLGKNPKFQNPKYLSILNHLRFYLPEIFPKLSKVLFLDDDIVVQKDLSYLWSIDLKGKVNGAVHTCGETFHRFDRYLNFSNPLIAKKFDRRACGWAYGMNMFDLSEWRKQNITGVYHYWQNLDMESHFCRTQTGNCGS >PAN35995 pep chromosome:PHallii_v3.1:6:41242651:41246476:1 gene:PAHAL_6G249400 transcript:PAN35995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAARRCRWRRWRCRPQDVVLALLLASVLAPLALYSGAPFSGPLLTGRRALRRDPSNSVARNERSKRLHALAQDTFGTVVKEPVQTVASAIGARDKDGVVVAVDHGTRLGQGVVSRQNVDRRSGSDRSSESTVSKDNVLWNGEEMQGMESEDAVKREHDHRVAQLGKESGHASDVEAMHHKAAVMHDTANASLKKEISADRSSEQFRGGTSKEPDAKAVSSSTSHHTSQPDAMIRVIKNQLRTAKTYIGLLPSRGRHAFVRDLRRKMRDIQQALGDATSDKRLPKNVHGKIRAMELTLAKIKQVHDNCAAIIDKLLATLHSTEDQVQAQKQKANYVAQIAAKAIPKRLYCLALRLTNEYYSSSTNKKQFPYEERFEDPKLQHYALFSDNVLAAAVVVNSTLINAKNPASHVFHIVTDKHNYAAMRMWFLANPIGETAVQVQNIADFTWLNSSYSPVLKQLESHFMINYYFNTNQDKLGKNPKFQNPKYLSILNHLRFYLPEIFPKLSKVLFLDDDIVVQKDLSYLWSIDLKGKVNGAVHTCGETFHRFDRYLNFSNPLIAKKFDRRACGWAYGMNMFDLSEWRKQNITGVYHYWQNLNANRQLWKLGTLPAGLVTFWNHTFPLDRSWHLLGLGYKPNVNQRDIERAAAIHYNGNRKPWLEIGLPVYRQFWSKYVNFDNVCLRECNINP >PVH37114 pep chromosome:PHallii_v3.1:6:41242651:41246613:1 gene:PAHAL_6G249400 transcript:PVH37114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAARRCRWRRWRCRPQDVVLALLLASVLAPLALYSGAPFSGPLLTGRRALRRDPSNSVARNERSKRLHALAQDTFGTVVKEPVQTVASAIGARDKDGVVVAVDHGTRLGQGVVSRQNVDRRSGSDRSSESTVSKDNVLWNGEEMQGMESEDAVKREHDHRVAQLGKESGHASDVEAMHHKAAVMHDTANASLKKEISADRSSEQFRGGTSKEPDAKAVSSSTSHHTSQPDAMIRVIKNQLRTAKTYIGLLPSRGRHAFVRDLRRKMRDIQQALGDATSDKRLPKNVHGKIRAMELTLAKIKQVHDNCAAIIDKLLATLHSTEDQVQAQKQKANYVAQIAAKAIPKRLYCLALRLTNEYYSSSTNKKQFPYEERFEDPKLQHYALFSDNVLAAAVVVNSTLINAKNPASHVFHIVTDKHNYAAMRMWFLANPIGETAVQVQNIADFTWLNSSYSPVLKQLESHFMINYYFNTNQDKLGKNPKFQNPKYLSILNHLRFYLPEIFPKLSKV >PAN36488 pep chromosome:PHallii_v3.1:6:44242323:44246348:-1 gene:PAHAL_6G287500 transcript:PAN36488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPEDESGAGGGRCCGGGARWVLLGLALGQFVSLLITSTGFASSELARRGINAPTSQSLLNYVLLAVVYGGVLLYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIVLTWVFLKTKYGLRKFLGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEFVVKKSNRVELMAMLGLFGAIVSAIQISILERKELHSITWNAGAVLPFLGYAVAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFACTAAGIIIYSYKGSMEVEETAQVTGASDEKGKEGDEEAGAHNPV >PAN34160 pep chromosome:PHallii_v3.1:6:5580822:5586194:1 gene:PAHAL_6G072400 transcript:PAN34160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFMSCSAVSSNAIALRLLIEGRIQRRGACACKMFTLGSHRRRVRHPVVFAKKRRRPKKWERPWWKPFFSDWNDDEESLAGLREDDELLEEIGSDQELSENEKFETWRRKAEAIVELREAQQDAMNAEERSWEDWISGGSMSGGGDWGGDVSVLDQISDDPAEIVRDKSAVEVFRDSIDEDYDDMLFEDRVFMYASTNSAKFLALLIVVPWVIDFLVHDYVMMPFLERYVQKVPLAAELLDVRRSQKLQMVKDLNIEKARYRFEVEIGKSPPLSDEDVWSELREKALELRDDWRLENRKAFANIWSDMVYGIALFLLICFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHTLVEVILEHYGLEADEAAVTFFVCLVPVALDVLIKFWVYKYLPRLSPTVGNVLDEIKRH >PAN34158 pep chromosome:PHallii_v3.1:6:5580860:5586190:1 gene:PAHAL_6G072400 transcript:PAN34158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFMSCSAVSSNAIALRLLIEGRIQRRGACACKMFTLGSHRRRVRHPVVFAKKRRRPKKWERPWWKPFFSDWNDDEESLAGLREDDELLEEIGSDQELSENEKFETWRRKAEAIVELREAQQDAMNAEERSWEDWISGGSMSGGGDWGGDVSVLDQISDDPAEIVRDKSAVEVFRDSIDEDYDDMLFEDRVFMYASTNSAKFLALLIVVPWVIDFLVHDYVMMPFLERYVQKVPLAAELLDVRRSQKLQMVKDLNIEKARYRFEVEIGKSPPLSDEDVWSELREKALELRDDWRLENRKAFANIWSDMVYGIALFLLICFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHTLVEVILEHYGLEADEAAVTFFVCLVPVALDVLIKFWVYKYLPRLSPTVGNVLDEIKRH >PAN34159 pep chromosome:PHallii_v3.1:6:5580867:5586067:1 gene:PAHAL_6G072400 transcript:PAN34159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFMSCSAVSSNAIALRLLIEGRIQRRGACACKMFTLGSHRRRVRHPVVFAKKRRRPKKWERPWWKPFFSDWNDDEESLAGLREDDELLEEIGSDQELSENEKFETWRRKAEAIVELREAQQDAMNAEERSWEDWISGGSMSGGGDWGGDVSVLDQISDDPAEIVRDKSAVEVFRDSIDEDYDDMLFEDRVFMYASTNSAKFLALLIVVPWVIDFLVHDYVMMPFLERYVQKVPLAAELLDVRRSQKLQMVKDLNIEKARYRFEVEIGKSPPLSDEDVWSELREKALELRDDWRLENRKAFANIWSDMVYGIALFLLICFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHTLVEVILEHYGLEADEAAVTFFVCLVPVALDVLIKFWVYKYLPRLSPTVGNVLDEIKRH >PAN34169 pep chromosome:PHallii_v3.1:6:5617480:5621131:-1 gene:PAHAL_6G073200 transcript:PAN34169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTGGGGGDGDPAAARGSGGGVGTGAGRWAVGTVCGGLVYYHCAVRHASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTVGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWLPVGRDSLGSVQDTA >PVH36785 pep chromosome:PHallii_v3.1:6:31946793:31954565:-1 gene:PAHAL_6G169100 transcript:PVH36785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYCFLLRHPSRYSCIRVNTLKSSTDAVMHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGGVIVYSTCTINPGENEALVRYALDTYKFLSLVSQHPKVGGPGIVGSCELFNKTYTEKWLTEHEAELVQRFDPSSPVDTIGFFIAKFNVGEKEV >PVH36789 pep chromosome:PHallii_v3.1:6:31946793:31955654:-1 gene:PAHAL_6G169100 transcript:PVH36789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSLMTSFGSKGQSPAKPLPPSPIPAASSARLRQASPLQPPLFHSSTPRLVLSISPLPRSPSPPHPPSPRGRPPSPRMDSSSSSPSPSAAAAPAADAGRYTFSPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSRYSCIRVNTLKSSTDAVMHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSEQLFSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGGVIVYSTCTINPGENEALVRYALDTYKFLSLVSQHPKVGGPGIVGSCELFNKTYTEKWLTEHEAELVQRFDPSSPVDTIGFFIAKFNVGEKEV >PVH36788 pep chromosome:PHallii_v3.1:6:31946176:31957299:-1 gene:PAHAL_6G169100 transcript:PVH36788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSLMTSFGSKGQSPAKPLPPSPIPAASSARLRQASPLQPPLFHSSTPRLVLSISPLPRSPSPPHPPSPRGRPPSPRMDSSSSSPSPSAAAAPAADAGRYTFSPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSRYSCIRVNTLKSSTDAVMHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGGVIVYSTCTINPGENEALVRYALDTYKFLSLVSQHPKVGGPGIVGSCELFNKTYTEKWLTEHEAELVQRFDPSSPVDTIGFFIAKFNVGEKEV >PVH36787 pep chromosome:PHallii_v3.1:6:31946793:31954478:-1 gene:PAHAL_6G169100 transcript:PVH36787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSEQLFSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGGVIVYSTCTINPGENEALVRYALDTYKFLSLVSQHPKVGGPGIVGSCELFNKTYTEKWLTEHEAELVQRFDPSSPVDTIGFFIAKFNVGEKEV >PVH36792 pep chromosome:PHallii_v3.1:6:31946225:31955654:-1 gene:PAHAL_6G169100 transcript:PVH36792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSLMTSFGSKGQSPAKPLPPSPIPAASSARLRQASPLQPPLFHSSTPRLVLSISPLPRSPSPPHPPSPRGRPPSPRMDSSSSSPSPSAAAAPAADAGRYTFSPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSRYSCIRVNTLKSSTDAVMHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSEQLFSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGGVIVYSTCTINPGENEALVRYALDTYKFLSLVSQHPKVGGPGIVGSCELFNKTYTEKWLTEHEAELVQRCSKFTSVRGTIVLYDEMMR >PVH36791 pep chromosome:PHallii_v3.1:6:31946155:31957299:-1 gene:PAHAL_6G169100 transcript:PVH36791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSLMTSFGSKGQSPAKPLPPSPIPAASSARLRQASPLQPPLFHSSTPRLVLSISPLPRSPSPPHPPSPRGRPPSPRMDSSSSSPSPSAAAAPAADAGRYTFSPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSRYSCIRVNTLKSSTDAVMHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGGVIVYSTCTINPGENEALVRYALDTYKFLSLVSQHPKVGGPGIVGSCELFNKTYTEKWLTEHEAELVQRCSKFTSVRGTIVLYDEMMR >PVH36784 pep chromosome:PHallii_v3.1:6:31946793:31954565:-1 gene:PAHAL_6G169100 transcript:PVH36784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYCFLLRHPSRYSCIRVNTLKSSTDAVMHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSEQLFSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGGVIVYSTCTINPGENEALVRYALDTYKFLSLVSQHPKVGGPGIVGSCELFNKTYTEKWLTEHEAELVQRFDPSSPVDTIGFFIAKFNVGEKEV >PVH36790 pep chromosome:PHallii_v3.1:6:31946218:31957298:-1 gene:PAHAL_6G169100 transcript:PVH36790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSLMTSFGSKGQSPAKPLPPSPIPAASSARLRQASPLQPPLFHSSTPRLVLSISPLPRSPSPPHPPSPRGRPPSPRMDSSSSSPSPSAAAAPAADAGRYTFSPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSRYSCIRVNTLKSSTDAVMHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGVL >PVH36786 pep chromosome:PHallii_v3.1:6:31946171:31957298:-1 gene:PAHAL_6G169100 transcript:PVH36786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLMDLVCENGLSDGINGVEIVEQNGGDQPHEQSSLVQKCPYAGLENVLFVQGSGPHVLNYNSQPNQSVKEVIVSRKCAESVLRGAQVFVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGITRGTVLQGLQSDVHYEERKGLYIGQGTAAMSRSGIFRVLHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIGILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTEEATIVEMVNSHCEAIKTLAEDSGPCHVTVDGTATNVNEESSTTTVVQSVDEQNPEPKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHAKYQRRMFDQAVKLVSPGGVIVYSTCTINPGENEALVRYALDTYKFLSLVSQHPKVGGPGIVGSCELFNKTYTEKWLTEHEAELVQRFDPSSPVDTIGFFIAKFNVGEKEV >PAN34476 pep chromosome:PHallii_v3.1:6:9266398:9268333:1 gene:PAHAL_6G095800 transcript:PAN34476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASDDLIKHMCKRSGVIIKDINYDEVKKLEWRLSAEKDWSKLFCDKLAQRMKEGRAMCFGYTNLVGDAKKICQKFKDVLPIGTIGEEDLLLGMDDVKVVYNGPRHPESRMEQLAFDLVSLVNKSADLEPMVRQMEKMGQGSSKRKIYRRV >PAN34857 pep chromosome:PHallii_v3.1:6:14235045:14246860:-1 gene:PAHAL_6G110800 transcript:PAN34857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDEKYRYYITDIVTQLRSHFADASFMVFNFRDGESQSLLANILSSYDMVVMDYPRQYEGCPLLTIEMIHHYLRSGESWLSLGQQNVLIMHCERGGWAVLAFMLAGLLLYRKQFIGEQRTLEMIYRQAPRELVQLLSPLNPMPSQIRYLHYISRRNMSSEWPPHDRALTLDCVILRNIPGFNAEGGCRPIFRIYGQDPLLATSSTPKVLFATPKRSKYVRLYKKADCELIKIDIHCHIQGDVVLECISIDADQEREEMMFRVMFNTAFIRSNILMLNRDEIDMMWDTKDRFPNEFRAEVLFSEMDTTNQFDPMEVSGIGEKEGLPIEAFAKVQEMFSNVDWLDPTGDAAIQLFQRLTSSENIQLRQGFLSPSKKEAESLELCSISPTNQHFDNHRQEQSNVLHPTFYMNKQESIGGRRLTLLEPSTNSEVKTGISVVQENLGSLVHKVDSNTKQSTSLEKAIPSTMKSILVLKDQNAKFDEQHGSDQRSSPTTTMSHQFPVSSSCSALSGNSSPRSLSACPRFHSAPSDLGITALLEDHAEHSESFSSTITSITVSNVSTGIVKITSKLPSGQHSATTGTPIMPPAPPPPTPPSLLVSDAIMMSESKDSSQPALNHSGLPSYPERQSMFQSLATSTLPTNHQKSSTSIAIESLPTSPAPPPLPLPMSLSTSSSSSIYHLPSDSMPVPPTSFRPLVPAAPQPPPSPLPLPRPASPRFSPFRSHAPLPPPPPPAPASSSSPLNLTGPPPFPPPPPSPRCSPSRPPAPPPPPLHTSSSTIRPAAQPPPLFSFTSSPVRPTAPPPPPAPTSLPIRASAPPPPPPPGTTSSPPPPPPPCYSSKQSPNPIGNSMPSPPIPPPPSHGGHSGASSNIPPPAPPGGNAKLFGSHGRGPAPPSGPMSKSFHSSQAMSRRSNLKPLHWVKVTRAMQGSLWAESQKPDETLKAPMFDMLELENLFSAILPNSDSRRSDKSGNRASGPKSEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILALDDTVLDADQVDNLIKFTPTKDEIELLKGYKGDKQVLGECEQFFMELMKLPRVESKLRVFSFKIQFRSQVSDLKRNLNIVNCSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKIQLKSLAEEMQAVNKGLEKVEQELTTSENDGPVSEIFRKTLKDFLIGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLLNFMRLFTRSHEENCKQLDLEKKKALKEAEENSKQLDLEKKKAQKEVETEKTKILKEAEANSKQLDLEKKKAQKEVEIDKTKNKSDNEEACKKESENDKGSKKESANEKAKLNNSIKEIDISLQSPAQTAIAK >PAN34856 pep chromosome:PHallii_v3.1:6:14235045:14246860:-1 gene:PAHAL_6G110800 transcript:PAN34856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDEKYRYYITDIVTQLRSHFADASFMVFNFRDGESQSLLANILSSYDMVVMDYPRQYEGCPLLTIEMIHHYLRSGESWLSLGQQNVLIMHCERGGWAVLAFMLAGLLLYRKQFIGEQRTLEMIYRQAPRELVQLLSPLNPMPSQIRYLHYISRRNMSSEWPPHDRALTLDCVILRNIPGFNAEGGCRPIFRIYGQDPLLATSSTPKVLFATPKRSKYVRLYKKADCELIKIDIHCHIQGDVVLECISIDADQEREEMMFRVMFNTAFIRSNILMLNRDEIDMMWDTKDRFPNEFRAEVLFSEMDTTNQFDPMEVSGIGEKEGLPIEAFAKVQEMFSNVDWLDPTGDAAIQLFQRLTSSENIQLRQGFLSPSKKEAESLELCSISPTNQHFDNHRQEQSNVLHPTFYMNKQESIGGRRLTLLEPSTNSEVKTGISVVQENLGSLVHKVDSNTKQSTSLEKAIPSTMKSILVLKDQNAKFDEQHGSDQRSSPTTTMSHQFPVSSSCSALSGNSSPRSLSACPRFHSAPSDLGITALLEDHAEHSESFSSTITSITVSNVSTGIVKITSKLPSGQHSATTGTPIMPPAPPPPTPPSLLVSDAIMMSESKDSSQPALNHSGLPSYPERQSMFQSLATSTLPTNHQKSSTSIAIESLPTSPAPPPLPLPMSLSTSSSSSIYHLPSDSMPVPPTSFRPLVPAAPQPPPSPLPLPRPASPRFSPFRSHAPLPPPPPPAPASSSSPLNLTGPPPFPPPPPSPRCSPSRPPAPPPPPLHTSSSTIRPAAQPPPLFSFTSSPVRPTAPPPPPAPTSLPIRASAPPPPPPPGTTSSPPPPPPPCYSSKQSPNPIGNSMPSPPIPPPPSHGGHSGASSNIPPPAPPGGNAKLFGSHGRGPAPPSGPMSKSFHSSQAMSRRSNLKPLHWVKVTRAMQGSLWAESQKPDETLKAPMFDMLELENLFSAILPNSDSRRSDKSGNRASGPKSEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILALDDTVLDADQVDNLIKFTPTKDEIELLKGYKGDKQVLGECEQFFMELMKLPRVESKLRVFSFKIQFRSQVSDLKRNLNIVNCSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKIQLKSLAEEMQAVNKGLEKVEQELTTSENDGPVSEIFRKTLKDFLIGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLLNFMRLFTRSHEENCKQLDLEKKKALKEAEENSKQLDLEKKKAQKEVETEKTKILKEAEANSKQLDLEKKKAQKEVEIDKTKNKSDNEEACKKESENDKGSKKESANEKAKLNNSIKEIDISLQSPAQTAIAK >PAN34546 pep chromosome:PHallii_v3.1:6:14234340:14246973:-1 gene:PAHAL_6G110800 transcript:PAN34546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDEKYRYYITDIVTQLRSHFADASFMVFNFRDGESQSLLANILSSYDMVVMDYPRQYEGCPLLTIEMIHHYLRSGESWLSLGQQNVLIMHCERGGWAVLAFMLAGLLLYRKQFIGEQRTLEMIYRQAPRELVQLLSPLNPMPSQIRYLHYISRRNMSSEWPPHDRALTLDCVILRNIPGFNAEGGCRPIFRIYGQDPLLATSSTPKVLFATPKRSKYVRLYKKADCELIKIDIHCHIQGDVVLECISIDADQEREEMMFRVMFNTAFIRSNILMLNRDEIDMMWDTKDRFPNEFRAEVLFSEMDTTNQFDPMEVSGIGEKEGLPIEAFAKVQEMFSNVDWLDPTGDAAIQLFQRLTSSENIQLRQGFLSPSKKEAESLELCSISPTNQHFDNHRQEQSNVLHPTFYMNKQESIGGRRLTLLEPSTNSEVKTGISVVQENLGSLVHKVDSNTKQSTSLEKAIPSTMKSILVLKDQNAKFDEQHGSDQRSSPTTTMSHQFPVSSSCSALSGNSSPRSLSACPRFHSAPSDLGITALLEDHAEHSESFSSTITSITVSNVSTGIVKITSKLPSGQHSATTGTPIMPPAPPPPTPPSLLVSDAIMMSESKDSSQPALNHSGLPSYPERQSMFQSLATSTLPTNHQKSSTSIAIESLPTSPAPPPLPLPMSLSTSSSSSIYHLPSDSMPVPPTSFRPLVPAAPQPPPSPLPLPRPASPRFSPFRSHAPLPPPPPPAPASSSSPLNLTGPPPFPPPPPSPRCSPSRPPAPPPPPLHTSSSTIRPAAQPPPLFSFTSSPVRPTAPPPPPAPTSLPIRASAPPPPPPPGTTSSPPPPPPPCYSSKQSPNPIGNSMPSPPIPPPPSHGGHSGASSNIPPPAPPGGNAKLFGSHGRGPAPPSGPMSKSFHSSQAMSRRSNLKPLHWVKVTRAMQGSLWAESQKPDETLKAPMFDMLELENLFSAILPNSDSRRSDKSGNRASGPKSEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILALDDTVLDADQVDNLIKFTPTKDEIELLKGYKGDKQVLGECEQFFMELMKLPRVESKLRVFSFKIQFRSQVSDLKRNLNIVNCSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKIQLKSLAEEMQAVNKGLEKVEQELTTSENDGPVSEIFRKTLKDFLIGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLLNFMRLFTRSHEENCKQLDLEKKKALKEAEENSKQLDLEKKKAQKEVETEKTKILKEAEANKSCRLLWIWH >PVH37277 pep chromosome:PHallii_v3.1:6:43511783:43514809:1 gene:PAHAL_6G281800 transcript:PVH37277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDRHDPYYPPPGHCCDDDDGPYYPSSPPPYEEEDHCCGCCGCRCSGFFRGCLAALCCCCVLEECCGFLF >PAN35270 pep chromosome:PHallii_v3.1:6:39230737:39231207:-1 gene:PAHAL_6G223400 transcript:PAN35270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQEPPRPSSRSGVGSFPRAAPASGTMNRHDPRRRSPQTATVAAASIRSRLRRRIRAHARRRLRGDSPNPSGSSRPLPCRPTISTRPGAFVLVWIPTCSASCSLGSKSAGVN >PVH37000 pep chromosome:PHallii_v3.1:6:38695789:38696614:-1 gene:PAHAL_6G217300 transcript:PVH37000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPSAFPAHAGAVPTCSPSIRSRRWHPPRVEDASGLPAGTGAGPSRETSPTPSIRSRELARRVEFCDARLEVTTATSDVRSVPLPSFSPPRKGQWH >PVH37001 pep chromosome:PHallii_v3.1:6:38695636:38697047:-1 gene:PAHAL_6G217300 transcript:PVH37001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPSAFPAHAGAVPTCSPSIRSRRWHPPRVEDASGLPAGTGAGPSRETSPTPSIRSRELARRVEFCDARLEVTTATSDVRKGQWH >PAN34828 pep chromosome:PHallii_v3.1:6:22355883:22358553:-1 gene:PAHAL_6G136100 transcript:PAN34828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACSGFRRRPRGGAASVAQKRHAPARDDSRRGGPHGGARGVRRQHSSAAIGDMGQRQPTRGETAAA >PAN33774 pep chromosome:PHallii_v3.1:6:3177297:3179277:-1 gene:PAHAL_6G043900 transcript:PAN33774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQEEFEEYAEKAKTLPDSTSNENKLILYGLYKQATVGDVNTSRPGIFNQRERAKWDAWKAVEGKSKEEAMSDYITKVKQLQEEAASS >PAN33621 pep chromosome:PHallii_v3.1:6:2497702:2499787:-1 gene:PAHAL_6G034400 transcript:PAN33621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWLFYSLTTLLCLLCSLLLRAGARSSAGAKRHAAGDELPPLPPGPTPLPVVGPLLFLARRDFDFEPVLRRIAREHGPVFTYAPLGRARPTVFVASRGAAHRALVQRGAAFASRPPVTASSAVVTSGGRNISSAPYGATWRALRRNLAAGMLNPARLRAFSPARRWVLGVLASRVRAASGAGGERAVAVMEPFQYAMFCLLVYMCFGDRVEDARVRDIEATQRELLGNFLSFQVFSFLPSVTKVVFRRRWEKLVSLRRRQEELFLPLVQARRDAGADGDCYVDTLLKLTIPEDRGRALTDGEIVSLCSEFLSAGTDTTATALQWILANLVKYPAMQDRVRDEVAGVVGAADGEVREEDLQAMPYLKAVVLEGLRRHPPGHYLLPHAVHEDTTLDGYRVPAGAPVNFAVGDIGLDGEVWNAPLEFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFQWSEADGEEVDLAEKLEFTVVMKRPLKAKAVPLRSPPAATLAAA >PAN33904 pep chromosome:PHallii_v3.1:6:4104779:4108756:1 gene:PAHAL_6G055500 transcript:PAN33904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRSRVPMHSISPICAILLFLSCSFSSMHLCASSDDHLVPGKPLTPGNTLVSDDGTFTLGFFSLSNSTKKHYYVGIWYSNIPQHTVVWVANRVVPITNLSFAMLALTSSSNIVLSEGNGRILWRSNNSIIMSSPPATTISAEATLENTGNFILRSLGNSTILWQSFDHPADTLLPGMNLRISHKMHQVQHLISWKGPQDPSPGPFSYGADPNSFLQRFIWNSTRPHHRSPVWTNYFLLGSYMDNLHPKIYMAVHRRDDGEVYMSIGSPIGSVSLLIRMEISYTGKANILSWNSNMSAWTALYTQPAHECNVYAYCGPYGYCDNSETTPTCKCLDGFEPNDEEAWINGRFLEGCHRKKALRCSGGDDFLTLPGMKVPDHVLFIGNKSFDECTEECRSNCSCVAYAYANMSTRAIDGDDTRCLIWTGMLIDMEKYSEGGENLYIRTKKISGSMLTTNILEIVLPVLAALLVLICIVLVWICWFRGKQGSKEICTMLMLGNMGSTDELADRKIDLPLISFREVATATQNFSDSAILGRGGFGTVYKGTLGDKDIAVKRLCKGYGQGVVEFKNEAALITKLQHRNVVKLLGCCNDKDEKLLIYEYLPNNSLDAFLFNAARKSLLDWPTRFEIIKGIARGLLYLHQDSNLTIIHRDLKAGNVLLDAEMRPKISDFGTARIFGIDEQQSNTNRVVGTYGYMSPEYALEGTISLKSDVYSFGVLLLEVVSGLKISAAGPVTGSPNLIAHAWGLWKDGNLRNLVDSTIVESCSLDEVLRCIHIGLLLVQDDPNARPLMPWVVSSLDNNDIELPQPSEPLCIARRKYRTGEAGESYVTDMSLGRLEGR >PAN33248 pep chromosome:PHallii_v3.1:6:389465:395240:-1 gene:PAHAL_6G005700 transcript:PAN33248 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13650) UniProtKB/TrEMBL;Acc:A0A1B0VP09] MGSRALKLDISADSEASPRRNVPGSVSSPLVNGEKGVFRNQNAGFTALASPVRREIGNRSMTRTFCVDDNDLEDGKASKERDSSLQLHKLPKIQNQALLSGLAYCISSCSMILVNKFVLSGYGFNAPIFLMLYQNIVSVTIVSTLSLSGAIPTEPLTWNLIKIWFPVNIIFVAMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHGVQVWIALMLMIISAVAGGITDLSFHAVGYTWQTLNCFLTASYSLTLRHVMDSAKQATKSGNLNELSMVLLNNILSLPLGIILVLGFNEVDYLLETSLLRMPEFWLVITGSGVLGLGISFTSMWFLHQTSATTYSLIGSLNKIPLSVAGIVLFNVRTSMQNSLSILFGLLAGVFFARAKLRDNSPT >PAN35598 pep chromosome:PHallii_v3.1:6:39014799:39015035:-1 gene:PAHAL_6G220600 transcript:PAN35598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQGKTIKISRGMVSLRRRKPFQLMVLRRLRELKKIVPVGTRRKADVDAVLRQTAEYICALELKVAILRRLSNIYGV >PAN33852 pep chromosome:PHallii_v3.1:6:3749496:3750842:1 gene:PAHAL_6G051500 transcript:PAN33852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEPRRGGARRVTLSEGQRFCVTGLSLADPAAASSQPLVTFWAEVGHEALAIGMLWTEQPVSSVPPLELDDAEFVLRHDSAWSSVRLYGYYLDPPDPDGEEGTRRQFLVDIVAEELPDEEEDEEEEDVGQEYEPLTEEDLAERYDSDNGEDEEEDQPRQGKVGDSEAAGESSKISWNSIALVAAPAGIAVPDGEFLGPPRFAAVKNTAGFMRIAAADLAETGSQEGREIVVLYRYTRFSRTWSGRRGVEACRRTKLHWLRFAVPPAGDMASSLAWAGSSLSPLIYPRLFRRELRDLWSSMAAAATAAIPPHAARLQVIVDAGILRREDHTAERMEHMRGALEAVMGEAWPEYYHVGMELRLPEPVRREYGGGGDGDDARPAKRRRVAAVEDAEEDCSLCLDPLESGLAAWPGCRHEFHGECVEKTLARSEACPLCRRKLSETLACET >PAN35366 pep chromosome:PHallii_v3.1:6:37506437:37510472:-1 gene:PAHAL_6G203200 transcript:PAN35366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDECEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLDESEDEKRRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENTIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETPEADTSMPPLEDDAGESKMEEVD >PAN34936 pep chromosome:PHallii_v3.1:6:18579171:18582362:-1 gene:PAHAL_6G124900 transcript:PAN34936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRPLAVVAALLAVCAAAAEGFDILQILGKHDEFSQFCKLLNETHLAGDINRDRTITVLAVANGDMGHLTSGHYSLGTIRHILELHVVADYYDEKKLKQLSHGATAASTLFQRSGFAPGMAGYVNITQHRGGKVTFIVDDAADSVKPVTFVKQIESHRYDYSVLQVSSVLSSPEAEAPVAPPVPVNLTNLLSKKYCKSFAGLLAADAKAFDTINGTKDTALTLFCPVDAAVAAFMPKFKNLTAKAKTAILLYHAVPDYYSMQFLKSNKGKVTTLATTSVAKKDYTYEAQTEADTVTLDTTVTTSTIQATVRDDDPIAIYAVSKFLQPKELFKSKTKDLAPAPAPEGPKKKTKKKPSSTSAAAAPADDEPADGPASDDSADDAADKAGAVPSLLARWVTAAATLAAALALAA >PAN34057 pep chromosome:PHallii_v3.1:6:5099862:5114168:-1 gene:PAHAL_6G067100 transcript:PAN34057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMTAEAGDPERDVNEDFEEDDEDGFEFGDADEAMQCVEMAGRSTAAGALRAQGHDYEALVARKRKALSEDQPQREGSKKPRQNELSEAEAATMFDRLMEGFGLRRKRRSKEGKKRGRKKGTKNKGSPEVIKKLGDATLLFAEEKFNEAIPILHEIVRIAPNLPDSYYLLGSIYNETGELDKAINFLMLAAYVSPKDASLWKKLIPLAKKKEDASLARHCILKAMRADPEDVDLKYLCADMYRNLRDYQKAAEIYEQIVGIYPANIAVRKVAAQMYRECGQIDKAINLLEDYVNTQTTNTDWSVLDLLISLYLRNNAPSEALKQIKKARLQLRSQHKLPVQLQAKEVICHAYLGDMKHAEIFLRDVHLEPSKDSTDVTKELASNLETMGLYEYAVKFYLMIGNIANHNDGSSYIDHKELGSSYVKVAQCYMVLEDKRNAIPYFYKALQSMKDNIDIRLTLSSLLIDEDKTDEAVTLLSPPKNPELQSANTPDQQKPWWCDGRVKMQLAKIYYNKGKLEDFVDTIFHPILETLNVEYANRKVRPMKKLTNTVLHERVKVLGEPRPDSIFQGLRPIASPGELQKANRAKKIMEKRAASNEELKPDDSQRTKQVPPLPGLLTNVEHHQLVLNLCRTLALLQRYWDALQIINRTLKLGNDLLTDDNKEELKSLGAQIAYRAPDPSHGFKYVRYVVQQHPYSLSAWNSYYKVISRIEDRFPHHFKYLLRTREEKPDCVAPIIISGHRFTAISQHQSAARDYLEAYKLDPENPLINLCVGTALISLALGFRLQNKNQCIIQAFAFLYRYLRLCGDSQEALYNIARAYHHIGLNTLSAVYYEKALAIEEEDHPIPKLPYEAGSCTHEDLRPGYCDVRREAAFNLHLIYKKSGATDLARRILKTYCTV >PVH36944 pep chromosome:PHallii_v3.1:6:37516261:37517782:1 gene:PAHAL_6G203500 transcript:PVH36944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKEEGGRRGHRRPPRRGRRPSSTSRPNQSPSRRVEGRARWTRQEQRAGVPCQAGGGGAGRGGGEEQSRVEGPTRRRKDRCGGFVARRSRRGPVQWLQRARKRERERRRRSWGRLDPAEGRWALLPVPPGPVEKGRSGDL >PAN35926 pep chromosome:PHallii_v3.1:6:40975504:40978325:1 gene:PAHAL_6G244900 transcript:PAN35926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTAHSRQHHAFEKSPSSHMKNLDRKLQQAMNNATSKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLEMRREDDDKASDHDVEGGEDDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYSDNRLFELLSLSGMLIDHNWTEILKRRDMYREVFADFDPSRVAKMDEDAIAEISGNKELKLAECRVRCIVENAKCIQKVAKEFGSFSGYMWGHVNHRPVVGKYRHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGMAIDHLVDCFRFPECVRLAERSWGITNIAA >PAN33792 pep chromosome:PHallii_v3.1:6:3291650:3296140:1 gene:PAHAL_6G045200 transcript:PAN33792 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 14 [Source:Projected from Arabidopsis thaliana (AT1G31770) UniProtKB/Swiss-Prot;Acc:Q9C6W5] MPPQLEHDEQQTAGSLGHRAAPPPPNMLTFSSSGGAPSSTSVATGTTTTTNSSGAVVHPTTSSPPSSAAARLRPAANSFPLVLKFEEVVYKVKLGQPTAGWCDKLSAAASMAVGGGGDGRKKKAVSAAGSSAREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGRALLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALGAGEKRAQAEAVMRELGLAKVAHSMVGGVRGLRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAADGGRTVVVTIHQPSSRLYHMFDKVLLLSADGCPIYYGRAADALSYFASVGFASPLSLNPADLMLDLANGIAPQTTSGDADGGEVRMAAVTGGSESEHKEVRAKLAAAYERHIAPAVKLDICARETAAAASPQASSARRGSAPSEWTTGWWTQFLVLLQRGLKERRHESFNKLRIFQVLSVASLAGLLWWRTPASHLQDRTALVFFFSVFWGFFPLYNAVFTFPLERPMLLKERSSGMYRLSSYFASRAAADLPMELGLPTAFVLILYWMGGLDPRPGPFLLSLAVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVAWLRWLNYSFYCYRLLLGIQFPNGGGYYDCGHGARCPVAEFPAIKAVGLNHHWVDVCVMALLLVGYRVVAYVALDRLKPR >PVH36315 pep chromosome:PHallii_v3.1:6:3291850:3293670:1 gene:PAHAL_6G045200 transcript:PVH36315 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 14 [Source:Projected from Arabidopsis thaliana (AT1G31770) UniProtKB/Swiss-Prot;Acc:Q9C6W5] MPPQLEHDEQQTAGSLGHRAAPPPPNMLTFSSSGGAPSSTSVATGTTTTTNSSGAVVHPTTSSPPSSAAARLRPAANSFPLVLKFEEVVYKVKLGQPTAGWCDKLSAAASMAVGGGGDGRKKKAVSAAGSSAREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGRALLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALGAGEKRAQAEAVMRELGLAKVAHSMVGGVRGLRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAADGGRTVVVTIHQPSSRLYHMFDKVLLLSADGCPIYYGRAADALSYFASVGFASPLSLNPADLMLDLANGTPPCTPHTHLRTNIPSLSSLSTFSRCLPIHRAHWHVPFHSIPFLALTSSILLNSKQLRWARNSALIPGRPWKKNSIGQTLQHYKGRLPLPSTENVFFARITLWVVCVLLWFKARTPLLAQISRFPPRFGSGTGGTIYLVSQSYVPRSVPFLAIIKWVDKVFH >PAN36071 pep chromosome:PHallii_v3.1:6:41705814:41709404:1 gene:PAHAL_6G256000 transcript:PAN36071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMVPDEKSDLTDEDRPQMCQGMKMVLDRHNIYVKPEMINRPIIEMTCCVYEFDFCLRRKHIEILRRGGRSLLKVSKINCEQWNCMKLATALKLVCYPEEGIELGNSPEMLLVDEARKLRGDAHQYEGEFKKYTFLTIYKEAVWACDLRTKALRCLRTLVKEAMQLSAETREGEPNSLVGDTGLKLPRRWSRWRRPIMTCMFLEANLA >PAN33361 pep chromosome:PHallii_v3.1:6:1303824:1306266:1 gene:PAHAL_6G015500 transcript:PAN33361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEALPTDAHLHGDGTVNKSLFCFPPNNSAAAATSSGVFAGDDPLKFYFPLLLCHVCIVFALSRAIHALLRRASVPLVISQILAGALLGPSFLGRVSPHAGELFAMPEGWVQINTVGGYAFMLQIFVIGVKTDLGVFVKSGKKAVAIAIIGTVAPQVAMSAAGAALSARVPASWKATFMLTGLTSSWWLSAFIVVCCTLDDLNLLSSKLGRLAMSAALIGDFANNLSVAFITSYLLASSPSEKLQRIGFVSIATFTIFVGFVVFVARPAILRLMRDVPEGALLCEARLVAVLLITITCGFASKVLGLHATYGPFMLGLLLPGGASLGVTMAERLDRLVAGLLLLLMFAQGGMRLDVYKMTDASTCLLLEVFLVVGVVAKFASCMAPCLFCGMSHREAFIVGLMMNFKGIIDVVYASAFMDAKVFDEQVYATFIITSLAVGATTAAAVKHIYHPEEKYVAYRRCTVQHKKIDEELRVLACVHSQADVGPMLALLDASSPSPESPITLYLLHLAPFAGLTTSVLRPYKLGDRNSAPSGAGTNSEHIVNAFRFFMQQRPPGSVSLLPFVCIAPNATVHDDVCAVALEKRAMLIVVPFHQRLAIDGSVENTTEKAGAIQAANFNVLHYSPCSVAILVDRGSLSVVPGEGADTDGFPHRVALYFLGGPEDREALALAMYMAEDAPIGLTVSRFVLPPEWRNGGDTVEDRLDEEAVQEYVRRLVDGQRLVYSEHMVTGSGGMVAVIRETSRASDLLIVGRRAESPESALTAGISCQPDSLR >PVH36181 pep chromosome:PHallii_v3.1:6:1352731:1354492:-1 gene:PAHAL_6G016200 transcript:PVH36181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHLRSASVPSSPHSNEIDVDEQLESMKATISSSSVTIGTMCNGFRKLGDIYNYIGELAFLPSSQVTQQRKAVEQELERSLVLLDLYNTMQESFGRGDDAAVQVMIESHIRLVNKAQKQFKKISKKFAAVDQESCKVVKLLSEARVIALSMLESLELELHIVDLENRVEALFRTLVQSIVYLLNTLRL >PVH37136 pep chromosome:PHallii_v3.1:6:41569770:41572399:-1 gene:PAHAL_6G254200 transcript:PVH37136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNRRVRVVLKVAVVAAVLLQLSRAVAAVQATANCSTSCGKISISYPFGIEPGCYLVGFNLSCDHPHDPPKLFLGDATVEVFEISILIGINSSSIVPSSPSASSDGKMNKSGSYHTWSGLRKGGPFFVSPDKNRFLVLSCNNVQVLLLGEDNSTVNACATYCPPAPGKTQSFQYPLRNECSGIGCCSASIPKGYTSHSIEVQVQPPNSISEFDAESSVYIAEEGSYNTTRLIFEAIDTLPTLLDWVISNSTCKHPPTASACRSRNSYCANYTSYDYNGYRCRCSAGYQGNPYIQDGCKGGCIKIKNSSQGLTIGLLVGCGSVLLVLVKLRKAQKAKERFFNQNHGLLLQQLISRNADIGERIIITLADPEKATNSFDKSREVGGGGHGVVYKGILDLHVVAIKKSKIVVQREIDQFINEVAILYQINHRNVVKLLRCCLETEVPLLVYEFISNGTLSHHLHIEGPISLPWDDRLRIALEIARALAYLHSASTIPIFHRDIKSSNILLDDSLTAKVLDFGASRYVPVNQTGVTIAVQGTFGYLDPMYYYTGRLTDKNDVLSFGVLLVELLTRKKRSLYNSDSGEGRPTMRGVEMTLENLRVKKNPAPRNTLFSSEKYDGDEIATRYVQAQGVANETSRQYTIEDEILLSARYAR >PAN34623 pep chromosome:PHallii_v3.1:6:9916464:9917853:-1 gene:PAHAL_6G098500 transcript:PAN34623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLTFLPLFRFAPPPLQVCKVVHGHQIEDPAGTWRRLREGRGSRLLGRRRRRRWGRRAGRGRLPFLISPTKFHPRLEGSKNGVRRSQIHQVHG >PAN33856 pep chromosome:PHallii_v3.1:6:3768425:3770307:1 gene:PAHAL_6G051800 transcript:PAN33856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIISYKQLTKLVKPHQELALGATPMEDHHYLYLGLALVSLLVMLAKRRRRSDMAHGHGLRLPPGPWQLPILGSLHHMAGKLPHHALRDLARRHGPLMLLRIGEVPALVVSSREAAREVMKTHDAVFATRPLSPTMRALTKGGRGIIMAPYGAHWRQLRRITITKLLTARRVLSFRAVREEEAAAMLRACAAAAAAGSRAVDMRERLSALITDTTMRAAMGDRFKDREVFLRVLDRAIVLSAGFNMADLWPSSRIVGRLSGAVRRCEEIRDTVFGILDGIIEEHLERMRNGGGGEVKDLLDVLLKVQQDGDLPIPLDMDVIKVVIVDIFAGSETTAPTLEWAMAELVQNPKVMERATAEVRHAFAAHGSVCEDRLAELRYLPLVIRETLRLHTPLPFLIPQECQEPCRVLGYDVPRGITVMVNAWALGRDERYWPGDPDAFRPERFEAGGGGAADFRGTDFELLPFGAGRRMCPGLGFALANMELALASLLLHFDWEAPGAAEVDMTEAFGLTAHRKARLLLRPILRVPVPGV >PAN33908 pep chromosome:PHallii_v3.1:6:4130696:4133227:1 gene:PAHAL_6G055700 transcript:PAN33908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVMRTAAKVGIAAGATAAKGGRFRHAAPAFAAAPAGAEAAPLVSAAGAEAPPAAAQWAASWEMDDWEFADWRDDAAAVTVAEREAAAKPRLVFAPPSREEAEEATTELRDAIERSYFNESPVEVVKEQDKELNKLTTDAIIPSMPGHVVQAFTLLKSSPEAQSVVASLASDRNVWDAVLKNEKVMEFYKTHQTIQTLPEEAATVESPEKFEDAASENAPTGSPFVDFVDNAKKTVMDVVDNITHFFQDLFGHPAEAQAGAGSSSEKGPSLAEMAVGGSFMALTIAVILVVLFKRA >PAN36403 pep chromosome:PHallii_v3.1:6:43370109:43371232:-1 gene:PAHAL_6G279400 transcript:PAN36403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDAASPPWAELPAEVLGEVARHLHDAACFLRFHAACRAWRAASSAPAPVALHPWLVAPCGLFSSGMRFLWPFSPGEGKGGAYLPHPPALRGRRFDSADAASGRVLAAGVFGDDRTASLVKPLTGDAAPLPPLPCVVAPGPANGAVLCDTTVGRLVAAVLQPGEADWEEIHVASPVGLGLRDVLRLDEHDRRAAALCSVGVLAGGNRAVAKLPPKPEGDRYVLEYRGELLCVDFLNVHALEAGGDGAAPPQWVERERGRGTERACLFLGWESSFAVDAREFAGAELAGGCAYFGSEVDDDGERGGPRRVQVQAYSFKDGTVTVVDELPAMFDRKSMWYTPRPRISPVPSSREPAINPTDQAS >PAN35477 pep chromosome:PHallii_v3.1:6:41090281:41098951:1 gene:PAHAL_6G246900 transcript:PAN35477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALFMQAFERRDAAEAQMRQQAASYSHTAARALLAAGHLPPPWLLPHPAAFAALCRRGAGAAEAQVRQQVEAYSQSLARAFVGAGHLPPAWLLPTDVGAGDLELRGGAEEQMRQQVVSYSQSLARAFVGAGHLPPAWLLPTDVGAGDLELRGGAEEQMRQQVVSYSQSLACALLAAGHCSPAWLLQAPADVATDGNTVRNLLVDLANPKSADHVHHVFPEINHWVKQKTAEAVEVKPVIMNITSSAESHCLPVLLNTLPQKETSHAAETEPLEDPRSIATQLLKIDSFHSVKPNFLEGLDSVVATNPKNDPMAASAENDSNSIDSAENDSLGLPHAMVSLLVEKGTLHSDESNFLEGSNSLASLLLDKEPILTDSLERPHLMVSPLLEKDTLHCVNINHHEGHYVMPSVLLAKEIKDAAESESFEGPSFMASPLPENDSLHSNKPNFLEGSDCLSSSPTENDAIETAENVRIEVSQCTTSLQVEKGSSMASPLLEKGSTWADSLEGSHGMASPPVEKDTLHCAETDFLQGTYCMPSLLLDKEAGHTSETTPLEGPFFLVSSKDPLNTIDHTGKLRKRSSLFVRCDNESSRTPEQQSSRCHVLPIPINESALQPYQLADNTSEAQDVCTWNNIDISARPEAPSAASCKSGRVLHTAERNSRMSTDKSLTGLQHHGPVCFSFWPILNVRFWEIQNQPEAKTNRANSMLLAFLLNSADSCAIVSDNQNKSPQPSAQPLCSSGSRKKFSLLMSETSDVQGTNSMARPHFLASPLLEKATLHCVQTDLDKLMPKYTDDGDACQISTISSGTIISHSDYRIGHNDTSTGSAKDAFVSAESESAQMQSSLAKISLECVMTGTAVEAQMASAAQFTSVESVQRESVFDSIKCQPKQSDHAHAKFSDKDKSVMPSGSSGASSKRAGVHSAESNITTNESLQTVTDRKYNSPKPSCEYSTASHMDKLSASEILVNRNPSCENVEMNEQSDDLYGSSSTMSTSLSSLDNQDDIHDQIKSIGNLSGKALPSLSFLSRLGSCGCISPNIEGRSSASNRTRPLAHEVQAAANYSPERSMSTLSDAIHCSSPILQSLLCSGSSLNTKVTTIPPLQSEMYSPTINARAFQAFCESTKLINLSSSLSAKYNMKPLDAVYQSLPSKFEKLMNRPLACLIDTNMLDPSYDNKKSRVPGKYSLDFDGAFMMSDDLTYGSYSYGVQEDSDIPLNLSVVKYNLEKLSGRIGSSSDYLGSTPEIACFRIDEDRTILGENENQVKLSIPVGRNYSRQRLAAEKPLGYATNINESKGTSSLDLTAGKFYTRKPDQHVHIRVNQDIKHLKENRTSSIRKAGKVTHPLLDRLSKMEMLSSKSERNRSETNIEKGGRPRNIVSNMTSFIPLVKQKQRPLTSCVKRDVRVRALEVAEAAKRREEKKQTEREKRKTAVELERERLKQENEHRQKQVEQQKKMDADIITRKRQRENHGKRRNARKRNCVEGAPKHQKQLVEKMHSTNAVKDACPNNTDVKDLVENLVRGLKNQLLSDERMESVHRLLASESSSLKGVSADWKSEGSGFQVQESLSDNVDMDYEMSPYEDSDEEDIDILEHKREIRRRRKLIPSWAQSQNLNKILQSNQALDPREVFAHKCSFNLSDVLTSGIPHRLFN >PAN35004 pep chromosome:PHallii_v3.1:6:23942942:23943525:-1 gene:PAHAL_6G141100 transcript:PAN35004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATQVLLILTLMVLLSREGMASIESRSKAKCSPTVLRLGHKCIPKICQNDCIRLGGSHGNCIEGPACNCVFCGPSRPAPASPPLQ >PVH37081 pep chromosome:PHallii_v3.1:6:40484952:40485510:1 gene:PAHAL_6G238200 transcript:PVH37081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCGPSCRLLVLLLALTAFNGSFAARHLLDTAAAPEAAPAQPSTPAIPTTLPPVPSIPAVPTTLPPIPSIPAVPKVAIPPIPSIPIPKVALPPAASGTIPSLPNPVIPTTVSTIPAVPVTLPPIPSIPTTVPSIPTTMPTTIPTIPGLQMPPIPFTTPPPQTASP >PVH36190 pep chromosome:PHallii_v3.1:6:1398136:1401257:1 gene:PAHAL_6G017600 transcript:PVH36190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGGSHCSPLLLSRTRRRAPLPPPNPCSCLPGEPRHLRPCRASLATATPPSPPDEEHRKSPVDPAMLLAAIAAAAAAASPHAALAASGGAMGGRSYSSSSRSSSSTSSSSSSFSSSSSSSSWSTPSSSSSSASSWSRPSSSSTEQQEEATHVSVGTAPSSSSSSASSWLRPSSSSTSSSPEQQAEATHVSVGTARPPSVATAAQRNAEMRFWGYLASASVSAAALFLAVRHYTRPRTTVVKLQVALLGLAKSLQKDLNEIAEKVEASNQRWYKFILTGDSWEQHFDKISIEERSKFDEETLYNLEGIKRKKSYSRKPDGFRNEYIVLTILVAADGALKFPEVRNYADLEAAVETLNSIPARRIQGIQVLWTPQDEDDVLLAAKLLEDYPYLKPLCDD >PVH36188 pep chromosome:PHallii_v3.1:6:1398136:1401257:1 gene:PAHAL_6G017600 transcript:PVH36188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGGSHCSPLLLSRTRRRAPLPPPNPCSCLPGEPRHLRPCRASLATATPPSPPDEEHRKSPVDPAMLLAAIAAAAAAASPHAALAASGGAMGGRSYSSSSRSSSSTSSSSSSFSSSSSSSSWSTPSSSSSSASSWSRPSSSSTEQQEEATHVSVGTAPSSSSSSASSWLRPSSSSTSSSPEQQAEATHVSVGTARPPSVATAAQRNAEMRFWGYLASASVSAAALFLAVRHYTRPRTTVVKLQVALLGLAKSLQKDLNEIAEKVEASNQRWYKFILTETICSLRRHNNCCISSSLSVDVKDTGDSWEQHFDKISIEERSKFDEETLYNLEGIKRKKSYSRKPDGFRNEYIVLTILVAADGALKFPEVRNYADLEAAVETLNSIPARRIQGIQVLWTPQDEDDVLLAAKLLEDYPYLKPLCDD >PVH36189 pep chromosome:PHallii_v3.1:6:1398241:1400136:1 gene:PAHAL_6G017600 transcript:PVH36189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGGSHCSPLLLSRTRRRAPLPPPNPCSCLPGEPRHLRPCRASLATATPPSPPDEEHRKSPVDPAMLLAAIAAAAAAASPHAALAASGGAMGGRSYSSSSRSSSSTSSSSSSFSSSSSSSSWSTPSSSSSSASSWSRPSSSSTEQQEEATHVSVGTAPSSSSSSASSWLRPSSSSTSSSPEQQAEATHVSVGTARPPSVATAAQRNAEMRFWGYLASASVSAAALFLAVRHYTRPRTTVVKLQVALLGLAKSLQKDLNEIAEKVEASNQRWYKFILTG >PAN34391 pep chromosome:PHallii_v3.1:6:8063112:8066584:1 gene:PAHAL_6G089300 transcript:PAN34391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKNNTAAPVKEQTSATPQLRAHLLADHDHERLAGAPPQVPPPPLVDAPPVISLRRCAVRRSLLLVTTYAALFVGSLSSSLLSRFYFAHGGADRWLATLVQSAGFPLLLLILLARPPASASASRMFGGFTPRLVLYCVLLGLVMGLNNLLYSCGTSYLPVSTTSLLLSMQLAFTLALAAALVRVPLSFANINAVVLLTLSSLLLALRHGVRGGRSDTGGDSDAAGSDYLVGVAATLGAALLFALYLPAAEIVYRHGGVTGFRMVVESQVIMEAVATAVSGAGMAASSGSKGPWSGVEATWDLSPAAYYAVVGAAVFSWQMCFFGTAGTVYLTTSLHGGICMTALLAVNVAGGVVVFGDEFGAEKGVAMVLCLWAFSSYIYGEYKKGGKLVEGASQIDYGVLDQV >PAN35290 pep chromosome:PHallii_v3.1:6:36885024:36892177:1 gene:PAHAL_6G197000 transcript:PAN35290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPFIYRRPRGSGSTEDAAIMAAVDGDLGRLKGIVESLGKGNGDRAAVFSLKKGGVGVLHCAACTGHLEVCKYLVEELGGDANMKAAEGVTPFMTAAQSGDVSTVKYLLDRGGDLMKADEKGRTVLHHAACTGSTKVTEFLLSKGIPVDIDYGHGTALHQAAINEQDKTVKILLDHHANPNTTFSGVGTPLVGALLYHSLKCMKLLIKAGADVNGKGNLASPLVIATMRGGYTDEVRLLLKAGADPNIPDDLGRLPVELAALNDCMEEVEMLFPLTSPILGVPNWSVDGVISHAKLECEKPLEEHHIARRKAMFKSQASKAFKLKNYALASKLYGLAIDHAPDATLYSNRSLCRLQMGDGEGALSDAYKCRMMRPDWAKGCYRQGAAHMLLGEHKQAHNALLDAQKLDPGNEEIERELRKAMELMKVPPDEDEQ >PAN35293 pep chromosome:PHallii_v3.1:6:36885453:36887075:1 gene:PAHAL_6G197000 transcript:PAN35293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDGDLGRLKGIVESLGKGNGDRAAVFSLKKGGVGVLHCAACTGHLEVCKYLVEELGGDANMKAAEGVTPFMTAAQSGDVSTVKYLLDRGGDLMKADEKGRTVLHHAACTGYFSVTNALRAYLLNMLLSCCHSSAFN >PAN33990 pep chromosome:PHallii_v3.1:6:4689495:4691375:-1 gene:PAHAL_6G062200 transcript:PAN33990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCSSIHHRRTRLVGQAEQPPAPVPRREGKGEGEEEARDWAELPVDALLAVLRRLDAVDILTGAGHVCRPWRRATREEPELWRRVDMRRRLAYHVDLQAAARAAVRRSAGRCEAFWTEIFGDNDFFLFLADAAPMLKSLRLISCNGIPPHRMNEVIRRFPLLEELEISHYDLDSITTCLAGVGAACPLLTRLRLNHDRFYYWRPGDTGGCEAAEIAAMPGLRSLQLFANSLRNADLAAILDGCRRLESLDIRHCFNVSMNGEMRARCAGVKALQMPKDSMEGYDLPYASPVRDCVYYSGVMYPDF >PVH37080 pep chromosome:PHallii_v3.1:6:40480008:40480789:1 gene:PAHAL_6G238100 transcript:PVH37080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTDGGVEGERPAPAPAVRKERGRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRYVRELRGKASEAAEGVGAGVIPGEGDDVGVEEEEDDRWRPGGRRCGTGGLLGTGAADGRLPPRRVRAWVCCADRPGLMADLGRAVRSVGNARPVRAEIATVGGRTRGVVELDVCRDDDHVAAAATDKGGAVALSTLRAALRAVLLNREDHLAAAEGYKRPRFSAQIAKVK >PAN35156 pep chromosome:PHallii_v3.1:6:35456779:35457475:1 gene:PAHAL_6G185700 transcript:PAN35156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFIKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRSGQ >PVH36917 pep chromosome:PHallii_v3.1:6:36966024:36967162:1 gene:PAHAL_6G197600 transcript:PVH36917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVKEAMTEHQTFSRVLYVLARGTPITLLIARTVRGDYDRYSDKILIRNLVVEMIFSFIAVIVFHLYIIIFHFVRTTAPRLSMHCGCG >PAN34842 pep chromosome:PHallii_v3.1:6:30260973:30265816:-1 gene:PAHAL_6G162600 transcript:PAN34842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPVMQAKLPAAALISNSLVKSGQLGTAFFGAMSKFRNITRFISPISQPPAKSSSHVCCSFSSSSDGNGYMAGNFSERDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKTEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPLEPARMTVAAGVSEALLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >PAN34843 pep chromosome:PHallii_v3.1:6:30260919:30266651:-1 gene:PAHAL_6G162600 transcript:PAN34843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPVMQAKLPAAALISNSLVKSGQLGTAFFGAMSKFRNITRFISPISQPPAKSSSHVCCSFSSSSDGNGYMAGNFSERDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKTEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPLEPARMTVAAGVSEALLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >PAN35425 pep chromosome:PHallii_v3.1:6:37941232:37942299:1 gene:PAHAL_6G207800 transcript:PAN35425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASSLSELGFDANGAASGFFRPVAAGDGLCTPTSHRRRLTKVSVVGAGNVGMAIAQTILTRGLADEIALVDALPDKLRGETLDLQHAAAFLPRTRLVSGTDLAVTGGSDLAIVTAGARQVPGETRLDLLQRNVALFRKIVPALAEHSPDAVLLVVSNPVDILTYVAWKLSGFPVSRVIGSGTNLDSSRFRFLLADHLDVNAQDMQAYMVGEHGDSSVAVWSTVSVAGMPVLKSLQESHGSFDEEALEGIRRAVVNSAYEVISLKGYTSWAIGYSVANLVSSILRDQRRIHPVSVLATGFHGIADDHEVFLSLPARLGRGGVLGVADMELTEEEATRLRHSAKTLWENSQLLGL >PAN34013 pep chromosome:PHallii_v3.1:6:4821804:4828206:-1 gene:PAHAL_6G064000 transcript:PAN34013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCQLYKPKSEVPAYLEITDIAGLIRGAHAGDGLGNAFLSHIRAVDGIFHVLRAFEDSEITHVDDTVDPVRDLETISEELRLKDIDFMNKKIEDLEKSMKRSNDKQLKIEHELCERVIKHLQDGKDVRLGDWKAADIEILNTFQLLTAKPVVYLVNMSEKDFQRKKNKFLPKIHAWVQEHGGETILPFSCAFEQKLVDMPEDEAAKYCAENQLTSMIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFDDLKELGSEPAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >PVH36351 pep chromosome:PHallii_v3.1:6:4201930:4203105:1 gene:PAHAL_6G056400 transcript:PVH36351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTAWSYGSGGSSRQQSAIGGGRASSGHTTGSKMVHECRGDEEKLRTSRGGLSLQRLSVPQQLIEDKITQNEFDESFFIWSFVSRSFIGHGVNLCLYSTERRRLLYDAIKEISEYMDYLLRKKPHMLPTPVRHRLKYRIIYERENGGKNDIYQELIRGEFTEAKLKAVLGVWVEMLCYAASYCNRESHARELSNGSGEFITIVWLLRAALFKASNPDDDDTQESEN >PVH37028 pep chromosome:PHallii_v3.1:6:39318197:39321339:1 gene:PAHAL_6G225000 transcript:PVH37028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTLCMGTVPSGSRGGCSDSSRAPGIRAASDELRNYSHEQLRPCQLLPPQVRILRGRTDDGGIFGVVSFLKASFSADKLSVQTASVESAGTNSSLGQAGCGGSPSQENTSQMVPSHVFQNGASLFFRKTHVFHIKERARKTARGSADDIGWLQRDQNLPATEHGTARFLENLDSARSAEAPNWTSMLMICKSDFSKKMLHKLYMSCGPSHSSGKKKSISYLIQLFTLLPYSTLACFF >PAN33286 pep chromosome:PHallii_v3.1:6:874646:877604:-1 gene:PAHAL_6G009300 transcript:PAN33286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGDSKQTLKSRGAGAGAAGAGMGDEESDYFPPTPRKDWSTGLLKLVTATVIFMGGVVLGLSVSGSVARYYYNSSHAELFFPATTFGCDPRDRDCGMGLAFKAFVHPPRLAHSMTDDELFWRASLVPKAEDFPFQRVPKVAFLFMTRGPIPFAPLWDKFFRGHQGLYSVYVHTVPDYKLNVSKNSAFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHIMADQWRKGSEWFELNRELAVQIVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGPLNANRTITWVDWSRGGPHPASYGAADITEEFIQAIRNNGTQCFYNSKPTSVCYLFARKFAPNALGRLMNLTSTVLDF >PAN36481 pep chromosome:PHallii_v3.1:6:44187860:44191398:-1 gene:PAHAL_6G286800 transcript:PAN36481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVAQIKEYRGKIEAELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGAEEGKEAPKGEAGEGQ >PAN35956 pep chromosome:PHallii_v3.1:6:41115575:41116635:-1 gene:PAHAL_6G247300 transcript:PAN35956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGHREPHPGAQSGPPPCPTGPEHPCATSSDPPHSNENKEGDEMDTGMRRRSSREPSPFPIPLTARGSASPSSSASPSSTSYVKTEVDFYYKGI >PAN35319 pep chromosome:PHallii_v3.1:6:37144158:37145048:1 gene:PAHAL_6G199000 transcript:PAN35319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRSKPFLAAFPLIDAAIEGADAGGVLSRDEFRSARARIVDLLCDAADDDEKVEGFCELLDEAMAGSLATLRAVPPEKIELASGDLVGAVGALMKDHPSERVRELAGEVVRGWRAGVKAELARARAAMDVLDGLSSTPPPPLRDETAPTADYNTKAKKIPEEPPRPSKSTVASSCRRISTAESKKGAPVVGTSNAKPSANMGAPAVVPAQPKKTPPAVVSSAAEEEKKMETTKRKFHERYQEAEDAKRRRTIQVIEPPRPPPGMNKGQMQRNAHPARCAAARCFVKSSTPGMRV >PVH36684 pep chromosome:PHallii_v3.1:6:24216265:24217194:-1 gene:PAHAL_6G142100 transcript:PVH36684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNDLHWDGFPQYREEGVPRCRVKMTIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFSPIDPDNSEWNFRTEHLGHMLGDLAEETVRIITRFMDVQHHYQILLRHGMNQITGVAQSHYRNADRQMTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIMPEAEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSSSQSSIGNFDDF >PVH37071 pep chromosome:PHallii_v3.1:6:40342173:40344779:1 gene:PAHAL_6G235900 transcript:PVH37071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNRSRKFESGSQKRKKKQRIEKLVQSQQGAMDRFITKQLQVSSDNPTPDNDPIDPLENNVEIEEALPDNTNTQIGNNSEDLNPSLNAGDSFLLDILAQKGSKRDLSIQKGPKHRFSTRFSSLFYNRILSNGESCDRDWLVYSKKLDRAFCFSWHQKGQLANKGYNDWAHLGKRLKEHETGADHVLSMTAWYELRNRLQTDQTIDKAAQRQLEKEKDHWRKVLFRIVGIVKFLAKHNLAFRGSNSKLYDDSNGNFLGLVEILAEFHPVIQEHVRRITNEETQVHYLGPRIQNELIHLLASAIKSEIIKKIKSAKYFSAILDCTPDASHQEQMSLITRYVNSSPGHVRVEESFLGVLDVNDTTGQALFDVLENELKILDLDIDDVRGQGYDNGSNMKGKHQGVQRKLLDVNPRLFYSACGCHSLNLTLCDMANKTCGKAKYFFGIIQRIYTTSEAKGLANNELGQYEFIVAVVIWYEVLYAVNLVSKQMQAKDMLIDVAIEKLQGLISFFEGYRETGFLEALESTKEIALEMDIGTIFPKKPIKRKRQYDENPEDTNIATISPEELFRVEYFLLKTYLRSAITQERLNDLAIIALEGEMLEKIDYECIIEDFISKNTKKMMLFK >PVH37069 pep chromosome:PHallii_v3.1:6:40216839:40223972:1 gene:PAHAL_6G234900 transcript:PVH37069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGASHSQAGAQAQAGRRRRWGLLLPLLVGAAFLAEIAFLGRLDMAKNAEAVESWTTSFYRRSASWGEGGGGDEGDDEIRRCQERLEREDAVPYDRDFDRDPVLVGGAAKDWNKCAVGCEFGFSASKTPDATFGIAPDSSVESILRSMESSQYYSENNIDVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLDVKIDSYGSCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNIQEFSPGEGAILHIKELDDVASVAKTMKNIASNPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHEKEERTPKFTNRPCSCSSKKGTVYHLFVRERGQFKSESFYLRSGQITLGALESAVLAKFRSLNHVPVWKDERPPSIRGGDDLKVYKIYPVGLTQRQALYGFRFRDDSELERYIKDHPCAKLEVIFV >PAN34144 pep chromosome:PHallii_v3.1:6:5481017:5485078:1 gene:PAHAL_6G071300 transcript:PAN34144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGQAADSQPPSASASCSSSSSSSPSGPGAGGGARLHRSKRRPDILNMLMTAACLSTSSSDTGKGQSKLSSNKVTHGFHLVEGRSGHDMEDYHVAEYRYENDHELGLFAIYDGHLGDSVASYLKANLFNNILKEPLFWSDPQEAIKNAYSSTNKYILENSKQLGPGGSTAVTAIVVDGKDLWIANIGDSRAVVCERGTANQLTVDHEPHTTNERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHIQINSSIEFVILASDGLWKVMKNQEAIDLVKSTKDPQTAAKRLTSEALGRMSKDDISCIVIRFRC >PVH36430 pep chromosome:PHallii_v3.1:6:5481200:5483800:1 gene:PAHAL_6G071300 transcript:PVH36430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGQAADSQPPSASASCSSSSSSSPSGPGAGGGARLHRSKRRPDILNMLMTAACLSTSSSDTGKGQSKLSSNKVTHGFHLVEGRSGHDMEDYHVAEYRYENDHELGLFAIYDGHLGDSVASYLKANLFNNILKEPLFWSDPQEAIKNAYSSTNKYILENSKQLGPGGSTAVTAIVVDGKDLWIANIGDSRAVVCERGTANQLTVDHEPHTTNERKRIEKQGGFVSTFPVADR >PAN35837 pep chromosome:PHallii_v3.1:6:40489192:40492293:-1 gene:PAHAL_6G238400 transcript:PAN35837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGAKKVADMAVKAGKAIDWDGMAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPEYKPKFDALVVELKEAEKASLKESERIEKEIAELREMKKKISTMTVDEYFEKHPEVKQKFDDEIRNDNWGY >PAN35860 pep chromosome:PHallii_v3.1:6:40649562:40649867:1 gene:PAHAL_6G240400 transcript:PAN35860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLNCRLSLYRRLGHQGRCLPTRHAQRTEDAVANTGAAIPHEGAAATRPRRPCRVAANYPHNRHLPACFPLQSRTPGASPPHLSRDFTMVLPSCPPTPS >PVH37337 pep chromosome:PHallii_v3.1:6:44527849:44528386:1 gene:PAHAL_6G291600 transcript:PVH37337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVTRAPKMCDCDLTAWYGRGVGPWVAEDDKDHLSHGPTWVSACFKLNRPRVDRLVTSGLSFPCSMRRRPPSRPAPNRPGPRLRDQESKSPPPRGDARAAVAGGPIRVRPRLPGLPLADSRPPRASGERSCCLDSPVAATRFSLGTVSVT >PVH37343 pep chromosome:PHallii_v3.1:6:44587459:44588379:-1 gene:PAHAL_6G292600 transcript:PVH37343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEPPSQLKKENNSRGHGTCKCSQKILVEITNGFSQDQKDAITAAGFGSLLKLKELEIRRDLCKDIACCFDLDTEEFIINGKRLKLSMKDGQHILGLPSQGDKIKEPPQKHVPGLFQKYTWKDDTKISSKSLREFFNKNSSYGDDFIRIFVLYTIGFYLCPTLQPYVK >PVH36539 pep chromosome:PHallii_v3.1:6:9529082:9529816:1 gene:PAHAL_6G096700 transcript:PVH36539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWLDGICHAEPGLPKLLILSLERIGVMEPPEYAYREYTSKGTLRCDMMVFVGKSTRYPDVDPWFISTSGFRFPDTCRKAARKALQRLRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTSLDELYREQAAQLKQLIQRAEKATQELEEQRIRAARTEYSLATLQAQIQEYENRREIGGWIEEEEEPEETHWDKGTQTEDEVMDWCLPIKKRPIRIGEESP >PAN33629 pep chromosome:PHallii_v3.1:6:2534730:2545698:1 gene:PAHAL_6G035000 transcript:PAN33629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNLVRSPVSCSAAINGCFTSNTCRSRNYSQHSHTTNLQSLQVDWKLLPRKLKKSTQRNTSFFTQRKLVPHCSSDLSTSCREEVPNYLAVNVLQDQSNTTQVAARKVLVILNPNSGFRSSRDVFYKKVQSKLRLSGFMMEVVETAYAGHAKVLASTVDLNKCPDGIVCVGGDGIVNEVLNGLLGRDDFEVAIRLPIGIIPAGSDNSLVWTVLGIRDPVSAAIALAKGGFTPIDVFAVKWIQAGVTHFGLTASYYGFVADVLQLSENFRLQLGPFRYVAAGLLKFLSLPQYRFEVEYLPLSPGRNPEVKPQTKKSHEQLSDDGKVRRGIQVDGRIEDSWITRRGEFLGIFVCNHFCKPARGLLSPVIAPKAQHDDGSLDLILVHGSGRLKLFCFFIAYQLCWHLLLPYVEYVKVKQVKIRPVGNTHNGCGVDGELLRGEGKTEWQCSLLPAQGRLLGRHPDALE >PVH36389 pep chromosome:PHallii_v3.1:6:4899464:4903972:-1 gene:PAHAL_6G064700 transcript:PVH36389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSPPRRRGRRAGEEGAPDRLSALLHRVLSPLRAWEVVRTSVLARRWRHLWASAPCVDLCLCPSRDDGAPEEFPASDADGAFDEDDARSWIRTAIKRKARVVHLIGHHNGLAALEHAAFVSRHLKILKLSYAQLDDNILRQLSSRCPSFEEMDLKDCLISGNEISSSSLKTLAMVNCNMFWGLSITAPNLLLRCVKPIGQAPSFKNLGSLVAGTIILDDYCFSDDFEDFSKDELDETTDDDESDDTRDDKVGYHKNRKRKMKPMVMSDDDDLDSDTDDDEVDETSDDDSGNGKKRKRKAGAGYGFGLPQKRHMPGGYKGANDYGSDIERNDNTFEYSDIANDYGSRKVYGENSGHSDSKVLGGHNVLHSLSNAKSLELLADAGEILPPSPLFYVILTRELKSCPSFSNLKTLSLGEWCMAADFDALVFFLQHSPNLERLFLELKLDDAKIHKLAFLFRANSVPVEKIFVRRIGSTYLRGKKMMKDLARHELEFWGDD >PAN33593 pep chromosome:PHallii_v3.1:6:2389645:2393781:1 gene:PAHAL_6G032100 transcript:PAN33593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATPAPEAAPGASSSSAAAAAAEDLAGGVAALTLEERFATLRGIGEECIQEDELMRLLQNKPVPICYDGFEPSGRMHIAQGVVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKRAHEYWPLVMDIARRNNVKRITRCGQIMGRSDQEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNVKIKQAFCPPKIVEGNPCLEYIKYIVFPWFGKFEVIRKESNGGNKTFLTMDELISEYESGALHPADVKPALAKAINEILQPVRDHFNNNSEAKVLLNTVKKYRVTN >PVH36767 pep chromosome:PHallii_v3.1:6:31021760:31022493:-1 gene:PAHAL_6G165200 transcript:PVH36767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYLAGGTLRKKHRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRAANAEHSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN36690 pep chromosome:PHallii_v3.1:6:45190518:45193635:-1 gene:PAHAL_6G302100 transcript:PAN36690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MADYNRYGHSYGGGYSSHTPPPSAPPAPVPTTSAPPPSSYGYGGYPSAYPPPPPQAAGGFGYGFVPVAFPPGTHPDVERAFRAADRDCSGAIDEHELQGALSTAYHRFSIRTVRLLTFLFNDPASSSPSRMGPAQFVSLWNCLGQWRGIFDRYDRDRSGKIDSRELTEALRSLGYAVPPSVIELLIANYNNGVPRNGALDFDNFVECGMIVKGLTEKFKEKDTRYTGSATLTYDGFLSMVIPFIVP >PVH37398 pep chromosome:PHallii_v3.1:6:45190518:45193690:-1 gene:PAHAL_6G302100 transcript:PVH37398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MWCISWSVKRGEKGGRRRRTVGRRRPRDRERNQKAFSSCSNQRRSRWFWYARGVAHRRWRFLSQVNTAPVPSPHHPPLHQHKKKTASTPPPPPMADYNRYGHSYGGGYSSHTPPPSAPPAPVPTTSAPPPSSYGYGGYPSAYPPPPPQAAGGFGYGFVPVAFPPGTHPDVERAFRAADRDCSGAIDEHELQGALSTAYHRFSIRTVRLLTFLFNDPASSSPSRMGPAQFVSLWNCLGQWRGIFDRYDRDRSGKIDSRELTEALRSLGYAVPPSVIELLIANYNNGVPRNGALDFDNFVECGMIVKGLTEKFKEKDTRYTGSATLTYDGFLSMVIPFIVP >PAN34749 pep chromosome:PHallii_v3.1:6:32370506:32373622:1 gene:PAHAL_6G170900 transcript:PAN34749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEINGATSTTAASAPSHDMALVMVACPVVDADTARVAVPEQTLESFWTSCDKCGFQFECELKYLDHLMKCQMCYTAFVAKETVGRAHRKNKCVVHKGKVAGKRLLALQPTESASVPESFHPIEGDGAFMKSGHKKKMKDLIKPPGADYKAAEDDCIEKPPEPQGIPKEACPEAVVAELKRIPDLARDDFLKAFNILRRNDFEFRILVAFPMELKKEWLLKEIKKRNC >PVH37228 pep chromosome:PHallii_v3.1:6:43050985:43052495:1 gene:PAHAL_6G274200 transcript:PVH37228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHFPFSNLQQSSGSELLTYFKVTACQRSTVPTATPPPPSLPSPLPVSAALLASPQRRPTWEKRTSATSASQRMESSYAFLSRPFRRLENKILVSIRCSTTFPRPMACPSDRSHRLAPFLARHSSSERNPPPSNRRISGSSRPFLLSLPSVAASPVVVRAVA >PAN35631 pep chromosome:PHallii_v3.1:6:39200074:39201337:1 gene:PAHAL_6G222900 transcript:PAN35631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAECGGEGKADCRKTPWTAEEDEALRRAVREHGRRNWAAIAGAVAGGRGAKSCRLRWCQHLAPELDSRPFTPEEDARIIEQQRVHGNKWATIARYLHGRSDNAVKNRWNSALRKAQQGNPAAAAAQEDDDDAAEDQAAAPACLDLFPLMAGEMREVNAADRLGVREEEAVEEDAASIDLTLGSPGLSDAELALSLGPARPPPNRGEAVSFRLFL >PVH36392 pep chromosome:PHallii_v3.1:6:4932041:4933385:1 gene:PAHAL_6G065100 transcript:PVH36392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYRGPRLKKIRRLGALPGLTRKTPKSGSNQKKKFHSGKKEQYRIRLQEKQKLCFHYGLTERQLLRYVHIAGKAKRSTGQVLLQLLEMRLDNILFRLGMASTIPGARQLVNHRHILVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTVDTLQYKGLVKKILDRKWVGLKVNELLVVEYYSRQT >PVH36555 pep chromosome:PHallii_v3.1:6:10688881:10689958:1 gene:PAHAL_6G100600 transcript:PVH36555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRIPTTSPRTTSRVPSCTQSCLWMRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN33245 pep chromosome:PHallii_v3.1:6:386052:390042:1 gene:PAHAL_6G005600 transcript:PAN33245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHAPSSPLLPWPRPAPLLLLLALLAASYLALARLPAAAPLSALPPVPPRGEPTSSSCAGFYAGAGAARAVSASVEDFGAVGDGVTSNTAAFRRAVAELDERAARGGGGRGARLEVPPGRWLTGSFNLTSRFTLFLHHGAVILGSQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLNDVVVTGNNGTIDGQGEMWWELWWNRTLNHTRGHLVELANSTNILISNITLRNSPFWTVHPVYSSNVVMKDLTILAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVNKPSTNIVVQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHVWNSAQAVRLKTDVGRGGYITNITITNVTMEKVKVPIRFSRGADDHSDDNYDRTALPRISNVLISDIVGVDLQRAPMLEAVAGAVYEGICFRNVSLRGIRRQGRWHCESVYGEAHGVFPAPCEEFRKNGSSSWCGFS >PAN36715 pep chromosome:PHallii_v3.1:6:45344234:45350189:1 gene:PAHAL_6G304500 transcript:PAN36715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASPPVPLGPEASSLSSLLPRPHIAISWDSQALRSHAERSEMESKACVIDLALLPTLEGLLLETYASLQPKPVDYENRQVMINVFNKIAEQIFGKKNGLPVVEAFGSFTMDLFTPESDLDLSVNFNTDTKDLYPRKDKINAIRKLTKILYSHQRHGRCYGVLPISTARVPVLKVTDQGTGVECDISIENKDGMSRSMIIKFISSIDERFRILCYLMKFWAKAHDVNCPKDQTMSSMSIISLVAFHLQTRRPPILPAFSAILKDGSDYARIEKNVSLFKGFGSSNKESIAELFVSMMIKLLSVEGLWEQGLCASNFEGSWISKTWGKGVGNLSVEDFLDQSQNFARCVGMGQMRTICECLRATVSDLSKFFMGKIAAPKLKALLFGPLNQVKPVTDPIQKTVKRKRLNPNKTSASPSQRNAKKKKPLVQNKPVISPGQRDVKKPLDQKPVSSLIQKDANRNKPLGQDKPVVSAGQKDDKMGKPLEQDKAAIYPGQKDAKKNKPLGQDKPTISPGQKDDNKPFDQDKLVISPVQKVAKKKCSNVGRDSGSSQVQQKKAKVTVYTSGSRPPSVIPPQRMHRPVLTQPIINQFAHIPQHPITPPAFGYGLPPPHLHSAYHHPHQGLVGQSQGDFLHLYPGIQLQHQGQAMFAPPPPAAHHPVLHGLHPYGSIGAQQMQHTVNRLVQRPPYGMRQGFWR >PAN36714 pep chromosome:PHallii_v3.1:6:45344234:45350189:1 gene:PAHAL_6G304500 transcript:PAN36714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKACVIDLALLPTLEGLLLETYASLQPKPVDYENRQVMINVFNKIAEQIFGKKNGLPVVEAFGSFTMDLFTPESDLDLSVNFNTDTKDLYPRKDKINAIRKLTKILYSHQRHGRCYGVLPISTARVPVLKVTDQGTGVECDISIENKDGMSRSMIIKFISSIDERFRILCYLMKFWAKAHDVNCPKDQTMSSMSIISLVAFHLQTRRPPILPAFSAILKDGSDYARIEKNVSLFKGFGSSNKESIAELFVSMMIKLLSVEGLWEQGLCASNFEGSWISKTWGKGVGNLSVEDFLDQSQNFARCVGMGQMRTICECLRATVSDLSKFFMGKIAAPKLKALLFGPLNQVKPVTDPIQKTVKRKRLNPNKTSASPSQRNAKKKKPLVQNKPVISPGQRDVKKPLDQKPVSSLIQKDANRNKPLGQDKPVVSAGQKDDKMGKPLEQDKAAIYPGQKDAKKNKPLGQDKPTISPGQKDDNKPFDQDKLVISPVQKVAKKKCSNVGRDSGSSQVQQKKAKVTVYTSGSRPPSVIPPQRMHRPVLTQPIINQFAHIPQHPITPPAFGYGLPPPHLHSAYHHPHQGLVGQSQGDFLHLYPGIQLQHQGQAMFAPPPPAAHHPVLHGLHPYGSIGAQQMQHTVNRLVQRPPYGMRQGFWR >PAN35621 pep chromosome:PHallii_v3.1:6:39161676:39165399:1 gene:PAHAL_6G222500 transcript:PAN35621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLHLSLNQTQRVRLEAALHELQSLAPAAASAAAVTVADTIPVNQEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRGFQHDGSLHLQARSEKYGKLERGQLLTVPPYLVKRKKQHFHHLAQYDVDLILGCNGFIWVGEHVVVGEKTKTTEDQQKSSDDAENFTPLETRKHICRLANAVRVLSALGFTLTVELIIETAEASASSNVEVNNMLGAEFYVQTAEREAKRRADLLRKKNGAR >PAN34454 pep chromosome:PHallii_v3.1:6:8865416:8867100:1 gene:PAHAL_6G093400 transcript:PAN34454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGQTLTSADSFMKFWRTEPRRDRDDSSSSTTISIPSGKELSSTLVGQYRISEDARGGFSCCDWSRCHNQSIGYLIASSYLFDDIHIFMQYRVTKDAGLLASAGSKGIKIWKVEGEVVYLLDPSATAVKRGPPVKRGKKSTIGKDATKRMEPCGFA >PAN34042 pep chromosome:PHallii_v3.1:6:5022139:5024767:-1 gene:PAHAL_6G066100 transcript:PAN34042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) UniProtKB/Swiss-Prot;Acc:Q8VXY7] MAGGDVEAAAAAPLLPHPASDEPSPAPPPADRFGVGYLVFFTLGAGFLLPWNAFITAVDYFAFLYPGAPVDRVFSVAYMLSCLLPLLLIVLCFPKSSAPARINTGLALFTLALLVVPAMDAVYVKGRPGLYGAFDVTVGATVLCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGVLVSAMRVFTKALYPQDAHGLRQSAILYFIVGIVVMIMCIVCYNVADRLPVVVYYKNIKKRAQKAEVGGGMTGPAWRSTLWSIVGTVKWYGIGVILIYAVTLSIFPGYITEDVHSETLKDWYPILLISAYNVFDLVGKALPAVYLLQNANIAVAGSFARLLFYPLFYGCLHGPNFFRTEIPVTILTCLLGLTNGYLTSILMILAPKAVPIHHSETAGIVIVLFLVVGLVIGSFVSWFWVI >PAN35160 pep chromosome:PHallii_v3.1:6:35479289:35485490:1 gene:PAHAL_6G185900 transcript:PAN35160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRAANRCLVQEDRKRAPKLACCPSSAEQQHGTNHGNCRNSEDRPISNFMPLSWNPMNSNLPPDVRWWVQLQPNFGIQKDLASERLCCLGRDIDEKKVEYSAPKPKHEETLFCEAVDNETKKSGDIFEPPWMVSSALMKYSPEKGLEDLTTVGCYSQASKCRETANNCFYKDNEFPDFECIDPETLKNPEKADFDMDVPWKEGEKTQPWWQIADENELALLVAERATQHIENCDLPRPTQTVPVHRTEPYTHKHIGDYGGSSSPAGRLSHPLPGQCDHVKCSYSTASTYTLSVSQDFSSSSTTGSESKQTLQNASERDKILEALRHSQTRAREAEMAAKKAHNEKDDVIKLLFRQASHLFACNQWLKIMQLENIVLQLNHKEHQISSIIPELPWMTLKEKPTQGQEQKDWTRRKGRRQKKGGSFFDAILFAVGLGLAGAGFLLGWTLGWLLPKL >PAN35161 pep chromosome:PHallii_v3.1:6:35479289:35485490:1 gene:PAHAL_6G185900 transcript:PAN35161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRAANRCLVQEDRKRAPKLACCPSSAEQQHGTNHGNCRNSEDRPISNFMPLSWNPMNSNLPPDVRWWVQLQPNFGIQKDLASERLCCLGRDIDEKKVEYSAPKPKHEETLFCEAVDNETKKSGDIFEPPWMVSSALMKYSPEKGLEDLTTVGCYSQASKCRETANNCFYKDNEFPDFECIDPETLKNPEKADFDMDVPWKEGEKTQPWWQIADENELALLVAERATQHIENCDLPRPTQTVPVHRTEPYTHKHIGDYGGSSSPAGRLSHPLPGQCDHVKCSYSTASTYTLSVSQDFSSSSTTGSESKQTLQNASERDKILEALRHSQTRAREAEMAAKKAHNEKDDVIKLLFRQASHLFACNQWLKIMQLENIVLQLNHKEHQISSIIPELPWMTLKEKPTQGQEQKDWTRRKGRRQKKGGSFFDAILFAVGLGLAGAGFLLGWTLGWLLPKL >PVH37427 pep chromosome:PHallii_v3.1:6:45677862:45682588:1 gene:PAHAL_6G309300 transcript:PVH37427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPNYNQSLSLPFRFLHSVPLRFRCVPPLLPSPLTYYSLIHLLVLWIQCGGFPRLLACSRRFRLEYLSFRHIHPFIGTSNSDQPANHRPPPSSNMGAKTDRARRALDAMKLLGFSKKQATPVLRRLLKIFNDNWEPIEDECYRALADAILDAQDDNQTPASQQGTEAAQEDLEPHVTTRDDRRSYASAGEDGNETPLVKRPRMGTAEFGHELQPGPWQSIGSAQGALSASPETSCRQNRSLTLAQQAADHGDPSPIGDALILKEPKSEPQINAAQGKACRDARAASDAIDFNVGSSGAGARGSVVNQAQSLDSSLQAVPMHNNGVVSTVQNTQDASSVEVDVASSTNGEVKMSLKCNLDLSKFSINMEDVFKMVEEKCLHSYKVLPPDFSIGKLMSEVCQSVAQLGTMHSDVHSNSGSLHKEAVAPFVKPIACKAAVGINDKGAGGSSVLESSEPCLQNSIVAWDPELANCKRRTTHDVTDISKGEERVRITVVNEFGSETCPPSFFYIPRNLVFQNAYVNISIARIGDEDCCADCSGNCLSASLPCACARAVGGDFVYTPEGLLKTAFLDEWTSVNRFPEKQHSFYCKACPLERSKNEASPDPCKGHLVRKFIKECWSKCGCGMQCGNRVIQRGITCRLQVFFTREGKGWGLRTLEDLPKGAFVCEYVGEVLTSSELYERAIENARNGKHMHQVLLDADWGSEGILRDEEALGIDGTFYGNVGRFINHRCYDANLVQIPVEVETPDHHYYHLALFTTKKVEAFEELTWDYGVDFDDVGGPCKAFRCMCGSRYCRDPKSPRRMDRAAGRN >PAN35332 pep chromosome:PHallii_v3.1:6:37239938:37244726:1 gene:PAHAL_6G200100 transcript:PAN35332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGAAPPPKQEELQPQPVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTVVAPTISIIMASRYSNETDPREKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRLLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILMLIFSQYLPHAIRVAKPVFDRFSVIFTIAIVWLYAYILTVSGAYKNARTKTQMHCRVDRSGLISGAPWINVPYPFQWGAPTFDAGECFAMMMASFVALIESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFIMGFSFFMGLSVPQYFNEYTSVAGYGPVHTGAQWFNDMINVPFSSKAFVAVLVAFFLDNTIQRRDTSVRRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >PAN34517 pep chromosome:PHallii_v3.1:6:27485885:27492472:-1 gene:PAHAL_6G155000 transcript:PAN34517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPNLIYSTGPTQSNPGVQDLMGLGNGAMVPQNGGNNNPNMGARQRLRWTNELHDRFVEAVTQLGGPDSATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKAEKKNPGDLLAALEGSSTMQISEALKLQMEVQKRLHEQLEKCRSRRNCHSTYINRQKTRVQRQLQLRIEAQGKYLQKIIEEQQRITGAGASRATSSEQLLDSERTNPSTPVPTSESPLQAVPFSKDNGNLVEPIESASHDELPHGEPQTPDSNSRPGSPTLSPKHECPAKRQRGSSYGTPVADGDFALPHIFESSTGSEFQQCSMPYSSH >PAN34516 pep chromosome:PHallii_v3.1:6:27485839:27492490:-1 gene:PAHAL_6G155000 transcript:PAN34516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPNLIYSTGPTQSNPGVQDLMGLGNGAMVPQNGGNNNPNMGARQRLRWTNELHDRFVEAVTQLGGPDSATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKAEKKNPGDLLAALEGSSTMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRITGAGASRATSSEQLLDSERTNPSTPVPTSESPLQAVPFSKDNGNLVEPIESASHDELPHGEPQTPDSNSRPGSPTLSPKHECPAKRQRGSSYGTPVADGDFALPHIFESSTGSEFQQCSMPYSSH >PVH36731 pep chromosome:PHallii_v3.1:6:27485885:27492472:-1 gene:PAHAL_6G155000 transcript:PVH36731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPNLIYSTGPTQSNPGVQDLMGLGNGAMVPQNGGNNNPNMGARQRLRWTNELHDRFVEAVTQLGGPDSATPKGVLRIMGVPGLTIYHVKSHLQTTKLKRKIQGICWQHLKLKERERSIKSNLLSRAQFKCTMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRITGAGASRATSSEQLLDSERTNPSTPVPTSESPLQAVPFSKDNGNLVEPIESASHDELPHGEPQTPDSNSRPGSPTLSPKHECPAKRQRGSSYGTPVADGDFALPHIFESSTGSEFQQCSMPYSSH >PVH36730 pep chromosome:PHallii_v3.1:6:27486228:27491184:-1 gene:PAHAL_6G155000 transcript:PVH36730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPNLIYSTGPTQSNPGVQDLMGLGNGAMVPQNGGNNNPNMGARQRLRWTNELHDRFVEAVTQLGGPDSATPKGVLRIMGVPGLTIYHVKSHLQTTKLKRKIQGICWQHLKLKERERSIKSNLLSRAQFKCTMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRITGAGASRATSSEQLLDSERTNPSTPVPTSESPLQAVPFSKDNGNLVEPIESASHDELPHGEPQTPDSNSRPGSPTLSPKHECPAKRQRGSSYGTPVADGDFALPHIFESSTGSEFQQCSMPYSSH >PVH36729 pep chromosome:PHallii_v3.1:6:27485840:27492133:-1 gene:PAHAL_6G155000 transcript:PVH36729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPNLIYSTGPTQSNPGVQDLMGLGNGAMVPQNGGNNNPNMGARQRLRWTNELHDRFVEAVTQLGGPDSATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKAEKKNPGDLLAALEGSSTMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRITGAGASRATSSEQLLDSERTNPSTPVPTSESPLQAVPFSKDNGNLVEPIESASHDELPHGEPQTPDSNSRPGSPTLSPKHECPAKRQRGSSYGTPVADGDFALPHIFESSTGSEFQQCSMPYSSH >PAN34518 pep chromosome:PHallii_v3.1:6:27485885:27492472:-1 gene:PAHAL_6G155000 transcript:PAN34518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPNLIYSTGPTQSNPGVQDLMGLGNGAMVPQNGGNNNPNMGARQRLRWTNELHDRFVEAVTQLGGPDSATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKAEKKNPGDLLAALEGSSTMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRITGAGASRATSSEQLLDSERTNPSTPVPTSESPLQAVPFSKDNGNLVEPIESASHDELPHGEPQTPDSNSRPGSPTLSPKHECPAKRQRGSSYGTPVADGDFALPHIFESSTGSEFQQCSMPYSSH >PAN35262 pep chromosome:PHallii_v3.1:6:36654898:36660983:-1 gene:PAHAL_6G195100 transcript:PAN35262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSYSNLLDMTSGDGFDFRQPFKSLPRVVTSPGFISDPDWDTRSDGDSVGSASSTERKIIVANFLPLNCTRDEAGQLSFSLDDDALLVQLKHGFSNETDVVYVGNLKVQVDPSEQDQVAQKLLREYRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRNLFQAYVRANKLFADKVMEAINTDDDYVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESVLKLPATVSKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLERNPKLREKVVLVQIINPARSTGKDVQEAITETVSVAERINRKYGSSGYKPVVLIDHRIPFSEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDRLRGLDKDTSHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALCQATDLTESEKRLRHEKHYRYVSTHDVAYWARSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSSEHFVSCYNKASKRAIFLDYDGTLVPQSSINKAPSAEVVSILNTLCNDPKNNVFIVSGRGRDSLDEWFSPCEKLGIAAEHGYFVRWSKEAEWESSYPSPQREWKHIAEPVMQVYTETTDGSSIEPKESALVWHYLDADHDFGSFQAKELQDHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLAVDKLIRTLVNNGKAPDFLMCIGNDRSDEDMFESINSMTFNTFLSPTIPEVFACSVGQKPSKAKYYVDDTGEVIRLLKNVTRISSQREDVSHGRVTFRDVLDFVE >PAN36141 pep chromosome:PHallii_v3.1:6:42469559:42471722:1 gene:PAHAL_6G267700 transcript:PAN36141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPNASTTAAADADTELAKLQASRAAIVSVLSAAAEAEIDIDAVGDHLAELLSSASPSSSHLQSQAVAARALRARIDRAVAPAEPLLAAFRRVSALAEEAAPPADPADAASAVAFVDRVDQLRDAIEEVVARGDEAVRRVEEAVGFLGRTKAAGRGRVRRLTEAAAALRAVYETEAEQMRFEGPLDEALLGLQDLFEALLLRLKHPAAVADDVVADADGDTAPYELGTDDEVEAATRMARTLAGNDCLDICLDIYVKTRYRRAAKAMMRLNPAYLKSYTPDAIDDMEWESLESAMALWSPHFHVAIASVLASERRLCARVLEPLPPAVWPECFAKIAARIVAAFFRFADGVAAAAREPQRLFKLLDMLDAVVRERERLDELFSSESATLVAIRERTREVERALAWAAAGVFFEFGLRIETHYVTGADVGHVPKIVRYAVNYLKCLASDDYRALMDTALRAERERGGDEDEDRSEGGGRSPLAEAASNVLEALHRHVEAARRVCADTVASHVMAMNAYWYIYMRARGSELAKLVGEDTMRRRYKAAAEEAAWEYQEAAWTPLVRVVSGGSSGAPKTWPPDDARGKAAAFADMLEDRVRRHGAEYKIPDSDLRGQIKAAAAKAVRGAYAGFLKANDKALAGGRREMLPLDVIEGMVGRVFDEMGDGVAGSVGQARSSRSRRESRDSSNLEGFGV >PAN36688 pep chromosome:PHallii_v3.1:6:45186131:45188894:1 gene:PAHAL_6G302000 transcript:PAN36688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPYRVF >PVH36703 pep chromosome:PHallii_v3.1:6:25530333:25537876:1 gene:PAHAL_6G146800 transcript:PVH36703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRRSASMEGLLTLDDRKERRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVEHRASSFTIEDVRDEQEERAVFSFQQELLNRNLLPDKHNDYHLLLRFLKARKFDTEKAIQMWSEMLQWRKEFGADTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFRDRFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLSRMQKIDSDYYPETLHQMFVVNAGSGFKLLWNSVKGFLDPKTASKIHVLGTKFQNRLLEVIDASQLPEFLGGTCTCAAEGGCLRSNKGPWNDPNVMKLAHNKEAKFTRHTRRLSEIEQRRSSFARLHLLKGRNSDTSTVESGSDIDDLGSPMMRNTVERSTVGCSRLAPVREEMQMRARDSAAYYSCDDHFVVVDKTVDYGRGGSMSDKSSASEVRAKVRPLNTSTAARMAGPSRNRQGTVVPKEVSDEGTVHRFFRLLLALIVKVFAFFHIAYDQQETRVNNPLPPAEPEPVSDDHPAVETFSVDRISPIIERLQRLEGKVDELGSKPPGIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESLEEVIRANLRRRRFCA >PAN35019 pep chromosome:PHallii_v3.1:6:25530960:25537522:1 gene:PAHAL_6G146800 transcript:PAN35019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRRSASMEGLLTLDDRKERRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVEHRASSFTIEDVRDEQEERAVFSFQQELLNRNLLPDKHNDYHLLLRFLKARKFDTEKAIQMWSEMLQWRKEFGADTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFRDRFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLSRMQKIDSDYYPETLHQMFVVNAGSGFKLLWNSVKGFLDPKTASKIHVLGTKFQNRLLEVIDASQLPEFLGGTCTCAAEGGCLRSNKGPWNDPNVMKLAHNKEAKFTRHTRRLSEIEQRRSSFARLHLLKGRNSDTSTVESGSDIDDLGSPMMRNTVERSTVGCSRLAPVREEMQMRARDSAAYYSCDDHFVVVDKTVDYGRGGSMSDKSSASEVRAKVRPLNTSTAARMAGPSRNRQGTVVPKEVSDEGTVHRFFRLLLALIVKVFAFFHIAYDQQETRVNNPLPPAEPEPVSDDHPAVETFSVDRISPIIERLQRLEGKVDELGSKPPGIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESLEEVIRANLRRRRFCA >PVH36704 pep chromosome:PHallii_v3.1:6:25530333:25537876:1 gene:PAHAL_6G146800 transcript:PVH36704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRRSASMEGLLTLDDRKERRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVEHRASSFTIEDVRDEQEERAVFSFQQELLNRNLLPDKHNDYHLLLRFLKARKFDTEKAIQMWSEMLQWRKEFGADTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFRDRFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLSRMQKIDSDYYPETLHQMFVVNAGSGFKLLWNSVKGFLDPKTASKIHVLGTKFQNRLLEVIDASQLPEFLGGTCTCAAEGGCLRSNKGPWNDPNVMKLAHNKEAKFTRHTRRLSEIEQRRSSFARLHLLKGRNSDTSTVESGSDIDDLGSPMMRNTVERSTVGCSRLAPVREEMRARDSAAYYSCDDHFVVVDKTVDYGRGGSMSDKSSASEVRAKVRPLNTSTAARMAGPSRNRQGTVVPKEVSDEGTVHRFFRLLLALIVKVFAFFHIAYDQQETRVNNPLPPAEPEPVSDDHPAVETFSVDRISPIIERLQRLEGKVDELGSKPPGIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESLEEVIRANLRRRRFCA >PAN35020 pep chromosome:PHallii_v3.1:6:25530960:25537534:1 gene:PAHAL_6G146800 transcript:PAN35020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRRSASMEGLLTLDDRKERRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVEHRASSFTIEDVRDEQEERAVFSFQQELLNRNLLPDKHNDYHLLLRFLKARKFDTEKAIQMWSEMLQWRKEFGADTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFRDRFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLSRMQKIDSDYYPETLHQMFVVNAGSGFKLLWNSVKGFLDPKTASKIHVLGTKFQNRLLEVIDASQLPEFLGGTCTCAAEGGCLRSNKGPWNDPNVMKLAHNKEAKFTRHTRRLSEIEQRRSSFARLHLLKGRNSDTSTVESGSDIDDLGSPMMRNTVERSTVGCSRLAPVREEMRARDSAAYYSCDDHFVVVDKTVDYGRGGSMSDKSSASEVRAKVRPLNTSTAARMAGPSRNRQGTVVPKEVSDEGTVHRFFRLLLALIVKVFAFFHIAYDQQETRVNNPLPPAEPEPVSDDHPAVETFSVDRISPIIERLQRLEGKVDELGSKPPGIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESLEEVIRANLRRRRFCA >PAN35757 pep chromosome:PHallii_v3.1:6:39867479:39868758:1 gene:PAHAL_6G231700 transcript:PAN35757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHVLLVAESCVDRLVASRVLNTCNIQVTVVEGSKQALKFLEMEHDVQLILMDYCMHDMTGYDLLVEVKKSPKVNHIPVVITCTEDIPERIKKCLDGGAKDYIIKPIQAANVPHLLSYI >PVH37308 pep chromosome:PHallii_v3.1:6:43768992:43769363:-1 gene:PAHAL_6G284800 transcript:PVH37308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSICSFVPKVSFPFPVRIVPKMVPSKMFDQRKVGLYNCLNIPQLPYLHAIIWLGYFMPLQLQLTNHPENDYSMSYQFNNH >PAN34260 pep chromosome:PHallii_v3.1:6:6385501:6386464:-1 gene:PAHAL_6G078700 transcript:PAN34260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTGGVKREREEEEDEDGSPMAAASQRRGVPPRPAPPPADEPPGLLPFEDSIRFVLAVKREFAGEPVKNLQFLTVMRGFRLGIFGVDGVVSRLQPLFQGHPDLIRDFNAFLPRGYVLRDNQQGGDADA >PAN33376 pep chromosome:PHallii_v3.1:6:1354591:1355059:1 gene:PAHAL_6G016300 transcript:PAN33376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSARHDMQWEVKSCPRCLLLKFGGVVEAAVDFIAHAVMPARLSPGSDESSCSIAGMFKFFCLIVACSIFGNLCSIFGNLKVIHIRVV >PAN35392 pep chromosome:PHallii_v3.1:6:37717875:37726577:1 gene:PAHAL_6G205400 transcript:PAN35392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTATASAPPPPAAGAPASSGEPHAALLLALGHMRLRELLACARACRGLRDAVAGDPLLWRRLAVEPPLSHRITDEALLALADRAGGRLRSLHLLGCPRVSDAGLLRVVQRNPGVTELFVPRCTGLTADGLVKIIQFLHECKGNLNRVRLHGICKMTKHHLDVINSLICRSSQPDAQALYYNHRVHEVLNTDDSRPIDVDVCPLCRNVRLVFDCTRKDCRELKDGWSHCRGCFFCVARCETCGGCIDLEELGETGLACSDFLCMDCWLKLPKCSTCNRPYCERHSNLKENLSSSGQFTCQECTAFATSLESLGDGD >PAN33224 pep chromosome:PHallii_v3.1:6:312705:316681:1 gene:PAHAL_6G004300 transcript:PAN33224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKMGNAATMRAVLAILQWWGFNVTVIIINKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKVLKTKPLIEVASEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSVTELSFNTFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSIPAIVLEGSGVVSWLYTYDSVPPALVIIITSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAIGCAITLVGCTFYGYVRHLISQQATAAPGPGTPRSRMEMLPLTGEKQGDKI >PAN33223 pep chromosome:PHallii_v3.1:6:312718:316681:1 gene:PAHAL_6G004300 transcript:PAN33223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSVTELSFNTFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSIPAIVLEGSGVVSWLYTYDSVPPALVIIITSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAIGCAITLVGCTFYGYVRHLISQQATAAPGPGTPRSRMEMLPLTGEKQGDKI >PAN34585 pep chromosome:PHallii_v3.1:6:12973559:12983337:-1 gene:PAHAL_6G106900 transcript:PAN34585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVNIIVGSHVWAEDPAICWVDGEVVKINGEEAEIQATNGKMIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINENKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGSPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPGALEDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQRHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNSSDEKAACKKILEKKGLAGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFLSLRKASVCVQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHQARRSYKLQYASVLVVQTALRAMAARNEFRFKKQSKAAVTIQARYRCHRAHSYHRKLKCAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQEVSKLQSSMEALQAKLEEANTMLVKEREAAKTIVEAPPVVQETQVVVQDTEKVDSLTTEVQELKTSLQSEKQRADDLEKKRSEKEQANEEKQKRLEETEIKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQESNNTSSPKKEYDIDDKPQKSLNEKQQENQDLLIRCITQHLGYAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGFVFLLPPPE >PAN34587 pep chromosome:PHallii_v3.1:6:12973559:12983337:-1 gene:PAHAL_6G106900 transcript:PAN34587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVNIIVGSHVWAEDPAICWVDGEVVKINGEEAEIQATNGKMIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINENKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGSPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPGALEDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQRHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNSSDEKAACKKILEKKGLAGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFLSLRKASVCVQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHQARRSYKLQYASVLVVQTALRAMAARNEFRFKKQSKAAVTIQARYRCHRAHSYHRKLKCAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQEVSKLQSSMEALQAKLEEANTMLVKEREAAKTIVEAPPVVQETQVVVQDTEKVDSLTTEVQELKTSLQSEKQRADDLEKKRSEKEQANEEKQKRLEETEIKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQRSSENVQVSSNDPKLILESNNTSSPKKEYDIDDKPQKSLNEKQQENQDLLIRCITQHLGYAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGFVFLLPPPE >PAN34586 pep chromosome:PHallii_v3.1:6:12972957:12983437:-1 gene:PAHAL_6G106900 transcript:PAN34586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVNIIVGSHVWAEDPAICWVDGEVVKINGEEAEIQATNGKMIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINENKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGSPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPGALEDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQRHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNSDEKAACKKILEKKGLAGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFLSLRKASVCVQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHQARRSYKLQYASVLVVQTALRAMAARNEFRFKKQSKAAVTIQARYRCHRAHSYHRKLKCAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQEVSKLQSSMEALQAKLEEANTMLVKEREAAKTIVEAPPVVQETQVVVQDTEKVDSLTTEVQELKTSLQSEKQRADDLEKKRSEKEQANEEKQKRLEETEIKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQRSSENVQVSSNDPKLILESNNTSSPKKEYDIDDKPQKSLNEKQQENQDLLIRCITQHLGYAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGFVFLLPPPE >PAN34584 pep chromosome:PHallii_v3.1:6:12972956:12983438:-1 gene:PAHAL_6G106900 transcript:PAN34584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVNIIVGSHVWAEDPAICWVDGEVVKINGEEAEIQATNGKMIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINENKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGSPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPGALEDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQRHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNSDEKAACKKILEKKGLAGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFLSLRKASVCVQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHQARRSYKLQYASVLVVQTALRAMAARNEFRFKKQSKAAVTIQARYRCHRAHSYHRKLKCAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQEVSKLQSSMEALQAKLEEANTMLVKEREAAKTIVEAPPVVQETQVVVQDTEKVDSLTTEVQELKTSLQSEKQRADDLEKKRSEKEQANEEKQKRLEETEIKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQESNNTSSPKKEYDIDDKPQKSLNEKQQENQDLLIRCITQHLGYAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGFVFLLPPPE >PVH36524 pep chromosome:PHallii_v3.1:6:8649321:8654118:-1 gene:PAHAL_6G092100 transcript:PVH36524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGIHPFHQQWPPAAAAPPPPGAPASASVPPPPPVPGAPGTAAADEVRTIFITGLPVDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFATAHQAVAAKAALQDLVFDAETKSALHTEMAKKNLFVKRGVGTDANAVDQSKRLRTGGDYTHSPYVPPAFHPPPPAVSMWGTAGYITAPPPYNPYAYPVPPVAMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVVEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAATAVHHTLQGAVIPSSGRGGMRIQFSKNPFGRRKDSAGGVAGILNGASAN >PVH37070 pep chromosome:PHallii_v3.1:6:40226999:40231668:-1 gene:PAHAL_6G235000 transcript:PVH37070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLLAVACACYVSSLAVLVLLGASRRRRGGAGRSGGPARGLKLPPGSLGLPYIGETLQLYSQNPRIFFAARLKRYGEVFKTHVLGCPCVVLATPEAARMVLVSRAHLFRPTYPPSKERMIGPQALFFHQGDYHLRMRRVVQGWLGPDALRALVPDVEAAVASTLRWWEGRETSTFHTMKRLTFDVGVVTIFGRRMAEHVKEELRRNYFTVERGYNSFPIPVLPWTRYSQAIKARKRLGAILSGILSERRARDDLGDDLLGTLMRYRDDGGAALSDDQVADNVLGVLFAAQDTTASVLTWVLKFLHDHPKLLEAVKAEQMAAYEENDGGRLPLTWAQTKRMPMTQLVILESLRLASIITFTFREAVEDVDYEGGAQARHVPAVRERRARVPRERPGQARDGRPRPPPRHQLQVAGDRVERRRDLQPLPRAEARPPGEAAAGDDWRRGGGRPPRAGRGLSSSITHPGDGRGSGHPESWQQLASYPFAVPFGVGY >PAN35798 pep chromosome:PHallii_v3.1:6:40226999:40231667:-1 gene:PAHAL_6G235000 transcript:PAN35798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLLAVACACYVSSLAVLVLLGASRRRRGGAGRSGGPARGLKLPPGSLGLPYIGETLQLYSQNPRIFFAARLKRYGEVFKTHVLGCPCVVLATPEAARMVLVSRAHLFRPTYPPSKERMIGPQALFFHQGDYHLRMRRVVQGWLGPDALRALVPDVEAAVASTLRWWEGRETSTFHTMKRLTFDVGVVTIFGRRMAEHVKEELRRNYFTVERGYNSFPIPVLPWTRYSQAIKARKRLGAILSGILSERRARDDLGDDLLGTLMRYRDDGGAALSDDQVADNVLGVLFAAQDTTASVLTWVLKFLHDHPKLLEAVKAEQMAAYEENDGGRLPLTWAQTKRMPMTQLVILESLRLASIITFTFREAVEDVDYEGFLIPKGWKVMPLFGSIHHSPEFFQDPQTFDPSRFMVAPKPGTFLPFGSGVHACPGNDLAKLEMVVLVHRLVTNYRWQVIGSSDDVTYSPFPVPKRGLRARLLRATTGGAEEGGRRAPAAD >PVH37177 pep chromosome:PHallii_v3.1:6:42158435:42162002:1 gene:PAHAL_6G262400 transcript:PVH37177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGMEGGRYRRHSTPAQDGPTCQRRGRRGIRTACRLRSYLSLSLSALIRKNNLCVAHKQRGVESSPCPSPPPTRAINPEGNPATAMAQPAGAGGARGRDLRMSIEEVAKKLSLWHTATFRPILTHDELEPILAAAGFVPLPPAPAPRQQQECLPTAHAAAAGAVAWREYAFLGCNANAAAAARRRRRPGPRPRLPHPRLDGLHLKTYEAFLGAVEAHLGADRVSNLFHVRLMPVTNPHDRAFDKVFRPMRNFSAEEDGLIVYREGTLDDLTFEMCSRHGAVGDLGHHVIPGVSCADLGYLRKVDGNCHQEGCCARHPASGAAAATGGGYDFFAVHLKDLLPKY >PVH36670 pep chromosome:PHallii_v3.1:6:22699072:22701927:1 gene:PAHAL_6G137200 transcript:PVH36670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALACTGRLIHPGMVSKNPRTPPPPPHLHLHTHRPVATTMTSSSHHFALHSVDVSKDDKPLETPPPPTAEGAQQDAAAPLQPEEDDGPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPVPPGLPFEQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLLGFLFFISGYFLQ >PAN34808 pep chromosome:PHallii_v3.1:6:22699058:22701927:1 gene:PAHAL_6G137200 transcript:PAN34808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALACTGRLIHPGMVSKNPRTPPPPPHLHLHTHRPVATTMTSSSHHFALHSVDVSKDDKPLETPPPPTAEGAQQDAAAPLQPEEDDGPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPVPPGLPFEQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLLGFLFFISGYFLQ >PVH36671 pep chromosome:PHallii_v3.1:6:22699067:22701937:1 gene:PAHAL_6G137200 transcript:PVH36671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALACTGRLIHPGMVSKNPRTPPPPPHLHLHTHRPVATTMTSSSHHFALHSVDVSKDDKPLETPPPPTAEGAQQDAAAPLQPEEDDGPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPVPPGLPFEQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLLGFLFFISGYFLQ >PAN35888 pep chromosome:PHallii_v3.1:6:40797243:40800495:-1 gene:PAHAL_6G242700 transcript:PAN35888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPSTPALLPNPALPSPGRPRSRRALLRARAVRAAPRPPSSWSVGSWRDRPALQQPEYPDKAELDEVLRTVEAFPPIVFAGEARTLEERLAEAAMGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIVKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDTFDEKSRLPDPHRLIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTQHCEQGDRYMELAHRVDEALGFMAAAGLTLDHPIMTTTEFWTGHECLLLPYEQALTREDSTTGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVRLIDIMNPENRAGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLEMAFIIAERLRKRRIASWPLNRNQLGSIPSMGL >PVH36643 pep chromosome:PHallii_v3.1:6:19880499:19882227:1 gene:PAHAL_6G129100 transcript:PVH36643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVLSVTVISAEDLPPMDIGGKADPFVVMYLKKGETKKKTRVVTDTLNPIWNQTFDFVVEDALHDLLMVEIWDHDTFGKGYIGRCILTLTRVLLEGEFQDTFILQGAKSGRLNLHFKWTAQPIYRDQDRDQ >PAN35432 pep chromosome:PHallii_v3.1:6:37958290:37963006:-1 gene:PAHAL_6G208400 transcript:PAN35432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRATTVLAWGSGEDGQLGMGGNEEKDWAHCVEALEPYNVTAVVAGSRNSLAICDDGRLFTWGWNQRGTLGHPPETKTESSPGPVDALAGVRIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDGTRALRRDIPTPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLQKVRVIAVGAFHNLALTDDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLLLVDIAAGGWHSTALTNEGEVYAWGRGEHGRLGFGDDKSSHMVPLKVELLAGEDIVQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPLEVPIDLPQPKTSTSSDGQWQAKYVACGGRHTLTIAEWNEAND >PVH36677 pep chromosome:PHallii_v3.1:6:23419499:23420248:1 gene:PAHAL_6G140000 transcript:PVH36677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPQHPFRSQWQPIEISTTGYRIMDTIEAAALEAIYAFCSQHPEEVVGQPIGLFATTDPGEAERDLGTIPESHRLEGPPEEVVQGMRRYTGVQYHYHMLLRREIGHLITAARSFHGDAARYFTQADQLQAVVIEKNGIIATQNETIHHREDQINESDHIITQRDTVIEFLQAQVQDLILAVDDAQAQIEELQQPPIPPVAPATPEAEEEDPEEIEGVSELDSEHGDPVVSPHHSSSGSQSSVGNFDDF >PAN35947 pep chromosome:PHallii_v3.1:6:41087203:41088014:1 gene:PAHAL_6G246700 transcript:PAN35947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNLIITMLILVVLGVVATPFAYASKSPTSSQAKEPKAATKSNKAAAGPVEALKAAAEGPGAVDDELAASPKASAEGPGAAMKWPTEGPQFVEMVIKHPFFKTPPPSSSSSSDGLPTDPTPEGSMS >PAN33730 pep chromosome:PHallii_v3.1:6:2968915:2971346:-1 gene:PAHAL_6G041300 transcript:PAN33730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPVPFTPPNGAQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >PVH36294 pep chromosome:PHallii_v3.1:6:2968772:2972247:-1 gene:PAHAL_6G041300 transcript:PVH36294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPVPFTPPNGAQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >PVH36293 pep chromosome:PHallii_v3.1:6:2968915:2972238:-1 gene:PAHAL_6G041300 transcript:PVH36293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPVPFTPPNGAQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >PVH36292 pep chromosome:PHallii_v3.1:6:2968772:2972238:-1 gene:PAHAL_6G041300 transcript:PVH36292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPVPFTPPNGAQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >PVH37360 pep chromosome:PHallii_v3.1:6:44755745:44756916:1 gene:PAHAL_6G295100 transcript:PVH37360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGRAPRHDSDAATRTNRRQDPAGTSSPRGLVLACAGLLHHGDVGAARRPPSCPTPPTVLGSGRWTCGPQSSGARGPAGGKAREEEEERGG >PAN35816 pep chromosome:PHallii_v3.1:6:40367666:40371804:1 gene:PAHAL_6G236600 transcript:PAN35816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGSVAVESSSNSAMEKEQRRPPVSRTAPVAMKASSASATAQGIRNRSQSRRERKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIITSMAKSAYFPDGDRCTPARHKTPAQVQSSEVSTSSRQASDQDAADWSSLKRATNVKQTPRRPGRSLSHGDCPGKENQSCGTNSCRDFGRAPLSNVPKCRAPPAEKCAGVQTTSTVEDHKAIDGSNGIDSDTASTAANKVSEELLTCLLTILSQMSTSGSQDEERASSPSVSGSCESSSDGACAGTGDPYGVLDKFGWRDIGRYKQFRSVDAASFDTNVSAGDAAAAAALGRRLKALLRKLSSVDLVGLSHQQRLAFWINTYNSCMMNAFLEHGAPTNPHTLVAMMTKATINVGGRVLSAMTIEHFVLRLPYDAKHVNAEGAKSEYGMAVLGLEWPEPLVTFALSCGSWSSPAVRVYTAGRVEEELEAAKREYLEAAVGVSPAGGLAIPKLLHWCLPDFAKDAGSLVDWVCLQLPRELQRDAVRAAAAAPPVRVLPYEFRFRYLLAS >PVH37074 pep chromosome:PHallii_v3.1:6:40367102:40371289:1 gene:PAHAL_6G236600 transcript:PVH37074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPLETMNGRAARRPAAARGGTRAAPRNEKAMEKEQRRPPVSRTAPVAMKASSASATAQGIRNRSQSRRERKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIITSMAKSAYFPDGDRCTPARHKTPAQVQSSEVSTSSRQASDQDAADWSSLKRATNVKQTPRRPGRSLSHGDCPGKENQSCGTNSCRDFGRAPLSNVPKCRAPPAEKCAGVQTTSTVEDHKAIDGSNGIDSDTASTAANKVSEELLTCLLTILSQMSTSGSQDEERASSPSVSGSCESSSDGACAGTGDPYGVLDKFGWRDIGRYKQFRSVDAASFDTNVSAGDAAAAAALGRRLKALLRKLSSVDLVGLSHQQRLAFWINTYNSCMMNAFLEHGAPTNPHTLVAMMTKATINVGGRVLSAMTIEHFVLRLPYDAKHVNAEGAKSEYGMAVLGLEWPEPLVTFALSCGSWSSPACKNSVMA >PAN35813 pep chromosome:PHallii_v3.1:6:40367103:40371803:1 gene:PAHAL_6G236600 transcript:PAN35813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPLETMNGRAARRPAAARGGTRAAPRNEKAMEKEQRRPPVSRTAPVAMKASSASATAQGIRNRSQSRRERKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIITSMAKSAYFPDGDRCTPARHKTPAQVQSSEVSTSSRQASDQDAADWSSLKRATNVKQTPRRPGRSLSHGDCPGKENQSCGTNSCRDFGRAPLSNVPKCRAPPAEKCAGVQTTSTVEDHKAIDGSNGIDSDTASTAANKVSEELLTCLLTILSQMSTSGSQDEERASSPSVSGSCESSSDGACAGTGDPYGVLDKFGWRDIGRYKQFRSVDAASFDTNVSAGDAAAAAALGRRLKALLRKLSSVDLVGLSHQQRLAFWINTYNSCMMNAFLEHGAPTNPHTLVAMMTKATINVGGRVLSAMTIEHFVLRLPYDAKHVNAEGAKSEYGMAVLGLEWPEPLVTFALSCGSWSSPAVRVYTAGRVEEELEAAKREYLEAAVGVSPAGGLAIPKLLHWCLPDFAKDAGSLVDWVCLQLPRELQRDAVRAAAAAPPVRVLPYEFRFRYLLAS >PVH36955 pep chromosome:PHallii_v3.1:6:37979145:37980583:1 gene:PAHAL_6G208600 transcript:PVH36955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKFYCMTMRMNIDCNGCYQRIRRALLHMQDLESHLIDRKQHRVSVCGAFVPRDVAIKLRKRTNRRVEILEIKEVDAGGGGDPPSEGGGQQR >PAN35578 pep chromosome:PHallii_v3.1:6:41691833:41693309:1 gene:PAHAL_6G255700 transcript:PAN35578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDADRDGLLTYAEMAGELMSLRVLEKHFGVDEAAVPADELGALYRGLFARFDRDGSGKVDRQEFRAEMREVLLAVANGLGFLPVQMVVEEGSFLKTAVDRELAQLASAAWSWRKAALVGTGYSAVLLGTRVWLAKAA >PAN35278 pep chromosome:PHallii_v3.1:6:36850498:36852281:-1 gene:PAHAL_6G196700 transcript:PAN35278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCSYADFCTRGQSGLSEAAEEQSMGLAKIDLRGVEPGGSGWEDARAAVTASMVAHGCAVVVVAHDALGPELRGALFCRAMPEIFALPLETKQRNVSRWGPFKGYISQIPGMAMESIRVAEAADAGRVRDFAGVLWPQGNQEFCDTIVSFAKNMLRLERMVETLTLEGLGVRDESIGHHLASLTHGVRLTRYGAPLDRETGVSMKEHRDDTMVTGIVQHEVEGLEVWAGDGRWHAVPPEPGTVTFVAGEQLRVVTNGRVPACLHRVRTPSNRERFSVLFGCRARVNAAVRAMDELVGGGQPLVYKPVRYEEYSSAQIRSSTDETA >PAN36193 pep chromosome:PHallii_v3.1:6:42294591:42295199:1 gene:PAHAL_6G264100 transcript:PAN36193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILARRDYCNRICIWQLAPTHAGVHGVWKASMQEDSGDWSENLTRADWGGALGAGATTGGNIGLHRTPPTKRSSSHHLKQAEVHSVFILVRIIRWVLDLSTTFIILVFFFIALFLHRIICFIVYQKLRLIVECRNKCILLG >PVH37305 pep chromosome:PHallii_v3.1:6:43729969:43730532:-1 gene:PAHAL_6G284400 transcript:PVH37305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGAARTPQSSTLTCCGAALVHGRGRLLVVRAARGDDGLWTLNEDKHLMNKLLAPWQRPLESPHLLLRGPARAATLDTHGLNQQRTATRARSFSRGGTGSSGEGAAEDSDDTMVTSSWSRKESRARTSSRMAARPEVSDRR >PVH37051 pep chromosome:PHallii_v3.1:6:39833095:39835033:1 gene:PAHAL_6G231200 transcript:PVH37051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSGGPEPSIALTVLHHCLRPLNLQGRCRWTAGRFAKVFRVLDDIVDGRLADVSSSAKHVRGDFLDALLELLSAGKITRDTVTTIMFDVFAAGSDTIAITVEWAMAELHAAPPKHHGQGTGGDRGRPRRQGSRRGARRGGLAVPSGRGEGGDAAAPGGAAAAALPGRGGRRRDRRLHRAQGLHRDLQRLGHNAGPGGVGEARRLRPGAVLG >PVH37050 pep chromosome:PHallii_v3.1:6:39833095:39835033:1 gene:PAHAL_6G231200 transcript:PVH37050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKWGPEPSIALTVLHHCLRPLNLQGRCRWTAGRFAKVFRVLDDIVDGRLADVSSSAKHVRGDFLDALLELLSAGKITRDTVTTIMFDVFAAGSDTIAITVEWAMAELHAAPPKHHGQGTGGDRGRPRRQGSRRGARRGGLAVPSGRGEGGDAAAPGGAAAAALPGRGGRRRDRRLHRAQGLHRDLQRLGHNAGPGGVGEARRLRPGAVLG >PVH36558 pep chromosome:PHallii_v3.1:6:10763674:10768953:1 gene:PAHAL_6G100900 transcript:PVH36558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAESIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTVRTAFASVQVDLPVDADRAPFESITLPPTLNLDDLNLDDAICLMETPDSHQKSRDQITLSEGEYVMIELDEDARVEPSAHMGPIPMEDETLPPFDDGFGADNNRNEEIPIDPPPGNLPVDSNVINQTDEALDPPETMRAHESPGLMLTEPILGDDDPMDLNSDLSPFVQNKVITPPVIEETSSAGRQAPERYIANLRTPNTYDAFADVALLNFDTQLPEFRLEPSPPPVQEKDDNRRPKTQVNKRKRKRRVKFDNEIVLSNDYMREQIDGAGLDELICKRRKLPQTALDMWRFSRTNRIGSFLLEPVLHGMCTNLHETYERNFPRVSGLDAECASGEPATGVANDGLGAPPELLLSPNFPGTTDLPSDHQLTPNPPGNADAQHEPLPSPKSPGAAGAAPDDDMLPELPRFSPMGMPSPVRGDDTPYKTPGGTASSWLGGTGVSEIPSSGGNGTGVSEIPSSGGNYSLPGQSTHESDHMPFLFPINEDDDDQPEIPGLMSTPGGVSSVGTGTTGLGSMSTRTRAVALFFKDQVPSPSSDEQPGKFSLNRILEGKARRQAARMFFETTVLKSYDYIDVHQEEPYGDIGISVKPSLSTAKL >PAN35929 pep chromosome:PHallii_v3.1:6:40981213:40983098:-1 gene:PAHAL_6G245000 transcript:PAN35929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWVYHIGVSSVGHEYCHLRFLTIRGKSVAMYKRDPLDNPEIEPIRKGVVSPTLVCEELGRQRVNYGDFYVLRLYNPMDQNMKGQIACANPGEVRKWLEAFEEARLQIDGSNPV >PAN35927 pep chromosome:PHallii_v3.1:6:40979351:40983166:-1 gene:PAHAL_6G245000 transcript:PAN35927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWVYHIGVSSVGHEYCHLRFLTIRGKSVAMYKRDPLDNPEIEPIRKGVVSPTLVCEELGRQRVNYGDFYVLRLYNPMDQNMKGQIACANPGEVRKWLEAFEEARLQAEYDMMRGVSWNENEINFDGHRPRLRRYVYGLGKYLRISKSTAG >PAN35930 pep chromosome:PHallii_v3.1:6:40981213:40983098:-1 gene:PAHAL_6G245000 transcript:PAN35930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWVYHIGVSSVGHEYCHLRFLTIRGKSVAMYKRDPLDNPEIDFYVLRLYNPMDQNMKGQIACANPGEVRKWLEAFEEARLQIDGSNPV >PAN35928 pep chromosome:PHallii_v3.1:6:40979351:40983166:-1 gene:PAHAL_6G245000 transcript:PAN35928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWVYHIGVSSVGHEYCHLRFLTIRGKSVAMYKRDPLDNPEIDFYVLRLYNPMDQNMKGQIACANPGEVRKWLEAFEEARLQAEYDMMRGVSWNENEINFDGHRPRLRRYVYGLGKYLRISKSTAG >PAN33410 pep chromosome:PHallii_v3.1:6:1480839:1482433:1 gene:PAHAL_6G018900 transcript:PAN33410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSAAAAVSPTRPYPLLHPNTNATFVSLLRRPLPAATSLALSAPSQLCVRGLALVPAANPKYHNAKADAGDEDVDGEELLRRFTWQVSRAGVMEEIRRRRRHEDARDKRKRKARSAAWRFRRRRFKGPYPFDDKQGPKEQNTDDDEENDNWELPGGELPSYR >PVH36988 pep chromosome:PHallii_v3.1:6:38566945:38569041:-1 gene:PAHAL_6G215900 transcript:PVH36988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILQDLSQEFFRLRSSLRAKQQHASLLDFRDFDRASFDVEEAADSSDQSLLKEQAAITRSTGQMDNVISQGHAALGALMSQRSTFGGITTKISNVGSRLPMINQILSSIRRKKSLDTIILSLVASVCAFLIFIYWLSK >PAN35776 pep chromosome:PHallii_v3.1:6:40060623:40066096:-1 gene:PAHAL_6G233300 transcript:PAN35776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVEPTHLARLEPSSAQMHAFMNGTRAYPPSPRLSDRGITALVSSPSQPWDEDDDDEQDWRELYSSHLQLEVEPAAHDRRDVGTADTWVERNPSLIRLTGKHPLNCEPPLARLMRHGFITPAPLHYVRNHGAVPRADWAAWTVEVAGLVRRPARLTMEQLVRDFPAVELPVTLVCSSNRRGEQNMVRQTVGFNWGPAAVSTSVWRGARLRDVLRRCGIVPRSGGALNVCFEGADGLPGGGGAGTTYGTSIRREWAMDPTMDVMLAYMQNGAPLLPDHGFPVRVVVPGCTAGRMVKWLRRIVVTTVESDNYYHYRDNRFLPSHVDAELADAEGWWYKPEHVINEMNINSVITTPGHDEILPVDGVTTQGGYTVKGYAYSGGGKKVTRVEVTLDGGETWLVCDLDHPEKPNKYGKYWCWCFWSVEVEVLDLLGAKEIAVRAWDQSLNTQPEKLVWNLMGMMNNCWFRVKVNVCQPRKGEIGLVFEHPTQPGNQPGGWMARQKRIDAAEAAATALKRGTPDPPTTSTAGASKRFTMAEVREHASRVSAWIVVHGSVYDCTAYLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDAYRIGELVTTGNRGRGSDASIHCASSLSHLDPIPGAVKPPAPTVALSNPREKVPCRLVDKKELSRDVRLFRFALPSADQALGLPVGKHILVCADIDGKLCARAYTPTSAADEVGHFELLVKVYFKDEHPKFPDGGLMTQYLESLPMGSYIDVKGPLGHVEYTGRGEFLINGEPRRVRRLAMVAGGSGIAPMYQVIQAVLRDQPEDETEMHLVYANRTEEDILLRGELDRWAAEFPDRLKVWYVVDQVKRPEEGCGYSVGFVTENILREHVPEGGEDALALACGPPAMIQHAVAPNLEKMKYHRSSSFVVF >PVH36598 pep chromosome:PHallii_v3.1:6:16597935:16598669:1 gene:PAHAL_6G118400 transcript:PVH36598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDTMVFVEKSTRYPDMDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAANAEYSMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDQFLPIKKRSIRTEEESP >PVH36272 pep chromosome:PHallii_v3.1:6:2633357:2636164:1 gene:PAHAL_6G036500 transcript:PVH36272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRGGRLMGLSSQLLGMRCFSTEIFVSRLSFYTTEEELKDIFSPFGNVKEARLMRDRQTGRMKGFGFVKYSSQAEAEKAVKAMDGRILRGRLIFVEMAKGPKSE >PVH36271 pep chromosome:PHallii_v3.1:6:2633357:2634738:1 gene:PAHAL_6G036500 transcript:PVH36271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRGGRLMGLSSQLLGMRCFSTEIFVSRLSFYTTEEELKDIFSPFGNVKEARLMRDRQTGRMKGFGFVKYSSQAEAEKAVKAMDGRVCAFCFVHLFPVKT >PAN34377 pep chromosome:PHallii_v3.1:6:7861191:7861502:1 gene:PAHAL_6G088400 transcript:PAN34377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNNRSLSFKRQLRPSTLERSNLILQVQFWIHFHPSSELNSQVGIPHHLDAWSTIANVTYALVAVGFPPLDAQQLFFKVFLDKQQQHKKARLFQASTAMEED >PVH36456 pep chromosome:PHallii_v3.1:6:6216752:6218315:1 gene:PAHAL_6G076800 transcript:PVH36456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPRRDGALRRRHLLHLHPPHRVLPLRRPCVRPAEPHLHRRRARHAGRVQGEALLRHPALEPFRHRHRRLDRRVRQHAVCCKPKSHYSITTAPRLRGDNESFCNTTRTSRAIRRAGCFHYRGHDDPCHASTSPYIAIFGVMQVVFSQIPDLDKVWWLSIVAAIMSLSYSTIGVSLGAAEIVANRGLRGSLAGIISTGARVTVMQKVWRNLQAFGNIAFAYGFSIILLEIQDTIKSPPPSEAVVMKKATAVSVAVTTVIYLLCGCVGYAAFGSAAPDNMLTGFGFYEPFWLLDVANAFVVVHLVGTYQVMTQPVFAYVERRASAAWPGSALVRETDVRVGRIMAFSVSPIRMAWRTAYVCVTTAVAMLLPFFGSVVGFIGAVSFWPLTVYFPVEMYIAHRRVARGSTQWLLLHALSAGCLVVSIAAAAGSIAGVVEELKAHNPFCWSC >PAN34241 pep chromosome:PHallii_v3.1:6:6216333:6218543:1 gene:PAHAL_6G076800 transcript:PAN34241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTVCVAPLDNRGMEVELGAAGDRAESRSEAEPPKLLVDDDGRPLRTGTLWTASAHIITAVIGSGVLSLAWGVAQLGWAAGPAVMVLFAAVIYYTSTLLTECYRSGDPVFGPRNRTYIDAVRATLGESKERLCCAIQLSNLFGIGIGVSIAASVSMQAIRRAGCFHYRGHDDPCHASTSPYIAIFGVMQVVFSQIPDLDKVWWLSIVAAIMSLSYSTIGVSLGAAEIVANRGLRGSLAGIISTGARVTVMQKVWRNLQAFGNIAFAYGFSIILLEIQDTIKSPPPSEAVVMKKATAVSVAVTTVIYLLCGCVGYAAFGSAAPDNMLTGFGFYEPFWLLDVANAFVVVHLVGTYQVMTQPVFAYVERRASAAWPGSALVRETDVRVGRIMAFSVSPIRMAWRTAYVCVTTAVAMLLPFFGSVVGFIGAVSFWPLTVYFPVEMYIAHRRVARGSTQWLLLHALSAGCLVVSIAAAAGSIAGVVEELKAHNPFCWSC >PAN33197 pep chromosome:PHallii_v3.1:6:204017:204306:-1 gene:PAHAL_6G002800 transcript:PAN33197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFLGSSLDFLLVRFGFFSFDLGMPYHGSSMDAINLYSGIVQNGGMMHLEAHVSNEYEYR >PAN35313 pep chromosome:PHallii_v3.1:6:37056039:37058058:1 gene:PAHAL_6G198200 transcript:PAN35313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAAVAARARSVGIPRGWLAALAALVALLLGATWVMVAAHIAGAVGRCLAAEGGPAWAEDKCRHLMTYPPAVAVVSLALTLVLCVREARAESKVRKAWDGARAYLYLSEVGIAMGPESRHLRESDAMALGVMIVIYVYCFLVMVLGLLVRNAASRRQLQDPADTGATTLAQSGSAITLAGCLASWFEYCCLVFPYMMIRLRRFVRANWAVTLPA >PAN33434 pep chromosome:PHallii_v3.1:6:1613741:1616554:1 gene:PAHAL_6G021000 transcript:PAN33434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQQLGDYSPDKFASLALAPEGRMDSQEGRWRAQEKSIDDWLPINARRNAKWWYAAFHNVTAMVGAGVLSLPYAMSELGWEAGVTVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLQKFHDVVCPDCKHIKLTYFIMIFASAHFVLSQLPNFHSISGVSLAAAVMSLCYSTIAWIASAAKGNSADVDYSLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIVVAVCYFPASLVGYWAFGKEVDDNILITLEKPRWLIALANMMVVIHLLGSYQIYAMPVFDMIETVLVRKFWFPPGLMLRLIARTAYVAFTMFVAITFPFFNELLSFFGGFAFAPTTYFLPCIMWLTIYKPKRFSLSWFTNWACIVIGVLLMVLSPIGGLRQIILKIKTYKFYQDYPQQ >PVH36205 pep chromosome:PHallii_v3.1:6:1613880:1616197:1 gene:PAHAL_6G021000 transcript:PVH36205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKFASLALAPEGRMDSQEGRWRAQEKSIDDWLPINARRNAKWWYAAFHNVTAMVGAGVLSLPYAMSELGWEAGVTVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLQKFHDVVCPDCKHIKLTYFIMIFASAHFVLSQLPNFHSISGVSLAAAVMSLCYSTIAWIASAAKGNSADVDYSLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIVVAVCYFPASLVGYWAFGKEVDDNILITLEKPRWLIALANMMVVIHLLGSYQIYAMPVFDMIETVLVRKFWFPPGLMLRLIARTAYVAFTMFVAITFPFFNELLSFFGGFAFAPTTYFLPCIMWLTIYKPKRFSLSWFTNWACIVIGVLLMVLSPIGGLRQIILKIKTYKFYQDYPQQ >PVH36547 pep chromosome:PHallii_v3.1:6:10236662:10243983:-1 gene:PAHAL_6G099200 transcript:PVH36547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVVRSLRQLRHFTQHHGKRHSSATRLIRQQNALIMCSSTSRSLSTLHRTGEISRFASPGVELMRSMFSTVAADSIKDTGRGGPMAEYKRRIASGELVDGDSFQLDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLITQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKRRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIVHPIGSAVDYRQLGSAEQGFYLVGKHYSTLLKQKLQSLNGDEEPRPQTVEVIMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTSAYRFVTLVDVMYENKARLLCTAEAGPIELFENIVTVAEAHKISPRSSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEARLRQHQQQPQPLQAVDNSDVVLA >PAN33171 pep chromosome:PHallii_v3.1:6:74569:79348:-1 gene:PAHAL_6G001000 transcript:PAN33171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGGPLGAIIGRYPSFAAADDQDPGGGVIRHDRKCRDWPFLLLFAAFWVAMIVNSSFGFNQGNPVRLTFGLDYKGNICGSRHADPDLRELDVRYWMNPNQVYQSGLKSSHISLPDAKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWINRDYDYFEYLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFITRASNVSLKHWQQMGGVSIDQNMLVDKTIHNAINSKSAVLKRYVADIQKSWPVLIVCGGLLPLFLSVIWLMMIRYFVAGMPWITVVVFNALVISVTMFFYIKAGWIGHDPLTVVIGESDPYVNIGGREINHLHAASVLMTVIMILAFLTSIAIARRILIATSVLKVAAKVIGEVQALIIFPVVPFLILTIFYIFWFSAMLHLFSAGQVIKNDCNTDCCSYDLKLGRVNCDSCCGYSIHYTPHIGIAILFHFLCCYWATQFFIGCSSTVIAGSVASYYWARGEISHDIPFHTVVSSLKRLVRYSLGSVALGSLIVSIIEWVRFILETLRRRLKFVDSAHDSWFGKTVSSSSQCCLGCIDWTLKSVNRNAYITIAITGKGFFKASALATGLIMKNVLRIGKVNVIGDVILMLGKLCVSLLCALFAFIMLDKHKYRSGHNKISSPLVPVLVSWALGYIVAKLFFAVVEMSIDTIILSFCQDTEEHQGNAQYAPPLLMETLDEESELQRLTEGP >PAN33169 pep chromosome:PHallii_v3.1:6:74569:78007:-1 gene:PAHAL_6G001000 transcript:PAN33169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNQVYQSGLKSSHISLPDAKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWINRDYDYFEYLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFITRASNVSLKHWQQMGGVSIDQNMLVDKTIHNAINSKSAVLKRYVADIQKSWPVLIVCGGLLPLFLSVIWLMMIRYFVAGMPWITVVVFNALVISVTMFFYIKAGWIGHDPLTVVIGESDPYVNIGGREINHLHAASVLMTVIMILAFLTSIAIARRILIATSVLKVAAKVIGEVQALIIFPVVPFLILTIFYIFWFSAMLHLFSAGQVIKNDCNTDCCSYDLKLGRVNCDSCCGYSIHYTPHIGIAILFHFLCCYWATQFFIGCSSTVIAGSVASYYWARGEISHDIPFHTVVSSLKRLVRYSLGSVALGSLIVSIIEWVRFILETLRRRLKFVDSAHDSWFGKTVSSSSQCCLGCIDWTLKSVNRNAYITIAITGKGFFKASALATGLIMKNVLRIGKVNVIGDVILMLGKLCVSLLCALFAFIMLDKHKYRSGHNKISSPLVPVLVSWALGYIVAKLFFAVVEMSIDTIILSFCQDTEEHQGNAQYAPPLLMETLDEESELQRLTEGP >PAN35788 pep chromosome:PHallii_v3.1:6:40166937:40172523:1 gene:PAHAL_6G234300 transcript:PAN35788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGDGGGGEGAVARGRRGAVEEEEEVEGEASGCSASASSTSRGSSARRSSGGDSPLTRFVRRGGRLGTDPEPDERLTSSSSYASAGSTEPQEDDDEGALQGAKDNRWVRARLQEHAKNAVPRPTRECQDQRHRLGAVLFQGRKDRAQRPASVDFGSPGVAKSSTHSPGFPANGVGVINKGLGASYSSYNRPDVLSSPGTPSYHRRGTTVVGYQKGSNSERVIPPSTGHRRHPASSTVLPYSSGRTLPSKWEDAERWIFSPNPGNALGRSIPQLWRPKSKSGPLGPAGRFGGPYSSSSSSALFLESGRVGNLTVNSPYLAGVLLPEHVCGGIMDTRRDLGAASGEDSSNGRGGRFGQTNGLYPAMRSTTVSQQFGSATESFQSLPTSYESIHDERIESIKDTATSSTPTILRKDVATQTSPDISRSSSPSMRTSFSRSLSVQQVKELESCFSKLEIKDVQVDDRVTLTRWSKKHVTRDADKNATNIIEWKKKTVESKSSAWEVMGAAKCISKIEGEEAKMTAWENMQKANAEAAIQKLVIKLEKKRPYSLERIFNTLRSGPRKMQVVRSTSTANHDQHMSRSIKTAPHLSKNGQMSSLSGCFTCHAF >PVH37063 pep chromosome:PHallii_v3.1:6:40166937:40172523:1 gene:PAHAL_6G234300 transcript:PVH37063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGDGGGGEGAVARGRRGAVEEEEEVEGEASGCSASASSTSRGSSARRSSGGDSPLTRFVRRGGRLGTDPEPDERLTSSSSYGSTEPQEDDDEGALQGAKDNRWVRARLQEHAKNAVPRPTRECQDQRHRLGAVLFQGRKDRAQRPASVDFGSPGVAKSSTHSPGFPANGVGVINKGLGASYSSYNRPDVLSSPGTPSYHRRGTTVVGYQKGSNSERVIPPSTGHRRHPASSTVLPYSSGRTLPSKWEDAERWIFSPNPGNALGRSIPQLWRPKSKSGPLGPAGRFGGPYSSSSSSALFLESGRVGNLTVNSPYLAGVLLPEHVCGGIMDTRRDLGAASGEDSSNGRGGRFGQTNGLYPAMRSTTVSQQFGSATESFQSLPTSYESIHDERIESIKDTATSSTPTILRKDVATQTSPDISRSSSPSMRTSFSRSLSVQQVKELESCFSKLEIKDVQVDDRVTLTRWSKKHVTRDADKNATNIIEWKKKTVESKSSAWEVMGAAKCISKIEGEEAKMTAWENMQKANAEAAIQKLVIKLEKKRPYSLERIFNTLRSGPRKMQVVRSTSTANHDQHMSRSIKTAPHLSKNGQMSSLSGCFTCHAF >PAN35787 pep chromosome:PHallii_v3.1:6:40166937:40172523:1 gene:PAHAL_6G234300 transcript:PAN35787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGDGGGGEGAVARGRRGAVEEEEEVEGEASGCSASASSTSRGSSARRSSGGDSPLTRFVRRGGRLGTDPEPDERLTSSSSYASAGSTEPQEDDDEGALQGAKDNRWVRARLQEHAKNAVPRPTRECQDQRHRLGAVLFQGRKDRAQRPASVDFGSPGVAKSSTHSPGFPANGVGVINKGLGASYSSYNRPDVLSSPGTPSYHRRGTTVVGYQKGSNSERVIPPSTGHRRHPASSTVLPYSSGRTLPSKWEDAERWIFSPNPGNALGRSIPQLWRPKSKSGPLGPAGRFGGPYSSSSSSALFLESGRVGNLTVNSPYLAGVLLPEHVCGGIMDTRRDLGAASGEDSSNGRGGRFGQTNGLYPAMRSTTVSQQFGSATESFQSLPTSYESIHDERIESIKDTATSSTPTILRKDVATQTSPDISRSSSPSMRTSFSRSLSVQQVKELESCFSKLEIKDVQVDDRVTLTRWSKKHVTRDADKNATNIIEWKKKTVESKSSAWEVMGAAKCISKIEGEEAKMTAWENMQKANAEAAIQKLVIKLEKKRPYSLERIFNTLRSGPRKMQVVRSTSTANHDQHMSRSIKTAPHLSKNGQMSSLSGCFTCHAF >PVH37064 pep chromosome:PHallii_v3.1:6:40166937:40172523:1 gene:PAHAL_6G234300 transcript:PVH37064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGDGGGGEGAVARGRRGAVEEEEEVEGEASGCSASASSTSRGSSARRSSGGDSPLTRFVRRGGRLGTDPEPDERLTSSSSYGSTEPQEDDDEGALQGAKDNRWVRARLQEHAKNAVPRPTRECQDQRHRLGAVLFQGRKDRAQRPASVDFGSPGVAKSSTHSPGFPANGVGVINKGLGASYSSYNRPDVLSSPGTPSYHRRGTTVVGYQKGSNSERVIPPSTGHRRHPASSTVLPYSSGRTLPSKWEDAERWIFSPNPGNALGRSIPQLWRPKSKSGPLGPAGRFGGPYSSSSSSALFLESGRVGNLTVNSPYLAGVLLPEHVCGGIMDTRRDLGAASGEDSSNGRGGRFGQTNGLYPAMRSTTVSQQFGSATESFQSLPTSYESIHDERIESIKDTATSSTPTILRKDVATQTSPDISRSSSPSMRTSFSRSLSVQQVKELESCFSKLEIKDVQVDDRVTLTRWSKKHVTRDADKNATNIIEWKKKTVESKSSAWEVMGAAKCISKIEGEEAKMTAWENMQKANAEAAIQKLVIKLEKKRPYSLERIFNTLRSGPRKMQVVRSTSTANHDQHMSRSIKTAPHLSKNGQMSSLSGCFTCHAF >PAN34031 pep chromosome:PHallii_v3.1:6:4950443:4950637:1 gene:PAHAL_6G065400 transcript:PAN34031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWWWPELLRTPATAAVTKIQQDRPDVAVEVLPPGAPLMPELNLERVRVFIDAAGLVARIPMCG >PVH37127 pep chromosome:PHallii_v3.1:6:41489516:41493527:-1 gene:PAHAL_6G252900 transcript:PVH37127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTRSVLFAVAVAAAVLPPPPPVSGAGAATDDDVASLPGCTSRCGNISIPHPFGVEPGCFLPGLNVTCRNSTSGVPELFLGDGTVQALEISIPNATVRINATFAYFPGSNGTYDPRIGPNNITNGTWSGALGEPYTLGWWSNKLFVRGCNVQVVVVGDRGRALSSCAALCDWDDDDSSWFTPVTPDCSGVGCCQANIMEERSSYEFKVLRMNGMPGPTSDAIVWIVDSEFISSHPNFHEGFHLGRHPAVLDWRINHTTCYGNASSAACHSSHSLCRNSTGGLQAHLCDCAQGYEGNPYITNGCKDINECDDLETYPCYGVCSNTEGGHQCQCLPGFEGNASVPTGCKDIDECAHPDRHSCYGLCINMPGNFHCRCKDGTYGDPFTKGGCSSPTGWKIGLGVGGGATFLLLALGAPFITRKIKLHKAKRKKERFFKQNHGLLLQQLVSRKSDIGGRMIITLRDLEKATNKFDSSHKIGGGGHGVVYKGLLDLQVVAIKKSKIIVQKEIDDFINEVAILSQINHRNIVKLLGCCLETEVPLLVYEFISNGTLDHHLHVEGTISLSWDDRLRIALEISKALAYLHSAASTPILHRDIKSSNILLDDNLTAKVSDFGASKYIQIDQTGVTTAVQGTIGYLDPMYYYTSRLTDKSDVFSFGVLLIELLTKKKPFVYRSDDGEGLVSHFASLLTQGTLVDIIDPQIIEEEGEAVDEVAALAVKCTKLNGEDRPTMREVEMTLENLRGTKKQIHHNMTSRKKYEKDHYMSSRELTLDTSRQHTMEEEILLSARYPR >PVH36797 pep chromosome:PHallii_v3.1:6:32013699:32015040:-1 gene:PAHAL_6G169700 transcript:PVH36797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPHAYLPPPRGPAAAERPAPSTFLLLRAGRGRGPSANAAQPLQQQSAGPGGRGLGRRALDASAVVPIFLLCGSVSRELVGVRAPGAGAGRRTGRI >PAN35348 pep chromosome:PHallii_v3.1:6:37361625:37364529:1 gene:PAHAL_6G201800 transcript:PAN35348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNVIAKSTLGLPLPPSCSCRRPKAIPKKDAFSSTHQSGPTGTSPSRRSLASLPLKKERPTSAGTERTAAASAKAAARARRLRVACRVVAECSAEERKPEANGIARVTEEERGMQTRDKAATATERTRRREGRRRAPANRAPADSARTRRPGEGRTRRATSRRRRESARRRAGRRRAGSPGNGWWGWWALRETAAKWRRRSAAAASSARSGGSASTWPREGSARAAGARRKNACSTSNRRSAAVYGDEGEADDAEGRPRALDGVGSGVEGKRKDGCAESRGGTDSRRCGAWRPDMTGGGGSRSEVGGRGTGVDRKRRGVDCGLVVTTGLAAGSWFGSDGP >PVH36378 pep chromosome:PHallii_v3.1:6:4717984:4718224:-1 gene:PAHAL_6G062600 transcript:PVH36378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVDTAPSQSQSGRGFCWRCLPFRGTGKND >PAN33326 pep chromosome:PHallii_v3.1:6:1046397:1047862:-1 gene:PAHAL_6G012000 transcript:PAN33326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADLLHGNGKKPPPPRRRRWWQCSTTAVTLLMFLLTNTVSIAVSSGAGPTLLRRYRPATIRLWDGSAALLADLNATQAVLDASRAELAGLYARVGTANELLRTLLDAMAARDGATEQQRELLAAGGWEGWKRELAGELKLAVGPLHLLTNARHGRNATGDEAAVFPALGHACVRVQDDLERYMAYTPGGECPADEALAHRLMLSGCEPLPRRRCRPPSPKGYAHPLPLPGSLWATPPDTSVVWDAYPCKNYSCLQASSSCDGCFDLRRGREKARWARDDGALSYSIAAVLAARPNGTVRVGLDLGGGASGTFAARMLERGVTVVTAAVSAGAPLNSFIASRGLVSVHVSAAHRLPFFDRTLDIVHAAGGLGGGPAVTGVMLEFALFDVYRVLRPGGLFWLDHFPCSHAQVNATLAPMLGRVGLKKLRWNTGRGKEKNQWYISALLEKPMA >PAN36524 pep chromosome:PHallii_v3.1:6:44372231:44378592:-1 gene:PAHAL_6G289800 transcript:PAN36524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNPHGASSSTAKPADDPETTIEINIKTLDSQVHKLRVNKNAPVSVLKEKIVDATGVPLDQQRLIFRGRVLKDDHLLSEYHLEDGFTLHLVARRAAEGQNSSGTSEGNTHANVNFAANGGLLDDISRSVRDLLGSLGVAMSGGLTNTSFSVPLATAPEGANNVPGRTQPVNPAQPGFLVPNHQIHVTQLQPGAIPRSMVIPDSLTTLTEYMERVDRVLQNNGTPPSRDSEGQQRPTADDANVNPRFPSPEVLASVIERAQQLLSGSASSALSHVAQRIRQDGSTGDASIRREIQTESVQLGIAMQHLGAMFFELGRTMMMLRTGLSPSEAFVNSGPAVYINSTGPNPIMVQPSFQNTPPFGVSNIPVLGGVSGAFGVVDPSRSSGFGDPFRNINVQSSGASATSGSSAGTTTTSEGATNGNRQDAARTEGSNPPGHPAGTRGLPTRTVVAAIPARSSVEAPNHVLSVFLPVQVRGQVAVPNQSASFQGSQTAAGNGAQPNSTPAVPQASVGGVPPIVAQVTAQVANAPGQVSSSAQSAADQGFNQTTDSRAGVLSSSTPATTPQQNDPSGTCGSTLPSQDGLHQHPQLEDTSAGRTNLSGDPTGPCGIDVPSSTSAENSALKNKSSDGVGSQSLEHSASGSSEPVGLGGGLIPMRRSRAAKPSGSTTDSGRDSSSVSQSQDAISVAQQFLQGFASQNTNASRSNTPTSGPPSSRSQPTGVPPRRQSGEGQHDFGSMISGMLNNPVFGNLLSNVATQAGGSSADMRSVMEGLQSPAVVDTISNIVQNVDEQDLGAMFGSGRGQGGMDLSRMLQQMMPVVSQVLGGAGAPPAGANNGQPRSQRRSSITAGGNVLDNSSSQLNLRQARQSIEQHESPENIFSAVLETAAQAYGEDDSIQSMLEELASDPELTNDYLKLLVEQVRQRLQSESQPGSQS >PAN36523 pep chromosome:PHallii_v3.1:6:44371795:44380097:-1 gene:PAHAL_6G289800 transcript:PAN36523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSCQNTIWKMDLHCIWLLVVQLRARILLGLLKETHMLMSVRDLLGSLGVAMSGGLTNTSFSVPLATAPEGANNVPGRTQPVNPAQPGFLVPNHQIHVTQLQPGAIPRSMVIPDSLTTLTEYMERVDRVLQNNGTPPSRDSEGQQRPTADDANVNPRFPSPEVLASVIERAQQLLSGSASSALSHVAQRIRQDGSTGDASIRREIQTESVQLGIAMQHLGAMFFELGRTMMMLRTGLSPSEAFVNSGPAVYINSTGPNPIMVQPSFQNTPPFGVSNIPVLGGVSGAFGVVDPSRSSGFGDPFRNINVQSSGASATSGSSAGTTTTSEGATNGNRQDAARTEGSNPPGHPAGTRGLPTRTVVAAIPARSSVEAPNHVLSVFLPVQVRGQVAVPNQSASFQGSQTAAGNGAQPNSTPAVPQASVGGVPPIVAQVTAQVANAPGQVSSSAQSAADQGFNQTTDSRAGVLSSSTPATTPQQNDPSGTCGSTLPSQDGLHQHPQLEDTSAGRTNLSGDPTGPCGIDVPSSTSAENSALKNKSSDGVGSQSLEHSASGSSEPVGLGGGLIPMRRSRAAKPSGSTTDSGRDSSSVSQSQDAISVAQQFLQGFASQNTNASRSNTPTSGPPSSRSQPTGVPPRRQSGEGQHDFGSMISGMLNNPVFGNLLSNVATQAGGSSADMRSVMEGLQSPAVVDTISNIVQNVDEQDLGAMFGSGRGQGGMDLSRMLQQMMPVVSQVLGGAGAPPAGANNGQPRSQRRSSITAGGNVLDNSSSQLNLRQARQSIEQHESPENIFSAVLETAAQAYGEDDSIQSMLEELASDPELTNDYLKLLVEQVRQRLQSESQPGSQS >PVH36350 pep chromosome:PHallii_v3.1:6:4162593:4165158:1 gene:PAHAL_6G056000 transcript:PVH36350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYSSVASAVAGCEGVFHVASPVPYGQSSNPEADVIAPAVTGTLNVLKACKEAEVKRVVVVSSVSAVFNNPNWPKGKAFTEDSWSDEEYCRKNEEWYFLSKTLAEHEAFAYAAKTGLDIVTICPSLVIGPLMQRTVNTSVKVFLSYIKGDQETVQNGFKNLVDVRDVADALLLAYENPQASGRYLCNSLPIRVSDIVNILIKSSFPTYTYPKNFVEFEGGYTYDTEKLRKLGWTYRPMEETLRDSIECYRRLGILN >PAN33912 pep chromosome:PHallii_v3.1:6:4161765:4165530:1 gene:PAHAL_6G056000 transcript:PAN33912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAAAAEKSSTVCVTGAGGFVASWLVKLLLSTGRYAVRGTVRDPGDGKNAHLLALEGAGERLQLVKADMLDYSSVASAVAGCEGVFHVASPVPYGQSSNPEADVIAPAVTGTLNVLKACKEAEVKRVVVVSSVSAVFNNPNWPKGKAFTEDSWSDEEYCRKNEEWYFLSKTLAEHEAFAYAAKTGLDIVTICPSLVIGPLMQRTVNTSVKVFLSYIKGDQETVQNGFKNLVDVRDVADALLLAYENPQASGRYLCNSLPIRVSDIVNILIKSSFPTYTYPKNFVEFEGGYTYDTEKLRKLGWTYRPMEETLRDSIECYRRLGILN >PVH36349 pep chromosome:PHallii_v3.1:6:4161765:4165530:1 gene:PAHAL_6G056000 transcript:PVH36349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAAAAEKSSTVCVTGAGGFVASWLVKLLLSTGRYAVRGTVRDPGDGKNAHLLALEGAGERLQLVKADMLDYSSVASAVAGCEGVFHVASPVPYGQSSNPEADVIAPAVTGTLNVLKACKEAEVKRVVVVSSVSAVFNNPNWPKGKAFTEDSWSDEEYCRKNEEWYFLSKTLAEHEAFAYAAKTGLDIVTICPSLVIGPLMQRTVNTSVKVFLSYIKGDQETVQNGFKNLVDVRDVADALLLAYENPQASGRFVEFEGGYTYDTEKLRKLGWTYRPMEETLRDSIECYRRLGILN >PVH37252 pep chromosome:PHallii_v3.1:6:43116692:43118517:1 gene:PAHAL_6G275600 transcript:PVH37252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILKRHSSIESVRSLSDDMLAEIILRLPVKAVARSRCVSKGWCATISDSYLRRRLPLQLSVVYFPDGGGKAPRFACADGGGQLEDLDLGFFPFLNGAVVCDACNGLLLFRTAGTARFYVVDPVTRRWAALPAPSRNARLSMLAFDPSGASPRGYHVINFTGRWRERGGEVEVFSSEAWAWTARDVEFGVPAGALSGSMHFHGGAVYALASDPECVVRMDVADPELTCTVAELPAEPTDGDGRLAHSGGRLHYVASDGAQLKVWVLDDSSPTLQWRLKHAVKLDAVAEEGCRGNEVRFLALHPEKDAAYMWSAWRLVEYDLTRKEITGAWVFGEGEKNRIVRTWLVPSSLYLSDCPLGDAHVQC >PAN33480 pep chromosome:PHallii_v3.1:6:1798109:1801049:-1 gene:PAHAL_6G024300 transcript:PAN33480 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MARHCQGHIAQCLGGILARRGGATVAVDSGGRSITGAEFVDGVRRLAAGLVDRGVRPGDVVAAVAFNSIQYVELFLAVAHVGAIIAPLNYRWSFEEAAQALELVEPTAFIFAGVFSSWALRLTASNKYSSIGLYLILGLGDACSTGHAANFGLVDHIKRSVRGPIAAEPVSAPRDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDVYLHTAPLCHIGGISSCMAILMAGGCHVLIPKFDAKSAFDAIKEHGVTSFITVPAIMADLLSYARKERISSPVMIVTKILNGGGGLSEELMDGASQLFPRADIFSAYGMTEACSSLTFMALNKPKLQEPKNQPGNHSGGVCVGKPAPHVEIQIGMDGNNPSSSPIGNILTRGLHTMVGYWANKKVDSLDCVRNGWLDTGDTGWMDSAGNLWLMGRQKGRIKTGGENVFPEEVELVLSQHPGVARVVVVGIPDSRLGEKVIACVSIRYGWKWVDARAEHQDETKEVSPQILHDHCRMKKLSRFKVPRSYYQWRQPFPVTSTGKIRREELKREILATMQIPSNL >PAN35821 pep chromosome:PHallii_v3.1:6:40392302:40394434:-1 gene:PAHAL_6G237000 transcript:PAN35821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVYAAGLGASGSGLTLNPVAERALTRGASTLSTPMSPPPAFGNIVTVLTIDGGGVRGIIPGTILAFLEEKLQELDERPDARIADYFDVIAGTSTGGLVTAMLTAPNKEGRPLFAAKEINDFYLEHCPKIFPARCGGPLGLFKNMSGPKYDGKYLRSIVRELLGDTKISQTLRNVVIPTFDIKLLQPTIFSKYEAMNDVSKDALLSDVCISTSAAPTYLPGHQFETKDKDGKPRAFNLIDGGVAANNPTLLAMSDVSKQILLGNRDFFPIKPADYGRFMVLSLGTGSAKVEEKFDAVQCGRWGILGWLYNKGATPIIDSFSQASSDLVDIHASVLFQALRSEKSYLRIQDDELRGDTSSVDVATRENLDRLVGVGRALLKRPACKVNVETGKNEPDVGRGTNEKELIHFAKMLVDERRARLKRKGSSTLSL >PAN36288 pep chromosome:PHallii_v3.1:6:42895181:42897472:1 gene:PAHAL_6G271500 transcript:PAN36288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTKKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKSTRHYLPNKFKKFVVHNVSELEVLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >PVH36669 pep chromosome:PHallii_v3.1:6:22562778:22563707:-1 gene:PAHAL_6G136900 transcript:PVH36669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYIEEGVSRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSSSGSQSSVGNFDDF >PAN36109 pep chromosome:PHallii_v3.1:6:41884436:41884801:-1 gene:PAHAL_6G258300 transcript:PAN36109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAISTAAAAAGGMLRARLRSASRVRGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTIETWAHQKALERLQQQELAAASAAGDGDAE >PVH36356 pep chromosome:PHallii_v3.1:6:4252566:4252850:1 gene:PAHAL_6G057100 transcript:PVH36356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHQLSTITGYRLCPVTRMSHNSKTKTSQDESRHPYTSSTLMSTKGGIAETLAPAVPALHQESKNFVHK >PAN36543 pep chromosome:PHallii_v3.1:6:44518943:44521779:-1 gene:PAHAL_6G291400 transcript:PAN36543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLINKYLRSKNPAGGGGGGGGDEMAPTASNGAERDRDRDLYLCLPGCVPVRAKRGAAAAAAATVTTTARTSRHNFVKTAASGLLAGAHFTNHESLPALPDAYAEFAAAFPLYGALARADTIRGEEYQHLDRHVCLDYTGINLFSHAQMNSSLPSTSSSAAPSVAWQPPFFDIAYKSASLRAQVQCEDAAAGIGAAVTRRIMASLKIPEDEYTMVCTANRTTAFRLLAESYSFQSGRQLLPVYDYESEAVAAMAESARRRGAEVTSASFAWPSMRIHGTDLRKKLARGRRRGGRRGLFVFPLASRMTGARYPYLWMSAAHEQGWHVALDACALGTKDLDTFGLSLIRPDFIVCNFFKVFGENPSGFAGLFVKRSSLAALERSVIARSIGIVSIVPARRWSLHDGYSTELEHSRSFPKLADPALDDADVETTSSFSGPLSSTAITRSRNLQPDAAENGDAPEIREVDAAEFSGFYADELREQNAGGHETEQLAKDEWESVMEVECRGLDHADALGLIAIGNRLRCISNWLVVALQKLRHPHAESGHQLVRLYGPRVKFDRGPSLAFNVFDWKGERVAPPLVQKLADRHNISLTCGFLRNIWFSDKYEADRAAVLEHRAATGDEGAVVTPGKRRKDAGGDVGILVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >PAN36734 pep chromosome:PHallii_v3.1:6:45422936:45427154:-1 gene:PAHAL_6G305800 transcript:PAN36734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPSSSSSSAPPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATSGGYWKTTGKDREVRHGPRIVGMKKTLVFHAGRAPKGERTNWVMHEYRLEGEEAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEGDAVVDHEVPPGPKEIPGALEKGYLQMSDLIQGLGDQNGNGTIGLPVSDTSNNSNHSEDVDGNSGDILSDPNLGSNFLQYVEPGEQNSLMLNENMISNSNAGDFLNNFSPSDGFLELKDFADAANLDYPLGNESTVWPSDGWAWKTPDSLETVNGANNDIPPLPDDQTFQPDELEQLLQSIQEDSHLGSSVIDPPHSSITNSVMPEDDSLMFYDAPFDSTMCDDGFRQVNGILNSPATNLSGIGMVDDGMPYYDAMDDNLFNDILGSIQQSAGSSHAFNGPVLTQEVNNTMYTYSPTQKVLEPNFVVGASSSARLPEAGSQLNCVVLPDGQAKSSSIGKRILDSISAPPAFASEFPAHLRKSLAPISGARPNTFHVSAEVISIGSLAVASGPDKWSLQKNQGMELLITDFEPGTRIHCGCNTITTVLRGGFCLVFFSAIMLLVSYEVGMCIYGK >PAN33227 pep chromosome:PHallii_v3.1:6:344877:345999:1 gene:PAHAL_6G004600 transcript:PAN33227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSSLRAPASFSGVRAAPAPATVAMPAAKQQVARGARLRAQATYNVKLITPEGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVSGSVDQSDQSFLDDDQVASGWVLTCHAYPTSDVVIETHKEEELTA >PVH37072 pep chromosome:PHallii_v3.1:6:40345126:40345703:-1 gene:PAHAL_6G236100 transcript:PVH37072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSDLPQVTRARGVSGNGLVDFTSAPTFLATHRPSPLRKLVTFWMLPDGMFCFFPSNLMFMCCLIGWWLRTEFEAGEAVAHSSGRTLDQRIIFLFCTAKSKALRLITVGRQAALPCWR >PAN36727 pep chromosome:PHallii_v3.1:6:45390669:45393114:-1 gene:PAHAL_6G305300 transcript:PAN36727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFQDGSHVHLRSRVYGTFLCADEDGRGVSLDPHRASLHAAWTVHLLVRDGVPYSLLLLRSMAYGRYLATSKEPAPMGLRGYLVEQLDYDHPDEFAIRWVPLPSASGNDVVLHNMYRRGHLRANSGYLNRAAVTIDTNDDVSAPMDWAVEIIPEGEIPSLGHRPQPPVKILGQLWPAQRQVPITRKIMIRAPGNFEPDEWRALLFTGRSLLNLTNKLINVVGAGCTISVQAGSLGRLTPLQIDLPRNSDTLHVVLLPSETQEVVNPSSNAKIVNSNGQISELGVGVSIVNSLVEHIEMPSSQKAGNKSSPDAYLWLVRNGEEAREAIRQWEQLGRPATACVDLLPDLTFSDMTNLLLELKRAKAIELAEQSADYAQWIRRYQALASICHLPRKPEEVAARFSTRSHQKCVQEPWVLVNNGCHDV >PAN34219 pep chromosome:PHallii_v3.1:6:6023398:6028340:1 gene:PAHAL_6G075900 transcript:PAN34219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVVRSLRQLRRFTQHHGKRHSSATRLIRQQNALIMCSSTSRSLSTLHRTGEISRFASPGVELMRSMFYTVAADSIKDTGRGGPMAEYERRIASGELVDGDSFQLDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLITQPSTYAPVKGLYLYGGVGTGKTMLMDLFYKQLPSNWRKRRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIVLCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIVHPIGSAVDYRQLGSAEQGFYLVGKHYSTLLKQKLQSLNGDEEPRPQTVEVIMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTSAYRFVTLVDVMYENKARLLCTAEAGPIELFENIVTVAEAHKISPRSSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEARLRQHQQQPQPLQAVDNSDVVLA >PVH36611 pep chromosome:PHallii_v3.1:6:17306087:17308736:-1 gene:PAHAL_6G121600 transcript:PVH36611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKKARVVLAQPAAGAPPPRPPPLFSRAHGPVRGSGDEAAYRARLRYQALLHDYQELVKEAQAKKRRLHMERLNKQRLLAEVKFLRKRYKSMSENPSQTIVCRVRNPAMRPACRTAAWANDAQHRSVHAIGSSSRSQLLQWRQDDSPRASLVIDLNEACEPGYEEMEMGDHHGYREPLGFNKVRRYPMEDAAAGPSEVRIPAFWDARSPVGRAGKRKISWQDQLALRV >PVH37058 pep chromosome:PHallii_v3.1:6:40096565:40096858:-1 gene:PAHAL_6G233600 transcript:PVH37058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLAFLRGITAPVVAGAVLGAVWWFWIHPVSPSSAAPCRSDHRRCPSSTDSLLQGSSRRWRCSCSTA >PAN36703 pep chromosome:PHallii_v3.1:6:45271004:45278049:1 gene:PAHAL_6G303300 transcript:PAN36703 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MGLPGMDSVPGKESNGVAPDRNGGASPAKQQLEGKEALRYANILRSRNKFADAIQLYNIVLEKEGANVEALIGKGICLQAQNLPRQAIECFTEAVKIEPENACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKPASEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDNHYAPAYYNLGVVYSEMMQFDTALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEILNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGSITLAIQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQHTSWDNSKIADRPLIIGYVSPDYFTHSVSYFIEAPLTHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGTLACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADAPSTKQKHVEELVRLPESFLCYTPSPEAGPVCPTPAISNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGVSLLSKVGLGRLVAKTEDEYVNLALDLASDVNALQELRMSLRELMMKSPVCDGEKFTCGLEAAYRNMWHRYCDGDVPSLRHLELLQEHPIVNKQDSDKTAEKLADLKAQKANATVEEDKEPPIMANGATSPGSPASAKCEANGHCSQ >PAN35316 pep chromosome:PHallii_v3.1:6:37130538:37133754:-1 gene:PAHAL_6G198700 transcript:PAN35316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVESSAAPPQAPPPPPPREAAPAPAGRLADCVVVFVVFGFFGFAWMSSAANAAFIAAEWGYGAGSIEEASAKEIALAASVLLGVFLHAVVLVILGMCWRHESRRSETREVGGGGGTAAQPVRVYQDPVPGAVIVSLVVVFMIVALGVLMLEESWAKRAGYLLLETVFFLGFIVYCFVTCPLLIIRIFVASCAERRETTGSH >PAN35801 pep chromosome:PHallii_v3.1:6:40308614:40310412:1 gene:PAHAL_6G235400 transcript:PAN35801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSSSLCLLFFLVALCSLVQAQVLFQGFNWESYKKQGGWYNSLKAQVDDIAKAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASQYGTAAELKSLIAAFHGRGIQCVADVVINHRCAEKKDARGVYCIFEGGTPDDRLDWGPGMICSDDTQYSDGMGHRDTGEGFGAAPDIDHLNARVQRELTDWLNWLKSDVGFDGWRLDFAKGYSPAIAKMYVENTRPSFVVAEIWNSLSYTDGKPSPNQDQCRQELVDWVDAVGGPAMAFDFTTKGLLQVGVQGELWRLRDGSGKAAGLIGWTPEKAVTFVDNHDTGSTQKLWPFPSDKVMEGYAYILTHPGVPCIFYDHMFDWNLKQEISALTAIRARNGIHAGSKLRILMADADAYVAVVDEKVMVKIGTRYDVSSVIPSDFHPAAHGKDYCVWEKGSLRVPAGRHL >PAN35418 pep chromosome:PHallii_v3.1:6:37905066:37907281:-1 gene:PAHAL_6G207400 transcript:PAN35418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDKPVCLRDEIRKFQHALNAVLWRNNVLMIMNSIPVAMVVVGATGWCYRHSMVARFISLGATTLFLPIVSYVVSSIGSGNWKADCQDSYVFLILMWTVLVQTIGINFNGIAAVNDGDSQKLGPSIELLARTLWTSYLVVYYYSNNTFSDDDIGPFLSASTFALSVLSFVKITLKFFAFEKARRSFALGRNTRLVAGFMEQLHSRPSEDEPIPPPIVMGEEKQQIEERPHGYVIINRAVTNGSLVTTDRVWQLASTGDVLLASRPQLKELCLSFALFKLLRRRFVKCRIPESGSTRAFNFLRDVLLGDGDPDRIFRMVADEVSFLGDSYYSSLPACYFGKLLPVLNITVSLSIVTFCLAYGVAIGAFFDGAGEDQRNCRPLSCRVLGDYYVGFGSLNFNYYSTLFLLVATILSEAWDVASYICSNWLKVALICSYVTHASWQQSPRVHRWLGGLLKLRIKWVNSCSDEMGQVSPLAPAHRQPKTWGLRQKLFCFSDHRRVQHVKVPREVKAAIVEALIRSNGSLSSGLVALQNSGIGDAVLWAIQGESTSDVILVWHIATSIYCLYLMKSAPELLPDDKAWSKKRYKAVGEEVRHLLSVRCRRWVNYGQMIELLGESSGQDEVVKNGVRLGKQLVELQDVGTVPIWGVLARFWSEMFLCVAPSDNLKAHREAIARGGELLTLIWALLTNAGIITRPGIRNAAAWRALKFVVCAV >PAN36040 pep chromosome:PHallii_v3.1:6:41454127:41458082:-1 gene:PAHAL_6G252600 transcript:PAN36040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKRGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDEAPEADADMPPLEDDAGESKMEEVD >PAN34681 pep chromosome:PHallii_v3.1:6:24800516:24803939:1 gene:PAHAL_6G144400 transcript:PAN34681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSRSQLLQILLILAGAAAIDGKDEQQPITYPGCPDKCGDISIPFPFGLMPGCFREGFEVTCDHSFDPPRAFLADGDRNRITVTERDESPISDGSYLSNSESNISYWPVELMDVSVDRSVARVYGPITSACSTNSTNYKLEAQAMTLGSLTGGPLAVSEALNVVVGVGWKVGVTDGSSYTSSSLACRSELPGGHLESARNGSCAGHGCCEAALRQESSTSYGPVTEVAPELSLESNNSLWETSPCSYAMVVEKSGYNFSTPDLYGDKALPGRFPRGVPVVLDFAIVGDAACPQKGKRPPPNYACVSNNSYCVNATVGQSGYALSYVCKCSEHYEGNPYIANGCQDIDECKFPDLYYCSSNGICKNRQGGYDCPCKPGMKGDGKLGHCAEKFPLVAKVIVGTTGCIFVIVVMSFIFLLRKEKKKTREFYEKNGGPTLEKAKIIKLFKKEELMPILKDSNFIGKGNFGEVFKGNLGNEVVAVKKTINGNLLENEQFANEVIIQSQVIHRNIVRLIGCCLEVDTPLLVYEFLSNGSLHDILHSKGKKPLNLDIRLSIAAQSADGLAYMHSKTNTKILHGDVKPANILLDDKFVPKISDFGISRLIARDRQHTAKVIGDMSYMDPVYLQSGLLTEKSDVYSFGVVLLELISRKKAIDSDNNSIVNDFLEAHRRGERATELFDSQIAVAEDLELLQNLAGMAIECLNLDVDQRPAMTDIAHRLLIMKESRNS >PAN35496 pep chromosome:PHallii_v3.1:6:38367682:38372640:1 gene:PAHAL_6G213500 transcript:PAN35496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPNARGEPKEQTLAPAPSPAARIRMGAPRRQPSSRRRVACALALLAASALALLLLLASRSAPPRYGVIIDAGSTGSRVHVIAYRAGPGRGAPPQLDWARTASLKANPGLSSFAADPRGAGLSLAPLVEFARRRVPRERWADTEVRLMATAGLRLLDAAVAESVLESCRDVLRQSGFLFQDKWATVISGAEEGIYAWVAANYALGTLGGDPHDTTGIIELGGASVQVTFVTGEPLPPEFSHVLKFGDVSYNLYSHSFLQLGLNVAYESLHDLLSSPGLKSIAAHLITQTKYKDPCTPRGFTSMVGTAKLPVSVLEPKVEYRPFAHAVGNFSECRSAALTLLQKGKEGCAYHDCRLGAAFVPELEGKFLATENFYHTSKFFGLHSKSFLSDLMVAGEKFCHGDWSKIKKKYSSFNEGELLLFCFSSAYIIALLHDTLKMPLEHKRIDVVNQIHGVPVDWALGAFIVQTTLNRTDILHPEVEKASAEDDLRHGERPVHHNQGQLMSFARRRWEWVNLLQRSTSDRVCRFS >PAN35495 pep chromosome:PHallii_v3.1:6:38367682:38372640:1 gene:PAHAL_6G213500 transcript:PAN35495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPNARGEPKEQTLAPAPSPAARIRMGAPRRQPSSRRRVACALALLAASALALLLLLASRSAPPRYGVIIDAGSTGSRVHVIAYRAGPGRGAPPQLDWARTASLKANPGLSSFAADPRGAGLSLAPLVEFARRRVPRERWADTEVRLMATAGLRLLDAAVAESVLESCRDVLRQSGFLFQDKWATVISGAEEGIYAWVAANYALGTLGGDPHDTTGIIELGGASVQVTFVTGEPLPPEFSHVLKFGDVSYNLYSHSFLQLGLNVAYESLHDLLSSPGLKSIAAHLITQTKYKDPCTPRGFTSMVGTAKLPVSVLEPKVEYRPFAHAVGNFSECRSAALTLLQKGKEGCAYHDCRLGAAFVPELEGKFLATENFYHTSKFFGLHSKSFLSDLMVAGEKFCHGDWSKIKKKYSSFNEGELLLFCFSSAYIIALLHDTLKMPLEHKRIDVVNQIHGVPVDWALGAFIVQTTLNRTEYSDSSVSYLNSYDSSGLAPIFLITTVVVFTAFSILRWRRPQLKTIYDMEKGRYIITRVS >PAN33393 pep chromosome:PHallii_v3.1:6:1390634:1393696:1 gene:PAHAL_6G017400 transcript:PAN33393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLRLAARSLSRRELPPAPAHRGLAASAAALADDYWSDWEDEEEEARRARASAPLAGTDPAGGAPRGVQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELNPASQLYKKIANSVNEGRLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTRMQAEILDEIVDIDLVLNFKCADDCFMKKWSRGDICSHCGQLFDISKSASTNCSPCVGSYTWHSQVEPASVLGLEDSRLERMRTYAKQTKQLEDYYKQQRKIVELKTSARPGETWQGLVAALHLQHLDAPPTPHKLTV >PAN33401 pep chromosome:PHallii_v3.1:6:1419119:1420235:-1 gene:PAHAL_6G018000 transcript:PAN33401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIATMTMLKPAKIVARSAPPSTSGSGVSLRSLPAARKGGLAVSAPSPAAAAMAGAFFQALASSDAALAAQRVADVAAADAGSDNRGQLLLFVVAPAIGWVLYNILQPALNQLNRMRSQALVAGVGLGAAAAAGMACAPEASAAQELAALAAAAATAPADDNRGLLLLIVVTPAIGWVLYNILQPALNQLNRMRSD >PVH36534 pep chromosome:PHallii_v3.1:6:9054047:9055847:1 gene:PAHAL_6G094600 transcript:PVH36534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVQSSKVVKPAYGEGSTPAVDVVIPLTVFDEVHDEYMSSIHGFHSPSPTPAALEAGLARALAEYREWAGRLIAADGSSAAGRRALLLNDAGVRFVEATAGIALEAAMPLLLQPAAARRLHPSGEGAEELMLVQVTRFACGSLVVGHTMHHAVGDGFAMCQCLLAWGQCTRGATVDPVPVHDRESFLPRHPPRVEFDHRGTEFKVPNDNDDDDEKKSPPRAADNDVVVTHKVRFSREFISDLKSRASAAATTLRPYTTMQCLVAHLWRCVTRARGLDGGEATTTLHMAVNGRARMRSPRVPQGYTGNVVLWAHPAATARELLAGPLGRAAELIRREVARVDDAYFRSFIDFIGSGAVEEEGLEPMSDAAENPDVEVYCLYRIPFYDLDFGGGRQFLYMPSNQPVDGAVYILPLCPQGDGSVEALVSLYSRAMDAFKDCCFSLMVPDILL >PAN35616 pep chromosome:PHallii_v3.1:6:39138561:39141675:1 gene:PAHAL_6G222000 transcript:PAN35616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALIGRLEAAVSRLEALSAGAHPSIAARGLSDDASAQDPAILAFDDLVASALGRVSAAAGKIGAEVAEVTRLVEKAFLVGKDLLIRTKQTQKPTMESLAAFMGPLNETILEANALAEGTRSSHANHLKAAAGSLAALAWIGYTGKGCGMPLPMAQVEESWQMAEFYSNKVLVEYKNKDPDHVEWARALKELYVPDLRDYIKRFYPLGPVWQPPGSATNKAPSAPSPPASLAISSASSSQPKSGMSAVFAEISSGKPVTQGLRKVTDDMKSKNRTDRTGVVTTEGKETRNAPSFGSTKGPAKLELQMGRKWVVEHHVGNKSLIIEDCDTKQSVYAYGCKDCVLQIKGKVNNITVDKCTKVGVLFKGVVAAFEVVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLDTSITTAKSSEINALIPDANSDGDWAEHSLPQQYIHAFKDGQFTTSPVSHSGA >PVH37020 pep chromosome:PHallii_v3.1:6:39138561:39142046:1 gene:PAHAL_6G222000 transcript:PVH37020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALIGRLEAAVSRLEALSAGAHPSIAARGLSDDASAQDPAILAFDDLVASALGRVSAAAGKIGAEVAEVTRLVEKAFLVGKDLLIRTKQTQKPTMESLAAFMGPLNETILEANALAEGTRSSHANHLKAAAGSLAALAWIGYTGKGCGMPLPMAQVEESWQMAEFYSNKVLVEYKNKDPDHVEWARALKELYVPDLRDYIKRFYPLGPVWQPPGSATNKAPSAPSPPASLAISSASSSQPKSGMSAVFAEISSGKPVTQGLRKVTDDMKSKNRTDRTGVVTTEGKETRNAPSFGSTKGPAKLELQMGRKWVVEHHVGNKSLIIEDCDTKQSVYAYGCKDCVLQIKGKVNNITVDKCTKVGVLFKGVVAAFEVVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLDTSITTAKSSEINALIPDANSDGDWAEHSLPQQYIHAFKDGQFTTSPVSHSGA >PAN35615 pep chromosome:PHallii_v3.1:6:39138561:39141675:1 gene:PAHAL_6G222000 transcript:PAN35615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALIGRLEAAVSRLEALSAGAHPSIAARGLSDDASAQDPAILAFDDLVASALGRVSAAAGKIGAEVAEVTRLVEKAFLVGKDLLIRTKQTQKPTMESLAAFMGPLNETILEANALAEGTRSSHANHLKAAAGSLAALAWIGYTGKGCGMPLPMAQVEESWQMAEFYSNKVLVEYKNKDPDHVEWARALKELYVPDLRDYIKRFYPLGPVWQPPGSATNKAPSAPSPPASLAISSASSSQPKSGMSAVFAEISSGKPVTQGLRKVTDDMKSKNRTDRTGVVTTEGKETRNAPSFGSTKGPAKLELQMGRKWVVEHHVGNKSLIIEDCDTKQSVYAYGCKDCVLQIKGKVNNITVDKCTKVGVLFKGVVAAFEVVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLDTSITTAKSSEINALIPDANSDGDWAEHSLPQQYIHAFKDGQFTTSPVSHSGA >PAN33998 pep chromosome:PHallii_v3.1:6:4733815:4734891:1 gene:PAHAL_6G062800 transcript:PAN33998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPHLKNPRSSASAAMAARDWASLAPDILITVILMLGPAEIMRGAERAFSSWRRVAVDDPALWRRIDMGTEVLPFSSGGRAAAHAAMDRAAGECEAFSGPCDNHLLFCLVRRAPSLKVLHVKHLYAQNKVLNSVLNRLPLLEDIEISPSFASTPSENLLQSVCKDCPRLKKLRLNCSESFDFHNGNGVALEIIHGGIAPMHDLRSIELLHCDLTTQGLRAILDNCPLLETLHITGFLVGGKIDEKLRRKCARVKDLTLPGKSVKLYRFHRNGPVRRQVSGPLEMDD >PAN35997 pep chromosome:PHallii_v3.1:6:41251189:41251593:1 gene:PAHAL_6G249600 transcript:PAN35997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGPSPYCRGVLLVLAVAHAAAAAAAAATTGAPAEAPARARQLLLPRESSLPPPIVPTAAAACSQSVLEASLPCARDVLETLVFKTVRLSADCCRVLAGVGEECVAAVLSGGSLGPALLPVVNGICGLVASIG >PAN35216 pep chromosome:PHallii_v3.1:6:36170311:36172955:1 gene:PAHAL_6G190600 transcript:PAN35216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQGVVVPVPAEAAVAMPPNSAPLFPYPPPRAAAPGAAVRKKYLQMGAANGAGARPGGWVESMRASSPTHARAAAALAAGVDEDLRAAWMVEHPSALSKFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKGSRHTRAAKAKGVLFQPASQFLPMIEQVHESLVEKTKSIPGAKVENNKFCVSVHFRCVDEKSWSALADMVKSVLKDYPKLKLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCADVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASYSLQEPAEVMEFLLRLVEWERLSKARPKW >PAN33772 pep chromosome:PHallii_v3.1:6:3173496:3175735:1 gene:PAHAL_6G043700 transcript:PAN33772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSSVAAPSLPNRSSIVRAQRQAPPSVISASTKTGGFHGVSLVDTRWAAGHRKGGGRRRLLRVNARSTAAKNIEVEVDKPLGLALGQKPGGGVVITSVESGGNAARAGLKVGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGGADVDVKRLPKRPAPPRFGRKLTESQKERATHICLDCGYIYFLPKPFEEQPDDYGCPQCNAPKKRFARYDAATGKAIGGALPPIAVIISLVIGIAGVGALLVYGLQ >PAN35773 pep chromosome:PHallii_v3.1:6:40035065:40038248:1 gene:PAHAL_6G232900 transcript:PAN35773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRPAAAAAADAPPGSGSDASDREAGDVRYTRRRRRNSPSPAPSRSRSRSRSRSPSRSRSKTPPPNHRPNAVALSSTPTSAGADFAAASDSDAGGRVSPPRRRDRKGPPRDRLDSDADADASAGGRAPSPRRRGERSPSFHSDSDADAAGRVRSPRRNRERTPRLRSDSDSDNSLAAAGSEDEGAGAGDASPLPRARRSSRIETSNIKPVSTRPMEVARRASAGSSKRSSKRRHSSPEQQKRPPRVWSPEDEITILSALVEYRAKKGRLPASIQDTSKVHSQISGQLTANASTTQLSDKIRRLKHKYKLLVTRAKNGRDPDLPTEHERDVYELSKKVWGFKSGGVLGGSRAFEDAGDAESNEEQEIEESDEGMENGWERRERMSKKPKASRFENGNGNALAVVGRASHGNGSGKDDADKGKQTYPYLWEAVEELSKEHPSGPIFRKAFGVLEKSKARAMEEKLRTFRMSEIRQQLRRMDLMKETVEMVLDALEGAY >PVH36778 pep chromosome:PHallii_v3.1:6:31570638:31576532:1 gene:PAHAL_6G167400 transcript:PVH36778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGWRGERAREQRDACAEERRRAAGRQTPEMSAVWGGPRADRNGTGACTGRAEPGCRAAGRRGARAAEKRWGAVGVRRRRRHRNPCTGPVCRAHRGEDERSEEESVKSSRARSRRSSPAAELARTGSGQWWLAHGGRRWLSRES >PAN35367 pep chromosome:PHallii_v3.1:6:37510333:37512357:1 gene:PAHAL_6G203300 transcript:PAN35367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTVPPVPTWPRQDAIDLHRAFKGFGCDSTTVISILAHRDATQRALIQQEYRAVFNQDLARRIASELSGHHKRGMLLWILDPAARDATILKQALTGDITDLRAATEIVCSRTPSQLHIMRQTYRARFGCHVEHDVTERTSGDHQRLLLAYLAIPRCEGAAADQAAAALDARDLYRAGERRLGTDERAFIRVFSERGWAHLAAVARAYRHMYDRSLEEAVKGETSGSFGFGLLTILRCADSPARYFAKVLHKAMKGLGTSDSALIRVVVTRAEIDMQYIKAEYHRMYKRSLADAIHSETSGNYRTFLLSLVGSDRAYY >PAN36501 pep chromosome:PHallii_v3.1:6:44295822:44296139:-1 gene:PAHAL_6G288400 transcript:PAN36501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTDDSSSALSPAARQRKPAGAGAAPVISCDGVTFTVTEGNEVAEVARGGAARALHSESFLDAGAGTGTRRHFVDVQGEAEAMLFLVSVREDQRRIVAIRRVS >PVH36375 pep chromosome:PHallii_v3.1:6:4674714:4677256:-1 gene:PAHAL_6G062000 transcript:PVH36375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYSASRRGRRDQPPPRPEPVERATDPAAVPWLPPPAAFARKLDHPAAAAAGAPSVERAGGPVTVPRPAAVERVTVPGALPWLPPPAAFARKLDHPAAAATGAPAAVARARGLVAAPWLPPPAAFARRPGSAAPNPSPPEATRRCCRTRSRPSSGRPRRDPHGPARVCRSWRRAARDDPALWRRIDMRGHADLHRRVDLCGMARAAVRSAKGRCEAFWAEYAADDGVLQLLGEQAPSLKSLRLISCQDIIRFEEQIKNFPLLEELEISLFTNIGGKHVFEAVGKACPELKHFRFNSYRFINLGIREHSDDEDYYYDYDDNDLKYKKDDDALGIASMHGLCTLQLFGNSLTNEGLRAILDNCPHLESLDIRHCFNITMDDTLQAKCARIKTLKLPYDSTDDYGFPVCSPLWSVGIYSDSDTLGSFDIYSDSDDCDYGDYILDSDDYDDYCDPFRYLNGIYEAELGPEDRMFLKGMRMLLRDDDDDDDDDY >PAN34559 pep chromosome:PHallii_v3.1:6:15105071:15106184:-1 gene:PAHAL_6G113700 transcript:PAN34559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSKALLLAILGCAFVCSAVLAARELTDDSAMTARHEQWMSQYGRVYKDDAEKAQRFEVFKANVKFIESFNAAGRRKFWLGVNQFADLTNAEFRATKTNKGFKPSPVKVPTGFRYENVSIDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYTAADGKCKSGSNGAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKKGMCGLAMEPSYPTE >PAN34247 pep chromosome:PHallii_v3.1:6:6264607:6265709:1 gene:PAHAL_6G077300 transcript:PAN34247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIRPRKRTASRCVPETDRCTHVFEIAGYSLLKGLGAGECVRSATFTVGGHDWCVQYCPDGETGEEYKDYISVYLELVSQQHGEVRAACSFRLINQATGLSEDEDEDEDVEVMAEPNMYRGEQTSWGCGKFKKKSWLQASPYLRNDRLLIECNVTVVMGTTTVSSKCDIQVPPSELADHLGRLLETKQGQDVTFKVGRIVLAMRSPVFMAELYGPMEDSRTQSITVEEIQPAVFELLLQFIYTDSLPDVDELDVDDAGEFIKHLLVAADRYCMDGMKLICVSILSKRLDAENVVNILALADQYHCGSLKDACIEFMLSSSSIDDVIASKGYVDLKRASPAATVEIWEKAAKSRRI >PAN34341 pep chromosome:PHallii_v3.1:6:7484803:7487900:-1 gene:PAHAL_6G086500 transcript:PAN34341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSANESAAAAQPRAAISHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLDGLLTPEQFLEERESMLQALFPSCTKLPGVLRLVHHLHANGIPMAVATGSHKRHFALKTQNHQEMFSLMHHVVMGDDPEVKAGKPSPDIFLAAMRRFEGNVEPSKCLVFEDAPSGVAAAKNAGMSAVMVPDPRLDVSYHKGADQVLSSLLNFKPSEWGLPPFKE >PAN36189 pep chromosome:PHallii_v3.1:6:42315369:42320496:1 gene:PAHAL_6G264400 transcript:PAN36189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGLEALKERGSCAETPSSYQQHRHSRSATSTYANVPDISPRLSDHIPTTNRNKMLHKRYSLNLPDQLPEYRIITTAERTERAISKSVADLAWEIAVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASAQVEQESQLQRSRKTADEGTLRLRNIKESAAYNLPTLSDSKRELSRSSSGRSSLANFLSASITEYVPKISCKLSEDILRCISAVYCKLASRPLKEANSETSSTPSLSSASSSFSLTNPVDSWSPRCHYNAETTSDTYGSFDGSNGQYTGMIIFPRIHIDEDKFEYASKMLDTIRTLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPMKKSGSSIHPYALRHPEPIAHFALSTGAFSDPPVRLYTAKKLYHQLEQARTEFIQANVMVRKQIIFLPKVLHFYAKDAALELPDLIDMVCESMPELQQKEIRQYLRRRIDKCVEWLPYKSSFRYTVHRSLAE >PVH37184 pep chromosome:PHallii_v3.1:6:42316375:42320496:1 gene:PAHAL_6G264400 transcript:PVH37184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSLTYPRDSPITYLWTTNRNKMLHKRYSLNLPDQLPEYRIITTAERTERAISKSVADLAWEIAVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASAQVEQESQLQRSRKTADEGTLRLRNIKESAAYNLPTLSDSKRHTQELSRSSSGRSSLANFLSASITEYVPKISCKLSEDILRCISAVYCKLASRPLKEANSETSSTPSLSSASSSFSLTNPVDSWSPRCHYNAETTSDTYGSFDGSNGQYTGMIIFPRIHIDEDKFEYASKMLDTIRTLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPMKKSGSSIHPYALRHPEPIAHFALSTGAFSDPPVRLYTAKKLYHQLEQARTEFIQANVMVRKQIIFLPKVLHFYAKDAALELPDLIDMVCESMPELQQKEIRQYLRRRIDKCVEWLPYKSSFRYTVHRSLAE >PAN36188 pep chromosome:PHallii_v3.1:6:42316368:42320515:1 gene:PAHAL_6G264400 transcript:PAN36188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGLEALKERGSCAETPSSYQQHRHSRSATSTYANVPDISPRLSDHIPTTNRNKMLHKRYSLNLPDQLPEYRIITTAERTERAISKSVADLAWEIAVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASAQVEQESQLQRSRKTADEGTLRLRNIKESAAYNLPTLSDSKRHTQELSRSSSGRSSLANFLSASITEYVPKISCKLSEDILRCISAVYCKLASRPLKEANSETSSTPSLSSASSSFSLTNPVDSWSPRCHYNAETTSDTYGSFDGSNGQYTGMIIFPRIHIDEDKFEYASKMLDTIRTLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPMKKSGSSIHPYALRHPEPIAHFALSTGAFSDPPVRLYTAKKLYHQLEQARTEFIQANVMVRKQIIFLPKVLHFYAKDAALELPDLIDMVCESMPELQQKEIRQYLRRRIDKCVEWLPYKSSFRYTVHRSLAE >PAN36187 pep chromosome:PHallii_v3.1:6:42315369:42320496:1 gene:PAHAL_6G264400 transcript:PAN36187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGLEALKERGSCAETPSSYQQHRHSRSATSTYANVPDISPRLSDHIPTTNRNKMLHKRYSLNLPDQLPEYRIITTAERTERAISKSVADLAWEIAVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASAQVEQESQLQRSRKTADEGTLRLRNIKESAAYNLPTLSDSKRHTQELSRSSSGRSSLANFLSASITEYVPKISCKLSEDILRCISAVYCKLASRPLKEANSETSSTPSLSSASSSFSLTNPVDSWSPRCHYNAETTSDTYGSFDGSNGQYTGMIIFPRIHIDEDKFEYASKMLDTIRTLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPMKKSGSSIHPYALRHPEPIAHFALSTGAFSDPPVRLYTAKKLYHQLEQARTEFIQANVMVRKQIIFLPKVLHFYAKDAALELPDLIDMVCESMPELQQKEIRQYLRRRIDKCVEWLPYKSSFRYTVHRSLAE >PAN34075 pep chromosome:PHallii_v3.1:6:5184656:5188289:1 gene:PAHAL_6G068100 transcript:PAN34075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRPPRAPAYKARRARGAASLPPSPRARPRPRHASPRHAMDAGRVHLRLRLPVRWARPAALVVVLVLLLLVATPPGVGAAAAPAAGCECGGKAAEIREEDARGALRLKLIAVASILASGATGALVPVLGRSAPALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALASPCGGGKGAAFPFAGLLAMCSAMVTMMVDSVAAGYYQRSHFRKARPVDDAAEGQHQGGGRGAAAGDEEGAAEHAGHVHVHTHATHGHAHGHVHDHGGHGHAHGHGHGGPAAGASPDDASTVAVSIRHRVISQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQAKFKVRATVIMVAFFSLTAPMGIALGIAITSSYSKHSATALVVEGFFNAAAAGILIYMSLVDLLAADFNNPRLQTNMKLQLATYLALFLGAGLMSLLAKWA >PVH36648 pep chromosome:PHallii_v3.1:6:20428246:20428980:1 gene:PAHAL_6G130500 transcript:PVH36648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYLAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRQLRVLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRAANMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH36919 pep chromosome:PHallii_v3.1:6:36999072:36999898:1 gene:PAHAL_6G197800 transcript:PVH36919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEEGLKQVSEEADEEARKEVDKEGEALKHASNELDRKWEKSITVRDEITSEHDGNSITLASDGVHKDAGTIKILVKQALKETFINWGWILISRTSYVIS >PVH36897 pep chromosome:PHallii_v3.1:6:36547989:36548926:-1 gene:PAHAL_6G193300 transcript:PVH36897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATMARRAAGAPAAGRACGTSRSRRTACSPCGTQSSTARAAPPTPTPSCSCPSPTSPSRPTAITRSSPRAGTRASSGRAPARRTWSRAASAGASATWSRGRSTRRTSTSRSRSCRAGGGGSRRGPSPPTASRTSSTARGAGACTRPGPRTLTSARRGASTPRSGRASSPTPASSTRSRRRRPTRPPSGNGIPRSSSSKKPASSHASRWSAARSTR >PAN36211 pep chromosome:PHallii_v3.1:6:42194914:42199480:1 gene:PAHAL_6G263100 transcript:PAN36211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRRKVGQQGAAGPAAAAPRQPRVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHAVGLAEMLAIFGLVASLIYLLSFFGIAFVQSIVSSSDEDEDFLGVGAGAPPPAAAPPARQAPAPCTLLGSPAAAPEKMPEEDEEIVAAVVAGKIPSYVLETRLGDCRRAAGIRREAVRRITGREIEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGQRYYVPMATTEGCLVASTNRGCKAIAESGGATSVVLRDGMTRAPVARLPTARRAAEVRAFLEDPDNFETLSMVFNRSSRFARLQGVQCAMAGRNLYMRFTCSTGDAMGMNMISKGVQNVLDFLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTDVQSLVELNMIKNLAGSAIAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLESINDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRDSPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMTKIVP >PAN35273 pep chromosome:PHallii_v3.1:6:36823292:36826774:-1 gene:PAHAL_6G196300 transcript:PAN35273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSVSAGMPRYPAPYPMVRPGFVPRPMPPPGVVPIQRPPIIPGMRGVPPLVAPAARPPPPAVTPADKPPTAVYVGKIAPTVDNDFLLSLLRLCGSVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKYVEEKKRAQEKAKETGNGDRDGTTAVAENESSKHVPDESDKATGDAGDKDSEENTNKFGIVTDEDSEADKDVAEKISSMIEEWLKTRPPPPPPPVQPSADSSGADMTKPDSDDKNDADTNKRAVNETERSETGSPDKRKDRERDKDKRDKDFERHERERERERVRRDRERDREKDYKHREAEKLYRDRLKEWESREREKEYQRQNEKDKEKDRERDRRREIMRQEDESDEEDNRKRKRRGSSTLEERKRRRQREKEDDLADKIREEEEIAEARRLAVELQRQADEAAAAAAAAAEESATLMDVDGDDEKETNAQNKPGVVEVDNIASFANGVGAGGGLHKQNNSDETSMAPGQIPDTKQNSSVPAKKLGFGLVGLGKRTSVPSVFAEEDDENNVDKSIRPLVPIDYSNEELQAVQANSSAGPNVAAAAEFAKRISVSNSKEEKTEAEKDRNRRSSDRSSEARVNDERREKIHDRDKEKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGRAKA >PVH36910 pep chromosome:PHallii_v3.1:6:36822734:36827632:-1 gene:PAHAL_6G196300 transcript:PVH36910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSVSAGMPRYPAPYPMVRPGFVPRPMPPPGVVPIQRPPIIPGMRGVPPLVAPAARPPPPAVTPADKPPTAVYVGKIAPTVDNDFLLSLLRLCGSVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKYVEEKKRAQEKAKETGNGDRDGTTAVAENESSKHVPDESDKATGDAGDKDSEENTNKFGIVTDEDSEADKDVAEKISSMIEEWLKTRPPPPPPPVQPSADSSGADMTKPDSDDKNDADTNKRAVNETERSETGSPDKRKDRERDKDKRDKDFERHERERERERVRRDRERDREKDYKHREAEKLYRDRLKEWESREREKEYQRQNEKDKEKDRERDRRREIMRQEDESDEEDNRKRKRRGSSTLEERKRRRQREKEDDLADKIREEEEIAEARRLAVELQRQADEAAAAAAAAAEESATLMDVDGDDEKETNAQNKPGVVEVDNIASFANGVGAGGGLHKQNNSDETSMAPGQIPDTKQNSSVPAKKLGFGLVGLGKRTSVPSVFAEEDDENNVDKSIRPLVPIDYSNEELQAVQANSSAGPNVAAAAEFAKRISVSNSKEEKTEAEKDRNRRSSDRSSEARVNDERREKIHDRDKEKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGRAKA >PAN35272 pep chromosome:PHallii_v3.1:6:36822734:36828429:-1 gene:PAHAL_6G196300 transcript:PAN35272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPPPDNLDPPPSTPTSSAPGAPPAAVTPPPAVTSTPPNPATPGPAAPSPNPNPSPAPAPVSAPPAAVAPPMPPAPVSFAASFRPLGAPPPPPHQVPQYGVVPPNPGYPMAQPMQPPGVPGVMPPGAVRPPTMYAPPQPGAYMPQPGAGVPHPGMPRYPAPYPMVRPGFVPRPMPPPGVVPIQRPPIIPGMRGVPPLVAPAARPPPPAVTPADKPPTAVYVGKIAPTVDNDFLLSLLRLCGSVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKYVEEKKRAQEKAKETGNGDRDGTTAVAENESSKHVPDESDKATGDAGDKDSEENTNKFGIVTDEDSEADKDVAEKISSMIEEWLKTRPPPPPPPVQPSADSSGADMTKPDSDDKNDADTNKRAVNETERSETGSPDKRKDRERDKDKRDKDFERHERERERERVRRDRERDREKDYKHREAEKLYRDRLKEWESREREKEYQRQNEKDKEKDRERDRRREIMRQEDESDEEDNRKRKRRGSSTLEERKRRRQREKEDDLADKIREEEEIAEARRLAVELQRQADEAAAAAAAAAEESATLMDVDGDDEKETNAQNKPGVVEVDNIASFANGVGAGGGLHKQNNSDETSMAPGQIPDTKQNSSVPAKKLGFGLVGLGKRTSVPSVFAEEDDENNVDKSIRPLVPIDYSNEELQAVQANSSAGPNVAAAAEFAKRISVSNSKEEKTEAEKDRNRRSSDRSSEARVNDERREKIHDRDKEKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGRAKA >PAN35275 pep chromosome:PHallii_v3.1:6:36822754:36828441:-1 gene:PAHAL_6G196300 transcript:PAN35275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSVSAGMPRYPAPYPMVRPGFVPRPMPPPGVVPIQRPPIIPGMRGVPPLVAPAARPPPPAVTPADKPPTAVYVGKIAPTVDNDFLLSLLRLCGSVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKYVEEKKRAQEKAKETGNGDRDGTTAVAENESSKHVPDESDKATGDAGDKDSEENTNKFGIVTDEDSEADKDVAEKISSMIEEWLKTRPPPPPPPVQPSADSSGADMTKPDSDDKNDADTNKRAVNETERSETGSPDKRKDRERDKDKRDKDFERHERERERERVRRDRERDREKDYKHREAEKLYRDRLKEWESREREKEYQRQNEKDKEKDRERDRRREIMRQEDESDEEDNRKRKRRGSSTLEERKRRRQREKEDDLADKIREEEEIAEARRLAVELQRQADEAAAAAAAAAEESATLMDVDGDDEKETNAQNKPGVVEVDNIASFANGVGAGGGLHKQNNSDETSMAPGQIPDTKQNSSVPAKKLGFGLVGLGKRTSVPSVFAEEDDENNVDKSIRPLVPIDYSNEELQAVQANSSAGPNVAAAAEFAKRISVSNSKEEKTEAEKDRNRRSSDRSSEARVNDERREKIHDRDKEKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGRAKA >PAN35271 pep chromosome:PHallii_v3.1:6:36822754:36828429:-1 gene:PAHAL_6G196300 transcript:PAN35271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSVSAGMPRYPAPYPMVRPGFVPRPMPPPGVVPIQRPPIIPGMRGVPPLVAPAARPPPPAVTPADKPPTAVYVGKIAPTVDNDFLLSLLRLCGSVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKYVEEKKRAQEKAKETGNGDRDGTTAVAENESSKHVPDESDKATGDAGDKDSEENTNKFGIVTDEDSEADKDVAEKISSMIEEWLKTRPPPPPPPVQPSADSSGADMTKPDSDDKNDADTNKRAVNETERSETGSPDKRKDRERDKDKRDKDFERHERERERERVRRDRERDREKDYKHREAEKLYRDRLKEWESREREKEYQRQNEKDKEKDRERDRRREIMRQEDESDEEDNRKRKRRGSSTLEERKRRRQREKEDDLADKIREEEEIAEARRLAVELQRQADEAAAAAAAAAEESATLMDVDGDDEKETNAQNKPGVVEVDNIASFANGVGAGGGLHKQNNSDETSMAPGQIPDTKQNSSVPAKKLGFGLVGLGKRTSVPSVFAEEDDENNVDKSIRPLVPIDYSNEELQAVQANSSAGPNVAAAAEFAKRISVSNSKEEKTEAEKDRNRRSSDRSSEARVNDERREKIHDRDKEKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGRAKA >PAN34375 pep chromosome:PHallii_v3.1:6:7840827:7844681:1 gene:PAHAL_6G088300 transcript:PAN34375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSCRGLLLLATVASLSTVVTSKDSQFTWVDCQSPASPSPSPSSPSSSSSTTTNSTYWSNVDALLDALPSAAAPTGFASLSRGDGTDGAFVRGLCRGDSTPSRCATYLRDAARSIRSRCNDSSRRAAIWYDDGSGVTIPAPMFCFVSFADTNASTAYEDAFRQPFQNAVVVSDKDGFEISYNALMSHLAARVVNGSDDTASASPAPMFATGAAVYDATGPNGTMYGLLQAMRDRTPAESHKCLQDSVQQLPSCCSGHRGGVVLGYNCYLRMEVYPYYNLALNGPPIVAPAALVGQRQGIKGVYVILAVAFSVGTVLTAVIIAGVFFYRRKVNRMKTPPAFFLKSNSSSKEEDIGYVEPEQLNLVVLRAATNNFSEENKLGRGGFGEVFKGTLQDGTEIAVKRLSQNSSQGFQELKNELVLAAKLKHRNLVQLLGVSLHEEKLVIYEYMPNRSLDIFLSDPVRRQQLDWNKRFAIICGIARGLLYLHEESRLKVIHRDIKPSNILLDAEMNPKISDFGIARAFSNDQSRDITRRPVGTLGYMSPEYAYWGHVSTKSDIFSFGVIVLEMVTGQRNNSAYSDTSDSISVLSHVWDSWRAGSMVDVVDPSLAVSRYPESEVFNCIEIGLLCVQENPVDRPDASAVMLMLSSPTTMCNDRRAPSRPAFVFSSGFTESDDRPSRSGARNSDGVLQSSTTMVSENEISISEIQPR >PAN35383 pep chromosome:PHallii_v3.1:6:37586935:37589002:-1 gene:PAHAL_6G204400 transcript:PAN35383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRRPIGGARVAAVLVAAALLLAAALPGGAAQDLTGDRAALLALRVALDRGGVLPWDPAAATPCGWRGVACAQSQAGPRVVELRLPGKRLSGTIPPGTVGNLTALRKLSLRHNAIAGEIPADVGNCGELRVVSFRNNQLTGAVPGGLFSLAVLRHVDLALNRLTGGVSQEFNRLKQLDTLFLESNGFAGALPAGLYLPNLSRFNVSFNAQVTGPVPASLARMPASAFLGTGLCDAPLAACTNSTPPAPPPTPSAGEKKRKLSRWAIVGIIVGAALILLLIMGLVACLRRRRAAPAGRAAGAAANVQGGTTPITVTVARTDRDAVKQSHAPPIAPAMISEGKKLVFLGSAPERPYDLETLLRASAEVLAKGTLGTTYRATLDGGEPVLAVKRLREVPLSEREFQDKAAALGALRHDNLPRLRAYFYSKEEKLLIYDFVGAGSLSALLHDGGAEGRARLDFTARARIALAAARGVAFIHRGGAKSSHGSIKSSNIVVTAARDGAYVSDYGVAQLAGAAELPRRDAGYHAPEVTDARAVPQSADVYSFGVVVLELLSGRAPGRAPALVLAGDADGVDLPRWVRSVVQEEWTSEVFDAAIANEPRVEGEMLRLLQLGMDCTEHHPDRRPSMAQVEARIERIVEDASRKADFSSTDGSRSASA >PVH36764 pep chromosome:PHallii_v3.1:6:30543324:30544024:1 gene:PAHAL_6G163500 transcript:PVH36764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARVQKVVAVGFSQQQGTRVQPDVVISPASQQCSNCASTMAPGDEPQENVPAVDTQRYPVEDITMQTPCELHVKAKNITALAAYRSALPVIPGGTIHGRQVSPGYSVLTVEQIVEAGRQNEKLELDFVGTHGCIQWRKAYIKLIGNTTALVNPPSLLGPYNDDDGNFAGPSSLPPRAPSPSSPPRARSTPTPLAPTKGKNRPHPAHRLEPQEAEHCRKENRP >PAN33493 pep chromosome:PHallii_v3.1:6:1837003:1842987:-1 gene:PAHAL_6G025200 transcript:PAN33493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASALLRRLRRHRAGPAAAALFPRRLVSSDPAPASSSLGAVLGPSHLSVDGGGSGCGRSAWPASHPRPRFPRRQHAAAMATRAAHQAASSPDSPVTAASSDASTSTTTAAAQSEIVDFIKSTFGKLEGQNHCWLNAMNGIWKNLNQEGIYLVLLYQSCGTLNSSNNHSVAFERLKYLQQRYPHLNVFAVQLGSDVSSLAAQSQAVHTIVTDYIAFPILILHKDFSNMTNGACYLLFEGSKDPMLFPKWVEEPDLMIKAIEELSVLKEELSGNVLSRVSWQKEEVVREPYVGSFKNLLLNHPACVSVDEDGDRIFFSDSNHHRIIISNSNGMILDYIGSSPGFEDGEFESAKFLRPASSFYHADEDCLYIVDSENHAVRKADLGRRTLETVYPVSNKSNGIWGWITDKLGLRKEVTPTIQDFDADSVTLPWHLIQISEDVLLVADRSFESPWILRISTGKKQDIGRAEVMESYQQTINERFALLKDIHMNRPSGAKEPYDSLEKVTGKELVSSVSRFHNYIIFSDTDGQRVLKHNFDTKITSTINFSNCEVLGLPYWSVCNLERVSTWGRSTEQFQEHVRQVDVLPGRCNITVYIDIPVDTELAAPLAENCVWRQVRGSGAEISGCDGPDTAMEKVGIAQQWYDELDNLAFSEVAEEPTTAHGGDDKSADQSYQDQRRVQFTCAVNVSPGTCELVASAALYLKLARTIGDHVDQKALVKWIMGCQRREEHAGVELLMGSRGGDARDLVVMRPVHLRLRLECGDHPAGATNKETISTESSLKIDVSLD >PAN33558 pep chromosome:PHallii_v3.1:6:3339005:3344436:1 gene:PAHAL_6G046100 transcript:PAN33558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNPTSSRTKISKRNKKVKADVEKMVLTPPVCSVNDVHQGQRKDPPTFHPSLWGDFFLTYQPPTAPKRAYMTERAEVLKEEVRKMVKGANEIPNILDLIITLQRLGLDNYYENEIDEQLLFVYDSDYDDRDLNLVSLRFYLLRKNGYDVPSDVFKSFKDNEGNFVADNTKTLLSLYNAAYLRTQGDKVLDEAIIFTRSQLEAVLDSLEPTLADEVSHALQTPLFRRIRILETRTYIPIYEKKAARNEVILEFAKLNFNLLQLLYCEELKTVTLWWKQLNVETNLSFIRDRIVEMHFWMTGACSEPQYSLTRVITTKMTAYITILDDIMDTYSTTEEAILLAEAIYRCEENAAELLPEYMKDFYLHLLKTFDSCEDELGPNKSFRVFYLKELLKVFVRAGSQEIKWRDEQYVPKTINEHLEISRATVGGFQVACSSFVGMGDIITKEILDWLLTYPELLKCLSTIARLSNDIKSTEREQIGAHHASTVGCYMLQHRTTMNDAYERIKDLVEDAWKDMMKLFLTPTEQPKLIAKTVVDFARTADYMYKKTDAFTFSHIIKDMITMLYVEPTLF >PAN35105 pep chromosome:PHallii_v3.1:6:34739600:34742873:1 gene:PAHAL_6G181600 transcript:PAN35105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTAAAPSTSLAAALPSSRARRRRSRVVIVAAAAASRPGAGAREGGTERFATSGSITDYLRYRRPELGGGGTGGRAGVAGGELQTAVVRFEKRFPWSLLHPFLHVDLVSTVHIADKEYFDRLQQELEGYDCVLYEMVTSRDNLNHPKGPMAAKKMKSSRRGFSILGFIQKQMARILSLDYQLDCLDYGNEKWQHADLDYETFKQLQSERGESILTFAVDMTLKSTKALVQPTNMPDGLDFWRSKLLWASRVLPMPLVGLLVITGLCLPVENQDGFPELEALSRLDVGAALKIFLAKQLTSEFTAVTSPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDSKSLPFLKTMAEASGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVNWASLAGSWIDKLNGPF >PAN36542 pep chromosome:PHallii_v3.1:6:44514862:44516524:-1 gene:PAHAL_6G291300 transcript:PAN36542 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF2 [Source: Projected from Oryza sativa (Os08g0544800)] MEAQAQHRAEEGEAAARQHAVGAGGVTAAAGVAMGAIPMHGFMVPKPEPVEYFGGMAVVRSKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIATTVDGVLRIPTQSSSSSSPSSLAMVADGEESSAKRRRKLQPTRAAAGASPLATAAPAAYYPVIADPLLQGTGGAAISVPSGLAPITTGPGAPQGLVPVFAVPAAGSPGGGANRMIPQATAVWMVPQPGAAAGGAAAQPTQFWAIQSAPQLINLGGAQTAVFPTAVNVADFQHQQQQASTMSHSSNSAEQHQLHLQHPGSGPHEQRRGGRSDVDHPEEDEDDDDEEPVSDSSPEE >PVH37425 pep chromosome:PHallii_v3.1:6:45665904:45666519:-1 gene:PAHAL_6G309100 transcript:PVH37425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSATQSRGSGASRIVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSFPKLCGFYNFQRQYLDKLEELGIVAIHKFPLAVDIGDEAEEVADASSGRMVMNMRAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PAN33453 pep chromosome:PHallii_v3.1:6:1680869:1685278:-1 gene:PAHAL_6G022700 transcript:PAN33453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDTAAKEMEALHVGQNDEMKENLIKEDKAANSNSAALAAQSSPPEDDDDEAQTDGPSQDGAPAVKKKKKKNKSKKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMVDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKETGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >PAN33860 pep chromosome:PHallii_v3.1:6:3793122:3796433:1 gene:PAHAL_6G052200 transcript:PAN33860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDPSIAVEAEAAARRSEEAAEAFFRAAPPLRDRDRVAASVADFVARHSAGSGDAGGPAGVICITSGGTTVPLEQRCVRYIDNFASGQRGAAATEYFVNAGYAVIFIHRRGSKQPYCRFLPEDSFLDLFELGEDSEIQVPQSHSAVVKAAISNYRKAIDEGLLLKLPFTTIFEYLQLLQMVATSMNCLGHRGMFFLAAAVSDFYVPWESMAKHKIESAVGPLSMQLNQVPKMLFILRKNWAPSAFCVSFKLETDPNILLQKAEMALKKYGMNVVVANELANYKDVVVMVTSSGRTAVSRSSKEEDLEEQLTELLVKMHSEHIRQSGSEDC >PVH36258 pep chromosome:PHallii_v3.1:6:2471624:2472175:-1 gene:PAHAL_6G033500 transcript:PVH36258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGLLLMLSLVLFACSTIPPAYVIVPQRLYNGCYQNHKALYELDLFCCIKDKLCWPSLQECMPNCPCKVNCGGNKKKNPEAPAHPSPVVRG >PAN34989 pep chromosome:PHallii_v3.1:6:32622186:32622785:-1 gene:PAHAL_6G171900 transcript:PAN34989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSAPRPPSPTRHRRHRPRHRARRRSAPRAPARLCLYPRRRPAAHAAPAAAAGSRPRSPRGRRRRPAPLCPAGPRAALPLPPPPPPSRAPLPRGPPRGSASTPAAAAGSRPRSPRGRRRRPAPLCPAGPARLCLCPAAHPLFTGRPGIDLQSRGIAIATQTLLRTAEIFL >PVH37361 pep chromosome:PHallii_v3.1:6:44767490:44768947:1 gene:PAHAL_6G295400 transcript:PVH37361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAPLRVPAPRAGAGIGTPPFRSASASSAPKLGRIRCAAAAARPAGRGAPTLYEVLGLRAGATVREIKAAYRRLARERHPDVAGAAPGAAAEFVRLHDAYATLSDPDSRARYDGAVAVAVAVARRPYPRWAAGAGYGRPRRTWETDQCW >PVH36232 pep chromosome:PHallii_v3.1:6:1858365:1858532:1 gene:PAHAL_6G025800 transcript:PVH36232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVARKQKEFMGADRIIFLICRKDFVNATFWSYWPFGLALKTLASNYLKKQMKC >PAN34292 pep chromosome:PHallii_v3.1:6:6697678:6698404:1 gene:PAHAL_6G081700 transcript:PAN34292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEPNCMNAEGDAAVSDWESSTAAGASPGRVLPLGVLEFKVPRCKDLDTVECARKTIEWCVTEATARLAVAAADETVRGNRCVITAGDMERAIRGLKEPDTVPGAVLRELVARAKEEKRADKLMRRQERASRKQAGEEGAAAAQEEQGPRGGRTSGHQE >PAN36138 pep chromosome:PHallii_v3.1:6:42490360:42496473:-1 gene:PAHAL_6G268000 transcript:PAN36138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRLEDEEAVKMCRDRREFIKQALEQRNRFASSHIAYIESLKRVSMALQRFVAGDDHHELIFNTFISPVKQQKPEMLSLPYGSYEKRTIHVSRYLRSGPNPSVSVEEHPRPVETVRVESHYPMDNYSGTDRFFQTHSSPMRSSSYYPPPYNRPSYPPPSAQEPVRNSSYYMPCDRPSYAPPSPQEPMRTSYYASYDRTNYPPPSPQEPVRNSYHASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYENVVTDDELARLQRVREEEGIPELEEEDDECQDHVPMHKKEEKEQHVAEDDDEDEDDDDECEHSDECMASNEGACFVNFEVNTKQETKGFESKGVQCTEPPQPRKTVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLVEAMKDIDCQFLGICDAAREVSVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLASSSSIDDLFDNETGSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRLTHLRNQDVKGEEPSSVDKTRAALRSLHTRLKVSIHTVQSISRRIEVLRDEELHPQLMELIHGLSRMWRAMAERHKAQKRTIEDAKLLFLQHLPSAATAISLGPLEAATPPPAALALESEIQAWRGALETWLSAQRAYARALAAWARRCLGVRGEACPAHAAAALPPAFLVCMEWGRAVDAATEARVMDGLDFFVAGVGSVCSGAATGMEGMAGRVLCAGMAAVTGAMAEFAAASADSYDAAVTAVIAAAPAPERARDDGVGQPPRTDG >PVH36972 pep chromosome:PHallii_v3.1:6:38222479:38223769:1 gene:PAHAL_6G211700 transcript:PVH36972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLLPAGFRSFPADEELITCYLARKAMDASFTSPAIRDVDRPLQVRAVGPAMRATSSALGAASTCPACAPAARRGAGTGSQTGKDKAVHGRDGRLVGRRKTLVFYRGRAPRGEKTGWAMHEYTMGERSSSAPLRGAQSEWVICKVFVRKHPRGDERKVAPEEAVRDQDSTQGHLLPVLPDGCDGHEQEAAPPAVVTDSQHTISHSGAHVMEGNEKDHHQQQHHHHQMVHEELLLMIDHHGRCGASPSWFNHDDQLGSHCSALPVMQMQSDDADYYLPGLLEYDGCDDLPNDAGSGLLDTGGEVNRRAEIITSATIGPLHFDGFYWNFGF >PVH36499 pep chromosome:PHallii_v3.1:6:7201827:7205204:-1 gene:PAHAL_6G085100 transcript:PVH36499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRYGIHAVTLWLYLIRSGYSMVVSDFFSFSCSTMSSGPDSVQQPTDDRASSRQPARSQSGASTSGAGRKKRSQTTWPSDVKSCGRVNSEAAPEDPSILVRLGRVCGLTARQRVPLTLEHFDDLSWDDKKRIFENNIQPYVEYPIELHDKATKHSMKIISKAWRSYKNKLLKCWKKKENPFDKYADLTKEAWDELVQKWNTAEFQQSSEYFWGLRARNELDHHLGSAGYAGKQRKWEQEDEMLAERGIENPYESFEGWLAPFMRARSKLMEDGNINFYSTSAEEVAQRALMESSQGSNEGVREFDALTRALGTLEQRGRVRGVSGQLTWKEGFPEHKGRYRKRTQDSSSKVDIDEIKKQVKMEMFRELKTIFESQGLSFPDLPGSTMSEERRDSFASTAAGASQSRGTERAIVPTSVEPDTIDGLARPTQCSLLVQLVGDSSRLEVGKGLVYPGMSQLEGVQVRAHCAVVKIDLLHEFAKNIKLDVPPDDMTTTLRDAVARRVQWRRAGIHIDPADADSVPTTEPQPQSPAVPPTFSEPWPQLPDTWEALPDPHPPVPTQPQITPPPPVPTEPATAPKKPSKANPVRKKQSRPMATKREISEGKKKVERIKHPVTRAYTSENPKYRVGKSLLSVPELRTAGQYCVDLHNYYMRNVNQAQEIMVSYEERHFLQLEGSRNIFIVAFCDLFDLFNLDALDLSLIRCFALYMVKCMRVHADKEHIVVPYNPGNHWVTLIINVRSKQVFYLDSSIPSDESGAPQIRDYSLVISILDESLDRHLRAEEGYKEQHQVAFTHHTAWTCTRQPSGNSCGFYVCHNMLLVAEKPDFTDEDDYFNQTTLGNVKDIRERLAGFLMMEVINPKGEFHLS >PVH36193 pep chromosome:PHallii_v3.1:6:1473331:1475129:1 gene:PAHAL_6G018600 transcript:PVH36193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKQGKARPARRRRASGLHAMSPCNQLLPLCRRAPTQENKRPPNPTLFSCGGVKGNGATTRQGWGICFRKSLQFIFEGWVYCKV >PAN35088 pep chromosome:PHallii_v3.1:6:34208583:34212882:1 gene:PAHAL_6G179900 transcript:PAN35088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQELAEVVPNDLDPLLGREDKEAESSSVELSAPQPATVTPLEIEDEETDGSSAACCRICLEAESEIGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVLLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDHQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGNYTAPKLDPEHEERLKMLKLL >PAN33941 pep chromosome:PHallii_v3.1:6:4400434:4401633:1 gene:PAHAL_6G058700 transcript:PAN33941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSYFLLAVLLALVAYQANASDPSPLQDFCVADKHSPVKVNGFVCKDPMAVNADDFFKAANLDTPRDTMKSKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLLAKVLNKGDVFVFPEGLIHFQFNPIHDKPAVAIAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >PAN33235 pep chromosome:PHallii_v3.1:6:359342:363914:-1 gene:PAHAL_6G005000 transcript:PAN33235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCPRRWGSFPLCFFLVIFLMAQLGACNVVLMANNTTLSFDDVEATFTPAVKASGVNGALYAAEPLDACTPLRTKAVKGSVSPFALVIRGGCQFDDKVRNAQNAGFKAAIVYDNEDNGVLVSMAGSSSEVHIYAVFISKASGEVLKKYSGQTKAELWIIPTYENSAWSIMAISFISLLAMSAVLATCFFVRRHQIRRDRARIPRAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYTVGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDAKAGTSNPPVSESTPLLSSAIRRPAESTALASFLSAVAASPPRPINRHASSQSISRNYSISGSSITRTPNLNRSYANSPPMCTSASNADLANMSSPWSRTSHLASAHSLYGGHLSPPINIRYTSPHVSSSGYGSPSRYIGSSQVSHGSPSYYPGSSGQRHPYLRHCTLSGPSLFTMVPPSPQQTQLQHGGDSETSLSAAASTQSFR >PVH36137 pep chromosome:PHallii_v3.1:6:359914:362701:-1 gene:PAHAL_6G005000 transcript:PVH36137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCPRRWGSFPLCFFLVIFLMAQLGACNVVLMANNTTLSFDDVEATFTPAVKASGVNGALYAAEPLDACTPLRTKAVKGSVSPFALVIRGGCQFDDKVRNAQNAGFKAAIVYDNEDNGVLVSMAGSSSEVHIYAVFISKASGEVLKKYSGQTKAELWIIPTYENSAWSIMAISFISLLAMSAVLATCFFVRRHQIRRDRARIPRAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYTVGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDAKAGTSNPPVSESTPLLSSAIRRPAESTALASFLSAVAASPPRPINRHASSQSISRNYSISGSSITRTPNLNRSYANSPPMCTSASNADLANMSSPWSRTSHLASAHSLYGGHLSPPINIRYTSPHVSSSGYGSPSRYIGSSQVSHGSPSYYPGSSGQRHPYLRHCTLSGPSLFTMVPPSPQQTQLQHGGDSETSLSAAASTQSFR >PAN33236 pep chromosome:PHallii_v3.1:6:359361:363912:-1 gene:PAHAL_6G005000 transcript:PAN33236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCPRRWGSFPLCFFLVIFLMAQLGACNVVLMANNTTLSFDDVEATFTPAVKASGVNGALYAAEPLDACTPLRTKAVKGSVSPFALVIRGGCQFDDKVRNAQNAGFKAAIVYDNEDNGVLVSMAGSSSEVHIYAVFISKASGEVLKKYSGQTKAELWIIPTYENSAWSIMAISFISLLAMSAVLATCFFVRRHQIRRDRARIPRAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYTVGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDAKAGTSNPPVSESTPLLSSAIRRPAESTALASFLSAVAASPPRPINRHASSQSISRNYSISGSSITRTPNLNRSYANSPPMCTSASNADLANMSSPWSRTSHLASAHSLYGGHLSPPINIRYTSPHVSSSGYGSPSRYIGSSQVSHGSPSYYPGSSGQRHPYLRHCTLSGPSLFTMVPPSPQQTQLQHGGDSETSLSAAASTQSFR >PVH36605 pep chromosome:PHallii_v3.1:6:17058818:17063628:1 gene:PAHAL_6G120400 transcript:PVH36605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQARAGRRLGADLSVAGAERTSTHGLGWLDAGGGRVGALHQATAQGPVGAVGGGIGVGRGCNGSGAGRWLAGEQEAVLGGSIGRSGAQTTAVWRGGGAAVRMAGAARVPELHFFPNVSSVPIADEGPRATIKTRLDHSRRRKLPTNPGTRRKLF >PVH36662 pep chromosome:PHallii_v3.1:6:21315159:21316583:-1 gene:PAHAL_6G133600 transcript:PVH36662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNVVEQNDRSARRQLDFALGINMAGMASRRQVNNKEADSSNRPQKAKKEYVTEERQRLRRESEDEEYEHRTGKRLKKHEDARDHWHCPFFRYCWDSGMSRLPTVKDCPECAPMKTEARESVFGRLGPVPTQQQRVQPLRREDEEEDRYHCPRWCPDGLNRSQKHRVQRLRSLEEAEARYIETLRKARPDLAEQIRYEQEKKPHASRKEWRPKSIIAEKKVSADTHMVFVLPAEFHARTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIKISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PAN33583 pep chromosome:PHallii_v3.1:6:2329599:2331754:-1 gene:PAHAL_6G031100 transcript:PAN33583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVEPIDGAPPPHLHETRRTIGLGLPAGGSGGGDPSGTLTPCPTPGAWWPPAARARLLRHALAGGRPPRPNRYVTACATPSACLPVPTRPRPQAADPDAAILDVLKPEDATFLEWRWRWPSAFCFHTAGAVEAFALGWMLPARLVPKAASSVSVKGSSRGSIELKRETCEGAGASAILFIWCAASPMLYAAARLLVASNVYYALMSCKL >PAN33961 pep chromosome:PHallii_v3.1:6:4582862:4585364:1 gene:PAHAL_6G060400 transcript:PAN33961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSARASCPLLLLPSPPPACPSMLATKRTQRRRRILSCRAAVRFDRRDVLAGLTGVAAGELGAHPGLAAAEDASVVSCPRGETVTDKLLACQEAGQKPCPPTPAATAAAVDFTPPTSPMRVRQPAHLADAETVKKYRQALAAMRALPDSDPRSFASQAAIHQAYCDGHYRYGGPAAASKDDAPFDVHFSWIFAPWHRMYIYFYERILGGLIGDDAFALPYWNWDAPAGMAMPAIFKDAGSPLYDANRDPAHLGAYVNLDILNAGDTVIPFDPQAVQNNQVVQNNLCTLYVQMMRNKKAQDFLGDKFCAEYPSTVSSGTSGSLESMAHTSVHIWTGDPGSSTVGNDGQEHTGADMGFLGTAGRDPVFYSHHANVDRLWHLWATKLGRSNFDDPEWLDTSFVFYDEKPQLVRVRVRDVLDAAALRYSYDDREPLRWMDARPTPLLPKGTAAAATTRRSLLRGAAPAPAFPLTLTPGQSVEVPSVPMPPRAQKTPAAGGGTQPDTVLVFDHIEFEPGRSGKFDVVINVPPEQAAAAGPRYSEYAGSFATLPRGGNRSGETVVVALVLPLDEVLADIGVGEEDGAVNVVIVPRTQGIKIIRPPRIEIRER >PAN36047 pep chromosome:PHallii_v3.1:6:41519920:41524563:-1 gene:PAHAL_6G253300 transcript:PAN36047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLAISRRVALLMAVEAAAMLLPPPALSAAAKTAAAETVPRACTRRCGHISIPYPFGIEPGCYLTGGFNLTCNRSHVPPQLFLGDGTVQVLGISVPNATVRINSIVVKFPGGAGGGARGTWGGALGDGGPYYLAEMRNKLVANGCNVQVLLTGDPNQTVSACSAFCNEDRDGGAVIYVAGHCSGVGCCQAEILVGRSSYGFQTIGMMNGEAESNGWACVVESELDADPNQLEMVDPRALPAVLGWRINQGTCHGNKSSPACRSRHSFCENITNEYATIGKEEGHLCHCARGYEGNPYIPNGCHDVDECKSPGACYGDCKNTQGGYQCQCPPGFEGNASIPNGCKDIDECAHRDTYPCYGICINMPGTFHCQCKSGTSGDPLTKGGCIATKIFPVRAIGLGVGGGIGLLLVAIAAPFIFRTVQEQKVKKMKERFFKQNHGLLLQQLISQRVDIGGRMIITLAELEKATNNFDASHKVGGGGHGVVYKGLLDLQVVAIKKSKIIVQREIDDFINEVAILSQINHRNIVKLLGCCLETEVPLLVYEFISNGTLAHHLHVEGPKSLSWDDRLRIALEISRALAYLHSAASTPILHRDIKSSNILLDDNLTAKVSDFGASKYIQIDQTGVTTVVQGTIGYLDPMYYYTCRLTDKSDVFSFGVLLVELLTRKKPFVFRTNDGGSLVSHFASLLAEGCLADIIDPQIIEEDAEQVDEVATLAAKCTKLNGEERPTMREVEMTLENLSVARKQENYYTTSRRKYEKDQTAAHYMSLGGLAAETSRQYTMEEEMLLSASYPR >PAN34291 pep chromosome:PHallii_v3.1:6:6685217:6686340:1 gene:PAHAL_6G081500 transcript:PAN34291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWLPASSCCHPMTVVAAALLLTAMVAAPPAAVALLHDDVEPMWGADHISFHTGGDGVETLALRLDRDHGSGFRSKGAYRFARYDIDLKLVANDSAGTVTTVYLTPDLVPPEDHDEIDLEFLGNVTGEPYTLHTNIFVNGVGNREQQFRLWFDPAKDFHTYSVDWNPRRIIMFIDGTPIRVYKNEAARGVPFPTLRHLRLDGSLWNADDWATQGGRVKTNWTQAPFYAYYRNFRVTPCAPSSPGVASCGDEQPESAGFDKHGAALHKARAEHLLYDYCEDQNRFKNEGLPKECTAH >PVH36117 pep chromosome:PHallii_v3.1:6:126478:128011:-1 gene:PAHAL_6G002300 transcript:PVH36117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKYCDQHKDCERQRLYRRFCAGLAALILLALLIVLIVWLVLRPSKPRFYLNNVDIVCINVSASSALTVTMQATVAARNPNPRVGIFYDRADVYAEYRGLQVTVATALPPMFQGRDDATVWAPFLSGAGVPLPPYLATALAQDETAGYLLVTVRVDGWIRWKAGAFITSHYHLRVRCPALLTVNDGQGSYGSNAGGGAGYFKFNRAAPCIVDV >PVH36476 pep chromosome:PHallii_v3.1:6:6620458:6622888:1 gene:PAHAL_6G081100 transcript:PVH36476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGHVRKGQLANDGFNYWTHLSEKLKEYETSVDHIINMTTWYELHNRLQKEQTIDKVAQQQLEKEKEHWRKVLFRIVAIVKFLGKYNLAFRGHNCKLYEDSNRNFLGLIEMLAEFDPVIQEHVRRITNNETQVHYLGPRVQNELIYLLGSAINSKIIKKIKQAKYFSVILDCTPDASHQEQMSLIIRYVDSSSNHVRIEESFMGFLEVNDTSGKGLFDVLEGELKHLGLNIDDVRGVQKKLLDINPRTFYSACSCHSFNLTLCDMAKSCAKAKDFFGIIQRIYMTFAKSTKKWQILKDNLTGLTLKSVSATCWEKPDNDPVASSEAKSLANNELGDFEFLVAIVIWYEILYAVNVVSKNLLSKDMLIDVAIEKVQDLISFFKQYRETGFLNALEAAKEIALEMDIGTTFQKKRQTKRKRNFDENPNDTNIEIQSAEESFRINYFIPVVDQAIASLTRRFEQYQGYEKIFGFLFTSNALRSLDKKSLKTCCHYLETALKRDGQSDIDANDLFVELSFLQDFIPQENMGPLDILNFLKQHDYFPNATIAYRVLLTIPVTVASAERSFSKLKLLKSYLRSTMTQERLNSLATIAIESEMLEKIDYEYIIEDFISKNTHRIILFK >PVH36872 pep chromosome:PHallii_v3.1:6:35485677:35487200:-1 gene:PAHAL_6G186000 transcript:PVH36872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLLLPFLLLLLAPAPAPSAAASSSFALNFFPAAAAQLALSGGANATAAAVSMPSPGARVQYRTPIVFPSAAGGLAFSTYFAFALPPSAASSLAFFLTPSAAPHSPPALAVVFSARHVRVDLVGRAALHGQARYPPARSRTRSLHAWIDYNATSATLRVRLSATRNPAHNHPSPPLLSFPLDLSPVLRRGPVLAGFSTPSGNCTLFSWAFHAAPYRMHSQPLNPTDLLTAPPPPPERRYSPWGAAVSLLFAAACGAMVTFFVLFLWYSVTARRPVAPVEYPMHTSDVVYQKIVLVGVKDDAATADDDGHPPSGAANE >PVH36533 pep chromosome:PHallii_v3.1:6:9015874:9017681:1 gene:PAHAL_6G094400 transcript:PVH36533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVQSSKVVKPAYGEGSTPAVDVVIPLTVFDEVHDEYMSSIHGFHSPSPTPAALEAGLARALAEYREWAGRLIAADGSSAAGRRALLLNDAGVRFVEATAGIALEAAMPLLLQPAAARRLHPSGEGAEELMLVQVTRFACGSLVVGHTMHHAVGDGFAMCQCLLAWGQCTRGATVDPVPVHDRESFFLPRHPPRVEFDHRGTEFKVPNDNDDDDEKKSPPRAADNDVVVTHKVRFSREFISDLKSRASAAATTLRPYTTMQCLVAHLWRCVTRARGLDGGEATTTLHMAVNGRARMRSPRVPQGYTGNVVLWAHPAATARELLAGPLGRAAELIRREVARVDDAYFRSFIDFIGSGAVEEEGLEPMSDAAESPDVEVYCLYRIPFYDLDFGGGRQFLYMPSNQPVDGAVYILPLCPQGDGSVEALVSLYSRAMDAFKDCCFSLMVPDILL >PAN35127 pep chromosome:PHallii_v3.1:6:35111064:35113058:1 gene:PAHAL_6G183500 transcript:PAN35127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKFAPSQTVTFNVRSDSYTTFISTLRGALAGSNPDKVRDRPVLAKQTGEINQPPRWIHVVLNGVGGAAPKVAIRSDNAYIAGFVNRPKGSTEDVWYQLSARGSTQLFKGAKMLGFNGHYSTLVGGQGVKDLPTLELGMERTVEAANVLWNYKQDKLGYAAADTVGVGGPQRNLKRKLALLAVTLCEAARLEPVRSVINGGWQRESISITDREVGYIRDWSDLSTALLAWKADKFKNDTTHFSKFERIGIRDGAGALAVVQLLLNKPPKKGLLSWLKHLWGLLVKKNNSQPQMQQEEKQYDHQKPELEKIRREAKSSSIVNPL >PAN34147 pep chromosome:PHallii_v3.1:6:5487524:5490146:1 gene:PAHAL_6G071400 transcript:PAN34147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQIAVTCTGLIWSRYSLVITPKNWNLFSVNVAMAGTGLYQLSRKIKQDYLSGEKEAAPQLEA >PAN34146 pep chromosome:PHallii_v3.1:6:5487307:5490164:1 gene:PAHAL_6G071400 transcript:PAN34146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQIAVTCTGLIWSRYSLVITPKNWNLFSVNVAMAGTGLYQLSRKIKQDYLSGEKEAAPQLEA >PVH36515 pep chromosome:PHallii_v3.1:6:8479885:8480715:-1 gene:PAHAL_6G090600 transcript:PVH36515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSTLMLNHQMGKAAVQRAFKKDIFFPVLQKLVEADEHSWHYFLIVLNLRNKSFELLDSMRSLEDEKLAARCNGFLAAIKSLWNDHYSDSKHPIDNYELVDIAVPKQTNNKDCRFHMIMHAQYWDGRSLSHFNENDMSNIRNILTYKWIKYEENDTAWETILNMKI >PAN34287 pep chromosome:PHallii_v3.1:6:6617496:6619390:-1 gene:PAHAL_6G081000 transcript:PAN34287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLMSCGSGGGGAYEHLAFQEAAAAGLRSLELLASSLSPRAAGRAESPPLGQIADQAVSRFRRVINLLDRTGHARFRRAPAAPPATETPPESPRPAPVSQPAAAAPQTKSLTLDFTKPTLEAKASAPAASATSTSFLSSVTAGGEGSVSKGCSLAAVSSGKPPLPKRKHPASGAAAAAAAAPTAHHHHGEPGAARCHCSRKPKRSRHGLSRRTVRVPAAAGAPGPQSSHAPASSDIPADEYSWRKYGQKPIKGSPYPRGYYRCSSAKGCPARKHVERAADDPATLVVTYEGDHHHDAPAGAARAP >PAN36773 pep chromosome:PHallii_v3.1:6:45683847:45686482:-1 gene:PAHAL_6G309500 transcript:PAN36773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRRHRRGGIAEAEDDYDDDDVHDDGSGTASPDIDDTATEQEEEEEDEEEEDYRLHLGLHSMTAKGIQHLCSELLEIKKASEQDFRANVYLSYLSFIRMLQEAGDLDKDVHRLKHQVIAHSRMIQHVSSNCCLLLAGSKDEDEADMGHDDEELELELELDVLLSEHRMEEALELLQRQQGGCTANDAALSARKARVADRLASVAGNPRTPRPELLKALSGLCRLGDPERANHLLFSSYRSASVEGLSSQQAAAGHYIKDLARMVFSSIADASRCFVALHGHPSPYTPQLRRWAREEMEDFSAAFSEYVRSMPPSPSLALALEAAACAVSYSSLLRPLGIASEQDVAGLMAPCIREVLDAYGRHLKEVVRLLVASDASWVLGRFLLMPAQAAAAAGEHRYCLLTASGRKFVTLVQEVVDGVACPLQSLGLGMDDDAAQLVADLFREYVRSIIDLLEEELIIPSFPNKKEAADDDEQQYMWQLSVLVNCSTLVSLLPTMAWTASAQRQVGSLIKEAAGQVWSCFCQQFIRGTMAMAALPAPPHQVAEEGGTPPAAAPPEMMPSLAFQAVFLRVRRLKDAYGGGILSGDDGTMKELLQELMEALISWLSSNPPESWIGHGAQAQLDVHFLLEIAQLGGFDITASARELLRRAAAAAENGGEEGWAADAAKHAVHQVLLLQQQQQNMTTSSASLNEEEEGGEEAAVAEDATEEFESEFDDMPSSRDSAAAHQGYEDAKSSDEFVSIEEADEEKHSMLMLTVGCDDSDSRRRRQATPVPVTAAAPPRARRSRKKAAAGSSRPRWQ >PAN33602 pep chromosome:PHallii_v3.1:6:2420989:2421627:-1 gene:PAHAL_6G032500 transcript:PAN33602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGRKAAGPSGEEAEVEALLRAAQDAVLLKLQANSHLVSSASASAPSVPLDGAAAALPDPLEDDLARRFDALKSRAAAPKPKPAGAGAAAPAAASGMDELEARFAALKGAAGPEKEARVRLEDLGGESSEDEEDEVDKVMRWAMDAARLDVATAGAGAGDKAKRAEAEEEKDDKSSVSSEDDDDERLQLEMARKRKEMAKSKTKSKWFFL >PAN35752 pep chromosome:PHallii_v3.1:6:39846100:39850150:-1 gene:PAHAL_6G231500 transcript:PAN35752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSHASSITRDDAVACTFASRYVREQLPRYRMPERSIPREAAYQIISDELMLDGNPRLNLASFVTTWMEPECDKLIMGSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIREDETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKQLNDLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMENCKGNAAILREGIAATGRFDIVSKDAGVPLVAFSLRDSSRFSVFDISENLRRFGWIVPAYTMPADAEHVAVLRVVIREDFSRSFSERLVNDVLKILRELDARATHAVRVSSATAAQSEDGGVVARKSVLEIEREVAARWRDAVNKKKTGPC >PAN36555 pep chromosome:PHallii_v3.1:6:44575441:44578029:-1 gene:PAHAL_6G292200 transcript:PAN36555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGAREQRVAFSPMKEAAAVPKEEVWEVRPGGMLVQKRSPDADPPPGGAPVPTIRVKVKFNGVYHEIYINAQASFGELKKLLSEKTGLHPDDQKVVYKDKERDSKAFLDMAGVKDRSKMLMLEDPAAKAKRLLEERRTTKAERAAKAIARVALDVDKLATKVSALETIVSKGGKVVDADVVALTEALMNELVKLDSIAADGEVKAQRRAQEKRVQKHVETLDAIRAKNKAAAPAPNNKASGKTRPPHLPPRPPPAAQQQQQQRRQFQPPAPTTATAPAPQTQTASWETFDLLSSVPSTSAAPVTSMAPATTTTPSPRFEWELF >PVH36508 pep chromosome:PHallii_v3.1:6:7626348:7626839:1 gene:PAHAL_6G087200 transcript:PVH36508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESETTREPTPKYDPIAAYEVRAPLHWDAEEWDFHYQSDDDESLTDGEDLALLLGAELEEEEDDALWGEDLSLSEEETDSISSEEDPMSGTFLFDRSSDDTSDSREGADDDDSFTSSSGGGDDAGHNGSSSSGTSVAPPSKRRKTSDVYWW >PAN35695 pep chromosome:PHallii_v3.1:6:39518868:39523940:-1 gene:PAHAL_6G227600 transcript:PAN35695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLAFGLVLLGCLQGFVTCDYQVTALYEIKMHLIDDGGVLQDWKDNQMSPCNWRNVICQDNKVIKITLSSTGLAGVLSPSIAELTTLQQLLLDGNMIGGGIPEALGNVSSLTTLNLGRNIFNGSIPDSLGHLQKLQTLDLSENLLTGEIPISLSNLSSLNEINLSGNYLKGEIPEQLLQVVQYNYTGNHLNCRRNSTPPCEKGATKAGPKTKSILWGLAAVSSLLAVIFCFLFCFGLIKFRRLTKGKWSVMDRSEVNTHRGEEIVWDIEGNNPEFTFYEFSQVLEATNNFSVENKLGQGGFGPVYKGQFPDGLDIAVKRLASHSGQGLTEFKNEVQLIAKLQHRNLVRLLGCCSQGQEKMLIYEYLLNKSLDFFIFDETRRTLLNWDRRLVIIEGIAQGLLYLHKHSRLRVIHRDVKASNILLDSEMNPKISDFGLAKMFSSNDIEGNTQRVVGTYGYMAPEYASEGLFSTKSDVFSFGVLILEIITGKRNSGFHKHGSFLNLLGYAWHLWEERRWFALVDSSLAASGCTLEMMRCINIALLCVQENATDRPTMSDVVAMLSSKSVSLPEPKHPGYFHVRVAKEEAFTNAESYGTNDATISTPYGR >PAN35635 pep chromosome:PHallii_v3.1:6:39220252:39221036:1 gene:PAHAL_6G223200 transcript:PAN35635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPARALLSSRLAGVCAAKVSSCGRRTYSAAAAVAPKEPVGAACKARLPGAGSKPREEEGFWMRDPKTGCWMPENRLHDVDAADLRARLLFSKKD >PAN34467 pep chromosome:PHallii_v3.1:6:9155238:9160645:-1 gene:PAHAL_6G095100 transcript:PAN34467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin domain containing protein [Source: Projected from Oryza sativa (Os08g0258200)] MGLLGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVNINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHRRDCFSGATAIHFAALKGHTRCIRLLVADYVPSLSDFWNVMRGKSRDETKKDAFDAVSLRRLINGKSDGGVTPLHLAALHGHAESVQLLLDLGASVSEVTVNDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANIGAENANGLTPRDVARSWHKNSVEGILSKQPEGRIRILPSPYLCLPLMSIVKIARECGWRKTSASSTCQDPCVICLEVECTVAAEGCGHEFCTKCALYLCSTTSSSTSIRGVPGSISCPLCRHAIVSFMKLTSTTPIKELPWTSTSLALCAAGASTGSNHASSLHRRPDRHRLRSSSVQLGCSSFRSIGSGKLSSLKLNCTGAEEAVPCLISCLRPDVQRSSSYRERIRRYSEF >PVH37088 pep chromosome:PHallii_v3.1:6:40678374:40679371:1 gene:PAHAL_6G241000 transcript:PVH37088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRLAEGRVAFLGLPGGLPALPHGRKARSLSPDQAPGAGSAHAPCSVPSFGAWPMAPASRARLGVTGSSSAPPPPPPLAAASASRREFGQCLLLVVVRKRSFADGHGGMHDRRVRLWSGCHGREDAVSSMHDACVRIGVLMLVLFFNLQRWLVAWSHLPPWILNHGDFLHHESTTIPGNLTNPSSPFSSLLTLLRSSFKHTVLAPRK >PVH36237 pep chromosome:PHallii_v3.1:6:1935700:1937833:-1 gene:PAHAL_6G026700 transcript:PVH36237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPEEIQLLVLSLLPLKEAARTSILSRSWRKLWTRYPNLCFDDTKDWSTDDDSIKIESAMFIETVNSIIQQHSGIGLNKFSIKGSLWKDHSVGHVFSGIPSISAVKVLNVHANMHINKPVWSSQVHILTTRATCMFMNLRHLAYEITIFTKDPNSYSGILQLAWYLALARHLETLELHLLAW >PAN33518 pep chromosome:PHallii_v3.1:6:1935949:1937658:-1 gene:PAHAL_6G026700 transcript:PAN33518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPEEIQLLVLSLLPLKEAARTSILSRSWRKLWTRYPNLCFDDTKDWSTDDDSIKIESAMFIETVNSIIQQHSGIGLNKFSIKGSLWKDHSVGHVFSGIPSISAVKVLNVHANMHINKPVWSSQVHILTTRATCMFMNLRHLAYEITIFTKDPNSYSGILQLAWYLALARHLETLELHMYNVIDGSCWRGEAVSYPVCHLDHLKTVYMSGFRCYRAQVELLCGILEMGAALEHVTIEPIVKEYHFAVPL >PVH36236 pep chromosome:PHallii_v3.1:6:1935700:1937833:-1 gene:PAHAL_6G026700 transcript:PVH36236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPEEIQLLVLSLLPLKEAARTSILSRSWRKLWTRYPNLCFDDTKDWSTDDDSIKIESAMFIETVNSIIQQHSGIGLNKFSIKGSLWKDHSGNLDRWISFGLVSKARILDMNLWPQMNNVGATQQVYHFPLEALVAQDCPSVRSLFQWAMYSVEFPVFRQ >PVH36238 pep chromosome:PHallii_v3.1:6:1936640:1937658:-1 gene:PAHAL_6G026700 transcript:PVH36238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPEEIQLLVLSLLPLKEAARTSILSRSWRKLWTRYPNLCFDDTKDWSTDDDSIKIESAMFIETVNSIIQQHSGIGLNKFSIKGSLWKDHSGNLDRWISFGLVSKARILDMNLWPQMNNVGATQQVYHFPLEALVAQDCPSVRSLFQWAMYSVEFPVFRQ >PAN33419 pep chromosome:PHallii_v3.1:6:1510715:1512698:-1 gene:PAHAL_6G019700 transcript:PAN33419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASAAWKIESFTHFRDDDGNYRARCERCQKVLPADARKYGPSTLRRHATVCRGRKTASGVVVKQIKPITTLAADTCKGIAVRFTPADQIEEQQKRCAAYSKQALPFAITTFLAYVDAPSASSTKTTFKVAMAAFFLAVPADLVCMTRPPKWGSLLTYLSWFLLVLVSYLLLISFNEGYSYAILPVPIPVVIALLQLNRSSRALNRDIETGHLNADTEDEAVPAVNNTANNQETDQDLDGIFNLSAGIVNFGGLVSLIFARYMGGPNELTIGFFFFFTIVLGLYLMMATTVRTVALTLHARHLSYLLMFLLVSTLIATLTHKVPDSGSGSHV >PAN35612 pep chromosome:PHallii_v3.1:6:39130698:39135957:-1 gene:PAHAL_6G221900 transcript:PAN35612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPTAVIHRIQSSLREAAGAPAADAAPAPPFPTVTDAVAAFDSGAASEGVRCGRCGAAGGLLRGAKSALCAYCGCPRRGEEAEGGGIAFRDGAAYRWLLGSLGLDGSEFVEFDSDTTGSNKSKEAPSSGMIISDLLDLKLTCLPENKETPASSITTEQSSSVDTLNLSAANLDSFFVERKEKMTAAASLPQTYTVAQEKKRTDSKSHESSRSEVNAASKGLMSSQRTNQIEANPAFASWDADFQSASSGSATGDSNQPDLFKSSSAAEFSFPAPTIANNPAVGTENKTSAKSAILEHHSEDLASASDTLFEDNLSNQKVAPVLESNSGIVPENSAPEFTDYSFDMNFAKSDQSPGRDDTGVNDDEAFDDWQDFAGSGNLGSLSNAGEHIVEPLKRDSSDIKTMDPLPVGSTESTNNANEDSSDDWQAFASISGQQGDLDNSVEGLTSDEGRDLVRSVGEKMSSISLERSSEVNPVDLWPVGNVKAQNTEEMGKETDDSFDDWQDFTTSSQVQATLFNHTGDMMEVPKASHKETDMDSWFMGDFREPANTGMANRNNMLDDWQGFTGSDQAQQTSSSTGGEMMSALSEQHEGTVSVQSWVHGSNKEAAKTSSTNAESDAYDIWQDFTKTGNLQENMSNLGREVTSVSTEPAKEIDSLDLWLTSNFKESKSSQGVGRIDASSDGWQDFASFDRTQTSTKIPGEGNLVKNPSGTETLDLWASSHANEKKPEQTSEDNDLFDDWQDFQNSHPQQTSLQVSSDASLFDIPSASQPDALEGMEFDSVLQLASSENKKDKKEDPNEEKSVPSDEHLKSTKGMQQMGNVDPLSSLWPTNSLGNNAIKKQESVNTNVEQLLAQMHDLSFMLKDELSVPDKPVEHSKP >PAN35613 pep chromosome:PHallii_v3.1:6:39130144:39136110:-1 gene:PAHAL_6G221900 transcript:PAN35613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPTAVIHRIQSSLREAAGAPAADAAPAPPFPTVTDAVAAFDSGAASEGVRCGRCGAAGGLLRGAKSALCAYCGCPRRGEEAEGGGIAFRDGAAYRWLLGSLGLDGSEFVEFDSDTTGSNKSKEAPSSGMIISDLLDLKLTCLPENKETPASSITTEQSSSVDTLNLSAANLDSFFVERKEKMTAAASLPQTYTVAQEKKRTDSKSHESSRSEVNAASKGLMSSQRTNQIEANPAFASWDADFQSASSGSATGDSNQPDLFKSSSAAEFSFPAPTIANNPAVGTENKTSAKSAILEHHSEDLASASDTLFEDNLSNQKVAPVLESNSGIVPENSAPEFTDYSFDMNFAKSDQSPGRDDTGVNDDEAFDDWQDFAGSGNLGSLSNAGEHIVEPLKRDSSDIKTMDPLPVGSTESTNNANEDSSDDWQAFASISGQQGDLDNSVEGLTSDEGRDLVRSVGEKMSSISLERSSEVNPVDLWPVGNVKAQNTEEMGKETDDSFDDWQDFTTSSQVQATLFNHTGDMMEVPKASHKETDMDSWFMGDFREPANTGMANRNNMLDDWQGFTGSDQAQQTSSSTGGEMMSALSEQHEGTVSVQSWVHGSNKEAAKTSSTNAESDAYDIWQDFTKTGNLQENMSNLGREVTSVSTEPAKEIDSLDLWLTSNFKESKSSQGVGRIDASSDGWQDFASFDRTQTSTKIPGEGNLVKNPSGTETLDLWASSHANEKKPEQTSEDNDLFDDWQDFQNSHPQQTSLQVSSDASLFDIPSASQPDALEGMEFDSVLQLASSENKKDKKEDPNEEKSVPSDEHLKSTKGMQQMGNVDPLSSLWPTNSLVPDKPVEHSKP >PAN35614 pep chromosome:PHallii_v3.1:6:39130698:39135957:-1 gene:PAHAL_6G221900 transcript:PAN35614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPTAVIHRIQSSLREAAGAPAADAAPAPPFPTVTDAVAAFDSGAASEGVRCGRCGAAGGLLRGAKSALCAYCGCPRRGEEAEGGGIAFRDGAAYRWLLGSLGLDGSEFVEFDSDTTGSNKSKEAPSSGMIISDLLDLKLTCLPENKETPASSITTEQSSSVDTLNLSAANLDSFFVERKEKMTAAASLPQTYTVAQEKKRTDSKSHESSRSEVNAASKGLMSSQRTNQIEANPAFASWDADFQSASSGSATGDSNQPDLFKSSSAAEFSFPAPTIANNPAVGTENKTSAKSAILEHHSEDLASASDTLFEDNLSNQKVAPVLESNSGIVPENSAPEFTDYSFDMNFAKSDQSPGRDDTGVNDDEAFDDWQDFAGSGNLGSLSNAGEHIVEPLKRDSSDIKTMDPLPVGSTESTNNANEDSSDDWQAFASISGQQGDLDNSVEGLTSDEGRDLVRSVGEKMSSISLERSSEVNPVDLWPVGNVKAQNTEEMGKETDDSFDDWQDFTTSSQVQATLFNHTGDMMEVPKASHKETDMDSWFMGDFREPANTGMANRNNMLDDWQGFTGSDQAQQTSSSTGGEMMSALSEQHEGTVSVQSWVHGSNKEAAKTSSTNAESDAYDIWQDFTKTGNLQENMSNLGREVTSVSTEPAKEIDSLDLWLTSNFKESKSSQGVGRIDASSDGWQDFASFDRTQTSTKIPGEGNLVKNPSGTETLDLWASSHANEKKPEQTSEDNDLFDDWQDFQNSHPQQTSLQVSSDASLFDIPSASQPDALEGMEFDSVLQLASSENKKDKKEDPNEEKSVPSDEHLKSTKGMQQMGNVDPLSSLWPTNSLDELSVPDKPVEHSKP >PAN33671 pep chromosome:PHallii_v3.1:6:2667578:2673771:1 gene:PAHAL_6G037100 transcript:PAN33671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNTNNAHLFENDDDDGPISFKRSSASVKSSRPTPSKQEGSSGGAGAPVRSPKPVAPNQQKNGVSAGVSRPLHVKPPSSSPNHRPSGSDQPNSSAGHSSKSNSTDKSKLKRPLMKEENSDDSDDEVPIGLRRKVEEKKLKRVDEKADDSDDDKPLRLKINSSKMSSTSASKPVLQKTAAKIEQPDEDSDDDKPLASRLPTSAASKRGGNVSEDSEDEKPLAARFSKVSGSGNLKPSSSSKGLNNDTNGPRNLGKRPLDNSNQTSLALKKAKPSNVSASASVKRDNDNTPLAQRLKMGESSKGKPSAKNVIEKSPASTKNIKKMKGKVKTKRTMKNSQFSKTMKVPPGSGDGQKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMRDTEYATRQTFIDNFFTDWRQILGKNHIIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKALREEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGREAPVPECPIPGERWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKDHIQNIRVNYTKDFRSKDEAKRQIAVATYLIDKLALRAGNEKDDDEAETVGCCTLKIDNVTCLPPNKIQFDFLGKDSIRYFNTVEVEELVYKAIEGFRRGKPPGYDLFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHESQMTRLNEKIDDLKAQRDELKVDLGKAKKGKPLGNDKDGKPKKNLAPEQIEKKIAAIETKIDKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFGWAMDVDPEFRF >PAN34789 pep chromosome:PHallii_v3.1:6:33033363:33035465:-1 gene:PAHAL_6G173800 transcript:PAN34789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVVECLGWLVVGLFSLYIFQLLRDARRRLPPGPWPPKPLVGDLLDLGEDGQQHRTFLRLSERYGGLMCLRFGMVPHVIISTPEALRAVFHAGEGGKKADGIAGLPSLDVLSAMGHSAHTIFALPSQDGKWRALRKFAAAEMLAPRRISSGAGAQLQTKIVEALHRDVSGHAARGTPVVFRHAVLDNILSLLLSVLYSTDLEAKERAVFRDLIEEIVGMLGTANVSDVFPPLTALDLQGLRRRMTNLLTIMYRHFDEQVALRRRSRDAGEPRKNDVLDTVLDKEEGEWKQEGSLLSHDVMRVLLSDLYGAGASTTAALIEWGMVDLLQNPDVMRKVREELTSVLGDKPLMEESDIARLPYLQAVVKEILRLRMVVPLVPRKAEVDIEVNGYRIPKGTNVILNAWAINRSAAAWPDADRFVPERFLGGETRSYLGQDFEMIPFGLGRRICPGMPLAQKLIPLILGTLIHRFEWELPAEVRESGIDMKEKCGVVLSLVNPLTAIPKEI >PVH36850 pep chromosome:PHallii_v3.1:6:34855498:34857334:-1 gene:PAHAL_6G182400 transcript:PVH36850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRCCIWALGKGGQRKDLDRSSRNLSNQAVIPHLKWFRVEGDYNVLIHLEVQVWRTYSSFAQEDSLLNSTYAS >PAN35459 pep chromosome:PHallii_v3.1:6:38167718:38169519:1 gene:PAHAL_6G210200 transcript:PAN35459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDQQPPAQRYWFPYWTAPPQPPPPAPAPRPAVRPQLSRRDTRPAPPASSPPVMPSPSRRQSHPQLATTLASRGAGGAPSPPAQPQPTRLSSRPSPSPARALPLSSIREPNASAAPAPVPVPVAKEPKPAATPHPTAHEVPKQKDIIIPQEKTIREPPKDSKHSKAVEKEKEKEKEREKDKQKKEEDKEKKEKEEEKEKEKEKKEHKEKEKEKEKEKKEKEHKEKKEKDKEEIKSKEAAGEHGHGSKLHKELKSGVADMVHKLSASAPSSGGGHGHPAPAAAGTTVITLAGENKGASMKIDGAAMADGKAEAASGKERRGHKLDSSIAGGKEQAGSKGLTAFVNSNVQVINNSLLLQSSCNGGDPGVHLKLATKSKKKGDGREEAGGKSGSAAAPKK >PVH36435 pep chromosome:PHallii_v3.1:6:5608440:5608895:-1 gene:PAHAL_6G072900 transcript:PVH36435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAINAALWVVGKALAPVNDGLLEAWAATTGLAPNIRELKLELLVAEGMLDNARDREPRSPALGTLLHELRQLVYGADDVLNELDYFRIQDALAAPTMLPTRMVAAASMASSAMLATLPRLSVKDSHAAPFHVSKMILDLACRKRNHQN >PAN35856 pep chromosome:PHallii_v3.1:6:40632966:40635266:1 gene:PAHAL_6G240000 transcript:PAN35856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTMQPIGPSPAPAAQEDKRKAENPAVDAADLSDIDSGWVVLKNSDIVSADLAAAAISGGQRLGSSTIPSWARWVIGGVVYTVVPFYNRVRQLEEETVGFVENTVEVVEHIAANVAKQLPEDGSLQKAVEEVEHLAEVVDADAEKVEAVTEKIDKVSDEIDAAVEPVIEELENKLDQGATTDNGANAQK >PAN35113 pep chromosome:PHallii_v3.1:6:36796617:36800705:1 gene:PAHAL_6G196100 transcript:PAN35113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTGSAVGRGAARPLMRLVTMSGAPILRQLHLEERLLRRTEDNWCVINDGTMPPTIVMGVSGRVSELVEIEPVLRDRVPVVRRFSGGGTVIVDQGTVFVTFICNRSAVEGLQPFPRDIMSWSGQLYGKVFDRFGEFHLRENDYAFNHRKFGGNAQSITKNRWIHHTSFLWDYDVKNMDYLKIPKRAPEYRLARNHTDFLCRMKEYLPSRSVFTDRVITALGEHFSVQPTDLETVLSTVEEFLPSTKLLSEQDLEEIVSSKESSLRVQKAEEA >PAN35268 pep chromosome:PHallii_v3.1:6:36796618:36800701:1 gene:PAHAL_6G196100 transcript:PAN35268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTGSAVGRGAARPLMRLVTMSGAPILRQLHLEERLLRRTEDNWCVINDGTMPPTIVMGVSGRVSELVEIEPVLRDRVPVVRRFSGGGTVIVDQGTVFVTFICNRSAVEGLQPFPRDIMSWSGQLYGKVFDRFGEFHLRENDYAFNHRKFGGNAQSITKNRWIHHTSFLWDYDVKNMDYLKIPKRAPEYRLARNHTDFLCRMKEYLPSRSVFTDRVITALGEHFSVQPTDLETVLSTVEEFLPSTKLLSEQDLEEIVSSKESSLRVQKAEEA >PVH37366 pep chromosome:PHallii_v3.1:6:44910917:44911620:1 gene:PAHAL_6G297400 transcript:PVH37366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSNTCHLKKATTICHQIRRFLDLCLESHERDPTAHALSSSSSSLRLPRRSCSLFDLSSPALQTLALLWLRR >PVH36752 pep chromosome:PHallii_v3.1:6:29291512:29292936:-1 gene:PAHAL_6G159700 transcript:PVH36752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLNFALGINMAGVASRRQIEDGEADSSDRPQNEKGEYITERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIKDCPECGPRRPEARDSVFQRIGPTPLRQARVRSSQKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHARPQEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTVGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN36154 pep chromosome:PHallii_v3.1:6:45050168:45055393:-1 gene:PAHAL_6G299600 transcript:PAN36154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQEPIADVDQKIGLTEKPKEQHVPSKDEKATFPPISVDSNVIDIPSEGQTQAGTSNIDVDHNAAYPHNFYASQAQPFYYQGSGYENPPQEWDTYPPYMSVEGLEVGPTVVYNEDPSLMFHGGYGYDPYAHYSPITTPVPTAVSGDGQLYTPQQFSFSAPYYQQSVPPGLPYLSSPPISQEWFRSSEGTGSFPSPAASPQPAGGVSGAFGQSNFPMASGMPSPHQKPFYGFGSPADSYGRGFSHGGMFPQASNCGGSFPGFGINGRSSISIEKGRRRGRGNALICSCNGPLDFLNEQSRGPRATKPKKQPEGDSKDEKPSTGVGRELYNRPDFVTEYTNARFFIIKSYSEDNVHKSVKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKNHDDDASILDDFDFYEEREKALQENKARLHQQHISTSIAVEPKKPLTVPTDLVGHITKSFAQAVRLGESKTVSPSSEKASGGDPSVPAKPVEVKQSGLS >PAN36650 pep chromosome:PHallii_v3.1:6:45050716:45054000:-1 gene:PAHAL_6G299600 transcript:PAN36650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQEPIADVDQKIGLTEKPKEQHVPSKDEKATFPPISVDSNVIDIPSEGQTQAGTSNIDVDHNAAYPHNFYASQAQPFYYQGSGYENPPQEWDTYPPYMSVEGLEVGPTVVYNEDPSLMFHGGYGYDPYAHYSPITTPVPTAVSGDGQLYTPQQFSFSAPYYQQSVPPGLPYLSSPPISQGETMMPIDPTQGGFIADTHTLSPNSFLFGPRPEWFRSSEGTGSFPSPAASPQPAGGVSGAFGQSNFPMASGMPSPHQKPFYGFGSPADSYGRGFSHGGMFPQASNCGGSFPGFGINGRSSISIEKGRRRGRGNALICSCNGPLDFLNEQSRGPRATKPKKQPEGDSKDEKPSTGVGRELYNRPDFVTEYTNARFFIIKSYSEDNVHKSVKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKNHDDDASILDDFDFYEEREKALQENKARLHQQHISTSIAVEPKKPLTVPTDLVGHITKSFAQAVRLGESKTVSPSSEKASGGDPSVPAKPVEVKQSGLS >PAN33183 pep chromosome:PHallii_v3.1:6:121820:122641:1 gene:PAHAL_6G002100 transcript:PAN33183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKQQLAVGGLTSLFSTSNKQPCHSSPSSMSSSSSSSSSSAWQWTSCGLHPRTLSFRQQQEEEDNANGHGCQNQHVDKDSHMALTKQQAYYKTMNSAYSCFSTNSLASIDSFSMASSDAAEAEAVIRAVRSDRLLFEPEEASSFKAADKADKPIIKDTTTMSKQAATAAFGGATAMSVESLNPYRDFRESMEAMVMSQGGVRDWRWLEEMLGWYLRANGKSTHGLIVGAFVDLLVALSTEATSPADSSSSPATPAAANCRSSSSNCSCSSSL >PVH36503 pep chromosome:PHallii_v3.1:6:7398861:7402246:1 gene:PAHAL_6G085800 transcript:PVH36503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWPLHFNISHTSSLIACGITMDTPIGIDIEEKKRKTAKNILSLARRYFTPSEVDYLAKIPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIELAAKTGIRISVAPKVFKDSDSTCDCLSENWQFALAELNSSHYMAVCIEDDSRSSGSGNGRLPIGLKVWKTVPFLEDTLVSGTEAVTIIS >PAN34338 pep chromosome:PHallii_v3.1:6:7397262:7402246:1 gene:PAHAL_6G085800 transcript:PAN34338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRLLRRAMPLPPPTPPAPRTPGVAGGRLFSSLPPPPPLQSRREVHVWYLLPDELNDASQLKMYMDLLSPSERKTALSMNGEKLQKGAVLSRALVRTTLSRYTDFKVDPGSFEFKKNKFGKPEILWQSDDSKMEWPLHFNISHTSSLIACGITMDTPIGIDIEEKKRKTAKNILSLARRYFTPSEVDYLAKIPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIELAAKTGIRISVAPKVFKDSDSTCDCLSENWQFALAELNSSHYMAVCIEDDSRSSGSGNGRLPIGLKVWKTVPFLEDTLVSGTEAVTIIS >PVH36504 pep chromosome:PHallii_v3.1:6:7397262:7402246:1 gene:PAHAL_6G085800 transcript:PVH36504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMDLLSPSERKTALSMNGEKLQKGAVLSRALVRTTLSRYTDFKVDPGSFEFKKNKFGKPEILWQSDDSKMEWPLHFNISHTSSLIACGITMDTPIGIDIEEKKRKTAKNILSLARRYFTPSEVDYLAKIPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIELAAKTGIRISVAPKVFKDSDSTCDCLSENWQFALAELNSSHYMAVCIEDDSRSSGSGNGRLPIGLKVWKTVPFLEDTLVSGTEAVTIIS >PAN34303 pep chromosome:PHallii_v3.1:6:7398057:7401688:1 gene:PAHAL_6G085800 transcript:PAN34303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALREVHVWYLLPDELNDASQLKMYMDLLSPSERKTALSMNGEKLQKGAVLSRALVRTTLSRYTDFKVDPGSFEFKKNKFGKPEILWQSDDSKMEWPLHFNISHTSSLIACGITMDTPIGIDIEEKKRKTAKNILSLARRYFTPSEVDYLAKIPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIELAAKTGIRISVAPKVFKDSDSTCDCLSENWQFALAELNSSHYMAVCIEDDSRSSGSGNGRLPIGLKVWKTVPFLEDTLVSGTEAVTIIS >PVH36505 pep chromosome:PHallii_v3.1:6:7398861:7402246:1 gene:PAHAL_6G085800 transcript:PVH36505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWPLHFNISHTSSLIACGITMDTPIGIDIEEKKRKTAKNILSLARRYFTPSEVDYLAKIPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIELAAKTGIRISVAPKVFKDSDSTCDCLSENWQFALAELNSSHYMAVCIEDDSRSSGSGNGRLPIGLKVWKTVPFLEDTLVSGTEAVTIIS >PVH37278 pep chromosome:PHallii_v3.1:6:43544982:43545776:1 gene:PAHAL_6G282000 transcript:PVH37278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASQSVADHRFKRVASNEERARCGMCSLDVEAGEVAYRCRDVGCTFVLHDACYRRPRETKHFAHSCSGRPLTLSDKHPVAPGRRCDICAAPFNAGAFVYGCRRCNGFYVHPRCCGLPKTVRNTLHTQHALTLLAPPLAGAGGRRRTCLNTKGNCNNARARRNNNNNAAAWSYRCDLCTVELCLRCQLPNGGGGPAVRQHGCCGGPRPRNHGGGGAAAGNYDGNLTPRVWLRMHWNSNGAARAAAGGLLIIIISRRRRFYLHLM >PAN36482 pep chromosome:PHallii_v3.1:6:44196555:44203640:-1 gene:PAHAL_6G287000 transcript:PAN36482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLDGALGYAKSAAAEEVALQLGIQRDHAFIREELEMMQAFLRAAHRERDDHEVLMTWVKQVRDVAYDAEDCLQDFAIHLKKPSWWRLPCTLRERRRIAKQMKELRARVEDVSQRNLRYQLIKNAGSKPETAAELSGNTAAAIFGINEARRAAKHDNSKVDLVDLINQEGEDLRVIAVWGAGGDLGQASIISEAYQNPDIKNKFPCQAWVRVLHPFNPNDFIQSLVKQFRSGVGVSILLETEKTGQELAEEFTRYVEEKSYLIVLNGLSIFEEWKGIKGCFPNNKRRSRIIVCTPQAEVASLCAGQESQVLMLKQLSGDQNVYAFCEKDSQDQAKLLMPASSSNADTTSTNEIVEHQPTGGDGSKVVTRSLTRLKTMASAMEESQLIGREKEKYEMVKLICNQSGHELPVISVWGMGGLGKTTLVKDVYESQKLIGMFEKRACVTVMRPFIVKEFLKSLIIQLSMQSSSEKKGAIDFGHSTRNTAVMVGVEELTKELARLLEGKKCLIVVDDVSSRAEWDHIIQHFPKLDGTCRIVVTTREESIAKHCSEKQENIYKLKVLEYRDALQLFTRKVFKEAIDLDKHPDLIEEAKLILKKCNGLPLAIVTIGGFLANQPKIAVEWRKLNEHISAELEMNPDLEVIRTVLGKSYDGLPYHLKSCFLYLSIFPEDHKINRRLLIRRWSAEGYSREIRDKTAEEIADSYFMQLIGRSMILASKRSSNNRKEIDSCQVHDLMREICILKSAEENLVFRLEEGCSSNTQDKVRHLAVSSNWNRDKGEFESAVDLSHIRSLTVFGYWKHFFISEKMKLLRVLDLEGTSGLFDHHLEHIGRLLHLKYLSIRQCDGIYHLPDSWGNLKQLQTLDMKGTRVCKLPKTIIKLRKLQYLFAGDCVPICVYPDECLPHDLAKLCLACCAPKFLNDVEDLDGDLDRQDVCTFWCHVVFPTLASRRLEPYGVVVPRGMRNLKGLRTLGLVNITNGKAILQDIRRLSQLRKLAVSGVSKKNSQEFCSTLADLSRLESLSVRSSVESGLHGCLDGVSSPPKNLQSLKLSGTLFKLPGWIEGLHSLVKLVLECTRLTELDATMQVLGKLPNLAILRLRFHPFNFKRGELIRLTFHREAFPSLMVLELFCVIGLDLVEFKDGATPKLEQLHLEPFGRDAGTYSSGFFSGLASLQSLKEFMLSDHGYAKAEFLENVQDQLARNLNGPVFKRV >PVH37043 pep chromosome:PHallii_v3.1:6:39646482:39647620:-1 gene:PAHAL_6G229400 transcript:PVH37043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAVLLLLAIVPLLSLLLPFPSLALNQDFCVADLPRGDTPGGYPCKPQSAITADDFYYRGLAATGPTINPFNIGLSSAFVTRFPGVNGLGVSAARVDFAPGGVVPLHSHPGGSELLFVVEGTMAAGFITSLTNKVFAKTLHKGDLMVFPQGLLHFQYNLGNDTAVALSSYSSANPGLMILDFALFANDLPSDVVSKVTVVDELEVRKLKALFGGSG >PAN33464 pep chromosome:PHallii_v3.1:6:1728934:1730405:1 gene:PAHAL_6G023300 transcript:PAN33464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGSCLLPLRGNPAHLRPKPTSLITPRVPLPSPPIYTFSRRRSLIPLSPSQPRRRRRRRRRRRAKESPRGGDHEGQVEEEAHEEAEEEAPKDEAEI >PVH36847 pep chromosome:PHallii_v3.1:6:34836328:34837827:-1 gene:PAHAL_6G182000 transcript:PVH36847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAIFFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLRINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLTFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTQYNDLLDRVLRHRDAIISHLNWVCMFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNIHARAPGITAPGATTSTSLTWGGGELVALSCHQGLPCAYDH >PVH36886 pep chromosome:PHallii_v3.1:6:36270755:36273581:-1 gene:PAHAL_6G190800 transcript:PVH36886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQILLLLVLTQMSLTGSRPPPDPVARIDGTSNCTTTNAYASFPDRSICRAASAAYPRREQELVAAVAAAAAARRNVKVAARYSHSFPELACPGCSDGAIISTRWLNRTVRVDAEKRLLPHSPYWYGLTVGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPASQGFATVRELGTGHPDLDAAKVSLGVLGVISQEGKVVYRQDDSVDASVPGSGLNDILGFRPLSAPDLVALSRRRPRCSATRTVAARVESQAYGLTNDGVSFTGYLVVGYQHRIQASGTCLDSAEDDGLLSVCYWDSRIRGPFVYNSGFSVPLSRAPAFVADVQRLWDLNPQAFCALGVSGVLMRYVRASTAYLGKPDSIAVDIDYYRSYASGVPRAHADVIDEMEQMELLKYGGVPHWGKSRNFAFDGAIAKYPRASEFLKVKDRYDPEGIFSSEWSDQVLGIRENPSIVEKGCAIEGLCVCSEDSHCAPEKGYLCRPGKVYTEARVCAFVGDEHAGFVDVLWNVVPGNSALF >PVH36796 pep chromosome:PHallii_v3.1:6:32010767:32011802:1 gene:PAHAL_6G169600 transcript:PVH36796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMMDQPQNVPENAHFVGHVGHTHSSPIDIEGEEGNDEDGDGVRTVSRLIWKQEEDERVMSAWLKHSIDSIKGNNKKGEQYWSDVKERWHKINKWANMFNDCYLKVRRIYTSGYSEDMWLEKAHKMYVEDSQGSHFGLMNVWNMVRNQAKWICYNSPGGGVEDFDLPRPMGQKKAKKAAAANKGKSKESAIDVDELDRFDKVQNGVHAKRLKLLEMQEKLNNDKMEVSKIGLERAKEEKAAKLIEKETKTMETYSRLLTQDTSGMSDDMKAEHVIAIRCLRMKLFPGSS >PAN35409 pep chromosome:PHallii_v3.1:6:37861793:37864198:-1 gene:PAHAL_6G206600 transcript:PAN35409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGVAGLVLALPLLFLLARAAWVTVTCYYLTPMRIRRILAGQGVLGPPPRLLVGNLRDVSALVARATAGDMGSLSHDIVGRLLPHYVRWSKMYGRIFVYWYGSEPRVCVTDAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLIMANGATWWHQRHVVAPAFMADRLRGRVGHMVECARQTVRALREAVARGGNEVEVGAHMARLAGDIIARTEFDTSYDTGKRVFHLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEQLLKESIQRSREIADEGRAPSSACGMGLLGMLLAEMEKKKTRSSGNGELGYDTQTIVDECKTFFFAGHETSALLLTWAIMLLATNPSWQDKARAEVASVCGDAPPTADHLPKLTVLQMVINETLRLYPPATLLPRMAFEDITLGGGELRVPKGASVWIPVLAIHHDEAVWGADAHEFRPDRFAPGRPRPWAGRFLPFASGPRNCVGQAYAMVEAKVVLAVLLASFRFGISDEYRHAPVTVLTLRPRHGVPVRLLPLTTQQQQ >PAN33845 pep chromosome:PHallii_v3.1:6:3716264:3721616:-1 gene:PAHAL_6G050900 transcript:PAN33845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQLQCCYWMSSWPRIEQMPRWPRSSDSLRRRLLRLAPTRRPCNVAVCVVADADPALALDGGADSVGIEFLTEEQEDVDERERLRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKVKKKLMNLGHAQSEETRVKISEGVRRGWSLRLQRLMVQDGCFVEWRDMVANAAREGFADEVSLQWNSYKILTDQMRQEWLGYLQKRRSMPRPRGNRRAPKTPEQRRKIAEAIAAKWLDQEYRERVCSGIASYHGSCTGTKTPRKPRPAEEPGVKRESMKKKSMQDRAVALEDAHGKGATVKRKKSATPYKDPMAGEKLEMLSKIRAQRVALAIEKEEAIKRARSLIAEAEKAADALETAAAMSPFAQASLIEARKLVTEARVSLECVDHEGSPENASDDISEDSGLLDSDHGLETPNENNVLYQDNKPVNGIKFPPSNGKGIGFHFDVSAFTGIKQLYQRIENSMERAFLLPAASSKQAVNGDFRIIDFQVRQSMVNDMANNDCIAAESTDLPGTLGEDAPRSAENSETGEDCSPGTLEEDTPSSDEKATMRWVRGRLVKVEK >PVH37283 pep chromosome:PHallii_v3.1:6:43557771:43563450:-1 gene:PAHAL_6G282400 transcript:PVH37283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLLLPVVRGVLGKAADALVQKVTAMWGVDDDRRDLELKLLYVQSLLADAEAKAEAETEAGRAVKGWMRELRAAAYQADDVLDDFQYEALRREAQSLRSTTSKVLDFFSSRNRLVFRDKASRDLKNVLGKIDKLVKDMQKFVLLQREPEAPQPLNRQTHSALDESAEIFGRDDDKAVVVKLLLDQQDQRSVQVLPIIGMGGLGKTTLAKMVYNDRKVQEHFEFRMWHCVSENFEATAVVKSVIELATNGRCDLPDTMELLRQRLQEVIGRKRFLLILDDVWNEDQLKWDDDLKPLLCSSIGGLGSMIVVTSRIPKVASIMGTLPTHELACLSEEDSWELFSKKAFCKGVEEQEEFITVGKLIVNKCKGLPLALKTMGGLMSSKYQIKEWEAIAESNRGGNIEILSILKLSYMHLSSEMKQCFAFCAVFPKDYEMDKEKLIQLWMANNFIQAEGDIDLVQKDVGMVELLLQYGSSVNMTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHSR >PVH36931 pep chromosome:PHallii_v3.1:6:37342381:37343009:-1 gene:PAHAL_6G201400 transcript:PVH36931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALFESHVLITYNLFIFPITFRIHDLIYFRDLMAADVWYLIANLCSYQLEQEILDFSQGLKAIGIAPDEKASSFS >PAN35775 pep chromosome:PHallii_v3.1:6:40055384:40060163:1 gene:PAHAL_6G233200 transcript:PAN35775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVEPRQQFGRLEPVRGGATNGAKAYPPPASHIPRRADSPVRGCGFPPLVSPPRGRTDDASDDEYDEQEDWRELYGSHLQLEVEPAVHDARDEGTADAWVERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAPLHYVRNHGAVPRGDWATWTVEVTGLVKRPARLTMEELARDFPAVEVPVTLACAGNRRKEQNMVQQTVGFNWGPAGVSTSVWRGARLRDVLRRCGIMPRRGGALNVCFEGAEDLPGGGGSKYGTSVTREWALDPSRDIMLAYMQNGEPLLPDHGFPVRVIIPGCIGGRMVKWLKRIIVTPAESDNYYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPGHDEILPINGVTTQRGYTMKGYAYSGGGKKVTRVEVTLDGGETWLVCDLDHPEKPNKYGKYWCWCFWSVEVEVLDLLGAKEIAVRAWDQSLNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHRGEIGLVFEHPTQPGNQAGGWMARQKHLETAEAAAPGLKRSTSTPFLNTTDGKQFTMSEVRKHASQESAWIVVHGHVYDCTKFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGTGYNSDNSVHGGSVLSHLAPIREAARAPAPLALSNPREKIHCRLVSKKELSRDVRLFRFALPSSDQVLGLPIGKHIFVCATIEGKLCMRAYTPTSMVDEIGHFDLLVKIYFKNEHPKFPNGGLMTQYLESLPIGSYIDVKGPLGHVEYTGRGNFVINGKQRHAKRLAMIAGGSGITPMYQVIQAVLRDQPEDETEMHLVYANRTEDDILLRDELDRWAADYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEAVLREHVPEGSDDTLALACGPPPMIKFAISPNLEKMKYDMANSFIVF >PVH36890 pep chromosome:PHallii_v3.1:6:36354967:36358746:-1 gene:PAHAL_6G191600 transcript:PVH36890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVTASALVGVMNPLLGRLGSLLEREDANIQGVHRQVLFLRDELSSMSTALEMVSESEEASSQVKEWMGQLRELSYDVEDCIDIFVHHLGRAATCNGFIQKIIRKVITLKAHYHISIQINELKERVMEVSDRRKRYKIDPSTLLPKSVAIDPRLPALFEEADRLVGIDDQMDKLIQWLNDGISSHTQRKVVSIVGFGGLGKTTLANQVFQKVRSQFDCTAFVSVTRSPNVNKILSDTLLQFLKSSQITEDQNQDIARVQENHSLKTCEYPQLVKMNRDYLRNKRYLVVIDDLWSKQAWKEVQCAFPQNNNASKIITTTRIEDVANYCSFPHKEYVYHMMPLDSDDSRSLFLKRIFYYKDDCPLELKEVTDDILRKCHGLPLAIVNIASLLATKPISKSEWERVRNSLGSALEQDHELELVKRILFLSYCDLPHYLKICFLDLSIFPEDHVIGRLCLIRKWIAEGFVAEQQGQNLVDTAENYFSELINRNMIEPVGTDYSGRPRACRVHDIMFELIISLAAKENFVTIMADHKLTPSTNKIRRLSLQGNCEAQSLWLGANRLSQVRSFTVFGDVGKIPSLLNFHILRVLDIQNCPSLEDRDIENIGSLSHLRYISLYNSNVGKIPIQIGRLQHLQTLDLRATTIKELPATIVQLHQLVRLCVPNGVGLPNGIGGMTALEELSMLDASKNSPEVVQELGNLTKLKVLGIKWCGGNAINGEGSFKKSLISSFCNLGERNLHSLRIETTERCSMDFLFDSLCPHPSLTNLVIFIEEVGGGDFDVLKDLPALRCLQIFTTECPQESLMIGPNGFQCLEDFHFRPSMYLKTKKRMMSLIFEAGAMPRLNRLWFRFVVHDTVSTYGTDFDFGISLLSSLKCLWVSINCRGAMVWEVEAAKATITKAAALLPNRPRHEIHIFGEEGMVEDEEQTEDSGTANQLDGAPT >PAN35143 pep chromosome:PHallii_v3.1:6:35330562:35331422:-1 gene:PAHAL_6G184700 transcript:PAN35143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEDATHPKKTEEKEHKDHAGEAKPVKEKKAKKPKKEEEKSKEKENVAGISDATKLIAKLEKLDAKIDDLKAKKQAIVARLVELEGTAATGAAAPPATSG >PVH36502 pep chromosome:PHallii_v3.1:6:7364306:7380124:1 gene:PAHAL_6G085500 transcript:PVH36502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEEIKNEAVDLENIPVEEVFQTLKCTKQGLSSEEAQARIAVFGPNKLEEKKESQILKFLGFMWNPLSWVMEAAAIMAIALANGGGEPPDWQDFVGIVVLLIINSTISYMEESSAGSAAQALMANLAPKAKVLRDGRWSEQEAAVLVPGDVVSIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLKAIGNFCIAAIAVGILIEVVVMYAIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAKQGAITKRMTAIEEMAGMTVLCSDKTGTLTVNKLSVDKGLIEIFVKGVDAIEVILLAARASRVENQDAIDAAMVGMLSDPKEARDGIQEVHFLPFNPVDKRTALTYISRADGTWHRVSKGAPEQIMALCSCRDDVVNKVHAIIDKYAERGLRSLAVARQEVPEKRKDSPGGTWQFVALLPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQCKDEAIASIPVDDLIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLNEIFITGIVYGSYLALMTVIFFWAMRSTDFFTNTFGVRSLHGSQDEMMSALYLQVSIISQALIFVTRSRGWCFTEVPGLLLCAAFVVAQIVCRHYLFSLLPASHPASMIHP >PAN34333 pep chromosome:PHallii_v3.1:6:7364225:7380944:1 gene:PAHAL_6G085500 transcript:PAN34333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEEIKNEAVDLENIPVEEVFQTLKCTKQGLSSEEAQARIAVFGPNKLEEKKESQILKFLGFMWNPLSWVMEAAAIMAIALANGGGEPPDWQDFVGIVVLLIINSTISYMEESSAGSAAQALMANLAPKAKVLRDGRWSEQEAAVLVPGDVVSIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLKAIGNFCIAAIAVGILIEVVVMYAIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAKQGAITKRMTAIEEMAGMTVLCSDKTGTLTVNKLSVDKGLIEIFVKGVDAIEVILLAARASRVENQDAIDAAMVGMLSDPKEARDGIQEVHFLPFNPVDKRTALTYISRADGTWHRVSKGAPEQIMALCSCRDDVVNKVHAIIDKYAERGLRSLAVARQEVPEKRKDSPGGTWQFVALLPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQCKDEAIASIPVDDLIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLNEIFITGIVYGSYLALMTVIFFWAMRSTDFFTNTFGVRSLHGSQDEMMSALYLQVSIISQALIFVTRSRGWCFTEVPGLLLCAAFVVAQIVATLVAVYPTIRFAHISGVGWGWAGAIWVYSAVTFLPLDVFKFGIRYALSGRAWDTLFEHKIAFTRKKDYGREEREAQWATAQRTLHGLQTPELAGILNERSSYRELSEIAEQAKRRAEVARLRELSTLKGQVESVVKLKGLDIEGIQQHYTV >PAN35235 pep chromosome:PHallii_v3.1:6:36381387:36382342:1 gene:PAHAL_6G192000 transcript:PAN35235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGDDWALQKAVALVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESHIKPRILSRYGVRAFPTLFLVNSTVRVRYHGSRTMNSLAMFYKDVTGMNPVSLDTISLETVEDTVTIIDNDKKSKKEDSLLLWARSPDRLLHQDTCLALASSFVLLRLLHFLLPKINACMKQAWRMRLYELNRLFPSLS >PAN35234 pep chromosome:PHallii_v3.1:6:36379751:36383261:1 gene:PAHAL_6G192000 transcript:PAN35234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAAAASAAALLLLPLLAAAGEVAVCPRPPAAAAVLRQRHAPASCSAADAPGPRRRHAAVVEGDDWALQKAVALVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESHIKPRILSRYGVRAFPTLFLVNSTVRVRYHGSRTMNSLAMFYKDVTGMNPVSLDTISLETVEDTVTIIDNDKKSKKEDSLLLWARSPDRLLHQDTCLALASSFVLLRLLHFLLPKINACMKQAWRMRLYELNRLFPSLS >PAN35655 pep chromosome:PHallii_v3.1:6:39234308:39235515:1 gene:PAHAL_6G223500 transcript:PAN35655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSSSDGLIAVVDDFYFSVLAHGRNDDDEDEAGGDELFPISDEKYAAELQLQEVIMSSAVAATVGSSSASPRRGSTAAAASAHGTGECSYASSSSSRPSPPLAAAAAAPAAATSLVFCKICMDAVPPADAYRASRGCAHAFCGGCLARYVGAKVQERIADVRCPEERCGGALDPELCQGILPREVFERWGAALCESMLLGTRKTYCPYKDCSTMMLVDNDGGGDVRDVAEAECPSCRRLFCARCAVAPWHAGVTCAEYKGLRKGDMGKEDMLLLEMAKGRKWKRCPKCEFFVEKRDGCLHITCRCGFEFCYGCGGQWGITHSSCSTA >PAN35208 pep chromosome:PHallii_v3.1:6:36046255:36051002:1 gene:PAHAL_6G189500 transcript:PAN35208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQKPDEATADETSISTQPPSYNLSQAPPVYKVGYPQKKNLTTEFTNTLRETFFHENPLKQYKDQSASTKFKMGLQFLFPVFDWGRTYNLSKLKGDLIAGLTIASLCIPQDIGYSKLAYLEPQYGLYSSFVPPLIYAAMGSSRDIAIGPVAVVSLLIGSLLQNEVDHEKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIRNFTKETDIVSVMESVWGSVHHGWNWQTVVIGFAFLAFLLLAKYIGKRNKKYFWVPAIAPITSVILATLFVYLFRADKHGVQIVNNIKKGINPSSVHKIYFTGPFVAKGFKIGVVCGMIGLTEAVAIGRTFAAVKGYQLDGNKEMVALGTMNIVGSMTSCYIATGSFSRSAVNFMAGCRTPVSNVVMSMVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKMDFIACMGAFFGVVFKSVEIGLLIAVSISFAKILLQVTRPRTALLGNLPGTTIYRNTDQYPDARHVPGVVIVRVDSAIYFSNSNYIRERILRWSTDEEEKVKAEGLSKINFLIVEMSPVIDIDTSGIHALEDLHKNLQKRGIQLLLSNPGSIVIEKLQSSKLTEHIGSNHIFLTVADAVRFCTSKSMQEP >PAN33374 pep chromosome:PHallii_v3.1:6:1350201:1350494:1 gene:PAHAL_6G016100 transcript:PAN33374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLGHLMTRLHLARSRASPSPSSSADVPRGHLAVYVGEGRKRLVIPTACLSHPAFVTLLKRVEDEFGFDHRCGGLTIPCASEGDFADIVGGMDVH >PVH36894 pep chromosome:PHallii_v3.1:6:36429211:36431426:-1 gene:PAHAL_6G192600 transcript:PVH36894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAFKAFLNSPVGPKTTHFWGPVSNWGIILASVADTKKPPEMISGNMTGVLCVYSALFVRFAWMVRPRNYFLMVTHSCNECVQLYQLSRWARAQGFLGNKKKPEAQE >PVH37321 pep chromosome:PHallii_v3.1:6:44232660:44233132:-1 gene:PAHAL_6G287300 transcript:PVH37321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRPGPSVALRRLFGSPSGPRLPAAMALSPSVPARRRRAPGPVVGWLEPPASSRWPPATCAACRFGTGGGCCPSLQRHPCLRRCKHQQFVPPRRKDRE >PAN35347 pep chromosome:PHallii_v3.1:6:37351910:37361376:1 gene:PAHAL_6G201600 transcript:PAN35347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAALRLVAAAAGAAPPRARGGRTGPRRGGGVRLRARAAAGEAAPPPSRTQVIMEKISSGEEVGGAGGAYSYSALKRLDQIWSSICEPQVDSNVPEVVTRVQGPLADSNLGAGSEIFDVIVCGGTLGIFVATALSSKGLRVGIIERNIIKGREQEWNISRKELMEIVEIGILSEAELEQIISSDFNPNRCGFEDKGEIWVENILNLGISPAKLVETMKERFISSGGAIFEGKSLSSIYVYDDRAVIKLSNGDSLPCRLVVDAMGNFSPIVRQIRSGRKPDGMCLVVGACARGFEKNTTSDIIFSSSSVNRAGNSGVQLFWEAFPAGSGPNDRTTYMFTYVDPKFEGPKLEELLEVFWNLMPAYQDVILENLDIKRVIFGIFPTYRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVEGDFLDAHSLRLLNPYMPNLSASWLFQRAMSVRPHVNVSPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMLTRPQILPSIFKQVGLGVILEWSGHFLMLGYYTFLSTFIDPVMRSWVESLPPRDKYQWKRYLEAWRYGAGLDYRQGE >PAN35084 pep chromosome:PHallii_v3.1:6:34173979:34175442:1 gene:PAHAL_6G179300 transcript:PAN35084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNILIYSGGRQAGSREFSTSASFDFGYNNHRSTPTTFTIRLEQSTIHLSRTLELHLIPFRTCCLTWPNLMHTNFQQPMNIKSDACHQ >PAN35070 pep chromosome:PHallii_v3.1:6:33950427:33958090:1 gene:PAHAL_6G178100 transcript:PAN35070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MPATDTDERPLVELASASAATPTASAPAPFPASPATAATGFSRAVRCNAPSSFPADGGGGGGGAAYPGNAISTTKYTPASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVSAAMAKEAVEDWRRKQQDIEVNNRKVEVYDGTQSFHETEWKKLRVGDIVKVKKDEFFPADLLFLSSSYDDGICYVETMNLDGETNLKRKQALEVTLSLNDEHCFHSFKAFIQCEDPNEKLYSFLGTLYYNEQQYPLSPQQILLRDSKLRNTSYIYGTVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFVIATFGSIVFGMKTKHEISPGNYAWYLRPDQANIFFDPNRASFAAFCHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCAESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGSSPTEVEMSYGEIAETTSKYEHKDATEFKRLVKGFNFTDDRLMNGRWAKECSRDAVEMFFRVLAVCHTAIPVADKNSAGMPYEAESPDEGALVTAAREFGFEFYHRTQTTLSVHEYDPVSGGKVDRTYKLLNILEFSSARKRMSVIVRTEEGRLFLFCKGADSVIFERLSKDTGKACLTKTKCHINEYSEAGLRTLVLAYRELTEEQYVLWNEEYSAAKNSVHTDHDEAVEKASDYIEKNLVLLGATAVEDRLQNGVPECIHKLAQAGIKVWILTGDKLETAVNIGYSCNLLRKEMEEIFITLDNSSTSASGGCSGEENRMAPYDEIDRKLQDASAIISQKGTSTSFALIIDGNALTHALTSSLKNSFLDLAVNCASVLCCRISPKQKALVTRLVKIRTGKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPAYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQEGCQTGWSRRWV >PAN35071 pep chromosome:PHallii_v3.1:6:33950427:33957997:1 gene:PAHAL_6G178100 transcript:PAN35071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MPATDTDERPLVELASASAATPTASAPAPFPASPATAATGFSRAVRCNAPSSFPADGGGGGGGAAYPGNAISTTKYTPASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVSAAMAKEAVEDWRRKQQDIEVNNRKVEVYDGTQSFHETEWKKLRVGDIVKVKKDEFFPADLLFLSSSYDDGICYVETMNLDGETNLKRKQALEVTLSLNDEHCFHSFKAFIQCEDPNEKLYSFLGTLYYNEQQYPLSPQQILLRDSKLRNTSYIYGTVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFVIATFGSIVFGMKTKHEISPGNYAWYLRPDQANIFFDPNRASFAAFCHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCAESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGSSPTEVEMSYGEIAETTSKYEHKDATEFKRLVKGFNFTDDRLMNGRWAKECSRDAVEMFFRVLAVCHTAIPVADKNSAGMPYEAESPDEGALVTAAREFGFEFYHRTQTTLSVHEYDPVSGGKVDRTYKLLNILEFSSARKRMSVIVRTEEGRLFLFCKGADSVIFERLSKDTGKACLTKTKCHINEYSEAGLRTLVLAYRELTEEQYVLWNEEYSAAKNSVHTDHDEAVEKASDYIEKNLVLLGATAVEDRLQNGVPECIHKLAQAGIKVWILTGDKLETAVNIGYSCNLLRKEMEEIFITLDNSSTSASGGCSGEENRMAPYDEIDRKLQDASAIISQKGTSTSFALIIDGNALTHALTSSLKNSFLDLAVNCASVLCCRISPKQKALVTRLVKIRTGKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPAYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQEGVNNVFFSWSRILSWMLNGMCCSIIIYFGSLNAVLIQAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYAFLVIYGLFPPAISTSAYHVFLEACASSPLYWLSTLMIVVTALLPFFVYKISRTLYYPQYRDQVQKTNSELVMPVV >PAN33659 pep chromosome:PHallii_v3.1:6:2625776:2626868:1 gene:PAHAL_6G036200 transcript:PAN33659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEQLDYVLVPLGLAVMAGYHAWLLLRVRRRPSTTVIGVNAINRRIWVRHVMEEPSGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAVLMSSGGGGEGSASAASTDNSGGLLPGAPLVVGATGAPALSAKFFAILVCFLVAFLLNVQSIRYYSHASVLVNVPPGAWRRRRGRAAAVGYATDVLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMLVACVAMVCALYFLDVCKDWAEEGDGGDDDDDDHQCTSDERSSTGQQGKDGEQQV >PAN33610 pep chromosome:PHallii_v3.1:6:2454798:2457162:-1 gene:PAHAL_6G033300 transcript:PAN33610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQVQASGGAQAATTQLGDLPEACLAQAIALTSPRDACRCAAVSPAFRAAADSDHVWRAFLPPQLDRKPTVVLQPAPPAARSKSKSKKEAYLGLCDAAGAAALEGEDGGCRVWLERATGARCYALSARRLSLPWDDGEFCWKFAPHPRSRFAEVAELVDCTCLDIYGALPAAALTPAAPYAAYFVYGTADGGHRGLSYPDQETAVAVGGRVVARHAVCLRPDDAEAREFRGGGEDEPRRPRLREDGWWEVEMGRLGEVMTSAAGGEEVVASFEVLGWYPKRGLIVEGIEFRPVVHG >PAN35536 pep chromosome:PHallii_v3.1:6:38572731:38574164:1 gene:PAHAL_6G216000 transcript:PAN35536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRVVRNLDLERYAGRWYEIACFPSTFQPKTGTNTRATYALNPDGTVRVLNETWTDGRRGHIEGTAWRADPAGDEAKLRVRFFVPPFLPVFPVTGDYWVLHVDADYQYALVGQPSRKYLWILCRQPHMDEAVYSELVERAKEEGYDVSKLRKTAHPDPPPESEESPRDGGMWWLKSLFGK >PVH36396 pep chromosome:PHallii_v3.1:6:4991788:5004686:1 gene:PAHAL_6G065700 transcript:PVH36396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCSNRSYGQVLWLLLACSWVAAARAQQASRTDPVEDMQKLYKSLTRAFRVCAVAALNTILGRWGLRASTAWNISGEPCSGAAVDDTDVDNSRNINPGIKCDCSYNASTVCHITTLRVYGLNVVGQIPAELQNRTYLNNLNLQQNYLTGPLPSFVGKFTAMQHLAVSINPLSGTLPKELGNLSNLLSLGISVNNFTGELPTELGNLTKLEQIYFDSSGFSGLFPSTFSKLKNLKILRFQGNSFEGPIPASLYNLTKLTSLRIGDIVNGSSSLSFISNLTKARRGPGRRAAARLGCARTRAGPGIPGPRRGRAVRGAPASRARGTTAAAAGRRRLRDAQRRVGSLQKQTARRPEGTGIPTAVLRWVHRRPVAHAGSSGEAKRAAAVVLTGGAEGGRGWCGGGSKPAGRILRNCRISDNLATVNFSKFAGLTLLFLGNNTLSGTLPDLKMPSLKNMDFSYNQLSGMFPSWLPGNNLQLNLVANNFILGSSNNSILPSGLNCLQQDIPCFRGSPEYYSFAEDCGSDRSMRGSDNTFYEIDPTNLGACHTRTASYYVTGQTRWGVSNVGKFNEAPNGSYIMRSSQQFQNALNSELFQTARMSPSSLRYYGIGLENGNYTIELQFGEFAYPDSPTWQSTGRRVFDIYLQGDLKEKNFDVRKTVGGKSYTAIHLFWAGKGTCCVPTQGYYGPMISALSVTPNFTPNVRNGVPKKKSKAGAISGIVVSASVLGLAFLTGIFMLVQKRRRIAQQQEEIYNMVGRPNVFSSGELKLATDNFSPQNILGEGGYGPVYKGKLPDGRVVAVKQLSQTSHQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYHMNGSLDRAFLRDSGMTLNWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLTPKISDFGLAKLFDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKAHVFAFGVVALETIAGRSNTDNSLEENKIYLFEWAWELYERDQALGILDPRIEEFDGEEALRVIRIALLCSQGSPHQRPPMSRVVKMLTGDNEVTEEVTKPSYITEWQRGGGNTSYVTSDYSSDTTREFSAQRETITPLIPSQATTGVIDEGR >PVH36321 pep chromosome:PHallii_v3.1:6:3344919:3347958:-1 gene:PAHAL_6G046200 transcript:PVH36321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTFTSASACLPAPLLSPPPAVPCHTLVRVTPLCATARTRCAPLPLLLPLQCMLPRSPRPCAHARLYPAPPQEVERPGIPIPPAARHALCSTVASRDQRSRVPLPLQPVRLVLCLTQPGAPTRDNQVAARRTSHEQIVAASCAADSDHHDAFPSQLIVILFLFPASQSLLRDESSGILATAPAGLHGCVSRVFAV >PVH36340 pep chromosome:PHallii_v3.1:6:3974150:3977188:1 gene:PAHAL_6G053700 transcript:PVH36340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHHLVSIENLFHLKYLCLSGSITKLPEKIGELQYLQTLDVQGTSIKLLPSTITKLQRLAHLYVDGKTRFPGGVIGQMHSLEEMREYGAGSYELRKSLQEFSKLTKLRALEIIWNFDSHEHSEGIRRAEGYHSCVGTLISSCNLYNLYITNCSSHNMYLLSMDSWHPAAPCSLRKLCLEQCSICKVPHWMGSLRNLVLLKLQFVICLGPEDVEILGVIPCLLFLKLAIFGGTNGRITVHGRNGFRSLKYLYLSIDFCRTALEFQVGSMPKLEHVKLRIAVHKRECLNGASDLGIQHLSALSKVEVKINGNCRYDTNYNPTEDENDGAVRWVASAIRWVASAINGAIVTLPNCPTIRFKKMHVEECVHYDEECV >PAN34011 pep chromosome:PHallii_v3.1:6:4793089:4795167:1 gene:PAHAL_6G063600 transcript:PAN34011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMISSSALVAPARAQGLPSLGRRASSFAVVCGTGKKIKTDKPFGIGGGLTVDKDASGRKVKGKGVYQFVDKYGANVDGYSPIYKEEDWSPTGDVYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAS >PAN34272 pep chromosome:PHallii_v3.1:6:6488989:6489717:-1 gene:PAHAL_6G080000 transcript:PAN34272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVECTVTVVVPPPESAAAAGESGRAAQLAAQPTAAVPPSDMMDQLRDMYASGHGTDVTFSVGGELLRAHRIIVAMRSPVFRAELYEEMAESRAHRVEVHGVRPDAFKALLRYIYTDDDTFTTSPAAAAAASGGEDGDGGGEATTTAIQDVLVAVDRYGVERLKLICEHRLREALGVGNVARMLAFAEDHHCAVLREACIRFIATCDRMGDLVATLGDAQLVSTRPLILIEVLEKSHKFRSV >PAN35987 pep chromosome:PHallii_v3.1:6:41207052:41210954:1 gene:PAHAL_6G248900 transcript:PAN35987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGARVEAAPRLAQWRVDALPCYTYRKSLPFRIGLWNWYISVERNNKQTCVKLFAENSNSTKNGPSAPIASFVTKLLISLPPNQQTIVHPGIFNKQLKHDGFVWAIDSTVTGRFVIEIEFLDLKVADPSGGEPASIWASHQIKQSSDNIALSALSRMLHEDILTDITINAADGSVRAHRAILATRSPVFRSMFSHDLREKELSTVDISDMSLDACHAFLNYIYGDVRSEEFLANRLALLRAADKYDMADLKEACHESLLEDIDTGNVLERLQTAHLYRLPRLKGGCLRFLVDFRKVYEMHDDFNVFLQTAERDLVAEVFHGVLAAWSGR >PAN35509 pep chromosome:PHallii_v3.1:6:38465960:38467315:1 gene:PAHAL_6G214400 transcript:PAN35509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRNSITLRRRLRIAVRLLLLLVFAVLCYLQFRTLSRFSPTVPPCDDSSRRAPVDDLVGRLRASVTFLPLRDTRKRAGEWFISALNDSSEPEGEAKNLVLPSAASSGRVLCMHAPPRSDAAYALAWRDALPRGAALRPGLTFVSEMSYDYRNLWHGLSALVPFASWHARSGCRAVPARWALFLHGAAVRTGTSGWLASLAEAATGAEMSVETFPDAADGPACFEEAVVFRRQMEGLSRARLLGAFDFLRCKARARCGVAGAASGAGPPALRVTLLFRTGARAFRDEAAVERVFEAECARVAGCAVTAARSENLTFCDQVRLLSATDVLVTPHGAQLTNLLFMDRNSSVMEFYPLGWRQRAGGGQFVYRWMADRAGMRHEGSWWDPHGEPCPGSPDILSCYKNRQIGHDEAYFARWAARVFAAAKERKTRRGGGALEKERQPEVADCGCS >PVH36880 pep chromosome:PHallii_v3.1:6:35884773:35885663:-1 gene:PAHAL_6G188500 transcript:PVH36880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARHGEGYDWRNAPIDPEAVYSSGGKPHGRYPLFEKVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIASQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVHPTSNWADQFIGSGGSVQPGDGDDQT >PAN33165 pep chromosome:PHallii_v3.1:6:54808:55038:1 gene:PAHAL_6G000400 transcript:PAN33165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLAMESLSAPHNVPAVLYIYFKIDAYVSELFTGCRTMRQNFVIDSLACDANCYRKLLPADVKDCLSCIIGRHIN >PVH37189 pep chromosome:PHallii_v3.1:6:42453943:42455390:1 gene:PAHAL_6G267400 transcript:PVH37189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARAEAGDFAEARSIWAQLLHSSAAPCLPAAAPRLLPAYARLGRFDEILLAVRELSARDPAAARALYPLAISCLGAAGELACMEDAVLEMGRLGLRVDSATGDAFVRAYAAAGTVPQMEAAYRRHKKTGLLISRGAIRAVASAYISQQKYYKLGAFVTDVGLGRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLSAEHMRRDGVAPDLVTHGCFVDAYLERRLARNLTFAFDRLDGNAEAVVATDGIIFEAFGKGGFHASSEALLEATGEKRRWTYYKLLGVYLRKQHRRNQVFWNY >PVH37295 pep chromosome:PHallii_v3.1:6:43599011:43599408:1 gene:PAHAL_6G283100 transcript:PVH37295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHSR >PVH36365 pep chromosome:PHallii_v3.1:6:4537582:4538085:1 gene:PAHAL_6G060000 transcript:PVH36365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLTLGLGAVAWAITILGWFSSPYITNRVNKYFDAPKENNLQNLENRVLKLNQMLQKALQLSFQDENKLEGWPPVKELERWVKKLRSAFYDAEDIMDAIDYHRLKSEATRKRVRLFSSPLYI >PVH36230 pep chromosome:PHallii_v3.1:6:1833187:1835135:-1 gene:PAHAL_6G025100 transcript:PVH36230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVVCFAITKVFKIIKIEEGMTTDIYINSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLAIMSVISVGVIVASVGEITISWIGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSALCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFVLNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIFGYAIAIAGVVAYNNHKLKVKPQANPQQGDENKVSPGNPRDVEISMNSTKEAS >PVH36229 pep chromosome:PHallii_v3.1:6:1832692:1837002:-1 gene:PAHAL_6G025100 transcript:PVH36229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGARVQVQYSIVPTMSCVPTFDWPNFDFPKQRKAKAGRGPGHARPAGPTRSDPAGGRPRRWPARRTPTSPSRISSSPTLPPSIPNHEAPSASAHPQRVHHRRQARRGEASSRGCRGPDPMERSSNGGSGKPPVTESKAAWRDGAVTYFHLLFYIAISGGQIFFNKWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKVFKIIKIEEGMTTDIYINSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLAIMSVISVGVIVASVGEITISWIGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSALCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFVLNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIFGYAIAIAGVVAYNNHKLKVKPQANPQQGDENKVSPGNPRDVEISMNSTKEAS >PAN34449 pep chromosome:PHallii_v3.1:6:8765532:8771171:1 gene:PAHAL_6G092700 transcript:PAN34449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MAAAARAALPRLNLALPSSSVSLAASPVPGPRAAASVATAAAAPPQPLVTTRLGDVIEAQQFDRAALNEIFEVAREMEAVERGSHGAPSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKDYLNSRGVEWEESSNLLEVASQCDVIYQTRIQKERFGERIDLYEAARGKYIIDKKVLDVLPTHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGH >PAN35490 pep chromosome:PHallii_v3.1:6:38350704:38352304:1 gene:PAHAL_6G213200 transcript:PAN35490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTFTSVSTQAGLIQKPRNTSVTSYSGLKASPSSVSFGSESSFLGRNASLRASVAPRIVPKAASGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETVELTKRIQNAGTEVVEAKAGTGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSEVNDLPFFASRVKLGKNGVESVVSADLEGVTEYEAKALEALKAELKGSIEKGIAFANKQQEAAASV >PAN35785 pep chromosome:PHallii_v3.1:6:40136718:40138923:-1 gene:PAHAL_6G234100 transcript:PAN35785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVARRHLGLAAAALLAAALLLGAAAPGARAQEETEDEHEFSYARGDEHGPEHWGEIKPEWAACGAGRMQSPIDLAHERVSLVRSLGYLDHAYRPAEASIVNRGHDIMVRFEGDAGDLVINGTAYNLKQLHWHSPTEHTVDGRRYDLELHLVHESAEGKAAVIGILYEAGDRRDPLLHQMEPFIRRIADKRDREERVGVVDPRAVRSRASVYYRYMGSLTVPPCTEGVIWTILKRVRTVSKYQLKLLRDAVHDDMEKNARPLQEANSRDVSIFQPSARKHY >PAN35703 pep chromosome:PHallii_v3.1:6:39547586:39551183:1 gene:PAHAL_6G228200 transcript:PAN35703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGSMNGLQFKQLKLVILAFFMLFLLWKWEKGTYYDSGILKPDPLVLTDPANSKFVDQHTSSEEDFPNADPLPQSVVRVEKQITGAPPPLTMVGYSVDVADENEMPPAEKKECSYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRTDFAYEKFRWQPEACEMPEFEASQFLRRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKQRPDVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDHATSYAMHLDRPPAFLKNNLHRFHVLILNTGHHWNRGKLRANKWEMYLGGAPNNNRNIAVIWKAKNFTIHSVIKWLDAQLPHHPQLKVFYRSISPRHFFNGDWDTGGRCDNTNPLAKGSGIRLNHSEDTDAEGAVRGTRIKLLDVTALSRLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >PAN33160 pep chromosome:PHallii_v3.1:6:34584:39405:-1 gene:PAHAL_6G000200 transcript:PAN33160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAMPPRPPGPGEEVEDEEEDACRICHLPAEADRPLRHPCACRGSIRFVHDDCLLRWLATRRGSSASRCEVCKRAISIAPVYAANAPARLPLPEFMLGLANKLMAWTLLLLSLLFAVCVWEFLMPLTTLWVWRLALSRTLAQVRRLLSLRATAFSRPYALRFMPSPDTVLACVSVRRAFLRELPHLRQLNAPARIATDALAPVAQWVARVEAHLQNRFGGLDTLQLLALHTVEASLMVVIGDVAFALLLGFLPFSLGRIVLCCFSFATVDVALSYTSTASVLLVGYGFILMVVLLFIGLHAFQQYSRGERLTITIYFDVLTNWVCWPFSPLRMLPSIHGVLDRTCSFLQHFFWGIISVANVSLNLAAILVICPLIFGWLLDICTSELFGVNIPQKLQLLFASSFASNALHWLIGCICLKLHYSLSSLLCPVLRLGVSAPFVDTTGGQIKIGEPFCNFYFKILLGLFLSVIYVAMLILVPVEIAFHLAPTVFPLDITYFDPPTQGTVFWQATRNYVELLSGVLLLKFLVCNALKYLEPGALVQKALRNWFATTEQALGLTGLLIAQPDGSGESELGNSGIPKDQHNRPAEAKDKRRSAAVRMVLLVVLAWLTSLIFNAALLVVPVLVGRALLFAIPQLPVAGALKSNDLFAFAVGFCILSTIFAASRDAFAYAKSGRTRLLISIICNWGTTALKSSPLLFLWVVTIPFLIGLLVDCLLISPFVENEVPVLDVFCTWFLGLQLLKFWTKLVHLTRVAPFLAYVIDQRWDRKLTQAREDGFSGLRAMWVLRDILMPIIVKLLSALCVPYVLAKGVSPVFGYSATVNSAVLRFAWLGSLAMCVLCYIAKLLCRLVVRLHDSIRDERYLVGQRLQNYTDNM >PAN33159 pep chromosome:PHallii_v3.1:6:34522:39003:-1 gene:PAHAL_6G000200 transcript:PAN33159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLANKLMAWTLLLLSLLFAVCVWEFLMPLTTLWVWRLALSRTLAQVRRLLSLRATAFSRPYALRFMPSPDTVLACVSVRRAFLRELPHLRQLNAPARIATDALAPVAQWVARVEAHLQNRFGGLDTLQLLALHTVEASLMVVIGDVAFALLLGFLPFSLGRIVLCCFSFATVDVALSYTSTASVLLVGYGFILMVVLLFIGLHAFQQYSRGERLTITIYFDVLTNWVCWPFSPLRMLPSIHGVLDRTCSFLQHFFWGIISVANVSLNLAAILVICPLIFGWLLDICTSELFGVNIPQKLQLLFASSFASNALHWLIGCICLKLHYSLSSLLCPVLRLGVSAPFVDTTGGQIKIGEPFCNFYFKILLGLFLSVIYVAMLILVPVEIAFHLAPTVFPLDITYFDPPTQGTVFWQATRNYVELLSGVLLLKFLVCNALKYLEPGALVQKALRNWFATTEQALGLTGLLIAQPDGSGESELGNSGIPKDQHNRPAEAKDKRRSAAVRMVLLVVLAWLTSLIFNAALLVVPVLVGRALLFAIPQLPVAGALKSNDLFAFAVGFCILSTIFAASRDAFAYAKSGRTRLLISIICNWGTTALKSSPLLFLWVVTIPFLIGLLVDCLLISPFVENEVPVLDVFCTWFLGLQLLKFWTKLVHLTRVAPFLAYVIDQRWDRKLTQAREDGFSGLRAMWVLRDILMPIIVKLLSALCVPYVLAKGVSPVFGYSATVNSAVLRFAWLGSLAMCVLCYIAKLLCRLVVRLHDSIRDERYLVGQRLQNYTDNM >PVH36158 pep chromosome:PHallii_v3.1:6:886233:896013:-1 gene:PAHAL_6G009500 transcript:PVH36158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase SGR2 [Source:Projected from Arabidopsis thaliana (AT1G31480) UniProtKB/Swiss-Prot;Acc:Q8W5R2] MAGPDESWAQGGGPGGDASSSGASTSGTSASHAGPPAGEAEGASPDSLRNTESNIRRLEDAIKHCAARHKYLARTKSPSDGQEVRWYFCKLPLPDKVLSSSVPRTEIVGKGDYFRFSERDSLALEASFLEREEELLAYWWREYAECSEGPRGSLVESDDSEYLYKMEEERVGVPVKGGLYEVDLLRRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGSNTIKLRRGFPLPDPAKPSQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTSYQRSTQRVLFIPCQWRKGLKLSGEHTVEKLTLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNKLYMKFLKRNPGYGGKVSLYGHSLGSVLSYDILCHQETLWAPFPTEYLNMEWTSDRSQGAKSANEVAVHDAATKDHDTAALRHSCADTVNGVVDEDSTRTDASHMDGAPPSCVRENSPNNDDTVVSPGAVDAEQNEEENTVENHQTIHSEEGTTSAVSTKDAEGSGISRSAEEVHEEVLDKDKLIFSLEEEVKRLKARLEQLEQQNHLVAESISGVEYHEGKSANLAMNSGKLFTVQGSTNQSYSPQIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGKGQDYWQDENIIEEMPCCRQMFNIFHPFDPVAYRVEPLVCEDYLKKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAIARQLKSLKVKAVAAMLALSRNDTEEDGETANEKERSYGSMMMERLTGAPDGRIDHVLQEKTFQHSYLSALGAHTNYWRDHDTALFILRHLYRDIPEEPPTDVIGRMPIKLFYERDPFVEETPLTFADEALVKEFSRKVRTYTRKKENDANCEAS >PVH37135 pep chromosome:PHallii_v3.1:6:41557179:41569277:1 gene:PAHAL_6G254100 transcript:PVH37135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARKVRNANKRYAKINDDWKTEDTASVPKSKVRKKKLSDMLGSQWSKEELERFYGAYRKYGKDWRKIAGAIRDRTSDMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNDSPKTSRKPQKRGRAKFQSVSKTSDTRYPDQLQSQPASSSYGCLSLLKKKRSGDLFVGNRPRAVGKRTPRVPVASMYHRDERGAPNRQAKPDSNNGDDEGAHVAALALAEVYQRGGSPQVSQTPGRSGDHMFLSPIKSNDRKNADSEMGSSKLHGFQLDADYPEASLGSREAETGDYTKGASYLMTNKGSPSGKPQKKVKRSQKRRKKAARKTGDQYEYDREACSGTEEGHSGRKAKEEPELETLGRKTAWPSSTSNKRSRQLFFDDESSALDALHTLADLSVNILQPSSVVESESSAQIKDENKDNDSDGKPSMPAAVSVYEQKDNSKSIAKKLKRQSEIASTDMVTRKKAKHSKDPHHDGSTTSEVKQQGCTCGVKTEKKKRKSSTGKVSKDEKNILKDVEKTEVSAEEGKTSSNKETTTQGEMTPQADLTSKVKSRRKLGIQKSLSQECKPTEGAGDSGSEKLSYSLSNIIDVKDKLSHCLSSRLLRRWCMFEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLREEREKLSQYRDSVRQHYAELRSGVREGLPTDLARPLAVGQRVIACHPRTRELHDGNVLTVDHNHCRVQFDRPELGVEFVMDIDCMPLHPLENFPESLRQQNIVNEYYSRLSEANEDQIKELGTGGLTRFTSNLNSADATFHIPSSHPISTLMKHAKGDSIDSIAQAKATVNEVTAATQQAMYNQPSTLSQIQEREADIRALAELSRALDKKEALLVELRHMNEEVSGKQKDGEIIRDLEHFRKQYAMVLVQLRDSNDQVAAALLSLRQRNTYHGNPVQSYPKSMENGMAFAGAPDPYNLFGYINPESGSQVIEVIETSKCRAKMMVNVAIQAMCKVSEGENAFAKIGEALDNLNSRGTGSGSSILGIRRIPPDSGQSNASYQDNGTPAPATNSNSRLPNGCDSDGQFPTELISSCVAMMLMIKNCTEKQYHPAEVAHILDSALSGLQPCSSQNIPIFREIEMCMGIIKNQMLALIPTPSG >PVH36763 pep chromosome:PHallii_v3.1:6:30515365:30516442:1 gene:PAHAL_6G163400 transcript:PVH36763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRIPTTSPRTTSRVPSCTQSCLWMRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN35485 pep chromosome:PHallii_v3.1:6:38324421:38327839:-1 gene:PAHAL_6G213000 transcript:PAN35485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECHRWMSKGVNIKYEVRGNRKGYKAGALKEGLKHDYVQDCQYIAMFDADFQPESDFLLRTIPFLVHNPEIALVQARWKFVNSDECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRISAINDAGGWKDRTTVEDMDLAVRAMLRGWKFIYVGNIKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSLWSKIHLWYDFFFVGKVAAHTVTFIYYCFAIPVSVLLPEIQIPLWGVVYIPTVITLLKALGTPSSFHLVILWVLFENVMSLHRIKAAVSGLLDAGGRVNEWVVTEKLGDANKAKPSINGSDSIKVIDVKLTEPHVKLTEPLVPKIVKRRTRFWERYHCSELFVGICIILCGCYDVFYAKKGYYIFLFLQGTAFLVVGFGYVGTRPPSTA >PAN35488 pep chromosome:PHallii_v3.1:6:38325585:38331841:-1 gene:PAHAL_6G213000 transcript:PAN35488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARGYGDVAAMSVGEEAARLWAELPVRVDWAAVAAQCAWAAARARALLVVPAVRLLVYLSLAMTVMILVEKLFVCAVCLAVRALRLGPDRRYRWEPIAAARGADVESGAAAKYPMVLVQIPMYNEREVYKLSIGAACALEWPAERFVIQVLDDSTDPVVKDLVEMECHRWMSKGVNIKYEVRGNRKGYKAGALKEGLKHDYVQDCQYIAMFDADFQPESDFLLRTIPFLVHNPEIALVQARWKFVNSDECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRISAINDAGGWKDRTTVEDMDLAVRAMLRGWKFIYVGNIKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSLWSKIHLWYDFFFVGKVAAHTVTFIYYCFAIPVSVLLPEIQIPLWGVVYIPTVITLLKALGTPSSFHLVILWVLFENVMSLHRIKAAVSGLLDAGGRVNEWVVTEKLGDANKAKPSINGSDSIKVIDVKLTEPHVKLTEPLVPKIVKRRTRFWERYHCSELFVGICIILCGCYDVFYAKKGYYIFLFLQGTAFLVVGFGYVGTRPPSTA >PAN35489 pep chromosome:PHallii_v3.1:6:38324421:38332549:-1 gene:PAHAL_6G213000 transcript:PAN35489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARGYGDVAAMSVGEEAARLWAELPVRVDWAAVAAQCAWAAARARALLVVPAVRLLVYLSLAMTVMILVEKLFVCAVCLAVRALRLGPDRRYRWEPIAAARGADVESGAAAKYPMVLVQIPMYNEREVYKLSIGAACALEWPAERFVIQVLDDSTDPVVKDLVEMECHRWMSKGVNIKYEVRGNRKGYKAGALKEGLKHDYVQDCQYIAMFDADFQPESDFLLRTIPFLVHNPEIALVQARWKFVNSDECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRISAINDAGGWKDRTTVEDMDLAVRAMLRGWKFIYVGNIKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSLWSKIHLWYDFFFVGKVAAHTVTFIYYCFAIPVSVLLPEIQIPLWGVVYIPTVITLLKALGTPSSFHLVILWVLFENVMSLHRIKAAVSGLLDAGGRVNEWVVTEKLGDANKAKPSINGSDSIKVIDVKLTEPHVKLTEPLVPKIVKRRTRFWERYHCSELFVGICIILCGCYDVFYAKKGYYIFLFLQGTAFLVVGFGYDPTILLLPRRSQI >PAN35011 pep chromosome:PHallii_v3.1:6:11201018:11204718:1 gene:PAHAL_6G102300 transcript:PAN35011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKMKEFDGASPAKIFIGGLSKDTSMGTFKEHFGKYGDITDAVIMKDRYTQKPRGFGFITFADPAVVDRVIEDDHVINGKQVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGKVVEHEIIRDHATNRPRGFGFIVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPPRSIDREPRGRPYADSYDGFGSSYNYGGSFGPYRSPGSFGARPGGYSSAYGPVDYGSGYGAYGGALGGYRGESSLYSSRFGSTYGGSFGGGYGGGSYAGGLAGAYGRDAGVYGGSSYGPSYDSSGASAGSGAGYGTGGLYGARTGYGSTGGSSAAGRYHPYGR >PVH36903 pep chromosome:PHallii_v3.1:6:36627590:36628573:-1 gene:PAHAL_6G194400 transcript:PVH36903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKAKLPKAVWDAYATKIFYQICKEETLAGNRLGTTLSSIGYKNLEKFFFAITKQHYPHGKLKNKWGALKPRYNLWLDLKMAATGLGFDVVKGTITSSDERWEEKIAKNKKYAAFYAATMENLDELEVMFQHINVTCLSSVIPGVKKLQLPLKCARIGTSNVSVDRGQ >PVH36465 pep chromosome:PHallii_v3.1:6:6319667:6321132:1 gene:PAHAL_6G078000 transcript:PVH36465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYYAIALLSMLAFSICAGHALDCEKLQTTLYIQQRYSQDQRAVGTDTVAINWLVKDGPDAAANTTGHAEGLTIHANLAKNTWVTIMDMVFESGSLNGSTLQVMGLHGAMNGGPGQWSVMGGTGELTMARGIINYRIIQEDSASRIFETNMYVFYTRKETIVGSGATENGLKP >PVH36811 pep chromosome:PHallii_v3.1:6:32919084:32920395:1 gene:PAHAL_6G173200 transcript:PVH36811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEINGATSTTLASAPSHDKALSMVVDADTARVAVPVQTLESFWTSCDKCGFQFEYEFKYLGHLRLFTMGCQMCSNAFVAKEMVGRAHKKNKCVVHKGKVAAKRLQALQPTEDASVPESFHPIEGDGAFMKSGHKKKMKDLIKPPVSSHDDAFFAADDDSFEKSPEPQGIPKEASPEAAVAELKKIPDLARDDFLKAFNVLRRNDFEFRILVAFPMELKKEWLLKEIKKQNN >PAN35872 pep chromosome:PHallii_v3.1:6:40742324:40745261:-1 gene:PAHAL_6G242000 transcript:PAN35872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TaWIN2 [Source: Projected from Oryza sativa (Os08g0480800)] MAAGAGTREEMVYMAKLAEQAERYEEMVEFMEKVVAAAGAGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEETRGAAGHAAAARGYRGRVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADSTLAAYQAAQDIAMKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDAGDEMRDASKPEDEQ >PAN36344 pep chromosome:PHallii_v3.1:6:43108439:43112621:-1 gene:PAHAL_6G275500 transcript:PAN36344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSIEETQSSGGPQLGPPRFRSFSFRGFPISPRSCLVLSPPRGLARPARSPSPLPKPRGKSSRRRRIFSVQRIRLAAPAGWCRTLMDALVARRPRRSSRALRLDGGARWHRCTASATATPPVLWLRRDPFAR >PAN36346 pep chromosome:PHallii_v3.1:6:43106822:43113353:-1 gene:PAHAL_6G275500 transcript:PAN36346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSIEETQSSGGPQLGPPRFRSFSFRGFPISPRSCLVLSPPRGLARPARSPSPLPKPRGKSSRRRRIFSVQRIRLAAPAGWCRTLMDALVARRPRRSSRALRLDGGARWHRCTASATATPPVLWLRRDPFAR >PVH37250 pep chromosome:PHallii_v3.1:6:43111785:43112621:-1 gene:PAHAL_6G275500 transcript:PVH37250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSIEETQSSGGPQLGPPRFRSFSFRGFPISPRSCLVLSPPRGLARPARSPSPLPKPRGKSSRRRRIFSVQRIRLAAPAGWCRTLMDALVARRPRRSSRALRLDGGARWHRCTASATATPPVLWLRRDPFARVLQFVTI >PAN36345 pep chromosome:PHallii_v3.1:6:43112065:43112621:-1 gene:PAHAL_6G275500 transcript:PAN36345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSIEETQSSGGPQLGPPRFRSFSFRGFPISPRSCLVLSPPRGLARPARSPSPLPKPRGKSSRRRRIFSVQRIRLAAPAGWCRTLMDALVARRPRRSSRALRLDGGARWHRCTASATATPPVLWLRRDPFARYLPGVFCSTPTAIVAF >PAN36343 pep chromosome:PHallii_v3.1:6:43106822:43113353:-1 gene:PAHAL_6G275500 transcript:PAN36343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSIEETQSSGGPQLGPPRFRSFSFRGFPISPRSCLVLSPPRGLARPARSPSPLPKPRGKSSRRRRIFSVQRIRLAAPAGWCRTLMDALVARRPRRSSRALRLDGGARWHRCTASATATPPVLWLRRDPFAR >PVH37251 pep chromosome:PHallii_v3.1:6:43111785:43112621:-1 gene:PAHAL_6G275500 transcript:PVH37251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSIEETQSSGGPQLGPPRFRSFSFRGFPISPRSCLVLSPPRGLARPARSPSPLPKPRGKSSRRRRIFSVQRIRLAAPAGWCRTLMDALVARRPRRSSRALRLDGGARWHRCTASATATPPVLWLRRDPFARVLQFVTI >PVH36480 pep chromosome:PHallii_v3.1:6:6724213:6735005:1 gene:PAHAL_6G082000 transcript:PVH36480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRSSSSLPLAMASPSFQTPVLTVVLLLAAGAADTVGVVCNYKTCYFDRQECSTSGNFTAGSQYHANLLNLIGDLPPSTIANDGFAEITAGSAPDRVFGLAMCYADRNLTQCQDCLRNVSGYVQQECPFSRQAKISGDACILRYSNLSFFSDADREIVSYASSEASPDPYVSDAATMNAERWTLMSGLVSEAASSLLRFANGSKEYTDSQGNAQQVIYGLAQCTRDLNDSMCSECLQNMVIELNSSRPNNTYGAVKCYSCYVAYSIREDVGITVPLPPPPPPPPLTVPPALYIAGVTIVSVIVISTCILVSFLLRCTGFLVSFLLRRTGILVCFLLRRRRSKGRQIGEDVPGEEAPEDEFEEQAGPRRFRYSELEAATNFFSEKEKLGEGGFGSVYQGHLKDTDLHVAVKRVSKSSGQGRKEYNSEVKIISQLRHRNLVQLIGWCHDGGELLLVYELMPNGSLNTHIHSQNNVMSWQLRYDIVLGIGSALLYLHQDSERCILHRDIKPSNIMLDASFTAKLGDFGLARLMDRDRQSHTTALAGTMWYMDPECLLSGKASTSSDVYSFGVVLLEVACGRRPIVEVSDDTDEEYATVHLVQWVWEFYRRGRIIEAADVRLNGNFDAREMESVLVTGLWCAHPDRNLRPSMRQAINVLRLDAPLQRLPMKMPASTFLSQSSVARRRTGTPYTHLIKAGRGIAEAPLPDGFFCSGGASWGGGVSRT >PAN35670 pep chromosome:PHallii_v3.1:6:39347838:39355668:1 gene:PAHAL_6G225500 transcript:PAN35670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPSRGSSSGSGRRTWRRRIADYLADDQTDASDNESFITAHSDEFGASTSAAGGGAGAEGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTAALYGPTSLAGGGTRTPDGARSLSRCSSTSSRIRRKFAWLRSPSPSPSQRHPPPPAPAAASDQQAVREAALAARERRRVQARLNRSRSGARRALKGLRFISRTTGSSSADAGGGDLWRRVEERFNALARDGLLARDDFGDCIGMVDSKDFAVGIFDALARRRRQNLERISKEELYDFWLQISDHSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEQAAEYAALIMEELDPENLGYIELWQLEALLLQRDTYMTYSRAMSSGSAAQWSQGLSAGGAGGQQPPPGQQQQQVCARMRRRWSPRRAAARARVAMAECWRRAWVLALWAAAMAALFAWRFVQYRRSAAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTWARYFVPFDDGIAFHKIIATAIALGICLHAGNHLACDFPRLIASGPDEYRLVARFFGRDRPTYRGLLAGAEGVTGIVMVTLMAVSFTLATRPFRKREEMAANKGGGRRRLRLPFPLGMHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVDRWYQRTTWMYISVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPSVPRRAAFGELGVAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSEDSANSFGVSTATSSNKRRAYRTSCAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGRPTLAKELKKLSLDMSHRTGTRFDFHKEYF >PAN33931 pep chromosome:PHallii_v3.1:6:4277412:4279310:-1 gene:PAHAL_6G057500 transcript:PAN33931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSRKLLLPWRRPNQGAQALAGRLLRSSSSLSDPDDDPPFTRIPKRLPRAPSPSPPKPKALAGKIRPDEPDHSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAARGGGDGEGGERSREEVLGEPLSEEEVAELVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEVIDKTGGKIIYRNINILILHRGRNYDPKQRPAIPLMLWKPLAPIYSRLVQNVAEGLTFEETKELRNRGLSSPPLTKLTRNGVYVNVVDKVREAFKTVEVVRLDCSHVGTSDCKKIGVKLRDLVPCVPILFKDEQIILWRGKVKQEHSASDQCNSRPQ >PAN33932 pep chromosome:PHallii_v3.1:6:4276779:4279470:-1 gene:PAHAL_6G057500 transcript:PAN33932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSRKLLLPWRRPNQGAQALAGRLLRSSSSLSDPDDDPPFTRIPKRLPRAPSPSPPKPKALAGKIRPDEPDHSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAARGGGDGEGGERSREEVLGEPLSEEEVAELVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKTGGKIIYRNINILILHRGRNYDPKQRPAIPLMLWKPLAPIYSRLVQNVAEGLTFEETKELRNRGLSSPPLTKLTRNGVYVNVVDKVREAFKTVEVVRLDCSHVGTSDCKKIGVKLRDLVPCVPILFKDEQIILWRGKVKQEHSASDQCNSRPQ >PAN33679 pep chromosome:PHallii_v3.1:6:2709277:2713336:-1 gene:PAHAL_6G037400 transcript:PAN33679 gene_biotype:protein_coding transcript_biotype:protein_coding description:IMP4 [Source:Projected from Arabidopsis thaliana (AT1G63780) UniProtKB/TrEMBL;Acc:A0A178WDJ3] MIRRNQRMRREYLYTKSLEGAERAQFEKKRRIRQALEEGKPIPTELRNEEHELRRQIDLEDQERQVPKSFVDNEYATGTIREPKILLTTSRDPSAPLIQFVKELKVVFPNSQRINRGGQVLSEIVESCRSHDITDLILVHEHRGQPDGLVISHLPQGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLVLDNFSTTIGERTATILKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKPGGPKSIDLKEVGPRFEMRLYKLKLGTMEQNEARCEFELRPYINTAKKQKTLGA >PAN34023 pep chromosome:PHallii_v3.1:6:4907166:4910386:-1 gene:PAHAL_6G064800 transcript:PAN34023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEGAAAHGAPGGGDRLSGLPDRVLLRVLSHLKAWEAVRTCVLSRRWRNLWASASGLDIRQPCGCHGAAADDMLQPEAFATFVKNLLLRRRPFAPLDSLRLCWSHEDPDGDANFWIAYAVRHGAEEIELSGEHHHVNPTPEYTSFIVDGDEFVKTRLKILKLIHVRLDGTTLTQLCSRCACLKELELKNCLISHETRIQPILLERLTMIRCQVIKHLSVYAPNLVALEFSRNFGFVPWIQNLGLLAASNIKQLAPRKYPECSGLGYCNLRILKLSRVKMDDTTLRQLCSRCTSLEELELNDCSVEGMIGSTSLKYLTMISCKFAIGFRVHAPNLVLLRCIEPFQHFPLIQKMEFLVTATIVLYDYCLIPDCQWLQEKDDSDDNSSDNSANDSGDNKHNESDDSSSFYDSDRSAPSHEEEDDRIVGYGEISREHIRTAYKYLIYGHKSRAGEPVESYGNYGSNVSGNFGGVGMLLSLSHVKTMQLLAHPGEVLLTRESKSCTDFKNLKTLTLGEWCITPGFDVLAAMLGHSPNLENLFLQLDMAYNSRVGFNPRASSFKCTNLKMVHITCCKHDLMVHKLAEFFSENSIPNNKIFVRRSACSGCVGGTSSQAKRKVQSEAYTIESKRMKAGN >PAN34915 pep chromosome:PHallii_v3.1:6:16356430:16356854:1 gene:PAHAL_6G117800 transcript:PAN34915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAGTEAHRFCPRSVIGLSSIRVICFEDLLHAVPAQDRVI >PVH36241 pep chromosome:PHallii_v3.1:6:2008204:2009314:1 gene:PAHAL_6G028000 transcript:PVH36241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTILLVAGTCRRRRRHRHRRRFVVDADAAMILIRTSTPSARTPAWTTRSRGTGIHRCVRGAAPWRRPRTPPSWPSPARRRSWPRRCCTPRRCPRETGATGRRPHHPRIRRRPSSSGASSSRRRRRLRRGRRAARRRWVQHGSRRARTTGASSASCARSPLSCPPGPLSLSLSLLPSSTFSLYPNPLSLGRRRDLDAY >PVH36243 pep chromosome:PHallii_v3.1:6:2008230:2009314:1 gene:PAHAL_6G028000 transcript:PVH36243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTILLVAGTCRRRRRHRHRRRFVVDADAAMILIRTSTPSARTPAWTTRSRGACTGIHRCVRGAAPWRRPRTPPSWPSPARRRSWPRRCCTPRRCPRETGATGRRPHHPRIRRRPSSSGASSSRRRRRLRRGRRAARRRWVQHGSRRARTTGASSASCARSPLSCPPGPLSLSLSLLPSSTFSLYPNPLSLVA >PVH36242 pep chromosome:PHallii_v3.1:6:2008225:2009314:1 gene:PAHAL_6G028000 transcript:PVH36242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTILLVAGTCRRRRRHRHRRRFVVDADAAMILIRTSTPSARTPAWTTRSRGACTGIHRCVRGAAPWRRPRTPPSWPSPARRRSWPRRCCTPRRCPRETGATGRRPHHPRIRRRPSSSGASSSRRRRRLRRGRRAARRRWVQHGSRRARTTGASSASCARSPLSCPPGPLSLSLSLLPSSTFSLYPNPLSLGRRRDLDAY >PAN36511 pep chromosome:PHallii_v3.1:6:44331210:44333610:1 gene:PAHAL_6G289000 transcript:PAN36511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYAGRVTRIPRVSYEAETPGGVGRVGRDGDMLWLGFRPGGLPKGINRWDHPLRSGLLLCLCCVCPRFA >PVH36240 pep chromosome:PHallii_v3.1:6:1977038:1978137:1 gene:PAHAL_6G027400 transcript:PVH36240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAARLQASLLAVAVIAAAAAVFATPASGANYTVGAPGGSWDLRTDLADWASSIDFRPGDQLVFSYDASAHDEVEATREGYRSCSVASPVSAALRTGSDAVELGGNGWRYFFICGVQGHCAAGMKLQVRVSDAECTWTMPPPAPPGAPSGINLCPGGPPTVIMTPGVISHGSGAAPVSSASLTSLLVTMVSLLLVGPIICLADPFIHGTVEHTLFQQRDHLCLVEHLEGTKSLYFSINTIGGYGCFLAHKRRHYSKKRTCHAVLFVYLALVVFCVGALGVSSLPKVFGVFLLKKDLWRVICGSNLKLYFPS >PAN33644 pep chromosome:PHallii_v3.1:6:2604005:2607804:-1 gene:PAHAL_6G035800 transcript:PAN33644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEKTVTAYGEYTYAELEREPYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPVHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDVAAYGSSKVVSTQAPVQLGSLRAADGKEGL >PAN36559 pep chromosome:PHallii_v3.1:6:44596132:44601005:-1 gene:PAHAL_6G292800 transcript:PAN36559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIKRSRTCIEITNNPEIIYFIGTILLAECSYEATLPDGSVVPQVVDQFIVVEKGAFATFYIDRSAVNYNVNMINAVHFGDQEVSMFANVRVADFDKRQNIFFPGARVNALGGSIESTWQFTSPFDAPHICSLYACK >PAN36066 pep chromosome:PHallii_v3.1:6:44430270:44436565:-1 gene:PAHAL_6G290500 transcript:PAN36066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLKVVAYHADDVLDDFHYEALRHKAHAGDSTTWKVLRYFTYHNPHLFRFKMSRKLKNVLDKINDLVAEMNTFGLVEHTEVPEVLCRQTHSGLEEPAEIFGRDDDKDMVVKVLLNQQAQLNVQVLPIIGMGGLGKTTLAKLVYNDCRIQKYFELKMWHCVSENFKPIAVVKSVIELATNGRCDLPDTIELLRGKLQEVIGRKRFLLALDDVWNEERHRWEDDLKPLLCSSIGGPGSVIVITSRSHRVAFIAGTLPPHELECLSEVDSWKLFSKRAFSKGVQEQAQLVTIGRYIVRRCKGLPLALKTMGGLMSSKQDVQEWEAMIESNMGDNIRGKDEIMSILKLSYRHLSPEMKQCFAFFAVFPKDYEIEKDKLIQMWMANNFIHEEGTMDLYQKGEFIFNHLIWRSFIQEVTLVERIPDSDGPTRYLIRNGCKLHDLMHDLAIYVSDECATADGLIQQKASINDVRHLNISSANDLEQIGGLFKGTTSLRTLFMPSNSRQGLVDTKLVSLRVLCCPCVIHDQLMQTTHLRYLDLSWSGIVRLPDSVCKLYNLQLLRLNNCSRLRYLPEGMATMRNLSHLYLLGCHRLQRMPPKLSLLHNLHTLTTFIVGTEDGYGIDELKDLRQVGNRLELYNLRNVGSMSKANLHEKQNLSELLFFWGRGCTYMPTNAAVPNEIKVLQSLVPHPHSELRILEMHGYRGPTIAQWMRDPKMFQCLRELRISNCPRCMDFPVVWLSSSLEFLFLSHMYNLTTLCNSTHVGAAGHNTSPQIFPKLKRMELNDLPELATWAENSAGESRRMVMFPQLEELIIWRCHKLASLPESSVLKRLECRGDSANGVVPMSMPLRSWPSLVHLKIELLADVWIPLEDQQGCRKSQRPLGTLRSLELMNDDGFISVFNLSKLRHGLQNCLAFLEELSISSWSYIVCWPVEEFRCLPRLRSLRISDCRKLEGKGSSKEILQLPQLERLRIICCDSLLEIPKLPTSLEEMNIFWCPRLVALPSNLGNLPKLRKISVSSCRGLQALPDGMDRLTSLEVLVLSKCPGIKEFPQGLLQRLPALKSIGIDGCPDLQRHCREGGQYFGLVSSIPEKNIPTAELETKNPETRNFIKTCLHFAKL >PAN35410 pep chromosome:PHallii_v3.1:6:37865377:37869414:-1 gene:PAHAL_6G206700 transcript:PAN35410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCWEQADTGAVRALWDVSRSSVPEAWNKACAEASTCHLEADQAENLLNLLQIFAPLKPVQGNAGGVRPFVDHPDGFMVASCHRKDVGNAIWNCANQVSLSPVQRFPNPFGVLSDHV >PVH37012 pep chromosome:PHallii_v3.1:6:38881849:38882187:1 gene:PAHAL_6G219100 transcript:PVH37012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALAAAAHLACAFGAALYWAAGHAGHTTTALPCGDVHASAAAAAPLLLLLVATTYFLAVALVYLELAVAANPGLVAARRLAAATASAAAVTSLLALSAAVYGGLRPHEI >PVH36208 pep chromosome:PHallii_v3.1:6:1617974:1619919:1 gene:PAHAL_6G021100 transcript:PVH36208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQPLNNCAPAKEGRSAQEKSIDDWLPINASRNAKWWYSASRTGAISGLWVLVVAQVYAMPVFDMIETVLVRKFRFRPGLTLRLIARTLYVDKADEMLTWAGFTMFVAITFPFFSALLSFFGGFAFAPTTYFLPCIMWLTIYKPKRFSLSWFTNWICIVLGVLLMVLSPIGGLRQIILKAKTYKFYQ >PVH36206 pep chromosome:PHallii_v3.1:6:1618589:1619922:1 gene:PAHAL_6G021100 transcript:PVH36206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFDMIETVLVRKFRFRPGLTLRLIARTLYVDKADEMLTWAGFTMFVAITFPFFSALLSFFGGFAFAPTTYFLPCIMWLTIYKPKRFSLSWFTNWICIVLGVLLMVLSPIGGLRQIILKAKTYKFYQ >PVH36210 pep chromosome:PHallii_v3.1:6:1617974:1619919:1 gene:PAHAL_6G021100 transcript:PVH36210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTWAGFTMFVAITFPFFSALLSFFGGFAFAPTTYFLPCIMWLTIYKPKRFSLSWFTNWICIVLGVLLMVLSPIGGLRQIILKAKTYKFYQ >PVH36209 pep chromosome:PHallii_v3.1:6:1618945:1619437:1 gene:PAHAL_6G021100 transcript:PVH36209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTWAGFTMFVAITFPFFSALLSFFGGFAFAPTTYFLPCIMWLTIYKPKRFSLSWFTNWICIVLGVLLMVLSPIGGLRQIILKAKTYKFYQ >PVH36207 pep chromosome:PHallii_v3.1:6:1617974:1619919:1 gene:PAHAL_6G021100 transcript:PVH36207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQPLNNCAPAKEGRSAQEKSIDDWLPINASRNAKWWYSASRTGAISGLWVLVVAQVYAMPVFDMIETVLVRKFRFRPGLTLRLIARTLYVGFTMFVAITFPFFSALLSFFGGFAFAPTTYFLPCIMWLTIYKPKRFSLSWFTNWICIVLGVLLMVLSPIGGLRQIILKAKTYKFYQ >PAN34532 pep chromosome:PHallii_v3.1:6:26883094:26888982:1 gene:PAHAL_6G152400 transcript:PAN34532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVALASKAFPSPSNATTEQPISKRDKAVANDSTSKHMNLYPNPNDSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFSEKPKGDQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAEKGGIPIGIGKNSHIRRAIIDKNARIGDNVKIVNVDNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >PAN34531 pep chromosome:PHallii_v3.1:6:26883720:26888982:1 gene:PAHAL_6G152400 transcript:PAN34531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAMASPSSMTMIPARHHSAAPSPSTSGDSSVRRLRAQPRHGRRGRGTSVSTAASQRRPFVFTPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFSEKPKGDQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAEKGGIPIGIGKNSHIRRAIIDKNARIGDNVKIVNVDNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >PAN33947 pep chromosome:PHallii_v3.1:6:4437497:4440304:1 gene:PAHAL_6G059100 transcript:PAN33947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPRHLQGQLLRKDPLGHHHRLKDDISSPNSVLEPHSGELHKVHLRLASSMEDATKWEPVNIKSIEPDGAYVIASQLNLVEEQHGGSYVASLEMELQQARDRVSKLEAERVSAKKQLDHLFKKLAEEKAAWRNREHKKVRAILEDMKADLEHEKKNRRQLETINFKLVDELKEVKMAAKQLLQEYDNEQKTRELTEEVCNKLVREIEEHKSEIEALKQDSVKLRGELDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYDQLSKLQEDVEAFISTFSSSKGDSTVVEAACNIAQTIGAVREEEVKFTYELPRASEDILSIFEELRPSDETVTKETEPCPKQSYAMCKSEIQEASSASDIFLENRAKLFQDGNHSDESEMEDGSSWETMSHEEMQGSSHSPYGSDPSVNKIFDRISWTSGNDSEGGQTNKLCDDLSNVYLTDMKQPKKKESAISKLWKSSPLKNYEFRTKDAAEMTNGRSSSASLPNGVFSNAKGLNLDMGDSTPSTAQWSSPDSMNSQLNRGFRGCMELVQRQSLKAKLLEARMETQKIQLRHVLNQKT >PVH36359 pep chromosome:PHallii_v3.1:6:4436745:4440304:1 gene:PAHAL_6G059100 transcript:PVH36359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASRPAPASSAPPPLPRAPRRLSRRRPLKAAQPAAAPSAGGAASRGGGPSTPHVLWAARGGEGNAGAEKPRGDPPSSVRRLAAAVWRLRPPEEAPAAGQRADAAAARVGLEHIPRHLQGQLLRKDPLGHHHRLKDDISSPNSVLEPHSGELHKVHLRLASSMEDATKWEPVNIKSIEPDGAYVIASQLNLVEEQHGGSYVASLEMELQQARDRVSKLEAERVSAKKQLDHLFKKLAEEKAAWRNREHKKVRAILEDMKADLEHEKKNRRQLETINFKLVDELKEVKMAAKQLLQEYDNEQKTRELTEEVCNKLVREIEEHKSEIEALKQDSVKLRGELDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYDQLSKLQEDVEAFISTFSSSKGDSTVVEAACNIAQTIGAVREEEVKFTYELPRASEDILSIFEELRPSDETVTKETEPCPKQSYAMCKSEIQEASSASDIFLENRAKLFQDGNHSDESEMEDGSSWETMSHEEMQGSSHSPYGSDPSVNKIFDRISWTSGNDSEGGQTNKLCDDLSNVYLTDMKQPKKKESAISKLWKSSPLKNYEFRTKDAAEMTNGRSSSASLPNGVFSNAKGLNLDMGDSTPSTAQWSSPDSMNSQLNRGFRGCMELVQRQSLKAKLLEARMETQKIQLRHVLNQKT >PAN34487 pep chromosome:PHallii_v3.1:6:9338512:9341857:-1 gene:PAHAL_6G096300 transcript:PAN34487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRCSNAVFTSFNVLTLLLGAVVLAGGIYAGAPRHRGATDCERFLRAPALILGAAIVVVSAAGIAGACFRASLLLWLYLLLAALLILAALCFAAFALAVTNAGAGRAVSGGGFKEYRLGDYSSWLRRRVEDGRTWGRIRSCLTEARVCRSLQRNRTFDEFVNDHLSPVQSGCCKPPTECNFTYLNETYWTKPPSPSNSSNPDCNTWSNDQSELCYGCQSCKAGVLGNLKNSWKKIAIINAAFIVLLIVVYSLGCCVLRNNRRHKYTLVGK >PAN36057 pep chromosome:PHallii_v3.1:6:41646642:41646809:-1 gene:PAHAL_6G254900 transcript:PAN36057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESQAFFSIQTRFRFPLLGDCCCFCCCCFSFFLQTICSFVDYRFKNSIDHWRSK >PAN36254 pep chromosome:PHallii_v3.1:6:42029503:42035505:1 gene:PAHAL_6G260300 transcript:PAN36254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLKPSPSSSTQTCCGCSTAAAQSPLVLVLASSPSSLSRRPLPSLPIQLGRPRRGRGKGKRIAIGCVASARSEAPSSSTSGSSSAAASGSAAMAAGAARVKAVATIKATVGGFLDSLRPSRAIDDVKDLIGRSLYLELVSSQLDAKTGQEKPTLRSYAHKVADDDDEVVAYEADFDVPADFGAVGAVLVTNEHHAEMFLEDIRLMPSSSDDDGAPLLAIRCNSWVQPKSGGGGDAPGKRVFFANKPYLPSQTPPGLRSYRRKDLEQKRGDGRGQRKSTDRVYDYDTYNDLGDPDDGADKARPVLGGSKQFPYPRRCRTGRPMSTKDPKTETRSGDNYVPRDEAFSEEKQLQFSVKTLRSVLRAAVPAVQSTLIDPDLGFPSFFVIDKLFEDGVELPKAEQLGFLAGVLPRLLQKLRDSPGDQVLLFDRPANVKKDKFAWLRDGEFARETLAGMNPYAIELVREFPLKSKLDPAVYGPAESAITAEVLEQQMGRVMTVAEAVKQKRLFMLDYHDLFLPYVHKIRAQEHTTMYGSRTVFFLCDDGTLRLLGIELTRPASPAQPQWRRVFTPSTDTTESWLWRMAKSHVRAHDSGHHELVSHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMRINALARTALINAGGIIELSFSPQKYAMELSSVAYDQLWRFDTEALPADLIRRGMAEEDPNAEHGLKLAIKDYPFANDGLLIWDAITGWVQAYVSRFYPDAGGVAGDAELQAFWADVRTVGHGDKKDAPGWPALDSPDSLAHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTNMPVEEPVDAGALAAFLDNPDLALRECFPSQVQATLVMAVLDLLSTHSPDEEYLGGLETAPWSDDAGVQAAYGEFNARLKEIEGIIDGRNADRRLRNRCGAGIVPYQLMKPFSEAGVTGKGIPNSTSI >PVH36395 pep chromosome:PHallii_v3.1:6:4952974:4955139:-1 gene:PAHAL_6G065500 transcript:PVH36395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDHVSNCRRPPAATPSAATLGGQPWLGLAPPPSWTALGLSACPSQGAFSFFADQSTTLSEQIAAWPQSEMSFTPTSGSGLPPRSSGDYCFGFPQSASGSELAPLPPSLRIPSLEEASTHNMVSAMGRAMPCHRGDLSINLGSSISSVPNLTTGAMKSPSRPPLKPPSSQGAGTSSNFINFATRPSSNSELAPLPESLLAPLLPEKQSPIDATGARHDPDFKSFIDNDGYELDQDDPTARDMSWETALKPSEDTRITRSKPFHHGSSSMGIENSRSTPKVLQSLPPSSLRAVASRSRSPVTRVIYTDAEKEIIRKDKNLQELVNTDPKRVKRLLSNRISAAKRKAINDIHTLELELKVEALQSKYNTSFAESQLLQEQCAELDTQNKEMSMVIQELKRQAMLKDAVTETLQAKIQALNVMKLNAAQMRSKKNKCPGCSCLTPTK >PAN34713 pep chromosome:PHallii_v3.1:6:31688104:31688940:-1 gene:PAHAL_6G168100 transcript:PAN34713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRIRVFFSDPDATDSDSGDSDTCAATKPAGKTEIIILQCNSSTNTRAKMNPAGCGRPQAIGSSAPAAAGNIRNRAVGSAPTTRRYRGAYERQPGRWAAEFRSHRLKVRHWLGTFATQEEAKAAYDAFERRFHSSPRCGLPASSSERGANAGGVRRASHSPPDDEKRQIVLALTTAATTRMLPPSSAGAMAASVSVPSAPCISSSTSASSPPTLFRDARRCDDDAPRSLHSIWADEPGDGDLVGLADLAHLPLPQFSDPSMDFDPADLSLFDNGFL >PAN33740 pep chromosome:PHallii_v3.1:6:3026992:3028206:1 gene:PAHAL_6G041800 transcript:PAN33740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDYTPVGASNRAPSYWCATKLVAASTCLRHLGRFIFEYEGLRIRKSPERMKSGGPSSLQACLERRNTF >PAN33212 pep chromosome:PHallii_v3.1:6:229470:231805:-1 gene:PAHAL_6G003400 transcript:PAN33212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQAAAAGSSAVGRAVEEVRSALNEHADVVAELFGRVSSELRTGFAPAVDSFIGFFHAIDWKEPWLIGMLTFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLGQNWKSFSSQNYFDPQGLFVSVVWSGPLLLITILILVNTLVTLCMLIVRWKRAELRHRARQARNKQD >PAN34899 pep chromosome:PHallii_v3.1:6:15242166:15243652:1 gene:PAHAL_6G114300 transcript:PAN34899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDNTTANCASGHGHTVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPADATKNAHLRAQDGAPERLTLVRADLLDKESLAAAFRGCEGVFHTASPVTDDPEKMIEPAVNGTRNVINAAADVGSVRRVVFTSSIGAVYMGPRRGPNEEVDETCWSDLDYCKNTKNWYCYAKTVAEQAAWELAKQRRLDLVVVNPSLVLGPLLQPAVNASTWHILKYLDGSVQTYADAAQAYVHVRDVADAHARVYEEPGARGRYLCAGRTLHRGEVCRTLAKLFPEYPVPSKCKGGAGETNKGCRFSSQRLAELGVGISPANLCLDDTVTSLQDKGLLPRRTAGVFDTCVIP >PAN36136 pep chromosome:PHallii_v3.1:6:42605588:42610509:1 gene:PAHAL_6G268700 transcript:PAN36136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRPLAAAALLLLIAVAGAATEEPVAVAADSVAGAVEAAARAEEAKEAAALRAELDQLRAKISTLESGIAERSQELKNKDDGIAKLEKAIEAKSQKIASLQAEIASLQAKGSIAAEEQAGKANARAVELEKQIDKLKKDIEAQSSQRTALEARANDAEKKVEELTAKLNAIQKESDEQKRKIKKTERALKVAEEELMRLQLEASAKAKQLTEVHGAWLPPWLAVQYAHYMEVVSGHWSQHGKPAMQNFLQKASEKSAQAKKWAEPHIETAKTKWVPVKEKLVVLKKNAEPYIQKVSTRSVELYESSWDAVTPHVVKAKEFAHPYYQEAKKFSKPYIDQVAEITKPHVEKVRTALKPYTKRVVHAYGSFLESATTYHRQAQATILDYLHQHEITKSLATKELVWFLASALLALPVFVIYRLLINTFCTKKPKRSRGGNGNHGHRRHKRRHTDK >PAN33559 pep chromosome:PHallii_v3.1:6:2898260:2899873:-1 gene:PAHAL_6G040100 transcript:PAN33559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHTVAAAAAALALCLVATAAAAGAGAHPPVPAHDIASCLVSNGVTNFSLPASKSFKPILDSSLRYLRFDVPSVGKPAAIVLPASQRELQRAVLCARSSSLAIRVRSGGHSYEGLSYTSENHVPFVVIDLANLNQVRVDPASATVWAESGATLGQLYHAVGQSNRTLAFPGGTCSTIGLGGFVSGGGFGLLSRKFGLAVDNVLDATLIDPSGRALTRATMDADVFWAIRGGGGGSWGVVYAWKLRLVPVPGTITVFDIDRRGPADLIAGLIHKWQYVGPHLPDEFYISTRIYFKPKSTNGGNLTMSFTGQVLGPKHLALSVLNKAYPELRLAESELSEVSWVESAAKFAGLFSVADLTSRQIGVGEYAKRKSDYVQAPISEQDMVKVARYMTAAPTEGSIQLNPYGAAMARIGSSETPFPHRAGFLYSAQYAIDWRASENDRSGEYMGWLRSFYEFMAPFVSKNPRGAYVNYVDLDLGTNNWTTETGGPLSFSSVSHAASWGQRYFLHNFDRLVRAKSKIDPLNVFNNAQSIPPSH >PVH37298 pep chromosome:PHallii_v3.1:6:43616293:43617789:1 gene:PAHAL_6G283400 transcript:PVH37298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRARRRYAEPVPLPPAEFAEMLLLDGCFVVEFFLKGEEKADDALIDASWAMQNVYNDLFLLENQLPFFVLERFYDIATGGLGRGHLVTNVLVKYLTVDMGAAQDAETARPPDGEIHHLLHLYYHWFLPPEDRPGSGAGGPAGAGKSEDEAFEEWMSKPMDERIPWQLPSASELKDAGVTFRAKKSPRSLVDVTFDRRGGVLEIPAVESYTNHAIFANLLAYEQSRGRWELQRLVSYVLLMASVVDARRDVEVLQRAGVFVKGDEETATFYAHLGELCPPPEFVENCYADLFRDVREHCGRSWNRHRAVLVHDYFSNPWTSMSAAAAVFLLVLTVVQTVYTVLPYYNPS >PAN34012 pep chromosome:PHallii_v3.1:6:4814492:4816318:1 gene:PAHAL_6G063900 transcript:PAN34012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTPAVLATPGHGVPCRPNNANKNCSSSSKLPSPATRIDSAAFFRRRIIINWRCRSSSTASMGAMAGVVVRRDDKDELEEEWVGHLAPEKLEVLDHLEPWAEAHVLPLLRPAEVAWQPSDLLPDPAALGDDGFHAACRELRARAAGVPDDHLVCLVGNMITEEALPTYQSVPNRFEGVRDLTGADGTAWARWIRGWSAEENRHGDVLSRYMYLSGRVDMRQVDRTVHRLIASGMAMNAARSPYHGFIYVAFQERATAVSHGNTARHVGAHGDDVLARVCGAIMADEKRHEAAYTRIVGKLFEADPDAAVRALGYMMRRRITMPAALMTDGRDDDLYAHYAAAAQQTGVYTASDYRGILEHMIRQWGVEELAAGLSGEGRRARDYVCGLPQKIRRMEEKAHDRAAQARKKPTPIPFSWIFDRPVSVVLP >PAN33517 pep chromosome:PHallii_v3.1:6:1931854:1935653:1 gene:PAHAL_6G026600 transcript:PAN33517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSHVVPLRALLIGLPLLSLLIVFQLLHRPAPLPTPLRTHAHAQPSSAAAAEAEEALVNSPSSLSSSSSTTPARESQLRSEPTSLRHVVFGIASSSRTLPLRLPLLRLWLRAPARAFLFLDAPAPAPVVAGGLPRGLALRVSADASRFPYTHPRGLPSAVRVARIAGELVAALRQEEEEGRRAPPRWLVLADDDTAFVLPNLLRALRGYDHREPWYLGARSESAAQNAWHGFAMAYGGAGIAVSWPLARRLARALDSCVLRYPHLYGSDARIYACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLSPLVSLHHLDHVYPLYPGMDRTRAMKHFFRAANADPARILQQTVCYDRKRSLTVSIAWGYSVQVFKGNVLLPDLLAVQKTFVPWKRGRNVTDVYMFNTKHVPRDECKRGALFFLKSISSGEGKTETTYNRQPPRKCSHDLMPLRNLSMIKVTAEQLRLVPGKALRRHCCDILPSPSDTTMDINIRKCKDDELIAMHS >PVH36668 pep chromosome:PHallii_v3.1:6:22431035:22432634:-1 gene:PAHAL_6G136600 transcript:PVH36668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIHHDAHLIWATLKCKYGGAKCDAQIQEVNQSDSDSDSDDDEEFMLELGKMNKKSRETIIEMMKQLMKQDQEIEIQRKLLSDKDKEIKSLALIEKKDQALTAQVDELTIKHMDLQALHMDLKGSNKKLLESYAMLEQVTMKDCNDDLIQENKVLKQEVERLSKELTKMKGKSIVQPSQDNRETMVKKLEKGSTVQTSCNQVHKSNKRKPQAKKKNLDRIKCFKCSNMGHYASMCLIKLEGQQTLSKRQRSLDKRRCFGCCKKGHKIATCPSKSNVLSGTAGSFRFPKPKVPNLAVQHHYKLNKGFKRAQAQYLERKDVRNKENKPASNLKYKVCYTCREKGHLGKDCPNGNTSKSNLVNNLHVQLRRSHDAVCAGRMIKSSTIQPKTIWVSKSLLTNLYGPNTTWVPKCA >PAN34479 pep chromosome:PHallii_v3.1:6:9273789:9277246:-1 gene:PAHAL_6G095900 transcript:PAN34479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQIPENWIPLFKPQLKEGFVYYIKYFQVCNARATYCPVDHPYIMRFTAHTKVYEVKNIQDTFPKYACAQATYEVLRNRIGITQYCADAIGVFTGCSHVKVQQTKGGTKHLRNVYLTDGREIAVVSLWNQHASAFEAERYMEMAHHGPVVFLFVGMTCRIFEDKLTLQGSTLCKWYANPELPETAALQDSCTGRLPPPTWFGPTAAQIEPERIT >PAN35320 pep chromosome:PHallii_v3.1:6:37149273:37150289:-1 gene:PAHAL_6G199100 transcript:PAN35320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDYAALLAWAAAALVVYAGADVANRMGCVRVDYRPKAAVMLLTGVVVQRASGPENFSF >PAN34263 pep chromosome:PHallii_v3.1:6:6409222:6410452:-1 gene:PAHAL_6G079000 transcript:PAN34263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTGSLKRAREEKGAPMASRIRDPPPPPDRRPEVTTHDALRFLATMKRELADDTKKYDEFITVVVEFKDGRMDATSLIEYVTVLLAGHPDLLRGFDKFVPLDYKVSHGQEAGAK >PVH36154 pep chromosome:PHallii_v3.1:6:844515:847262:-1 gene:PAHAL_6G008900 transcript:PVH36154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFACMPRKEHRGAAAVSRSKRMGSARSARGGPKLTPAEEELLHRQALAMAIHQHLDSGGSMSRRIDAGASLSRRMAPGSTSSRRRGDLPDSVTNAKPAQIVLENLETKKIVLVHGEGFGAWCWYKTISHLEEAGLEPVALDLTGSGIDHTDTNSIATLADYSKPLIDYLDKLPEDEKVILVGHSCGGASVSYALEHCPKKISKAVFLTATMVKDGQRPFDVFSEELRSADVFLQESQFLVYGNGKDKPPTGLMFDKQQIKGLYFNQTPSKDMALAAVSMRPIPLAPIMEKLSLTPEKYGTVCRYFIQTLDDHMLSPDAQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPAALLPGKASSEEPVAEES >PVH36155 pep chromosome:PHallii_v3.1:6:844254:848226:-1 gene:PAHAL_6G008900 transcript:PVH36155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFACMPRKEHRGAAAVSRSKRMGSARSARGGPKLTPAEEELLHRQALAMAIHQHLDSGGSMSRRIDAGASLSRRMAPGSTSSRRRGDLPDSVTNAKPAQIVLENLETKKIVLVHGEGFGAWCWYKTISHLEEAGLEPVALDLTGSGIDHTDTNSIATLADYSKPLIDYLDKLPEDEKVILVGHSCGGASVSYALEHCPKKISKAVFLTATMVKDGQRPFDVFSEELRSADVFLQESQFLVYGNGKDKPPTGLMFDKQQIKGLYFNQTPSKDMALAAVSMRPIPLAPIMEKLSLTPEKYGTVCRYFIQTLDDHMLSPDAQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPAALLPGKASSEEPVAEES >PAN33397 pep chromosome:PHallii_v3.1:6:1402344:1404470:1 gene:PAHAL_6G017700 transcript:PAN33397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADPKPPRAGALPARPLLLALPFISMLLLLYVYSTSTASPPSAADATVAIASAGVPLTPSPPSPHIRMRRARFRSYDDYLRHQLNKTLDPRLRRVWATRDWRRKVDAFARAFAGLRREGLLRNASRALCVGARLGQEVAALRQVGVADAVGIDLAPAPPLVIRGDFHAQPFPDATFDFEFSNVFDHALYPDRFAAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVQGLVGLFRGCDVVRVSKVDAFGLDTEVILRKKRW >PAN36401 pep chromosome:PHallii_v3.1:6:43347077:43349052:1 gene:PAHAL_6G279200 transcript:PAN36401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASTPEPSSGWDFKCNFEVDYGSEEQASIVYKTLAVDKELQPDKVKREVTVSGGKLFVHFEAVEARFLRASFSAFVDLMVLVTKLVEEYGVADS >PVH36898 pep chromosome:PHallii_v3.1:6:36552180:36553272:-1 gene:PAHAL_6G193400 transcript:PVH36898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTKPLSLFKSHPEVAAESPPEGGNAGYLIMKSATDEEDDETTCWGTSRRVLELPFPQNRVLRVEYGVGDNSTYQENVVFVPVPDQPLASNRYYIVVAMGKHKGLVMACSREEDMTMCCFCQCISDMEPRPFDPTDVYQQIEIVQHRRGLFTARAVAADGFPSFMFRSKPWDVYESKKIVLGEAPGLDAALRSRQLAAAFPAAATTAAVGKWYCPFFLVKEQGVPRWEQMARSAFYEVVLEQRWKPVRGDDTVRHAHYDGSKLASKKVLIGGSVEAKPDAGRSRHGDAYMWFVAATGQRVGCCGRRLMAGGWTVDEKEPPGSMADGSVLLVERFMVKRTDSSVVVAFDFMHTIP >PVH36816 pep chromosome:PHallii_v3.1:6:33102074:33102811:1 gene:PAHAL_6G174400 transcript:PVH36816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAELIGYELRIFYYIKTPCRFFAPGAATSAAAASRADACLRAGSRGWPPRSQRFRKKALAFSGNCAFLLEAQTQSASLSPVAPRFRIAAGAAAAPRATRTPAPLSRPRPRHAAGVRLRALSHAGVFLLHPACRSPLPLDSARPPPALPLRAPLARRRRLPVRAPATSPVPASAPSLPRMFLLHPRLRLPFGCTSQILVLAQRLPPPLHALLPRRRSRPIRAFPSRRRCPPPLPLTPMLVASEPC >PVH37190 pep chromosome:PHallii_v3.1:6:42472138:42481266:-1 gene:PAHAL_6G267800 transcript:PVH37190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESAVRLIGGTGARDWSKGFGAFDSSVGGLSGEDLGFVDNGTGVYGGWRESVPNRSGSAPPSMEGSLAALGHLMGQQSGSFEASLANLDNVTDSSKSEEQLCADPAYFEYYGSKVNLNPRLPPPLISRESRRLMNRVGKAKEWRVVSQDNSSKSSIYVPRSTLSTHKEEPEDDKSPRLDSSSVEDAQIISSASNFESQDFMLESFHQSVASLSDSSSSNPSNTGDAMTVRSDINLSRSLSVDAVKQSDLNSWTPKSPLKSTISNDISSSLLSSSSYSGKTGVQISQQEKSAVDTKLGNVVLGSGTAVTELDNVDSNMKNLKLSLDGHSSSSVNQKWQDNVLQQYGPLLPAQGDPIQMTPQGPHLSHVPFADNLSHTQLKLPAGDMQQFLPQLGMTTPFFTPNSFGSPYYQNLHPANAFPTSIGTGGYPVTGSVLPPFMASYAPQGSLATPLDSPMTPSFSGRPSGFPSIGNPIGGTDFMQSYKMYGQLGVGMQPSIPDPNFIHFFQQPSLLQYTGGNQYNTMGPRFTVAGNPAESFDPQKMMPQTAYPSDQRLQLPRTGFPNSPTSRRGGAVPNYQAMSPYIGVPMSYPTSPVFQGQTLPGALPPGRRNDSVGFQSPTRNITANSGTQGQRERQKFDEPKACSFLEELKSNRARRVELSDITGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHAASLMTDVFGNYVIQKFFEHGTREQRRDLGTKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIDLVRELDGHVMRCVRDQNGNHVIQKCIECVPTEHIGFIVSAFQGQVASLSMHPYGCRVIQRVLEHCGGNSQGQCIIDEILQSACILAQDQYGNYVTQHVLERGKSHERSQIITELAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIRQIVEQTEGNDNLLAMMKDQYANYVVQKILETCNESQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGPADSDS >PAN34308 pep chromosome:PHallii_v3.1:6:6889469:6892263:1 gene:PAHAL_6G083200 transcript:PAN34308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASWKQPGTQQRQASGCWASGLRAMGTAHVFLCLAVVLAAAAAVAQAQHSQCLSNPPDLSLTGGEAGEVFSDLPGGFRAYVTGPAKSRRAVVLASDVFGFEAPILRKIADKVGEAGYFVLVPDFFNGDFYDTSKNISEWIKSHSPVKAAEDAKPLFAALRKERKSIAVGGYCWGGKFGAEMAKTDDIDVVCLSHPSTVTADDMKEVKCPIEILGAQNDTSTPPKLVYQFVDVLRQRTAIPYFAKIFPGVAHGFACRYNTTDPFAVRTAEEALVYMLDWFNKYLK >PVH36520 pep chromosome:PHallii_v3.1:6:8578668:8582498:-1 gene:PAHAL_6G091300 transcript:PVH36520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNICILEIDSSRYNVLGQGKHSSIIIRGALPSRFIKKVAMNYLALQCNYLSTFGIYFYLQLYSYFFI >PAN33709 pep chromosome:PHallii_v3.1:6:3636296:3637452:1 gene:PAHAL_6G049400 transcript:PAN33709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPSPSLPRKLLRYMSSRLGALHRSPLRVQPKLVAVSATVATAAAATAAYYPEPAGGRHGDGISADGDAAARVPTSGVNVAAVAERNARGRSAEPALESMAKRTPKEGKGGGGGDIHVVSSLAAAGAVVLLHARRWLAK >PVH37120 pep chromosome:PHallii_v3.1:6:41309044:41309705:-1 gene:PAHAL_6G250600 transcript:PVH37120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKSTSDSTRPCRLLLSTARAALSPPYMMPTKTNIQGARIQINPKLTVCAGFLSQILRTGLWTSNQA >PVH36912 pep chromosome:PHallii_v3.1:6:36852695:36853032:1 gene:PAHAL_6G196800 transcript:PVH36912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKWSRSSTPRLASSLQSPGALRLSHLAGAPSRLAVAASMDGTTSSHQGTKYRGQQQNSSPGLLKN >PAN35200 pep chromosome:PHallii_v3.1:6:35898889:35902129:1 gene:PAHAL_6G188800 transcript:PAN35200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFEHPPPEILHSSHPAHNLTLVNGDNAPPFRCNGCMEPGCGPRYTYDQGGAGESFDLHTCCALAEEEPTIKHPLFRNLKFTFLVEPTDPVQGRLCDACGDPARGFVYHCSKKDLDLHPCCASLPERILQDGRLFELQRKASRPCGLCRNNGGRFWAYRSSFNGQAVDLHVACMKEMACLSWNAALENRVGGAQIVRPSEASIESMLESLPANTQSSHGFDQFRRIATSVAGGIISVISGNPAALITAVVGGVLQ >PAN35199 pep chromosome:PHallii_v3.1:6:35898740:35902123:1 gene:PAHAL_6G188800 transcript:PAN35199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFEHPPPEILHSSHPAHNLTLVNGDNAPPFRCNGCMEPGCGPRYTYDQGGAGESFDLHTCCALAEEEPTIKHPLFRNLKFTFLVEPTDPVQGRLCDACGDPARGFVYHCSKKDLDLHPCCASLPERILQDGRLFELQRKASRPCGLCRNNGGRFWAYRSSFNGQAVDLHVACMKEMACLSWNAALENRVGGAQIVRPSEASIESMLESLPANTQSSHGFDQFRRIATSVAGGIISVISGNPAALITAVVGGVLQ >PVH36883 pep chromosome:PHallii_v3.1:6:35898473:35902130:1 gene:PAHAL_6G188800 transcript:PVH36883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFEHPPPEILHSSHPAHNLTLVNGDNAPPFRCNGCMEPGCGPRYTYDQGGAGESFDLHTCCALAEEEPTIKHPLFRNLKFTFLVEPTDPVQGRLCDACGDPARGFVYHCSKKDLDLHPCCASLPERILQDGRLFELQRKASRPCGLCRNNGGRFWAYRSSFNGQAVDLHVACMKEMACLSWNAALENRVGGAQIVRPSEASIESMLESLPANTQSSHGFDQFRRIATSVAGGIISVISGNPAALITAVVGGVLQ >PAN35201 pep chromosome:PHallii_v3.1:6:35898474:35902129:1 gene:PAHAL_6G188800 transcript:PAN35201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFEHPPPEILHSSHPAHNLTLVNGDNAPPFRCNGCMEPGCGPRYTYDQGGAGESFDLHTCCALAEEEPTIKHPLFRNLKFTFLVEPTDPVQGRLCDACGDPARGFVYHCSKKDLDLHPCCASLPERILQDGRLFELQRKASRPCGLCRNNGGRFWAYRSSFNGQAVDLHVACMKEMACLSWNAALENRVGGAQIVRPSEASIESMLESLPANTQSSHGFDQFRRIATSVAGGIISVISGNPAALITAVVGGVLQ >PVH37276 pep chromosome:PHallii_v3.1:6:43509723:43511333:1 gene:PAHAL_6G281700 transcript:PVH37276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQRAPHEEPYPPPGYRPSQQYPYPPPPDVYPPPPQGHGHGHPPQDVYPPPQGPYPPPGYQGYFNDQQRPYYPPPSHQPPPPYGGYQQQHHNGEDSSSGFLKGCLAALCCCCVLEECCGLF >PVH37297 pep chromosome:PHallii_v3.1:6:43610584:43616254:1 gene:PAHAL_6G283300 transcript:PVH37297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNVFCNNRKHKGSNAHSLQSLCPSLSSPRPHPSMVFMRFRPQLIFSSLPRSIPKPRTPPTATLAAAAARRRHHTAAVATSMAATSEDALRRALAERQAAVDAQAEAVRALKAGGGASKADVDAAVEALKALKVEAGAAARRLQQAVGAGAGGAAREELRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCRVKANVLSFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDLTLPQEKADEFKRVLAILDDLSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHQKFVDVSDLEFLMFPRELQLSGESAKLMKLGDAVSKGTVNNETLGYFIGRVYLFLTRLGIDKGRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSCVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGSQKMVLEALEAMSEKEALEMKAALETKGETSFKVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPLVAPIKCTVFPLVKNQEFDDAAKVIAKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSTTNVTIRERDSKEQIRVDINEVASVVKQLTEGQSTWADVSAKYPAHVGPQGDQE >PVH37335 pep chromosome:PHallii_v3.1:6:44429446:44430783:-1 gene:PAHAL_6G290400 transcript:PVH37335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTIERPIEPVAQKIRPSNTLPGRTYYSNFGPNNNRSSSWSGPSPAFVCKGQAGSARASHRSSVNRQPLAVRTMAPPRPRRARVGRLPTPAEQDRLRVFLLSSGTVSPSFSCCRISFLANY >PAN33713 pep chromosome:PHallii_v3.1:6:2878805:2883114:-1 gene:PAHAL_6G039900 transcript:PAN33713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQINAEVEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGDKRKQHIIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKPERPSPDEVDRSGYSPTPSRSSPDNIEANEEANTPLNRESPESGLHESPIELPKSVETHAMPMTRWLADRNDNLVATAADVSHMPINRHANVPEVDPSAGASAQFVHPQNGNGGFNNFVPDIAPNLPHGNVFFSDFQQGAFGFDGNMNPPDALNAFLNQALVDPDEHSSTTSKVQYDSDIPTEFENHGVAQGEDDQGWWANLDFLSDEPNPLNSAYENTPLLPYDTNDQDVLSVDSAADSLHELFNNMEDSSARRVGMNIEYGLQGTGISLMPRQLQASVQPNYVLTNQGTATRRLRLHWPADFESGESITRDESEDEVSCIVTPNYLNETVEESTADKDVVSDGDEAESTGIVIRSRPAPSSSSESSLTQGTAVRRLRLQSHLKTGPCPSTDDASSCIINETESHHKAEKSEIGENASTNFAESVDGILDNCGDSEQKNMPEHVAETVAVPEAKSVPRLRKASEKSDKDAIKQEDGLEPHVRAPAQKGGFQSYIVWLVLSVPLLLLLCVGVYGWI >PAN33841 pep chromosome:PHallii_v3.1:6:3704955:3709005:1 gene:PAHAL_6G050700 transcript:PAN33841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNPPPPPPPGSSSSAPAGASYFPLPFHLQQHQPQPQMPPPMAPNSYQQYQQQLHQAHQLFQRDAQTITPEALQSVKAALATSDVLDPAASASARPSDPSTSKKPIPRRAAGQSWEDPTLTEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALERQKNHVQRKPKMPKKSILHK >PAN33842 pep chromosome:PHallii_v3.1:6:3704955:3708158:1 gene:PAHAL_6G050700 transcript:PAN33842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNPPPPPPPGSSSSAPAGASYFPLPFHLQQHQPQPQMPPPMAPNSYQQYQQQLHQAHQLFQRDAQTITPEALQSVKAALATSDVLDPAASASARPSDPSTSKKPIPRRAAGQSWEDPTLTEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALERQKNHVQRKPKMPKKSILHK >PAN35596 pep chromosome:PHallii_v3.1:6:39001960:39007425:1 gene:PAHAL_6G220300 transcript:PAN35596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLASWPWENLGTYKYLLCGPLFAKAVGGRAWERASPDHWCFLLLLLFFLRTATYRLWGLFTNMLFLNRRRVIVRDGVDFQQIDKEWHWDNFLILQLWLAAAALYAFPSLTHLPPWDARGAAVALLLHVAATEPLFYLLHRALHTGRLFSDYHSFHHSSKILQPYSAGFATPLEIMAISGLMAVPVAAACAAGLGSAWLIFGYTLAFDFLRAMGHCNVEVFPGWLFQTVPAARYLIATPTYHTIHHTNRDSNFCLFMPLFDLLGGTLNDKSWELQKRNSAGTDEIPGFVFLIHIVDVTAALHNNMMSRSYASLPYGRPTPVTLLHWPIAFVIMLAMWVWSKTFVAYFYRLRGRLFQTWVVPRYGFQYFLPFAKDGINKQIEAAILRADKMGVKVVTLAALNKNEALNGGGTLFVAKHPGLRVRVVHGNTLTAAVILREIPEGTAEVFLTGATSKLGRAIALYLCRKRVRVMMLTASEERFQKIQEEAPPEAQQYLVRVTKCQSAQHCKTWIAGKWLSPREQLWAPAGTHFHQFVVPPILRFRRDCTYGELAAMRLPDDVQGLGVCEYTLGRGVVHACHAGGVVHFLEGYEGHEVGAIDVDRIDVVWEAAMRHGLRPA >PAN36531 pep chromosome:PHallii_v3.1:6:44413934:44416865:1 gene:PAHAL_6G290300 transcript:PAN36531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHGGCARGQTPPAGQRHARRPSVHGAQGVSLLATTGCPLSSAVAGLRRRVVSGAFTGGHGSRGDVAGLRLLVLSAGHGCRSGWCVSALPLARRRRSSRWRRRERLEERGWGRRCGASGVRSGGWGWGWADRIRLGMGSGDGAGRGRAGVEGDAPPGGTEKGISGGSGPAET >PAN33723 pep chromosome:PHallii_v3.1:6:2917774:2922001:1 gene:PAHAL_6G040500 transcript:PAN33723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRRSATPARQLLLPRHLAAAGSAPASSRAFSRYYPRDDNARYDPPGTPVNWGVAIVPEKKAFVVERFGKYIRTLGSGIHLLIPAVDRIAYVHSLKEEAIPIPNQSAITKDNVLIQIDGVIYIKIVDPYLASYGAENPIFAVIQLAQTTMRSELGKMTLDKTFEERDALNENIVRAINEAATDWGLKCLRYEIRDISPPHGVKVAMEMQVEAERKKRAQILEAEGKKRAQILESEGEAGAVLALSEASARGIRLVSEAMTTKGSTKAANLRVAEQYVRAFSQVAKKGTTVLLPSDGGNPSAFVAQAIKIFQQLQANNPQLDELEESEGETGPAETSEMPPLIPDADPGTNFSLQRRKNKI >PVH36983 pep chromosome:PHallii_v3.1:6:38410811:38417060:1 gene:PAHAL_6G213800 transcript:PVH36983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQASLEQLGFQVGEATLDEKAEVLFLGQFSTTVVVLGAIFGITNTFRPFSDDIFRYKFEEPFKLQNGWLLWAGIGILVAIISIALAGAAMTFLNGETPQRETDSLVILLPLIGSSGISTACLLGITGVLAPILEETVFRGFLMVSLTTWFSTPYAVLITAAMFAFAHLTPGEFPQLFVLGVVLGFSYAQTRNLLTPITIHAVWNSGVILLLTFLQLQGYDIKEMLQAS >PVH36981 pep chromosome:PHallii_v3.1:6:38410419:38417060:1 gene:PAHAL_6G213800 transcript:PVH36981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQASLEQLGFQVGEATLDEKAEVLFLGQFSTTVVVLGAIFGITNTFRPFSDDIFRYKFEEPFKLQNGWLLWAGIGILVAIISIALAGAAMTFLNGETPQRETDSLVILLPLIGSSGISTACLLGITGVLAPILEETVFRGFLMVSLTTWFSTPYAVLITAAMFAFAHLTPGEFPQLFVLGVVLGFSYAQTRNLLTPITIHAVWNSGVILLLTFLQLQGYDIKEMLQAS >PAN35500 pep chromosome:PHallii_v3.1:6:38410419:38417060:1 gene:PAHAL_6G213800 transcript:PAN35500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLLCLRPRPPLCGRSRSRSLYLHLSKQSLHPVTSPRTCRRPVSGAVAGQLVPRPLGTRWRWTGPTGIVACRCSSDAENGPPTPPQDMEKTLDGWPVLRRWDVPWEWPTISLTMVACAVSFLLTGMVEQASLEQLGFQVGEATLDEKAEVLFLGQFSTTVVVLGAIFGITNTFRPFSDDIFRYKFEEPFKLQNGWLLWAGIGILVAIISIALAGAAMTFLNGETPQRETDSLVILLPLIGSSGISTACLLGITGVLAPILEETVFRGFLMVSLTTWFSTPYAVLITAAMFAFAHLTPGEFPQLFVLGVVLGFSYAQTRNLLTPITIHAVWNSGVILLLTFLQLQGYDIKEMLQAS >PVH36982 pep chromosome:PHallii_v3.1:6:38410419:38417060:1 gene:PAHAL_6G213800 transcript:PVH36982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLLCLRPRPPLCGRSRSRSLYLHLSKQSLHPVTSPRTCRRPVSGAVAGQLVPRPLGTRWRWTGPTGIVACRCSSDAENGPPTPPQDMEKTLDGWPVLRRWDVPWEWPTISLTMVACAVSFLLTGMVEQASLEQLGFQVGEATLDEKAEVLFLGQFSTTVVVLGAIFGITNTFRPFSDDIFRYKFEEPFKLQNGWLLWAGIGILVAIISIALAGAAMTFLNGETPQRETDSLVILLPLIGSSGISTACLLGITGVLAPILEETVFRGFLMVSLTTWFSTPYAVLITAAMFAFAHLTPGEFPQLFVLAARL >PVH36695 pep chromosome:PHallii_v3.1:6:24768930:24771446:1 gene:PAHAL_6G144100 transcript:PVH36695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAHSWFVSRSNPGHSTHHGLGSHPRPRWRGKHPRARRADGGSSARRTEAALRTREGAQQRRRRLGLPSVHLLRLPSLRLPLHRLGLQLCAIHYSGQ >PAN34682 pep chromosome:PHallii_v3.1:6:24768930:24772496:1 gene:PAHAL_6G144100 transcript:PAN34682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAHSWFVSRSNPGHSTHHGLGSHPRPRWRGKHPRARRADGGSSARRTEAALRTREGAQQRRRRLGLPSVHLLRLPSLRLEQHFPWCTSEGLVFFVGCSHSYTKLKVKSIARTFLKLRECCVLNCTVQTCYFYYP >PVH36198 pep chromosome:PHallii_v3.1:6:1503161:1505985:-1 gene:PAHAL_6G019600 transcript:PVH36198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEEQSAQVQGQPGRRPRRVARRRSGVYEHFTRFSDGDGNDRARCTRCQLVLGASTRNGTSTLWTHVRICWGEEAAAAARRAPRPPVPGASSPSRSRGSSSRGHRETDGLRDKSASGADLARMIALHGYDPSFVEDGYFRSFVRRLNPEFEVPSRVAIEEMCDGIFDEARRHSFSRIRRAPGRVSLAVGKAKTPEAGEVTYIACHFIDDQWNLHKVVLDAFMDDADSEGPISGASSVFGDPVDVAEIVISEHEDRLSMVAYDITDCDFHPELKDYIDQDINSYASKLSRTTATYVDAVLHSIARCFLPAPHFTRDIISWNMIRLNLTRQERLQLLSELDLDLEWAFGERWCACYCSLQVLSKPGFAVQLVVEDPRVQLLCKVWEQVYSGIQRISASTSPTSNLCLAELLKTREILHSELARVRGDNAELQEINGNNLYRGKNVVDVLIRASNILDEAIQDSYLIWSVPLALDPRYKLRYIRFRFEKAFGSEAAKFVSEVTTKINNMYAAYIEEYGADQSDSANPMSDTSSADPWDQEWNDHCRSEDVMAAAQSNSRNPGTQTELDRYLQEDPLAPATKDFDVLKWWKAHSSEYPMVARMARDALAMPTCSKLSSDQLAQVRSILRGYSKKPHGETFSYSSSSEGGDMIR >PAN36681 pep chromosome:PHallii_v3.1:6:45144600:45148006:1 gene:PAHAL_6G301400 transcript:PAN36681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAKHFKYVILGGGVAAGYAAREFGKQGVNPGELAIISKEPVAPYERPALSKGYLFPENAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAAGATFTYETLLIATGSSVIKLTDFGVQGAESNNILYLRDIADADKLVAAMQAKKDGKVVIVGGGYIGLELSAALKMNNFDVTMVYPEPWCMPRLFTSGIAHFYEGYYSKKGIKIVKGTVAAGFEADANGDVTAVKLKDGRVLEADIVVVGVGGRPLTALFKGQVAEEKGGLKTDAFFETSVPGVYAIGDVATFPLKLYNEQRRVEHVDHARKSAELAVRAIKAKESGESVAEYDYLPYFYSRSFDIAWQFYGDNVGDDVLFGDNDPASANHKFGSYWVKDGKVVGVFLEGGSAEENQAIARVARAQPAVADVEALKTEGLEFAAKV >PAN33748 pep chromosome:PHallii_v3.1:6:3091853:3094091:1 gene:PAHAL_6G042300 transcript:PAN33748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATRRLLPALLKTLAPAGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGAAEGVGLGHPIEYICLDLEAPNVCKYCGLRYVQVHHH >PVH36652 pep chromosome:PHallii_v3.1:6:20551646:20552284:1 gene:PAHAL_6G131300 transcript:PVH36652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNKIPSLRSSGIYKWTSLSECHHTKCATERTPQVPVSEGTITGRGDGWKGRDLQLLLCGACSSCCPCQASSRWPDSQGVLLVLRQLLPWLQGGQPSVALHNQVRGVLHRAHRRVRCRRLLQDLPRLHLRRGRDRDN >PAN34322 pep chromosome:PHallii_v3.1:6:7093807:7097415:1 gene:PAHAL_6G084300 transcript:PAN34322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAWWRRKVVPRARRAWAAVAARVRARKPGSGGILKLHEDVQTCGYKDVQVMFDMLTSELEAAAQAQKPPPSPPPKRAPPLVWPGRSSSTIAAAQ >PAN34795 pep chromosome:PHallii_v3.1:6:33058048:33062421:-1 gene:PAHAL_6G174100 transcript:PAN34795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPLTAEAIAFTEKKMDMTLEDIIKMSKKKNPGGKKPPRQPIKKRPFQNGNSSQGNAKVQRFMESRSTIRQGVLAQRRSNLGGNQFPVTKQAAKKAAAMPMRNKAGRWNKPSTSTLVQRRPVGDAFQNGKAKETQNQAAPRTMDALFAQMKAQRMRTVPQQQANPAPGHQFNQQRRVQQQQRRGRGYSGRNVGNQ >PAN34794 pep chromosome:PHallii_v3.1:6:33058073:33062421:-1 gene:PAHAL_6G174100 transcript:PAN34794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPLTAEAIAFTEKKMDMTLEDIIKMSKKKNPGGKKPPRQPIKKRPFQNGNSSQGNAKVQRFMESRSTIRQGVLAQRRSNLGGNQFPVTKQAAKKAAAMPMRNKAGRWNKPSTSTLVQRRPVGDAFQNGKAKETQNQAAPRTMDALFAQMKAQRMRTVPQQQANPAPGHQFNQQRRVQQQQRRGRGYSGRNVGNQ >PAN35939 pep chromosome:PHallii_v3.1:6:41039311:41040210:1 gene:PAHAL_6G245800 transcript:PAN35939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALALAVALLVASAAVAFAAEAPAASPKHSASGSSASPSKAPAAAEKSEKAPAATPKAATTAKAPASKLETAPSEAPTSESGAASPSESTASKASPAGAPESETSGGPSASSSEEAASPDSSAAAAEGPSGSSDAAAAAAEEPSTAEAAAGPAADSPPEPTHASDTPAESPGPAADESGGTGMGTGVAAAVVAAVAASVVLSF >PVH36939 pep chromosome:PHallii_v3.1:6:37364544:37365302:-1 gene:PAHAL_6G201900 transcript:PVH36939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGPVPKNRRYPLVPLLPPPRGFLLLCGAGGAGAARGPRGSRAARGGAGRRAGEAWRRAGPSSAGRWGEGAAPAAGGAGRRPAGRGGSRARAGRGGEQGRRAVGGRGGARGEQAAGGSRGARRAGGAGRRRPRGRAPAAGGSRGGAPWGQGRRAGRSRPRGEQGSKAPPAAVGEQGRRAVGGRGGARGERAAGGIRGARRAGGAERRGGEQGARRRRGEQGRRAVGARGGARGEQKWYIPFHLILEPNKKN >PVH36945 pep chromosome:PHallii_v3.1:6:37537340:37539443:1 gene:PAHAL_6G203800 transcript:PVH36945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRRPSPCGAAISIPMQRLLRVRHGEAAGPGLREPQRQLTLPPATRPRPQTHGSTPSLHPEPRSRPVAHLHPKLHLETAVRRRPCAPLLDLCGRSGSDLCPPTNSLVLARAASATRTTSRPLVAGAPSSQVSSSICCTHLGCSSLGGNGAATTMGQAAIRGQNSHRANEIFRFRIISPSMTLAICCRFRISNMFFRDS >PAN36660 pep chromosome:PHallii_v3.1:6:45090883:45093443:1 gene:PAHAL_6G300300 transcript:PAN36660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRVSRLISFLILPLLVQHALWSSGASDKNKSMVEQLQRYGIIRSSKVAEVMEAVDRGLFVPPGGSPYFDSPMPIGYNATISAPHMHAACLELLEKNLQPGMRALDVGSGTGYLTACFALMVGSEGRAVGVEHIPELVATSIENIKKSAAAPQLNDGSLSIHIADGREGWPELAPYDAIHVGAAAPQIPEALIEQLKPGGRMVIPVGTMFQELKVVDKKLDGTVSIRDETSVRYVPLTSKEAQLHAN >PAN33966 pep chromosome:PHallii_v3.1:6:4599999:4604911:-1 gene:PAHAL_6G060900 transcript:PAN33966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I, Abiotic stress respons [Source: Projected from Oryza sativa (Os08g0191700)] MATGSEATKPAEAVLEWNKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPDEKYTNAFLGFGPENTNFALELTYNYGVDKYDIGEGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAEDPDGYLFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVLELTYNYGRTEYSKGNAYAQVAIGTNDVYKSAEAVDLATKELGGKILRQPGPLPGINTKIASFVDPDGWKVVLVDHADFLKELQ >PAN35534 pep chromosome:PHallii_v3.1:6:38565437:38567059:1 gene:PAHAL_6G215800 transcript:PAN35534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARRLLRLRPHLSTLPLPSPSLRRLLPSRTYISDMRRSAFIDRLLRSVRSEISFLDNSAPPPSPLPPAPFAVEDRPGEQWARLRRVVPVAEGGEEEVRVDATLVDGALPPSRSGADTGGPPRLHISVKVEVSKAARPGVALIFECSAWPDEMEVQRVFPVRRGGPSPVQQYVGRQFSELDEEMQSAVRDYLEQRGVNDELAAFLHAYMENKEHNELIRWLKNVELHVKH >PAN35569 pep chromosome:PHallii_v3.1:6:38748284:38752380:-1 gene:PAHAL_6G217800 transcript:PAN35569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVVKGERRKAAAAAAAANGAQRRPPLVPSEKNNAAAAPAGRRREVPSRFKPVAPPAAAPAPAAPAGRRCASPSPGRAPAADGSATCNRARSAERARPAAPSAAPSSRPKPSSPAAARSSSPARDAATEAHGHGAPPRARNAKASNGLWASVRSSSPSVRPESVPAAGPAKKIDRLVHGLPSEQTKLRSGAAAERKRSPLRGRTNNISDQCENARSPESAANRATERHRWPGMMTGRDSAGLTSTSAAPAERTSRSVTSPNASSGCSPRRLHPSEGTGKSLKRPSKEMPMIVHRRRNDNADSSSDTSSQTSESCESTCHPSKAISSPVPVLHRSSSPRQGLSAAPSTSRSCQSPSRTRPSTPCRSKCAPSAAQSGAEQPVFNYIVDARKGKKNAGQIENVHQLRLLNNRYLQWRFVNAHSEDTVLPQKNGVENIFYSVLESTLTLRDALTITKINVQRLQQELNLYNILTEQIGYLEQWPVLEEESTGTVVEAMEALQATTLCLPVISGAQADRVAVRNAISSAVDVMQALSSSMFYLQSKVQDRTSLVSELLVMARQEKVALDQCKELLATAAKLQVQETSLRTHLMQLREGSAG >PAN35570 pep chromosome:PHallii_v3.1:6:38748284:38753824:-1 gene:PAHAL_6G217800 transcript:PAN35570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVVKGERRKAAAAAAAANGAQRRPPLVPSEKNNAAAAPAGRRREVPSRFKPVAPPAAAPAPAAPAGRRCASPSPGRAPAADGSATCNRARSAERARPAAPSAAPSSRPKPSSPAAARSSSPARDAATEAHGHGAPPRARNAKASNGLWASVRSSSPSVRPESVPAAGPAKKIDRLVHGLPSEQTKLRSGAAAERKRSPLRGRTNNISDQCENARSPESAANRATERHRWPGMMTGRDSAGLTSTSAAPAERTSRSVTSPNASSGCSPRRLHPSEGTGKSLKRPSKEMPMIVHRRRNDNADSSSDTSSQTSESCESTCHPSKAISSPVPVLHRSSSPRQGLSAAPSTSRSCQSPSRTRPSTPCRSKCAPSAAQSGAEQPVFNYIVDARKGKKNAGQIENVHQLRLLNNRYLQWRFVNAHSEDTVLPQKNGVENIFYSVLESTLTLRDALTITKINVQRLQQELNLYNILTEQIGYLEQWPVLEEESTGTVVEAMEALQATTLCLPVISGAQADRVAVRNAISSAVDVMQALSSSMFYLQSKVQDRTSLVSELLVMARQEKVALDQCKELLATAAKLQVQETSLRTHLMQLREGSAG >PAN35567 pep chromosome:PHallii_v3.1:6:38748283:38752380:-1 gene:PAHAL_6G217800 transcript:PAN35567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVVKGERRKAAAAAAAANGAQRRPPLVPSEKNNAAAAPAGRRREVPSRFKPVAPPAAAPAPAAPAGRRCASPSPGRAPAADGSATCNRARSAERARPAAPSAAPSSRPKPSSPAAARSSSPARDAATEAHGHGAPPRARNAKASNGLWASVRSSSPSVRPESVPAAGPAKKIDRLVHGLPSEQTKLRSGAAAERKRSPLRGRTNNISDQCENARSPESAANRATERHRWPGMMTGRDSAGLTSTSAAPAERTSRSVTSPNASSGCSPRRLHPSEGTGKSLKRPSKEMPMIVHRRRNDNADSSSDTSSQTSESCESTCHPSKAISSPVPVLHRSSSPRQGLSAAPSTSRSCQSPSRTRPSTPCRSKCAPSAAQSGAEQPVFNYIVDARKGKKNAGQIENVHQLRLLNNRYLQWRFVNAHSEDTVLPQKNGVENIFYSVLESTLTLRDALTITKINVQRLQQELNLYNILTEQIGYLEQWPVLEEESTGTVVEAMEALQATTLCLPVISGAQADRVAVRNAISSAVDVMQALSSSMFYLQSKVQDRTSLVSELLVMARQEKVALDQCKELLATAAKLQETSLRTHLMQLREGSAG >PAN36693 pep chromosome:PHallii_v3.1:6:45193393:45196827:1 gene:PAHAL_6G302200 transcript:PAN36693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMAPAAAPPAAPLLLPRRAASARARAASLVAVRAQPDTTSVASTSAPDPPPDFKPPQGFKPPEPKKFAVKEGQQGSVLGASLAIPLRLGTGVFVLGYSPSLVSPSEIPSDQYALEFGAWKVKEESKIGQCKRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLFYPCPQKGPTFCPKVLEMGGKKQFPYMVDPNTGVAMYESDDIIKYLADTYGDGTVPIMLSLGLLTAITAGLATLGRVGKGNSYTASKVPPQPIEIWAYEGSPFCKLVRETLVELELPHLLHSCARGSPKRQEFFKKMGTFQAPYIEDPNTGVKMFESAEIINYLKATYAIYPSS >PVH36457 pep chromosome:PHallii_v3.1:6:6258276:6262001:1 gene:PAHAL_6G077200 transcript:PVH36457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARQPHLKRWLRLLAAKGVQELVLVNRPCPREVPLPDTLFRIATLTRLYIGFWKFPRAASLQGASFPNLRELGVCSVVVEDGDIDSLVARSTVLEILNIQGSVKGLRLVSRSLRCVQICASVVESIAVVSTPCLDRLILWEVRGSPNPASGLRTRIKIGIAPKLRILGYLDPAQHLLEIGGTVIMAGIKPSASTIHTSVKTLSLTVCFGSNGAMMVPAILKCFPNVEALHIMSAKCDEPANRLNLKLWQEADPILSVLLRIKVMTIREFRGEQHELAFLQFFYQNARVLKDAAIVSANVRVTRISDKQMFSIIQNMDDSRWASKFGLAILGSNGPEGGRPWMFQRGANFSDDDPFAPIKFIGNGPASATRRNKTAGTGTNCI >PAN35405 pep chromosome:PHallii_v3.1:6:37821220:37823025:1 gene:PAHAL_6G206300 transcript:PAN35405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVVTRSPSVVVRPSEPEPTAGTSGAGGTIKLSSFDRVCAMVPVTAFLVFEHPIREAAETIKGALSRALVPYNPISGRIAAGPGGDEVHIQCSGEGVAFVAASANCALKDAEFFARSPDTRTPPLVEELAVYYPAESCGPEDPLLLMQVTEFSCGGFVVGVTWNHGVADGIGMAQFLQTIGELERGSPSPSLAPVRWDDSLPSLPLPTVETYKPLGLVCLDITVPSSSIDRIGAEFHERSNGRRRTCTVFEAVAAVLWQCRTRAIASSPDSLALLTFAANVRKHVNAKDGYYGNCVATQRVMVTAGTVANADVTDLIEMIKRAKDGVRDQLFAKNDGHGHDDDDDPQRAMDENQLDELRYNALHLSSWQNVGFEKANFGGGTPERVMCYMQPSIQGWPWCVVSLPCKGKDGASSVFSVCVREEHADAFIGELARFT >PVH36307 pep chromosome:PHallii_v3.1:6:3256028:3257040:-1 gene:PAHAL_6G044800 transcript:PVH36307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDQQGALDDVQLSLLVEARNANARSAASFSRLELRLAFGGGVLAKLRADPFGLPPRGTLPLAYVARAQGAPLDATRSAAMEAALRDGVVPFGVDGEARTAWKIAGLVGVHHWTRLACELRFFWPNGTALHFSCNSKSKFWFF >PAN34672 pep chromosome:PHallii_v3.1:6:24974731:24977579:1 gene:PAHAL_6G144800 transcript:PAN34672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAARLLARISRQGVAAAAVAGALRRRPDAASLLGASTLAAAEPCASIKVIPLLNQPARYTTSAFQRFGFSTSAPQQDDKEANKHADDGVNKSAGVSTEASGEANNVPGTGKTQEAGSQDSVSQPNRRRRATKRTAFSDSDSEDLDLSKEDLMRLLLEKDESLKLKDQEVKDMKDKVLSSYAEMENVLARTKRESDNTKKYAIQSFSKILLDVADNLSRASSVVKESFSKIDTSNNSDEAVPLLKALLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNRHYALFQIPDPSKPSGTIAAVVKVGYMLHDRVLRPAEVGVTEGGPVEEEEEPEEKSSKSE >PAN35267 pep chromosome:PHallii_v3.1:6:36724170:36728089:-1 gene:PAHAL_6G195900 transcript:PAN35267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDRVGVDSGGARRGGGGAGGAQMLLFGGGGSANSNGFFRGIPMAVLGMDDAARVGKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQLLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDYDRLKAAYDALAADHQGLLADNDSLRAQVISLTEKLQGKDTSPSVTIAAQEVDQLDEHTAASGTEKLLVQQLKDDLLSSGDCTGPGALSSEEEDGGVISDEGCSFDLPDALFTAVGVTHHGAEEAQLCNWNSWLWNN >PVH36632 pep chromosome:PHallii_v3.1:6:18865152:18866211:1 gene:PAHAL_6G126300 transcript:PVH36632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTSGPRSTQQGIQIGHNALVLRAAARSPDWPRGARAEPRSARPTRCQAPVRRPRRAQPTRREAPARRAARARPTGSQAPPRVTGCRALARGDAARGAAGTLARPKGRGRGGRVPRSLAAGCYGSG >PAN33548 pep chromosome:PHallii_v3.1:6:2095674:2101923:-1 gene:PAHAL_6G029100 transcript:PAN33548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAAFLWDGASGARRRPGVSNLLLLVAAASSGGLVAYADSGSDAAVGKPQLPQRKKVVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRVLEKKGGEIKFWEAECFKIDPRNKKIHCRSNIGTNLDGNGEFLVDYDYLVVAVGARTNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPFLDEEERKKNLHFVVVGGGPTGVEFAASLHDFVTEDLSKLYPSVQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPATGDISVPYGMAVWSTGIGTRPFIVEFMKQIGQGNRRVLATDEWLRVRECDDVYAIGDCATINQRRVMEDIAEIFRVADKDKSGTLTVKEIQDVLDDIYVRYPQVQLYLKSKQMNGIADLVRSAKGDAEKESVELNIEEFKKALSLVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEEHPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRMLVVSDWTRRFIFGRDSSCI >PVH36296 pep chromosome:PHallii_v3.1:6:3002516:3007367:-1 gene:PAHAL_6G041600 transcript:PVH36296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDDERSSSKHHHRDKDKDRERERSSSRHHRDKDRDRDRDRERERSSSRHHRDDGDRDRDRDRHREKDRDREERKEREREERKAREREEREKERAREEKEKEKERARRREERDREERDRSSRRRGDADGEDDEDRDRDRKRRRRSSHHHHRDAEPEAAPPREEEAVDDEEAERRRQRKKEEDMEAEQRRLDDEMERRRRRVKEWQEKRREQEQDGGGAGGASAVAAAEADGTKEGKKWTLDGEESDEEGDNEGSRKAEENGGSGNMDVDLPNGGGDANGGAGMEEDEIDPLDAFMNSMVLPEVAKLESAAAAVDTAPAAGVDDKDGKSTKDAVSNGDKKGPRRAMGRIMQGDDSESDYDDADDEGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITRMTSEEVVAYRKELELKVHGKDVPKPIKTWVQSGMTSKLLDTIKKLGFEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGISCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPDIDRFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTIVDFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSQQAVPEDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIANAHAAAALVANKASSNANQQVPGTTAVPLIPLLAATNQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVV >PVH36298 pep chromosome:PHallii_v3.1:6:3002796:3007175:-1 gene:PAHAL_6G041600 transcript:PVH36298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDDERSSSKHHHRDKDKDRERERSSSRHHRDKDRDRDRDRERERSSSRHHRDDGDRDRDRDRHREKDRDREERKEREREERKAREREEREKERAREEKEKEKERARRREERDREERDRSSRRRGDADGEDDEDRDRDRKRRRRSSHHHHRDAEPEAAPPREEEAVDDEEAERRRQRKKEEDMEAEQRRLDDEMERRRRRVKEWQEKRREQEQDGGGAGGASAVAAAEADGTKEGKKWTLDGEESDEEGDNEGSRKAEENGGSGNMDVDLPNGGGDANGGAGMEEDEIDPLDAFMNSMVLPEVAKLESAAAAVDTAPAAGVDDKDGKSTKDAVSNGDKKGPRRAMGRIMQGDDSESDYDDADDEGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITRMTSEEVVAYRKELELKVHGKDVPKPIKTWVQSGMTSKLLDTIKKLGFEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGISCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPDIDRFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTIVDFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSQQAVPEDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIANAHAAAALVANKASSNANQQVPGTTAVPLIPLLAATNQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVV >PVH36297 pep chromosome:PHallii_v3.1:6:3003969:3007175:-1 gene:PAHAL_6G041600 transcript:PVH36297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDDERSSSKHHHRDKDKDRERERSSSRHHRDKDRDRDRDRERERSSSRHHRDDGDRDRDRDRHREKDRDREERKEREREERKAREREEREKERAREEKEKEKERARRREERDREERDRSSRRRGDADGEDDEDRDRDRKRRRRSSHHHHRDAEPEAAPPREEEAVDDEEAERRRQRKKEEDMEAEQRRLDDEMERRRRRVKEWQEKRREQEQDGGGAGGASAVAAAEADGTKEGKKWTLDGEESDEEGDNEGSRKAEENGGSGNMDVDLPNGGGDANGGAGMEEDEIDPLDAFMNSMVLPEVAKLESAAAAVDTAPAAGVDDKDGKSTKDAVSNGDKKGPRRAMGRIMQGDDSESDYDDADDEGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITRMTSEEVVAYRKELELKVHGKDVPKPIKTWVQSGMTSKLLDTIKKLGFEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGISCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPDIDRFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTIVDFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSQQAVPEDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIANAHAAAALVANKASSNANQQVPGTTAVPLIPLLAATNQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVV >PVH37323 pep chromosome:PHallii_v3.1:6:44274718:44274876:1 gene:PAHAL_6G287800 transcript:PVH37323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAESNHSEPGGERGSCWWYCVTLPCITTLPQGKVLLCSKIPSVETRLKIT >PAN34073 pep chromosome:PHallii_v3.1:6:5170518:5174918:1 gene:PAHAL_6G067800 transcript:PAN34073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMVVAARAPAPAAGRWGAAPPQELLERLKDYGQEGAFAFWDELGPEERDHLIRDIESLDLPRIDRIIRCSLRSQGAPVPAVEPVPESSVSTVDDRTPDDKETWWRRGLRAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSRKSLFQLQAERILCIQKLAAQCTDAPGSTVQIHWYIMTSPFTDEATRKFFETHRYFGLEPNQVTFFQQGTVPCVSPDGRFIMETPYKVAKAPDGNGGVYAALKSKRLLDDMAAKGVKYVDCYGVDNVLVRVADPTFLGYFIDRGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQTTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKRIPSVHGYTSGLKLEQFIFDVFNYSPSTALFEVLREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLCSYAGENLEAICRGRTFHAPSEISF >PAN33962 pep chromosome:PHallii_v3.1:6:5170519:5175265:1 gene:PAHAL_6G067800 transcript:PAN33962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMVVAARAPAPAAGRWGAAPPQELLERLKDYGQEGAFAFWDELGPEERDHLIRDIESLDLPRIDRIIRCSLRSQGAPVPAVEPVPESSVSTVDDRTPDDKETWWRRGLRAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSRKSLFQLQAERILCIQKLAAQCTDAPGSTVQIHWYIMTSPFTDEATRKFFETHRYFGLEPNQVTFFQQGTVPCVSPDGRFIMETPYKVAKAPDGNGGVYAALKSKRLLDDMAAKGVKYVDCYGVDNVLVRVADPTFLGYFIDRGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQTTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKRIPSVHGYTSGLKLEQFIFDVFNYSPSTALFEVLREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLCSYAGENLEAICRGRTFHAPSEISF >PVH36974 pep chromosome:PHallii_v3.1:6:38239166:38240043:1 gene:PAHAL_6G212000 transcript:PVH36974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVEENVLDQTNPEDYDPENIQDDEARVFHVRVYKDLPKKHHTMKKAKNYEFCNAKKFPCEEPAFCCRKEKINIYIPELPAGLCRLFASQTDRDAKYFRKHIRVQH >PVH36566 pep chromosome:PHallii_v3.1:6:12365618:12368228:1 gene:PAHAL_6G105100 transcript:PVH36566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNTEIAQRVLSSQMEKVEQNQFNNLFQTFFVVMERRCRVIIDGESCNNLASLEVVEKFGLTTKPHPHPYYMQWVNSYDKIKVTEFAHIEFSIGFYKSSADFDIVPKQACHLLLGTPWLNINNVVHKKVANRYSFNYNGRKITLNSMTATEIFEADLDRVERRKNEPFRKEWIILDVTVPSSKSDFVQIKDIVLSSVGPNILQHVSKTEDKVIENEQVIISGKSSLDVLKLSTTHAIIEQHLVDTKSELTLSHDKYSTNFCDKEELCDSSMFIPVP >PAN35594 pep chromosome:PHallii_v3.1:6:38990685:38994782:-1 gene:PAHAL_6G220100 transcript:PAN35594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQKMLLPCGLPPERDED >PVH37201 pep chromosome:PHallii_v3.1:6:42758849:42759847:1 gene:PAHAL_6G269900 transcript:PVH37201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRCPPSRCATSSRRRSGPCRGSCTRTRCRRTSTGARRRATSCTARRRVGGQRGGDPELRRGVRLPGAEDQVPRLLHGGGELQEGAADAGVPDAVALARRRHQNADRGSGKAHFRGEGVGFF >PAN36663 pep chromosome:PHallii_v3.1:6:45093443:45096046:-1 gene:PAHAL_6G300400 transcript:PAN36663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMSLRSLAMAMADAALQPAHKLLPTVSLPLLSSSTRAAPLLLRANRRLPLAPLVASSDAVEAGVEWADNEEEEVVGDAFDEEVGEAEEEVVASGDEEEEGGDGDGEYAAVEPPEEAKVYVGNLPYDVDSEGLAQLFDQAGVVEVAEVIYNRESGQSRGFGFVTMSTIEEADKAIEMFNRYDISGRLLNVNRASPRGARMERPPRQFASAFRAYVGNLPWQVDDSRLVQLFSEHGEVVNATVVYDRETGRSRGFGFVTMASKDELDDAISALDGQELDGRPLRVNVAAERPQRGF >PVH37148 pep chromosome:PHallii_v3.1:6:41775810:41779865:-1 gene:PAHAL_6G256800 transcript:PVH37148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSAAAASGPDSSSVAVQLLVRNIDSRTTVMRAQRGDTLESVLDRLGEGVARSGELRVVHAGRELPHGATIGELGLPWDATLHVSARLLSTRHVDAWDLACKIAGAARLAAAGQKVFVASLEMLVRRFLDFDRAAKVYRGSSGSSWDVDDHLDVFLRSGAPVSLVQLYLSEQEEACRGEAARAIRCFQSAVETWTEPVLLEFCWSFAAGARLSDPLYTASRSMLATVLSDPTPERWRDVPRQRVAEQLTRLAGEVANAVIQEIAAPTAAAAACNLAEFKVFWPVLREQVLELGADTPRRPWRRALTQTLLSLLRSVNDCMARFEMSLPPGGKHASPSSALPKWTASLHGVWAVLAELDAWPDLRQAMRTTLAAHATAVTALVLSAGRELCWDIRWITRHRDLLESEARRHLAMATLPDPELVAGIDAPPHEMLIDRARLLSDSFAYIALATPGALISAALVVAFKHEQATGPGVLREWFCLVCQGLFNPRLVLFSPCPRDRRRFFVNPTGRPITLDDIVDADPTLHASFKQILEMDPSLVDSDVLGLRFVREVDVFGSRSATELLPGGKDTPVTSENRHEFIDLLIRDTFVNSTRYQLGYFAEGFSSMLGETTVFQTAFFQSLDVEDFDEMLGGSKGSIDVKQWRAHTHYRGYGEDDLQITWFWKAVESMTVEQQRRLLFFWTSVKYLPSDGFVGLGFRMFLSRASSSCDHLPTSQTCFYHLNLPAYTSPSMMQNRLQMIVQEHVSSGFGTS >PAN33944 pep chromosome:PHallii_v3.1:6:4411269:4416327:-1 gene:PAHAL_6G058900 transcript:PAN33944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPQPQPGVAPPPPQSAPGAPQHWGGIPPPMGPQHQYAPPPHQQVPPPSQMWGQAPPPPPQAAYGQAPPPPQAAYGQAPPPPQAGYYGAPPAPAPTAAPAGPSEVRTLWIGDLQYWMDENYVYGCFAATGEVQSVKLIRDKHTGQLQGYGFIEFMSRAAAERVLQTYNGTMMPNVELPFRLNWATAGEKRDDSPDYTIFVGDLAVDVTDYILQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPNEQARAMTEMNGMLCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDNDPNNTTIFVGGLDPNVTEDMLKQVFTPHGEVVHVKIPVGKRCGFVQYASRSSAEEALVILQGTLIGGQNVRLSWGRSPSNKQVQPQQDSNQWAGANAGYYGYGQGYEAYGYPQSQDPNAYGYGAGAYAGYPNYQQQPVAQQPQQQQGGTFV >PAN33943 pep chromosome:PHallii_v3.1:6:4410738:4416327:-1 gene:PAHAL_6G058900 transcript:PAN33943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPQPQPGVAPPPPQSAPGAPQHWGGIPPPMGPQHQYAPPPHQQVPPPSQMWGQAPPPPPQAAYGQAPPPPQAAYGQAPPPPQAGYYGAPPAPAPTAAPAGPSEVRTLWIGDLQYWMDENYVYGCFAATGEVQSVKLIRDKHTGQLQGYGFIEFMSRAAAERVLQTYNGTMMPNVELPFRLNWATAGEKRDDSPDYTIFVGDLAVDVTDYILQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPNEQARAMTEMNGMLCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDNDPNNTTIFVGGLDPNVTEDMLKQVFTPHGEVVHVKIPVGKRCGFVQYASRSSAEEALVILQGTLIGGQNVRLSWGRSPSNKQVQPQQDSNQWAGANAGYYGYGQGYEAYGYPQSQDPNAYGYGAGAYAGYPNYQQQPVAQQPQQQQ >PVH36846 pep chromosome:PHallii_v3.1:6:34778308:34778924:1 gene:PAHAL_6G181900 transcript:PVH36846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRAPRRCRGSRCRTASGCHGPHTGQHRGLAGQRCGALEGGWPGRRLTRLRSHLGGDGTRLRGQHDEQWPPSRFAFGWRGRPVQLSGLPCRSLSVRRIPLLCAFDAMALMVNHQNGLEMPWLKSCRFLPFRLAAGAA >PVH37216 pep chromosome:PHallii_v3.1:6:42980298:42983504:-1 gene:PAHAL_6G273200 transcript:PVH37216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPPSPIAGRRCPETWSASSRRACWPATCWTTSASGPSARAGGPAPPALAAAASPTRVHPRRWMMLPEGHGLHPGHPGLRGYIRFLNLDLGTLVRAQLPLFSDHCAIDSVDGLLLLLREEDSAVRLLHPFTGDIAELPPLSNLLPQLAPLLYNCPVPYRIRRLAGIVSASASFSSEAITVMLALHEVHHVAFATTLDQQWTLSSWKYQHGCPPPVSFQGKLYMSCYVLYSTVFEIFQIDPPVKDGVGSDYVRHPPKLIATVPEGNLIMPIYPVECDSEILLLGHKDFYMSQIVVFKLADLVLQRCIPITSIGGNTLFISERSLSVASKALPTTMR >PVH36319 pep chromosome:PHallii_v3.1:6:3310364:3312236:1 gene:PAHAL_6G045700 transcript:PVH36319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLGAGRCRFLELSDQTLAWMMAIDTCFLLDFLESYHRDEVTDMVSSATNWINATVRDAMMLENQLPLFLFSQALALRHPTEQAAAGALHAVLDRFIKEVSPIKTTAELVVADVARHAHMLELLYHFLVPDASVFDGDGDREPPPMVPEEFTIDMLDPSQQLPDYDKVKQACVQVSSLDVAPVRFLRKNLITRPMSVASSLPGKIMRKVPLLAAVAPLVTKLMASTDVEARLKGVNLGGIINSPLAQEIMIPSVSTLARWGVRFAPAPEGIAGIRFDAAAATLSLPIITLDGNTEVVLRNLVAYEAVAVRGPLVLARYTELMNGIVDTPRDVRILRQSGVVVNHLKSDREAADMWNAMCRATRLGRVPRLDAAIREVNAHRSRRAAARAQKLLRRYVFGSWRILTLLAAVVLLLMTALQTFCSVYPCKSWFGSVFKLPVPAGGEGR >PVH36318 pep chromosome:PHallii_v3.1:6:3310364:3312236:1 gene:PAHAL_6G045700 transcript:PVH36318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGHRHVLPPRLPRELPPRRGHRHGLLGDQLDQRHRARRHDAREPAPALPLLPGPRAPPPHRAGRRRRAARRPRPLHQGGVPDQDDRRARRGRRRQARAHARAPLPLPRPRRVRLRRGRRPGAAADGARGVHHRHARPFAAAPGLRQGEAGVRAGVQPRRGAGAVPPEEPHHPADERGVEPPGEDHAQGAAAGGGGAAGDEADGVHRRGGAAQGREPGRHHQLAAGAGDHDPVGVDAGAVGRPVRAGARGHRRDPLRRGGGDAEPPDHHAGRKHGGGAAEPGGVRGRGRARAAGAGAVHGADERHRGHAQGREDPAAERRGGEPPQERQGGRRHVERHVPGHAPRQGAPPRRRHPGGERAPEPAGGGAGAEAAQEVRLRVVEDPDAARRRRAAAHDGVADLLLRVPVQELVRVSVQAAGASRWRGAVAYFVDGLQDYCR >PAN34295 pep chromosome:PHallii_v3.1:6:6735715:6739934:1 gene:PAHAL_6G082100 transcript:PAN34295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKNLKQQQAQPQPQHVLPMVLAGIGGMAAAPAFPVRTKLLLCAALGFALGVVATASILMSSSASPYDTYTASLHAHGEALAGLFLPTSADAANAVQDRQQEPPTAPRPPQPEQGPWSSSEAPPAGTPAGISAFTNAPPPPTAAGGASSGGADRIGGDDDDEELMALAASAPRSVPAGAAPKVAFLFLTRWDLPMAPLWDKFFDGHHGRYSVYVHTDPAFNGSEPPATSAFHGRRIPSKEVKWGHISMVEAERRLLAHALLDDPSNARFVLLSESHVPLFDFPTVHSYLVNSAEVFLESYDQPGATGRGRYNRRMSPVVTAAQWRKGSQWFDLDRALAADVVADRVYFSVFRRFCRRSCYADEHYLPTLLHIRRPAAAANRSLTWVDWSRGGPHPARFTRMEVTVDFLRWLRSGSTCTYNGRTTDVCFLFARKFLPNSLTRFLRFAPKVMGFG >PAN35737 pep chromosome:PHallii_v3.1:6:39747943:39749551:-1 gene:PAHAL_6G230500 transcript:PAN35737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFLGHFLPGLAFAILGLWHTVNTVRAYKLRGASGFRSATWFSFPSPLRGLRRLELYLLLSFSVLAIVDQLVDLPILALCLQPDSLEHATMYLHLAVYASVALAADASGRRDAASGVGDVVTALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLAVAASLVATAASAVLPRSFAVAVVRSASVLLQGLWFVVMGFALWVPALVPSGCHAVEQGSAATQSAVVCATEAAARRAIMMANLQFSWALAAVWVVTAYLCLRVDFGCLEYVQLQAPPGGALAGDGDATPPKIVFPVEEQV >PAN33306 pep chromosome:PHallii_v3.1:6:958554:964701:-1 gene:PAHAL_6G010500 transcript:PAN33306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQFHGGDEHGDGKPRRRPSSNSLKRLVSYSSSKRHEDLEEEDEEGAVVATTSSSAGRRAGNDASTARLIRKPPATVVEAVAALPEEAATLAIGVVDAERAVAAAAGNWKRTPADVQVNGAAEQEPRSAGPRTEGEAKPRIRDVPNGVQGEHVAAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVVKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGLKFTEPQVKCYMRQLLSGLDHCHNRGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCMNDVYKDFPTTALALLDRLLAVEPGNRGTAASALDSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQEAEAGRRKQLPAPDGNSGLQQRRVQVNPKSGSYKFTPKEDAVSGFPIDPPARAADNGYPQRVPLMHAGRSSSTLGRSSGVDPKAQRFHTSQIVAADMSNQSTAPGQRGNAPKMSNLGESARRQYLREHRSSSRYSQLSAADPSDRPEWTHQFQERPSSSHRKDDGAANKEPAVVNGTKKNRIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNGERDQSEALLYTTGNIRADR >PAN35819 pep chromosome:PHallii_v3.1:6:40377065:40381258:-1 gene:PAHAL_6G236800 transcript:PAN35819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRLPTSTPLPPLPAPPAPSVASLAASLPSPVSAVAASRPPGPANFPQIRRVRAPEAATGMSSSASSAEGYPAAGGDFTEVVIVRHGETSWNASRIIQGHMDAELNDIGRQQAVAVAHRLSREVKAAAIYSSDLKRAAETAQTIARICNLPNVVFDPALRERHIGDLQGMKFQDAATERPEAYKAFMSHKRNQQIPGGGESLDQLSERCVLCLYNIVEKHKGERVIVVSHGGTIRELYRHASPTRPLHGKIHNTSVSVILVSDTTGRCIVKTCGDISHLQEAGAGVLENAFGGDKNSA >PVH37039 pep chromosome:PHallii_v3.1:6:39530706:39531746:-1 gene:PAHAL_6G227900 transcript:PVH37039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVILGVIPRSSSRNAGSAHEQEGREAQSFHPAISATKILHLCAPRAMAGCDRCRWRIRSSSSNPAIAALRRRPGLRDGLFRGTAASALGRSAGPARAAVSRNFYSPFLSTETHPASRKIIHPTCSTGGVASQVLQNRNEMKKTRVADQTLDVDDMYCLIDSSRGGG >PAN33875 pep chromosome:PHallii_v3.1:6:3996609:4006057:1 gene:PAHAL_6G053900 transcript:PAN33875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGVTTGVMKPLLSKLTKLLEEEYIKVKGVRKQIKFLRDELSAMSATLEDLADADPEQLNSEVRLWRNKIRELAYDLEDCIDSFMARVDDGRDGPTGFKKYFRKLKTLKARHDIANQIQELKTSVMEASERHRRYEFARLKHKSGTSSIDPRLQALHEDIEKLVGIDVPKKRIVELLSMEMNGPSTKLKVVSIAGCGGLGKTTLAKQVYDTIKGHFSCSAFVSVSRTPDLRKILIYISSGVGFTGYTQDDGEQQLIDKIRNHLHCERYIVVIDDVWDTEAWEFVKLALPNNDLGSRIISTTRSVTVAKCCSSQVYEMEPLSFDDSKRLFFKRAFGSETPCYPHLEDVPDRILRKCGGLPLAIVTVSSMLTNQLRKAEWDRVLSAMGSALANKPDAKKMTSIISLSYFDIPYHLRTCFLYLSVFPEDYKIEKQCLINRWIAEGFIHEEEGRSKYEIGQHYFNDLINRSMIQPIDVKCGQAKECQVHDIILDYIKCKATEENFVTSLYAAEHVYTPAYKVRRLCVSNHTKENITIWADPMLSRVRSVTIFGQSVKTSLLPSTALRVLDLGDCSRMKDHHLASIENLFHLKYLRLSSGSISKLPEKIGELQYLQTLDVRGTGIEELPSTITKLQRLAHLYVDWLIRFPDGVIGQMHNLEELTEYGVQSYEEWKSLQEFSKLTKLRILKFKWDFNSLEGSEGLRQAEGFHSYVGTLLSSCNLYNLHIEDWPRYNRYPMSLDSLDSWHPATPCSLRKLCIKHYLIFKVPNWMASFGNLMVLKLNYIICLRPEDVDILGAIPTLIFLKLTTAGGTNGRITVRGSNGFRSLKYLSLRIFRCGTTLEFQVGSMPKLEHVKLILRVHKRKCMNGASDLGIQHLSALSKVEVEIYGNRRNDSNYNPTEDKNDDAVRWVANAINGAIMTHPNRPTVRYKTDYDEDCEHFESFRCLLRGAGPVSN >PAN34675 pep chromosome:PHallii_v3.1:6:24980104:24982100:-1 gene:PAHAL_6G144900 transcript:PAN34675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRTSARYGPATTFTGPVRKWRKEWVPVAAAAAASANANANASASANGGAASSTGTGSGGGSRGNNLLLFKWTPVNGANGGGGDGERQAAAEETATRRRRYVPVSVVEEERQESAKSDDENKANDGDPSSNETEPSNGKTDINDTPMDEPQTIYCLMFPIGTPSFF >PVH36697 pep chromosome:PHallii_v3.1:6:24979242:24982100:-1 gene:PAHAL_6G144900 transcript:PVH36697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRTSARYGPATTFTGPVRKWRKEWVPVAAAAAASANANANASASANGGAASSTGTGSGGGSRGNNLLLFKWTPVNGANGGGGDGERQAAAEETATRRRRYVPVSVVEEERQESAKSDDENKANDGDPSSNETEPSNGKTDINDTPMDEPQVLNP >PAN34673 pep chromosome:PHallii_v3.1:6:24980242:24981980:-1 gene:PAHAL_6G144900 transcript:PAN34673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRTSARYGPATTFTGPVRKWRKEWVPVAAAAAASANANANASASANGGAASSTGTGSGGGSRGNNLLLFKWTPVNGANGGGGDGERQAAAEETATRRRRYVPVSVVEEERQESAKSDDENKANDGDPSSNETEPSNGKTDINDTPMDEPQTIYCLMFPIGTPSFF >PAN34674 pep chromosome:PHallii_v3.1:6:24978603:24982101:-1 gene:PAHAL_6G144900 transcript:PAN34674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRTSARYGPATTFTGPVRKWRKEWVPVAAAAAASANANANASASANGGAASSTGTGSGGGSRGNNLLLFKWTPVNGANGGGGDGERQAAAEETATRRRRYVPVSVVEEERQESAKSDDENKANDGDPSSNETEPSNGKTDINDTPMDEPQASDEDARDSGKNGGGTDFNLNLGLKDPDGDNEGDTAEQHEVAKNLQTENNRFKRKSVTPDLEMRM >PAN36034 pep chromosome:PHallii_v3.1:6:41403318:41407853:-1 gene:PAHAL_6G252100 transcript:PAN36034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSSEAPAAGDGPAWRKRRRGSREGLAGGGPFSSGGKRLPGGGGEMTEDELARVSGRMYGNGASAVACLHTQQGRKGTNQDAMVVWESFNSSDSIFCGVFDGHGPYGHFVAKKVRDSLPVKLRTQWQTSANGASSPHQNGSISGSINSEETGSVVDDEWSESINGDEGDKLPEMFLPLKQSYFKAFKLMDKELKLHPTVDCFCSGSTAVTLVKQGLDLVIGNLGDSRAIMGTRDASNNLTAVQLTVDLKPNLPREAARIQQCKGRVFALQDEPDVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKSPESVEEGEPNEEMVETTGEVSTQDASAEVDEDISDVNVHISSEEHITTATLQHSNTLREVDEIVPVDEPPILKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGDKRSTSWRKRR >PVH37310 pep chromosome:PHallii_v3.1:6:43971241:43973484:1 gene:PAHAL_6G285300 transcript:PVH37310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGSPRFNMSRSPKLHRYMPTTSASKKKPSPKCSGAKKSGGSPSALERSLVDILHEYKDSGYRGDNGWNSEGWNKMVKEFHLRNKYVSYTKAQIQEKEGQLKRDHKMLKAAKQQSGSSWNEKRNMVEGPPAMWTNLMVTFPKIKKFNNNKATFPLFDALGELYDEPPEQLQHPEDEPQGFDDNVVYEVNDEGGDGTERNAEGLQEMADTLSRDEKNDAPAIERSGQQRPAASRNKQEKELKRPRKNENIVGMMGAYLEMRTKQAEAEAADRAKEMEERERETREREAREKDAAQASDFSIRRCISVLNIMEVTKEEKAKAYAIFIKSKENREAFICACEVDQESALIWLRSEMA >PAN36414 pep chromosome:PHallii_v3.1:6:43432135:43435618:1 gene:PAHAL_6G280500 transcript:PAN36414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAATRAAVGLCRIAASASAPPPASPAAGGRRRERERELPFALAERGMVVGGHRGMGMNAVGAPPGARVGAARERENTLLSLGRAAAHAAVTFVEFDVQVTKDGCPIIFHDDFILTQGADTVYERRVTDLLLEEFLSYGPQKESRKVSKPLLRRTGDGRVLNWSTEEDDSLCTLQEVFERVSPRVGFNIELKFDDNIIYHRKDLECALQAILQVVSHNARDRPVFFSSFHPDAARMTRELQSLYPVLFLTEGGTSEHHDARRNSLNDAIRVCLEYDLHGIVSEVRGVLKNPAAVVRAQESNLALLTYGQLNNVWEAVYFQYLMGVNGVIVDRVEEISNAVAAFSKPDYSGGGAGEDGAKHQAFSQQQLGFLLRFIPELIEQQH >PAN36694 pep chromosome:PHallii_v3.1:6:45197077:45197863:1 gene:PAHAL_6G302300 transcript:PAN36694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASSPPSRRRWSWGSALAGAATTAAATALLLCRPRDPRFELISISLSTFHFRPPAALDIGLTLTVHATNPNVVPVRYGPSTVSILYGGAHLGTAHLDAGGQPATSCRLLHLPARLDGVELAHRARSIISDVARRHMELEATVEIAGEAAVLLWSRPFSVRIDSHITVDPILLEVVEQENSSEMQLYLA >PAN35455 pep chromosome:PHallii_v3.1:6:38149861:38153109:-1 gene:PAHAL_6G210000 transcript:PAN35455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVPSRVALSAASRFPNRHAVAGDRSCIYKGRCQSLAIPMALSAAAPGKGGVLDRPVEKTTPGRQSEFDVKKKRKMTPPYRVILHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC >PAN35994 pep chromosome:PHallii_v3.1:6:41238761:41240961:1 gene:PAHAL_6G249300 transcript:PAN35994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWILESSLVNARMGLNNTDLNADMMPTDLDADTMARCDTVCFPPPTAPRMDRRGDRKRAREGEQEAPAPGRTKGLQAEDAAVVALFEEAAAAAAALTLADGGEGAWQRPAGVFDLPWQKCGGGLGDGAASAVGGGPGWELRDVFYRSLVDGGAAAIGVPGDRLSPPPSKQALFDDVDAWLAAAGDGEVDPLWRSVLEGPRPAA >PAN35993 pep chromosome:PHallii_v3.1:6:41239656:41240961:1 gene:PAHAL_6G249300 transcript:PAN35993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRGDRKRAREGEQEAPAPGRTKGLQAEDAAVVALFEEAAAAAAALTLADGGEGAWQRPAGVFDLPWQKCGGGLGDGAASAVGGGPGWELRDVFYRSLVDGGAAAIGVPGDRLSPPPSKQALFDDVDAWLAAAGDGEVDPLWRSVLEGPRPAA >PVH36882 pep chromosome:PHallii_v3.1:6:35894130:35894402:1 gene:PAHAL_6G188700 transcript:PVH36882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNEFSEFVMNEVIDPFLSDDEDDLFFFTAQMIIEDSVNNPGRIESVQGHEVVHRDRLLWHNLLLKNYFSDNPTFGARIFRRRFACSL >PAN34151 pep chromosome:PHallii_v3.1:6:5519741:5524100:-1 gene:PAHAL_6G071700 transcript:PAN34151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPQQAQGSADSVISLQSEAVLENNPSKSASSKEQILSGTENTNASNARGATSIKSPKGAHEKAGSVGKGGEQPFLYQHNVYAPQPQALYSGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDNQSLMLSPGYANNPQMMYGAYSPVSTVGDGQQYLPMHFPFSNSYYQPPASPSMGYSNSVTGISQGDPMLQPEYFLPDGLLYSPTPGYHQPFSSFDRAPTQPNNAPGLFGQGNMPLAAGMHHGSAYGPGSYKSRQQGSKFGGTTPTWNSGRRFGAFDYSANQQRGMPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSGDEKSEKTVPPIDSELYNRPDFITEYKDAKFFVIKSYTEDHVHRSIKYSVWASTASGNRKLDSAYRAAKEKEEHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEQGLQMLTIFKNHEAETTILEDFDFYEQREKALQENRRQQQPGSTDPQKPEDTKAQAVADMSDAFAKVIQLKETENSGKPQKAEGASAEIGSAATAKAKEGDINVKAGPVEESG >PVH36808 pep chromosome:PHallii_v3.1:6:32743141:32743679:-1 gene:PAHAL_6G172500 transcript:PVH36808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLPQYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAAIASILESKLSISQSRFYLKFHDSKRSDFGWNDSTF >PAN33756 pep chromosome:PHallii_v3.1:6:3100597:3103543:-1 gene:PAHAL_6G042600 transcript:PAN33756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDYYNVLKVNRNATEEDLKKSYRRLAMKWHPDKNPGDAKKEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASAEGGGSSSMNGSANQRFNPRNAEDVFAEFFGSSKPFENMGRAKSMRFQTEGAGTFGGFGGNENKFRSYNDSTGTSSNQARKPPPVETKLTCTLEELYAGSTRKMKISRNVVKPNGQIGTESEILTIDIKPGWKKGTKITFPDKGNENPNQLPADLVFVIDEKPHDLYTREGNDLLVHRKIALVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELVIAKEGMPIVKENGRRGNLRIKFDVDFPKRLSSEQRHNIRKVLGGQAQQQ >PVH37349 pep chromosome:PHallii_v3.1:6:44640489:44642896:1 gene:PAHAL_6G293600 transcript:PVH37349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSQQPEEVVTPELQELVAPDSQEPEEVVTPELQELTLDLEMEMAPDSIMPELPELALDSETMVPDSLPPGSFLCALCHLVHEIRQAWNRAHSWRWPCSRCGLVHAEYRLSAMIYGLDEFNCELLIPDLDNVMMHGNTVMLPAHMLKMLDKKHERELAARKDHTKALLVVHLQIVDVELVGILHLLEVIVELIGILHPLAVFIFNRKLV >PAN33331 pep chromosome:PHallii_v3.1:6:1090073:1090885:1 gene:PAHAL_6G012500 transcript:PAN33331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPARTHHHHQGSSKAVPQPPAGREEAVVIVAGAAVDVCLAAAAVAGAALLAWWAVAFHPAYAHLWMVPVGLVLACTPPIVCLALRFSGDAGSSSLPTGKGGSAAAPPPPLAAVVVQT >PVH36613 pep chromosome:PHallii_v3.1:6:17345147:17345411:-1 gene:PAHAL_6G122200 transcript:PVH36613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTTYWNGGFVDKLFFHAIENAQLEIEGSRARFWESCLCCGTNVCSYPVLHEQSLLLT >PVH37101 pep chromosome:PHallii_v3.1:6:41075504:41076181:1 gene:PAHAL_6G246200 transcript:PVH37101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVFLVVLILAAVAVAPLAAEARDVAAEGRSLASDAPSDAPAPGPDASSPSDAPSSSSDA >PAN33460 pep chromosome:PHallii_v3.1:6:1705431:1711049:-1 gene:PAHAL_6G023000 transcript:PAN33460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDIMFDNQTKPCRSRVDSKSNPSSLKPKFGSSWGSQIVKGFTTDKKTKKTAAIASKKPPLATVENVNQTNQQIPYHSRVKRSLIGDFPCSPAGAQVHPHVFDCHNIRSPASHDLFLELDHLREQLRESKERELALQAELRQCRENPKVSELEKELDSRKGEIDRLARLNTSLEAEKTSLSEQLSALSSMVEQREENVRLDGHGNRVPSLDGNNTPSENLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLTGLEKNAESDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARAMTDIDYNGGMVFNEYDSVEGGARNAEDNPDIKFSIAERIKQWSRNDKSCQASKKEALLDRAWVEAAEARSPTRRHSLGGPKGCAQDFNIVKRRQSDTFISLPDATDDSFSCNKDPTIREKRDLLVDKYDFGRSESSRFVLGKSDVCKSQCLDVEKRVLRIPNPPPRPSVSVSNSGPSSGSTANPPRPPPPPPPPKFSSKGTGVMKRAPQVAELYHSLMRRDSKKDTSSGGVCEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLETEVSNYKDDPRLPCDIALKKMVAVSEKTERGVYNLLRTRDSMMRQCKEFSIPTDWMLDNNLISKIKFASVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >PVH36217 pep chromosome:PHallii_v3.1:6:1705840:1709395:-1 gene:PAHAL_6G023000 transcript:PVH36217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDIMFDNQTKPCRSRVDSKSNPSSLKPKFGSSWGSQIVKGFTTDKKTKKTAAIASKKPPLATVENVNQTNQQIPYHSRVKRSLIGDFPCSPAGAQVHPHVFDCHNIRSPASHDLFLELDHLREQLRESKERELALQAELRQCRENPKVSELEKELDSRKGEIDRLARLNTSLEAEKTSLSEQLSALSSMVEQREENVRLDGHGNRVPSLDGNNTPSENLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLTGLEKNAESDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARAMTDIDYNGGMVFNEYDSVEGGARNAEDNPDIKFSIAERIKQWSRNDKSCQASKKEALLDRAWVEAAEARSPTRRHSLGGPKGCAQDFNIVKRRQSDTFISLPDATDDSFSCNKDPTIREKRDLLVDKYDFGRSESSRFVLGKSDVCKSQCLDVEKRVLRIPNPPPRPSVSVSNSGPSSGSTANPPRPPPPPPPPKFSSKGTGVMKRAPQVAELYHSLMRRDSKKDTSSGGVCEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLETEVSNYKDDPRLPCDIALKKMVAVSEKTERGVYNLLRTRDSMMRQCKEFSIPTDWMLDNNLISKIKFASVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >PAN33461 pep chromosome:PHallii_v3.1:6:1705430:1710654:-1 gene:PAHAL_6G023000 transcript:PAN33461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDIMFDNQTKPCRSRVDSKSNPSSLKPKFGSSWGSQIVKGFTTDKKTKKTAAIASKKPPLATVENVNQTNQQIPYHSRVKRSLIGDFPCSPAGAQVHPHVFDCHNIRSPASHDLFLELDHLREQLRESKERELALQAELRQCRENPKVSELEKELDSRKGEIDRLARLNTSLEAEKTSLSEQLSALSSMVEQREENVRLDGHGNRVPSLDGNNTPSENLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLTGLEKNAESDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARAMTDIDYNGGMVFNEYDSVEGGARNAEDNPDIKFSIAERIKQWSRNDKSCQASKKEALLDRAWVEAAEARSPTRRHSLGGPKGCAQDFNIVKRRQSDTFISLPDATDDSFSCNKDPTIREKRDLLVDKYDFGRSESSRFVLGKSDVCKSQCLDVEKRVLRIPNPPPRPSVSVSNSGPSSGSTANPPRPPPPPPPPKFSSKGTGVMKRAPQVAELYHSLMRRDSKKDTSSGGVCEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLETEVSNYKDDPRLPCDIALKKMVAVSEKTERGVYNLLRTRDSMMRQCKEFSIPTDWMLDNNLISKIKFASVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >PAN33459 pep chromosome:PHallii_v3.1:6:1705472:1710938:-1 gene:PAHAL_6G023000 transcript:PAN33459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDIMFDNQTKPCRSRVDSKSNPSSLKPKFGSSWGSQIVKGFTTDKKTKKTAAIASKKPPLATVENVNQTNQQIPYHSRVKRSLIGDFPCSPAGAQVHPHVFDCHNIRSPASHDLFLELDHLREQLRESKERELALQAELRQCRENPKVSELEKELDSRKGEIDRLARLNTSLEAEKTSLSEQLSALSSMVEQREENVRLDGHGNRVPSLDGNNTPSENLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLTGLEKNAESDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARAMTDIDYNGGMVFNEYDSVEGGARNAEDNPDIKFSIAERIKQWSRNDKSCQASKKEALLDRAWVEAAEARSPTRRHSLGGPKGCAQDFNIVKRRQSDTFISLPDATDDSFSCNKDPTIREKRDLLVDKYDFGRSESSRFVLGKSDVCKSQCLDVEKRVLRIPNPPPRPSVSVSNSGPSSGSTANPPRPPPPPPPPKFSSKGTGVMKRAPQVAELYHSLMRRDSKKDTSSGGVCEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLETEVSNYKDDPRLPCDIALKKMVAVSEKTERGVYNLLRTRDSMMRQCKEFSIPTDWMLDNNLISKIKFASVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >PAN33984 pep chromosome:PHallii_v3.1:6:5242815:5246510:-1 gene:PAHAL_6G068900 transcript:PAN33984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLQTSMWSIGTPIKPVYKVGSSHPRYLHTALQLPSFSATERHRRRVACAMMVAELAAAGSGSPLAAGAGAGDMTVHSYRLSTVVPGSVTGEAVDYELADADLLHKLHYLRAVHVFRAPAPGDAAALAVRELKEPMFPWLDMYFPVSGRLRRRDGEAAPGRPYVRCNDCGVRIVEAAVDATVEEWLEQEAERGGLCKPLAYDKVIGPELFFSPLLYVQITSFKCGGMALGFTWAHLIGDIPSAAACFSTWAQLLSGKKAPAPTLRDPHTKPLSAAPAGVTAPPSVKATAAPVGDDWRVPTACEMVPFSFHVTEQQLKRLLLGSHHHQVSTFELVAALVWRAVAAIRGPEEEEETRTVTVVKTTDPAAAPSGGRRLGLTNEHRIGHVVAPAGLPPAAADVAELAALLAGASLDGAGAVAALAGADDADAVVYGANLTLVDAEGLDVYGGLELGARRPAHVEYAVDGAGDGGAVVVHRDAGGRGRTVAAAVRRGEAGRLRAALRDALRVA >PVH36810 pep chromosome:PHallii_v3.1:6:32819616:32820350:1 gene:PAHAL_6G173000 transcript:PVH36810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWSSGICHAEPGLPKLLLLSLERIGVMETPEYAYREYISGSTLRCDTMIFVERSTRYPDVDPWFVSTTGFRFPDTYQKAARKALRRLRVLYRHHLQRTPMGFFPPAERSGRTWIARMRRLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIHGIEKLTQELEEQRTRAATAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENELDRFLPIKKRSIRTEEESP >PAN36421 pep chromosome:PHallii_v3.1:6:43477295:43483335:1 gene:PAHAL_6G281100 transcript:PAN36421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQLSSVKDDAPTALHTSFSCPPHCGGVGACDLDGLAGSSSSRVLSYPLIGDFNRKTALDAPNESNGYSKDGHVFDEPADLHGPKIDSRDANSRLCPKLVPSVHMPARRVVGFESSCIGASDGTETDTVNSSLVHSNCHWPFDQHELQARKRLLSPLKNVLPKQFHGDMLNISSGDSRFRHSDLTGKLYSSGFQDNKKANTRCLNSFETQDTPTSRCSNWSPEWDVTRSNSNSFTDGPLLGSKDSISYYDHLAASAKLAHSPLSLSPLSPKYMNKIKVTGSQRYIMRDLENDFLDLKETGGSDGTRMQEISEETNFLHDELDVMTPKWSSLRRYRNWGPEFSPTSPRISYGRSSSLLVRRSLVGSFEESLLSGRYSNGKDNQTIDGFLAVLNITGGSFFPTTQKLPFSVTSIHEDSSLLYYSSIDLAGRLPANNSKSPKLQRSYSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCNYDLSDMPSGTKTFMRQKVTLSPSVLPSNPAEEGNRAGDVNVGPKSSQSVSCGSEPREWGTLCCKCCSCGQNCKSNDESEKGDLNARCRSSESGSKESTKSSSPGNKKNNSDSDDCCCQVDKVGLVGKKPCCSSSKINDSSGGGVLRYALHLRFLCPSSKKSSKSMLRCKSDPSSAPYNSNTVAEEERRFYLYNDLRVVFPQRHSDSDEGELRVEHDFPADPKYFDISN >PAN35969 pep chromosome:PHallii_v3.1:6:43948691:43949167:-1 gene:PAHAL_6G285100 transcript:PAN35969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDCRMQNVACGWQRLGMACPLGSITRDTANPWRLCSLVQDRDRDKVILVQDKTQKKEFSDDLIVRCFSSVPILNVEIFLFYCYNW >PVH36696 pep chromosome:PHallii_v3.1:6:24781183:24782516:-1 gene:PAHAL_6G144200 transcript:PVH36696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMTAFPEVTRLYSDCTVTVSLEGNGYGSYGRFSRGETAIFRLHSDGVLGRKWLRLLRPISPG >PVH36126 pep chromosome:PHallii_v3.1:6:297591:297961:-1 gene:PAHAL_6G004100 transcript:PVH36126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCSRKVLKVYVIVDKLLPREPSKLSRHPMLPRTCSMTGCSSKNPAHKKN >PVH37229 pep chromosome:PHallii_v3.1:6:43053063:43053515:-1 gene:PAHAL_6G274300 transcript:PVH37229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGSPNLRHLLSPTLSIPANTSNSWLWRILKFLQLSDKQYESIMIHTIWR >PVH36907 pep chromosome:PHallii_v3.1:6:36659428:36659855:-1 gene:PAHAL_6G195200 transcript:PVH36907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGGYICRAAMELFQLYTHWLFGRNSSKSYLRKLLHLTPSCSFHQLFSCQHELHGVLDQVPMAAWPCPLQ >PAN33545 pep chromosome:PHallii_v3.1:6:2045532:2047591:-1 gene:PAHAL_6G028700 transcript:PAN33545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDANPAAFEAFGATGVDGFQPLNAEDVRSYLHKSVDFIYDYYKSVESLPVLPGVEPGYLRRLLQSAPPTSSAPFDIAMKEVREAVVPGMTHWASPNFFAFFPSTNSAAAIAGELIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPASFMNRSTGGAGRGTGGGVILGTTSEAMLVTLVSARDAALRRIGSDGVAGITRLTVYAADQTHSTFFKACRLAGFDPANIKSIPTGADTDYGLDPAKLLEIMQADVDAGLVPTYICATVGTTSSNAVDPVGAVADVAARFNAWVHIDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDTHRLTGSLETNPEYLKNDASESGTVTDLKDMQVGVGRRFRGLKLWMVMRTYGSAKLQEHIRSDVAMAKMFEDAVRADDRLEVVVPRNFALVCFRIKPRGSMTEQDADEANRELMERLNRTGKAYLAHTAIDGKFVLRFAVGSSLQEERHVRSAWELIKKTTTEIIKGE >PVH36388 pep chromosome:PHallii_v3.1:6:4885875:4886584:-1 gene:PAHAL_6G064600 transcript:PVH36388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHMVFVSSHLKILKLSYALLDNNALRQLSSHCPSLEELDLKDCLMAGHEISSASLKILVMFKCQINVNLSIAAPNLVLLRCVSPITQAPSFENMESLVTGAIILDDYAFTDDFEDFSKDELDETTDEDDDDDGNGNNQKYKTGYGFGVPLKGYGLG >PVH37365 pep chromosome:PHallii_v3.1:6:44907285:44907681:1 gene:PAHAL_6G297300 transcript:PVH37365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSGHMLEWVAIVNIDYGPGQISNASPALGLIRSSSCFASGCSRAGPTRPQVISGSARQVDLWSSTRERHSHRQ >PAN35078 pep chromosome:PHallii_v3.1:6:34109426:34113154:1 gene:PAHAL_6G178600 transcript:PAN35078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MAAALASSCCCSSRPSLPPLPARGRRAVARCALAGGEKRNSFSWKECAISVALSVGLISGAPTLGSSAYASPLEPVLPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNVRQASRALENGRGLILDSLSESKRANGEELLDKLAVGLDELQRIVEDKNRDAVAPKQKELLQYVGTVEEDMVDGFPYEIPEEYSNMPLLKGRATVDMKVKIKDNPNIEDCVFRIVLDGYNAPVTAGNFVDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKIRTVPLEIMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMAREEFDDNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADVKVGDVIESIQVVSGLDNLVNPSYKIAG >PVH36727 pep chromosome:PHallii_v3.1:6:27452822:27453182:1 gene:PAHAL_6G154800 transcript:PVH36727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNQRDRDRERAAARKPNAKGPQDGLTPEQRRERD >PAN35196 pep chromosome:PHallii_v3.1:6:35866823:35868304:-1 gene:PAHAL_6G188300 transcript:PAN35196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCMANTTFSFASRALHLHRFVRRHLIAGILGSRGEAATAAAAAPPPRARLIGEDALVVDVDALLLKPSSPSAVFSPYFLVAVEAGGYARGLALLALYPALRALPHGARVRAMSMVAFCGLRRDEAARIGRAVLPKHFFREAADMRALEAVGALPKEVKVAAVTRSFPTVMVDAFLTEYVGFDVVVGAEVKGGAGYLTGVMEGGELDTERLGLALKQTEKKKKDYPRPMVFHDGRLAFTPTPAAALAMYIYLPFAVVLAVIRIAIYVLLPWRLSSVVAGLTGVRVRVIGPTPAAEGSNAEPRGGRLYACNHRTLLDPVGIACALRRPVAAVTYSLSRLSEVLSPIPLQRLTRGREEDRRRMSSMLARGDVVVCPEGTTCREPYLLRFSPLFAELAPEVTPVAVDARTAVFYATSTSPVAKSFDSVYFLMNPRPEYIVQFLEPVNTESGKSSIEVANEVQRAIASALGFEGTALTRKDKYLLLAGNEGVVKTK >PAN36509 pep chromosome:PHallii_v3.1:6:44321012:44331127:-1 gene:PAHAL_6G288900 transcript:PAN36509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKSVDEKFSKLHTRLPVDTRIGIVGAGPSGLSAAYALAKLGYHNVTVFEKCQNVSGMCESIDIEGRTYDLGGQVIAANSAPVITHLAKELGSEFEEMDSHKLALIDSKTGNIRDLEVAEDYVSMVSLTLKLQDEANRSGRVGIHAVSGLASDPTLEFLKQHGLSSVPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSLWEKLSQSLPFEVLCGTEILRVKRDSCGASVHIKNNNNDIEVREFDKIILSGSIAFKNGKTYRSLSLTDGENEVVELNDLERELFSKVQTIDYYTTVVKIEGFEHMPKGFYYFGEYMEDPTTIGHPVAMQRFFADTNVFLFWSYGNSADIKGSYVAKCVTNVVTSMGGTVQNVLLQRRFKYFPHVSSEDMKNGFYERVESQLQGFQNTYYVGGLLAFELTERNALYSISSVCKHFAIGSELPPIPYVKRLFPLSIRNPSPPRDIGELEGVEFPDLPSLDGYLQYWGTHKVTAKKVIYTWINEEGKIMNRRTYQELHDNASHIAYKLLTSTKPIIKPGDRVLLIHLPGLEFVDAFFGCIRAGVIPVPVLPPDPMQRGGQALLKVENVSKVCNAVAILSTSSYHAAVRAGYVKNIVTLAKSAQKCSAQWPDLPWIHTDSWIKNYRRSPDSYNLESAESMITKPQPSELCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYRSTSKTVLISWLPQYHDMGLIGGLFTSLVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKNKTYDLSSMIFIMIAAEPVRQKTIKRFVELTQPFGFSEGVLAPGYGLAENCVFVSCAFGECKPIFIDWQGRVCCGYVDPDDTDIVIKIVDVDSLTEHQEDGAEGEIWISSPSSGVGYWNNKEISQKTFCNQLKNYPNKNFTRTGDLGRIIGGKLFITGRIKDLIIVAGRNIYSADVEKTVEGSSDVLRPGCCAVVGVPEEVLTQKGISVPDSSDQVGLVVIAEVREGKAVSEDIADNIKTRVAEEHGVTIASVKLIKPRTISKTTSGKIRRFECMKQFVDNTLSLANSNHISKRKSLFRSLTTGTGMEIRRPSLKQTVDPTVRPQTRSKVKNFMEIIEFLIQLVSDQTGIPKEKISPTDSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFTASCISELANFLENLVHKSQPQLAPQPIGKVKMSKEIIEFLKQIVSDQTGIPKDKISPTDSLPSYGFDSITVVRAAQKLSDFLGIPVGAIDIFTASCIDELATFLENLVHKSQPQLEPDVSCSAEDENLVIIDASSSDLSVFATGTLQLLALTYVCFILLLPAYIASSMYMGMLSSVSLVKLPLLSYLSSLVLAPIAWICYALFTSLSLSILGKSFLQPNYVLTPDVSIWSVDFVKWWALNKAQSLAANMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTIDITDPSLLAVADGAVVAEGVLILGHEVRNEVLSFRHVKIGQKASIGPYAVLQKGTIVHNGVVVPPLQKTEQGKLTYLASKTSACMKEEARIADMAFEHLVSIYAVGFLGALSSATVFMLYSHFSGTTTSLQNFSFACIAGAFHWLPAVIAAYAVIVRETTMSPVSSALLLAFAYLSYGIILSLLTSITKKALATRSGAKNKDIASLIQRRITIAAHLRFAKMLSGTEAFCMYLRLLGANIGRHCSIRAINPVANPELISIGDGVHLGDFCNIVPGFYSKGFASAVIKVQDNTVVGSGSLLLPGSVLQENVILGALSVAPQGSVLQQGGVYVGAQSLTLVKNTLIEEDERIEEMDPVYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGVLRMYQDIPSLPKHKIFGAGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDDGEVPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKQAPHIRDAVWGSLRNTHSYTVLHYYSNICRLLRFEDGKEMYAKFKLRPADKDVSEDSGQVVPRGILPPETGAIPRDADDTRPLLFLADDFRRKVEAPEGVRYVFQLQLRDVPADSAARDAALDCTQPWDEAEFPYIEVGEIRLASNVPTEETEKLEFNPFLRCPEVDVIPATSCTQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLDQSDTKIDLSGCPVMAATRSSSNVRHAATKVTLARTWYQALWATLCQPLLQTLVPYFTMGLVIFLPLRGLLAVSTAVGTPLYWSLPVFWVTSGVAAMATCAAAKWALVGRRADGDAVHIWAPAVFLDTVWQAVRTAAGEYFAELTPGSVLFAAWMRAMGASVAAGDGVYVDSMGALLNPEMVRLERGASVGRDALLFGHVYEGEGGEVKFGAVHVGEDGFVGSRAVAMPGVRVDDGGCLGALGLAMKEEIVRNRM >PAN36287 pep chromosome:PHallii_v3.1:6:42891862:42893491:1 gene:PAHAL_6G271400 transcript:PAN36287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAMPLLLVLLLASPSATRLASAACASEKFPAGRAYATCEDLPKLGASLHWTYDASKSSLSVAFVAAPAAPGGWVAWGLNPTGEGMAGAQALVALRGSGSAAPAVKTYNISGYALGASSPLEFPATELAADAAGSGGKIRVYGKLQLPKGMKAVNQVWQVGASATGGAPDKHAFGADNLSAKGKLVLAGSKAAAGAPAASPSAAPEAGGPAASGGSDSGAASSMAPSGGKSPNAAASTAGLSAPALLALALVGLLATV >PAN33915 pep chromosome:PHallii_v3.1:6:4184897:4187504:-1 gene:PAHAL_6G056200 transcript:PAN33915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKARAAAAPKPKPKPRPRARAAPKPKATPSPASLLSGGSSPSAGGGTPSYPAADLSFLSPSHSPAKPRTRGSPLASPAAASPLAAPAALSTIADLRSLAASHLDSLKRRLDALHGDSVRDLEASHSRLSKRVKMQTHGCLQLAEEADKEHKKVADKIAERAELVKSSYKKFVAEVQASTSRVCKVTVTEMAKSAERAIDGLRSRYNISATLA >PAN35516 pep chromosome:PHallii_v3.1:6:38511756:38513866:1 gene:PAHAL_6G214900 transcript:PAN35516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAERKMQPLPPPEHRRALRFVAFLAVSLLAFSCWALVNSRINDAVPDSAALMGDADRMPALAGEEGARRPTPAASAAVPASGGAVRLMSDPVIREPLVRVGGGGDGERSGRCDADTAALRVFVYDLPAEFHFGMLGWDGKGEAAWPDVRDARAAPHYPGGLNLQHSVAYWLALDILSSTLPPGGGSGAAGDSRPCVAVRVTNASLADVFFVPFFASLSYNRHSKIRRGERVNRNRALQAELVKYLARREEWRRWGGKDHLIVPHHPNSMMEARKRLSAAMFVLSDFGRYSPDVANLKKDVIAPYNHVVRSLGDDESPAFDQRPVLAYFQGAIHRKAGGKVRQKLYQLLKGERDVHFTYGSVRQNGIRRATAGMSTSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSEFCLFVRAADAARKGFLLRLLRGVPRDEWTRMWRRLKEVARHFEYQYPSRPGDAVQMIWGAVARKMHSVKLQLHKRGRFQRTGWES >PVH36667 pep chromosome:PHallii_v3.1:6:22358632:22365371:1 gene:PAHAL_6G136200 transcript:PVH36667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGGLDRFKKAQTLEPFSVQSGSGTKNAPAAARTAKAPPAPLTVPQNSNFVSGQNHQSPQGASSRVAGQDGVAPGHVGTQVGGGQSTWQPPDWAIEPRPGVYYLDVLKDGEVIDRINLDKRRHIFGRQVPACDFVLDHQSVSRQHAAVIPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRIYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGSSKSDLSSSSKDSSGGASGANDDNHTVERPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPIGVKEGTLVGKYESLVKVTVIPKGKEQISPKGSTSPSGVTDKLQEVLKKVKSTTRSGIYDDLYGDSIQAKVGSSWAYKSDHQSDKDKAVEKTHSSNLNMNSADDSDDLFGDS >PAN34826 pep chromosome:PHallii_v3.1:6:22358620:22365371:1 gene:PAHAL_6G136200 transcript:PAN34826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGGLDRFKKAQTLEPFSVQSGSGTKNAPAAARTAKAPPAPLTVPQNSNFVSGQNHQSPQGASSRVAGQDGVAPGHVGTQVGGGQSTWQPPDWAIEPRPGVYYLDVLKDGEVIDRINLDKRRHIFGRQVPACDFVLDHQSVSRQHAAVIPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRIYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGSSKSDLSSSSKDSSGGASGANDDNHTVERPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPIGVKEGTLVGKYESLVKVTVIPKGKEQISPKGSTSPSGVTDKLQEVLKKVKSTTRSGIYDDLYGDSIQAKVGSSWAYKSDHQSDKDKAVEKTHSSNLNMNSADDSDDLFGDS >PVH36666 pep chromosome:PHallii_v3.1:6:22358689:22365371:1 gene:PAHAL_6G136200 transcript:PVH36666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGGLDRFKKAQTLEPFSVQSGSGTKNAPAAARTAKAPPAPLTVPQNSNFVSGQNHQSPQGASSRVAGQDGVAPGHVGTQVGGGQSTWQPPDWAIEPRPGVYYLDVLKDGEVIDRINLDKRRHIFGRQVPACDFVLDHQSVSRQHAAVIPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRIYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGSSKSDLSSSSKDSSGGASGANDDNHTVERPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPIGVKEGTLVGKYESLVKVTVIPKGKEQISPKGSTSPSGVTDKLQEVLKKVKSTTRSGIYDDLYGDSIQAKVGSSWAYKSDHQSDKDKAVEKTHSSNLNMNSADDSDDLFGDS >PVH36174 pep chromosome:PHallii_v3.1:6:1168366:1169103:-1 gene:PAHAL_6G014100 transcript:PVH36174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFVSTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPTRGRGRTWIARMRGLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIQGIEKLTQELEEQRTRAATAEYSLAALQAQMQEYETRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH36523 pep chromosome:PHallii_v3.1:6:8613764:8623968:1 gene:PAHAL_6G091800 transcript:PVH36523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSSAPSPTPSEREAPRSPPPPAPPLVGVLIESLSFRSCGFGRAAASAFEKEDLRARAAFPRRLRAAVHAAMRARDPAAGSFALEDRDDDGSCHPWFDAAAHDSAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQVFDLTIVKPSDFVEYALACLEQLAESGDHSARFVRDNLRVMVAGGDGTVGWVLGCLGELYVQNRGPVPPVAVIPLGTGNDLSRSFGWGASFSFSWKASAKRSLYKAIFGSVSCLDSWHVVVSMPEDGEEEKEELDLPHSLRRLGQCTFYDDGTAKGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIICLSIKRMDSSEWESISVPSSVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAHSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRIEIKGGQWRDAFMQMDGEPWKQPLSSEYSTFVDIKKVPYPSLIINGGDR >PVH36616 pep chromosome:PHallii_v3.1:6:17929021:17929893:1 gene:PAHAL_6G123700 transcript:PVH36616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGICHEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTHHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPVLAIGETQVLIGTPITGWGGLFRTPQAPPEGTEGTAAATGGGAVERSQENGILEDDEEELLIPLEVHSAPEDDSPRD >PVH36777 pep chromosome:PHallii_v3.1:6:31469817:31470752:1 gene:PAHAL_6G167300 transcript:PVH36777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNIFWDHAGHLHTNALHWEGFSRLLWESLRLFFYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSQWQPIEVDVVGYRLVDTIETAALEAIHIFCNQHPMEVAGHPIGLFPAIDSSDPEWNFRIAHYGHMLGDLAEETLRGTIRFMSVQHHYQIVLRCSMGQLTITQIEELQALVIEKKEIITERDETIIHRGDQINESDAIITQHNTIIEFLQEQIHDLILEVDDAHAHIDELQQQPVPPAVRVAPECEEEEPEQIEGVSDLDSEHGNPEPNPQVDHSSSGRQSSVGDLDDF >PVH36553 pep chromosome:PHallii_v3.1:6:10644136:10649006:1 gene:PAHAL_6G100300 transcript:PVH36553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MVLASISLRAQTPGTLNLQTPVMADSPSFRRHPLAASVDLVRWLPSSAASPSERLLAAAIFDPSSGPAASSIHLLPLSDPTSPYASLPLPSRATALRCSPAALAAATSSGSLHLLPPSLDSDAAVAVPGGAGFHVGPVRGLDFGGEEWVTAGEDGRVHAVGGGGDGRLVARRVWDGKGMVGYEAARWASPAEFATGGAGCGVQWWDRRKGDAVVAQCNGIWGRGIVTGMVHSIDINPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGVGFNGTTEPVCESEVWEVLFDTYTQSSDIISSASSKILPVMMCSEDGILAVVEQADERPLELLAEACAINSFDIDPQNPSDVVCALEWESIGVLTRGRDTMAEE >PVH36552 pep chromosome:PHallii_v3.1:6:10644136:10649006:1 gene:PAHAL_6G100300 transcript:PVH36552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MVLASISLRAQTPGTLNLQTPVMADSPSFRRHPLAASVDLVRWLPSSAASPSERLLAAAIFDPSSGPAASSIHLLPLSDPTSPYASLPLPSRATALRCSPAALAAATSSGSLHLLPPSLDSDAAVAVPGGAGFHVGPVRGLDFGGEEWVTAGEDGRVHAVGGGGDGRLVARRVWDGKGMVGYEAARWASPAEFATGGAGCGVQWWDRRKGDAVVAQCNGIWGRGIVTGMVHSIDINPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGVGFNGTTEPVCESEVWEVLFDTYTQSSDIISSASSKILPVMMCSEDGILAVVEQDERPLELLAEACAINSFDIDPQNPSDVVCALEWESIGVLTRGRDTMAEE >PVH37199 pep chromosome:PHallii_v3.1:6:42749472:42750470:1 gene:PAHAL_6G269700 transcript:PVH37199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSTSAVVEFKVNYEQTKHLDAGEAVHSDAFPAGGHMWRINYYPRGNRGIEEANDGSHLSIFVELLSKSRSAVRATFEALLMDKGGEPSLNLARRIGVHVFHTGNRKLGWPQFLRQTDLAKVYLEEGHITFVCAVMVLRRNPIPTPCSDIVKHLGNLLDRGDGSDVSFVVDGETFHAHRAVLAARSPVFSAELLGPMAEAAMPSITLHDITPAAFRSMLRFMYTDVFPGDDELGESPSEMVQHLLAAADRYALDRLKLMCAQKLWENVSVDTVVDALACADMYSCLELKSRCIGFVVEEKNFKKVVLTEGFLNLWQKFPSTIAEVRERVGT >PAN33533 pep chromosome:PHallii_v3.1:6:1986127:1987394:1 gene:PAHAL_6G027700 transcript:PAN33533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMGAAIMVCIVVLALDVTAGILGIEAQAAQNKTKKVTVLFIQCEKPVYKAYQLGLAAAILLVVAHAIANFLGGCACICSQLEFIRASINRKLAAATIILSWIALIAGFSLLLAGAMSNSKSKTSCGFTHGHTLALGGIMCFVHGGITVAYYVTATAAAHEAV >PAN35089 pep chromosome:PHallii_v3.1:6:34212883:34213443:-1 gene:PAHAL_6G180000 transcript:PAN35089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGAQPKGAFTATTYTSAPAAATGGVVAQEQESHRQAPRTELRSGEDERGLPVRKLEDTVEDAAGKGGPVFGAGTEDGKPDLGVTGTGGG >PAN34104 pep chromosome:PHallii_v3.1:6:5254482:5260603:1 gene:PAHAL_6G069100 transcript:PAN34104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEKHNGMSLMDKRQLVYEVARWPQGAMEILQCWTRRDLLELICVELGKERKYTNVPKSKMIAYLLKLVSRNSGQVKDDSAKAILSGQDNKDDTQMKEYEEQAQHSLKTANSDSSMHREAQAGTVVCRNVACQAIWNAGDKYCKRCSCCICNKYDDNKDPSLWLVCSSDNPYSGSSCGISCHLKCALKNKKAGIVKSGCNKLDCSFYCVSCGKINWLMRSLRKQLAIAREARRVDVLCERLSLSHKMVKGSDHYKEIASIISSAVKTLEKEVGGALDQVSAIMGRGIVNRLSCGAEVQKLCSNALEIVDSTVDNTLEFELNDNPKVLGPQPQILFDEITPFSVVIVLKYQDNIGKEHIDGCKVWHRSAKVLNYSSEPTCHILRPNTRSLVSGLSPSTEYFFKVLPFGSIQEFTEREAKCSTRSLDHGSSQCSTQNSESVCLKEDSVQHQKKDLNLQNHQRAIQYDSPKGSTNSSENNLSCDRYCKRAKIARLDGASDNDESQLPPTSEVLPFPSSNSSPSEAPSKPDLLIGTPDSASKNYVEQQYEYCVKVIRWLEHEGHMDSDFRVKFLTWFSLKATAQDRRIVGAFVDALIGDPVSLVAQLVDAFMDVVCVKEKPPQPQQKGACCKLWH >PAN34902 pep chromosome:PHallii_v3.1:6:15292230:15296092:-1 gene:PAHAL_6G114600 transcript:PAN34902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46780) UniProtKB/Swiss-Prot;Acc:Q9STF2] MAPALTSSPPSFRPLSCPLRRRAATVLCRAGKPGADNDAPKKRPSLFADLGKLADATSLIPALPTPAAGSLFAGGSGGRGRKDPQTVFVAGATGQAGVRVAQTLLRQGFAVRAGVPDLESAQELARLAAAYRLISPAEARRLNAVESDFDDPEAIAKAIGPAAKVVVTVSPGEKGPEAGGVTTDDALRVVQAADLASASHVVVVYALGASGGLGGGGSTYNVLDGFTSFFSNLFSRVQTLTLSQFLAKVVETDVSYTLVKASLTDDYSPESSYPLVLAKEGALASPSTPSSTDTGKVSKSQIAALVADIFSNVAVAENKVVEVSTNSSAVSKPIAEAFAAIPEDRRRKEYQEAAAKAQAEEEALASQRASEAEAAANDLEAKGKEAPSEEAAASPVNGAQASLENLLSRAKGISTDFSWEKFSTQLAEATTPRTSTEKGPKAQIATVRGQAKAKKLAPQRAVVKPAAQKVKPQPKQPDTKPEVRPVFGGLFKQETVYVDDD >PVH37105 pep chromosome:PHallii_v3.1:6:41099423:41100627:1 gene:PAHAL_6G247000 transcript:PVH37105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDKRLAVLSLGISFAQERLICPCQFVVPV >PVH36853 pep chromosome:PHallii_v3.1:6:35208447:35212926:-1 gene:PAHAL_6G184000 transcript:PVH36853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTGSAPVDEWIRQAELWGRRPRPGSVSSPGAIYVAAAVVAITILVLVVASCLKSSKPNTIVLSGLSGCGKTTLFYQLRDGSSHQGTVTSMEENNDTFVLHSEQERKGKVKPVHIIDVPGHARLKPKLDEVLPKAAGVVFIVDAQDFLSSMQAAAEYLYDILTKANCSEEKGSCAYILQQD >PAN36412 pep chromosome:PHallii_v3.1:6:43426282:43429258:-1 gene:PAHAL_6G280300 transcript:PAN36412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASRALLLSRAALSPLPAAAAAASASRRLPALLRPLAAAASLLPASAAPSPGAGVRCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDAGNPDITRDEIIDGYIKTLAQVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRHFDRSRNFERRRDNMQNFQNQMPPPDFPPQHAQGNMPPPPPRGGLPNYQQGGAPGYPQASYTPGGAPGYQQGGAPGYQGGPPGYQGGNQGYQGSPGPAYQGGNPGYQGGNPPPPPYQGGNPNAPPPYQGGGNPGYGGGGPGYPGQGGNSNYQ >PAN35305 pep chromosome:PHallii_v3.1:6:36954893:36958118:1 gene:PAHAL_6G197300 transcript:PAN35305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSDDAVFYLQVGTLWMLSATAAVTILRGWIRGHGFHPKTSHLFVLGYSLVLAQDALRLLLVHGNIPKSYRCRRCPGDASVPAVGECILLAGVYVRDLAQVADRCYTMMLIAAVFLGDAVWGERMPPRPSVPERRGLSKVGGIVIEILIFISCVLSCIHGLPVLLQDYMAATVSGRDAAVA >PVH36915 pep chromosome:PHallii_v3.1:6:36954893:36958118:1 gene:PAHAL_6G197300 transcript:PVH36915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSDDAVFYLQVGTLWMLSATAAVTILRGWIRGHGFHPKTSHLFVLGYSLVLAQDALRLLLVHGNIPKSYRCRRCPGDASVPAVGECILLAGVYVRDLAQVADRCYTMMLIAAVFLGDAVWGERMPPRPSVPERRGLSKVGGIVIEILIFISCVLSCIHGLPVLLQDYMAATVSGRDAAVA >PVH36743 pep chromosome:PHallii_v3.1:6:28584018:28584818:-1 gene:PAHAL_6G157300 transcript:PVH36743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLLQAIDFPPPDQPYARHPPPIVARKPTDPPMPMVSAHSRDSSNVHGELKSTSMFQFRHAEGAPRGTAVATLNATSADDPQFI >PAN33778 pep chromosome:PHallii_v3.1:6:3192474:3196189:1 gene:PAHAL_6G044100 transcript:PAN33778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRNTAITRSNSACLPMGDRLDFKYNSVNEGDERKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLVFALIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSVPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGVIAIPRIEPSRWLEMDLGSVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAIPVVREQWSDGYFSDIARILGGIWLHSWIQGAAALSNMGNFLTEMSSDSYQLLGMAERGMLPEFFARRSRYGTPLIGILFSAFGVILLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRMTHPNASRPYKIPLGTIGAVLMIIPPALLIVVVMALASFKVMAVSIMAMIVGFVLQPCLVYVEKKRWLRFSISADLPDLPDSQEAAEDDTVPLVF >PAN35133 pep chromosome:PHallii_v3.1:6:35144602:35146276:-1 gene:PAHAL_6G183800 transcript:PAN35133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSVGKFVEISIPCGGAGVPAAADVVSKEIAFDDHAWTVHCYPRGAPGEAGAGPGGHLSIHLVNHTNARNAKVLFQAIVLCRAARDGGGGVAPAGDNYHASSVFEYPPEGSRRFPWPQVATARDLRERCAVGGYATVVCGLAVLRHNPIPAPPSTFAADVAGLLEARKKFGDPDASFAVGGKTFDVVRKVVAARSPALRAELEGGATVVVEEEEEGKDSSTAAAMPVVRPEHEFKASTFCALLLYVYCDRLPRGTEVGCPVTTDLVRDLLAAADWYALDRLKLLCARKLWDDLSVATVSKTLWYADRYRCPELRSLCIDFLAVGDNFKNALRRGGLRLADEELAGDGPRDLDELRRRSSCSSSSGSVSNKRKAQD >PAN36583 pep chromosome:PHallii_v3.1:6:44705468:44709265:1 gene:PAHAL_6G294400 transcript:PAN36583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISGELPGGGSDGEEEVFINEEDIIHEITIDEEDLPDRDDDDEDGGDRMDDSEDVDDSTYIFQGHKDEVFTVACSPTDASLVASGGKDDRGFLWRIGSAEGALELTGHRDTVSTVAFSSDGNLLACGSFDGQINVWSTATRSLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAILNTFAGHSSTVTCGDFTPDGKLICTGSDDATLRIWDPRSAQSRHVVRGHGYHTDGLTCLSITLDSQTVVSGSKDSSVHIVNVNSGQVVGSLVGHTNSIECVGISSSYGWAATGSMDQKLIIWDLAHQSNRCTCEHDEGVTSLAWLGSSRFVASGCIDGKVRIWDSLSGDCAREFSGHADVVQSLAVTADGNALVAVSTDGSARVFGISMFK >PAN33765 pep chromosome:PHallii_v3.1:6:3140459:3150512:1 gene:PAHAL_6G043000 transcript:PAN33765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGSIPKSAGFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANMYTQEDLPKQVVRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIAVWEVGSRERIAHKTFKVWDIGSCTLPLQAALMKDAAISVNRCLWSPDGTILGVAFSKHIVQTYTFVPNGDLRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKKTYNGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFEGSRGPPQQINTKPPIVALGPVSNVSSPIAVNAERPDRILPAVSTSGLAPMDASRTPDVKPRITDESEKVKTWKLADIVDNGHLRALHLSDTDTNPSKVVRLLYTNNGIALLALGSNAVHKLWKWQRSDRNPNGKSTASVAPQMWQPANGILMTNDTNDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDDVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSRYIQPPANRSGTLVGDTRVQFHNDQTHLLVVHESQLAIYDGNLECLRSWSPRDALPAPISSAIYSCDGLLVYAAFCDGAIGVFEAESLRLRCRIAPSAYIPPSILPGPGRVYPLVVAAHPVEPNQIALGMSDGKVHVVEPLDADPKWGTAPPQDNGAHPAISAAPSAASNQASDQPTR >PVH36367 pep chromosome:PHallii_v3.1:6:4597045:4598228:1 gene:PAHAL_6G060700 transcript:PVH36367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDALFRRLLLLLLPPPPGAGQSEELRHSLLSSSSPPAPCAYERGRRGVGAVQFERLRPGRPWGPCSLIEPCQYHVARPSLDLWASYMHVSILRAVAFFICYLSSVGTRAQGD >PVH36364 pep chromosome:PHallii_v3.1:6:4506438:4508747:-1 gene:PAHAL_6G059900 transcript:PVH36364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASATSSILVPATPAASTSPACPSKKAAGASRRRRAVSCRASAGGNDGGLLWLPRRDVLAGLGGVAAGLAGYPDLASLALEANPVESCRRGDKVTDKLVECSDPNRGFPCPPQMRIPIVDFVPEGAVKRVRRPAHLLDREYQEKYKEAVGKMRALPESNPLSFAAQAAVHEAYCDGHYRYDPTEKNRPFDVHFSWIFAPWHRMYIYFYERALGQLIGDDTFALPYWNWDAPGGMGIPAIFKDSFANPLYDPYRDQTHRDALIDLDYIHSPQDVPPIPFVRTPATATAYDEAVQKNLCTIYQQQIRGGKGPRAYLGEKLCSEASFRVKEINERSRRRQDGQKIKPNPSASQGTLERMAHTAVHVWVGRPSPTGGCTLNDGAVMGHDGKPHCGNDMGFLGSAGRDPLFYSHHANVDRMWHLWSTKLGGAGFDDPEWLDASFVFYDDVEKPRLVRMKFRDVLEAKNLGYTYDEESEKALPWFNSKPTRLSPGSKGGSGKAAAAPEFPLSLRGNQAVVVPGVAVPAKEAGKDLVLVVEGIEYDPQTDNKFDVAINVPKEDALKVGPQYSEYAGSFSAVPSSKADGGTLLGKISLFVDGVLADLGASGDATVDVVLVPRTAGEVKVYLPPTIQNA >PAN36731 pep chromosome:PHallii_v3.1:6:45417674:45418489:1 gene:PAHAL_6G305600 transcript:PAN36731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPAGLVVSLLLAAVANAGGAKALTPGGRVVHHNHGKFTAGPWKPAHATFYGGRDGSGTTAGACGYKDTRAEGYGVQTVAVSPVLFGDGAACGGCYEVRCVDSPDGCKAGAAAAVVTATNLCPPNYQQSGDNGGWCNPPREHLDLSMPAFLQIAQEKAGIVPVSYRRVACVKQGGIRYTIAGNKYFNMVMVTNVGGAGDLAAVSVKGSKRVKWTELKRNWGQVWQTGEDLTGESLTFRLMTSDHRKATSWHVLPTDWQFGVTYQADKNF >PAN36774 pep chromosome:PHallii_v3.1:6:45688872:45700882:1 gene:PAHAL_6G309600 transcript:PAN36774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADGRSSDNRARGDGGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYRQPNQEELHFSRTITGAGGSEYRIDGRLVTWDEYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAEENSALVYQEKRTIVMERKQKKAQKEEAEKHLGLQQDLKLLKTEHSLWQLYTIEKDIEKMEAELAEDRESLQQVQEENQSAENELTAKKKEQSAFLKKMTLCEKSIAKKKLELDKKQPELLKLKEQISRLKSKIKSCKKEIDKKKDDNKKYLEEMRRLQSALVDVTKAIEELNEQGQDKSGKLQLADDQLQEYHRIKEDAGMKTAKLRDEKEVIDKKLNADVEAKKNLEENMQQLCSREDEISSQETELQTRLDKILHSIPKHENELAHLREEHTRIAKERQSSGSRYQTLKQRVDEIDTQLRELKADKHESERDARLKETVGSLKRLFPGVHGRMHELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDVIQFDRALEKAVLYAVGNTLVCDKLDEAKTLSWSGDRYKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDSRIESLKKKKNQLESEMSELGSPRELQRKELAISEKITGLEKKLHYLNVEQNNLRAKLLKLASERSNIEEEINRLEPGKEELETRLAEKEAEVTKLEKKINEIVDKVYRDFSISVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIVKLRETFESLEKELKGLQERESGAKAEAEEILTQMDELKAEAEDWKSKSDECEKVIDELKEQNGNVTSTLANLDRQVKSKEGQLMQLISRQQEIHEKCELEQLKLPTVNDPMDTGSSSEELVLDYSQLKEIYLQDMRPSERDKHEAEFKQRTGALLADIERTAPNLKALDQYDALQRKEKEVTEKFEAARKEEREISDKYNSVKQRRYELFMEAFDHISKGIDRIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERVTGEQGGDGECGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >PVH37428 pep chromosome:PHallii_v3.1:6:45688872:45700826:1 gene:PAHAL_6G309600 transcript:PVH37428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADGRSSDNRARGDGGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYRQPNQEELHFSRTITGAGGSEYRIDGRLVTWDEYNAKLRSLGILVKARNFLVFQVSPFVTFVIGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAEENSALVYQEKRTIVMERKQKKAQKEEAEKHLGLQQDLKLLKTEHSLWQLYTIEKDIEKMEAELAEDRESLQQVQEENQSAENELTAKKKEQSAFLKKMTLCEKSIAKKKLELDKKQPELLKLKEQISRLKSKIKSCKKEIDKKKDDNKKYLEEMRRLQSALVDVTKAIEELNEQGQDKSGKLQLADDQLQEYHRIKEDAGMKTAKLRDEKEVIDKKLNADVEAKKNLEENMQQLCSREDEISSQETELQTRLDKILHSIPKHENELAHLREEHTRIAKERQSSGSRYQTLKQRVDEIDTQLRELKADKHESERDARLKETVGSLKRLFPGVHGRMHELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDVIQFDRALEKAVLYAVGNTLVCDKLDEAKTLSWSGDRYKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDSRIESLKKKKNQLESEMSELGSPRELQRKELAISEKITGLEKKLHYLNVEQNNLRAKLLKLASERSNIEEEINRLEPGKEELETRLAEKEAEVTKLEKKINEIVDKVYRDFSISVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIVKLRETFESLEKELKGLQERESGAKAEAEEILTQMDELKAEAEDWKSKSDECEKVIDELKEQNGNVTSTLANLDRQVKSKEGQLMQLISRQQEIHEKCELEQLKLPTVNDPMDTGSSSEELVLDYSQLKEIYLQDMRPSERDKHEAEFKQRTGALLADIERTAPNLKALDQYDALQRKEKEVTEKFEAARKEEREISDKYNSVKQRRYELFMEAFDHISKGIDRIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERVTGEQGGDGECGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >PVH37147 pep chromosome:PHallii_v3.1:6:41715981:41721183:1 gene:PAHAL_6G256100 transcript:PVH37147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDQELAHYVESLVRQTAARGGAGISADSVVRQLGAQLGVDVSPKAPLIRSVLVALLGPAAAAPDPAAAARKDPFDPAAGGGARAEAPAQQLPFSTSAAAAASSASAPPPAPAVPHFFPQQMQSYLSASQQYQHQQHRPGAPASPFDVAASYRYGHQPFPQGDQAQLQRLVQLQQHQQHQQMAAAAAAASAAAATPGESPRAAAAAAPAGSKKDSSASAGAKRRGGPGGLNKVCGVSPELQAIVGEPAMARTEIVKQLWAYIRKNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLSKHIRPLETTNDSKRESKKMKPEGGEPISPVETDVKQLSFVVSDALAAFFGTGEREMPHSEAVKRVWDHIKSNNLEDPENPTVILCDSKLKELFGCESLTAHGVSELVSDHLFKQPAKI >PVH37146 pep chromosome:PHallii_v3.1:6:41715981:41721183:1 gene:PAHAL_6G256100 transcript:PVH37146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDQELAHYVESLVRQTAARGGAGISADSVVRQLGAQLGVDVSPKAPLIRSVLVALLGPAAAAPDPAAAARKDPFDPAAGGGARAEAPAQQLPFSTSAAAAASSASAPPPAPAVPHFFPQQMQSYLSASQQYQHQQHRPGAPASPFDVAASYRYGHQPFPQGDQAQLQRLVQLQQHQQHQQMAAAAAAASAAAATPGESPRAAAAAAPAGSKKDSASAGAKRRGGPGGLNKVCGVSPELQAIVGEPAMARTEIVKQLWAYIRKNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLSKHIRPLETTNDSKRESKKMKPEGGEPISPVETDVKQLSFVVSDALAAFFGTGEREMPHSEAVKRVWDHIKSNNLEDPENPTVILCDSKLKELFGCESLTAHGVSELVSDHLFKQPAKI >PAN34787 pep chromosome:PHallii_v3.1:6:33016598:33018442:-1 gene:PAHAL_6G173700 transcript:PAN34787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAPHRLALLLLVAALPAAMSSCAGEDFPSGRSYVTCEDLPYLGASLHWTYDASGPSLSLAFVAAPAAPGGWVAWGINPAGTGMVGAQALVALAGGTANSSVQAVVRTYNITGYAPLGVAPTPIAFPATGLAADVGGGGKVRLYATLRLDNKGVKKVVNHVWQVGSSVTRGAPDMHAMDPDNLASKGKLVLSDGAAASAPAPAGGPSSSGDGSGDGTPLSRAISRAADTAGVPAPAVLVLAVLGFLTMVW >PAN33343 pep chromosome:PHallii_v3.1:6:1148852:1149753:-1 gene:PAHAL_6G013800 transcript:PAN33343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPACPRAAAAVALLLLTVSPEAVTAVNAGGWEEAHATFYGDETGAETMQGACGYGNLFEQGYGLETTALSVALFDEGRSCGGCYELRCHGSASCAPGGAPVTVTATNACPANYSKPNENWCNPPLRHFDLSKPMFLRLVTDFHAGIVPVQYRRAPCARRGGVRFGMKGNRWWVAVLVFNVAGAGDVRAVAVRGSRDAQWADMSRNWGQIWDGDARLVGQGLSFRVTSGDGRSIVFDGVVPPTWTAGQSFEGKHQF >PAN35820 pep chromosome:PHallii_v3.1:6:40382677:40383763:1 gene:PAHAL_6G236900 transcript:PAN35820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLIDYSPAALRSLLRPSSTTDERRAKLSGAGSGGGALGLFKMFKLLPVLTTGCKMAAMLGRHSGRALLADHAPTVTLFGHRRGRLSLAIHEDTRSPPAFLIELPMLAAAMHREMATGTVRLALESDTTRGVAAAARRRRPLLEEYVWAVYCNGRSAGYAIRRKDASDDERHVLRLLRGVSMGAGVLPPPPDGRAGAGAGPDGELTYMRARVERVVGSRDSEAFYMINPDGGGDNASRGGDSAPELSIFFVRNK >PAN36286 pep chromosome:PHallii_v3.1:6:42879882:42882611:-1 gene:PAHAL_6G271300 transcript:PAN36286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPRLLVLFLLAAAVAAPPRRAAAACAAERFSGNRAFAACADLPRLGASVHWTYDAAAASLSVAFLAAPPSGGWVAWGLNPTGDGMSGTQALVAVPKGSGAYEVQTYSISGYSLGSPGPLSYQTSDDLAAELGSDGRVRIFGTLKLQNGTGEVNQVWQVGPSSGGAIGIHSTTNADNLNSKGKLNLLTGASTAASGGNSILRKRNTHGVLNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNMSKGITYSVHRNIGITVFALGTLQIFALFLRPKKDHKYRFYWNVYHHSIGYTIIILGIINIFKGMSILSVDQKWKTAYIIAICILGAIALILEVVTWGIVLKRRKEDSKTYNGNGNGHLPLSM >PVH36589 pep chromosome:PHallii_v3.1:6:14753039:14758756:1 gene:PAHAL_6G112400 transcript:PVH36589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MAPPPPKQLVLAASSADAGVAAWDLRTGAEEIRHRPCASRPRALASVADRFLAAAQAPPAGGNSGTVHFYHWDKPQVAVKSFPAEPIRALLADQEGSYLIGGGSNGNLFLWEVASGELLHTWHAHYRAVRCLALYDYLLVSGSEDGSVKVWDLITVLDEQSRLEAQTPYLYSFNQHALPVTDIACFLGAIAVSSSEDRTCKIWSLSEGRMLRSIPFPSSIDSVALDPRSHVFYAGGRDGKIYVTAMGVDISSHGGDESSILGALDDQSKAVTSLASSTDGLVLVSGSEDGNVRVWDTRSQQVTRKFKHSQGPVTNVLIVAPKRVNLPPLHPLRKVCSANGEVEPRAVILPRPENDVPIHGNRTSIFMEHYLDELQYGGSSMLFDSGLNTQNCAANQQGTEWRGRYLELQDLFVHEVLDQMPSSRNP >PAN34548 pep chromosome:PHallii_v3.1:6:14753039:14758756:1 gene:PAHAL_6G112400 transcript:PAN34548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MAPPPPKQLVLAASSADAGVAAWDLRTGAEEIRHRPCASRPRALASVADRFLAAAQAPPAGGNSGTVHFYHWDKPQVAVKSFPAEPIRALLADQEGSYLIGGGSNGNLFLWEVASGELLHTWHAHYRAVRCLALYDYLLVSGSEDGSVKVWDLITVLDEQSRLEAQTPYLYSFNQHALPVTDIACFLGAIAVSSSEDRTCKIWSLSEGRMLRSIPFPSSIDSVALDPRSHVFYAGGRDGKIYVTAMGVDISSHGGDESSILGALDDQSKAVTSLASSTDGLVLVSGSEDGNVRVWDTRSQQVTRKFKHSQGPVTNVLIVAPKRVNLPPLHPLRKVCSANGEVEPRAVILPRPENDVPIHGNRTSIFMEHYLDELQKYGGSSMLFDSGLNTQNCAANQQGTEWRGRYLELQDLFVHEVLDQMPSSRNP >PAN35462 pep chromosome:PHallii_v3.1:6:38194271:38197759:1 gene:PAHAL_6G210900 transcript:PAN35462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKSKILVVGGTGYLGRHVVAASARLGHPTFALVRDAAPSDPAKAALLKSFQDAGVTLLKGDLYDQASLVSAVRAADVVISTLGSLQIADQTRLIDAIKEAGNVKRFFPSEFGLDVDRTGIVEPGKTLLSGKVAVRRAVEAAGIPYTYVVAGFFAGYALPSIGQALAQGPPADKAVVLGDGNAKAVYVEEGDIGTYTVLAADDPRAENKTLYVRPPANTLSHNELLALWEKKSGRAFEREYIPEEAVLKQIQESPVPLNIILAIGHAAHVRGEQTGFEVDPAKGAEAAELYPDVKYTTVDEYLDRFL >PAN36629 pep chromosome:PHallii_v3.1:6:44943697:44945876:-1 gene:PAHAL_6G298400 transcript:PAN36629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLAALPSQLASPGRLRLRRGRAGLPSTSRPQSLLHRAPKGGSRCRLAVTCNAQAVAPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDSGLDYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKAAKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMNETYQLLGVDANDILSLEKYLQDYFTNILKKLKDIKAQSKQTDIFF >PVH37376 pep chromosome:PHallii_v3.1:6:44942920:44946017:-1 gene:PAHAL_6G298400 transcript:PVH37376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLAALPSQLASPGRLRLRRGRAGLPSTSRPQSLLHRAPKGGSRCRLAVTCNAQAVAPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDSGLDYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKAAKKLLTFAGPRAWTTQES >PVH36976 pep chromosome:PHallii_v3.1:6:38273807:38274581:1 gene:PAHAL_6G212500 transcript:PVH36976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIQPGFSSAAASIAHLLHLLPDPDEMMLFSSFVCFVCLHGQRSLLSSTSEVPSLHAHPASFVPSQQCLDPRAAPRPMRQLVAG >PAN33939 pep chromosome:PHallii_v3.1:6:4374255:4375324:1 gene:PAHAL_6G058400 transcript:PAN33939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASYFVLAVFLALASYQVFASDPSPLQDFCVADKHSPVKVNGFVCKDPMAVNADDFFKAANLDKPRDTLKSKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLNVGFVTSNPNKLFAKVLNKGDVFVFPKGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >PAN34174 pep chromosome:PHallii_v3.1:6:5649229:5650968:1 gene:PAHAL_6G073300 transcript:PAN34174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLHGLTGHEEAATTRRQPLKSAVWGSFTRNRPAAGGPATATCGECGQILRAGSSIGTSHLRRHTGTKRCQQRAAERRRQQLTAPPPGGGDQDDHPTSPPVSVEEPFFVVDGVDIPELLDDLIGKGLANIDEQSSGADQQFGADNYVAAVPQAASSSTKWFIQKKKERKGAESKKRCAREDECGLDDLSAQADFSSREKRMLPDSAGATTATVYDHSHATNKQRAQNSLHTSDYLASSFIH >PVH37008 pep chromosome:PHallii_v3.1:6:38802454:38810502:1 gene:PAHAL_6G218300 transcript:PVH37008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPPVAAASTSSAPPGPRRRSTRPFDPPRRDDQDQPPRALHSEHDSTSSQPRSRFTRLIGLRRRGDQPAPPPRASPAPLAAEAVARPPSGAPTPRRSVRVHLRVRGLPSAASPSTPRRRRRSPTAPRPKSIEAKVEEWAKEKAASGCPQEECVLPFLLKGAPRKVECLICSKSILPDERMQCSVNHCDVTLHKSCSGKTDGCCPRHDAGPTNDIKEAFRRLPLPYTNQEFNINPISTQDLENERDPPPYVHIKRNVFIVKNKCDSDAIETGCANCDHDSTCERCPCRCSLVSCSQACHCSVKCSNKPFRREKMIEIIKTQQCGWGAISLETIEKDDFVIEFVGEVIDDAMCDDRLQDMRQRRDQNFYMCKVSKDFVIDATFRGNACRFLNHSCQPNCRLEKWQVKGKTRLGVFASEAIKVGMPLTYNYRFSTSFGPEKECLCGAPNCRGKL >PAN35239 pep chromosome:PHallii_v3.1:6:36431836:36436674:-1 gene:PAHAL_6G192700 transcript:PAN35239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKAIDDTEPGPAPSRSVDRFGFIKPEQSGSPDGLPKGRSIHEREREERRIRKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVMYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNILKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLSQFEKLVMEHMPKLGQHFVEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKIVFQVGLALLRFCHDDLVKLPFESLLHALRNFPEEATDPDVLLPIAFTFKVSSRLEELQKEYQKGPESSSETSSIKRHQALISKTMSRVGSHVISNLTADKK >PVH36637 pep chromosome:PHallii_v3.1:6:19805701:19806435:1 gene:PAHAL_6G128500 transcript:PVH36637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDVMVFVEKSPRYPDVDPWFISTTGFRFLDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH37263 pep chromosome:PHallii_v3.1:6:43266541:43271443:1 gene:PAHAL_6G277700 transcript:PVH37263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREEAMAARRAELQLLQHLEEEEESKGKRKRGRVELRRIEDRTSRQVRFSKRRTGLFKKAYELSVLCDAQVALIVFSPAGRLYEFASSTSSVEEIFGRYWDLANTINDLNIEARDSTVDCNIQKEQQSSVGSLPDQLNIIAQWAMEADADEMSMTQISNLEETVTDALAAIRNKLRMKVVGLPQPYV >PAN36595 pep chromosome:PHallii_v3.1:6:44769993:44772811:1 gene:PAHAL_6G295500 transcript:PAN36595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLRASLARSIQLAEQLIKWADEAQACRSECQDLGSWIERLSTLLRQAARAELYERPARRILGDTDRALDKAAALLERCCGRGILHRVFTIIPAGSFKKAGYLLDNSLGDLTWILRVSNYAASDEDEEDDHIGLPPIAQNEPILFLIWEQIAVLQYGGLEARADAAASVVSLARDNDRYGKLIIEEDGVPPLLRLIKEGRADAQESAALAIGLLGRDPECVDLMILAGVCTSFVKILKDAPMKVQGMVAWAVSELAANHPKCQDAFLQHNVIRLLVSHLAFETVQEHSKYAVASKMSIHSVVMDKKTNDTSQEPSDAGAQATTSAAKPIVGGGVTGASSSAAAPGPSARPAGIAGTRMHNASMSATSTRGREYEIPEIKAYLKSHAARALGTLATGNPAICKNITESRALLCFSILLEKAAGDVQYNSAMALVEICRVAEQHPELRRSAFKPTSPSARAVVDQLVRVVEKADYDDLLVPCITCLGCLSRTFRATEKRVIGPLVRLLDERESEVTLEAASALTKFASTENYLHVDHCKSIIAHGGAKHLVQHVYFGEQGVQTAALILVCFLAHNVPDSDELAQAEILTVLDWGLKQGYMSQDPLIESLLPEAKIRLELYQSRVAKAGYY >PVH37129 pep chromosome:PHallii_v3.1:6:41525228:41526080:1 gene:PAHAL_6G253400 transcript:PVH37129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRRRWSHDEARDRRRPAPLSGPWRFCRKNFKGQYSFDE >PAN36420 pep chromosome:PHallii_v3.1:6:43471926:43474013:1 gene:PAHAL_6G281000 transcript:PAN36420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYYHGNASGSQSYCHDVSYTPGIDLTGPLDGTTDIHSQQPYEQGNETLQTPVDNVAEDAEKRKRDKNYLNAEDETLCSAYLNVSKDPIVGASQQGQAYWTRITIYFNEMRKTPIQRSLSSLQHRWGDIQKDTSRLWSLL >PAN35839 pep chromosome:PHallii_v3.1:6:40499936:40507235:-1 gene:PAHAL_6G238600 transcript:PAN35839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEAGSPSAAAVVLVLVALLVAPGLALLARSRWRRAAARREEVRRLARLAAEESELAERESVLAYYSELFPGVVHAAEVPEAPVWGPTPVVAPAQEDVEAQPQPQPPAGAKGVCAVCFRPTTFRCKQCKAVKYCSFKCQIAHWRQGHKDECHPPKPLDEGKVEQERAAEENVPAGVKPVAETNKPVAVGSETSDANHSLKSLNGDGKHMHLEDVCTSTEVPGGHQSNGTVQIPQNVPVSVDSSKVASNTEHANFVEDGPSSKDFNEELPCKSQTTAPNVSGQSSSFNEKSFNHSKEHHKARNASVVEDFSQASHNRKLEDSSNLGAAASAALEPKSSRTPISVELERSKTKPVGNDNIQSTKPVPSALTVDKATSIHGGCSVIPIPSKVADNHFDRSFKPSERPGSTANNLTTTLKKIVSKQTAPKVVRHYPSESTLFPYELFVKLYDKVELHPFGLHNLGNSCYANAVLQCLMFTRPLTTYLLEGLHSKNCSKREWCFMCEFEKLIVEGKRRKTSLSPTGILSHLHDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKGGSLRSAEETTLVQLIFGGYLRSKIKCSKCHTTSEQCERMLDLTVEIDGDISSLDEALVRFTSTEVLDGENRYHCSRCKSYERAKKKLTVEEAPNVLTIALKRYQSGKFGKISKAIRFPETLNMARYMNSDTDDRLPVYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWFKADDSQVKPVSVDNVMSKCAYMLLYARCSPRVPSSVRQAMMVQDPTRPKKAKPKIVPGGTPWLGGSFSGHQGGHPHKDHTADDLTHTFDEYGDAPYPPAESPSPSESSSLFSNSDAGSHSTVSTDSSDSTRNSTSTEEYEYLFGTSDQMYPGGPMGTPVENDYLTYSRSRSSLNTSSSGRVADDAERFAEHRPQGGGAGGGWVVSDESPSLLYTDRSRHQSSSKLTDQYRLLDRSGHDPGETRGSVLLRRSARDRTAQTFY >PVH37217 pep chromosome:PHallii_v3.1:6:42984475:42984897:-1 gene:PAHAL_6G273300 transcript:PVH37217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACQRSTVPTATPPPPSLPSPLPVSAALLASPQRRPTWEKRTSETSASQRMESSYAFLSRPFRRLENKILVSIRCSTTFPRPMACPSDRSHRLAPFLARHSSSERNPPPSNRRISGSSRPFLLSPPSVAASPVVVRAVA >PVH37212 pep chromosome:PHallii_v3.1:6:42933206:42935062:1 gene:PAHAL_6G272200 transcript:PVH37212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHEKIAALKPVASRPFSSFRSFPKLLQDFTSTGSPPITVLEEAVLVKPKATRFPSLPSELPTEITATIDAGSDTTCEEMEVDTEQENCCGHLTTCHTVKKPTGSRLSFDGYNWRKYGQKKVKGSEFPRSYYKCTHPSCPVKRKVETTIDGQVAEIVYSGEHSHPKPRPPRTPLSSPSTAEVVVCGVHGTDDTMQE >PAN33385 pep chromosome:PHallii_v3.1:6:1374628:1374921:1 gene:PAHAL_6G017000 transcript:PAN33385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLGQLLTRLHLARSRASAPSAAADVPRGHLAVYVGEGRKRLVVPTACLSHPAFVTLLKRVEDEFGFDHRCGGLTLPCASEGEFADIVGGVDGRH >PAN36450 pep chromosome:PHallii_v3.1:6:43624386:43626560:-1 gene:PAHAL_6G283700 transcript:PAN36450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLFLVLLIAFASATTTAPLPTARALVDNARGYVYNGHLLKSKTFLSPPFSLRPGSVSNKWYMDVAFPRGHLALKSFNGEVVDARGAPVPLHETYLHHWLVEPYYATRGGGGQTLPRANSGVCKGSLGQYFGLGSETRRTLTWVPDPYGIEIGGGDAPEGYEERWSLNVHAIDTRGAVDKPGCTECRCDLYNVTVDERGHRIAEGYAGGLHCCYDQTRCRVEDGFAAGGEPRELFLRYTVMWVDWSDAAVVPVRIYILDVTDTALLDGKPEPDCKVEYTVEACSSENRAKNDCVDMKVTKEALPRGGDIVFAVGHQHSGGIGTSLHGQDGRLLCSSTPIYGDGEEAGNEAGYIVGMSTCYPEPGTVRIRDGEALTVVSNYTGERRRTGVMGHFYLLVADDDEQQQAAPNKQPPSLCFSFPTSWCVPAWMMGNLQ >PVH37377 pep chromosome:PHallii_v3.1:6:45001300:45004966:1 gene:PAHAL_6G299100 transcript:PVH37377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAMLRWAAVALALAAAALLAAPAAAFYLPGVAPNDFQKKDPLLVKVNKLTSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCRVSIDDKQAKELKEKIEDEYRVNMILDNLPLVVPIARQDRDAIVYQGGYHVGVKGQYAGSKDEKVFIHNHLTFLVKYHKDETTELSRIVGFEVKPFSINHQFEGQWNDKNTRLITCDPHASKLVTNSDTPQEVEAGKEIIFTYDVGFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRFYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYNWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFAFCVLTGTIGFCACFWFTRLIYSSVKID >PVH37378 pep chromosome:PHallii_v3.1:6:45001590:45004966:1 gene:PAHAL_6G299100 transcript:PVH37378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPKMCQIVCRVSIDDKQAKELKEKIEDEYRVNMILDNLPLVVPIARQDRDAIVYQGGYHVGVKGQYAGSKDEKVFIHNHLTFLVKYHKDETTELSRIVGFEVKPFSINHQFEGQWNDKNTRLITCDPHASKLVTNSDTPQEVEAGKEIIFTYDVGFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRFYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYNWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFAFCVLTGTIGFCACFWFTRLIYSSVKID >PAN34651 pep chromosome:PHallii_v3.1:6:17451388:17475931:-1 gene:PAHAL_6G122700 transcript:PAN34651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGEKPPSLDADVDMADLASLDAPAASSAAAAGAPSTRFRPRAKGKPKPKPVAPKPEPLVVPKSEPEPDPDPEPEPGPGPVAAPAAPQEDDRVDAMEVDGAGDAAGPGEGAAAGEQAEDEEDDFVVREIDVYFNPKPFDDDTKLYIMQYPLRPCWRPYELNEMCEEVRVKPLSSEVEVDLSVNKQSENYDQEAPLRLTKQTLSSSKAEDVSDYAVGVLKGNLVHLNHIDAVVQLRPSMSHVISGRAYNRQALQSREMNGGASGSKAPSRKGDERPEDSKDHAEDSEPWISLTYQPAESNIATKYHDKMISNEGGPIDFTMSNSDYVMSLCPGASTRSKHINKCQAIREMLLLPLEERLKKWFTEVSEVNQFDALKHLAPTYSEEEILKVLPDYAYLVRGLWVCKSSLLFDDGYASKRDRILLEFTKSESIPEKILGVWIKPDDLRRKRILFPLCKRRGVMKDYKFISSDLSFLKRYPHIVNEQECAWSTHEMTIRESLEVSRTVERKTKNSTRPNVASKGPNPNTSKGRDGPAQVSDDHVHVLGTVFAANKVRSMQAVVRDLRQLAAKYASNRKDGSKLQALCEAAKSCASLSPDELKRSISLVAVNVHDVFVARHEQKRTVRNVLILLFRKKEPNATLTKQEILATASSHLKREISDKEYHQAVTEICISTEDGHLVLKSGDEP >PAN35147 pep chromosome:PHallii_v3.1:6:37265542:37266165:1 gene:PAHAL_6G200300 transcript:PAN35147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHIKPLHSADGYLRWKESVLLRLHTVGVAHARDDALCRGHILATLSDHLLPVYVRHGTGRALWRAVLKFEELEFRDDETLLERVARAEALAIAASVFPETHDALVACKVCTKLPDVAEDAIMHGDETTMDGVWRSAQAMERVRNCRQARVVRQEREDMISSWSCGMSGHVAKKCR >PAN33627 pep chromosome:PHallii_v3.1:6:2526204:2529629:-1 gene:PAHAL_6G034800 transcript:PAN33627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRVVVVTPSAPSRLFVYYPSQLRLRKAFLVPSLPLLPRTARNLPEDATNPTQSGIQASSDPRLPLRGSHWVPLRTESTRRSAAAAGGSRPAVPLRRRVVVRTPKMASSNDEAEHQWIENLKSGGAVPCLAPESCPDGWATPPGDSFMVRGPEYLTNKVKIPGGEYLLKPLGFDWIKGPAKICEILKDKNHRVRKAIDEEASHGKQPFVWAFNLQLPKDNYSAIFYFVSLEPVHEGSLMDQFLKGDDAFRNSRLKLIANIIKGPWIVRTAVGEQAICILGRALSCKYVQGSNFIEVDVDIGSSIVANAIVHLAFGYITTLTVDLAFLIESQTDSELPERLLGAVRFSELSPGSAGQYERQSEEHQESTQSRPVGFWQGFWSNNQGNPREPSPSLQNTNGNLHKEAANENAK >PAN34087 pep chromosome:PHallii_v3.1:6:5232123:5236267:-1 gene:PAHAL_6G068700 transcript:PAN34087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVAAEATAAAAAAAAKQQHTGKAAALAARDASGHLAPLTITRRSTGDDDVAIKILYCGICHSDLHSIKNEWNNAMYPVVPGHEIAGVVTEVGKSVTKFKAGDRVGVGCMVNSCQSCDSCDEGFENHCRGIIFTYNSVDRDGTITYGGYSSNVVVHERFVVRFPDAMPLDQGAPLLCAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGMKVTVISSSPAKRQEAMERLGADAFIVSKNADEIKAAAATMDGIINTVSANMSMAPYMGLLKPNGKMIMIGLPVKPLEIPPFDLIMGNKTLAGSCIGGMRDTQEMIDVAAKHGVTADIEVVGAEYVNEAMERLAKADVRYRFVIDIGNTLKSSE >PVH36337 pep chromosome:PHallii_v3.1:6:3957104:3958158:1 gene:PAHAL_6G053400 transcript:PVH36337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSSKITSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN36352 pep chromosome:PHallii_v3.1:6:43172158:43175536:-1 gene:PAHAL_6G276300 transcript:PAN36352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSQEPGNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLAKAKRYLEDVMAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEADNIVASRKQ >PAN36321 pep chromosome:PHallii_v3.1:6:43023526:43026803:1 gene:PAHAL_6G274000 transcript:PAN36321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRSSGRKRSVRDRLGSGGGSRSRSDDAKRFRRDDGTWRRELYKDSGGTQTSSGPTSRNLQSNKKSQVEQRIEVVKKSSVPDLREKLSGIPSQRPQLSSTVQVPKPAREIVNSDKPVQKRDPPPTAAPPVIKKVSAPAPIPAPPAPQQSQEKVDASLESLLKSLDLEKYLINFQAEEVDMKALAYMNEEDMKSLGIPMGPRKKILSALVHKKRKSSKSLPTS >PAN36353 pep chromosome:PHallii_v3.1:6:43177600:43179875:1 gene:PAHAL_6G276400 transcript:PAN36353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSASSSSSPLDAGQERVMAAAKHIVKSLAVSKNAADDMMHFLSTFDPRLHPLSSPEAGDEASDSGPDDAPGRGGEEEEEEIAAAEEVIRRCNSSSSSSELIGMMDYLYAVDDAIAAAGHSARAAAAVHTAMPRLEEEVRSLLSSSLRRLSLSSDDVDEATPSASPRHGTLSPDATASVRCVADRMLRAGYGPELAQVYVSVRRDALAESVALLGVEAVAIEEVIRMEWNVLDQKMRRWSHAVRAVVRTFLAGERLLCDEVFESDKELGHECFADVARGCVLQLLGFADAVAVSARATEKLYRTVGMYEALTDVQPELEALFSGDGAREFFAGEVSSTVEQLGSTLRHTIEEFGHAIHGEASRKAVHGGEIHPMTRYVLNYCGLLADSHGTLDVVLGDAGLDDADEASTNGGATSTPSARCIRELLTLLLHKINDKSRLYDDAGLQNIFLMNNLYYVVQKVRESPPLRELLGDDWLRRHRGQIRQYETAYLRASWMAVLSTHLRRGDDGAASRPAAGHRAPQGASAKGFNAAFQELYRAQTAWKVTDPQLREELRIAVSERLIPAYRAFLGQGSRHPARHVKCSLEDLENYMLDFFEGVPKFVRW >PAN35074 pep chromosome:PHallii_v3.1:6:33959719:33964701:1 gene:PAHAL_6G178200 transcript:PAN35074 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MAPHLLLLTPPALTITAAAARGCGGGAGAGSAGPRAPPRARAHSSVSCRRGRRWRRLRVAAAAAAEGADPGAGGPAGAMRLNEYMVAVDRPLGVRFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGASEQGLVTIKDLGDTEIALRDKSGPCSLVLERPFAPFPIHQLHQNEDYHILFNRGRVAVASWNSGLLSTKLNESSRGDGKSGFAIFSPRLLSSQGWSFLSSEKGGFNQSSTNLSNRISEIVGLYSDEDDANAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQFSKITEQIFVGSCIQTERDVKMLSETVGITAVLNFQSESERVNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSVCFVWNSGREGEDVELVGDFTSNWKDKIKCSHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSTALPAETDEHGNVNNVIRVGDIAHIRPAPSQLHIRDPTVVKVIERELTEDERFSLAFAARRMAFAICPIRLSPKQ >PVH36829 pep chromosome:PHallii_v3.1:6:33959895:33963459:1 gene:PAHAL_6G178200 transcript:PVH36829 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MAPHLLLLTPPALTITAAAARGCGGGAGAGSAGPRAPPRARAHSSVSCRRGRRWRRLRVAAAAAAEGADPGAGGPAGAMRLNEYMVAVDRPLGVRFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGASEQGLVTIKDLGDTEIALRDKSGPCSLVLERPFAPFPIHQLHQNEDYHILFNRGRVAVASWNSGLLSTKLNESSRGDGKSGFAIFSPRLLSSQGWSFLSSEKGGFNQSSTNLSNRISEIVGLYSDEDDANAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQFSKITEQIFVGSCIQTERDVKMLSETVGITAVLNFQSESERVNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSVCFVWNSGREVSSFGAEVLLR >PVH36261 pep chromosome:PHallii_v3.1:6:2496579:2497701:-1 gene:PAHAL_6G034300 transcript:PVH36261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSAGLAEPTRDPEHDTKTVDLIPRRRAMNFSLLQVRSDTAALVPSSTARPIARRAARSTVQMVPRRAGRQRAPRCSRSASPAAAAAQASRCAPFRRRTRARIRTTPSGSTLAFSPAAPPLQLEPLPAPESAANATSTRSSSKSSAATRGPTPSPPLTPRCSSPRSDEAREQTKAARNPGERRAAVGGDGS >PAN33727 pep chromosome:PHallii_v3.1:6:2938646:2942262:1 gene:PAHAL_6G040800 transcript:PAN33727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MAMYB [Source:Projected from Arabidopsis thaliana (AT5G45420) UniProtKB/Swiss-Prot;Acc:Q9ASQ2] MEFVDPWDTQSRARVVHSGANSTSSPSSTTAGASPSLPHAASCAAAAVALLAAAYYLPPAYQILSSLLVWVASSLLLAPFAPSSATGGDFSVGRGRLLPAQEPAKEPVPEPAPAPRRARRQNPAPAPAKPSDPVAAPVQPAASLQSLQKAAGAGGTVVDGGEREEDAGEWTDQELELLRRQMVKHPAGEPQRWEKIAAVFGGRRTPESVIRAAKSGAAAAGGGSFEQFLRKRKPLDPRAEAADAGDNAGGGESADGAWSAGDDRALLNALKEFPKDTAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSTKAAS >PVH37418 pep chromosome:PHallii_v3.1:6:45599614:45599841:-1 gene:PAHAL_6G307900 transcript:PVH37418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSASPAVDWGDDSAGEMDSEDTAAAASMGMVGIASMMEVDADDRHPPSAAPSLPIDADFFNAFPDDFDDQDLD >PAN33554 pep chromosome:PHallii_v3.1:6:2119532:2120071:-1 gene:PAHAL_6G029500 transcript:PAN33554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVAAVLVSLAALLVAGGEACNNVPSMTSYDACIKLSNTTERWHALCRETLPNAPATAELTVYALVATRLARQRYRNAVAEMDQMLGTGKLPAGEKASVDHCKAKYGEAGRLMAGVADQLFACDFSRVRQEYIDAQVAVGSCQDGLWAYRGLPVVAMVTADYDLTMVSYLLGALIVGR >PAN36592 pep chromosome:PHallii_v3.1:6:44759112:44762952:1 gene:PAHAL_6G295200 transcript:PAN36592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSSRRPLAAVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDTATLAATLFVIYMIRFKLRSTYMLDKDNFKLYYVVVPCAVLTFFAHPTTSHNIVNRLCWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >PAN35079 pep chromosome:PHallii_v3.1:6:34114612:34119457:1 gene:PAHAL_6G178700 transcript:PAN35079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREEKKTIAPEHVLKALSDLGFREYIDEVYAAYEQHKLDTLDSPKASKFTGIEMTEEEAVAEQQRMFAEARARMNNGAPKPKEPEQEQQQQQPHPQLQLHTPPQQPMQPQLQLHSPTQQSLQPQLQLHPQPPQHPQAQLHSQSQQPQVQPQQPPQVQLHPQLQQTPQPQQPPQAPLHPQPQQTPQAPLHPSPEQPEPQVHLQSHELPQAQLQPQLQAQPQPQAQTEHGGDS >PAN35080 pep chromosome:PHallii_v3.1:6:34115330:34119457:1 gene:PAHAL_6G178700 transcript:PAN35080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREEKKTIAPEHVLKALSDLGFREYIDEVYAAYEQHKLDTLDSPKASKFTGIEMTEEEAVAEQQRMFAEARARMNNGAPKPKEPEQEQQQQQPHPQLQLHTPPQQPMQPQLQLHSPTQQSLQPQLQLHPQPPQHPQAQLHSQSQQPQVQPQQPPQVQLHPQLQQTPQPQQPPQAPLHPQPQQTPQAPLHPSPEQPEPQVHLQSHELPQAQLQPQLQAQPQPQAQTEHGGDS >PVH37309 pep chromosome:PHallii_v3.1:6:43966168:43967839:1 gene:PAHAL_6G285200 transcript:PVH37309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYQQGQHGQPTTRVDEYGNPIPGGHGIQEQAGGYGATGTGSYDAGGYGGQQAGYGPTGAGTHDVGGYGGSGQPGFGATGTRVHDAGGLGGYGATGTGPHGTHGITGIHDARGVGGGHTGAHGLAGTGTHGTGHGTHGATAGVTGMHGVGGPTGVPAATGMGAHGTGHGAGGVTGTHGAATGAALPHGAEHKTGGILRPSGSSSSSSSSSEDDGMGGRRKKGLKEKIKEKTPGGLKDNQGQATTPGAYGGTTGYAGSTGAGATGGTYATTTEGAHEKKGMMEKIKEKLPGGHKDHDQHHTTASGGYGPGRTGTTDTYGMTTEGTHEKKGFMDKIKEKLPGQH >PAN33935 pep chromosome:PHallii_v3.1:6:4291664:4292739:1 gene:PAHAL_6G057800 transcript:PAN33935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLFLHCVLLALIACGAVASDPSPLQDFCVADKDSPVRVNGLPCKDMKDVKVDDFFLAANLDKPMDTTLNKVMSNVTLINAMKLPGLNTLGISMARIDYAPRGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALNSQNPGAITIANAVFGSHPPIADDVLAKAFQVDKKVVDWLQAQFWENNHN >PVH36685 pep chromosome:PHallii_v3.1:6:24238524:24239606:1 gene:PAHAL_6G142200 transcript:PVH36685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRIPTTSPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVVSVVIWVFVVILAIVAFHCPLPRRVVRQ >PVH36588 pep chromosome:PHallii_v3.1:6:14731417:14736948:1 gene:PAHAL_6G112300 transcript:PVH36588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGSWRAHLPWGSRQRVVRRIFEVLKRSFPADLTEGLIDLQRVAERLEWRIYSVARDQNEYLQRISLRVLYFSSESPLLLEPRPTQMALPSNELSHRREVDHSDTEEDLLDRDRPRSHKKLCEDDRLSDLPDSMLHHIMSFLSAKEAARTCVLSQRWRLLWTSAPCLDISIDQFGNDRVRFSKFVEHLLQSRAPASLDTFCLHTCALDRACNWIDHAIKHNVRVLEFTEDARWEPLYLDPQCLAFSSEFLTCLKVTNVALDGSVFDPLSRTCPSLETLQLIGSFFEVSEISSNSLKRLDIIGCFFSKDLMIRTPNLISLCLESPQCKCAWFNDPSKTTAAITL >PVH37253 pep chromosome:PHallii_v3.1:6:43180449:43183638:1 gene:PAHAL_6G276500 transcript:PVH37253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Moco containing protein (Moco containing protein(OsMCP)) [Source: Projected from Oryza sativa (Os08g0530400)] MPGLTAPSDYAEEPPRHPALKINSKEPFNAEPHRSALVTSYITPVDFFYKRNHGPIPKVEDLSRYSVHISGLVNKPIQLSMADIWALPKYNVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIAKLSSVTSLGGKHVEFVSVDKCKEEKGGPYTASIPLKQATDPDADVLLAYEMNGEVLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFTQKDYKMFPPTVDWDNIDWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDISVDGGKTWVEARRYQKDNVPYVSDGPQSDKWAWVLFEATLDIPANAEIVAKAVDSAANVQPENVEDIWNLRGILNTSWHRIKIQNSSCVGRSKL >PAN34831 pep chromosome:PHallii_v3.1:6:20716464:20717234:-1 gene:PAHAL_6G132200 transcript:PAN34831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGKSAMEATKEAAANLGASANAGMQKTRATVQGQVEKATAHNASDKAAAEATQRERVRAAEEEKQAAMRANAGAKERACGAHPSQGAPGIVDAARQQGHGAAPAGGHVEAGVGETRPVARATGTTRASAAHNPHVGSDFSQARGTGGQYQ >PVH36379 pep chromosome:PHallii_v3.1:6:4778509:4779943:-1 gene:PAHAL_6G063300 transcript:PVH36379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRGFEVRLLGHRRGKSHGAVHELHDPVPVVQSSCWASLPPELLRDVIERLEASEDTWPSRKNVVVCASVCRTWREMCREIVKNPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSTQIYYLYLCLSSSDDDDEKFSIADEPEAEQVLVATKRQSRRNTPVPSKYNGSDSPSLPEIVNDQRL >PAN35104 pep chromosome:PHallii_v3.1:6:34742218:34745166:-1 gene:PAHAL_6G181700 transcript:PAN35104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAVKMWVLMALMMMCAGVGLGAPDGGAAAGDGLGSLSSPNYNDALAKAIMFFEGQRSGRLPANQRVKWRGDSALNDGQAENVNLTGGYYDAGDNVKFGFPMAFSVTLLSWSAIEYRDEVAAAGQLRYLRSAIQWGADFLLRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITRSSPGSEAAGEAAAALAAAYLVFRDDRDKTFATQLLAASRSLFDFANNYRGSFQSSCPFYCSYSGFQDELLWASAWLYRATRDRKYLDFLQNNQGGSSNMFSWDNKYSGAQMLATQEYLAGRTELVGYKRGLDSFVCAVMPNSGNTQIRTTPGGLLFTSDSVNMQYTATATLLLFIYSKTLSSSGSGTVQCSGASFSPDQISSFAASQVDYILGDNPMGMSYMVGFSSKFPKRIHHRGSSIPSIKVLPRKVTCNEGFSSWLPTSDPNPNVHVGAIVGGPDGNDQFPDNRGDSTHSEPATYINAAFVGACAAALGQNYVQGHVDDIASVISSN >PVH36371 pep chromosome:PHallii_v3.1:6:4637237:4642371:1 gene:PAHAL_6G061500 transcript:PVH36371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMIEERITLESLMVHFWHVLCTHKGKVLKKGEGGIPAATAEAEALFNSSCCRTPRRLRSAMMLPGRLLLAGLLRSASTASSAPRMPKNMRCWDRFTWSSCYFHSTIDNTSSKAGLTAKEQLDPLALIKHEVSEVTDRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAISMEMADGLENRPRARHMRVAEITEMIHIASLIHDDVLDDADTRRGMDSLNFTVGKKLAVLAGDFLLFRAFTAAVSLDNTEVLSLLATAVNNLVTGELMQMSITPAQRCSMDYYLQKTYYKTAALISNSCKAIAVLAGQTTEVQALAYQYGRHLGIAYQLIDDILDFTGTSASLGKASLSDIHQGIVTAPILFAIEEFPELHEIVEKGFDDPSNVEMALKYLSKSQGIERTRLLAAEHAKLAADAIDGLPEIEDQVILDSRQALKDLTQKFMRRTK >PAN33974 pep chromosome:PHallii_v3.1:6:4637237:4642371:1 gene:PAHAL_6G061500 transcript:PAN33974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAISMEMADGLENRPRARHMRVAEITEMIHIASLIHDDVLDDADTRRGMDSLNFTVGKKLAVLAGDFLLFRAFTAAVSLDNTEVLSLLATAVNNLVTGELMQMSITPAQRCSMDYYLQKTYYKTAALISNSCKAIAVLAGQTTEVQALAYQYGRHLGIAYQLIDDILDFTGTSASLGKASLSDIHQGIVTAPILFAIEEFPELHEIVEKGFDDPSNVEMALKYLSKSQGIERTRLLAAEHAKLAADAIDGLPEIEDQVILDSRQALKDLTQKFMRRTK >PVH36370 pep chromosome:PHallii_v3.1:6:4637237:4642371:1 gene:PAHAL_6G061500 transcript:PVH36370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCWDRFTWSSCYFHSTIDNTSSKAGLTAKEQLDPLALIKHEVSEVTDRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAISMEMADGLENRPRARHMRVAEITEMIHIASLIHDDVLDDADTRRGMDSLNFTVGKKLAVLAGDFLLFRAFTAAVSLDNTEVLSLLATAVNNLVTGELMQMSITPAQRCSMDYYLQKTYYKTAALISNSCKAIAVLAGQTTEVQALAYQYGRHLGIAYQLIDDILDFTGTSASLGKASLSDIHQGIVTAPILFAIEEFPELHEIVEKGFDDPSNVEMALKYLSKSQGIERTRLLAAEHAKLAADAIDGLPEIEDQVILDSRQALKDLTQKFMRRTK >PAN36100 pep chromosome:PHallii_v3.1:6:41830506:41831501:-1 gene:PAHAL_6G257400 transcript:PAN36100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPLLSRAPSPLLTAASTSTTASSPPAPPQALRLPPPSKPPLATTLVAAAAAGLLLLSPAPAPSRADPEFKVYYGTAASAANYGGYGGNASKKDTAEYVYDVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEKEYLTFLSGIRALAPLSAVLNNLALSDVGLQDQIASADDVTSAERTDGAGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNPEWGRDEAVLRRLHESFRTIQPGAPPPAAES >PAN35203 pep chromosome:PHallii_v3.1:6:35961948:35962859:-1 gene:PAHAL_6G189100 transcript:PAN35203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASMSSKPASSVTTTSRRRGSATSPIDTTSSASSSSSSRTASTSTSTSAAMSTRNGTSGTAMHCSPAAMPCC >PAN34157 pep chromosome:PHallii_v3.1:6:6125119:6126168:1 gene:PAHAL_6G076300 transcript:PAN34157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCTAPRVRGQHTFTIKDYTFNKGLGVGQFIRSKTFSVGGFVWSIRYYPDGINKKNEQYISLSLELMSKNAHMRALYAFRLKTTSVLVSQWSCVEEPKIFIPESTRKCVSSKERFVERRYLEASPFLESDHLVIECSIIILKDPLVSETGTTSEIVLPPSELSKDFEKLLELKQGVDVTFSVKDNFLPMEGYDDNEKKEIVHHLLRAADRYSIERLKLACESFLCMNLDVETVTATLALADQHGCNNLKDACLKYIASPDKMEKVMASEQYDGLKRKFPNLLVDILEGVCKFRKI >PAN33539 pep chromosome:PHallii_v3.1:6:2011361:2015287:-1 gene:PAHAL_6G028100 transcript:PAN33539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G54580) UniProtKB/Swiss-Prot;Acc:Q9FIU6] MAAAAARSGFRRMFSISAFAPPKPPAPRPQADPSPNLFVSGLSKRTTTEGLRDAFAKFGEVMHARVVTDRVTGFSKGFGFVRYATTEEAAKGIEGMDGKFLDGWVIFAEFAKPKPPPREAEMNSQPPQSWGPPSNSWCSQ >PVH37029 pep chromosome:PHallii_v3.1:6:39321836:39322681:-1 gene:PAHAL_6G225100 transcript:PVH37029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILPVAVFMTANPDSRCGSGFATDIGAQSVVAGRGQRPGLLGSAPKARCSSQAMQMICGSRYTGPSGQSTDVWSRYEVDDGKLSADFTSSEARRALVIRYSGRLLPLSTRATSRAARQIRSNSRAAAALLSKQGKHGTNSGHSWCSGGGAEPSGRRRRCRADDGPAGERLAFPLPLRCPLARRRRAAAFNARRPAGATSATCLSGALSGCAVLPICPAQVGGPARSTVGLRPRHVAQGSDTRIGQGARRPGQQKGGTGSEGISPWAREYRMEAAVSPFFL >PAN33395 pep chromosome:PHallii_v3.1:6:1393853:1398027:1 gene:PAHAL_6G017500 transcript:PAN33395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEALVAHIQGLSGSPEEVAHLHSLLKQADGDSLRAHAAALVPFLAHLSPGAHSLGYLYLLEACATSGANLRDFGGGDFLVTMADFLTACSSDQIRLAPDKFLNVCKVLKDQVMQINRPIRGIAPLRAAVRKIQASPEQLTPVHADYLLLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFPKALELLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSATAQRNLRNHAQIYVDLCTSYGNGRYSDLEIFIESNAAVFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIARSVQLETPRDAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVEHIDSSIQRLTALSKKLASIDENMTCDPAYLLKTGRDRGRFDYEDFDTVPHKYF >PAN33394 pep chromosome:PHallii_v3.1:6:1393853:1398027:1 gene:PAHAL_6G017500 transcript:PAN33394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEALVAHIQGLSGSPEEVAHLHSLLKQADGDSLRAHAAALVPFLAHLSPGAHSLGYLYLLEACATSGANLRDFGGGDFLVTMADFLTACSSDQIRLAPDKFLNVCKVLKDQVMQINRPIRGIAPLRAAVRKIQASPEQLTPVHADYLLLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFPKALELLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSATAQRNLRNHAQIYVDLCTSYGNGRYSDLEIFIESNAAVFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIARSVQLETPRDAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVEHIDSSIQRLTALSKKLASIDENMTCDPAYLLKVSSTGRDRGRFDYEDFDTVPHKYF >PAN36051 pep chromosome:PHallii_v3.1:6:41533010:41533822:1 gene:PAHAL_6G253700 transcript:PAN36051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANDTSEQLPAKILNKEHLVYVEAVPSLCDDQLVWREDGVPLNRSHTDPDECT >PVH36522 pep chromosome:PHallii_v3.1:6:8614743:8623986:1 gene:PAHAL_6G091900 transcript:PVH36522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARNAVSWSAMVNGYVQAGDGMEALEMFARMQAEGVCPDDTVLVGVLAACAQHGALEQGKWVHGYLKANGTRITLFLGTALVDMYAKCGEVQLAMDVFETMKDKNVLAWTTMIKGLAIHGRGSEALALFLQMESSGVRPDDIAFIGALCACTHAGLVDKGRELFDSMVMKYGIKPKIEHYGCMVDLLARNGLLDEAKEMIQKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWILLEPDKSGAYVLLANIYAASGRHNSARKIRHLMREKGVDKTPGCSTVEIKGIIHQFIVGDLSHPHIKDILTKWHEIDSRIRLEEGYIPDKKEVLVDIEEEEKEDALRRHSEKLAIAFALISTSDNMPIRIVKNLRVCHDCHHVTKLISKVYGREIIVRDRTRFHLFKDGSCSCKDYW >PVH36333 pep chromosome:PHallii_v3.1:6:3792844:3793071:1 gene:PAHAL_6G052100 transcript:PVH36333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHKHSRIRGAMVARLTPDQKVACSIHVGFKPPDPSPDIFCSSKFFVHRILHLVSSFGLDLFIMDAKNPILPFI >PVH36721 pep chromosome:PHallii_v3.1:6:26548208:26561095:-1 gene:PAHAL_6G150900 transcript:PVH36721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGLIHHAGRGGRPDAGAVEDAPRGGGGLGLQGHGGGPSVVLTADPKPRLRWTADLHDRFVDAVAQLGGPDKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGRQSGKEMTEQSKDASYLMEAQSGTNLSPRGSTPDVKESQELKEALRAQMEVQRRLHEQVEVQKHMQIRMEANQKYIDTILDKAFKIVSEQLSGFSISNQDLPELASAGVMFSSTDPLSPSVFHQLSVSSVSLHSPGGGKALPHVSIDISQKAPELKRKSR >PAN35786 pep chromosome:PHallii_v3.1:6:40156861:40158273:1 gene:PAHAL_6G234200 transcript:PAN35786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDMLNSHPEAQLELMNAMLQLEQLTAFPDHHGAMMMVPSTPPSPPCMQQQQQQAHHHLSSSVPHHMSAGGANGRTTYHDHHQYSSAVTSSHASCNGSARSEYAAQDYPGYGGAGAGEGNGGGDGATAVGSSAMREMIFRVAALQPVNIDPEMVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAASGRRPADDGGGAPYPDRISGQW >PVH36852 pep chromosome:PHallii_v3.1:6:34984922:34986202:1 gene:PAHAL_6G183000 transcript:PVH36852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKFAASQTVTFNVKSDSYTTFISNLRGALAGSNPDNVRDRPVLAKQTGETKQPPKWIHVVLNGDDGAAPKVAIRSDNVYIAGFANRPKGSTEDVWFQLSPKDCKQPLFKGAKMLGFDGHYKTLVGDPGVTNLPKLELGMERTLEATNVLWNYKQDKLEYTAADALGDPTQNLKRKLALLAVTLCEAARLEPVRSVINGGWQRQSISITDREVGYIRDWGDLSTALLAWKADKFKNDTTHFSKFAGIGILDGNGALAVVQLLLNKPPKKADEELPADAAGEEISQSLEAESENRINNPL >PAN35686 pep chromosome:PHallii_v3.1:6:39461088:39461540:1 gene:PAHAL_6G226600 transcript:PAN35686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPFFVAFSVILLLGRYLPFALPANARASLANGAAAPPARAAKCAISVAVAGLALLVSSTQCVSASGGGRPQQQQCPAEAAMEARALWLNSAALFLGMLLGAASVALHPPARAPPAVEVAVEHLTGVTETIAITAFAHDLCILLKTSKV >PAN35684 pep chromosome:PHallii_v3.1:6:39453886:39457910:1 gene:PAHAL_6G226500 transcript:PAN35684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPRPRSPPRYPDLCGRRRLQLEVQILNREVGFLEQEIQGLERIQPVSRCCKDVTEFVGEKADPMIPVSKRKHGSCSLYPWIRSKLHTCFSCLCCCLPKLNAPGCFGRSCCAGRDTVCCTPSCSCPKAPSCCGACSCKPGCGGHCQPPCSGCCSGDCSCAGGPCSCPRCCGCLGAVGRCLSSCCSGLRHPCCKCQSACCEGEPSCRGKGACCRGSCLGGPAAAPSCPECSCGCLCSCPRCRGGCRCPPCGNNPCCAGGCLC >PAN36017 pep chromosome:PHallii_v3.1:6:41323898:41325781:-1 gene:PAHAL_6G250900 transcript:PAN36017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKVLAKSGSFQEKATLGHSFQRSNVIEEIILSSSKSNGDQFLALLCASTSTARKAKEPDQSPAEAAAVAISEPAAKIETINVSELLAGLEEENVVEQGGREDGDRPPARCVRDGGAAGRARSFRTVEDFDALLTQGGSSERAAEREEPRTAAAATAEAPKSGGGGSRRDVPAGAGGEAEAAGARRRARARQLGEMKVPAAFDFSKSGSLRDWLRQSGQTFSPGSYVTPKFGAAPAAPAEHGGGGKAGNEPPPPQEHALFDPELVAQFERAMEQLSEDEGRVLDEILEALELEAGEKDGTAALEFGRAGNGQPAAVAAQQV >PVH36408 pep chromosome:PHallii_v3.1:6:5345940:5346825:-1 gene:PAHAL_6G069800 transcript:PVH36408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIGFVPPLPPWLVGSDLRRIHRAFFPSARNLLPSIRITGDPILPPQSPFLAFSGRRPRSPPHPRLAAAVAVAVAVAASLSDLASRRLLPLTQRLHLLRTSRSPSASTSCEPAARPAPPPCRPPSASTSRPSTSPGEPHLRSAHDFPGHRHLLHRGVRTAARRFPSLNLHLAHARPSLHPLGVDPLFFPAIRFSLRADRISLL >PAN33257 pep chromosome:PHallii_v3.1:6:441477:442668:-1 gene:PAHAL_6G006300 transcript:PAN33257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITKNALMLLLLAALPLAALSSRAGPSAHKSHGHGHGPRHGHPPPPSPLPPPPAAAPPPAAAALVRATCNSTAYPDLCVSALGADPSSATADLRGLSAIAVSAAAANASGGAAAAAALANGTAPEGTAAQAPPSADAAVQALLRTCAAKYGQARDALGAARDSIAAQDYDFASVHVSAAAEYPQVCRALFWRQRPGQYPAELAAREEALRQLCSVANDIIALLSNSS >PAN36425 pep chromosome:PHallii_v3.1:6:43484483:43485190:1 gene:PAHAL_6G281200 transcript:PAN36425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIERLESQAAAVTVETTMKTASAADVRTSAALRLLALAASLAAAVVVATNRQDRWGITVTFRMFAVWEAFAAVSLACAAYSAVTAVFVKRLVSKHWLHHADQLAVNLQAASTAGAGAIGSVAMWGNQPSGWFAVCRLYRLYCDKGAVSLALAFVSFVALGAAATLSRFPARPPPPPPASR >PAN34268 pep chromosome:PHallii_v3.1:6:6472647:6473801:-1 gene:PAHAL_6G079700 transcript:PAN34268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLPIKRIFEVPAFTTPDGTLHLWPCFHRSPIFTAGGHDWSISYYPESIYSSDSIDLCLQLESEGAGVTISSSVALLDPTPSLPLFKLVEESPPMELEHAITSRSTVTHWVPKSKLSAVPVGDRDSLIFVWAITILTQTPMPVPKPELMPMPLPLPLPLPEPEPMMAAMPEVPAEGVAPPSPVPATTTDVAPEAKVPAADVTYSVGGQLFHAHKVVLATRSPVFEVQLFGAPTSTAEASQAPAAAVEVDDMRPDVFEALLHYIYTGTLPATEGEAADDEDASHQMMRHLLVAADRYDLEGLKLLCEGELARTLGEGNVAEMLAFADNHYCSTLKDACVGFMVASPAERMERVVASYGYQRLRLRHPLILVDVLEKSLMFRKA >PAN35595 pep chromosome:PHallii_v3.1:6:39000198:39000747:-1 gene:PAHAL_6G220200 transcript:PAN35595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVGGADRRVEVQLEGRIGDAEVQSEGRIGGAEVGGRGAAGASNRRCGRSNGGWMEAARRRILGAAAALFSSPLSFLSLPLSRFSAL >PVH37207 pep chromosome:PHallii_v3.1:6:42863846:42864834:-1 gene:PAHAL_6G271100 transcript:PVH37207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSGSLEFKLDYSGTKILGVGEAVYSENFSAGGHLWRMKCYPCGRFRKEDNVEYLSIYLELISESKNVKAIFDVFMMGYPAQFVKRSDLESLYVTNGGRATIMCVVIVVRDDPLDVPPSDIRRHLGALLGSADGSDVSFVVGGEEFPAHRAVLAARSPVFKALLLGSMAAARMASITLHDIAPATFKTMLRFMFTDDMLEDLLAAADRYALDRLKLLCARKLWDSTSVDTVASTLACAETYSCPELKKKCIDFFADEKNFKKAVLTDGFAQLVHKFPSILAELREKVGA >PAN35924 pep chromosome:PHallii_v3.1:6:40928874:40933875:-1 gene:PAHAL_6G244600 transcript:PAN35924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSVASKMAFFPPNPPSYGVVDEEEPPPPPGAAQGTNSTAAAEDARKVATRRVVMTGVRWSVGVEARRVRTRRGSEIIAMYVRHPGASLTVLFSHGNAADLGNMHRIFVELSARLHVNLMGYDYSGYGQSSGKPSEANTFADIEAAYKCLVDVYGTREEDIVLYGQSVGSGPTLHLAVRLDHIRAVVLHSPILSGLRVLYSVKKTYWFDIYKNIDKIPHVKCPVLVIHGTKDDVVDWSHGKRLWELCQQKYEPLWIEGGDHGNLETFPVYTRHLKRFLSAIKKLPAEKEAAAESGKSPAENKTPSDDIAISEVPSMISRRLEPSRKTAIHEQPMLGTEHVDKRRRSTGHREKARSSTDRKEKSRRSVDCFDRIDELEQTEKPRKSFDRIGEKIRSMGLCNVDCFKEPSHSTEPCRGH >PVH37110 pep chromosome:PHallii_v3.1:6:41172350:41173743:1 gene:PAHAL_6G248200 transcript:PVH37110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISSPSRSRCALHLMLPLALCFFSGAPRRADSARVFTIVNQCETVIWPAVTPASESFGGGGGFALRPGKSVSFTAPAGGWSGRVWARTGCRFDASGNGSCATGACGTALRCGGASGEPPASLAEFTLASPADFYDVSLVDGFNLPVAVRPVNGRGNCSAAGCDGDLRQTCPPELAVRAGGRTVACRSACDVFDTDRYCCRGMFGGPGTCRPTAYSEKFKAACPTAYSYAYDDPSSLFTCSNADYIITFCSNRKQGACSYHNNRLVCSGSGRSWPITSRLLLALPLIVLALQISV >PVH36627 pep chromosome:PHallii_v3.1:6:18686467:18687631:1 gene:PAHAL_6G125400 transcript:PVH36627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDDPMELDDQRGQEVAAVVVEQTNIQEVNHVDVSLSMHHNVISIEEVDALGLVNADFNSESSPVREENFLCEDNETTVKMNDAFRTPTKKKHVLEKGMLFDDMEAAHKFYMEYAYSLAFSVRIGQQKLDANGVVIWKRFLCAREGYKREKEEGASGSSSKGRRSRESRCGCPAYIYVKRTLEGKYVIAALYEEHNHAFVTPSKRHLLRSNRSVNEKAKTTLFNCHKASIGTSQAFRLLQIGAGGFEYVGCTKKDLQNYYSDFRHKIKDADAHMFIENLHTLNKLDHNFFFEYEHKPV >PAN33692 pep chromosome:PHallii_v3.1:6:2792326:2795433:1 gene:PAHAL_6G038600 transcript:PAN33692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKASKS >PVH36358 pep chromosome:PHallii_v3.1:6:4371099:4372187:1 gene:PAHAL_6G058300 transcript:PVH36358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLFLHCVLLALIACGAVASDPSPLQDFCVADKDSPVRVNGLPCKDMKDVKVDDFFLAANLDKPMDTTLNKVMSNVTLINAMKLPGLNTLGISMARIDYAPRGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALNSQNPGAITIANAVFGSHPPIADDVLAKAFQVDKKVVDWLQAQFWENNHN >PVH37338 pep chromosome:PHallii_v3.1:6:44528866:44530057:-1 gene:PAHAL_6G291700 transcript:PVH37338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEYVQVQSATEPPPGSATPAEESGRGSSGRPSPPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEAAARAHDAAMLALCRTDASLNFADSAWLLDVPRPAAGSAPAELPPLADVQRAATEAVAGFLRRHGGDAAPQHNAAWSSSPAAVEAPAAAGSVLDNGSLLELDVFGGMDAGSYYASLAQGLLIDPPPTAADCPEDDEDCEAGEVELWS >PAN34921 pep chromosome:PHallii_v3.1:6:16769632:16772128:-1 gene:PAHAL_6G118800 transcript:PAN34921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MSTSMATTPATTHAVARAPAKPPTPRPCCNHLLLLPSTSHRHRRGSVVARSAKKKNPWLDPFDDGPDEEFDYQGMFAGGKQEEDPRPPEDPANPYGFLRFPQGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQMLKDRYPGVLIDVVTSARGKQVYEMCKNVRYATVYDPDDDWPEPAEYTHQLGILKNRYYDLILSTRLAGIGHSLFLFMSSARDKIGYVYPNVNSVGAGLFLTEMFKAPTTNLSDGGYHMYKEMLEWIGRPAKNVPQQPTPPLRVSISKKLRAYVEGKYDSAGVEKGKYVVVHGIASDSVASMKSRGDDDCLLPLAHWAQIAKEISSDNKGLKPLFVIPHEKHREEIEEEVGEDTNILFLTTPGQLTCLINDSAGVVATNTAAVQLANARDKPCVALFSSAEKARLFLPYMEDKGSCTVITSATGKLIDIDVEAVKKAVKDFEPAPSFALAK >PVH36304 pep chromosome:PHallii_v3.1:6:3150409:3151684:1 gene:PAHAL_6G043100 transcript:PVH36304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPDPAEAQRLCKELQLLVLQHLHEQGYKEVAHRLEQESGLYLDTKHLEDLVQCGAWDDAERYLGGFTEGCEDPGSAKIFVAIRKQKYLEALGRNQEPTDGAVHVWEPSTHDNGADPATSAVSGSSTEIC >PAN36181 pep chromosome:PHallii_v3.1:6:42334647:42336654:1 gene:PAHAL_6G264900 transcript:PAN36181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAALLLLLLAVSAAAAAAGRKEKAGEKVCDKGWECSGSRFCCNETISDYFRAYQFEELFAHRNDPQAHAPGFWNYQAFITAAALFEPRGFCTTGGKEMGMREVAAFLGHVGAKTSCGYHEAPGGETAWGLCYNHELSPSQSYCDDSNELYPCVEGVEYYGRGAIPVYWNHNYGIVGNGIKQDLLNHPELLEQNATLAFEAAVWRWMTPMKRKQPSAHDVFVGNWNPTKNDTLSKRYPGFGATMNVLYGDDICGQGSTDKMNTIISHYQHYLDLMGVGREHSGDNLDCGDQVAFNPSSESSDF >PVH36353 pep chromosome:PHallii_v3.1:6:4225723:4228081:1 gene:PAHAL_6G056700 transcript:PVH36353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKMKGVFKGLKVISQIFESSSSFLSVVKEHQMEIGHPTDVKHVAHIGWDSPTGSAASPSWMNDMKGSPDFSSLSSIGPSARTSWASQDFDEPRVISPFGIFPENTSQEATPYPDIPKPPRKSRKKSKTGSPRASARSSRSSRSRSKSSFSSTADTIGASDRQPEIQTA >PAN33922 pep chromosome:PHallii_v3.1:6:4226299:4228213:1 gene:PAHAL_6G056700 transcript:PAN33922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLFSPYHCKVALLVMISSEAHNFQISLQMNDMKGSPDFSSLSSIGPSARTSWASQDFDEPRVISPFGIFPENTSQEATPYPDIPKPPRKSRKKSKTGSPRASARSSRSSRSRSKSSFSSTADTIGASDRQPEIQTA >PAN33921 pep chromosome:PHallii_v3.1:6:4225361:4228081:1 gene:PAHAL_6G056700 transcript:PAN33921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKMKGVFKGLKVISQIFVVKEHQMEIGHPTDVKHVAHIGWDSPTGSAASPSWMNDMKGSPDFSSLSSIGPSARTSWASQDFDEPRVISPFGIFPENTSQEATPYPDIPKPPRKSRKKSKTGSPRASARSSRSSRSRSKSSFSSTADTIGASDRQPEIQTA >PVH36770 pep chromosome:PHallii_v3.1:6:31137718:31138540:-1 gene:PAHAL_6G165500 transcript:PVH36770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQILIWTLPSLSRKCGILHGMRLAVLSTSFEKMLLPLLLLVKCSFVHLY >PAN33309 pep chromosome:PHallii_v3.1:6:971484:974350:1 gene:PAHAL_6G010700 transcript:PAN33309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKELLSSAPWRTGEAAEDEEAARLSREGKVSVTNNPGETATMNVPRSRRQDLDITVDDFDEEEIDPELRYSFQRNSRTKKELPTHRSPLDWVMMMVPAECADCSQTKFLY >PAN33308 pep chromosome:PHallii_v3.1:6:971484:974350:1 gene:PAHAL_6G010700 transcript:PAN33308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKELLSSAPWRTGEAAEDEEAARLSREGKVSVTNNPGETATMNVPRSRRQDLDITVDDFDEEEIDPELRYSFQRNSRFLKRVFSVDTLVKPLPPVMAYSVSRNVNFFFRIFTQFWDEEGIANAQKSLGLGNDDGSRRMR >PVH36836 pep chromosome:PHallii_v3.1:6:34194755:34195048:1 gene:PAHAL_6G179700 transcript:PVH36836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSNAHHMTSLGTLSYAFSKSIKTMCKSFFSSLYLPINYRTKKIASMVDLSGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PVH37340 pep chromosome:PHallii_v3.1:6:44546660:44546980:-1 gene:PAHAL_6G292000 transcript:PVH37340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPRRCAAVDLNANRCGAGSARQREAMPAHDLQTAAKRADSSALRCHRMSSRRWRGRETVPSPGTSLPVCSRPACSPLIGMALASTSGSLCYRRDLVFLLAIVGI >PVH36887 pep chromosome:PHallii_v3.1:6:36277848:36278966:1 gene:PAHAL_6G191000 transcript:PVH36887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQECMEKEDGDGVSQVEREGDGKSSRQPVRRERKKFEGVKARREVGQTSTSRRSRSGHTEMDGLDSLGLKTTMEAGFPVWASKPGADSVRLSVQDRGHVASSWSLLRGEGKL >PAN35423 pep chromosome:PHallii_v3.1:6:37920927:37923476:1 gene:PAHAL_6G207700 transcript:PAN35423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVATAMPAPVFHPTVWGEYFINFTPEPLQISDEKMAERINQLKGDVSGMFQATKNVVETMNLVDVVQRLGIGHHFEEQIATALASIHSAEFNSSSLHEVALRFRLLRQQGFWVSPDEFDKFKNEDGSFVSGIANDPKGLLSLYNAAHLLTHDEGTLEDAILFSRRHLESIQSSLKPPLADQVGRALEIPLPRTIKREEAISFIPEYSSIQDQTYSPEILELAKLDFNLLQHLHQKELKAFTQWWNDLSGEIGLDYVRDRIVECYFWSYTVHYEQANARARMILAKLFVLTSLLDDTYDVHATLEEARELNKAIERWDYGDVSFLPEYLKKFFVKVVSNFSEFEDELEPHEKYRNVYHRKGVQHKPTSLPLQLVVFCVGQNMCQFVCGH >PAN35422 pep chromosome:PHallii_v3.1:6:37920891:37925016:1 gene:PAHAL_6G207700 transcript:PAN35422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVATAMPAPVFHPTVWGEYFINFTPEPLQISDEKMAERINQLKGDVSGMFQATKNVVETMNLVDVVQRLGIGHHFEEQIATALASIHSAEFNSSSLHEVALRFRLLRQQGFWVSPDEFDKFKNEDGSFVSGIANDPKGLLSLYNAAHLLTHDEGTLEDAILFSRRHLESIQSSLKPPLADQVGRALEIPLPRTIKREEAISFIPEYSSIQDQTYSPEILELAKLDFNLLQHLHQKELKAFTQWWNDLSGEIGLDYVRDRIVECYFWSYTVHYEQANARARMILAKLFVLTSLLDDTYDVHATLEEARELNKAIERWDYGDVSFLPEYLKKFFVKVVSNFSEFEDELEPHEKYRNVYHRKGFQTLSKYYLQEAEWFHQGFTPSFKEQVSVSVITAGAQVLSIGLLVGMGDAATKEAFEWVIGNTDAIWACGEVSRFMDDMSAFKNGRNKLDVASTVECYIKEHNVSSDVALAKIGSFVEDAWKTINQAPFKYPALLQVVQRVASLAKSMTLLFLDKRDAYTYSKDFKKTLESHFVKHILI >PAN33836 pep chromosome:PHallii_v3.1:6:3682608:3686038:-1 gene:PAHAL_6G050500 transcript:PAN33836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAGAAGAVRVLAVSRVAPAPGPDGGRAGEARVKLSFFDTPWVVLPPIQRVFLYDLPSGGDDEFPAAVRRLKDSLAATLALYLPLAGKLAYVAETGDVVVDCAGDPGVAFVEAEASDDGGGMNVRRLAGDETHDIPAFLALVPALDTRALPAPVLSAQATRLPGGRGLALGLSVHHAVADGQAVWRFVGAWAAAAREGSPVTKALGAPHYDRAAVHVPNGDGFAREMLKKVAPNLPVTNTAMDYDFSQRFRLERRTFYLAADDIRSLKSRIDALAAAEEVAENAANRNTTATSTNKKKPVSTFVALAALGWTAFVRAKGLAAGDDTYLVFLADLRARLDPPVLDGYLGNCIKGCLATADAGDLLGGDRGLLAACRAIQAAVAEMEAAPLAGTERWIQRMMTLPFQRLCNVAASPRFRVYEASDFGFGRPARVELVSMNHDGEMVLVGGRGDGEVQVSVSLDPARMEEFKAHVLDRRSSAPPAPAS >PVH36432 pep chromosome:PHallii_v3.1:6:5552538:5553889:1 gene:PAHAL_6G072200 transcript:PVH36432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGLSLNRVELIWCSDQVSSRKRRNGVTVLGCDHDLTCFLFFIYGWPACIHHMARHLFSHMVAPSLRSFLRCEARFISFCSFTVALSQWWHLPVDPSLLHPLPQKLAPKFPRRI >PAN35701 pep chromosome:PHallii_v3.1:6:39544654:39546528:1 gene:PAHAL_6G228100 transcript:PAN35701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGCINGLRFKHLKLATLAFFMLFLLWKWGKGTYYGSGVLRPDPLFFTDPANSKFVDQHTSTEADFQSADPLPQSVVKVKKQVTGAPPPLTTGYSVDVADENEVPPPEKKECNYRNGKWVFDSLRPLYSGFGCKHWLSERWSCRLTQRTDFAYEKFRWQPEACEMPEFEASLFLRRMQDKTIAYVGDSLGRQMFESMMCMVTGGKQRPDVEDVGAEYGFVLAPAAERPDGLAYRFPSTNTTILYHLSSTLCELEPLDPSDRATSYAMHLDRPAAFLKNNLHRFHALILNTGDHWNEWNLRSNKWEMYLGGAPNSNRDIAVIRNAKNFTIHSVIKWLDAQLPHHPQLKVFYRSLSPRHFFNGDWNTGGRCDGTNPLPKGSGVHRNHSEDAEAESAVRGTRIGLLDVTALSRLREEGHVSRYRIGGTQGVQDCLPWCLPGVPDTWNGILAAKL >PVH36537 pep chromosome:PHallii_v3.1:6:9181349:9182316:1 gene:PAHAL_6G095200 transcript:PVH36537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENADWNEENTRLLCELFAEQVRAHNRSGTHLNRTGYKNVMEKFKEKTELDYSKLQFKNKWDKMRKEYGNWKRLSRETGLGWDPVKKTYTAPDSWWKKENKVYKGIAKFKDGPLQHEDLKTIMFEDIRNTGDDHWSPSSGAAPNTQDTEPDDDKDEDYEANEASDDCHEISPEPSKGKRPAPTSRKDKGKKPKTSGGHWVQDQLTKLVSMSERSTASCESLARREDTSGCSIKDVMVLVRECGAVPGSKEHFIASQVFIKQAEREMFMTLETPEERFQWLTMKHNWLTRNDSTM >PAN33399 pep chromosome:PHallii_v3.1:6:1405354:1408817:-1 gene:PAHAL_6G017800 transcript:PAN33399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGGRRRRKPRPRARGKGKKTKYLSLSDLLVKAEVGTPPRSPGDEPAPAWEEAEVKRGDGGDGGGQQQQQVEQFALHHEASTLFAALPAPSLSDILGASAGGVGESPSPSASPDGGSGFTGAEEEDLARRALRGRERWVYCSSGSSPTATTATTSSSSPCSSAASTGASARSLLLKLDYEEILAAWADRGSLYIGGGGGGGADSDAAPELGLDAVLVEVEPSENAAGAPAACAWSAPEMAPAGPERAEKVRRYKEKRRNRLFAKRIRYEVRRVNAVKRPRFKGRFIKEHEESELQPT >PAN36732 pep chromosome:PHallii_v3.1:6:45418939:45423851:1 gene:PAHAL_6G305700 transcript:PAN36732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTGYSPAGSRLVTTPLGAGAGAALRRSPQLLRPRRPPLATVRCSVDTAKQVQDSAATVAAEQKASRKECFGVFTNTYDLKADSKTKSWKKLVNIAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGMDPYEVFEDVDWALLIGAKPRGPGMERAALLDINGQIYADQGKALNAVASRNVKVIVVGNPCNTNALICMKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKIDGRPAKEVIKDTKWLEEEFTMTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVTPTPEGDWFSSGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELATDVLMDDFLWERIKKTEAELLAEKKCVAHLTGEGIAFCDLPEDTMLPGEM >PVH37086 pep chromosome:PHallii_v3.1:6:40645466:40646837:1 gene:PAHAL_6G240300 transcript:PVH37086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSALIALLVVVSCAAAASAAPWTVGDAQGWTLGGDYATWARGKNFSVGDTLVFNFATGNHDVLVVSKSDYDNCNTGSAMNTIQTGPATVTLSSAGDHYYICGIGTHCSGGMKLAVNVGSGSGSPSPSTPSAPGTPTPTTPAGPSPSAAPARRAAGPALAVAAGVLLKLAMF >PAN36601 pep chromosome:PHallii_v3.1:6:44819025:44821697:1 gene:PAHAL_6G295900 transcript:PAN36601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGVDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRFIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTKKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >PVH37267 pep chromosome:PHallii_v3.1:6:43386348:43388274:-1 gene:PAHAL_6G279800 transcript:PVH37267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTIPHPQLLSDFHNPSPIYMTPLLVLNELCLCEHVKICDAVCVNSWVLCCLLLYLSWLWDLFLISEGHLQACALHESSSNNIQEHFIKRTEHDKAIGDINIMLDKGLNELKVLKVNMDKKFAEHKQCFDQAIVILRYELKRDADEQNMKHCVDLLNVKNEMKANDLKMEGNIELRKGELKRLKNLGWFFEASSAIISRFSNLFDYVFKKPGESE >PAN33623 pep chromosome:PHallii_v3.1:6:2505729:2507431:-1 gene:PAHAL_6G034500 transcript:PAN33623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWLFYTLTTLLCLLCSLLLRAGARSSAWGKGHAAGDELPPLPPGPTPLPLVGPLLFLARRDFDFKPVLRRIAREHGPVFTYAPLGKAQPTVFVASRGAAHRALVQRGAAFASRPPVTASSDVLTSGGCSISSAPYGATWRALRRNLAAGVLNPARLRAFSPARRWVLGVLASRVRAAAAGGERPVVVMGPFQYAMFCLLVYMCFGDRVEDARVRDIEATQRELLGNFLSFQVFSFLPKVTKVVFRRRWEKLVSLRRRQEELFLPLVQARREAGADGDCYVDSMLKLTIPEDGDRALTDGEIVSLCSEFLSAGTDTTATALQWILANLVKYPAMQDRLRDEVAGVVGAADGEVREEDLQAMPYLKAVVLEGLRRHPPGHYLLPHAVHEDTMLDGYRVPAGAQINFAVGDIGLNEEVWDAPSEFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFQWCEADGEEVDLTEKLELTVVMKRPLKAKAVPLRLPPTATIAAA >PVH37027 pep chromosome:PHallii_v3.1:6:39314208:39316157:1 gene:PAHAL_6G224900 transcript:PVH37027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRAPQNLSPPATVGSQSARTRRSTSLLRLAASRLHGYGGGGGGGRKKRATKGSKKKGMARDVVAELTDDVLVDIISRVPVKSLCLCKMVCRRWRNLISHPEHRKRLPQTLDGFFYESYDGNRFPKSARHFTNVSGAGAPLIDPSLSFLPKCESTDIVDSCNGLLLCRCWKPTDPVKMDYVVCNPATEKWVVIPDSGWSSKDTPEGEKLVHVPGYGWSGTGAFYKGRIARLGFDPAVSSHFHVFEFIPDDVWYMDDEEMKENDFDGRMQPSPPKLEFGVSTRTILNGVVSLRCPGIQ >PVH37026 pep chromosome:PHallii_v3.1:6:39314281:39316762:1 gene:PAHAL_6G224900 transcript:PVH37026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRAPQNLSPPATVGSQSARTRRSTSLLRLAASRLHGYGGGGGGGRKKRATKGSKKKGMARDVVAELTDDVLVDIISRVPVKSLCLCKMVCRRWRNLISHPEHRKRLPQTLDGFFYESYDGNRFPKSARHFTNVSGAGAPLIDPSLSFLPKCESTDIVDSCNGLLLCRCWKPTDPVKMDYVVCNPATEKWVVIPDSGWSSKDTPEGEKLVHVPGYGWSGTGAFYKGRIARLGFDPAVSSHFHVFEFIPDDVWYMDDEEMKENDFDGRMQPSPPKLEFGVSTRTILNGVVSLRCPGIQ >PAN34258 pep chromosome:PHallii_v3.1:6:6380462:6380992:-1 gene:PAHAL_6G078600 transcript:PAN34258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPRAMHVSESGLEIQRKLPFFCDLLRRRWSRHLMVPHRPDLCSFPSTRRLAPPPADWPASVLQPSDDWIEFRRAVRYEFAGKPGKNQDFLAVMRGFSLGFFGVDGVVSYLQPLFQGYPDLIRKFNTFLPGGYELRDRQGGGADA >PVH36646 pep chromosome:PHallii_v3.1:6:20292810:20294331:1 gene:PAHAL_6G129900 transcript:PVH36646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPLVDLGTHWLLAGSEPYEPLRVDPRSSPLRPPSPIPSPPLTLTRFLLESRHHRGQTPTPARPPPCCPPLPSGLWPSSVLLQCCAPFPSHQEYQPLYQSLPLCLEPRAYGTTGSCSAHGLTATEIKNFIGQEIVGIQKSKYPIPVATFYYVSLPDVLKLENFW >PAN34923 pep chromosome:PHallii_v3.1:6:16763865:16769668:1 gene:PAHAL_6G118700 transcript:PAN34923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDPEPAPAREKPSAPAAAVEVEETRPPPPEPPGGLVDEKRQSKEEAAAAEVETRPPPETPGAPPAENREKEAEAEAKERKEVEKGMGDETTETKEKRGEEDKGKGEKQGKEKMKEEEAKEKEAAKEKAEAKGKVKVHAVVKVEGTEKEVKPTRRPAGASAETPILAVPVVAVPCFIAPSGFAGQFAMTHQAALASVTAQAQMHLQSPTTSTCSEAPSSPFYITPRSIVPLQQSPSTEGNVCRQLADKPFSSEPKSPHLVNMVADGFNWRKYGQKQVKSSENSRSYYRCTSSGCSAKKKVEHCPDGRVVEIIYRGAHNHEPPPKTRFAKEKVTPISVPSGGETLRLVNTEILESSTPTCKSDHFAVSETCEQQLFCSSDCEGDAGNKSEDEHPSAEPVPKRRVIETTAPNLTPVLRTVREQKIIVQAGKMSDGYRWRKYGQKIVKGNPNPRSYYRCTHGGCPVRKHVEKAPDDVNNIVVTYEGKHNHDEPFRSNSIPVSAISPPVATIEQPNTSTTTSDEKPPTITQKDAYGESDKETTLEFGGEKALESAQTLLSIKTNSEDMKNSVLKETSSAVPVQNS >PAN34922 pep chromosome:PHallii_v3.1:6:16763865:16769668:1 gene:PAHAL_6G118700 transcript:PAN34922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDPEPAPAREKPSAPAAAVEVEETRPPPPEPPGGLVDEKRQSKEEAAAAEVETRPPPETPGAPPAENREKEAEAEAKERKEVEKGMGDETTETKEKRGEEDKGKGEKQGKEKMKEEEAKEKEAAKEKAEAKGKVKVHAVVKVEGTEKEVKPTRRPAGASAETPILAVPVVAVPCFIAPSGFAFAMTHQAALASVTAQAQMHLQSPTTSTCSEAPSSPFYITPRSIVPLQQSPSTEGNVCRQLADKPFSSEPKSPHLVNMVADGFNWRKYGQKQVKSSENSRSYYRCTSSGCSAKKKVEHCPDGRVVEIIYRGAHNHEPPPKTRFAKEKVTPISVPSGGETLRLVNTEILESSTPTCKSDHFAVSETCEQQLFCSSDCEGDAGNKSEDEHPSAEPVPKRRVIETTAPNLTPVLRTVREQKIIVQAGKMSDGYRWRKYGQKIVKGNPNPRSYYRCTHGGCPVRKHVEKAPDDVNNIVVTYEGKHNHDEPFRSNSIPVSAISPPVATIEQPNTSTTTSDEKPPTITQKDAYGESDKETTLEFGGEKALESAQTLLSIKTNSEDMKNSVLKETSSAVPVQNS >PVH36735 pep chromosome:PHallii_v3.1:6:27812399:27813133:1 gene:PAHAL_6G155700 transcript:PVH36735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVRVMEPPEYAYREYIAGGTLRCDTMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAAPLRRLRVLYKRHLQRTPMGFFPPAEGRGRTWVARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKLTQELEEQRTRAASAEYSLATLQAQMQEYENRNGIGGCIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIKTEEESP >PAN34350 pep chromosome:PHallii_v3.1:6:7912807:7916748:1 gene:PAHAL_6G088800 transcript:PAN34350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSASGHRHGRGLLLLLAMVASLSTVLTSQDSQFTWSDCQSSAPPSPAPSPSSSSSTNTTFWSNVVALLDALPSAAAPTGFASLSRGNGTDRAFVRGLCRGDSSPANCATYLRNAALGIRSRCNSSRRAAIWYDDGSGVSVPAPMFCFVSYADTNASTAYEDAFRQPFQNRAEVSDTVTFERAYNELMGRLAARAVNGSGSGTPSPAPMFATGAGVSDPAAPNGTMYDGLLECMRDRTAAECERCLNDSVRLLPSCCYGHKGGLVLAYNCYVRMEIYPYDNLALDGPPVLAPARSMIFVGETQEKKRMNVTLAVAIPVGTVLAVVVIVGVFLYRRRKVNRKKTGPGLSMRDNSSIKEDDIGYVEPEQLNLVVLRAATNNFSEENKLGEGGFGEVFKGTLQDGQQIAVKRLSQDSSQGFQELKNELVLAAKLKHRNLVQLLGVSLQEEKLVIYEYMPNRSLDTFLSDPMRRQQLDWSKRFSLICGIARGLLYLHEESRLKVIHRDLKPSNVLLDADMNPKISDFGIARAFSVDQSRDITRRPVGTLGYMSPEYAYWGHVSTKSDIFSFGVIVLEMVTGRRNNSAYSDTSDSISVLSHVWDKWRAGSMVDVVDPSLAESGYPESEVLNCVEIGLLCVQENPMDRPDASAVVLMLSSPTSTSDDRRAPSRPAFVFSSGLTESDHPSRSGVRSSDGVMLISNKLSSTTTVSENEMSVSELQPR >PAN34349 pep chromosome:PHallii_v3.1:6:7912567:7918233:1 gene:PAHAL_6G088800 transcript:PAN34349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSASGHRHGRGLLLLLAMVASLSTVLTSQDSQFTWSDCQSSAPPSPAPSPSSSSSTNTTFWSNVVALLDALPSAAAPTGFASLSRGNGTDRAFVRGLCRGDSSPANCATYLRNAALGIRSRCNSSRRAAIWYDDGSGVSVPAPMFCFVSYADTNASTAYEDAFRQPFQNRAEVSDTVTFERAYNELMGRLAARAVNGSGSGTPSPAPMFATGAGVSDPAAPNGTMYDGLLECMRDRTAAECERCLNDSVRLLPSCCYGHKGGLVLAYNCYVRMEIYPYDNLALDGPPVLAPARSMIFVGETQEKKRMNVTLAVAIPVGTVLAVVVIVGVFLYRRRKVNRKKTGPGLSMRDNSSIKEDDIGYVEPEQLNLVVLRAATNNFSEENKLGEGGFGEVFKGTLQDGQQIAVKRLSQDSSQGFQELKNELVLAAKLKHRNLVQLLGVSLQEEKLVIYEYMPNRSLDTFLSDPMRRQQLDWSKRFSLICGIARGLLYLHEESRLKVIHRDLKPSNVLLDADMNPKISDFGIARAFSVDQSRDITRRPVGTLGYMSPEYAYWGHVSTKSDIFSFGVIVLEMVTGRRNNSAYSDTSDSISVLSHVWDKWRAGSMVDVVDPSLAESGYPESEVLNCVEIGLLCVQENPMDRPDASAVVLMLSSPTSTSDDRRAPSRPAFVFSSGLTESDHPSRSGVRSSDGVMLISNKLSSTTTVSENEMSVSELQPRSPDVQLMVLH >PAN35397 pep chromosome:PHallii_v3.1:6:37756201:37759109:-1 gene:PAHAL_6G205800 transcript:PAN35397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGAIGRAGKGGGDAVKEGRTESDNPPATQWQFPATSGAAPAFMSFRMAREEVSKEFSISGFRPPAAAPTGDVFDGIKKQASLPVMPQQRQFGLNNQVTAPQYPAAPHGQRVQGMDYSVAAHHHLPAGTRMVQPVSVRHPSPFNQSNPMLGSQSFHNGTVGPFKNQPFTMSNGFGGSTVGVYGSRNPRSQTSTQLTIFYNGSVNVFDNVPVEKAKELMMLASRASIPSPPSAPHKPDSPISAPAKVNVPEVLPARQIVIQKPEPSVPHVSTTSSPVPVVPQVVALSRNTSNCTIEPMRPKPAVQMPVTAPTSQAMPVTAATSQAPSSQPMPLATTSAAVPRAVPQARKASLARFLEKRKERVTTVEPYPTSKSPLQSSDTIGSPSAPTKSWSTDIAPTSSNGEEPLLFGQPRNISFSTEACPSTKLQI >PAN34690 pep chromosome:PHallii_v3.1:6:24360291:24376666:1 gene:PAHAL_6G142600 transcript:PAN34690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSDDDDGEPRLRAVQSYYFVDDDDAPVSFDVLPFQFDAAEEVPSFKKDVYLRGLADGGLQNVYKQVVAWKLGLDGDSPVITVLCTEGSWIALLKPRPSYEETVRSVLITVEMLHFVRRSPTVSEENMWGHLRRAFDKYDVKPSEDDVRNHVRLIKLFFERDPAIAKSQTLQLFIKEESMEKIDEAGSNDLDIKQPFIADDKEREEVVEDDNKQESSNDDDDEEDEDDGDLFDSVCAICDNGGELLCCEGSCMRSFHAKLGDGEDSYCATLGYTKAEVKAIKNFLCKNCEYKQHQCFVCGELEASDGTNAKVFLCNNATCGHFYHPKCVAQLLHPNNRNEALELEKNIVAGISFTCPVHWCFECKGLEDRTQEPLQFAVCRRCPRSYHRKCLPREISFEDIDAEGIITRAWELSKRILIYCLNHDMDIDIGTPSRDHLKFPCISKPVNSVKKKVKELAEKKRRVSNDLYVNDPMQKSSRRVGMKGSFERPGFKSEKKKAKKLKGMINPEEPAVKRAAHVSSLKQHVKGEEQELESLSSLATEKTPQSSFPVIDADTEKRVLALVEKEVCSLTLDDISRQCAIPSTYTSSSRQIDKIIAWGKLERSIQAVQDALQKLEHGGTIDDAKAVCEAEVLRQLTRWNNKLRVYLAPFIHGMRYTSFGRHFTKKEKLNEVVDKLQWYVQPGDTIVDFSCGLNDFSQFMKEKLDKVGKKCNFKNYDIIRPKNSFCFEKRDWMTVRPKELPHGSKLIIGLNPPFGLKAILANKFINKALTFKPKLIILIVPKETERLDQKRQPYDLVWEDAGSLSGKSFYLPGSLDVTDKQMDQWNVSPPPLYLWSRPDWTQKHRRIAEEHGHSTLKNGRYGTRSETYIGEDTNFVVERQEQVNGLPPEKHVEVAREEKKFASRKNDACQANQNGVHHEGRDAHSGYKVHYSDRREEIASHTSRRITESERTEDAMKPDSNMSISPSDSRNSQYKSRSDSPICSEYPSQGMAHQDNYFSNPAQEPSTSPLERVPYEDYIRDVAEYGVASVEKHLAISADNIGAGLRTRSPYLKELNGVYDGGGPNSYLCPASGGTGGSFYRNQNLENCPMDYSMENTGFAQRNAVAGVGMEDARMYDGRIRDNHTLSEVTATDIRAQIRMYGGHTGNDHPQAPMNPPATDIRAQIRMYGRQNTQTSGYPGSADTQSTLTSSHGVLSLGSTGRSMMDMYTPRLHHETNYTTGLYSVPVNRSDMTPDSINLTSRQQYPYPHPGSFSDWHG >PAN34055 pep chromosome:PHallii_v3.1:6:5093445:5099141:-1 gene:PAHAL_6G067000 transcript:PAN34055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARGLLRSNAAASQFSRLVKYVASSGSLQGTHNFAEEPARYYSSEPSLQETEENGFKGHSMLAPFTAGWQSTDLHPLVMERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFRLSDETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVILPPKTYFEKIQAVLEKYDILLIADEVITAFGRLGTMFGCDMYNIKPDLVSIAKALSSAYMPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIETLKIYKERNIVEHINKIAPRFQEGIKAFSGSPIVGEIRGQGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKHGMLIRVAGDSIMLSPPLIMTPEEVEEIIIKFGHALKATEERISELKSKN >PAN34054 pep chromosome:PHallii_v3.1:6:5093445:5099122:-1 gene:PAHAL_6G067000 transcript:PAN34054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPFTAGWQSTDLHPLVMERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFRLSDETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVILPPKTYFEKIQAVLEKYDILLIADEVITAFGRLGTMFGCDMYNIKPDLVSIAKALSSAYMPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIETLKIYKERNIVEHINKIAPRFQEGIKAFSGSPIVGEIRGQGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKHGMLIRVAGDSIMLSPPLIMTPEEVEEIIIKFGHALKATEERISELKSKN >PAN34550 pep chromosome:PHallii_v3.1:6:14760850:14766755:-1 gene:PAHAL_6G112500 transcript:PAN34550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGSGGGTASSTTTSGGRRRVSVRGAVAAPPAGSASVWEARMRMDEVKGGVRVFSAGGGDEPADEEGMRVYRRLRRNQSEGNAGAGNGAAAAAKKRRNWKASEPVTAIGDLRKSRSDAAAAVNTTTTTTTTTAVVARRAVARVTTPEKKIAPTAAAVGEVKEVLVVEVHKAQAEEEPEEELDDDVDGLDDEELEAEEEEKEMLDQDHMAIDNDETARHQVDDDDGQDLEPPTKAGIKSTLSVEDERAANPEPVKPPPEKKLASSIDLRAINPEPMTPPPVEKTTTPIIVHRMTNFEPAKPSPEKKALPAIGRRIPKQEPVSTPPVEEYEEIQGRPSQPSRSHERMQNIVNLVMWRDVSKSALVFGLGTFLLISSSYAKDLNFNTITAASYAGLIYLGLRFLRKSILNRGETVDCDDERDSERCYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYGKFWLERFRDAWESCSHKKAVVAAIFTLVWNVSSTVARVWAVFMLLVAMKLYQQRMVEFGWSSTVEDGGAAVEEAEADDEPHSEEPAAKPSPSRAQGEAKVFGTAAVPRHRRAPVSGEFARERLRVRGGIQPR >PAN34551 pep chromosome:PHallii_v3.1:6:14760676:14767392:-1 gene:PAHAL_6G112500 transcript:PAN34551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGSGGGTASSTTTSGGRRRVSVRGAVAAPPAGSASVWEARMRMDEVKGGVRVFSAGGGDEPADEEGMRVYRRLRRNQSEGNAGAGNGAAAAAKKRRNWKASEPVTAIGDLRKSRSDAAAAVNTTTTTTTTTAVVARRAVARVTTPEKKIAPTAAAVGEVKEVLVVEVHKAQAEEEPEEELDDDVDGLDDEELEAEEEEKEMLDQDHMAIDNDETARHQVDDDDGQDLEPPTKGIKSTLSVEDERAANPEPVKPPPEKKLASSIDLRAINPEPMTPPPVEKTTTPIIVHRMTNFEPAKPSPEKKALPAIGRRIPKQEPVSTPPVEEYEEIQGRPSQPSRSHERMQNIVNLVMWRDVSKSALVFGLGTFLLISSSYAKDLNFNTITAASYAGLIYLGLRFLRKSILNRGETVDCDDERDSERCYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYGKFWLERFRDAWESCSHKKAVVAAIFTLVWNVSSTVARVWAVFMLLVAMKLYQQRMVEFGWSSTVEDGGAAVEEAEADDEPHSEEPAAKPSPSRAQGEAKVFGTAAVPRHRRAPVSGEFARERLRVRGGIQPR >PAN35251 pep chromosome:PHallii_v3.1:6:36621618:36626126:-1 gene:PAHAL_6G194200 transcript:PAN35251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSGGRPPAGGSGTSWSATPLYRRYVQIPLITDDPVASAPYSGELEFELGWLEAFLAPPVEDEEEDALSFQVICNVLYEDKVVAFVFSLVAGEWLHAASFSFLPYGCIEDPESLVRHNVRCGFYWRHHTWNNLLVLDTCEMKFSVVEPPPDSFNRQWAVADAGEDRLGLIAIYQCALDFYCKTSRDIDGLGTENWRHEKRISLPEVNCNWSIIGTAEGCFLLLASPRDFFSFPPEMPKAQYFTLEIKTLLVERQCMARRFVYCACLYASFPPPLSLPSI >PVH36692 pep chromosome:PHallii_v3.1:6:24509839:24510588:1 gene:PAHAL_6G143600 transcript:PVH36692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEISMIGYRIVDTIEAAALEAIYAFCSQHPEEVVGQPIGLFATTDPSESEWDLRAIPESHRLEGSSEEVLRGMRRFTSVQYHYHSLLRREIGHLIYAARSLHGEAARHITQVDQLQAVVIEKDGIIATQNETIHHREDQINESDHIITQRDTVIEFLQAQIHDLILTVDDAQAQIEELQQPPIPPVAPAAPEAEEEDPEEIEGVSELDSEHGDPVISPHHSSSSSQSSVGNFDDF >PVH36986 pep chromosome:PHallii_v3.1:6:38514868:38517854:-1 gene:PAHAL_6G215000 transcript:PVH36986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQKKGGSRNSPVNLELPPPPPSSAPPPSATPPARPLQTAAPPPPYASWWPGSGAGNLGGQSTSANPRGSYFNLLQQPLYPPLQSIGENSYFVGVAKSMNPPSPATTPIGRVSIDIDVDDGAEASRGVKKRFWSHDEEVRLASAWLNTSKDPIHGNDKKSDSLGGQITEKFNKNS >PAN33215 pep chromosome:PHallii_v3.1:6:268279:270872:1 gene:PAHAL_6G003700 transcript:PAN33215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLEVAYQLIMLVVPLLMLLLLLPHLLALSRRSKSRRRRSNEDANAQQFPPSPPALPIIGHLHLVGALPHVSLRDLAAKYDAGGLMLLRLGTVPNLVVSSPRAAQAIMRTHDHVFASRPTTAITDTLMYGSSDIALAPYGEHWRQARKLVTTHLFTVKKVHSYRHARKEEVRLVMAKIREAAAASTAVDIGEMMNTFANDVISRAVSGKFFRVEGRNKLFRELVNTNSVLFGGFNLEDHFPSLANLLGGFLVTRWFLCNRVHQAHKRWDELLDKIISDHERRKSMLHHDQESDFTDVLLSVQQEYGITRDHIKAILMDMFGAGTDTSSLVLELAMAELMRNPRLMTKLQGEVRRHTAEGQETVEEENLASMAYLRAVVKETLRLHPPAPLLLHHLSMADSVVDGCKIRSGTRVIVNAWAISRDPDSWEEPEEFMPERFMDGGSAAAIDFKGNDFEFVPFGAGRRICPGLNFGVATVEIMLANLVYCFDWQLPDGVEAKDVDLTEVFGLTVHPKEKLMLFPKPRGAWSSHANY >PAN33284 pep chromosome:PHallii_v3.1:6:857732:859877:-1 gene:PAHAL_6G009100 transcript:PAN33284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRRSRSVKLVSARANRPLEVDIAEEEEDPRMSSSADNTVYCCIAKGRKVIYCYNSKDGDPQMEATAALCLENSPPHHRHYIHTSGPRSYAYLMADGHTFFAIIDPSVGNAGALQFLERVRDVFRKNASRNGFHDSLVPAVQRLVASLEKMPHATFVLEECAERGGSNESSSCTSSKVPLLGKSGSRKEKKKSKDKLASAGDGEDEHHGTRGVRIDVATEEVSGMSLERSSSQSRLRRQQPSRSLWMRHVKIIIIVDAVICLVLFAAWLAVCKGFQCVSGR >PVH36647 pep chromosome:PHallii_v3.1:6:20389185:20391232:-1 gene:PAHAL_6G130200 transcript:PVH36647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIRNSLGRNPLSDALHRNPGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGDDVLNFALAYDLLLANTLFRKRESHLVTFHSGQYSSQIDFILARREDRRACLDCKVIPGECVVPQHKLVVADFRFRVCAHRDKRAKIARTKWWKLRGEEAQTFKERMLGEGPWEEGADVDDMWLKMAICVRKVASEVFGVSRGGKQEVKETWWWNDEVQRAIKEKKECFKRLHLDKSATNIEGYRLAKRSAKRAVSVAKGQAFDDLYQRLGTKEGEKDIYRIARTRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTFELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEVWRCLGERAVVWLTKLFNLIFQSNKMPEEWRRKGYRASPKRIDKGDPKPVWVHAWEVDHGGDFLSPTVDGEI >PVH36768 pep chromosome:PHallii_v3.1:6:31108834:31108953:-1 gene:PAHAL_6G165300 transcript:PVH36768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVASQMKQMDKLNRSLRDKRAKLYIIRCCVVMLLRWSD >PVH36999 pep chromosome:PHallii_v3.1:6:38695005:38695482:-1 gene:PAHAL_6G217200 transcript:PVH36999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPTMTTWAVLIHIFAYYEKQTKFIGKLLGYTDSPRNQCSSTISGFSTFYNLTDQSFRGFQVQLWPP >PAN33277 pep chromosome:PHallii_v3.1:6:1125511:1126403:1 gene:PAHAL_6G013300 transcript:PAN33277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCNISLISSNVDGNLPLPDWIGASAGWKTMPSLGASFGTPLPASATCLAASSSCIRISASGQNRNAIPRRRARIPSLGRWRRRSEQGTIPPSCPPSPSPAAAVQRSPTAAYEERF >PAN35187 pep chromosome:PHallii_v3.1:6:35800464:35802065:1 gene:PAHAL_6G187700 transcript:PAN35187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIRALQLILREKKSGITAPGSSAFVKAFSSASAFQSQRLAGKVAVITGGASGIGKATAAEFVRNGAKVVIADVQDDLGHAVAAELGGPDVACYTRCDVIDEAQVAAAVDLAVARHGQLDVMFNNAGIGGCPVRPPLGEVDLADFDRVMATNARGVLAGLKHAARVMVPRRCGSIICTASAAAVVGTAATPAYSASKAAVLGLVRTVAAETARSGVRVNAVSPYAIPTPLVLGAFAAWLPGKSAEEIRRIIEVDMGVVDGAVLEAEDIARAALYLASDEAKYVNGHNLVVDGGWTVGKSSR >PAN36019 pep chromosome:PHallii_v3.1:6:41338157:41344367:1 gene:PAHAL_6G251100 transcript:PAN36019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDLEPLRAGAAALPSSSDPDSPATPRRSRMRDLLRNLDRRLSNRSRGGEGAAAAGHSGGEAGASPRRGEEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGIDPMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCANGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLQAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSGSKDSEATSPRHGYSSLLPPADRNETDWRRQDRDDVELAILDVDPYNYGSNNEEMLLDDLKVSQAMSKHYVKVTSAFTIKETTRLMQEKQQSCVLVVDNEDFLEGIVTLGDIRRKGYEPSENSHSTGENSSTLDENSSPVSSCLTRGFQFHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQTTVNGH >PAN36537 pep chromosome:PHallii_v3.1:6:44486661:44487609:-1 gene:PAHAL_6G291000 transcript:PAN36537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVSSSSSLSTAPFFSTAAHLPQDLEFLSCHGLDQWTGADDWLDEPLDLHGATWGDDGSGGSASASAGNDDLSGEPPPPPAAPKRRRGRKPGPRTNGPPISHVEAERQRRDKLNRRFCELRAAVPTVSRMDKASLLADAATYIAELRDRVEQLEAEAKQASAVTIVAATTPSFGSGGGLGLGLGLEERLELRVVGRDAAALRLTSAARHAPARLMDALRSLDLPVQHACVTRLGGVTVQDAVVDVPAALRDERGLRAALLHKLQRSV >PAN33661 pep chromosome:PHallii_v3.1:6:2629483:2632022:1 gene:PAHAL_6G036400 transcript:PAN33661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPSILTAGRRLNTAAVAAAVRRGDLAGAEEAFASTRVKTTATYNCLLAGYARAPGAGRFADARHLFDRIPHPDAVSYNTLLSCHFANGDVDGARRLFSEMPIRDVTSWNTMVSGLSKNGALEEAKAVFQGMPVRNAVSWNAMVAGLACSGDMAAAEEWFRHAPVKEDAVLWTAMVSGYMDEGNVQKAMEFFEAMPVRNLVSWNAMVSGYVKNSRASDALRVFKAMVDNATVQPNASTVSSVLLGCSNLSAVEFGRQIHQWCMKLPLSRSATVGTSLVSMYCKCGNLDDACKLFDDMHTRDVVAWNAMISGYAQHGYGGKAIKLFGKMKDEGVRPDWITFVAVLTACIHTGLCDFGMQCFETMEEVYGIEPRVDHYSCMVDLLCRAGLLERAVSMIRSMPFEPHRSAYGTLLTACRVYKNLEFAEFAAGKLIEQDPQNAGAYVQLANIYAIANRWGDVSRVRRWMKDNAVVKTPGYSWIEIKGVRHEFRSNDRLHPQLDLIHDKLDRLEELMKAMGYIPDLDFTLHDVEESLKAQMLMRHSEKLAIAFGLISSPPGMSLRIFKNLRVCGDCHNAAKLISRIEAREIILRDTTRFHHFRGGSCSCGDYW >PVH36750 pep chromosome:PHallii_v3.1:6:29193102:29193541:-1 gene:PAHAL_6G159200 transcript:PVH36750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRNVLTTQEKVIQKQGPQVKTKKQKWGPQLLVDRPRRNTGDNRTIIQKAVKFKSYKNLEAPTKGTGG >PAN33992 pep chromosome:PHallii_v3.1:6:4702645:4703607:-1 gene:PAHAL_6G062400 transcript:PAN33992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTTGLHRRGARPPAPPSPSPPTSSPSAQTTRDWAALPLDVLLDVFLRLGSRGVMRGAELACTPWRDVAVGEPALWHRVDMATVRLWSPGWRAMVRAAVDRGAGQCVAFAGPADDVSLLYLVDRAPCLKSLHLLDVSASSEVLNKAIKELPFLEDLEISLSYFSTLKFESVCQACPRLKTLTLRLHMPFGYGSDKLAAVPMMWELRTLQLLDCELTTDGLKSILDSCPLLESLHITGSLIGSEMDEEVRGKCARVRNLTLPDYDPPGEAYDHDDYSDWYWYGM >PAN34457 pep chromosome:PHallii_v3.1:6:8913127:8913993:-1 gene:PAHAL_6G093800 transcript:PAN34457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAATARPAAPGTFTFLKQGVVLPARRWRPFLQIFAVNAVLGVALLLFKLLAVAPLVKALNCGGAYSAVIRDDIHAAAAWARSARRRRVPRPLPGRLARGQGRHRLRRRRGVLRRRAPHVPVVPPRREGRPRGRRRDVRVRLRPQGGLPRRRLPPGAAGGPAAFLSHRPSYPGWLVLPSAMPELLGGVFYLYLDVVCAVAVVASVAEHQAAAGVGGPGAVARALLLAVGWFLPRGGEVGSDDVAFVVDGGVACVLHRAVRMVSTVAVTAYYLECKKSKEIEDKAGCGD >PAN33691 pep chromosome:PHallii_v3.1:6:2786493:2790375:-1 gene:PAHAL_6G038500 transcript:PAN33691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPATAGELLRIDPLELRFPFELKKQISCSMQLSNLSNDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVVAPSGITVKDVTGDMFTKESGNKMEEVKLRVTYIAPPQPPSPVPEESEEGSPSRASESENGDGPAGGFTRALRERIEPQEKSLEAGALISKLTEEKNSAIQQNHRLRQELDLVRREVSKRRGGGFSFIVVIIVALIGIFLGYLMKS >PVH36201 pep chromosome:PHallii_v3.1:6:1562458:1562784:1 gene:PAHAL_6G020300 transcript:PVH36201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRTFGIIPDKLLCARPNSCKPLMFPNSCGMLPDKAFLVRFSVVRPLRLPSDLGMLPVKELSAKPRNTRASHSPIVAGISPEKLFFDRLRVWICLTLPIGGGIAPDK >PVH36559 pep chromosome:PHallii_v3.1:6:10854994:10855519:1 gene:PAHAL_6G101300 transcript:PVH36559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKNGRTPLANEIYERKVAEKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIQAEFEASLQAEREEAARKREELQAQLQAQQDTLEENQNLLRQTQEEVRGMTSRFEETNALLRAVLRLQKD >PVH36969 pep chromosome:PHallii_v3.1:6:38204331:38205582:1 gene:PAHAL_6G211200 transcript:PVH36969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIAIHPESIIHSMVETQDSSVLAQLGWPDMRLPILYTLSWPDRIYCSEVTWPRLDLCKYDIFTTPLLFAIQLMLLFGNDLQEL >PAN33695 pep chromosome:PHallii_v3.1:6:2800454:2803762:-1 gene:PAHAL_6G038800 transcript:PAN33695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MAADVAAASLFGADCRLCSADILAPAEVRARIEVAVLNFLSALASPTSPAISVLPLISRTSANCSLRSSLLSDVSSVYLSYAFCKRSLMRENNAKAFVRVWKVMEMCYKILGEGKLVHQRELFYKLLSDSPKYFSCQSHVNRAIQDVVSLLRCTRQSLGVMASGRGALIGRLVLHEPDEEQIDCSILGASGHAITGDLNILSKLNLSTDARYIIVVEKDAIFQRLAEDRLYNQIPCILITAKGYPDIATRFILHRLSQTFPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPESAFQELKPRDLQIAKSLLSSKFLQESHRSELTLMVETGKRAEIEALYSHGFGFLGKYIARKIVQGDYI >PAN34977 pep chromosome:PHallii_v3.1:6:22300496:22303842:1 gene:PAHAL_6G135900 transcript:PAN34977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRPLLLVAAVVLLCAAEWHVVQAYKKSYIVYLGAHAYGRDASPKEHARATESHHELLGSVLDSKEMAQDSIFYSYTKNINGFAAHVEEDVANQIAEHPDVVTVMESKMLKLHTTRSWDFMDLERDGQILPESIWKHAKFGQDVIIANLDSGVWPESTSFTDDDMGEVPQRWKGSCLDTVKYAVPCNKKLIGAKYFNKDMLLSNPAVVDANWTRDTEGHGTHTLSTAGGSFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECATADVLAGFEAAIHDGADVISVSFGQDAPLADVQSLFHEAVTLGSLHAATQGISVICSAGNSGPYDDTVVNAAPWVITVAASTVDRDFPNVLTLGNSAHMKGMSLESTTLHSSTLYPMVDARHAGQANTSPFAASECGMGTLDPAKVKGKIVVCMRGGDVPRVNKGMAVLNAGGAGMILANDRMDGDDIVADPHVLPATMITYSEAVALHNYMTSTNNPVANISPSKTEVGVKNSPSIARFSSRGPSGMLPSVMKPDIAAPGVDILAAFTEYVSPTELASDKRRSEYAILSGTSMSCPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGKEANAFAYGAGNVHPNRAVDPGLVYDAAPEDYFTFLCSMGFSTADMKRLSAGKFACPAKAPPMEDLNYPSIVVPSLRGSQTVVRRLKNVGRPAKYLASWRAPIGVGMEVKPTVLEFSKIGEEKTFNVTVMSQKDKVGIGYVFGKLVWTDGTHYVRSPVAVNALA >PAN34978 pep chromosome:PHallii_v3.1:6:22300496:22303842:1 gene:PAHAL_6G135900 transcript:PAN34978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRPLLLVAAVVLLCAAEWHVVQAYKKSYIVYLGAHAYGRDASPKEHARATESHHELLGSVLDSKEMAQDSIFYSYTKNINGFAAHVEEDVANQIAEHPDVVTVMESKMLKLHTTRSWDFMDLERDGQILPESIWKHAKFGQDVIIANLDSESTSFTDDDMGEVPQRWKGSCLDTVKYAVPCNKKLIGAKYFNKDMLLSNPAVVDANWTRDTEGHGTHTLSTAGGSFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECATADVLAGFEAAIHDGADVISVSFGQDAPLADVQSLFHEAVTLGSLHAATQGISVICSAGNSGPYDDTVVNAAPWVITVAASTVDRDFPNVLTLGNSAHMKGMSLESTTLHSSTLYPMVDARHAGQANTSPFAASECGMGTLDPAKVKGKIVVCMRGGDVPRVNKGMAVLNAGGAGMILANDRMDGDDIVADPHVLPATMITYSEAVALHNYMTSTNNPVANISPSKTEVGVKNSPSIARFSSRGPSGMLPSVMKPDIAAPGVDILAAFTEYVSPTELASDKRRSEYAILSGTSMSCPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGKEANAFAYGAGNVHPNRAVDPGLVYDAAPEDYFTFLCSMGFSTADMKRLSAGKFACPAKAPPMEDLNYPSIVVPSLRGSQTVVRRLKNVGRPAKYLASWRAPIGVGMEVKPTVLEFSKIGEEKTFNVTVMSQKDKVGIGYVFGKLVWTDGTHYVRSPVAVNALA >PVH36526 pep chromosome:PHallii_v3.1:6:8747914:8749370:-1 gene:PAHAL_6G092500 transcript:PVH36526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSEGSTSPAAGGAACAVCGAAAAVYCAADAAALCSPCDAAVHAANLLASRHERVPIAMAAAAAASGVYDDLFAPDDVDAASSWPAAAPAQGQGSPQNGSSSTSFTTSDSGAEGRSLFDLLSDVDLAAAARVTGGGYLPDGVAPVHHGGAAPLWAHPGMAAWAAAWSPADAAAVVVPGAAAVVAAAAERVARVQRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRAAGAPGAAASPDTDAAATNASDTSKFWLSFSDDARDDGVGFYVDAAAYGVVPSF >PVH36160 pep chromosome:PHallii_v3.1:6:1001964:1009384:-1 gene:PAHAL_6G011300 transcript:PVH36160 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MKHRVLSGQNTICELPVFFFRELPLPALSSARQGGKRIDRPLHPSLHSCTRGERGMATEEEVPEAAAPAPAGGGGDDAMPDAASPSDSDSDSSDSDDEGAAGADELRIQALEQALQEQPLDYETHVQYIQCLRKSGNIEKLRAAREEMNKYYPLTPKMWQEWANDEISLSTSEESFRDVEKLYERGVQEYLSIKLWRDYLDFVEEHDPSVSQCTPAGLSKMRDLFERAITAGGLHVTEGSKLWAAYREYEMAILITISDGNDEEKAKQVQRIRTLFHRQLSVPLAEMESTLVEYKSWEAEQGNANDPGSNFDGVPSNVTSAYKKANDMYNERKQYEDQLSNAGAPEADKLQEFLKYLKFEESSGDPARVQVLYERAVSELPVSSDLWMGYTSYLDRSLKVPSVLRSVYYRATRNCTWVAELWVRYLLSLERIHSSEEELRHVFERAVQCSFPTIQEYLNVYLTRVHSLRRRISDGLDFQLIRQTFMDAAEFLSPQLGTKELLHLNAYWAKLERNLGKDLAAARGVWENTIKKSGSVLEIWQQYISMEMEMGNIHEARSLYKRCYSKRFAGSGSEDICHAWIRFEEENGTLDDYDLVVKKVTPRLKELMMFKSQEEAKDEAYSMLKDNSNADDSYQKRKASKMTNKQQPPAKKRKENPPKSAKSSDDQGSKTQSGHSAAITAVEVGDVTREKVELSTEMKVDSDSRTGNTGSNEPKPSFYNDKCTVFVSNIDLKANEDDLRRFFSDIGGVTAIRLLRDKFTKKSRGLAYVDFSDNKHLEAALKKNKQRLLGKKVSIARSDPSKGKKSREAGPSSKGHDNLSQSGGDGAKAQGDVKITGKNTHFAPRSVVKPLGWTTKDEKPDGGAGELKSNEEFRNLLLKK >PVH36338 pep chromosome:PHallii_v3.1:6:3968817:3969107:1 gene:PAHAL_6G053500 transcript:PVH36338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMKPLLSKLTKLLEGEYIKVKGVRKQIKFLTDELSAMSATLQMLADAEQLNPQMREWRDRLRELANDLEDCVDAFMIRVDGEHDGGSSFKRFFR >PAN34513 pep chromosome:PHallii_v3.1:6:27635692:27637239:-1 gene:PAHAL_6G155300 transcript:PAN34513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLTISTSIYHFLVLRNRASPTRLVKLYRVMSEDQRKMIRDVHFDGLLKIECSTIPAEFANLLMVECFNADTSELVLPGRGRISVTTQSIADILHLPSKGAEVKYELDVDAINFIHKYDILQGSAPKIDEIIKRIKNNKDKNEDFLKSQLMIAVLTFLCPPTSFRISPRCYPSLVDVSRVKKLNWCQFVVNQLKVAAKKINTKNSVKGCILLLVIIYADSLTIQNVQIPATMPRIAAWTRKLLDEVIKLEMNGDGSFGKLKLKPSHIQWCRTLLSKWMTYIALHHQKFREIWHQRRRGKYVKL >PVH36818 pep chromosome:PHallii_v3.1:6:33434632:33435039:1 gene:PAHAL_6G175100 transcript:PVH36818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALLEFDIRYQPAKAVKGQALADLIAEKVNTNIAALSVRAWAMYFDGSVCGDGCGIGILLVSPRGAAYSFSFRLPTTCTNNLAEYEAVHKGMELLLEAGAEAVEVFGDSKLVISQLTEEYRCESELLFPLWVQC >PVH36801 pep chromosome:PHallii_v3.1:6:32555051:32555537:1 gene:PAHAL_6G171400 transcript:PVH36801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAARPAHAPKRPACRLADQSTRREEQASRGRLDSQEPAPLVSALRRPGCVPRPAPAVAHRRASGGRPPSSMVRPSEDACRGSAVPAPCRN >PVH36267 pep chromosome:PHallii_v3.1:6:2589629:2590420:-1 gene:PAHAL_6G035600 transcript:PVH36267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDAVSQVGPTCHCDMSVKSHVKPTPSDPRSGGPHRAARQLAAAAAGDYGRVLLPRRRTARSGSGYGGTPTSARGKRRRSRPPRSPRERRRRASQPPPVKPSCATALGMGIGERKNGANRTPMEAERVMRG >PAN33771 pep chromosome:PHallii_v3.1:6:3175736:3177050:1 gene:PAHAL_6G043800 transcript:PAN33771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWGSPGGSPAWAASRGPSPVVPLLIVVALGWVICQETLMGWYEQVAEVQETVTDNAVLLVLGAGLLLLALGVAGSRSEVVLVPVALVVVMFLIQNIMLTALLLLVAAYFTGIYYYRPDRGYGGGGFGGEWGGGGGGGTGLGFYMLLLLCLVLCAMFSDGGGSWWIPAVLLVACVLCLNLFSGGKAWGYEYF >PAN33304 pep chromosome:PHallii_v3.1:6:950102:952107:-1 gene:PAHAL_6G010300 transcript:PAN33304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPGGVEILSRRLVRPESSSSPDRMPSEPEVIHLTPWDLRLITVDHIQKGILLPKPRAGGAAQVIDNLASSFARALDLFYPLAGRLTASEVTDGVSTPSFVISLCCNNEGAEFVHAMASGVTVRDITASLYIPSVVWSLFPLNRLLSVDAVVDSLPVLAAQVTELEDGIFIAMSLNHGVADGTAFWRFFNTWSEINRSSGGSEGYELSTPLPMVDRWFLDTCPVPIPLPFGKLEDIVLRPEYTPVRECFFHFSAESIKKLKTKANAEMAGTATATISSLQSLLAHIWRAVCRARQLAPHLETVYRLAIGCRGRVKGIPQEYMGNAVTLGTAESTVGDVLDKGLGWAAWLLNRVVASFDEAQVMGDLASWAREPKLAHARPSRNPAYAVTGSSPRFDVYGNDFGWGGPVAVRSGAGNKLDGKVTVYEGRGGGGSMALEVCLSPEALARLIADEEFMEAVTVGAA >PAN36716 pep chromosome:PHallii_v3.1:6:45354472:45356814:1 gene:PAHAL_6G304600 transcript:PAN36716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVAAPMTIVDLATSAQLQQQQQAMEVEEDAKAEQQELGAGEQELSYSILMKGVRHLSDSGITRLPDRYVLPAPDRPGAGLATTTSTSRVKLPVVDLARLRDPSQRAAVLQTLDAACRGYGFFQVVNHGVDGAVIAGMLDVALRFFELPLPERARYMSPDVRAAVRYGTSFNQAKDAVLCWRDFLKLVCHPLRDVVAAWPREPAELRDVASGYAAASHGLFMELMEAALQALGIAADGGVLGELAAGSSHMMTVNCYPACPQPELTLGMPAHSDYGLFTFVLQDHVEGLQVMHGGRWLTVDPIPGSFVVNVGDHLEIYSNGAYKSVLHRVRVNSTRPRISVASFHSLPAERVVGPAPELVDEAAGKPRRYMDTDFATFLAYLASADGKDKTFLQSRMLLHGAAAAASSIRT >PAN33500 pep chromosome:PHallii_v3.1:6:1850115:1853345:-1 gene:PAHAL_6G025500 transcript:PAN33500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIITQLQEQLSEIAMVAVNTFGTLQRDAPPDRLSSSYPDPLNPNPKPEEDAKPQVPAQPGAAPAPAQAQPPAPPQPPALDLAEHPKAMSHALVLAAKKFDALVAALPLSSEEDQLRRIQELQAENEVVGLELQKQLEAAELELKQVEVLFNEATDNCINLKKPD >PVH36231 pep chromosome:PHallii_v3.1:6:1851814:1853404:-1 gene:PAHAL_6G025500 transcript:PVH36231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIITQLQEQLSEIAMVAVNTFGTLQRDAPPDRLSSSYPDPLNPNPKPEEDAKPQVPAQPGAAPAPAQAQPPAPPQPPALDLAEHPKAMSHALVLAAKKFDALVAALPLSSEEDQLRRIQELQAENEVVGLELQKQLEAAELELKQVEVLFNEATDNCINLKKPD >PVH37219 pep chromosome:PHallii_v3.1:6:43018601:43021848:-1 gene:PAHAL_6G273900 transcript:PVH37219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKNAHVPKFGNWDNDGNVPYTLFFENARKGKGAGGKMINPNDPTENPEAFSIAAPSPNRSDAGRSSPAPPPPPRHERRPSDAPPRSPNPYAGSPYHRHAGGEPPRRGGGYSVEQSPVHPYSSESGGYGLVANSVERSRAKGARGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQTQAGKPGAFDKDAARGNGAKQHDDGYVSSKFSCFGWCK >PAN36320 pep chromosome:PHallii_v3.1:6:43019175:43021558:-1 gene:PAHAL_6G273900 transcript:PAN36320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKNAHVPKFGNWDNDGNVPYTLFFENARKGKGAGGKMINPNDPTENPEAFSIAAPSPNRSDAGRSSPAPPPPPRHERRPSDAPPRSPNPYAGSPYHRHAGGEPPRRGGGYSVEQSPVHPYSSESGGYGLVANSVERSRAKGARGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQTQAGKPGAFDKDAARGNGAKQHDDGYVSSKFSCFGWCK >PVH37415 pep chromosome:PHallii_v3.1:6:45546951:45547973:-1 gene:PAHAL_6G307200 transcript:PVH37415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSALLSLCFHLALAVTLTASVPGFACGRPGPKPDPKPEPRPTPQPDPKPEPQPEPQPVPDPKPEPTPTPQPDPKPEPQPEPQPVPDPKPEPTPTPQPDPKPEPQPEPKPVPDPKPEPTPTPQPDLKPEPQPEPKPVPLPDPKPEPEPTPQPGLKPEPQLDPNPGPQPDPKSEPKPAPQPNPKPEPQPDPNPAPQPDPKPEPDPKPEPQPDPNNPAPQPGLKPEPQPEPARLEPELSPSTSLEEKAKPAPSVHVPHPSTKPTLEPKPKPEPNPKPTPEPEPKPEPEPSKPEPEPSPKPMPEPKPQPEPSKPEPQPSPKQTPKPEPSN >PVH37296 pep chromosome:PHallii_v3.1:6:43603210:43605739:1 gene:PAHAL_6G283200 transcript:PVH37296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVCCLPALRETGSKQAWIVPEKTPPPPPLLRAEATVAGGLRAMETQLSRRQEEEEGSSAAAARGGPGGCGRRRSAPSSSRRSRTSSAPGGGSSSSSSPPRRRTTSSPSSRRRPCSGTTGSK >PVH37141 pep chromosome:PHallii_v3.1:6:41636904:41637917:-1 gene:PAHAL_6G254700 transcript:PVH37141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRECPSHDETARNPALSPHRVILCSHPARLTVTRLILLLVRVVSRGDDEHVGLVRRPEAHPPRHAALAPQRRPADGGHALVHGRRVAAPGAVVVVQVHRAHLRGVAPRRRPLIRLPARRRVQDLLQGQVPRLRSCGREALARAVPLALQAARHHPVQDHLEMARGAAR >PAN33487 pep chromosome:PHallii_v3.1:6:1820688:1822523:1 gene:PAHAL_6G024800 transcript:PAN33487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MDPFLISILLCLWIFIVVYWRRMNSMRLRLPPGPPTWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIQQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTRRLESFAAHRAQEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGIQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRRAQEAKKSAVSLDDDDTKEEMDFVDVLLSLPGENGKERMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRIQEELDTVIGRDRMVVESDLPHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHIPARTRVFINTHALGRNPRVWDAVDEFQPERHLPAEEGARVEISHLPDFKILPFSAGKRKCPGAPLGVALVLMALARLFHCFDWSPPDGLRPEDVDTREVYGMTMPKAAPLVAVATPRLPPHMYAASAVV >PVH36848 pep chromosome:PHallii_v3.1:6:34839079:34839285:1 gene:PAHAL_6G182100 transcript:PVH36848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAKQSAEAVGCQNASVGERSALEGSKRESGGRRSGSENVGLSNKNIGENPMPRKPKVSSARFVHGG >PVH37411 pep chromosome:PHallii_v3.1:6:45528633:45532360:-1 gene:PAHAL_6G306800 transcript:PVH37411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRSRDFRSHRAALFDGIEDGGIRAPAYSSREIHEQENDQAMDSLHDRVSILKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDRFKMVFETKSSRRMATMVASFIAVFLLIYYLTK >PVH37412 pep chromosome:PHallii_v3.1:6:45527984:45532471:-1 gene:PAHAL_6G306800 transcript:PVH37412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRRDFRSHRAALFDGIEDGGIRAPAYSSREIHEQENDQAMDSLHDRVSILKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDRFKMVFETKSSRRMATMVASFIAVFLLIYYLTK >PAN34008 pep chromosome:PHallii_v3.1:6:4764290:4770720:1 gene:PAHAL_6G063200 transcript:PAN34008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQTGKRPRQHREYEREERKDQNKRPFAHAQESSNNDGLVVYRILCPDSVIGSVIGKNGNVINAIRQQANAKVKVVDPYPGADKRVILVYCYVKHRNLDADEGDDNEPVCPAQDALLRVHNAIVDALDTLHKNRRDSDKKNTEEANILVPASQAASIIGKSGVVIKYLRSTSKAFIKVSPKDPSDVTHSCAMSFDNFVQITGGAEAVKKALFGVSTILYKYPSKENIPLDTSVPEPTPSIIVPSELPVYPTSNFYSAPDATIPSGHPSLSILGSTPHVPELLSADGHGRLPIYQSVLPIIPAYSTPKCSGELEFRVLCPGNKIGLVIGRGGSTIKGIRQESGARIDVDDAKNDKEESIITITSTEATDDVKSAAVEAVLLLQAKINDYEEDRMNLRLLVPTKVIGCLIGRGGSIVNDMRKKTKADIRISKGDKPRRASSSDELVEVSGEADKLRDALVQIVLRLREDVLKESVDSQNSDRDGKLSVATTDSLYGSSLPFPALLPHSHSQQIAPLGYDRRGETERGLEVFPRTSSYGYSSLQVADDDGYGGLSSYTSKAYEGRVPRVEMTIPASGLSKVMGKRGTNLENIRKISGAHIEIIESKSSRHDHVAYISGTSEERQSAENLIKAFIMST >PVH36575 pep chromosome:PHallii_v3.1:6:13406663:13407278:1 gene:PAHAL_6G108300 transcript:PVH36575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSATQSRGSGASRIVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSFPKLCGFYNFQRQYLDKLEELGIVAIHKFPLAVDIGDEAEEVADASSGRMVMNMRAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PAN35824 pep chromosome:PHallii_v3.1:6:40419921:40421742:-1 gene:PAHAL_6G237300 transcript:PAN35824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNDPRAFCRNWILSKIFGTLRMVRGPKYDGKYLHALLRQYLGDLRLDKALTNVIIPTFDIAFLQPTIFSSFELKQRPDKNALLSDISIGTSAAPTFFPAHYFETKDANGSTRAFNLVDGGLAANNPTLCAMNQVTKDIILGDGDFFPVKPVDYGKFMVISLGCGSNRKSRYSAKAAAKWGIFNWLIKDGTAPIIDMFNAASGDMVDIHLCVLFRALRSSHNYLRIQYDQLTGSAGSIDDCSKENVDKLVKIGKDLLNKTVSRVDLETGWNVDVPGEGTNAEKLAKFAKQLSAERRRRQKLPK >PAN35825 pep chromosome:PHallii_v3.1:6:40419588:40422358:-1 gene:PAHAL_6G237300 transcript:PAN35825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSAEGAHQTNPEKVKLVTVLSIDGGGVRGIIPAIILSFLEEKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPGKNGRPLFDAKDLAQFYIDHSPKIFPQKNWILSKIFGTLRMVRGPKYDGKYLHALLRQYLGDLRLDKALTNVIIPTFDIAFLQPTIFSSFELKQRPDKNALLSDISIGTSAAPTFFPAHYFETKDANGSTRAFNLVDGGLAANNPTLCAMNQVTKDIILGDGDFFPVKPVDYGKFMVISLGCGSNRKSRYSAKAAAKWGIFNWLIKDGTAPIIDMFNAASGDMVDIHLCVLFRALRSSHNYLRIQYDQLTGSAGSIDDCSKENVDKLVKIGKDLLNKTVSRVDLETGWNVDVPGEGTNAEKLAKFAKQLSAERRRRQKLPK >PVH36691 pep chromosome:PHallii_v3.1:6:24449619:24449915:-1 gene:PAHAL_6G143300 transcript:PVH36691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTESSKASSRREMGLDELLRKMKLSEAEHEEVALAREEKESLPAVKWMAVGKLLTLKQYSKLSLFAMMKAAWNAAREVSFYPIGKNLFTIQAHCIGD >PAN36037 pep chromosome:PHallii_v3.1:6:41435048:41437263:1 gene:PAHAL_6G252300 transcript:PAN36037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRHLPLLLGRLLVAGEIRRSPDHLRRIIPLLPSQPHLAAALSSLYFPLFPSSTTFLHNLLIRASAASPSPRLSFAAFSSLLRCDFLPDHFTFPPLVKSASRLPSFPRTGAQVHAQAARRGFLTDIFVLNSLLAMYAAFRDTASMWGVFDSSTKVADVVSWNTVIGGYVKCGDIGNARRVFDEMPQKNGVSWSAMVGAYAGAGELDVAREMFDRTPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPFRNLVSWNAMIRGYVVNGEMDGARELFDVMPEKDVVSWTCMISGYAQAGRYAETLELFRSMQSRSTTRPNEVTMVSVLSACAHLTALEEGRWAHTFIDKHKMVLDNEFNLGAALIDMYAKCGRTDMAVKVFHSLDQKNVSAWNALITGLAVNGDAPQCIDVFEQMKRSGENPNDITFVSLLTACAHAGLVYEGRQCFQSMVSAFGMQPELKHYGCMVDLLGRAGLLDEAEELIRSMPMAPDVKVLGALLGASRMHKRFDVAERVQSWILSLNTKQPGFHVLISDIYAAAGKWTDALEARGFLQRHNIRKLPASSSSRQ >PAN33362 pep chromosome:PHallii_v3.1:6:1296580:1300170:1 gene:PAHAL_6G015400 transcript:PAN33362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRSLPRLLHLLLLLLSAAASSSASSSRGAELDALMDLKAALDPSGRALASWARGGDPCGRGDYFEGVSCDARGRVATIALQGKGLAGAVPPAVAMLPGLTGLYLHYNELRGDIPRELGGLPDLAELYLGVNNLSGAIPVELGRLRSLQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLTGAIPASLGDLPALTRLDLSSNQLFGSIPSKLAEIPQLSTLDLRNNRLSGSVPSGLKKLHEGFHYENNSELCGAQFDSLKACPDDGNDDGKMPHKPESTSVKPQQIQKTADLNRNCGNGGCSKSSTLSAGAVIAGTIIIVAGAAACSLSVFSWHRRQKQKVGSSVEHLEGRLSLDQSKETCQRSASSLINVEYSSGWDTSSEGSQHGVRLSSEGSPSVRFNLEEVECATQYFSDVNLLGKSNFAATYKGIMRDGSVVAVKSINKSSCKSEEADFLKGLRMLTSLRHENLVGLRGFCRSRARGECFLVYEFMANGSLSQYLDVKDGDADVTVLDWATRVSIIKGIAKGIDYLHSSKPNKPPLVHQSISADKILVDHLFTPHLSGAGLHKLLADDVVFSSLKDSAAMGYLSPEYTTTGRFTDKSDVYAFGVVVLQALTGRRAVSSHLRLGAESGRLDDLVDPRLGGRFSRPEAAKLAGIALLCTAEAPAQRPAMAAVLQQLGTSQ >PAN34185 pep chromosome:PHallii_v3.1:6:6376523:6377051:-1 gene:PAHAL_6G078500 transcript:PAN34185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLRWSRSPAAAAQAPPDLGDTIQFVLAVKREFAGEPGKYEEFLAVKHEYPSIGGVAAVIDRVKVLAGHPDLIRGFNMSMPRGYKPTDILGRAAQALTE >PVH36965 pep chromosome:PHallii_v3.1:6:38190507:38192925:1 gene:PAHAL_6G210800 transcript:PVH36965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDAAKAALLKSFQDAGVTLVKGDLYDQASLVGAARLADVVISTVGAQQIADQTRLIDAIKEAGNVKRFIPSEFGLDADRSDAVEPARSTFIITKAAIRRAAERAGVPYTLVWTGYFFGYGLPGIGQVLARSPPADKAVVLGGGATRASFADEGDIGTYTVLAAGDPRAANTTLYVRPPANTLSHNELLALWEGKTGGAFERVYIPEDAVLKQIHEAPTPLDSILLSIGHAVHVKGEHEFEIDPSSGVDATELYPDVRYTTVDDYLNSLL >PAN34217 pep chromosome:PHallii_v3.1:6:6015125:6016254:-1 gene:PAHAL_6G075700 transcript:PAN34217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRRFFRLWAILCVVESSPCGDTDDGVDEYPGDGASKHRGMGHDKFVRSGTFSVGGHSWSIRFYPVYLELMKEGAKLVRASCDLSLINWSTGLPSLVHRTELRMFNYGDVSRYAPQTSFFKKRSELEASGCLQDDCLVIECIVTVVKEPRVSETKFLRNIEVPPSDIGEHLAELLEAEEGADHIGFALAMRSSVFKAELYGPMREGSTQQLVTIEDMQPAVFDALLHFIYTDSLLDNDDHGGDVHIEMIRHLVVAADRYAVDRLKLICQSILCQKIDVETVATTLALAYQHNCPRLTDACLEFITSSNAMDAVAATQGYKDLKTTCPSALADTFEKAMSLT >PAN35398 pep chromosome:PHallii_v3.1:6:37768274:37775005:-1 gene:PAHAL_6G205900 transcript:PAN35398 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-Y-related protein A [Source:Projected from Arabidopsis thaliana (AT1G14900) UniProtKB/Swiss-Prot;Acc:Q43386] MATEEAAKPSPLPPYPEMILAAIEGLGDKNGSNKSAISRYIEGKYGELPPAHPSLLTAHLSRMKESGELIFLKNNYFRADAPDAPPKRGRGRPPKVRDPNAPPLPPKAPSTGSPRPRGRPPKPKDPLDAAVAQATAGMPKARGRPPKKAKTEAAAAPAPAPAPAGDGSAPVKRGRGRPPKVRPAAPSETAAA >PVH36264 pep chromosome:PHallii_v3.1:6:2544507:2548077:1 gene:PAHAL_6G035100 transcript:PVH36264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCKHFRSISWPQTRMRQLRLLCIDTRSSGQEVARKPSPGDSLMGFQDRGEEEYLHAFVDVADTRFLQSLEFLWITTPQLKMDLCLSSTSISNDDERSCHEKMGCTNSTSTGQLLAAGLPLPYRDVCLVQTATKIDGSSSAMQFQPLDFHMEIGDGISDITNVATTQARRPISMVMNRVESLHVHDSSSITTVVPEYILLTNLLISYVGSLNRLKWCRVERCPKLDNVLATNKDPNSFFKLKTFWAAHLLMARSIWSGSPTKRGLSVYGYGSFIKLRVIHIHSCPRLTFVLPLSSNHFLSYGLETLHIFCCGDLRQIFPVEQEFQEEIAATHETKGMPVPARAPQSAAGMRGQDVRSQPRDHPPQRLLEPQVSPGHGRPPPRRPPCGCGLREGMLGRAGVGRDGVWSRPLPLPAAPLQVLQEAPPARHGPPVIKPECDRHQLAAATFSW >PAN35739 pep chromosome:PHallii_v3.1:6:39766528:39767955:1 gene:PAHAL_6G230600 transcript:PAN35739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAERDAARGSAIDSFSQLPFIRSSAREKQQPAATAASGAGTPPAGIRLFGFDVPPDAAMGSSTGSKEEAFAKESPAAAVATDDAAAETAAGASRGGGGSRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFHTAMAMHHGQYYYPHLHHHHPVPDPAQLYPAALAAYHHRLAASPPPHYPAWVGAGSGRYYSGPGSISQPINGSPVATPPPALWRVPSGGIGVGTPLAVHGGEEPVVVGGAVSAPFSASTSSSSSSASPHKRPAPPECKENVSLDLSL >PVH36403 pep chromosome:PHallii_v3.1:6:5180312:5183931:-1 gene:PAHAL_6G068000 transcript:PVH36403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERTTCIMIFRPKRTKEFRNSGDWHKYYIFIIFLAGSRCNARTYSLVRLLHYKKEISERVEGPCCGAATTPTNFITNFLGSFDKAERSIKSNFTDKCFLLLFPHTAQLLTAGSVELAHYCKSAIPLYVYLVVLFEGLFGLKSDSTIFCHNLTGFQKCSGYLP >PAN33260 pep chromosome:PHallii_v3.1:6:462099:466783:1 gene:PAHAL_6G006600 transcript:PAN33260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGTVQINWHDLQPVLSLDFHPASHRLATAGADHDVKIWVISSDGAESKLPTATFQSGLVPNGTAHSSAVNVIRFSPSGEYLASGADGGGIILWKLHSTEDGEAWKIHKTLLFHHKDVLDLQWSHDSAFLVSASVDNTCIIWDAIKGTVQQKLEGHLHYVQGVAWDPLGQYVASLSSDRTCKIYANKPQGKSKNAEKMNFVCQHTLVKVEYPSHDESKPTVKSHLFHDETLPSFFRRLAWSPDGSFLVLPAGLSKHSSEVINTAYIMSRRDLSRPAIQLPGASKAIVAVRFCPVLFTPRGSNSDGFFKLPYRVVFAVATLNSLYVYDTESVPPILIHAGLHYAAITDIAWSSDAKYLAVSSRDGYCTIIEFENEELGQPHILPGSKEVAEGNMACEKTHVSVDSMEVDVGASNHKMEASPVALRVTVPPMSAENVTLRTWELAEGNVTCENKKPVAADSMEVDASDNKVKMVTGPVAVEVTPPPVSTKNSASSKSTKKRITPIAI >PAN33683 pep chromosome:PHallii_v3.1:6:2746788:2755691:-1 gene:PAHAL_6G037700 transcript:PAN33683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVIQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQDQHDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWQDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSETETGFITPGDTMVRIEKGELLSGTLCKKSLGTGSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMEKINETISKAKNDVKELIKQAQDKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKAEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGTEIATTGDNAWPMPVNLKRLIWNAQKTFKIDFRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDVDPDKISPWLLRIELNREMMVDKKLSMADIAEKINREFDDDLSCIFNDDNADKLILRIRITNDDAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKHGKVNKFEQNDGFKADQEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDHLRGVTENIMLGQLAPIGTGGCSLYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPINTDASFSPYVGHMAFSPFPSPGGYSPSSGGYSPSSPVFTPEKGYSPLSPSYSPASPSYSPTSPSYTPGSPTYSPTSPNYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSSAKYSPSHAYSPSSPRMTPYSQTSPNYSPTSPTYSPTSPSYSQPSPSYSPTSPFNTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQANDKDESTR >PAN36616 pep chromosome:PHallii_v3.1:6:44894015:44897063:1 gene:PAHAL_6G297000 transcript:PAN36616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATLLPELNPLRHLLAGDERALPHFRGQVPGAWLSSTRSGLEGPCRRRRPSDCRGCTMARSLPICPQIDTAVLTRVPGVSLDMLFLVVIQALAVIFLARFLHLFLRRYNQPSAVSQILAGVAVGGMGLRNAIVHVDVDDVEDMYGGYISAARVVYMFLVGLNLDLGALRNATRRCVALAYATVAASLLVAAIVSSGMYGSMMHSPVKTPELLAATLMLALTNTSSITVARVAGELNLTVSENGRLVVAAAIITNLICVVGDGLLSSTTLAREKSEDMYRGSPQIKKGFLALAVAGVAVWRVRPMVTRINKRNAGQHHVRGRDLVTILLTIWFISSFQQLLGFDGMPTSLALGMAFPREGPAARTVADALVPPINGIVLPFYFATIGMRLDYNSMSGAIIVPGMLLTLLGLVGKAVGAAAASSYLNIPVSEALRYSVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTVIAGPAAAAVLRREKEEYRTRHQAVESLGPEQELRMLACAHGAHAAPGILSLVELLVSAPHEQPAVPLFHFFEVPRDRSARTPYHQQTRDDEDKGGPDAVTQMNRVVDVFSRATGVYFRQVDVVSLGATRDAAVACRGAEDAHAGLVLVPCYKEQRFDGKMACRLEERWKLNAEVLARAPCTVGLLVDRPYRHSGTSFQTPISVAPESGRTLVHPCSDRTVTHVIAAVFLGGPDDREAVSFACRLAEHPAIGLTVFRFVKRSTYDTVTSSASRAYAAGGDELDVEFQEGDVDERFLWRFYENYASRELAMYVEKVVESPADVVETLEGMAGMFSLVVAGRGGRQPVELMAGLERWAEAGSEIGPVAEILASNESLEMGSVLVMQQHTVALTPTSR >PVH37065 pep chromosome:PHallii_v3.1:6:40174499:40177568:1 gene:PAHAL_6G234400 transcript:PVH37065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPAALPRLLAAISAAASSPADLRRLSHLLLSPSAPPPPIRCLNTLLMALARHRMLPDMESLAARMPARNLRTYTTLINAYCLAGDLPAAKRHLSSLLRAGLAPDSHAYTSFVLGYCRAGLLTHACRVFVLMPLRGCARTAFTYAALLQGLCGAGMVREAMAVFSGMRPDGCAPDQHVYSTLVHGLCGAGRTGEADALLTEAMGEGFVPNVVVYNALIDGYCSTGDLELAVGVFEGMQSKGCSPNVRTYTELICGFCKSGKVERAMVLYSRMVEAGLAANVVTYTALIQGQCNEGHLECAFRLLHSMEASGLVPNEWTCSVLIDALCKRGRIEEAQQFLGSLVQKGINVNQVVHTSMIDALCKAGKFDVVHNLIEKLATEGFVPDAHVYSSLIDGLCRENKLSEAMPLLDDMMENGVQANAVTYTILIDKLLREFGSKGPKKIFDRMFVAGIKPDVFTYTVFIRSYCQEGRMEDAESMMVQMIDHGVCPNLVTYNTLIKGYANLGLVSQAFSAYKSMVDNGCKPNEESYTVLLELLLKKNSSGDIVANFVNVWKIADMKVLNGLLEEVIKLQSTPASYIYDCFIRCLCRLDRLEEAKSFLTGMQSANLTPSEDVYTCIIECCCRLKLLKEALRFLDTMAKNGYLPHLESYRFIICALCEEGSFHTAKSIFGDILSKEYNCDEIVWKILIDGLLQKGNTADCSSLLSFMEEQNCRPSAAIYARLTGEITVASVQEIAT >PAN36394 pep chromosome:PHallii_v3.1:6:43327585:43331076:1 gene:PAHAL_6G278600 transcript:PAN36394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCGDMVAAEEEDDDEYAKLVRRMNPPRVVIDNDSCDNATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMGVFNMTDQDGSKLQNKEVIDHIQKCLESEDYLKLPAIGPTDGGAPPEDESTSIELTGTDRPGLLSEVCAVLASLSCNIVKGEVWTHDRRAAAVIQITDEASGLAIHDAARLSKVQELLCNVMQGDGASSRGTTRTGVSVGAARAERRLHKLMLDDDGGEEAVGGEDRRGEARRKAAAAKVVVMDCAERRYTVVILRCRDRPKLLFDTLCALSDLQYVVFHGTVDAERRSREAYQEYYVRHVDGYPVRSDAERARLVRCLEDAVERRASDGLELEVRTEDRVGLLSEVTRVFRENSLSIIRAAIATRDGRAEDTFYVSDAYGNPVDGRTMDAVGEQLGDAVLRVKRGVGDAPVKPVAEGGAVSVLGSLLKGSFQGLRLIRSYS >PAN36395 pep chromosome:PHallii_v3.1:6:43327585:43331076:1 gene:PAHAL_6G278600 transcript:PAN36395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCGDMVAAEEEDDDEYAKLVRRMNPPRVVIDNDSCDNATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMGVFNMTDQDGSKLQNKEVIDHIQKQCLESEDYLKLPAIGPTDGGAPPEDESTSIELTGTDRPGLLSEVCAVLASLSCNIVKGEVWTHDRRAAAVIQITDEASGLAIHDAARLSKVQELLCNVMQGDGASSRGTTRTGVSVGAARAERRLHKLMLDDDGGEEAVGGEDRRGEARRKAAAAKVVVMDCAERRYTVVILRCRDRPKLLFDTLCALSDLQYVVFHGTVDAERRSREAYQEYYVRHVDGYPVRSDAERARLVRCLEDAVERRASDGLELEVRTEDRVGLLSEVTRVFRENSLSIIRAAIATRDGRAEDTFYVSDAYGNPVDGRTMDAVGEQLGDAVLRVKRGVGDAPVKPVAEGGAVSVLGSLLKGSFQGLRLIRSYS >PAN35848 pep chromosome:PHallii_v3.1:6:40579664:40582452:-1 gene:PAHAL_6G239600 transcript:PAN35848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPLHHSTDPFTDGEHSGHDPAVPSSDISTCGPDDGIPVVDFDVLVNGAADRRAQAIRDLGRACEDWGFFMVINHGVPEELKEAAMETCRELFSLPEEEKAEYLEARPMDPIRIGTGFFSVADGVRYWRDYLKMFAHPELHCPAKPPKLRDVAAEYSAKTRGLLLVLAKAISESLGLDGGRIPEATDLESCFQILVANHYPPYTGPGGAGLGLPAHSDHGFLTLLFQNGVDGLQVERDGRWRLAKPLPGAFFVIAGDQLEIVSNGRYRGALHRAVVGGERARMSVVSMISPCLDAVVEPIPELVPDGRGLEFRGVRYRDYMEHQQSNKLDGKGALDFARVQRDIAA >PVH36119 pep chromosome:PHallii_v3.1:6:180026:185808:-1 gene:PAHAL_6G002700 transcript:PVH36119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRVGEELQDLEEGTGESSPAPRSPREAHPDLHAVQRRICERLRLTGRHDETLADPSFHGRLARHLQRLPRRYLFDLDVEDKAEDVLLHWEILQECADPEKRPVFHARYIKSMSVRADYHGIGDNQELEEPCQRLMEDLSLERRKTVDGNDSMSISSRGDLKTKLIHEIIFSSIDRPKLLSRLTALLSEVGLNIQEAHVYSTKDNFCLDVFVVDGWETEETDDLIVKIKVALAQKNASPSNSTNSSTSEKITDLQQKVGDSEIDWNMLTKGEKIASGSSADLYRGTYNGLDVAIKSLRIANLNNPSEIEFLQEVLILRRVNHENILRFYGACTKHPYYCIITEYMPGGNLYDFLHKHNNFLDLITILKIAISISKGMDYLHQNDIIHRDLKTANLLIGYDQVIKIADFGVARHGSQEGQMTAETGTYRWMAPEMYSALPLFYGSCQLQRSHMTT >PAN33196 pep chromosome:PHallii_v3.1:6:180383:185597:-1 gene:PAHAL_6G002700 transcript:PAN33196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRVGEELQDLEEGTGESSPAPRSPREAHPDLHAVQRRICERLRLTGRHDETLADPSFHGRLARHLQRLPRRYLFDLDVEDKAEDVLLHWEILQECADPEKRPVFHARYIKSMSVRADYHGIGDNQELEEPCQRLMEDLSLERRKTVDGNDSMSISSRGDLKTKLIHEIIFSSIDRPKLLSRLTALLSEVGLNIQEAHVYSTKDNFCLDVFVVDGWETEETDDLIVKIKVALAQKNASPSNSTNSSTSEKITDLQQKVGDSEIDWNMLTKGEKIASGSSADLYRGTYNGLDVAIKSLRIANLNNPSEIEFLQEVLILRRVNHENILRFYGACTKHPYYCIITEYMPGGNLYDFLHKHNNFLDLITILKIAISISKGMDYLHQNDIIHRDLKTANLLIGYDQVIKIADFGVARHGSQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELSTSKVPYDNMTPLQAALGVRQGLRLDIPTCVHPRLSKLIQRCWDENPDVRPTFAEIIVELEDILQHVQAAKGVNRRSRAKMQKKSER >PVH36893 pep chromosome:PHallii_v3.1:6:36384176:36385585:-1 gene:PAHAL_6G192100 transcript:PVH36893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSFHDGSDTTPCVDESMLPADNGDETSRCEDEFMMPVDNEDDQIDDNFSSPRENEPMLSMDDAEDEPDAAAALWLPDVPIRNILARMPPSSATRFKNHLAAPVRSVLLHDGDAPRPVSVVDEARAARLAALHSCRGKPRHGFTVHNCCGTLACLHSGQRDAELLNPATDESLGLCHFFRRGRRTTWTHAADHLPWYCLGRCAGTGEYKVVHLDVRLPTSRRPHVTCEVLPLGRESWEGSSGRFAPEWKKVGLWHVKYCPADCGVHVAGVVCYLAWCVAGVIMVVSFDLSTHNVGEIDLPVAATEDGGVVASLSELDGRLCLSLVSNGAEARRGDIGAALDMYVRGDDTDGGGKVWFQVCRVDLDVATRCVPRPLLWRGGRILVKRADGSLCYYIIYMDGTGCEGAAAGEEVVYEHKGRRWRKQQLTGVTADVFVKSPLPLQAILRGA >PAN33945 pep chromosome:PHallii_v3.1:6:4427524:4429137:-1 gene:PAHAL_6G059000 transcript:PAN33945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATCMTTMLKPVNTTPHPLAGEKVPLTVFDRAAFDTFVPTVLVYPAPAPPSNRALKEGLLRAVAAHPHFAGRLAVDDQGRPFLYLNNEGVLVMEATVPEGDMAAAVLLAGGVAANDHYSKLYPPAPKEKIGAAVLQVKLNRYKCGGLVIGIITHHRVSDGQSFSSFLAKWAMHVRADHNDGDFAAPPPFLDRAATAVPRSPPIPVFDHRSVEFNAGDAHGSRSYAVIPTEKIKNLTVSFTAEFVAELKRKARVGGGGAARCSTFQCLLAHVWKKVTAARDLSPGDFTQVKVAVNCTRRADPPVPADFLGNMVLWAFPRLPVGELLTSSYGRVVTAIRDAVARVDAEYIQSFVDFGAVADASGEDLAAAAATAGTVLCPDLEVDSWLGFQFHQTDFGTGPPCAFLAPDIPVDGLMVFVPSGTARGGVDLFMGLVEGHVEEFHKICYVLD >PVH36572 pep chromosome:PHallii_v3.1:6:13100278:13104349:1 gene:PAHAL_6G107400 transcript:PVH36572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALTSRVMATVEGQRAMLLLLLLVLAHMSLTGSSPPPDPVACTDGASNCTVTNAYASFPDRRTCHAARAAYPRSEQELVAAVAAAVAAKRKVRVATRYSHSFTKLVCPGGSTGAIISTRWLNRTVRVDAGKRLITVESGVVLRDLIRAAAAAGLSLPYTPYWYGLTVGGLLATGAHGSSLWGKGGAVHESVVALRIVTPAPASQGFATVRELGTGHPDLNAAKVSLGVLGVISQVTLSLQPLFKRSLSFVKRDESDLAAQVAAWGYLHEFGDITWLPEEGKVIYREDDRVDASSPGNGLNDNLGFRPFSASSLVAQRIQDERLEKNGTDTARCSATRFSAAYLFSQAYGLTNDGVNFTGYPVVGYQHRMQASGTCLDTKDDGLQTVCYWDPRIRGPFFYNTGFSIPLSRAPAFVADLKRLRDLNPQAFCVLGTSGVLMRYVRASTAYLGKPVDSVAVDIDYYRSHASGTPRAHADMIDEIEQMALHKYGGVPHWGKNRNFAFHGAIAKFPKASEFLKVKHRYDPEGTFSSEWSDQVLGIKGSANILEKGCAMEGLCVCSDDSHCAPEKGYRCRPGKVYTEARVCAR >PVH36573 pep chromosome:PHallii_v3.1:6:13100114:13104697:1 gene:PAHAL_6G107400 transcript:PVH36573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALTSRVMATVEGQRAMLLLLLLVLAHMSLTGSSPPPDPVACTDGASNCTVTNAYASFPDRRTCHAARAAYPRSEQELVAAVAAAVAAKRKVRVATRYSHSFTKLVCPGGSTGAIISTRWLNRTVRVDAGKRLITVESGVVLRDLIRAAAAAGLSLPYTPYWYGLTVGGLLATGAHGSSLWGKGGAVHESVVALRIVTPAPASQGFATVRELGTGHPDLNAAKVSLGVLGVISQVTLSLQPLFKRSLSFVKRDESDLAAQVAAWGYLHEFGDITWLPEEGKVIYREDDRVDASSPGNGLNDNLGFRPFSASSLVAQRIQDERLEKNGTDTARCSATRFSAAYLFSQAYGLTNDGVNFTGYPVVGYQHRMQASGTCLDTKDDGLQTVCYWDPRIRGPFFYNTGFSIPLSRAPAFVADLKRLRDLNPQAFCVLGTSGVLMRYVRASTAYLGKPVDSVAVDIDYYRSHASGTPRAHADMIDEIEQMALHKYGGVPHWGKNRNFAFHGAIAKFPKASEFLKVKHRYDPEGTFSSEWSDQVLGIKGSANILEKGCAMEGLCVCSDDSHCAPEKGYRCRPGKVYTEARVCAR >PAN35991 pep chromosome:PHallii_v3.1:6:41224768:41228643:1 gene:PAHAL_6G249100 transcript:PAN35991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MQISNIECRRKKNWSPPPKRKKKREEVTLCLCCRDQRFMCTFRFKMWWMTQRMGYSGRDIPFETQFLVVEGTNGAQFAGDSTEQPVVYTVFLPILEGSFRAVLQGNADDELEICLESGDPDVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFSHREKKKMPDILNWFGWCTWDAFYTNVSAEGVKEGLQSFQKGGVSPKFVIIDDGWQSVGMDPVGIACLADNSANFANRLTHIKENHKFQKNGREGHREDDPVNGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGVFGMEHYESKMQHPVSSPGVQKNEPCDALNSITTNGLGLVNPEKVFSFYNELHSYLATAGIDGVKVDVQNILETLGAGHGGRVLLARKYQQALEASIARNFPDNGIISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGSHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLVHDEQPGTVTGVIRARDVDYLAKVADQSWNGDVIVYSHVGGELVYLPKNASLPVTLRSREYEVFTVVPVKHLPSGASFAPIGLIGMFNSGGAVRDLRFGEDAGVELKVRGSGTVAAYSSTKPKSVTVDSMVVDFAYDDACGLVTFELGLPKQELYLWTVSVEY >PAN35990 pep chromosome:PHallii_v3.1:6:41223928:41228643:1 gene:PAHAL_6G249100 transcript:PAN35990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGIAVQDGSLVALGAKILREVRGNVHVTPAAGGGLTNGAFLGVRSAPAGSRSVFPVGKLRDQRFMCTFRFKMWWMTQRMGYSGRDIPFETQFLVVEGTNGAQFAGDSTEQPVVYTVFLPILEGSFRAVLQGNADDELEICLESGDPDVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFSHREKKKMPDILNWFGWCTWDAFYTNVSAEGVKEGLQSFQKGGVSPKFVIIDDGWQSVGMDPVGIACLADNSANFANRLTHIKENHKFQKNGREGHREDDPVNGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGVFGMEHYESKMQHPVSSPGVQKNEPCDALNSITTNGLGLVNPEKVFSFYNELHSYLATAGIDGVKVDVQNILETLGAGHGGRVLLARKYQQALEASIARNFPDNGIISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGSHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLVHDEQPGTVTGVIRARDVDYLAKVADQSWNGDVIVYSHVGGELVYLPKNASLPVTLRSREYEVFTVVPVKHLPSGASFAPIGLIGMFNSGGAVRDLRFGEDAGVELKVRGSGTVAAYSSTKPKSVTVDSMVVDFAYDDACGLVTFELGLPKQELYLWTVSVEY >PVH36570 pep chromosome:PHallii_v3.1:6:13034840:13035309:1 gene:PAHAL_6G107000 transcript:PVH36570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPNTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PVH37311 pep chromosome:PHallii_v3.1:6:44111047:44114543:-1 gene:PAHAL_6G285400 transcript:PVH37311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRHGQIVYPGKRWIDLDEFLDSKPILEDVPVNRLFGRPDDCQPYMSNDASYRLIKLGLYLPYFRNILGKSCSGAFSKGNVGVIADLGMFAYRNLEEEADHKWKSNSAVGYNEFSIFMRGIISERHPSSHNMLPKGLDAFFSLLDGNEVKPEFALDYPCLLSDEKYGSMLIQVSRLLRNEFRVFGKSAKPIAILRQIPLSEPDCVAVCQKHPVLNEYLPSSLKNVQFHLDDLADNVTCGPETVRPRGPGSIFGLVSSSIKTGTGGPGMAISPPMPVPVGSDLPLAVGIILVDVNRHGSIHSVESKLLPLIDFLPGSCASNLRHAPYTRKEVIQLLRYNFPLYLPVAFEAFWVKGEWDTLGARLADPIPSIYSAPGCC >PAN35717 pep chromosome:PHallii_v3.1:6:39607592:39610338:-1 gene:PAHAL_6G228800 transcript:PAN35717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPARPLLVLAALLLPALLLSAASAADSKNNPADQLVALINSNRTASKASSLSDNQGLGCIALQYIKAYEGQCSDVRNKKPPETSFTEIFAPSCGVQVATLSKITGRLMGCQSKYPSPPEAFHILVNDAKDLQVLHSKNHTEIGAAVSGTDGGGPYFWCVLFSGGKPATSFKVDGEVPKTAMHPGCFSGNNDDCAGPTNGAVPISAGASRLVAALLFVVACAFAL >PAN33377 pep chromosome:PHallii_v3.1:6:1355539:1357222:-1 gene:PAHAL_6G016400 transcript:PAN33377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNETNVEEQLQSLKAAVSSPSATVQTMVGGLSKLGSIYDRVDELLTCLPSSQRKAVEEELERSLVLLDLCSAVQESFVELKTLVQDMQLALKRGDDAALQSRVQCYARSTKRAQKLLKKVNKKTASDMEGCRVIKLIAEAREIAVSVLESTLNLLSKQIAMPSSSKWSLVSKSFQKKRVVCEEEQLQGLELDIVDLESGVGALFRTLIQSRVSLLNTLSL >PVH36124 pep chromosome:PHallii_v3.1:6:293581:295293:1 gene:PAHAL_6G003900 transcript:PVH36124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDLHLDGAALILSLPEDVLALISAHLRPRDLLALSATSRRLRDALYGGGADKAWLAQCRRLLPSPPHLLAWRAAAGGSSLAVCRFLHSAAPLLGALWAHQNPELGNLVAAVPGFLSVVAARAIPQELSPRLRWAPVFELLADAHGRPAILFLHGHQPADLFPARLSSLQPHANVLFLEAQTDQDPIASSSHQFPRLAFGDRRRLLDSLVAACRVTLPPDLVAAPLFARSEDDLPVLAARREAMLRLHREAGGGMVCTTEVEGLLEAKKKGEPTPLPSNGGERIRLRRSLSAVAGYVRNSLRQMVTRSVSANSRAEYVDTKHLPLADFLHNGESVGLSLRGARVRLSTYRAWPSMHDNRFALYKLTLQAPMPGREYAGLWGGTFGWPPGRPEDECKPRKALFFLLLSYEVDSEGKLLLIATKVLEGTHYVVHPNGSSMFIARMCEPSTEAFPWQTDGESRNVDVERGFAGEGIANGYGFRYPGSKPGSLFVLQDGQLAFVWRETGAVLTLQRLNLEELMKKGERVPALQPVPNFAYLTKSYSNVFTGFPGSSASPRYISSSPLPPVIC >PAN36480 pep chromosome:PHallii_v3.1:6:44185492:44185830:1 gene:PAHAL_6G286700 transcript:PAN36480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRRNTNLSATTAVILLLVVVAAEMGSVGAKRAACSHLSGRFSGVCILDEQCEINCQIESADNIGGACDGFPSRCYCQTQCPP >PAN33456 pep chromosome:PHallii_v3.1:6:1695201:1699239:-1 gene:PAHAL_6G022800 transcript:PAN33456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVKAPAAAAAAAGAKAAAGDAPSPAAAPAPAPAPAPAAANGNGTPHKPPPVPAAAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKACCYKAQNPCHIHVLKQANTLPDKPSPTTAPLTEQPSTNLPATGSASRLACLQKLPHHFLNSLRTKKSLAKKDVASINKWRFMKLKEHMQGDIDAENEAYERYTQNVGLLEETFCPMEDDADESEAEATSSEEERMDLLVSEAMVRLKSDNENADSFKERVATILDEKLKKLQESQSAYEDDKPSDQDQDDHTTPVKFSAKQKMERAAKFSELLGKMTRARSEDDLKPCRDLIEQLFGKENGASMGESNRMETEPSGQESTAAAAAQPHSFPELCTRIEVGEDFASNLDAEFSSLSEVAQL >PAN34705 pep chromosome:PHallii_v3.1:6:31591532:31595887:-1 gene:PAHAL_6G167600 transcript:PAN34705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MAPPPLPLFSPSPKPPPPPPWLHGPSTPTHNPSPASAAPPPPVEAAPPSKLRHHGPKPAPARNTGGKAAAKPLTAGVPGGRTRRAVFGIIRRVRSLELSDPPRPVPARNYDAAAVAVPFHLPVEPQEQAREAAEKGKHRAVPWAAAGDEGLKVALRREKKAREPTRAETELAAGELDRLRWVARGMGRWARAKKAGVTDEVLEDLRREWAKGEELAAVRIVEPLRRNMDRAREILEIKTGGLVVWTKGDIHFVYRGSNYQQNTKQSHYSMSDVQNLKYNVPTTQLEYGNEGEVLTNANSKADDAFQEKYQSICGQKDEEPVKGTLYEREVNRLLDSLGPRFVDWWWNTPLPVDADLLPEFVPGFKTPFRQCPPGVRPTLADVELTYLRKLARPLPTHFALGRNTRLQGLAAAILKLWEKSLIAKIALKVGIQNTNNEQMAWNLKHLTGGTVILRNKDFIILYRGKDFLPGGVAQTVIQREAQVHDEQVKEEEARLKAVDSLQMVGGLSSEESSVGTFREYQDFQADHVHGNTENSNTIIELEAEKHRLEKELKDQEWKLSILNKKIERSNQALAKLHSSWSPSEESADKELLTEEEKAMFRRIGRKMDGLVLLGRRGIFGGVIEEIHQHWKHKEVVKVITKQNQARQIIYTANLLEVETGGILIAVEKLPTSHSIILYRGKNYRRPEKSSSSNLLTKREALRRSIEVQRRGSMKYFARERQKSILELKRRLRYVARQIKYRTPRS >PVH37010 pep chromosome:PHallii_v3.1:6:38848525:38849845:1 gene:PAHAL_6G218600 transcript:PVH37010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTAAAGLAWATLLVCVALGAGQAQPQANLAAWFPSGSLPPPVRIYSRQNDALNVAVRRGNVVFARADCSDDSQKWYPLYTSGSFSGRQPFSLVNAKTFQVMTIPSGSGQKVGLSGPTDATRAAREELWTPEKPTRADGFFQLFVTNNPALTLNGLRGVRSGSEVGIFSASPNSLNAIWKITSYPPCLP >PAN34273 pep chromosome:PHallii_v3.1:6:6492861:6497168:1 gene:PAHAL_6G080100 transcript:PAN34273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLAQTLTLARPAPSPSAAAASASLRGLATKVEVIEIDLTEDDAAAPGSPAASPSVEVVGIRRLEEAIHGVMVRRATPDWLPFVPGGSFWVPPLRRPQGVAELVGRIAAAGGAEGLVGAAGGAVEVEVVEFDAPMTEEEALSFSTARGWPSASYFVEGKSPHSKKELRKGATQPDDE >PAN36647 pep chromosome:PHallii_v3.1:6:45034175:45037868:1 gene:PAHAL_6G299500 transcript:PAN36647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAMLRWAGAALALLAAAPAAAFYLPGVAPNDFQKKDPLLVKVNKLTSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCRASIDDKQAKELKEKIGDEYRVNMILDNLPLVVPIAWQDRDAVVYQGGYHVGVKGQYAGSKDEKVFIHNHLTFLVKYHKDETTELSRIVGFEVKPFSINHQFEGQWNDKNTHLITCDPHASKLVTNSDTPQEVEAGKEIIFTYDVGFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLENEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRFYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYNWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFAFCVLTGTIGFCACFWFTRLIYSSVKID >PVH36303 pep chromosome:PHallii_v3.1:6:3110968:3112601:1 gene:PAHAL_6G042800 transcript:PVH36303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRHPRQAATAPDPLLRFHRYWVQGLARQSRRPTVVASNQYPAAAALAAIAFTEGTLLHGTTAVPTAMIFRLTNCLLRTEAALATAGGTLLHRGRADDHDLQANKLTVKKQMIQLAHLQETLS >PAN36295 pep chromosome:PHallii_v3.1:6:42920771:42930239:-1 gene:PAHAL_6G272000 transcript:PAN36295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHLQHQLSTTMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTPYDKDRIVKMAATCERTVADKNAEKKHKTQKVKPKVAAAAAAATSVEAKKHLAGVRVIQRNLVYIIGLPAHLCNESVLERREYFGQYGKVLKVSVSRPTGPPSQQASANNNISVYITYAKEEEAIRCIQAVHNFVLEGKILRACFGTTKYCHAWLRNMTCGNPDCLYLHEVGGQEDSFTKDEVISAYTRTRVPQMASSVSQRRAGTVLPPPADDFSYSAVVSAKHTIKNGTLNTNNQPRLSPPNSSSGRSTLPPASSWGHRDLNARTTANGVTSTPSQTKSKSEPQINSFSSSSTISNTIIPSSWNDDTSTAPKMSEGRQVSDQDRASKTIEPYKPGIVKETHSLSSLDIDFSSIPSAWNDDDIVVSDGMSKGSEEIQAAKENGKLTHLAYKSPISPKKDVTMNITSKRLSDLVSDLAILKSDVKTGDGDSSVTKITPKSPTSAVVNCQSCLAADEKILEDIGPRETDIEKLSVQISSIKLDGNDEAHSMAGNHQPAAMPCTSVTIPIGQNFGRGQSHMKLDELLHSENKDTVLSSQYGSDNHLDWTSEQQSCCATSLNDIVNSTVVTDKLHSRLMDGSDQPSYSSFAGFPNTLGTSLWNDTESNPALMIGTRTSSQMQSGFSSIDKARALLNGDQDGRGTVYTPGNISGHPGMGSHQPGAMGSVRSGSVGSFDKTISVNKDESRIISDMLSSEFNLWDDSFLPANNFLRMLRESENNDVPFTAPSWKSGSGSRESRFSFARQDNQGNSDSSLRNCASDQTFSLLPQISRGNFYQNGRAFQSLDNDASNSNSLATSDMATTGSSRSKISAPPGFSAPARVPPPGFPSQDGLNPPPGFSSGISSQEVYKTPPRLPSPFSSGLSSQDGPNPPSRSFSAFSSGLSLQDGPNHPSRFPSAFTSGFSSQDGSNQVYGSMYPETLLRENVLGSNIPFGRHTNDIEFNDPAILAVGKGRMPGIGDSGLEMKNTHAFPAHLQTSNNDPRFQLQMQPNVQPHQNLRFTDHMHDAFNPMNDNYLASRYLQQSHGPVSPYAQMPQQRRNSQLTNGHLDGWSDLGQGNNNLMSDMSRMLYPSEVNNLHMLGSNDIYTRAFGM >PAN33392 pep chromosome:PHallii_v3.1:6:1389043:1389312:1 gene:PAHAL_6G017300 transcript:PAN33392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGKLQQLMARLHLARGGVPRGHFAVYVGEARARFVVPTAILKQPSFVALLESAEEELGLDHHCHPGGLTIPCCSERDFATLVRSLG >PAN34985 pep chromosome:PHallii_v3.1:6:21911101:21915659:1 gene:PAHAL_6G134700 transcript:PAN34985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAATGMKMVSARPCISASQGMLASRVAVSRIETTARFASCPKICCSRPLSSKRAGFVVRAMSGESGPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMDIVKVYPLDAVYDSPEDVPEDVKSNKRYAGSSNWTVKEVAETVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSYVSLLQHFLPIMNPGGASISLTYIASERAIPGYGGGMSSAKAALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTITS >PAN36178 pep chromosome:PHallii_v3.1:6:42343267:42343869:-1 gene:PAHAL_6G265200 transcript:PAN36178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALATNGSGAARRGTAWSGGGQPGVAFGRQKQSEWTGVRPRKSGRWAAEIRVPTTREKLWIGTFESDRLAALAYDAAVFCFYGEDLPKTRRFNFPVAPRPDVPKILRGHLNVASVKAIAEHHARSVDAVLPPLVRDAAAALAAAATATEAGPSAGTTTYHDAPIAMADNNPVASAVDDGQFSFDAHVIAGLMDLEPGEY >PAN36528 pep chromosome:PHallii_v3.1:6:44397951:44399777:-1 gene:PAHAL_6G290200 transcript:PAN36528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMRRIAGIGKAKVPSAPSAVQKDKDESIVFFRELYKREKDRDVNLLEPMYSVEFEAIQGDHACKVPPGKRDFLIPVDEKHDYDWLMTPPAAPLFPSLEIEAKSSQMVFQRELPIPPRQVKPSASRLLAKPEATKTSTRSAPPTSNTSSRKTFIKTSPAISKEKKQPYTEDQRSSHKVSVNGHQKAADAAIPGTRIGGAPKKHSETCYATQTSSTSTVKGVTDQEIPFKTPKNLITTTRSIFWRHTPSTKNAQSKDPGSGVDVKKESGKVRRPSRPPAAIRGMTELQLQDRRDALPPRGKSVTGSGGEPASSTGGRAGRAALMRGTGRANGRAWI >PVH37131 pep chromosome:PHallii_v3.1:6:41531755:41532056:1 gene:PAHAL_6G253600 transcript:PVH37131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQRNPANGEIPNFNTYSSTAIRQPDGRGRGLPSSDAARHCFPRLRSLGSSLDSNPTPGRKTRTERV >PVH37084 pep chromosome:PHallii_v3.1:6:40566200:40567145:1 gene:PAHAL_6G239300 transcript:PVH37084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDHQYDNVMKALQCGILESGSGLNQEMGLPRPGETRWGSHYKTVVNLIAMYPIIRDVLIVLGRDTSARGDWPKIHNMVGVLESFDFIFNAHLMLDILGHTNELSECLQRKDQDILNAISLVHLAKSKIQQMRSDGWVSFLQRVTIFCNKYGIQVPEMEHNYVPYGRSARFAPDQTNDDHFRREVYIGVIDKISQELDSRFDEVNMELLTCMAALNPADSFASFDANKVHRLAEFYPNEFSSSDLLRLDLQLETFIDDMRKDELFKSINNLVDLSVKLVETKRDKYCFYPWLPQVLKEYFLQ >PVH36938 pep chromosome:PHallii_v3.1:6:37352190:37361376:1 gene:PAHAL_6G201700 transcript:PVH36938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPDLHRRPFKMVRCLFRCWISTRGRGPAPFPAASCWCPSSPLPSPSACCARCPPLRSLRAPGDGGRGGWCLASLPAYATPVGPAAAAVFRPPRALICHLGRVRIRGPAPFPAACRCCPWSPLPAASGCSARRPRCGACASAGGWRPRQHVACVATGLPFLSVAFLAAPCSAMFSLEWFVMVLGLALPRRSSCSGRHGGSLVCPQWQRGTLLCLCSSRFVYGVLGLDRAGESVAPGARGGIPPLLGPPVPGARAFIGRCAAKASRSVRGGCAGRCFTFAHVTAPAEFLESPAASLFVLFLDLLDVGRWPLPWFGECSSSAPLLRRSSSCLWHHRIKCRVLYLSTSSVVPL >PAN33910 pep chromosome:PHallii_v3.1:6:4142304:4153970:1 gene:PAHAL_6G055900 transcript:PAN33910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR3 [Source:Projected from Arabidopsis thaliana (AT4G15180) UniProtKB/Swiss-Prot;Acc:O23372] MGDGGVACAVRAVEGFGASALVRRGGAGEVMPDKGEKGHGHHHQHHQQQHRKSQQPASAAELEEGELLNGEPETNGLPERSMPPKKWRKVLAASTAAAEVEPGEIVSTKQAVPLKKARRNGEVDKGELVPERQRKDRSSGKSASASARKSSKDEVEPGEIALPEKRRDSKSQRGDDNGRRPSSSVQKGSSRDSDEEPGEIKPESSSTGSVRKSRPAEPQSINHKHQADTFDQSGSKNRRKGEGRSSSAGRHLSGRNREVSPPTRDRHDRHERSPGILGRFPHDRFRHDRYDRSPSRLERSPHRERARHHDSRDRSPYISPRHRARQPHFRDNTPSRVDNSPRGRAQHEDFRDRSPFRHDRSPSERSRATDSHEAIKKNRNCSSSEKPQHKSKSTKQSSKTKSGSNVKTEEKISKEKATESTQYTELPPPPPLPPPPPPPPPPPPPLPPVVPPPLPPPPEPEPNGVLAEDMIEDMDICDTPPHTSAAPEPTEPICDLGRWFYLDHFGIEQGPSKLADLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSDIPSLYSDASTQLVSPPEAPGNLLDEALEAAASGAEDKQMEEASGEDSEDFYIDDRVEALMDGSILVPGQELEIIGDLLGADFKPADWERWSRPEDFTRFQIHPEGDDGINRGTEFLDNRPTDTYSLVSVEKNNFHHHVESSEWFSGRWSCKGGDWKRNDELSQDNPFRKKLVLNEGYPLCQMPKGSHEDPRWHCKDELYYPVRAKKHDLPLWAFSSTEEDTDSASDTCKSAVPGRPGQSRQPPRGVKGMMLPVVRINSRVVKDQSSVEPRTKPRGADRPLSRSSRSHSIGTERSSVHEGSSHFKKHHDHDSQGLHKSKSVPNIPKDRVCTADELSVNRGDWYYLDGTGHEHGPFSYSELQELVKKGTIVERSSVFRKIDNTWFPVLKDLKSLCSVPSAAQSSNSTAAHMQSDQYNVGVNQGSGSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWISAKQPKKEFEAYFSHNSLSRNFLPEDGGSAKRAKLLPDQSDEDIHLSDDILASRKEDICFEELCAGSASVDDDSVNPSTENGSWGLLNGHVLARIFHFMRADVKSLISSAATCRSWNAAAKYYRNMSRFLDLSSVGALCTDSVFCDIMAGYEKQNIRTLVLAGCSNLSSHALGKVLEQLPHISYVHIQGCSHLGDLKNKFQHVKWIRSSLSSEESHQKMKTMKQISDGNNYLSKVARNFTNQLDGSDELDGYFADISNRENANLSFGQGFYKRSKLLDARKSSAVLSRDAQMRRLMQRQAESSYRKMQEFVINRLREIMKSNRFEFFIPKVAKIEVRLKNGYYARHGFHTIKHDIRSMCQDALRYKDGNDSGDIKQIVVSFIQLAKRLGNPRFISERNGAAAQDSLDISQYSSDTKLKKKQNKTRGANSVAVGADSSRAFDREIKRSLSKLKKKNVDSGSETSDDDDGYSEGDETESETTVSDTESDVDVHSGAWDLKGNGLKLLEPNESVTDDRILGGRMTKASLVPPVTRKYEVIEEYLIVADEEEVRRKMRVALPDDYSEKLLSQKNGTENLELPEVKDFQPRKVPGDEILEQEVYGIDPYTHNLLCDIMPADLDLSPTDRHIFIEELLLNTLNKQVRHFTGSGNTPMTYNLRPVIEEIQRSAEERGDRRTSKICLGMLKAMRNRPDQNCVAYRKGLGVVCNKKGGFGEDDFVVEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGKYMIGVYTLRPIAEGEEITFDYNSVTESKEEHEASICLCGSQVCRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLFDLGRAGLGTCLLAGLPGWLVSYTAHLVRFIYLERQKLPDEILKHNVEEKRQFLIDINMDSEKNDAEVQAEGVLNSRLQQIVHTLDKVRYVMRCIFGDPKNAPPPLVRLSGKSLVSTVWKGDCSIVAELLQSMEPHVEEEVLSDLKAKIRAHEPSDSEDIEGGIRNSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVREYKTVKSPPVHISPLDLGPKYADKLGPGFQEYCKTYPEDYCLAQLIYWYSQNSEPESRLTRARKGCLSLPDVSSFYVKSAKPGQERVYGNRTVRFMLSRMEKQAQRPWPKDRIWVFKSDPRFFGSPMMDAVLNNSPLDKEMVHWLKTRPNVFLG >PAN36241 pep chromosome:PHallii_v3.1:6:42063813:42065638:-1 gene:PAHAL_6G260800 transcript:PAN36241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRGKSKKAIEAASNDDEDGSSGEEVPPTPKRRGRRPQKPLNDDADDKDTAEAEEDAGDGAKPVVQPSKDSKSSAEGGGKKRRRRRLKRGPDELVEEEGEEGRVKSKSNGFRPNGSRRKSTPRRAAEAGVECK >PAN33870 pep chromosome:PHallii_v3.1:6:4554604:4557941:1 gene:PAHAL_6G060100 transcript:PAN33870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYRFPLGSKEELSKNLKKMEELIEEGEKLVKFPDLTTSGGNIKSSPEQTTGSGSRSPSKTTSEPPKVFGRDGDTENIISMLHDTTADPHSVIGIHGMSGSGKTTLAQYVCECEKKTGVPYFELIMWIHVTKNFSVDTIYKEMLEIVPGEKMEGDTNNLDKLQSELKKRLQGKRVLLVLDDVWYSENDRAQDDLVKLLSPLKESDKASRVLFTSRTADTAKLLGAPNPMEISDMYEEESFKMFMYYAFDNSGVSDQDRGEFDILGRKISNLLRKSPMAMKTVGRQLKRRTSEKKHSEYWKNILIQKHDLLKHTMGALWWSYNELEEHVRQCFAYCSMFPTRYELKQEELVKMWMAQGLVDTSKNEDIELAARECFDVLLSTSFIQLHKTPAGKEYYTIHDLMHDLAERVAGSDFFRIEKGTMDRKIPKDVRHLFIDSDNFGELIMKNIVDLKTLRTLVMSISAKEIKARDFESILKNLKKLRFVHVCLEKLQQNVIPDCIGELQHLRYLGLYGVFPHPEKSTTIMLPSTFVQLYHLQELLVPFATCLHCPHSKMAHLVNLRSIAGLELSIPHIGQMKWLRTLGGFTVKKEEGYEITQLENLDNIRGRLRIYGLENIKSKDDALRAKLSRKLHITDLSFIWEKTPKAAAPPNPEEILEALHPPALLSKLSFWYYDGSTFPSWLSGEDGKLKRLEDLSFQGCRGSSSELKFSKPLPMLHRLEINNCSWNALPDDIKQQLASLEELKIHNCKNIRSLPELPSSLKILQTRGCKNIKALPELPQSLEELIIYDCEDIKSPPRLPSSLKKLCIQGCLDISTLLELSPSSLEELIIYNENIQPSPRLPSSLKKLQIMGCSNIRIQLDLPLSLVEFKIKECQNINSSPRLLPSLKKLKIKSCPKIMTLLKLPSSLEELQIKDCSKIQLPPGLPPSLKKLWVKDCPNIQSLPKLPHLEESLLE >PAN35606 pep chromosome:PHallii_v3.1:6:39091219:39092692:-1 gene:PAHAL_6G221300 transcript:PAN35606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELLELSQNFISGTIPASLGNLKKLPWFSVQQFSEWGYSRGSVQEPVSGANVVVYLHYNKLSGSIPYSVGEMTRIRSLWLQANTLSGVLPDSIGNCTKLEELYLLVNQLSGCLPETLSEIKGLRFLTRLPKPSQARSLSVLRTAS >PAN33259 pep chromosome:PHallii_v3.1:6:449548:450628:-1 gene:PAHAL_6G006500 transcript:PAN33259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMSTMTNKALVLLLLALLPLGTLGSRSGPSAHHGHAGHGPKHSSPPSSPTPASPAPAASPTAATLVRSTCNSTTYYDLCVSALGADPSSATADVRGLSSIAVSAAAINASGGAATAAALANGTAAASNAQAAPATADATVQALLRTCAAKYGQARDALSAARESIAAQDYDFANVHVSAAAEYPQVCKALFRRQRPGQYPAELVAREEALRQLCSVANDIIALLSNSIS >PVH36658 pep chromosome:PHallii_v3.1:6:20596046:20597840:-1 gene:PAHAL_6G131900 transcript:PVH36658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNKVGRADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCRSFECQIWNRSYQTSAYRQFRALKEKWNWISQALAKSGNGFDAASRKFNLPYSEKPPSKLGTFKYNYLTRPIKFFQLMEELFGESGQANGSLAIDQYTSDAEDDRSETETDDSFTVEHGENDSDTIARSNSPDLAFSSSLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVASSAPAPADPYANLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASDQSFGSWVTDYLGAKYGASGGYACEFGSSE >PAN36593 pep chromosome:PHallii_v3.1:6:44763262:44766709:1 gene:PAHAL_6G295300 transcript:PAN36593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSKGIGGAAADKPSPDHDQNASPNVPALAAAGDDGASAAAAAAGTEGRRPFTSLSQEEADLALARVLQEQERAYMMLTAQYGGGEYASSDAGSYDYDEDEGSDYEEEEEEGGGDPIDEDEAVAGAEGTAAGPARGYEDDEGFARALQDAEERDVAGRLMALAGIGDWRAMEQDDDEEVEEEDGGDDPQDAWEDVDPDEYSYEELIALGEVVGTESKGLSADTIASLPSVTYQAQDKQEGNMEQCVICRVEFDEGETLVALPCKHPYHSECINQWLQLNKVCPMCSVEVPTSVSKKP >PAN36521 pep chromosome:PHallii_v3.1:6:44378752:44384420:1 gene:PAHAL_6G289900 transcript:PAN36521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MPAGSASTSTSAAAAAAAASTLLPRCHSLAAVKQLHAHFLSHSNRPFPYNHFLSKLLSLPSATVAVTNAASDYALLLLSSHPAPTAFSYNVTLRFFASSRPGTTLRLFLRMLRSGLRPDAYTLPFLLLAAARSPSRALAHSAHALLEKLGLRDHDHTVHSLITMYSYLGDHLAARRVFDGIPHRDVVSWNSMIKAYERAGMVAEVEGMFRSMVAEGTVAPNGVTVAVVLTACRDAGNMVLGRWVEEWVRSAGMEVDSLIGSALVGMYEKCGEMAEARRVFDGIIDKDVVTWNAMITGYAQNGMSNEAIALFHSMREVGMRPDKITLVGVLTSCAAVGALELGAELDRYASIRGLYNNVYVGTALVDMYAKCGDLDKAIQVFGSMPCKNEASWNALICGLAFNGRGHDAIQQFELMINEIGLQPDDITFIGVLSACVHAGLLEYGRRLFNSLTPVFKIIPKIEHYSCMVDLLARAGHLEEAWDFAEKIPGKADAVMLGALLAACRKCRNVEVGERVINRIMELEPSNSWNYVVSSKIYANSDRMDDSAKMIGLMRERGVSKTPGCSWVEVKGKVLEFYASTELQHGAEDMYQLMAILVDEMRLEGYAPNLDLV >PVH36805 pep chromosome:PHallii_v3.1:6:32618713:32619120:-1 gene:PAHAL_6G171800 transcript:PVH36805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSILLCRHPASLKTWRWPVSNHAGLVVERSATAAATGGAEGRRGRRWGPCQHMGATVRILGNGLLAAPAYPAYPVEVPDHIHANVGLGEFEIFGDAAKGPAVRAVHGLQHIHAAAWRTEDGHCSTTVEARAELL >PVH37024 pep chromosome:PHallii_v3.1:6:39289606:39290730:1 gene:PAHAL_6G224300 transcript:PVH37024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGLGKLRCMMRRWHSSSHISRAPSATDGAGGDVRGASFHGADEVAKGLHPVYVGRSRRRYLIAEDLVGHPLFQTLVHRTGGGAESGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >PVH36823 pep chromosome:PHallii_v3.1:6:33637731:33638284:1 gene:PAHAL_6G176200 transcript:PVH36823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLPQYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAAIASILESKLSIPKSCFYLKFHDSKRSDFGWNDSTF >PVH37044 pep chromosome:PHallii_v3.1:6:39704462:39705845:-1 gene:PAHAL_6G229900 transcript:PVH37044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELQEKVRLQFDCRRLELESRTQVLNTVIENLKNRSCFKELSERTNISLPLFPEPSSVLSLLLATSRTGDSPVNLDVNGAASVHVNSSEDHGVNGADNAHPDPREEDTINDASMAQRDSSQHA >PAN33228 pep chromosome:PHallii_v3.1:6:348714:349713:1 gene:PAHAL_6G004700 transcript:PAN33228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLSSLRAPASFFPGLRAAAPAPATVAVPAAKQQQVARGARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVSGSVDQSDQSFLDDDQVAGGWVLTCAAYPTSDVVIETHKEEELTS >PAN36614 pep chromosome:PHallii_v3.1:6:44884637:44886600:-1 gene:PAHAL_6G296900 transcript:PAN36614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVEAAAVSFASTSFSPSTPSTRHDTPAAPIGGAGGRARSVSRLPARVAGAVARGIVTFVFAAVGMVLGAVTGALIGLATESGLVRGAGIGAISGAVVSMEVVDSSVAIWRSHDSGIWSVLYVLDVIWSLLTGRLVREKVDPAVQSAVDSQMNAVDSPFGEMAPTLADMFETGAAAAAKGMPAAAIEALPSVTFTERTPLDAATGDRTGCSVCLQDFEAGETARSLPECGHTFHLPCIDAWLLRHASCPLCRRAVEAVAARAEHAAGHAVYIG >PAN36613 pep chromosome:PHallii_v3.1:6:44884637:44885915:-1 gene:PAHAL_6G296900 transcript:PAN36613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAVTGALIGLATESGLVRGAGIGAISGAVVSMEVVDSSVAIWRSHDSGIWSVLYVLDVIWSLLTGRLVREKVDPAVQSAVDSQMNAVDSPFGEMAPTLADMFETGAAAAAKGMPAAAIEALPSVTFTERTPLDAATGDRTGCSVCLQDFEAGETARSLPECGHTFHLPCIDAWLLRHASCPLCRRAVEAVAARAEHAAGHAVYIG >PAN36184 pep chromosome:PHallii_v3.1:6:42322396:42324727:-1 gene:PAHAL_6G264600 transcript:PAN36184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTAKGKVCVTGASGFIASWLVKRLLESGYHVLGTVRDPGNQKKVGHLWDLEGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPIITKSDSKEVMLNSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRIKDEADLPPNVLLDETSWSSIEYCESLQIWYAVAKILAEKAAWEFAKEHKIDLVAVLPTFVIGPNLSPELGPTSSDVLGLFQGETGKFTAYGRMGYVHIDDVASCHILAYEAAGAQGRYICNAAVLGCGDLAALLARRFPAYPVPRSLPSIYGEQSYGYDTAKARALGLREFKGVEEMFDDAVESLVGHGHLPAESASTSSLL >PVH36906 pep chromosome:PHallii_v3.1:6:36645236:36646595:-1 gene:PAHAL_6G194900 transcript:PVH36906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRRRRRPRGSASGGGAGRRRPSSRAAGAALQRKVRELRRLVPGGEEAPAGALLARTADYIARLRARLELLRSLAAVYGLVAAGRADAGAGECMS >PAN35850 pep chromosome:PHallii_v3.1:6:43383785:43384941:-1 gene:PAHAL_6G279700 transcript:PAN35850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTIPHPQLLSDFHNPSPIYMTPLLVLNELCLCEHVKICDAVCVNSWVLCCLLLYLSWLWDLFLISEGHLQACALHESSSNNIQEHFIKRTEHDKAIGDINIMLDKGLNELKVLKVNMDKKFAEHKQCFDQAIVILRYELKRDADEQNMKHCVDLLNVKNEMKANDLKMEGNIELRKGELKRLKNLGWFFEASSAIISRFSNLFDYVFKKPGDKE >PVH37408 pep chromosome:PHallii_v3.1:6:45414685:45417396:1 gene:PAHAL_6G305500 transcript:PVH37408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28190) UniProtKB/Swiss-Prot;Acc:O78310] MAAQTFLLAPPAALFAAPSSSARPFHSLRLVAGPGGAAAARALVLADATKKAVAVLKGTSEVEGVVTLTQEDDGPTTVNVRVTGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNMTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDNQIPLSGTNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >PAN35434 pep chromosome:PHallii_v3.1:6:37973913:37979006:1 gene:PAHAL_6G208500 transcript:PAN35434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAAAALAAAKGRWTQWEEEVVSNDRGRRLVHYYLRGEGDARELAVVGRERSPRHMSYAVQGRFLRALAAAAGAVAVAPSPSRSAPAAGSGSAAGADGGAPRRWRSRREVVDWLSSLVSGCNYGYSMSNRWNGNSYDDNDINCTQVTATKDVSSREISKDFTWLGSAWHCQQRLKHYKSFCRRGITISVHSFVYIMSEEMKRLIAYVEDLYEDTNSYNMVKVRWFDKVDEVGAPLPMDVDDREIFLSLGRQDLNVECIDGLAAVLSGQHYEKFKNCTRYSLWQPYFCCRQIDDDEIKPFDITQLQGYWSQEVLRTMFNTTSSLKVRFKVPKFGPSPDGGLKRKRDAFSDDVNPQKFLCSGASISSFLGDKHLYPGCHVEVLSQDSGIRGCWFRCLILKRHNDKIKVRYLEVEDADETGNLEEWVMLTRVAKPDHLGIRFLGRPMVRPQHVEESKGSCFDAGTIVDAWWHGGWWEGIVLRQGDNGRLQVYFPGEKRVAEFVQDELRHSLEWVGNKWNPLKGRKDMASKLTSAADSESEDLIQKQIPLDFNTSPKPETQQEALRFDERRDEKSSISKISRDNKRVFADLTNVLKHDNLRWRPRKRSRRSGSRRQLDTSSGSSSGDMDSSSPSGSSGHLNSVPDEETCKSSGEQRFMGVPVQVPNLVMSR >PVH36557 pep chromosome:PHallii_v3.1:6:10768925:10771662:-1 gene:PAHAL_6G101000 transcript:PVH36557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQGRVLVRVVALLAAAALAAAAAGNSAVVSLRGLDGREARSSRYAAQMLGERKKSGAARTATTVLELKHHSLTAIPDEPAARDRYLRRLLAADEARANSLQVHDNRPAASTTQSRSAEVPLTSGISFQTLNYVTTIGLGGSSGSAITNLTVIVDTGSDLTWVQCKPCSTCYAQRDPLFDPAGSATFAAVRCNASACADSLKAATGTPGSCATTGGSSEKCYYALAYGDGSFSRGVLATDTLALGGARLDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTASRYGGAFSYCLPATTSGDASGSLSLGGDASSYRNTTPVSYTRMIADPAQPPFYFLNVTGAAVGGTPVSAAGLGASNVLIDSGTVITRLAPSVYRAVRAEFTRQFGAAGYQAAAGFSILDTCYDLTGHDEVKVPLLTLRLEGGADVTVDAAGMLFVVRKDGSQVCLAMASLSYEDQTPIIGNYQQKNKRVVYDTVGSRLGFADEDCSYV >PAN33423 pep chromosome:PHallii_v3.1:6:1543275:1546893:1 gene:PAHAL_6G019900 transcript:PAN33423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIRIGINGFGRIGRLVARVALQSADVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDIKLKDSKTLLFGEKPVTVFGIRNPEDIPWGEAGADYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDVNIVSNASCTTNCLAPLAKVINDNFGIIEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRIEKAASYEDIKKAIKAASEGPLKGIMGYTEEDLVSTDFTGDSRSSIFDAKAGIALNEHFVKLVSWYDNEWGYSNRVVDLVRHMAKTQ >PVH37126 pep chromosome:PHallii_v3.1:6:41468376:41469569:-1 gene:PAHAL_6G252700 transcript:PVH37126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFRRTTPTRTRKSHRRTNQRLRRQPLLRRRRRRELAMCALVRITLLQSVRTAKATTPPTWLLASLEEHRDRRDFLLADGERIACACSWCWYGKSEVYFGEDRAAEERAACPHHQEESSQRLSTV >PAN36349 pep chromosome:PHallii_v3.1:6:43128778:43131749:-1 gene:PAHAL_6G275700 transcript:PAN36349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MHQDSRVHTHDTRALLAPHFDPRNAPDPSIHCHPRRPRTLNTRARTRLLVSITLRYAHTASASGGAAATTRTAAGTASMIVPAGLSPSAAVAVALVVASLHLAVAAGARAAGQPSFRGRDIAGSGGPCALAVAPLGYPCEEHQVTTADGYILSLQRIPRGRGGGARAGQPVLLQHGVLVDGMSWLLASPEESLPFILADRGFDVWIANNRGTRWSRRHVSLDPSSRLYWNWSWDDLVVNDLPAMVDFVCRQTGQKPHYVGHSMGTLVALAAFSEGREVDQLKSAALLTPVAYLAHITTPIGILLARAFVGEIISDFLGVAEFNLLAPPVTSLIRAFCRGPGANCYDLVGSITGKNYCLNSSAVDVFLKYEPQPTSTKTMVHFAQTVRDGVLTKYDYVLPERNIASYGQAEPPVYEMSNIPAGFPLFLTYGGRDSLADPADVRLLLEDLRGHDPDKLTVQYLDQFAHLDFVIGVCAREYVYKDMIAFFDRFN >PVH36496 pep chromosome:PHallii_v3.1:6:7160584:7161816:1 gene:PAHAL_6G084600 transcript:PVH36496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATRTALLAYYPSSPVSHDVAATPLVPSNHFDDGTVGPGGPTCQREPLGAQSAPSAFPAPPVSERPSVLSQHPAPPPPPRTSPPSLIWLPPPPSDGGLLRREGASSLALLALLNWAGLARLSLLAEDGGGAIERRKAGGRPRRDGEGSDISLPPFPLPLPRGFLGECLLLEVDDGLADGRALFTLAADHSPPRVESAKHLCWFRGRVIDQMVGSKGGECGCLRLSCPNWTRRGDEIGFGPTRLLSSSLIGRRRVSFVSCDRSVCLDRQDRDCLVACFLLDSLFLSSGRFLEDWFTPQQRRTFRSSIGLCFFFRSPLYLFLSLVSFSPVPAT >PAN36230 pep chromosome:PHallii_v3.1:6:42118163:42118703:-1 gene:PAHAL_6G261800 transcript:PAN36230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTYQRLLSSGSQRPGVRARAWVLLRWAAVRLGCAARRRYWCGARARRLAWAGLCGRASASAPERRGRRSSAVAAAGVVVGYDSASYARNFDDGAWKAEEGVSWAGPGGAFSRASASAVIATGDSLPSSTS >PAN33342 pep chromosome:PHallii_v3.1:6:1145554:1145913:-1 gene:PAHAL_6G013700 transcript:PAN33342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRSSVLLAAAALAALLAVGSCASALTFKTGPGCSETKLVLIPSAAISEVEVKEKGADDFTALKEGPTGTWTLEGKEALKGPFSIRFAAKSGGYRVIDDAIPTGFKSDSDYKTSMQV >PVH37030 pep chromosome:PHallii_v3.1:6:39323746:39324349:1 gene:PAHAL_6G225200 transcript:PVH37030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVWSRSSRWHVELGAFMDLFLTGYSGVHPQGKKTLSNLFWFVVLCSLFSRGLVDLVVMCGEIWLGCHCCLCGRC >PAN33300 pep chromosome:PHallii_v3.1:6:920296:921896:-1 gene:PAHAL_6G010000 transcript:PAN33300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATGGRVRVVSRRMVRPSTPPLKGELEVIHLTPLDLRLIRTDSIQKGILLPEPPPVSGVGLVDALESSFARALRLFYPLAGRLASEERGDGTVTVSLRCTGEGAEFVHAAAPGVAAADIVSSVYTPSVVRDELHSFDPALGADAAVEALPLVSVQVTELADGVFVGMTLNHSVADGAAFWHFVNTWAEIHRRGVGASGDDPRELSTPAPVLQRWSVEAWPVPIPLPFGKLGDIARPVERATVRECFVTFSTASVSELTARANGEVAGTAAAAAISPLQAVVAHLWRASCRARRMPPEQVTSYSVLIDCRGRVEGMPPGYVGNAVAFGKAEATAGEIEEKGLGWTAWLLNRAVASFDEATMRQSLERWVRRPELMFISDLSSAGTALATGSSTWLDVFGNDFGWGRPVTMRSGAGNKTDGKAAVFEGPERGGSVSLELCLASDALERLLADEEFMDAVSLPA >PAN34498 pep chromosome:PHallii_v3.1:6:28848228:28848560:1 gene:PAHAL_6G158300 transcript:PAN34498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPTTPSSIPITSSKMEQSLSQAAPAHVFDGPITRSRAKQLQQEVHALLCEIPFINENYILPKSCMLLLLRVTKEDDKDTPTLNQRGELRRTSSAWQNCHEETVISLDS >PAN33167 pep chromosome:PHallii_v3.1:6:71011:73221:-1 gene:PAHAL_6G000800 transcript:PAN33167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKSTGNAARTRKRVEATVLKRSRDGSAFTRCEACNKDVPIVLIDMHSCSLDAKIRMTLEAQVVEKTVEVTKPERKKPSKTAAAASKDPKRKRTPTAFFLFMDDFRKEFKAANPDNKNVATVAKEGGEKWKSMTDQEKKPYIDKAAELKALAENGEGSGENNVAAAAEKAKADDTEGGQEVDQPVKRRRRKVDDDEDGEAGGQGDEAEKNELDDDM >PAN34000 pep chromosome:PHallii_v3.1:6:4750913:4753578:1 gene:PAHAL_6G063000 transcript:PAN34000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISMMEARMPPGFRFHPRDNELVLDYLLHKLSGRAHGGAAMVDVDLNKCEPWDLPEAACVGGKEWYFFNLRDRKYATGQRTNRATVSGYWKATGKDRAVVAGGDAAVVGMRKTLVFYRGRAPKGRKTGWVMHEFRLEPPAAPPPPSQQRQLPAAARHQRLKEDWVLCRVFYKSRTTTPKLPSEDAQDGTRSTEPDLAAALPLAPLTDTYTAFGATPTVTQQVSCFSGLPALPFKRPVSLGDLLAFDTSEKVSVGTVMLSSMPSNSSSVLELPPNCNWNQENVLSQMWNPLGI >PVH36510 pep chromosome:PHallii_v3.1:6:7828329:7828620:1 gene:PAHAL_6G088100 transcript:PVH36510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSATSTSLVVLCLVSLLLVASFADDTSAGAHDAGRSMQSGAVVDARSGQHQHTVHRLTAHMVGLVGLD >PAN36397 pep chromosome:PHallii_v3.1:6:43331095:43333812:-1 gene:PAHAL_6G278700 transcript:PAN36397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGGKAPVTAKKKTVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >PVH36325 pep chromosome:PHallii_v3.1:6:3566546:3566936:1 gene:PAHAL_6G048300 transcript:PVH36325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLMVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PVH36398 pep chromosome:PHallii_v3.1:6:5033894:5034194:-1 gene:PAHAL_6G066400 transcript:PVH36398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEMKWLYLYLSLLDLDVAAGCHGPNFSIGPQGQHNNFTISVSLHLRHTVWQAVQKNAPCTNCSTLFPVHPLPAAV >PAN36168 pep chromosome:PHallii_v3.1:6:42362683:42365570:1 gene:PAHAL_6G265700 transcript:PAN36168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPSLARHLLLPAGCLLLLLLLTADAARLPLALAPDDADALLQLKSGIKDGGGALSSWAPGTSPCNGDESKWAGVMCNKNGVHGLQLEGMSLSGKLDLGALKRLSGLRTLSFMDNEFAGPMPDVRGLSGLRAIFLSGNEFSGTIPADAFAGMGWLKKIVLSNNNFSGPIPASLADLPRLLDLQLNDNKFQGKIPDLKQKELKDVNIANNELEGEIPASLKNIKSDMFAGNKKLCGAPLGAKCEATPPPAVKAPVPTSDKAGAAPDAAASTGASADDGKQEVQKPAEGFTSYGILAAVLGTLAIAGVAFVALHKRRDTTKNFGPAASTKPSGPRVEPQPAAKAEASAARGAAPAAAGAAGAAAAGCGGEERSSRAGGSTARKVELGRLTFVRDDRGRFFELQDLLKATAEVLGTANLGVCYRATLTSGHSVVVKRFKEMNRVGREDFEEHMRRLGRLSHPNLLRLVAYYYRKEEKLLIHDYVPNRSLANLLHGEGRGLKKAVLHWSARLKIVKGVARALSYLYDELCMLTVPHGHLKSSNILLNGQYEPLLTDYALVPVMNQSHAAQLMVAFKSPERKQFGRSSKKSDVWCLGLLILEILAGRPASYDLPKAGAAAEPSASSSSQQKPAAAGSSSDLVSVVGSTPEGEWLRAVVDPDLKVEDDEDRAEMVKLIRIGMACCETNVDSRWELKTAVDRIEELKATDRASEDQSFYSSVNDEEDLNDVAIN >PVH36197 pep chromosome:PHallii_v3.1:6:1483848:1484177:-1 gene:PAHAL_6G019100 transcript:PVH36197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGNFCLVGSLEGSCIGQQCLSFWQVGWHTFACPSASGTARFPACIHNNKISESAPPLWPP >PAN35331 pep chromosome:PHallii_v3.1:6:37221785:37224492:1 gene:PAHAL_6G200000 transcript:PAN35331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEVVLEVLPQAPPREPAAALLPHLAVPKVLQYLYLASAWVACAGVAAGTVARRALGDGSPVTYAFLKVSIGALVFPALLVLVVTLRFLRAMCAAGFGLSLRTVAREVQIHSRKMFGALTWKVLLDPAALVLLVSFLFFLLLGAGVLVLGGLLPVQESQRERIGSALFDTGVLGAMGMSCFVIIPSFALKLWRSK >PAN35769 pep chromosome:PHallii_v3.1:6:40005703:40007152:1 gene:PAHAL_6G232700 transcript:PAN35769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSWALITHLHTVAGPSITLLYPLYASVCAMESPTKVDDEQWLSYWIIYSFITLLEMVAEPVLYWIPIWYPVKLLFVAWLVLPQFKGASFIYEKLVRDQLRKYRARHLRMGAAAADDDQKVHIAKTEHDHVQ >PAN35770 pep chromosome:PHallii_v3.1:6:40005703:40007152:1 gene:PAHAL_6G232700 transcript:PAN35770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSWALITHLHTVAGYASVCAMESPTKVDDEQWLSYWIIYSFITLLEMVAEPVLYWIPIWYPVKLLFVAWLVLPQFKGASFIYEKLVRDQLRKYRARHLRMGAAAADDDQKVHIAKTEHDHVQ >PAN36585 pep chromosome:PHallii_v3.1:6:44709641:44715579:-1 gene:PAHAL_6G294500 transcript:PAN36585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGEEMVAEAKEERILVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDSTPLRLLDDPEKGTTVERLTEETLRDYNHLRDLLTVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHIEQSRNTLLFATCAKEVITNAHVNVVMSDKALVKHLQRELARLENELKFPGSASCTTHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHGYEHTAKRWDEHSRSSESLAQNVSEEALSVADAYGVAHQDQDYASFNGSYVCSSDHNDSAFLGETRELPRQTWEQKLVSPWHPPSNHSSDGIEPYHMKEAASTTASEVSEDHCREVQCIEIHEHVRSRSQEFSQLLPEDTMIQTPVVEVISKDAVPQSDEQQGLESVKGKIEDHVKSYPINYEQQAENITNIEEDSVKMNRCESERIKENAVKLYTCDSNHSFNIGKPYPYECLSLKRCIMSSKDRALARSNSCRASFMVIPNSWFDDSDNTSRTPPDEIFRYAPRRLDKVRRSLYAENDDCQNEDPLLDCSVVSSEVASDEVVKDMSTSDEVAKEMSTSDEVPKEMSTIDDVAKEMSTIDDVAKEMSIIDDVTNEMNTSDEEQETHVNDISCVNTKIFREDQCEEFQAQVIMQAIRDDSTTMRTVKDVGVDIALSPIHSPSHPTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFRGDPADNIYMEVEHRRLSFIKSSFTAEPVAQGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYSKWGIDLSTKQRRLQLSRLIWTQTDMEHIRESASLVARLIDLLEPGQALKEMFGMNFSLAPRTDRRSFGLLGSYSMK >PVH37355 pep chromosome:PHallii_v3.1:6:44709641:44714768:-1 gene:PAHAL_6G294500 transcript:PVH37355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGEEMVAEAKEERILVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDSTPLRLLDDPEKGTTVERLTEETLRDYNHLRDLLTVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHIEQSRNTLLFATCAKEVITNAHVNVVMSDKALVKHLQRELARLENELKFPGSASCTTHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHGYEHTAKRWDEHSRSSESLAQNVSEEALSVADAYGVAHQDQDYASFNGSYVCSSDHNDSAFLGETRELPRQTWEQKLVSPWHPPSNHSSDGIEPYHMKEAASTTASEVSEDHCREVQCIEIHEHVRSRSQEFSQLLPEDTMIQTPVVEVISKDAVPQSDEQQGLESVKGKIEDHVKSYPINYEQQAENITNIEEDSVKMNRCESERIKENAVKLYTCDSNHSFNIGKPYPYECLSLKRCIMSSKDRALARSNSCRASFMVIPNSWFDDSDNTSRTPPDEIFRYAPRRLDKVRRSLYAENDDCQNEDPLLDCSVVSSEVASDEVVKDMSTSDEVAKEMSTSDEVPKEMSTIDDVAKEMSTIDDVAKEMSIIDDVTNEMNTSDEEQETHVNDISCVNTKIFREDQCEEFQAQVIMQQAIRDDSTTMRTVKDVGVDIALSPIHSPSHPTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFRGDPADNIYMEVEHRRLSFIKSSFTAEPVAQGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYSKWGIDLSTKQRRLQLSRLIWTQTDMEHIRESASLVARLIDLLEPGQALKEMFGMNFSLAPRTDRRSFGLLGSYSMK >PVH37356 pep chromosome:PHallii_v3.1:6:44709962:44714636:-1 gene:PAHAL_6G294500 transcript:PVH37356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGEEMVAEAKEERILVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDSTPLRLLDDPEKGTTVERLTEETLRDYNHLRDLLTVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHIEQSRNTLLFATCAKEVITNAHVNVVMSDKALVKHLQRELARLENELKFPGSASCTTHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHGYEHTAKRWDEHSRSSESLAQNVSEEALSVADAYGVAHQDQDYASFNGSYVCSSDHNDSAFLGETRELPRQTWEQKLVSPWHPPSNHSSDGIEPYHMKEAASTTASEVSEDHCREVQCIEIHEHVRSRSQEFSQLLPEDTMIQTPVVEVISKDAVPQSDEQQGLESVKGKIEDHVKSYPINYEQQAENITNIEEDSVKMNRCESERIKENAVKLYTCDSNHSFNIGKPYPYECLSLKRCIMSSKDRALARSNSCRASFMVIPNSWFDDSDNTSRTPPDEIFRYAPRRLDKVRRSLYAENDDCQNEDPLLDCSVVSSEVASDEVVKDMSTSDEVAKEMSTSDEVPKEMSTIDDVAKEMSTIDDVAKEMSIIDDVTNEMNTSDEEQETHVNDISCVNTKIFREDQCEEFQAQVIMQQAIRDDSTTMRTVKDVGVDIALSPIHSPSHPTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFRGDPADNIYMEVEHRRLSFIKSSFTAEPVAQGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYSKWGIDLSTKQRRLQLSRLIWTQTDMEHIRESASLVARLIDLLEPGQALKEMFGMNFSLAPRTDRRSFGLLGSYSMK >PAN36584 pep chromosome:PHallii_v3.1:6:44709641:44714772:-1 gene:PAHAL_6G294500 transcript:PAN36584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGEEMVAEAKEERILVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDSTPLRLLDDPEKGTTVERLTEETLRDYNHLRDLLTVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHIEQSRNTLLFATCAKEVITNAHVNVVMSDKALVKHLQRELARLENELKFPGSASCTTHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHGYEHTAKRWDEHSRSSESLAQNVSEEALSVADAYGVAHQDQDYASFNGSYVCSSDHNDSAFLGETRELPRQTWEQKLVSPWHPPSNHSSDGIEPYHMKEAASTTASEVSEDHCREVQCIEIHEHVRSRSQEFSQLLPEDTMIQTPVVEVISKDAVPQSDEQQGLESVKGKIEDHVKSYPINYEQQAENITNIEEDSVKMNRCESERIKENAVKLYTCDSNHSFNIGKPYPYECLSLKRCIMSSKDRALARSNSCRASFMVIPNSWFDDSDNTSRTPPDEIFRYAPRRLDKVRRSLYAENDDCQNEDPLLDCSVVSSEVASDEVVKDMSTSDEVAKEMSTSDEVPKEMSTIDDVAKEMSTIDDVAKEMSIIDDVTNEMNTSDEEQETHVNDISCVNTKIFREDQCEEFQAQVIMQAIRDDSTTMRTVKDVGVDIALSPIHSPSHPTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFRGDPADNIYMEVEHRRLSFIKSSFTAEPVAQGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYSKWGIDLSTKQRRLQLSRLIWTQTDMEHIRESASLVARLIDLLEPGQALKEMFGMNFSLAPRTDRRSFGLLGSYSMK >PVH37347 pep chromosome:PHallii_v3.1:6:44589690:44589953:-1 gene:PAHAL_6G292700 transcript:PVH37347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGCVSLEEIEEYNYMANQTFKTEKEFYMFYNGYAFHKGFSVRKDRIWYKPSTKEVTWRRFVCSFEGYRMEKHLKGRIRKDSHVL >PVH36835 pep chromosome:PHallii_v3.1:6:34193782:34194630:-1 gene:PAHAL_6G179600 transcript:PVH36835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKRDIQGDIPWCMLFADDVVLVDEKSRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQPSGVLCDKKVPQRLKGKFYRMAIRPAMLYGAECWPIKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQQRPPEAPRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVSEP >PAN36160 pep chromosome:PHallii_v3.1:6:42405766:42408894:1 gene:PAHAL_6G266400 transcript:PAN36160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRSRHLLRVLFFLLVALAGAAVSHGGVVEDAACAAANLTDAASLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVLWCNPSTPDRVLLRGGGGFPPRVTVRRAASASLNSRFLPCPSDIRTAAVAVADDDVLPDAAALSFAFATWQQQPAAASGPLVGFFPRSHHLDLARGRWAYTAAEPGRYSMVLTKFMVLGTGVLYRYSCSPELAAARAVVDRERNCEDILMNFVAAEESGAGPVLVEAGSIRDWGDPRNDVNVGGAGEEGGAMKDVGLSATGGLGHWEKRGACITEFHRLLGRMPLRYSYGKVVEAAVGEQGLCSKGGRLVRCDQE >PAN33951 pep chromosome:PHallii_v3.1:6:4445871:4454255:-1 gene:PAHAL_6G059400 transcript:PAN33951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKAASARLLLRSLSSASSVPGKSRLAPSARAALSRPCAAWVGRAGLARAAGTGPRFAGARGQIGAAVPAVERFQRRMATQATEHTFKNILTSLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTTNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQANMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSNETVSMIEAYLRANKMFVDYSEPQTERVYSSYLELDLDEVEPSMSGPKRPHDRVPLKDMKSDWHACLDNRVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGIGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDPKSTYIHEPPYFKDMTMSPPGPFAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMRYKSEGLATIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHEQYSINLPTNLSEIRPGQDVTVTTENGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAQN >PAN33950 pep chromosome:PHallii_v3.1:6:4446353:4451053:-1 gene:PAHAL_6G059400 transcript:PAN33950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQANMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSNETVSMIEAYLRANKMFVDYSEPQTERVYSSYLELDLDEVEPSMSGPKRPHDRVPLKDMKSDWHACLDNRVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGIGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDPKSTYIHEPPYFKDMTMSPPGPFAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMRYKSEGLATIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHEQYSINLPTNLSEIRPGQDVTVTTENGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAQN >PAN33991 pep chromosome:PHallii_v3.1:6:4697053:4698657:-1 gene:PAHAL_6G062300 transcript:PAN33991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSIHHRRTRLVGQAEQPPAPVPLSEGKGEGEEEARDWAELPVDALLAVLRRLDFVDILTGAGHVCRPWRRATREEPELWRRVDMRRRADLAYDVDLEAAARAAVRRSAGRCEAFWAEIFVDNDFFLFLADAAPMLKSLRLISCSRILSPRMNEVIRRFPLLEELELSHYRWGSIATCLAGVGAACPLLTRLRLNHDRFYYWRPDDTGGCEAAEIAAMPGLRSLQLFANSLSNADLAAILDGCRRLESLDIRHCFNVAMNSEMRARCAGLKALRMPEDSMEGYDLPYASPVRDCVYYSGVMYPDF >PAN36515 pep chromosome:PHallii_v3.1:6:44345850:44350936:-1 gene:PAHAL_6G289300 transcript:PAN36515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDTATKEMEALHVGQNEETEENMIKEGKAANSNGAVPAAQSSPPEDDDEAQADGASQDGAPEAEKKKKKKKNKSKKKKDPLQQTVPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIAPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGRGIVREDLECSHYMKNFDVGHVPLRMAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNNIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >PVH36562 pep chromosome:PHallii_v3.1:6:11488185:11488558:1 gene:PAHAL_6G103000 transcript:PVH36562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPRSCNASTTPSPSPPPAPHATPPPQSARSPFLCSLFCISPASTARGGRREDVLHLSNLGGFVMCVFFLPIRGANSET >PAN35895 pep chromosome:PHallii_v3.1:6:40845556:40849467:1 gene:PAHAL_6G243300 transcript:PAN35895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGWRGVLGFDYGIVQAPLGPDISGPELAAAVANAGAIGLIRLPDFPAPDYVRELIRKTRSLTSKPFGAAIVLPFPYDENLTVVLEEKLAVLQVYWGEFPRERVEEAHRAGVKVMHQVGSLEEAAKAKEAGVDGIIIQGREAGGHVIGQEGLFPLLPRVVDLVSDSGIPVIAAGGIVDGRGYVAALALGAQGVCLGTRFLATEESFAHPIYKENLIEMSRTDYTNVFGRARWSYAPHRILETPFYSGWKNLPDQETEENQPIIGQSIIHGVRNDIHRFAGAAPNATATGHVSSMVMYAGQGVGLITEIIPAGEVVQRMVAEAKAIIRERLSDLP >PAN35894 pep chromosome:PHallii_v3.1:6:40845848:40848097:1 gene:PAHAL_6G243300 transcript:PAN35894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGWRGVLGFDYGIVQAPLGPDISGPELAAAVANAGAIGLIRLPDFPAPDYVRELIRKTRSLTSKPFGAAIVLPFPYDENLTVVLEEKLAVLQVYWGEFPRERVEEAHRAGVKVMHQVGSLEEAAKAKEAGVDGIIIQGREAGGHVIGQEGLFPLLPRVVDLVSDSGIPVIAAGGIVDGRGYVAALALGAQGVCLGTRFLATEESFAHPIYKENLIEMSRTDYTNVFGRARWSYAPHRILETPFYSGWKNLPDQETEENQPIIGQSIIHGVVSI >PAN35355 pep chromosome:PHallii_v3.1:6:37409462:37410914:1 gene:PAHAL_6G202500 transcript:PAN35355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGQTILLDQRVAQGTVDTLVMNGSPHSKLDSQLHQLEKPAAVCRVEGRHRHQMVADQGIQGARLFAT >PVH36803 pep chromosome:PHallii_v3.1:6:32609412:32609792:-1 gene:PAHAL_6G171600 transcript:PVH36803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSKPKDMAMASLQPCRPRRRAAAMAAAAGGAEGRRGRRRGPRRQMGATVRILGNGLLAAPAYPVDAPDHIHANVGLGEFEIFGDAAKGPAVRAVHGLQHTHAIAWRTEDGHCSTTVEPRAALL >PAN33586 pep chromosome:PHallii_v3.1:6:2351016:2353463:1 gene:PAHAL_6G031400 transcript:PAN33586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSVVQLWEEWQLRILVLGSLAIQCFLAVFAGARKSPVRPLYRFLIWLSYLGSDALAIYALATLFNRQSKVQQSSKYPSRDLEVLWTPILLMHLGGLVTISAYNIEDNELWRRHIVTAISQVTVALYVFCKSWPPSADKRLLAAAILLFIPGVFKCFEKPLALKSASYNSLVSSLFAGDDISRTTTTNREVELEEYIQKARDSVKRNKYPPELDNDGARPHLSHLSRPDKLFTDVMHAYSDRFSSLNSFWSLDDKRAYEALNDGLSNLFDLLYTKDMLVQVRWHLSYFCCAVYTRISTIALPIVAIGLFHSSHKKDYRGSDVSVTYLLLYITFLLEIFSFFALLKFYFEWPETIPQHSLIGFFARNKRQTWLMSIMGCLQLKDFFDKYWCTCSSARAITNLVRGHVKDGWLDYILDAESYRAFSDSRGHWTLEGKGCGQLVSESIEKPFDESILLWHVATDFRFHSKGASPDQECARRCREISNYMMHLLFANPDMLMPGSRTNLFTAAYKEIEGFLHGEDLTLADEKELAQKIADKVASSSSSSSREGFVHDAWVLSQELMRLGDEQRMWEVIEGVWVEMICFSAGRCRGFLHAKSLGSGGEYLSFIWLLMSHAGLETFAERQQRVQLRLPKEERVKIAMQRIQEAASNQATDPSTAKGTVPVKEQENAATPAASEADGPLKQEGNYTATTSASQGECVAPTSAPAVEIVVSP >PVH36450 pep chromosome:PHallii_v3.1:6:5986470:5988194:1 gene:PAHAL_6G075300 transcript:PVH36450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSTRTSMCTGEASRGTHLFHVAGYSLHERLGARESVHSAPFSIGGYDWAVRFFPDGGEGGALALLLELLTKDAAARASCAFRFLNPATGAASARWPVGLLSYRPGVVNKRAQVSTFRWNVRMYAHDDRLTVECAVTVVQEPKVSLTSWAMSRYEETPSDLSGHLGRMLGEKEGSDVTFNVQGEAVTAHKIVLAMRSPVFKARFYGPEREKKMGCVAVEDVRPAVFRALLRFIYTDSLPDMDDLGDDDGKEMFRHLLVAADQYEVTRLELMCEDSLCKSFRLSPADAYSLSTDSVASTLAFAEEQNLCSLKDACIEFIALSNKMDDVVASKGYAHLKSSCPSVLLDVLEKSSKLHRLIWVLICH >PAN35746 pep chromosome:PHallii_v3.1:6:39821564:39823642:-1 gene:PAHAL_6G231100 transcript:PAN35746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGEPDAGRAGPELGLGLGVGAAGRGEDGGRGSGAAGAGARWWAAPVAEPEPAVRLSLVSSLGLQWPPASSDCGGRSSEAPARGFDVNRAPSSAAASASASAFAALEDDEEEDPGVGALSSSSPNDSAGSFPLDLGRGARAEGAAARAGGERSSSRASDEDEGASAARKKLRLSKEQSAFLEESFKEHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCEALTEENRRLHKEIAELRALKSAPPFYMHLPATTLSMCPSCERVASNPTSAASTSAPASSVPPGTAASVATANSNSVAAAPARGEPRPSSFAALFAATRSFPLGSQPRPPAPASNCL >PAN33923 pep chromosome:PHallii_v3.1:6:4228552:4236055:-1 gene:PAHAL_6G056800 transcript:PAN33923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVRVPVDTSKPNPNGIEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDASDAAAEEERLREEFEREGRRLPAKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKAKRKAGEYDEKGEAIVPKKPYQFLNIWTLREYLEYEFRMPNPPFKIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVANFRGSRLASGAVPSPYEQNGAHRERNSQPQKAARVSSSGSSIAAAIVEAENDLEAQERDNKEDLKSRLKNAIREKSDVFNSENPEEDKVKLGEPGWRDRYYEEKFGARTSDQMEEIRRDVALKYTEGLCWVMHYYYEGVRSWQWFYPYHYAPFASDLRNLSQLDITFQLGSPFKPFDQLMGVFPAASSHALPLQYRQLMTDPNSPIIDFYPTDFEVDMNGKRFSWQGIAKLPFIDEDRLLAEIKKVEHTLTPEEARRNSTMCNMLFVNGSHPLSPYIYSLNSKFGNMPDKDGNEIKEKLDPSPSGGMNGYIALCAGDPSPPVFRSPVDGLEDIMDNQVICSVYKLPDPHKHIARPPAGVIIPKKSVEAGDLKPPPVLWHEDSGRRPHDNNNRRPYDNNNWRPQDNNRQNPAGALSGRQLGEAAHRLVVNSLNVRGGGQHNAPSMPYQTIMNGTNYSNGRHHMGNQGVPPRMEQPAGHSGWHVPSDNMTNGQAAYGHQHERAGPSRHERDNRGRQHYHPYARDNHHDSRGRVPPPPGYHQNHGNSHPAAPSAGPGRYGQPPPAYGGGYQPAPYGAQQWQQRPYGGGAPPTRPNSQQSQNRYGTLDRGSNKRPSSHGRY >PVH37183 pep chromosome:PHallii_v3.1:6:42309190:42310859:-1 gene:PAHAL_6G264300 transcript:PVH37183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDVFPVAIPRLLARAGALGERRARASSFPATPGRHHPRHLPEVRDNLAEGPPFAVAGRSRHAVAGDDHPLLTNLPHALVENLEFPLRYIYPVAELEALPSPRLLCTHLPHQLLPSGVPALGCRVVYLCREPKDVLVSTWHYMNKVYHDSFTEFGRAFELFCEGVSLYGLVWNHYLGYLKQSMAEPSRVLFLKYDEMMADPANHVRMLAEFIGAPFTGGEESSGVVQEIARLCSFENSKKLPVNSSGVIDPIGGLAIENSVFFRTANAKVGDWKNYMTEVMAKKLDRVVEEKLGGCGLTF >PAN33605 pep chromosome:PHallii_v3.1:6:2429358:2435866:1 gene:PAHAL_6G032800 transcript:PAN33605 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MTSALRRKAAEMASAAAAAQCFSSYSSFPGTWALRQRGGARVLQLSSRRSFCVSAAADGFDNQNREYVIVGGGNAAGYAARTFVQHGMADGRLCIVSKEPVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGERQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVLVIGGGYIGMEVAAAACGWNLDTTIVFPEDHIMPRLFTPSLAKKYEDLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPVVGPFEAVGVNPEVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGIFLESGNSEEFSLLPQLAKSQPIVDKSKLQAAASVEDALEIARSSLQSAPLF >PAN33604 pep chromosome:PHallii_v3.1:6:2429358:2435866:1 gene:PAHAL_6G032800 transcript:PAN33604 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MASAAAAAQCFSSYSSFPGTWALRQRGGARVLQLSSRRSFCVSAAADGFDNQNREYVIVGGGNAAGYAARTFVQHGMADGRLCIVSKEPVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGERQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVLVIGGGYIGMEVAAAACGWNLDTTIVFPEDHIMPRLFTPSLAKKYEDLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPVVGPFEAVGVNPEVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGIFLESGNSEEFSLLPQLAKSQPIVDKSKLQAAASVEDALEIARSSLQSAPLF >PVH37185 pep chromosome:PHallii_v3.1:6:42346877:42347459:-1 gene:PAHAL_6G265300 transcript:PVH37185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAAQAHSVGGQQPAAAARQLKRSAWTGVRARQWGGWAVEIRVPLSRQRLWVGAFETDRQAALAYDAAIFCFYGEDLPRNRRFNFPAAPRPDIGEVVRARLSVAAKDIANRHARLSQAAVAAGPSAGAGAPAAPAAGYHGRNPNGEVETTLRLCL >PAN35005 pep chromosome:PHallii_v3.1:6:23937549:23938061:-1 gene:PAHAL_6G140900 transcript:PAN35005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKKGTGAVLLAAALMAILLLFSAASLYSSSTMSPTRASRHQRRQQQHARIIYYRTYTIILRKPPNANAMDEDAHRRWHQSFLPSILTDSGEPRLLDSYYRYVRYGIYAFSTRLTVSEIEVVAKKPCFLGSRHWRPTRGYLAQEAGSDCDPSCAGAAVSKPAATASQK >PAN35333 pep chromosome:PHallii_v3.1:6:37260180:37261873:1 gene:PAHAL_6G200200 transcript:PAN35333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAAAVVIQHPGGKVERLHGPATAGEVMRSNPGHYVALVVLRVSGVGAKTESGCGGGGARITKVKLLKPKDALLLGQVYRLVTSQEVAKAIQARRQDKTRRCGEAPDDRRRQRPSPQPGHAAADQEQKRAEKADRQHRGGGPGGGGARGRHWRPSLQSISESAS >PVH37182 pep chromosome:PHallii_v3.1:6:42246133:42247043:1 gene:PAHAL_6G263900 transcript:PVH37182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARSIASRRHIRTDTRLSNGRGDGISGRDGRRARSRVSARPRPVCLYQRRSWTPRQPSRSVAAGPDATPGRRRGRAGAPGACGVRGGIQPLLRRRRFARGGAGRGWGSWGEVP >PVH37025 pep chromosome:PHallii_v3.1:6:39306612:39307938:-1 gene:PAHAL_6G224600 transcript:PVH37025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTMYVGDNCCKRSKIHLLAIFLYTNISGIYIKLRCRCKLVFFLLKDEVGYDSCKDETLIKFMYEKHRVTWTRNHTKKDRNYCGIHVGFAEA >PAN33157 pep chromosome:PHallii_v3.1:6:27705:34213:-1 gene:PAHAL_6G000100 transcript:PAN33157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDPKVSVALTLSLVGGLSTSLGALLAILNRAPNNKTLGMLQGFATGLMLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSTIADVFPEPDCNLTDQNDKQTVGGNIAGKQLMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATSSKWQAFKLATLSGFAEPLGVIIVAYIFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGPKDAVKAVFVGMAFMSMSLYFLDVSLPKEMSA >PAN33158 pep chromosome:PHallii_v3.1:6:28675:33229:-1 gene:PAHAL_6G000100 transcript:PAN33158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSTIADVFPEPDCNLTDQNDKQTVGGNIAGKQLMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATSSKWQAFKLATLSGFAEPLGVIIVAYIFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGPKDAVKAVFVGMAFMSMSLYFLDVSLPKEMSA >PVH36108 pep chromosome:PHallii_v3.1:6:28675:33889:-1 gene:PAHAL_6G000100 transcript:PVH36108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDPKVSVALTLSLVGGLSTSLGALLAILNRAPNNKTLGMLQGFATGLMLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSTIADVFPEPDCNLTDQNDKQTVGGNIAGKQLMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATSSKWQAFKLATLSGFAEPLGVIIVVGGVMAFLTLYEMLPLAFEYAGPKDAVKAVFVGMAFMSMSLYFLDVSLPKEMSA >PVH36110 pep chromosome:PHallii_v3.1:6:27705:34213:-1 gene:PAHAL_6G000100 transcript:PVH36110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDPKVSVALTLSLVGGLSTSLGALLAILNRAPNNKTLGMLQGFATGLMLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSTIADVFPEPDCNLTDQNDKQTVGGNIAGKQLMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATSSKWQAFKLATLSGFAEPLGVIIVVGGVMAFLTLYEMLPLAFEYAGPKDAVKAVFVGMAFMSMSLYFLDVSLPKEMSA >PAN33150 pep chromosome:PHallii_v3.1:6:27705:34213:-1 gene:PAHAL_6G000100 transcript:PAN33150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDPKVSVALTLSLVGGLSTSLGALLAILNRAPNNKTLGMLQGFATGLMLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSTIADVFPEPDCNLTDQNDKQTVGGNIAGKQLMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATSSKWQAFKLATLSGFAEPLGVIIVAYIFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGPKDAVKAVFVGMAFMSMSLYFLDVSLPKEMSA >PAN33156 pep chromosome:PHallii_v3.1:6:28675:33229:-1 gene:PAHAL_6G000100 transcript:PAN33156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSTIADVFPEPDCNLTDQNDKQTVGGNIAGKQLMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATSSKWQAFKLATLSGFAEPLGVIIVAYIFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGPKDAVKAVFVGMAFMSMSLYFLDVSLPKEMSA >PVH36109 pep chromosome:PHallii_v3.1:6:28675:33889:-1 gene:PAHAL_6G000100 transcript:PVH36109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDPKVSVALTLSLVGGLSTSLGALLAILNRAPNNKTLGMLQGFATGLMLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSTIADVFPEPDCNLTDQNDKQTVGGNIAGKQLMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATSSKWQAFKLATLSGFAEPLGVIIVAYIFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGPKDAVKAVFVGMAFMSMSLYFLDVSLPKEMSA >PAN36499 pep chromosome:PHallii_v3.1:6:44294718:44295455:1 gene:PAHAL_6G288300 transcript:PAN36499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLGFSGCQDTHPSALDYFLAVVVVLTAVAAARLLASAVARCLCGDGAPGHHHHDHHHHSPSTSDVDEDVGPWGGAGLAIFGQPGHDVPPPPGGGAGWWPPPGRWSTRATSLTAAP >PAN36620 pep chromosome:PHallii_v3.1:6:44911657:44916177:1 gene:PAHAL_6G297500 transcript:PAN36620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGHSKLPRRGSSSAAVALCRGRSALLAEAIARRYALANAHRAYAGSLSATGAALHDFLRAVQDATPPPAGPGAGAGDASRSGEDADAVPPAATVPPPVPASPDASEEEEDYVADGGDMLSPSPEDEDEDEASGDGGGIAKSPSDDEAAEAETPLPRPVSPAPRPPQPAPQPLQMVPPYVSAYPPPYDPGYPPQFGSGHPPPPYSYGPVPGPAYGYGGGYGADMGGYGQSFYNYNTSYAQSQPPPPYVAIEQHSQATDATVQYYHYQGEATPSSHSHYGGYNSYPYPYPQGGGLTPAAAASSWQLAAPLPTPSPPRVSAWDFLDPFQAVESYNQDHPAAPPAIHSPSLSSDDIGEDEDIPELEDEESGVVVREAHAGEECTCVNSVSEELHETSSSEELDETSSSEELDKKSDGNSSCEEEEAEGHIEFRPSDAISSIVDGVESVVEEQLNDPGVAEPPAVPEKTYSSDVEVVQEIKLQFDSASKSAADVGKMLEVDKMPYNQKKNSGLKVPSMMICGQPSKGKAIMQFEEEKAMECGNLSSSLQKLYIWEKKLLKEVKAAEKIRVLYEQKHKEQKKLYYGGAEAHKLKAIEICVKKLSTKLNIAIHIVNATSKKINKLRDEELWFQTHELIRGFMQMWHTMSECHQMQWRALSHAKNMDSIMVAEGFSEDHIDLFKHLELQLLDMTANLAQWLNAQKSYAAYLNEWLKKGIEYEPEVTDDGVPPFSPGRLGAPQIFTIYNNWAVSMERISEAEVVGAMHALASNVMSIWEHHMSMDMDDQVIRKAVEARKKKLALLRGISSSAQAAEAGLQLCMSKVFEAMESFAAACENAYKDLHHRAEEERARVEDQENGRAPVADIAGVEGN >PAN34617 pep chromosome:PHallii_v3.1:6:19406210:19413989:1 gene:PAHAL_6G127000 transcript:PAN34617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDGAVDSSGTDDDLPPSYQNSRGMKGSGRTTGNGRDTIGAGPYTRVQQSQTDMETQIYHLEQEAYCSVLRAFKAQSEAITWEKESLITELRKELRVSDKKHRELLNRVNSDDIIRRIREWRESTGGLQMNSVNNAQRLHDLVPSPTTSARKRQKTSQPIPDASVPAPSAIHSQPLAAPMQPSSSGAKKAAPLGTKVKKTKPGQKIPVGPAAKSMPSSVGPSGRGPVINRNTSAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAAKDLYALVYDMNTAHETWEWVDFKEMSPEDVRWEGEEPDLNLLGRGAPIHGVKKSTSRGGPMLGAGRGRGPQRNSFKKDYPPSQNGVAKKRSDYIEILHTETLIKEVEQVFSAGNPDPLEMERAKKVLKEHEQSLIDAIARLAEASDDNHARSSEYNHGWSNQRGG >PAN34618 pep chromosome:PHallii_v3.1:6:19406602:19412802:1 gene:PAHAL_6G127000 transcript:PAN34618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDGAVDSSGTDDDLPPSYQNSRGMKGSGRTTGNGRDTIGAGPYTRVQQSQTDMETQIYHLEQEAYCSVLRAFKAQSEAITWEKESLITELRKELRVSDKKHRELLNRVNSDDIIRRIREWRESTGGLQMNSVNNAQRLHDLVPSPTTSARKRQKTSQPIPDASVPAPSAIHSQPLAAPMQPSSSGAKKAAPLGTKVKKTKPGQKIPVGPAAKSMPSSVGPSGRGPVINRNTSAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAAKDLYALVYDMNTAHETWEWVDFKEMSPEDVRWEGEEPDLNLLGRGAPIHGVKKSTSRGGPMLGAGRGRGPQRNSFKKDYPPSQNGVAKKRSDYIEILHTETLIKEVEQVFSAGNPDPLEMERAKKVLKEHEQSLIDAIARLAEASDGEGDKCAFFFFHQP >PAN36310 pep chromosome:PHallii_v3.1:6:42975645:42977986:1 gene:PAHAL_6G273000 transcript:PAN36310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSDLELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIAVTNKLARLRSQEDE >PAN34981 pep chromosome:PHallii_v3.1:6:21917713:21923692:1 gene:PAHAL_6G134800 transcript:PAN34981 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MMRRCVSELARRRRPDRVVDTMKVGFQYLANVSSSTRATDSQRCSNVYATSCTTDPDIVSRETSSSEMLVDPFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPRSELLSHDEIIRIADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIILSKKLPKLKECGLNALNISLDTLVPAKFEFLTRRKGHSKVMESIDAAVELGYNPVKVNCVIMRGMNDDEICNFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQSYKGVERLQDHPTDTAKNFRIDGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRAGVDDAGLKEIIDAAVKRKKAKHAGMFDIAKTANRPMIHIGG >PAN34980 pep chromosome:PHallii_v3.1:6:21917713:21924060:1 gene:PAHAL_6G134800 transcript:PAN34980 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MMRRCVSELARRRRPDRVVDTMKVGFQYLANVSSSTRATDSQRCSNVYATSCTTDPDIVSRETSSSEMLVDPFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPRSELLSHDEIIRIADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIILSKKLPKLKECGLNALNISLDTLVPAKFEFLTRRKGHSKVMESIDAAVELGYNPVKVNCVIMRGMNDDEICNFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQSYKGVERLQDHPTDTAKNFRIDGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRAGVDDAGLKEIIDAAVKRKKAKHAGMFDIAKTANRPMIHIGG >PVH36113 pep chromosome:PHallii_v3.1:6:93533:97984:1 gene:PAHAL_6G001400 transcript:PVH36113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPQKLPVVPTRGVGLVIAGLVVLALVVGSTSSWWIHLDYAPSFLLGGGIRQHQRRPPHHVPSPAADLVPIPFSCGGNATTTSPSPSPSPSSPPPQAAVHHHQSPPPPRCPEYFRFIHSDLSPWRATGITREAVERGLGRAAFRLVVVGGRAFVETYHRVFQTRDTFTQWGIAQLLARYPGRVPDLDLMFNCEDMPEVRAADFPVRSDAPPLFRYCKDDATLDIVFPDWSFWGWPEVNIRPWAPLLEEMAAQMARLPWPDREPYAYWKGNPGVSADRADLLRCNVSDRVDWNARVFAQDWGAAIRAGFRGSNLAEQCRHRYKVFVRGRSWSVSEKYILACDSPVLLVDTPFRDFFSRGLVAGEHYWPIDPARKCPAIKLAVDWGNAHPAQARRMAGEGSGFAREELAMDYVYDYMLHLLTEYARLLRYKPTVPDKAVELRAEALACPARGREREFMMESRERYAADYEPCTLPPPFTAGELRDMARRDQEVRDRVKKMMTSMEHERPPQP >PAN33177 pep chromosome:PHallii_v3.1:6:93540:97984:1 gene:PAHAL_6G001400 transcript:PAN33177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFFLQFPERERRQQQRDEEEGAALVPQPPPDDDDDHGGGGGAGAAADHEDPAAAKQQQLDDGDDDQAAEDERQQEAIIIAAAGWKKQQAAAWWMRWPQKLPVVPTRGVGLVIAGLVVLALVVGSTSSWWIHLDYAPSFLLGGGIRQHQRRPPHHVPSPAADLVPIPFSCGGNATTTSPSPSPSPSSPPPQAAVHHHQSPPPPRCPEYFRFIHSDLSPWRATGITREAVERGLGRAAFRLVVVGGRAFVETYHRVFQTRDTFTQWGIAQLLARYPGRVPDLDLMFNCEDMPEVRAADFPVRSDAPPLFRYCKDDATLDIVFPDWSFWGWPEVNIRPWAPLLEEMAAQMARLPWPDREPYAYWKGNPGVSADRADLLRCNVSDRVDWNARVFAQDWGAAIRAGFRGSNLAEQCRHRYKVFVRGRSWSVSEKYILACDSPVLLVDTPFRDFFSRGLVAGEHYWPIDPARKCPAIKLAVDWGNAHPAQARRMAGEGSGFAREELAMDYVYDYMLHLLTEYARLLRYKPTVPDKAVELRAEALACPARGREREFMMESRERYAADYEPCTLPPPFTAGELRDMARRDQEVRDRVKKMMTSMEHERPPQP >PAN34167 pep chromosome:PHallii_v3.1:6:5610952:5612808:-1 gene:PAHAL_6G073000 transcript:PAN34167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVAVNIKRKDAEVASHGFAIFLDPKRIKLQDAVEIPEMMEEEKPLADSDAGAPPANVHPTMSMPSLSPPTQGQEAAVHDNTVNTESGSQSSEPPPFSADQAEPMDVEDHARQPQLGNHPQFWSGFF >PVH36645 pep chromosome:PHallii_v3.1:6:20281734:20282162:1 gene:PAHAL_6G129800 transcript:PVH36645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLHDEGIKIIKQKLSQGETKYRCFHTDHQGVLRFNNHIVVHKNRQLRKQILDEAHLSKFSIHPGSTKMYQDLRRNLWWTKMKREIAKYVSECDTCQRVKASHLKVSGTLQPLPIPLWRWEDISMDFIVGLPQTSQKHDSI >PAN35339 pep chromosome:PHallii_v3.1:6:37294824:37295522:1 gene:PAHAL_6G200900 transcript:PAN35339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFPIKQLDGPSGYLRWKESVLLRLHTLDVAHVLFEDRPAGDGGDAAAQAAAKKWARDEAVCRGHVLASLSDRLLPDYARFAAAADLWRALARTNDVETRHAWRDRFDAFVFDEGPGDALLEQIAHAEALGAAAKLPDDYVADELCGKLPEVVGNAVLARSGPDNDMGLVWDVARRVVAYGIGPERLWKTTAMSEDDQDGFYIDGPEPEQNTGRRKRGEPGPVARNCRRKV >PVH36324 pep chromosome:PHallii_v3.1:6:3559791:3560165:1 gene:PAHAL_6G048000 transcript:PVH36324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGLTGGFAALAAEEDWAGAATGAAATRARRRGPGHSRARAPHWRAGGAAPRRVHGRPRPREGARGPAAPRSGHAPPPPREGARGPAAPRRPHALAGLVSARAAAAPWCGGAATGMQTPPHQC >PAN33818 pep chromosome:PHallii_v3.1:6:3597857:3598532:-1 gene:PAHAL_6G048700 transcript:PAN33818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAAQLKNKFFGLVGRITSCARAHKDAAAGVAEPKPVASQHVEIRSRGGAPHVDGGAKGHINNDVV >PVH36463 pep chromosome:PHallii_v3.1:6:6309729:6310682:1 gene:PAHAL_6G077800 transcript:PVH36463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPRPATRTASRCTPRTARGTHAFEIAGYSLHRGLGVGNFIRSAAFDVGGYSWCIRFYPDGYADGEEDSRDDDDDNVAAFLELLTEDAEVRAHYDFRLLDRSTGTSSSVFSTAAPQVFDTLGVREEKYFAWGTDELMERSELEEASAYLVDDGLVIECDVTVIMEPQVEENTMAASDVEVPPSDLSSSFGKLLEMEERADVIFKVEDEVFHAHKVVLAVRSPIFVAEPDEGKKKECIVIEDMQPAVFKALLHFIYTDTLPAMEGLDGDEKKEIVKQLLVAADRYAMNRLKLICEGILCKSLDACCDHGCHACSS >PAN35330 pep chromosome:PHallii_v3.1:6:37216728:37219549:1 gene:PAHAL_6G199900 transcript:PAN35330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAAAAAATAAQPAPWRPDLAAAKALGLLCLASLSVGFAAVGTSSVAIAACDYDEACAVRQVLDATAVRALVFAALLAPIAPLLVVRAAVCDAGFREELIISVIRHLQAPRVPVRSFLRQDVVRAFLAALAFTLPSIIGCTVLLVLSPAKGSWTGRIGAILAIVGEVGSTAISCFIIFPLMALKLWRMKLGGAALADSNV >PVH36423 pep chromosome:PHallii_v3.1:6:5387456:5388163:-1 gene:PAHAL_6G070300 transcript:PVH36423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLRDKLRAINALFEKLEDTDELGPLVKAWRDQMREMGYEIDDFVDDFLHRAGIGGASAVFIDKVSLFIKNLRAHLNTAKQIKELKAHLKEINEQPKQYKFGDYVSISGSVAVDRRLPALYSNEANLVGIEGPREDIIKFLTDTDQQLKVLSIVGFGGLGKTTLAKEVYHKIGGQFDVMAFVSVSQRPDIIRLLHGIQSELGMRESPSNFNVKVIIDDIRKHLQPNRTLHYAFY >PVH37060 pep chromosome:PHallii_v3.1:6:40100526:40102228:-1 gene:PAHAL_6G233800 transcript:PVH37060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGGMDALPDGVVQHILSQLSSARDVAACAAVARCWRGCVPYLPSLYFPRGAFEAAAAAGGGAAASAVAAADDAIGRMVAAAARLEELVVYCPFSASLLPRWLAARAATLRVLELRVDAAADKSGHHLDCVGVAAGLEELRLWGLTMTRPPAWGRMERLRVLEVVGAVLGEAAVNGAVAACPNLTDLALLGCECAGEAAISLPLLQRCRLDFVGAGNCSLRLAAPRVESLEVQGFCWISLQGGDRLKHLTISKITGSVYHVEMGKVPELDQLSLRGVQWSWGAISSVLQCATEVKHLVMKVEFCGDFDALQPFPEIDLVEFFNSHPKLCKFEIHGAMFAALCQKNSLKNLDSRFVIPCLEHVLVTVRSPLNAEQKLSTLESLVRYSVRLRRMIIRISQMKNCHDAADDFFEEICKFTCMNSGRVCIE >PAN35749 pep chromosome:PHallii_v3.1:6:39838934:39840624:1 gene:PAHAL_6G231300 transcript:PAN35749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METELWLLWATLAVSLLYYLSILRRGTGASRLPPGPRPLPIVGNLLDLRGHLHHTLARLARAHGPVMRVRLGLTTAVVVSSRDAAGEAFAKHDRRLAARAVPDAARALGFSERSMIWLPSSDPRWKALRGLVAAHVFSPRSLAAVRGVRERKVRDLVGYLRGRAGREVDVGQAVYGGVLNLVSSAFFSIDVVDVGAESAQGLRELVEAIVEAIAKPNVSDLIPFLRPLDLQGWRRYTAGRYEEIFRVLDGIIDRRLAEASSSRDTKYGDFLDALLELLSTGKVARDDLTTILFDVFAAGSDTIAITVEWAMAELLRNPSTMAKVRAELDGAFGSKETVEEPDAASLPYLQAVVKEAMRLHPVAPILLPHLAAEDGVEVGGYTVPKGSTVIFNAWAIMRDPGVWERPDEFLPERFLDEAAGVEFRGKDFEFIPFGAGRRLCPGLLMAERVVPHIVASLLHGFDWRLPEGVSTEQLDLSEKFTTVNVLAVPLRAVPLVRT >PAN33168 pep chromosome:PHallii_v3.1:6:73910:74344:1 gene:PAHAL_6G000900 transcript:PAN33168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRCRLAEAATLLVILLAAGGAASAAELAEESNKAIAAALPARKQEDVAVATTNTSTGGGSGPSSSPSSPSPGAGGGEKKESKGDNNGSNGNKEKEKVKKCVTSKDCHLKRLVCAKKCTMAAHKKCAAKCSRSCTGGLPICT >PVH36638 pep chromosome:PHallii_v3.1:6:19804126:19805927:1 gene:PAHAL_6G128400 transcript:PVH36638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRIPTTSPRTTSRVPSCTQSCPWVRSPLELRMAQDSDDPLFVVSVVVWVFVVILAIVAFHCPLPRRVVR >PVH37362 pep chromosome:PHallii_v3.1:6:44787605:44788632:1 gene:PAHAL_6G295700 transcript:PVH37362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNRRRSCSPPATASSPHFPPELIPEVARRLTYRAYRALLPLTSSNLASQAPLLLVPFEDESNALFHPTLRQIYLFRLHRMHLPLTSRDWAVTEFHPLGCPCRLAICEIRGKVGQPSRCSLSIVNLLTGERTCLFGLLERISRVLLYGDLVLTWKYMERAIQYCYRLAAVELSEDKNSVELVLLGGNFDMHGEFPPRLHLAECCGELILIRTMDLDPRVYHFFRWKFGEAKWERITSLGGCTLFLTDGRVVGCLGPDHKGIRGDSMYITEYTDGNWYEYSLIDGSFNRFVTEYPGRAVPLVIYPLFWVLPSMS >PAN36231 pep chromosome:PHallii_v3.1:6:42114309:42116320:1 gene:PAHAL_6G261700 transcript:PAN36231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGRSRPPPARLDPLLLCSPASGLAVVEVLRVADGVVPESLRRGGLERPAVAAQQAPRPGAHLVEDVPPRAAAVAPAQRGGLVVAHLQPARERRAPRHHPPEPPLPPPPQRARRRQRLHGRHRPRATERRRRQRRPEVRRQGRHAPAQTDPAAGPSRGRGGGGHGELLVLAPPRLDRLQDRVGRHCPATTVGGRERERERERDLWMRRLSARPP >PAN35091 pep chromosome:PHallii_v3.1:6:34225310:34226840:1 gene:PAHAL_6G180200 transcript:PAN35091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGESGGRARLVSELVCVQDLVRRLELQLRAPADAASVDLCRQLIHQIVALTDRSIGMARCSPDLAAAHCLLPAAAQQQQQPLSGAPSPLSDAGSDHHQPFLRASPKKRKATARWTSQQVRVSAAGAGAEGPADDGHSWRKYGQKDILGAKHPRAYYRCTHRNSQNCPATKQVQRTDDDPKLFDVVYHGDHTCRPSAASTRRAPHNPHAQAALQGLAARLTVTTDAAIAAAALPPMTPDSCPARGASSPWSLASPVGSDSNGCAQGVSPCPVPGYADWGSHGDLQEVVSACAAVSDLQGLPVLDSELMSLECFAFDQSFDMGGAMPSLFYP >PVH36651 pep chromosome:PHallii_v3.1:6:20547946:20551144:1 gene:PAHAL_6G131200 transcript:PVH36651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPLASPRRHVPQRERRPRRCLELERAIAGRVRSGSLGLDDAVKMFDELLPHARPASVRAFNQLLTAVSRAQGRGSSSSELVPSLFNRMARACSDKVAPDLHTYSILINRFCRIGRLELGFAAFGLILKTGWRVNGIVINQLLKGVCDRKCVSEAMDILLRRMPEFGCTPDVVSYSTVLKGLCNEKRAEEALELLHMMADDGGGSCPPNVVAYTTVINGLFRDGQVDKAYNLFREMDDRGISPTVVTYTTVIDGLSKAQAVDRAQGVLQQMIHKGVKPNNWTYNCLIHGYCSSGQGKEVVRMLKEMSAHGHKPDTVTCTLLLDHLCKSGRCTEARKIFDSMIEKGTKPDVTTYGVLLHGYATKGALSDMHGLLDLMVENDVSPDHHIFNIVLCAYAKGGMIDEAMHIFDQMRQQGLSPNVVSYAALIDALCKLGRVDEAMLKFDQMIHEGVTPGIVIFSSLVYGLCTVDKWEKAEELFSEMLNQGIHPDATFFTTIMRNLCNGGWVMEAQSLLDLMVHVGVRPNVISYTTLIDGYCLAGRMKEAMKLLDAMVRVGLKPNTVSFNTLLHGYCRAGRIEEAVKLLDAMVRVGLKPDTVSFSTLLHGYCRAGRIDDAVRLFREMLSNEVKPGIVTYNIVLHGLFQSGKFSEAKELYLNMIKSGMQLNIYTYNTILNGLCKNKCVDEAFKIFQSQCSKDFQLDIITFNIMIDALLKSGRKEDAMDMFTAISAHGLGPHVVTYRLMIENLIKEGLLEESDNLFSAMEKSGCSPDSCMLNALVRRLLHRGEIMRVGVYLSKIDEMNFSLEAATTSLLISVLSREEYQHHAKSLPEKYHFLKEINK >PAN34038 pep chromosome:PHallii_v3.1:6:5008637:5010376:1 gene:PAHAL_6G065800 transcript:PAN34038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQQRLCVNEADGEAGYARNSAIQSAAQNWMKPAIEEAVIGLLNLKSTDVPNSMVIADLGCSAGPNALALVSTAVDAVLHHRHAAQHDQGPLEVRVLLNDLPDNDFKDVAKRLVSFQQSTQSSGLLLTAGIVPGSFYKRLFPSNFLDLIVSSNSLHWISEVPKELRSNMIPLYDEDEGLRRARRPLVIQAYHEQFRKDFTLFLKLRAQELVPGGRMVVSMLGTRDYLCITPWDTIIIPLNDMASRGLISREMLDRFYVPMYGPSDTELREIIQDDGSFEINEMQVHQVDKTLVVPNTLARAMRAVFEPMIVQHFGLSSDSMDEFVRTLEQQLTPASPYHTYLVGDRVFVSASLTRRF >PAN33613 pep chromosome:PHallii_v3.1:6:2472693:2473285:-1 gene:PAHAL_6G033600 transcript:PAN33613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQGLLVMLSLALFMCSTIPACLSARGVDVMRGEIKAGLNVCYKNPRSRYVDDIFCCSCDRKCIWSDIQQCLSDCARESNCAQTKPEELARPVSVVRG >PAN35436 pep chromosome:PHallii_v3.1:6:37981308:37984707:1 gene:PAHAL_6G208700 transcript:PAN35436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAMKCVLLLLSASLVLLLLNFQVLDGALRQASNERGNVRVIVAVTHDVGSGLVSRFRMLMGLDHRRPRHRRHHKSSAAPAPAPAPTTLAHEARAPPAPAPAPAPLPHTSHSRAPLRNRGHIAPVRSVARRLGGGGHTRLPKGAIVALAVVGTCLLVLGVAIAAVLIRRSRKLQKKPFKLLFHGSRAHRSPCATMKVSSHPSPDLLFLSSAVQCHEDYPTLKESSENKSLSVRSTPTKSAELIVSDHTVKTNVDLQSDEADSFHSVPCSRSSGGSIEQSPPQICDKTVTDPPSSPLTDDSPSGSSYQSLSPDFRSQFSPKTPTSAASDSTHASNTFCHPPEKQDYQETSKTAITSGSMAHPESPRGEQGNSNQFMNSSSGYKATCNATETTPSETSTAFSASNETFNLDAKKTSRSSAEGAESKPSRATNVLKLPPPPPPPSKPPSSLIGQNSGQPPLPPPLPIQVQVGKDGLPLPRLKPLHWDKVRAAPNRSMVWNDIQSSSFEFEFDEQMIKSLFAYNFQGPAKNEDATNKTLSTSKHVIEHHKLQNTTILMKTLNASTEQVCSSITEGTGLSVQQLEALVKMKPSEEEEKKLMDYDGDINMLDPAENFVKVLLTIPMSFSRIEAMLYKETFDDEVAHLRMSFTLIKGACSELRSSKLFLRLLEAVLKTGNRMNVGTIRGGASAFRLDALLKLSDIRGADGKTTLLHFVVQEMVRSQGLKASDKIGGTPGPCRTTPTGREEYLEMGTEFVSELSNELANVKKVASIDLDTLKSSISNLSHGLAQLSRLIGKDLTCNDRNQNFLHCMKLFQTHAENTMQELKVAETEVLQQVRELTQYYHGEVGKNESNLLHIFVIMRDFLGLLDRVCREMRGSKHIQRLNIVLPLR >PAN36432 pep chromosome:PHallii_v3.1:6:43521683:43524003:-1 gene:PAHAL_6G281900 transcript:PAN36432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSSHHSLHLKMPAALTNGHSPNLSSLLFYGQNHGQGATANANAASGTAAAMAEDASLESSSAVVDTSPQGSASPMDRKRKATEDSATLSSAHSKDCKQEGKSKRGKRSNKEAEDKSTTDDEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGLDSDGLHDHAQKMGGMFQEALAMPGPVLSQASPAPSQAIIETTSTTPFSLQGQGAISFSQDNGSTYLMQQAVGEPTRQELLNQLVFNNMCSFQ >PAN34659 pep chromosome:PHallii_v3.1:6:17350698:17354414:1 gene:PAHAL_6G122300 transcript:PAN34659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGLASGEESAAPASEEERDWSEMTPVCLAEAFSRLALEDLWRGAMACCRSWRDAARSRPGLFAALDLEPGFAESTPGAEAAAWWTPAFQRRVDAMLRSAATLAAGELREVRVRHCSDDGLSFAAERSPSLSILSIRTSPAVTDRSMLIVGACCTMLTELDISNCYEVSYKSLEVIGQSCQNLRVLKRNIFNWIDPSEHVGIVPEDYLRECPQDGDREAVTISKFMPKLKILELRFSKLTAVGLNSIPGGCKELEVLDLFGCANLTSRGIDQAAANLKNLETLVKPNIYIPRSSFHMGRYGHWQLYDERFQTNVFQI >PAN33561 pep chromosome:PHallii_v3.1:6:3316324:3317273:-1 gene:PAHAL_6G045900 transcript:PAN33561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSMVFGSIITLLLLATIAHGLRGLDMHASPNKKGEIRADFKWRRPPPSSGGSPADSASGGRHGTTPPEDHASTARAAAEEDGERKKKMRAHREVAPRLIHEDYAGPSGHSPNHHRTIRCGPC >PAN35350 pep chromosome:PHallii_v3.1:6:37376194:37381911:1 gene:PAHAL_6G202100 transcript:PAN35350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAMVPLRQLFVDGEWRAPAQGRRIPVISPATEAHIGEIPAGTAEDVEAAVAAARAALKRNRGRDWARAPGAVRAKYLRAIAAKIIERKAELAKLEVLDCGKPYDEAAWDMDDVAGCFEYFADQAEALDKRQNSPVSLPMETCKCHLRREPIGVVALISPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGPDAGAPLAAHPDVDKVSFTGSFETGKKIMAAAAPMVKPVTLELGGKSPIVVFDDVDIETAVEWTLFGCFWTNGQICSATSRLLIHTKIAKEFKERMVAWAKNIKVSDPLEEGCRLGPVVSKAQYEKIKKFISNAKSEGATILTGGVRPAHLEKGFYLEPTIITDVSTSMEIWREEVFGPVLCVKEFSTEDEAIELANDTHYGLAGAVISRDRERCQRISEEIDAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLNVKQVTEYISDEPWGWYQPPAKM >PAN33968 pep chromosome:PHallii_v3.1:6:4615886:4616739:1 gene:PAHAL_6G061100 transcript:PAN33968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLIGRITMEVAPSKMPSIIRRARLPKILDTIMEDDKEALESPRAPSHNGSRIKEAVDTPMYCSDKLAFLVPMAKTECLKIKA >PAN36331 pep chromosome:PHallii_v3.1:6:43078810:43080138:1 gene:PAHAL_6G274700 transcript:PAN36331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKKDLLRKLTIISIPFVFVAIPSVVIIVGMLSPHAAEPQEGSGPAPPGQNHSLSMLSTMTGGQMILSCRAAFSGNWEYFHYFILDPYKPQQAFFQPQDDPYVIFCKWGYMGNFLQDVVVFNSCAPWASQCRVDNGGCRYLFQDGHMFLVTGKHDGGGPAPKAKVAAETLGPVAAPGPGQGPAAAPGPGRGPAPAPAAQPPEPPPDAPQQVREKKLVGDVVLRECQHVLGLFPTMCRKKPHRHEYVGKIIGRWRWWFNY >PAN34971 pep chromosome:PHallii_v3.1:6:30684133:30685510:-1 gene:PAHAL_6G164000 transcript:PAN34971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLPTSSSQAGDDMEDEDLEDLDGEGLNENDMDCEDMDGKDIVERTGMART >PAN33733 pep chromosome:PHallii_v3.1:6:2995844:2999514:-1 gene:PAHAL_6G041500 transcript:PAN33733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTNAIHIIPDAAGPDAWTNAAPSAGGDSAIWATEDDYRQWSNDPGYGDRNPSSRAGSEQPPPGKKSRGGAGGGSGGGGGGDSGGSNSTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEQREEHQIPIMTSGSVVAGDGGGGGSQGGRAYKGRHCKKFYTEEGCPYGEACTFLHDEQSKARESVAISLSPTVGSGGYNATSATGGMVQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDSRDAASTPDSKQAGASAKAPADSAAASTAMPPHADVYHLGIQSQRSTIVSQRSGHVQRPIQKWKGPDKISRIYGDWIDENE >PAN33886 pep chromosome:PHallii_v3.1:6:4050834:4059990:1 gene:PAHAL_6G054900 transcript:PAN33886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 18 [Source:Projected from Arabidopsis thaliana (AT1G12470) UniProtKB/Swiss-Prot;Acc:F4IDS7] MDGGGGGQLFSVDPLERQAARGHGLVTSMAAGSDVIVLGTSRGWLVRHDYTFEDAHDLDLGSGRSGDHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKPLPRLRGLLVNAVAWNRQSITEASTKEVILGTESGQIFEMAVDEADKREKYVKPLFQLTEQREGIKDLQMETAVVGNSTRYYVMAVTPTRLYSFTGIGSLETVFTSYSDRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGSGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSGTKPRSFALSEFHFLLLTGDKIKVVNRISQQMVEELVVDNTPETSRGIIGLCSDASTGLFYAYDESSIFQISTSDEGRDMWQVYLDMNHYAAALSHCRNPFQRDQVYLVQADAAFAAKEYFIAASFYAKMNYILSFEEISLKFISIGEQDALRTFLLRRLDNLTKDDKMQITMISTWATELYLDKINRLLLEDSTGTTTNSVAEPNSSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRLNVPVDLVYKFAPDLIMLDAYETVESWMMVRNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLENEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALKVDLELAKAEADKVEEDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIELLKQEMDDATRGADNIRSDIGALAQRYTVIDREQDCGVCRRKILTVGGLHQVGRSYTSVGHMAPFYVFPCGHAFHAHCLIGHVTRCSSQAHAERILDLQKRLSLMDRKAAKDNGANVNGESIMSTTPVDKLRSQLDDAVASECPFCGDMMIKEISQPFILREESDEKASWEIKPQPTPQKILPMTMSI >PAN33164 pep chromosome:PHallii_v3.1:6:55877:66223:-1 gene:PAHAL_6G000500 transcript:PAN33164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGGRRGAKQGRKWTREPQLGDLVLAKIKGYPAWPAKISRPEDWDQTPTPRKFFVYFYGTREIAFVPLADLQEFTEKTKNDLLDRAPNIKVQRKYVQAYNDAVEQICKAYSELPKSSEAASGALLDQSEKTTEHLAKSPVDGETPGLDRMESDSPTDDSNASGQGSGTEEDMKDGGHEIGDHSLAVSQNKTSSLQDPEHPKTKKPVASKSALDMYLEQEHSPTSVRAERETEEVKIENESRPPEGFVLDPNLEVVCALEVPMKSKANKLLRNAEKKENKRADIGSSTGRTATEVASDVLNMTADKESREFKKSKITAKQSLATGSEKRDHNKIVHGKPDKELTGKSSGGFSSDKKSLPGGGQRKTDSNTDIRPAKKPRLMDKAGETDKTVAKSEAKLSINYEKHNAMKHERSTAVETGKNTIPKTSISDDRARRSDSVVSPVSRLHSEVLEPASCSATQSTIADSAKKGSSMKEGASRVDRQLAKPKRRACRFDDDEDEGQRTPLHRTSAKSISTHIVPAEKAGSRGKFSSHVGNASLKKSGPAREEKSKGSGMSPAKHELVCSSPNQDKMHARQQVMGRRSLTGSADTSAGMGNKMNLLDRKSSSQVKMPTSSEVKKLHSSSKQLHLTSGNSHSRNYPASEKNSLLSKSEDTKAKLKPGAQAVEHKVSTTVTVSAERAGKRDHLKEERSISVDKAASSEPNPDSVKSMKHLIAAAQARRNLMASTHGKFDGSLTDNVGSTSTPYGLPGLSPSPVFRIPSPPRIALPESPGQRIVLKSPMELDHEHGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLVQKLESEPNLHRRIDLLFLVDSITQCSHSQRGVAGASYVPTVQASLPRLLGAAAPPGSSARENRRQCLKVLRLWLERKIMPEEILRKYMGDIEVPNDDTSTSFMLKRPSRAERSVDDPIREMDDMLVDEYGSNATFELSGILSSKVFEDDEDFPRNNGLSPFISQPVESDNIRETEDTIAPASVEEHIIRPENVTTVAAMEGALEGNKQHTDGAILIEHDSRQEPGSEQALIDQNELPPLPDGPPPLPSDSPPPPPLPSDSPPPPPLPSGSSPPPPLPSDSPPPPPPLPPSPPPATPPPPPPLPLSPASPPPPPPPPLPSGPPPQPAPPRPPTQAPPLPSILPPVPSSPSSLGYQPPAPEYFRTPNGNQLTQMTGNTSIQAIGNTTNFIPGGSANGQTAVNFVPSMPAEYGNNNVFMAPQTSNGNYQFRPTGVPFQQGNFSAFPSAQTPPVHSHSRIAHMNPLAQQAVPPPRNPYIVQSFPNSQSHYPSEEHWRMASGNFSPDDQHNNWLAGARALSCSEGSFVQDGYSRSNIDRSSMNPMNHQHTALNHLPSGAPLPGHVVPQMLPASSDIHTLNCWRPS >PAN36512 pep chromosome:PHallii_v3.1:6:44333856:44339597:-1 gene:PAHAL_6G289100 transcript:PAN36512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVISIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPDEQHDLILSDTIQLGQFIHVDRFEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSSFLGSKKAQPSINGNSKDGGALSLEKEQSKLEKINASVKNNGTESKKPQLTKSNSSLSKQALNSLTSDKKDVVSSKPKSTTVRSMPSSPTSVHSLPASFDKFSNDMKQRTKTKGAEKPSPSRLSLLEKAASVLKATTAGRKSSVSNSLSNTILSIESGPKALRRSWEGNPDAKGKGNSDSKPAKVEKKSENRSSSTPRRKPVVEEKPLHKDDSKIQTPPRKSSASAPADDSDKIVNKHSSPIRRTSGVLSNPNITNLVKVAVNSKKLTDANTSWTALPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSSAEEQNPQPAVEQFLALHGALSRATVVTESLTKATTTASTSTSPDRSAAGDTSTADEETLAVAAERRRRAASWVGAGLATDLSAFSLYNLKPAPANIVSPLAVVLVDESAKPAAAAAKASPPAKSRLSPAKGKVRSGSAAAAAAAAAAAALVPPPEWERGGGAEERGELARRLGEEARGWFLSFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPAETPSQQHPPSDADGEAAAPAPNGGAASGVPEETIERLRKKIYEYLLTNVDSAAAVLGGGEAAPAPVANGKKG >PVH37330 pep chromosome:PHallii_v3.1:6:44333856:44339598:-1 gene:PAHAL_6G289100 transcript:PVH37330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVISIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPDEQHDLILSDTIQLGQFIHVDRFEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSSFLGSKKAQPSINGNSKDGGALSLEKEQSKLEKINASVKNNGTESKKPQLTKSNSSLSKQALNSLTSDKKDVVSSKPKSTTVRSMPSSPTSVHSLPASFDKFSNDMKQRTKTKGAEKPSPSRLSLLEKAASVLKATTAGRKSSVSNSLSNTILSIESGPKALRRSWEGNPDAKGKGNSDSKPAKVEKKSENRSSSTPRRKPVVEEKPLHKDDSKIQTPPRKSSASAPADDSDKIVNKHSSPIRRTSGVLSNPNITNLVKVAVNSKKLTDANTSWTALPPSLAKLGKLLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSSAEEQNPQPAVEQFLALHGALSRATVVTESLTKATTTASTSTSPDRSAAGDTSTADEETLAVAAERRRRAASWVGAGLATDLSAFSLYNLKPAPANIVSPLAVVLVDESAKPAAAAAKASPPAKSRLSPAKGKVRSGSAAAAAAAAAAAALVPPPEWERGGGAEERGELARRLGEEARGWFLSFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPAETPSQQHPPSDADGEAAAPAPNGGAASGVPEETIERLRKKIYEYLLTNVDSAAAVLGGGEAAPAPVANGKKG >PAN35406 pep chromosome:PHallii_v3.1:6:37825325:37828466:-1 gene:PAHAL_6G206400 transcript:PAN35406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRVVPPTSFHGRVREDLLNAVQVDVEEPRTGGMDSGYYTDLLVNGEQQSQDITPPSDPTPPSDPTILNARATAKSSLGRSKNFTDEDGILLVSSWLNVGMDPIQGADQTHGTLWTRIHDYFHANKTFESNRTESSLMNRWSGIQHDVNLFCGCLSRIEAKNHSGWTVDDKIANACALFKSEDQKDRKFAYLHCWKILKDKPKWMERRKEIGCAKKTSNKKQKTVANSSHASVAAAIVPAAPLAGGANAEPSARPDGKKKEKQKLRQRSTIEAVDYQLWQRSTIEAVDYLMAKKKEGDLEKDLKRGATTPLLCGKKGPNWRKKSLSSKETWKRREFLDWI >PAN33641 pep chromosome:PHallii_v3.1:6:2582858:2589192:1 gene:PAHAL_6G035500 transcript:PAN33641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVQEKDRPTESSEPNIADGKKSSGPASMAPETEAGDKPFPFLGLLCYADALDWLLMVSGTMGSFIHGMGPSMSYYILGKSVDVIGKNIGNKEATVHELNKLIPYMWILAIVTLPGGMIEIACWMYTSQRQMARMRMSYLRSVLSQDIGAFDTDLTTANIIAGATNHMNVIQDAIGEKMGHFMSNFSTFVVAIIVAFACCWEVGMLSLLVVPMLLMVGATYAKMMIDMSLERISFVSEATTVVQQTLANIKTVFSFVGENSAIKSFHKCMYNQYRLSKKEAMAKGLGLGMLQIATFCSYSLVIWVGATAVTGGKAKAGETIAAVINVLSGAIYISNAAPDLQVFSQAKTAGKEIFKVIKRNPAISYESNGKILENIKGDIEMREVHFAYPSREDKPVLQGFSLAIHAGNIVALVGSSGCGKSTVISLVQRFYDPITGTVLIDNQNIKELDLKSLRRNIGSVSQEPSLFSGTIMDNLRIGKMDATDEEIIEAAKTANVHSFIYKLPNQYATEVGERGVQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKIVQEALDRAMQGRTVILIAHRMSTIINADKIVLVENGRVAQSGTHEELLGKSAFYSSVCSMQNLEKDSGRRETRFTGQVKEENEEAAEYGTYNTPSFTSSEQKKKLDLTEQPKQAIRKRTSTFYRIFLGTFKLLPGKVLLGSTAAAISGISRPIFAFYIMTVAMAYLEPDTKRIVSKYSIILFLIGLLTFFSNIFQHYIYGLVGERAANNLREALFSVILRNEIGWFEQPKNSVGFLTSRIVGDTSMIKTIISDRMSLIVQCISSILIATGLSTVVNWRMGLVAWTLMPFHFIAGLVQVRSAKGFATDFSTSHQKLISLTSEAVSNIRTVASFVQEDEILRKADLSLQEPMRKSRMESIKYGAVQGTALFLWHTTHAIAMSFTIMLLDKDLATFKNCVRSYQAFAMTISSITELWSMIPMVLSAIAILDPALDILDRETQIVPDVPKVHSEERLAGDVEFQDVSFSYPSRPEVIILDGFNLAIEPGERVALVGSSGSGKSTVLALLLRFYDPCEGQVLVDGKDIRDYNLRYLRKHIGLVQQEPILFNMSIRENISYGNEDVLESEIIEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPTILLLDEATSALDSESERVVMSSLGAKEWKNKGELSSKITSITIAHRISTVTGADVIVVMDKGQVVEMGSHASLVSASNGVYSKLYHLHSKGVKD >PVH36827 pep chromosome:PHallii_v3.1:6:33828478:33829643:1 gene:PAHAL_6G177400 transcript:PVH36827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALRWLRWASEDALRAVFPANIRLMKCDNCKALADPCIDCEFMIILIDLILHKTRAYHHILFNKLACGIIC >PAN35197 pep chromosome:PHallii_v3.1:6:35868655:35879197:-1 gene:PAHAL_6G188400 transcript:PAN35197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSDGEHELEGDGLSAEDSSWSDGGWSEDDDEESLSFEGSGSGSGSGSDSDEAAAAEESDSSEDEVAPRNTVGDVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEVYLKNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHANVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKLDKPKEESNLYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHTSPVTSISVETTGQWLASGSRDGTIRVWEVETGRCLKVWNVGGDVRHIAWNPSPDRPILAAIVGHDLLLINAEVGCEEVQMRAKELLKICEMAPQDDTDGKKPAVRWMKHEKFDGITLIHHKAVSNVDWHFKGDYFTTVVPSGDTRAVLLHQLTKKHSHHPFRKLPGLPVAATFHPSQKMFFVATKKFVRVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKIHSKDITNVTFHRKYPLFASSSEDCTAYVCHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSVIRLYCD >PAN36200 pep chromosome:PHallii_v3.1:6:42216867:42223729:-1 gene:PAHAL_6G263700 transcript:PAN36200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGSSSSRGRGWQGRGHHGGGRGGGGWQGCGRGRHGGGGGGRGRPSPPTPSSTAYRATVNPTPTPASTVDDAAPIVGTCPDMCPARERAQRERLRDLAVLERVGGDPAQTSPSLAVKKFCRTISSTNVMASDIRPLPVLRETMDYLLHLLDSSEHPFETVHDFIFDRTRSIRQDLSMQNVVNHQAIQIYEDVVTFHIRSHQRLARSCQDSDASSLCFLNMEQLTKCLLSLFDMYHAIHESDSHSKREAEYYSFYVLLHLGCKIPKMVDSLSLWYSQLASQVRQSKEMILARNLLRCYHLGNFKRFFCLIAAEATDLQLRLVEPFLNEVRARALMYFNHSGYKLQHHPLEHLSEILMIEESELETLCRTCGLEIRTSEGTKAFVPKQTSFSLPASMPRSNGIYISREVGR >PAN34123 pep chromosome:PHallii_v3.1:6:5357979:5360061:-1 gene:PAHAL_6G070000 transcript:PAN34123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGPCLMLDPLGVAKHPPDRRSIKTATTSAGKKVSFWLEEHTTSKGILLLGAICPDTPDPYGGDAIPSFLEVVGVDEGLALLRLRTPDRGVPTDYFVCDIADDDQKVAQRLPQGIYCSGGSVGLLRIVDGSSNNSRDKFLVANLRIELDENTEKMEAHLDRWSPYLRDKWNQQKVDLPFPEDKKGEYMCEWRTDQVVSLGNSLLWFDLWRGILRCSNLLLPGCSSEDHEPPKFQYVPLPRLSPEKPIKDAHHRRERPEPFRSVACCQGKFIKLVNLHCPDGNSLEVETWKLGRTTRNNDGWAWSMEGTYKYEKLRETFKDKFPPESSLPQWKVKPPCFPVLGTHNSSILYLTLSAQNKAFLVRISVKDKKTVRMAEYSTFCKHRNLLRPVDLSKGNASCTDPTDEWELVHIWGSRTRKNLLSRMTPSWLSKS >PAN35607 pep chromosome:PHallii_v3.1:6:39099966:39101419:1 gene:PAHAL_6G221400 transcript:PAN35607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGAGFNATAAAAAKAVVAPAVAGSAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGRGDEDGSGADGAKPAASDLPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSNKGDDEAEKKVQEVAMASIKDAEQNGEHSESRREREEHHIPEV >PVH36437 pep chromosome:PHallii_v3.1:6:5668411:5668752:1 gene:PAHAL_6G073600 transcript:PVH36437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYWHLHRPASLLGYTLLFAHHMLPFLGLRVEGVASCRAKCPLR >PVH37125 pep chromosome:PHallii_v3.1:6:41445229:41450962:1 gene:PAHAL_6G252500 transcript:PVH37125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDLLFHNQFPIMKCSYQVRQPCLHDEHTLDHSFLGFHSCKAAAIDHWYHSSSPLEHLLGHGMCPIITDPKQRVGFLHPRHHPFPHALGLVEIDHQLPPFDNHQRMNFGWGGAPLIWRSLSAS >PAN34299 pep chromosome:PHallii_v3.1:6:6781047:6782425:-1 gene:PAHAL_6G082400 transcript:PAN34299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSLALLAVSLALLQVASAARSWLTQFTTDGVVRTGYDTSGQKVMMLNLDRSSGPAGFNSKEQFLYGEFSIEMKLIPGNSAGTVSCFYLSSGTDDWRDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPENILFKVDNVFIRSFKRYADLPYPSSKPMTLHATLWDGSYWATEKGKVPIDWNNAPFVVSFRSFYANACVSGGACHAGRDGWMHRQLDAAEWGTVRWAERNYMRYNYCQDGYRFPQGFPAECNRN >PAN35119 pep chromosome:PHallii_v3.1:6:34907862:34911678:-1 gene:PAHAL_6G182600 transcript:PAN35119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPSRSQPPPLPPPAEPQALPAPTTIRALSDDLLREIFLRLPSLPSLVRAALTCRAFLAAVCSSPAFRRRFRALHPPPLLGFFVSANGTEMHSFMPIRRRSDPDHAAAIRGIDVFLTRVPCDDDVFPGWHISECRGGCILLVNWESKQIGSYNPLTQALDLLPMPPDDISKGHRGKFIPMRYFLLSSDDEAPDRSSFRVVYSCHDKSRVRATVYSSATRKWQILPWSEPAPAQPASGKYWLLAGTQVNGFLCWSHSWHAYIVLLDTAALQFSFIDLPEDLKGQSHLYMIGDTKDGKLCIVAAIEFTLFIWFRRADADGVDRWMLESMIRLDREVLRATKGSREEHEELKVFAILDGIVYMSTYETFRDATLPCWYLSFCLETRNLEKLFFGKTDGHMHPYIMAWPSSLVGNNLGP >PVH36586 pep chromosome:PHallii_v3.1:6:14398356:14398622:-1 gene:PAHAL_6G111300 transcript:PVH36586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKLSGIQKQVLTLYRGFLRTARLEDPEERRRIESVVSAEFRDNARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLATLEVKK >PAN36767 pep chromosome:PHallii_v3.1:6:45634267:45635987:1 gene:PAHAL_6G308500 transcript:PAN36767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAIAWCLLQLLHPSLVVCQQQQAAGATRAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPTHRATGRFSNGRNVPDIISEYLGAEPVLPYLSPHLDGRKLLGGANFASAGVGILNDTGIQFANIIRIQKQLRYFQQYQSRVRRLLAGDGDATARLVRGALVLITLGGNDFINNYYLVPFSARSREMALPDYVRYLVAEYAKILRQLHGMGARRVLVTGSGPLGCAPAELALRGSRAGECDAELQRAAALYNPQLVDMIRGLNADIGADVFVAVNAYRMHMDFISDPAAYGFVTSKVACCGQGPYNGVGRCTAASSVCPDRSVYAFWDNFHPTERANRIIVSQFMDGTQEYMHPINLTTILAVDAAAAATFN >PAN33824 pep chromosome:PHallii_v3.1:6:3632249:3633173:1 gene:PAHAL_6G049300 transcript:PAN33824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPSPSLPRKLLRYMSSRLAALHRSLLRVQPKPVAVSATIATAAAATAAYYPEPAGGRHGDGISADGDAAARVPTSGVNVAATSRQLLVVAERNARGRSAETALESLAKRTPKEGKGGGGGDIHVVSTLAAAGAVVLLHARRWLAK >PAN33451 pep chromosome:PHallii_v3.1:6:1677978:1678928:-1 gene:PAHAL_6G022500 transcript:PAN33451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPDTVTVRGAHQFEIVGYSLIKGFAAGEFVRSGAFAVGGYRWSVRFYPGGFSAPYRAFVSAFLKITSKDARAWARFDLRLLDRATGLSRSVRRAAEPVVFDYSAPHRKCKGKRGARALMLRSELEASPYLRGDRLTIECVVDVAAAGVAPRQLRAPPSDLSKHLGDLLDQQDRTDVAFDVRGEVFRAHKAVLATRSPVFMAELYGGMKEEGMERIAIDDIQPVVFGALVRFIYTDVLVLPGDLEGDDYKEMVRHLLEAADRYGMERMKVMCESILCRSLDASTVETTLALADQHYCTALKDVCLQFMSLGLEG >PVH37424 pep chromosome:PHallii_v3.1:6:45660399:45661811:-1 gene:PAHAL_6G309000 transcript:PVH37424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQYELERDERVREVQEIFASLGIPILAQDVRDVFSKKEKCMGKTIESDNEYDPSSDIDNQCDSDDDYDHDLKHEDNTEVRAMVPGTRTKKQKTAHMPAANQLPPCSPTKFTRKQAARRTRGRPPPRDANQLPPCTPTRLTRQQAAMALPAGRPPPRDANQLPPCTPTRLTRQQAAMASPGGRPPPKDANQLPPCTPTRLTRQQAAMASPGGRPPPKDANQLPPCTPTRLTRQQAAMASPGGRPPPRERLRLPAKTASKANPKTNPISSASRLPSTSPPISTPSGNTEHSTPTPTPILTVFPQVTPTTSVNQSVPVETSPGVQSSRQSNDINDANDQVDADSEGHTIEGEPVGDFVPGNQYVFPTPIIYIQYLHLWLL >PVH36973 pep chromosome:PHallii_v3.1:6:38227602:38229748:1 gene:PAHAL_6G211800 transcript:PVH36973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKSISGTLTSTADDKSAKAAASLSHMAQWESARLEAEARLARESKMRTAAPTPTSLHAQQTNVPASTASPCLDVLHAWQVAKIDLDSPTSTLTFTGSNSGMLPTPRINRLEVSESNSAMWQRSDELEGEESDWQFFSKHQQVLGLEGKEKEEDFIGCEEEWFTGMAGVGAGFTGMLLDGSNEHDASECWGESSNGQTEHSNQASDEEDKNYWNGVLRMVNSELPPQSPPLV >PVH37193 pep chromosome:PHallii_v3.1:6:42533863:42538864:1 gene:PAHAL_6G268200 transcript:PVH37193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAHEVAIYIDRFHNLDLFQQEQFGILFLSWEIIDDDHRAPISPARVTQYEAVDIGAKGSFGFWRIDDYDNSFCTQPFLVKYSRQDIYLSVMVSFYIPTGPATSSIILKFDLIYIPTLGNGWTEVQDSSDTELVPVHEFRIPHKVLLGLHSYCPVHFDALHSALVDLTIHIVYLKAGVTKSSLKPMEQSFGSKSYDIVKASLISREILLEELKKMSNAIGNTLEDLDGMDLTLGKYETIHPSKSGLSSCNGKGTLTKCTTPQLTGILRDFLESSGVMVGNTSDDVMLYTLSEEELLELFQIVSNQVSFIWNEFLKFHRTHRVKILDYLHAIWDLDRKAEWSIWIIHSKIEIPHRYLRSMTDYSPRHGHLLRISSSRKVHHDPIQNSMSQAELHRKSIAQMKINTRSIQDMHIYADPSCIPVVRIEKHVMIVPGHCSSKDFLTDSSEPVGTFLPPLLRGLSLEEETSGFKSGYVLRAVIFVHGFQGHHLDLRLIRNQWLLLDPGAECLLSQINEDRTSGDFKEMGSRLANEVVAFLKRKLDRYSKIGGCQEMKLSFVGHSIGNIILRSALTGGLHFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGMQCMHQLTFSDDHDPQNTFFYKLCKLKTLEYFQNIILVSSPQDGYVPYHSARIDLCHASSSDNSKRGQVFTEMLNNCLDQIRAPTSETRVFMRCDVNFDQSTQGRNLNTMIGRAAHIEFLENDVYARFIMWSFPELFR >PAN33811 pep chromosome:PHallii_v3.1:6:3557578:3561449:1 gene:PAHAL_6G047900 transcript:PAN33811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTAAASCPLLRRAPAAPFAALSGALSRSGMARRLVAASSGGGGRGPAYGGLLLDAGGTLLQVARPVAETYASIGRRYGVTKPEKGIMEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATNCTDDDYFEEVYQHYAHGDAWRLPVGADTTLRELKDAGVKLAVVSNFDTRLRKLLKDLNVSDMFDAIVVSSEVGYEKPAPEIFKIALDQIGVETTNAVHVGDDETADKAGANAIGLECWLWGADVKTFSEIRDRILTTDDPQ >PAN34766 pep chromosome:PHallii_v3.1:6:32744494:32748417:-1 gene:PAHAL_6G172600 transcript:PAN34766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPTATRPVAAALIRVPRPGINRVSSPCCHSRPRPRRATPWWSRPRCSRKEKPVVTDVVEEEAPRGPETQREDEEEAEAGSLRGVLGWLRLDGVAADIISIAVPAVLALAADPITALVDTAFVGHIGSAELAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVDANSSNTTGQRDESLTPQKGSQQRKVLPAVSTSLALAAGIGLLEMAALIVGSGTLMNIIGIPVDSPMRAPAEQFLMFRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGAGNLLNAILDAVLIFPLGLGVSGAALATVSSEYLTAFILLCKLNNEVDLFSWNIIGDGVIRYLKSGGLLIGRTIAVFLTLTLSTSLATREGPVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKQARMVVYRILQVGGVTGVVLAATLLVGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPVNAIAFVADGLYYGVSDFAYAAYSTIFAGAFSSVFLLIAAPNFGLGGIWAGLTLFMSLRAIAGFWRLGSKGGPWEIIWSESE >PAN36076 pep chromosome:PHallii_v3.1:6:41737777:41738501:1 gene:PAHAL_6G256300 transcript:PAN36076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLQRDAALTSETPWPGPIVAGGGGGGGVLPPDHHRPAMLIPGDFGTKSIKALQGMLEGLSDSVVRCKANRGHAQACMAASFQEHRERLSRRIDDYGVRVRSFSGV >PVH36591 pep chromosome:PHallii_v3.1:6:14841017:14841958:1 gene:PAHAL_6G112800 transcript:PVH36591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPNIRWDREGHAHTNALHWEGFSRLLWESLQIFGYNAPPLYDGHEFVEAGVPRCRVKMTIPQHPSRYLWQPVTIRTIGHRLVDTFESAALEAIHVFCDKHPEEVAAYPIGLFPATDSRDPEWTFKISCYSHLLGDLSLETLQALIRFMNVQHHYQLLQRRSMNQLSTLAQAHHGTITQQLDELDELHTITNAQANLLAQRDVIIKNQENQIHERETVIAQRNTIIEFLQDQVQDLTIELDDAVNHINDLHEQPVPPVVPEENESEEEEEEPEEIEGKSSLDSEHVDPAPNPQSNHSSSRSLSSVGNLDDY >PAN35250 pep chromosome:PHallii_v3.1:6:36617138:36621344:1 gene:PAHAL_6G194100 transcript:PAN35250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEGMGSVVATVSGYHGDERHRLIKLISESGASYVGAMSRSITHLVCSRFEGKKYDIARKLRTRVVSHRWFLECLREGRRLPEGPYLMESGEEAGPVPELPARPCTRGKKNAATEGRVLKELPDDFCDTPTASHTIKLDDSDSDMEHQTLSESSLLKENFGDGDSEKNDLSDVKQRRKRLKCFKKSMDKDVLHLQDNVSSVMARQRLHESSHTTSRSTSKQKGERNDLTESDSLSDSFHEPQTLDTLSIGAGRKFTKTNLLSSSLRQSTLDSLYEYGETSRHEPDRRKELKNADLRESSTSLPPYDLSGQEPAFCTQEQKDKYSLGTLGDDELGYDKKLTEKSSNLERQEELSCVICWTDFSSTRGILPCGHRFCYSCIQGWADCLASGGKVSTCPLCKASFTWITKVDEAGTSDQKIYSQTIPCEASTDVSVFHNEGYDFSRFWTRPGACFQCHSREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCLHCRGMRMMYHRYR >PAN35237 pep chromosome:PHallii_v3.1:6:36426564:36429210:-1 gene:PAHAL_6G192500 transcript:PAN35237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALKSFLNSPVGPKTSHFWGPVANWGFVIAGLVDMNKPAEMISGNMTGAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARVQGYLGKKEPEAQQ >PVH36421 pep chromosome:PHallii_v3.1:6:5375605:5383083:1 gene:PAHAL_6G070100 transcript:PVH36421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGSSDHRDAEDHALVVAGANNMKMFGMPRDLFLRYDNNRRNGAAIGASTSSFAQEYDNFESQIFVRSCHGTCLLNTNLQSGTVETLKCLVEEREGIPAKDQYLIFGAKPLRDGTLLRDYAIRDESTIDVLPRIRGGSREDMTLDELLVRQAPLTKDAVVNELPPGPGVSNSTKVLDEAGVRVLRGIMSCVLKNHKAKLAFRENELTAEKLRVSVVTNEQDGVLWETASVKLHNISAKETLTDDTQKENLRRLREVIERVFRRTGGNGPQYPLHVESIDSTLVTLSAETTTPLSPATSGLLAGRTQLLEALAATVDPVQMASMWWNLIRFQDSLTRERRTVFRKAVESTKQTNWSQNLSHHPLFSNVYNYENPPSYDNSAVDLLFFARNWFTHVPKQQWNDLGAQVFQGLPHLDYIFTHHFIRFLPNLLLGLREKKFDLREILVPLT >PAN34126 pep chromosome:PHallii_v3.1:6:5375605:5383083:1 gene:PAHAL_6G070100 transcript:PAN34126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGSSDHRDGIAEDHALVVAGANNMKMFGMPRDLFLRYDNNRRNGAAIGASTSSFAQEYDNFESQIFVRSCHGTCLLNTNLQSGTVETLKCLVEEREGIPAKDQYLIFGAKPLRDGTLLRDYAIRDESTIDVLPRIRGGSREDMTLDELLVRQAPLTKDAVVNELPPGPGVSNSTKVLDEAGVRVLRGIMSCVLKNHKAKLAFRENELTAEKLRVSVVTNEQDGVLWETASVKLHNISAKETLTDDTQKENLRRLREVIERVFRRTGGNGPQYPLHVESIDSTLVTLSAETTTPLSPATSGLLAGRTQLLEALAATVDPVQMASMWWNLIRFQDSLTRERRTVFRKAVESTKQTNWSQNLSHHPLFSNVYNYENPPSYDNSAVDLLFFARNWFTHVPKQQWNDLGAQVFQGLPHLDYIFTHHFIRFLPNLLLGLREKKFDLREILVPLT >PVH36422 pep chromosome:PHallii_v3.1:6:5379602:5382790:1 gene:PAHAL_6G070100 transcript:PVH36422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFKAYIKIFVRSCHGTCLLNTNLQSGTVETLKCLVEEREGIPAKDQYLIFGAKPLRDGTLLRDYAIRDESTIDVLPRIRGGSREDMTLDELLVRQAPLTKDAVVNELPPGPGVSNSTKVLDEAGVRVLRGIMSCVLKNHKAKLAFRENELTAEKLRVSVVTNEQDGVLWETASVKLHNISAKETLTDDTQKENLRRLREVIERVFRRTGGNGPQYPLHVESIDSTLVTLSAETTTPLSPATSGLLAGRTQLLEALAATVDPVQMASMWWNLIRFQDSLTRERRTVFRKAVESTKQTNWSQNLSHHPLFSNVYNYENPPSYDNSAVDLLFFARNWFTHVPKQQWNDLGAQVFQGLPHLDYIFTHHFIRFLPNLLLGLREKKFDLREILVPLT >PAN34125 pep chromosome:PHallii_v3.1:6:5377313:5383083:1 gene:PAHAL_6G070100 transcript:PAN34125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLVHPHLLSHRNTTTLSPRKLICFHCFELGLDCWMGNFKAYIKIFVRSCHGTCLLNTNLQSGTVETLKCLVEEREGIPAKDQYLIFGAKPLRDGTLLRDYAIRDESTIDVLPRIRGGSREDMTLDELLVRQAPLTKDAVVNELPPGPGVSNSTKVLDEAGVRVLRGIMSCVLKNHKAKLAFRENELTAEKLRVSVVTNEQDGVLWETASVKLHNISAKETLTDDTQKENLRRLREVIERVFRRTGGNGPQYPLHVESIDSTLVTLSAETTTPLSPATSGLLAGRTQLLEALAATVDPVQMASMWWNLIRFQDSLTRERRTVFRKAVESTKQTNWSQNLSHHPLFSNVYNYENPPSYDNSAVDLLFFARNWFTHVPKQQWNDLGAQVFQGLPHLDYIFTHHFIRFLPNLLLGLREKKFDLREILVPLT >PAN34124 pep chromosome:PHallii_v3.1:6:5375605:5383083:1 gene:PAHAL_6G070100 transcript:PAN34124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGSSDHRDGIAEDHALVVAGANNMKMFGMPRDLFLRYDNNRRNGAAIGASTSSFAQEYDNFESQLICFHCFELGLDCWMGNFKAYIKIFVRSCHGTCLLNTNLQSGTVETLKCLVEEREGIPAKDQYLIFGAKPLRDGTLLRDYAIRDESTIDVLPRIRGGSREDMTLDELLVRQAPLTKDAVVNELPPGPGVSNSTKVLDEAGVRVLRGIMSCVLKNHKAKLAFRENELTAEKLRVSVVTNEQDGVLWETASVKLHNISAKETLTDDTQKENLRRLREVIERVFRRTGGNGPQYPLHVESIDSTLVTLSAETTTPLSPATSGLLAGRTQLLEALAATVDPVQMASMWWNLIRFQDSLTRERRTVFRKAVESTKQTNWSQNLSHHPLFSNVYNYENPPSYDNSAVDLLFFARNWFTHVPKQQWNDLGAQVFQGLPHLDYIFTHHFIRFLPNLLLGLREKKFDLREILVPLT >PAN33689 pep chromosome:PHallii_v3.1:6:2784055:2786267:1 gene:PAHAL_6G038400 transcript:PAN33689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSHDMMSRKPPGLRLFGSAGSLRTYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHASNTLNIGWLPFNTVDGSALLGEIDVAFLAVYSVGMFFAGHIGDRMDLRIFLTIGMLGTAIFTTLFGAGYWLNVHNFYYFLVIQMISGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAIPSLIMAFVGLIVYIFLPINPEVMEIDIDSGEFNCEKDTVKEPLLEPGQEVKHKAVGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGGEYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIVWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLVTGYISSKSWSAVFTMLMAAALLAGLLLTKLVCAELKGKVPSSASKDATGAQGAYSNEV >PAN35605 pep chromosome:PHallii_v3.1:6:39086863:39090344:-1 gene:PAHAL_6G221200 transcript:PAN35605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVFWQWLLLFITLVSSSLGLSSDGLALLALSKNLMLPSNISSSWDASNATPCKWNSVTCDKRGNVISLDLTSSGISGSLGPEIGHLKYLQVLSLSDNNISGSIPPELGNCNMLEQLDLSQNFLSGQIPASMGNLKKLSLLSLYNNSLSGTIPEELFENQFMEKVYLHSNKLSGSIPFSVGEMKSLRSLCLHENMLSGVLPDSICNCTKLEELYLLDNQLGGDLPKDLSEIKGLRIFDATTNNFTGEIPFSFEKNCRLEIFILSFNQIRGEIPKWLGNCSSLTQLAFVNNSLSGQIPPAVGLLRNLTYLLLSQNNLSGPIPPEIGNCRLLLWLELDANQLEGTVPKELANLRNLQKLFLFENRLTGDFPEDIWSIQSLQSVLIYRNGFTGKLPSVLAELKFLQNITLFDNFFTGAIPLGLGANSHLVAVDFTNNSFVGEIPPNICSGKTLRILDLGSNHLSGIIPSGVVDCPSLERLIVQGNSFSGHIPEFRNCMGLNYIDLSHNGFDGPVTPNVFSNCPCLVQISPQTNNLNGSVPGFRDCTNLGVIDLSHNSFSSKIPPRYVYCVHCNRRKRG >PAN33421 pep chromosome:PHallii_v3.1:6:1538290:1538874:-1 gene:PAHAL_6G019800 transcript:PAN33421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWARTTPQNLQQYKIWIKSNLPEGDMVHIFGLAAICWAIWKCRNQACFDKKIIKNPAEIIIHACALMSYWAGLYNSDFQVKLMDGVKVMLACAHRVLARQARPTLQILPAPSEDRDDEEEEE >PAN33311 pep chromosome:PHallii_v3.1:6:981202:984201:-1 gene:PAHAL_6G010900 transcript:PAN33311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGEHFEGVKQHWARNFAFLDYFKKVYGRAEPLPKWSDADVEEFIASDPVYGPQLKALRESRKFALAGALAGAAHLGGVAFKYSKAPHGVVLATGFGAITGAVLGSEVAEHWYQLYKMDKQGANLRFIYWWEDKVSGQKS >PVH36617 pep chromosome:PHallii_v3.1:6:17990469:17990978:-1 gene:PAHAL_6G123800 transcript:PVH36617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSASSAISFESESTREPTPEYDPIAAYEILAPLHWDAEEWDFQSWSEDDESLTDGEDLLLLLGDELEEDDEDDASWEEDFSSSSEEEANSSSTEEDSVAGNFLLGGSSEDDDDDDDEETEDNNGFTTTSSSGDDGSDVDGSGGDSDVSRAPPTKRHKTSGVYWW >PAN33468 pep chromosome:PHallii_v3.1:6:2165304:2167371:-1 gene:PAHAL_6G029600 transcript:PAN33468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTVTICSPAAPAMPQAAAEQDCRRRYAPSVWGDFFITYQPCTPEELHSMQEKARAMKEEVRRTLLAAADASGDDGLVRKLELVDALQWLGVDYHYKEEIDALLRAVFYLLRKHGCAVTSDVFVKFRDEKGNISSDDVNTLITLYDAAHMRVHWEDILDSIITFNKSRLQSLIMETDLEPALREEVRVTLETTRFRRVERVEARRFISAYEKKAARDDTLLEFAKLDYNIVQVVYCNELKELTVWWKDLRSRVDLTFSRDRLVEMHFWMMGIVYEPLYSYARITLTKQVLLFVALLDDIYDNYSSTEESDIFTTALERWDEKAAEQIPEYLRPFYRNVVCNTDMVVQELKLQNNKHAEVVREMALHVAKSYRAEVTWRDEHYIPADVDEHLQISLGSIAAMQTVVLTFVSLGDVTTREAIDWALTYPKIVRGLTVIARIMNDIMSHEREQASDHMASTVQTCMKQYGRSCFGWIPVPPYISERLGLQGY >PAN36204 pep chromosome:PHallii_v3.1:6:42210811:42215694:1 gene:PAHAL_6G263500 transcript:PAN36204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPAAADADAVAMDPSPSPSPAAPHAPLPPAVVEWPEGGALTRDWVAGLASTLDWCSRHLPADRLPTVLPPALVQRLVLAAAAILHREPNLVRVDPRPGQSVVVVGDVHGQLHDVIFLLRDAGFPSEERVFVFNGDYVDRGAWGLETLLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEKEVMTKYKDQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTVVLPSKRSKKGKKGRKNKANFTVDPTCIRLGSLDELLKARRTVLDPPWEGQNLIPGDVLWSDPSLQMGLSPNQERGIGLLWGPDITQQFLRANNLKLIIRSHEGPDARDKRHDLLGMDKGYTIDHEVECGKLITLFSAPDYPQFQASEERYNNCGAYIVLDPPDFATPVFHSFEAVKPRPPAHPFYDFEEVIDSDEELNLDAMDSGTSSQ >PAN35731 pep chromosome:PHallii_v3.1:6:42575385:42576116:1 gene:PAHAL_6G268500 transcript:PAN35731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWASGVCHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDVMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEPMETHWDKGTQTENEMDQFLPIKKRSIRTKEESP >PAN36617 pep chromosome:PHallii_v3.1:6:44902246:44902952:-1 gene:PAHAL_6G297100 transcript:PAN36617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKKLAQLSKKWQGMGAIGRRRVTTVDKEINPSCSSIVAGKGNCIVYSSDGKRFEIPLAYLHTTVFAELLKLSQEEFGFTSDGRITLPCDTAVMEYVMCLLRREASEDVEKALLSSILMPCRHPSRMAQPPSGMNQQFAVCSS >PVH36858 pep chromosome:PHallii_v3.1:6:35380907:35381299:1 gene:PAHAL_6G185100 transcript:PVH36858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLRNARTRTSHFVPISLHPCTSSDLVTAGQQPRLAAPASDLRQASGQGAMCCFGGRAAPADQQLQVRERRRSLLRRAREPCTPSAGEPLRLATGRATAWEPLRRTSSWLISHTSPAAGC >PAN34417 pep chromosome:PHallii_v3.1:6:18825108:18826077:-1 gene:PAHAL_6G125800 transcript:PAN34417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGTAPRRLSASSAIVSLAIVLAAVATCSSLGAEAAACSSHTFSGNGGGGGRLYASCADLPRLGAALHYNYTAATNTVSVAFRAPQGEDDGWVAWGINPSGRAGMVGTNAVVAFRRANGTLAAYPTVLESYAPSMAPSTPGDLAFPVSGVAAEHEAEGKEMVVYATVALPAGKGSKFTHVWQKGSAVVNDVPAAHPTTGDNVLSTATIDFSD >PVH36962 pep chromosome:PHallii_v3.1:6:38172201:38176312:1 gene:PAHAL_6G210400 transcript:PVH36962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTEDKEMEKSRVLIIGGTGHIGKHIVVVSLCLSHPTAVLIREFAPSDQIKVKELGWVNSGASLIKICFVLLFAENLFDHESLVKTINCADAVISPVGPRFVPSEFGSDGDRLHTDPTASLYAVKANLPPATYLPSIGDATAISAGPPASKLTILGDGNAKDDIAADTRRAVGDERTLNKILYVRPAAGVVSHNELISTWEKNAGRILHKVHLPEEEILKWIKEAAFSLNILLSLAPSIGGRPGQLQHRPGGRLGDHPALPRLRLHCR >PAN34469 pep chromosome:PHallii_v3.1:6:9186316:9188222:1 gene:PAHAL_6G095300 transcript:PAN34469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVNLAYQVLQATTPLAQLLLVPLLLLLGHSISRSRHGSKQQQPKRRLPPSPPGLPIIGHLHHVGNRPHVSLRGLDAKHGGGGLMFLRLGTVPSLIVSSPRAAQLVLRMHDHAIASRPASKVADALFYGSTDIGFSPYGEHWRQLRRLVTTHLFSVKKVNSYRDARQDEVRLVMEKIRETAVAGKAVDISETMNTFANDIVCRAVSGKFFREEGRNKLFREMIETNIRLIDGFNLEEYFPGLSNALGSITGWFSSNKADESRKRWDGLLETIITDHEGRRRSSEHGRVGGGVEQEDSDFIDVLLSVQKEYGITRDHIKAILIDMFSAGTDTSSLVLELAMAELMRNPQLMTRLQAEVRVNTPKGQEMVAQDDIASMTYLRAVVKETLRLHPPAPLLLPHLSMVDCEVDGYTIPCGTRVIINEWAIGRDPESWEKPEEFMPERFTEGGSAAAVDFRGNDFQFVPFGAGRRICPGLNFGMATVEIMLANLAYCFDWELPAGMEKEDIDLTEVFGLTVHPKQKLILVPKLHAIGVHALQVE >PAN34793 pep chromosome:PHallii_v3.1:6:33052821:33057346:-1 gene:PAHAL_6G174000 transcript:PAN34793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MELLLAPLPHILPRPHQFPPLPASRPRHGRIQEPIMAVAQAPPLALPFQESRSSFQAPRHSTRPPSQEPRTHPPPGPSLRSEPRIVSDTKLITMHSRAGRLVDARKVFDGMARRDLLAWSAMIGAYAIRGMYSDVSALAVTMVREGVIPDRFLITRILQACAYTEDLELGMAMHSLAIRKGFMERTRDVPVGNSVLAMYVKCGELGRARRVFEKMGQRDLGTWNSMIFGCCRSSEWEEARRLLHDMRHEGTEPGVVTWNTLISSYARSGDLDVAMELLEQMEESGVAPDVVTWTSLVSGFVHSDRGDEALQCFIRMRLAGVEPNGMTIASAISACASLRLLNQGMELHCHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAKRIFNEIPEKDIFSWNSMVAGYAQAGYCGKAYELFCKMESLGVRRNVITWNIMISGYIRNGDDERAFELFQMMESCGVKRDTASWNILIAGSVHNGHFDRAVRIFRQMQALLVRPDYITILSIIPAFANLVAFWKVREIHACIFHHNLEIDAKIANALINAYSKSGDLAGACAVFDRHSSRNIITWNCIILAHLLHGSPSEALDRFCQMKQEGVLPDNTTLTAIIKAYGLKGKVSEAEEIFYNMTHDYNIAPDVDHYAAMVDILGRSGRLEEAYELIDEMPLIPSLAVWEALLSAATIHGNVRLANLAARELVSIEPSDPRIQRLIYNLQDLAGKSVDVPHMIVFNKGRELEEVDSCSVEIENKVYLFSTGDNFVLEHTVAELKSIMVQIGISMLNTSNGTPDVEEEKEELSAIHCEKLAIALAISNSPPFRSIRVIKNVRMCRHCHTFAKLVSEKYERQILIKDSNCLHKFKGGKCSCEDYW >PVH36483 pep chromosome:PHallii_v3.1:6:6799775:6801006:-1 gene:PAHAL_6G082600 transcript:PVH36483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGYFAPYYVAVQQLFTSSGHPSPHQNGRQADQIQSAVTTTALPAAGQQAASSESSSSVYQQRRQSHRRRAQLPRQQQPTGQTVPVPAPQQVAFVDLVDSDDDNGSGHAEELDLELRL >PAN36708 pep chromosome:PHallii_v3.1:6:45312569:45313993:-1 gene:PAHAL_6G303700 transcript:PAN36708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVVSRSDRIVRRTAMVGAATAAYLLLTADYGPNYPNPIRKRMESLALFSEPDRSGVHQAQQADTNSKPDGK >PAN34637 pep chromosome:PHallii_v3.1:6:10563847:10569317:1 gene:PAHAL_6G100200 transcript:PAN34637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGARRSTRVFMPKAPKPLQAQDHADPATRVLRSGKRLAADRIRWDAKEAAAAAAFNVDVDHDQQHLKEDSPKPVLPPLTKSFGIVYTRKRRRRRHPAAEVVAENEDGSRRFGIVYTRRKGKRLKVAPLQLPQDPDASSDLAAAIRCSSSQEFASRTGFLDAHFSAFVDGSAAQSGALTLVVLVDTSCSRSSHRFQGLLLPVLRWMRCSRQRDKVRNLATFILSAGVAAAFASQGVHFVKLQRQRASALLHRPLLHCGWCALHGAKKSEPLVSVVFSALPSYFWSLHSSVALDSMYLPAVIRQSSPLSGVAKEIYHHTPLYVDSGAQSTGIAKPTAIVGSDEPCIVVSDYLPLEQVAGLVVHGLKLKKHQRKRRSMRHPRNRRCLSSRLPDNGIGMKQSTVAIHTEVKMPSIRQELVEPVQPKAALEISLDLLENMDESDVSTPMGSTRRKRSSLKSPVDRMNERLALAEVRQNIDSVHSKANLLIIQADRCWREEGAEVMLELSDTNKWCIVVKIQGVTRYSLKPSDLRSHVVNRHTQAYIWAVDDAWKLEFTDKWDWLLFKELHVVGRERNSQGKPIPIPGVHEVSDDLEGTVADPFSRPVADYIRMVDDEVARALSRDSIYDMDSEDERWLIQLNHAYSNQNSSQREHTSYEDFEMIISIFEKDAYNNPKGIIDLGELISRYPALRKDDNVHAVYEYWTNKRSKRAAPLLRIFQGAPLRRGHLSQKSAMKRKRSFKRQRSQAGRGKPETLLQDHAEEEAAFQRVAQAERAAKQAVETAICLRNRAQSLMANAELATYKSVMALRIAEAARISDSSRDIVCTILD >PVH36551 pep chromosome:PHallii_v3.1:6:10563795:10569317:1 gene:PAHAL_6G100200 transcript:PVH36551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGARRSTRVFMPKAPKPLQAQDHADPATRVLRSGKRLAADRIRWDAKEAAAAAAFNVDVDHDQQHLKEDSPKPVLPPLTKSFGIVYTRKRRRRRHPAAEVVAENEDGSRRFGIVYTRRKGKRLKVAPLQLPQDPDASSDLAAAIRCSSSQEFASRTGFLDAHFSAFVDGSAAQSGALTLVVLVDTSCSRSSHRFQGLLLPVLRWMRCSRQRDKVRNLATFILSAGVAAAFASQGVHFVKLQRQRASALLHRPLLHCGWCALHGAKKSEPLVSVVFSALPSYFWSLHSSVALDSMYLPAVIRQSSPLSGVAKEIYHHTPLYVDSGAQSTGIAKPTAIVGSDEPCIVVSDYLPLEQVAGLVVHGLKLKKHQRKRRSMRHPRNRRCLSSRLPDNGIGMKQSTVAIHTEVKMPSIRQELVEPVQPKAALEISLDLLENMDESDVSTPMGSTRRKRSSLKSPVDRMNERLALAEVRQNIDSVHSKANLLIIQADRCWREEGAEVMLELSDTNKWCIVVKIQGVTRYSLKPSDLRSHVVNRHTQAYIWAVDDAWKLEFTDKWDWLLFKELHVVGRERNSQGKPIPIPGVHEVSDDLEGTVADPFSRPVADYIRMVDDEVARALSRDSIYDMDSEDERWLIQLNHAYSNQNSSQREHTSYEDFEMIISIFEKDAYNNPKGIIDLGELISRYPALRKDDNVHAVYEYWTNKRSKRAAPLLRIFQGAPLRRGHLSQKSAMKRKRSFKRQRSQAGRGKPETLLQDHAEEEAAFQRVAQAERAAKQAVETAICLRNRAQSLMANAELATYKSVMALRIAEAARISDSSRDIVCTILD >PAN34138 pep chromosome:PHallii_v3.1:6:5439188:5440192:-1 gene:PAHAL_6G070800 transcript:PAN34138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRPPSPAGSSSSGGGGSAERAAAEQQPRLRGVRKRPWGRYAAEIRDPARKARVWLGTFDTPEEAARAYDAAARRLRGPRAATNYPAAPAADEPCPPSSSAADSLPTTAAPARSPYLTATVPAAPALRQFLPLKGEEERSRCFPGSSVGLGLDLNLPPPAEMVM >PAN35338 pep chromosome:PHallii_v3.1:6:37283155:37285388:-1 gene:PAHAL_6G200700 transcript:PAN35338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKKKRVAIIGAGPSGLTACKHALGKGFRPVVFEAADAVGGVWTRTLASTRLQTPAAAFRFSDFPWPADVSDDEFPRHDQVAAYMAAYARRFGVLGCIRFGSRVLGAEYAGAPEQEVAAWERWSGNGEAFGDGTGEWHLTVKHGESEEIQKHEFDFLILCVGRYGVAKHPKFPHEAGPEVFHGQVLHSMDYSRMPHADADELIRGKRVVVVGSGKSGVDIIAQVAQVNGSKYPCTMVYRHANWAVDPNLTWAAFFEKLMTSRLAELMVRKPGEGLALSLLATVLPPIRWLIAMATEAYYKALMPMREHGMVPDHSFSAAMLGWRISVLPDRFYDMVVDGAIVLRRCESFGFRADGLVLDGAGGERVDADVVILATGFDADRLLSGVFVSPQFREIVVGRPSDTMLPLYRHCLHPRIPQMAVVGYAESAASIYPYEMMAKWVAHLLDGAVRLPGVAAMEQSVAEWERWGRWARRHSGDFFLKSCIATVTTWYHDQLCRDMGYSPRRKKGGGLLADWLQPYGPTDYAGIQ >PAN35925 pep chromosome:PHallii_v3.1:6:40937503:40939433:-1 gene:PAHAL_6G244700 transcript:PAN35925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPQGERASSGRGGGVEPHVLLVPYPAQGHLLPLLDLAALLAARGLAVTVAVTPGNAPLLAPLVAACPSVGVATLPFPSAPRLLPPGSGENTKDLPRHLFRPFTVCLAALGAPLLDWCNAQQRGRRVTAVVSDFFTGWTQPLAAELGVPHVTFSPSCALHLAMSHSLWRHLPRRRRPDDAEEAVTFPEIPGSPSFPWRQLSGLFRQYVAGDEVSEAIRQFFLWNLDSACFVTNSFAALEAPYVERPLPDLASKRVFAVGPLSDAVATSSDRGGKHAVAPASLAAWLDAFPDGSVVYVSFGTQHALSPPQAASVADALARSSTAFVWAARPGTAVPDGFDAATASRGMVVRGWAPQVEILRHRAVGWFLTHCGWNSVLEAAAAGVAMLTWPMGADQFTDARLLAEAGVAVLVAEGADAVPDAGRMAGAIAAAVGKEGDPVRERAAELGKMAAAAVAEGGSSSRDLEELVEMLANVV >PAN36633 pep chromosome:PHallii_v3.1:6:44974281:44975072:1 gene:PAHAL_6G298800 transcript:PAN36633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNHADQPPASPTAGHDDHQGAAPDQDPTRLLTELFAGTTLGRVPMDAPDHVEQPAVAGASSASPAAGHDPGVTSSVGEDLSRDLAAVLAKARPLATPAAALKHFFEPPPAPASGVAAGHGAPPWPRTIARALAAAGYYQPPPDLDWINARQGEPAGGSTERAARRREVRRLSKLPTDCLRAGQESRDRRRRRGMVRRCVRTLLSLHEDRRNDRVLSAMMARLATTESGAGDGGTTVSAAPGDASAAEQAELAEMIEKMEL >PAN33481 pep chromosome:PHallii_v3.1:6:1801957:1804049:-1 gene:PAHAL_6G024400 transcript:PAN33481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRTKAEKKQAYDRKLCSLLDEYTKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKAYADKTGNHTFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQVINVYEDGSVFSPEVLDLTEDDLVEKFATGVSMVASLSLALSYPTLAAAPHMFINGYKNVLAVAVETDYSYPHADEIKEYLKDPSKFAVAAPVAAADSGVAAAPKEEEKAPEPAEESDEEMGFSLFDD >PAN33411 pep chromosome:PHallii_v3.1:6:1484883:1487858:-1 gene:PAHAL_6G019200 transcript:PAN33411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSAKERKLSRLGSCKGSAVAGGCGGGGGSPAARGHRSAAASAAGPQRRLFAALFAFLCAGVVVIGGVHVIGASFRPVLRTAWPSGTLNAISSDAGAQQAGGGADTVLPSVQIRHAVGFPDRVLLILKDGSSLPAPERFECLYSPANSSELRYRALLAVSLPDGSRLVHCPAEPSGMDISLSLSLSPPVAPLQWDRLVYTALVDTRDNSTVVFSKGMNLRPGRLGVASRYQCVFGRDLSKPKHVLTSPVISAAQEIFRCVTPARIRRYLRMSTDANSNGDTDDKPMLVSIRTKGQRDSTLPSIAEPEPLPRYNRHRRQKAHSMCVCTMLRNQARFLREWIIYHSHIGVERWFIYDNNSDDDIEQVLNTMDPSRYNITRHLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLADILQNYSNRRRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGVRYVNIGQGVMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDTGLKDFVHKAFTDPDTGSLPW >PAN34704 pep chromosome:PHallii_v3.1:6:31590408:31591531:1 gene:PAHAL_6G167500 transcript:PAN34704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATAARWAAKKGKPKMAPIELTAPPEQAQSITRAIFDVVREHGPLTISDVWDHVKDVGLRGLKSKRQMKIMLRWMREQQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKVELKAKAEQLSPFPPKQP >PVH36779 pep chromosome:PHallii_v3.1:6:31590408:31591531:1 gene:PAHAL_6G167500 transcript:PVH36779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATAARWAAKKGKPKMAPIELTAPPEQAQSITRAIFDVVREHGPLTISDVWDHVKDVGLRGLKSKRQMKIMLRWMREQQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKVELKAKAEQLSPFPPKQP >PAN33560 pep chromosome:PHallii_v3.1:6:2903746:2904754:-1 gene:PAHAL_6G040200 transcript:PAN33560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRWQYVGPSLPDEFYLSTYIPTRSSNGNLSMSFTGQVLGPKHLAMSVLNQTFPELGLAESELSEVSWLESAVKFAGLSSVADLTSRQIGVGEYAKRKSDYVQAPISMQGTIKILQRMSTGPQGSIQLDPYGGAMARIGSAATPFPHRAGYLYSIQYAVSWNASDLDRAEEHIGWLRSFYGFMAPFVSKNPRGAYVNYLDLDLGTNDWANATGGASSKSVARAASWGERYFFTNFDRLVRAKSKVDPENVFNNAQSIPPLRYDREH >PVH36219 pep chromosome:PHallii_v3.1:6:1739802:1740212:-1 gene:PAHAL_6G023500 transcript:PVH36219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCRHTRWWHCIEFALTRPTYAASEHSMPPFPSSPTPPPPPLSLSPLSSSTARSRLRTRGRRSAILLRYRRPPLAAPQLPPGAWCPLALRRRRRARAVPGRWSRRSGSLCPRCPRAPRRARPHGVGAAFVEAFMPH >PAN35356 pep chromosome:PHallii_v3.1:6:37447779:37449908:1 gene:PAHAL_6G202600 transcript:PAN35356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RBCX protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04330) UniProtKB/Swiss-Prot;Acc:Q94AU9] MECSVVLPLQGAGVVGTRPRGGGPVQAFWRADRRRRRLASAVAPAKCSKMYVPGFGEGSPERKAATNLQHFFNYVAVRVVLSQLESYNREAYYELKEFVSRTSLNDAEIFCKKLIRESPRLKGLAMRILEVRSAYVKSDFEWDNLKKLSFKMVDDANTKLMRDYVLEVSHIED >PVH36941 pep chromosome:PHallii_v3.1:6:37383754:37384803:1 gene:PAHAL_6G202200 transcript:PVH36941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAFSVRNITLCTLIRESPNYKKMTPEEVLGKIINHEMMESEAKYVKGLSKGTSTSKGQDIALKANKKEKSKKVVQESSSSDNDSDSSSLDDDDMALLMKNFSKLMRNRNYKGNKRHESSKRRTKRNCYNCGKSGHFIANCLYEKKEDKEEKRKDNKEKKYFTKDKKFFKKKQSGEAHLGKEWDSDDESSSSDEEKVATLAFNKTSLFPNLKDGKNITHTCLMARGGKRKVKTIPCSSPKYTTSDDESSFSSSSGNDNDIDMIAMLKNLDKNSIAKFNELIEELNEKNDLLEKQEDLLILEKKRNLELKELITKQEEKYKALDKELAENELI >PAN33295 pep chromosome:PHallii_v3.1:6:902477:907408:-1 gene:PAHAL_6G009700 transcript:PAN33295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAELEQAKVLSHIDCSQPASRTWQRRFDDEGKKVAMFSMTMNDMMAIVPMIVKVLKLQVEDSAEGRATVYDPLRKWMDNCYRGVPLGGLGAGSIGRSYRGYFQQFQIFPVINEEKPILANQFSAFVSRPNGKKYSTVLSSPTADLLKGVGKAGIGSWDWKLKEDKCTYHALFPRSWTVYDGEPDPEIKITCRQISPFIPHNYKESSFPAAVFTFTVHNSGSTPADVTLLFTWANSVGGKSELTGNHINSEMKDRDGVSGVLLHHRTAGGHPPVTFAIASQETDDVRVSVCPSFTMGTSSSGQFTATDMWNEIKKHGSFGHAGAGNAPGAASKPGSSVGAAVAASTAVPAGAARVVSFSLAWACPDVKFPAGTTYRRRYTKFYGVDTDAAAEQLAHDALLEHMNWESQIEEWQRPILHDERLPEWYPAALFNELYYLNAGGTIWTDGQPPKNAGFASSSPFLLDAPQGGGSAVDGIVSAMASATERSHAGAAAAFGTALLRGGDENVGQFLYLEGMEYNMYNTYDVHFYSSFALLALFPKLELSLQRDFARAVLLHDPRLRRTLDGKTVRRKVLGAVPHDVGLNDPWFELNAYMLHDAARWKDLNPKFVLQVYRDAVATGDAAFAEAAWPAVYTAMAYMDQFDRDRDGMIENEGIPDQTYDIWSVSGVSAYTGGLWVAALQAAAAMARIVGDRPAEAYFRERHGKAKRVYNGELWNGTYFNYDNSGGATSSSIMADQLAGQWYARACGLEPVVEEAKARSALATVLDYNVMRVKGGAVGAVNGMRPDGSVDASSTQSKEVWPGVTYAVAAAMVHEGMPEAAFRTAKGAHDAAWSKDGFGYAFQTPEAWTEEGGYRSLHYMRPLSIWAMQWALSPPKLHKGLTAAAEAASQESPPADAALGQAQFEKVASMLKLPEQQQPKGYLWAIYNAIKQMAFPA >PAN33292 pep chromosome:PHallii_v3.1:6:902286:908138:-1 gene:PAHAL_6G009700 transcript:PAN33292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAELEQAKVLSHIDCSQPASRTWQRRFDDEGKKVAMFSMTMNDMMAIVPMIVKVLKLQVEDSAEGRATVYDPLRKWMDNCYRGVPLGGLGAGSIGRSYRGYFQQFQIFPVINEEKPILANQFSAFVSRPNGKKYSTVLSSPTADLLKGVGKAGIGSWDWKLKEDKCTYHALFPRSWTVYDGEPDPEIKITCRQISPFIPHNYKESSFPAAVFTFTVHNSGSTPADVTLLFTWANSVGGKSELTGNHINSEMKDRDGVSGVLLHHRTAGGHPPVTFAIASQETDDVRVSVCPSFTMGTSSSGQFTATDMWNEIKKHGSFGHAGAGNAPGAASKPGSSVGAAVAASTAVPAGAARVVSFSLAWACPDVKFPAGTTYRRRYTKFYGVDTDAAAEQLAHDALLEHMNWESQIEEWQRPILHDERLPEWYPAALFNELYYLNAGGTIWTDGQPPKNAGFASSSPFLLDAPQGGGSAVDGIVSAMASATERSHAGAAAAFGTALLRGGDENVGQFLYLEGMEYNMYNTYDVHFYSSFALLALFPKLELSLQRDFARAVLLHDPRLRRTLDGKTVRRKVLGAVPHDVGLNDPWFELNAYMLHDAARWKDLNPKFVLQVYRDAVATGDAAFAEAAWPAVYTAMAYMDQFDRDRDGMIENEGIPDQTYDIWSVSGVSAYTGGLWVAALQAAAAMARIVGDRPAEAYFRERHGKAKRVYNGELWNGTYFNYDNSGGATSSSIMADQLAGQWYARACGLEPVVEEAKARSALATVLDYNVMRVKGGAVGAVNGMRPDGSVDASSTQSKEVWPGVTYAVAAAMVHEGMPEAAFRTAKGAHDAAWSKDGFGYAFQTPEAWTEEGGYRSLHYMRPLSIWAMQWALSPPKLHKGLTAAAEAASQESPPADAALGQAQFEKVASMLKLPEQQQPKGYLWAIYNAIKQMAFPA >PAN33293 pep chromosome:PHallii_v3.1:6:902238:908308:-1 gene:PAHAL_6G009700 transcript:PAN33293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAELEQAKVLSHIDCSQPASRTWQRRFDDEGKKVAMFSMTMNDMMAIVPMIVKVLKLQVEDSAEGRATVYDPLRKWMDNCYRGVPLGGLGAGSIGRSYRGYFQQFQIFPVINEEKPILANQFSAFVSRPNGKKYSTVLSSPTADLLKGVGKAGIGSWDWKLKEDKCTYHALFPRSWTVYDGEPDPEIKITCRQISPFIPHNYKESSFPAAVFTFTVHNSGSTPADVTLLFTWANSVGGKSELTGNHINSEMKDRDGVSGVLLHHRTAGGHPPVTFAIASQETDDVRVSVCPSFTMGTSSSGQFTATDMWNEIKKHGSFGHAGAGNAPGAASKPGSSVGAAVAASTAVPAGAARVVSFSLAWACPDVKFPAGTTYRRRYTKFYGVDTDAAAEQLAHDALLEHMNWESQIEEWQRPILHDERLPEWYPAALFNELYYLNAGGTIWTDGQPPKNAGFASSSPFLLDAPQGGGSAVDGIVSAMASATERSHAGAAAAFGTALLRGGDENVGQFLYLEGMEYNMYNTYDVHFYSSFALLALFPKLELSLQRDFARAVLLHDPRLRRTLDGKTVRRKVLGAVPHDVGLNDPWFELNAYMLHDAARWKDLNPKFVLQVYRDAVATGDAAFAEAAWPAVYTAMAYMDQFDRDRDGMIENEGIPDQTYDIWSVSGVSAYTGGLWVAALQAAAAMARIVGDRPAEAYFRERHGKAKRVYNGELWNGTYFNYDNSGGATSSSIMADQLAGQWYARACGLEPVVEEAKARSALATVLDYNVMRVKGGAVGAVNGMRPDGSVDASSTQSKEVWPGVTYAVAAAMVHEGMPEAAFRTAKGAHDAAWSKDGFGYAFQTPEAWTEEGGYRSLHYMRPLSIWAMQWALSPPKLHKGLTAAAEAASQESPPADAALGQAQFEKVASMLKLPEQQQPKGYLWAIYNAIKQMAFPA >PAN33294 pep chromosome:PHallii_v3.1:6:902286:906972:-1 gene:PAHAL_6G009700 transcript:PAN33294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVKVLKLQVEDSAEGRATVYDPLRKWMDNCYRGVPLGGLGAGSIGRSYRGYFQQFQIFPVINEEKPILANQFSAFVSRPNGKKYSTVLSSPTADLLKGVGKAGIGSWDWKLKEDKCTYHALFPRSWTVYDGEPDPEIKITCRQISPFIPHNYKESSFPAAVFTFTVHNSGSTPADVTLLFTWANSVGGKSELTGNHINSEMKDRDGVSGVLLHHRTAGGHPPVTFAIASQETDDVRVSVCPSFTMGTSSSGQFTATDMWNEIKKHGSFGHAGAGNAPGAASKPGSSVGAAVAASTAVPAGAARVVSFSLAWACPDVKFPAGTTYRRRYTKFYGVDTDAAAEQLAHDALLEHMNWESQIEEWQRPILHDERLPEWYPAALFNELYYLNAGGTIWTDGQPPKNAGFASSSPFLLDAPQGGGSAVDGIVSAMASATERSHAGAAAAFGTALLRGGDENVGQFLYLEGMEYNMYNTYDVHFYSSFALLALFPKLELSLQRDFARAVLLHDPRLRRTLDGKTVRRKVLGAVPHDVGLNDPWFELNAYMLHDAARWKDLNPKFVLQVYRDAVATGDAAFAEAAWPAVYTAMAYMDQFDRDRDGMIENEGIPDQTYDIWSVSGVSAYTGGLWVAALQAAAAMARIVGDRPAEAYFRERHGKAKRVYNGELWNGTYFNYDNSGGATSSSIMADQLAGQWYARACGLEPVVEEAKARSALATVLDYNVMRVKGGAVGAVNGMRPDGSVDASSTQSKEVWPGVTYAVAAAMVHEGMPEAAFRTAKGAHDAAWSKDGFGYAFQTPEAWTEEGGYRSLHYMRPLSIWAMQWALSPPKLHKGLTAAAEAASQESPPADAALGQAQFEKVASMLKLPEQQQPKGYLWAIYNAIKQMAFPA >PAN34347 pep chromosome:PHallii_v3.1:6:7494698:7498677:1 gene:PAHAL_6G086700 transcript:PAN34347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANAIKTVQARMPRPTHRDAIHVATFSSSSGLAHRCGQALSRSVRAATLTRRRFPWQHSGLSRAAARGSLAFATQRGGFKKGAERTPRRPSVSSHTRMTLQLVSSLPTRCSRLAVPRLRSPRASMSSSFSSLPEDASMETEAPHAPVTRERRLNPSLQEQLPKPYLARALAAVDPSHPQGTRGRDPRGLTVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGLPVSFFGSILINLLLTFPTQQGWLPSPLLPIHIKNIHKGKHGSDSESYDTEGRFDPSKFDAIFSKYGRTHPDALTLHELFSMLKGNRNIYDFIGWVTAAGEWLLLYSVAKDKDGLLQRETVRGAFDGSLFERLQDNKKSS >PVH36249 pep chromosome:PHallii_v3.1:6:2218007:2218540:-1 gene:PAHAL_6G030000 transcript:PVH36249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFVLATILVSLAMVFTAGAACDNVPSTKMEYACRACHSNWYHVCRDALQSAPDAAEVSTYALIATRKANLKYSDTMNEITMMLGVGILPGEEREAISHCKKYEEACGQMASGAGHLSGCDFMHTRQEYMDALTAIRSCLDRLHGSFRSLPLYAMVAADFSLTGVANDLEALINVG >PAN33981 pep chromosome:PHallii_v3.1:6:4648509:4649212:-1 gene:PAHAL_6G061600 transcript:PAN33981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEEQPSETIVNEGVTRGGTPAALASVAPSASNPSPSQDAPDNPVIQLQSSDKNQVASQQTQQPHNGHQQQQPQAFWSGQLHEIKQTTGLKTHSLPLARIKKIMKADSDVPMITGEAPVLFAKACEMTLQKNDVTAALAGTEVFDFLVDIGPSDKLKGDGDDYSPLWSPDESPGPDLRNVTCDDPRYYTYIHEYYSL >PVH36569 pep chromosome:PHallii_v3.1:6:12799778:12800335:-1 gene:PAHAL_6G106000 transcript:PVH36569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSFLWPRGLPSSPLGALLAAAGAPPSDVASDWPGTSGPARPVRGVAARGLAWPARGPGHGLARPAPQDLAQHLELLGPARPACQDLLEPFVPRHGGGRHGLTEQSRLLARIGIVWRLAHWFASPEPGIGHKQDEFTRRRGRCCPGGGVLICEPVLVRATSDSCMVQFYFRLFYGTMLFAASNS >PAN33587 pep chromosome:PHallii_v3.1:6:2354982:2359398:1 gene:PAHAL_6G031500 transcript:PAN33587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRALQWWEEWQLRVLVLGSLSTDIRPVYRFFIWLSYVGGDAVTIYALATLFNREKKRQYHSANGSNVLEVLWVPILLMHLGGQISISAYNIEDNELWRRHILTAVAQKITTYEHRELLSKSDKLFVDYAYAYHDRVTKLKSLWLLDKENTYDALRVGLSKTFNLIYSRLFKVDDGNRAAPGCRNHCSSLVHLLNLLLPIVPIGLFHGSHKEAYKGSDIKITLLLLYITYLLEISATLRTASFYTEWSDRVAQHNLIAFLACNRRHSRLLGIAEFLQCKGLLDTYLCLEPCYSSKHITMLVRSHVKSGWLSRIVDVESYWRFSDSRGHWALERHGCEGILWSAETPFDESIILWHVATDLCFYSKGTCPGSECARLCRQISNYMMHLLFTNPEMLLPGSRRNLSVIAYRELEAILQGDDATTSLLDEHQLTQKIFSMMESRKGFVRKAWELAQELVQIVDEKMWQVVKDVWIEMLCFSAGRCRGYLHAKSLGYGGEYLSFVTLLMSHAGLETFAERQQRVQLRLAKQERVRIAKERIQGAASSNQGGTDPSTPQGIKEEENAATPPSASEACSGLAEQEERAPTTSASQHGECVAPAVEIVGSP >PVH36150 pep chromosome:PHallii_v3.1:6:557131:561002:-1 gene:PAHAL_6G007800 transcript:PVH36150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPNRQSGGWPPPIGSNPAVRCSPVGASCHQPDPPSSGPGGGHKMGRRRLPFFQIGISRISPNPPRFGFRERERVTGRDGRRSRPRAIPLPLRDPRPGAQDAGRGALPPPPLLRRLPPRPRAGRRCDRGPPRAEHARGVTEGCAAAGPGGHQHRRPGALRLCRRPALPPRRGAPPRAADQAVHVAAAHRGARRQRQGAAREGGGRRGHLRHPGRRPLRPDPGLLRRHTRAEAARAHGELGTGDYHSREDHLRHDLHNYRALTLHS >PAN34486 pep chromosome:PHallii_v3.1:6:9335031:9336958:1 gene:PAHAL_6G096200 transcript:PAN34486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPRKFRKAFMAQLLVSLRAAGQASKSMGLRERRDAVRLSSDVAMALASARTRSAPRSSAAWARALVARHAVERRNEALMRRIMGGAGYEMAAAAAAAARSRKEARSRRIVRRSRRVCSSSAGRRRTVSLAAAAASGGAVRCSAMAAARRMVKARLRVLRSLVPGGEALRGLSLLSETLDYVVCLKTQVELMQCLCKGSRPKLG >PVH36952 pep chromosome:PHallii_v3.1:6:37918925:37919851:1 gene:PAHAL_6G207600 transcript:PVH36952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYTDFFLGMSWRSYYGMTSSSAVHISLGAASRMMQNSFHSSVRKENLRRYPTFLAIRLKSFHVKHVCGDSNSN >PVH37414 pep chromosome:PHallii_v3.1:6:45541287:45541697:1 gene:PAHAL_6G307100 transcript:PVH37414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGWDDFQGKDDGGEPTKYRGVRRRPSGKFAAEIRDSSRQSTRVWLGTFDTAEEAARAYDRKAYAMRGHLAVLNFPAEARNCVRDGLSSSSRHQQQQQQQQGGTSGGRQVIELEYLDDQVLQEMLKGSDGKNN >PVH36554 pep chromosome:PHallii_v3.1:6:10687216:10687782:-1 gene:PAHAL_6G100500 transcript:PVH36554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSWSGGAGGEAGATRQFGTRAGANGEGGVSGRRHSGARKGKAGAAQAPSRARGAAARCQRRAARRRGRASRRLTRHAARRRARAQHGRGSVALGGVQARSRGGREGERGGKEREKERKEGKEEKKEKERKERGKREKGEKKWERGKKRKERRGERGETRRRRSRRRPRPVGHAHGIGARHEERRC >PAN36302 pep chromosome:PHallii_v3.1:6:42948779:42957809:-1 gene:PAHAL_6G272600 transcript:PAN36302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHLQRQLSTTMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTPYDKDRIVKMAATCNRMVAEKNAEKKHKIQKVKPKAATAAAAATSTVEAKKHLAGVRVIQRNLVYIIGLPAHLCNESVLERREYFGQYGKVLKVSVSRPTGPPSQQASANNNISVYITYAKEEEAIRCIQAVHNFFLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHEVGGQEDSFTKDEVISAYTRTRVPQMASSVSQRRAGTVLPPPADDFSYSAVVSAKHTIKNGTLNTNNQPRLSPPNSSSGRSTLPPASSWGHRDLNARTTASGVTSSQSQTESKAEPQINSFSSSSTIPSTIIPSSWNDDTSTMPKMSEGRQVSEQESTSKTIEPYKPGIMKETHSLSSLDIDFSTIPSAWNDDDIVVSDGMSKGSEEIQAAKENGKLTHLAYKSPISPKKDVTMNITSKRPSDFVSDLVILKSDVKTGDGDSSVTKITPKSPTSADVNCQSCLAAEEKILEESGPRETDIEKLSVQISSIKLDGNDEAHGMAGNHQPDAMPCTSVTMPIGQNFDKSQSHMKLDELLPSENKDTVLSCQYGSDKHLDWTSEQQSCSATPLNDIVRSTVITDKLHSRLMDGSDQPSYSSFARFPNTLGTSLWNDTESNPTLTIDTRTSQMQSGFSSIDKARDLLNGGQDGLGTVCTPGNDSGHPGMGSHQPGAMGSVRTGSVGSFDNTVSVNKDESRIISDMLSSEFNLWDDSFSPANNFLRMLRESENNDVPFTAPSWKSGSGSRVSRFSFARQDNQGNLDSSLRNCASDQNFSLLPQISRGSFYQNGRAFQSLDNDASNSNSLVVSDMATTGSSRSKISAPPGFSAPARVPPPGFPSQDGLNPPPGFSSGISSQEVYKTPPRLPSPFSSGLSSQDGPNPPSRSFSAFSSGLSLQDGPNHPSRFPSAFTSGFSSQDGSNQVYGSMYPETCLRDNVLGSNSNHYQVPFGRHTNDIEFNDPAILAVGKGRMPGIGDSGLEMKNTHAFPAHLQTSNNDPRFQLQMQPNVQSHQNLRFTDHTQDAFNPMNDNYLASRFLQQSHGHVSPYAQMPQQARNSQLTNGHLDGWSDLRQGNNTLMPDMSRMLYPSEVNNLHMLGSNDIYTRAFGM >PAN33506 pep chromosome:PHallii_v3.1:6:1873500:1876539:1 gene:PAHAL_6G025900 transcript:PAN33506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIGSPSRAAASAPSRAAAGTAPSRAVLRVASAGPSPRRRGAVAAAAMQPAKAVAAEASTAAAELNGAAVAGMARPDAMGRFGKFGGKYVPETLMHALTELESAFHALATDEEFQKELDGILKDYVGRESPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKQRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRPVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGQETRRQAMDKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSMSYLLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKYLEV >PAN33412 pep chromosome:PHallii_v3.1:6:1491949:1492582:1 gene:PAHAL_6G019300 transcript:PAN33412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLVWVALSLSSTIVAGGDCPGAHRNMTMEAACREATATATAGEPMYQVCMHALGDDYRAGAVKEAYLFAYDAAWRAVESYGTTEGWAQYVLGNGSLTGDEKAAYGCVAGGSYREAEAAMGKVTHRVGLDCGLDLSDEYRTALRNAEACRDRLAKLPPSPLLTMVEEDYNSTLLAYLLGKLLGIK >PVH36720 pep chromosome:PHallii_v3.1:6:26528933:26529380:-1 gene:PAHAL_6G150700 transcript:PVH36720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIYCTLCALLYPPLTFFSVSDGMFKGIYHGKKCHAADIPAVTGGSLKESRESLEIA >PAN35426 pep chromosome:PHallii_v3.1:6:37944851:37946832:-1 gene:PAHAL_6G207900 transcript:PAN35426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVTLIKEYRGKIEAELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGAEEGKEALKGDAGEGQ >PAN36164 pep chromosome:PHallii_v3.1:6:42381324:42388468:1 gene:PAHAL_6G266000 transcript:PAN36164 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA1 [Source:Projected from Arabidopsis thaliana (AT2G39930) UniProtKB/TrEMBL;Acc:A0A178VW25] MAQLPFVSAARPLLAAPAGRGPWQRQWRGGAPGRANAAGGAGARVRAAAARRAAPAAVEAVADEEDEEEVVEEERYALGGACRVLAGMPAPLGATALDGGVNFAVYSSGASAASLCLFTPGDLEADTVTEEVPLDPLFNRTGNVWHVFIEGKQVHDMLYGYRFDGVFAPERGQYYDVSNVVVDPYAKAVVSRGKYGAPAPDGDCWPQMAGMVPLPYSTFDWQGDLPLKYHQKDVVIYEMHLRGFTKHDSSKTKHPGTYIGAVSKLDYLKELGVNCVELMPCHEFNELEYFSSSSKMNFWGYSTINFFSPMARYSSGGTSNYGRDAINEFKFFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRACSLWDPVNVYGGPMEGNMITTGTPLATPPLVDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNIWSEWNGKYRDTVRQFIKGTDGFAGAFAECLCGSPQLYQAGGRKPWHSVNFVCAHDGFTLADLVTYNNKYNLSNGEDNRDGENHNLSWNCGEEGEFASLSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEEQSSDLYRFCRLMTKFRRECESLGLEDFPTSEQLHWHGHQPGKPDWSEGSRFVAFSMKDETKGEIYVAFNTSHLPVVVGLPPRPGFRWEPVVDTGKAAPYDFLTDDLPDRALTVNQFSHFLNSNLYPMLSYSSIILVLRPDV >PAN35514 pep chromosome:PHallii_v3.1:6:38498656:38502777:-1 gene:PAHAL_6G214800 transcript:PAN35514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLPIPALTVARLPFRILSPSARPLCLLPFLPPPFVPQKRSLSASAVSTSRRGRPLAPVISEGSDGEEAAVGRPVCPGCGVFMQDADPNLPGFFKNPSRSSQDKMRESGEGLLTADTDAFLEGEEARVAKDALMSESDDELEYLDSDIDEFPEEIEEDEEDESAVKAGTDIDGFDSDWDSDWEEMEEDEDEKWRKELDGFTPPGVGYGNITEETIERLKKEKLSKSERKRRAKEAKRAEAEEDLAVVCARCHSLRNYGLVKNDKAENLIPDFDFDRFISSRLMKRSASTPVIIMVVDCADFDGSFPKRAVKSLFKALEGRRNSKVSETPRLVLVGTKVDLLPWQQMGVRFDRWVRGRAKAFGAPKLDAVFLISVHRDLAVRNLISYIKDSAGPRSNVWVIGAQNAGKSTLINAIAKKQGVKITRLTEAAVPGTTLGILRVTGVLPAKAKMYDTPGLLHPYIMAMRLNNEERKMIEIRKELRPRSFRVKIGQSIHIGGLTRLDVLKSSAQTIYVTVWSSSNIPLHLGKTENAGDLREQHFGIRLQPPIGPERVNELGHWTERRIEVSGESWDVNSMDIAVSGLGWYSLGLKGTATVSLWTFEGIGVTERDAMILHRAQFLERPGFWLPIAIANAIGEETRKNSERRKAEQRRKEEEEEEEEEEEEEEEEEEEELLLEEMVE >PVH37406 pep chromosome:PHallii_v3.1:6:45280103:45280979:-1 gene:PAHAL_6G303400 transcript:PVH37406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQCPRPVSLPRKECRPISQNRPAPRRRRRTGRLARHPPPPPAPPDPSSLPSSSSTGATSSGATCWPPPPAGATPSGATGTTWLSPPSCSAGCTAGATSSGTTWSLSSACSAGWTRTAAGATPSGATCWSPSKWMMRIPLSAAPSTTTA >PAN35065 pep chromosome:PHallii_v3.1:6:33815077:33828362:-1 gene:PAHAL_6G177300 transcript:PAN35065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MEPEPEAAGAALVSDAAAPEHHPSQLDVFKNRVQLLRDSNTRDFNAWVSLISAAEGTSADDIEVISLVYNSFLLEFPLCYGYWIKYAAHKAWLCKNKDVVDIYEQAVQAVPHSVDLWVSYCGFGICAYEEPADIRSLFERALSLVEKDYLCYRLWDKYIEFESSQKQLIQLATIYINTLKFPTKKLHMYYESFRKLVTLLEQEVTSCAAERLSGKIHTSEMIDGEDSEVDISTMIADLFDQKGGHISPEALKRYLSAGERLYKRSSKIYKEICCFEASIKRPFFHVKPLDDDQLENWHQYLDFVEKNGDFDWAVKLYERCLIPCANYSEFWIRYSEYVDAKGGREIANHALVRASSCFVKVWVQGQRGVPTFCMYYALFKEQIGDASAARSLFVKASSNFTSGFYANINRLANMEKRMGNTKAAFEIYEIAIEDAMQKQNIELLTNLYRNFAQFIYAASHSIVEAKDVFVKGIDRVPCKPLIKGLIQFMSTHGGPTEIPLLDSVISNAITPGSDVSTALSPEDREDISLLFLEFVDLYGGIKELRKAWARHSKLFPHSTGNMSQHYSTMGNSLQESNKRRKTEPSIVAHDQSLEDIRKLKQPSKTDNFSLIFDKEVESQVEDIVDSGKGYKDAGEQKALENLNSHEETSRTSQECTDMVHREHSLDKFGMQNQTNSYAKEVTNQDLSLHVQNDEKISHEVRSGEAPVSESGDCDSPSKAIASSESINCQDKVAEVSASNHREMVCSKFDLPSGSSMPKEGSSSDLARISPELEERQPVEVQVKLDTVTDNGLSVSNENLERSNDSPNTTECDKVNSALGHEIQDHVQSSQPQQLSVCAKPSSSELADTKADTLGFQAQLQHQVANCQTHQSNNLSLSVQNIQQQELSCTMPQNVQTSAQTQDQLFAQSNQGNQQYLQMTQGYASQMWQYYQQQLYYLQAQHNQQMQTLQQQQLPTEHLQQNFMQQVQQLNQQMVLWQQQVQQQQVVLQQALPVQQLPVKKQGQYPSSSGDTNHGKNKQQQQAPQVDQQSQQLQQQQLLYFQQQQQQQMYLMQQQQQQVYQQQQAQQQQLFQQQLMQQQQFVLQTPQLQQDSVQQQQQQQLFQQQQQQMMLLQQQFMQQQMQQYLQQQQIQQGPKDQTYKSNPQDGRNMQMEHAQHSEASQSDGSKLRSGEQSELSYPSTPQSQRSNR >PAN33816 pep chromosome:PHallii_v3.1:6:3578590:3579804:-1 gene:PAHAL_6G048500 transcript:PAN33816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSREARGGAVSPSAAPAEQRQCLRSSSLTMRAASALTSLGICRGGHDGERAYTPLPSEEAIKGASDPAEAEEALPGEGAAAAEEQEHGAPSTPAAAMASVVFCDAASASSSWTALWSAEPAPSLPPALDLDPAILPGFGQRVEVPSPSHPALLYPEQENPAPPPQDATKEACDIDMGTLAARDIPEVTGFVRARVEEFHEKIAKKNAADEAQAPVFLGGDDDVTTPWLRLPRAGKPVVLYFTSLRSVRRTFEDCRAVRAILRCYRVRLDERDVSMHASFKSELRDLLAGDGGGFEGPALPRVFVGGRRDLGGAEDVRALHEAGELARALLAVCDAAPAAGRPVHVGACAACGEARFVPCGTCHGSCKVFVDDEGCRFAGFFRQCPDCNENGLIRCPVCCY >PAN33475 pep chromosome:PHallii_v3.1:6:1778248:1778837:-1 gene:PAHAL_6G023900 transcript:PAN33475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNHCTNGCMRLEVGLHMGRETLWHTSEGDYYMGNCWRGSIMSCSHLLQLIS >PAN36015 pep chromosome:PHallii_v3.1:6:41311875:41315505:-1 gene:PAHAL_6G250700 transcript:PAN36015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGVPNVHSNTDSSNKTLLKSQALYKYVLDTTVLPNEPECLRELRLLTDKHERRNMATPPDEAQLLGMLIRLMGARNTIEVGVFTGCSLLATALALPDDGKVVAIDVNREYYELGRPFLEKAGVAHKVDFREGPALDHLDALLADARNVGAFDFAFVDADKPSYALYHERLLRLVRVGGAVVYDNTLWDGTVALPHDAPLSDHDRRISAAMRDLNARLSADERVEVCQLTVADGVTICRRVV >PVH37369 pep chromosome:PHallii_v3.1:6:44929632:44930345:-1 gene:PAHAL_6G297800 transcript:PVH37369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSTSVPSSPRSNKASVEEQLQSLKATVSSPSATVETMVGGLAKLGSIYGLIDELTCLPSSQRQQREAVEEELERCLVLLDLCNAMQESFLELKATETQLVLRRGDNAAVQAKVQSYARSAKKAQKQFKKINSKAASDMEGCRQITTPSGSKWSLVSKAFQKKKVVCEEEQLQMLESDISDLENIVETLFRTLIQSRVSLLNTLTL >PAN33997 pep chromosome:PHallii_v3.1:6:4731606:4733180:1 gene:PAHAL_6G062700 transcript:PAN33997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMASPVRRRRCGEGMDDAPPIGTGDWPVPARDWSELPLDALVSVFVKLGAIEILMGAGLVCRSWLQAAELPELWRSVVMACHKVVDNIVDVDDDTVRASPVEPKINRDVLCAMARVAVDRSRGQLEVFVAMRFVTDQLLEYIGNRSPALKTVSLISCAGVSNQGFTQLINKCPMLEDLLLALCHRIGGRDVYEAAGRACPRLRRFRLCKRMLVSLLAEKPGEALGFAAMHELRTLVLIGSDVTNAELASVIDCCPRLESLDLMDCFNIVADDVLRARCAGIKSLMLPPRRREVDVDDEYESLSLRDCDFGSDSY >PVH37138 pep chromosome:PHallii_v3.1:6:41584869:41587097:-1 gene:PAHAL_6G254400 transcript:PVH37138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRSLAVPLLLLTQLAMAATTSSSAAAGVALAGCESKCGGVDVPYPFGTSDGCHRAGFKVTCDSAHQPPKLFLGGRGGGGPEVLEVSLRNSTVRVRGAVWSFAAGATRTAKVDVLPATLRRYVLSAARNSLVLVGCGFQAAARRGEDAAAFGSCAPSCPGAKKRKLRHGPCDGVGCCEAPIPTGLATSFDVRFSWLEQNATARPAWVAPGASVLVVEQEWWRDRENVVPVKLTLLNSGNATSFVIPAVLDWTLNESSCAAAAKGSDYGCVSKNSECLNSTSSAYGYVCRCNDGYNGNPYVPDGCQGSRMHIAAGVFLAVGIGIGMFVLLLVLATIFATKRLRIHKARKMREKFSKRNRRLLLRQLVDKDIAEMMIYSLEELEKATNRFDETRILGGGGHGTVYKGILSNQRVVAIKVSKIVIQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLIYEFIPNGTLYAHLHVDNPQKLLTWRDRLRIAIEVASSLAYLHAAASTSVVHRDIKTSNILLDDRLTAKVSDFGASRGIAIDQSGVTTGIQGTFGYMDPEYYYTRRLTDKSDVYSYGVMLVELLTRKKPVVYISPEGVGLVAHFVASLNQGQLNEILDEQVTEEGEEEGKQLAEIAAMCLRMKGEDRPTMRNVEMRLQRLQGSEINISVMEEDHQVNELNVLTFQGGTANASDSYCSRQYSIEEEILFSASLER >PVH36875 pep chromosome:PHallii_v3.1:6:35572716:35573630:-1 gene:PAHAL_6G186700 transcript:PVH36875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVALCWWPLAPWLSPAAAWFVFFNAVVGAIAVMSYGAQGEAGGPAAAARRRLGRSGSSVVLDRFRSFSIFAAHPAAGGVAGAPVDGDGASVSASSDPHCYYCCLRGAEEAAAAQEHPSPQGVAATAAGTAASSAAPPIAPAPAEEDHAAPVLAPENQKDKADAEEEQDQHVAKKEAEAEEEQEEHAAKKEAEVEAEEKQDESISLDEAYALSQRLRTQELASPPPLVPATATAVARTKKPAKKAAEGISRRRTKAEEAPGGKAELNARAELFIRQFREELRLQRINSILSHTHALRSPTAAR >PVH36335 pep chromosome:PHallii_v3.1:6:3839725:3841755:1 gene:PAHAL_6G052400 transcript:PVH36335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCRYIVVIDDVWGTEDWGFIKLVLLNNDLGSRVISTTRSVTVAKFYSSQVYQMEPLSFDDSKRLFFKRAFDSESSCYPHLEDVPDRILRKYGGLPLAIVTVSSILTNELAKAEWDRVLSAMGSALANKPDAKKMTSIIALSYFDVPRHLRTCLLYLSAFPEDCEINKNCLINRWIAEGLIHEEEGRTKYEIGEGYFNDLINRSMIKPVDVKYGQAKACRVHDIILDYIKCKAAEENFVALSDASEHVYTTEYKVRRLCVSNHIEENVAIWADPMLYHVRSVTIFGQPVKTSLLPSTALRVLNLGDCWSMEDRHLVSFENLFHLKYLCLSGSITKLPERDIGELQYLQTLDVQGISIELLPSTITKLQRLAHLYVDSKTRFPDGVIGQMHSLEEMREYGVGSYELRKSLQELSKLTKLRALEIIWYFDSHEHSEGLRRAEGCHSCVGTLLSSCNLYNLYITDCFSHNMYLLSMDSWHPAAPCSLRKLCLEQCSICKVPHWMGSPGNLVLLKLQFVICLGPEDVEILRAIPSLLFLKLAIFGGTNGRITVHGRNGFRSLKYLYLSIDFCKTALEFQVGSMPKLEHVKLRNAVHKWCLNGASDLGIQHLSALSKVEVKINGNCRYDTNYNPTEDENDGAIRWVSSAINGAIVTLPNRPTIRFKTMHVEECVHYDEECV >PAN36315 pep chromosome:PHallii_v3.1:6:42992768:42997407:-1 gene:PAHAL_6G273500 transcript:PAN36315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGHKSGGGSAAGGGAGGDGVLCHACGYQYPNGHPSAKQRRAHRKHCGKPTSAAAAAAEEGAGEHEGSEPLPGEGRGGVGEGTGAGAAECGGSLPGSASEAASAVDGGDNAEHSSGNGTSHQVIGSEDHLTISSNIPSEITSEASRTDDDALTTVTTQYSEKGSPIEDGDSSDLAVGSEQLEDIPISVLSPELEDGAKSSSGISEHEIKTSTVVPLVSNATGGGTSEQTDDVVSQVDGIAVAEEDDMVNTIGENKLSEDKSVEGDEVDLSCQDNLQIEIGEGHSSTAAEKDSSDKNPNAIHNEEIPSDKTESNQQSKHVLTDSFVKIPNIEVPIEASAEKSVDSDDDLLKLGTGGSHSETRNDVKPQQQPDSTSETAGHLAVSKQADNVHEQHYPIPEGSIPVISSASGPAVGDIANITENVCSSGATMDDSMQKNVTGGTVVSSQADLVELSASTMSHETNTVGSTNDVAEKRQNEKGGSDFTSYGGNEMHVIENFEEKHQNKEVIVDSIPHETNTVSNTDNDGENEQNKEITAETSSCKINVVQSMISAEENEQIEEFITNLAPEETSMTRSGDIVEEKQSEIDVKTSGEIDGACSVETAVENNATICKGNAGTATDDVEGIVQDEEITAGPISHGINTICSSTNEEKMHKEDVNEAIGCHENIVVHGTGNVEEKTVEEAMADATSRKFSLVTSTDDEEKKDEQTTADPTLHERSAEHSTDNIDEKKNEQPILDPTTASATISSIGDIEEKKQSEETTADPSSGESNMLPGTDDVENKKENEDLATTGPASDKTEVAETTNAVEEIGKTEETASKEISTIESTDDLKGVDDQNEEIADKEMVVDPDKNHVSLKVLLANKNVETKDKEKKPSTKDRVLSFRRRASKDVVSPVKPGSPKAGSGQQDWNSPARLPVEKKPKGRKQQWVPFICCSSVQ >PAN34304 pep chromosome:PHallii_v3.1:6:6805834:6807053:-1 gene:PAHAL_6G082700 transcript:PAN34304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSLALLAVALAILQAASARSWLRQFTTDGTVRMGSDASGQQVVMLNLDRSSGAAGLNSKKQYLYGEFSFEMKLIRGNSAGTVSCFYLSSGTDEWRDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFDLWFDPTADYHTYTIIWNPTNILFKVDNVFIRSFKRFADLPYPSSKPMTLHATLWDGSYWATEKGKIPIDWKNAPFVVSYRSFYANACVSGGACHAGRDGWMRKQLNRAEWGTVKWAERNYMR >PVH36630 pep chromosome:PHallii_v3.1:6:18841042:18852893:-1 gene:PAHAL_6G125900 transcript:PVH36630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRIPTTSPRTTSRVPSCTQSCPWVRSPLELRMAQDSDDPLFVVSVVVWVFVVILAIVAFHCPLPRRVVR >PVH36527 pep chromosome:PHallii_v3.1:6:8816545:8822128:1 gene:PAHAL_6G093000 transcript:PVH36527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRDSQNVVSSSDEMIEDGDSAEDMGDADSDIGDEDMGDADSDIIYLEEFRSPDETESKDDEEELDDDEFEAHNYNQSLEAPDKDKKAGGVEEKWPEAKHTTRDIVDILDDDYDLQVEHGLVTRWALLNYSSLTNIRSRRFLAKLQYTCLSLGMAKFATWPKREFRETVGLVAIEELLDVLKIELVLLIPPFLGYNDAIFFNSFHKKNKEWPKRLFFFRNGLEEGEFGHICQQEIDAIKQACASFDVSVTYVVVMQIHGTKLHSAMCKYKFLCRHTTEEISNVVYYCVAHDDNHFPTGELQVLTSQLCTFRHHRKNPYSGTDVLHFQEYCRRIEKMRTSKNGEMRMTTLL >PVH36211 pep chromosome:PHallii_v3.1:6:1641377:1642479:1 gene:PAHAL_6G021600 transcript:PVH36211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRRFLYMVENDGVDRSYSLRRIDTSRFFFRASTEGTPTHSTATGLELLFRRRYETAVAFLHSAGTINFALFKNKGNNGGHDMVVAVDNAGRSFICNPVLPPSVHALPSLSSPKFAPFSLTVGDSLYVMDAVPKPPNGCGPHNVELLSNDDDHGWRWSPLDSPPHVYDCPGYLCPRIDSYAVVAGAGIAVSNNDSAQTFRFDTGDKTWSKAGDWVLPFTRLAEYVPEHKLWFGISPIGDGHRFCAANLVASPDSDQMRPPVVHGLWKEYAEPPPEWSVAEAYAVHLGSSRFCIVGLFSTGEIRVETHHSYKVEEELQAVVTSVEVQRCGAELRVVKHKSERYELAAEGDYRILC >PAN36067 pep chromosome:PHallii_v3.1:6:41696747:41697488:1 gene:PAHAL_6G255800 transcript:PAN36067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDGSTVRSFVEDEGAFNASVDGRFAALDADRDGLLTYAEMAGELMSLRVLEKHFGVDDAALRAEELGALYRGLFARFDRDGSGEVDRDEFRAEMREVLLAVANGLGFLPVQMVVEEGSFLKTAVDRELAQLAKAA >PVH36596 pep chromosome:PHallii_v3.1:6:16326842:16327517:1 gene:PAHAL_6G117400 transcript:PVH36596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIAPHSARYLAVDPLHSSVRSWLHFDFAVILLVDSWVSGGAISFHSRVSYL >PAN35662 pep chromosome:PHallii_v3.1:6:39294013:39295194:1 gene:PAHAL_6G224400 transcript:PAN35662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGPGKLRCMIRRWHSSSRVARAPSPAEDGAGDARGASFHGADEVPKGMHPVYVGKSRRRYLVDEDLVGHPLFQTLVHRTGSGAEAGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >PAN36652 pep chromosome:PHallii_v3.1:6:45059020:45063669:1 gene:PAHAL_6G299800 transcript:PAN36652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKHGWQLPAHTLQVVAITVFLLLVVAFYAFFAPFLGKQVLEYVAIGTYTFVAFAVFILYIRCTSINPADPGIMSQFEDGFIDAPGSTADIQGKGTNLPEKTDTAAGTNSPTCRSSLDGCSNRGGLAAGDANIDLRSQPPRNSRSCLLGGLVCALFIKEDCRKFDDSENQVDGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMTTSLLWLAIEIGVGIAVLVICFINKNSERIIQDKLGNGLPRPAFATIVAFFTLLSLVACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPQEEEDQEEVNIVYSPTNSATTGFSGASSLGLHYKGSWCTPPRIFVDQDEVIPHLEPGMVPSTVDPDAVGHAERANKAKKQVKISAWKLAKLDSNEAMKAAAKARASSSVLRHIDTRRGPGSSLSSSGNASMRSSMSADYSGSATKEKWADIKLSSLHSSSYPQSLASQDDYESGTQSASSISSPVRTHKPAPHTQISVPPRAPPPPSRPAPMVPRPPPVPTTQISNPVFQSATSYVRENRKASVVWDQEAGRYVSVAPAPTRPGAAGGDQAARAPRFLANPGGELSNRGRNLAPVNASSPALPSGQPSERLAYTGQSIFFGGPLLGAAAAAGTRRSDDAGARARPEERRELTAHQQPDTGGERRRTAESFPVFAPRTFQKNPPPFNR >PVH36819 pep chromosome:PHallii_v3.1:6:33460518:33463772:1 gene:PAHAL_6G175600 transcript:PVH36819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDFEQRLNWYKSNANVCLDKPIQFKRGSKMSPSKPISHVTKRNETKPLRRSHQLPPISAMAGEGSGAGAEMDALIRRLRLHQAGPSPYDPAPAASPAAGGGGELFRPRRAAVLVCLFRGAAGELRVILTKRSSTLSTHSGEVSLPGGKAEEGDADDAATALRESKEEIGLDPSLVTVVASLEHFLSKHLLVVVPVIGILSDIQAFIPVLNVAEVDEIFDVPLEMFLKDENRTSEEREKMGQTFTVHYFTYVKEDHKYLIWGLTARILIHAASVVYERSPDFPERRAHFNLPKYTKDCSSMLAGLAKH >PAN36764 pep chromosome:PHallii_v3.1:6:45622440:45626913:-1 gene:PAHAL_6G308200 transcript:PAN36764 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MASSTCLSLSLFASPTAPSDPGSRRFSFAAASSSSSSKRKGTLQAKALREDWRQKSKPIPPGAVYPAKDHCSRCGLCDTYYVAHVKTACAFLGDGMSRVEDLEPLVHGRGRKESMDEMYFGVHDQLLYARKTEPIQGAQWTGIVTTIAVEMLKANMVDAVVCVQSDPDDRLAPRPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYAGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGARQPFVMETVKADDAAKLGKGPSRPAPRFVGNILAFLLNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKQRAEQHIPGYAKKIVEAYDRDGRIQSMLNSSSQ >PVH36877 pep chromosome:PHallii_v3.1:6:35803521:35803898:1 gene:PAHAL_6G187800 transcript:PVH36877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLKLNHYHPRQAGGTHSTPTSLGVRRPWRWRRPRATLPLAPPACCPRACWPWAGRLEVRRRTREGRDGLVEIEGPRRPVAQLDEGRGGAVSPGAGAAGGQFARAGALQEPGGSRRLVVWNNCG >PAN35700 pep chromosome:PHallii_v3.1:6:39531747:39534222:-1 gene:PAHAL_6G228000 transcript:PAN35700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPWRSLLCCVGGGGGAPGEDDGPSSPRRRTARGRERQQLLLPASSSSASRLSLSSLGSSGPLTPEDLSLTLSGSNLHAFTCAELRGVTAGFSRANYLGCGGFGPVYRGRVEDGLRPGLAAQEVAVKYLDPDCGTQGHREWLAEVFFLGQLRHGNLVRLVGYCYEDHHRMLVYEYMSNGSLEKHLFKSLDGAMPWMRRMEIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRSVDRARRPREQSLVDWARPYLKKPDKLYRVMDPAMECQYSCQGAERAAMVAYKCLSQNPKSRPTMREVVQALEPILGMDDYLQIGPFVFTVIVEDINEKINEGKGKMVDGEKVSMRIKTTVEEKRQSHHERHRQKFPNSAVHAEVLHRDGDLGPHISALRRHRRTPSYVKERGA >PVH36753 pep chromosome:PHallii_v3.1:6:29411796:29412101:-1 gene:PAHAL_6G160000 transcript:PVH36753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDEARLKRRELGVQLCGSAYNPNLGIKVSGILDGFKNRTLIGNLGLRVLFMCAFQSLLFSNTNSYIRLEDVKNTKDLKNIGRRNWCKEVVDNLSKAARL >PAN35464 pep chromosome:PHallii_v3.1:6:38209923:38214237:-1 gene:PAHAL_6G211400 transcript:PAN35464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLTALIVMTALSACLGWAAPNLISRKWTHHVTTLLFFGFGIWSLWEGFKEDGDSEELAEVEAELDAAFKSNKGESKNKSKANEDTKKQQRPFLMQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMVELSSGVLFLLFGIMSLLSGPEGEL >PAN34050 pep chromosome:PHallii_v3.1:6:5075511:5078659:-1 gene:PAHAL_6G066800 transcript:PAN34050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASVREPQLLAAAAAAADDGCWQGPAAAETKRLLRLAGPMVASCFLQNAVNIMSLMFVGHLGKLNLSGASLAISITSATGLNIITGMATALDTLCGQAFGARQYHLLGVYKQRAMLVIGLTCVPFALVWAYTGQILVFLGQDRAVAAEAGAYARWLIPSIFVNVPLQCHIRFLQTQSLVLPVMASSGATTLCHAAVCWALVYKAGMGSKGAALSNAISYSVNLVILAVYVRLSSACRRTWNGFSVEAFKELRPFAALAVPSGFMICLEFWAFEVIVLLSGLLPNPQLQTSVLSICLNSTILLFMIPLGLSYSVSTRVSNELGAGQPQPAKLAARVVMCMALSSGFVLTLAMALLRNVWGHMYSSDREVVAYFARMLPVVGISFFMDSIHGTLSGVLTGCGKQKIGAAINLGAFYLVGIPMAAVLAFVFHMNGKGLWLGIVCGSLTKVLLFASIAWFTDWNKEAVKAKDRVFGSSLPVS >PVH36856 pep chromosome:PHallii_v3.1:6:35327454:35328344:-1 gene:PAHAL_6G184600 transcript:PVH36856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASGAKAASGLCQWTPTQSIFVLTFLTNIVADGTKTSTGFKKVHLNACAKALNDHFKLTRTGDQVSNHLKTWKKKYARINYLKNLSAALWDEDEFIVSLDHEHYKGHMADPKNKADDEYLNKPLPYYDFLATIFDNSIATGQYPKSYNDPIGTDRSKGVSHGGDATAENDGLNHGIDKSVVNDDTSSSARPAKRAKTIDDTGRKTDGLVEAFQCGTQTLAKAIAQASSALPHGLFEAVDSLPGFELHHKTRYISTWLGIPMMPMLL >PAN33591 pep chromosome:PHallii_v3.1:6:2383809:2386814:-1 gene:PAHAL_6G031900 transcript:PAN33591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKRGMDAAAVTVPPLQTSESNKISIIISPRAASSKVMPFELIGAGSISSHPHATPAESSDAHATRYHQWNHGLPKMKAVPLIKKVIAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPLAHLLPYMTAQVLGSIAASFTVKGIYHPVNPGITTVPKVGTVEAFFLELIMTFVLLFIITALATDPHAVKELIAVAVGATIMMNALVAGPSTGASMNPARTIGPAIATGRYTQIWIYMVATPLGAIAGTGAYVAIKL >PAN34243 pep chromosome:PHallii_v3.1:6:6240664:6242846:1 gene:PAHAL_6G076900 transcript:PAN34243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQTPIETTGSRCAPETARGRHEFEIAGYSLQKDLSVGNFIKSATFAVGGHDWRIRFYPNDGDVLTAEGKDTVAVFLELISKTAEVRALYDFRLVDLETMQRSKVVAGVTKPSVFSALQPTLGFRKFMKKSVLEGRPFLLSDRLVLVCDVTVIMGTPVSESRTLCNIQVPPSDLVDNLGKLLESEEGADVTFKVEDKVFHAHKIVLAMRSPVFKVELYGPMRDKNQPSITVEDMQPAVFKALLHFIYKDSLPVMDNLDEDENTEMVKHLLVAADRYALERMKLMCENILCKKLDAGSVAAVLALADQHHCSKLKDACIQFINSSTKMDDLVASHGYAHLKRACPTVFADIWEWSAKFH >PVH36451 pep chromosome:PHallii_v3.1:6:5991237:6003940:1 gene:PAHAL_6G075400 transcript:PVH36451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDETNEAEDLERIFTDSSAEPIKISYAAIRYITKNFAVKIGDGGFGVVCLGGLQNGMVAVKKLHSKDLSYKQFLDEVICLKKVNHRNIVRFLGYCADTQGEIMEAEGKYRIVEVQKRLLCFEYVPNGNLHHYIKEKTHGYEWNVRYKIIQGICQGLHYIHQQRIYHLDLKPANVLLGANMEPKITDFGVSRCISEEQRTMVTENFFGTLGYIAPEFIDKGQISFKNDIFSLGVIMINLLSGHDGCIPEKWHESIDASCPQMKRCIEIAQRCVDTDPHMRPTAGEIILYLKEKEIMIQKDPPTIDEPRNDPRSSLHQVVQRFRALPIETLREHLRVDTLYVELHIPKCLLEGSKMPGRVPFQLLQFITENFSHKRQIGRTGFAYLYRGILRQRSIVVKRLSMTI >PAN35256 pep chromosome:PHallii_v3.1:6:36630873:36633198:-1 gene:PAHAL_6G194500 transcript:PAN35256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLPLEDEDLLGEYLLLLPPQPSSSASSGAASSPTRISSAASAAATRSRPSSASSPATSTAARSSSPPRWTRRTACPPRASLCVSDGADSIVLGCRHGRVLAVDWAYLHLLIWDPAAGDRLPVAIPPVFDRMPYKINGAIVCATGDEGHTHGDCRSNPFQVITVGTSWEGVSACVYSSATDAWGNLISALWPGPIPPGAPSHPFFFNDCRSTLVGSCIYWLIVGLRASILKFELGAQSLAVIEAPPNVYQVQALVHRKRQFLITPADGGVLGFLVFSMPGFSAQLWKRDDVAGWVLGNTIELSNLLSLTPSVDTAPPRIVGFSEGDNEILLQTYDGAFMVHLESLLFKKVSERMPCHLYHPFASFYPAESVLWGGVSIMSSLFRKLQQWIYLFRVLSPLCSSWVLQEY >PVH36904 pep chromosome:PHallii_v3.1:6:36631410:36632977:-1 gene:PAHAL_6G194500 transcript:PVH36904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLPLEDEDLLGEYLLLLPPQPSSSASSGAASSPTRISSAASAAATRSRPSSASSPATSTAARSSSPPRWTRRTACPPRASLCVSDGADSIVLGCRHGRVLAVDWAYLHLLIWDPAAGDRLPVAIPPVFDRMPYKINGAIVCATGDEGHTHGDCRSNPFQVITVGTSWEGVSACVYSSATDAWGNLISALWPGPIPPGAPSHPFFFNDCRSTLVGSCIYWLIVGLRASILKFELGAQSLAVIEAPPNVYQVQALVHRKRQFLITPADGGVLGFLVFSMPGFSAQLWKRDDVAGWVLGNTIELSNLLSLTPSVDTAPPRIVGFSEGDNEILLQTYDGAFMVHLESLLFKKVSERMPCHLYHPFASFYPAGTC >PVH36151 pep chromosome:PHallii_v3.1:6:714239:715885:-1 gene:PAHAL_6G008100 transcript:PVH36151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMIKQDSSSSNEEDDRHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTYDKKTKLFPKKKGHTKKSFLWVTDVSSSEDSSDEEDIVTIALTNEESSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLGMYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKFAHEKVNASTSCDDLLIDAYATNVVPKLAPSREKEFMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFSEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPNLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH36672 pep chromosome:PHallii_v3.1:6:22787444:22792183:-1 gene:PAHAL_6G137600 transcript:PVH36672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHTPVVKSRTSPCRGRPTPPPPQPADRHGQPATRRPQAVVAPPPPPQQQPPALPPSAPAAAADAGNSGNKAAAKKRGMQKLLKSAFKRGEHAPGASSSSSAAASGAHAGEEACLAAAQDLSRSSSSSAGGSSGRKGRRGGAGDDGSADGDRSSHESFELEGSKNAKAAAALRNAKIGSSYEAFPWERKMTDLLPVPNSSSFLSLLFLPRAADESQTRYLCLEDTLARADAWLMSSQTSGVPIVHKNVQIEPLLTKISGDTALSTVNMGSLGDLANVATMSLYGFEDYHGVDIGVVRALRLWYAPVAGELALEIKLQPGDTRLGFAISRTEEGFIYVSSVADESTPGVASTRSGLLELYRRARRASRLLVVSRVGREKVLPWAVSATGDVRCADTVSLSQLLSLHRHALRPVTLAFLMWEDLSVAALLRSAGASRPSAAAVMLPAQAAAGDNDEASSDEIAFDGDGPEIVLSKDSDDCSFRFQHIGLPDSWL >PAN36167 pep chromosome:PHallii_v3.1:6:42366462:42368021:-1 gene:PAHAL_6G265800 transcript:PAN36167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRESYLDVVLIPLAVLFPAVYHLWLWRTVRRSPLSSTVGISAAARRLWVFSMMKNNEKQAILVVQSVRNVLMGSTLVATTSILFCTGVAAVLSSTYAVKKPLSDAVFGAHGEYMMALKYVVLLLVFLLAFLSHSLAICTLNQASFLVNALSPSPGLHLPLTRDYVADVMERGFLLNLAGNRFFFAGAPLLLWIFGPALPCLCSMAMLPILYNIDMVEYVQKGSSNGEARVDMVDTESDQSTEV >PAN35678 pep chromosome:PHallii_v3.1:6:39427701:39432867:1 gene:PAHAL_6G226200 transcript:PAN35678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAAELEAAERVVMRWDSASAGAGGDEPMLFDGAGDRAEADRFLRAVDDLRRLAPPSPAAVGSPRRLSSSSGSSAAAAGGSGAVQVAMARLEDEFRHVLSSRALDLEIEALADLSSLSINSDRSNSASSADLPAPDEEDSVSSSIGRRSSAYRSLRSIREIDLLPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLCFHIFHDLPISSSTISAAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTIHPLTRYVMNYSSLICDYKVTLSELIISRPSASARLAAEGNELAPSLADLELPELENQLPLASHIVWIIVVLEHNLEGKAALYKDPALSHLFMMNNVHYIVHKVKDSPDLWGMIGDDYLKRLTGKFTMAATNYQRTSWLKILNCLRDEGLHVSGGFSSGISKSALRERFKSFNAAFEDAHRVQSGWCVPDNQLREELRISIAEKLLPAYRSFLGRFRHHIENGKHPELYIKYSVEDLEIAVGDFFEGVPPTPHNRRRSHG >PAN35538 pep chromosome:PHallii_v3.1:6:38575485:38580930:1 gene:PAHAL_6G216100 transcript:PAN35538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRAAAAAAASAKQVTRRNFAEAVRDLGAHLESCDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFLLRNSSPSTLVAYPYNFHIFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGISYLSRVQESFARQKVFTPHLRPLLPSQSTSVADSVFKSRIKSRIMHWRKGYAEPNKKDDGSLVSSLCRLILGGETYGSRPSISIDVCSDQQVQLVLEAANHISDDLVPLVVPDKAGAARAVCVIFTSSKEDKNLLLMDIQQSTEEKFRGFREVIDLLSSSQKPIVSYNCLNDLTMMHSKFVAPLPPNMHEFMCSLKMVFSNVVDVSHLWRQIGPLRKAKNIQAALSYLQRQYFVPIEIKIPEQDGTSGVTKNEQNVLRITKLFAKLSNLLKIGPEYQLKSGEQYAAVEEYCNVFYPSCMVEDSDDVDFANEPDTAKAVSTDNIIFLWGFRGKSVKELKSYLPGLHQIFSEDFEVKLLDKTCSALIFCNSDTAMQLLKEISSESPSLNSFFSEGLKAAGFEVYRKVCRLGLWDSDLAEALEGVSSEVAASTLSECNSSQIYWNSSLMLDLKEYL >PVH36990 pep chromosome:PHallii_v3.1:6:38575485:38580930:1 gene:PAHAL_6G216100 transcript:PVH36990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRAAAAAAASAKQVTRRNFAEAVRDLGAHLESCDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFLLRNSSPSTLVAYPYNFHIFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGISYLSRVQESFARQKVFTPHLRPLLPSQSTSVADSVFKSRIKSRIMHWRKGYAEPNKKDDGSLVSSLCRLILGGETYGSRPSISIDVCSDQQVQLVLEAANHISDDLVPLVVPDKAGAARAVCVIFTSSKEDKNLLLMDIQQSTEEKFRGFREVIDLLSSSQKPIVSYNCLNDLTMMHSKFVAPLPPNMHEFMCSLKMVFSNVVDVSHLWRQIGPLRKAKNIQAALSYLQRQYFVPIEIKIPEQDGTSGVTKNEQNVLRITKLFAKLSNLLKIGPEYQLKSGEQYAAVEEYCNVFYPSCMVEDSDDVDFANEPDTAKAVSTDNIIFLWGFRGKSVKELKSYLPGLHQIFSEDFEVKLLDKTCSALIFCNSDTAMQLLKEISSESPSLNSFFSEGLKAAGFEVYRKVCRLGLWDSDLAEALEGVSSEVAASTLSECNSSQIYWNSSLMLDLKEYL >PVH36989 pep chromosome:PHallii_v3.1:6:38575096:38581008:1 gene:PAHAL_6G216100 transcript:PVH36989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRAAAAAAASAKQVTRRNFAEAVRDLGAHLESCDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFLLRNSSPSTLVAYPYNFHIFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGISYLSRVQESFARQKVFTPHLRPLLPSQSTSVADSVFKSRIKSRIMHWRKGYAEPNKKDDGSLVSSLCRLILGGETYGSRPSISIDVCSDQQVQLVLEAANHISDDLVPLVVPDKAGAARAVCVIFTSSKEDKNLLLMDIQQSTEEKFRGFREVIDLLSSSQKPIVSYNCLNDLTMMHSKFVAPLPPNMHEFMCSLKMVFSNVVDVSHLWRQIGPLRKAKNIQAALSYLQRQYFVPIEIKIPEQDGTSGVTKNEQNVLRITKLFAKLSNLLKIGPEYQLKSGEQYAAVEEYCNVFYPSCMVEDSDDVDFANEPDTAKAVSTDNIIFLWGFRGKSVKELKSYLPGLHQIFSEDFEVKLLDKTCSALIFCNSDTAMQLLKEISSESPSLNSFFSEGLKAAGFEVYRKVCRLGLWDSDLAEALEGVSSEVAASTLSECNSSQIYWNSSLMLDLKEYL >PAN36243 pep chromosome:PHallii_v3.1:6:42049634:42053909:-1 gene:PAHAL_6G260700 transcript:PAN36243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASAGGGSGGGGGDDHLHGLKFGKKIYFEDAGASGSGSGSSGGSASGASEPPPPPSASPPRAAAGRRGRGAAGGAAGSSAPPRCQVEGCNVDLTGAKTYHCRHKVCAMHSKAPLVVVNGIEQRFCQQCSRFHQLHEFDQQKRSCRRRLTGHNERRRRPPAGPLASRYGRLAASLGGEPGRFRSFLLDFSYPRVPSSMRDGWQAVRPGERVPGSIQWQASLDPHHHSATAGYGAHSYGSQGSSSSGPPVFPGPELPPGGCLAGVPADSSCALSLLSTQPWDTTQSAGHSRTASMPATAGFDGNPVAPSLMASSYIAPSPWTGSRGHAGGRNVTPQLPPEVPLDEVHSGSSSHHGQFSGELELALQGNRPAPAPRIDQGSTSTFDQASNTSDWSL >PAN33469 pep chromosome:PHallii_v3.1:6:1740730:1743059:1 gene:PAHAL_6G023600 transcript:PAN33469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADLPSSPSSSTAAAGDRRPESAGDGIGAEGERAASPPERCEALAAAIAGVLGGALREHEARAVATARSQDEVAAAVDRLNGELDKLLENAPSLVIMQHSARISTIRKRISALNMLLKSIQRRIDNIDRIISTGLTNDHSSPVQFQSLK >PAN33470 pep chromosome:PHallii_v3.1:6:1740739:1743059:1 gene:PAHAL_6G023600 transcript:PAN33470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADLPSSPSSSTAAAGDRRPESAGDGIGAEGERAASPPERCEALAAAIAGVLGGALREHEARAVATARSQDEVAAAVDRLNGELDKLLENAPSLVIMQHSARISTIRKRISALNMLLKSIQRRIDNIDRIISTGLTNGTAHDHSSPVQFQSLK >PAN36517 pep chromosome:PHallii_v3.1:6:44352890:44355795:1 gene:PAHAL_6G289500 transcript:PAN36517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCIRLVKIPVHGSIVGWFLCMDPGWWLMRERGRWPATLGAVQCSTQHLPAWLVSLPNPAPHFQTNPHIGCTRVEPTLRFVPPGPGAAGAERMASAASAPVFERVAGIRAIAESSRFKAWFLDQFGVLHDGKKPYPGAILALEKLAVNGAKMVIISNSSRRSSVTMDKLKSLGFDTSCFLATITSGELTHQHLLKRNDPWFAALGRKCIHITWGNRGAISLEGLGLQVVNNVDDAEFILAHGTEALGSPSGDPLPKSLEELEQVLMLGLERRLPMVVANPDYVTVEARDLRVMPGTLAAKYENLGGEVKWMGKPDEVIYNSAMSLAGVDAHECVMVGDSLHHDIKGANASGIASAFITGGIHAAELGLSESGETTGEDAVNTLCSKHGSYPSYVLPSFTW >PAN34367 pep chromosome:PHallii_v3.1:6:7698450:7701985:1 gene:PAHAL_6G087700 transcript:PAN34367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISVSTPRRSRDAILGGVLGGAGRQLYQPLHCALYDGSARGGGQSVHGLAAALSVDAGDVVRVSTEVAAKNVLILMSDTGGGHRASAEALRDAFRIEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPPWVHGIYLTVLAYFYANKVVAGIMKYKPDIIISVHPLMQHIPLCVLKWQSLQRRVPFITVVTDLNTCHPTWFHHGVTRCYCPSAEVANRALLRGLQTSQVRVFGLPIRPSFCRAELEKDEIRKELELDPKLPAVLLMGGGEGMGPVEETARALGEELYDYERRRPIGQIVVICGRNQVLRSALESMRWKVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQVGACRCFTIVKFSDLLYFH >PVH36607 pep chromosome:PHallii_v3.1:6:17069932:17070390:1 gene:PAHAL_6G120700 transcript:PVH36607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLVSCTNRIFTRLLIATSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTCREKCEDPCSFFTTPGHRCSAPQRLRRPDPCSLIIVSGSRYNAPLRG >PAN33534 pep chromosome:PHallii_v3.1:6:1987607:1992662:-1 gene:PAHAL_6G027800 transcript:PAN33534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MGTMKGVSEGLIIGITVGVVIGVLLAVGILLCFRYRRSRAQIRSSSSRRASTVPIRTNGVNVSAMLSNSTTGQESPRELEDCGSSLWIEGPGRKNMISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYKADMSSGEILAVKVLSNNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCADKGQHMLLYAYMPNGSLASHLYGENSAPLKWNLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMQARVADFGLSREEMVTRNGSNIRGTYGYLDPEYVSTRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAIAYRCVSRVSRKRPAMRDVAQALTRVLKHSRSRKHHSKKHPQARADDESVDLEASEVQSSFSGLQREESVGSVSDLPDV >PAN35811 pep chromosome:PHallii_v3.1:6:40358225:40358859:1 gene:PAHAL_6G236500 transcript:PAN35811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKVVCACIMIFLVISSQADARRLMAATSYGKEGACKGGIAVEGDGSVASKQEMVSATSTEQPGEGMPMTTTDSRPTAPGNSPGIGNRGKINN >PAN36180 pep chromosome:PHallii_v3.1:6:42340107:42342172:1 gene:PAHAL_6G265100 transcript:PAN36180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAATKPAAIFITKRQPVPTPRARVAAAAAAGPCSEAAKKSRSDGASWRIAVASSDAEADGGGGEGDAEAGQVAPGRARGRRARLSARRRESVRLPAGVSGGDVGEFLRHPDGVESLLNTGALESFAPAGAGPGTFTCALRRIGFLGFEVAPVLELRVAPTSTDCTVEMLSCRFEGSESIEQQNELFSAFMSNRITWSDDGEEPRLDIDVTLEVTLEVYTKPFSMLPLSAVETPGNLLMQGLLDRLVPVLGEQLLRDYHSWVQQQPEASS >PVH36761 pep chromosome:PHallii_v3.1:6:30275852:30277029:-1 gene:PAHAL_6G162700 transcript:PVH36761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHSGARSAPMFATAGGLAMESNPPIGSHHHRAVAKPSAAGGWPPRLQIRPSQGPLPPQGSRRRGRGAAACLASRMESMSCLSSTLMARSGCCAASGQPRPRPRRRLARTPSAAARWARREAQQRHGAVWSGWCRTEQREKEKRGDLNMDSQGIWRVCWFTL >PAN35765 pep chromosome:PHallii_v3.1:6:39971141:39974894:1 gene:PAHAL_6G232400 transcript:PAN35765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCAPTALPPPEAGAAPPEPFRSLQIATTSPAGAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDTCNAGRPRAETSPSPGSGGGAGFGVAASTSHQQQQRQMHATAAAALSRTASSASPSSGGGGDLGVSPVAWPGPAMASPTAAAAAFQRFDPALSPPTPHERPGAHSLELQLMPPRGSCGAPAAAVGCCATQHSPAVVTSPRLRADPMRLQLSIGFGGGGARDDDSAMLAAARLKEEAREQLRLAMAEKAAADEARAQARRQAELAEQELASARRVRQQAQAELGRAHALRDHAVRQVDATLLQVTCYSCRCKFRARAAGAMSSEVASYVSSVVTEGGDAEVVDDHHHHRRQLNADDAPSHARMMDIN >PAN35866 pep chromosome:PHallii_v3.1:6:40668525:40673521:1 gene:PAHAL_6G240800 transcript:PAN35866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRLAVSHRPALPLPTPPGHLRRRHVHLQPSPNSLSLSLPISPHLAPAARRHLPPLLAAAPGSPAASPSPAPKPAAAAGGAKPLPLLLSVAAGLAVRFLVPRPAEVTPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEAFIAPAMPSTTARAGGVFLPIVKSLSLSSGSKPNDPSAKKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIGNPWITWLKVASLPALVGLLVTPYLLYKIFPPEIKDTPDAPALAAQKLKNMGPVTRNEWIMIGTMLLAVSLWIFGETIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGLAGQLTSLGIVSWMSSCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPALMAALALTYNANLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGAFWWKFLGLY >PAN35060 pep chromosome:PHallii_v3.1:6:33799657:33800439:1 gene:PAHAL_6G176900 transcript:PAN35060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEKGSLDLVLVPCGLVVMLSYHLLLLYRILRHPSTTVIGYENHNKAAWVRRMVRAAPDETGLALSVISGNISASTNLASLSIALGSLIGAWISSTTKVFMTELVYGDRSQATATVKYISLLVCFLASFTCFIHSARYYVQASFLITTLNSDVPADYVQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFACSLLMVVILHMLDTNSLPLHQHQFTVRKRHEQHRGFTPATIAASHPGPQNPILSNPILSPVTFFS >PAN35414 pep chromosome:PHallii_v3.1:6:37886926:37905016:1 gene:PAHAL_6G207100 transcript:PAN35414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSIKTLKGSSFEIEVDPSSKVADVKKLIETTQGQNVYPADQQMLIHQGNVLKNETTLEENKVLENNFIVIMLSKKGSSSAASATAKAPTSQTSVDRAIPAASATQPPPAAPAPVVPVSAPAPTATAGPAPTAAAITEVDPYGQAASNLVAGGNLDATIQSILEMGGGAWDRDTVVRALRAAYNNPERAVEYLYSGVPEQEEAPAAAAAAPAPASGQLADPVQAPQSAQPAIPSSGPNANPLDLFPQALPNASSNAAGGGNLDVLRNNTQFRGLLSLVQANPQILQPLLQELGKQNPQIMQLIQENQAEFLRLINEPAEGAEGSLLDQFADAGMPQTIAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDEQMAANYLLDHMNDFDEAQQ >PAN36068 pep chromosome:PHallii_v3.1:6:41699951:41703361:-1 gene:PAHAL_6G255900 transcript:PAN36068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYVYGPGRTHLFVPGPVNIPDPVIRAMNRQNEDYRSPAVPALTKILLEDVKKIFKTTSGTPFIIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGRGADLDVLEAKLRQDTAHTIKAIAIVHNETATGVTNNLATVRKLLDAYRHPALVLVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAALDLLEEEGLDNVIKRHTRLGTATRLAVEAWGLKNCTQKEEWFSNTVTAVVVPSYIDSGEIVKHAWKRYNLSLGLGLNKIAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNSTPLIPSRI >PVH36716 pep chromosome:PHallii_v3.1:6:26418737:26420274:-1 gene:PAHAL_6G150100 transcript:PVH36716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLTNAASYLMEAQSGTNLSPRGSTPDVKESQELKEALRAQMEVQRRLHEQVEVQKHMQIRMEANQKYIDTILDKAFKIVSEQLSGFSISNQDLPELASAGVMFSSTDP >PVH37405 pep chromosome:PHallii_v3.1:6:45235088:45237618:1 gene:PAHAL_6G302900 transcript:PVH37405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSALTSLPARLRAPSAAAAPGARRLLSDGKGRVLSEEERAKESVYIQKMERERQEKLKKKLEQEKADADKTKPADADKKPEGSN >PAN33705 pep chromosome:PHallii_v3.1:6:2828421:2829033:1 gene:PAHAL_6G039300 transcript:PAN33705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSRVLLLAAIVVVVVAMAALSPSPVHGRHHNQPPCGHLPGCTPDLCRKMCQYYYGYANPAVTCGNTPPGTTLDTCCCMNN >PAN36382 pep chromosome:PHallii_v3.1:6:43285569:43289185:-1 gene:PAHAL_6G278100 transcript:PAN36382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRRSLPLIAAAAAFLVVAAMPYPGEAATPLRKDYYDKSCSNLEAIVREEVARKINETVVTIPATLRLVFHDCMVGGCDAAVLIASKNYDAEKDAEDNESLAGDGFDTINRVKTAVERSCPGVVSCADIIQLAARDVVFLSKGPYWSVELGRRDSLVSRASDVKGKLPDPDMHVKELSPLFQRSGFSPDDMVALSGAHTVGFAHCTRFLKRLYNYSSSTPTDPSFNPDYAQQLKQACPPNVSKTIAVNMDPVSPITFDNKYYTNLQYRLGLFTSDQVLYTDGATKEIVDKFAGNQKEFFDAFVAAMIKLGRLGVKTGNDGEIRKVCTAFNH >PAN33620 pep chromosome:PHallii_v3.1:6:2494500:2494990:-1 gene:PAHAL_6G034200 transcript:PAN33620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMKKSRRSSQGLLLLSLLFLAFPANPALISGDESFMDGGGRKSKMAIGAGKVAVIHGDGDDPFNGCTPHDARASSFSCSKDNLWWPSLYECVINCPCMVNCN >PAN35039 pep chromosome:PHallii_v3.1:6:33447710:33449790:1 gene:PAHAL_6G175300 transcript:PAN35039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRLPEARAFLGQLLGRVSHEGRTDAAAAASSPFGHFVERLIGGGAPTSRAASDLAAADGASSARRGAGGGDPASLTVHFLRHSCGLAEADAAKAAERVRLRSTKNAHAVLALLRDTLGMPPASIARLVAAIPAVLASTTIGARFDFYLHELGLSPAEVRRFVLASPNRFLTAGLDGRLRPNQRLLRDLLGSDQNVLAAVKQSIELIYENLEVMLLPKLQALRDHGVTEEVLVKLVITHPRALVHRSTRFDEGLAAMKDFGVSPDSGIFPYAFGVFAKIYQSKWDRRMENYLSLGWTEEQIRRAFTKHPYCMSVSDDKVRQLMRFLSEKLGWDPEYVSSCPTVLSFSYEKRVLPRYKVLDILVSKGVLKKGIRMAHLTISEKKFVERYVSRYQEVIPEVLEAYGARTSCAVK >PAN36596 pep chromosome:PHallii_v3.1:6:44780680:44783100:1 gene:PAHAL_6G295600 transcript:PAN36596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRSRLLGSPASIAVLILSFFQGSVCGITFTFTNRCPDTVWPGLLSGSGTPPLETTGFALAPGQSRSLPAPQGWSGRFWGRSGCDFDASGKGSCATGDCGSGEVECRGAGASPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAAPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGSPEYCCNGAYGNPNTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPSSDKSKHSSRRPSHEQLEDSVWLASLKKSDAGALAVASWSASIVIQSALAIAVVITLVALEQPLFSLL >PAN34680 pep chromosome:PHallii_v3.1:6:24805379:24808036:1 gene:PAHAL_6G144500 transcript:PAN34680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVADLCASMSRMEEKLRRMNEILDRMNGVVPPPSSSTASTPSSPTLARVTTTRASAPSPATAAATTANVVGTNSPSPTAPATSPTSQGAAPSSSTASGPSTSTSAQVATNGASATSTTTAGATTASVISTNARSSTALSPTTTPTSAIAVSRRTAIPFAGAQKMFDEMPCKPAASNLYVTASQNTANMDDYMRGFLHVRDHCIDKASVKRLMHFKRKLAASSAPTKALTTPTTAPTVWAGRTTNRTSAHPCQGFTKYKRWHPKCCANIHTSTSSSECRR >PAN35573 pep chromosome:PHallii_v3.1:6:38786422:38790800:1 gene:PAHAL_6G218100 transcript:PAN35573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVAFLSFKRRRQCFPLLIDSILITCKFFLPCRKGWQNYWSLARETSLARRCQSWSNRWIQISMLTQMTNIEHQSGPFTKMEENDTVQLQRAIFAQYIMMKKLFMELEVEREASATAASAAMSMIRKLQKEKDAERMEAWQYKRIAEEKMNHTDGALEILKEVMELKELEISYLRNQLRAYKHKLLDAGIDDSDIADEKIVNNIPSFESKNMENLCHKIRRNFSLPTLRLNKLYTDMDINKSGGVQSARSRPSDDGWEHISTDGMALEPKKSLSTVVNSTEKQTEEPKPPSSGEALHDSQPLEESSCCSSFSVSHQTDILSEQVREDLESTVNHDRPKESYLGTEMGELAVHPLSGVDPLKIPERSNVTTDSSCTGSEILTEESELSPSVAAKGRGPRGLSRFAATRKIGSMNNVDRHARRSSGSQTPRAGVERTRSRLKRVQSEKMVELGDPKTNKEQIIMLKEVYEQLGMIESHMRPSGSQESPRNDTSLDSVMEAALSFSI >PAN34968 pep chromosome:PHallii_v3.1:6:30763513:30764856:1 gene:PAHAL_6G164500 transcript:PAN34968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPQPRREDQALRQAQQGNMAPAAAAPQQEPIKYGDAFSVKGELAAQPIAPRDAAAMRSAEDSVPGVQVPQESGGGFSAAAFMESAAQYNEAVGAVRPGQASDAAAKHGINVTQDAVPGGRIVTEFVAGQVVGQYAVAEAAPAQQDAAGANKAAGGGGGAGHGDAGGAPGARGGPAAARGN >PVH36164 pep chromosome:PHallii_v3.1:6:1045033:1045974:-1 gene:PAHAL_6G011900 transcript:PVH36164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPEKLDALAGWFAQSLSLDAATRRAAEKSLSPGFALALFGLAASPRHDLQARLAASVQLNGLLRRHWLKPDAEADDHLPASDCDLIKANLLQLLLAAPPLIQAQLSEALAVAAASDFPARWESLLPSIVLSLGNAASAADIAAINSLLTAAASLFSRFRNAFDNNALRLDLKYCLDSFAAPLLEVFLFASGRLQEAATRANPVELRPVFECLRLCSEIFYSLNSIDLPEFFEDHMQQWMTQFRAFLTTSYPPTVEADGAADALRAAVCDNLQLYMEKYEEEFKVYLKEFVEAVWGLLMVRTASPSRGQLA >PAN33920 pep chromosome:PHallii_v3.1:6:4223259:4224816:1 gene:PAHAL_6G056600 transcript:PAN33920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVEGRECGGAEDWPGWGGRGAAAVARCGTGVTRSGGAEGDAGEEAEAAGYEATRSGSLTDTVWASSLSSRPSATDAAPGRGTRSGGVESSSTKGRRRGRPQHPRSFATPHWPPSGPPPCSHYSAPQQRVGPAMPPLLLGAAAAGRAGSTAPAPRAAARAVVRELDLRLPTFGSGWRIRRRALRRDGSRRMRRRPLGRCAPRPAASAPARAARPREEARRRRAGFLGSPPAHGRGERERRGRRKVLRRLQRRGRRERGGGDMLDCRPHMS >PAN35664 pep chromosome:PHallii_v3.1:6:39307978:39310503:-1 gene:PAHAL_6G224700 transcript:PAN35664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGKNGGRLSLYAVLGVASDCSDAELRSAYRKLAMKWHPDKCAGAGSSAGGVDAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAWEILGDILDATSQAGPAEQGKGESLEDLQRQFEELFLRPSPSSFCPPDDAGKSAAKRRAARK >PVH36834 pep chromosome:PHallii_v3.1:6:34186389:34189762:1 gene:PAHAL_6G179400 transcript:PVH36834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAVRFPVFGIVRLLGLAAAAGILFWAVHYRGGMALSTDEESKLPIFNIHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLALQFLSMFLCLIGLWAVWKFHDERKIDHLYTLHSWLGLTCIIFFSLQWATGFWTFWYPGGSRSGRASLLPWHVFFGIFIYVLAIVTSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLLILGTLVILAIVSPGPGKIDTYRGSSE >PAN36028 pep chromosome:PHallii_v3.1:6:41379616:41382390:1 gene:PAHAL_6G251600 transcript:PAN36028 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MPESKRGRAVEHAMEKKPRAAAAAVGDGGGSRGEASGSSEGGGLVERLPEALLVEVLGRLEVDDACSAAASCRALHGAAAAAISAITTIDLSAFAPSNAILSRILEGNGAVRSLTVNCSLLDDSAASVIAKGSLGELSLLKCSFRMSFFMAIGERCRNLRSLKLEVADASGHPGFSTCLAPIYAGCIYLETLWMKSPLLDPHAAYYETGLPFLPSNLKELLLQPVSHSRAKTVFPRTTSLTKHISDSLESLSLVLDTITDELVMLITGNVRNLVELCLEDEPVAQPNLPEDLTNVGLQALGLCHNLRHLSLTRRYCDFRRVNDFGILMLAEGCKQLRAIRLSGFSKVSDAGYAALLHSGKDLKKFEVSNGLCLSDLACLDLDKAAPNITEVRLLNCALLTSDTAISLAPCTNLKVLDLSGCKSIADSGLVSISQLPKLTLLDLAGADITDAGLSALGNGRCLISSMCLRGCRRISSNGIASLLCGTGTINKTLVSLDIGNVPRISCRAVTVIAKNCEQINSLCLRNCLLITDSSLEVLGSMGRDSNKCSLRMLDLAYCSKLSRNFLRLFEPPLFRGLRWLGVGKNVVQRRGCSPTVAELLERKPGLTICGNACDMGCRNKCHPDIRFLQ >PVH37123 pep chromosome:PHallii_v3.1:6:41379616:41382390:1 gene:PAHAL_6G251600 transcript:PVH37123 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MPESKRGRAVEHAMEKKPRAAAAAVGDGGGSRGEASGSSEGGGLVERLPEALLVEVLGRLEVDDACSAAASCRALHGAAAAAISAITTIDLSAFAPSNAILSRILEGNGAVRSLTVNCSLLDDSAASVIAKGSLGELSLLKCSFRMSFFMAIGERCRNLRSLKLEVADASGHPGFSTCLAPIYAGCIYLETLWMKSPLLDPHAAYYETGLPFLPSNLKELLLQPVSHSRAKTVFPRTTSLTKHISDSLESLSLVLDTITDELVMLITGNVRNLVELCLEDEPVAQPNLPEDLTNVGLQALGLCHNLRHLSLTRRYCDFRRVNDFGILMLAEGCKQLRAIRLSGFSKVSDAGYAALLHSGKDLKKFESIADSGLVSISQLPKLTLLDLAGADITDAGLSALGNGRCLISSMCLRGCRRISSNGIASLLCGTGTINKTLVSLDIGNVPRISCRAVTVIAKNCEQINSLCLRNCLLITDSSLEVLGSMGRDSNKCSLRMLDLAYCSKLSRNFLRLFEPPLFRGLRWLGVGKNVVQRRGCSPTVAELLERKPGLTICGNACDMGCRNKCHPDIRFLQ >PVH37429 pep chromosome:PHallii_v3.1:6:45701442:45702982:-1 gene:PAHAL_6G309700 transcript:PVH37429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHGEDASPPGRPSTPPSIRPSLPASLSACTCICYRSNRSLGRIQARRTRKQSMAASTARWQLLAGEVKRQASGFLQDKYKQARLALGDVTPAELLVQEATNNDPCVPDAKTLACIADAAFDMDDCWRIAKVLHHRLGRAADWKQWRPVYKALVVLEFLLTHGPEDLLLEFRPDMPAMHDLRSFHYVDDKGFNWGACMQRRTDSILSLLTDADRLREARRRAIRVSHEVHTGFGFGFGSPTSSSSPSSASSSASSRTSRTWSFGGGSSHYSDSPTMCLSCASDTDYRQDKKCDAYTADDDCWAPSNKHTSKWWPATVDEDDGEDHQHLVDDAWDAHMGVDESGGGSHWSARLLGSLGSRASGFQSLSQPEQRRTTKKLQLQSQDY >PAN34741 pep chromosome:PHallii_v3.1:6:32035798:32039405:1 gene:PAHAL_6G169900 transcript:PAN34741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTENLAHERVPSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIISKLERALGTKLRGKK >PAN34366 pep chromosome:PHallii_v3.1:6:9216151:9217450:1 gene:PAHAL_6G095500 transcript:PAN34366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILHGRKVLQKGIIKRVGPGDMINIWDDNWIPGIRPMKPLVHLENSLVQHVDELFLPGTRTWDEDLVRQSFIPSDANEILKIRLGLRMVEDTLAWSHEKFGMYTVRSAYRLLKEEQIQLEVSKLNEPNSSDGSWIWKRLWKLKIPPKIQIFWWRVVHNFLPTKMELHRRHVEPEATCYTCGAATESLFHIVFECLVARMFWDEVKKLTGIKIPKLHQATWVKDLLTGDHCSEVTRVRWLGPPSGWMKVNTDAAFSLSNSTGSTGAVLRDHSGSVRAAAARFYPCVSDALMAEALAVRDGLILAAEQEATRVVLETDNATVATLSLVFLLLLLFVLMLTEKAMRQRTYVHVGHQRLHR >PAN33307 pep chromosome:PHallii_v3.1:6:967245:971331:-1 gene:PAHAL_6G010600 transcript:PAN33307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MALHAVSPAAVSSLRALSLRLTQPPGCGCLPKQLVYSSTNFARLQAGPVDFVGRPLVLQHSDKRAVLTHATIEEIEAEKSVIEEQAKEKMEKAIETVQTNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQISTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEDGKVAIRNIRRDAIKAYDKLQKEKKLSEDNVKDLSADLQKVTDGYMKKIESIQKQKEEELMKI >PAN34297 pep chromosome:PHallii_v3.1:6:6753792:6755531:1 gene:PAHAL_6G082300 transcript:PAN34297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRALSAAVAVALLAASASADSWLYEKFTTDGHVRADYNAQGQQVTSLILDRQSGGAFYSRQKYLYGVFSIQMKLIRGNSAGTVTSFYLTSGDGPGHDEIDMEFMGNSTGEPVVLNTNVWAAGDGKKEQQFYLWFDPAADFHTYTIIWNEQNIIFKVDDLFIRSFRRHADLPYPGGKPMSVHATLWDGSFWATQQGKVKVDWAGAPFVVSYRGYSADACTPNGEGKPLACPAGTDRWMSRQLDAAEWGTVAWAKQNYMHYNYCEDGWRFPQGFPAECSRN >PVH36498 pep chromosome:PHallii_v3.1:6:7195066:7195889:-1 gene:PAHAL_6G085000 transcript:PVH36498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLASRVRHESSSCSPNWENCTVRRSSVPAGELGKISSLMMGKKDWTPKGARADSRAAQRRKHMGQRKSSSNARQWLQSSWCHGH >PAN36106 pep chromosome:PHallii_v3.1:6:41865747:41869957:1 gene:PAHAL_6G257800 transcript:PAN36106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCANQLQLPTAPLHTLRRQAGRGVIPLRRRPRSTIVTAMASGGPSPVVLGCGGISVDYLATVASFPNPDDKIRSLDLKVQGGGNTGNALTAAARLGLRPRIISKVANDAQGRNILSELQADGIDTSYILIAEDGNSPFTYIIVDQQTKTRTCIHTPGSPPLVPEELTKANLSSALDGADIVYFDVRLHDTALLVAEEASQRKIPILIDAERKREGLDELLNFASYVVCSAKFPQAWTGASSLPVALVSMLSRLPKIKFVIVTLGEKGCLMLERSMTDASEAGEIDAEALLESLQKKVDQSSTIPKCIASKSNLRISADGVGSISGRLLLGTAEVIPPGELIDTTGAGDAFIGAVLYGLCTGMPPERMLPFAAQVAGCGCRGLGARSSLPHRTDPRLAGY >PAN35134 pep chromosome:PHallii_v3.1:6:35146626:35149527:-1 gene:PAHAL_6G183900 transcript:PAN35134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTGSAPVDEWIRQAELWGRQAEAWIRQQPPEQIYVAAAVVAITILVLVVASCLKSSKPNTIVLSGLSGCGKTTLFYQLRDGSSHQGTVTSMEENNDTFVLHSEQERKGKVKPVHIIDVPGHARLKPKLDEVLPKAAGVVFIVDAQDFLSSMQAAAEYLYDILTKATVVKKRVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVQLGVPGEAFNFSQCQNKVTVAEGAGSTGNVSAVEQFIRDYVKA >PAN36313 pep chromosome:PHallii_v3.1:6:42988440:42992473:1 gene:PAHAL_6G273400 transcript:PAN36313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEMPPAGADEMMGDDEMGDFGGDEGPVMKVGEEKELGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPKIPPSATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGRPAAGEEGAVPPNATLLVDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVKVKITGKLQDGTVFTKKGCDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDIAVVPPNSTVIYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNTLFKLGKYARASKRYEKAAKYIEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRVQAYMQLADLELAEADIKKALEIDPDNRDVKLEYKTLKEKIKEYNKKDAKFYSNMFAKMTK >PAN36314 pep chromosome:PHallii_v3.1:6:42988440:42992473:1 gene:PAHAL_6G273400 transcript:PAN36314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEMPPAGADEMMGDDEMGDFGGDEGPVMKVGEEKELGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPKIPPSATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGRPAAGEEGAVPPNATLLVDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVKVKITGKLQDGTVFTKKGCDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDIAVVPPNSTVIYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNTLFKLGKYARASKRYEKAAKYIEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRVQAYMQLADLELAEADIKKALEIDPDNRDVKLEYKTLKEKIKEYNKKDAKFYSNMFAKMTK >PAN33370 pep chromosome:PHallii_v3.1:6:1316994:1321189:-1 gene:PAHAL_6G015700 transcript:PAN33370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRHGLFGSGDPFAGFGGFGQPGSLVSGFFGGATPFDDPFFTNPFASIMQPNFPSPFSSMMQPSFMNPFGSLMQPSLLGPSMLGPHSNLNGVMFGSQTDLNQGMSNASGFIQQAPEPSRPKGPIIKELSSDDEDDARDDKEDEKKKVNFRKHPRESKEPYVEDPDGEVEDNKRPKHGQFGRDFSRASTSHPQPQTFMFQSSTVSYGGPNGACYTSSTTRRTGANGITLEESKEADTTTRKATHRISRGIGSKGRSLTRNLNSDGHVNNLQTLHNLNEDELTAFEESWRNARDNLPGWDPGMNMLGNSTAPLPPSLPGNVHPDFQDANQMLALPAHDQSRGTNSSRNSQVGSSTGRGRRT >PVH36930 pep chromosome:PHallii_v3.1:6:37286236:37286734:-1 gene:PAHAL_6G200800 transcript:PVH36930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALVVGSAKKIKAPALRPVGWRRPRGRWLETCDGAVGEKRRPNSDPKHWREMPMRRRPRWGCGLGRRCVVRWGGERPERERTCPAAARREGGGAARLGRRRGCGSTAERRPVGRLGALGVPRVAGSRWDGATAAARWGGERPEGRGGARGRAAGCSEQ >PVH36879 pep chromosome:PHallii_v3.1:6:35852176:35857137:1 gene:PAHAL_6G188100 transcript:PVH36879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMGRDEGAPPSDAFSYNVVIAGLWRAGKGSDALKMFDEMAERRVAPNRITYNTMIDGHVKGGDLEAGFRLRDQMLHDGPKPNVVTCNILLSGLCRAGRMDETRALLDEMASHRLAPDGFTYSILFGGLTRTGDSWTMLSLFGESLKKGIMIGAYTCSIFLNGLCKEGKVAKAEQVLGMLVHTGLTPTRVIYNTLINGYCQIRDLQGAFSIFEQMKSRYIRPDHITYNALINGLCKAEMVTKAEDLVTEMENSGVDPSVETFNTLIDAYGRAGQLEKCLIVLSDMQEKGIKSNVISFGSVVNAFCKNGKIPEAVAILDDMIHKDVLPNAQVYNSIIDAYIESGAIEQAFILAEKMKNSGVSASIVTYNLLLKGLCKNSQVDEAEQLVYNLTNHGLRPDVVSYNTIISACCNKGDADRALELHHEMHKYGIKPTQRTYHTLLSALGGSGRVHDMESLYRQMLHKNVEPSSSIYRIMVDTYARFGNESKMESLKKEMSEKGIAIDDTERTNCELDRSIASPI >PAN35212 pep chromosome:PHallii_v3.1:6:36069442:36075626:1 gene:PAHAL_6G189800 transcript:PAN35212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFELEDNLEFILQSIQELMEDQGENNAFGDANQNELFASLVNYDHENMLPDVSAADVAAGKDMQGIPWEKMLFGRDQYREMKMKNYRNYQNLSYAREDALQECKQVEKDSPYYDFHYNTRRARPSIVHFQLRNLVWATTKHDVYTMHDQSVTHWSSLDQLSTELINADDCIIPKQRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGEVICKRLDDDGVVFSTRVTDDENAITNSLEIYQDPSGSRRLVAANNDCSIRIFDTEYFDLLKHYVFPWSVNSVSVSPNGKLFAVLGDHEDGLVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCVKFSLDGRFLATAEPVDFVHIYDSYSDYGKSHEIDLFGEIGGLSFSPDTEAFYVGLADQTYGGLIEFTKRHQHHYLNSLW >PAN35735 pep chromosome:PHallii_v3.1:6:39739265:39745373:1 gene:PAHAL_6G230300 transcript:PAN35735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSGHQLPGGAPPLREPEEGEEDDEENAFAGGGGESAGTPSARHAIKSLTAQIKDMALKASGAYRHCKPCAGSSPAAASRRHHHPYGAYADSEVASASDRFHYAYRRAGSSAASTPRLRSGCAMSSGDVTPSVSARTDFLAGDEEGEDGEETAAGGSEEDDAKEWVAQVEPGVLITFLALPQGGNDLKRIRFSREMFTKWRAQRWWTENYEKVMELYNVQKFNSQAAPLPSTPRSDNENSKEEDNPETAPHCEEHLPHTLHRPLKGSGAIGYSSSDSLEHKTNHLGNGYRHDRYLGHQCYDSVGLASTPKLSSISGAKTETSSVDASVRTSSSPEEVDQSGELSASVSNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHDQYL >PVH36738 pep chromosome:PHallii_v3.1:6:27928141:27929736:-1 gene:PAHAL_6G156100 transcript:PVH36738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAVTLIFLSLLSLPIFVTLLSRKSKNRRPPGPWNLPLIGSLLHFLKSQPHVALRDLGRKYGPVMFLRIGQIDTVVISSPAAAQEVLREKDVKFASRPSLVVSEIFCYGNLDIGFSPYGPYWRTLRKLCTVELLSAKIVRQLAPIRDNETLSLIRKIQAAGQDGEPVNLARLLMTCSNTITGKAAFGQACSSELLEQFLSATDASQKFSVGFTVGDLFPQLRFIDVVSGLRRRMWRARGQLDAVFDKIIARCEAQQGDSLLSVLLRIRDEGALEFPIGTTNIKAIIMDMFTGGTETTASSAEWLMSELMRNPDVMAKAQAEVRRVFDNKRPQDHEGLVDELHYTRMVIKESMRLNTVVPLLVPHLCRETCDVGGFQVTEGTRVIINAWAMARSPEYWHDAEKFRPERFKDGMLDFKGSRFEYLPFGTGRRRCPGDTFGLAVLELVVARLLYYVDWSLPAGMRRDELDMDVYVGATVRRKNPLHLVASPYKVAPMQS >PVH36737 pep chromosome:PHallii_v3.1:6:27926192:27929766:-1 gene:PAHAL_6G156100 transcript:PVH36737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAVTLIFLSLLSLPIFVTLLSRKSKNRRPPGPWNLPLIGSLLHFLKSQPHVALRDLGRKYGPVMFLRIGQIDTVVISSPAAAQEVLREKDVKFASRPSLVVSEIFCYGNLDIGFSPYGPYWRTLRKLCTVELLSAKIVRQLAPIRDNETLSLIRKIQAAGQDGEPVNLARLLMTCSNTITGKAAFGQACSSELLEQFLSATDASQKFSVGFTVGDLFPQLRFIDVVSGLRRRMWRARGQLDAVFDKIIARCEAQQGDSLLSVLLRIRDEGALEFPIGTTNIKAIIMDMFTGGTETTASSAEWLMSELMRNPDVMAKAQAEVRRVFDNKRPQDHEGLVDELHYTRMVIKESMRLNTVVPLLVPHLCRETCDVGGFQVTEGTRVIINAWAMARSPEYWHDAEKFRPERFKDGMLDFKGSRFEYLPFGTGRRRCPGDTFGLAVLELVVARLLYYVDWSLPAGMRRDELDMDVYVGATVRRKNPLHLVASPYKVAPMQS >PAN33182 pep chromosome:PHallii_v3.1:6:106329:111435:-1 gene:PAHAL_6G002000 transcript:PAN33182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSGEVVASISSALAVALVLLACVELGDAAAAAGVYRLIQYDLAGAPLGSRAAVLNHHAAALPLPPGADLSRSALVAPLLDLPLSFLREYLAEKKHLGGLLILLPTKLSDKDGAGNNIDKGQVKSVLAELEKLLVHEEVPYPVYFALHDDDFDNLLADIRKIASSGQPASATTGGYKLVVSSAEPRKVSSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGSAPALSVGSDSNGSGVVALLEIARLFSRLYSNSKTRGKYNLLFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSNDLWMHVSKPPENPYIKQIFEDFSDVSKEMGVSVRIKHKKINVSNPRVAWEHEQFSRFRVTALTLSEMSSAPEFLESTGGLHDTRESTDVDSVIRTVRLVSESLARHIYGLKGRNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQNDVLDGMFTFYDATKATLNVYQVASVTFDLLFLLVLGSYLIVLFCFLVITTRGVDDLINIFRRPPSRKLKGA >PAN35317 pep chromosome:PHallii_v3.1:6:37134692:37137011:-1 gene:PAHAL_6G198800 transcript:PAN35317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAESSRAREEAAEREASGEVARQPQRSWVALASIPVVYGASDAGAKALAPGSADLLLELHDRPRASYLVVPERLAPDRRWHGDPNNFPYIIAAAPDHLLFTASQMATQGRSVLDPDYFLCNVSDGTAARLPAVPAEFPTMLFPRSTMGLIADPRCPGHYMIAQLHPADEAAMKRHDALLCYSTATGQWSVKQLASAPDHEPWGAHGVIAHGGLLWWVDIAYGMLFCDPFDDHPRLRLVPLPTGCEMHGLGNGARPTNLMDQRRLIRPSQGMLRYVEIQGLSYDHADVDDPISPAVTMWTLVDPEGPHPWRFECEASFDDIWAHDSYVAAGLPQGKVPKLALVDPNNHDVVYFFQDTALFALDVRARRVLACEECFVDRVFQEPIFQYSRFIDAWELPPTVRGDGPATSDGGSGTKGPEHEEESDATTGSDDFDEVASLLCQLEIKSQPDKEHSSSELRITAALEAEGFDQSDFWLLD >PVH36707 pep chromosome:PHallii_v3.1:6:25844911:25847772:1 gene:PAHAL_6G148200 transcript:PVH36707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEEITDHDATKPVPTQYVSNVAAGHDDRLEASNATAARHDDLEANMVVPAERVDDQMHATEVADKIKQTLIVPKVGMAFESEDNAYEMYNTYAGITGFSIRKSTTKYRPDGTLYQKFLVCSSEGFGNASKGTTRSGYGARVQFTISKEGIWTVQKVVLEHNHYLASSNKKKNLRSQRRVTEADRMLIGHIQEAGMRPSQVFEFMKQFYGGANKVPFGRMDCNNEISRERKKYLESNDAQKLCNYLKNKQLEDPTFFYAVDIDEETGRIVNFF >PAN34239 pep chromosome:PHallii_v3.1:6:6207811:6208849:1 gene:PAHAL_6G076700 transcript:PAN34239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEPQQLCSALEKNRRGEMEKKGVDALLGGSGREGAATGIKQRSCMLCLDWWHWRLDRRREVEERTSLPGPTRTASCTTPLSPRLPTPVLELPRTHSSTTPSVHSRSTRRRGAMPWWGSAQPDPRGGPRGSGFGGRHPWQEGGVGFERMEEGDDRWAACGRVEGKRSSRVVWTIRKYGRLQTCSNESKTEKIEWSGFRAKL >PAN35861 pep chromosome:PHallii_v3.1:6:40650026:40650854:-1 gene:PAHAL_6G240500 transcript:PAN35861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIHLVAVAMAVLFAAATAQAPGASPTPAPRAQPTPPPPPPPRAPAPAPVSPPAQAPATPPPASAPAPAPTQTAPAPSPKAAAPAPKLPLSPPAPAPGSFTQPPSEAPASPTPPSAASSVAPAFALAAAAAAAAAFF >PVH36626 pep chromosome:PHallii_v3.1:6:18606138:18609874:-1 gene:PAHAL_6G125200 transcript:PVH36626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRSPDARRPPLVRRPPPPLRLHHRDARPRQATPYSGLASRLPADDGRLAAPLPPNPWPALALRRREPPPRRRRAGGRAALPQPTGGSGLPGVVIAASHLRYADEGLPRLSPSTRGRPSLSGLARCLSPNLRPALALRPRVVHLLQPAAGSRLPGVVAAAVPAQTPPQTVETAKQIFAVVCTGEPNSALFSFPCLQFPRCPVLPPHQRQGWESGIEHRWPRLRRITFKIFLH >PVH36305 pep chromosome:PHallii_v3.1:6:3151874:3154738:1 gene:PAHAL_6G043200 transcript:PVH36305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g22760 [Source:Projected from Arabidopsis thaliana (AT4G22760) UniProtKB/Swiss-Prot;Acc:P0C8Q5] MRAPPAAAAAAGFNSPWTLAIRAAADQGRPRRAVALYLSSLRASHRPCPFALAAVLKSVPRLPAHDARPAAASLHAHLLRLGLLSHPYPHAALAHLYSRLLPAHAPDLLDGAPALRRHSLLVASNSLLASRLRAGDIPAARALFDTMPARDVVSWNSMVAGLAKAGHLDEAIELFGQMPERNAASWNALLCGFIAQGQLARARELFERMPVRNNVSWITMISGYSKAGDVQAAADLFERMESKDLYAWNAMIACYAQNGCAQEALGIFNRMMKPHVWVLPNEKTFSSVISACSQLGDLTFGLWVESFMGSVGVELDDHLRTALVDLYTKSGRMDRAFDLFRGLRMRDLVSYSAMIVGYGMHGKLSEAVGLFKEMSDAKIDPNAVTFVGLLSAYSHAGLVEEARACFASMSSKYRINPTVEHYTIMVDLLGRNGKLDEAFQLIMQMPMRPHASVWGALLLACRLHNNVELGEIVASKCFELEPEETGYYILLGNIYAQAKKWEKVKRLRNLMVERGLSKVPGSSWVQVA >PAN33815 pep chromosome:PHallii_v3.1:6:3572962:3576075:1 gene:PAHAL_6G048400 transcript:PAN33815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAQRTPSSCSARPRSPGTAVWFLPAAALLLVVLLRWPPMGSYPPVSPRGSGSVPARRAELYSKMARDLDERGAAFLRGGETSQSLTLTDLFDTGDDGAVVPRLKAADPPVRANVLHLDQEFATVISKAVKEVFLPNFDRVIWFQNTSMYHFSMFHASHHLEPIVATDDEIEAEVEATKRVTKTICPLKIVLDRVVLTSTGVLLGLWQVESGTDPAEIRSRLREALPRAPQKQLYDPVLLHTSFARILGHPKLPEEQRAPSFDHVKFFHGLVARVNEKIHGFQATVSELWYVEEYDVLALALNGKMKVQRLHLGCNHQGNENS >PVH37198 pep chromosome:PHallii_v3.1:6:42738790:42740433:1 gene:PAHAL_6G269600 transcript:PVH37198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGISTQTRRGGGTCGGVQRHTACGALAVSACGGTQVAAPAERSRPAALRERSVQRYQAAGRGGPASEDARPESRGGAAHQQAKQAGAGAQPRRGMQAVPAGRQRQAAGRPTAAGSQQVAREHHCSCSELKNKCIDFFAAEKNFKKAVLTDGFLHLGQKFPSIIADLRERVGA >PAN34714 pep chromosome:PHallii_v3.1:6:31753499:31754772:-1 gene:PAHAL_6G168300 transcript:PAN34714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGIPSSWEELQEDLLGQVLRRLPSLGDRVRLRAVCRPWRSGAAARRHPLLPPPLPCLVDHPGAPVRCGPILRQGVDFCYLPVDNLAFLVHHDGGCPLMNPLTGLTLPLPKLGPAERREIDSSTVYGPSLIREGHVKVKILFSPVGPTPGPLVATIITEGYSVAVAPGKDHDAISISMRTERPLDHLSNILTRISDIAFFHADLYALTYDEGLHIMKLDVCGLSNPKFSQAFRPCIADDPKQQNIYFCHDPNQPQVFDPKDMPPGCVVRCYLAESDGRLLMWVKVESLGGQALFLGLQCSKSVLGSQFTGGVREDCIYFMHRAFDQPYRQNDLGHPMDPLADYSVYNMRNGDITPLLPEAVMAELQRKRQFLTWVFPADE >PAN35368 pep chromosome:PHallii_v3.1:6:37512358:37515985:-1 gene:PAHAL_6G203400 transcript:PAN35368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGPAKPAANGEAASAARPVDVVSGGGEEEGEDTLPEVVRGFLDGVWSSPGGGEPLLGRLRAASCEAAPRLRGASRNSARDLLEWTRRGSGLRAIFVISVGSTTLIALTGLLIFMFFLLVATANAVIVSVLMSLAAAGGFLAIFFACVVAVYIGAVSVAVFAISATVISAIVGVMIATGWVGFFWMIWFAARKSMDLTKHSIGMTSSAIQSYSASRHANQKPVD >PVH37399 pep chromosome:PHallii_v3.1:6:45197864:45204547:-1 gene:PAHAL_6G302400 transcript:PVH37399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRQDKEKAVNVQVLLRCRPFSDDELRNNAPQVVTCNDYQREVAVTQTIAGKQFDRVFTFDKVFGPTAKQQDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGPKGQLPADAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEDRQKKTLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMNASLEANQKLISDLQQKYDSELQHSADLSKKLEVTEKCLDHTSNLLSTTKEDLKQAQYNLKEKDFIISEQKKAENALTHQACVLRSDLEKSSRDNASLYSKIARGDKLSATNRSVVNTFQTDLASKLGVLSSTLNASIDQQNKHLKSVEHLCQSCVDSHDKATSELKKKILASKSLYMSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQLLACVEGEAQNIFSDIHNLLTTHRSELTHFTRELRESFLISLDRTKEMSTFIIGLFDKYVEETSKLHSHSNNTHEAQMKSIEDFQIAYEEQSKSEEQKLLADISSLVSKHITRQRELVGVRLNSLGDAARGNKAFLDEHTSAMECVTKDAKRKWEIFAEQAENDCKTGSSSSAAKHCRMETMLQECACTVDSAVQQWKKSHAAVNDLSKKHVTEVDALVRSAVENNEQHEVEIAWSRAVAEEHAAKSSKDITQDIDDLLEESRKSSSRVVSTVEVHFAELQQLQENHSSQAAGINKHADKAFQSSYKDYEPTGETPVRSEPNVPSKGTIESMRAMPMETLANEFRENHPYESSKEPKPSLIPRSPLATLN >PAN36695 pep chromosome:PHallii_v3.1:6:45198044:45204417:-1 gene:PAHAL_6G302400 transcript:PAN36695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRQDKEKAVNVQVLLRCRPFSDDELRNNAPQVVTCNDYQREVAVTQTIAGKQFDRVFTFDKVFGPTAKQQDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGPKGQLPADAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEDRQKKTLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMNASLEANQKLISDLQQKYDSELQHSADLSKKLEVTEKCLDHTSNLLSTTKEDLKQAQYNLKEKDFIISEQKKAENALTHQACVLRSDLEKSSRDNASLYSKIARGDKLSATNRSVVNTFQTDLASKLGVLSSTLNASIDQQNKHLKSVEHLCQSCVDSHDKATSELKKKILASKSLYMSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQLLACVEGEAQNIFSDIHNLLTTHRSELTHFTRELRESFLISLDRTKEMSTFIIGLFDKYVEETSKLHSHSNNTHEAQMKSIEDFQIAYEEQSKSEEQKLLADISSLVSKHITRQRELVGVRLNSLGDAARGNKAFLDEHTSAMECVTKDAKRKWEIFAEQAENDCKTGSSSSAAKHCRMETMLQECACTVDSAVQQWKKSHAAVNDLSKKHVTEVDALVRSAVENNEQHEVEIAWSRAVAEEHAAKSSKDITQDIDDLLEESRKSSSRVVSTVEVHFAELQQLQENHSSQAAGINKHADKAFQSSYKDYEPTGETPVRSEPNVPSKGTIESMRAMPMETLANEFRENHPYESSKEPKPSLIPRSPLATLN >PAN36148 pep chromosome:PHallii_v3.1:6:42455391:42458310:-1 gene:PAHAL_6G267500 transcript:PAN36148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRSGGRGRPGPAALRWLSRLAPPAPAPAEPVVVRVDSSNVARLGAPKPGLRPRQLLSLPPFPAGADPLPGRKVAPRRVTAVSWVKHYFADVPQEAVQAHFNRRMVFSECSEHEVSDESIRTQKHHLKKIKHNDVMEPGMRIHLPVSVAEGEIKRRYETIPTATLHPNKDEIEYLKRLVIHRDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLILMGRTKESFTRLHWLFTSINLARTTSQTWNKACEAYMQKYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAITEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYRYGWFVHQRWKQNPHPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLSSAGEWHDHGAPWAKEKPCLLRFIAPMSPHMKISWNVMSSYLV >PAN36146 pep chromosome:PHallii_v3.1:6:42455557:42457456:-1 gene:PAHAL_6G267500 transcript:PAN36146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGMRIHLPVSVAEGEIKRRYETIPTATLHPNKDEIEYLKRLVIHRDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLILMGRTKESFTRLHWLFTSINLARTTSQTWNKACEAYMQKYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAITEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYRYGWFVHQRWKQNPHPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLSSAGEWHDHGAPWAKEKPCLLRFIAPMSPHMKISWNVMSSYLV >PAN36145 pep chromosome:PHallii_v3.1:6:42455557:42457456:-1 gene:PAHAL_6G267500 transcript:PAN36145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGMRIHLPVSVAEGEIKRRYETIPTATLHPNKDEIEYLKRLVIHRDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLILMGRTKESFTRLHWLFTSINLARTTSQTWNKACEAYMQKYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAITEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYRYGWFVHQRWKQNPHPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLSSAGEWHDHGAPWAKEKPCLLRFIAPMSPHMKISWNVMSSYLV >PAN36147 pep chromosome:PHallii_v3.1:6:42455391:42458310:-1 gene:PAHAL_6G267500 transcript:PAN36147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRSGGRGRPGPAALRWLSRLAPPAPAPAEPVVVRVDSSNVARLGAPKPGLRPRQLLSLPPFPAGADPLPGRKVAPRRVTAVSWVKHYFADVPQEAVQAHFNRRMIKHNDVMEPGMRIHLPVSVAEGEIKRRYETIPTATLHPNKDEIEYLKRLVIHRDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLILMGRTKESFTRLHWLFTSINLARTTSQTWNKACEAYMQKYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAITEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYRYGWFVHQRWKQNPHPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLSSAGEWHDHGAPWAKEKPCLLRFIAPMSPHMKISWNVMSSYLV >PVH36712 pep chromosome:PHallii_v3.1:6:26180539:26181162:-1 gene:PAHAL_6G149300 transcript:PVH36712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASRSWACCSPACAAARLPRRPRVCSRTTALSQRLRTPAAAHSPLLCAAPSRAAAVPAPARSHAGLSAPYAAPSWPPHAPATRPRTPGRRPASATPCLSARPSRRSLHQRCSRAHTPPAAGLLRLPPPAARAWAARPRPPAPGAARLRQGPAQAPLDPPCSLRPHAWSPSAWTCAAGTEQKERGKGAERERIGRWIRVEQRKMCCR >PAN35148 pep chromosome:PHallii_v3.1:6:35415407:35424767:1 gene:PAHAL_6G185300 transcript:PAN35148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPAQFRGQARLPRFAAPLRYDLRIRPDLAACNFSGAAAVAVAVSAPTRFLVLNAAELDVDRASIRFQDLAPTDVTQFEEDEILVIGFDRELPVGEGVLTMDFTGTLNDQMRGFYRSKYVCNGESRNMAVTQFEAADARRCFPCWDDPAFKAKFKLTLEVPSDLVALSNMPVVKETVSGPIKTVYYEESPLMSTYLVAIVVGLFDYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVDSLFPEWNNWTQFLDETTSGLRLDALAESHPIEVEINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEKESGEPVKDLMTTWTKQQGYPVIYAKLNGHDLELEQAQFLSDGSSGTGMWIVPITSCCGSYDAQKKFLLKGKTDKIHIKEFTASQSSDGDKNIWIKLNIDQTGFYRVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVARKQTLTSLLRLLNAYHDESDYTVLSHVTSVCLSISKISVDSTPDLNKDIKQLLINLLQPAAIKLGWDPKDGESHLDVMLRSLLLIALVRLGHNETINEGVRRFHIFLEDRKTYLLPPDTRKAAYLAVMRTVSTSNRSGYDALLKIYREAAEPQEKSRVLGSLSSSPDKDIVLEALNFMFTDEVRNQDSYYILGGISLEGREVAWTWLKTNWDHVLKTWKSSSLISDFINYIVSPFTSEEKAAEVSEFFACRIKPSFQRALNQSLERVRISARWIESIRSEPSLGQAVQELLQGTA >PAN35149 pep chromosome:PHallii_v3.1:6:35416326:35424767:1 gene:PAHAL_6G185300 transcript:PAN35149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTQFEAADARRCFPCWDDPAFKAKFKLTLEVPSDLVALSNMPVVKETVSGPIKTVYYEESPLMSTYLVAIVVGLFDYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVDSLFPEWNNWTQFLDETTSGLRLDALAESHPIEVEINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEKESGEPVKDLMTTWTKQQGYPVIYAKLNGHDLELEQAQFLSDGSSGTGMWIVPITSCCGSYDAQKKFLLKGKTDKIHIKEFTASQSSDGDKNIWIKLNIDQTGFYRVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVARKQTLTSLLRLLNAYHDESDYTVLSHVTSVCLSISKISVDSTPDLNKDIKQLLINLLQPAAIKLGWDPKDGESHLDVMLRSLLLIALVRLGHNETINEGVRRFHIFLEDRKTYLLPPDTRKAAYLAVMRTVSTSNRSGYDALLKIYREAAEPQEKSRVLGSLSSSPDKDIVLEALNFMFTDEVRNQDSYYILGGISLEGREVAWTWLKTNWDHVLKTWKSSSLISDFINYIVSPFTSEEKAAEVSEFFACRIKPSFQRALNQSLERVRISARWIESIRSEPSLGQAVQELLQGTA >PVH36280 pep chromosome:PHallii_v3.1:6:2759743:2760789:-1 gene:PAHAL_6G037900 transcript:PVH36280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLSLSLSLSPCRRRSRCSPPPCASCRARRTASSPHRRGGSRCSASTATRGPRRSPPASASARGSSSSAAAAGTSLLPQRHGPRQGRRLRLHLPLPPARRRLPEGARAGAVQVQPARPLRQRRVRVPHCDERLHLRRAAATTVITAKATATTRRRTASASASPTSSPRSWTGAARPCSGTTASPSAATSASRSSASWPSRPRRVTTPGDRTTAAAARAADDMEYIRRSLAKNRRAWSIRPPENL >PAN36362 pep chromosome:PHallii_v3.1:6:43190651:43196621:1 gene:PAHAL_6G276900 transcript:PAN36362 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MGSLKMTEKAPGAALPESSCAYLLQELKMIWDEVGQDQSERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMTGTLKEQLNSITPALQEMQMRKEARVKQFMEVQTEIQRIASEIAGRLGTEAVTVNEEDLSLKKLEEFQSELQIMKREKSDRLCKVEEYKVLIHNFAKVMGMDPSKILANVHPRLLDGPNEQQTKNISDEILKKLNMTVQQLKEEKNNRREKLQNLVKALTNLWDTLDTTMEERQPYGQMKILAVTSVNGMLGPGSLTLEIIQQVESEVQRLNQLKASKMKELFLKKRAEVEEICKKSHMDMPYQTEMDKIMNLIMSGDVVHDDLLKTMDEYIYKAKEEATSRKDIMDKVEKWMTSCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVEQLMAKTQFWEQERNKIFYYDELPLLAMLKDYMLTLKEKEEEKYRQRENKKIQTLLVKRHENTLMLSPNTSFSRPSSRGFNSPGSTSIWSSQVSAKVQLLPDSENSPAEKNTHATRIRNRAMQNALGNNRSCSISHEDKTSVSTVKHGLSPI >PAN35018 pep chromosome:PHallii_v3.1:6:25542423:25546983:-1 gene:PAHAL_6G146900 transcript:PAN35018 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os08g0342300)] MGAARTVAPLAVLLLQLLLHLMGAGAAEADDEAAALLAFKRASVANDPHGALAGWREANSTSASGSPCAWAGVSCADGRVRELNLSGMSLDGRLRLDALLALPALQSLDLRRNAFHGNLAAHHTAQPPRTPSLCALLQVDLSSNALNGTLPRAFLKSCAGMQSLNLSRNNLTGGGFPFPPSLSTLDMSRNMLSDAGLLNYSLTGCHGIQYLNLSSNQFTGGLPEFAQCSQVSILDLSGNLMSGTLPGRLLTTASANLTHLSIAGNNISGDISRYDFGGCTNLTVLDWSYNRLSGMGLPLTLDNCHRLETLDMSGNKLLSGTIPVFLGGFQQLKKLALAGNNFTGEIPDELSLLCGTLVELDLSSNQLTGGLPASFSKCRSLKLLDLGNNQLSGDFVVTVISKISSLRVLRLPFNNITGTNPLPTLAAECPLLEVIDLGSNLLDGEIMPNLCSSLPSLRKLILPNNYLNGTVPPSLSNCSNLESIDLSFNLLHGQIPPEVLFLPKLIDLVMWANNLSGEIPDKLCSNSTTLETLVISYNSFTGGIPPSITRCVNLIWVSLAANNLTGSVPSGFGNLQKLAILQLHKNSLSGPVPAEFGSCSNLIWLDLNSNNFSGTIPTQLAAQAGLITGGIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPERLAQFPTVHSCGSTRIYTGMTVYMFNKNGSMIFLDLSYNSLTGTIPASLGNMTYLNVLNLGHNDLTGAIPDAFTGLKLIGALDLSHNHLTGVIPAGFGSLNFLDDFDVSNNNLTGEIPTSGQIITFPASRFGNNSGLCGIPLNPCTHNASTGDSSQHSPNGQRKFLEEFVLLAVALLVLIMATLVVILYKLRRPCESKTEEIQTGYSDSLPSSTSISWKISGSREPLSINLAVFENPLRKLTYSHLHEATNGFSSEACIGTGGFGEVYKAKLKDGSVVAVKKLMYFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDVMLHEKAKIDVNLDWITRKKIAVGSARGLAFLHHSCVPHIIHRDMKSSNVLLDDNLDAYVSDFGMARLVNALDSHLTVSKLLGTPGYVAPEYFQSIICTTKGDVYSYGVVLLELLSGKKPIDPTEFGDSNLVDWAKQMVKEDRCNEIFDPILTDTKSCELELYQYLKIACQCLDDHPNRRPTMIQVMAMFKELQIDSDNNFLDGFSIDSANIEESSEKSV >PAN35723 pep chromosome:PHallii_v3.1:6:39653049:39653995:-1 gene:PAHAL_6G229500 transcript:PAN35723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAMLLLLAIVPLLSRLLPFPSLALNQDFCVADLPRGDTPGGYPCKPQSAITADDFYYRGLAATGPTINPFNIGLSSAFVTRFPGVNGLGVSAARVDFAPGGVVPLHSHPGGSELLFVVEGTMAAGFITSLTNKVFAKTLHKGDLMVFPQGLLHFQYNLGNDTAVALSSYSSANPGLMILDFALFANDLPSDVVSKVTVVDELEVRKLKALFGGSG >PAN35394 pep chromosome:PHallii_v3.1:6:37749058:37750559:-1 gene:PAHAL_6G205600 transcript:PAN35394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSFLLFVLLAVCPIAITNSLQLEIISNCFGLLCTDVCVAVVQARTNYLFYSKILEAPYEEEVSLLLEIFGFMVRGYAVILMLQMPDRHLMDRIAWCLNML >PVH36116 pep chromosome:PHallii_v3.1:6:100274:100871:-1 gene:PAHAL_6G001600 transcript:PVH36116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADLYLHKQGSCLAAITLFIAWYLHTAAGVVACSPAPSCWRGVELLLLFLPDLCNSIHHHAAASFAYRREKAAVCIRLGGTETTFRTPAERASIHFTLHTELDLQLLYGVHKPYHNGMKKRHAGIFSDRVYFQKLHLDCSSYRFKGKRINQ >PVH37203 pep chromosome:PHallii_v3.1:6:42784613:42785324:1 gene:PAHAL_6G270100 transcript:PVH37203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVEHQQQLDYGGGGGGSNNPRRCAACKYLRRRCAPDCVLAPYFRASQPRRYADVHAVFGTSNVTKVLQGLPVQERGRAADTMATEARWRVQDPLLHGVIDRLQQEIRAVKHKLARTRAQLAVHARGAPPPPPGTQQPMLSLPPPPPQPLAAAAAAAVHAGAHGVAVHDGDDEAPLMDPDEFLDLDGRF >PVH37099 pep chromosome:PHallii_v3.1:6:41028174:41029378:1 gene:PAHAL_6G245600 transcript:PVH37099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAAAEPGRRPAQQQQFVGVDLRRPKGYAAPVASQAVAAAAQPAEGDPCPRCESRDTKFCYYNNYNTSQPRHFCKGCRRYWTKGGTLRNVPIGGGTRKKPSSLPPSSYGAATNSDKPKKPSKKKRRVVAPPPEPAPAAASAATDAAPAADSAKTAATATTTTVATPATTDPASEITTELVVPAAEEEDSLAHLLQPDVALGLGISDFPAGKGLDVEPDSFEWPAAFDLGACWSSAGFADPDPAGLFLNLP >PVH37156 pep chromosome:PHallii_v3.1:6:41980722:41981871:-1 gene:PAHAL_6G259500 transcript:PVH37156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVKYFPQGFTEAEKGYVSIFFELLSKANVPAICQAFVTGKDGHPRYCADIPGVRWFPGAGGMSGCSRFVSQPDLEEHCLTEGHVTFVCAVMVTRGSSIPVPAPDIGKHLGALLETSDGADVSFTIGDETFRAHRAVLAARSAVFRAELLGSMAEATMPNITLHDIAPATFRVMLRFVYTDALPADSELGGSPSEMMKDLLAAADRYALDRLKILCAQKLWEAVSADTVASTLAFAETYSCPELKNRCIGFFATWGNFKKAVLTKGFVQLVQQFPSVVDELRERIGS >PAN33914 pep chromosome:PHallii_v3.1:6:4176132:4176575:1 gene:PAHAL_6G056100 transcript:PAN33914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSARWWLPPPPHRSSAASARTGRRSSADWAGLLRSRWWARRSSAQGLLASGGGGACAFLSSGSGPSNAAWNDAAPGPAWTVSERSSDDGVRVSLVPASKHGSTCASSRERGREGDGERQRWCGGLTRSPTQFLIWACVTSV >PVH36683 pep chromosome:PHallii_v3.1:6:24164485:24165451:-1 gene:PAHAL_6G142000 transcript:PVH36683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTTASAPWPYAMRQARGEAWPAPSCAPGCSGPASSFLPREAGREGGREREIAKGKIKEERKYAGVALIVAGVFLYHVWSKLILAGIGQWYNRSRVQKHG >PAN35281 pep chromosome:PHallii_v3.1:6:36859420:36861189:-1 gene:PAHAL_6G196900 transcript:PAN35281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATVDVRGVEPGGPGWAAARDAVTASMVAHGFVVVAHGALGPDLRRALFARALPEIFALPLEAKKQTVSAKGQFRGYIGQLPGMNWESLRVDEPTNAASVRGFADLLWPDGNPEFCETIVSFAKNMLKLEEMVETLVLEGLGVRGEGVRAHFDMLGHGIRLSHYGAPPDTEAAISMQAHYDDSMVTTIVQHEVEGLEVHVGDGRWAAVPAEPGTFAFVAGEQLRVATNGRVPACLHRVRTPSNRERFTVLFGRRQKDGVAVRALDDLVDAEHPLLYNPLRHEEYSKWRYSEEGLKFEDPLKVFCGVEKVGAMV >PAN35580 pep chromosome:PHallii_v3.1:6:38862733:38865300:1 gene:PAHAL_6G218800 transcript:PAN35580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVVGIVVSNKMQKSVVVAVDRLFHHKVYNRYVKRTSKFMAHDEADACNIGDRVRLDPSRPLSRHKHWVVAEILRRAKMYVPPSATASSENDTKAQQSGAATK >PVH36486 pep chromosome:PHallii_v3.1:6:6909006:6910180:1 gene:PAHAL_6G083400 transcript:PVH36486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIELIQTMPKSLLPAPPDPPSLPAPWRPAHLARHGNSRRPILLAGGAASFPLLPPRVQRPAHLASTGATN >PVH36744 pep chromosome:PHallii_v3.1:6:28585971:28589180:-1 gene:PAHAL_6G157400 transcript:PVH36744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQSENEDDPDDELDNIAGEGSRRHVSAREYYCFKLQIRDGQFNVFFHAGRLFQQFVVDMYVKVESMHLDWYAKPTHQAIICVDLYQADASKAGLRLFLSKDFPGNDRDVQARFTDAMTLVTWYGKPDFFVTMTCNPYWNEIVAELLPGQTPQDRPDVVARVYHAKSLGLHDFLIKKEFQKRGLPHEHFPLVMEPGSKLKTPDDYDKYILVELPDKKKYPELHKFVCKHMMHAPCRILNEECPCMVDGECHFHYPRQFSETTQQGKDSYPIYRRRDDGQKMKPKENGKKVINEIKHYRDSRMITAIEAVYRLYAFKLYTMSPPVLQMQVHLESMHMVAYKSTNNLNNVVQSEKSQRSMLIEYFLLNRTNPAAYKYLYREFPEQFTWNKSKKVWKPRKAKRIQIGRLVYANPAEDERYYLRIMLNHVRGATSYENLRTWHGVTYETFRQACEVMGLVESDKSLDDCLTESATIPRLWDNHLDLMSEDFRCTCDNSSRIEQMVLRDISYHLTAMGKDIRHYGLPELHETGAELTEELNLGFDEDHLKIVDMLNAEQMADGPGGTSKTYLYKALIAKMQSMDLIAVATTTSGIAASIMPGGRTAHSRSKIPMKLSDNTMCSFTKQSGTTELHRRASMIIWDEVAMTKRQAIEALDRSLRDIIGCEKPFEGKIMLLLSQVLPVVPRGMRAKITDATLLRSYIWESNPPEDDSIDILIDRVFSDLVANCTSVTYMHERAILSTRNEHVDAVNALMIDRFLGKHKVFYSFDSVDDDSRNNYPLDFSIRLLLMGCPLMS >PAN33767 pep chromosome:PHallii_v3.1:6:3154739:3159266:1 gene:PAHAL_6G043300 transcript:PAN33767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRALLRRRRPPLPAHVPAAGFFTSSGCDALAPPPPPLPGPPPAAEGPRDEPGQEGSLARRVERAASVCAAMRGWMADGRAVHRGHVFHAVNRLRRHRRHRTALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRVPQEYQKELLYNNLVIAALDLGLIKHSYAYMRKMRELSLPISPYVYNRLIILHSSPGRRKTISKILSQMKADRVTPHTSTYNILLKIQTNEHNIDGVARVFNDMKRAKIEPNEITYGILAIAHAVARLYTVCQTYVEAIENSMTGTNWSTLEILLILYGYLGKEKELKRTWEIMQGLPHIRSKSFILAIEAFGKVGSIEQAEKIWVNINSTKKLSLTEQFNSILSVYCRHGIVDKASAVFKQMRASGCQPNAITYRHLALGCLKSGLVKEALNTMDMGKKEVVTKKVRSSTPWLETTHMLLENFAEIGDLENAKRVYGELSESKYCRNSFVYNTLLKAYVKAKVYEPDLLRTMILRGAMPDAETYSLLRLIEQFKI >PVH36644 pep chromosome:PHallii_v3.1:6:20102476:20106950:1 gene:PAHAL_6G129400 transcript:PVH36644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYSREPSNLTKSAKAMGRDLRVHFKNTRETAFAIRKLQLAKAKRYIEDVIVHKQAIPSGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKQAETQIAPRKA >PVH36540 pep chromosome:PHallii_v3.1:6:9555380:9555888:1 gene:PAHAL_6G097100 transcript:PVH36540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIYCVLCACMHIYCTLCTLLYPPLTFFSVSDGMFKGIYHGKKCHAADIPAVLARAWAAGVDRIIVTGGSLKESRKALEIA >PAN33486 pep chromosome:PHallii_v3.1:6:1817039:1819271:1 gene:PAHAL_6G024700 transcript:PAN33486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g53600, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53600) UniProtKB/Swiss-Prot;Acc:Q9C8L6] MAALRLPRAPATAALAGTGVPYRLPTPKQPPPARGPNTAHLNALLTAYGRRGRIWDAQQLFDRMPRRDVISWTALLTAYADAGDPGSARLVFDDMPRRNAVSWNALLSLYLRAGAGTPAAAAAAAAHALFAKMPAKNAVSYGAMITGLARAGMLREAQAVYGEMPPRWRDPVGSNAMMAGYLRAGELDMALRVFDGMALRNVFSWSSMVDGLCKHGTVSEARRLFEAMPERNVVSWTSMIRGYVKRGMCRDGLLLFLDMRNEGVQVNETALSVVLDACSEDSLVREGIQIHGLIIAMGFEMDVFLGDSIIIMYSRFGWMVDARRVFASMEQKDIVSWNSLITGYVQNNMIEDAHVLFKLMPERDAVSWTSIIVGFANRGWMREAVDLFEEMPEKDEVAWSAVISSFIANGDYVNAVQWFRRMSKEGCKPNTVAFSCLLSALANLAMVNQGLQAHAYAVNMGWIFDSAVYTSLVTMYAKCGRLAEAHRVFSSISNPSLIATNSMITAFAQHGLAEDALELFNRMQYDGQRPNHVTFLGILTACARAGLVQQGYYYFESMRSVYGIEPNPDHYTCMVDLLGRAGFLAEALEMINSMPQKDYADAWAALLSSSSLHCNLSFAKLAAQRLLEMDPYNATAYTVLSNMFSSAGMKDDEEMLKVAQLSNTATKSPGYSLIIQEKTNTE >PVH36156 pep chromosome:PHallii_v3.1:6:869134:869610:1 gene:PAHAL_6G009200 transcript:PVH36156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPALFPHLPNPPGARWPSAPLPPPSRRPRRRRGRAAPLQQSQRAWPTDYSPPPTEPWPARDSAGPAQVDGRSATAAVAFPGRIDTIGACSPPSGTAPSCHCSWMKGYRPSPPSIRTSTGVRYPGLTPALPLAASGATGCPFPALFVRERRGEEEK >PVH36608 pep chromosome:PHallii_v3.1:6:17065134:17071497:-1 gene:PAHAL_6G120500 transcript:PVH36608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKYFTKFVRSVDWNATKEAKQAVELIEEWETIDVADALELLPPEFETEEIRAYAVRILERADDEELQYYLLQLVQALRFERSDMSRLELFLIERALSNIEIASFLCWYVAVERHDPTFGRQYNNIYKMLENSMIKFVDREDGDDDEAQLCQSLSLQDKLVVELHSVPKNVRDVCGSGQKKIEKLRELLPGIFTEVTKIKTFFVC >PVH37009 pep chromosome:PHallii_v3.1:6:38811196:38817297:-1 gene:PAHAL_6G218400 transcript:PVH37009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRLPPLPAQLSLFFLPLPARAPLAPHPHHSRSPGPALPMASSGGVLLSLLAVVLLSSAPPLGSASEPLNPEVQALIAIRQGLLDPHGVLGNWDQDSVDPCSWAMITCSAQNLVIGLGAPSQGLSGTLSGRIANLTHLEQVLLQNNNISGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRASTLRRLNNNSLSGPFPASLAKIPQLSFLDLSFNNLTGPVPVFPTRTFNIVGNPMICGSSSNAAGAGECAAAQAPAAVPFPLESTPGGGSSSRAAAAGRSKAGARLPIGVGTSLGASSLVLFAVSCFLWRRKRRHSGGPSSVLGILERGGGRDLEDGGGVAVARLGNVRQFGLRELQAATDGFAAKHILGKGGFGNVYRGRLPDGTTVAVKRLNDPASASGEAQFRTEVEMISLAVHRHLLRLVGFCAAGGERLLVYPYMPNGSVASRLRGKPALDWAARKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDERLEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALELGKGSGAGHNHKGGVMLDWVRKVHQEKMLDLLVDQDLGPHYDRIEVAEMVHVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAPPCHDALGYDNRNDSNGSVFFNDFHDNDSSLSSDEARSIDMVEEMELSGPR >PVH36766 pep chromosome:PHallii_v3.1:6:30680202:30680978:-1 gene:PAHAL_6G163800 transcript:PVH36766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSDEMDGQREREKIQLGLVCATDLAEMWCSIHTKLKEEDDSVICRKIKWTTNNCVNRGPPPPPREPLSSGRFGIIDSRAR >PVH36780 pep chromosome:PHallii_v3.1:6:31839912:31840646:1 gene:PAHAL_6G168400 transcript:PVH36780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPECAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFRFPDTYRKAAPLRRLRVLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQTAQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIMKRSIRTEEESP >PAN35938 pep chromosome:PHallii_v3.1:6:41033984:41038196:-1 gene:PAHAL_6G245700 transcript:PAN35938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDGDPAFHRSEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHASHQAPPPPPPPTQQAPPPQQNQHQQQQLPPPPQQQPQQHPPAHTLPPPPPQAPPPQQQQVRIPGVPVPAPGIPPAQPNLPPPPQPPAAPAPPLPQHHQIQQGDGINRPGGNFGGGPIVVGNGGPASGGDGPGGTTLFVGELHWWTTDADLESELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPGAAAACKEGMNGHLFNGRPCVVAFATPNTVRRMGEAQVKNQQAMAAQTSAMQPKGGRGGGGSAGPQVGGNYGGGRGGAAGPGAGGGAGGGGGNWGRGGGGMGNRGPVGNMRNRMGPAGGGRGIMGNGGMVAPPPPMMPPGGMLGQGFDPTGYGAMGRMGGGFGGFPGGPGAMPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGMWPDPNMGAWGGEEQSSYGDDAASDQQHGEGGSHGKERPPEREWSGAPERRRERDRDAPPAQEWPERRHRDERDMDRERNRDYDRERERDRDRERDRDRDRERDRDRERERDRHRDDRDRYGDYHRHRDRDSERNEDWDRGRSSGIRSRSREADHSKRRRMTPQ >PAN33524 pep chromosome:PHallii_v3.1:6:1960397:1961768:1 gene:PAHAL_6G027100 transcript:PAN33524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRALLVLTVAIAAVLGTTHGASYTVGAPAGSWDFQTNYTRWASGISFRAGDQLVFKYSPAAHNVVEVSKADHDSCTASRPLATFATGDDTVPLPAGGVTRYFICGVPGHCAGGMKLAVRVEAASAPAPPVAMAPRAARPPTASPSPAPMAMAPRAARPPTAAAPATPAPAAMAPRAALPPMVTPGAEAPAAGGMPAVPPPSSAAAPAGVGSLVGLGLGAAVAALMAFH >PVH36705 pep chromosome:PHallii_v3.1:6:25716678:25717833:1 gene:PAHAL_6G147500 transcript:PVH36705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRAAPRVPSRSSARAPRSPAPLRAALRADQPARRARWRRSLRLLAQLRPAPRATAERRGGAREEGGGAPRGKGGGEGRRDEGGAALEGRRDGVRERWNGRWRGGGGGPAGEVRRDAGERGVRDGEEKARGREE >PAN35210 pep chromosome:PHallii_v3.1:6:36051414:36055979:1 gene:PAHAL_6G189600 transcript:PAN35210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAARLLPLFLPWRRPPPARLLLRRLLNPSSPRTLAARPDTLVLPGDERSPTPARLPPHQTCQDYGGGGGSGARAPETIAAIVTSLGGGPAAVGIVRLSGPDAVAVAGRVFRPARGAPAQAPWQPRSHFVEYGLALDADGSVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALAGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDEMPPLDPVVLISKINCMRQEVQDALDTSNYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPVTLLDTAGIRETEDVVEKIGVKRSEAAALGADLIIMTISAVDGWTDDDTKLIDHVLLNKKSSGSSVPMVLVINKVDCAPFVSGEQFEKFSGLFTKQVQTCAVTGKGISELEGAVIEVRGIEHVPSGGRRWTVNQRQFEQLLRTKEAFTRLESSISEQLPMDFWTIDLREAALALTTISGEDISEEVLSSIFSKFCIGK >PVH36203 pep chromosome:PHallii_v3.1:6:1598807:1599919:1 gene:PAHAL_6G020700 transcript:PVH36203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLATNSNNGKNLQAERSAGEKAIDDWLPITSSRNAKWWYSAFHDVTAMVGAGVLSLPYAMSELGWGPGTAVLILSWIITLYTMWQMVEMHEMVPGKWFNRYHELGQHAFGQKLGLWIVVPQQLIVEVGGDIVFMVTGGKSLKKFHDVICDNKCKDIKLTC >PAN33938 pep chromosome:PHallii_v3.1:6:4329024:4330091:1 gene:PAHAL_6G057900 transcript:PAN33938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLFLHCVLLALIACGAVASDPSPLQDFCVADKDSPVRVNGLPCKDMKDVKVDDFFLAANLDKPMDTTLNKVMSNVTLINAMKLPGLNTLGISMARIDYAPRGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALNSQNPGAITIANAVFGSHPPIADDVLAKAFQVDKKVVDWLQAQFWENNHN >PAN33770 pep chromosome:PHallii_v3.1:6:3164012:3169639:1 gene:PAHAL_6G043500 transcript:PAN33770 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MSSGSASRHGRLLISPSLSTPTFSTRSPSPSPAPHHHHERRNSTSSPKPLVPFPSSSSRPRSSIGGAAASASSASGAAFAHNARLAAALVPAAAFLLDLGGLPVFAVLAIGLAAAYLLDALQLRQGAFFTVWAALLAADVAFFFSASLSSAAAASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPALFTWALVSAVGMANASYYFAAFCMVFYWLFSIPRPSSFNNRKLDASVQDSDGILGPLESCVHSLYLLFVPVLFHAASHHATLFTSWGSVCELLLLFFIPFLFQLYASTRGALWWITRDARTMDQIRIANGLVALVVVVLCLEVRVVFYSFGRYIHAPPPLNYLLVTVTMLGGALGLAAHAAGKVGDAASSAAFTVLAVLVSGAGAVVIGFPVMFLPLPMISGYYVARFFTKKSLSSYFTFVAIASLMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMAVPGLALLPTKLRFLLELGLIGHTLLLCYIENRLFNYTSMYYFGFEEDIIYPSYMVLITTFLGLALVRRLYVDQRIGPKAAWILICLYSSKLSMLFMTSRSVIWVSAVLLLAVTPPLLLYRDNSKGTARMKVWQAYFHASVIAFSAWLCRETIFEALQWWNGRPPPDGLLLGSYILLTGVACIPIVILHFPHVQSAKRFLVLVVATGLLFVIMQPPIKLSWVYHSQLISAAHLTDDDTSIYGFVASKPTWPSWLLITTVVLTLAAVTSIIPVKYVVELRALYGVAVGVTLGIYICVQYFFQAVVLYPLLVATIVSAAVFVVFTHLPSESSTRVLPWVFSFLVALFPVTYLLEGQLRAKNFADEDEAEKFTNMLAVEGARMSLLGLYAAIFMIIALEIKFELALLLRDKAADRGVTHGPSSRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNVSTVLCFIICLVLNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTISISGYLLLTALYRIWEETWPGNGGWALDIGGPGWLFAVKNVALLVLTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTIRVLGLLGAMYSLAQYLISRRIRIAGMKYI >PVH36140 pep chromosome:PHallii_v3.1:6:402157:402795:-1 gene:PAHAL_6G005900 transcript:PVH36140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFNTVRTPLMSVLRYGHTFELTQTVDIPGSLPPATTTMPLPRVLILLRYWCDVILKMHTAGYSLRGDFCADNFVILNFDGSFPEVRLTGSIYLSTYDKDSGSMDYYFLASSVDRFFGVRGEVPHHIGEWLHFIARGVRGEEYAVRYHPALMEPRQAFRSTMSLRRILEHVRRTDIGLYRRIMDWCNHLHGWNFNKCVNMLMDDARCRSLS >PVH36812 pep chromosome:PHallii_v3.1:6:32936533:32942941:-1 gene:PAHAL_6G173400 transcript:PVH36812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTPLTSATSINMMLNSQNPWTETLSGSKDKAPERIKNCKCKNSKCLKLYCDCFATGRYCKDCNCTNCYNNGSHENARARQDAINAVLERRTAAFMPKAGSRSCAMQSSVGKEADDPHLGKHTRGCNCRKSECLKKYCGCFQSNVLCSDNCTCMDCKNSESIEDGKSIRCIARKHAVFVQNKQNYALSGILGPSSVLPHTTKNDSVISMSASGILHPISNNGSSQTLMSLPTSVDDDKGLVSERNTNGLSELGAHEVTYRSVLADIVQVEDVNELCKLLILASRQAAKAFLDIVFSILLNYCLRCDL >PVH37066 pep chromosome:PHallii_v3.1:6:40178438:40179544:1 gene:PAHAL_6G234500 transcript:PVH37066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYAENYRLKAAIQMLVITLEKKDHTRWRQFSIPSGQVLGKCKWYAIYQLQFKISILQEYQMVPHLSKNGQMSSLSGCFTCRAI >PAN34512 pep chromosome:PHallii_v3.1:6:27639415:27640065:-1 gene:PAHAL_6G155400 transcript:PAN34512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTAAEVTVSAAAATAVATKCHMFKIEGFKRIKTMYGNGRSIDSCGFEAAGRTWRIQFFPDGNRAENAGFVSLVLKLDDNDEDAAAAAGNDDVLVEFRFSLVCHPDKLASRAYTKTCTTTFNKKARKALGCCQFIRRDELERSDYLRDDCLAVRCDIAVLNNPVDVKEQAAQAHDLERLGVVCDCKDDACKSHHVRVALSFREALVKLFLGCFHL >PAN34342 pep chromosome:PHallii_v3.1:6:7476753:7485347:1 gene:PAHAL_6G086400 transcript:PAN34342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGNEFRFFLSCDISLPLAFRVLHAEHILLTQQKAPELFVECKLYIDGILFGLPVKTRLEPSGPMYCWNELITLSTKYRDLTSLSQLAFTVWDVSSGEEPEIVGGATIFLFNSKRQLKTGRQKLRLWPKKEADGGVPTTTPGKVPKNERGEIERLERLVNKYERGQIHHVDWLDRLAFSAMEKAKEKECERNANLYPSLVVELCSFEHRVVFQESGANFYIPAPVSLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIVDRDLKPSSNERKLLQTIIKFPPTRTLEVDEKQLVWKFRFSLMSEKKALTKFVRSVDWSDNQEAKQAVELIGKWETIDVADALELLSPDFESDEVRGYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLALFLVNRALSNIEIASFLRWYILVELHSPAYARRYYGTYDMLENSMMKLVGREDGDEDGFRLWQSLTRQTDLTAQLCSIMKDVRNVRGSAQKKIEKLRQLLSGVFSELTNFDEPIRSPLAPTLLLTGVVPQESSIFKSALNPLRLTFKTANGGTSKIIYKKGDDLRQDQLVIQTVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYILGVGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISADESGGLKLQEKFRLDLDDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >PAN33857 pep chromosome:PHallii_v3.1:6:3774097:3777646:-1 gene:PAHAL_6G051900 transcript:PAN33857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLDAFFKGGGGGGFRGAKCKTLLKLTIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIEAQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAASELMPDCGVNRQIIELLSIRPPPVDAKLKLLKEIAEEHEVDWDPSETETEFLKPHEDLLNGPTYFNGSTLPLPKEKHEETVAASAAEQPDEDYESDTGLESLDLPEVPKAAIRPPTDAPSTPDIGPRDQRSQSIPHDFSNPNLPEVPKAAIRPPSDVPSTRDIGPHVQSSQSIPHEFSNPTDLEENPTADGIFRIQMKSLEHLVSAPSAQSSIPDSPNEKKQFIPFTSPPPVITTSSMEKTESIPSPSPSPPVKPAEPEIFTKKIDEVPPTDYMFSRQPEQVHTISHTGSGTEIDLDDVLSAAQTAAESAERAASAARAAANLAQLRIADLKKNTKSYGDGVPKESHHQTEATQKPVFDHQDSFTNNTQDYVPSPVPLRSPSLEDDPYFSYPNLFSPPKP >PAN33708 pep chromosome:PHallii_v3.1:6:2834959:2837484:-1 gene:PAHAL_6G039500 transcript:PAN33708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAADVAAVADEEACMYALQLASSSILPMTLKNAIELGLLEALQKDPAAELAPEEVVARLPAAPANPDAAAMVDRMLRLLASYDVVRCRMEEGKDGKYSRRYAAAPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLEFYTGFEGVGTLVDVGGGIGATLHAITSHHPQIRGVNFDLPHVISEAPPFPGVQHVGGDMFKAVPAGDAILMKWILHDWSDAHCAAILKNCYDALPAGGKVIIVECILPVNPEATPKAQGVFHVDMIMLAHNPGGKERYEREFEELAKGAGFTGFKATYIYANAWAIEFTK >PVH36826 pep chromosome:PHallii_v3.1:6:33803711:33806991:-1 gene:PAHAL_6G177100 transcript:PVH36826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLAMSGVYDEKPPTEVPTDPACSRNSIDEDDDWVIVKKQRITILIPPPSPDAANPESDRPTVSSKHSGLTLRNRDWDAARKKHPKQLIAEKSKNTPEDGNSEKAQVDHSEGTVQKDVPKMVVDIPLHSPAAPVIKSEWTEGGFQAVKGLFHQGSGQVANSTGIMYNPMMPVISSPVADKIMRARLLERRVARFGGLRNWLFDCGLGWFVGILDSEKLGMYQLVSLTMTQLKEMGLVAVGPRRKLIHAIDSLCCPRHVETVS >PVH36686 pep chromosome:PHallii_v3.1:6:24371432:24371725:-1 gene:PAHAL_6G142700 transcript:PVH36686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PVH37367 pep chromosome:PHallii_v3.1:6:44920207:44920962:1 gene:PAHAL_6G297600 transcript:PVH37367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYCAAAEQQLCRLRQHVLVAPCASPAAMAGALEGVARVYEHAEQLARSARADQVEGELEASVALLDACAAARDALGVMRGCALEVEAAVRRRDGAAADRAARAYARLAERACADARRQRRRAGLRPSERAAGDGVHALLEARQLTVAVLERVVTALSRRVAAAGPRRPANSWSTCVARAFRKSARVACEDADETTASLPSKDPHDGEAALRVQRELRALGDTIQQLEDGLELLFRRLVQCRVFLLNMRSC >PAN36159 pep chromosome:PHallii_v3.1:6:42409994:42412106:1 gene:PAHAL_6G266500 transcript:PAN36159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRGGRGGGGEQPAVRKGPWTAEEDEVLLRHVREHGPREWSSIRSKGLLPRTGKSCRLRWVNKLRPDLKTGCKFSAEEERVVIDLQAQLGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRAAVPRRRPGKQSGSVSSSHAYELPTQKDPCLDMIPFQGTTTPAHHTGESSSHEPRAANQHRAANAPSLGAHSLPPPPVPLLTHGGAGAGHGSSSAAPTSTALLPFDGDYYARDAVAGVDPLVFVDPAACPEPLAVVPPGTFFGLDDDYVHAGRVLHPDESGVRFDDLPPETFDFFELPHLPPSPSPTVGSLSGADKGQKPC >PAN34708 pep chromosome:PHallii_v3.1:6:31619411:31620909:-1 gene:PAHAL_6G167800 transcript:PAN34708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDMEEYTINDNLMACGLPGDDEDDLAFGGEALFGSSAAPINVDGSDDAGATGDAGVGTPGQSMMPSSTPSVNTGTSIQLKHVRSSAWNGFEEIFETLPTGKKLEKRVIGLRLIDVSILVLTLLNVLKWLLLSLV >PVH37137 pep chromosome:PHallii_v3.1:6:41579619:41581257:-1 gene:PAHAL_6G254300 transcript:PVH37137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSLEELEKATNMFDEARILGGGGHGTVYKGILSNQHVVAIKKSIVVIQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYAHLHVESCHKSLPWKDRLRIAFEVACALAYLHSAASTSVVHRDVKTSNILLDDRLTAKVSDFGASRGIEIDQSGVTTGVQGTHGYMDPEYYHTRRLTDKSDVYSYGVMLVELLTRKKPSMYLSSEGVSLVAHFVTLLNQDKLSEILDEQITEEGEDEPKQVAAIAAMCLRMKGEDRPMMRYVEMRLQGLQGSDIYISGMEEQLGDLNGQTCQGGDAGVGDNYRSRQYSMEEEIMLSASLQR >PVH37306 pep chromosome:PHallii_v3.1:6:43745562:43746494:-1 gene:PAHAL_6G284600 transcript:PVH37306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTHGLHWEGFPRLLWESLSMFHYTEPPMYDGVEYLEEGVFRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPTIDPSNSDWDVRTDHYGHLLGDVAEETVRIITRFMDVQYRYQMLLRHGVNQITGVAQGHYRNADHRVTQIEELQALVTQKDEIIAARDETILHREDQIMESDHLITQRDAVIEFLQEHIHDLMLEADDAQAHIEELQQQPILPAIPLVPEEGEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PAN35792 pep chromosome:PHallii_v3.1:6:40179805:40184715:-1 gene:PAHAL_6G234600 transcript:PAN35792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog C [Source:Projected from Arabidopsis thaliana (AT4G34020) UniProtKB/Swiss-Prot;Acc:Q8VY09] MLSPWHSLLSPTAPTATEIRAPLHPPNRSLHSSLRSPPPRSVARAAPTLSATATAVSSPPSPKKKVLVPIAMGTEEMEAVVLAGVLRRAGADVTLASVEDGLEVEASCGSRIVADTHIASCADQVFDLVALPGGMPGSVRLRDSDILKRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKITCHPSFIGDLPTFRAVESNVQVSGELTTSRGPGTSFQFALSFVEQMFGPHAAEDVDRILMTQIDGDFERSTEVNELEWSIDHNPHVLIPIANGSEEMEIIILVDILRRANINVVLASVEKSPNIVGSQRMKIVADKSITSASDSKYDLIILPGGTAGVERLHRSRILKKLLKEQKQAGRMYGGVCSSLKVLQLQGLLEDKIVTAHPAVANELTCKIIDRSNIVIDGNLITGKGSGTVVDFALGIIRKFFGHGRAKSVANGIVFEYPKS >PVH36587 pep chromosome:PHallii_v3.1:6:14719397:14722298:1 gene:PAHAL_6G112200 transcript:PVH36587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSARLQQLGIPPLSSIMPNMRANAPDKRKRSCKNSEHSESEYEPSQNDSGERDSIDDDSDKGSKDKSCKKTKQHAPDMHLGGVKFRSRKRVYVEQPPTRATRRMTSIAQADASLTRSNISVPSPSQANVTQADGFAHHDDNKIMAAGADAITNPGGHNMMTYEGGLVRCNRGVNMGHGLQRLTRARRGKLPVVITQGNTRPLVPLVAAKFATECNIAVRNHVPVLKHWKDYKKEPALFNLFMGRLSAKFDIDTSDEIVKHGCMEMMKIAVRQQRYKLKREHFDPFPLHLVRKTSPIKSMTNEHWIDLGDKYNDEEPDASDLFKECHYSKKKKGYTSTVQLAITQMENQLAAPTEGEQTKSATQVVAEVLHKNTKKSQFLHNVGIQIVLPRSSVQNAQAELEAEKRANAELQSIVNSQRAEMDDLSKKVQETEQAMNKKQADMEAKLERLLAQSSST >PVH36633 pep chromosome:PHallii_v3.1:6:18859971:18872770:-1 gene:PAHAL_6G126200 transcript:PVH36633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLLPPSPASHRRSLRPVSSPPLARVTTAASFGLAFFSHPSPGCCSLQRTGSAGGDGDGAGEASSEAPVAGWLDADLLRRVSGAGDADQALDIVAESAGGSVAALEAPECNAILAAALDRGNVELALSVFDAMRSGFAGVGGWRWARPDVRTYALLVQRLAAALRVSDAIRIIDYVSCAGVSSTEEVPFGIIVRCPTCMVAVAVAQPQDGTQVVSCSKCRYQYELFSGEITSIESEEVSMDISALEKALRFINIRKDGLPAAVHSIVIRAPSGIARTHRFATQNVELPAQEGERVTISLAAPSNVYNEMGPLKIAARSQGFKPGEPMCLTNHNNGQVSKLLRAPSKNEGSFFLSPYLLIGALALLASGDAASAFIDPSLPRLITATAVASAAVGTTLNQVVLPEIQKLPQKAVDIVAVRQQLLSQYDILQSRLKELKQFAQKEVWMLARMCQLDNKILAVGEPSYRARRGRVKRVRESLESTLSARIELMESYAKLCSMIEIEVEMDSDVIAAEAASSAERISEQIQQLMEIDSLEEQWRIQAEANDEAERLLSSDSSETLPAGRHGVNDEVPDRDQATEDQYCDPEPEGQCSDQDFPQGFDDVEDPDYIPENDE >PAN34396 pep chromosome:PHallii_v3.1:6:8343414:8345219:1 gene:PAHAL_6G089900 transcript:PAN34396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSCALDLITESRYISFEGCKPTTSQKLLHCLGTKDLSAMAVAMKLLFLLVCSYHSLLAHARHGPNYRVLATGSPSPDAVCTELKAVLPSSGGGAVVPLHHRHGPCSPLPSKEMPSLDEMLRRDQLRAGYIQRMFSAGTNGTRDRAQQSEATVPTSLGSSLDTLEYVITVGIGSPAATQTMLIDTGSDVSWVQCEPCPECSSQVDALFDPSSSSTYSPFTCDSAACTQLGPEGNGCSSSQQCQYIVHYADRSSTTGTYTSDTLTLGSYTISSFQFGCSQAASGQYGKTDGLMGLGGGPQSLVSQMAGTFGTAFSYCLPPTPASTGFLTLGASSGGADFVTTPMIRNDQIPTYYQVALEAIVVDGTQLDVPPSVFSGGSVMDSGSVLTWLPPTAYSALSSAFRAGMAQYPPAQGRGALDTCFDFSGLSSGGGEPSVPPVSLVFAGGAVVNLDVDGIMLGNCLAFAATKDDNSGSIIGNVQQRTFEVLYDVGQSTVGFRAGAC >PAN36115 pep chromosome:PHallii_v3.1:6:41897225:41900058:1 gene:PAHAL_6G258600 transcript:PAN36115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLALALALAVLAAAPAPAAALNTDGLALLALKFAVSDDPGAALATWRDADADPCGWAGVSCADGGGGRVAGVELANASLAGYLPSELSLLSELQELSLPYNRLAGQIPVAVAALQKLTTLDLAHNLLSGQVPAGIGRLVSLARLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEYGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDGNPRLCGFPLKVECAGGVKDEPRIPEANTGMSDPGAAAEVGRRPQKRRSSPAVPILAAIVVVAIVAGVVLQWQCRRRCAAAARDEDKESAKEKGGAVTLAGSEDRRSGGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGPAVAVRRLSEPDDGDSDGSGGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLPNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLTRLVAGAHKTAAGNSKKLGSAACALRGGAVSYVAPELRAPGAAPSAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMDLEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPEMRPRMRAVAESLDRIG >PVH36640 pep chromosome:PHallii_v3.1:6:19829228:19829466:-1 gene:PAHAL_6G128800 transcript:PVH36640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERNCIVDSYQLAALLRAGVLDAHERHRHGHSHNQAQEGTE >PAN34461 pep chromosome:PHallii_v3.1:6:8949382:8954672:-1 gene:PAHAL_6G094200 transcript:PAN34461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMIDDDGSLSPTRPFDKCLDETVQAEAILTALNGVASSSNSNSKDDGWASSDDETDAMEQEDDPEADTARLSFKEHRRAHYDEYRKVKELMRTGSLVEDEADENNRVDNSSEGKGVGKGAAVEDRKPAAET >PAN33930 pep chromosome:PHallii_v3.1:6:4275105:4276658:1 gene:PAHAL_6G057400 transcript:PAN33930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLTPRCHLPPLRRSPPPCQVASTTTTTSPPSLSAPSRADPDELRSTWPQRAWTLAGSAAILSSLSTSASLVATGSDSPAWPLAAALAAYSLADLATGVYHWFVDNYGDASTPVFGSQIAAFQGHHRYPSTITRREPCNNLHALARAAGLALAPADAALSASGAPAAAHAFAGAFAACVVLSQQFHAWAHEKRRRLPPGVEALQDAGVLVSRAQHAAHHRQPYNTNYCIVSGMWNGVLDRYRVFEALEMVVYFRTGIRPRSWDDTDASWMEVTGADVAATATAGDDGSLVQTASISSD >PVH36928 pep chromosome:PHallii_v3.1:6:37273536:37276975:-1 gene:PAHAL_6G200600 transcript:PVH36928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHEDMANKLVPPFLDGDSTIFGDHGGEEQQITQEEQTVHTIGANIQGNDNSIPEAMEVVDDAGPSVIVPVVGMCFDSEKNAYDMYNTYAGQVGFSIRKHDIKCRADKTVYSKQIVCSKQGHGENDSSQGTTRTGCNARIQFSISREGMWTVQKVELEYNHILASPNKKRMLRSQQQVIEADRQLISQIQEAGMKPAQVFEFMKQFYAPTGVPFLKVDCDNEIGCERKKYLEHNDAQTLLEYLKKKQAEDPGFFYAIEIDKENGRITNFFVLMVNL >PAN35501 pep chromosome:PHallii_v3.1:6:38417945:38419915:1 gene:PAHAL_6G213900 transcript:PAN35501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNDINMDKSDEILMPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAARGMKTDWMMHEFRLPSLNDPSLPKRPVDKTIPLNDSWTICRIFKKTSSMAQRALSHTWGPPLPGATEAEMFSAFQSVQASEFALESSSCSLQVAAPAPASQFTSRHGLQGQQQQQNKVNNPSLDGSSCKLINFNYSQSLEPQNFPITFPFEVQTSQKTTAAAPMFFSTQPDHLSGFVVDSSAEVNGGIGSRSQDPSTRKPGNGFSMNNSDWEAGGRINFPFDLGADSSEDWRCNIPWESFLSPAAVQTELPH >PVH36545 pep chromosome:PHallii_v3.1:6:9917995:9918730:-1 gene:PAHAL_6G098600 transcript:PVH36545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSLSSPHRRSQNTFFVSSTKKPQSSRDDSWSALVERHRFLLTTLVVLAFLCTIYLYFAVTLGASDACAGLAGAERIECQAKSVLQHGKLKFL >PAN35014 pep chromosome:PHallii_v3.1:6:25720266:25725313:-1 gene:PAHAL_6G147600 transcript:PAN35014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDEYVAFLASATGNPGMLDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKHYALNVPLSDGIDDATFRDLFQCIMKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYTLHIQPKSVENLNTTKDLENIKNMILENLSRIEHVPSTQFHDRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDNMKSESKDLTASSKLKPKRGKRIWVWTDIYGYKYKYFFSQIWIWDEPKDDL >PAN35013 pep chromosome:PHallii_v3.1:6:25719768:25725677:-1 gene:PAHAL_6G147600 transcript:PAN35013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDEYVAFLASATGNPGMLDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKHYALNVPLSDGIDDATFRDLFQCIMKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYTLHIQPKSVENLNTTKDLENIKNMILENLSRIEHVPSTQFHDRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDNMKSESKDLTASSKLKDEPKDDL >PVH36531 pep chromosome:PHallii_v3.1:6:8932704:8933267:1 gene:PAHAL_6G094000 transcript:PVH36531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAWKVVVRQQVGEAVVCSDRASGRLAGALEQLDEALGDLATQALVDDPRAWRDWAQRADRMLADASPELAAAASIVRAAGLIALRGAAASPEAPLRSVADVPDEGLRGALARLEDARDKAGSACDQVDLCRSYLAGALRLLEINQVKGLVHAKFVSARDELEVARNLQKESAEQVYDALLSLLL >PVH36180 pep chromosome:PHallii_v3.1:6:1314222:1317042:1 gene:PAHAL_6G015600 transcript:PVH36180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDAPPGAHLHGELTVNKSLFCFPPNNSAATSSGVFAGDDPLKFYFPLLLYHVCTVFALSRAIHAMLRGANVPLVISQILAGALLGPSFLGKVLPHAGELFATPEGWVQINTVGGYAFMLQIFVVGVKTDLGMIVKSGKKAVAIAFFGTAAPHLTMFAAGAALKARVPAAWKATFMLTNLNSWWSLSAFIVVCCTLDDLNLLSSKLGRLAMSSALIGDFANTFSIAGVTSYLLASSPSEKIQRIGFLSLITFSVFIGFMAFVARPTILRLMRDVPEGAFLCEARLVAVLLITITCSFAGEILGLHATYGPFMLGLMLPGGAPLGVTLAERLDRLVAGVLMPLLFAQGGMRMDIFKLADASTCLLLEVFLVVGAVSKFVFCMLPCLYFGMSHEEAFLIGLMMNFKGITEVVYASAFMDAKVFDDQVYTTFMINVLVVGATTASLVKHMYHPEEKYVAYRRRTVQHKKLGEELRLLACVHSQADVEPMLALLDASSPTPASPIAVYLLHLAPLAGLTTSVLRPFKRGDRNCTPSGGTDAERIVNAFQFFVQQRPLGSASLLPYVCIAPYATMHDDVCAVALEKRATLIVVPFHKRLAIDGSVENTTANAGAVQAANINVLNYSPCSVAFLVDRGSLSVVPAAAPVGDADGYPHRVAMYFLGGPDDREALALAAYMAEDAQIGLTVFRFVLPPEWRKGGDLEEDRLDDEAVEEHVRRGVDDRRLVYSENVVSGSDEMVAVIRKASPASDLLIVGRRAESPESPLTAGISDWSEHMELGVLGDLLTSTDFGCRVTTLVVQQQTRAAAGEVSRSPRPESDGHV >PVH36564 pep chromosome:PHallii_v3.1:6:11847432:11853208:1 gene:PAHAL_6G103900 transcript:PVH36564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKRRHARNPTAGGPRRGSGAGRRRPFPELPSFVSPASVAAAFSSPASGGRGRGRGGGGAGRRGGDTADGGACHAVPFCYNSERGTQALEVTIDTAPCAEPAAASVPLYLYGPEFVGGLELGFHEDEDADEEGAAEAVHIGLGFRDSGNEEMELELEELEEEDASFKTPKRKQQQKVNRNPGFLSIGGVRIYTEDISSSESEEMSGSNEDSESESGDGERFENDDGKSDEEGSEGERDSEADGESSGSELDEDLSIGDSSSVDDEVVADYMEGIGGSEELLSSKWIAGMNLGDADPAEQMDTDDDEDEDGFVKKGKEKLEGYAIMTASEQYGMKRPNSAERQKGKGMVCDRDLPSMRVMELEDMFMVKDVRVANRSRKGSKTGSSSSQLSRSWPNEGRKSKKYHSVPGEKKKHRKELIAKKRQQRMLSRGVDLGQINTKLRKMVVDQVDMVCFQPMHTRDCSQVQRLASIYQLKSGCQGSGKKRFVTVTLTGQSSLPSANGQIRLEKLLGTEPEDFSVNWENSKGPAGQKGLSAPGKLAKHRESSGKKSSSKKQVSFAEHPVSFVSCGTMAESVTETIAVDSIGGNTSCGKIAESNSTQLGSFEVHTRGFGSKMMAKMGFIEGTGLGKDGQGIVQPIQAIHRPKSLGLGVEFDSKAEAIKARTEPIKARSEPSKVRPESRRNVRALETSGVGSFERHTKGFGSKMMAKMGFVSGSGLGRDGQGIATPLTAVRRPKSRGLGAKDKY >PVH36195 pep chromosome:PHallii_v3.1:6:1478044:1479015:1 gene:PAHAL_6G018800 transcript:PVH36195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLDGCFLLQYMVDSDDAAPVLRNRMTLSTGPSIQKDIFLLENQIPWLVLEALTEFMSVDVRRFVDGMGAKFLPAGKAKPENGLRRTLWGCTGRATKAADKSRGGGGSAEQQYKPPHLLGFLRFTQVGSMPEDMVTYTAFFPRSLSSSAVELAEIGVVPTPSTEPWFGDARVRRGHLVGELLLSPVFLSEVTACWLVNIAALEASTAGASRKSDGFVVSSYLSVLAMLVDRKEDVHELRRRRLLHGALSNKQALGFFKGLGQHLRFGGRYFAALEEIDSYKRHRSLRIAAHKFVYNNYRFIAAFLSVTGVLIGIFKTLVALK >PVH36509 pep chromosome:PHallii_v3.1:6:7703392:7703889:1 gene:PAHAL_6G087800 transcript:PVH36509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESGTTREPTPEYDPIAAYEVRAPLHWDTVEWDFDYQSEDDGSLTDGEDLALLLGAELEEEEDDALWGEDLSFSEEESDPLSSEEDPMAGNFLLDRSSDDTSDSRGGTDDDDAFTSGSGGNDADNDGDDGSSSSGASIAPPSKRRKASDVHWW >PAN33827 pep chromosome:PHallii_v3.1:6:3656249:3657161:1 gene:PAHAL_6G049800 transcript:PAN33827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPLTLPLQLLRYGTSSSASVGLRRPLYRPSPSSVRLRAARALRPVAAAASYHDEASGYAGAGDDGIAAATSVALHQVDDAAAAAELRAARTVGRARQPPEGRPGKPKEGRGGKVHAAPTTSAAKRVPRAPAPPGLPKEGSGGQGGKIH >PVH36372 pep chromosome:PHallii_v3.1:6:4664569:4667418:-1 gene:PAHAL_6G061800 transcript:PVH36372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPGSLPRRRGAGSEPTRRPPPPPKIDILSLATGSYVRMEAGTGARPVQMLSKSRDWSELPVDVLPLVFANLGAVDILMGSGLVCHSWLEAAKVPHLWRSVDMANHKALEKMDDDVLCEMAKVAVDRSKGQLEVFLGKLFVTDEILKYIGDRSSSMKSLSLMSCHDITNRGFTDLIMKSPLLEDLSLGLCPRVGGRKVFEATVKACPHLKRFSLHRELFRFSFMYPERYAEASGFAAMRELRSLSLTGSSVSTRELEAIVDGCPHLETLFLRDCYDVVSDGALQAKCARIKTVTIEKYKWVLERKRKRGRSQMVLRQYE >PVH36580 pep chromosome:PHallii_v3.1:6:13996548:13997186:1 gene:PAHAL_6G110200 transcript:PVH36580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAHEDGTFVAPICAAASAWLAVASHSCALTGCDAESEAPLMRLIS >PAN34088 pep chromosome:PHallii_v3.1:6:5239124:5240647:-1 gene:PAHAL_6G068800 transcript:PAN34088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACVPFERPKKTRIRGRAAQQYKDQAMRWLVEGASAKNRATHSTFSMLVPVYMVIVNMYMRSDRIASFLYAKVTEHEYH >PAN35448 pep chromosome:PHallii_v3.1:6:38046489:38046740:1 gene:PAHAL_6G209300 transcript:PAN35448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALAPAGSGAGGDRDRSRWTEQPLHFYRWMEGVNPNQLGRYPSRLSSEVGTRIVNHHAHHLFDETFTRTTGGLDQPTRAWS >PAN34849 pep chromosome:PHallii_v3.1:6:20294711:20298508:-1 gene:PAHAL_6G130000 transcript:PAN34849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKTKEFDGASPAKIFIGGLSKDTSMDTFKEHFGKYGDITDAVIMKDRYTQKPRGFGFITFADPVVVDRVIEDDHVINGKQVEIKRTIPKGVAPLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGRVVEHEIIRDHATNRSRGFGFIVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKQPSNPPPRSIDSEPRVRPYADSYDGFGSSYNYGGSFGPYRSPGSFGARPGGYSSAYGPVDYGSGYGAYGGALGGYRGESSLYSSRFGSTYGGSFGGVYGGGSYAGGLAGAYGRDAGGYGGSSYRPSYDSSGACAGSGAGYGMGGLYGARTGYGSTGGSSAAGRYHPYGR >PAN33631 pep chromosome:PHallii_v3.1:6:2548320:2551910:-1 gene:PAHAL_6G035200 transcript:PAN33631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGPVNLRATRKEVQLKVKEEYNSYRDRTALLFLGFPVILLFLRQWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWILHHYCAMLMALISLTWEIKGQSQPDCARKQRGVELFLCWAIMQGFVMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPLLFLLQGFEGYVGFLLLRTAHTGVIPEWQVVVCGILLIAMAIGNFANTVDTLMAKSRFKAKMKKSKGKRDLDTCSSPTGSSPTDSTAKA >PVH36265 pep chromosome:PHallii_v3.1:6:2548322:2552737:-1 gene:PAHAL_6G035200 transcript:PVH36265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGERKGGGGGEEEAAAAAARAAEQARELQDAAAALLTRTRAEEEALRRRAAALQAELRRLRKDAAAHADSDKVEEDLDRATCLITDGDVASLLPSKTHGAFLKMFLGPVNLRATRKEVQLKVKEEYNSYRDRTALLFLGFPVILLFLRQWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWILHHYCAMLMALISLTWEIKGQSQPDCARKQRGVELFLCWAIMQGFVMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPLLFLLQGFEGYVGFLLLRTAHTGVIPEWQVVVCGILLIAMAIGNFANTVDTLMAKSRFKAKMKKSKGKRDLDTCSSPTGSSPTDSTAKA >PVH36773 pep chromosome:PHallii_v3.1:6:31293929:31298044:1 gene:PAHAL_6G166200 transcript:PVH36773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSLYWTKYDLILLKKIVTYEVVFDLNKEILFQLPSEHRSHLQKRTRKVRNGITAARSNASPANPRHPCRRRRSPELRRTPLPPRLRRRVLSPIRADSPPISLSASASPSRPAKPPVCTADELHYAPVDGAGWRLALWRYRPPGNAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSMREYENSSASGSVTIEDASGGIQPLDNQSTLEAASLQNSGGYASDCDDLGIVALDEPPLLAELSNFFERISKLMEEAVVNGNFHEITEKVSVLSEMVESSTIIGPVREESLRILKNFQDQLDSWERFVSSQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKTKDGKLLAIGHSMGGILLYAMLSRSGFEGAPSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRNGTFSYKDHLRECQTPVLALAGDKDLICPPEAVYETAKLIPKHKVKYRVFGEPQGPHYAHYDLVGGRLAIDEVYPCIIEFLSRHDRLLI >PVH36772 pep chromosome:PHallii_v3.1:6:31293984:31297997:1 gene:PAHAL_6G166200 transcript:PVH36772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSLYWTKYDLILLKKIVTYEVVFDLNKEILFQLPSEHRSHLQKRTRKVRNGITAARSNASPANPRHPCRRRRSPELRRTPLPPRLRRRVLSPIRADSPPISLSASASPSRPAKPPVCTADELHYAPVDGAGWRLALWRYRPPGNAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSMREYENSSASGSVTIEDASGGIQPLDNQSTLEAASLQNSGGYASDCDDLGIVALDEPPLLAELSNFFERISKLMEEAVVNGNFHEITEKVSVLSEMVESSTIIGPVREESLRILKNFQDQLDSWERFVSSQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKTKDGKLLAIGHSMGGILLYAMLSRSGFEGAPSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRNGTFSYKDHLRECQTPVLALAGDKDLICPPEAVYETAKLIPKHKVKYRVFGEPQGPHYAHYDLVGGRLAIDEVYPCIIEFLSRHDRLLI >PAN35344 pep chromosome:PHallii_v3.1:6:37332771:37337433:-1 gene:PAHAL_6G201200 transcript:PAN35344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRAGRRSNRGVPVPGPLKRVAHHATRPPTNQPTIRIVQSRARSAAFSSLTRARHEGLATCAAAAAMVTCNFDLLELADGESGEAAISVVVGKKKTEAAAAKLADAADPVETAAHGKPKYSYFTKLQHDNGVTKCQQELKRLREVLIKLREEETKLKEKQGNEDRIKDLFEEQRRLRQEQRKLRIEEAILVPQRKAFYEEHNIPLEEDERKKLNNSNFTNAESGSNYEGVNGNIYNNNDGGNCGDNDQMCDGGEHYSYGHNERQVERVQGKYNNGERQGNRQGQPRMKKVYVRKVKASSDAGTEAEERPEENVVCASATDQKEANADNGDAVPASESDKSVGGATKDGPHNGQGASTGERTIFRKERLNGSEKRKKKNAKKSSGSETEKAKKQDFEVDGSKKQIEKQPLEEEKKTLAEYERMREETKKFSETLKTEVRKVTAEEFKGLQMLAKKKLDHEEAIMKAEKAQPKVKDASKKEETVEADGKETTAKDAKPKKVTVPRQNLGFRPPKRVSYDHEDGSSVRGRFNGPFQGGSRDNSTKNEAAIQNGNGASRVYNGRGDDAPRGDYSSRRNEYKMGNDGYGYGRGNGGYQGNGGFQQQGGNVGRYQQERAGNGGYYPQRQRQAANDRYRECSNSAPALDVEDVSKFPALSEPTSARSAAPAPVPASAPAEVSAPASA >PVH36985 pep chromosome:PHallii_v3.1:6:38494898:38497103:-1 gene:PAHAL_6G214700 transcript:PVH36985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVVDIKYMPRLFLNGAGAGAAGKKMRPAAAAAAWGAGEAGVYRECLKNHAASLGGHALDGCGEFMPAPGADPADPGSLRCAACGCHRNFHRRVPEAPPSPPLLALPPPPPPQQPAPAPHVVRDGRAPRGEEAPEDRLPTAFDEETESSDEGSDFDEDRPLSPLPAPAVGPPGYLQPPPHMHLALGTGAQGASTPAAAPRPPAPPGPVPSPGAAAAARKRFRTKFSPEQKQRMQALSERLGWRLQKRDEAVVDESCREIGVTKGVFKVWMHNNKHNFVAGHSARRSASASAAGSPSPHAAAAHPSAPHAAAVRPSGPHAAPPVAPAPPPPPPAPVHADFNINGTAAATDYFRVVQPGPSTASGADSPLSA >PAN36497 pep chromosome:PHallii_v3.1:6:44281501:44288424:1 gene:PAHAL_6G288000 transcript:PAN36497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDVEMNAASDEEAMDDEDYYDYDYCYSDAGDDRSGGGGDSDGELVAGDYDEGLEAEGSDEVVSRREQTFAVLSEEDISGRQEEDISKVSSVLSIKREEACVLLHHYKWNISKLSDEWFADEEKVRHTVGLLLNGNDRPHLRKLTCGICFEGYSSDMMSSAGCAHFYCHECWEGYISAAISGGPGCLSLRCPDPSCGSMVLQGMVNKLAKDEDKEKYARFLLRAYVEGSKMTKWCPAPDCTCAVEFVGDENYDVLCNCKFSFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLHKAEKEQLAKLTDIFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQAFLPSSKPDSNETTTPSVAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVRSTGQAAGTSSTATSSKKGGTKSKKKQHSKPSSDHTDDSWPCERCTYLNLPSVDACSVCEKSRY >PVH36127 pep chromosome:PHallii_v3.1:6:324822:326427:1 gene:PAHAL_6G004400 transcript:PVH36127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNGFYSSMAHGLDALHRSPHACLSAPFLQQAAALLRSLHSQLLHLVQRLHLPPGERWLDEYMDETSRLWDACQLARAGASALDAYCAAAARVAPAIHGWLYAAAAAPHVQRAISAPRRHAAGLQQDNRALADARLDPATLLLDDRSPLEFKLNAFNGFRGVLYALRNASSFLLIVLISGTVTCLPDLLTCCAPGAGAHHQHLRTPSGYVASMARLRQRVAQEVDSILSTAPGDGDRHSGSAGIMMYEFRQARAAIDSLKQDFDRIVAMGYCNPDEIGDSLAQRAEIINGWVGMLRSGAESLIVELDDFFDEIVEGRKMLSDLCSHR >PVH36759 pep chromosome:PHallii_v3.1:6:30230966:30231308:-1 gene:PAHAL_6G162500 transcript:PVH36759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRGRQKRDFRRLWITRINAATRVYNVFDSYSKLIHNLYKKELILNRKMLAQVAFI >PAN35021 pep chromosome:PHallii_v3.1:6:34238631:34244713:1 gene:PAHAL_6G180400 transcript:PAN35021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQIREGPARRAAPSAAGALRSPMSAMMLAMFATMASFYVAGQLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAVAKHEGFVGKYTPETNGTHSRKKPLIVIGIMSSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSANRGDTFDSEIDDENRSTKDFLILDDHIESDEELPKKTKSFFANAAETFDAAFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSDSTHKWYEPDWWKFGDGKSYFRHASGEMFVISRAVAQFISINRSVLRTYAHDDVSVGSWMIGLGVKHVNEAKLCCSSWPSGAMCSAL >PAN36384 pep chromosome:PHallii_v3.1:6:43297103:43300318:-1 gene:PAHAL_6G278300 transcript:PAN36384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLSWRTVCLSVLCAVLLLRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKAETPWHYSEEGVDLVVKDPNGAQVHDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHFSYFEQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALFESVALIAASVIQVYLLRRLFERKLGSSRV >PAN36409 pep chromosome:PHallii_v3.1:6:43379093:43382187:-1 gene:PAHAL_6G279600 transcript:PAN36409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLPKKFAGSSAKMASRRLFQRSTSLLGGAHASAARAAAAPPARYFNIFPCSHADAKTSLTTIGMPSTIMNGMKPAFLDFAGGVKRTFSSSAPKSNNHKFARETEKAARYETAKRDADTIVLMSFCFFNFFYLYTLDNARSNNSSSRCLNCCNCPCQGSNPKVQQD >PAN35838 pep chromosome:PHallii_v3.1:6:40496370:40497985:-1 gene:PAHAL_6G238500 transcript:PAN35838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGLYGGRGDGSLVGQADFARPQQQRQMECFSDEANSRDGEAEANNGGGPQEAATSGGGGGDAASVETGKRRRGRPPGSKNKPKPPPVVTRDVEPAAAMRPHVLEVPAGGDVARALAGFARRRGLGICVLAGTGAVADVPLRHPLPPPPGAAPDGAAVVFRGRYEILSISATFLAPSMSAAVPRAVRDLSVSLAGPHGQIVGGAVAGPLVAATTVVVLAAAFTDLTFHRLPLEDDASASASGSAEADEHRGHHQPQDASGLRPHLLSVAPATQAVPLYGRQSQEVWPAAASAQRPRPPYQ >PVH36595 pep chromosome:PHallii_v3.1:6:15220141:15221018:1 gene:PAHAL_6G114200 transcript:PVH36595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNLLGRNPLSDALHWNPGVVLNGQGPGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLHPGVVKNEQGSSHFSRRVRRVRKLAEPIRIHLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGEDKEGVPSSDLP >PAN33303 pep chromosome:PHallii_v3.1:6:946656:949623:-1 gene:PAHAL_6G010200 transcript:PAN33303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLNSKFAWTTEAAAPDLPIPDQRQAPFIQCFKERKHRAMEGSTAGYVQIVSRRVVRPDAATSPDGAPSEPETMHLTPWDLRVMTVDYIQKGVLLPKPQAGGEAARLVDGLASSFARALGRFYPLAGRLAVAEATDGGEPSPGIVVSLRCNGEGAEFVHAVAPEVTVSDITAPVYVPPVVWSLFPLNGVLGTDVSRPVLAAQVTELADGVFVAMSLNHGVADGTTFWHLFNTWSEISRSGGDAGRELSTPPPVLGRWFPDSCPVPIRLPFGRLEDIVRRPVYLPVRECFFHFSAESVRTLKAKANAEMAGTATATISSLQSLLAHVWRAVCRARELLPDRETTYTLLVGCRGRVRGIPQAYMGNAVTLAIAKSAAGDVAGRGLGWAAWLLNRAVASFDEGTVRGELARWPQDPRFVYVEAPREDGAAAVATGSSPRFDVYGNDFGWGRPVGVRSGAGNKMDGKVTVYEGRRGAGSMALEVCLSARALARLVADEEFMEAVVSAGGAA >PVH36723 pep chromosome:PHallii_v3.1:6:26874512:26874862:-1 gene:PAHAL_6G152200 transcript:PVH36723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRRPLLSASPSPSPPLRPLTPPPSHAPAIRSFPRTARRLPRLRRRLLSASPSPSPPLRPHAVGGLEPALVALAPSPSPSTIRRRPAPSPSPSVGSKRSCKPLGGLTPSLSPSP >PAN34235 pep chromosome:PHallii_v3.1:6:6177037:6178613:1 gene:PAHAL_6G076400 transcript:PAN34235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDATTGGNIAISIVSVITGGIVIIVVSVLVYKCCKLRIMRKYGGLPQPAVLPTVEMRTAAAPIVGGGGSTATMASGKISSSYGAVDVVKNRPVRFSSLQLQEFTGNYAEKLGAGGFGVVYSGQIPLPDHGSLQVAVKVLGSNMGRRAEEQFMAEIGTIGRTSHVNLVRLYGFCFDAELKALVYEFMPNGSLDRHLFHDDGDNGNGGQLMFDKLYDVAVGTAKAIRYLHDDCERRIIHYDIKPGNVLLDEDFRPKVADFGLARLCERERTHMTMTGGGRGTPGYAAPELWMAAPATHKCDVYSYGMLLFEILGRRRNYVDGGTQDESVERWYPRWAWQRLERGETEALAARALAGKAGKEGRKKVERMCAVALWCVQYRPEDRPPMSGVVRMLEGDEDVAAPAVSPFAHLDSDQQVAQTFTADTTTTFGSAA >PVH36525 pep chromosome:PHallii_v3.1:6:8662501:8663638:-1 gene:PAHAL_6G092200 transcript:PVH36525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDEYNAIVSELMAEQVRKGNRPNTHLNTLGYTEVMDRFYQMTGIELSKIQVKNKWDRLKNDWSIWQKLVRKQTGTGWDSTRGVISMDNEWWKKMKKEIPGCGKFKKKALQNQDFLREMFGDISNDETDHWNPMSDNPIIPNDPIVPNSQQELENIDEDGEQQGGEEEGWEDMVHDWGYMEDNDTEAQEVSPVVGNQKRRPRVVLEIPKKQKTSTALVIQEQITKIADSASSFTSRKQAEVGIKEVMDLVLDCGADYGSNEHDIATQLFVKRDQREMFLTLPTREIRFNWLTRRYNDKYGN >PVH36884 pep chromosome:PHallii_v3.1:6:36079107:36080968:1 gene:PAHAL_6G189900 transcript:PVH36884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAINLPGPGEDLMRAMESVMQEDAPSPLVMPPATAFPPPPPFPAGAHGLSYPAHPPATHLSPAQMQFIQAQLHLQRNPGLGPRAQPMKPAVPVPPAQRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRAPLDPAVDAKLQAICATIAAASSSSKGGRAKSRATPINAPVAEAEAGSQSNSSSDEASGSGSDDEMSSSPPPPPPAAPTAQQPLAEMGQLNFSEVPWDEAESFVLRKYPSYEIDWDALLAN >PAN36399 pep chromosome:PHallii_v3.1:6:43339095:43342313:1 gene:PAHAL_6G278900 transcript:PAN36399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNKVEVNLRRLLEAAPRQHNQAKLVHYVTTARELLEQRPYLKGYQGFYLNNQLQGSSLYRRQMLIRMKMKRRPEEGGGASLQFPFWLVVSKAKISEYSEKIEALAARLAAPVPENKKPVVEGREEEISDEKAKAVSPISLSSGLQRRSAAHAEVRPSYQDRKEISEHLSNWMQKPRLTLRSTGSCKKI >PAN36210 pep chromosome:PHallii_v3.1:6:42199821:42200183:1 gene:PAHAL_6G263200 transcript:PAN36210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAGRPVLGSPDLRRGLCGVRACRPLVTRAPSSRPREDSKAGRRITVHRSCATGRALGAGISGDLPAPGGAAARARAGPSAWCVIAWRARGHGAGGRARCDEPEPEPLVVRVTHVRVS >PAN36304 pep chromosome:PHallii_v3.1:6:42959218:42967165:1 gene:PAHAL_6G272700 transcript:PAN36304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHMQNQRRTTMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTRYDKDRIVKMTATCERMVPEKNAEKKHKTQKVKPKAAAPAAAAATTSTVEAKKHLASVRVIQRNLVYIIGLPVHLCNESVLERTEYFGQYGKVLKVSVSRPSGPPSQQASANNNISVYITYAKEEEAIRCIQSVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDIGSQEDSFTKDEIISAYTRTRVPQMASSVSHRRAGIVLPPPADDFSYSAVVSAKHTIKNGTLNTTNQPRLSPPNSSSGRSTLPPAASWGHRDLSARTTTIGVTLSETHTKTKSKPQSNSFSSSSTISSTRIPSSWNDDTSTAPATSEGWQLSEQDSTSKTLQPYKPGIAKETQAVSSLESSVDIDFSTIPSAWNDDDIAVSDGMTKGSEDQVANENGKLTHLVSKSPVSPKKDMTVNITNKTPPDFVPSLAISKSDVKTCDSDCSITNIAPKSPTSNAVHCQSSHAVGEKILEDNRTRDAVIQNLSSHAIGEKLLEDNRTRDAGIENLSVQMSSVTLDGKYEVHSVVGNHKPDAMLCTSVVVPMGQNFDKDQSHLKLDGSLPSENKDTVLSCLYSADSHLDWSSELQSCTATPSNDIVNSSIITETLNSRLMDGSAKPSYSSFARFPNTLDTSLWKDTETNPALKIGTRNSSQMQTGFSSINNTCSLLSGGQDVLGTAYTLGDVSGHPGMGRHQPGAMGSVRTDSVGIFDRTVSVNKDESRIISDMLSSEFNPWDDSYSTANNFVRMLSESENNDVPFTMPSWRSGSGSKESRFSFARQDNQGNFLESSLRNSGNEQNFGLLPQISQGNVYQNGLAFQSVENDFSSSNSLAVSEMATTGTSWSKVSAPPGFSAAARVPPPGFSSGFPSQDGINPPPGFSSGISSHDGSIPPPRFPSGISSQEVSKPPPRLASPFSSGFSSQDGPNSPSRFPSAFSSGFASQGRSNQVYGSKYSETLLRDNVLGSNSNHYQAPFRRHTSDVEFNDPAILAVGKGRMPGIGDSGLEMNNTPTFPAQLQTSNNDLMFQLCMQPNVPSHQNMRFTDHMQDAFNPMNDNHLASRFLAQNHGAVSPYSQRPQQPGNSQLINGQWDGWSDLRQGSNTPLSDMLRMLYPSEANNLHMLGSNDIYNGAFRM >PVH36507 pep chromosome:PHallii_v3.1:6:7529642:7529946:1 gene:PAHAL_6G086900 transcript:PVH36507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKFECMYLEAPKPFNFTVTLSTRRIFKALVWTTGIDMNWWRYAVAMAVSTAILTLSFQEMTWELKYEDCCTGYHWPNSNK >PVH36984 pep chromosome:PHallii_v3.1:6:38478747:38479563:1 gene:PAHAL_6G214500 transcript:PVH36984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNVVFGTAASVNLVILTPCFFAIALISVDFPAFCAPITQTLLRGPAESFMYEIRFLTARSLWTLIKKTASSFGAPKALARPRTHLSNRTPICCHGSKSTFVPTRTSLGVSLTLEFLLPSSALNNDLTARLGNDPSKSAQSTTMMITGVLADRFISRDEMNLSKSKSGIKFSALSFFTSP >PAN35916 pep chromosome:PHallii_v3.1:6:40892207:40893620:1 gene:PAHAL_6G244000 transcript:PAN35916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSHITGDDGGEGCNSCESGWTMYLASPMHGDDAGGSGKGSGSEGSSVDDGYGYIISDRRSGKKAYEDYADADDDDSLASDASTGPAKEKAPSSLPEDGEKEEDDGGRGKNAGKEEEEEGDVRTKFPTTSRKKAGKVEKGGEGNSSRRGHSKRGSSSRRSFFLW >PVH36161 pep chromosome:PHallii_v3.1:6:1021425:1026782:1 gene:PAHAL_6G011500 transcript:PVH36161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPADGGAESQRQADRLKQEGNALFRKERLSAAIDAYTGAITLCPNVAVYWTNRALCYKKRSEWAKVEEDCRRAIQLDSQSVKGHYMLGLALVNSQRLSEGIKALEKSLELGRGAHPASYMVEEIWQELSKAKYIEWEGLSRERASQLQKLKVACKEALRNYNSLSNSAADAPEEQINELEEVFKKAARTDTPAEVPDHLCCKITLDIFRDPVITPSGVTYERAVLLDHLQTVGKFDPLTREALEPHQLVPNLAIKEAVHAFLSEHGWAYKIR >PVH36878 pep chromosome:PHallii_v3.1:6:35811582:35812623:1 gene:PAHAL_6G188000 transcript:PVH36878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVGTSMIILDLICCSKGVELLILENNILYLILLSRPFVYDFFVMISVSTFSTQALPFFSLYTNKKIKIIAPQS >PVH36438 pep chromosome:PHallii_v3.1:6:5675313:5675613:1 gene:PAHAL_6G073700 transcript:PVH36438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLPQHLADFCFGVSVEVIYCLCQHGFIQLFQGSMSFEESWGVKASPTFSCGQSCRELSYSDSMVRRLLYSDNET >PAN36007 pep chromosome:PHallii_v3.1:6:41299955:41301754:-1 gene:PAHAL_6G250400 transcript:PAN36007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGDTMAQVHTGIDSSNKTLLKSEALYKYVLDTSVLPHEPECMRELRLVTDKHEWGFMQSSPDEAQLLRMLIKLTGARNTLEVGVFTGYSLLATALALPEDGRVIAVDVDREYYEIGRPFIEKAGVAHKVDFREGPALDHLDALLADERNLGAFDFAFVDADKPNYVRYHEQLLRLVRVGGTIVYDNTLWAGTVALPPDTPLSDLDRRFSAAIRDLNARLSADERVEVCQLAIADGVTICRRLF >PVH36332 pep chromosome:PHallii_v3.1:6:3764821:3766134:-1 gene:PAHAL_6G051700 transcript:PVH36332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATATATAPPCTGGVMMHMSSEDGRRLCITGLSLVDPAKARGRRVNVWAELGREKRAIGNLSSKEPDVAVPPVVLGGEFVLRHDLAVAAAVLLHVRVLGPSASAGGDGEAEEAVLVLGEHEDEEEVADDDAVGVGMEYELLSEEDMAERYDSDNEGGIRSGGRSRRESTPLFAAPPGSVVPDGEFLGPARFTAVENTAAFMRVAAAEAAGDDEGKEIVVLYRYTRFSRPRGGRRGVEACRRTKLHRLRFAVPPAGDLASSLGLAGSSLGPLIYPGLFRRQLQELWASLAAPAISTIPPRAARLQVIVDAGILRLEDCTPERMAHMSGALATRMLDACPAYYHVGMELHLPEPVPANSRRIGEDDEEAEECCVCFELLESGLAAWPGCGHVFHGACAEKTLARSEMCPLCRHKLSDPLVHKNTSRCVDNLQSAASVL >PAN34924 pep chromosome:PHallii_v3.1:6:20947061:20952119:-1 gene:PAHAL_6G132600 transcript:PAN34924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRRRSVLLLLLALTVLSPLALYTSRLPAAFNPIQTRDFPGEITNQGRGVKADKLNALPLETVSSLKEPVGIVFSEELGGLAKESTESDGQELPSRKAGEHKNRVLSEVTAAADGTEVIEQVTRREAQDGGLVSAVSEEHEKTTGSQQQSSSEESLLETMPKQTSAKVVAENSQTARTDGKTKNSVLPDTRIRNIKDQLIKAKVYLGLGSIRANSQYLKDLRQRIREVQKVLGDASKDTDLPKNANEKVKALEQMLIKGRQMHDDCSIVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLAHEYFSLDPDRQQFPNQHKLTNPKLYHYALFSDNILATAVVVNSTVLNAKHPSDHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVQNIGEFTWLNDSYSPVLKQLGSQSMIDYYFGTNRANPDSNLKYRNPKYLSILNHLRFYLPEIYPKLDKMVFLDDDIVVKKDLTGLWSINMKGKVNGAVETCGESFHRYDRYLNFSNPVIAKNFDPQACGWAFGMNVFDLAEWKRQNITQIYHSWQKLNQDRSLWKLGTLPPGLITFWNKTFPLSRSWHVLGLGYNPHVNSRDIERAAVIHYNGNMKPWLEIGLPKFRSYWSKYLDYDQPFLRECNVNP >PAN33722 pep chromosome:PHallii_v3.1:6:2910109:2913729:1 gene:PAHAL_6G040400 transcript:PAN33722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRRSAVPARQLARFSRHLPAASSRSFSRYSRDDNNARYDPPATPMNWGVSIVPEKNAFVVERFGKYIKTLGAGIHLLIPGVDRVSYVHSLKEEAIPIANQSAITKDNVLIQIDGVIYVKIVDPYLASYGAANPIFAVIQLAQTTMRSELGKMTLDKTFEERDALNANIVRAINEAATDWGLKCLRYEIKDISPPHGVKVAMEMQVEAERKKRAQILESEGKKRAQILESEGEAGAVLALAEASARGIRLVSEAMTTKGSAEAATLRVAEQYVRAFSQVAKKGNTILLPGDGGNPSSFVATAFKICQRLQANSPQVEELEESGGETETSEMPPPLIPDIDPGKSFSLQSGKNKA >PAN34492 pep chromosome:PHallii_v3.1:6:29193836:29195552:-1 gene:PAHAL_6G159300 transcript:PAN34492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEERGRWVWQPERPPLHPNRRGQSAVIPLRCDNSSSSNYRHAQASRNHRHKGGDPSRVKIREVIKGAHLFSNKVKELSRKVGLGMLLRSLMPGIALCREPGHFFGICGKPKVCFICAVPGHHMDVCPRWNQPLPAASYYGSASLGLGFYHLKVDEKSPSQWLNLSNCGVVRVLTGQITLVELEKELADIYCRDWPFQIREPGNFLVRFPPHKKISDIKNYPSFGLRKTGVQVEVLEWIGETDPLEELQEVWIQIRSIPPRWCDWKGFDQITSGFGLMLDVDWPTIFKSFYEVVRVKLACRDPSKIPAERMFEKTL >PAN33781 pep chromosome:PHallii_v3.1:6:3201650:3205614:1 gene:PAHAL_6G044300 transcript:PAN33781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >PVH36268 pep chromosome:PHallii_v3.1:6:2608726:2610361:-1 gene:PAHAL_6G035900 transcript:PVH36268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPSILTAGRRLNTAAVAAAVRRGDLAGAEEAFASTRVKTTATYNCLLAGYARAPGAGRFADARHLFDRIPHPDAVSYNTLLSCHFANGDVDGARRLFSEMPIRDVTSWNTMVSGLSKNGALEEAKAVFQGMPVRNAVSWNAMVAGLACSGDMAAAEEWFRHAPVKENAVLWTAMVSGYMDEGNVQKAMEFFEAMPVRNLVSWNAMVSGYVKNSRASDALRVFKAMVDNATVQPNASTVSSVLLGCSNLSAVEFGRQIHQWCMKLPLSRSATVGTSLVSMYCKCGNLDDACKLFDDMHTRDVVAWNAMISGYAQHGYGGKAIKLFGKMKDEGVRPDWITFVAVLTACIHTGLCDFGMQCFETMEEVYGIEPRVDHYSCMVDLLCRAGLLERAVSMIRSMPFEPHRSAYGTLLTACRVYKNLEFAEFAAGQRDSGTTGWAVQWARDLVKAGPFNQRRRSTFWVGRASC >PVH36200 pep chromosome:PHallii_v3.1:6:1559138:1561618:1 gene:PAHAL_6G020200 transcript:PVH36200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSHLAQATPAAPPCSRRQEELPSRGASLGTAAAATHVLSPATPHPPPPRRRSPRATTTVVASTPAYVRLDASQPHRPRPRAHGVTTPKPVSRWLQPAGYHPRPCEAPRPVPRRSQPQRPSADPAAGTPDPRTLAPDPAFHAGAAMSCPLRRLRWSCPPRREGEEGCPKAAVLASRTDFRRPARAAVRRKGGRKEGAAVFRLPGLHTRTEGGGGGGGGLYNYKGTN >PVH36568 pep chromosome:PHallii_v3.1:6:12739866:12740363:-1 gene:PAHAL_6G105900 transcript:PVH36568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESGTTREPTPEYDPIAAYEVRAPLHWDAVEWDFDYQSEDDGSLTDGEDLALLLGAELEEEEDDALWGEDLSFSEEESDPLSSEEDPMAGNFLLDRSSDDTSDSRGGTDDDDGFTNGSDGNDADNDGDDGSSSSGASIAPPSKRRKASDVHWW >PAN33835 pep chromosome:PHallii_v3.1:6:3681216:3681788:-1 gene:PAHAL_6G050400 transcript:PAN33835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPVAHQVAAAAAMGAQDLEQQQQQQRRRRSRASSEYLGVRRRPWGRYAAEIRNPVTKKRRWLGTFDTAEEAAVAYDLSAISISGPAAARTNFYYPCGADLELGAAGAATALHSQQQPPPPPYGPVQVAPPPSPLAEGSGSTVDDYEWQQLSADEEVDDDESLTIAAILQSFRHQTAPSAPSAPLYLL >PAN36183 pep chromosome:PHallii_v3.1:6:42324728:42328732:-1 gene:PAHAL_6G264700 transcript:PAN36183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDGGADAWGGGDDGSSLFEGMVLFAPEPAAAEEAAPVPAPAPDPEPPAPRPDSDAGAASSSSAPPPLDEDLFSDLTLLAPQEPLSLEQPPLPQGEDRGHAAPAALAPAPSPSPAPAAALSRQPSSSSLRKKKRAVRIGYGRSPQPAPPSPPATVRSSTAVTFSASSIAFLDASPQPAAPPTPDQYPERQVDAYANGDEVDSEVVVDPDTNPPCEIDEEVKEEDDQKEDEVAGVAAAVGIEERLVLLRSQISSKLDSIQQMAAAVVARKRQLAGRRRKVAEEATSVASRHKDLERELEKACEAEDFERAERISDSLAALEKEKDRLLTALRDAELDYDSVDSELQGVLESRIAAEEEAAALLEQFAKDATDHADSESKQAEEISSKEIEGWQTSMELLETKKLEMEVETQLVLEARSGLEGSIEHLVEEDKLEKDTLSKKGEILAEELAGLLELVRLKEAEIAENNARIHEVQERISDVVSRFHGSQSDIDLKLNSLKEAQSKGDLETEALVLKKNEIDRFISLIEQKDSELREIIGACSSEAKICQQSVEIRRKLASSILKSREDRIGLLKMEEEILQDIQMLRQKITDARTSLQEISSRRTSIQQEMDSFKQKLSFIEKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSEKDELHTKLEKAATDLEIIEKDIIATTDKIQECEGLIVQKEKESAITSYKRLRLDCAAARAELTAATETDDNEEVEILRKEAEAAESKAVELKACYDLRIEDDEFMFQPVVPIAFITNSTGQHLVEIASSFGLSPQK >PAN33829 pep chromosome:PHallii_v3.1:6:3664001:3664706:1 gene:PAHAL_6G050000 transcript:PAN33829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFLRKRPSFFNPDEVITTPLAARRSARSNGRGGLHGALLPPPVAPAPAAAFGSRLRAVQAAGGSPPLLLRGPAAAAPPLGDRCSWRYLSKGGRGGGGRRQEGPAAAAGAQDGTRGQQGAATGGDKKAAAAAGAQDGARGSAATPAAQKEARAAAAGRRRPSSSAAPKGGGQGGRAAAPVKPKGNKGGRGGPARPGGGPKQGRGGCGGIIHGAPPSSSPPSSP >PAN34654 pep chromosome:PHallii_v3.1:6:17452828:17453727:-1 gene:PAHAL_6G122800 transcript:PAN34654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVQLDLQMCIALMNAFVNPVHYLKIRVPPLASSYLWVGGRCGALIISLHARLLHTELAGTACSVSMDLLKLWWITLPLVVANHVPTELGNGEEPRLEQIEIEGRGGGWGWAYIGVAVGKFTPPTQVRQVQPSSA >PAN35407 pep chromosome:PHallii_v3.1:6:37841529:37844814:-1 gene:PAHAL_6G206500 transcript:PAN35407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGGRRRRARVMTVDGATYKYRPPAAAGDALRDHPGHRLLDAEEVRRLGVRARPLDPDAPLKPGRLYFLVELPRMLTARRPPQRSWSGALSYDGGAGERLESLMLARRSASDVAASASLLASPARPSVEAAAGDGGAVRLRVRLPKADVQRLVEGSRDAAEAAERIMQLCVERDQQRRHRSAPGTPLVVPVAAANMPAPAIARKDSSKPPAAAGAGKKEKRARFMTVPDEIIGF >PAN33614 pep chromosome:PHallii_v3.1:6:2474586:2475039:-1 gene:PAHAL_6G033700 transcript:PAN33614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNTRPSQTLLLLLCLVLLACFATPAHARGQSIDNTNSKMMTRANDVITKGDVNSRCCDSSLLSSSEALFCCKNQDFCWPLLPECEQNCPC >PAN33447 pep chromosome:PHallii_v3.1:6:1650121:1653959:1 gene:PAHAL_6G022000 transcript:PAN33447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGDGLAGTPPPPPPPPSPFPAGSPNHNQSHPSAAISSPLLRPDADAPPLSRWLRRLEAFLSAAGLAASTRLGVAAAASALAVLGLALPAAAVALSPCRGRRVACDEFEVEAFEACVLLSQAAAGGIALACVSRKMAMYGIRKFLFVDPELGMRIRFQKEYVAKIQDFFRILAWWILPCFVVKVTRELFRFSHLFQESVWRACVVFFASIMSWMYLTTILLSSCMLFNVVCNLQVIHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFLSVTASQFAILFKTTAYNGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNISSIASRWHALATCSTDSNYATTPNSSGNLVPFPAHMFLRDYSESDLESLETASLHGNSPGTAQLASYMSSYHKRESLVLYLLANPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVISGKPLMNSFIRFL >PAN35416 pep chromosome:PHallii_v3.1:6:37896000:37896293:1 gene:PAHAL_6G207300 transcript:PAN35416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMMSFGTLSYAFSRSIKTMCKSFFSSLYLPINRRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPW >PVH36194 pep chromosome:PHallii_v3.1:6:1475596:1476035:-1 gene:PAHAL_6G018700 transcript:PVH36194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCLMGMMMLTMKLAAMIPTVELSRVWIPLRRMELNAMMKRVQTSEPSADPDNEASNKERCMTTHPEHTYNFGCKRERREETERAG >PAN34168 pep chromosome:PHallii_v3.1:6:5613087:5615754:-1 gene:PAHAL_6G073100 transcript:PAN34168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAVPRSAAICRAAPAVQAARALALPRRALSASAVAAPAPRRLVLAARRAADGDNVETAPEVVPIEKRFPAFPSVMDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >PAN34046 pep chromosome:PHallii_v3.1:6:5613279:5616151:-1 gene:PAHAL_6G073100 transcript:PAN34046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAVPRSAAICRAAPAVQAARALALPRRALSASAVAAPAPRRLVLAARRAADGDNVETAPEVVPIEKRFPAFPSVMDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >PVH36582 pep chromosome:PHallii_v3.1:6:14329337:14332923:1 gene:PAHAL_6G111100 transcript:PVH36582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLCTSRSRVARGRGQCLPPHSNLSNSQRSPSPCELGAAPFQKRPPPQPPRGPDPAAVAPSTPQPPQGLSRRRTAAANLQPSPSGAATASLAAALARERIRRRGEADAGPGEREALPFWQRTCFLALLLAMAAASFALALLLFLGLDLHEAAPAQSYAADPDTVVEITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWIVRPQPDSSAKQGDPITHGTIIRLQHMRTRKWLHSHLHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKTWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNIWLAAEGVYHPVMPHK >PVH36266 pep chromosome:PHallii_v3.1:6:2590421:2603728:1 gene:PAHAL_6G035700 transcript:PVH36266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRVTANCEAAAAAAMGSMTIGATYKATSKDPAGTPGALRVVRAVFLPCGLRGRFSLRGRGVFLLPCAAGHKFGSKQARSLLKLCRGPGEGGDYIFEFDNVADRDQCRDFLARVFGQHQGTVPPRPNLPLENSVASTGLEQLRPAEMERRMKLLRDNRELQKLHMKFVIGKVLQESEFWAARKNLLDDEAKKASKQRPGFKSAMLDVRPFAVRTNKVIFRPTTEIIHHIFMEKPAISEKDFWSKYFDAEKLRGKITAAAAAAVAAEDEVLAVFLKSDDILANEAKFKIKQVDPTLNIEADAGDYYNHLLDHRILRDGSKQTVDTDNELAIRTLSQDLNQHAAAVLEGRSQGVKLTDTKTVAEALARLKKEPSSSYITDGTNHERLVKVPRVTYMEDLQAPRNLPYAPLCIKNPGDYFDYQQANALRSIGGSNDGKKALSSIKVNRLNCCDVNSNEALKVFNELNKGISHSRRHNLTHENLLGQLPLQTVDELMDHWTDIQELLHHFWSSYPITNAVLCNKVERLKGAMAQIYRKLQDMKESAPPEIRHDMSRLVKAMTQAMDAAFSHDLEQHQKSSEAKS >PAN36533 pep chromosome:PHallii_v3.1:6:44440611:44447255:-1 gene:PAHAL_6G290700 transcript:PAN36533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAAARKEWRAVPDAPLRSNGAEDAADHGKLSQSEDRAIYEEGAGGLDDFCAITIDGSGGLSEDLLQQRLQSVMRQREELQQVEIELRAQAIAHPQIIEAQQSFQAAAKEHAAAAAKLKDQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLLREQTKELATVRRERDNSEAERAQHLKQIHDLQEHLREKESQFIALEEQHRVAQENILYKDEQLREAHAWVAQVRDMDVLQSQSLQVELRERMEQFNQYWISSQQQYAEMQRGYLHAIQQLQLELTEARERSGAQKDGPPVSREGSAESSFVQSIANSVASNGSATADGNQQLKNNGNADVSVKGNNASAVPIPSSLLGIGGYAPPAHITGLQSYMIHQGIPQPLASPNSGVPQFGSFQSQSTIQPNLHWANQQEVQNVSQPQDETNFQPSQSDQTSLQPGANSTDELSSKPSKTSHPDHLNAHGKQQQSPASVPAESTHELTKTSQVVEQNVAEHVVYDEQQKAFKEQDSPSNVNSRTGMVEHQEKKTESKDERAATDKQPEPVPRQQHKPSNFSASATQIHFKNNAAEFNPNVVNQVDTVKSVAGGFGSQLPRIPKEPALLDERSLLACIVRAVPAGPEGGIRISTTLPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAATASSVPYSSLLPSVAVTPVAQSTRQKRGPAVDSRSSAIPSGNGFTDQFNLIQGVSDVTISGKVRNTQENGFSDEVQTGQPSMHTAAVNGVRHDKGASNIRHGYGGKQQGRSTGAAYISRR >PAN36710 pep chromosome:PHallii_v3.1:6:45316829:45319624:-1 gene:PAHAL_6G303900 transcript:PAN36710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) UniProtKB/Swiss-Prot;Acc:Q93Z32] MESLWKLTYLLEPASLALIATAISVAYASASRALDYGKEMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTTVASAMALFFCLSPYVTYLKTQLNLMDPFVSRCCSKSFTRLQGLLMLFCIATVLAWLVSGHWLLNNVLGISICIAFVSHVRLPNIKICALLLVCLFVYDIFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRNLLGGIVPGSSPGDYMMLGLGDMAIPGMLLALVHFFDNRKHKDANIPSDMSPSKRRNYVWYALTGYGVGLVAALAAGVLSQSPQPALLYLVPSTLGPVMYLSWLRNELWELWEGSGPILNEKARLLEV >PAN35090 pep chromosome:PHallii_v3.1:6:34214580:34219847:1 gene:PAHAL_6G180100 transcript:PAN35090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTKEVRYTARSITPPADRNGTSRSPPPKRRSPSRSPPPKSTSRSPRPRSPKRRSTSRSPPPRRRGRSRSRSRDRSRSRSEDDRNPGNNLYVTGLSTRVTEDDLEKFFNKEGKVKNCHVVLDPRTKESRGFAFVTMDTVEDARRCIKYLHRTVLEGRLVTVEKAKRTRERTPTPGKYCGRRGGSQRRSRSPSPYRSRRRERSRSRDRKRERSPSRDQRERSRSRERRRERSRSRDRRDRSRSRDRRRDSRSRDRRRERSRSRDSRRRGDRSRSPAGNGNHKTD >PAN35433 pep chromosome:PHallii_v3.1:6:40812028:40813598:-1 gene:PAHAL_6G243000 transcript:PAN35433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKTPEKSRPAQWTMPTRPLPSATSARKTPIKPRPLAPCATQPLPPHRSSLARPPTLTAMAHQFPHRCLALLLILLAAAAGAGAATPRQLFLVSQAPVTLTNHHGQLLTGNHSVNLLWYGRFTPAQRAVVADFLLSLSAAPAAPASAAAPSVAAWWATTARYHPGAARLTLGRQVLDPSLSLGRRLSEASLAALAARLSPHRGSVAVVVTAPDVLVDGFCLSRCGLHASATSSAAAAAPAAGHGAGAPPAAAARGRGRFAYVWVGNSADQCPGECAWPFHQPSYGPQAPPLVAPNADVGMDGVVINLATLLAGAVTNPYGGGFFQGPAEAPLEAVTACTGVFGAGAYPGYPGQLPVDAATGASYNAVGVAGRRFLLPAMWDPKTSQCSTLV >PVH36183 pep chromosome:PHallii_v3.1:6:1364956:1365881:-1 gene:PAHAL_6G016700 transcript:PVH36183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHLRSASLPSSPRSNEIDVDEKLQSMKTTVSSSSVTIETMCDGFRNLGEVYNCIAELACLPSSQVTRQRGAVEQELECSLVLLDLCNTMQESFGELKTTIMNMQMAPKRGEDAAVQVMIHSYIRLAKKAQKQFKKISKKSIAAGHENCRIIKLWSEAREVAISMLEMSSRFLMKQIVPSSSKWSLVSKTFQKRRVVCEEEQLQELELDIVDLESGVEALFRILIQSRVSLLNTLSL >PAN35858 pep chromosome:PHallii_v3.1:6:40639340:40641480:1 gene:PAHAL_6G240200 transcript:PAN35858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAAADERGKKGAPGGGVGGGGLEWELERQYDFEREMMLMAAAAPGAGPQQQRRQRPFTADLLQNCDLPPPAKLFGPVPTLQRLESAAGADQKGDAAGGGGGNNDSLLRALRLSQSRAREAEEKLAAAGASNGELAALLVRDSVALSAHRRWVMMLEAENSLLRGGGARPRGAEPDPDDDGDARRGGGGVAAWWVALAVCVGIAGVGLALGRLLC >PAN34561 pep chromosome:PHallii_v3.1:6:15121193:15125691:-1 gene:PAHAL_6G113900 transcript:PAN34561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQYRAGDERRIRSPPPPTPSPPSVPSTSGSGSADAHGGSDGPAERVRDAALVLWKPPPLPPPDVAAADSADELRRQAEKARIRERILREEAEHWELELEVRREIREQLLRLSWPAFGRSAGGSGPPERIVSGNASLQVAAHDEVHPKANGLAASQAKRKSPDHAAASILSAATSSKKQMITMTCTVCDISTNSEKGMQDHLNGKVHKRKATALVELPKPMTEPEPETGEEVLVPSGDYTPTKLTMLTNAGAMNEVMQMDGYLLCEVCNVRTADRVTMMCHLEGSKHISKSQRYGQASSKPPDEAAKKVVKGVSVPEAPTTAVGSADPETLVLELHGVPHTVRRLKGFLLCELCNVKTPSMNGMQNHLSGKKHKNKANASSDVSANVITGGEEAPKAQPMETDTAVVAGMSVQVEVPLAMSSEAKVGDYNELQETTMASTKEYVITVDSTKTHGKKVIKASGSTAAAQDDNFCDPDSLTMEVDSLQHPLQRVDGFLVCPCCNVRTPSEIIMRSHLAGKKHRRNRTLAAGVNKDASVLAEGADEVQGNSSKFMRANVDVESATSPPVTREKNDATTAPMEVDRLAEDKPNTCIEHEEHGQITPAESKSSKSVKADEEGESVLSLTAPQVKNATAMAPMEVDGTAEVEPAIHMDPEVNGGIDEEVESAPQAENVAAMAPIEGDGPAKVQPATRIEPAEDGELTHPTDQGEHASARANGSVTQVEESMKADTAAPGKPMKIQVEGMVFTVLQQENGGLSCETCGVHGCNKDSMILHLYTRTHWDRANLPEKEKQ >PVH36262 pep chromosome:PHallii_v3.1:6:2511845:2512969:-1 gene:PAHAL_6G034600 transcript:PVH36262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRRRQEELFVPLIRTCRAQLGAAAVDSYVDSLLSLRIPEDGGRSLTEDEMVSLCSEFLVAGTDSTAAVVQWIMANLVAQPEVQARLRAEIHQVAGNCIQEERLTRMPYLHAVVLEGLRRHPPGHFVLPHAAVPTATEDSGGATLEGFRVPRHASVNFTVAAMGLDEAVWPDARRFRPERFLPGGEGANVDLTGGKEIKMMPFGAGRRICPGMALALLHLEWFVASLVAEFEWRELSGEPVEFSEKQELSVVMRRRLRASVVRCTRRVNC >PVH37218 pep chromosome:PHallii_v3.1:6:43001451:43005916:-1 gene:PAHAL_6G273700 transcript:PVH37218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEATPRREKQQKDLLKKEKHKEKKHRKEKDRGKGERKETDRDHRKDKHDKKHKRKKRRDRRNNEDRDKDKKQCMGQDTQKNYKHGNRKPEERGQNEAVKDIKPTDKLITQNFGQEGSEEKERDSLGRVVKKSEEATQCNHEMVQKSESIVRANKKGMARGVDSKTKIKNGKSLQVGSAEMHFRRKHSCNGVDIWQDKHSCNGVDVQQDNSNARRSSEDVHTARPFVLGSGREANGRITLSPNRLQRAEEMEPDPEISVHSTKGKNDRINTKGGMMGKENRSANNCRGKMNQQSVRNKGEVEGKAKTNYCEAVKRKDRERVVKKRKTEYKNKQKEMEKNGTVNKHKHEDLGAREDQVDNLMRSGFLNGQKFTSDNVKKRKDFDANTSPDEHSMTMTKIPRVAPTKDEEICWHSQRITPYSSTELLDTNTREIGRRKSQDGYNSTIIGSRCSEEDIASVSSSGYRSNKGYLEQAHPDTKYLSQSYSIPPAQDFSDFIDQDWLLSQDCGERITAAFGAAESDQVWSDAQIIDTADVIALPYVVPL >PVH37019 pep chromosome:PHallii_v3.1:6:39125452:39125745:-1 gene:PAHAL_6G221800 transcript:PVH37019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHLLAHIPAAGRPYPSTTIRRTAANHSVVSSSSSSHPSQITVDTAMVVVQIQVVMDSNEELPGRDWEWLPDGRKQADIFFLLKKSSKQTLVGEV >PAN34261 pep chromosome:PHallii_v3.1:6:6393043:6393486:-1 gene:PAHAL_6G078800 transcript:PAN34261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRCSNPVCVMLILAFVLFGCRPFRCSPALSEAGAYQNSPTNSNSNAFVPKDTSVSKTQQISLKFCIKYICAGFSCYCCDNQTPSPPCYDTKSACQAECPACNPVCPPDHSHIFE >PVH36377 pep chromosome:PHallii_v3.1:6:4710567:4712353:1 gene:PAHAL_6G062500 transcript:PVH36377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSRGRRNRRRAGHPPRDWTAVPPGALSGILGKLDHVEILRGAARVCRSWRRINMLFHAELSFECHLHALARNAFQRSAGLCEASYGEYTGDDRLILFLAERAPLLKRLRFISYYDVCQEAFMEAIRKFPLLEELELSISPNVYGEAFAVAGESCPNLKRFRLSRKVFVDIEGGDTDKDEEAMGIAKVRELRSLQLFNCELTNAGMAAILHGCPNLESLDIRQCFNVKMDRATITSRCPRIKTLKLPHDSTAGYEFLVHPPKYIVRREWL >PAN33601 pep chromosome:PHallii_v3.1:6:2421778:2424758:1 gene:PAHAL_6G032600 transcript:PAN33601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAPPPPDPGSCLEVRLFYVRLSPHGGAAPPPRLALELRPAAGGEAIHLALRLDRHDAASGEATYVSTAAARLAPPAAAFEVADHRGAALLRGSLRRCCPDAKAADSPAWEINCVPAAGAAASASAFEVYVAGCCAGEPAVLTRALRLATPEEAAGGLVRRRPGALTAAGNEGDNDMNTSSMQYPEGWYSDDDDGQLSWFNAGVRVGVGIGLGVCVGVGIGVGLLMRSYQATTRSLKRRFF >PAN35920 pep chromosome:PHallii_v3.1:6:40912284:40916292:-1 gene:PAHAL_6G244300 transcript:PAN35920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIHHPRLAGGEICRTLEGSSSRSASYCSDDAFVPVFRPDPSAPSASAAAAAVDRVRRLFSSVDVALFRDALLAPGGEDLGFTEVDAEADYDGDLTSICWDCLEIEDADEPDLPLVVGSPAEEFEWEEVASPSGAAGEAPEPEWEVLADVPPPAVADAEEGFVYTSHRGMEEVLVAGGDELFLKNKPPAARSAVESLHSAVVGAGEEGEGDECAVCKDGVAAGQRVKRLPCSHRYHDECIVPWLQVRNSCPLCRFELPTDDPEYETWKAGRTVAA >PAN33742 pep chromosome:PHallii_v3.1:6:3030199:3033397:-1 gene:PAHAL_6G041900 transcript:PAN33742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGDNGGAAGDGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESDIMKEDDSNWPEPDRIGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >PVH36331 pep chromosome:PHallii_v3.1:6:3753305:3754606:1 gene:PAHAL_6G051600 transcript:PVH36331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATATATAPPCTGGVMMHMSSEDGRSLCITGLSLVDPKKARGRRVSVWVEVGHQKRAIGNLSSKEPDVAVPPVVLGGEFVLRHDLAVAAAVLLHVRVFGPSASADGDGEAEEAVLVLGEHEDEEEVAGDDVVSVGMEYELLSEEDMAERYNSDNEGGVSSGGRSRRESIPLFAAPPGSVVPDGEFLGPARFTAVENTAAFMRVAAAEEIVVLYRYTHFSRPRGGRRGVEACRRTKLHRLRFAVPPAGDLASSLGLAGSSRGPLICPGLFCRQLQELWASLAAPAISTIPPRAARLQVIVDAGILRLEDCTPERMAHMSGALATRLLDACPAYYHVGMELYLPEPVPANSRRIGEDDEEAEECCVCVELLESGLAAWLGCGHVFHGACAEKTLARSEMCPLCRRKLSDPLVHKNSKMRPQSAVCS >PAN33203 pep chromosome:PHallii_v3.1:6:213606:218327:-1 gene:PAHAL_6G003100 transcript:PAN33203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGRLLLLAVAASLAATLLLLRPASALQVGDTCSADAACGSGLHCSACGPAGDKICTRAAPIDPATHGTGLPFNNYSWLTTHNSFALAGAVSATGAAIISPTNQEDTVTAQLKNGVRGLMLDTYDFNNDVWLCHSFGGNCYNITAFQPAINVFKEIQTFLDANPSEVITIFLEDYTATGSLPKVFNASGLMKYWFPVAKMPKSSGNWPLLKDMINQNQRLVVFTSKKSKEASEGIAYEWNYVVENQYGNEGMVAGKCPNRAESPAMDSKSQSLVLMNFFTTDPSQTGVCGNNSAPLVSMLKTCHAASGNRWPNYIAVDFYMRSDGGGAPLATDMANGHMVCGCENIAYCKANSTFGTCVIPPPPPPRAPTPGGRGSRAGDASSAMGLVSHHQWSFFLGLVSLLVLLLW >PAN34136 pep chromosome:PHallii_v3.1:6:5430041:5430673:-1 gene:PAHAL_6G070600 transcript:PAN34136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRSTSPAGSSSSGGSGSGLEQPRLRGVRKRPWGRYAAEIRDPVRKSRVWLGTFDTPEEAARAYDAAARRLRGPGATTNYPVHAQDLNAAAIPASRASASGSAVLSEDASSSTSRESPLAVTVAVAAPPSLDLSLALPAVAGTPSSYQLFLDPAAMVAVAPALLQFLPPKSEEEQSFSGSASSAVFDAAPAVGLGLDLNLALPAGMVM >PAN33373 pep chromosome:PHallii_v3.1:6:1340434:1342894:-1 gene:PAHAL_6G016000 transcript:PAN33373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLDEYEKLVIRMNTPRVVIDNAVCPTATLVQVDSARKRGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGRKLTDDSVITYIEQSLGTWNGPARPAALEGLTALELTGADRTGLLSEVFAVLADMECSVVEARAWTHRGRLACVVFLRGEDADAGRVARILARLGHLLRGDAGAPGAVAAVPAAGVAHADRRLHQLMAADRDQDRAFPTPAVSVDSWAERGYSVVTVQCRDRPKLLFDVVCTLHDMDYVVFHGTVDTTGDQARQEFYIRHADGSPIRSEAERERVCQCLQAAIERRSLEGVRLELCTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDLASNVFYVTDAAGNAVDQCDIDAVRERVGTDRLVVREEPRPQLYQKAAPGERDHGVGGLGLVYLGNLVKRNLYNLGLIKSCS >PAN36102 pep chromosome:PHallii_v3.1:6:44722955:44724085:1 gene:PAHAL_6G294600 transcript:PAN36102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPARCSCSPPRAAGELLPADVRIGRRFRGTPASFPAETLRRRRSSCRRVPPRRAANGRVGR >PAN36123 pep chromosome:PHallii_v3.1:6:41921372:41921894:1 gene:PAHAL_6G259000 transcript:PAN36123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWRHWRGVRLLEREERNNGFLPSSSGLVGCFAWRKKNSKRKRRITHRLQAANERTSSGFGDV >PAN36724 pep chromosome:PHallii_v3.1:6:45387636:45390291:1 gene:PAHAL_6G305200 transcript:PAN36724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYLTGKRTAAAPNNIKEKTICNREIKFEDLPEGVQCTILSKLPLKEVVRTSVLSSEWRYLWVHCPKLCFNSADQVSGRKRCIKKFIDNVNTVLHKCSGEVVEELKVKFGFDSTLADHVDSWISFAASSRTKVLALDLESIDSWLREDRYIFPFQLLNNESISCLQSIELSFVSLKPPFQFRGFPNLRKLHLSLVHVTRKDLQDTLSSCCKIECLSMDRCYPGDELKVDTPLFHLLHLRIVRCEFTKIEFHAVNLHTFTYRGPLRPIVLNSSRKLNDVTISLFEATFQHAFASLLNGLPSVKHLTFHIIFLQMEMQGLSNSNPYKFSRLRNLQLHMNIDREDADKILCLVSFLRATPLIEILEVHFECTDLWFADVAVGPTRQNLQRYEYTHLKSMNITGYKGARGQLEFILHVVENAPALEALTVYTTRELQEHAGQVAKCCLSQKRSPTLKFCVV >PAN36725 pep chromosome:PHallii_v3.1:6:45387636:45390291:1 gene:PAHAL_6G305200 transcript:PAN36725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWLSLASLTFLSWLRAGLLTSASFGMGNYLTGKRTAAAPNNIKEKTICNREIKFEDLPEGVQCTILSKLPLKEVVRTSVLSSEWRYLWVHCPKLCFNSADQVSGRKRCIKKFIDNVNTVLHKCSGEVVEELKVKFGFDSTLADHVDSWISFAASSRTKVLALDLESIDSWLREDRYIFPFQLLNNESISCLQSIELSFVSLKPPFQFRGFPNLRKLHLSLVHVTRKDLQDTLSSCCKIECLSMDRCYPGDELKVDTPLFHLLHLRIVRCEFTKIEFHAVNLHTFTYRGPLRPIVLNSSRKLNDVTISLFEATFQHAFASLLNGLPSVKHLTFHIIFLQMEMQGLSNSNPYKFSRLRNLQLHMNIDREDADKILCLVSFLRATPLIEILEVHFECTDLWFADVAVGPTRQNLQRYEYTHLKSMNITGYKGARGQLEFILHVVENAPALEALTVYTTRELQEHAGQVAKCCLSQKRSPTLKFCVV >PVH36441 pep chromosome:PHallii_v3.1:6:5773798:5782760:1 gene:PAHAL_6G074500 transcript:PVH36441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGELDADAVAGYFRGKSILIIGSTGFLGKVLVEKILRVQPDVKKLFLLIRAAGVESAKHRVETEVTGREIFQVLKEKHGDRFDDFIQEKVCPLAGDIVYKNLGLDHAKLTELSKGINIIVNGAATTNFYERYDVAFDTNVMGAKHICEFAKRCNKLKMLLHVSTAAYVAGEQEGILPEKPFLLGETLREGVHLDIESELNLIQETRREVEANCYSERVEKRTMKELGLKRAREFGWPNTYVFTKAMGEMLLGHLRGDLPIVIIRPSIITSILNEPLPGWMEGIRTIDSFIIGYAKQALSIFLVDLDLIMDVVPGDMVVNAMMVAMAVHSEDQGQSIYHVTSSLRNPAPYAVLADSGGRYFLHNPPCSKKNGEPVRLSRMRFFRTLPRFRAYMAVKFRLPLEILRLLNIALFGAFSRRYGELSRKYRYVMHVAELYAPYALFKGCFDDTNTERLRAALKANKEQNKSRGYDFGFDPKSVDWDNYFYSVHIPGVVKYLCD >PAN34182 pep chromosome:PHallii_v3.1:6:5773798:5782760:1 gene:PAHAL_6G074500 transcript:PAN34182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGELDADAVAGYFRGKSILIIGSTGFLGKVLVEKILRVQPDVKKLFLLIRAAGVESAKHRVETEVTGREIFQVLKEKHGDRFDDFIQEKVCPLAGDIVYKNLGLDHAKLTELSKGINIIVNGAATTNFYERYDVAFDTNVMGAKHICEFAKRCNKLKMLLHVSTAYVAGEQEGILPEKPFLLGETLREGVHLDIESELNLIQETRREVEANCYSERVEKRTMKELGLKRAREFGWPNTYVFTKAMGEMLLGHLRGDLPIVIIRPSIITSILNEPLPGWMEGIRTIDSFIIGYAKQALSIFLVDLDLIMDVVPGDMVVNAMMVAMAVHSEDQGQSIYHVTSSLRNPAPYAVLADSGGRYFLHNPPCSKKNGEPVRLSRMRFFRTLPRFRAYMAVKFRLPLEILRLLNIALFGAFSRRYGELSRKYRYVMHVAELYAPYALFKGCFDDTNTERLRAALKANKEQNKSRGYDFGFDPKSVDWDNYFYSVHIPGVVKYLCD >PVH36248 pep chromosome:PHallii_v3.1:6:2216191:2216953:1 gene:PAHAL_6G029900 transcript:PVH36248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAARHQVVRLLVHVPAVNHGFLLLLPAIFPGPELQVDRVHGGIVLEVGLPGHHEHVGGDLGGVRRRLQGLLAGGVRRLPGARLARVFDFHGGHVAAGGGGAGGEEHGGQGDLQQDCCQDEEGLHG >PVH36804 pep chromosome:PHallii_v3.1:6:32612498:32612737:-1 gene:PAHAL_6G171700 transcript:PVH36804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATVRILGNGLLAAPAYPVEATNHIHGNVGLGEFEIFGDAAKGPAVRAVHGLQHTHAAAWRTEDGHCSTTVEARAELL >PAN33688 pep chromosome:PHallii_v3.1:6:2770441:2771368:1 gene:PAHAL_6G038200 transcript:PAN33688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLRRLFPFLAGTAVGVYAAQNYKVPNVRGLVDRGVEAARRYEEAYRKKPSSSAGGDGAAAGGSGSGRKKRVVQVDIDDDEQ >PAN34286 pep chromosome:PHallii_v3.1:6:7224389:7224865:1 gene:PAHAL_6G085200 transcript:PAN34286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter family protein [Source: Projected from Oryza sativa (Os03g0370800)] MPSPTMGPMPPTDKNMDMPANMPAMHMAFFWGHRVQVLFSNWPGDHRDGVGMYVLCLLVVVVLAALVEVLSAWSRGLSRRSRDSNALGTLLMTGIHAVKLGLSYLVMLAIMSFNGGVFLAVLAGHAAGFFLSRRGILGHATHDDVLTNGALHPSKPKP >PAN35892 pep chromosome:PHallii_v3.1:6:40827975:40829886:1 gene:PAHAL_6G243100 transcript:PAN35892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALARRRHLRHAVGALLAAAFLLSAAVPGARAQEETEDEREFSYVPGAANGPDRWGEIKPSWANCSHGRMQSPIDLSHDRATLVRSLGCLDYSYRPAEATMVNRGHDITVRFSGDAGRLVINGTAYRLRQLHWHTPSEHTVDGRRYDMELHLVHESAENKAAVIGMFYEVGAAPDPFLKKLEPAIKRVRDTRDREEPIGLVDPSGARATGSVYYRYMGSLTTPPCTEGVVWTVFHKVRPVDGYQVELLRDAVDDGNRKNARPLQAVNNRDISIFRPNPYARGKQYY >PVH36485 pep chromosome:PHallii_v3.1:6:6903095:6907704:1 gene:PAHAL_6G083300 transcript:PVH36485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPSGRLTRARTRNIYREDQFDSGLWEPDDLMYGVEDFQYEYAMDPDIGYKGSDPYDAVYKDLPKKHHILKKAKNCEISHAKRFLGEGPAFYCRKGKVNIYIPRLLAELRQLFASQTDKDAKYFRKHIWYFNLHFSFTSFGVSIDHHLASPRATGVYCFKVHGQIYHRLDQLVAGGRGPRHTQLYFYDINETIANRVKRSPKLDTTLIRLILGIVQANPYVLLFRSLGSVSNIAEHNIELNTSISVDQRRYNAPGMDQVTAIWNRPYYIRAYHGCYDPLAYPLFFSGGETGWEDKKIKYQHPVYYDFSGEHNEMDEQSDNEDNPDDELDDIAGGGSRCHVSAREYYCFKLQIREGQFNDFFHAGRLFQQFTVDMYVKVESMHLDWVYHAKLLDPHDFLIKKGHLGKVAAQAHVIEFQKRGLPHEHFLLVMELGSKLKTPNNYDKYILAELRDKKKYPELHKLVCKHMMHGPCRILNKVCPCMVDGECRFHYPHKFIKYLYKYIYKGHDRASYSLEPKENGKKVINEIKQYSDSRMKTAIEAVYRLYAFKLYTISPPILQMQVHLEGMHIVAYKSTDNLNNIVRSEKSHRSMLTEYFLVNRTNPAARKYLYREFPEHFTWNKDISYHLTSMGKNIRHYGLLELHETEEERSRDHYTELMEELNLGFDDDHLKINKGQVFFVDGPGGTGKMYLYKALIAKMRSIHLIAVATASGIGASIMPGGRTAHSRFKILIKLSGSTIFETLDRSLRDIMGCEKPFGGKVMLFGGDFRQVLPMVPRGTRAQITDATLLRSYIWESVRRIRLMQNMRAQADTCFADYLLRIGNGTEEVFDGDYVQLPDDILIQNPSEDDSIDILIDRVFPNLVANCTSATYMRERAILFTRNEHVDAVNALMIDRFPGKHKIFYSFDSINDDSRNNYPLDFFQFDYS >PVH36815 pep chromosome:PHallii_v3.1:6:33098477:33099784:1 gene:PAHAL_6G174300 transcript:PVH36815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCVQSRDPKYDNKRSKNFSDHEDEVLVSGWLNISLDPVVGKDQKGGRYWSRICEYFHEHKTCSHAPVKAFQFMHCWNKLRTQPKWLAKVDELAVAKTSNKKQKTSSTTYPSATLPSEIGQENMWAQKKETDGEKELKKDERFNRAFALEQDRVANEKLLKVRSQEVQLKKKRDEERIMTMDLTAMPDEQKKYYMCLRAEIMSECSMGSS >PAN35709 pep chromosome:PHallii_v3.1:6:42421290:42424794:1 gene:PAHAL_6G266800 transcript:PAN35709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23310) UniProtKB/Swiss-Prot;Acc:Q9FMX0] MCHRINPFSFFLAKNPQDKPLRHPHSSPRRLLVRSPFGDMPPPAPLFLSLPSPPPPLLPVHHPKAPQALTLNRPLTSSRKPALPTRPVASLAPAVATRQYDWTRKQRIRRQGGLSRRYSKILSYYGLTTPPYKLDALEPYMSRRTVELHWGKHHQDYVEGLNKQLASSPLYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGLPEGGVLQQIEKDFGSFTNFREEFISSALQLLGSGWVWLVLKRNERKLSVVHTRNAISPLAFGDIPIASLDLWEHAYYLDYKDDRRTYITNFMDHLISWDTVTLRMMRAESFVNLGEPNIPVA >PVH36951 pep chromosome:PHallii_v3.1:6:37891950:37892882:1 gene:PAHAL_6G207200 transcript:PVH36951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRLPIPLDAGSSLPRNLHARHLRCLMHKQLDELLRAPVCRPRRGRGRGAGFAGRGPRSPSSSFASPTRAASSDSSSLATRRGPPVMPGTCTAPECILVESLRHCESHEWTARVRLQPDGLPQEVPDVVTSYYPCHVWMNYACRDAGPSSAEHAFTMASPRCAISPSPSSEYSSTKSATPLYIATPAELPDFLRGTIAACPGAPPFYMTPWFPGRPTAAAAANARPGLSCRIIKTEHVSAEMSAGGEACRQPPSGEGRPERGGDEA >PAN34152 pep chromosome:PHallii_v3.1:6:5511750:5519640:1 gene:PAHAL_6G071600 transcript:PAN34152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGANFPGFIGAAGGHDGGVNFGGGFCDMAFYQKLGEGSNMSIDSLNSMQTSMHGGSIAMSVDNSSVGSNSDSRTGMLGHPGLKGPIVVGSYSVSNSIFRPGRVSHALSEDALAQALMDNRFPTETLQNYEEWTIDLGKLHLGMPFAQGAFGKLYRGTYNGMDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHPNIVKFIGACRKPLVWCIVTEYAKGGSLKNFLSRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELVTGNVPFANMTAVQAAFAVVNKGVRPTIPHDCLPALGEIMTRCWDSNPEVRPPFTDIVRMLEQVEMEVLRTVRKARFRCCISQPTALD >PVH36384 pep chromosome:PHallii_v3.1:6:4833945:4834861:1 gene:PAHAL_6G064100 transcript:PVH36384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLQNYSLDLCVAEKEKLNYNKKGLTKIGWSNLCRNFLQQTGRTYDSKQLQNKFNSLKRQYKYWIQLKDKSGGGWNNNTGTIGSNEDEDGTVLCVGGIGDKTPSRGSEENLAPLSEDNVGRSCVDRVAQRSGKEHIVDSPPPKKTKSMEYYVECTYERMLQRNRYERSATTREQEMTELLQLAEEDGVSNGSELYFIATELFRSPGRHAAHRSIKAAKSRIAWLRWTWDNVKKK >PAN36225 pep chromosome:PHallii_v3.1:6:42145449:42149289:-1 gene:PAHAL_6G262100 transcript:PAN36225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPLGHLSPWSTSSSTQHRNRRPQTPRKQTTPKFPPSSSAETQPRPVEAAGDTAPTSTEQRRSGAVSYCPLLPVAVVLVRRGEMSVRIKAVVDKFVRELKEALDADIQDRIMKEREMQSYIAEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASNQDSQDGALEITVGGEKYRCLRFTKAKK >PAN34423 pep chromosome:PHallii_v3.1:6:10651220:10659329:-1 gene:PAHAL_6G100400 transcript:PAN34423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRKVKDQESYDDLSQNDVESLSGRSLSSANATATGLSSADGAKGKSSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNHHGVTDDNRLTTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMLAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGVIFNLVAICVQDYDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFYFHLSLAFFLGSTVVSVSVYLHSVGKLQPQK >PVH37210 pep chromosome:PHallii_v3.1:6:42898576:42899521:-1 gene:PAHAL_6G271600 transcript:PVH37210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARSCPPPRLASSPLSLPHRQWLSGPVRRSRGLPRHRGHASLLACGEEKRRGRRETKVGYSFRKMAAGYLAGEVFTAALVCLAGSPPPAPSTYL >PVH36517 pep chromosome:PHallii_v3.1:6:8492708:8497182:-1 gene:PAHAL_6G090800 transcript:PVH36517 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCY1 [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/TrEMBL;Acc:A0A178VZD7] MATATATPPQCWQGLPASARARPPLSSHLRVHPLAAPFRLPRSRTASSGRGSRAALACSPRCTLETAGPAGFDPLGLYKEGPSGSDFSSRSPLSTFFGILAPVFGSSSGGGARREKASYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQRKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLITIMLSFFLLVLGIVYVQEAERKIPLNYASRYSSRTGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLEFLKKAAVALNPGGSLYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKTVSSFTSIRLHAFLRF >PAN34406 pep chromosome:PHallii_v3.1:6:8490204:8497240:-1 gene:PAHAL_6G090800 transcript:PAN34406 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCY1 [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/TrEMBL;Acc:A0A178VZD7] MATATATPPQCWQGLPASARARPPLSSHLRVHPLAAPFRLPRSRTASSGRGSRAALACSPRCTLETAGPAGFDPLGLYKEGPSGSDFSSRSPLSTFFGILAPVFGSSSGGGARREKASYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQRKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLITIMLSFFLLVLGIVYVQEAERKIPLNYASRYSSRTGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLEFLKKAAVALNPGGSLYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKTVLSRISVLGSAFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >PVH36424 pep chromosome:PHallii_v3.1:6:5424273:5427595:-1 gene:PAHAL_6G070400 transcript:PVH36424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTFPVSRTSIVLLLIALSAGSATDLAALLAFKARLSDPFGFLAGNWTPGTPFCRWVGVSCGRRQRVVAAELPGVPLHGELSPHLGNLSFLSALNLTSTGLRGAIPGDIGRLRRLRLLVLAGNALSGAIPAAIGNLTELRVLDLELNLLTGAIPPELRGLRSLGVMNVETNYLTGPIPNDLFNSTPLLTQLLLGNNSLSGPVPACVGSLPALESLVLQENDLDGPVPPGVFNTSTLRILSLVSNGLLTGPIPGNGSFSLPVLEWLSLSGNSFSGPVPPGIAACQRLRMLALCFNSFEGVVPAWLGELKNLNTLSLSPNQFDAAPLGGNMLVGSLPPTIGDLNKLVFLEVTENRLDGDLEFLSPLSNCRNLSTLAIASDRFTGPLQVFNARGNSIAGNSIAGGIPVTISNLTRLEILDLSGNQLRGPVPDSLMVMESLKVLDLSANSLFGPIPSRIAMLDHLQKLFLQSNNFSRDSMKQTYHMDLSANRMVGSLPDSIGRLQMIAYLNLSVNSFQGSVPDSLRNLAILQTLDLSHNSISGAIPKYLANFTMLASLNLAFNKLQGQIPEGGVFSNITLQSLVGNSGLCGAVRLGFSPCPSESPRRNGHIMLKYLLPAIIIAVIGAVAACMFVMIRKKVKKNHQGISAGMVDNMGNHQLVSYHVLVRASENFSDENMLVSGSFGKVYKAQLSDGLVVAIKVINMYLERAITSFDVECRILNTCSNLDFRALVLQYMPNGSLEALLHSGGRLQLVGFLKRLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDEDMTAHVADFGIASLLLGDDNSMISASMPGTVGYMAPVWVSWKSDVFSYGIMLLEVFTGNRPTDAMFIGELSLRHSLNGFLVPVFELGLLCSADSPEQRMTMSDLVVTLKKIRKDYIKSMATTSSTAQQ >PAN33244 pep chromosome:PHallii_v3.1:6:384845:385852:-1 gene:PAHAL_6G005500 transcript:PAN33244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECEPEELQFLGAAGVYSASAQVLRGPHRPLFARIAAAFVLPLSALFLLHIAISHALFRHIDSDDTALDASSPGTDAQRRLLSRLASDWLALLLFKAAYLLALLLLSLLATAAAVFSVASVYSAKHDALTFPRVLSVVPRVWRRLAATFLAAFALLFAYNAAAVLVLVGLLVAADNGSGLAGLLAFLVLLAYLAGLVYLSVVWHLASVVSVLEDYKGFAAMRKSKDLIRGKLPTAAAIFVTLNLVFAVVELAFRAWVIKGGSSAPTRLVLGVLALAALSCVVMLALVAQTLVYLVCKSYHHESIDKAGISDHLEVYLGDYVPLKASDVQMEQFQV >PVH36590 pep chromosome:PHallii_v3.1:6:14792368:14794660:-1 gene:PAHAL_6G112600 transcript:PVH36590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASAALLGPPVAAAALNTNVAAAADPEPTREESSSEYSSSDDDSEDSPMACGGVAKKAAAKEAPRSGRALAYASSGDPCVDFFFQVVPGATSGTDVAALLDVAWSRDARAALRLICHLRGVRGLGKGDREGFYAAALWMHARHPKTLAGNLATYARFGCLKDLPEILYRILHGDRMEEEGDRRKQQQDLCHGMKRRRSDGEFKAAKERKRQEEAQLALTALARYESDESFRFLYVRVAEMFAEMLKSDVEHLRAGDTAKIGLAAKWCPSLRSSYDRATLLCEAIARRIFPRESSQEYLNISDKHYAYRIRDRLRREVLVPLRKALELPEVYMCACKFEELPYARVASVAMRKYKEVFQKHDKHRVTGFFDEVRTGHAKMPADGVLPHELIAAALKGEHDEAAELQWRRMAASLATEGRLTNCIAVCGLSGAAAAVADQPASAAVALGLLISELSQEPWKGRVITFDETHQLHKVCGANLKERLRPLVAAMGAHRKGANLQGVFSKILQLAVAGGLRKDMMVKRVFVLSDMDFDGWTGVASVWKTEYQGICDKFAAEGFTVPQVVFWNVGTSKASMPVVAEQEGAALVSGYSKNLVRLFLEADGELTPAAVVADAISGPEYDALEVFD >PAN33584 pep chromosome:PHallii_v3.1:6:2335199:2338983:1 gene:PAHAL_6G031200 transcript:PAN33584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATGTSSSSPLPLHGGLLLLLPLLTITTAASSAPLPLLALLSLKSSLRDPAGALRPWTYAAAASAGATRSLAPPWCAWPGVSCDAATGDIVGIDLSRRNLSGTVSATAARLLAPTLTALNLSANAFAGEFPPALFQLRRLEVLDVSHNFFNGTFPDGIAELGALTAFDAFSNCFIGALPRGLGALRRLERLNLGGSYFNGSIPAEVGQLRRLRFLHLSGNALTGRLLPELGVLASLEHLEIGYNAYDGRIPPELGNLTQLRYLDIAVANVTGPLPPELGELTRLESLFLFKNRLAGAIPPQWSRLRALQVLDLSDNLLAGAIPAGLGDLANLTTLNLMSNFLSGTIPPSIGALPSLEVLQLWNNSLTGRLPESLGASGRLVRVDVSTNSLSGPIPSGMCSGNRLARLILFDNRFDTVIPASLANCSSLWRVRLESNRLSGTIPAGFGAIRNLTYLDLSSNSLTGGIPADLVTSPSLEYLNISGNPVGGALPNVSWQAPKLQVFAASKCALDGEVPAFGATGCSNLYRLELAGNDLTGAIPHDIGSCKRLVSLRLQHNQLTGEIPAELAGLPSITEVDLSWNALTGAVPPGFANCTTLETFDVSFNHLAPADSTSAPPGDAEGSSARHNAAMWVSAAAVAFAGMAVLAVTARWLQWRDDGTAAPDGSGGVGGARANVVVGPWRMTAFQKLSFTADDVARCVEGSEGIVGAGSSGTVYRAKMPNGEVIAVKKLWQAAAQKEAAGPEPPPPRKDQDDADANKRVLAEVEVLGHLRHRNIVRLLGWCTNGEATLLVYEYMPNGSLEDLLHGAGAAAARAKPKARLGWDARHRIAVGVAQGVSYLHHDCRPAVAHRDLKPSNILLDADMEARVADFGVAKALRGAAPMSAVAGSCGYIAPEYTYTLRVDEKSDVYSFGVVLLEILTGRRPVEADYGEGSSIVDWVRHKVAGGGEGGARDVMDAAAWADRQSGEAREEMALALRVALLCTSRSPQERPSMREVVSMLQEAKRGRKLAAKKPAQTKII >PVH37279 pep chromosome:PHallii_v3.1:6:43548547:43549928:-1 gene:PAHAL_6G282100 transcript:PVH37279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESCEGFSLLHLACRVADVGMVELLLQYGSTVNMTDSKGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTALQYAIDGGTSDEDILILLEDHSR >PAN36564 pep chromosome:PHallii_v3.1:6:44617586:44619846:-1 gene:PAHAL_6G293300 transcript:PAN36564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQAAAGGEPPPATPQPAEGVTAAGQRSVPTPFLTKTYQLVDDPAVDDVISWNDDGSAFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVTPAAAATGAAVTVAAAAAAAAAIPMALPVGSPVYSGEEQVLSSSSSPEPPPLQPQAASGSGSGGAASGGDAGEENDRLRRENARLARELGQMKKLCNNILLLMSKYAATQQLDAAKAAAAAAAGNCSGESAEAAATPPPLPSVLELLPSCRGGHAAAEEPPEAAAAAGTERGDDDEDKAGARLFGVSIGRKRARDDTSNGYSGGVEDPVSRGAAAEVKAEPVDAHPDQHQQQQLKEPQAWPIYRPRPVYHPLRACSGSAGSAGSDHDGSHSSR >PAN35733 pep chromosome:PHallii_v3.1:6:39720001:39720775:1 gene:PAHAL_6G230100 transcript:PAN35733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNYLVQEPQRGEGKDEERARPTCEKRVGSVDIGGEKVTVGGDVPLCQAATSRRCLVASRTAPRRLLACVSRLAEAIRRCRGGDEIWADLRVEIPFQPSLLSRSGQTEQRFFIGSSFETGRTRP >PAN33682 pep chromosome:PHallii_v3.1:6:2721412:2728568:-1 gene:PAHAL_6G037600 transcript:PAN33682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLPETNAAAETDVLLDAWDFKGRPAPRASTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHLGNAESANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPAACAAKGAAACERASGAQLGVLYLALYLTALGTGGLKSSVSGFGSDQFDETDRAEKNQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIAAGLVVFLAGTRRYRFKKLVGSPLTQIAAVVVAAWHKRRLELPADPAMLYDIDIGKVAAAEEGSTKKTKRKERLPHTEQFRFLDHAAINEDPAAEPSKWRLATLTDVEEVKTVVRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRRIGSSFQIPAGSLTVFFVGSILLTVPIYDRIVVPVARRLNGNPHGLTPLQRIGVGLALSVIAMAGAALTEIRRLRVARDEAVPAGGVVPMSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKVTGDRHPWIADDLNKGRLDNFYWLLAVICFANLLVYLVAARWYKYKAGRPGADGSVNGVEMADEPMLH >PAN35897 pep chromosome:PHallii_v3.1:6:40851882:40853535:1 gene:PAHAL_6G243400 transcript:PAN35897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPASSAARAFLTGAVFLLALLGLIHQAACLRSLQPPTPLQGGGGAAAAGEEKVRLGSSPPSCRGKCYECSPCTAVQVPTLSTGPSGPSSAAAARPRTRRARGADDEVATLSNYKPVGWKCQCRDRLYEP >PVH36339 pep chromosome:PHallii_v3.1:6:3969325:3971577:1 gene:PAHAL_6G053600 transcript:PVH36339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKNSLTDSGSTSAIKVVIDDIWDAKAWEIIQLALWNNNSGSRIITTTRSAKVASCCSSQGGYVYQMEALSFADSKRLFYRRAFGSEELCYPHLEKVCHGIMEKCSGLPLALITISSLLVDQVEKDEWKRVLTTIGHALAKNPDAEDMTKILSLSYYDLPRQLRTCFLYLSIFPEDYVIAKERLVNRWIAEGFIHEEQELSAYRIGENYFNDLINRSMIQPIDVEYGQAKACLVHDIILDYIKCKAADENFIASIDSVEHQYTLEYKIRRLCVVNKRNEKKDSIWTSLILSHVRSLSIFGHPIQTSLLSFKALRVLDIEASRGLNDHYITTFIEKLLHLKYLRLCSYLISNLPEEIGELHYLETLDVRGTRIKQLPSTVMKLQRLAHLYVDPRIRFPDGMIGKMKSLKELAEFEVCSYEIGKSMQEFSQLTKLRTLVISWKLYCSFDSRGRQQADLQILVGALISKCNLHNLYIHDRRDNLPWYQPLSTGSWCPTARCTLQKLHITFCLSRTW >PAN36410 pep chromosome:PHallii_v3.1:6:43403733:43406370:-1 gene:PAHAL_6G280100 transcript:PAN36410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLLHMAHPAITLSGVAGNIISFMVFLAPVTTFLQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLVYAPRRARLRTLAYFFLLDVAAFALIVVVTLCGVPRHLRVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMYPNVGGFFFSCVQMGLYFWYRKPRATNAVLPTTNDGAAPPPPSVQVQGQVIELPANTIAILSVSPIPIVGVHRIEVVDGQHKDAAAAAEACRMAAANPDGPPPQVIEIVPAL >PVH36949 pep chromosome:PHallii_v3.1:6:37649796:37650427:1 gene:PAHAL_6G204800 transcript:PVH36949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAAGRFGAELDGGRRDGSREGRRCLSWSPSPRRPWPSASSVRAPPPEVLQLQIV >PAN35562 pep chromosome:PHallii_v3.1:6:38719391:38725071:-1 gene:PAHAL_6G217500 transcript:PAN35562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDVVETTLVAPSEDTPRRELWLSNLDLAVPKTHTPLVYYYPAPAAAGGGGATDGPPEGFFAPARLKAALARALVPFYPLAGRLGVGEGGRLQIDCNAEGALFAVARADFAGDDVFQDYEPSPEVRRMFVPFAPSGDPPCVMAMFQVTFLKCGGVVLGTGIHHVTMDGMGAFHFIQTWTGVARGLELADACGPPPFHDRTLLRARSPPCPAFDHPVYSPALLNGRPRPFVTRVYSVSPKLLADIKSRCAPGVSTYCAVTAHLWRAMCVARGLAAGAETRLRVPANVRHRLRPPLPRSYFGNAIVRDLVTTRVEDVLARPLGFVAQAIKDAVDRVDDAYVRSVVDYLEVESEKGSQAARGQLMPETDLWVVSWLGMPMYDADFGWGAPRFVAPAQMFGSGTAYVTQRANKDDGIAVLFGLEPEYLQCFEKVFYGE >PVH36975 pep chromosome:PHallii_v3.1:6:38240797:38241074:1 gene:PAHAL_6G212100 transcript:PVH36975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKVESMRLDWYAKPAHKAIIRADLYQGLLDTLTVGEVDASKAGLRVVLSKDFPGSDRDV >PVH37270 pep chromosome:PHallii_v3.1:6:43445569:43445781:1 gene:PAHAL_6G280600 transcript:PVH37270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWMDGSRAVGWFFSAWLRTAGRTPAGLPPNLPDRLAKTMWIKQGRIRGRVAPDSLRFSFRNILLQCSA >PVH37005 pep chromosome:PHallii_v3.1:6:38700583:38704201:1 gene:PAHAL_6G217400 transcript:PVH37005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHVGPCHCSRPVQSLHLGCGLTGTFPSWIFRIKSLMYLDVSGNENLCVESPEFIQGSALQVLRLSGIKFSGKIPESIGNLRNLTELDLSNCLLYGPIPSFSQWPMISRVDLSGNNLTGSLPSDGYLSLHNLTGITLSKNSISGAIPASLFSHPSLKYLDLSQNNFIGNFLLYPNISSSLTKIDVSLNKLEGPLPKLLSKFVGLEWLDLSSNNLTGTVDLSFIKNYKMLSYLSLSYNKLSVVVEDGNHSYAEYPNFWDHLGLASCNLSYVPKFLMHQSSIFYLDLSSNNIGGHIPDWIWGIGYFALNLSHNSFTSINTNLSNTSICDFDLHSNKIEGALPLPPWGIERVDYSNNHFNSSIMPEFWSRISSATSLSLANNSLIGEVSHLICSATYIEVLDFSFNSFSGLIPPCLLKRKERLKILNLRGNNFHGPLPQDIINKCALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNMIVDTYPEWLGVLPLLKVLVLKSNRFHGPIDYEMNKQTHPFFPELQVLDLSSNSFNGSIPTRFLKQFKAMMVISPGAPNMESVTVSLKGQETTLELLHRRDPSQDRVHAAAGVT >PVH37004 pep chromosome:PHallii_v3.1:6:38700583:38704201:1 gene:PAHAL_6G217400 transcript:PVH37004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHVGPCHCSRPVQSLHLGCGLTGTFPSWIFRIKSLMYLDVSGNENLCVESPEFIQGSALQVLRLSGIKFSGKIPESIGNLRNLTELDLSNCLLYGPIPSFSQWPMISRVDLSGNNLTGSLPSDGYLSLHNLTGITLSKNSISGAIPASLFSHPSLKYLDLSQNNFIGNFLLYPNISSSLTKIDVSLNKLEGPLPKLLSKFVGLEWLDLSSNNLTGTVDLSFIKNYKMLSYLSLSYNKLSVVVEDGNHSYAEYPNFWDHLGLASCNLSYVPKFLMHQSSIFYLDLSSNNIGGHIPDWIWGIGYFALNLSHNSFTSINTNLSNTSICDFDLHSNKIEGALPLPPWGIERVDYSNNHFNSSIMPEFWSRISSATSLSLANNSLIGEVSHLICSATYIEVLDFSFNSFSGLIPPCLLKRKERLKILNLRGNNFHGPLPQDIINKCALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNMIVDTYPEWLGVLPLLKVLVLKSNRFHGPIDYEMNKQTHPFFPELQVLDLSSNSFNGSIPTRFLKQFKAMMVISPGAPNMESVTVSLKGQETTLVQILSVFMYIDLSNNNFMGVIPNVIGDLKFLKQLNLSRNSFTGEIPPRIAYMLQLESLDLSYNQLSGEIPPAMAAMSFLEVLNLSYNHLSGMIPQSSQFLTFPNTSFLGNDRLCGKPLARLCETNHAPSAAATPGSSKELNWEFLSVEVGVVSGLAIVAATMLLWGNGRGWVYWHVDKFWLQVVQPWICRRRH >PVH37002 pep chromosome:PHallii_v3.1:6:38700582:38704202:1 gene:PAHAL_6G217400 transcript:PVH37002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHVGPCHCSRPVQSLHLGCGLTGTFPSWIFRIKSLMYLDVSGNENLCVESPEFIQGSALQVLRLSGIKFSGKIPESIGNLRNLTELDLSNCLLYGPIPSFSQWPMISRVDLSVEDGNHSYAEYPNFWDHLGLASCNLSYVPKFLMHQSSIFYLDLSSNNIGGHIPDWIWGIGYFALNLSHNSFTSINTNLSNTSICDFDLHSNKIEGALPLPPWGIERVDYSNNHFNSSIMPEFWSRISSATSLSLANNSLIGEVSHLICSATYIEVLDFSFNSFSGLIPPCLLKRKERLKILNLRGNNFHGPLPQDIINKCALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNMIVDTYPEWLGVLPLLKVLVLKSNRFHGPIDYEMNKQTHPFFPELQVLDLSSNSFNGSIPTRFLKQFKAMMVISPGAPNMESVTVSLKGQETTLVQILSVFMYIDLSNNNFMGVIPNVIGDLKFLKQLNLSRNSFTGEIPPRIAYMLQLESLDLSYNQLSGEIPPAMAAMSFLEVLNLSYNHLSGMIPQSSQFLTFPNTSFLGNDRLCGKPLARLCETNHAPSAAATPGSSKELNWEFLSVEVGVVSGLAIVAATMLLWGNGRGWVYWHVDKFWLQVVQPWICRRRH >PVH37006 pep chromosome:PHallii_v3.1:6:38700583:38704218:1 gene:PAHAL_6G217400 transcript:PVH37006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHVGPCHCSRPVQSLHLGCGLTGTFPSWIFRIKSLMYLDVSGNENLCVESPEFIQGSALQVLRLSGIKFSGKIPESIGNLRNLTELDLSNCLLYGPIPSFSQWPMISRVDLSVEDGNHSYAEYPNFWDHLGLASCNLSYVPKFLMHQSSIFYLDLSSNNIGGHIPDWIWGIGYFALNLSHNSFTSINTNLSNTSICDFDLHSNKIEGALPLPPWGIERVDYSNNHFNSSIMPEFWSRISSATSLSLANNSLIGEVSHLICSATYIEVLDFSFNSFSGLIPPCLLKRKERLKILNLRGNNFHGPLPQDIINKCALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNMIVDTYPEWLGVLPLLKVLVLKSNRFHGPIDYEMNKQTHPFFPELQVLDLSSNSFNGSIPTRFLKQFKAMMVISPGAPNMYVEIIGTLLLPSSPRNRSRSPLYYRESVTVSLKGQETTLVQILSVFMYIDLSNNNFMGVIPNVIGDLKFLKQLNLSRNSFTGEIPPRIAYMLQLESLDLSYNQLSGEIPPAMAAMSFLEVLNLSYNHLSGMIPQSSQFLTFPNTSFLGNDRLCGKPLARLCETNHAPSAAATPGSSKELNWEFLSVEVGVVSGLAIVAATMLLWGNGRGWVYWHVDKFWLQVVQPWICRRRH >PVH37003 pep chromosome:PHallii_v3.1:6:38700583:38704201:1 gene:PAHAL_6G217400 transcript:PVH37003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHVGPCHCSRPVQSLHLGCGLTGTFPSWIFRIKSLMYLDVSGNENLCVESPEFIQGSALQVLRLSGIKFSGKIPESIGNLRNLTELDLSNCLLYGPIPSFSQWPMISRVDLSGNNLTGSLPSDGYLSLHNLTGITLSKNSISGAIPASLFSHPSLKYLDLSQNNFIGNFLLYPNISSSLTKIDVSLNKLEGPLPKLLSKFVGLEWLDLSSNNLTGTVDLSFIKNYKMLSYLSLSYNKLSVVVEDGNHSYAEYPNFWDHLGLASCNLSYVPKFLMHQSSIFYLDLSSNNIGGHIPDWIWGIGYFALNLSHNSFTSINTNLSNTSICDFDLHSNKIEGALPLPPWGIERVDYSNNHFNSSIMPEFWSRISSATSLSLANNSLIGEVSHLICSATYIEVLDFSFNSFSGLIPPCLLKRKERLKILNLRGNNFHGPLPQDIINKCALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNMIVDTYPEWLGVLPLLKVLVLKSNRFHGPIDYEMNKQTHPFFPELQVLDLSSNSFNGSIPTRFLKQFKAMMVISPGAPNMYVEIIGTLLLPSSPRNRSRSPLYYRESVTVSLKGQETTLVQILSVFMYIDLSNNNFMGVIPNVIGDLKFLKQLNLSRNSFTGEIPPRIAYMLQLESLDLSYNQLSGEIPPAMAAMSFLEVLNLSYNHLSGMIPQSSQFLTFPNTSFLGNDRLCGKPLARLCETNHAPSAAATPGSSKELNWEFLSVEVGVVSGLAIVAATMLLWGNGRGWVYWHVDKFWLQVVQPWICRRRH >PVH36561 pep chromosome:PHallii_v3.1:6:11182916:11183712:1 gene:PAHAL_6G102100 transcript:PVH36561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKGACKPRVARHGEGYDWRNAPIDPEAVYSSGGKPHGRYPLFEKVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIASQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVHPTSNWADQFIGSGGSVQPGDGDDQT >PAN34028 pep chromosome:PHallii_v3.1:6:4938100:4939738:1 gene:PAHAL_6G065300 transcript:PAN34028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPPSNSRPQQLLRRWRQTAFVVNAGRRFRYPWSGVPNVDHRLVHSDQTGADQERDLEENCPAKPSPKDLARLLSRSVLVNQIKHLPQLLRAVVQGTPQDKQQQKRCSKYSQKALLFAITTFVAYLGSSSSASSSTGNTAFRIAMAAFFIAISIDLISATRTPKWGCACLVYLSWFLLVLLSYLLLVSFHRDYCYAIILVPLLVLAAALLQCKLRPSVTQQNTTSDSGDQDLDTDDDADQDLENIFDWSAGIVNCGGLISMILGHYMYMVGPNHLKEASVIGFLFFFTVVLGLYLMMVTTVKNVALTPYVGHLTCLLNILLVCTLIATLIHGVWLSRNDSHV >PVH36394 pep chromosome:PHallii_v3.1:6:4938097:4939738:1 gene:PAHAL_6G065300 transcript:PVH36394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPPSNSRPQQLLRRWRQTAFVVNAGRRFRYPWSGVPNVDHRLVHERDLEENCPAKPSPKDLARLLSRSVLVNQIKHLPQLLRAVVQGTPQDKQQQKRCSKYSQKALLFAITTFVAYLGSSSSASSSTGNTAFRIAMAAFFIAISIDLISATRTPKWGCACLVYLSWFLLVLLSYLLLVSFHRDYCYAIILVPLLVLAAALLQCKLRPSVTQQNTTSDSGDQDLDTDDDADQDLENIFDWSAGIVNCGGLISMILGHYMYMVGPNHLKEASVIGFLFFFTVVLGLYLMMVTTVKNVALTPYVGHLTCLLNILLVCTLIATLIHGVWLSRNDSHV >PAN34029 pep chromosome:PHallii_v3.1:6:4938100:4939738:1 gene:PAHAL_6G065300 transcript:PAN34029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPPSNSRPQQLLRRWRQTAFVVNAGRRFRYPWSGVPNVDHRLVHSDQTGADQERDLEENCPAKPSPKDLARLLSRSVLVNQIKHLPQLLRAVVQGTPQDKQQQKRCSKYSQKALLFAITTFVAYLGSSSSASSSTGNTAFRIAMAAFFIAISIDLISATRTPKDYCYAIILVPLLVLAAALLQCKLRPSVTQQNTTSDSGDQDLDTDDDADQDLENIFDWSAGIVNCGGLISMILGHYMYMVGPNHLKEASVIGFLFFFTVVLGLYLMMVTTVKNVALTPYVGHLTCLLNILLVCTLIATLIHGVWLSRNDSHV >PVH36393 pep chromosome:PHallii_v3.1:6:4938097:4939738:1 gene:PAHAL_6G065300 transcript:PVH36393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPPSNSRPQQLLRRWRQTAFVVNAGRRFRYPWSGVPNVDHRLVHERDLEENCPAKPSPKDLARLLSRSVLVNQIKHLPQLLRAVVQGTPQDKQQQKRCSKYSQKALLFAITTFVAYLGSSSSASSSTGNTAFRIAMAAFFIAISIDLISATRTPKDYCYAIILVPLLVLAAALLQCKLRPSVTQQNTTSDSGDQDLDTDDDADQDLENIFDWSAGIVNCGGLISMILGHYMYMVGPNHLKEASVIGFLFFFTVVLGLYLMMVTTVKNVALTPYVGHLTCLLNILLVCTLIATLIHGVWLSRNDSHV >PAN33429 pep chromosome:PHallii_v3.1:6:1590133:1595388:1 gene:PAHAL_6G020600 transcript:PAN33429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPENSSPAKDERSAREKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLIISWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVNIVYMVTGGKSLKKFHDVICERKCKDIKTTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKLSDVDYHLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPEMPSKKPMWKGVIVAYIVVALCYFPVALIGYWAFGNRVDDNILITLNNPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLHFPPGLTLRLIARTIYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCVMWLAIYKPKRFSLSWITNWICIILGVLLMILSPIGGLRQIIMDAKTYKFYS >PAN33658 pep chromosome:PHallii_v3.1:6:2617235:2625532:-1 gene:PAHAL_6G036100 transcript:PAN33658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMTIGAKYKTTLKDPGTPGVLRMNDDRLTFTPNDPRSAMKLNVDFRSIKGHKFNKVDGNKPALLNLSKDSDKGGGYMFEFDNVGNRDLCRDFVARVLGKHQGVVPPRPTAAPENSVASAGLEQLSAAEVERRVKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDQANKASKQRPGFKTAMSDVRPSADGRTNKVTFNLTTEMIHQIFAEKPAVRRAFLDFVPKKLTDKEFWTKYCRAEYLLRTKNTAAATAEAAEDEELAVFLKNDDILAKEAKLKIKQVDPTLDMEADAGDDYIHLPDHGILRDGSKETLDTDSELAKRTLSQDLNRHAAVVLEGIASDVELTDAKSVAEALARSKKEPPSTSVDDSSHERLVKVARMTEIEDLQAPRSVPYAPLCIKDPREYFDSQQANALRSLGGSNDGRKARSCSLSTEEAFHHLTDQISSIKVNKLNYPVIQSDMALKVLNELNEGISRSRRLNLKNPQEGLLGRVPQHTQDELMDHWTAIQELLRHFWSSYPITNAVLYNKVQRVKDAMTQIYQKLQAIKESAQPDVRHEISRLVKPMTQALDAAFSHDLEQQQKSAKAGNKPNGF >PAN33585 pep chromosome:PHallii_v3.1:6:2341178:2346331:1 gene:PAHAL_6G031300 transcript:PAN33585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSAVQWWEEWQLRVLVLGSLAIQLYLAVLAPARKWPDLRSLFRFLIWLAYLGGDALAIYALATLFNRQKELQCYSSSADAGSHDLEVLWAPILLIHLGGRLSISAYNIEDNELWLRHLGVAISQVAVTLYVFSKSWSPAADKRLLAAAILLFILGVFRCFEKPFALRRASFNSLVTSFHAVPMTKSTKREVVLEKYIQQAADFVQRNQDPPTLDKARKQKHLNGISMPDMMFVDFANAYDSRLEKLEFLWSLDFETAFDALRLGLSRTFNLIYTKLWQFHDENREIDDWANFFSILLWISTLIFPIAPIVLFHISHKEGYKGSDVKVTYLLLYITYFSEILSSPGRLFYRRLTGGLYAWLFGSSGAMVAQHSLIEGVLAGNKKVFSILLCIGKRFPSFQDFLHHYIFGWHSPAGESITSLVHCHIKDGWMFHIRDVESYWEFNDSRGHQTLEHNGCEENLGWSIEKPFDESILLWHVATDFYFYRRAKGRSIDSINASVLPREISNYMMYLLSTKPEMLLPGSRATLFKTAYNELNEILQGDGGASTSNEKQLVEKIISKADNLDEEGFLRDAWLLAQALMQLGDDDKMWKVIRGVWVEMLRFSAGRCRGYLHAKSLCDGGEYLSFVSLLMSHAGLEIFPDKQQRVKLRLPKELRVCIAKQMIEEAAGNQPAAMVRVFGEENAAATQPSASHEIVIVDV >PAN34481 pep chromosome:PHallii_v3.1:6:9277345:9278888:-1 gene:PAHAL_6G096000 transcript:PAN34481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKISFFTYLWRHVKVMRPYINMRLSNPSLSLQDKVEMEKIARWVLEIGEGRAPMVAKNGQPENDWIQIPQNFVLSPNGPKIPAIADSIYDDFHLFYASIPYLAQRSIVCPVNTIIDEINNFMLDKVPGCAREYLSFDSIANSSEQPSDFQMLYPPEFLNSIILNNFLQHRLDLKIGVPVVLLRNINQSIGLCNGTRLLIERFGDRLLEGTIMTGNHVGHSVCIPKIVLNGTSPKWPFTLQRRQFPVRVCSAMTINKCQGQMLGKVGVYLREPVFTHGQLYVAVSRVNSNSGLKLLIEDDDGEPVDTTRNIVYQEVLRRVAAALSGLLVNKILQILIVHVPDFFLVMCSVSVSLVVSLYVLLCAVSSFLVCCHC >PVH36680 pep chromosome:PHallii_v3.1:6:23983088:23983762:1 gene:PAHAL_6G141400 transcript:PVH36680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALTSSRGPTVRDLFAPGRCKKNPSLIRTAYQPAAPHLVSPAAKTARHPPPRAVASPSREAAARTASPTLLAPHNPARSPRPRARPPPPIVPTPPPPPPPGQPPRRFGRPASHARRPPPAAPPVLAPAPGIIVARRARHCVSKATARRAPPVVTPAASLLTLAAAAATTRLRLPPAASGPRHHIFLDALLHVNAGAEPVAPSVATLGAACRTVADLLCSIGLR >PVH37169 pep chromosome:PHallii_v3.1:6:42079150:42083112:1 gene:PAHAL_6G261100 transcript:PVH37169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRAFDSSGTDDELPPTYKFRGVREHFSGIGRSLAGTLSQPRPHSNLDSDIHQMEQQAYTGVLRAFKMQSDALTWEKESLITELRRELKVSDEEHRVLLNKVNEEEAVHRIRQSRQGCGMQSSLHHNSVISHNLVPLKRQKKSHPVPVYSLPVGPQSPIMPLHAVASNKADTMAPENIRWGSAYQTLPNQVGWLSSDGAMPGTGRRSERFHENGHHASPNGISLFNSNHIDVPNTGNLVKKVERVLSRPDVYAIQKAKKLLIDQEQSLLDAIAKLDEASDSESDDVVLLEGRIGAIVG >PVH37170 pep chromosome:PHallii_v3.1:6:42079258:42083112:1 gene:PAHAL_6G261100 transcript:PVH37170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQAYTGVLRAFKMQSDALTWEKESLITELRRELKVSDEEHRVLLNKVNEEEAVHRIRQSRQGCGMQSSLHHNSVISHNLVPLKRQKKSHPVPVYSLPVGPQSPIMPLHAVASNKADTMAPENIRWGSAYQTLPNQVGWLSSDGAMPGTGRRSERFHENGHHASPNGISLFNSNHIDVPNTGNLVKKVERVLSRPDVYAIQKAKKLLIDQEQSLLDAIAKLDEASDSESDDVVLLEGRIGAIVG >PAN36238 pep chromosome:PHallii_v3.1:6:42079258:42083112:1 gene:PAHAL_6G261100 transcript:PAN36238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRAFDSSGTDDELPPTYKFRGVREHFSGIGRSLAGTLSQPRPHSNLDSDIHQMEQQAYTGVLRAFKMQSDALTWEKESLITELRRELKVSDEEHRVLLNKVNEEEAVHRIRQSRQGCGMQSSLHHNSVISHNLVPLKRQKKSHPVPVYSLPVGPQSPIMPLHAVASNKADTVGLMAPENIRWGSAYQTLPNQVGWLSSDGAMPGTGRRSERFHENGHHASPNGISLFNSNHIDVPNTGNLVKKVERVLSRPDVYAIQKAKKLLIDQEQSLLDAIAKLDEASDSESDDVVLLEGRIGAIVG >PVH37171 pep chromosome:PHallii_v3.1:6:42079258:42083112:1 gene:PAHAL_6G261100 transcript:PVH37171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQAYTGVLRAFKMQSDALTWEKESLITELRRELKVSDEEHRVLLNKVNEEEAVHRIRQSRQGCGMQSSLHHNSVISHNLVPLKRQKKSHPVPVYSLPVGPQSPIMPLHAVASNKADTVGLMAPENIRWGSAYQTLPNQVGWLSSDGAMPGTGRRSERFHENGHHASPNGISLFNSNHIDVPNTGNLVKKVERVLSRPDVYAIQKAKKLLIDQEQSLLDAIAKLDEASDSESDDVVLLEGRIGAIVG >PAN35565 pep chromosome:PHallii_v3.1:6:38733283:38735293:1 gene:PAHAL_6G217700 transcript:PAN35565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQAEVVVVETALVAPSKETPGQPLWLSNLDLAVPRTHTPLVYYYPAAPEQLQGQGAAAAGTGSFAPDRLKAALAGALVPFYPLAGRLGAGPDGRLQIDCSGEGALFVVARADLTGEEIFEDYEPSPEIRRAFVPSAQPGEASCPMAMFQVTFLKCGGVVLGTGIHHAVMDGVGAFQFIQTWAGLASGLDAAEACGPVPFHDRTLLRARRPPCPTFDHFVYSPAFLSGRPRPFVTRVFPVSPKLLADLKSRCGAGVSTYCAVTAHLWRCVCVARGAAPGADTRLGLPANVRHRLSPPLPRSFFGNAVVRDLVTARVGDLLGSPLGSVAETIKRAVDGVGDAFVRSVLDYLELELAKKRGDDDSSRAASEQLVPASDLWAVSWLGMPMYSADFGSGAPRFVAPAQMFGVGTAYMTPCANRDDDITVIFSMEAEHIDCFEKVFYGE >PVH37128 pep chromosome:PHallii_v3.1:6:41507688:41509901:1 gene:PAHAL_6G253100 transcript:PVH37128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPFFDQALLRVRNGANGPSQLGFRLRLEHGGGAPAPSSSPAAKGGDGGHGAHLTASGGVDSTRQPWRLRRRATTSSPTTATVTPATTSWKTDSFCTLRRKNDNFIDCFTLLFYDLRIYYVNQGTLSE >PAN34969 pep chromosome:PHallii_v3.1:6:30688760:30690647:1 gene:PAHAL_6G164200 transcript:PAN34969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGGTFSNGTSSGSSRGTPSFGSEADMELQARMELKRKRRMESNRESAKRSRQRKQQHLDDLNSQVDQLRTTKQQLITALNITTQNYAAAEAQNSVLRTQMMELESRLSALREIICFMNANQVPAAATISANNPSAIMSATANYNDPFGASTWNSGMQMVQQPIDHFLYQCF >PAN36371 pep chromosome:PHallii_v3.1:6:43248021:43253529:1 gene:PAHAL_6G277500 transcript:PAN36371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDLKAPGAWDLAELEHDHAGGAAAAAAGAAGTSGGHGNAAASAYRPPGALECSVDLKLGGLGECELGAAPARRQPAAAAAGKAPAPAASGAAAAAGPSSPGPGAPAKRPRPAGGGGQQQQQCPSCAVEGCTADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSRFHLLAEFDDTKRSCRKRLDGHNRRRRKPQPDTMASASFIASQQGTRFSPFAAPRLDANWPGVIKTEESPYYTHQIPLGTSNRHHFVGSSSAYAKEGRRFPFLQEGEINFATGVVLEAPASARQPVLKTAAPPESSSGSTGGKMFSDGLTRVLDSDCALSLLSAPANSSGIDVSRMVRPTEHVPMAQPVVSGLQFGSPSWFSRPQASAGGAAAATAGFPPCPVAEGGQQLNTVLSSNDNEMNYSGMFHVGGSGGGGGGEGSSDGTSSSLPFSWQ >PVH36153 pep chromosome:PHallii_v3.1:6:841768:843758:-1 gene:PAHAL_6G008800 transcript:PVH36153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQCEQTLDRNGDGDSRHEETWIPYLPEDIWRHIHSLMPMDAAARAACLSRTFLNSWRCYPRLDLDPGTLCSKKTDERHFRCRVDSILRNHSGIGLKILKLNLWLRKSYFPYLDSWLQAAVTPGIEELTLWLCEEYNFPCSVLSDGVRDSVRSLQLIFCTFRPKSELGLLRSLTRLSLCYVRITGEELECLLSNSLALEHLDIDRCKEIVLLKIPSVLQQLSYLEVRGLENLQVVENNAPNLSRFFLGGVEKVKKLSLGGQKTMKVFTLCRRNAVSYALAELPSIMPNLESLYLCSTSEVHTPPMLPTKFLNLKYLFIQICGGTISQPYDYFSLVHQDRREHESVFGGGSALHLRQLPEHLKLKSVEITGFRSAKTLVELTCCIVKSAVSLERLTLSTFDGYGRCLGENNRDCRDFMCGPISKAELEEASRTLDDHMLSPDAQEKLVREYPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPAALLPGKASSEEPVAEES >PAN36062 pep chromosome:PHallii_v3.1:6:41675731:41678115:1 gene:PAHAL_6G255400 transcript:PAN36062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLALGRASEVVVPVVLDWAFDNSSTCAEAAARPDFGCASKHSECLNSTGSAYGYVCRCRHGYEGNPYVKDGCRSPRGRRPAWTIFAMGLGIGIFLLLLVLANVFATKSLKDHKAKKMKERFFKQNRGLLLQQLVDKDIAERMIFSLEELEKATSKFDKARILGGGGHGMVYKGITSDQHIVAIKRFKLVIQMEIDGFEVAILSQINHRNVVKLFGCCLEIEVPLLLYEFIPNGTLYAHLQVDSPLSFPWQERLRIAFEVASSLASSLRGFNLNCP >PAN36373 pep chromosome:PHallii_v3.1:6:43256933:43262457:1 gene:PAHAL_6G277600 transcript:PAN36373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMPKTLEKYQKCSYAGPETALQNRESEQLKSSRNEYLKLKARVENLQRTQRNLLGEDLDTLDIKELEHLEKQLDSSLKHIRSTRTQHMVDQLTELQRKEKMFSEANKCLRRKLEESNQVIWQQAWEHGEPQPEVQHQLQGGNGFFHPLDAAAARGEPTLQIGYPSEALTSSCMTTFLPPWLP >PAN36560 pep chromosome:PHallii_v3.1:6:44601549:44602360:1 gene:PAHAL_6G292900 transcript:PAN36560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAGMSLLAACLVVVALGAGVAEAQGGGGLGQCVPQLNRLLACRAYLVPGAPDPSADCCGALSAVSHECACSTMGIISSLPGRCSLAPVNCSA >PVH36742 pep chromosome:PHallii_v3.1:6:28581851:28583778:-1 gene:PAHAL_6G157200 transcript:PVH36742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDTIEEARRVYNEYAYKLGFSISVASQRTSHVTKEVIRKEFECSHARKPNEEGGVSTSSSTQTAPQLAHDLETQRASKKKSASAVLTTASRKRKTIKKYDCKAHMAAGLRDGKWKVIVMQPEHTHPLVKKLVRRKLLRNISTTQIMGILADFHGGVGNLTFSTTDVSNMRTHLQVGNAVRGLFWVDGWTWELYKTFRDCIFFDTTFCTNKYDMPFAPIVGINNHLQSILLGCALLPDESTETFVWVLQALKEAMGGLEPTNIMTDQDKAMKVAIEQVFPNATHRCCKWHVLSKATKKFAWLISNEKDFAKESDYCVNHTETIDEFEMLRQKLEDKYSLQENEFFQSISSTRKMWAPAYFRTYFFPFTDTTGRSECMNSLFKKVVHPQDSVLQFFTQYEYIMDTRAERENVEACKWEISYPPLWASYDFEKQAANFYTRNVFSKFQELLHDSRKFRMVDIAEDDESLSIRIVHPNSSRVRIVSVSNDATSYLCSCNMFARDGLLCPHILKVFTNRDVQEIPDKYLHRRWSKEATTKIPEHLSGPEPSFGVPGTNKLKYNALCRKMTGLAAEACSGPEKYTVASSGIDHL >PVH36849 pep chromosome:PHallii_v3.1:6:34840695:34840865:-1 gene:PAHAL_6G182200 transcript:PVH36849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRECPQYPDLVRSNSRDFVDSLIKAWQKNLRSFQQLKIQITKLHFNYLRKDINC >PAN36561 pep chromosome:PHallii_v3.1:6:44602464:44607558:-1 gene:PAHAL_6G293000 transcript:PAN36561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPPQEAIDTFVSITGADEAAAARVLEEHGNDLNGAVNAYFNEGDRSTTRINQNPVPASDDDMELDEPLDPMFNRPLFPRTLGNPFALLDPGLADITAADIFRRGPQVTHPREVRQIPIEVKDTNTQTGSSGQGPVIEDVTGRESFYGPEVHGTVIVDEDDEDLPSTPSAHDPNIPSSTSRPNHSMPSAPPLVDVSDYNNDIEEQMIRAAIEASKREAEGMTNGLNRGESENASRGRGDDELARAVSLSLETAERERALRQEGTHVVDHSPDLSDKEDTKGASEIIARQGLTGEVGTSEQTVDEENFQEDIGDDDEQPLVRHRYRRVRNRTTDPMESVQMANSPPPSPHPHNVQNDHQHYGGFEEWGGISSEEHDEAVMLEAAMFGGIPEGAPYPFSFPTRGRSTHYPRVARPPSPTLTAQRLLREQQDDEYLAALQADREKELKTVQEAELRRAEEAAAREAALERQKKEEEEKLKKQREEEELESELAAKQASLPKEPLQNDEGAVTVVVRMPDGSRRGRRFLKSDKLQYLFDFIDISRTFKPGTYRLVRSYPRRAFTDGESQMSLSDLGLTSKLEALFLEKISG >PAN35259 pep chromosome:PHallii_v3.1:6:36637438:36641127:1 gene:PAHAL_6G194800 transcript:PAN35259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSLTRFSQWIWPGSRTRSRRGREPPAASTAVANGLFPDSPSGFREPDAVGHPGSGAARQRQGKSRRRGGRGEARADGEHGMVIVQSDGDGCLSDSDSDGSDWSIGWLEPLAPDLQSDGDSEGSFAVLVPCYRRGRVQRSARTDGRFPGAIGVANGGVSDNKNFVEQWVSSLQN >PAN35162 pep chromosome:PHallii_v3.1:6:37340556:37340799:-1 gene:PAHAL_6G201300 transcript:PAN35162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLVGRVVMLCFFLATKVIWLFLAVKDNKFLRYVVLQYSRYVMASHLIT >PAN33785 pep chromosome:PHallii_v3.1:6:3983863:3993407:1 gene:PAHAL_6G053800 transcript:PAN33785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGVTTGVMKPLLSKLTKLLEEEYIKVKGVRKQIKFLRDELSAMSATLEDLADADPEQLNSEVRLWRNKIRELSYDLEDCIDSFMARVDDGRDGPTGFKKYFRKLKTLKARHDIANQIQELKASVMEASERHRRYEFARLKHKSGTSSIDPRLQALHGDIEKLVGIDVPKKRIVELLSMEMNGPSTKLKVVSIAGCGGLGKTTLAKQVYDTIKGHFSCSAFVSVSRTPDLRKILIYISSGVGFTGYTQDDGEQQLIDKIRNHLHCERYIVVIDDVWHTEAWEFVKLALPNNDLGSRIISTTRSVTVAKCCSSQVYEMEPLSFDDSKRLFFKRAFDSETPCYPHLEDVPDRILRKCGGLPLAIVTVSSMLTNQLRKAEWDRVLSAMGSALANKPDAKKMTSIISLSYFDIPYHLRTCLLYLSVFLEDYKIEKQCLINRWIAEGFIHEEEGRSKYEIGQGYFNDLINRSMIQPVDVKYGQAKACQVHDIILDYIRCKATEENFVTSSDASEHVYTTEYKVRRLCVSNHTKENVTIWKDPMLSHVRSVTIFGQPVKTCLLPSTSLHVLDLGGCWSMKDHHLESIETLIHLKYLRLSSRSITKLLEKIGELKYLQTLDVRGTRIEELPSTITKLQRLAHLYVDCDTRFSNGVIGQMHSLEEMREYGVQSYEQGKSLQEFSKLTKLRTLKIRWYFNSLEGSEGLRQAEGFHSYVGTLLSSCNLYNLYITDCSEDDNYPLSLDSWHPAAPCSLRKLCIKTCPIYKVPNWMGSLGNLVVLKLQYIIYMRPEDVEILEAIPGLLFLKLATFGGTNGRITVHGRNGFRSLKYLYLGIYHCGTALEFQVGSMPKLEHVKLLFPAHKRECLNGASDLGIQHLCTLSKVEVEIWGNCMADSNYNPTEDENDGAIKWVASDINGAIMTHPNRPTVIYKTHYYKDCEHFKSLGGLLTGVGPVSN >PAN36024 pep chromosome:PHallii_v3.1:6:41365253:41369787:-1 gene:PAHAL_6G251400 transcript:PAN36024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTDNRRALMEDWMLPSPSPRTLMSSFLNEEYSSGPFSSIFGDNSSNKPQDGIEKSKTFGYPSVEETVQDTKAPLQLESNLFIANQKSISHGGLAERMAARAGFGVLKIDTSRVSSPAPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMPKNFKSMISSVPKKAEDQSHDDCAFSFQPILRSKPPSFSTVDKGLSAFHQNQSLNDSQQELSLQASTTATKDETEENLVKPSTCDSMLDNDHPSPADEQVESEENQNGEDSYVPVIAPAEDGYNWRKYGQKQVKNSEHPRSYYKCTHPNCPVKKKVERSQEGHITEIVYKGSHSHPLPPPNRRPSVPSSHVNDLQADGSENFGSKPGHNTETSRGMAPNDHFQDVHSGGLERNLSGSLTTTEIADTCIMESQEAVDVSSTVSSNEKDDRATHGTIPSTYGGDEDETESKRRKMEVSATTNATTNAFDMAAMASRAVREPRIVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTYAGCSVRKHVERASNDLKSVITTYEGKHNHEVPAARNSSGQPNSSSGAAPQGSNLHRRPEPAQSSIPQLSAAAAYGSLCLPPQLNAASGCFSFGMFPPGMAVPVPSLRTFMPASVPGHPPKMQGCAGLVLPRGEVKVNPEEQSQLQVANRNALAAYQQFMGRLPQGP >PVH36776 pep chromosome:PHallii_v3.1:6:31431749:31433080:-1 gene:PAHAL_6G167100 transcript:PVH36776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYAIYTEVCRASSSSTRTNMLYRRFAMSASNDGNDPKGKSAETAINIAPPRVLLVDDSPSDCVTTSINLGRHDVLVSAVEGPRQALEILNSEDVVNLVLTVDCMPHMNGYDLLMEMKKSPKLNHLPVVITSEDIIPDRVKKCMDGGAKDYIQKPINSSNIASILSYI >PAN36366 pep chromosome:PHallii_v3.1:6:43208334:43215306:-1 gene:PAHAL_6G277100 transcript:PAN36366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISTVLGFFGFGFGFSAGIVIGYFLFIYVQANDVKDVKVRPLVEYDSKSLEGVLPEIPLWVKNPDYDRIDWLNRFLELMWPFLNKAICRTAEDIAKPIIAENTAKYKIDSVEFETLSLGSLPPTFQGMKVYVTEEHELIMEPSLKWAANPNITVVVKAYGLKATIQIVDLQVFALPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADVMAIPGLYRFVQETIKKQVASIYLWPKTLEVPIMDPSKASRKPVGILLVNVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLTPEWNEDFKFVVTDPETQALEVNVFDWEQVGKHEKMGMNRVLLKDLPADEIRVTTLDLLKTLDQNDVQNEKSRGQLTLELTYKPFKEEDMEKEGIEGTDAIEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNKDPRWEDEFEFLCEEPPVNDKIHVEVLSKAPKKGLIYGKETLGFIDISVADVISNKRINEKYHLIDSKNGQIQIELQWRTS >PAN33173 pep chromosome:PHallii_v3.1:6:79862:84203:1 gene:PAHAL_6G001100 transcript:PAN33173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVGDEAHLKAFEEALSSSSSPPPQAQVGLVVGKLSASSDRALVYSLLPTPPTEAGAPACSLRAAPKPKASKAKAPSSSDATLEFDVDWIAEHARQVSRMLLGGMSVIGIYIWASEASFKATPPAVLSQVIRAVFQACYGSTLSERLLIHISYSPRRWACRICEVASGSLRPCDFKYSKLLASLQTFRCRYNFQIRLTAVQAEPFKKVILKAISHLTEEVQNARALVDGCLFSEDINISTDGPHQVDFLVPFKNAVPIEECSLEGVAGLLHFAGSVSALAYLGPKESISEAISDLKADIITSLRSRLDIILDEADDGSATNELEQSPSQKVTQVVFHELREPYCFSFPRRVLIPWLGGAYVCDYLQQSETTEDATDRCKEVIPLETAAASSSILEPESAAVCGTLESFWDMVPGARSGGRNSSSRLKDSGCTGQEEDGSRSRQGAGNFNILAAMFALLVALIGGVVFTFSAGSNT >PAN36309 pep chromosome:PHallii_v3.1:6:42973228:42975471:-1 gene:PAHAL_6G272900 transcript:PAN36309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAAAVVSRLGIRFRRLSTLPEFPPAAAGALQPQHPTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPAAPLHASRFLAWLRAKPSFAASAEHFDALLLPLARARLIPHLWSLASDMRGLGLPLSPTTFSAVISSYGHSRLPDQAVEVFNRLPRFGCPQTTEVYNALLDALCANGNFAGAYKLLRRMARKGVAPDRVTFSTLVDSWCAAGKLQEAQAFLDDMASRGFRPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGVLPDVATFNSLAEALCNAGDVDFAVALLADASSRGLCPDISTYKVMLPAVAKVGRIEEAFRLFYAAVEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKMKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEAGFTPRAPTFNVVVDGLRHCGKHDLARRLEQLEMSLKGN >PVH36795 pep chromosome:PHallii_v3.1:6:31982927:31984523:1 gene:PAHAL_6G169400 transcript:PVH36795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAWNCRGIRNSRTVRELCGFVWSLHPKIVFLCEARLRWRLDLRNSLAVSSDGLSGGLGLFWDESLDVFLLSQGERHFDVLIKEELGLTPWRATFVYGEHRVENRYKMWDLMRNLRGEWNGPWFLMGDFIEAMWQYKHFSETPRAEQQMLDFREVLRHCDLQDLAGSRNMQVGLDRGVANSEWSLRFPGAHINHPCSSRSDHKALVYLLQVPMTPSRNMEASLKSWSREKFGHVTREIERLRSVLEPLEGEDVIGNRAEILQAKIKLDELLYREEMMWLQRSRINWLKEGDRNTRYFHRKARWRAKKNKIRRLKRGDGSWCANQEEMKGMASHYFSDLFSKDSSLCPDDLTNLFAPKITMEMNWDLCKQYSEEEISNALFQIGPLKALGPDGFPARFFQRNLGADEGRHCHSCAGIL >PAN33768 pep chromosome:PHallii_v3.1:6:3159630:3161902:-1 gene:PAHAL_6G043400 transcript:PAN33768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEAAEGSRSRRRMDLNLYLGLPPLPRPPGRLDAALDCPLLMPNSTGPAPDAPRAGEPEESLAPAAAYSPSNALSTPEEQPMLDPIVYAWLDGHSTDGEEDADAPELAPVEGANVTRPLVAASGLEGDDLTPWVERFVRPGRVAGAGGGMEMVSTSILRRSVRGAAAIEAGTPELRFQRVIQISQQHSIVRPGSANRSQRAASPEADRLVWAIQRTHNSLEAARRQKLDGDNKVGGKGAAKKDGCCECSSSFECNICLDPAKEPVVTPCGHLFCWPCLYQWLHSHSAHSECPVCKGEVLEVNVTPIYGRGGEEGDSATLDMPPRPRANRRESLRQQLQMTDTRGIATVVRQLIENQGIVRGLPSPTGIEMTVVPGGRQRARARRQQRQDNNASSVVPATAIMLNMGNAASESSNQIPLPPSNSDNIAPAAPAAPQQSSSVEQMSNSSTTAVIMGGPGSSRRSRPSESTITRRTRRRQQ >PAN35184 pep chromosome:PHallii_v3.1:6:35782901:35784091:1 gene:PAHAL_6G187500 transcript:PAN35184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDDAWTTSIHDVPEDLLEVILLRVSSPVCLALAGAACKLWRRVVAGARFAGLYRSCMRPPPPATTSTAAAPYARRSTPRHPRLPPGSMSIPATSPSTSSRMIVGLLLIEFNGLHYIPRTFPDVLVVCEPLTRRYEKIPPPLDFDRRSCTYWRSYLVDGEGEEAAVGGRTISMSNFRVLCEMYRGGVARAAVFTAGSGGAGSSWSERAIGHVVPKLDPTRRILGHAGGSWYYFYVKGMTMWIKYNLYVTEGRHGDPRIFSLLDGTVKVFARLGGARGGWALERSVSLRYHDKWHMYLWATGPGFIIVALEYTRARQFLSVDLETMEVKAAAEEKWDTLYPCTLPCPPALRACLDIDR >PAN35086 pep chromosome:PHallii_v3.1:6:36195044:36196822:1 gene:PAHAL_6G190700 transcript:PAN35086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPGPVSPARRPPPYKTTASARAHGDTLRRSPAVSSLSAAGISPRSGDLLRLPPLVQLVNDPKVVAWCAAAALAPPRPSALTGRRSARTFRVGGMDSGNSGSLQSSSGGGDDEFDAACGGVAADSSPLSALLRPHPGFGGGGGSSSLIYGLEELGAPPLSHWCPTAAPLPQASAGTPASPPCHGGPAASAPAADHAAAATAVQPAAPARGSRKRARASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFAGARSRLDNLFPSRSSSAGPAALPQYLLRPFAHSKLHAYPPPASSPAPASVAIAASTVTHAGATAVASGDDSYNQQLTAAAPPALLGTQDHGSSSSSYLSFQGALGGGANKYPLFDDRGGVAPSSAPRPQDPAGFLGLARGSTMSPEGARAHLHPRNGGRGDDELSGLVGGCKATYSSAPPPLERNGRSPPAGGVPTATTTPTAAMRTRGADSWVCGASE >PAN34695 pep chromosome:PHallii_v3.1:6:24248492:24266138:1 gene:PAHAL_6G142300 transcript:PAN34695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQLYAAVQLHVQSMVMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHGHLYNNGEYSSVTLSMVDNEEVPASTATGRIVNSMQPLSRRTRSIKGDNHIGGLVTADGFPKTSSVDGGSSFDGPDDDSSLDMRETDGRARKDSKTISREIPIFLSCAAPDEFIDSMIKADAPLNVKYLRTLVQCLSMLGKIAAAGAVICQRVRPTIHDVITSKIKAYAEEASKSSTDKAAKRNSDGSHSDGPVPQFQMLKQKTKNGASVMAAQLVVSPISPAMAPAGDAQHAAGQLLRTIFECLLDILENHIIVGDLLEEKSTSEVDNINTPHISNGDPSWNPDSESSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRDGSEGLSFAFRITDAATTVPNEGQGWRRNSNVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGSMLPQKYSQLGSDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVNATSVYGSSVEHGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDIESLMRLEPANNSLQNSTSQPENNVTDAEAAEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVVRLGESFVSPTTSMSRNHSQHGQHARSSSAIPKGLASLANEYRRLAIDCVRVLRLEMQLEAIYHMQEMTKREYMEDQDAEDPDDFIISLTTQIARRDEEMAPYITESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSVALEQALAAIPSIDSEAVQQRLDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREIPMDAERRISQILGH >PAN34697 pep chromosome:PHallii_v3.1:6:24246200:24266138:1 gene:PAHAL_6G142300 transcript:PAN34697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRRGIFDGLPIPADKSYLKEGLLRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESADSITGLKGEMAEAKKLLGRKNNHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMVMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHGHLYNNGEYSSVTLSMVDNEEVPASTATGRIVNSMQPLSRRTRSIKGDNHIGGLVTADGFPKTSSVDGGSSFDGPDDDSSLDMRETDGRARKDSKTISREIPIFLSCAAPDEFIDSMIKADAPLNVKYLRTLVQCLSMLGKIAAAGAVICQRVRPTIHDVITSKIKAYAEEASKSSTDKAAKRNSDGSHSDGPVPQFQMLKQKTKNGASVMAAQLVVSPISPAMAPAGDAQHAAGQLLRTIFECLLDILENHIIVGDLLEEKSTSEVDNINTPHISNGDPSWNPDSESSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRDGSEGLSFAFRITDAATTVPNEGQGWRRNSNVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGSMLPQKYSQLGSDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVNATSVYGSSVEHGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDIESLMRLEPANNSLQNSTSQPENNVTDAEAAEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVVRLGESFVSPTTSMSRNHSQHGQHARSSSAIPKGLASLANEYRRLAIDCVRVLRLEMQLEAIYHMQEMTKREYMEDQDAEDPDDFIISLTTQIARRDEEMAPYITESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSVALEQALAAIPSIDSEAVQQRLDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREIPMDAERRISQILGH >PAN33817 pep chromosome:PHallii_v3.1:6:3582922:3591627:-1 gene:PAHAL_6G048600 transcript:PAN33817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSQANGDQSPDVSDSDMMDFLNLDGDGEGDCDSPRKDSTKGERQPAVDADVDQSVMDVDFEGLSSVVDEGKENQSVMDVNFEGIPSVEDEGKEKQSAMDVELKGMLSVEDEEKQEESTMDVDFTGTPAVEDEGKGKVSLGLRTQVPVDFNVASLEKFCKEASRSFFSENGLVSHQINSYNDFVSQGLQELIDSIGEITVEPDYDPSNRDGARKYATIKFGKVTLEEPVFMVENNDLAVQDLKLKPRHARLQKMTYSSRMNVEMTVQVYTLEKSDKAKTGKDMHVSRRDIMKETKQVSIGMLPVMVKSNLCWLHKLRESDCQFDSGGYFLIKGTEKVFIAEEQKCLSRIWITDRSCLEASYISVIKREKIYVKLVQSKRNESRKVINISFLGAIMPIWVVFFALGVSSDKEAFDMIDILDCDASVFNIISSTVKESHEECEGFRTPGRARQYVDKLIRKTKFPPEEAFDEYVCKYMFPGVNGIRCKAIFLGYMVKCLLMAYSGNRKCDNKDDFRNKRLDLACQLLRRELWVHVMFAQRRMVKLMQKDLSSDGNLQDLRRYIDASIVTNGLNRAFSTGSWRHPYKSERCSGVVATLRRANPLQMMSDLRKTRQWFAYSGTTGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAVTAMVSTVVKKPLIDTFVSCGMKKLDDKITLQDISGKDRIFLNGNLLGVCADPGELISRLRSLRRSKQIEPQVEIKRDRHNKEVRVFSDPGRILRPLLVVENLRRITRPKGGLYSFQELMDQNIIELIGAEEEEDIRCAPGIRHLFTREKEEGPSDYTHCELDPSFLLGLSCSLIPFANHNNAKRVLMQAEKLSQQAIGYSPLNSRSRFDSLSHQIFYPQRPLFKTVVADCLGKADYNFGRKDDFTPTEYFNGQNAIVSVNFHQGFNQEDSLVLNRASLERGMFRTLHFKSYKAQVENKEITRRLKHKEKLSFGKVQSKKGKVDSLDNEGLPYVGASLQSGDIVIGKVTESGEDLSAKLMHTEKGMVEKVVLSANDDGMNFATVTLRQSRSPCVGDKFASMHGQKGVVGLLDSQENFPFTRQGIVPDIIINPNSFPTRQTPGQLLEAALGKGIALGGKVGYATPFTTPSVDVITEQLHKAGFSRWGGESVLNGHTGERMKSLVFIGPIFYQRLTHMSEDKVKFRNTGPVHPLTRQPVEDKKRFGGVKFGEMERDCLLGHGAAASLHERLFMLSDFSQMHICQACERVANVIMRPEYGGKKVRGPYCLFCRSAERIVRINVPYGAKLLYQELFSMGICLKFQTEVH >PAN33929 pep chromosome:PHallii_v3.1:6:4269736:4273809:1 gene:PAHAL_6G057300 transcript:PAN33929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSVRLSPAPAAFSGFSLRSKSASIPSIASLKPSKYVVSSLRPLYLAPLDGPRTAELKPRRQPLEFRCAASAADDKESKAEVVPVQSEGAQRLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQAKA >PAN34501 pep chromosome:PHallii_v3.1:6:28645736:28646908:1 gene:PAHAL_6G157700 transcript:PAN34501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGASTNTGSNGLQYPVPPLSGSNTRQGYDDPRRRHGQQGHSAKPGSEAIVSPVPTISNTWQYQKPYYQVQAGQNGSTNTNSQQGATGTSNIMPRMGGR >PAN34648 pep chromosome:PHallii_v3.1:6:29635792:29637624:-1 gene:PAHAL_6G160800 transcript:PAN34648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLRLRWALATASTAAPLLCSSASHARPLPLAPPSAFPAAPLLRAPWQLLPSGAARFRSTAVAAVWSRLQGRCLPPLSASPSPSHSPSPWSSCPPPPPPLELVLAIAAGSPPPKAAPLPPGRPDLGLLPCRAAVSPWS >PVH37339 pep chromosome:PHallii_v3.1:6:44534202:44538554:1 gene:PAHAL_6G291900 transcript:PVH37339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRTPSATAASFPPPPPCFDYRAAVLADTRAAAAAAGNPALAALVESGALVRVPRRRFGPVPAWRPPDFMEPEEVWILGTSHLSPDSVADVERVLRAVQPDNIVVELCRSRAGIMYVSTDTSDEPLLKSNMFSLGGAKFFGAVNRSINLGGQSALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDIGAQLVLGDRPIEITLERAWKSLTWDQKTKLVISLFRGITSTTDTPQEEKTAVSPYELYQKLSTSYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGVVGKGHMNGIVYALISDQGDLRFRDLVGRASSDTWVSSLIKGLVRDTIIGLVLWALYKQLQALL >PAN36717 pep chromosome:PHallii_v3.1:6:45359155:45361231:-1 gene:PAHAL_6G304700 transcript:PAN36717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRRVASSTPVPEWLEALLTTRFFLACGAHPASPRNECNMFCLDCRGAPPPAFCYYCRAHRHASHRVIQIRRSSYHDVVRVSEVEDVLDITGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGCKLVDTKRSNGHAAAAADGGAANEEAEEVGGSKNAAARPQGRRRKGIPHRAPFWS >PVH36550 pep chromosome:PHallii_v3.1:6:10391924:10393279:-1 gene:PAHAL_6G099800 transcript:PVH36550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDEFQPDSKQTDGNKDHLKFKFEPYQRNDIEYLERETTSKVNKADIIGRDKERKRVIASLSASNNQEGARILPIFGLGGIGKTTLAKLIFNDIHFKDYDHRAWVYVSQVFDLRRIGNTIISQFGVHNGRLHELLDDKNTLVILDDPWEKDDSQLNKLKLMLNICSKMKVLVTTRDEEIANSIGTITTCKLSPLNNAMCWDIIKKCINFESRDDQQQLEQIGQVIATNCGGVSLAAQALGFMLSRMDLKERKELTYIAMPQYLKLCFAYCAIFPRGHNIAKDNLIHQWIALDFIKPSNMFSLLQLCEKYVGQLLGMSFLQYSKLTTVSYNLP >PAN34947 pep chromosome:PHallii_v3.1:6:11487030:11487865:1 gene:PAHAL_6G102900 transcript:PAN34947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALVLRHALLLASAAAAAAAMDNATGDSSSNTTTSPVLCNGAECEPPGKPLPIYGYPPPAPSLPSAPPTTPSAPGSQTPCTPVAVVCCGGAGGQYMPQQPNYYGPPTGGYVPYYNASASPPALLAPITLVGYYAMVACIFLLWLVV >PAN35667 pep chromosome:PHallii_v3.1:6:39329971:39331568:1 gene:PAHAL_6G225300 transcript:PAN35667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDETVAGPRPDSGLGRLRKYASFSPSSSSSLAPAAADAPAPAVTRSLTTGRPPSLSVDQSPRGESYSSSVPSSPASAPDSPFATATTPKADGWRRLGRKPKASDGPEPAVGPRSPTVYDWVVISLE >PVH37255 pep chromosome:PHallii_v3.1:6:43183881:43185457:-1 gene:PAHAL_6G276600 transcript:PVH37255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREHCAPAAAALWGHKHLPLLARAGSKESLEYILQALWRTRRTGLDAADRAVARDALHLASDAELDPLLVCLRILIRRCVSEDVSKDDIPKLFPDEVPPELQKLLTLLLQKFQPEWQDDASKYQASAPHSGTVECQLNQNGDTAEQPDAPDAALQNGTASVKDSIKPGEKEVKKFPLAKDSLDKMLKDLFSTKDQMPVA >PVH37254 pep chromosome:PHallii_v3.1:6:43183755:43185457:-1 gene:PAHAL_6G276600 transcript:PVH37254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREHCAPAAAALWGHKHLPLLARAGSKESLEYILQALWRTRRTGLDAADRAVARDALHLASDAELDPVSTPLTPTYLFFPPTPFRAVAVMPSRVSRVQNARSVLNYVLTRPPLQLLVCLRILIRRCVSEDVSKDDIPKLFPDEVPPELQKLLTLLLQKFQPEWQDDASKYQASAPHSGTVECQLNQNGDTAEQPDAPDAALQNGTASVKDSIKPGEKEVKKFPLAKDSLDKMLKDLFSTKDQMPVAGSKNGHEEVAGSTSKV >PVH36404 pep chromosome:PHallii_v3.1:6:5209617:5210617:-1 gene:PAHAL_6G068300 transcript:PVH36404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGGPELQAPSRRAGLGEGRDHGALRGEGRGAAARGWRRAGARPQLPRHGLPRRLGSGGGRPEARRTGAAGARGCGADALWRQGRARRRVMGRVGESVLNNCCA >PVH36889 pep chromosome:PHallii_v3.1:6:36333191:36335989:1 gene:PAHAL_6G191400 transcript:PVH36889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSPRPLLALLLLLVLLCSHIALCASAEPGKPKAKPKATGGRKALLAASSAGDDGEDAPAAKPAKSAPAAKIKKKLAGDAKNQTNVAKAKKSESAAAAKGAAKKAAGKAAAGGDASIAKVPKADKAKVPKPDKAAAGGDASIAKVPQADKAKVPKPDKAAAAKAKGADSAKPAKVKGADSAKPAKVKGDDSAKPVKVKGDDSAKPAKAAKPGKTAKSEAAVGKAKKPANSTADAGAKPAKSGKKAPVVADAKANATAVSKEEEAAAAGAEVEEDVVFAEEAEGTGDLISEFRGLPARLQETLMPDLARLSHHSKAYLSAANAGIADGVRPILGGRWAAAAASAASVLVLLLPLFMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMAYLVLQMVDLVAVFSGAASPEEDGGGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGTDGAAEEWKKS >PVH37214 pep chromosome:PHallii_v3.1:6:42971989:42972529:-1 gene:PAHAL_6G272800 transcript:PVH37214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEDRPGELNGYRKPVICYRVDIEDAVHIHNKKIHGDQWELGTVVRKAYALTVIFVLVFIILVDFWMSYTICLSVKWKHI >PAN35128 pep chromosome:PHallii_v3.1:6:35108153:35110799:-1 gene:PAHAL_6G183400 transcript:PAN35128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATGYGDAPTEEELLAYGDLPRHGRDMAEVFAVRVPAGGGGGKDRAPPCGSIFFHGGNSCSDLIYSRNRSGTDEPAATEPCDSEGNLVLTGPSVATSAYGPVGFDIHLHGESSLQANDGGGDDQDDNNTGRIFCDTVSGEFSTYDRVITETVATGYGPADVVYAVLSNAVQGRVAVKLTSLPAGGGDSDGAASGVLGRVIARSKFLDAGCVLFYRERDGEGVPVRPGELVPLARQALAVPLHKPLTIELNLRSDSGEEIVRGAVQFDPAITGEHIERVVGMSGAEIEVTVSWSDYPW >PVH37188 pep chromosome:PHallii_v3.1:6:42445413:42447365:1 gene:PAHAL_6G267200 transcript:PVH37188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPELEGLRRIAPSRFVSFSFPNPFLGHASDPYGDGGGSGGAGERLRVAVLDSPLPSPPVPGTAAMLVPAGRHRDWIFSTRAGHLHLLLSIQYSRLILVGPELYVPSPRVIPCIARPDPDPAHARLRPLLLALCPIAAFRDNAVPDVPLLTFQDDLLLLSPVKFVAGPVVGEMVVEDVAIDNAPGPAELHRRLRFKRMPCLVQTQVRLCRSPAAAAAASSSLVETLKGSGGFLQPDVGGSLVQPYLQAMVAGLAVIAPSIEESIQSGVRPRCLCAGVGGGSLPMSIRVGLQFNVLGVEADSVVLDVARNHFGLVEDEFLHVHVGDAIQMIEAQPEIKFSAVMVDLDSSDAMCGVSAPPLEMDPSTRG >PAN36151 pep chromosome:PHallii_v3.1:6:42445413:42447365:1 gene:PAHAL_6G267200 transcript:PAN36151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPELEGLRRIAPSRFVSFSFPNPFLGHASDPYGDGGGSGGAGERLRVAVLDSPLPSPPVPGTAAMLVPAGRHRDWIFSTRAGHLHLLLSIQYSRLILVGPELYVPSPRVIPCIARPDPDPAHARLRPLLLALCPIAAFRDNAVPDVPLLTFQDDLLLLSPVKFVAGPVVGEMVVEDVAIDNAPGPAELHRRLRFKRMPCLVQTQVRLCRSPAAAAAASSSLVETLKGSGGFLQPDVGGSLVQPYLQAMVAGLAVIAPSIEESIQSGVRPRCLCAGVGGGSLPMSIRVGLQFNVLGVEADSVVLDVARNHFGLVEDEFLHVHVGDAIQMIEAQPEIKFSAVMVDLDSSDAMCGVSAPPLEVIHGSILLAARTILDQHGVLILNVIPPPADGSVYKGLIDVLRQVFSELYEIDVGNGENFVLTATVSPIETALADNSGHFLTELRKLAGDFLEHIRKI >PVH36619 pep chromosome:PHallii_v3.1:6:18169214:18170544:1 gene:PAHAL_6G124100 transcript:PVH36619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGFHRREVRNNDDAFSKIKFNIPPFDGTYDPDAYITWKIVVDQKFACHNFPENARVRAATSEFTDFASIWWIEHGNKNVDDMPQTWDALKWVMRARFIPSYYARDLLHKLQQLRQGTRSVEEYYQELQMGMLRCNLVEGDEPAMARFLGGLNWKIQDILAYKVYTNITRLFHLACKAEREVQGRRASTRPNVSAVKSTSWQPHTNTSMGRRAPIPTPSPSHAALPSPSNDKPRTPPTTSATKTIQKPAVSASSVASTGRTRDVQCHRCKGFGYVQRDCPTKRILVVKDDGAYSSASDFDEDTLALFAADHAGNEGTPDEHIDAGAAEHYESLIVQCVLSAQMEKAEQNQRHTLSQTNSIIKERSCRIIIDGGSCNNLASSEMVEKLALTTKPHPHPYHIQWLNNSVRCCAYASLPYFAR >PAN35154 pep chromosome:PHallii_v3.1:6:35443967:35445648:-1 gene:PAHAL_6G185500 transcript:PAN35154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G29820) UniProtKB/Swiss-Prot;Acc:Q94AF0] MGLEMEAVAAAAPAAAREQGVEIYPLSRYYFGAKDAAAAPRGLETAADRALRLKANFAANGLRTSVHGVLLVELFDHPHVLLLQVRNSSFVLPGGRLRPGEEDVQGLKRKLSSKLSVLDDGEAIAEEEEDDWQVGECIGMWWRSEFEAIPFPYMPPSFRAPKECIKLFLIRLPMSRQFVVPRNMKLLAVPLSQIHNNAQVYGPVISGIPNLLSKFSLNVISD >PAN34244 pep chromosome:PHallii_v3.1:6:6244801:6245932:1 gene:PAHAL_6G077000 transcript:PAN34244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQGPVSTTASTCTAETARGRHTFVVAGYSLHRGLGAGKFIRSATFYVGGQGWSVRCYPDGHTGKESNQDFVCAYLELMAGTGAAEVRAVYDLRLVDQLTGESKVLFHPAAPRAFSGESPGARWFIRRTELEASTYLRGDRIVIECNVTVIVTKQEAEPQTPCEIIQAPPSDLPVGFGKLLQTEEGADVAFKVQEETFRAPRVVLAARSPVFKAELYGPLRERNDGGVIPLEDVHPGAFRALLHFIYTDSLPAMDDLDGDENREIVKHLLVAADRYAMERMKLVCASILSRRLDVESVSTTLALTDRYSCGKLKDACIQYIISSNGGYEELKRACPVATVELWEKASKSLKIVHAEQLERVLDQLD >PVH36178 pep chromosome:PHallii_v3.1:6:1284011:1286056:-1 gene:PAHAL_6G015200 transcript:PVH36178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISSSALPYKRTPPTWLKTAASDVEEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >PAN33271 pep chromosome:PHallii_v3.1:6:510076:513531:1 gene:PAHAL_6G007200 transcript:PAN33271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSASSSSRALLRRIGGALLRRSFSASAEAGADSAAAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFQMPRPKAGELLIKTKACGVCHSDLHVMKGEIPFSSPCVVGHEITGEVVDHGTHTPVEIVNRFPIGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPHSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVERIKEITDGRGVDVAVEALGKALTFAQCTKSVRDGGKAVMIGLAATNVVGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKFEEANGAYEDLNQGKIVGRAVIEIME >PAN34447 pep chromosome:PHallii_v3.1:6:8756225:8762010:-1 gene:PAHAL_6G092600 transcript:PAN34447 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) UniProtKB/Swiss-Prot;Acc:P40940] MGIVFTRLFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSS >PAN33960 pep chromosome:PHallii_v3.1:6:4572573:4575692:-1 gene:PAHAL_6G060300 transcript:PAN33960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCMASACATSTPLVPAGAPAAAPPPACPSKNTTTSCRRRRAVSCKAAAGGDDGRLWLPRRDVLSALTGVAAGLAGYQGLASAAGEDKCPRGDKVTDKLVQCTDPNTNFPCPPPTPRPVVDFRPESRVRRVRRPVHLLDREYQEKYREAIAKMRALPASHPLSFAAQAAIHQAYCDGHYRYDPAAKNRPFDVHFSWIFAPWHRMYIYFYERALGQLIGDDTFALPYWNWDAPAGMVVPPLFRDSFDNPLGNRYREQRRLDKLVDLDLGFLDPGATLIPFNGPHDKKYEEAVNKNLCTVYQQQIRGGKGARCFLGERFCSNIEDADEGCQGTLERMAHTAMHAWVGRTPPEGAPCNADTGGVMGHDGNYHCENDMGFLGSAGQDPLFYSHHANVDRMWHLWSTKLGGEGFKDPEWLDASFVFYDDVANPRPVRIKFRDVLDTRNLGYTYDAESEADLPWLRCRLTSLVPHGKGSAPRPAPRKAGPTFPVTLRRSRVVEVPAVPVPAKQPGQQRVLVIRGIEYDPSAQNKFDVAINVPADQALKVGPENYEYAGSFAVVPSSKAGGGTLQGKLALPIDEVLADIEADGDSAVDVVIVPRTGGEIKLKLCPTIQNQNF >PVH36774 pep chromosome:PHallii_v3.1:6:31300474:31300764:1 gene:PAHAL_6G166400 transcript:PVH36774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTWFLFSGYYKLYGIKFYCFHNIEKLANFRPHENSIYIEMFDDSVLVSFAHS >PAN34283 pep chromosome:PHallii_v3.1:6:6592421:6593424:1 gene:PAHAL_6G080700 transcript:PAN34283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMAAACCGLEEETVMGEQKAPGACPRCGGAVVATDVESVRRVLCCLPLCVKNKRKFSCARCRRSLAALSTHA >PAN33406 pep chromosome:PHallii_v3.1:6:1434428:1439288:-1 gene:PAHAL_6G018400 transcript:PAN33406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKKDRGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSASALLQQYEQQMLEQKRAAAAASAAAGRGGGGGGGCGGPRHVRRAHSGKTIKVKKDGAGGKGTWGKLIDTDADACLDRNDPNYDSGEEPYELVETPVSTPLEDYKKSVVPIIEEYFSNGDVKLAASDLKELGYDDFHRYFIKKLVSMAMDRHDKEKEMASVLLSSLYGNVISSAQIRLGFVLLLEAVDDLAVDIPAVVDVLALFIARAVVDDILPPAFLSKAKVSLSESSKGFQVVQIAEKSYLSAPHHAELIERRWGGSTHITVEEVKKRIADLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPAAEALIVKLLKEASEECLISSSQMMKGFSRVSESLDDLILDIPSAKSEFQLLVSKAISEGWLDSSYVRSGVNGSVEDDEHENLARYKREAVSIIHEYFLSDDTTEVIRSLKELGYPEYNPIFVKKLITIALDRKNREKEMASVLLSSLSMELFSTEDIAQGFIMLLESAEDTALDILDAADELGLFLARAVIDDVLAPLNLDEISSKLPPNCSGAETLNMACSLASARHAGERLLRCWGGGTGWAVEDAKDKITKLLEEYESGGDVGEACNCIRELGMSFFNHEVVKKALVMAMEKKNERTLSLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAKEKFLSYVEHAKKSGWLLPSFSIASAA >PVH37316 pep chromosome:PHallii_v3.1:6:44156255:44158832:-1 gene:PAHAL_6G285800 transcript:PVH37316 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MDRRSRTPQGQIAQVVMEFLEVAVSCIVFLKGFYPPRAFERRRYMNVVVQKAVHPELASYIHSTTTGLLPFIQKGLVERVVVIFYDKEHVPIEKFVFKLLVNQSYGSKLEEADLEFVLRAFLIKLTVAEPVTKSLPSDGSWEVTAYFRSLPGYGDREAQLWIPTDTKLWMQAPHITPIKSVSCDPLKMQLYLEHPSPTEPKNPAA >PAN35692 pep chromosome:PHallii_v3.1:6:39489916:39492394:1 gene:PAHAL_6G227200 transcript:PAN35692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRVPGVHLPLFSFIREEPRRLRKRSGFPSASAFSLSCRLILLPKLVQDSGECGTSHAQAGLQLAPGLQHQASLFPQEKAERKSLKTKTGRTTVCVCGLPSAAQVPAAVQEEMQWWSLAARADIPGLRSSSSRGCSLISDPRLPTKRAPPVFAVGVKEERSGAPALGLVSVTDLTDVGWEHGGGGGGGRPGRHTKAGGGICKSKGAICE >PVH36724 pep chromosome:PHallii_v3.1:6:27092210:27093145:-1 gene:PAHAL_6G153300 transcript:PVH36724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRMAPSKLPLLLVTLAVLLPAPPSLGAGFGAGAGTIDITKVLAGFPEFSTFSSMLTETNVALAISSRDKVTVLALNNTAVAVAFGGMLRVPRSLLADLLALHVVLDYIDEPRLGALQHDRKGEGSVVTTLLQVLRAPPRGVGFLRIHSGDDGCAMLSSAAPGGLGRNATVEKQITAKPYSVSVLQVSGFVVPPGIGVPRAFPPRASRHMAAPPRKPPAPAPVPAPGPAPAPFVASGPLVPSPIKPVPTPNLVDTPAPVPEETGVIPIPSVHGGLAAKVPSSAAGRSAANWWSSIAAVALGMTRCLHLHL >PAN35722 pep chromosome:PHallii_v3.1:6:39633704:39634375:-1 gene:PAHAL_6G229300 transcript:PAN35722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAAAVLRLALVPSLLSLLLPFSSLALTQDFCVANLLLPDTPAGYPCKPKPLVTAADFHSAALARPGPVIPPFNTSLASAGVMQFPGVNGLGVSCTRVDILPGGVVPLHSHPEASEIIIVFEGTILAGFVSAETNVAYTRTVRKGELFVFPKGLQHFQINTGETTAVAFNAYSSANPGLQITDYALFGNLLPADVVTKVTFIREAEVRRLKAFFGPSAIPS >PVH36664 pep chromosome:PHallii_v3.1:6:21564901:21566325:1 gene:PAHAL_6G134300 transcript:PVH36664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVENYDRSARRQLDFVLSINMAGVASRRQIKNKEADPSDRPQKEKKEYVTEEQVRYVRNQRPTSSDLLRKYEYQYRQRLQRESEEEEYERRTGKRLRKHEDARDHWYCPFFRYCWNSGMTRLPTIRDCPECEPVKLDARDSVFRRLGPAPTRQEWARSPRREEEEEDRYHHPRWCPDGLNRSQKRRVQRLRSLEEAEAKYIETFRKARPDLAEQVHYVQEKEPRPSRKEWHPKSTRADKKVSADTHMVFVLPAEFHAQTREEPSVAQLDLGPRPVIFEKPQANNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGHSTGDLIETNVMLSDFNGQNSEAQGVLSVDLTVGNKTIPTSFFVVNSKSTYNILLGRDWNHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIKISHAAMSIWDAEDQEPISGMSLEGCDCIEATKNGVRLVLSTGLTE >PAN35205 pep chromosome:PHallii_v3.1:6:35967324:35968617:-1 gene:PAHAL_6G189300 transcript:PAN35205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPAICSDMRLFGGSAGLPLFVDDCYSRLVIRRLTSPQQPGQIDRETAMSLDLPDGVDGEEVYIGGLAVSRSSSDARCLPLGISAIVVATRSYIFGTRTAGYRQMWSVLPVKEPAEGRSFERQGLRTFVSMLFDGEESAYLLDASRRLCFTNWDADGRLQMHELPIQGGKNALLDDGVEELKMVWLLQLPLGDHRGTNLVCLAYRWFHGFHFGRFVAFDLTEGEATRLWVFRPNILGELCVLVGWGPGAVAVVYNRPGVVGLKKGRIYSSLDGLRSYVLGWNYSDMRTVPSYWPVSLWINPEFPNPLSLEDTFVKVIMEDFADADAENKAPDDADAIDQVEELSASAPMLAGVGSQNDAATTGPERVTMVPTAAPAPLLDRLLRRTLLQLNSGFD >PAN35714 pep chromosome:PHallii_v3.1:6:39588015:39590379:1 gene:PAHAL_6G228500 transcript:PAN35714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDVEAAMAPPPPASACSSSSIGRDSDECPPPGKEGEGEGEGEVQSAFTGVGAGGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAITSSGSAKDITKADNAYSRKRKNLLAYSIVYGNSHETSAAQETASPKRLASLSRNSLATLASSSSGSSSSISIEENELPEQLHSPLSRDNFISTPRSESHTPNASSAPMRSLSMMDLHRLHRSSSSVRLKDTSED >PAN35377 pep chromosome:PHallii_v3.1:6:37568127:37574196:1 gene:PAHAL_6G204100 transcript:PAN35377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSAAAAGDGAQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIVTFTRIFSPEEDCEVIESEKRSQVFYQAEPDIWMVLVVEKTKDNESTWRCGALQGILKEAHSLFTMFHGPIRTLLDRQPSAELARGHLRTFLTDYLSDFHVGKKLQFPAYRDSLKERGTIQMLTIPREVALEVQSLTTVLGSCLGNITCQSLVLFEDLLVSTTLPPDDTLNLYTYAVLRLTPRALSSNASSWSYLRKGTSVNAGPTSSSSNGTTAGERPLLREKFSKGKDGFVAPDFAATEVRGAVPLTPILWFQQAEERMYLCIYQHKSLTILLLIPASSLINGEEGIAHVKKQMLENASQKIVTVEQKLTRGWGGENAYHVSGYRYLLVDPDRRVSRASPPGKVTTLAKDSLLALNRLRQEVDLEKSRYRRSDPCHDKDFEVCIRTKNNAWVIAKITRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >PAN35532 pep chromosome:PHallii_v3.1:6:38558019:38560171:1 gene:PAHAL_6G215700 transcript:PAN35532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPIAACFRCSAAAAPSSGAGAAGPSLATSVYETHLGLVALSWSRTSLGLSLRAELRLSPPPNSAPGSSSSASGAGYLDDDADEEEATLAFRARPWLLWRRRGSRRFCAGDRLVDLAWDLSRARFPGSGSPEPSSGFFVAVVVDGEMVLAAGDLPDAAYRRTRARRPPGSQRPVLLSRREHVSLRDAGAGRGRSHTTWVTVQGKEREISVDLVARGRGRDKEKEKERADVGMSVSVDGELVLHVRRLRWKFRGSERVDLGGGDGVQVSWDLHNWLFPPRDPPPADASAHAHAHAVLVFRFDLASVGGVERDADLGKDPSPDKAARRNAGVWGGYLARWGQRDWSEAGSNGEQRKGRARRLAKESSSSSASVASSTASWASGSTVMDWASPEEAEMQRGDGFSLLIYAWKS >PAN34397 pep chromosome:PHallii_v3.1:6:8397791:8401366:1 gene:PAHAL_6G090200 transcript:PAN34397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSADAADATPAAAAPPRAAISHVIFDMDGLLLDTEGFYTAVQEKILARYGMVFDWSVKAKMMGKTTAESTRILFEECGLTGLLTPEEFLEERETMLKELLPTSVAMPGVLRLIHHLHTNGIPLAVATGTHKHHFALKTQNHQEIFSLMHHIVTGDDPDVKAGKPSPDIFLAAMRRFECDVGPSNCLVFEDAPLGVAAAKTAGMHVLMVPDARLDVSHHKEADQVLSSLLDFNPSAWGLPVFKD >PAN36610 pep chromosome:PHallii_v3.1:6:44853906:44854919:1 gene:PAHAL_6G296600 transcript:PAN36610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEAATALRGAPAGAAGPSRSRSPPRFTALELAAAEQLIHLSESSCSSGAAAPTPRGGGLLLPASAASAASSSTSPRSVNNAPPPAAPPAAVAEDEEDDDEQEVGGRRRRNKRYRPIAEIYAATDPKPIGPRRRKAEDRPRPAAPAPAKAKELGATE >PAN33503 pep chromosome:PHallii_v3.1:6:1856780:1864533:1 gene:PAHAL_6G025700 transcript:PAN33503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDSRRQRSKWDAKEASHDIVEISEDESLPDKTGVHRKGGDVHPKPDTSMHHCGAGHEKEQADGFNKDTKELQPKAPSERSQPLRAADERDNNEWSKAAGNQGMNRYADDRRRGDGWGTARSHGYSSRVPSDTDAWRPRSRSPSPRGVWNRSRRNRSRSRSRSRSRNRSRSRSRSIGRGRGRSRSPYFADRGSDWRVERGRTSGGPALPCRDFVAGRCRRGSNCRFLHEDGGHRPFEDHYPADPRERFGYQNKEFMDSREPNDYLRSRQSRDHYDDGPWERSEPRRDYRSTEQCYNFAKGRCARGASCRFAHGDSASHGGWRDDARESAHDRGGPDSSYGNRTENRRVNKNPCKFFAEGRCRRGENCPYLHEEAPQSQIGVSAPDAPLNYSDGRPARGNYSNWSEQNNAMHETSQILSRDDRDNPISQSIGRNDSRYEYESRHSKDAGKSQFQIIPQEDFGSQVENKHEVAVSQQPQLLAPVQTTADNMNNENVPGMGGQNAPATVGDLSMQSGMHSANNLAEQSLGQILQRHDAIPHIPGPSNLPVTTQLQNVTSSFPSNSHVKQNNFPVHQNRQEQFVVPQAVANNPTPGMQGQPVAPHMGHGQHVYGLEPQALPNPSAAHNGHNFSIASQVPQNLPTTVLLPTTVLAGQSQGTVDIPRLGQDSGSQSTRNMQNFQSVPNTQNQSLQGLPLVPTSSSTDMAGPTVSHNAAKSEEVSRVTASLAQYFGNTAFGAGTGLQSSQPNVNSSLMANSSAVPPGVQPNQWPWAQHQTGIVQPGLSVPSEQQQQHAPQTFQMPIAVGSSNGNSMLLPHTGAPSGPAAAASVVNETVPPEIKKGENKDSDAEGHEDGDNKKSKDSKPLKMFKVALADFVKEALKPTWKEGQMSREVHKTIVKKVVDKVTSTVENTPPTKEKIEIYMSYSKEKLNKLVQAYVGKYAKA >PAN33828 pep chromosome:PHallii_v3.1:6:3662350:3663406:1 gene:PAHAL_6G049900 transcript:PAN33828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSAPASPLPRHQLLRSVPSGLGVALNRPPAPPTISLARVPRAVDAAGASQLRAARRGASSPKGGPGSPAQGGGGKVHAAPLAATARMVMRRGPTPPGRPAEGGGGKVHAAPAASTARFVTRGPARPSSPAEGAGGRGGVVHAADS >PAN34474 pep chromosome:PHallii_v3.1:6:9251458:9260466:-1 gene:PAHAL_6G095700 transcript:PAN34474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCTHCADYCPSIKDPDKGYICCGTCGKVLDQEIYTDEPNFVKDNSGQSRLAGSILTSIESGYSMSHQRTLDKGKDEISQIVNNLHVSGGDTIIKRALHFYELALDRNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISVYVLGAVFLQLCQVLLLSDHPFVQKLIDPSLFIHRFTQRLLGGRDNAVSDTALRIIASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKADIVSVVHVCEATLTKRLIEFENTDAGSLTIEEFLATADECNQEPVPKCSLKSGEVLCKHKDNKGSVHFAHGLCEKCYNKFTKLSGGLEGGCDPPAFQRAEKQRLEAAKRAEDAAAAKEAVLEESLCDTQNSDVENTITPSKGISGDKSSAVASEECTNDSILSKAPEGGGENCEGDADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVVEEGKKKKRRRNEDTKSSKPAETPAEATYNMLKRKGLGSKVSAGAVGELYKTKDEDGSAHKKEEMDFDAQYGQDDADGETFDHGYYSYDGYDDDGTGVYNGIDDFDFN >PAN35519 pep chromosome:PHallii_v3.1:6:38524017:38528959:-1 gene:PAHAL_6G215300 transcript:PAN35519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFKTSGSFCSTQQHQWLQSTRDQILHGSSHSNVKQCKSKKIKKPFPLCVKATSSKVELDFNDPSWKQKFQEDWEKRFNLPSITDIYDLKPRPTTFSLKKNRTPTGDENVDMWNGYVNNDDRALLKVIKYSSPTSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEIPLSRHLVENINLNGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEFGVLRHLEHLLKTKGFCVVCVAEAAGQDLLQKSGATDASGNVIFSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVVKAPKRVNPNSRMWHRCLTSTGQPDFH >PVH36216 pep chromosome:PHallii_v3.1:6:1675591:1676603:-1 gene:PAHAL_6G022400 transcript:PVH36216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDTDDPPPSSPWSLSLPPKIAAAVLRRLPAHADRVRFAAVCRPWRATAGTRARPTLPWLALPDGAFFSFPGSAALRFPSAAGYHGSCDDWLLFDGGGDGYLLANPFTGGTARLPALSSVRFVVQSDGAALAATVRKLVMCPGQVVAAMVGDGRLGKIPMCRPGAGSWVISAHDAWRGITDIAFYDGKVYAVEDTGDLFAMPTGEPVVAWARGVVKALHAAPPRRRKAPAPPETRYLFVSGGRLLMVHRAVMGDGTTKFAVFRADLVSSRWSEARSVGDDTALFVGRWCSLAQRVSQYQLPGNRIHFLDDDAFVGITPQC >PAN36566 pep chromosome:PHallii_v3.1:6:44624587:44627682:-1 gene:PAHAL_6G293400 transcript:PAN36566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSHRVERSEIKPGDHIYTWRAGYTYSHHGIYVGGSKVVHFTRKKEAGTAGLDSAIAVSSLLSQGPDECPTFPDCGFQLPDSGVVLTCLDCFLRGGSLHRFEYGAPPAVFLAKLRGGTCTTARADGGPDVAVRRAMHLLQNGFGDYDVFENNCEDFALYCKTGLLPAAAGGGGDDGIGRSGQAASAVAVPLAALLSTPFKVLAAGPLGMAAVTAGVYCVGRYITDIGVRKDVVKVEVENLAAHLGWRRAKAAEEAAKKQQQPPPPTPEKKPNSRLLPLKRKREICV >PAN34833 pep chromosome:PHallii_v3.1:6:20112078:20115156:-1 gene:PAHAL_6G129500 transcript:PAN34833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTGGEDDEEEVNDHPIEEVRNTVPISDDPSEPCLTFRTWVLGMSSCVMLAFVNEFFNYRSSQLSIGTVVVQIASLPIGRLMASTLPERPIRVPLTGGRCSFSLNPGPFSLKEHCLIIIFAGAGCSGVYALNIIAIVKVFYKRQTNPYAAMLLAQTTQLLGYGWAGLFRKFLVDSAYMWWPINLVQVTLFRAMHEEEKRPRGGLTRLQFFIIVMICSFAYYLIPSYLFPTISTISVLCLVYKDSVTAQQIGSGLKGLGVGSFGLDWNTVAGFLGNPLASPAFTIVNVMAGFALSTYVAVPLLYWTNTYNAKRFPLISPHVYDDAGEAYDTSRVLDPKTFALNLKEYNAYSRINVSVLFAINYGIGFASLMSTLSHVALYHGKEIWDLCRKAAAGKKADGGKEQDVHTRIMKRNYKHVPQWWFLLMLAIVLALSLFTCEGFGRQLQLPYWGLLLACAIAFSFTLPIGVISATTNMQPGLNVITELIIGYLYPGKPLANVVFKTYGFISMGQALAFVSDFKLGHYMKIPPRSMFFAQLAGTLTASTVHFATAWWLLTTVRNICDVEKLPAAGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLIGLLAPVPAWLLQRAYPRSRVLQSVNLPLIFAGASGLLPARTVNFLMWGLVGFLFNHVVYRRYKAWWMQYNYVLAAGLDAGVAFMGVFTFVALGYFDIYGPQWWGGVADDHCNLAPCPTAPGVVAKGCPLV >PVH37303 pep chromosome:PHallii_v3.1:6:43664120:43665997:1 gene:PAHAL_6G284300 transcript:PVH37303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRRFLNLIVENPVPGIKSLLCIDLTRHQLFNPTPLTTIGVGSESAVAQGSSSPKQPAGAFNLKNKQADGAVFLMERFQLPDPTFNFRAQAKPHMWKIDCFPLADRKVICVDQAGRTFLFDADTRQLVTMPSLHKPKWSPFSIFVPSTDEDAEHRDGGRLYVMEKNPEFEAGSCVQHSDQFEAFVFHKPTETSFKSWHCQLLPPPPFVHDYAYSQKRCKITSYSVVGDNSSHILISAEDAGTYCLDTVSNIWSRVGEWTLPFCGKVEYVPELKLWFGLSAEDHHLAAVDLSAMDSTPQLVGSWKELEPCWGWEKSQDSQLVNLGSGRFCIARFFEAMELGGEFGNKLVEQNFVILTGVEVKLAVNDVNCSASGNSKTKLEVAMHKPQFHMSNETFIDAVF >PVH37304 pep chromosome:PHallii_v3.1:6:43663964:43666156:1 gene:PAHAL_6G284300 transcript:PVH37304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRRFLNLIVENPVPGIKSLLCIDLTRHQLFNPTPLTTIGVGSESAVAQGSSSPKQPAGAFNLKNKQADGAVFLMERFQLPDPTFNFRAQAKPHMWKIDCFPLADRKVICVDQAGRTFLFDADTRQLVTMPSLHKPKWSPFSIFVPSTDEDAEHRDGGRLYVMEKNPEFEAGSCVQHSDQFEAFVFHKPTETSFKSWHCQLLPPPPFVHDYAYSQKRCKITSYSVVGDNSSHILISAEDAGTYCLDTVSNIWSRVGEWTLPFCGKVEYVPELKLWFGLSAEDHHLAAVDLSAMDSTPQLVGSWKELEPCWGWEKSQDSQLVNLGSGRFCIARFFEAMELGGEFGNKLVEQNFVILTGVEVKLAVNDVNCSASGNSKTKLEVAMHKPQFHMSNETFIDAVF >PVH37293 pep chromosome:PHallii_v3.1:6:43583289:43588455:-1 gene:PAHAL_6G282800 transcript:PVH37293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISRTGGGLRKRCGGSPQAVANRRRPTLWRPPYLCGMWDRTRTTPHASFTRGCPFPGGLDVNGKCRGLIRKTTRAHFPLPPALRSRKRRHRRIRSRLPLPLPLPSPPPPRIQAAASHPRPAKLAREAADASARKRKAADGGEAAPDATAAPPIPGEEEDAAMGDVPQAAPHAAEGGGEGADPNPSPSLGGCSDPVSVELSMGGDYYRSCCGDADLDIPEGPKLPCVGDKEPLSSLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVDRIMIKIEECKKTLLSLGYIEFTFEDFFSIFIDLLESVLQGHEAPIGCHVL >PVH37294 pep chromosome:PHallii_v3.1:6:43583679:43588371:-1 gene:PAHAL_6G282800 transcript:PVH37294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISRTGGGLRKRCGGSPQAVANRRRPTLWRPPYLCGMWDRTRTTPHASFTRGCPFPGGLDVNGKCRGLIRKTTRAHFPLPPALRSRKRRHRRIRSRLPLPLPLPSPPPPRIQAAASHPRPAKLAREAADASARKRKAADGGEAAPDATAAPPIPGEEEDAAMGDVPQAAPHAAEGGGEGADPNPSPSLGGCSDPVSVELSMGGDYYRSCCGDADLDIPEGPKLPCVGDKEPLSSLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVDRIMIKIEECKKTLLSLGYIEFTFEDFFSIFIDLLESVLQGHEAPIGPEELLERTRDSQVSDYVVMFFRFVTSGEIQRRSEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVVYLDRSSCDTGNLSVNHHDFIPSANSSEGDAAMTSAPAAAKPYITLLYRPGHYDILYPK >PVH37130 pep chromosome:PHallii_v3.1:6:41526984:41528204:-1 gene:PAHAL_6G253500 transcript:PVH37130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRYPPAIRRGIDRGVNQPYTPSSVAIGPYYHKIDHLQRAEEVKGTAAYYFCKGLDHSDEAAVYQKILSLADDTRSCYDDNAVMGYRKADFAAMLLRDGCFLLQVIMWKTTGGNVALSLERWFRSNETAILRDIFMMENQLPWLVLQALMEFRPVMVTEFITQSAGGRFPAQVNSAGKQRQPDLALNESNTPAHLLGLLRCYQSGHGESRDVSVPERRESTSAPHRPSSAIELAEIGIKLVPSKTTQFKDMDIRKGLLFGELFLAPLVVDDLNASWLLNMVALEACSATAGKNEEYTVSSYLLLLAMLINREEDVHELRVKHILHGDLGDERTLRLLKNAADFICKTNQHSLLLEDLDAYKQKRWLRIAVHKFVYHNFKTIVTVFSIIGVLVGIFKTLLSLKQRQQ >PAN33457 pep chromosome:PHallii_v3.1:6:1699452:1704806:-1 gene:PAHAL_6G022900 transcript:PAN33457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLWPPAPLKLPPVQGAVHPTISVEQVARVAGYGAAFAIMARTICGPPSLRSPGGGSTASWKCQSTRRPRSIVLMAQNHQTKAPAFAGLRAVHSPMATPLLGSKSKFPNRSLREQKNARLLTRVTLNSFTGEIMNIFALAQEETQHLVLKIGRNQILWSHISQCICILLLDLILNEAFQLIDGRVGRESSQTSLKTRSSETSTETKGKMSTPTLDEYGTNLTKLAEEGKLDPVVGRQEQIDQVVQILSRKGKNNPCLIGEPGVGKTAAVEGLAQLIARGDVPETMQGKKVISVDMGRFLAGTKYRGEFEERLKNLLEEIKKCGNIILFLDEIHTLVGAGAAVEGAIDAANILKPALARGELQCIGATTTDEYMKHIEKDPALERRFRQVKVPEPTVDEAREILIGLRERYETHHKVQYADEALSAAAELSHKYISDRFLPDKAIDLIDEAGSLVRLRHAQRKLSKEVKDLETELKKIMEEKNDVICSQNFKMAKELHDRELELQSQFISLIGKSKGMTNNEMNSGMSVVPVVTKEDIRHIVSLWTGVPVHEVSTDETNKLLTMEQALHRRVIGQDEAITAISRAIRRARVGLNDPRRPIASFVFAGPTGVGKSELAKALAAYYYGSEEAMVRLDMSELMERHAVSKLIGSPPGYVGHGEGGQLTEAIRRRPYSLVLFDEVEKAHPDVMNIMLQILDDGRLTDSMGRTVDFTNTLIIMTSNIGGGMIAANGGGDDGSKSKELVEEEMKRHFRPEFLNRLDETIVFRPLTKVEVREIAAVMVEDVAARVREVGVGLQVTEAFVDLVAEEGFDPRYGARPLRRAIVRLLEDTLADKMLDGEIAEGDSVTVDADAAGNVVVLGRNSRVLQLLQPVTFEI >PVH36166 pep chromosome:PHallii_v3.1:6:1073675:1074616:1 gene:PAHAL_6G012300 transcript:PVH36166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPNIRWDHEGHAHTNALHWEGFPRLLWESLQIFGYDTPPCYDGYEFVEAGVPRCRVKMTIPQHPSRYLWQPVTICITGHRLVDTFESTALEAIHIFCDKHPEEVAAYPIGLFPATDSRDPEWTFRISCCSHLLGDLSLETLQTLIRFMNVQHHYQFLQRQGMNQLSTLAQAHHGTITQQLDELNELHTIKNAQVDLLAQRDVIINNLENQIHKRETVITQRNTIIEFLQDQVQDLTIELDDAVNHINEFHEQPVPPVVPEENESEEEEEDPEEIEGESSLDSEHGDPAPNPQSNHSSSGSLSSVGNLDDY >PAN36772 pep chromosome:PHallii_v3.1:6:45682589:45683826:1 gene:PAHAL_6G309400 transcript:PAN36772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSFSRLRALPTWSSSVSGSGDDHPHSYPVVAMSRPRSSARPLRSSARMMGNVNAGKGLFAPLVVVARNIIGRKRFNQLRGKAIALHSQVITEFCKTIGADSKQRQGLIRLAKKNGEKLGFLA >PAN34913 pep chromosome:PHallii_v3.1:6:16336978:16340944:1 gene:PAHAL_6G117600 transcript:PAN34913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCCGGGHSRAALLFLAAAVAACVAPAAAQEPNTDAYFVSGFFSKLGRPAPSSSGAGGVCAWPGVSCDGEGRVVAFSAAGLGLAGAIPEDTVGKLARLQVLDLSGNRLTALPNDLWELGASLRALNLSGNAIRGALPNNVGNFARLQALDISHNAFTGSLPQALGSIADLQVLNASHNQFQGRVPSAVVFGCGNLVAMDLSGNALDGDLPDLSPLRSLAYLNLSGNRLRGSVIGAFQEQLRVIDLSNNRISGLNFSSGYAGSALMYLDLSGNELLGEFNVAGRFRNLRHVNLAHNHLSDANLLVSLGEISELEYVNLSSTGLHGQIPPQFSSRLVGLKVLDLSRNNVSGVVPDMSSLRLRVLDLSVNNLTGEIPVALVKKLASMEHFNFSYNNLTVCASELSPEAFAAAFAKSRNDCPIAVNPDSIKKRRGKRKGVKLALAVVLSLFFSVLGLLCLAVACRRRRKRCDMLPVVKQVSFKEEPSVSGPFSFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSVMEDQDAARELERLGRIKHPNLVPLTGYCLAGDQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSADTWEDNVGGVATEIITPEGTATWMFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDCTMEPRLSDFGLSMIAGTSTDNDPLHHSPGYTPPEFSISENAMATAKSDVYSFGVVLFELVTGKKPVGDEYPDQKEANLVNWARAMVKANLGSSIIDLKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVAEQD >PVH36963 pep chromosome:PHallii_v3.1:6:38186603:38187881:1 gene:PAHAL_6G210600 transcript:PVH36963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGGGLDMPPGFRFNPSDEEIITFYLTPKVQQRSFTCAAIGEVDLNGAEPWELPGKANMGEKEWYFFYQKDRKYQKGIRMNRATKGGYWKATGKDKEIYGATIGVVLPVLIGMKKTLVFYTGRAPGGKKTSWIMHEYRLEGDDRLPYPAANSISIVTMKSSSASKDKWVVCRVFHKIKGIKKEPALPPYNNAMDDIGIDQSSVHMPLPLEFPVLPDFTMDPAGINYSTADVSSSSLPHVIPPGIGIAGMGVAMFHMNNASLGNSMAVAPQMPFYHQTNIGTVDASGFMAAPQGVPSLMVSQNDAGMSLDQTNDAEIPSMDMDFLWEY >PVH36964 pep chromosome:PHallii_v3.1:6:38186603:38187881:1 gene:PAHAL_6G210600 transcript:PVH36964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGGGLDMPPGFRFNPSDEEIITFYLTPKVQQRSFTCAAIGEVDLNGAEPWELPGKANMGEKEWYFFYQKDRKYQKGIRMNRATKGGYWKATGRAPGGKKTSWIMHEYRLEGDDRLPYPAANSISIVTMKSSSASKDKWVVCRVFHKIKGIKKEPALPPYNNAMDDIGIDQSSVHMPLPLEFPVLPDFTMDPAGINYSTADVSSSSLPHVIPPGIGIAGMGVAMFHMNNASLGNSMAVAPQMPFYHQTNIGTVDASGFMAAPQGVPSLMVSQNDAGMSLDQTNDAEIPSMDMDFLWEY >PAN34593 pep chromosome:PHallii_v3.1:6:12450598:12451335:1 gene:PAHAL_6G105200 transcript:PAN34593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWWLSSYANGHQRKTTTNHIPPLIFNDNDSSARNPNKSTMTQQMEANEVRTLTDKRRFMYKWVQMVYAQVMVRKSLNFSYGTGKRLGAQVHLCERAIKMLASTFNH >PVH36482 pep chromosome:PHallii_v3.1:6:6747035:6748994:1 gene:PAHAL_6G082200 transcript:PVH36482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPIRCYRTSIARSKVEQLQGTGSNCIRIRLPAAMALLSPSSSRHHPLPLVAALLLLMAMAAQPSVASLLYDQVEITWGGDHSFFYMEREGADVLALCLEETNGGSGFASKDTYLYGRFDIDIMLVANNSAGTVATFYLMPDGEVPWAYHDEIDLEFLGNATGEPYTLHTNIFVNGAGAREQQFRLWFDPTTDFHTYSIEWNPKHIIILVDGTPVRAFKNHAARGVPFPTWQRMRLQGTLWNADEWATQGGRVKTDWTQAPFYAYYRNLRVTPCAPPPGVAWCGDEPPESAWFERRLDRAALKEAQEKHMIYDYCVDEKRFKDKGFPKECNAD >PVH36899 pep chromosome:PHallii_v3.1:6:36557123:36558239:-1 gene:PAHAL_6G193500 transcript:PVH36899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTKPLSLLKSQPEAASWPPPEGRNAGGYLVVKGAAAGEDNDQTCCWGTCPCTSRRVWELPFPQDRVLTVRYQEGGRDGSDSQESVVFVPVAGQPLASSRYYAVVAKGRRKGLIRACSRAEDMTSCCFCRCVKDAEPRPFDPADVYQQIEVVRRAGKPKYWEVYEFEQPKNFDLGEALGLDAAALRSRQLAHPFPAADAVGKWYCPFFLVKEQGVAPREQMGRGAFYEVVLEQRWEPVSGDAVSKKAFIGRSVEAKLERRSSRHVHAYVRFVAATWQRVGVCTTVWERMLWEETSGGRVDEEVEAGSVADGSVLVERFVVKRMDSSVAVAFDFVHHNKVSW >PAN35137 pep chromosome:PHallii_v3.1:6:37209667:37211262:1 gene:PAHAL_6G199800 transcript:PAN35137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAVIAAATAPPPSPPWRPDLAAAKALMLLCLASLWVGFAAAGAAAVTVAASGHGEASPVFRVLAETTVRATVLALLLAPVSMVLVLRAAVCDAGFREELIRHGQASRASARSMLREAIVGAFIAALAFALLRVIGSLVLMGLSPAKGSRTGRVCEMLVVVGQVGSTSSTCFIILPLMALKLWRMKPGAIAGSNV >PVH36994 pep chromosome:PHallii_v3.1:6:38626994:38628107:-1 gene:PAHAL_6G216700 transcript:PVH36994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDKLTGRFSFLRDPIPVAPDHANITSRIQPLLFSPVTCWIKRKLAQNKRNTILSCACKQNFCEISVYSSVITSRQR >PAN35401 pep chromosome:PHallii_v3.1:6:37776165:37784407:-1 gene:PAHAL_6G206000 transcript:PAN35401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVDFSVLGALQKVRSFVAGPTPAEATDGRPPATPTSRSGGPSPADSPPPAAARSGGRRAIALRRQISSPQLLRCRAVSRAEDDDDHEPGVPFFTPGNDFLHDFSDTDSVSVSTPNGINRSLTPSPLESPTWMVKQSDSPPRSRKNGGFSPDPPGYGTTVSLGSDGPLEQMNGNITDSGGEGSKTQNPVDFDANIWCPPPPEDENDDVESRLFGFDDEDEDVGDSSRLLPGSFRANKITGVDEVTNIAQKKGLKNAVLGHFRALVAQLLKAEGVDLGNEDGPKNWLDIVSSLTWQAASYVRPDTKKGGSMDPTDYVKVKCIASGDPSDSNFIRGVVCSKNVKHKRMVSEHRNAKLLILGGALEYHRVPNKLASINRILEQEKEHLKMVVGKIESRRPNVVLVEKTASSSAQELFSKDTSLVLNVKRPLLDRISRCTGAQIATSVDSIASARLGHCEMFKVQKVTEFSSAKQTNRRSTKTLMFFEGCPWRLGCTVLLRGSCREELKRIKRAVQLAVFAAYHLSLETSFFADEGATLPKFPSRHVVVEPDMRNCTDNNSAALATVGMPPHGCRSEQGKLSQATMVNMMFENISVSPSSLPSNEETHGFVGNSEHTETEYSVDHLNSCEHCESCASGSCNRHETPMQPQDLYNSAKLAAKLHQDELPEKNYQHVDHWNSKPLDDYHSADQHDLNEFAGEYFSGTDNHQSILVSLSSTCIPKGLVCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCQSCKEPSESHIRCYTHQHGSLTISVRRLRSRKLPGERDGRIWMWHRCLKCEPKDGVPPATRRIIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSILDFNCRNPQEWLKRVAIEIFGKMKSLHVEVSEFLHRTERNIVTEDEPVKEVVQRQIIEMKDSLKMERNEYEILLLPVIRESRSPMQASIDILELNRLRRGLLLDAYIWDRRLCHVDSLLKTHGHVSKISSDNLDILLYTRLKEWKADLFRGNIEIEKSLESPRKSLLSREGHLNDNECSVADANLQTCLVDHPVDGADGAEDLDKVYSKFNGGKKPSNDMEPVDRLPSLASIFSDNIDLAWTGSSDLQYDLPQASTKIDENGSFNLDSPNYRNVVAPVRIHSFNSTMGLHQRERTGLAPTSLHLSSFKSAELFRDTTSILKDPMPNMRRACSQRSPGVLEKLNVVLARTPTYISSASKMIDDGARLLLPQIGYEGGIVVAVYDDEPTSIVSYAMTSEEYVRQVTRRLNSNLSFSHLSNTTEFGSHGLEGSSPSQEEHLDSKGTHFKFSFDDESPISPDKTKFSVISYFERQFAALRKKCCPKDIDYIRSLSRCKRWNAQGGKSNVYFAKTMDERFIIKQVTRTELESFVEFAPQYFKYLMESLTSGSPTCLAKIVGLYQVSVKSLKAGKEVKMDIMVMENLFFERKISRVYDLKGSLRSRYTSGDSKVLLDSNLIEALHTKPIFLGSKAKRRLERAVWNDTSFLALADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >PVH36775 pep chromosome:PHallii_v3.1:6:31304211:31305437:-1 gene:PAHAL_6G166500 transcript:PVH36775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPHTYDIHMRMCMTSTRRCLRSQAISTLPHTQCSNYLPSSIHLVPSQLIKGNTMRPPTAKKALVATTLSALLILLFVLCASSSSPSPHQLQPSVMRSRRLLSTQCRGTSSCSTPVSGFSRFFKAPATVFESLKKMPKSSSNPSHN >PAN36143 pep chromosome:PHallii_v3.1:6:42463313:42469140:1 gene:PAHAL_6G267600 transcript:PAN36143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEAGRAGGRGKKLALASIGFADVRVGAAGGAGYREDLLEVGLPLPGPKNDGDLAVRLPDVGAAVRNFLRNREVAEFVSGAMAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIIEQNGWQGLWAGNTINMLRIIPTQAIELGTFECVKRSMASAQEKWKEEGCPKIQLGNLKIELPFHLLSPIAIGGAAAGIASTLACHPLEVLKDRMTVNREAYPSIAIALNKIYRTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRTQKKKSLSRPELLVIGALSGLTASTISFPLEVARKRLMVGAIQGKCPPHMIAALAEVVQEEGLKGLFRGWAASSLKVMPTSGVTWMFYEAWKDILLAPRLHV >PAN36142 pep chromosome:PHallii_v3.1:6:42466536:42469140:1 gene:PAHAL_6G267600 transcript:PAN36142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFLRNREVAEFVSGAMAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIIEQNGWQGLWAGNTINMLRIIPTQAIELGTFECVKRSMASAQEKWKEEGCPKIQLGNLKIELPFHLLSPIAIGGAAAGIASTLACHPLEVLKDRMTVNREAYPSIAIALNKIYRTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRTQKKKSLSRPELLVIGALSGLTASTISFPLEVARKRLMVGAIQGKCPPHMIAALAEVVQEEGLKGLFRGWAASSLKVMPTSGVTWMFYEAWKDILLAPRLHV >PAN36606 pep chromosome:PHallii_v3.1:6:44845838:44846933:1 gene:PAHAL_6G296400 transcript:PAN36606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQRGGEQLAWGATGAASPCLCPAAVASSAAGAPAGNVFPRQALEQEMLRRAELQLQGGGGGDRRRERKMKNRESAARSRARRLAYVNELEKEVSLLRAEKEELRRLCEELKEAAEAPAKRGPHQRLQRTSSAPF >PAN36607 pep chromosome:PHallii_v3.1:6:44845837:44846933:1 gene:PAHAL_6G296400 transcript:PAN36607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQRGGEQLAWGATGAASPCLCPAAVASSAAGAPAGNVFPRQALEQEMLRRAELQLQGGGGGDRRRERKMKNRESAARSRARRLAYVNELEKEVSLLRAEKEELRRLCEEVGSGMLLPHCFQLKEAAEAPAKRGPHQRLQRTSSAPF >PVH37274 pep chromosome:PHallii_v3.1:6:43495838:43496365:1 gene:PAHAL_6G281400 transcript:PVH37274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFLVTHSSARCLYIQTTLIEGESINCSSCDHCVPYTYLTLNEFCILMY >PVH37413 pep chromosome:PHallii_v3.1:6:45539298:45540206:-1 gene:PAHAL_6G307000 transcript:PVH37413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANASNHDSIPPARSAAVVFTPMTAMDASSSFPGSTSSFVILSVSIAGMLSIALLLLAYYLFLTRCGLLFFRRPDDLHDHHRISVSLVNVQDHEPPRRSRRGLEEAAIRRIPTFRYPLVVLPEEVEAASSSECAVCLADFRHGERLRLLPHCQHAFHIDCIDAWLQDAASCPICRAPALQLHPTSHNYHLLHIPRATTDDITSLSSPADAEAQQPARSSSRFLPMRRSLSMDSTDKRFYLALQRILQQHSGSPPAAREEEDGKGDSAGADTDGRSSRRLRRSFFSFSQSRGSRSGSAILPL >PVH37341 pep chromosome:PHallii_v3.1:6:44585862:44586423:-1 gene:PAHAL_6G292400 transcript:PVH37341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQTKWKDVKLKEWRVVECINRRMQTDGSSCGLFVLKFMKLWAGSRLSSIFTQKDMTNFRLKLAVTLVDYPWNKVKGSPGYKSTDVDEAIEK >PVH36218 pep chromosome:PHallii_v3.1:6:1723322:1723740:-1 gene:PAHAL_6G023200 transcript:PVH36218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILNNLYTKQYQSTFRGAKSSPPILLATPSEGKVAASTFDICICISCSQSIQQVHC >PAN33940 pep chromosome:PHallii_v3.1:6:4396208:4397304:1 gene:PAHAL_6G058600 transcript:PAN33940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSYFLLAALLATVTSVAIASDPSPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPMDTKKSKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNKLFAKVLNKGDVFVFPQGLIHFQFNPIYDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHN >PAN34494 pep chromosome:PHallii_v3.1:6:29066694:29068092:1 gene:PAHAL_6G158800 transcript:PAN34494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPQGSNKQAKPAAKGTLPVVSLTRESKVQSKPEYPASAPADGKTAPSNAASKATVTPLPTTSRVSTQPLFKAHAKSAKETKPTGKGSTNPTGAR >PAN34074 pep chromosome:PHallii_v3.1:6:5174704:5176498:-1 gene:PAHAL_6G067900 transcript:PAN34074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 8 [Source:Projected from Arabidopsis thaliana (AT1G05310) UniProtKB/Swiss-Prot;Acc:O23038] MIAQINGAAAAVVAAFLVFSAHLYFPTTAHLYCPATPLLEGLSDLTEPSFPFCPLTGSSACTDHQYGCKYNPLCDDFPPDFPPPDTPAVSVFCVDPNGCCDFTTVQAAVNAVPNHSRKKNVVWINKGIYFEKVTVPASKPNITFQGQGFDITAIAWNDTAKSANGTFYSASVSVFASGFVAKNISFINVAPIPRPGAVDAQAVAIRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPSGQRSITGSVTAHARVSEDDNTGYSFVKCSIGGTGWIWLGRAWRPYSRVVFAYTSMSDIIASEGWNDWNDPSRDQTIFYGEYKCTGDGANLADRVPYAQKLSDVQVLPYLNTSFIDGDQWLKPYRDSLISA >PVH36924 pep chromosome:PHallii_v3.1:6:37164606:37165643:-1 gene:PAHAL_6G199400 transcript:PVH36924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAQEERRAGASTSPPPRLRFRDTALVPPSPLPPSPPECSLPLTFFDILWLPFPPVERLFLYRLAPDADVPAILSNLKESLSLAVHAFYPLADRLRLTPGTPDRYELHYRPGDGVAFTVAEYDGGADGIDGIATDDPREVARIAPLVPALPAGGAVLALQATLLLPAPCGLAIGVAVHHAAVDGSASTHFLHIWGAAACTRVEAPPPPVIDRSLVPDPRGLYDTFIRAMSTSNKMELVEMPADQLLATFALSRYDVQRVKDAVAAEAARRGDAPPRCTCTSLVATLGFVWSCYQRARVAATTSTPTASTGTDDGRRSDLPALPRRPPPPDEPSAPRHVPRLR >PAN35002 pep chromosome:PHallii_v3.1:6:23985838:23997283:-1 gene:PAHAL_6G141600 transcript:PAN35002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGQLREWVSDKLMTLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSTETRTFAADIYTKVPRRASGISNYQKQEREAAKLVQKQSTYKLLADEDDNDADNQTSTSRKSSTIPSSKSRKHFRRKADQDGGDDDDDEDEKVAKDSGRNVRRRTEEEDEEDHDNSDEEKERIRDQQARAQLEKNMREKDAANTRKLMERQLSKEEQEELNRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIEEIRDEILDHEYIFQDVKLTDAEEKELRYKKKIFDLVKEHVESADDVGEYKMPEAYDMGENVNQEKRFSVAMQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDSIDFVKSSVIEGTQPEDDSDQEDIDAKDILKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETVDEILKHRTRGLGTKISELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLMERVEIEICSNTSDLDAIKKAITSGFFHHSARLQRDGSYKTVKNPQTVHIHPSSGLAEIRPRWVVYHELVLTTKEFMRQVTELKPEWLVEIAPHYYQLKDVDDTGTKKLPKGQGKAAL >PVH36782 pep chromosome:PHallii_v3.1:6:31926953:31930273:1 gene:PAHAL_6G168800 transcript:PVH36782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATACMPLVPPPPSSPIHGSTGKPAMAATELAERRKPGGMETTITESHGSEERRAEGNGDGEVKMSEPRAVVRTLTCERKPYVEGFALWRSIGRPELPELDPILSLDEFEFSAPAAFPDHPHRGFENVTYMLEGGVSYHDFSGHKGTINAGDVQWLTAGRGVVHAEVPAGNGVQRGINIWVNLSASDKMVEPRYQDLASNGIPTAATGGGGVSVKVIAGECLGARSPLRPRTPALCLDVALRPGARLRQPVPRGWSACAYVIGGEAVFGDASAGARTLVVFGGDGDVIDVRAEAAGAVAGARVMLVAARPHGEAVVRDGPFVMNTREEVEQAREDFRRRRNGFEMADGWTSDHAATAAER >PAN35454 pep chromosome:PHallii_v3.1:6:38140444:38141181:1 gene:PAHAL_6G209900 transcript:PAN35454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATASMSDWDGYGAQIFPADMMLRHQETLEAVLQQPVAVVAPLRAPEAAAGEGELRDAAPAAVADGAGAHGAAVPRRRPYRTDRHSKIRTAQGVRDRRMRLSVGVAREFFALQDRLGFDKASKTVNWLLTQSKPAIDRLHDAADPPAVVTGRGEGSSSSACCFEDPREDEEAAGKGRSRGVGRYGPAAALMEEHGGGEVDWITSDAAAAAPPQPPQPMDELEYYYQYYLQLEEMMRCNNGGVPR >PVH36328 pep chromosome:PHallii_v3.1:6:3630199:3630614:1 gene:PAHAL_6G049200 transcript:PVH36328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPWSRLPCLGMLSSCFSAHEEAGGEIMAQDSRGLAIAEGNGGNGGIHHLLASLVAWVTGSWR >PVH36719 pep chromosome:PHallii_v3.1:6:26516984:26517490:-1 gene:PAHAL_6G150600 transcript:PVH36719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAEGGAARGGAVAGACGQRGSALGERRGGERAQARLAERRPSAGGGRSGPGGRRPERERAGCAQVAGASAGAERRAGARRGRVRAGERSGRLCGWRWRPVLGGTEAGADPGERSAQRAQALGSARLAAQAGRAEAGRRQRLVQGVQAVRGSSGAVPRQSMDVKWSG >PAN35588 pep chromosome:PHallii_v3.1:6:38938479:38940407:1 gene:PAHAL_6G219600 transcript:PAN35588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRMSNNVIVALNAVTLLLSVPVLAAGVWLRSRADGTGCDHFLSTPTVALGAALAAVSLAGLAGACCRATWLIWLYLLAMLALVAALLCFTAFAFTVTSRGAGAGSGGGDYSAWLRRHVEGSRSWARVRSCLAVAGVCKRLEKDGGKSKKAAAAALGRGLSPVEFGCCRPPASCNFTYAGGGEWTRPAGRGPAPPADPDCARWDNDDDKVCYGCRSCKAGVEGALRRDWKRAAVINAVFLAFIVVVCALSCCAFRNSRRDNFAYHSSRGGWRRAGDA >PVH36252 pep chromosome:PHallii_v3.1:6:2244010:2245419:-1 gene:PAHAL_6G030400 transcript:PVH36252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDALIFFLLPLTLLLVHIVSRLRLRHAPTRKAAYARLAAARSALLRMLHTLGLGQPDVYVTDRFATHRLLVRGAAAGGAFSDRPPSIVPSAVLSRRRHYNINSAPYGPLWRAVRRNLTSEILHPSRLHRYGPARRRALGGLVADLDRQRASGGVVLAVESLREAKFGLLAAMCFGGGVDAGLVRAMADTQDDLVQFFLGLRVFATLPAVTGLIYRNRWRKLVELRRLRRQQRRLTDGELVGLCSEFLGASTEPADAALQWIMANLVKRSDVQRALRKEIDAAVGADADEVGEEVLGRLEYLNAVIMEGLRLHPTVPMVLRQVMAEDHVVLDGRRLPAGTAVHFPLARLARDKTAWADPREFRPERFLAGGEGEGEGVNLVAAGAARGRSG >PAN33205 pep chromosome:PHallii_v3.1:6:218681:221599:-1 gene:PAHAL_6G003200 transcript:PAN33205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGGGAPDSRVETISRLAQWRIDTLGPCSFRRSDPFKLGIWNWYLSVEKSRSVCIRLFPEPGRVAKEQPPLARFLLRVSWPGPPRRSCASPVQEHLLRTSDDFVWQVDAISHGRFTIDVEFLELRIATNNGTESSSSIWPNEGMVQRIAGKSTLGCLSRMLTESIHTDVTINTTDGILKAHKAVLAACSPVFESMFVHDLKEKESSTVNINDMCLESCSALLGFIYGTIKQEQFWKHRLSLLAAANKYGIGDIKDCCEESLLEDINSSNVLERLHVAWLYQLGRLKKGCLSYLFVFGKIYDVRDEIHSFFQHADRELMLEMFQEVLSVWKPI >PAN34270 pep chromosome:PHallii_v3.1:6:6478831:6484224:-1 gene:PAHAL_6G079900 transcript:PAN34270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNSAVPFVALGLLLSLHLHILQCHAAMDTISAGRELASGDKLLSSNGRFALGFFQTKGGNSSNSAPKWYLGIWFHTVPKFTPVWVANRENPIANLTSCKLLLSHDGNLVILDDHPTNESTVWSSKSNSTTNSTIAALLENGNLVLRSASNASLVFWQSFDHPTDALLQGAKIGWNNATGLIRRLVSTKNTIDQAPGVYSFELFGHNGYTSMVSTFNSSKPYWSSGDWDGRHFSNIPETVGQTWLSLNFTSNEQETYIEYVIADPTVLSRGIMDVSGQLKVLVWFEGSRDWQAIFTAPKSQCDVYASCGPFTVCNDVPFPSCTCMKGYSIQSPQDWELDDRTDGCSRNTPLYCEGNTSAAGVADKFYPMPSVQLPADAQTVGTATSAEECSLFCLGSCSCTAYSYDQGGCSIWHDNLFNVRQQGNSVLHLRLAAKELQSSKSNRRGVIIGAAVGASAATLGLIFLLIIWMRKGKNYGGDIQGGMGIIAFRYGDLQSATKNFSEKLGAGSFGSVFKGTLNDSTTIAVKRLDGARQGEKQFRAEVSSIGVIQHVNLVKLIGFCCQGDKRLLVYEHMPNGSLDAQLFQSNGTVLPWTIRYQIALGVARGLAYLHSGCRDCIIHCDIKPENILLDGSFTPKVADFGMAKFLGREFSHVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLDIVSGSRNSTKQFSVDGVHESYFPVQVAHNLLDGDIASLVDANLLGEANLEEVERICKVACWCIQDVEFDRPTMSEVVQFLEGLCEVETPPVPRFLQAIAGKPTSEIM >PVH36604 pep chromosome:PHallii_v3.1:6:16999230:16999412:-1 gene:PAHAL_6G120100 transcript:PVH36604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIKKTFLIRLFLSSHSSPLYPSSSNSFHSTKEFSIIIRKSKSANCSLIAPAPVAISRF >PVH36514 pep chromosome:PHallii_v3.1:6:8472008:8473098:-1 gene:PAHAL_6G090500 transcript:PVH36514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPSSKPALQPYPHHCARHQDFCRCSASAAICPTPPPSSTSPCPALPRPLIPRAASPRRTTSTGSSPSLLPQLQWTQASRHFLLVLLFPPRTATSSCLHPKPKLHHPAPPSRSCAVPALPQTGFAPSPRPSRPEQSAPLMLHFPTAETLLLALLLTL >PAN33906 pep chromosome:PHallii_v3.1:6:4114082:4116230:-1 gene:PAHAL_6G055600 transcript:PAN33906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQEMIHPAAAAAAGGASHGGDWWSTAVSCSPDQPPGFGAGLSPAGAADGSNRSRSGNAASSESPGSNSLATGGSSITFQEPAGVADPGAVVVPQTATGLAAAWNQPYYLDGSGFHGYMSSSRGDQVHLGLRSPSSNNNSLMLQDPHDPNHQFLSNLGLELLSSPTSPSGGFRSSSLLRSLTEPSAAATKPSLGFQQYQQQTMNQAPSSIREALQFTNSTPFWNPSSGFAAAAAAEGAASLGTAAGPPSGQSKSRPASLAAKSALEGAGDSSSIITKKANADPTPLKKARTGTPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHQQVGSLSAPYLKNRQQVPHLKVSRDGGEAAAAKGDLTGRGLCLVPISSTFAVASETPVDFWSPFGAAFR >PAN33905 pep chromosome:PHallii_v3.1:6:4113793:4116743:-1 gene:PAHAL_6G055600 transcript:PAN33905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQEMIHPAAAAAAGGASHGGDWWSTAVSCSPDQPPGFGAGLSPAGAADGSNRSRSGNAASSESPGSNSLATGGSSITFQEPAGVADPGAVVVPQTATGLAAAWNQPYYLDGSGFHGYMSSSRGDQVHLGLRSPSSNNNSLMLQDPHDPNHQFLSNLGLELLSSPTSPSGGFRSSSLLRSLTEPSAAATKPSLGFQQYQQQTMNQAPSSIREALQFTNSTPFWNPSSGFAAAAAAEGAASLGTAAGPPSGQSKSRPSALEGAGDSSSIITKKANADPTPLKKARTGTPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHQQVGSLSAPYLKNRQQVPHLKVSRDGGEAAAAKGDLTGRGLCLVPISSTFAVASETPVDFWSPFGAAFR >PAN35875 pep chromosome:PHallii_v3.1:6:40760411:40764311:1 gene:PAHAL_6G242300 transcript:PAN35875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARHRKMLLTLIIAVLVSAWPGAATPPRPSGAAPSCQRRCGDLAIPYPFGIGRGCYLYTGEGDTTFGLTCNLTADGTYKTFCYEVQVIGISLSRGQARVRGDIRSWCYNRSSMSMDENIIWWNDFTDSQFRLSDEDNRFTVIGCNSLAYMGSANTGSKDTTGCMATCPAAGRLENGSCSGMGCCQAAIPRGINTYEVQFDDRFTTSRTRGFSRCSYAALVEAAAFDFRTTYVTADDFMESTGGKVPLVLDWVVGKETCREAARNTTAYMCVSSNSECVDSRNGPGYLCNCSRGYGGNPYIHDGCREVNECATNPCSVTGTCVNTPGGFVCSCPDKTRGNAYSGTCEAQSSQLGVHLTVGISIGLVVLVVSMSCVYMIHQKRSLASVKQRYFRQHGGLLLFEEMKSKQGLSFTLFTKEELEEATDKFDERHVLGKGGNGTVYKGALKDKRLVAIKKCKVINERQEKEFGKEMLILSQVNHRNVVRLYGCCLEVEVPMLVYQFIPNGTLYQLIHGRPHGTRISLATRLKIAHETAEALAYLHSWASPPIIHGDVKSPNILIDEDYTAKVADFGASTLAPTDEAQFVTFVQGTYGYLDPEYMQTSKLTSKSDVYSFGVVLLELLTCRKAMNLQALEE >PAN35601 pep chromosome:PHallii_v3.1:6:39052968:39056960:1 gene:PAHAL_6G221000 transcript:PAN35601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSSDGERPPSPVEARRIVVTHRLPLRAEPNPDAPHGFDFSLDTDALPLQLSRGLPRPVVFVGALPSAAVSIPASEELAADLLERFSCSPVFLGTGLHKDFYDGFCKHYLWPMLHYLLPLGPFSGGGGGGLNFKAELYRAYLTANTQYADRVYELLNPDEDLVFIHDYHLWALPTILRHKSPRARIGFFLHSPFPSSELFRAIPVREELLRALLNADLVGFHTYDYARHFLSACCRLLGVNSHTRHGYIGIDYFGRVVVVKILSVGVDMSQLRGVLSSPETAAKAKEIAKKFAGRKVLLGVDDIDMFKGIDLKLSAMEKLLESQKELRGQVVLVQINNPARSPGRDIDTVRAEVKAMRDRINGRFSLLGYDPIVMIDDPLTMHEKLAFYSSADICIVTAVRDGLNRIPYIYTACRQEGPLASGAPGAPRESAIVLSEFVGCSPSLSGAVRVNPWNVDDVADGMNSALMLDERDRQMRQEKHYKYVITHDIAYWGQSLDQDLQRASEHHASMNILSVGLAMNFRIVVLGPNFQKLSPGDINPSYHRTGNRLILLDYDGTVMPEGLITRYPSQELIRVLNELCSDPKNTVFVVSGRGKDELAGWLAPCERLGISAEHGYFTRWSRDSPWESPNLVIGLDWKNLVEPVMKHYTDVTDGSYIEAKETALVWHYEEADPDFGSCQAKDLQDHLLSVLAKEPVCVKSGHKIVEVNPQDVGKGIAVRNLIATMGARGSLPDFILCVGDDRSDEDMFAAISKPSSNFAFPESAEIFACTLGNKPSLAKYYLEDSDDVLKMLKGLMDSSRPGGALQTQVFEDPFE >PVH36854 pep chromosome:PHallii_v3.1:6:35276015:35277163:1 gene:PAHAL_6G184100 transcript:PVH36854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPPPRECASCSAPTRSLSLAPLHHLPPLSLPLTPAINGHPSSIAAPCRPLPFLPLPIKGELRAALHPVSLLRSLLALVLQRHHKAVVPFKGRRAIYSPEPSLPTRIPTSDSKNGSWTSDQANRAATRSIWL >PAN35082 pep chromosome:PHallii_v3.1:6:34166108:34169059:1 gene:PAHAL_6G179100 transcript:PAN35082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAVRFPVFGIVRLLGLAAAAGILFWAVHYRGGMALSTDEESKLPIFNIHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLALQFLSMFLCLIGLWAVWKFHDERKIDHLYTLHSWLGLTCIIFFSLQWATGFWTFWYPGGSRSGRASLLPWHVFFGIFIYVLAIVTSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLLILGTLVILAIVSPGPGKIDTYRGSSE >PVH37179 pep chromosome:PHallii_v3.1:6:42165407:42169193:1 gene:PAHAL_6G262600 transcript:PVH37179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIRLNGAYWGLTTLDLLHKLHAADAAEVVDWVTSSYHPESVFCCVGTLAITGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTKFILNCQDKDNGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFMRK >PAN35276 pep chromosome:PHallii_v3.1:6:36831653:36832842:1 gene:PAHAL_6G196400 transcript:PAN35276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMGQLGRLVDGIKSRLRAGGAGKRGGSSVRKASASASSAGYDKVEKTDSMRVEIRSRQARKLIAKNLDAADSIARAARANNKRFFLAF >PAN36711 pep chromosome:PHallii_v3.1:6:45320714:45325496:1 gene:PAHAL_6G304000 transcript:PAN36711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLALTSPSLPAAGPVPGRRRLQRVRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSINDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLHLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEEAMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDVITIDVVKRVIDVDLAEAQLEERRRKWSPPSYKATRGALWKYIKLVAPASRGCVTDE >PVH36122 pep chromosome:PHallii_v3.1:6:233099:237145:1 gene:PAHAL_6G003500 transcript:PVH36122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALLGYLQQQQQLLVVHGTVAAQPLLLLLLSHGRDGLLLLHLGSAPTLVVSSPSAAEAVLRTHDHVLASRTWSPVADIIFYGLTDVAFAPYGERWRQARKLLTTHMLSAKKVHSFRHGRLEEVRVVLARIRDAAATAPRTVVDMTGLLGGYTNDVVSRAVLGESHREGGRNRLFGELSEINVSLLLAKLELLRKLICAKAKRVHRRWDQLFDKLIDEHQRERKRASSSQHEQQEEITDQDFIHVLLSVREEYGLTMDGVKAILVDMFEAGIETAHLVLDYAMAELMINKHVMTQLQKEVRRCAPAGNDMVVMEEDLSNMAYLKAVVKETLRLHPPVPLLVPHLSIADCEVNGYPVPSGTRVFVNVWSLGRDPEFMPERFLEGGCAADVDMKGKDFQFLSFGSGRRICPGINFGVATVEIMLANLMYHFDWDLQDDMTELFGLTLRRKEKLILVSKVST >PVH36950 pep chromosome:PHallii_v3.1:6:37709255:37710229:1 gene:PAHAL_6G205200 transcript:PVH36950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETERRMPEEGGRGVLKLEQDEQPEAPPVHSQVGRIKQEDEEARELLLRLQLLEMRPATGFREPAAARQASPSPLRRAGGQAISVGD >PVH36329 pep chromosome:PHallii_v3.1:6:3692387:3694308:1 gene:PAHAL_6G050600 transcript:PVH36329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVTRLRGGLALGVAMHHAVADGRSAWRFLEAWAAACRGDADDDAEPPTFDRAAIELPGGDELARAVLRKYAPDLPMATVAGHLIRPNLSRRTFTIAAQDMQRLKQRIVELSPPEQAAAPPSSFVAIAALAWVSFVHAKHPAGIVSPEDEVYLFFFADCRGRLDPPPGDQYFGTCISGCLARATARDLLAGNGMRVAAALVAEEVRRAAEDPLAGWDWMSTVEGVDMDRLMNLAGSTRFPGYEASDFGWGPPSRTELVTMNQDGQVVLVAGKKGGTGPGGVQVSVSLHPAHMDTYKSHFLSYFG >PVH37373 pep chromosome:PHallii_v3.1:6:44940035:44940900:1 gene:PAHAL_6G298200 transcript:PVH37373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILRSESLPSSLRSDKINIEEHLQSLKATISSATIETITNGITRLGGVYTTIEEMMCSPSGQLSLCQPKLRKSVEQELEKSLILLDLCKAIQENISELKTSIQEMQLVIKRGGDSALQAKFQSYIRLAKKVQKQFKKISKKPTSVDLSSCRVVKQLAEAREIAISMLESLTLLLSKQIATPSSSRWSIVSKTFHKRRLTCEEEQLQQMELVIVDLESGVETLFRKLIQSRVSLLNTLSL >PVH36578 pep chromosome:PHallii_v3.1:6:13584475:13589176:1 gene:PAHAL_6G109000 transcript:PVH36578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTGGGSASAAGSSASQCSLILEPPLPLMRSRSTNGSPPRSQEHGLCLSSATPASSPTPIAAFSLPSGGSGSGGVGGSGTGGAAGGGNGGAAGGSGNGGATGGQQGTDTANPIIVEGDEPLPKGKKQKKCTSAHLMYGSILPRRGWSSRTMGRHMFSCGLIAISQDASTRADVRAIMEQRDFGPI >PAN35465 pep chromosome:PHallii_v3.1:6:38218775:38220796:1 gene:PAHAL_6G211600 transcript:PAN35465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQEDARPSGGLNGLYGVQFAGQSLYSDDEAVKTSIMDSSTCESQEGVGSSRRLQIRRLWQQRPPCLKPIHCSHSCDKHVGETIANVVTSLPFIVLGLQTPRKNLNTALYANSLIGVGIASSLYHTSRGEIRKYLRWADYTMIATSTLCLSRALRDENPKYLMAASTLLLPFQPLMVSAIHTGIMEVSFAKRASIEPELKMAHNLHKMSSLLGGALFIADDVFPQTSYLHAAWHLAAALGVSTCNKLLE >PAN35808 pep chromosome:PHallii_v3.1:6:40346697:40348054:-1 gene:PAHAL_6G236200 transcript:PAN35808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVLLLVAALCALLVAAGETKEEAAVGVSAFRSRATDPNMEVKFDFSPFLIQYKSGRVQRFMGTTFVPPSLDARTGVASKDVIVDQGTGLRARIYRPSRRAVVGGGGGRLPVLLYFHGGAFVVESAFDPVYHSYLNALTARAGVVAVSVNYRLAPEHPLPAAYDDAWAALAWVLENARHGAGGEPWLSKHGDASRLFLAGDSAGGNIAHNLAMRAGQQGGGAARTAIRGVALLDPYFLGRYVSPGAGRAWGFICAGRYGTGHPYVNPAALPAAAWRALPPPRVLMTVSGQDRLGPFQRAYVDSLRGSGWRGEAQLYVTPGEGHCYFLNNLASPKAAMHMATLAAFINGS >PAN36023 pep chromosome:PHallii_v3.1:6:41352231:41355542:1 gene:PAHAL_6G251300 transcript:PAN36023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAITGSAFRLPDPSPGESIMHQALVGVIASPSGGDRYMVAELVPSFGSERADLRCFSSDLGEWVNKRVHYPLPPWIPSPLCTLAHHGRLWWADYSWGIITADPFADDPVLGFVPLPRPCVLECREVHGVLDKFRYTGVSAGSLRFVDTYRRGGAPNKVTVWTLPNPDATEWTLEHEATFVDIWADDTYKATGLSKKVPVLALIHPYNPAVVYFFLEDHLFGVDVRARKVVECYRYHLVAPPRAYPIANRFIRAWELPRAVSSGLRNRSSDISSPEPTATPPYRPMPGDYHLFGISSMVAPKE >PAN33798 pep chromosome:PHallii_v3.1:6:3482825:3487641:1 gene:PAHAL_6G046900 transcript:PAN33798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHEDGRPGSGQEEMKKPSASTFHPTLWGDFFLSHEPPTSPQEIQMRERAEVLRQEVRKIIKGSNNDLPAMLDLIITLQRLSLDYHYEDEISEMLHNVYSSNHYDGDLNVVSRRFYLLRKSGYNVPSDVFLKFQDKQGNFVDADITSLLSLYNAAYLRTHREPLLDEAISFARKCLQGGLENLESPLAEEVSLALDTPLFRRVGILETRNFIPVYEKEATRKEAILEFAKINFNLLQLLYCEELKDVTAWWKNLNVEANFHFVRNRIVEMYFWMNGACHEPQYSHSRIILAKMMGFITILDDFIDTYATTEESTQLAEAVFRWDKDAITLLPEYTRDFYMFLLKTFCSFEEELGTGKSYRVFYLRKMLKQLVQAYIEELKWRDENYIPETLSEHLGLSMRSSGGSPLLCASLVGMGEIVTRETLDWFLSYPQLVRSFDSFVRLSDDMASTEREQKGDHNVSTVQCYMKEHGTTMHEACKRIKELTEDLWKDMVQHHLASTEQTAIVSRMVLNLARTGDYMYQNNIDKFTSSHTIKEAIRLLFVEPIPV >PAN36748 pep chromosome:PHallii_v3.1:6:45533304:45534820:-1 gene:PAHAL_6G306900 transcript:PAN36748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKLLMHLPISDRCEAAVTMSYEAQARLQDPIYGCVAHIFSLQQQVVSLQAELESFKAQAQGYKDGSLTSNPQKESCERLTPCMQDGQLFFHQTMASNSSVKSESQLYFANDCFNSESAQYSEGYEPDLCMPDYNHSNPSCNMKGIRYHDMNDLQSQSVASTYLNEA >PAN33364 pep chromosome:PHallii_v3.1:6:1279647:1281811:-1 gene:PAHAL_6G015100 transcript:PAN33364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISSSALPYKRTPPTWLKTAASDVDEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >PAN36377 pep chromosome:PHallii_v3.1:6:43273004:43275536:-1 gene:PAHAL_6G277800 transcript:PAN36377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPDAVAKAFVEHYYRTFDTNRAALVSLYQDTSMLTFEGQKLQGPAAIAGKLGSLPFQHCEHQIITVDCQPSGPQGGMLVFVSGSIRTAPEEHPMKFSQAFHLMPAGNSFYVQNDMFRLNYG >PAN36275 pep chromosome:PHallii_v3.1:6:42810427:42813452:-1 gene:PAHAL_6G270500 transcript:PAN36275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAGTAPSSSILPVRHQPSRLAPQSLALRPSRCAPLRAAAGGGGGGKDEAQAAPAANGSPVLKLKSDSSQNGVIPITADKSQKASSTNTSTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSGMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPADQQEWAHKQYVAKHQQWASQQWGNFYYYRMHNISDIYFIGGFGTVAWVDVKEYETTQPDKVAVDGAEQSLKELNAIFSKPLREFLSSEGEVDDAAVISVDSKGIDIRVRQGAQFNIQRLAFDVPDKVLTLEEAKRALHKIIKTSSK >PVH37187 pep chromosome:PHallii_v3.1:6:42400921:42401550:1 gene:PAHAL_6G266200 transcript:PVH37187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLNSAWRKTLMILCS >PAN35948 pep chromosome:PHallii_v3.1:6:41089052:41089769:1 gene:PAHAL_6G246800 transcript:PAN35948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEEEPTTEKAEKTPAGKKPKAEKRLPAGKSADKDGEKKGKKKVKKSMETYKLYIFKVLKQVHPDIAISSKAMSIMNSFINDVFEKLTAEAAKLARYNKKSTITSREIQTSVCLILPGELAKHAVSEGTKAVTKFTTS >PAN34660 pep chromosome:PHallii_v3.1:6:17344538:17348706:-1 gene:PAHAL_6G122100 transcript:PAN34660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSADAASAVPPSVVSAAEDTLAAAESVGDHLSQLLAAAAEDPYAVAELPPLLRARAFLAVAQAATSLLAVRLRCSGIDPDEHPIRKEFERLSLWQEKLDRFEDWDKAPLHPTTTLNAQAAARFIGHSLSHLTSDQKRSMQAISRGERRGWSGQKRKPEPLPEKKSVRAAAEEFLAKAAQELIGNSDSRVKGPVRLIPDEDED >PVH36125 pep chromosome:PHallii_v3.1:6:296822:297409:1 gene:PAHAL_6G004000 transcript:PVH36125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRCTRVLLDLASPLVAAPSHPLAPPGCGTAAQAWLLKPGFLPTSDLLKGHRAAYSNCSSSSVTKNGRGITATTCRMDDGLAVVVIAG >PAN34181 pep chromosome:PHallii_v3.1:6:5743012:5749805:1 gene:PAHAL_6G074400 transcript:PAN34181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPTTVPSLLILLTLISTRWFLTGAALQSQHSHGSGGCIAAERAALLSFKKGIKSDLTNRLASWHGRDCCRWRGVRCSNKTGQVLKLDLRNQNPDISSVYGCDDESALFGELGPFLLSLEQLEHMDLSRNYLTEDQGTIPLFLGSMRSLRYLNLSGIPFSGEVPPQLGNLSKLHPVNLSQISDWSHILNSISSLRVIDLSSCLLGSANQSLQHLNLTKLDKLDLSGNSFDHEIASCWFWKVTSLKYLNLRYHRLFGQFHDALENMTSLQVLDLSFNFNQKRLVMEGNFNNLFSLKILDLTDNEMNRAITLSNCTCLRTLDLSNNHLSGLVPTGIGAFTNLTSLDLSTNNFSGVISEEHFAGLMNLKKLDLSSNSMKLVVGTDWLDLFSLKIAVLGSCQMGPQFPAWLQWQREITKLDISSTRLMDKIPDWFWPTFSHAKYIDISDNQLSGSLPAHQGDMACVELNISSNLLTGPIPPLPRNIVVLDIIPESLCKLNWLEDLDLSSNLLEGEIPPCFEINISQSIQFLLLSNNSLSGKFPAFLQKCTTLQFLDLAWNNLFGRFPEWIGEMTGLQFLRLSHNAFSGSIPTEITNLQYLQYLDLSSNSLSGFIPRHLSNLTAMTLNGPRLLLSGTAMTLPDGEGNQVAGVTIADQFGQILSIITKGQQLRYGSTLAYFVSIDLSGNSLTGEIPSDITSLDGLINLNLSSNNLRGKIPMKIGTMQSLESLDLSSNDLFGEIPSSLTNLIYLSYLNLSYNNFSGRIPSGRQLDTLNVDNPTLMYIGNAGLCGPPLTSNCSGNNPFINGYHKSSRHELELVMSFNLGLVLGFVVGLWMVFCSLLFLKTWRTTYYRLVDNLYDGLYVIHVFSVNKLLCIHN >PVH36870 pep chromosome:PHallii_v3.1:6:35451904:35452339:-1 gene:PAHAL_6G185600 transcript:PVH36870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSKQFQTHSYASDFVWKNLQPDIQQHHCMSSQRSSPRPLFQLSMMTSSPNTAMCSHLPYH >PVH37261 pep chromosome:PHallii_v3.1:6:43221184:43224423:1 gene:PAHAL_6G277400 transcript:PVH37261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADPATAASFSRLSFRRAVCPSPLRLPLSRTPPTGRLRVSSTVVALHKRNPKRLKYAAERQFKRGDTGMLRVKVEPSGEDFWKLDPVIDLINRGAVGVIPTDTVYSIVCDLSNNESIERLRRVKGIGDSKPLSILCHSLRDIDTYTTGFPRGTNQGQANIFRAVKRVIPGPYTFILPATKQFPKQCIRHGSSTRYAKRRQVGVRIPDDPICQAILQNLDEPLICTRALISLLMVVLELLILLLW >PVH37262 pep chromosome:PHallii_v3.1:6:43221184:43224423:1 gene:PAHAL_6G277400 transcript:PVH37262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADPATAASFSRLSFRRAVCPSPLRLPLSRTPPTGRLRVSSTVVALHKRNPKRLKYAAERQFKRGDTGMLRVKVEPSGEDFWKLDPVIDLINRGAVGVIPTDTVYSIVCDLSNNESIERLRRVKGIGDSKPLSILCHSLRDIDTYTTGFPRGTNQGQANIFRAVKRVIPGPYTFILPATKQFPKQCIRHGSSTRYAKRRQVGVRIPDDPICQAILQNLDEPLICTSVKYLSEDEWILDPVIIADLYEPLGLDFIVDGGPRIADPSTVVDMTGTNPTIIRQGKGPKLEWMVAEDEEEEAQSTFSFKAA >PAN34914 pep chromosome:PHallii_v3.1:6:16350504:16356202:-1 gene:PAHAL_6G117700 transcript:PAN34914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSTGAGPPVVNVYHEKSMILPDVSRVLTCLYEKNVKFETIKASYKDILSLQASRSVPVPFYDGPVFLQDSRAICRYLAETYEHQGYPFLLGKDVLDRASIEQWLRNEEHAFDPPSRALFCHIAFPFHDEDDDNNEDINREKRKLEEVLEVYEQRLGESDYLAGNKFTLADLVHLPGTHHVITSEKFAYLYDSRKNVQKWWNRISSRDSWQQVLRDMRTVEEEHRKEEHERQQQQWQTEHLPQFGVRSIHISHRQQEGTKSQTVLVAPPSTGTIITSIPPAPQDHENTSDPKPSSPIQRNQGGFFTTTEKTEPTSGQTDSTTQKPPSSVQSTKSGFFTQPSTPTTAKMDQRTDAEKPSRKDASSPSKTSQTSPKEAPDKPHLSDFFKVGGYKDEAESLVKPSPQASSKIPGARQTSEAVAPDKPSPGSAKSPHRITEPDYSETESKHFGVHPHVDKPDAQKQQTPYGKPPEQRVADTIVGPESGEKQKSAIGSPYSRGETEKKSTDTGPPAIAKRATEADQDRAPSSQDGIDEDERFSTKRLRRMLNPEAPDSQDPAMEEEAPARSNTPSDVHDKEKETTTVPANKMNSSPPTGTRAPYTPEVADERGVISPPREVAYNDRATDGPGKTPLVQQVPPAATSTDKLAKTEGANIRAPQGAPQQTPTDARSGSALVQGVDPRARVVSDEQTYKSSAMGGKAPDAKRKATDSQGSPASIQEANLDALGKQAPVSQEEIPGVLDTGDRGITKKYISEKRTAEPTSGSQQITEPVEGVDPTSPGIPGDKSTRAATADPGAALPAPVRAPASAVQNASGVFHEGNLDADGKNKAAKSSSGDPRSMRPTTPGRLAPSPDKQFPDTSGQLTKPSPPISSLSDTKNKKTGIAETSQTSVVSPNDQPGGQATRNAGAASSVPPPVKSLEDNNKTYKEEAATQELPRDQSKAQLAGNKTQGDDAAPTTRIGKRRDEDSLANASGSYTGQAQGRTNDTLPKMQMQSDQNKPQPSKDSGKQTKETSLLASKEVLPSPPKSSKQEQQLRGDRSDISLQDNVKQGSEAPPLGSGTVQQKKNLSTNADKNYEKTSEVNPEAIIPSDTQQVRNSRRDSKPDSSSKPTQFEGNQGNLPDSERPPS >PVH37092 pep chromosome:PHallii_v3.1:6:40866708:40876144:1 gene:PAHAL_6G243700 transcript:PVH37092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MADWPLAVGTAVSGQAVSDETRARAPTGWYGCSCCTRRAPQVSSQKPHPPPPPRHHALPPRRLPSQSGNLPPALDRTQLQPIPAASNSNAPAATRYCRCRRRELGPSRSFRGMDPATPLLALSKAVSSRSKPFLLRRGAAGGRLPAAAPLRRRFPVAATASSSAPRGLAVSGDLLLLSLARLALRGPGPRAAAAAAPRRWFASVSAASPLASGGPPRGGGGAGNGDGGGGGGGGDGWKRPRASQGAGVTEEAAGKGADVIVLDVGGMSCGGCAASVKRILESEPQVRSATVNLATEMAVVWAVPEDQDVQNWKEQLGEKLASQLTTCGYKSNLRDSSKVSSQAVFERKMHEKLEQLKQSGRELAVSWALCAVCLLGHMSHLFGVNVPLMHLIHSTGFHLSLSIFTFVGPGRRLILDGLKSLFKGSPNMNTLVGLGALSSFAVSSIAAFIPKLGWKTFFEEPVMLIAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDAEKSSLVEVPCDTLAVGDYVVVLPGDRIPADGVVKSGRSTVDESSLTGEPMPVTKTAGTEVSAGSINLNGKLTVEVRRPGGETVMSDIIHLVEEAQTRAAPVQRLADKVAGNFTYGVMALSAATYMFWSIFGSQLVPAAIQHGGAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKFSDVDAVVFDKTGTLTIGRPVVTKVIASRDRGDANTKDFGDNQWTESEILSFAAGVESNTNHPLGKAIMEAAGAANCISMKANDGSFMEEPGSGAAATIGEKQVAVGTLDWIRRHGVVHNPFSEAEHFGQSVAYVAVDGALAGLICFEDKLREDSRQVIKTLSEQGISVYMLSGDKESAAMNVASVVGIQADKVLAEVKPHEKKKFISELQKAHRLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETLKTVKQNLWWAFLYNIVGLPIAAGALLPVTGTILTPSIAGALMGFSSVGVMANSLLLRVRLSSRQKPTIQAETRQEPRKTISNALSDTNVEAEKNYSSKWST >PAN33749 pep chromosome:PHallii_v3.1:6:3094603:3097576:1 gene:PAHAL_6G042400 transcript:PAN33749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSTNPSDDENINPTANAALATTSASVPSRKSWLPAGFGGSGKHGATIDIPLEDPKKKERELLSWEQDLKRREQDIKRREDAMNRAGVVVEVRNWPEFYPIIHHDIANEIPIHAQKLQYTAFASWLGLIACLLWNFLAVLVESIRSEDIVSFLLAVIYAMSGCPLSYILWYRPLYRAMRTDSVVTFGQFFVFYSIHVGFCVIAAIAPPIIFRGKTLTGILVAIEVLAGDMFVGVLYFIGFVFFALESLISIWVLERVYMYFRGHR >PAN34606 pep chromosome:PHallii_v3.1:6:11853644:11855984:-1 gene:PAHAL_6G104000 transcript:PAN34606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLCTSTTIPFLLILLIHSVHSPAAAFYLPGSYPQRYRPGDTLAAKVNSLTSPSSKLPYPYYSLPFCTPQDGVRHAAESLGELLLGDRIETSPYRFSMLNNTTPLFLCRTGPLAPQTAELIKSRIDDAYQVNLLLDTLPVMRYVKNPIAPDVLLRSTGFPVGVRADDGEYYVYNHLKLTVLVNLQNGTTRVETLMATADGAELISYNGGKEGGGYAVVGFEVVPCSVDHDAAAVKDKNMYDEIASKAAAGCDPSVVGMRVQDNRSLVFSYEVTFVESSIEWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAIVLVILLRTVRRDLAQYEELGSESGAQADELAGWKLVAGDVFREPSHPVLLCVLVGDGVRILGMGVVTIVFAALGFMSPACRGALVTGMLCFYLVLGVAAGYTAVAVWKAVRQGDIAGWKSVAWRASFAFPGVGFAVFTVLNCVLWYNGSTGAVPFLLFLVILLMWFFVSVPLTLAGGLIASRVRHIEFPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLMVLALLVTVCAEVSVVLTYMGLCVEDWRWWWRAFFASGSVALYILGYAVYYLLFDLHSLAGPVSAALYVGYSLLMALAVMLATGAVGLGASFCFVHYLFSTVKLD >PVH36631 pep chromosome:PHallii_v3.1:6:18858810:18859970:-1 gene:PAHAL_6G126100 transcript:PVH36631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDVMVFVEKSPRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH36618 pep chromosome:PHallii_v3.1:6:18091780:18092385:-1 gene:PAHAL_6G124000 transcript:PVH36618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPGVCTRAATSRSEPLTRARSHAHFTCATPAAGSPCRAPHQNRATAWALRQPQPVLPVQPPPAPPHHLDPLLVTRCTRPAAGRAARAVQPRPACILRSPPWASPAPGRALLPPASSAAPSRPAWAAPPGPRALPHARRPGSAATARAWAARSRASVRRRPGSRTASPRAWAHAGPLLQPRPGAARAPALAPPTARRSAV >PAN34180 pep chromosome:PHallii_v3.1:6:5731150:5732806:-1 gene:PAHAL_6G074300 transcript:PAN34180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQSKKTASRCTTEAETGIHRFEIIGYNLKRGIGIGNFFQSDTFTVGDHNWAIRFYPDGVSKGTKMFVAISLELMTNDAEVRACYNLFLVNQDSGHSESIMSLHSTAIPFESHNYFVARENKLEAGCYVRDDCLTIECYLTVIKASQLCKIKGGLEIQVPPSDLSEHFGRLFLEEEGADVIFMVRGERFLAHKIVLATRSPVFKAQLSYGQMETTAHCVPVEDMQPVVFKALLNFIYTDALPDSFDDLDEEDYSEVIKHLLAAADIYAMDRLKLLCGSILVKHLRVETVATTLAIADQHNCESLKQICIEFMASSDKMDAVVATQGYANLKRTCPSILVDVLEKRSRRCKA >PVH36191 pep chromosome:PHallii_v3.1:6:1418328:1418989:-1 gene:PAHAL_6G017900 transcript:PVH36191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWVDGISFTVHPGRGAAGLHGGAFARFDAAVAVASPTETPPCRCASQSSFFFPSSWITISAARRHHRRTGRALPFRRRHAGTRPSSLPAPAAFR >PAN36768 pep chromosome:PHallii_v3.1:6:45636078:45640431:-1 gene:PAHAL_6G308600 transcript:PAN36768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TH2 protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G32470) UniProtKB/Swiss-Prot;Acc:F4KFT7] MLVLRRLRLPLPRPLLVSSSCCSSTSQRRPAMASSSSSSSAAVVAEGSAARRFWIAASSREAAFAAYTPFLLSLAAGNLRLDAFRHYIAQDAHFLHAFARAYEMAEDCADDDDDRATIAALRKAILQELSLHASVLKEWGVDPTKEIPPSAATAKYTDFLLATAAGKVDGAKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELTVFLKQDENHPYKKWINTYASSDFEGNALQIEELLDKLSISLTGEELEIIAKLYQQAMKLEVEFFSAQLVDQPVVAPLLRYCDPKYKLLVFSDFDLTCTVVDSSAILAEIAILSFQKTSQSGIDSTLDRTKSSDLRNSWNMLSKQYMEEYEECMERLLPPEELKSLDYDQLYKGLEVLAEFEKLANSRVVDSGVLRGMNLEDIRKAGERLILQDGCKNFFQKIGKTREHLNLDIHILSYCWCAELIRSAFSSVGCLDGLNIHSNEFAFEGSVSTGQIVRKMQSPLDKVEKFKSIKSGMDSTAASLSVYIGDSVGDLLCLLEADIGIVIGSSTSLRRVGKQFGVSFVPLFPGLVEKQRQLTEQEKSTFKARSGVLYTVSSWSEIHAFILGSDFS >PAN35657 pep chromosome:PHallii_v3.1:6:39264114:39266722:1 gene:PAHAL_6G223900 transcript:PAN35657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEGAQPQQHHPCSICMEPMAPAAAHRGGAACAHAFCRACLSGHVRAKLESGGGGGGAVVRCPDASCAAALDPELCRGALPPEVFERWCRALCESLFLGARRTYCPFPDCSEMMVADDDGGGAEECVTQSECQGCRRLFCARCGVPWHAGVSCEEFARLGEGERAREDLLLVEAAREGNWKRCPRCRFYVEKSSGCLHITCRSGFNSAVAPPSNGS >PVH36363 pep chromosome:PHallii_v3.1:6:4501654:4504080:1 gene:PAHAL_6G059800 transcript:PVH36363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGRMASAGGATSILVLAPAAAVTSSCPSTLFFKSGKKKKKKSAAATGACHGRRRRATPCRATTSGRGEGDSDGLLWLPRRDLLAGLTGVAAFPGLALADVPKVYEGCGAGESLVTDDLLGCDTIGGPPCPPPAKAGIQTVNFYELPPPKKVRVRRPAHELGPDEVRRYRNALAEMKERNVSDPSSFAAQAAIHEAYCDGHYHIDPTERNRAFDVHFSWLFAPWHRMYIYFYERMVNHYMERDGSGGGFALPYWNWDAPAGMAIPAMFKEKNSALNDNYRNPDHLDAMVDLDYLSRIQKPPVKFTSQPQDPEMYKDILDSNLSTVYNQMIRTGKGARCFLGDKFCSEASFTINEINERSGRRRNGNQKLLRGTTSGSLERMAHTAVHVWTGNPGSSAVGHDGKEHKGADMGFLGSAGRDPLFYSHHANVDRLWHLWSTKLGGAGCNDPEWLDTSFVFCDFVDGTDDMRLVRIRVRDVLDTANLGYTYAEPDKETGYKDWVDSKPTRRLPPVSAATPVTKSEPPTFPKTLKVGENVVIESVPRQKKPGGGKEVLEVLVIEGIDFDPGQNSKFDVAINAPSENAKDVGPRYVEYAGSFAGVRAAKEKPGDRRAGVLAVPIDDVLADVGVGDGEPVSVVIVPREGDVKITSAPRIEFQAYC >PAN36104 pep chromosome:PHallii_v3.1:6:41845926:41848705:1 gene:PAHAL_6G257600 transcript:PAN36104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRDKKVEEPTELHAPELTLCANSCGFPGNPATKNLCQNCFLAASASVSPPSPSSSSPSPAVFDKPRPAAAPPAVWPPFAPAADRASAGPAESPSKAPRTSSSVNRCHSCRKRVGLTGFRCRCGELFCGAHRYSDRHDCGYDYKGVARDAIARENPVVRAAKIVRF >PAN34959 pep chromosome:PHallii_v3.1:6:31298602:31303519:1 gene:PAHAL_6G166300 transcript:PAN34959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQLGCHTIRSHGTKVARLHMYDWIILLCLAVLDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWFVFAGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGVPNYDNITTDVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKLKAFDRKGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPYPFDNDAIWPHAYFQQLAETHSNGNANSFEIRPTEFEDEEEGHGGIALRDTSPILESMESGRRP >PAN35758 pep chromosome:PHallii_v3.1:6:39903455:39905253:-1 gene:PAHAL_6G232000 transcript:PAN35758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRTVQESALHAGRQAWWPLDATACCLEDTSGGGGGGAFLLGWDPQLSYFGLGAWGVAAGDLGTYERELELVVPKCMESPVSETSTAAVTGLPTPQDATAMPGELDELLQSLWGSDEEELAVGFTSGSPLKEASTVSSQYKDSEAGLLSDNDHFALNPILPTSPVEKALTEPQAEPPSYSSSHCNVDPWASDTGVAQRQTTRGNCSSKRPAPEEEEEGDGSCKKSRRAPSSAAGTVAHPFTVVKSGGADGSVTLADINEWILTPPARPVRHPVGEFACAPRVAAGNRPAPSGKTVAGFTRLRTAGRGTITIVRTRG >PAN34365 pep chromosome:PHallii_v3.1:6:7621928:7622738:1 gene:PAHAL_6G087100 transcript:PAN34365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRASSTGLVVLCLTSLLLVPSLADDTSGSHGAGRKMTPGMVAEEKDPPMYTPTVPSYTPTVPTYRPTVPSYTPNVPTYRPTVPSYTPNVPTYRPTVPSYRPPTYRPPTYTPPMYGTPP >PVH36732 pep chromosome:PHallii_v3.1:6:27599336:27600337:1 gene:PAHAL_6G155100 transcript:PVH36732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASVAVAEALEAGSVTPLPVAGGADFAAAALAVAIAAVAAAASFVLVSFEARAGQGRLRRVLDLGPSSRGPRLLLAFFAGLMAAAEALRLPFFRGTLLPPRRQVMPCLAYPLVAHGIAEPGMLACVLLLLRASVGGARLPAAAIAVPFACLPFLTAHVLVLATPATVVAYPGQLAHAADGAGHCAYPAYAAALLLALVALYMPLLATACWDVAAVAINRRLRARAYALVTFAVLPLPVQVLALGLTSVWDIHQYTSPTVGLIGFLAVAVAAETTIVVLVMLPLHDALVLVEQPPAPATRTRVTSPDDKGSYRDLSPASSIHVHESGLTSRDL >PAN35064 pep chromosome:PHallii_v3.1:6:33812173:33814351:-1 gene:PAHAL_6G177200 transcript:PAN35064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CITRX, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06730) UniProtKB/Swiss-Prot;Acc:Q9M7X9] MATAAAVLPAFAAGPLSRAPAPLPRRLLSMQPSPARRDGSRGCSLAGASGGRAPTVRRNAAAETVVPYVPGSGKYIAPDYLVKKVSAKEVEELVRGERKVPLIVDFYATWCGPCVQVAQDIEMLAVEYDDNALFVKVDTDDEYEFAKDMQVRGLPTLYFFSPDQNKDAIRTEGLLPMDMIRNIIDNEL >PAN35968 pep chromosome:PHallii_v3.1:6:41158234:41159433:-1 gene:PAHAL_6G247900 transcript:PAN35968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSMPTPKDSLMGFVLYNTAVSVAILAGLVRAALVFLGLAAPSPWEGLAASDEHHHHRQVVSSITPLGPSLADRFRSRFRPSRFGRRRGGAGADCRVCLARFEPESVVNRLPCGHLFHRACLETWLDYDHATCPLCRLRLLPPAAGDDYAAVAAAAPAVRF >PVH36383 pep chromosome:PHallii_v3.1:6:4808395:4809035:1 gene:PAHAL_6G063800 transcript:PVH36383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PVH36577 pep chromosome:PHallii_v3.1:6:13545881:13547305:-1 gene:PAHAL_6G108800 transcript:PVH36577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVENYDRSAKRQLDFALGINMAGVASHRQVKNREPDPSDRPQKEKKEYVTEEQVRCVRNQRPTSSDLLRKYEYQYQQHLQRESEEEEYERRTGKRLRKHEDACDHWHCPFFRYCWDSGMSRLPTIRDCPECGSVKSDARDSVFRWLGPAPTRQEREDRYHRPRWCLDGLNRSQKRRVQRLRSLEEAEAKYIKTLRKARPDLAEQVHYVQEKESRPSRKEWRPKSTKADKKVSADTHMIFVLPAEFHARTHEEPSVAQLDLGPRPVIFEKPPAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLYRLGRSTRDLNKTNITLSDFNGQTSEAQGVLSVDLTIGNKTIPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDLIEILHVAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PVH36386 pep chromosome:PHallii_v3.1:6:4875650:4880393:1 gene:PAHAL_6G064400 transcript:PVH36386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRRQVVEELDRTEPLSGSNTYCTCVHTAILGNVLCTNNATIIRGMHVALSKLYTAHTSCIGLVLVVRVHHFEITWYDHGS >PAN36338 pep chromosome:PHallii_v3.1:6:43097640:43099216:-1 gene:PAHAL_6G275300 transcript:PAN36338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIVFLRASAADLTAGKPPLRGVPASAPLSAAAAAIPASQEADVAVWRDGASPLAPAAATVIGLLSSFDVVAFLASHVGGTAAALRTPAGDVVAHEPALVREVEPHTRLIEIVELMKQGARRVLVRKNITEACTVDKKPFAPFYKAALKITGTPRAAAAGKQIVNRSSSSPTTTFGCDRYCCLTREDIVRFLINCLGALAPTPLQSISSLGAVNRGYTHVEASSPAIEASWMVPAEPRAVAVVQTNRDGTHKVLADVSAHRLWRRDYVAAADAMASLSSLNFAAGVESHGMSAPDGDADGPGGRRSGEAEAAAPLSRLSSRRVGFEDSLVGQMMMASHGGNAALRCRSTSSLAAVMAQMLSYRTTHIWVTHGEDDVLVGVVGYMEIFNAVTRDVVAPPA >PAN34277 pep chromosome:PHallii_v3.1:6:6573838:6580046:-1 gene:PAHAL_6G080500 transcript:PAN34277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDHHHKHKPATLSPSTPPLVCLLACWSPQGQQSPSPPLAKASDPLLGSHRIQAVKHRRSRQPWRPRGVKPVSQESWRRKRARAKKWREPWPAMGCGGGIEAACGGADRMEEEEAGAPSSSSLPPPIAQLGYDQLLSVLRLLPPEAVLSFAATCRAFRAWASSDALWEALCRRDWGARTAAALAERRRERERGGGAVPAPWRRVYAEVARLGALSARRVPVRGASPRPRASHSLNLVAGWLVLFGGGCEGGHHLDDTWVAYAGTGAGNRLPTVLSWQQLASGIPSGRFSHSCTLVGDTLVLFGGITDRGQRLNDTWIGQVISEEPRRMRISWRLLEVGPLAPPPRGAHAACCVNDKFIVIHGGIGLYGSRLGDTWLLDLSNGLRSGSWHQIGYTWPLPPPRSGHSLTWIGGTRMVLFGGRGSEFEVLNDVWLFDISDHSPKWKELKYDLSSALGEMPFPRVGHSAVLALGGKVLVYGGEDSQRRRKDDFWILDTPALLQYESGSKKMSKRMWKKLRIDGQCPNYRSFHGACVDTSGCCVYIFGGMVDGLVHPAEALGLRFDGQLYQVELVLHL >PAN33948 pep chromosome:PHallii_v3.1:6:5025778:5028654:1 gene:PAHAL_6G066200 transcript:PAN33948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR2 [Source:Projected from Arabidopsis thaliana (AT2G19640) UniProtKB/Swiss-Prot;Acc:Q9ZUM9] MASAPQNPTTAAAAGDALRVADLPGRGRGLVAARSVREGEVLLSEPALLLYPSTLASLRAYCAACFRALPAAAAVPCASCRAAAFCSPACAAAAHPRVLCAALSHGGGAGLAAAAPTEAVQEPLLFLLAAYSLPDPALRAVLSLSSAPPPPPGAQDAAGLHAAVAALAPPHLLPAGFSPDLTAALLAKDRGNSFAIMEPYRPGMSLELLKARAYAVYPRASLFNHDCLPNACHFDYPDRPGPGNTDIVVRALHDMSEGREVCISYFAANWRYADRQRRLLEDYGFRCECDRCQVESRWKDDDDDNNGDDGDDAMEEEEDGGDGGDDGMEEEGDGDADGDDDFPHAYFFVRYLCDSEGCWGMLAPLPPSPNGELSHVFECNLCGKLRKEEDAMPDEGGSGMVH >PAN35479 pep chromosome:PHallii_v3.1:6:38280158:38283258:-1 gene:PAHAL_6G212600 transcript:PAN35479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSPAPTWLLRVGYAAAADQASSSSSSKGGGRVLTAGTTTMDTDATAGAGGGGGNAHASDHQESSSSGQSRLAARGHWRPAEDAKLRELVALYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLEAASAAVAMPPAAGPGDAVPATGHHHHHLLAAAAAAAAAHDAAYGFADPYGFSFRHYCSFPFPPGAASAEDPPPPPPFCFFPGPGGAAAHADRRLPWPSSPDDASGGGHRYGESPALLLPVPGGGWIEGVGVGGHHEPPHQFVLDRDGGAAAAFEGTATRPGAGAHFDGAAASASSPAFIDFLGVGAT >PAN36388 pep chromosome:PHallii_v3.1:6:43300525:43306708:1 gene:PAHAL_6G278400 transcript:PAN36388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAALLRSAASKIVRAPPRLLVQEGLQHHGRRLLGREPSYRLSSYSTSGSSTPLPTNHRGPQTHNKKVFNEWDCAKAAAMEILPKAAYVMAFGSWMFICFWVNPKLDQIKDMFETDTIEKLAMEDEIKRKHQRLCGLLDSKEGQFEARDSVGGCSFVLLDMFRAKYNTLRDCVKAANEKFISRAEHESSEEKFHKQLQEINEKLLMVQEDLKVLKAIWLIRILAKNFDPEVLSRVKQEDLHDPVQILKGKVNPTQVTLTKEEERMTKLKVVFTLHDKNRDGFITTEELGDLLLFLGKRYSESVLKDIITKADVDLNRGVDFTQFLKIMGNKTCAVGKPRREAPGGS >PAN33278 pep chromosome:PHallii_v3.1:6:550309:556357:-1 gene:PAHAL_6G007700 transcript:PAN33278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSVEELASNLSTYKDQLREVKKLIKEKKDDPGISEYLDMEKELQEVIMLTEELLATAKQTESAQNVAGLSPPNYSAGVQSEGLDDLSHSHKFAVGSRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNSEEVDPDNVRPLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQARSLPSKLRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSADAVDDEE >PAN36186 pep chromosome:PHallii_v3.1:6:42320752:42321750:-1 gene:PAHAL_6G264500 transcript:PAN36186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) UniProtKB/TrEMBL;Acc:F4JSY9] MASCVSNAKSLAQWLRENGFDEETVARMSRRCKNLHSLDAGEASGVWDYLLTSVKIERRRLRHVVAKCPKVLTLPVDGKLVPTVQCLATLQAKPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQMVDFLVGLGIDKEGMIGKILTKEPYIMGYSVDKRLRPTAKFLKSEVGLQGADLKRVIMSFPDILSRDVDKILRPNLAFLQSCGFSKGQVMALVAGYPPVLIKSVKHCLEPRIKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQNNSSCSLSEMLDCNQKKFAMKFGLIAAV >PAN36185 pep chromosome:PHallii_v3.1:6:42320510:42322052:-1 gene:PAHAL_6G264500 transcript:PAN36185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) UniProtKB/TrEMBL;Acc:F4JSY9] MASCVSNAKSLAQWLRENGFDEETVARMSRRCKNLHSLDAGEASGVWDYLLTSVKIERRRLRHVVAKCPKVLTLPVDGKLVPTVQCLATLQAKPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQMVDFLVGLGIDKEGMIGKILTKEPYIMGYSVDKRLRPTAKFLKSEVGLQGADLKRVIMSFPDILSRDVDKILRPNLAFLQSCGFSKGQVMALVAGYPPVLIKSVKHCLEPRIKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQNNSSCSLSEMLDCNQKKFAMKFGLIAAV >PVH36641 pep chromosome:PHallii_v3.1:6:19829573:19830174:-1 gene:PAHAL_6G128900 transcript:PVH36641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSCQLWCPINYYSIKCCFIPCGSYHVTLDTGHSNKQTNKRSYSPAWKNTIHLFVCFIVFVHASKPSI >PVH37388 pep chromosome:PHallii_v3.1:6:45105587:45106998:1 gene:PAHAL_6G300800 transcript:PVH37388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMLDQFVFRRDGGAKRFPGNATTAAAAAHTRIGDPFGVCVDLARPRPSPASTCSGLAGPSQRLAGTARSWRRTAAASSSGSPLSSRARPLPRISSAPTTTSSTRLSSPAASRLSLAIISAHAAGEEESRKHVKVENMPEVRWVEALLREKHEEQQMALGVDVDQDIEPSSLIPENLGLVGVG >PVH37213 pep chromosome:PHallii_v3.1:6:42931585:42933205:1 gene:PAHAL_6G272100 transcript:PVH37213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSRPASTSTGGEKKGEERVLSHGDAVLIRSDLAILRGPRFINDRIIAFYFAHLSAGLGDDLLLLPPSIPYLLSNLPDPASVAAIAEPLRLASRRLVLLPVNDNPDASVPEGGSHWTLLVLDNTTSPSGPRFVHHDSIRGAPNLPVASHLADTLRPLLLQSDSRRRGAVPVVEGPTPRQPNGYDCGVYVMAIARAICGWWKSGHGGHRGGDWFEAVRTEVEADSVEAMRGELLQLITTLIQDKANASSASEGNKTSNTD >PAN36006 pep chromosome:PHallii_v3.1:6:41289897:41292770:-1 gene:PAHAL_6G250300 transcript:PAN36006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMDSVASGPVESSAESRAPAAEAAAEEEAVPKSSPAAAATKGRGLRRWRRIRRGQEQRREGYASAAAAVGGGGAGDEDSAQLHKRRLPLPAGGPKGKHEAPVAEAESSTASVESRFVPPGKLDPGLGLLVAPAGFSVGVGGAESDNSEDRSSKSSTAASAPRVLPRHEHALLFQRERDRLSRSRAPAASLHGRNPRADRPRVVYGAAVSTEADNSRSSVESDLRSSNALKLKARQSGAGVNGVHKVFSDYCELSDEGRPSEEVRSIGYCKDNGSSVVGRSVQINVDSGNGVEDTFDEASVGKGQNGRMHSGADHYNESTLLLLQRTQEALENEIEKIMAIGKEPTADFDVHDDEWSGSVHLEEPFEEVNERIKHLESRLVEASALIKEKASRIHELEATTIENTDLMSQSELDQLYQEKMEAEIQCTILTRAYQASVTLAEDQMALYKAQKSLSEEYKQLGLKLRHTENRATVLEEMAEKLQVQCKELSSSSEVLQLQSQASRVSIFCFVQFLLLCFAIGTYLMRLSTSSTEVVPT >PAN33807 pep chromosome:PHallii_v3.1:6:3546177:3548841:1 gene:PAHAL_6G047700 transcript:PAN33807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRTTSRQPPTSTNSLLRPPRAVLLPPQSARSRRGGRPSGLGIDLFPRCRERRIESEPLLLSASSSRIRCLRGGSLLVRSVRLSASLVRPIKIGIRLLRPRRRKVDRSIELFLSGARRGEFRSCRRMESGRKPRSLLDMCVQKLIDNLRYVGRVDGVEMELLKRILPHCTLEQLTRIENRTEMDLSPVTDSLWKRFYQREFGEEHTNMVIKRMKASGGRVRYTWRELFKAKTEKQKEVEDKMLEKIAKKFQAEKAEKQSKQIKLCTKVPPSNKRSFFGGSGPSSLSNSNYKSPILKKARIEVNSHARLQSAMQKNTFGRPSQPIRTNSLSGQTVRTTTIHRPNSTITITKPIGSNRQIQNSRPKF >PAN35387 pep chromosome:PHallii_v3.1:6:37689652:37693723:1 gene:PAHAL_6G205000 transcript:PAN35387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSTVALAAAAALLVSLPMLLLVLLLAADPLPSADPYEQENHRVFVEWKAKNGKTYTYPGEEECRYAVFKDSRRYIAWDRASRPTSSGLNGFAASSNEEMYFGLYGPWVAKQEEYEQETRRMFVLWKAKYGKTYRDVGEERCRYRLFKGNRRVVVRLNAATGQDVYGLNQFGDLTNEEVQQRCYPETDRELSTRCQAAVLDPGSSIVLDRERLISYMVCRCIATEMR >PAN33825 pep chromosome:PHallii_v3.1:6:3647245:3648316:1 gene:PAHAL_6G049600 transcript:PAN33825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTSPSLALSGQLLLQVSSPRVGLLGVTRQRSSSLSMAVGGPASPVQPPAQGNGGGGGQVHVATPRAAALMAVRGPTLLGQLPAEGTGGGGGKVHAAPTWALRSVGGPTMPPARPPAEGGGGKIHAVLPAAAPAAKSYWS >PAN36108 pep chromosome:PHallii_v3.1:6:41872261:41875789:-1 gene:PAHAL_6G258000 transcript:PAN36108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARDNMEGREEKRGVGSDYVHARDSFSSQGESRVPRKLSRKETKENSPRMTKSSASRQAQNKQQHKGLNNVQNKSQKQKKTISTAKAVEVRKPDIARVPSRPPSELSEETDDIISDAGTIDDKGNEEAKEIDVLDEAPHCDQSTGTDDDIPDIEEKIVHHDKSDVGQGNEESESRIDKLEQELREVAALEVSLYSVVPEHGSSAHKLHTPARRLSRLYVHASKFWSADKRASVAKNIASGLVLVAKSSSNDASRLTFWLSNTVVVREIIAQTFGVSHVNPTMTTMNVNGGAKKLDGKPMTMLRRNSSNGKQAKLAAMQMPDDWQETSTFLASLEKIESWIFSRIVDTVWWQALTPHMQTPAEGSSTPKAGRVLGPALGDQQQGTFSVNLWKAAFHDAFSRMCPLRAGGHECGCLPVLAKLVMEQCVARLDVAMFNAILRESANEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDKFGIDDDDSDIGNDDDDERRGAAESKSFQLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLQLVTRILCNFTPDEFCPDPVPSRVLEELNSESLLEQCTNKDVLSAFPCIAAPIVYRAPSTSDAAEKVADIGGGTKLDRKASMVQRRGYTSDDDLDDLDSPLASLIDRSAPPSPSNGIAHFSAQRGASMENIRYTLLREVWSERR >PAN34245 pep chromosome:PHallii_v3.1:6:6255827:6256724:1 gene:PAHAL_6G077100 transcript:PAN34245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCSARPPHPSWAATTSWGCTPHIAAPLLEQHGLPPSLDQQWEIEEGETGPWQTVFDRKLGFVRGRRRRTKGYAG >PAN33195 pep chromosome:PHallii_v3.1:6:177048:177881:1 gene:PAHAL_6G002600 transcript:PAN33195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYSSSSATTSSSSPSPSSQRGAGARELQGPRPAPLRVRTDSHKIRKPPPLPTQQQQVREPVIIYAVSPKVVHADPSEFRSVVQRLTGARRTHTEASSSSSVVPAAPHQISRQMPFFGVGHHAPSSSSELMMLPPPPPHFPFQLQEETAGGAHEMTTTQPALSPAARLAAIEQASSGLLPPFPSILSPGPLPAIQPSFFSPPAGAGNNSLGINLFGELISPAAAFLGAASGSISTVAGAIGQNPSLLQREASPSAAGAYYYWGDLFNNQQYHHQNQ >PAN34976 pep chromosome:PHallii_v3.1:6:8981820:8983693:-1 gene:PAHAL_6G094300 transcript:PAN34976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGCPPEPTLAGGYSSIRRIEAPPPARLWGGFEYLARTLSMKPVDGESSVAADPRAAGPVPPVDARRPEPPQIRVDSVLAFGGGGGGTVNWRMRRRGRLAGAMASRRPRSTCPRTWRRRGRLNSHILAVRAVVPNISKMSKESTLCPVRKKADSVLPS >PVH36806 pep chromosome:PHallii_v3.1:6:32679150:32680596:1 gene:PAHAL_6G172100 transcript:PVH36806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHRHQGHAQHAPDDPYAKVKFTIPPFSGHYDAEGYLDWEMTVEQKFSAHLVPERHRVRQASSEFKDFAIIWWSGLAAENALPTTWEQLKIAMRDRFVPPSYHRDLRKKLMRLEQGEKSVQDYYRELQKGMMRCGVVEGPEDSICRFYSGLRREIQDIVDYKEFNTINQLFQFAMLAEKELQGREQQGRGKSTTSYTPRTTTSTGLNKSATFRMPPPPASKRPAASGVAAVPTRSSDLGKNSAQVPAKSSSSMASTGRTSSIQCHRCHGLGHVQKDCPSQRAYIATEDGYISTSDIEDEEEKENDDGEEEILGGEDTATYRSAIVQRVLNTQVQQPDQLQCHNLFQIFFVINNRRVRVIIDGGSCNNLVSSELIKKLGLTIRPHRHPYHIQWLNDSGKAKLRKSELLV >PAN35371 pep chromosome:PHallii_v3.1:6:37540763:37541642:1 gene:PAHAL_6G203900 transcript:PAN35371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGGRIGTTAMTTRGREDDFEVTAHRSLAVFLKTWKECKRNTFLIRDFSV >PVH36323 pep chromosome:PHallii_v3.1:6:3507558:3510206:1 gene:PAHAL_6G047300 transcript:PVH36323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLEVARELGVPSMVLWGSSAAALMGHMRLRELKERGYLPLKDESCLTNGYLEKTIIDWIPGMLPISLSDVSSFVRTTDPDDFGLWFNITEANNCTKAGALVINTFDALEADVLAALRAEYPRIYTVGPLGTLLRRGHHGDDSIELSLWKHDTECLAWLDAQDPGSVVYVNFGSLTVLTADQLAEFAWGLAATGRPFLWVVREDLVRGGGALPPEFLTETAERCRVVTWCPQEQVLRHRAVGCFLTHCGWNSMLESTAAGVPMVCWPVFADQYTNRKYACELWGVGLRLDEEVRREQVAGRVREAMESEEMRKSAARWKAEAEAAACPGGSSHDNLLGLVKALKEGSLNSEA >PAN33965 pep chromosome:PHallii_v3.1:6:4598474:4598748:-1 gene:PAHAL_6G060800 transcript:PAN33965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQSHLMDADCGCFRMNSRPIAVVGYADSPPIFPARFCTIPWSPRASLPVLF >PAN36653 pep chromosome:PHallii_v3.1:6:45064069:45071703:1 gene:PAHAL_6G299900 transcript:PAN36653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGMEVEVRVVGGARSCFVALPLHLIHALERTSASGDLPPVLALELRGPTGGRWSLAWSGAASRSRAIEVAQELADCISLPDGTIAQLSVARSLAKADSVRIEPYSEDDWEIIESRAKLAEETILKQVGIVYEGMKFPLWLDGHNILKFVVMSSCPEKSVVQLVPGTEVAVAPKIRKEPSQDVKKQSALEEQVKTKAFLRVQPADRKHIHTFKYKGVDIGVVLSYAVLIHPDTATSISVGNLQLVTVSPKSSKKRPAQNGKEVAQKKGMSVAKERTHEVVVYILLSDSVAKGHVMLPYSIRHFISAGVHSWVYIKTYSANVTKDEPIATISSLRFKMHVKDAHGNSELVTQDADTSKITRIPPENDDFFQEAHYGESKSLQGADIESISESVSKQKFFIKHWLLGQLKEMGLHASHTEISSIVLPANVVLHFEVAYKKPNRGVELLYLLTFTSENSSFDNTQLKVETAWSAPIGSPENVELHFRKLELGEPVSFGSIMDSSATDDFKLTRSSLGWMENAMSDVTKRLSVLLSSTSLRLFNRLKFPFPGHVLVYGPRGSGKTALTRASAKYFEDHKEILAHVIYRDCSKLALGKAKETRQAIEDSISEALLHSPSIIIFDDLDSVVSVSSDPQVSQSSSSSDSLVRYLADIMDEYKDKTRSTCGYGPIAFMASVQSLQSLPQDLTSSGRFDFHIELPALAVPQRKALLKHQVEEHELQCSEEVLSEIASKCEGYDAYDLEILVDRAVHAAASRFVLPSNASPNSVKPTLVMEDFSKAMHRFLPVAMRDLRKYAPDDKDGGWEDVGGLNEAVTIIKETLELPSKYPNIFTRAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLAGDASLEDVASLTEGFTGADLAAILTDAGLAAVHELLDNRENGVPESEPCISKELLMSVTRKARPSTPADDKRRYDREFGEFVSSRKSISTKARESKGKKVTLA >PAN36655 pep chromosome:PHallii_v3.1:6:45064069:45071703:1 gene:PAHAL_6G299900 transcript:PAN36655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGMEVEVRVVGGARSCFVALPLHLIHALERTSASGDLPPVLALELRGPTGGRWSLAWSGAASRSRAIEVAQELADCISLPDGTIAQLSVARSLAKADSVRIEPYSEDDWEIIESRAKLAEETILKQVGIVYEGMKFPLWLDGHNILKFVVMSSCPEKSVVQLVPGTEVAVAPKIRKEPSQDVKKQSALEEQVKTKAFLRVQPADRKHIHTFKYKGVDIGVVLSYAVLIHPDTATSISVGNLQLVTVSPKSSKKRPAQNGKEVAQKKGMSVAKERTHEVVVYILLSDSVAKGHVMLPYSIRHFISAGVHSWVYIKTYSANVTKDEPIATISSLRFKMHVKDAHGNSELVTQDADTSKITRIPPENDDFFQEAHYGESKSLQGADIESISESVSKQKFFIKHWLLGQLKEMGLHASHTEISSIVLPANVVLHFEVAYKKPNRGVELLYLLTFTSENSSFDNTQLKVETAWSAPIGSPENVELHFRKLELGEPVSFGSIMDSSATDDFKLTRSSLGWMENAMSDVTKRLSVLLSSTSLRLFNRLKFPFPGHVLVYGPRGSGKTALTRASAKYFEDHKEILAHVIYRDCSKLALGKAKETRQAIEDSISEALLHSPSIIIFDDLDSVVSVSSDPQVSQSSSSSDSLVRYLADIMDEYKDKTRSTCGYGPIAFMASVQSLQSLPQDLTSSGRFDFHIELPALAVPQRKALLKHQVEEHELQCSEEVLSEIASKCEGYDAYDLEILVDRAVHAAASRFVLPSNASPNSVKPTLVMEDFSKAMHRFLPVAMRDLRKYAPDDKDGGWEDVGGLNEAVTIIKETLELPSKYPNIFTRAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLAGDASLEDVASLTEGFTGADLAAILTDAGLAAVHELLDNRENGVPESEPCISKELLMSVTRKARPSTPADDKRRYDREFGEFVSSRKSISTKARESKGKKVTLA >PVH37282 pep chromosome:PHallii_v3.1:6:43556767:43557087:1 gene:PAHAL_6G282300 transcript:PVH37282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRRSQGHISICTIIFHQKGSYFMKKDDQLHLQAYYTIHHLQTKPNRLPDD >PVH36290 pep chromosome:PHallii_v3.1:6:2965037:2967084:-1 gene:PAHAL_6G041100 transcript:PVH36290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIRNSLGRNPLSDALHRNPGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGEDVLNFALAYDLLLANTLFRKRESHLVTFHSGQYSSQIDFILARREDRRACLDCKVIPGECVVPQHKLVVADFRFRVRAHRDKRAKIARTKWWKLRGEEAQTFKERMLGEGPWEEGADVDDMWLKMATCVRKVASEVFGVSRGGKQEVKETWWWNDEVQRAIKEKKECFKRLHLDKSATNIEGYRLAKRSAKRAVSVAKGQAFDDLYQRLGTKEGEKDIYRIARTRERKTRDINQIKCIKDGTGRLLVKDEEIKDRWREYFDKLFNGEHEGPTFELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEVWRCLGERAVVWLTKLFNLIFQSNKMPEEWRRKGYRASSKRIDKGDPKPVWVHAWEVDHGGDFLSTTVDGEI >PAN33611 pep chromosome:PHallii_v3.1:6:2458399:2460379:-1 gene:PAHAL_6G033400 transcript:PAN33611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAHESEMGRRHQETRVGDLPEECLAQVIALTSPRDASRCAAVSPAFRAAADSDDVWRRFLPARLELDHLVLQAPRRQQAATSRKDAYLGLCDAAGAFAGGGMKVWLEKATGARCYALSARRLSLPWDDGEFSWRWTPHPLSRFPEVAELVDCTCLDIYGTLPAAALTPGTPCAAYLVYGTSEGGHRGLSYPDQETAVAVGGRVVARHAVCLRPDDAEARKFRGGGEEARRPRLREDGWWEVEMGRLGGAATTSAAGDGEEEVTASFEVLGWYPKRGLVVEAIEFRPCPPSS >PAN33262 pep chromosome:PHallii_v3.1:6:478779:479765:-1 gene:PAHAL_6G006800 transcript:PAN33262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNITHNSSSSSSWDLDMSLGSHHHPLLFDSHPNPAPPPPPPLPFHLSTSHHHHHHHPPPPPPHHHHHHHPGLDPSPSSSLFPPHHRLHHLGLDIDPSPHHRHHEYGGGAHEQQEPGHHEQEEMRQEAGVAQEEHGGGGGGAEDVEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISEDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQELQHQPPQQYPAAAAGVAAAGAGPSTSVVVGPPGRPGFLPLGPGPLIDWAGLVRPVDIHGPTSSSSSSSMGGAHAALGFGFSSAGQSSHGMH >PAN33317 pep chromosome:PHallii_v3.1:6:1420239:1422120:1 gene:PAHAL_6G018100 transcript:PAN33317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIIHSLIYLHLMLSSEWRPQAGIICQVDTTTQNKRECSSQIFAGLFHVRFEGTVTAPSLLGVNLELLDRVDLLSRVQPLGAGMSAVLDGVAAVELELVVDGIQALLGELVTAVLYPPGETVHIYSTEQSWYAKHLHQCITISKFKLDQRGM >PAN33274 pep chromosome:PHallii_v3.1:6:524742:526282:1 gene:PAHAL_6G007400 transcript:PAN33274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSAASRLRLKQEQASDGSKMQGSGLSSSLELRLGISSDNGGGSDPWLGVGVHPWSLASRQEKAALEQAHQRPPPQPVGWPPVGAFRKSHLAGAKAAEEPSKEKRGSGERPAASMFVKVNLEGCAVGRKVDLQAHRGYASLSRALQGMFHGFLSDGQWRIAGREDDDDDDEPTKKNRTKTYILLYEDDEGDRMLVGDVPWELFMASVKRLYIAQDPRKN >PAN34389 pep chromosome:PHallii_v3.1:6:7941660:7950651:-1 gene:PAHAL_6G089000 transcript:PAN34389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLLRFRQRRRYSSSSSATVPLSAPTFAVFGANTGVGKTLVSAGLAAALLSSRSPSASAVSYLKPLQTGYPADSDARFVFSRTPAQLCNSSSSSSPRATRLVSSCRTLFPSPAVGAEAEPLREIQESVVSYGGHGAAEETKVLSCRTAYAWREPVSPHLAAEREGMAVGDNEVRGCVEQWLLEEGLAEGGEVWKVLETAGGVASPGASGVLQCDLYRPFRLPAILVGDGRLGGISSTLSAYETLLLRGYDVSAVILEDRGLSNDKFLLSFLRNRVHVLALPQIPEDPLDDLTDWFSESSSVFIVLKDALQSFHLNRNEQLNSMQRKSKDLLWWPFTQHNLVPQDSVTVIDSRCGENFSAYKIKDNKMMLVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALHSAEILLGGVGKGWASRVYYSDNGSTAIEIALKMAFRKFSLDHGILADCETSIKKERNIQLKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIKSEMYNLSLPQSMLHDPQTSGDTCFSSQAEVFCKSRDKSSAADLYLSYINQQLSEFSLSSNSEYLAALIIEPVIQGAGGMLMIDPLFQRILVSECKSRKIPVIFDEVFTGFWRLGVESASELLGCSPDIACYAKLMTGGIIPLAATLATEEVFESFRSDSKLTALLHGHSYTAHPMGCAAALKAIQWYRDPSTNLNLDANHMKLKELWDNALVKQLSSLPNVKRVVSIGTLCAIELKAEGLDSGYASLYASSLVQQLRKEDDIYVRPLGNVIYLMCGPCTPQDSCSRQLLKVHRRLSDFNRAH >PAN33390 pep chromosome:PHallii_v3.1:6:1384082:1386155:-1 gene:PAHAL_6G017200 transcript:PAN33390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQQKIRWGELEEDDGGDLDFLLPPRIVVGPDENGLKKVIEYRFDDDGNKVKVTTTTRVRKLAKARLSRSAIERRQWPKFGDAVKEDAGSRLTMVSTEEILLERPRAPGSKADEPAASGDPLAMASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPPTESFTDRPPTSDGPPAAGGPVKGAYVPPTMRGGADRSAGDVMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >PVH37106 pep chromosome:PHallii_v3.1:6:41126440:41129105:-1 gene:PAHAL_6G247500 transcript:PVH37106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVPSRHQGHQDQSEAEREVPALDELGQYGREVDQFCATEHDVVEQKNEDDVLLPYESITPVTRWVVMENVVTTHIPAHHQNQIR >PAN35694 pep chromosome:PHallii_v3.1:6:39513899:39516876:-1 gene:PAHAL_6G227500 transcript:PAN35694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDQLGLQYAALCCATLMIGWLLHWVYRWVNPPCSVGMLPPGSMGFPVVGETFQLFKASPSIDIPSYYRDRLKRYGPVFKTNLVGQPLVVSLDPEFNRFIFQQEGKLFRSWYPETANNIFGKKSITTYSGTVHKFMRSFSSKLFGAESLKEVLIGELEDAMRQGFASWAARPSIEVKDSVADMIFDLVAKKMVSIEPVESRELRKNFEDFFQGMLCFPIYFPGTSFYKCMKGRKNVHKKLTGLLQDRLRTPGKKHGDLLDLLVEELGSEKPVIDEAFAIDALAALLFTSFATISATLTIGLKLLTDNLKVVETLKEEHEEILKQRGDKNSGFTWDEYKSLAFTTQVMNEINRMSNIAPGIFRKTLKDVQVNGYTIPAGWLVMISPMAVHLNPTLFEDPLKFNPWRWMTHDETKRSTQQRNFMPFGGGIRLCLGAEFGKLFISLFLHVLVTKYRWKEIKGGQVLRVAEMIIPQGYHIQLVPTTE >PVH37271 pep chromosome:PHallii_v3.1:6:43454520:43455617:1 gene:PAHAL_6G280800 transcript:PVH37271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQHRHSGSYGFLATQPDVQCLVCTRPFTLDTEIADSFEALAICRECKMTVLTDSNRDDTTRTNQQRRRQSKGRSQGVNPWSMLSHSRSPRLINLAKQGHEADVDSPTVPRQHTSYSSTPNRSQRWHSSDDESDGLNYADSVFGEIESNISFGDDGGESDASLEHQTTMGREMVIQLDSENYMNTDTDIDPMNAGIDHWDLDDPEDDEDELSEESDLDEAGDTMQARQQQCHDIAPRGLNEQESEDTVWTWRTAGSQGVNRTNLRTGTEGGEIRRPFIANPGDYVDARQFEMLLEQFAEDNNTTRGAPPAAASSIENLPSVVISTSSEINGGVTCPVCKDDMPFETVTAIVHASISFIVHLAMA >PVH36920 pep chromosome:PHallii_v3.1:6:37001842:37002924:1 gene:PAHAL_6G197900 transcript:PVH36920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSLKQVVEEYHNSSPVSSNNSIASVDMMKVVKEAMTEHQTFSRVLYVLARGTPITLLIARTVRGDYNRYPDKILIRNLVVEMIFSFIAVTVFHLYIIIFHFVHTTAPRLSMHCGCG >PAN35658 pep chromosome:PHallii_v3.1:6:39268631:39272385:1 gene:PAHAL_6G224000 transcript:PAN35658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.5 [Source:Projected from Arabidopsis thaliana (AT3G14240) UniProtKB/Swiss-Prot;Acc:Q9LUM3] MALLMRPRCFCYSVLLPPLLVLATLAAASGAAADAGGREVERTYIVRVDADAKPSVYPTHAHWYEAAVLAAAGDDAGGWPEGGPLIHTYSAAFHGFSARMSPAAAAALASAPGVAAVVPERVRRLATTRSPRFLGLLSSPPSALLADSDFGADLVIAIVDTGISPAHRSFHDRGLGPVPARWRGVCASGPGFPPTSCNRKLVGARFFSKGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVRLGDGQVLDGVSVYGGPALETGNMYELVYAGASGGGGASSSAADGYSASMCLDGSLNPAAVRGKIVVCDRGVNSRAAKGDVVRRAGAIGMVLANGAFDGEGLVADCHVLPATAVGAAAGEKLRKYIASSTKQRPATGTIVFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDTRRTEFNILSGTSMACPHVSGLAALLKAAHPTWSPAAIKSALMTTAYVRDNRNGTMVDESTGAVAGAFDFGAGHVDPMRAMDPGLVYDIAPRDYISFLCNLNYTEQNIRAITRRQADCRGARRAGHAGNLNYPSLSATFAAAGAGTAAATMRTHFIRTATNVGGGRAVYRASVSAPEGCNVTVQPRQLAFRRDGQRLSFTVRVEAAVAPGERMEPGSSEVRSGALTWSDGRHVVRSPIVVTVQAPLQ >PAN34335 pep chromosome:PHallii_v3.1:6:7381101:7385239:-1 gene:PAHAL_6G085600 transcript:PAN34335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMAVTVAGAAGTLRPCTGVSARGAAVLGRWRPLALAAPAKLRSSSSAVRVPRATSPAAVEDGSNTDTDPIPKVIIDQDSDPDATIVEVTLGDRLGDLVDTMSALKNLGLNVVKASVCLDSTGKHNKFSITKSSTGRKIDDPELLEAVRLTIINNMIQYHPESSSQLAMGATFGPEAPAEQVDVDIATHIDIFDDGPERSLLVVETADRPGLLVDLVKAISDINITVQSGEFDTEGLLAKAKFHVSYRGKTLSKALQQVLSNSLRYFLRRPTTEDASF >PAN34334 pep chromosome:PHallii_v3.1:6:7381552:7384909:-1 gene:PAHAL_6G085600 transcript:PAN34334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMAVTVAGAAGTLRPCTGVSARGAAVLGRWRPLALAAPAKLRSSSSAVRVPRATSPAAVEQDGSNTDTDPIPKVIIDQDSDPDATIVEVTLGDRLGDLVDTMSALKNLGLNVVKASVCLDSTGKHNKFSITKSSTGRKIDDPELLEAVRLTIINNMIQYHPESSSQLAMGATFGPEAPAEQVDVDIATHIDIFDDGPERSLLVVETADRPGLLVDLVKAISDINITVQSGEFDTEGLLAKAKFHVSYRGKTLSKALQQVLSNSLRYFLRRPTTEDASF >PAN35087 pep chromosome:PHallii_v3.1:6:34203533:34204801:1 gene:PAHAL_6G179800 transcript:PAN35087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDSFNIDDISLSNQFPFASNEDVVEVNRTPIAKKRWARSADYFVEEDEALVVAWENVSLDPVIGRDQSMKTYWMRIADHFHKNVKKPTNRSISSLSHRWSTMQECCNRWAGCIVSVDRMHPGGMTLDRLRNIERILVMPRGGLNRRM >PVH36397 pep chromosome:PHallii_v3.1:6:5018303:5021655:-1 gene:PAHAL_6G066000 transcript:PVH36397 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MCCKKEISKKKLERFIPFHQTCSFLNPQVAAIWRFKIGARERSSPSTPNPTIGGESETRPDSLAKRSAPITTSSAAPPSSGRPPISSWTSAMAAAQPKPAKRLGGMAEALAIAADLGFPAPPPQEDQGSTDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLAKITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFREPPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPSGSSDDSKFLTPTQWRDSSMLDSWKQVDDVNSENDGLDSINQRRLSFPSSIKRDS >PAN34796 pep chromosome:PHallii_v3.1:6:22584267:22584882:-1 gene:PAHAL_6G137000 transcript:PAN34796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSATQSRGSGASRMVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSYLDKLEEIGIVAIHKFPLAVDIGDEAEEVADASSGRMVMNMRAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PVH37121 pep chromosome:PHallii_v3.1:6:41317120:41320392:-1 gene:PAHAL_6G250800 transcript:PVH37121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Caffeoyl-CoA 3-O-methyltransferase (Fragment) [Source: Projected from Oryza sativa (Os08g0498400)] MAAGGEVKDIHSKESTKTLLKSEALYEYMLNTMVYPRENEHLRELRLITEQHTYGFMLSPPDEEQLLSLLLKVMGARNTIEVGVFTGGSVLATALAIPDDGRIVAIDVSREYFDLGRPVIEKAGVAHKVDFREGPALHILADLLADEGNEGAFDFAFVDADKENYGNYHEQLLRLVRVGGVLAYDNTLWGGSVALPDDAPLTEGDREMRAAVRAFNARVAGDARVEAVQLPVADGITLCRRVV >PAN36016 pep chromosome:PHallii_v3.1:6:41317120:41321038:-1 gene:PAHAL_6G250800 transcript:PAN36016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Caffeoyl-CoA 3-O-methyltransferase (Fragment) [Source: Projected from Oryza sativa (Os08g0498400)] MYTAGATVCSAPPVSWVRADARRLRTGPRAATAARRSDGRRRGSSWWPEPPARGPVQVHGARKGGSPARSTAAMAAGGEVKDIHSKESTKTLLKSEALYEYMLNTMVYPRENEHLRELRLITEQHTYGFMLSPPDEEQLLSLLLKVMGARNTIEVGVFTGGSVLATALAIPDDGRIVAIDVSREYFDLGRPVIEKAGVAHKVDFREGPALHILADLLADEGNEGAFDFAFVDADKENYGNYHEQLLRLVRVGGVLAYDNTLWGGSVALPDDAPLTEGDREMRAAVRAFNARVAGDARVEAVQLPVADGITLCRRVV >PAN33166 pep chromosome:PHallii_v3.1:6:67002:70709:-1 gene:PAHAL_6G000700 transcript:PAN33166 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3/VP1 transcription factor family protein, Regulation of iron-deficiency response and toleranc [Source: Projected from Oryza sativa (Os08g0101000)] MGQMGGPDGDGGAHPHHPYHYQALLAAVQNPNQGLHAFPLPFHVPLHHAPQGGQGAGAPAAGPGPAADTAASTHNAAPHSQHSRGFADWSASSSAFASVSAQPAPATTNAPPFPYNLSQSYTLWSHYMLNKNAMPYSSYPAPHEENLHPLRHTHIPPDKDSGSTYSMETAGSASSLGFDSFTTMSLGPNICAHMSPMEGSLPAKEAENSEDLPTVVRNSDEMDTMNSDEVHRDTAATLPESKPSHESCTTKFNSGEYQVVLRKELTKSDVANVGRIVLPKKDAEASLPPLVQGDPLILQMDDMVLPVTWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNSVPGKFIIRAEKAIQQTNP >PAN33341 pep chromosome:PHallii_v3.1:6:1141087:1141446:-1 gene:PAHAL_6G013600 transcript:PAN33341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSSILLAAAALAALLVVGSCASALTFKTGPGCSKTKLVLIPSAAISEVEVKEKGADDFTALKEGPTGTWTLEGKEALKGPFSIRFAAKSGGYRVIDDAIPTDFKSDSDYKTSMQV >PAN35988 pep chromosome:PHallii_v3.1:6:41217614:41219568:1 gene:PAHAL_6G249000 transcript:PAN35988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLEEDLYPSTPGKVKVERAGSMSRHIHRCFASTGTMFLWALFLVAMTATYLSVHSFVDTSSRYFTASWGGLHWERQIWASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCALALRKRGDGVVGIDNFNSYYDPSLKKARRVLLGSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQPAIVWASSSSVYGLNDRVPFSEAHRTDQPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHVDLARDFTYIDDIVRGCLASLDTAGWSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVSILERYLRVKAKKNVVEMPGNGDVPYTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHTFRNS >PAN36018 pep chromosome:PHallii_v3.1:6:41333526:41337344:1 gene:PAHAL_6G251000 transcript:PAN36018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEQKAASFLDVPKDIPIATKSLTIRTSAAGCGSGSDRSCPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLEPPPAPAPQPRQETKAAGASVVTTSAPSPASCSNGSHSEDIDAPSAPSAPRTPPSERYDSSGIDAAKISDGGGGALPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGGLGRFCKWLKSELELQGIASFIADRAKYSDPQNHEVADRIICSVAFGVVVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPSEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNSRSCVLRTVTLLRSKLGRKNIAEKENETSEGLPFPRNRHFVGREKELSEIEGMLFGSTVDIQEDCPRASSTNERSSGVSDGFADEDSDTARKSNARYISLEMRKCKEPTLEAWIDPVIELSSGKSRSLQKQRSKHRRSRFRCNSKGYNSSNVICINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKDRGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTHLPRVMNLEPMQLPQLSYIDAMALIQGKRKKDYPPEETEVLRKFDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLSENLFPIGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSRMVIAGSWLAPAPVSSTLLAATASKLPMKGSGMHLFGESLKTAFLCGTHCFLAPNGRKAEVESALLLVNLGLARRANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKAVDMVLFIKKTALPLAIDSFMSFSRCGSALELLKVCTNVLEEVEKSYASRMQDWNRGSLCWRKKLQPNHRIDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAQTLAAQETLAKLVRYRSKI >PVH36769 pep chromosome:PHallii_v3.1:6:31135819:31137066:-1 gene:PAHAL_6G165400 transcript:PVH36769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRTTSNAASPLAAMSFTESSPCPARRRLLHLTGAGKEGALDAWCSAPRHLPLTISIK >PVH36869 pep chromosome:PHallii_v3.1:6:35440756:35443546:1 gene:PAHAL_6G185400 transcript:PVH36869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGPAAAGWPERLGDPRGSLPHRPPPPRAAEGRRGGDAGKAEAEAAGDGIRSTSRGGAVARSGVVALAGPDADAAGVAGASAGDSAAGVVDAPREGSGGGEVGSKRSSFALAAPRAYTPPKRRLVSATRRFPPGCGRGVDASSRLVAAPAPRSDGGSAASPKSAPPPLSIGEAGSVVLPKVSAAVVHRPVPGGGCHGPVAGALRSPEPSRRSLVAAAVSLLDNDPQGGAGRGGEFGSGKQLVPATRLPPKPRTMVSTIRRFPVGCGRVKASQSLNKSADKCLRTESKESSTARGSFGPKKKVVVKDPAHLRLKVASACTMGTINKLDQVVASMLEDDGFLKAIAAYDRKLELKLNVSSIVPSVRCQRQSGTQNADARCKVKMMCMRFRFICRAIVQIVEQSSLKISRIDLAADKVIKKSPGFTQHEPVIGNVPGVEVGDEFLYRVELALVGLHRPYQGGIDTTRDENGVLVAISIVASGCYPDELSSSGELVYTGSGGKYAGKKTDENQQLKRGNLALKNCIQMKTPVRVIHGFKGLSREEGSHSRAKRASAFTYDGLYHVLDCWREGQAGSKVFKYKLQRIPGQPELPHSKTARGS >PAN34582 pep chromosome:PHallii_v3.1:6:15562925:15564284:1 gene:PAHAL_6G115600 transcript:PAN34582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEFLLLAFLFVLHGATTNVHGDNIIDRCWRRQPNWAANRQRLAVCSVGFAGKMRQNRGPGVIAYMVTDPGDDPVRPRPGTLRYGATVLAGKVWITFQRGMHIRLAQPLFVKSFTAIDGRGADVHIAGGAGIMLYQVNNVIIQGLHIHDCRSQSAGQVVVPGGAVQLAGGMDGDAIRLVSSTKVWIDHNTLSRCEDGLLDVTVGSTDVTVSNNWFFNHDKVMLLGHDDGHAADRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNLYDGWGHYAIGGSMGPSVKSQGNMFVASGPDNKKVTRRMPAGGKDWDWASIGDSFQNGAFFKQTGSRVRPNYNKHQAFVAASANEVRLLTKDAGALSCSAGSAC >PVH36706 pep chromosome:PHallii_v3.1:6:25780641:25781193:-1 gene:PAHAL_6G147800 transcript:PVH36706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSINKKKAALDAKIDTSASTHRLHLLEKELEDLEARVQATKQRIQEEKNLIAGSKQEAEILTTELKTDLAQLNSLNKQVVPGADEEDEAVLDEVDRIRLDAIAAIDAFLLQPCPR >PAN35674 pep chromosome:PHallii_v3.1:6:39390330:39391842:1 gene:PAHAL_6G225800 transcript:PAN35674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHPKEHQERMAAGKGDESTPTHGGVVLPANFAEVVASLPQGPQCSMFPSLRQYRGFWLPEISLLSLPEVHARFSLSPTDVLVASFPKCGTTWLKSLCFATARRSSHPPLDGGHPLLRRNAHDCVRNIDTLRFLQGGDEDDGEAPRLLGTHLPYSLLPARATAGDGSGCRIVYVIRDPKDTLVSLWHFNSGVVMTTAGGGDDAKQGAPRPEKAKFEEAFELFCQGRYGLGPQWEHAREYWEASRRRPGSVLCLRYEEMLRDPAGNLRRIAAFMGCPFSEAEEEAGVVRAILELCGMEKQRSLAVNRSGAYVVRGLLTIGNQHFFRKGVAGDWRNHMTPDMAARLDGVVEEALEGSGFSFGDTSS >PAN33519 pep chromosome:PHallii_v3.1:6:2366350:2369917:1 gene:PAHAL_6G031700 transcript:PAN33519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTPSDDGRIVIAVDPITALVDAGGGDDAQQSESSVSEVLQSETISADMVRKKLKKLDVIMFCVAALEWAGNAVGTLGFLWATVVMLGGFCSLLSRLDFWFSAIMVFIEGSRVFIRDDASVNQWLFGSTSAFRWENFSGDRMFGMSDKEKVFAMMVGVNISLTPVETRPEMVAGILKVVVLVISLSLLIFMKRWMGSKWSDACTVYCLSVLGLSSIGGAAGVLKLGLHYTKRSKANRVVSVLKVIGILAAWLGATGLLALLAILIMAFMAFVPSMRKTVPLLCLDIIIASGLSCLPFLQAVFGSFYRFFSTSVTLALLVLSSENLRGEEDDSSLSRSHSGQYNSPCGLLLKVLDKTILPILFLWSVVFPVLGISLKISFYMLFSVIAALLLANLQIPVAFLQILLSIMRLRSLLGHHNHDYRPLPKDASPNLVPSIVVFFMLELCQGSSYILATILGLISLFRRRSLARDLGFKRWAENAVDLYCHKAYEARKEKGLFPSNNSMPSLTSLAIESLDSTSTEMQIAGLHVLDNFLERFDHTSKEVLIAEITYAVPTLIDMLGSSSTSKDIRLLAAKIIEKLSDRLKISEFPSMVKLIPSLLERQPYALVGLSILQKLVCNPDNCAEIAKDATNIITKIRGFISYVEDEENSYGHQRVIGVYYSAFKFLRRLAIIGGKTGARFRQQLLENPFLLNRLKRMLDCEPVMDIVAKLALDEAARQEIGGTHSIMRKLMDSFLRSDNNDRSLQLAAGEALGNLTIMSTDNCLAILFAGKDHNLIEKLINMLKDEYYICVAANLLHNLCANSRDKLIDLDAKVHLESALPKVMELIRTKEGEQLEAALCIASQIGYVIPEYFAQVLEPDIDAASAEKLVEKLVVTLKSNMEPCLKYPRIRRVLVEVVISTVVLCPGYIKIFRAKGAKDALDMVKGTPSRLEKYRVFLDGEGVVAESLPMRDLVDKAKRLIDQPTSTPGCGASEFKCPMDQR >PAN35512 pep chromosome:PHallii_v3.1:6:38487812:38488305:-1 gene:PAHAL_6G214600 transcript:PAN35512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLPIPALTVARLPFRILSPSARPLCLLPFLPPPFVPQRRSLYASAVSTSRRGRPLAPVISEGSDGEEAAVGRPVCPGCGVFMQDADPNLPGFFKNPSRSSQDKMGENCG >PAN36572 pep chromosome:PHallii_v3.1:6:44649190:44652661:1 gene:PAHAL_6G293800 transcript:PAN36572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVFLKKTKLARVRAAFLLGDSTRGLRPRGKANRKPARTIRPSIMISTTTKWRPFPNRPSLSPPTPPKPLSISSFHAAGTMSLSAIASAAAASTSLSSVNPRRSSPASRVPVPLRLSLPWRPLSSSSSSHSLLRPVSAMASPRTAAGEAASRKKLIIFDAEEDLASSLAKRTAELSARFAAERGAFTVVLSGGSLVKALRKLTEPPYLEAVDWSKWHVFWVDERVVPKDQADSNYKLTSDEFLSKVPIPAGQVYAINDALSAEGAAEDYETRLRQLVKDGVIGMSPVTGFPKFDLMLMGMGPDGHVASLFPGHPVVHENQKWVTFVKDSPKPPPERITFTLPVINSSACIALVVTGAGKAGAVHKALSEEQNTSDLLPVEMVSLQDGELTWFTDKPAVSMLSSL >PAN36056 pep chromosome:PHallii_v3.1:6:41638311:41642743:1 gene:PAHAL_6G254800 transcript:PAN36056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLKENFGGVKAKHSSDEALGRWRNVVGVVKNPTRRFRFTANLDKRSEAAAMKRSNQEKLRVAVLVSKAALQFIHGLPPQADYTVPADVAAAGFGVCAEEISSVVESHDIKRLKTHGGVEGVLSKLSTSASDGLPASADKLAARQKLFGVNRFAEAEARSFWVFVWEALQDMTLMILAACALVSLLVGIATEGWPHGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRSGFRQKLSIYDLLVGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVAVHAENPFLLSGTKVQDGSCKMLVSTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTQSLFWRKISDGSYFSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKVKDVSSSSETKTLPTELPSSVVAMLLQSIFNNTGGDVVMNQDGKREILGTPTETAILEFGLSLGGDFSAVRKASTLVKVEPFNSAKKRMGVVIQLPGGALRAHCKGASEIILASCTKYLDEHGNVVPLDGATLDHLKATIDSFANEALRTLCLAYIDVDGGFSASDQIPMDGYTCIGIVGIKDPVRPGVRESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGVAIEGPDFRLKGEEELHELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLIGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNVMWRNIMGQAIYQFLVIWYLQAEGKWLFGIEGDNSDLVLNTIIFNCFVFCQVFNEVSSREMERINVFEGILDNNVFAAVLGSTVVFQFIIIQFLGNFANTTPLTFTQWIASIFIGFIGMPIAVVVKMVPVGSA >PVH37191 pep chromosome:PHallii_v3.1:6:42487838:42488702:-1 gene:PAHAL_6G267900 transcript:PVH37191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARHRLLAQSRPLDRASPSSRCPPADCHRLLLAGRPLPAPAAAPPSIQGPRRPPPDCPDLPRPRRPPSAAARRPPAARAGHRLPLSSQGRRRPPPDCPEPPRPRRPPVLASHVARWRSRSRTPRRLFDPREAPRLGSSFGRLLPSSSPPPSSIKGSSGGCASASGSKRKASDCEGASSSAAKNDNANQVVGLDSGSGTPT >PVH36362 pep chromosome:PHallii_v3.1:6:4477511:4493157:1 gene:PAHAL_6G059700 transcript:PVH36362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTMKMFLVSQKHIVYTEPLEIHAGTTVDVLYNPSNTVLTGKPEVWFQCSFNRWMHPGGVLPPQKMVKAGNGAHLKATVNVPRDAFMMDFVFSELEGDGIYDNRNGLDYHIPVFGSVAKEPPMHIVHIAVEMAPIAKVGGLADVVTSLSRAVQDLGHNVEVILPKHDCLNLSNVKNLHVHQSFSFGGSEIKVWRGLVEDLCVYFLEPKNGIFGVGCVYGRNDDRRFGFFCHSALEFLLQSGSSPHILHCHDWSSAPVAWLYKENYAQSSLANAGVVFTIHNLEFGAHYIGKAMRYCDKATTVSNSYSREVSGHGAIAPHLGKFYGILNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQKLGLQEIDVPIVGIVTRLTAQKGIHLIKHAIHRTLEWNGQVVLLGAAPDPRIQGDFVNFANVLHGVNNGRVRLCLTYDEPLSHLIYAGSDFILVPSMFEPCGLTQLVAMRYGAIPIVRKTGGLHDTVFDVDTDKERARARGLEPNGFSFDGADSRGVDYALNRAISAWFDARSWFHSLCKRVMEQDWSWNRPALDYIELYHSASKF >PVH36814 pep chromosome:PHallii_v3.1:6:33047865:33051110:-1 gene:PAHAL_6G173900 transcript:PVH36814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFKIKGQKKDDAATANGKPAAKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFETTIKPDEGYYVGGKFVFTFQVPPVYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAVLRDDPKKFEKNVQRAMAGGYVGETHFPRCV >PVH37140 pep chromosome:PHallii_v3.1:6:41627562:41628549:1 gene:PAHAL_6G254600 transcript:PVH37140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFLYSEMAENADWNEENTRLLCELFAEQVRAHNRSGTHLNRTGYKNVMEKFKEKTELDYSKLQFKNKWDKMRKEYGNWKRLSRETGLGWDPVYKGIAKFKDGPLQHEDLKTIMFEDIRNTGDDHWSPSSGAAPNTQDTEPDDDKDEDYEANEASDDCHEISPEPSKGKRPAPTSRKDKGKNQKLQEDIGERSTASCESLARREDTSGCSIKDVMVLVRECGAVPGSKEHFIASQVFIKRAEREMFMTLETPEERFQWLTMKHNWLTRNDSTM >PAN35266 pep chromosome:PHallii_v3.1:6:36712008:36715011:1 gene:PAHAL_6G195800 transcript:PAN35266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPDERGQQPRRRRMLARPAVCPCEAIAPAPLLASLLSLAADAAGLQGDALPAVRGAAREAVRIADLLHAFLHAVRGAASGGDARPLPDEAVLGFSELHVALQKLRLLLADCARKGARLWVLMNAEMAASELRVVMGSVATAVDTLPEGVVAASAEARELAGLVSAHAWRAAARLRPDPEDDRAARGVRSVLARFAGGVMPDAEDARHVLDRVGAATWSGCAEEGAFLEAELLERLETGGEDDNDLVLIGGLMAFLVYCRVVLFDRIDDADKAEAAAVARPPARCAAWINPEALQCPITLELMTDPVTVATGQTYDRASIKKWIKSGCRTCPVTGERLRSAELVPNVAARGIIEQLLLSRGVPLQEPSSKHRCAVDKTLAPFGAAAAGGVRLAVAFLIARLSRGTPKQQKKASYEARKLSKRNVFYRACLVEADAVPWLLHLLSSGDASVQDNAVAGLLNLSKHPAGRRALVESGGLGLIVDAVNVAARVEARQNAAAVLFYLSSNPEYCEEISRIPEAIPTLVHLARDGAYRGRKNALASLYGLLQCTDAHGRAVSAGAVAALAGLLLGSPAAAAAEGDDLALDAVALLARIAEQPAGARAVAASSELVTRLVDFLGESASRSANEHGASLLASLGRHGGDRVLALLGKLPGLMPALYALIADGTPQAVKKARWLVNEIHRHYEKRQAPAAARAPAGGDHCVIRV >PVH36361 pep chromosome:PHallii_v3.1:6:4443831:4445354:1 gene:PAHAL_6G059300 transcript:PVH36361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSTSFYSSTADTASSSREEQDGGREAAGDYGEEAEAAAAAAVLPRSPTDKPPFTLAEIRSAVPPHCFRRSLLRSSAYLLRDLAVAGCLLWLALAGIPALPPALRLAAWPLYWVLQGSVLFGVWVIAHECGHGAFSEHPRLNDALGLLLHSALLAPYFSWKYSHLRHHANTSSLDRDEVYVPCRKADLPWYAERVYGGDDHPGARLALLAVQLTVGWPMYLVFNTWGRAYPRWASHLDPCAPIFAGRRERAGVALSDAGLLAASLALCRVTVARGEGAWWLARVYGAPLLVVNAWLVLVTYLHHTHAALPHYGGAEWDWLRGALATVDRDYGVLGRAFFHNIADTHVAHHLFPAIPHYHAAEATRAIRPVLGEYYRFDPTPFAEAAWREVKECIYVEPDEDGRKAGVFWYSNKF >PAN36381 pep chromosome:PHallii_v3.1:6:43282293:43285453:1 gene:PAHAL_6G278000 transcript:PAN36381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTCLSCGESAVIPDPDSGVLVCTSCGVIDEAGAAEFVHQATFTDSGGLDLRVSSLVRNSSDSAYRDQKIAGATAAITSIATRLGLSPTRAEEALRMAKSATDGQLATPGSAFLPALAAACSLLVARSHRLPLSLAEAAEAAFCSTPALADLVSRVAAQLSLPPLPCFDYAAALDRAVHLSPSLTAAAGEKTEAILAQARFLLRCASKWSLTTGRYPLPLVAALVAFSAEVNGVTSLSVEDIAQDLSAGIRTSLRRYKELVDALVHVARQLLPWGADVNAKNLLLNSPVLLRLMEMKSQSDPSEEFLESFAPNITGIVQAYSSVDDDESKYLQIAPVGADDFDFDNFVQEEKEFEDQKITEKGLSDAYQNVLNRLAQLQKLGKVSKGSDKRKLWKGRLELEPWMDSVDDGWKRDMQLEDVVDIDIGYDAPPPSFTAGMKLKKKRRARIEAAKQRIDAIRKAPAAPAASTNHSQPGVRNEDVCPPQKLAKKKRGGKRMDDIDRIFLDDNLAEMPDSPDGRKKRQKRGCCESIDWEDCIIELLLLHGANEAEIEQGQYRRLLELHVFSAVSGGRLKNGDAASQVFST >PAN36411 pep chromosome:PHallii_v3.1:6:43422309:43426087:-1 gene:PAHAL_6G280200 transcript:PAN36411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVPPLPGGAGGRDDAPAGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSVFLTMFLIIAPVVTFCVFVARHLMNDFPDSWGISVMVVAVVFTVYDLTLLLCTSGRDPGIIPRNTHPPEPESIDGINDSGGQTPQQFRLPRTKEVIVNGISVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYVIKIRNAEQLSIWKALAKTPASIVLIIYCFLCVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRDNPYNRGILNNFLEIFCTAIPPSKNNFRARVTLEQGLQQTRSQSRSFMSPNLGKPIGDLEMGRKPVPWDEPRTAADIRDLEAGLEGMFDEKEGRIAHASPDLSRDELPAEFVEGRAGMHSRQSSRVHRASDEVEAMAVQMATARAEANGGNNVAWNGPQ >PVH36579 pep chromosome:PHallii_v3.1:6:13891862:13892467:1 gene:PAHAL_6G110100 transcript:PVH36579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPGVCTRAATSRSEPLTRARSHAHFTCATPAAGSPCRAPHQNRATAWALRQPQPVLPVQPPPAPPHRLDPLLVTRCTRPAAGRAARAVQPRPACILRSPPWASPAPGRALLPPASSAAPSRPAWAAPPGPRALPHARRPGSAATARAWAARSRASVRRRPGSRTASPRAWAHAGPLLQPRPGAARAPALAPPTARRSAV >PVH37407 pep chromosome:PHallii_v3.1:6:45376833:45377126:-1 gene:PAHAL_6G305000 transcript:PVH37407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGLALQKVMNSLKSMQPSPSVSISAIMRCTSSAEVDPSVRSASVSSDSEILPSPLVSNRLKIRSMSAASAIVVVRMISRSTRPAPICTSSLPLCR >PVH36563 pep chromosome:PHallii_v3.1:6:11769853:11771088:1 gene:PAHAL_6G103400 transcript:PVH36563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKKFDEIKLRDVGVSWSIIVRLDGLKIEANVIGDDISRFNKLLTEGCTYNIHEVRFQIMSKVEYGNIRSHYECYFDHVTKVDPYYGNIQFPLYPKYVMSFSEVGYCRRNTFVARHAISLKSAATHNHIILGTMLRNNHEHRCLEYLDHTILGFNPNHQSTNDLPGLQQPVVNKSMDLRFINKFLEKRWA >PVH36900 pep chromosome:PHallii_v3.1:6:36591875:36594250:-1 gene:PAHAL_6G193900 transcript:PVH36900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGGRKDFSTAILERKKAPNRLLADDGEGDVVPDNSTVALSPAAMEELGVYVGDLVLLRGKRRRETVCYALPDESCPEGRVRIGRGVRGNLRVKLGDVVTVNRRVAAPHGTRVQVTPFEDSIGGISGDLFEAYLKPYFRNYLRPLCKGDNFMVRGNMRAVEFKVVATEPADCVLVAPDTVIFCASDEPIKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVKPPKGILLYGPPGTGKTLLARAIASESGAHFVVVNGPEIMSKMAGESEANLRKVFEDAEKLAPSIIFMDEIDAIAPNRDKTHGEVERRVVSQLLTLMDGLRPRAQVVVIGATNRPNSLDPALRRFGRFDRELDIGVPDELGRLEILRIHSKDMPLADDVDLERIGKDTHGFVGADLAALCSEAAFQLIREKMDVIDVEDETIDVDVLNSLRVCNDHLKHAMEVTKPSALRETGLVEVPKVSWEDIGGLEDVKLELQETVQYPVEHPEMFEMFGMSPSRGVLFYGPPGCGKTMLAKAIAKECKANFISVKGPELLTMWYGESESNVRDLFDKARAAAPCILFFDELDSIAVKRGNSVGDAGGTSDRVLNQLLTEMDGINAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDEPSRLQIFKSCLRRSPVSRRVHLPALARLTAGFSGADITEICQRACKLAVRDVIQRSLAVGKAAAMRGAEIGLGHFLGALKHARRSVSDMDVLKYEFFAQRLKGGNFEEEPIIAAPMGKGPLTITEIEDDEAAMNEDSLY >PAN33501 pep chromosome:PHallii_v3.1:6:1853784:1854233:1 gene:PAHAL_6G025600 transcript:PAN33501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAAAANASQQQRQALFEAKHAELLSEARGVAREFGVDVCAVAFRPDGTAARHEFLGVAREARAVGRIRRAVARDVSAMGLREVSEHERQLRALRAVVERELQAKAAARDKATKAAGAAAGDKRAPEQQQQAGGAGDSKIRRIIIG >PAN35374 pep chromosome:PHallii_v3.1:6:37557513:37563874:1 gene:PAHAL_6G204000 transcript:PAN35374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARAASAPDLSLHISLPSSAASPPPAPGRLGAAAGGGRGAQPAAGGDPWRRLNGSTASTELSLSPPPRQEAAGDVLPWRLRPPAANNAASSSTSAATAEAASLVPVTVPRLSLDAATEAARARPINGVPVYSSPRAAGHPFLGAGEYRQGHPKVGLYNPYHASSWPSSLRSTTTSPAAAPSASDPAAAFLSPSAYHRMLSGTGRLHLHGVLADTFRGYGGHHQQQQQHFGSLASARYMPKFPASRRGMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPATSSGPVDGGGSGDDDLPDAGQAPSAGEDASPQPFTRRSASSEGAASHAGGDVECSSAEDSDGGRARSGASSRDQWPPPAACNADTHRSVGISSTIEDMEPCGSVGLHQVPNHEMSCPSPSLEFTLGRANWNVAEHD >PVH36946 pep chromosome:PHallii_v3.1:6:37557513:37564458:1 gene:PAHAL_6G204000 transcript:PVH36946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARAASAPDLSLHISLPSSAASPPPAPGRLGAAAGGGRGAQPAAGGDPWRRLNGSTASTELSLSPPPRQEAAGDVLPWRLRPPAANNAASSSTSAATAEAASLVPVTVPRLSLDAATEAARARPINGVPVYSSPRAAGHPFLGAGEYRQGHPKVGLYNPYHASSWPSSLRSTTTSPAAAPSASDPAAAFLSPSAYHRMLSGTGRLHLHGVLADTFRGYGGHHQQQQQHFGSLASARYMPKFPASRRGMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPATSSGPVDGGGSGDDDLPDAGQAPSAGEDASPQPFTRRSASSEGAASHAGGDVECSSAEDSDGGRARSGASSRDQWPPPAACNADTHRSVGISSTIEDMEPCGSVGLHQVPNHEMSCPSPSLEFTLGRANWNVAEHD >PAN33847 pep chromosome:PHallii_v3.1:6:3728202:3728522:1 gene:PAHAL_6G051100 transcript:PAN33847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIQNGSVQTQLPSSCIIAIFVRYDWISGSSDSFFYFNQPPRTHLIVAFSSDEGVYTSVPGEQSSLVSEFSADLGASSPSVPGRSVDSAAMQSLFAISLNIYCLT >PAN35581 pep chromosome:PHallii_v3.1:6:38866392:38867934:-1 gene:PAHAL_6G218900 transcript:PAN35581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKAVVVAVVVAALVAAAESRHIARKDLGVSLGGGGGLGVGVGGGLGIGSGIGIGIGGGGGGGGSGSASGSGSGSYSGSGSGSGSGSGSWSGSSSGSNVGSGGAGSYAGSHAGSYAGSNGGGAGSYAGSNAGSHAGSNGGGAGSYAGSNAGSYAGSNGGGAGSEAGSYAGSGAGPHWGPGAGSYAGSNAGSYAGSNGGGAGSYAGSEAGSYAGSGGGPYGGAGAGSYAGSRAGSYAGNGHGK >PAN36704 pep chromosome:PHallii_v3.1:6:45280980:45283540:-1 gene:PAHAL_6G303500 transcript:PAN36704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MWGSTDGGTPEVTLETSMGAITVEMYYKHAPKTCRNFVELARRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKSELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCRGMEIVKRLGSVQTDKNDRPIHEVKILRAIVKD >PAN34405 pep chromosome:PHallii_v3.1:6:8505885:8507698:-1 gene:PAHAL_6G090900 transcript:PAN34405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNVKVKAGTRPPWVGLAAAAWVQMAAGNAYTFPLYSPALKSVLGYDQRQLAMLGVANDVGENFGVVAGVLCNSLPPWLVLLVGAAFCFVGFGTLWLAVGGTVIGMPYWLLWIALAIGTNSNAWFVTAVLVTNMRNFPLRRGVVAGLLKGYVGLSAALFTQIFSGVLHRSPTALLLLLAVGLPVVCLSTMYYVRPCTPALGPGGDEEDAMQDGHFAFTQAASVLLGAYLLGTTVLGSAVELSDATSYALFGVTVLLLLAPLAIPVKMTLFRKLKKRRPPMEPPAAASEEPPASAEEEPLLIPSDAPPADEDSEKLDVLLAEGEGAVVKRKRRPRRGEDFEFTEALVKADFWLLWVGYFIGVGTGVTVLNNLAQIGAAAGIADTTILLSLFGLGNFLGRLGGGAISEKFVRSMLLVPRPIWMSLTQTILAVAYLCLAYALAPGVVYACAAVIGVCYGVQFAVMIPTTSELFGLKNFGLFYNLMSVANPLAAVLFSEELAGRLYDGEAARQRHDGRPHGCLGPECFRVAFVVLAGCCALGTAVSLVLAARIRPVYRALYAGGSFRLPNSSQQH >PAN34649 pep chromosome:PHallii_v3.1:6:29631674:29633935:1 gene:PAHAL_6G160700 transcript:PAN34649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQQDPSPGDPHAIVVDIPSLSRALELELAVRRQHRGSGSQSCTLNIGKVYDLTRNVDEHEYDPHYVSIGPYHRKRIPNIIREDDKLASLNNVLSQASPGTTVQKYLTELAPVEGRARSFYAHWFSNMSSKEFLRMLLLDACFVIDRFGDMTTENISSPGANGSAQSSPAPAAGDGSPIANGHGQDGHQDRSTASASGGGNKLETGWMVRDVLYLAENQIPYFVIDKIHNLTFSGGRAPVVKAIAGCISCILQKQQYSMGTVEDDAPPPGNLLHLLYRHFLKPDTVSSSSSRTDEPVSRCRTAMEYHINGVNLKSCLVGGIGGTRSILEVMLDRASGTLVVPRLNIDGDTWRILRNLMALEQQNPAVGSHVTAYCIFMSQLACTAADVEFLSRRGVIDHRLGNHGEVAACFSDLCKGIVFDADDPGFNYLRGTCQELDELYRSRRRRWMALLRHKYSANPWLVVGVMAAALGLLCAIVQSIYAVLSYNHGAAK >PAN36535 pep chromosome:PHallii_v3.1:6:44451498:44452796:-1 gene:PAHAL_6G290800 transcript:PAN36535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHGRPTSSTSSPPSPPSFFSAAGNGGLLEFPYTEVPEQWLMDDDDDDSNIQEQLLSMEPCGGDGGSLSAGSADRSSRNPPAAAATRRGRKAGPSAGGGGPAVSHMEAERHRRDKLHRRFCDLRAAVPNVTRMDKASLLADATAYIAELRARVERLEAEARWAAARKANPFLVADDVAAAASATKFELEEEEEKLEVRMVVGQEAAALRLTTTAARHAPARLMDALRALDLPVQHACACRVGGVTVQDAVVDVPAELREAGCLRAALLHRLQGSG >PAN35413 pep chromosome:PHallii_v3.1:6:37882482:37882967:1 gene:PAHAL_6G207000 transcript:PAN35413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFPSAPLVSAVKNPSHQTNKIDHPNLPYFKFSFMPSVICSSFQKLAVELKEYSVLLHYSSFLTKRNIMSPIFSYEHLGSGDSFKHFTFLELA >PAN35779 pep chromosome:PHallii_v3.1:6:42939362:42942866:1 gene:PAHAL_6G272400 transcript:PAN35779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESEGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDATNVKTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIDGDSKDDQKQTGRFGCC >PVH36977 pep chromosome:PHallii_v3.1:6:38309106:38309692:-1 gene:PAHAL_6G212700 transcript:PVH36977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREREAKWHRSISPPCIPFLLFSCCFLTPLACLLVSWTGLSQPHHHHRHHRLLLLPPPRQQCLCPAAPTLSRHTKSCFEVKTGTAQDAYASQMGSLSVNLALISLPACLLAVKIKIKSGAKKAPGARARSVSKRREGNRSWTGQVLSEGGTAKQPRPDRSP >PAN33476 pep chromosome:PHallii_v3.1:6:1787706:1793186:1 gene:PAHAL_6G024100 transcript:PAN33476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYENGDSLAAVAGEDGVVLGVDGGTTNTVCVCLPAAMPQPESPAAVPVLARAVAGSSNRNSVGESAALETLEQVMTQALAMANTVRSAVRAVCLAVSGVNHPSDQQRMLEWIRDLFPGNAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTSLTQDILKKLELSSPDEIIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILHDSVQELADTVIAVVRRLRLCGEDEKDKFPLVLVGGVLEGNKKWDISGEVIKCISKVFPGTNPIWPEVEPAIGAALLAWSHHRKGLKLENGS >PVH36449 pep chromosome:PHallii_v3.1:6:5985085:5985677:-1 gene:PAHAL_6G075200 transcript:PVH36449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKMLSVDRSPLVRRSPLVRNPQLRLVVPPVVIAHSPRSSKPYPPLLVERSPSPSPLPGSSSVQSKLRRLKSEIWSDMDPIYHGRKLIQARYKHCSEVFSAARNSGNSHIRRHLRLCEPRLRVHNMVERLQSSAFSTEAVALANWKFDHKITRCELVSAT >PAN33883 pep chromosome:PHallii_v3.1:6:4036478:4036684:-1 gene:PAHAL_6G054500 transcript:PAN33883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEAAAAVALRDHLRHGGEKGGARSHGRPKQVEEGKVVMRCGVLRIVKRILPISRESGSGKKYSVKL >PVH37318 pep chromosome:PHallii_v3.1:6:44164178:44164927:1 gene:PAHAL_6G286100 transcript:PVH37318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRPQLAMAAGGSIRPIGHGRKAETSYGDVFRRVCIEKIEQSASIYPEAIAISRRIKPTPARARNAWELAGAGEAAPRDDDPASFALESEAGVSWSSVADRTVLGCPGVDGKRNTVHPGR >PVH36574 pep chromosome:PHallii_v3.1:6:13395007:13395514:-1 gene:PAHAL_6G108200 transcript:PVH36574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIINGTLICSTSILQTKSHNHIFKQAHCSRHSECGFVYILRGHENLVITSVAIHETQDLVTSSRIDQHLYNRHWIFILRSSSVEVSEVHADTPSAILLLYRTSVFICRARCWNGQNSLLRGSRCLTIRLSNLGISV >PAN33184 pep chromosome:PHallii_v3.1:6:124282:124926:-1 gene:PAHAL_6G002200 transcript:PAN33184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASTVSSLLCCPCRCLFCGVLSCLFSVLTCVFCSAGLVALVLYLLFRPHIIRATAVSADLSAFTLTPQTWILRYNLSLAVQLHNPNKRIALHYLDVAAHAYYEGQRLADAGLPDLFQDTGETSPLNPEFAGDAPLVGGVAAAGFRREAAEGATFSVDVKISAHMKLRLWVITVPGPKTQIDCPVRIQRRNATDDGGARPPPEFHPTECRVWF >PAN33532 pep chromosome:PHallii_v3.1:6:1981537:1985235:1 gene:PAHAL_6G027600 transcript:PAN33532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MELQLAPPLGTLRPIASIHHRPKASASASASATAAPSTSSSLSSSEPLKPRPRLPRQSPVPRPRPGPARLPALCAAIERHAAAGRHAEALDAFRLARAAGPFMPLPPTTYHALIAAAAALREPGAAAAVAWHMESSGAETDVYTHNCVLGMYLSCGMLGEARRVFEGMPERNGVSWGIMMGGLVDRGRPRAALVLFREMWAEAGGGEAPPRAVVVAVRASTTSGSLRAGQQLHCCVIKMGPCDDGESDRYLSCALLDMYSKCGRVDLARRVFDAMMPYQRSIVAWNSMLAGYVLHGRCEEALELYHEMRRSGVAMDQCTFSTMLGVFARLGLLEHAKQAHAGLIQRGLLLDIVGNTALVDLYCKWGRMEDARNVFERMPKRNLISWNALVAGYGYHGMGDIAIEMFERLIAEGITPNHVTFLAVLNACRFSGLVDKGKRIFQLMAQNPKTKPRAMHYACVIELFGREGLLDEAYSMIRRAPFTPTANMWGALLTASKVHKNMHLAKLAAEQLLAIEPEKINNYAVLLNLYISSGRQDDACKVVETMKKKGLCISNACSWVTVKKKDHRFFFKDSLHPQCAEIYRKLDALMKEVKEAGYVVEENELLPDVHPDEQNISRAYHSERLAIAFGLISTSPCAPLRITQSHCLCRDCHKIIKFLTKVTKREIVVRDGSRFHHFKLGICSCGDYW >PAN35351 pep chromosome:PHallii_v3.1:6:37394832:37399843:1 gene:PAHAL_6G202300 transcript:PAN35351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQPRRGGLLLFALLLYLCGMGPGAAAAAPFRARDVLPLLPRRLAWQLMGATAHSAVDLLPSFVGAVAPGGAPAAWRGACFAENEAVLSLTPGAGRNGTGGGLSGNTSSSLGGAVLRLKTASPESWTCMDLYVFATPYRIAWDYYMRSNENHTFEIKAWEEAAELEYVKQHGIAVFLMPSGMLGTLLSLIDVVPLFSNTGWGQDANLAFLKKHMGTSFQKRSQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVFLKDKNGTLWVAESGYENKKGDEIISMTPWDEWWGMALKDDSNPQIALLPLHPDVRARFNESAAWDFARSMYGKPYGYHNMIFSWIDTMSDNYPPPLDANLVMAIMSMWTRLQPHYASNMWNEALNKRLGTEKLDLHGIITETERRGLSFNQLLTIPERDDWEYSDGKSTTCVAFILSMYKAAGVFAPFTESIQVTEFTIRDAYMLKIFEDNQTRLPGWCNAAADDGLPFCQILGEYKMDLPEYNTIEPYANMNENCPSAPPTYSRPARC >PAN36239 pep chromosome:PHallii_v3.1:6:42076926:42078351:-1 gene:PAHAL_6G261000 transcript:PAN36239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGAPPPWSRLDGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRADRLRSLCDEINASAAADGPRAVAVELDVAAGGSALEAAVQRAWDAFGRIDVLINNAGIRGAVHSPLDWPEDEWDKLIKTNLTGLWLVAKHVCRRMHEAKLKGSVINISSIAGLNHGHLPGSIGYASSKSAVHSATKIMALELGAYGIRVNAIAPGLFKSEITAPLMQKRWLNTVASRIVPLKEHGTTDPALTSLVRFLIHEASSYVTGNIFVVDSGVTIPGVPIFSSL >PAN34452 pep chromosome:PHallii_v3.1:6:8814575:8816206:-1 gene:PAHAL_6G092900 transcript:PAN34452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDTKVSLLAAPPPVSVYQDDEPLEPRTAQPARAPAATNEGGGMVFSTQCEFPALGRGASRDAFAVLVHARAPVDVARAPVDLVAVLDVSSSMRGRKLALLKQAVGFVVDQLGPDDRLSVVAFSARASRVTRLARMSAAGKAAAKRAGLRMAAQVLAGRRHRNAVASVILLSDGKDRSVRPDIKPADVRGKSNRSYADLVPPSFPTRAGGGGRPAPIHTFGFGASHDAAAMHAVAEATGGTFYFVENHSAIQDSFARCVGGLLSVAVQEARVAVACLHRGVRVQQVKSGCYASHVAADGRAASIDVGELYDDEGRRFLVLVYVPRARTTEEVTRLVKVSCTYRVTATGQPAHVAAPAAVIQRPLELTCAPAPSMDVKRERVRVAATEDIAAARVKADGGEHAGAARILDSRLRAVERSAPAAAGDDPTCEALKEELRDLSARVGDPREYKQTGRACLLAGMSSHAQQRASGVELKSTATSASKMTRAYLTPKMEEISRESRKRGNDQQTGGGSRQVKRITEEPSKNF >PVH37021 pep chromosome:PHallii_v3.1:6:39177557:39180460:-1 gene:PAHAL_6G222600 transcript:PVH37021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAFSDFGPLTERRRVEKQRQQRRRVMFAAAGASVVLILIVMGGAAVAYNASVQDEESDDSSSSSSSSPSSPSGGGGSGSSLISVSKSVKVVCAQTDHRDACEKSLSKAANASASSPKDIVRASVAVIGDAVGKAFDRSALATSDNPRVKAAVADCKEIYQDAKADLARTLRGIDAGGMDEVTKRGYELRVWLSAVIAHMETCIDGFPDGDLKKNMTATMESGKELTSNALAIIEKASSFLAALHITAASHRRLFSIREGEDVEKQPKVNHSGTFLGERGDDSPAPDSYRRLFSIREGEDVEKQPKVNHSGTFLGERGDSPAPDSYRRRLFSIREDEDVEKQPKVNHSGTFLGERGDSPAPDSYRRLFSIREGEDVEKQPKVNHSGTFLGERDDSPAPDSYRRRLLGVEEDTPPWVNGPERRLLKGNNFQSRLTPNVVVAKDGSGKFKTINEALNAMPAKYTGRYLIYVKQGVYEEYVTITRAMENVTMYGDGAMKTIITGSRNFADGLTTYKTSTFNAQGDGFIGIALGFRNTAGAAKHQAVALLVQSDRSIFLNCRMDAYQDTLYAHSKAQFYRNCVISGTIDFVFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADGRESTGFVFQYCRFTAEAALRDASRPAIRSYLARPWREFSRTLIMESEIPAFIDKAGYLPWNGDFGLKTLWYAEYANRGPGADTAGRVTWPGYKKVISKDEAAKFTVQSFLHAEPWLKPAGAPVKYGFWA >PAN36540 pep chromosome:PHallii_v3.1:6:44493169:44497251:1 gene:PAHAL_6G291100 transcript:PAN36540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPGAADPGAARHHLSPQLGAQPPVPRSPTPLDLASAAASGYRRLSPSLRPPAHPQARLPSPYAQIPAPGGGVHHARSLSQPLFFSLDSLPPPPYADLGAAAHAVPPSPPSSSSDPQQQPPPPLGLPPRKGAHRRSHSDIPFGGFAQLSPPLPPPAPVKREVTVAAEGCRSDGGDDAALYDLVNAYMDLDGLDPLNSSEDRHDDRDSRASGTRAGSAAESSENEAESQSTSVDRKDGGKSRHCRSLSMDSFMGKLNFAAGDESPKLPLPSPGGGLTRSGSGSLEGGAVALFDMEFANGEFTESEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSAGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEITDGRMPKSLQQQMNSQMLQLQQLQIQQQQQQQQQQAPQSQQGQRQQQQQQPQKSA >PAN36400 pep chromosome:PHallii_v3.1:6:43343880:43344281:-1 gene:PAHAL_6G279100 transcript:PAN36400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIRAMRALLERCLSSKKAGQAREPPPEGCLAVYVGAARERFVVRAECVNHRLFRALLEEAEEARGPYCYAPDGPLELPCDAAAFARAVEAIEREMAEERTDVWCGGARAGHAAAHRPPAVIGAGGRQVTVG >PAN33526 pep chromosome:PHallii_v3.1:6:1964093:1965001:1 gene:PAHAL_6G027200 transcript:PAN33526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARALLAVAVAVAAVLGTARGASYTVGAPAGSWDLRTNYTRWASGVGLFRAGDRLVFRYPRAAHNVVEVSRADYDACSGSAPLATFATGDDAVPLPAGGVTRYFICGVPGHCDGGMKLAVRVEAADATAGAPNAAAAPSPAPMATPGARAPAAGGPAAAPPSSSAAPAAVQPLFGFSLAVVVAGLMAFF >PAN34401 pep chromosome:PHallii_v3.1:6:8436373:8438174:1 gene:PAHAL_6G090300 transcript:PAN34401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAAATTRQQPGFFTFLKHGAVVPARNAALFAPLLALTAALAAALLLGNALAVQPLAAAVLLDADAISRADPETAAYRNLVRALRHDLRSLLLAAGGCLLGAVVAGSAIKITTVFAAVSAFPSSSSSSSGGATVSAAAGAAKGNLWGPVLTIAFGYALELACAASIVALAVLAVALLDYSLLFLFLDALLVLLASLFLVYLTVVCAVAVVVSAAEPGRRGAGAVSRAWRLMRGRGAQAALYVVATFALGAAVSPVYTLALRWWPRSAAGGAAAGAAYVLLLGAVEVFSTAAVTAYYFECRESTEEEEEIRAGIRYTKLPNGDEANI >PVH36979 pep chromosome:PHallii_v3.1:6:38349559:38349894:1 gene:PAHAL_6G213100 transcript:PVH36979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIPELSGALPLLSSVWRIPRRCFLGRNVAIAVSVEPRIKKRRRGRPSCDPGAHRPERFFSPFAAARRRLWPSQLLTRLPSAPAPTSARRARERSLQKHHPRPAEESARR >PAN36486 pep chromosome:PHallii_v3.1:6:44233236:44237507:1 gene:PAHAL_6G287400 transcript:PAN36486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDAPGSTDENKTPKPEGSSNERQGVPPASFSNPFDFASMQSLLNDPSIKEMADQIAKDPAFNQMAEQLQKGAQSTGEQGMPPLDPQQYMETMQKVMENPQFMTMAERLGNALMQDPAMSSMLETFSSPSHKEQLEERMSRIKEDPALKSILDELENGGPAAMMKYWNDPDTLQKIGQAMGGGFPFGAGSSAEPSGAEETEEGGDEDESIVHHTASVGDDEGLKKALDGGADKDEEDSEGRRALHFACGYGELKCAQVLLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIDVAKLNNQDEVLKLLEKDAFL >PVH36998 pep chromosome:PHallii_v3.1:6:38641956:38644738:-1 gene:PAHAL_6G217000 transcript:PVH36998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDAVSESAAAAAAVQQPAGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPDDPKNAHLKAMDGAAERLILCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVISAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDFCKKTRNWYCYGKAVAEQAAWEAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHILKYLDGSARTFTNAVQAYVDVRDVAAAHVRVFESPAASGRHLCAERVLHREDVVRILAKLFPEYPVPTRYVRSFHSTATTTKLTFT >PVH36997 pep chromosome:PHallii_v3.1:6:38641956:38644738:-1 gene:PAHAL_6G217000 transcript:PVH36997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDAVSESAAAAAAVQQPAGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPDDPKNAHLKAMDGAAERLILCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVISAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDFCKKTRNWYCYGKAVAEQAAWEAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHILKYLDGSARTFTNAVQAYVDVRDVAAAHVRVFESPAASGRHLCAERVLHREDVVRILAKLFPEYPVPTRYVRSFHSTATTTKLTFT >PAN35552 pep chromosome:PHallii_v3.1:6:38639160:38644995:-1 gene:PAHAL_6G217000 transcript:PAN35552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDAVSESAAAAAAVQQPAGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPDDPKNAHLKAMDGAAERLILCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVISAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDFCKKTRNWYCYGKAVAEQAAWEAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHILKYLDGSARTFTNAVQAYVDVRDVAAAHVRVFESPAASGRHLCAERVLHREDVVRILAKLFPEYPVPTRCSDEVNPRKQPYKFSNQKLRDLGLEFRPVSQSLYDTVKSLQEKGHLPVLAEQTPEAEEEAAPAAEVQQGGIAIRA >PAN35227 pep chromosome:PHallii_v3.1:6:36344542:36348801:1 gene:PAHAL_6G191500 transcript:PAN35227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFREASNGEQQEQQQLVCVTGSGSFTGSWVVKELLRRGYRVRGTARDPEDSKNAHLLALEGADERLSLSRADVLDPDSLRAAFSGCRGVFHVASPVSNDPELVPVAVDGTRNVITAAADEGVRRVVLTSSYGAVHMDPNRSPDAVLDETCWSDYDFCRRTDNWYCCAKMMAEIAATEAAAKLGLELAVVLPCNTVGPMLQRTLNFSNHHVGRYLTGTRRTYPNAVAAYVDVRDVARAHVLAYEHEGPGAGGRYLCVGAVLHRARLVGMLRELFPQYPVTAKCEDDGKPMARPYGFSNQRLKDLGLEFTPIEKSLYEAVIGMQKMGHLPIIAKQPRANMAWRASGLAAHGLRTPSWANLAADQLGC >PVH37204 pep chromosome:PHallii_v3.1:6:42786411:42787228:1 gene:PAHAL_6G270200 transcript:PVH37204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRKRCLKMKIINSFETSKNIPRKKMVPRNRNVPSIIEIVMRPKKNAPFKQEIILEK >PVH36289 pep chromosome:PHallii_v3.1:6:2926261:2928470:-1 gene:PAHAL_6G040600 transcript:PVH36289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVHVLPHLGGKDGLGYYACTVLTADDRDEKTIDPPRSSSYFRLVLVYKYTRCGFTAFRSYSSEEGSWSEEAKVTNARLGNIQMGLTDDSLTHNGVVGYGGRLVYWLSKNVVFVLCLATLQSTVVSMPRSGNGQTFDMLNTLMGLSPNGMLCAIQLDRMSLRPANRRVPIRVTTCTDHSWWDTEELIQVEQSLPEDVGNVRLRWFCEKSGAVFFSTISGSNPLRSEMYVLNLETRVVDKLVSDDRNSNPWAYVHGYEMDQTAYLASLAEPEGMEDM >PVH37093 pep chromosome:PHallii_v3.1:6:40956307:40957953:-1 gene:PAHAL_6G244800 transcript:PVH37093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDGEDIVTIALTNEEPPLPPPSMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSIIKREKGKVKILESTHAKLELGHSDLLSKYNDLLKKHNESLVIAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHKNVNGSTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNAHDYGKRGLGSFPEPNMATTPSPEIKTSFIKKVGSYCQHCQVTGHHTRECTLPSRPLPKLSKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKSLVTHVQGPKLVWVPKTQK >PAN33176 pep chromosome:PHallii_v3.1:6:90371:91679:1 gene:PAHAL_6G001300 transcript:PAN33176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYCSHDGVVPCAVDGDRGEHDGDAEEEHDERCEVDEHRLGAVLGQAQGRALQEGELLEEAELRGADGEREDEEAADRVPWHGPAPGGRRGARVEEGEEARGPLERVEGDGGDADPGVERVEVGDAALDVELEDRVDAEGAGGEARGVQRQVRLLPGVAGEREGAVAQDGLAAEDDGAEEHEHGVDVEDELLVVEGAEGQAAAEVDAPEQHQHGGGHGQEVRHQRARVRLLGRRRRGPLHLHVHVHVRVDGAGREARKEAVRLGSWASGLQEIMESS >PAN36407 pep chromosome:PHallii_v3.1:6:43374043:43378961:1 gene:PAHAL_6G279500 transcript:PAN36407 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDG1 [Source:Projected from Arabidopsis thaliana (AT3G26940) UniProtKB/TrEMBL;Acc:A0A178VIM3] MKRVRRFFNLKDLGRGKEKDTAAGTAVSTGSDDEDTRFTLNVETFSFKELSAATDNFNDYLLIGSGGSAKVYEGRLPGIGKVAVKRLSFGGVSRHTAAHLQRGFLREVFVLNSINHPNIVRLIGCCSEESERLLVYEYIYWGSMRKCLSELDWQKRMNTALGAAKGLERLHLQVNPSIIHRDIKSDNILLCMDFEPKVSDFGSAKIAPAGGAGSGQVGTFGYMAPEVAFCKSISIRSDIYSFGVVLLELITGRKAIDSKRQDEEQHLASWARSKLQGQNNIEELLDPRLPGCAPKFDDLNKALAVAWMCTMTDDVDRPEIGEIVQDLRCLADSFSDNKGSLSSAA >PAN36405 pep chromosome:PHallii_v3.1:6:43374043:43378961:1 gene:PAHAL_6G279500 transcript:PAN36405 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDG1 [Source:Projected from Arabidopsis thaliana (AT3G26940) UniProtKB/TrEMBL;Acc:A0A178VIM3] MKRVRRFFNLKDLGRGKEKDTAAGTAVSTGSDDEDTRFTLNVETFSFKELSAATDNFNDYLLIGSGGSAKVYEGRLPGIGKVAVKRLSFGGVSRHTAAHLQRGFLREVFVLNSINHPNIVRLIGCCSEESERLLVYEYIYWGSMRKCLSELDWQKRMNTALGAAKGLERLHLQVNPSIIHRDIKSDNILLCMDFEPKVSDFGSAKIAPAGGAGSGQVGTFGYMAPEVAFCKSISIRSDIYSFGVVLLELITGRKAIDSKRQDEEQHLASWKESFGSDYVI >PAN36406 pep chromosome:PHallii_v3.1:6:43374325:43377580:1 gene:PAHAL_6G279500 transcript:PAN36406 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDG1 [Source:Projected from Arabidopsis thaliana (AT3G26940) UniProtKB/TrEMBL;Acc:A0A178VIM3] MKRVRRFFNLKDLGRGKEKDTAAGTAVSTGSDDEDTRFTLNVETFSFKELSAATDNFNDYLLIGSGGSAKVYEGRLPGIGKVNMLHIIVAVKRLSFGGVSRHTAAHLQRGFLREVFVLNSINHPNIVRLIGCCSEESERLLVYEYIYWGSMRKCLSELDWQKRMNTALGAAKGLERLHLQVNPSIIHRDIKSDNILLCMDFEPKVSDFGSAKIAPAGGAGSGQVGTFGYMAPEVAFCKSISIRSDIYSFGVVLLELITGRKAIDSKRQDEEQHLASWKESFGSDYVI >PAN36408 pep chromosome:PHallii_v3.1:6:43374043:43378897:1 gene:PAHAL_6G279500 transcript:PAN36408 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDG1 [Source:Projected from Arabidopsis thaliana (AT3G26940) UniProtKB/TrEMBL;Acc:A0A178VIM3] MKRVRRFFNLKDLGRGKEKDTAAGTAVSTGSDDEDTRFTLNVETFSFKELSAATDNFNDYLLIGSGGSAKVYEGRLPGIGKVAVKRLSFGGVSRHTAAHLQRGFLREVFVLNSINHPNIVRLIGCCSEESERLLVYEYIYWGSMRKCLSELDWQKRMNTALGAAKGLERLHLQVNPSIIHRDIKSDNILLCMDFEPKVSDFGSAKIAPAGGAGSGQVGTFGYMAPEVAFCKSISIRSDIYSFGVVLLELITGRKAIDSKRQDEEQHLASWKESFGSDYVI >PAN35263 pep chromosome:PHallii_v3.1:6:36701718:36704282:1 gene:PAHAL_6G195600 transcript:PAN35263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >PAN35802 pep chromosome:PHallii_v3.1:6:40314772:40316262:1 gene:PAHAL_6G235500 transcript:PAN35802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRIFSAMAGNQAYMIRFDGHFDDPSPSSAGAEPPEVPPPPFVGRAISPEQEHQVIVAALLHVVSGYTTPPPEIFPAASAACRVCGMERCLGCEFFGGEGSAVIALDDAKSNVAAAPGAAAAAAGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAIEFRGPRAKLNFSFPEQAAGHGEASNGDASAAAKSSDNTLSPSLCSGDAEEQGQPAEWPRGGQETGEQLWEGLQGLMTLDETELWFPPTSNAWN >PVH36254 pep chromosome:PHallii_v3.1:6:2269344:2275354:-1 gene:PAHAL_6G030800 transcript:PVH36254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGADDAAVRAEESVSGGVDVWSDAVSSHAPDHLLVMVHGILGSTADWQYGANEFVKQLPDDVIVHCSEKNASMLTLDGVDVMGERLADEVLDVISRRPELTKISFLAHSVGGLAARYAIAKLYRHPNAGSDENTKGTICGLEAINFITVATPHLGSRGNKQVPLLFGSLAMEKVACRVVHWIFRRTGKHLFLTDDDEGQPPLLQRMVEDHDDLYFISALRAFKRRVVYANADCDHIVGWRTSSIRRNTELPELAVSSSEKYPHIVHEEYSEGTDDEKCQDSMTDCNLDILEEKMVTGLRRVSWEKVDVSFHSSITSFAAHSIIQVKYAFMNDGADVIQHIIDHFQL >PAN36527 pep chromosome:PHallii_v3.1:6:44393613:44398422:1 gene:PAHAL_6G290100 transcript:PAN36527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDETPEPSATASGSSSAAAAAAAAAPAARLNAAAPEFTPRSTAQHHGSNPHRRGSHHYQQHHHQPHHHHHHLPHQHYQPRHQQHHQGGEDEGSAAAVGEDKEGPAGAGQSQQPRLNDADARKVVKQVEFYFSDINLATTEHLMKFIANDPDGFVPISVVASFRKIREIVSDRSLLVAALRTSSELVVSEDGKKVKRLRPFNTEEVQSRIVVAENLPDQKYQTLMKIFSDVGSVKSIRTCYPQDGAAAGASKTSRIEMLFANKLHAFVEYGTVEDAEKAVAFSGEGKWRDGGIRVRSLLACLKHGLGQGRKGGDEYAVDEDGPDTTGHPHDYGTDDTNQISEAHLDHQAEDGYYDKGMRQVRGRGRGGRGRGRGQYYGHSRDAHHPIGTPPSEHPAIPKPPPGPRMPDGTRGFTMGRGKPLNPITDAAPITDAA >PAN33443 pep chromosome:PHallii_v3.1:6:1642394:1643643:-1 gene:PAHAL_6G021700 transcript:PAN33443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACFGAVPTGASYRSSSRRPGGGGRRPWRWWRAKCAGIAAAVGSRIRRSIRGIDGRRHRWRATPSSSSVHAQSGRWCHHRRSFAPVYVDELYSHHHQPAKAALRVVRAEESPSASDDAKLTAAVAAPPPVAHAAVRAMGSKAADASSVPARAGAAAGNGARASSGKQQAAAAGVVGGAMRNVLLRSPGSMGGGVLGVVKGMGEVDLRAELFIRKFKEDMRLQSQRSAEEFQAMLARGL >PVH36817 pep chromosome:PHallii_v3.1:6:33326469:33327398:1 gene:PAHAL_6G174900 transcript:PVH36817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQLILPAIPIVPEEEEEDPEEIEGVSEIDSEHEDPVLSPHHSLSGSQSSVGNFDDF >PVH36679 pep chromosome:PHallii_v3.1:6:23938155:23939534:1 gene:PAHAL_6G141000 transcript:PVH36679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQVSLLLSEEIGCSSVFAKPSQLQPHSAPPHNGVRPLRVAAKTGSPALPLRHRPRRPYPHLRTLHGSIADAVAAGARALFSKFRHGARAPPLIAGEPPHQSESALRARSLAALFVRLRPVLGPRRPGAVRRRRGHPWHQGSLRPPGWPTAVCGVAALLRMRNQPS >PVH36448 pep chromosome:PHallii_v3.1:6:5977503:5982033:1 gene:PAHAL_6G075100 transcript:PVH36448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVESSPAAGASVDWRGRPCRPRRHGGMRAAVFVLVFQAAQTMALAAVGSNLITYVFGELHFPLSQAANVVTNFVGTVFILSPLGGFLSDSYAGCFWTLLAFGAVELALKSAPCNMLTMVGSCERASGFKATIFFVALYLVALGSGCVMPNMTAYGADQFAGAADKDAKRLPTYFNLSYFGFCAGELVALTAMVWAQTRYGMDVGFGLAAAALGAGLISLVSGVVFYRNKPPRGSIFTPIARVFVAAFTKRKQICPSGSSNPANGGAGDPAATVDDNFRHANKFRFLDKACIRIAPEPDTEPESPWRLCTAAEVQQAKTLLAVLPIVACTIVFNTVLAQLQTFSVQQGSAMDTRLAPGSSSSFAIPPASLQAIPYAMLLALVPAYELLLVPLLRRLTGTRSGITPLQRIGVGLCVVALSMAAAALVERRRRDAAASGGGRLSVFWLVPQFLVFGVSELFTNVGLMEFFYKQAAAGTMQAFFMALFYCSFSFGFFLSSVLVSLVNRATARGGRRGWLGDNDLDRDRLDLFYWVLAALSVLNFFCYLLCARWYNSGGAGGSGEAASGEAASEDDDDGKGLI >PAN34210 pep chromosome:PHallii_v3.1:6:5977503:5982033:1 gene:PAHAL_6G075100 transcript:PAN34210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVESSPAAGASVDWRGRPCRPRRHGGMRAAVFVLVFQAAQTMALAAVGSNLITYVFGELHFPLSQAANVVTNFVGTVFILSPLGGFLSDSYAGCFWTLLAFGAVELAGLILLSVQAHLPQLKSAPCNMLTMVGSCERASGFKATIFFVALYLVALGSGCVMPNMTAYGADQFAGAADKDAKRLPTYFNLSYFGFCAGELVALTAMVWAQTRYGMDVGFGLAAAALGAGLISLVSGVVFYRNKPPRGSIFTPIARVFVAAFTKRKQICPSGSSNPANGGAGDPAATVDDNFRHANKFRFLDKACIRIAPEPDTEPESPWRLCTAAEVQQAKTLLAVLPIVACTIVFNTVLAQLQTFSVQQGSAMDTRLAPGSSSSFAIPPASLQAIPYAMLLALVPAYELLLVPLLRRLTGTRSGITPLQRIGVGLCVVALSMAAAALVERRRRDAAASGGGRLSVFWLVPQFLVFGVSELFTNVGLMEFFYKQAAAGTMQAFFMALFYCSFSFGFFLSSVLVSLVNRATARGGRRGWLGDNDLDRDRLDLFYWVLAALSVLNFFCYLLCARWYNSGGAGGSGEAASGEAASEDDDDGKGLI >PAN34560 pep chromosome:PHallii_v3.1:6:15118365:15119481:-1 gene:PAHAL_6G113800 transcript:PAN34560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKVLLLPILGCAFFCSAALAARDLSDESAMVARHEQWMAQYSRVYKDAAEKARRFEVFKANVKFIESFNAAGNRKFWLGVNQFADLTNDEFRATKTNKGFRPSPVNVPTGFRYENVSIDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYTAADGKCKSGSNSAATIKGYEDVPANDEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKKGMCGLAMEPSYPTV >PVH36382 pep chromosome:PHallii_v3.1:6:4799422:4800061:1 gene:PAHAL_6G063700 transcript:PVH36382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN35343 pep chromosome:PHallii_v3.1:6:37327641:37329929:-1 gene:PAHAL_6G201100 transcript:PAN35343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADRARRWKLLPFRSLSLPPPAAASKAKNRPPDVPVPPSPARKEAQEEVPAEFLCPILGAPMTDPVILPSGRTYERACVRACAELGLSLAPDGVVAAEGSTPGGGVAVAIPNDALRAAVRTWCARTGRAAPVAPPAEEAREAVLRAVPVARAPARSASNLSCSSEGASAASTSSSSTSGRSSREMAAAEVEVVRGGKAAPKEKEKEREKEKEEEPARVADAEEEAVAKAVEAGDEREVEAAMAALRRATREGAERRRALCVPRLLAALRRVLLSSRHTAPARADAAAALANLSLEPENRVLIVRAGAVPALVDAIASAAAPPEAREHAAGALFGLALHEGNRAAIGVLGAVPPLLAVLAGRDHAPRARRDAGMALYHLSLAAVNQSKLARAPGASRSLLSVASDDAEPAPIRRLALMVVCNVAACAEGRAALMDAGAVATASGILSDDGSRNSELQEWCVAALYAMSRGSPRFRGLARAAGADRPLMLIAEQASPGVHKEMAQTALRTMLGLADNSDDDQISNSRNNEGNHGGGKQPQRRRVASWSAPPAATPTSSHQWRSVCID >PVH36528 pep chromosome:PHallii_v3.1:6:8863991:8865036:1 gene:PAHAL_6G093300 transcript:PVH36528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASGGDNSKTIIWHYQDSQWRMLTVSIYWYLQRTCAMIQRLVARAMNRRPRKRSLWSLRRFILCMVVTRYGPSHGVHVHVMEHSTCWPQVVTTARQLFGTTRIHSGACSRNCPMEEKDLSNHVLGAWTGNNLEQEV >PAN33330 pep chromosome:PHallii_v3.1:6:1085373:1089446:-1 gene:PAHAL_6G012400 transcript:PAN33330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIEREEWALTPLAYPLLSAAGLAAVLLLPYFSARAAAHAAGPSPSPFDAGAGPFLRFRRAFLLLFALASVVEGIQSVFGEDEFVRCGLGREQMAARLAATAAAALFPGAISGVISDKIGPRSACIFYWVLQLAVGALKSFSALRCGWINNFILALASSVFSFCFETWLVVEHEKQDQKQDLLFDTFWLMTFFESVSLIGSQEITNVLVSNGDNGFLLPYAFAATLSIVGILYIRNASSTTQHASAIGSYQKSFFAHVLRDKRVLILVLAQASIHFAVSAFWFLWAPTIVADGRYAQLSVIYPCFLASRMLGSAGFPWFYGATAPFQNEDSLTIAYIGAGLALSIVAYDYQEIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLSLANAAIFVFLLQDAHRRNIENSTILGLASCGLLGAGGCIHMLRRWRKHTRQNARSL >PVH36783 pep chromosome:PHallii_v3.1:6:31933285:31934495:1 gene:PAHAL_6G168900 transcript:PVH36783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAAPSSWEELPQDLLGLVLQNLPSLADRVRVRVRAFALRDGGLVDLHGAPVRCAPILREGVFGYLAVDNLAFLGHDDGACSLMNPLSGMTLHLPKLASAKPDAISISMRRKRTLVSAKVSPSVSQEQRSERFQGSQRICDIAFFHGKLYALTTHEGLRVIELDDGHLSEAKSLPGFLQCIPDDPKQQEVYNCEYNHRYLVLRYIAESDGRLLMVRRWMSIPPDAYLGEHDRTVRFEVFQADLAIAPGRWLKVDSSGGHAIFLDTECSKSVLASQGAGGVQEDSIYFMHRVFDNTSKEFFTACMDPLADSGVYNMTDGKSWHYCRKP >PAN34710 pep chromosome:PHallii_v3.1:6:31634256:31637978:1 gene:PAHAL_6G167900 transcript:PAN34710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRPRGEDDDDDEGIGADDHLHRRGYRRIRQPAVAFTNIVRRAVAQETIQQIVHNLEPLIRRVVREEIQNIFSQNDHHIPLRSLSPRIQEAPAFPPLKLVFAKKLNLPIFTNNKIVDFEHNAIEIHLVNARTNCIIPPPDTHLGSSSVRLEVLVLDGDFCREDWDRWTVDQFNDAIVKAREGKRPLLVGSINVPMNNHGVAVIDDISFTDNSSWIRCRRFRIGVRIMPESHFGARIQEAISESFTVKDHRGELYKKHYPPFLTDNIWRLEKIGKDGPIDKRLESKGIRNVQDFLKLNTIDPDKLRALVGMSDKQWSATLNHAKTCEMGQKCYVFKTLGCDITFNPIGEILAARFGDQTFPLEELHPQQLFHVKQLATQAYQLWDQLEEVTKESNSGRKPSDSQESMISSGAQDAKYLDYTGTATSSAAAAMSTNSSSTSDSAPAAPANDATMFWIPSIPPDDQFGWQNSSGCWDQVD >PAN34709 pep chromosome:PHallii_v3.1:6:31634256:31637978:1 gene:PAHAL_6G167900 transcript:PAN34709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRPRGEDDDDDEGIGADDHLHRRGYRRIRQPAVAFTNIVRRAVAQETIQQIVHNLEPLIRRVVREEIQNIFSQNDHHIPLRCRRFRIGVRIMPESHFGARIQEAISESFTVKDHRGELYKKHYPPFLTDNIWRLEKIGKDGPIDKRLESKGIRNVQDFLKLNTIDPDKLRALVGMSDKQWSATLNHAKTCEMGQKCYVFKTLGCDITFNPIGEILAARFGDQTFPLEELHPQQLFHVKQLATQAYQLWDQLEEVTKESNSGRKPSDSQESMISSGAQDAKYLDYTGTATSSAAAAMSTNSSSTSDSAPAAPANDATMFWIPSIPPDDQFGWQNSSGCWDQVD >PAN36152 pep chromosome:PHallii_v3.1:6:42437649:42445193:1 gene:PAHAL_6G267100 transcript:PAN36152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGTSSGGAGGEGGGGGTKVNQELWYACAGPLVALPPAGSLVVYFPQGHSEQVAASMRKDADAKIPSYPNLPSKLICILSSVTMHADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPHLDFSMQPPAQELQARDLHDSIWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDARQQLLLGIRRANRQPVNLSSSVLSSDSMHIGILAAAAHAAANNSQFTVFYNPRASPSEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDMDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWEIEPVIAPFFIYPSPLFTAKRPRQPGITDDETSDMENHFKRTMPWFGEEIFKKDLNTQNNIVPGLSLVQWMQQNPSLTSTVGQPELLNSLAGKPVQTLAAADLSRQISFQPQFLQQNNNQFNTSLLPPQNQQTEQLAKVIATPNQSGSVIVSQKVVQDCNPEQKQHATTQPVQGNQPMKSDAQPQFVVQNQLLQPQGILQAQPQQPQVIVQAQIQQQQPLVQNHTILQSGIQQIHLLQQQQPHLQQQPQQVQQSVQEHQQIKIQPVQVPNDMNLIAQLSDHQMKIQLLKALQPQQPLIMEQQKMILDLQHQTVNSNSQSTAQQCAQVATQVGGMHNSNNIQYPTQQKTQPHQPIQDFPGNAVSVANPENSTSMGARSLHVPGGLQSLKTEDVPSSSTSPPTNNNPVLLQSVPCSSKNQSLLAVAKTPQSSAIVGPTLEQGMKLYESTQQMVMIPKMAEQKPGTRQDYVNNTQMDYLDTSSSATSVCLSQADGSLHQNFPPSSFNQHQLLRQTVPDSEFEVTDPGNNFLFGANIDGHLESLNADALLANNFETEKYMDQIPGRGISNYISSKDSQQELSSSMISHSFGVAEIAFNSIDSSINDTPFLNRNSRPPPPAHQRIRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLSDQNRGGWKLVYEDHEKDVLLVGDDPWEDFVNCVRCIRILSPQEEMQMRLASDFGDSFLPKQACSSSDGVHPWRVSGD >PAN34324 pep chromosome:PHallii_v3.1:6:7162586:7165475:1 gene:PAHAL_6G084700 transcript:PAN34324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAMGRRTASGLLVTKGGSILLFREESPRHKASVCCTRLGCSSKLFPNKDMKMHKTSKETSGPQRSQVLRKSNRMSPQGSISYDGSTGRDAASTFGEADNKPRRRENAGRDLLARLKERVNASRKRSLSGGSSPCLSPSNTSNSGSLSSRSISRSICRPASRMRKDGGRVAEAVRMHRARDSSGSTREDVRRNSNQDPSGRCLSRSLFRHRTGIQRGPVSSLEDSLDDSNEYWRFDMDESEEVEDYYVFNDRHRGMRMDIDDMSYEELLALGERIGTVSTGLSDGALSECLKRSIYVPTTSTSHEDGDLKCIICQEEYFSGVEVAKMACEHYYHVTCIQQWLGQKNWCPICKSVASAVSS >PAN33773 pep chromosome:PHallii_v3.1:6:3170062:3173251:1 gene:PAHAL_6G043600 transcript:PAN33773 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MDPAAPGRFDILQKSFKSASKCLLTACSREVVNRAFPSFTDAERERLYRMLTRVMKAMHANIEEEFDEVCQERRVAAALDKIDDFDEEQNLDVLASEKNSIEEIEEKVSRAKKDEIEHLTGLLKKVEESNNAMRAQIELLKKGEDSTASRDAFNKLTQLNSALGA >PAN34218 pep chromosome:PHallii_v3.1:6:6018518:6019883:-1 gene:PAHAL_6G075800 transcript:PAN34218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSSSSSAPRLMPTPTTVSTCSPQTEHGKHVFEIFGYSQHRNMGLEQVITSGTFSIGGHDWALLFYPDGYSNREEICIFLKHLSHAEVRASCELRMVDQTTGQPSFFRKTGMTWFNPCCYIQCSGLRMNRREFEASVYLRNDHLTIECIVTVRRSRVSTTQFVNKIEAPPSSGITEQLAKLLEAEENADVTFSVGGETIGAHKILLAVRSPVFRAELFGPMKESKVTIEDMQPAVFRALLHFIYTDSLPDVDQNDAGESNSDLIWHLLVAADRYAVDRLKSLCESILCKNLDVETLPTTLALAYQHNCDKLKDICLEFISSSSVMDALMATDGYKDLKTTCPSALIDMFEKTLRFHKK >PVH37319 pep chromosome:PHallii_v3.1:6:44192720:44193089:-1 gene:PAHAL_6G286900 transcript:PVH37319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFIPWHQTWAVLIHIFAYYEKQTKFIGKLLGYTDSPRNQCSSAISGFSTFYNLTDQFFRGFQVQLWPP >PAN33588 pep chromosome:PHallii_v3.1:6:2359939:2364362:1 gene:PAHAL_6G031600 transcript:PAN33588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQFSGALVPQLGEKPRLPPASPAVARAAYAADARFLAPKNGTRGRGKHLVSSSYSLHSQTSSERLNHVPSSRFRQKRGSRFIVRAEADFYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRAIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGGRAARNRPMQGDDETYNLVLNFKEAVFGVEKEIEITRLEGCTTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNSGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELADLNKAQTANSRR >PAN34108 pep chromosome:PHallii_v3.1:6:5316087:5321370:-1 gene:PAHAL_6G069400 transcript:PAN34108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSHLRRGSPSPREQHHRKRRRPADDDRGEEPRFPAAEGSPREPVAAATQVTLRGFDDGLSARVLADCLEAAAGTVLRCRVKTSVTPPGSYPDFQLRLPHAAAAAASRAATSPHDGAVPAHAFVHFARPGAADRAARSGLQLCGGGGRMHPSDVINSSLRAARRRRRGDTKPMLFPDSRVEAGDLVAPDTFLAAWRGADDPACISALDFVVDPSDARCRLLFARDAAFVSLAGAAVLLCCDVKLEFPVADIVQALAFMDDDSLLLQLSAVPLLYYRTAGDDVHGPVPFDLIDDDDDPWIRTTDVTPSGAIGRCRAYRVSFRTRFWPTMKAALEYMKGQGVLVEILDTRWRGLTVRDEPEFGMPMQDMFFSVQRAEGLSFPVLYLVNAVVHSGVVNQHQLTAGFFGLLRRECDAVNVAALTKLLGGKFQEFDVCPRLKNVQDWAARKPKLLRLHSSRKDGADYNVEMRRLVITPTRAYCMPPQLERSNRVIRHYHHVADRFLRVTFMDEGKQRLNTSAMNLYAAPIVKDMMPNLFQQKTTVYRRVQTILTKGFHMCGRKYSFLAFSPNQLRSRSAWFFAEDGITTIASIREWMGQFPSNNIAKHAARMGQCFTSTYPTVTIQRDEMEFLQDVNHNEYNFSDGIGKITPKLALEVAKKLPLTDNYVPSAFQIRFAGFKGVVAVWPGENEETRCLSLRPSMKKFDSDHYVFEVVSWTKLQPAFLNRQIITLLSTLGVPDSIFRQMQSEMLHNLDRILKHSDVAYEVVRTCCPEHGSTAGLMLSAGFAPANEPHLRAMLLAIRSSLMQGLLEKERIFVPKGRWLVGCLDEFGILEHGQCFIRASAPSVNKRFVRHSSIFSSASKRAETIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGVRPHPNEASGSDLDGDIFFVTWDERLVPPGKRSRSPMDYSPAEAIQLPREVLPHDIIDFYLENMVSDNLGRISNAHVVHADRSKYGAMDEKCIQLAELAAIAVDSLKTGKIVTMPTYLRPTEYPDFMRKEDAISYKSEKILGELYRSIKAAYGYDLVSHGTNTLDDLVYDMDLEVPGASDFLEDAWQCKCSYEARLNALLNQYGMRTEAELVTGEAWSLTGDNKKQHYETQERLNYSYFQLHREYRSIFEGNAEISVEEKNLAYETKASAWY >PAN36602 pep chromosome:PHallii_v3.1:6:44826214:44827728:-1 gene:PAHAL_6G296000 transcript:PAN36602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTADEDDLIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLIGRGIDPVTHRPLADAASNVTISFQPDAHPPPPQQQLSEAAEAPQQQLKPPRCPDLNLDLCISPPCHQEEDQELKPVVVKREVLQAGHGGGLCFGCSLGLQKGAAGCSCSNSHFLGLRVGMLDFRGLEMK >PAN33888 pep chromosome:PHallii_v3.1:6:4060960:4063198:1 gene:PAHAL_6G055000 transcript:PAN33888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVVVNAAGGKEYPGHMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLQEFFPSVYAKAEATKDTNQYCKFNSQLLTLFTSSLYLAALATSFVAASVTRVFGRKWSMFCGGLTFLAGSALNGAATDVMMLILGRILLGIGVGFANQSVPLYLSEMAPAKLRGMLNIGFQLMTTIGILAANLINYATVGIEGGWGWRIGLGLAGVPALVITVGALALPDTPNSLIARGYHEDAKAVLVRIRGTDDVHDEFEDMVAASEEASAIEHPWRNILEPKYRPQLTVAVLIPFFQQLTGINVIMFYAPVLFLTIGFGDDASLMSAVITGLVNMFATVVSIVTVDRLGRRALFLQGGTQMFISQIVVGTLIALQFGTSGVGAMSRPNAMLLVLFICLYVAGFAWSWGPLGWLVPSEVFSLEIRSAGQSIAVCVNMTLTFIIGQAFLSMLCTLKFGLFYFFAGWMFVMTAFVALFLPETKGVAIEEMNLVWSQHWFWGRYVNVDRDGSRRGGSNRRTTGV >PAN34090 pep chromosome:PHallii_v3.1:6:5246605:5253543:-1 gene:PAHAL_6G069000 transcript:PAN34090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLSIWIAYLGADVIAVYALGYRSQHKDATIEGDTIRGTQPLAFVWAPFLLIHLGGQDTITAFAMEDNNLWLRHLLNLMAQVVLSLYVFWKSIRRHSVELLVSGIFVFVAGIIKYGERTWSLKCGSFKSLQSSTGNHCKHRFPELIDGDASYSNTVWTGLRSMLDVLNFFSGRTLFVGDPLRFGREGLGTWLPNQVLKVLGVELGMMYDDLYTKALVLRTRSGITLCCISQLSALVAFALFLAGKKHKYSRADIAITYLFVGTFFLELCALFIFLMSPWTWAWLKARKYERLAQYSWSLFSSGLIGWPEKRSLWSNAMGQYNLRVWFEEYGKPKSCGQRVMNMTRKLATSISVDKEKIFWLSKLLDMEYVKVDKVTECLVEATSNFVRQPYEFQRTGNGQILTLS >PAN36182 pep chromosome:PHallii_v3.1:6:42328952:42333601:-1 gene:PAHAL_6G264800 transcript:PAN36182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTGYYDVLGVDPTATESEIKKAYYIKARQVHPDKNPNDPQAAEKFQELGEAYQVLSDPTQRQAYDLHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDARKLQEKMQTVQKEREEKLAEILKNRLHIYVQGNKEEFVRLAEAEVSKLSNAAYGVVMLNTIGYVYSRQAAKELGKKVIFLGVPFVAEWFRDKGHFIKSQVTAATGAIALMQLQEDLRKYMSAEGQYTEEELEMYMENHKKVMVDSLWKLNVADIEATLSRVCQMVLQDSSARKEELRLRAKGLKTLGKIFQRVKLNPSEGEASQMRNIDNMDEDDGSSPDSSPRREPQFTPNQPHVPSPYVEAPQVNGTYCSFNFPMPTAPPGAQRDPRP >PVH36960 pep chromosome:PHallii_v3.1:6:38106178:38106912:1 gene:PAHAL_6G209700 transcript:PVH36960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPAERRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKITQELEEQRTRAATAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENETDRFLPIKKRSIRTEEESP >PAN33933 pep chromosome:PHallii_v3.1:6:4283826:4284800:-1 gene:PAHAL_6G057600 transcript:PAN33933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTFLVLTAVLALLSWQVIASDPSPLQDFCVADKESHVRVNGFVCKDPKDVKADDFFLAANLDKPRDTTMSKVGSNVTLINVMRLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNQDNKLFTKMLNKGDVFVFPEGLIHFQFNPCPDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTVDWLQAQFWSDNHN >PVH37275 pep chromosome:PHallii_v3.1:6:43497501:43498726:1 gene:PAHAL_6G281500 transcript:PVH37275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLILTHLISIQVQRHNMHISEMQWSVQLNYDTQGEKSIFSCLLYCTICSNYHPSILKFLQCFMSTSFVYDLYNSS >PAN36030 pep chromosome:PHallii_v3.1:6:41388053:41391796:-1 gene:PAHAL_6G251800 transcript:PAN36030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSDPATAAGQAPAPAAASAAASSSSGLTFKLHPLVIVNVSDHHTRVKAQAACSGDSSSSSGAAAGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVTGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVQDTDMQIHKALMDVNESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVAMQKGDIPVDNSLLRQVSSLVRRLPAMESQKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKINTSYERPATRRGGRGAFM >PAN35668 pep chromosome:PHallii_v3.1:6:39331951:39332736:1 gene:PAHAL_6G225400 transcript:PAN35668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIGAGTFHVRAWQLLRPARRPWSWSGCRKGLVPARPIDPCEILLATWQVAQPESGSSRPRPWNPCPGVKNISVVMTRRTRLYARVPVFGRDRWRFFLAWVLRLLVHGGSVRQYMVWTLPEF >PAN33382 pep chromosome:PHallii_v3.1:6:1368839:1370340:-1 gene:PAHAL_6G016800 transcript:PAN33382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKIPLQQSSSSLPSLVPAKRRFTASIATMAYHLRSTSAPSSPRSNKTSVEEQLQSLKATIALPSATVQTMSDSLTKLGSIYSCIDKLTCLPSSQRKAAEEELERSLVLLDLCNAMQESFAELKTSVQEMELALKRGDDVAVQARVQSYSRAAKKAQKQFKKINCKAASYIEGGRVVKLLSEAREITLVILESTLQLLSKQVAMPSSGKWSLVSKAFQKKRVVCEEEQLQMLELDIVDLESGVETLFRRLIQCRVSLLNALSL >PAN34523 pep chromosome:PHallii_v3.1:6:27105388:27109358:1 gene:PAHAL_6G153400 transcript:PAN34523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) UniProtKB/Swiss-Prot;Acc:Q9SZL9] MSNPPPKKATRNPGPGGGGPAGGSRGSPAPGNTVKFARRTPSGRYLSLSREDIDMEGELGADYANYTVHIPPTPDNQPMMDGAEPASVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESDASHPQIAGSKASRCAMPACDGRVMRTESGEEMYPCECRFKICRDCYQDAQKDGCLCPGCKEHYKIGEYAEDDPNDVKHYLPGPGGMNTNKSLLARNQNGEFDHNRWLFESSGTYGYGNAYWPKGGMYDDDLDDEGGGGGGDLPEQKPFKPLSRKLPMPTSIISPYRIFIVIRMFVLLFYLTWRIKNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLSVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILATDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRQPDSYFGLKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRESGADPAEQPKVKKATWMADGTHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLYGMHDEEQLIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREAMCFPMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTTEYTGWLFRKKKVTSFKADPETDTQSLKAEDFDAELTSMLVPRRFGNSSALMASIPVAEFQARPLADHPAVRHGRPPGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCITKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMFLQRVAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLNVAFLCYLLTITVTLIALGVLEVKWSGIALEDWWRNEQFWLISGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADDNEDIYADLYVVKWSSLLIPPITIGMINIIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEASAGGRTAGFQFP >PVH37285 pep chromosome:PHallii_v3.1:6:43566532:43570890:-1 gene:PAHAL_6G282600 transcript:PVH37285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLLLPVVRGVLGKAADALVQKVTAMWGVDDDRRDLELKLLYVQSLLADAEAKAEAETEAGRAVKGWMRELRAAAYQADDVLDDFQYEALRREAQSLRSTTSKVLDFFSSRNRLVFRDKASRDLKNVLGKIDKLVKDMQKFVLLQREPEAPQPLNRQTHSALDESAEIFGRDDDKAVVVKLLLDQQDQRSVQVLPIIGMGGLGKTTLAKMVYNDRKVQEHFEFRMWHCVSENFEATAVVKSVIELATNGRCDLPDTMELLRQRLQEVIGRKRFLLILDDVWNEDQLKWDDDLKPLLCSSIGGLGSMIVVTSRIPKVASIMGTLPTHELACLSEEDSWELFSKKAFCKGVEEQEEFITVGKLIVNKCKGLPLALKTMGGLMSSKYQIKEWEAIAESNRGGNIEILSILKLSYMHLSSEMKQCFAFCAVFPKDYEMDKEKLIQLWMANNFIQAEGDIDLVQKGEFVFYELVWRSFIQDVNVKVFDGYGPFRRCKEIGCKMHDLMHDLAKDITDECAFAEELIQQKASVNNVRHMQLPWDESDEITGLMKASLSLRTLLAQNPKCKDVKELKLKSVRAIHCPDAYVIHRLINTTHLRYLDISGSEIVELPNSFCMLYNLQSLWLNLCTKLKFLPEGMQTMRQLTHIYLLGCIRLERMPPKLSLLHNLCTLTSFIVDTGDGFGIEELQGLRQLGNMLELFNLRKVKSGSKANLHEKKNLTGLFLHWGREVGYNPLHDEVVSNNQEEVLESLVPYTKLKTLELHGYGGLAISQWMRDPQMFCCLRELRISDCPRCKDLPLVWLLSPLEKLHLSSMNSLTTLCKNIDAEAAGYSTSQEIFPKLKMMRLHKLPEFERWAENSAGEPNSLVMFPQLEELSITNCNKIVNLPEAPALTSASFEEKSADCIVPMSMEWGSFPSLIHLTFGRLVNVVMPVKDHQNQSQRPLRTLRRLAVQGNNGFISMFNSSKLQLGLGDCLAFVEHLEIFSCDNIVRWPVEEFRCFVRLQSLEIHWCSKLEGKGSSSEEFLPLPRLEMLQIRSCRSLLEIPKLPALLEKLDIRRCVSLVALPSNLGDLAKLRHLGLVSCSELKVLPGGMDGLTSLEELDIFYCGSLVALPSNLGDLAKLRHLGLVSCSELKVLPGGMDGLTSLEELEIYNCPGISKFPQGLLQRLPALKSLKIYGCPDLQRRCREGGEYFDLVFSIPDKRIPQPDEPETKKSTKSRLLPWCVGGSSSS >PAN33616 pep chromosome:PHallii_v3.1:6:2483825:2484570:1 gene:PAHAL_6G033900 transcript:PAN33616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSAQFTISRCHALTTTFTDSSPRMSSSFAGASGGSSTGGKDKTRWPEVVGMLAEEAAMVIKKDMPGADIEVMWSDEPVSMDLVPDRVRLFVDTVAKTPTAELEPAGRKSSWPEVVGMRAEEALKKINSQKPNADVEVVPVGRPVDGDLKANRTRIFVDTVVDVPFVG >PAN34658 pep chromosome:PHallii_v3.1:6:17355169:17356636:1 gene:PAHAL_6G122400 transcript:PAN34658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGILALSLLFLLTLASKGSWCAAERSAGVSVGHRLRPHLQIQEELHGKKDLEIQSPSRRLGFGHEVSTVEMKHQRRMVTGHKGGSVGGGGGAGGAAAGAGGRTVGGGGTVTRPHNNKNGAAALPVPVASVLALALGCGVALSAFSF >PVH36341 pep chromosome:PHallii_v3.1:6:4007400:4013534:1 gene:PAHAL_6G054100 transcript:PVH36341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSSSLLINSGITFTVKEAWKFIKLALPNNDLGSRIISTTRSVTVAKCCSSQVYEMEPLSFDDSKRLFFKRAFGSETPCYPHLEDVPDRILRKCGGLPLAIVTVSSMLTNQLRKAEWDRVLSAMGSALANKPDAKKMTSIISLSYFDIPYHLRTCLLYLSVFPEDYKIEKKCLINRWIAEGFIHEEEGRTKYEIGEGYFNDLINRSMIQPVDVKYGQTKACRVHDIILDYIRCKATEENFVTSSDASEHVYTTKYKVRRLCVSNHTEENVTIWKDPMLSHVRSVTIFGQPVKTCLLPSTSLHVLDLGGCNGIKDHHLESIDTLIHLKYLRLSSSLITKLPEKIGELKYLQTLDVQGTRIEELPSTITKLQRLAHLYVYCGTRFSNGVIEQMHSLEEMRGYGVRSYEQWKSLQEFSKLTKLMTLKITCVSFSLEGSKGLSQAEGRHSYVGTLLSSCNLYHLRITDLIFYLLSLDSWHRAAPCSLRKLCIEGRFIYKVPNWMASLGNLVVLKLNCIICLRPEDVEILGGIPTLLFLQLATAGGTNGRITVHGSNGFTSLKHLSLRLFYCGTTLEFQVGSMPKLEHVQLTLCVHNRECLNGASDLGIQHLSALSKVEVIIWGNCTYDTIYNPTEDENADAVRWVVNAINGAIMTHPNYPTIIYRTNYYKKCEHFESFRGLLRGAGPVSN >PVH36497 pep chromosome:PHallii_v3.1:6:7167075:7168714:1 gene:PAHAL_6G084800 transcript:PVH36497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGRSGPRSPEWIRTPRPPRARGPRRGGGEEAARVRPRRPHRRLHLEPPRPPPESRGSCHGRPGQRPGRRLLPWLAGAIAPAARALLGSMAGRGSCIGLGASRAGSFRQPSAASPCPVDVPPVNRRRFGPRSPESKQQRPQVMLRASKLSSTTSKSSSAAPRIELRCLQIKLRRLQIKLYRRWIELRRQCPSLLLPSSWWLVVVRPILRLMLGPFAARSSMAGPP >PAN33783 pep chromosome:PHallii_v3.1:6:3212882:3216760:1 gene:PAHAL_6G044500 transcript:PAN33783 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigA [Source:Projected from Arabidopsis thaliana (AT1G64860) UniProtKB/Swiss-Prot;Acc:O24629] MTATPAVIGLSAGNRLLAASFGTADLAPPDAHPSLQFAPAAPKLAVVAQYRTSASSASSSPAGHARAHAVRALRNHSAPALAPPPPPPPADPAAPTPELDMDAEFEFQSSLEAIVLLQRSMLEKQWELPFEDDVSSTEDEEEQHEHDKSIGKATVVVARSGVSARQRRMSGRRRGAGRKSVTISPELMQSRNRIYLRGTVSKELLTHKQVVQLSKKIKDGIWLQHQRSKLKEKLGNEPSYKQLAQSLRISAPELRARMRESFLAREMLTMSNIRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALADNSKTFRLPTYLHERLIAIRSAKYALEDQGIAPTVENIAESLNISEKKVHNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDENVANDPWHGFEQGCLKEEVNNLINSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKHLNALLEDY >PVH37417 pep chromosome:PHallii_v3.1:6:45596133:45598949:-1 gene:PAHAL_6G307800 transcript:PVH37417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASHLLLFIYIVNTNLNICIRNMPAQVGVDVGMTRRFACNESGGVYPIRLIVHAKMHLWTYFAAGLYLAQWALQGPFSAGLARPSPSSFWLPPCIFLLRLFRCHTKTLAAAAAADHLEMGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYEELVKLADQGKDAEAKELPVDEDLPGMGQFYCLHCDRYFASESVKDEHYRSKRHKKRVKVMSGPAPHTQLDAELAAGMGMPDNGLKLMSM >PVH37159 pep chromosome:PHallii_v3.1:6:42012851:42018452:-1 gene:PAHAL_6G260100 transcript:PVH37159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGEKRAALLRRITEEGGFVFVASAEKAAAGDVRAAEAAREMAWEQLHSAPRDEVGDAWRDAYALACLHVAALRGAGGDDDRRAALQALDMGLIMGGGLLRAELEDAIARVVADRRGGRDGDCEAASAAGDVERWKEGIAGDQDLADVLKLLPVKSLSRKKIERRSCIPLEAFIRDYFICESPVILSGCIDHWPARTKWKDIKYLERIAGDRTIPVEVGKNYACTEWKQELITFSQFLERMWSSDSANLTYLAQHPLFDQLKELREDITVPEYCSAGGGELQSISAWFGPNGTLTPLHHDLHHNLFAQVLGRKYFRLYPASVSVELYPQTETMLSNTSQVDLDNFDEKEFPKAADLEFMDGILEEGDLLYIPPKWWHYMSYGADHLFYLAVDLTSVIIYDRALTANCTVHNKYSKHFW >PAN34444 pep chromosome:PHallii_v3.1:6:8742294:8746619:1 gene:PAHAL_6G092400 transcript:PAN34444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIEECGDGDRHLLSVSRILVGVPDNSRGCSELLSWAIGAIAKANDSVVAVHVLGGRGRKKRLQKANAFVIYMLGEFVEACEAKQINLEAKVVCSSNIGRALTQEAALTDGNILIVGRSRNAYHRSHFETANYCFMHAPKNCSVIAVGREGLPQCNTRLKSRSFDAESNISSSSTWSRRFPPLQKLLRSNSTRKLAQSSNEGAEDKSSPRAVLDGPEEGEHQVNEECYSTSSHEVSRRGQNGLWRRLSDMKLWLPFLRTIGDDSARASDAGSAYAEDQKPAWRCFSFQEISVATNDFHPDNLAGRGGYAEVYKGVLSDGQYVAVKRLAKGTPSEQKEKEFLAELGIQGHVCHPNTSYLLGCCVENGLYLIFEFCANGTLASALHGKSGKTLEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLSDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWAKPLLEAGQATELADPNLGDGYDKDQLKRMIAVASRCIMRPAMWRPSMAEVLHFLSTDDCLEEPEKWNILEDEVDDMDDCTLFSESCSP >PAN34445 pep chromosome:PHallii_v3.1:6:8742294:8746619:1 gene:PAHAL_6G092400 transcript:PAN34445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIEECGDGDRHLLSVSRILVGVPDNSRGCSELLSWAIGAIAKANDSVVAVHVLGGRGRKKRLQKANAFVIYMLGEFVEACEAKQINLEAKVVCSSNIGRALTQEAALTDGNILIVGRSRNAYHRSHFETANYCFMHAPKNCSVIAVGREGLPQCNTRLKSRSFDESNISSSSTWSRRFPPLQKLLRSNSTRKLAQSSNEGAEDKSSPRAVLDGPEEGEHQVNEECYSTSSHEVSRRGQNGLWRRLSDMKLWLPFLRTIGDDSARASDAGSAYAEDQKPAWRCFSFQEISVATNDFHPDNLAGRGGYAEVYKGVLSDGQYVAVKRLAKGTPSEQKEKEFLAELGIQGHVCHPNTSYLLGCCVENGLYLIFEFCANGTLASALHGKSGKTLEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLSDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWAKPLLEAGQATELADPNLGDGYDKDQLKRMIAVASRCIMRPAMWRPSMAEVLHFLSTDDCLEEPEKWNILEDEVDDMDDCTLFSESCSP >PAN33606 pep chromosome:PHallii_v3.1:6:2436476:2437455:1 gene:PAHAL_6G033000 transcript:PAN33606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHTTTSGEDQRQQVAISMCSTPSDRSLAGAGSASTPRSSKLVPLDSLQKLMLKSPLSPVHDEHERTTPAEVPLVKKVAAEFIGTFILMFTVVSTIVADTQRGGAEGLLGVAASAGLAVVAVVLAVVHVSGSHLNPAVSLAMGVFGHLPRAHVLPYAAAQTLGSAAATFLAKGLYRPADPGVMATVPRVGAAEAFFLELVLTFVLMFVIAAVATDPASSKELVAISIAAAITMNALVGGPSTGPSMNPARTIGAALATGKYKDIWVYLVAPPLGAIAGAGTYTLIKP >PAN34018 pep chromosome:PHallii_v3.1:6:4853455:4859104:-1 gene:PAHAL_6G064300 transcript:PAN34018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGGMLASPVLNMVVKQIGAVIGGQITLQKDFTKDLRKMKMMLESVAAVMKDAERQSIEEREVQLWLKRLKDAMYGISDMLDEFEADTQSAARKISLKKDLLQLASACLSVCPKIKLANRMKEMREELKVITDQHKNFMLKPGTITNELKITDTRATSSVMKDEELIVGRTNDKQDIMASLSESNTGRITIFPIYGIGGIGKTILAKMVFNDAQYKDYSHVWVYVSQTFDLNKIGNSIISQLSKEESQLTERQMIHNSLVELLAGKNILIVLDDLWEDNASQLDDLKDMLKVGEQSRIVVIVTTRNEGIANKFRTIKPYKLAPLSNDSCWIIIKQKSSFDSRDDKHEVEQIGKDIAVMCGGVALAARSLGYMLKELTSDEWESVRKSNIWNVSASEYTDSVLSSLRLTYSYMPSHLKLCFAYCAIFPKGHKMVKHDLIHQWNSLGFIEETNIFSTEQLGEKYISQLLGLSFLEHSRLHNSATGVHHEDFTHLTMHDLVLDLARLVLVDEFIMAVSERSNSERRSCRCALFNDCSKKLESYTDYPAKIRALRFLDCGRIELHEDAFSSAKYLRVLDLSECFIQRLPDSIGQLKQLRYLNAPRVQHHMIPNCITKLFKLIYLSLCGSSALMALPDSIGHMEGLMYLDLSGCSGLEKLPESFGKLKELVQLDLSNCSNLTIVSESLQTLTKLEYLDLSYCWNIREIPGHLGSFMKLKHLNLSGCGEIEDFSRSFGNLES >PVH36922 pep chromosome:PHallii_v3.1:6:37128649:37130482:1 gene:PAHAL_6G198600 transcript:PVH36922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPNHPQTIRVQRIADHLIAAACDDTNYGPRRLSARIRRPFDGIHWTVGVVDAGGYVTGFSTATGDIVVSTGLLWCLRQDDAVAAVLGHEVGHVIARHSEKRARNWFLAGLLANFAGELLLLHDDAPPEDRAAARSEWQSLFMRPCYRSQEYEADRLGLLLLAAAGYDPRASPPMYRKLGEMGADAAADDVDATHPPSAKRAERLSEAKVMDQALELHREAALYGKGAAARGSWTWMTRKFYGNAEFHVPVHEKTNSGT >PVH36629 pep chromosome:PHallii_v3.1:6:18844386:18845022:1 gene:PAHAL_6G126000 transcript:PVH36629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN36240 pep chromosome:PHallii_v3.1:6:42070384:42074507:1 gene:PAHAL_6G260900 transcript:PAN36240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHAPPLPDDIIVQVSAAAVAAVDDRSSTSQIEEVDGGDDDDVKAIVGAGGLTRRTFSESYRMRHRNPLEFTPWQVALLAYQSLGVVYGDIGTSPLYTFSSFTLPDPDQDDLLGILSLILWTLTLVSLVKYVFIVLHADDHGEGGTFALYSLLRQHVNITGKTMPVPVTRLASDANLKFHSKKSSLQPRMLKFLEGSVIAQAVITYLVLIGTCMVMGDGALTPSISVLSAVQGIQSRSSRITQGHVVLLCVIILVFLFLYQRYGTGKVSFSFSPIMLVWFVLIASIGLYNIIKYYPPVLKAISPHYIYIFFAKNKRAGWEQLGTVVLCITGAEAMFADLGHFNKKSIQMAYSCLVYPSLILAYAGQAAFLIKNPSKLSTTFYSSIPEPLFWPMFIVATLSAVVASQALISASFSIIRQSIALGCFPRVTMNHTSKKYEGQVYSPEINYFLMIACILITVGFKGGPEIGQAYGVAVIWVMLITTHLITVVMVIIWQINIALAGSFYAAYTVLEGLFTISLLYKIAQGGWVPFAITAFFLIITLSWTYGRSKKNEYETNNLMDSQEFIKTVAMSNRVPGICIFCTDLMKGIPPIVRHYVQHMGCLRELMVFVTVRYLPVTSVLPEERFLFDRLEPFGVYRCIVQYGYMDNQNMEEDEYVVSIIASLKEIAQNADEVAMMDSALANGSTFIFGRVILKMSDNHNCFKRFIINNLYRFLQKNFRSNLSSLKIAPSKTLQIGIQYEI >PAN33369 pep chromosome:PHallii_v3.1:6:1743571:1746418:-1 gene:PAHAL_6G023700 transcript:PAN33369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAAGAGDPAPRAEAAGFGSNHLRPRRGPPPPSPSPAVGKPLPSGAVPRHSYVFDGEGGFAEATWGLAASGKAARPGDFTWHHVELPRAAPGGAGAAAKPLHHAQALIELLCPPLTLQEILAFVATGPHCASVGDGGALLLRVSSPGPVGSAYALRLAARVTESSVVTVSVGGVPRLAFGTTAASLLSEVPLGVAASLSDEGHGGGRAVDGGVVIEERLLESLLAMNHADGAHTDNPVPTTVSNLLVHVLGTHVDHVHDIVTRLEMDLDAIELQLDRGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKEKCASKSWFSTGDIAALEHLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIVFLPLSIVTGVFGMNVGGVPWTEQNKNPKNRDGFMNVMLICVAILLLLLLCFLFPSLYSHVTTWRTRRELKRSNSQNKRHLKLFKGHKEGYMRL >PAN34352 pep chromosome:PHallii_v3.1:6:7905112:7905906:1 gene:PAHAL_6G088700 transcript:PAN34352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTNGPTFNAGRSIWLPGWLNAVNENSNSLFLTIGLGDFLIHHAIALGLHTTTLILVKGALDARGSKLMPVKKDFGYSFPCDDPGRGGTCDISAWDAFYLAVFWMLNTIPSNKWRIH >PVH37158 pep chromosome:PHallii_v3.1:6:42006110:42008671:-1 gene:PAHAL_6G259900 transcript:PVH37158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPPLSTISTHHRAPPPWPPSKNATNPRMEIRCGVLAPPAGQALQTAAAAPGPRSTSCPPNRVPSSDVNLQIQRLCRAGDLAEAVRLLGSDGVDVRGYCAAIQLCGEERSLEAGRRAHAVVRASGGGTGGIGSVLGKRLVLMYLKCGDLGSARGAFDEMPPQVADVRVWTSLMSAYAKAGDFGEGVLLFRQMHCCGVGPDAHAVSCVLKCIASLGSIMDGEVVHGLLAKLGLGAECAVANALIALYSRCGWMEDAMQVFESMHPRDAISWNSMISGCFSNGWHGRAVDLFSKMWSEGLEISSVTMVSVLPACAELGYDLVGKVVHGYSVKSGLLWELQSLEGGIDDVLGSKLVFLYVKCGDMASARRVFDVMSSKSNLHVWNLLIGGYAKAGEFQESLLLFEQMHGLGITPDEHTISCQDLYHCRLRSTAVCMCPRSRRC >PVH36115 pep chromosome:PHallii_v3.1:6:100746:101740:1 gene:PAHAL_6G001700 transcript:PVH36115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPATVIHMDDGKAPAAASSSYATAPASTARGDATTAAGSKAAGGGRGLPLLLRSGAGGFRRCLAVIDFLLRVAAFGPTLAAAISTGTADERLSVFTQFFQFHARFDDFPAFTFFVVGNAIAAGYLVLSLPFSAVGIVHPGATGVRLFLLLCDVVVMCLLTAAGAAAAAIVYVAHWGSRRANWVPICMQFHGFCQRTSGAVVATFLAVLVLAVLILMAACAIRRRR >PVH36560 pep chromosome:PHallii_v3.1:6:11040073:11042678:-1 gene:PAHAL_6G101700 transcript:PVH36560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVLTYVNIDRDDISFYNLLCMKSKFGYADRDYLYYKKRCGRDIANLEMIDYEDQALSMIETNENEMKVRLVLSRDEPREQQVTITPLKRSRDQPNTDQSATDPPIDAYKVWLHNLQSDEPDTEFHDDYRDGTIKTYKECLRLQGDLPIISQHLPSHARRQKPKQNGPKKVGCGTLKGLSAAHKRIKGGFQKLQIEFSARLGGPIGPNTRSFVDEVVMFTRKRAPLIGVKRWKEIKENNRWDLLNTENAKEKIWDIAKEQYKGWRSTLSSTYRAYDKS >PAN33983 pep chromosome:PHallii_v3.1:6:4657985:4660461:-1 gene:PAHAL_6G061700 transcript:PAN33983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEPSPLIVPPVRDWSELPLDALSTIFMKLGTIEILMGAGLVCRSWLVAAKSPELWRFVDMTHHQMIFSMNVGPETLCAMAKVAIDRSDGRMESFRAQMFVTSELLDYIVSRANSLKSIQLTNCLYLWTHKLGRFASQCPLLEEIEFSHQKMLPELIRHLGSVHPNLKCLRISLPSVYEGGVHREYDESWEEMKNEEAFAIAESLHELRFLQMAGRCLSNKGVYAIIKGCPHLECLDITKCCDVDVDDKLRARCAKIKCVLLPKQMGWSSLPGSSCQIEDKAKTTISP >PVH37049 pep chromosome:PHallii_v3.1:6:39798757:39803118:1 gene:PAHAL_6G231000 transcript:PVH37049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFAEAGGAPLGLPGPEFNAAAPCVAPAASSGPRGAEREGAPRAEARRSRNKSPAPETSRGKGMPRRRRPGGGTEGGGPEAGGQAPTMSASASASAPSPSPPAGEPPSPPPPPVPGLFYCYECGRTADRHGPLPAPPSSPHRVCPSCCRGFLEENPPPPPSPPPPPPFPGSVSVSGSGSSSSSELSDDDDDDDVDLLSTDYDSAREFIRRFVGHGPHEGPLVGDFAAVAAMSALRDHPHRPGEGAAVLHRHLGLPLAPAAARGGEPPASAASIAALPTVEVAEPAAACAICKDDLPLASQARKLPCAHLYHSSCIVTWLEMHNSCPVCRFRIPFPEGAAPSEQDSPPTRITIRFSTTTRRRGRVHAGAAVAAPVSASPTQLAQAVTGDGAGGPANSGETVSSEWPPHPESDAVMSEAREGDGFFD >PVH36440 pep chromosome:PHallii_v3.1:6:5688414:5690527:1 gene:PAHAL_6G073900 transcript:PVH36440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSSPILPDSTFSPIGVKRVLNSHQDGNSQVAHVDFNNMGSDFSMFLG >PAN34689 pep chromosome:PHallii_v3.1:6:24377538:24381411:-1 gene:PAHAL_6G142800 transcript:PAN34689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQTRDVADDFNPRRRRTLWNTPTASMPATTHTIRYNTGKKSLFNARLMSVKFEDLYGFMVEGNVDDVNVLNEVRERIREHGRVWWALEANKGANWYLQPKISSNEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSEEGQASLRQVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTDTLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTTHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSTLKMDG >PVH36746 pep chromosome:PHallii_v3.1:6:28815262:28815717:1 gene:PAHAL_6G158000 transcript:PVH36746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSQHGVRQGASAEGGVEHVGEGAGCCVAQGDGASGEAGRQRRRGGEPCSACAPRYGRARARGPDACACAVRRCARVRRRARPGNGVTWLGPEAGRRGERRAARRAARSRDARAGRACVGRACARRERGGRGSEAKQEGGWRGLSGAQE >PVH36215 pep chromosome:PHallii_v3.1:6:1661284:1662067:1 gene:PAHAL_6G022300 transcript:PVH36215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCFSVLKHLVIIPLVPDILNSLSFIHFTACKCAGNDAGALLWAILHLTISGLSISRWFHPWSSC >PAN35326 pep chromosome:PHallii_v3.1:6:37180222:37180614:-1 gene:PAHAL_6G199600 transcript:PAN35326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPDLQMASDSITPELPDSETMVLDSLPPGFFLYARCHLIHEDRQAWNRAHSRRWPCSRCGLVHAEYRLGAMIYGLNEFDCELLIPDLNNIVMHGNTLMLPAHVLKMLNEKCERELAAGKDHTKAPVR >PAN33542 pep chromosome:PHallii_v3.1:6:2018371:2019168:-1 gene:PAHAL_6G028300 transcript:PAN33542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIYIVYYSTYGHVATLAEEIQRGAASVTGVEVTLWQVPETLSGEALAKMGAPPKRAGVPAISPAELVEADGVLFGFPTRFGMLPAQLKAFLDSTSDLWCEQRLAGKPAGIFCSTGCQGGGQETTALTAITQLVHHGMLFVPVGYTFGAGMFEMGEVKGGSPYGAGTIAGDGSRVPTPLELQQAFHQGKYFAGIAKKLKGAAA >PAN35867 pep chromosome:PHallii_v3.1:6:40679567:40682212:1 gene:PAHAL_6G241100 transcript:PAN35867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPSGSVSGGGGGSPGLLPIVHSDDGFGGVGVEEADEDMVLCGGGGGGGGEKKRRLSTDQVRALERSFETENKLEPERKARLALDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRADHDALRRDKDTLLAEIKELKAKLGDEDAAASFSSVKEEPAASGVDPPAAAAQGSSDSDSSGVVNDAEMMAAPEKASHHPPAAAAATAGAEAVVPGAALHHGEVFFHGHPLKVEDDEAAFLGDDDAACGGFFADLQPPPSLPWWTEPTEHWA >PAN36451 pep chromosome:PHallii_v3.1:6:43630317:43632118:1 gene:PAHAL_6G283800 transcript:PAN36451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLFLALLVAFASATAAAAALDDSARGHGHRLKSRTFLSPPFSLRPGAVSNKWYMDVAFPRGHLALKSFNGEVVDARGSPVPLYETYLHHWLVVPYYPAARGGEGFLMRTNSGVCRDTLGQYFGLGAETRHTATWVPDPYGVEVGGDPPPEGYGEERWALNVHAIDTRGAADRPGCTECRCDLYNVTVDEDGRRIGAGYAGGTRCCYDQTRCRVEDGFVDGEPRELFLRYTVMWVDWSDAAVVPVRIYILDVADEALLQGKSKPDCMLEYTVEECSSESRARNDCVDVKVAKEVLPRGGDVVYAVGHQHAGGIGTSLHGQDGRLLCWSTPTYGSGHEAGNEAGYVVGMSACYPEPGAVRVRDGEALTMVSNYTGERRRTGVMGHFYLLVADGREEPRQQQPAPSKRRRRPSLCFSFPVPWCAPAWLSIGQPAMEDDDA >PVH36876 pep chromosome:PHallii_v3.1:6:35610408:35611130:-1 gene:PAHAL_6G186900 transcript:PVH36876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWLISTTGFRFPDTYRKAARKALRRLHVLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYRKQAAQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEK >PVH36162 pep chromosome:PHallii_v3.1:6:1027692:1028541:1 gene:PAHAL_6G011600 transcript:PVH36162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGGGKNAADDDDRGSLDYTIDGVLAAAPRGTVRIGLDIGGGSGTFAARMRERGVTVVTTTMNFDGPFNSFVASRGLVPMHLSVAARLPFFDGTLDIVHSMHVLSSWIPDAVLELALFDVYRVLRPGGVFWLDHFFCLGAQLDATYLPMFDRIGFEKLRWNAGRKLDRGIEMDEWYISALLRKPRR >PVH36602 pep chromosome:PHallii_v3.1:6:16997090:16997314:1 gene:PAHAL_6G119900 transcript:PVH36602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNMQRQAVPLSRSEKCIVGTGLECQTALDSRVSIIAEREGKIISSDSHKILLLSSGKTISIPLVAHRRSNKNT >PVH37122 pep chromosome:PHallii_v3.1:6:41349477:41351174:1 gene:PAHAL_6G251200 transcript:PVH37122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVALDNLAGGHVDGEEVALQEEVDDGGVIGVDEREHGDLLGEASGLVGVVGPDVGEGGLVLQRPLRGVRVGQGPDARSPGGERDEAEHGVVGEGVGGDDSPGVVGPPEPAEVCERAERSQRARRERVVQALVDPLAGVGVVAAELGVVAADDGQELGDHVAAAAAGAGEDAEEPLVLDGLLGARVREAERGPRGGVEDEVARVGVHPAERLAVGALDAGERGVEDGREEEQPRGVLGGYEREALRRGAVREHPRGDGQARDARGRGERQRHVGTALDDARDAAEDDPRRRRRGWRGGAGGGVCGAS >PAN35620 pep chromosome:PHallii_v3.1:6:39159865:39160352:-1 gene:PAHAL_6G222400 transcript:PAN35620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNAEEPPRVFKLDRTKMAWAGVEDIGGAALFLDHRASFGVVSPGAGNGNKIFSPRYSKNGKQAAFYDLETKMNHPAFYGLKQPMNCVWVVPNLKLDEYAS >PAN34901 pep chromosome:PHallii_v3.1:6:15278489:15280263:1 gene:PAHAL_6G114500 transcript:PAN34901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNRFDDRASGPSHTVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDDAKNAHLKALDGAVERLTLVRADLLDKESLAAAFRGCEGVFHTASPVTDDPEKMIEPAVDGTRNVINAAADVGSVRRVVFTSSIGAVYMDPRRSPNEEVDETCWSDLEYCKNTKNWYCYAKTVAEQAAWELAKQRRLDLVVVNPSLVLGPLLQPAVNASTWHILKYLDGSVQTYADAAQAYVHVRDVADAHARVYEEPGARGRYLCAGRMLHRGEVCRILAKLFPDYPVPSKCKGRAGETNKGCRFSGRQLAELGVGVTPASLCLYDAVTSLQVKGLLPRRAAAVADPSVIS >PVH36925 pep chromosome:PHallii_v3.1:6:37170920:37171810:-1 gene:PAHAL_6G199500 transcript:PVH36925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRSKPFLAAFPLIDAAIEGAGAGGVLSRDEFRSARARIVELLCDAADDDEKVEGFCELLDEAMAGSLATLRAVSPEKIELASGDLVGAVGALMKDHPSERVRELARDVVRGWRAGVKAELARAKAAMDVLDGLSSTPPPPLRDETAPMADSNTTAKKIPEEPPRPRKSTVSSSCRRISTAESKKGAPIVGTSNAKPSANMGAPAVVPAQPKKTPPAVVSSAAEEEEKMEATKRKLHERYQEAEDAKRRRTIQVIEPPRPPPGMNKGQMQRNAHPARCAAERCFVKSSTLGMRV >PVH36464 pep chromosome:PHallii_v3.1:6:6312551:6313650:-1 gene:PAHAL_6G077900 transcript:PVH36464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRPPATTTSTCTPKTAPGRHVFQIDGYSLHRGFGGTGKFVQSAAFTVGGYSWCLRCYPDGASKDSRGHVSVFLRLVTRNAKARALYDIRLVGRTTGSSPAPAPRTSGSRLFDTTNSGEAVWGRILFIKASELEASAEFLRGDRLVIECDVTVIGEPLVTEAAKPVEVRMPPSDLTGDFEKLLKTGEGADMIFKVEDEYFFAHRVVLGARSPVFCAELCGTTIEVAGMQPSIFKALLRFIYTNSLPAMDDLGVNEIHNMARNLFLAADKYAMERLKVVCTGILCRSIDDATVDSALALAIADQYDCGEFRDICIKHIDSSSRKGDHAVAGQQAYRRITRAKPSIWVVLWEKMRSLFRFK >PAN34800 pep chromosome:PHallii_v3.1:6:33114493:33121539:-1 gene:PAHAL_6G174500 transcript:PAN34800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKTAPGAGAGAAAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKIDLRDKGALEMVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRSDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRQALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGRGTSVLEIVKAFEKASGKKIPLIFGARRPGDAEILFSVTAKAERELNWKAKYGIDEMCRDQWNWASKNPYGYGSPDSTKQNGHQTNGSADSPRQNGHHTNGSVGSPRRNGHCGYGSADSPKRNGHYVYGSSDSRQNGNGHLQ >PVH37268 pep chromosome:PHallii_v3.1:6:43389681:43391607:-1 gene:PAHAL_6G279900 transcript:PVH37268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTIPHPQLLSDFHNPSPIYMTPLLVLNELCLCEHVKICDAVCVNSWVLCCLLLYLSWLWDLFLISEGHLQACALHESSSNNIQEHFIKRTEHDKAIGDINIMLDKGLNELKVLKVNMDKKFAEHKQCFDQAIVILRYELKRDADEQNMKHCVDLLNVKNEMKANDLKMEGNIELRKGELKRLKNLGWFFEASSAIISRFSNLFDYVFKKPGESE >PAN35874 pep chromosome:PHallii_v3.1:6:40751431:40753879:-1 gene:PAHAL_6G242200 transcript:PAN35874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLALIIAVLLSARPAAAATPNPAASCQRRCGDMEIPYPFGIGPGCYHDTGEGDITFGFTCNLTGAGTYQTFSGEAVEVIGVSLRRGQARIRNGIVPWCYDRASRSMDDETEPWVDLSDSQFRLSVQENRFVVVGCNSFAYVRSVNTGKEYMTGCMATCPSAGQLENGSCSGMGCCEAAIPRGINTYQTLFEHKFNTSNITGFSRCSYAVLMQAAAFSFQTTYVTTGDFVESTGGKVPLVLDWVVGKETCAEAVRNTTGYMCVGGNSDCVDSSNGPGYLCNCSRGYDGKPYVPDGCQDVNECEATRFKYPCSVPGTCVNTAGGFLCSCPDRTTGNAYNGTCEAKKSQLGVRMAVTSIGLVVLVITLSCAYLIHQKRSLAAVKQRYFKQHGGLLLFEEMKSNQGLSFTLFTKEELEEATGNFDEQNVLGKGGNGTVYKGALKDKRLVAIKKCKIVSERQEKEFGKEMLILSQVNHRNAVRLYGCCLEVEVPMLVYEFIHNGTLYQLIHGRRQHSAPRVSFATRLKIAHETAEALAYLHSWASPPIIHGDVKSPNILIDDSHAVKVSDFGASALAPTDEAQFVTFYMQTSKLTSMSDGYSFGVVLLELLTCRKAMNLQAPLEEEINLSAFFLLAMGEKRLDEILDEQIKGEQSIELIEQVAELAKQCLEMASEKRPSMREVAEELDRARKLSQHPWGQETSGEELKALLAGSPGSCSEIELSNGYVSITDSAYLGVQSPR >PAN35600 pep chromosome:PHallii_v3.1:6:39037213:39038655:1 gene:PAHAL_6G220900 transcript:PAN35600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRGAVAVLSEALCLARRSRNHMLTCLLLALIPGSLQLVGGHVSAYSLLLGVIARLHALGREQPVTPRFYDLLLRLKSDADFLSHANVALAAASHLGYFASTVAIVHAASAACAGRHLPVRDLPPKLAASWKGPLVTYLYSTLLSVGYTSLSVSLIAVPALNMAAAGGPSSRLAAVAAAAVAAAARFLYIYLGMVWAVGVVVSVVEDGCRGLEALHRAGEAVRARRAQGFLIALALAVANASVGFGGSGRGALGWRDALACAVRILLGMFSPMVYTVFYHECKRSHGDGAPKELSHRTKAEHDHGTSSEGVV >PVH36615 pep chromosome:PHallii_v3.1:6:17828579:17832899:-1 gene:PAHAL_6G123400 transcript:PVH36615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVLQATLGMCVLGWPLMASLPLTQMLPPTHQEEEGRGADEEEYVVNVDGDGGSGEGDGCEDREECEDGDDGDAEEEEEEDEEEDTAAQTVFRFRGNNVMTPSATNPANRQLIRPHGDWQWDDICWEGKNRLRPVNATLGTLCRFHYPGMVTVGGVLQPALKWEHYKLQSDDQGVTTAARVWNDFWERYRLPEEEEQCLQDRARSVFDKAATKVVRDMMSNARIQRHKVGWHQAILKHTFEATTAQILHSQICFAVTMPRRLWLVK >PVH37206 pep chromosome:PHallii_v3.1:6:42833811:42835881:-1 gene:PAHAL_6G270900 transcript:PVH37206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVECILFRAGILPPPPMLAMAHKGGPRDRQPIDPMTGQPLDIEGLTIVDNPNMPDGENGASIEEMA >PVH36532 pep chromosome:PHallii_v3.1:6:8947008:8948864:1 gene:PAHAL_6G094100 transcript:PVH36532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEPWKVLVRQRVEEAAGRCARAHGPIAEVLGNLGMPDLVADVPAWLAWVPRAEVALADASRDLTVAASLMAAAGLIATRGAAAPPRSIDGGVPGLPGDDELQRSGLSGLQDARACAEKACDSVETSRGHLCAIRMLLDHPHLPGLSGLLHDMRASARQELDAAQKLEQESISLAHGVCGLWTATASIDSDFA >PAN36664 pep chromosome:PHallii_v3.1:6:45096335:45097480:-1 gene:PAHAL_6G300500 transcript:PAN36664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTACSGRSISCGRLMLARPNAGAVRPSASATEPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSLAVLRRAVRSWNAHGGVRFCVNFGDIVDGFCPRDRSLAAVRAVVREFDGFRGGPAYHMLGNHCLYNLPRSELVSELRMPSPPGRAYYDFSPWPGYRFVVLDAYDFSAVGRARGDPVAAAARRFLEARNPNRDKNSPSGLEGAARRFVMFNGGVGSAQLRWLDGVLRGAAARREKAVVCSHLPVHPGAASPTGLMWNYEEVAAVVRRHGGCVVACLAGHDHKGGYAVDDRGVHHRTLEAALECPPGTDAFGRVEVYPDRLRLVGSDRMASTEMLLNSSSSDSVSSTG >PVH36455 pep chromosome:PHallii_v3.1:6:6207088:6207536:1 gene:PAHAL_6G076600 transcript:PVH36455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIKDAAVVFFVVSIISSMSPCCQAGGCHGCARPPRSPSLLPPPSRKRSTPCIRASFEGEFCRDEMCTPECASHGHTSSNAYCKSLKRHKWECCCPTQCLIMRYNASMG >PVH37416 pep chromosome:PHallii_v3.1:6:45594909:45595395:-1 gene:PAHAL_6G307700 transcript:PVH37416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLFLLLIPFGNFLAHVTQPSSRQTLRLSALISCNPACPSLLVHIRSGRRAPLFPAICP >PVH36548 pep chromosome:PHallii_v3.1:6:10254456:10255139:1 gene:PAHAL_6G099300 transcript:PVH36548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDDPITYEELPAEHKQKYEEIKALFEADLISSFKKTCHHGVRWKGFSPEGALDSVDLSTPSEDRIRALRQEVNCVVAHSLHRHSESLVNAFERVALRVVQEIVKHQYSLTGPTLGSYKGELPFQARPPLPYVLTASESHGSPEYVVYKVGGDPVDHQFFSEPPKEIPHGYMCAYIPDSNNPVYSVQGMAGGVSRADAGKQAWLAAYATGPSHDSAHSAPGAQTVG >PAN33719 pep chromosome:PHallii_v3.1:6:3669173:3670739:1 gene:PAHAL_6G050200 transcript:PAN33719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSFASAPAFCSGHTYSDFDQATAALAYFTEQMEKKREERGRPGGVGDGEDGGGEEEGENAAVETAEADTDSTSSSWLPAHPCSVLQRVVRACAGCVLGLCGGSARDGPRQGTATDAGDPGAATSQPSEAEGSDKVAHQRDLAGARVLARRRPPGRPGGPREGRGGGGGSHH >PVH36824 pep chromosome:PHallii_v3.1:6:33639299:33641507:1 gene:PAHAL_6G176300 transcript:PVH36824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPPPLPTSVVPAATPSPSPIPTAVSSADVAAADANPAAARAFVSRLLDSARRALSGARRWAELVDRSALSRPDTLSDTTSRLRKNLAYFRANYAAVVALSLAAALLAHPFSLTALLALLAAWCLLYMLLPADAPPLAAFGRTFSDREVLGGLITSSAFVAFLTSVGLLMFSALALGAAVVCAHGAFRVPEDLFLDEPDQAAGSGNQQDEDDDGGSSAAVLLLPDDMLADVLRRLPPRSLAASRCVRKQWCSIIDARRMLRVDLLPLQLDGFFCNPNHLQDRPSFFAPPSTMRRITTCLDDIYDTLSYDTLGYMSIEDHCNGLLLLGQHMVVNPATRQWATLPAYPSSSSSSFSRVDDQLLLRVDNQLIVYDPMVSPQHYEVFLIPMLHAINHGEDDDDDEETQHLPADYSADEYWPQSPYTTHVFSDYSADQYWPPSPYTTHVFSSRKWRWEERSFVRQGDPAGTIADMMWRDAGGSLDGQGVYFQGALYVRCRNDSVIRINLSGDKYQMIKSPVSRTRLGEYDLSYLGKSEKGVYSALLHQDGVSCPQCRVWLLNELCGQMEWVLKSNINLQSLEDIPHLQFANKYSKPWTTVDDYNTKDEAPAQDQLDGWDSDDGIVLEITDNKQATTTDWSFGNVFLGFHPYKEIAFFDVSSGVGFVSYHLNTSKVQELGTFHITELENVFPYTACWMRDLFENN >PAN33327 pep chromosome:PHallii_v3.1:6:1056303:1060148:1 gene:PAHAL_6G012100 transcript:PAN33327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDHHHHHHHHDGSMARGAGGDDGQQQAQHDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVRVEGNRSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGEADRYQKEISLCRVYKRPGIEDNFHLTTTTRSSGSKAAASMEKKHHRTSASPRLAPMFDGAHSSAHMSKPYSGTNTIMASSAAARAATMAPQISMAFLSTASLSSTTSTEEDGTSLYHLKDANPPMLPSSTHALLNANSTTMAAIPIDELSRAIGGSYNNQVNPNNQPLPSSQGPLLPFPSMEKIWDWNPLLESPKVCTSFK >PAN36153 pep chromosome:PHallii_v3.1:6:42430140:42432192:1 gene:PAHAL_6G267000 transcript:PAN36153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFDNDWIRFESVTGLTVAGGVIDGQGAASWPFSNSKCPVLKHCNVPRTSLLFVNRQNISPPDSPNTDGIHHRAQRRHGRADRHGRRLHLHRAGKRHRRGRGPRAAGPSHHASVGSLGWYAGKGDVARVRARGVTFADTASGVRIKTRERSPGRSSAAHMVLVEVVEEAGGTPGGKKRIGRRHVREEDERPGGFSKTPHLDPIFILNP >PVH36147 pep chromosome:PHallii_v3.1:6:514348:517498:-1 gene:PAHAL_6G007300 transcript:PVH36147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MASSMAAACTAAKASLSPAQSTNQRTPAQGRLLFPGAAPSSRSLRLRPAGRRSPATRSLPRAAKAVVAALADPLKVMISGAPASGKGTQCELIKAKFGLVHISAGDLLRAEIAAGSENGKQAKEFMEKGQLVPDEIVVNMVKERLLQPDAQENGWLLDGYPRSYSQAMALETLAIRPDICILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLETYYQNIESLLSTYEDVLVKVKGDATVEDVFSKIDELLSSSLDKKTEIVANA >PAN33272 pep chromosome:PHallii_v3.1:6:514348:517503:-1 gene:PAHAL_6G007300 transcript:PAN33272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MASSMAAACTAAKASLSPAQSTNQRTPAQGRLLFPGAAPSSRSLRLRPAGRRSPATRSLPRAAKAVVAALADPLKVMISGAPASGKGTQCELIKAKFGLVHISAGDLLRAEIAAGSENGKQAKEFMEKGQLVPDEIVVNMVKERLLQPDAQENGWLLDGYPRSYSQAMALETLAIRPDICILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLETYYQNIESLLSTYEDVLVKVKGDATVEDVFSKIDELLSSSLDKKTEIVANA >PAN33936 pep chromosome:PHallii_v3.1:6:4933386:4936177:1 gene:PAHAL_6G065200 transcript:PAN33936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRINGNFIDKTSSIVANILLQIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >PAN34356 pep chromosome:PHallii_v3.1:6:25931618:25940131:1 gene:PAHAL_6G148500 transcript:PAN34356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAAFRPCPARPLVGRSPSRPLLPARPIRDSTGAGAGAVAAAASTRCGAVGPRGLGHGLLPVSTDREGKARQRTVACAAAGKAGKAEEEGGGFLKTLQLGAFFGLWYLFNIYFNIYNKQVLKVFPYPINITEVQFAVGAAVAVFMWITGILKRPKISGAQLLAIVPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAIFLGELPTVWVGLSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEDQESLDNINLFSIITVMSFFLLAPVTFFTEGVKMTPSFLQSAGLNVNQVLTRSLLAALCFHAYQQVSYMILAVVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKTA >PAN33335 pep chromosome:PHallii_v3.1:6:1109288:1111292:1 gene:PAHAL_6G013000 transcript:PAN33335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTAGRSRVLAALHLLLLAPGLAAAFNYADALAKSIIFFEGQRSGKLPPGNRMAWRGDSGLKDGAQYNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVADFGKFMGGELPHARAAVRWGADYLLKAATSTPDTLYVQVADANQDHRCWERPEDMDTPRSVYAVTKDRPGSDVAAETAAALAASAVAFRRADPAYSSRLLHAAMRVFELADRHRGSYSDGALSGVVCPFYCSYSGYQDELLWAAAWLHRASNNASFMSYVHANGMQLGAGDDDFSFSWDDKRIGTKVLLAKGFLRRRLQGLQLYKAHSDSYICSLVPGTSSFQASQYTPGGLIYRQGGSNMQYVTTATFLLLAYARYLRSAGACAVCGGRDVAPAELVALARRQVDYILGKNPAGTSYMVGFGDRYPRRLHHRGASMPSVRAHPGRIGCDEGFRYLHSGAADANVLVGAVVGGPDARDGFVDDRDGYGQTEPATYINAPLVGALAYFAGTAKH >PVH36336 pep chromosome:PHallii_v3.1:6:3870656:3871261:-1 gene:PAHAL_6G052700 transcript:PVH36336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAVGQKTTILQLQRHPSTSTVHRNLRSKESRGLQQAGSDYIPTCGICSSLGQSPVLLCPPPALEVWVDVNFSVPVASRH >PAN34337 pep chromosome:PHallii_v3.1:6:7391077:7396328:-1 gene:PAHAL_6G085700 transcript:PAN34337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSARFDLRASSQQLQAPASHAGMSDGGAFANAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKSQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWNGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVAANGRKEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHFTKSDKPSGRRHSGLDGEREASAEV >PAN34336 pep chromosome:PHallii_v3.1:6:7390484:7396573:-1 gene:PAHAL_6G085700 transcript:PAN34336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSARFDLRASSQQLQAPASHAGMSDGGAFANAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKSQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWNGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVAANGRKEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHFTKSDKPRRHSGLDGEREASAEV >PAN33562 pep chromosome:PHallii_v3.1:6:3313752:3315709:1 gene:PAHAL_6G045800 transcript:PAN33562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTAHYMPVVPHRLAPMRHSSSCSPSLHPASRRSVAGRPPAQLQAAPARGERAAGLTARVAFNPSGDFDLSLSMDQDDAPQVQPPPPPTEGRFEIVLNKDIIRALDLSPVQEALGDLSSLTAAESRNLLDRTVGFTINYEREDEYDTRELSEFPDIRLWFVRLDAAYPWFPVVLDWRAGELARYAAMLVPHQMSMRLGVVFNPEALELFVMKKAFAVDAWLKQQDHPKPRLKTADMARMLGYGIGDELFDLIEKHPVHPS >PVH36462 pep chromosome:PHallii_v3.1:6:6299603:6300686:1 gene:PAHAL_6G077700 transcript:PVH36462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTGSLKRAREEEKGAPMVSRVRDPPPPPDMRERPLRFLATMQRELANETHKYNEFIDVMGQFKNGRMDAASLVEYVTVLLAGHPDLLRGFDEFVPMDYKISGQAGAK >PAN36272 pep chromosome:PHallii_v3.1:6:42787547:42800877:-1 gene:PAHAL_6G270300 transcript:PAN36272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRSPPPEIRSPDAAEDGAEAEAEEEVFDDAFDIPHKNAPHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEIIRRKIRSHAQVIRAAFLFKEAGQKDIREAYSGINLATASRSFPIELEKLTTLNRDHDSVLLQEVGGVKGLSDLLKSNLDKGASPNEDELLQRRNIYGANTYPRKKRKNILRFVFEACQDLTLVILMVAAAISLTLGMTTEGVDEGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRSTASIFDIVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGSMLVTGVGINTEWGQLMANLSEDNGEETPLQVRLNGVATFIGLVGLSVAGAVLVVLWIRYFTGHTENPDGTTQFVAGSTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFSGTKLDPCDDFSQISEDSVALIIEGIAQNTTGTVFLPEDGGEAELMGSPTEKAILSWGLKIGMDFHEMRSKSAIIHVFPFNSEKKRGAVAVQSDEGVHVHWKGAAEIVLSSCKSWLSVDGSVQPMTAEKHDEYKKSIEHMAANSLRCVAFAYCASDIEMIPKEDIANWKLPEDDLTLLGIVGIKDPCRPGVRDAVRLCTTAGVKVRMVTGDNIETAKAIALECGILDAKGLISEPVVIEGKVFREMSESGRADAADKIIVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRNPVGRREPLVTNIMWRNLFVQALYQVAVLLIFDFAGVRILRLQNESRDNAERITNTFIFNTFVFCQIFNEFNARKPEERNVFKGVTKNHLFMGIIGITTIFQILIIQFLGKFFKTVRLDWRLWLVSVAIGVVSWPLAYLGKFIPVPVRPLQDYFKPRCCRKTRRDEEEGGQT >PVH36757 pep chromosome:PHallii_v3.1:6:29950336:29951411:-1 gene:PAHAL_6G161800 transcript:PVH36757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRIPTTSPRTTSRVPSCTQSCLWMRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH36291 pep chromosome:PHallii_v3.1:6:2968171:2968692:-1 gene:PAHAL_6G041200 transcript:PVH36291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYGSIQLCSSEKIMQKLRRQDTLIKAESVS >PAN35142 pep chromosome:PHallii_v3.1:6:35321512:35325707:1 gene:PAHAL_6G184500 transcript:PAN35142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGLVQVDQSTVAIKESFGKFDEILGPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPAAVKDIAAQIRDGQLQARML >PAN35141 pep chromosome:PHallii_v3.1:6:35321504:35325707:1 gene:PAHAL_6G184500 transcript:PAN35141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGLVQVDQSTVAIKESFGKFDEILGPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPAAVKDIAAQIRDGQLQARML >PAN35843 pep chromosome:PHallii_v3.1:6:40546196:40547065:-1 gene:PAHAL_6G239000 transcript:PAN35843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEHDDGDGDEDMTPMPVTSSYDAPMQPGLGPGGGGGTPKPGDSGGGSFRTPGGGGGGGARYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESDSPTGGASPADPTAALSPAAITAYGAAAHHHPFSAYYRTPAGYLLHPHQQLAAAAAGHMQRPLALPSTSHSGRDEGDDMAGLIGPMMVAPMVGMSLGSGGGPSGCGGSGSGKKRFRTKFTQEQKDRMLAFAEQLGWRIQKHDEAAVQQFCEEVGVKRQVLKVWMHNNKHTLGKKP >PVH37387 pep chromosome:PHallii_v3.1:6:45106999:45108881:1 gene:PAHAL_6G300900 transcript:PVH37387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPHIIHFLLSECTDYIDTLTLVTVDMDTRTVLSVRPYIKGEQELYGEDADLAHTRSSLLQPFLPAEFSRFRYKRMKVEE >PAN35660 pep chromosome:PHallii_v3.1:6:39284963:39285813:1 gene:PAHAL_6G224200 transcript:PAN35660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGLGKLRCMIRRWHSSSRIARAPSPGEDGHNGGDARGASFHGAADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQTLVHRTGGAAEAGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >PAN33314 pep chromosome:PHallii_v3.1:6:995493:996969:1 gene:PAHAL_6G011100 transcript:PAN33314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAQMMKSFVRLLILVQVAAALAGPVAAELSMDYYGMTCPFAEYIVRNVVGEALMKDPTLAASLLRLHFHDCFVQGCDASVLLNSTDGNTAEKDAQANKSLRGFEVIDSIKEALEAQCPGVVSCADVLALAARDSVFMARGPYYPVPLGRRDGTRSVDSDTFLALPPPIKNVTVLIEIFDKVGLDVHDMVALSGGHTLGIAHCASFKARLQAETETLDGSLAKSLGSVCKGGDSGTAPFDRTSTRFDGVYYRELTSRRGLLSSDQTLFESPETKEIVSTFAMNPDYFFYSFMQGMQKMGQINLKEGDEGEIRKTCWVINS >PVH36513 pep chromosome:PHallii_v3.1:6:8369682:8370419:-1 gene:PAHAL_6G090000 transcript:PVH36513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDGICHAEPGLPKLLILILERIGVMEPPEYAYREYTFRGTLRCDMMVFVGRSIRYPDVDPWFISTSGFRFPDTYRKAARKALRRLRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDELYREQATQLKQLIHRAEKIRAARAEYSLVALQAQMKEYESRGGIGGWIEEEEEPEETHWDKGTQTEDEVMDRCLPIKKRPIRIEEESPC >PVH36713 pep chromosome:PHallii_v3.1:6:26246494:26250111:-1 gene:PAHAL_6G149500 transcript:PVH36713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFWKAVGVRMQWLIPWWFGDANSTFGCSNVLLRFWRTIDGTLAVEGTPILQADATNSEKIVLPSKPKGEERLPPNIVVPESDLHLRRLWGNPREDTPTRKYLLALTMGYNERANVNATVQKFSENFDVVLFHYDGRTTEWDEFEWSKKTVHASARGQTKWWYGKRFLHPSIVAPYDYDLVVETFDAEEYLKIVRKHGLAISQPGLNTTSPPPHHHHHPPPKPFFDITVRRNGSEMHLSTLRSAEAKCRRRPCSGFVEVMAPVFSQEAWACVRHMIQNDLVHGHGLDWDLWRYVDKRAGTACVQDPEEQIGVVDAQYVAHHAVVTLGTKRGSLANVTARQKAEFNTFKTRMRNAERAQAAALLAAPEAT >PVH36214 pep chromosome:PHallii_v3.1:6:1660328:1661107:1 gene:PAHAL_6G022200 transcript:PVH36214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAEPSGSPSSRTTGVEFLSPPPLLPTRRRFPRDRQALHFLAASPSSPPLGAGASSSSFIRRTPPPPSAVRQPARCR >PVH36891 pep chromosome:PHallii_v3.1:6:36362755:36363171:-1 gene:PAHAL_6G191700 transcript:PVH36891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLCLQSMHSSSLPDHKISTLAGAAAETAALSCDDTAGSIQVMLNEGLISRRHRIMWSGSVGDLRRAQRALTTVEGQVHRCMFAHIRQEYVEASVAIRHCTDKLVPVGGTPVRLHHFVANSRDCTVLAFRMAASLMA >PAN34379 pep chromosome:PHallii_v3.1:6:7862705:7864117:1 gene:PAHAL_6G088500 transcript:PAN34379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSASRKSLVVLCFFSSLLVLSVATETSGADAAAARKMTPGGAAGAADDTPGYGYPPGYGAGNKIMASGALVTFCCFFPLLF >PAN36253 pep chromosome:PHallii_v3.1:6:42037672:42039071:-1 gene:PAHAL_6G260400 transcript:PAN36253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANAPSVVGPVPFTDVCGARPGPVPPPNDPDDDDASAAALPGDGRLRLRWYQGTWVPRVCVPGVVAIRQGSFAPRRGDVVLASPHKCGTTWLKALAFATMARGAYPPAGAGHPLLRLNPHDCVPFMEMLFADGSAGSRKMGALPSPRLMATHMHHAILPASISNNPDCKIVYICRNPKDMLVSLWHFGRRVQPDLAFSDVFEHACEGVSFSGPIWDHVLGYWNASKESPETVLFLRYEEILLEPAGNVRRLARFVGQPLSPAEEAAGVAEDIARLCSFEALRGLEVNTAAGSGSLLFPNGAYFRRGQAGDWANHMTPEMAQRLDAVMEEKLRGSGLSFA >PVH37057 pep chromosome:PHallii_v3.1:6:40078376:40079497:1 gene:PAHAL_6G233400 transcript:PVH37057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGRGPLSLVSQLGPSRFSYCLTSYLSPTPSRLYFGAFANLNSTNTSSSSGSPVQSTPFVVNPALPSMYFLSLRGISLGTRRLPIDPLAFAINDDGTGGVVIDSGTSITWLQQDAYEAVRRGLLSAIPLPAMNDTDIGLDTCFQWPPPPNVTVTVPDFVFHFYGANMTLPPENYMLIASTTGYLCLAMVPSGVGTIIGNYQQQNLHILYDIANSFLSFVPAPCDII >PVH36288 pep chromosome:PHallii_v3.1:6:2906370:2907597:-1 gene:PAHAL_6G040300 transcript:PVH36288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIESVVFFSGLPEGSAVSDLADRVLHKKNYFKAKSDYVRRPMALDQLIRAVDLLSKQPKAYVILDPYGGAMDRVGSGDLPFPHRKGNIHGVQYLIEWTADEDGHKDEYMDWLRRFYDAMGAYVPKNPRTAYINYMDLDLGTNNWSDRRPDSTGQVPNPEVEAARAWGERYFLGNYDRLVRAKTTIDPDNVFRNAQSIPPLRAPGVARSSPRGISPEVISNGAAYDG >PAN33617 pep chromosome:PHallii_v3.1:6:3260562:3262774:1 gene:PAHAL_6G044900 transcript:PAN33617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFEGVDFVRLRSLEHGTYLHAAEDGRSVHLDALGASHHAAWAVQRKQGGGGGRGGGSGSGSSSRGTSHVLLRGVYGRYLGAPDPSGPLHPCRRRAATQRDRDEREVKAIMWRAVATGSGAGVVLLHDAHGRFLRANWRRLPCRSGVAVGDDSCLGPTTKWAVEVIPPKHGQPELPLARDVSSSSPPSLLPSSSQQREIQWVLADGVGNFREEDWASSQYSGRSAILLRSKLAHLTLQFCRLTLFIRAGRHGQLTPLVTDLPRSRERLDIVVIRADAIAADQLIFPDVDTETEGPVKEGVCL >PAN33967 pep chromosome:PHallii_v3.1:6:4607711:4612179:1 gene:PAHAL_6G061000 transcript:PAN33967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAIGSQSPLSFPSSLCKAKVASGLPICNVKINGNRRLEVVCHGMLATRKFMQRKKKEEVFKDAADEAEQKNWRRMMREIEETGSAVSILKTQRSGKEPLPRDVILGTLVRFKQLKKWNIVSEILEWLRTQHWWDFTQMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYQPSVISQTGLMEAYGRGKQYRKAEAVFRRMQTSGPEPSPVTYQIILKSLVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKSGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILLDAFAISGLVDEANTVFRAMRRHRVEPDLCSYTTMVLAYVNASDMNGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVEKVMRVYERMRIQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPPDQKAKNILLSLAKTPEEQEEANELVGNGAIQLEVKPYSEEVDDADEHEITQTDSGTHRLLDDTPARNHVNGRISAGNYAFDEDGDEDDDDDDDYEEEDGEEFDFVSFKDKRELNFAS >PAN35691 pep chromosome:PHallii_v3.1:6:39489171:39489572:1 gene:PAHAL_6G227100 transcript:PAN35691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDFLQPGVPGRQPVGDRRTARSAIFIGVANGLLSPPHLRRCLCGGPCDGDDDVEQRYYQLANFVVTVLGVALLVVDMAPSLAVARSPRWPPAVRWMVWLTKVLACGALQFGVNVLYFCLRMLCARLMLVFA >PAN33782 pep chromosome:PHallii_v3.1:6:3205723:3206984:-1 gene:PAHAL_6G044400 transcript:PAN33782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGDMKSFFRQQKAHTAATKPTGGFSKKTAAARHHQKAAPALHVQPASDHGAGADERRRQQEAEERERAAREFDMDMRYGPCLGLTRAQRLRRAAALGLAPPPALLALCADDQPCLWEGRV >PVH36657 pep chromosome:PHallii_v3.1:6:20592141:20592784:1 gene:PAHAL_6G131800 transcript:PVH36657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNKIPSLRSSGIYKWTSLSECHHTKCATERTPQVPVSEGTITGRGDGWKVRELQLLLCGACSSCCPCQASSRWPDSQWVLWVLRQLLPWLQGGQPSVALHNQVRGVLHRAHRRVRCRRLLQDLPRLHLRRGRDRDN >PAN36719 pep chromosome:PHallii_v3.1:6:45367679:45372105:1 gene:PAHAL_6G304800 transcript:PAN36719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGPSVALLLLFCAAVAFSLAVADAAGTPDGSEEWGYVQVRPKAHMFWWLYHSPQRVDNGRTPWPTVLWLQGGPGASGVGYGNFMEIGPLDDDLKPRATTWLAKADLLFVDNPVGTGFSYVEGGDKSLMVRTDAEAARDLTTLLCALYRDNPRLRASPLYIVAESYGGKFAVTTALAALRAIDQGRLRANLAGVALGDSWISPLDFVLSWGPLLYQVSRVDEKGLQQCNSVSAKIKDQVEKKQFTDAEASWSELENVVIANSNSVDFYNFLKDDASEDAVSTAAAQRQRSTLSSFRRKNGYSGYLESMASAREGGFDGLMNTVIKKKLGIIPKDLSWGEQSGDVFDAMAGDFMKPRIQEVDQLLKLGVNVTIYNGQLDLICATKGTMDWVQKLKWDGLKNFINSPRTPIYCSKEGQSGTQAFVKSYKNLKFYWILGAGHMVPIDNPCPALKMLADITRSPAK >PVH36118 pep chromosome:PHallii_v3.1:6:159485:160625:-1 gene:PAHAL_6G002500 transcript:PVH36118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSNGDLTHRQDWVQDGTLFNVFRDVVGVFHCKSKNGVSTNVLSKSNHTHGGGTTELNAQEIFKDGVRVYLRKARLYARIRFEKHFRNKFSSIKEHTMWIHAGSTF >PVH37038 pep chromosome:PHallii_v3.1:6:39529009:39530054:1 gene:PAHAL_6G227800 transcript:PVH37038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRICNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSYSIRPRYKKYNKNGPGA >PVH37090 pep chromosome:PHallii_v3.1:6:40735503:40738827:1 gene:PAHAL_6G241800 transcript:PVH37090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRDVVSWNALLTALWRGGRDHLPAARRLFDAMPARNVISWNSIIAGCLAHGDRAAASAYFARAPTRNVATWNAMLAGLVRLGRLEDAESLFGEMPTRNVVSYTTMVDALARRGEVERARDVFDSMPERNLVSWAAMISGYVENGMFTEARELFEDMPEKNVVGCTAMITGYCKEGDVESARRLFDGIRIKDVISWNAMISGYVHNGYGEEAMRLHVTMHKEGVKPDHATIIAVLTACSALALLRQGKSAHAIAVKTLLESSTSFSNALMTMYSRCGSVGESELVFVNLKSQDIVSWNTIIAAYAQHGKYQKVIALFHEMEVRGLTPDDITFLSVLSACGHVGMVDVSLKLFDLMSSKYEISPRAEHYACIVDILSRAGQLEKACNYIKEMPLEAEKNVWGALLGACQTHGYVQLGEIAAKMLVQSDSENSGPYVMLSNIYAAAGMWGQVNQIRGQMKERGVKKQPGYSWTEIANEVHMFVGGDASHPEMSKIISELRKINFHMKMVANETHIMAELS >PAN34528 pep chromosome:PHallii_v3.1:6:26937453:26942089:-1 gene:PAHAL_6G152700 transcript:PAN34528 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os02g0177600)] MGSVDESAAAAVAMPAAEEKPAVVFRSKLPDIEINNSQPLHTYCFGKMAEVADRPCLIDGQTGASYTYAEVESLSRRAAAGLRRMSVGKGDVVMNLLRNCPEFAFMFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKLIVTEACAVEKVREFAAERGIPVVTVDGRFDGCAEFGEVIAAEELEADADIHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLHFHKDDVLLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLVRAHGITIAPFVPPIVVEIAKSPRVTADDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGDALGRNQPGEICIRGEQIMKGYLNDPESTKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEIPVAFIVRTEGSELTEDEIKQFVAKEVVFYKKIHKVFFTDSIPKNPSGKILRKDLRARLGAGIH >PVH36529 pep chromosome:PHallii_v3.1:6:8876246:8876758:-1 gene:PAHAL_6G093500 transcript:PVH36529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFLLFQIECLCLLQRFILLLLLEMIILKLQLIILEMIFLKLHLMLVMLSMFRMMICHMMKEILHMKEISLSVLLIIGLLLVHLLVMNK >PAN35129 pep chromosome:PHallii_v3.1:6:37063821:37067638:1 gene:PAHAL_6G198300 transcript:PAN35129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRARVPVPAAARPRGVPLPEAKGALRVLSRAAVDAVLYAFLAALWANNFVSTVLEILGRWVCGEGSSVEAAGLAVRACSRLVMVLLSPCYVQLVVMRVRERAELDLKEQEKERREKVGISINISFKGGIIVIVIIIVIVVAFILLAIMMQLHHEKGSLMWRMADVLFDVARLGIAIIIGVWAVRNLVILVTVPKAKDEDTQ >PAN33884 pep chromosome:PHallii_v3.1:6:4039348:4042667:1 gene:PAHAL_6G054600 transcript:PAN33884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKYRSKGYAMANAGRKLPYAFLLLLALAAGVLSIVVLQKVREQRIFAGRLQERDRQLVSLRILLQKEKAFNREMKRKLEEMKATTTSLRTQKIEQKTKLKGLEATVANLKKTQKEMEAALAEKDNRINLMEEAATNLKKARRELEATLTEKDRHIRQMDEKTTNATNTQKELEAVLKEKDSRIRQMEEKAIGSNPDQMAALMEILQRKEAELEEIKTRFQDFKKIDRVDVHSKSTPVQTNNTSTTPNTVVVRKSMNSSSVAIPVKSEEKRSGNTTVVESAKPEEKRPTNTTVIESAKLEAKRPANTTVVDSAKPAEKRPANTTVVESAKTEEKRPANTIVVESKSSKDRSLEEKLVKFMANMEDDGIQGNLNDFDDDIDFDDIYGESRSKKSGSPRRNKKFMTNDLDGIGQSGNSLDQDSDRVRYNRLLEKENAKLSKETKKNNTNGSLEKTSKASLDHAGHNSSEKVVQRMAGAADVKPSINMPLNNDEAKQQNRKQKKKKSKSKKKKMADTEDTNVGGEVAKQRATDATSI >PAN36428 pep chromosome:PHallii_v3.1:6:43500453:43502864:-1 gene:PAHAL_6G281600 transcript:PAN36428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLRPISKSGPVGIRPSTHILGQVPDPEAPARARRHRRIAGRTVVGLSPQPLARFPLLFQLPLSAGLLFPGMGGDGGRLPQALVMCVGAFAVRFRGGAGGTPWLCACGGLGLWLGAAGQNGLAFDGCGRRGCGDRRQQHYCISESCVSLY >PVH36192 pep chromosome:PHallii_v3.1:6:1444230:1445617:-1 gene:PAHAL_6G018500 transcript:PVH36192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAARADTRTVHIHNAPTEKVLPISDKMARTTERLEADFSKVEAKILRFPHGLRAIGGDGDRYIVPSVVAIGPYHHGRQHLQKMEELKLAAAYCFVCRDSGRSPEHVYEKVLSVAGAARGCYDTDDPSLTGLCDADFATMMFLDGCFLLEYMARDTSPVLLNRMTQSTGPSIEKDIFLLENQIPWLVLEALMVTEFMSTDVHLFVDVMGAKFLPGRAKAKGGWCGRCIPAALRRWTDESRDGGSTGQYRPPHLLGLLRFAQVGSMPENKAETEQGAVVLFLNDVTACWLVNMAAEEASTSGATRASWWLVRSDDGFVASSYLLVLAMLMDSDDDVQQLRAKRVLHSTFSNKQALRFFKGLAQHLRFGGRYVTLLMEIDSYKRHRAVRIAIHKLFYNSFKAMTIATLFSIVGVLVGIFKTLLDNKKHKQLTSSSSYYCY >PAN35716 pep chromosome:PHallii_v3.1:6:39601031:39606714:-1 gene:PAHAL_6G228700 transcript:PAN35716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLRSRARAAAAAAAAAFPPSPPAPAGVPQGTPAPSPSTSLRLGLGPASPDDDGFDIKSPAAAPPRRSLRLAGAAASPATPAARDGGSSGSGPGSGSGGSVKGTGRARARASASSPAAASPMSGNSGSDGGGPAAGGSCFGGAAPFISLRSGSRIAKRRVEAGGEAVPGPSGGGQVRDEMPRRGAGAPTKRRRSVLVGGVETEYVADSESGSDGDCVMLGQSGVRVLGAQVNTGQSGVEPNAVAMSMDGTEDGARDGPAKAGNGESGGLLEQLVYPAGSPSSPEAEMIVDMYFKEELRQYKLRKEGKAKEKLVLGNNHSRAVGSGYGSSSCKLSTDSKGKGKMVVEDSLSSSLSSSEDELDSEQVDSKEIQRDNSLSSLSSSENELDSEPVEAKEVQSNSGSVSASMEPLRRQAARERAIRLAPKFAFFKADKDEPSQDDEEEELEPGSDPQDWPGPFATAARIYEEREAKLRARESNSLKVNKSANKAIVWSPSKDKKNPVRARAAPSLTSLCINTLAEHSEGIESLGGIPEELKHKLLKILCQSRKMNTHLLNELLCDSPTELHLSECSWLSDDDFEKTFGKCKTDSLQDLQLDISGRCMPDYILPTTLAKAPNCMPLLRKISLKGNYRLSDKGLDTIISAAPSLSSLNLCECSLLTSSGIVILADKLHSVLRELYIDDCTNVDAMTILPALQKINHLEALSMSGIQSVCDKFVNELLSIHGLNMKELAFAGCSKLTSSSIKTIGQNCPQLTSLDLRNLNRLRDSAMRHLRYGCRLIRKLKLQRNTFSDEAVSRYLEESGGCLTELMLNNVEKVGDLTALAISRKCSVRLEALDLSFCRELTNEALGLIVDNCPSLRILKLFGCTQITDLFLKGHSNTSVKIIGIEGSILEQMDNR >PAN33712 pep chromosome:PHallii_v3.1:6:3645103:3646259:1 gene:PAHAL_6G049500 transcript:PAN33712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPSPSLPRKLLRYMSSRLGALHRSPLRVQPKLVAVSATVATAAAATAAYYPEPAGGRHGDGISADGDAAARVPTSGVNVAAVAERNARGRSAEPALESMAKRTPKEGKGGGGGDIHVVSSLAAAGAVVLLHARRWLAK >PVH36306 pep chromosome:PHallii_v3.1:6:3219347:3220447:1 gene:PAHAL_6G044600 transcript:PVH36306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSQLKISKETSFPNHLQIVDCVGVVRKKNLQPNNVSCNRGDSTFSSSSSSSAASSSPTSSFSFDSKYLYLYVSISNDSCAFCSVNSTNLRFGV >PVH37265 pep chromosome:PHallii_v3.1:6:43335722:43338082:1 gene:PAHAL_6G278800 transcript:PVH37265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASALKDLNISQSADLEKGKDNSMKSCISKPVLNGSKCANKEENSLSTCPDAATNGNEAGNADVEYIDSENLVDLPDVDATLSTLVKRLDSKDWVMTCEALNNVRQLAIYHKERLQELLEPLVPLIVKSVKNPRSAVCKTALMTCSDIFKAYGDLIVDSIDPLLVQLFLKASQDKRFVCEAAEAALISMTSWISPSVLLPRMQPYLKNRNPRIRAKASVCFSKSVPRLDVEGIKEYGMDKLIQIAATQLSDQLPESREAARNLALELQVFYEKSQASTSGENEGEPSASSDAESWEAFCQSKLSALSAQAILRVTSTTPKEGVTAGVTSAPKEGVAVGC >PAN33831 pep chromosome:PHallii_v3.1:6:3668154:3669161:1 gene:PAHAL_6G050100 transcript:PAN33831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASSARALLLLLQPRQQLLPLRFASAPRGLAARRFVWVLQQQPRRCWAAGAAPSRSGSGCGDGYPQPQAPPIVRPEGAPAPPREEGEAACGGGKKAPAVARDGDDKGAAPPPAAQEAPVRAAAAGRRRPSSSTSTAKRRPGAATRQGDRAAPAKSKGNKGGRGGPPRPGGGPKEGSGGRGGVHH >PVH37035 pep chromosome:PHallii_v3.1:6:39467827:39468991:-1 gene:PAHAL_6G226900 transcript:PVH37035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQLSWGAVTAIWEDPAAVSVAGLPVVLQVMNLRPWPDAPGSGWRAMLLSDGHHFISAALPPYVAASPAALGMREGAVVHFPNFELRVEVRKRLIIPKELVVLQTEWITIGNPKLYQPAH >PAN36723 pep chromosome:PHallii_v3.1:6:45384862:45386701:1 gene:PAHAL_6G305100 transcript:PAN36723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAGEGRRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLVPFAYFLEKKDRPQLTINFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKVRLDHRDGVAKVVGTLACVAGASVITLYKGPTIFGPEASLGLEAAAKVGAGGEKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWKFHSGSEIFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIIAGLYLVLWGKSEERARLARDRAAAAVMPPDAAVIRSAKLQAPPSSTTQPLLLPSSTENV >PAN35781 pep chromosome:PHallii_v3.1:6:40089809:40091582:1 gene:PAHAL_6G233500 transcript:PAN35781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAFILLLVPVLASMAATGTASFDVRAELNHPYAGSPLSRYEMVREAASASKARRAWTAARLAKACGRGGGGTASAPDVPLAPLGRSIYTLTVGVGTPPQRHTLVHDTGSDLVWVECKLLNGAATPTDPLYDPGKSSSFAAVPCDGKLCREGEFESKNCSRNRCLYTYAYGSGRTVGELASEVFTFGVHHKVPATLNFGCGRFLKGDIFNASGFLGLSPEKLSFVTQLQIPRFSYCLSPYTDRKSGHMFFGAMADLSRYRTTGPIQTTSFLNNRIGSNIYYFLPLIGISVGAKKLSIPASSFAGTFVDSGYTTGALTAPALDALKEALADALKLPRSSSDPDYDFCFQLPRGVPMEAVPAPPLVYHFEGGAAMVLPRESYLAEPSPGEMCLVIGEDTQPVIGNFQQQNMHVLFDVQNQKFSFAPTQCDQI >PVH36244 pep chromosome:PHallii_v3.1:6:2031971:2033829:1 gene:PAHAL_6G028500 transcript:PVH36244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDTTPAAFSAFGDDAGSFQPLNPEDVRSYLHKAVDFISDYYKSVESLPVLPDVKPGYLQGELQAAPPASSAPFDVAMKELRAAVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPASFMNRTAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSEGVSGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSSNAVDPVGAIADVAAVFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDTHRLTDSLETSPEYLKNDASESGTVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEDSVRSDDRFEVVVPRNFALVCFRIKPSRGMTEEDADEANRELMERLNRTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRSAWELIKKTTAEIMQEKIVVE >PVH36892 pep chromosome:PHallii_v3.1:6:36364928:36368989:-1 gene:PAHAL_6G191800 transcript:PVH36892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEANIAHQDSNTKTLMPSLAPYVGMEFRNSDEAWSFWISYGAQKGFEVRKRYTNKSQSDGKVTSCRFVCANEGHRRQDKRDHLTKRRRAETRTGCQVHMSLKMDRKKGNFEVSELVLEHNHIVYLPQTLHLMVSQKKISDIPAFEIETADDAGIEPKAAHELASHQVGGSLNLSYTVQDHTNYLPTKRQRGMAYGQAGSMLKYFQEKIAENPSFQYALQMDCEEQIANIFWVDAKMIMDYAHFGDVVSFDTTFGTDKESRPFGVFVGFNHFRETVVFGAALMYDETFESFKWLFETFLKAHNGQQPKTIYTDQDSAMGKAVAEVFVEAWHGLCTFDIMQNAVKHLHEEKNEDTNILSDFSACMFEYVDMAEFEHKFDIIRKKVSKQTWLDGIYKLKEKWAECYMKHVFTLGMRRTQSNESLTNDLKIHFKSDFDIIEFFIHFERVVQGKRDNELNSEFDSRRNLPKICMRRPPPILVQASKLYTPIIFEAFQGEYEKSLAACTKALEGNNEYLVGDFTFKEEYEVIGDPSKQTVVCSCRQFDRTGILCAHALKVLDLMNIKLLPPQYVLKRWTWEAWIGTAQDNQGRNIAENPMDAMLRYKYMSRKFLNLAHQAANFPECTVLVDRALDILGKQIEDKVNGCTSTDPCTVHTDDLFTGELVFW >PAN33340 pep chromosome:PHallii_v3.1:6:1138527:1139428:-1 gene:PAHAL_6G013500 transcript:PAN33340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPIKTYPAAPSIATHTHTHTHKTQPSLNRSGNKQEVTRINSSPPTMASRSSSILLAAAALAALVSVGSCLSALSFKTGPGCSATKLVLIPSIAISEVEVKEKGADDFSELKEGPTGTWTLEGKAALKGPFSIRFAAKSGGYRVVDDAIPASFKSGSVYKTSLQV >PAN33371 pep chromosome:PHallii_v3.1:6:1321308:1324135:1 gene:PAHAL_6G015800 transcript:PAN33371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARAALLLRRQCLGAAAANPYLFSGHGLRYRKLEVILTTTIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNMDKFAILIREQRKLYQREEEVVKEVTKEDDDARLQEERLKQYQTAAKRLDNALLVLRRFISTGTELRTPVTKDEIVSEVARQLNINIHPDNLHLSSPLSSLGEFELPLRLPQDIPRPEGKLQWTLNVKIRRK >PAN34285 pep chromosome:PHallii_v3.1:6:6609668:6614602:1 gene:PAHAL_6G080900 transcript:PAN34285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIALSLVMRLVQEISARLLLDASVLAEKDAALLRSVPGNIRYIKDELETIGAFLGVVEDLQEGYPKYQMVKPWAEQVKDLVYDIEDCLEEHSIARTHNSSWSKRILSNNNALRQFAAKLSYVRSRIVEVSERNRRYDLVAPYESTSSYMTITVVLDHMRSRFLKGTTQNDTSGDPKKEVDSWASASPKETSRGAPKVAAIAGMCGSGKTNLAHEIYNEKCHHFSCHAWIELSQNVNVTKVFRDMIVQLSLDSSSQTGYIGEEEQLAHHIQEKLKDKLFFIVFDGLWTLRAWDRIKRALPDISRSGSMIIVTTEILHVAEDCTKSANHVYFVPLLPEWKSLEFLKDLFLKSENGEMSPEDKEDFQDLDLDSLKVPEPPFETIAKVLQKCGGLKLAIKTVAQLLTSEPPHKWGQLCEHLPSLLYNDPRLKKIKRVMTRSYKCLPPYLKPCFLYLSIFPEDSNINVATVLRRWVAEGLVREMTGMSPEAVAVRYLFELFDRNLIKATKLTRNRSCKTCWIHPMMRDILVMIAQEEKFSTTVGKNISTILPAKRFRHVTLDGRNDRALIKSVDISGIRSLTVFSEPSESIASLICSLKTVRVLDFSNASFPITQQDIHHIGELCHLRYLNLSESSICELPSSIGMLPFLQLLNVRKTQIRSLPSEITRLERLQILSASRKIEYSCHYRNRHCSCNSEGVTVPKGIENLENIEGLEVLDVKGSTHSTVKDLGKLTRLKYLGLTGLTKKNSEEVSDALRKLSPSLIYLYLAACRKNGTLCCLPTDKGSLEFPRLETIKLDGHIGTMPEWISHSLTLSVVKLHRTRLQQNNMRTLEGMHSLITLALLDSSYVDEELVFYSGTFRGLQRLELVGLPNLEAVRFQEKAVQRLQEISIQSCRLSLFGQRNLNRLWDVFFDIGVVVVD >PAN35204 pep chromosome:PHallii_v3.1:6:35964975:35965715:-1 gene:PAHAL_6G189200 transcript:PAN35204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDGLSSGPMLLQCIFADVAVSCANCCNVHFFLHVATSDIFFELVFFFMLHKVFLDVSLSDLQGACFLEKKKRL >PVH36987 pep chromosome:PHallii_v3.1:6:38529386:38529877:-1 gene:PAHAL_6G215400 transcript:PVH36987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQSTAAAAAAAARPAPGTGPLPVRFLRGAPSRRREGKQREHRDQTERVEKRLAKKGRWHKALAAFLRPLLAIRQEQAAGRARVVGARSFSSPPLVASGPPLPRHFPPDSLRAQPPPGGLARAWL >PAN34165 pep chromosome:PHallii_v3.1:6:5599052:5601419:1 gene:PAHAL_6G072700 transcript:PAN34165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGPAGDGGAAVGGAAWRGRRDARGARPGGRRAHMRRPRSSPGRLPSSRPLPAELARAAAPRSWRRGGGSGPPPPRRARRSLVLRSRHGFLGLGDERPRAQRWHTSRQAASACASTGSRAWSAANRLRSTPLLSKQRIAWLQPPKGPRARAPRRKGQLPFPLPRRLCPQITTSTEKLPLDSKSAGFP >PAN35473 pep chromosome:PHallii_v3.1:6:38255223:38256689:-1 gene:PAHAL_6G212200 transcript:PAN35473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATAARRRGVLDLEAQFAFFRSQHRHPVNAAAHALLTWPILFTNLLILRFLPLPPPLDPALALALAYAAAYLAADRRAGALAGLLFLAAWAASRALAARLGFAASWRLVLATQLFCWTWQFLGHGLFEKKGPTLGELPEVFLMEPFLIFLQILNKLFGYEPYPGFCKNVDKKMEADLGESRELEQRKIT >PAN33988 pep chromosome:PHallii_v3.1:6:5265652:5270405:-1 gene:PAHAL_6G069300 transcript:PAN33988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHAFVHFAEPEAARRAAAGSELLVKRARPAHGGSLRRAARRRGAEPFRFAGSRAEVGNLWEPDDFAVAWRAPEPGDSAAPGGLDFVVDPLDDTCRLVFSRDAAFEFPGSRGASAVLRCDNDDSLLLRLSAAPLLYYRTAADDVHQPVPFDLLDDDDDDPWIRTTDITPSGAIGRCWVYRVSFKTWLWPEMKDALAYMKGQQVPVLLCGIGCRGLNVNDEQAFGQPTDDLFFSVQYEGLRFPVLFLVNVLVHKGIVNEHQLTSEFFGLLEKEEDDVNVAALTELLGEKFQVFYLCRRLKNVQIRAAENNKLLRLHSSRKVTGDHNAEVRSMVITPTRAYCLPPQVELSNRVIRHYHRVADRFLRVTFMDEGMQPLNINALNLYAAPIVKDMMSNSLQQKTTVHRRVRTILTKGFHMCGRKYSFLAFSSNQLRKRSAWFFAEDGTTTTASIREWMGQFPSNNVAKHAARMGQCFTSSYATVLIQPYEVNEFLEDVEHNGHNFSDGIGKITPGLAMEVAKRLPLMHNYPPSAYQIRYAGFKGVLAVWPGQNDGIRISLRPSMRKFESTHSVLEVVSWTKFQPAFLNRQIITLLTSLGVPDSIFWQMQGAMLHNLDRILSDRDAAYQVVTTSCSEHGTIPGLMLSAGFTPETEPHLKAMLLAIKSSQLQGLLEKTKIFVPKGRWLMGCLDELGILEQGQCFVRASVPSLNKYFGKHGSIFSSGNKSAEVIVGTIVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGVRPHPNEASGSDLDGDVYFVTWDENLVPPRKQSWTPMDYSPVHARQLLRGVRQHDIIDFFLRNMVSEILGPISNAHVVHADRSKYGAMDDKCIQLAELAATAVDFPKTGKIVTMPPFLRPQEYPDFMGKEDDISYKSEKILGRLYRSIQQYMLVRSLEDFSRNDVPYDTNLEVPGASLFLEDAWQCKCLYESKLNRLLNQYSVRTEAELVTGEIWSLTERNKRRKNEIKERLKHAYSKLHQEFRNIFESIGADHGESPEEKKVLVYEMKASAWYQVTYHPKWIQALRETSEFDGKEMPARLSFPWIAVDYLTRIKIRRRGQADAISRDRGLRFKRQFSEGCEVSWMPEARPLYHFALPT >PAN34121 pep chromosome:PHallii_v3.1:6:5343829:5344611:-1 gene:PAHAL_6G069700 transcript:PAN34121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEETSTLSYYSLSLRSSVQTDWMATCFLCDVRIHIRREYNLLLLCAGCSRLFLLVLNGSWFEFNVIKLFDFFLKQRYTALPHIQEIKEKEGEHR >PVH37112 pep chromosome:PHallii_v3.1:6:41193906:41195253:1 gene:PAHAL_6G248700 transcript:PVH37112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGARQRRGVRWRRSRVHAQHSGSSSSIKDRSRPPLLAALRIRDGSQLHTWFCSSSSSWALDFALRFLSKISGARVGGSARGCGSAAEVAGRSTPGRGAGSNARYSLPPACLAMDWRWAFSAPARSARTLGRAAAARPCGRLRWPAVRRPGRRFRGAAILAAARACCTGRAVPRAAWLAASFLLGSPTTWNKSRVTYPAGRPAAHGHVGTAVGSRWMEQPRAPIRPGCRACERVHVPEPRTRQALLI >PVH36428 pep chromosome:PHallii_v3.1:6:5450164:5452863:1 gene:PAHAL_6G071000 transcript:PVH36428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNCIHSLLDAVQCCVHFLLALLLCAIPARAHSQLQAPPPCTAPGLDPASPTSQCIKDQRVTPYPNDDHADCNEGAEANPPSVANGLRQSYEEKNNCYCGCCSCQISCKNSDPIDAHSSRRRHHPNTDHSNSYHHEYCVCTQVASLPFLQGIPQPHNLKYARNDLDCKIEMIRFPVEIPLRKRIWLEVMTN >PAN34489 pep chromosome:PHallii_v3.1:6:9361219:9363187:-1 gene:PAHAL_6G096500 transcript:PAN34489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLAPLALAVAATVVALALCFHPASAQSAWLDEFRTDGEVRSDFDASGKQVASLVLDENSGAGFNSTEKYLFGEFSVEMKLVPGNSAGTVTSFYLTSGEGDEHDEIDMEFMGNSSGAPTVLNTNVWASGDGKKEHQFYLWFDPSADFHKYTIIWNAKNIIFRVDDVTVRAFRRYADLPYPDARPMAVHATLWDGSYWATEKGKVPIDWAAAPFVVSYRGYTANACVARGGGGRTSCPAGRNEWMDRELDDTDRLTVAWARRNCLQYNYCEDGWRFPDGFPGECSRE >PAN36684 pep chromosome:PHallii_v3.1:6:45159686:45162475:1 gene:PAHAL_6G301600 transcript:PAN36684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGDSMDAARIVGYFKGKSILVTGSTGFLGKILVEKILRVQPDANKLYLLVRGTDASSAQQRVQQEVIDSELFGLPREKHGADGFQQFIQDKVVALSGDIIHENLGLEAPMLKDLAEEIDVIVNIAATTNFYGRYDVSLDVNVMGVKHLCHFAKQCPRLKMLMQVSTAYVCSDREGLILEKPIEPGESLREGTYLDVDAELRLVREAKKELVMMNSSSDGDARKTAERKAMKELGLQRARHFGWSNTYVFTKAIGEMLLGQLRGDMPVVVMRPSIITSVRADPLPGWMQGTRTIDTLIIGYAKQNLSCFLGDLSVVVDVVPGDMVANAMMAAMVEHSEEKGAAAVPVYHATSSLRNPATYSVLYEAGRRHFYENPRVGKNGEIVPTREMCFFTTIARFHLYMLLTFKLPLEILHLVNLLLCGLFSRLYNDLNRKYKFVMHLVDVYGPFAFFNGCFDDMNLERLRLKMAMKTPEDHMFNFDPKTIDWDDYFTKIHIPGVLKYLCK >PAN33437 pep chromosome:PHallii_v3.1:6:1620149:1625613:-1 gene:PAHAL_6G021200 transcript:PAN33437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKTHRFSKGHPLGFVPDYRHGVETVGESKGLGSPARIDSGSSCAPPKRKCTSVNSEEGEGASGFNVRREVFSLPRMTALDRKDLEMRLRDELVQVRALQNRLFPRGPAVSMNGGAASAPGGGADVHPKKKVEKLKRSNSVQLDRGVPPPVAVAPPVASSANYTASFKQCANLLKSLMAHAWANPFLAPVDVVKLNIPDYFDIVKQPMDLGTIQKKMNAGRYPTPLEFAADVRLTFSNAMNYNPVNNDVHVMAKTLSKIFETRWRLIEKKLPRPDEKPPQPDEKPPVREPAKKNATKRDAIDKEDPIKKKPSKKSAPKQDIFQEEDLVDNPVLQPKKRRTSPLVSSPLLQDAPLVEAIVPTGKRIMTSEQKYDLSARLQSYGALIPDHVVEFIRSHADDCDADEDELELDMDALGDDTLFELQKLLDDYDRVNPSKNLTEEDPHEVESRSQYELVNPSVCHEEGNELIDEDIDIGENDLPVSTLPPVVFEVETADRNSKHSTSSSSSSDSESSSSDSDSSSSSGSDTDAKALPQNSGLKENVLPVDNLDQEKGLLNTLNLPEQSTDPISVTADGEGENVSEKQVSPEKQIRAALLRSRFADTILKAHEKALDQVTKKDPEKLRRDREELERLQREERARLQAEAKAAEDARKKAEAAAAAEAAAEAKRQRELEREAARKALQEMEKTVDINEGSLFLKDLEMLGSATGEQIPNSVGEMSPTDMPDALGFQLRGNALEKLGLYMKNDEEDEEGDFTDEPVVDVEEGEID >PAN33849 pep chromosome:PHallii_v3.1:6:3732986:3739330:1 gene:PAHAL_6G051200 transcript:PAN33849 gene_biotype:protein_coding transcript_biotype:protein_coding description:A member of the somatic embryogenesis receptor kinases (SERKs) family, Brassinosteroid (BR) signaling, Regulation of plant architectur [Source: Projected from Oryza sativa (Os08g0174700)] MAAAAAGRRWALWALLLLWLLLHPAARVLANTEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPGSLGNLLKLRFLRLNNNSLSGPIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNANLCGPGTTKPCPGAPPFSPPPPYNPPTPVQPGSSSSSTGAIAGGVAAGAALLFAVPAIGFAYWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDGFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPESEPPLDWQTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLESLVDEDLQHNYIDVEVESLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRTSEWILDSTDNLHAVELSGPR >PVH37107 pep chromosome:PHallii_v3.1:6:41131501:41132315:1 gene:PAHAL_6G247600 transcript:PVH37107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAAKSETSDSENRTPEWGHGYMPGPAGCCSCVWHTVIMRPTRRRPALGSLESTAGSARLHGSSQQIVEEQTGIEEGNFL >PAN35504 pep chromosome:PHallii_v3.1:6:38450778:38451740:-1 gene:PAHAL_6G214200 transcript:PAN35504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRMVILRRCHPPPPPPAAVLMGGCGGGVRYGECRRNHAASMGGHAVDGCREFLAEGEDGTAAALRCAACGCHRSFHRRVARRCCCFFCCDDDAAAAGARWGGGDGCSPESSASSTTPR >PAN35663 pep chromosome:PHallii_v3.1:6:39302302:39303482:1 gene:PAHAL_6G224500 transcript:PAN35663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGLSKLRCMIRRWHSSSRIARAPSSADAGERGPGASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQSLVHRTGGAAEAGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >PAN36166 pep chromosome:PHallii_v3.1:6:42375767:42376237:-1 gene:PAHAL_6G265900 transcript:PAN36166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESPFVFSVRQQQDPSLQLALKPNMAEELDAYSNKEGENQLIRQVRQQSPSQQPIGHFLAETKTNHRVSQSSDAPSRKAPWIMASRASGAPARSGGSGGHLIVHQLHLRVFRCSGLPRFPFRLRPPPSPRASRLSFKSATEEFSWNRTAEQGVYM >PVH37260 pep chromosome:PHallii_v3.1:6:43212871:43213182:-1 gene:PAHAL_6G277200 transcript:PVH37260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERGGRRGRAAGRGGEIRGGGGGGGDAAGGGAGDGGGGRWWCCWRGTGRPRWRPARRQRPWRRWQRPGRWSSGSAARAGGRLWGGRGGNDGLHGCRRRPSRS >PAN35218 pep chromosome:PHallii_v3.1:6:38631441:38632992:1 gene:PAHAL_6G216800 transcript:PAN35218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPDRTGGGSACPEEEEASVRLPSRSGNCCSTCLRKRTCRSHDVFISSTVWSLLVLLPLYEFKKGYQQLLPVDRHLYVSPKAYPYGLNGTGRPFLNMVPAGHVLPMFGHLEIKL >PAN33780 pep chromosome:PHallii_v3.1:6:3197462:3199834:-1 gene:PAHAL_6G044200 transcript:PAN33780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKFGPITPLPDLVTIHAPKEEDELRPPVLVPEL >PVH36152 pep chromosome:PHallii_v3.1:6:838763:841185:-1 gene:PAHAL_6G008700 transcript:PVH36152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQCEQTLDRSEPRASCQRDGDGDSRHEETWIPYLPEDIWRHIHSLMPMDAAARAACLSRTFLNSWRCYPRLDLDPGTLCSKKTDERHFRCRVDSILRNHSGIGLKILKLNLWLRKSYFPYLDSWLQAAVTPGIEELTLWLCEEYNFPCSVLSDGVRDSVRSLQLIFCTFRPKSELGLLRSLTRLSLCYVRITGEELECLLSNSLALEHLDIDRCKEIVLLKIPSVLQQLSYLEVRGLENLQVVENNAPNLSRFFLGGVEKVKKLSLGGQKMMKVFTLCRRSAVSYALAELPSIMPNLESLYLCSTSEVHTPPMPPTKFLNLKYLFIQICGGTISQPYDYFSLVSFLDASPSLETWCLNVHQDRREHESVFGGGSALHLRQLPEHLKLKSVEITGFRSAKILVELTCCIVKSAVSLERLTLSTFDGYGRCLGENNRDCRDFMCGPISKAELEEASRAVVAITSYIEDLVPPTAKLTVLEPCPCPRCRRSTPVSSAR >PAN36038 pep chromosome:PHallii_v3.1:6:41437396:41441262:-1 gene:PAHAL_6G252400 transcript:PAN36038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKRGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDEAPEADADMPPLEDDAGESKMEEVD >PVH37085 pep chromosome:PHallii_v3.1:6:40575195:40580489:1 gene:PAHAL_6G239500 transcript:PVH37085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPAGAPLLQPRGDGAAAEWWAESKRLWRIVGPAIFQRIALYGINVVTQAFIGHLGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWIALLLFAVALTPTYILMEDLLLLIGQSPELARLAGKISVWLIPQHFAVAMLVPLTRFLQSQLKNWVTAVTAGVTLAVHAAATYLLVRRFQLGIVGAVVAADLSWWLVVLGQFLYVVGGGCPLSWKGFSTEAFADFWEFVKLSSASGVMLCLENWYYRVLILLTGYLKNAEIAVDALSICLTINGWEMMIPLGFLAATGVRVANELGAGSGKGARFAIVVSITTSVLIGLFFWCVILYFGDQFALLFTSSEVVLAAVHSLSVLLAFTVLLNSVQPVLSGVAVGSGWQALVAYVNIGSYYLVGVPLGVVLGWPLRFGVGGIWSGLIGGTAVQTLVLAYLTARCDWDEEAKKASTRMRVWASSK >PVH37089 pep chromosome:PHallii_v3.1:6:40695162:40695896:-1 gene:PAHAL_6G241200 transcript:PVH37089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDMMIFMERSTRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRAATAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN33310 pep chromosome:PHallii_v3.1:6:978124:980811:1 gene:PAHAL_6G010800 transcript:PAN33310 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Regulator of both biotic and abiotic stress response [Source: Projected from Oryza sativa (Os08g0112700)] MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDAHIGIIIFSAHGKLYDLATTGTMEELIERYKTASGEAALPGDGGSGHRMDPKQETMVLQQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKMQIMIQEIQALKSKEGMLKAANEILQEKIVEQSGLLDVGMTVADQQNGHFSTVPLIEEITNPLTILSGYSNCRGSEMGYSF >PVH36138 pep chromosome:PHallii_v3.1:6:380418:381619:-1 gene:PAHAL_6G005300 transcript:PVH36138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIKSNPPNKKTHQSKTLAGVAFMEDDAVAVLATTLDSALMDGTARMLAQRVYSSLPAPPVSTSAPLSKHAAAAASSPPADGADRFSGLPDDILRGVVSRLPARDAARTTALSKHWTGVWRSVPLVLVDAHLLPAAAGLPDTVSRALAAHPGPFRCVHLTGTPMAARRNEVTRWLQLLAAKGARELVFVNRPTPIRAHLQLPGALFACTSLTRLYLGFWTFPGTGTVPPAAVSFPRLRELGLGSVAIEEWDLAFLLENKCPVLEKLVVVGSRWRPVPIRIRSCSLRCVQVCSSTVPEIDVGHAPVLERLLLCGVSGRICGLFTMASRIKIGYAPNLRFLGFLVPGMHEPEITGNTNTVLPSVQMVGVQMLSQHGDALYPGKI >PAN34530 pep chromosome:PHallii_v3.1:6:26888900:26893827:-1 gene:PAHAL_6G152500 transcript:PAN34530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDFGAPKELAGGLQQRRALYQPRLPPSLQGPTVRAEYGDATTTIDPSCANVVAQAFPNTFGQPLVSFVAPAEAAATEERPPIRVGVVFSGRQSPGGHNVVWGLHDALKAYNPQSVLYGFVGGTEGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRTTKQVNSAMAACRSLNLDGLVIIGGVTSNSDAAQLAETLIQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVALSKLTLMEIINKICDGVQARAELGKYHGVLVIPEGLIESIPEMYALIQEINILHNNNVPVAEMSSQLSPWAAALFQFLPSFIRRELLLHQESDNSAQLSQIDTEQLLAHLVETEMIKRTKEGRYKGRKFSSVCHFFGYQARGSIPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSLKRHLRGPGAIPIGRPSIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIENQDYMGDIEILKECLSKVRTMVKPGCSREILKAAISSMLSVTDVLTVMSHPLNAELPLYHFK >PAN34305 pep chromosome:PHallii_v3.1:6:6837205:6838737:-1 gene:PAHAL_6G082800 transcript:PAN34305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRSPLALLAASLAVLAAVASADSWLYEKFNTDGTVRADYDASGQQVAMLNLDRSSGAGFNSKEQYLYGEFSIQMKLIPGNSAGTVSCFYLTSGDSDDHDEIDMEFMGNSTGQPVVLNTNVWASGDGKKEHQFDLWFDPAADYHNYTIIWNPTNIIFKVDGNVIRCFKRYADLPYPSSRPMALHATLWDGSYWATEKGKVPIDWSGAPFVVSYRSYSADACVSGGACPAGSDRWMNKQPDAAEWGTIKWAESNYMRYNYCEDGWRFPQGLPAECSRS >PAN34970 pep chromosome:PHallii_v3.1:6:30688525:30688659:1 gene:PAHAL_6G164100 transcript:PAN34970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQILSETIRSEFMINSTLRRGTHLVLSFSVVFLYWFYVFSVRS >PVH36798 pep chromosome:PHallii_v3.1:6:32032927:32034089:-1 gene:PAHAL_6G169800 transcript:PVH36798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHITSTHDYQIFLMLVLHFSFIWIIGVRESLLELNFALKRNLDLHMPSYTV >PVH36698 pep chromosome:PHallii_v3.1:6:25079424:25081565:1 gene:PAHAL_6G145300 transcript:PVH36698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELNFEFPKDAIGRNFSYAYYSRNLTNGDSVDRKWLVYSKHVDKVYYFCCKLFKSNKSKSLLASDEVRDWQHLSTRLKEHESSVEHLTNMNTWNEIRLRLSRNQTIDDEMQQKITKEKERWRQVLVRIVSIVKFLAKHNLAFWGSNEKLYQDNNGNLLGIVEMIAEFDPMMQEHIRRIHSNEIHHHYLGHNIQNELIYILADAVKEHILKIIKDAKYFSVILDCTPDASHEQQMTLIERCVNMASHIPRVEEFFLRFLKVDDTSGLDLFNVLIDTLDNLDLNVDDMRGQGYDNGSNMKGHNKCVQNPISFFGVIQRIHTLFAHSTKRWKILLDNVTKLTVKSLSNTCWESQIKSVQPIRYQTPQIRSALQKVEEICTNEGDATGVSDAQSLVGAHENFEFLDDMVIWHDILFTINMVSKKLQSKIVCMDATFKQIEGVISYFQKYRDEGFESSIEVAKGIASDMDIEPKFPTKCQGKRKKHFDEINDQDEEIQLSAMEFFRVNYFLIIVDATLHSFCRSFSDGNSSNVDLDDFFSELKVLQATLPNGVMSAPEILRFVNCYPNVLIAYRILLTVPMTVASAARSFSELTLLKNYLRSTMLQQRLNGLAMCSIEKDILDNINLDSVINDFASRNGRRSFFVKE >PVH36255 pep chromosome:PHallii_v3.1:6:2416721:2421195:1 gene:PAHAL_6G032400 transcript:PVH36255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKNTRKSLGRILLKGDNITLMMNTGK >PAN35470 pep chromosome:PHallii_v3.1:6:38227725:38233394:-1 gene:PAHAL_6G211900 transcript:PAN35470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAALLPSPPGRLLVPAPLRCHAPTQHSSSPAAARGLLLRGAGSPVVKRASDGGGWLLWHQSGARVALATSPDGLRWSAPVSPDPLLPSEDWWAFDTAAVRPSDVLLISGPAASSRRFPSSAVYWLYYAGSTDGRFGSAFPAADVPALPGLAISQDGRNWARIEGAHHTGALLGVGEDGEEPRGWEARCIAAPKVVMHADGDLRMYYHSFDEMSQRHAIGLARSRDGIRWTKMGKVLEGGRAGSFDECGVRHGHVVRDRAAGRYVMVYEGVDADGRVSIGMAVSEDGLKGWRRSSEMPVLCPSEEDEGWDGAGVGSPYLVQMEGAYDWRLYYMGVGRDGKASIGMAYSEGQALQKFEKCDAVLM >PVH37033 pep chromosome:PHallii_v3.1:6:39462639:39464344:1 gene:PAHAL_6G226700 transcript:PVH37033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDFLRPGAPHDQPLVDLPTAMSAIFVAVLNAGITTMYYLLPCHGASAAACAGAGDLELMHYDLYWRANFATAVLGVALLVVGVALLAFPETVLRSPAWPPVLKWMVWTAKVLTGVTLTYSLSVLHYCLRMHARPLFDWLSQWLYHHLFLTATIVVLCNLMYFYSVYCSELRRVA >PAN35800 pep chromosome:PHallii_v3.1:6:40249092:40251123:1 gene:PAHAL_6G235200 transcript:PAN35800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPHLPGLSGLVLAVAAALCVLGSDTARAQVLFQGFNWESCKNQGGWYKFLQGRVDDIANAGATHVWLPPPTHSVAPQGYMPGRLYDLNASRYGTEAELRSLIAALRGRGVQAVADVVINHRCADKQDARGVYCVFEGGDPEGRLLNWDADMICGDDAPYSNGRGNRDTGEDFGAAPDIDHLNPRVRRELTDWLRWLATDVGFSGWRLDFAKGYSAAVAKAYVDSAGPGFVVAEIWSSLRYDGDGRPASNQDADRRELVDWARAVGGPAAAFDFTTKGVLQAAVHGELWRMRDGDGKAPGMIGWLPERAVTFVDNHDTGSTQNSWPFPRDKVMQGYAYILTHPGIPCIFYDHVFDWDLKQEISALSAIRKRNGIHPGSSLSILKAEGDVYVAAVDDKVITKIGPRYDVGGLIPPGFSVAARGEGYCVWEKSSGLRVPAGRYH >PAN36036 pep chromosome:PHallii_v3.1:6:41431202:41434930:1 gene:PAHAL_6G252200 transcript:PAN36036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVKIKSGEPAAKSGYSCGDEDLTQDDRMLLQSFPIHESDDSELAEVDCEFAMSRGQVCNVPYGLYDLPDLTEVLSLETWNSCLTEDDRFYLAAYLPDMEQHDFVTTMKELFSGDAIFFGSPLRSFFLRLNGGLYSPQVSQARELLLMFQRRRHYQFLMLYHDGMVGKFASMAKLLRSSDMSTSLREKVPISHNRVYEKQFPCVGLSSSTQPIIIKGESATVSPMKRDKLMDGSLSTYRSSRHNDTGNVAKSAEMNSLESQIFHPLCDPRQNCGKLPKGVLKIRTGCASHIDGSKGIHHRPRLLLVDQLGMQSSSFFAPPHAFAHDVHVYSENSSSHLNTNSGTSASSRRNPLQWKDTCALIGKSPLGVQMTVPEEHNAVYPSMMLRGFYQPAANHSLVYSSEAYDTRECGHMKDLLKNFGHQNSIVHQSSPDPCAGVSAGHQANGYTTLHSIRNAESISEMLNLGTGKLSEQLETVCKYHDGVKLEAPPAKPVTEVEEARQFAYTYARRKPHKRSTMVEDTVSPGVLDTNMKVKAIKL >PVH36427 pep chromosome:PHallii_v3.1:6:5444733:5445695:-1 gene:PAHAL_6G070900 transcript:PVH36427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPDLESWGWMGPGHDYFESGNMDIFGHAPRECMAAMPCKMLLVSDGSSGKPDWYVNFVEIIQIDTDLSVLVRKFFINGWLSVNKPPYQLFAYQDLCGNDNTAVA >PVH36957 pep chromosome:PHallii_v3.1:6:38001141:38003421:1 gene:PAHAL_6G209100 transcript:PVH36957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPRRRQIRGDQWIEIQALMMENTACNGEPNRRVARSTSRIQEAKRFLARPVLLVMQLRRSHGHSPASE >PAN35017 pep chromosome:PHallii_v3.1:6:25714383:25715106:-1 gene:PAHAL_6G147400 transcript:PAN35017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTSPQAAGLNRRLSAAVIAAAAAIAVAAITLQAPLPAAAQNQVSLKPRPEAHNDVFKGCFKKDPNGRKWPCSRINNPGANLTMFDCDIENQMGTEMYLDCDGDQRPYHFGPGERRYESYASVFDPAPPPRTGLSPRVACEWRCAGNVMTGVVVWDEQWPEAWSCREVGGDGQCRLVFESSKEVVLVTRAGRRVLGDLPIKECSKNWWGYGGWLPFGFGCTYPKHDHKYYGTVKS >PAN36383 pep chromosome:PHallii_v3.1:6:43293546:43295793:-1 gene:PAHAL_6G278200 transcript:PAN36383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRWCLAAALAVWCAVAAAQSPPAADPLQAKCSADFAKLTDCMDYATGHAGQPSSTCCSDAADTQKARPQCLCYIIQQVHSGRNEVQSLGLRFDRLMALPAACKLANANVTLCITLLNLKPGSPDYALFANASKITPSTGGTPASDSTAGGGFKLQAGFRGSVALAVLSAVLSSMF >PVH37013 pep chromosome:PHallii_v3.1:6:38884757:38886120:1 gene:PAHAL_6G219200 transcript:PVH37013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRMSNNVIVALNAVTLLLSVPVLAAGVWLRSRADGTGCDHFLSTPTVALGAALAAVSLAGLAGACCRATWLIWLYLLAMLALVAALLCFTAFAFAVTSRGAGAGGGGGDYSAWLRRHVEGSRSWAPVRSCLAVAGVCKRLEKDGGKSKKAAAGALGRGLSPVEFGCCRPPASCNFTYAGGGEWTRPAGRGPAPPADPDCARWDNDDDKLCYGCRSCKAGVEGELRRDWKRAAVINAVFLAFIVVVCALSCCAFRNSRRDNFAYHSSRGGWRRAGDA >PAN36092 pep chromosome:PHallii_v3.1:6:41781615:41784861:-1 gene:PAHAL_6G256900 transcript:PAN36092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAASERDSSSGTVQLLLRNIDSTTTVIRVRREDTLESVLIGCLGKGAARGGELPVSYAGRDLPRGSTVGELGVPRDATIHVSSRLRSTPYADAWSLASEIAASARFPVARSGQPAVVAPPVDLDNLVGSFLDLAHAANRKEAPSSRGAAVAAHLDIFLRSGAPVVLVQQYLYADEPPRHAEAERAIRRFVSPHRTVRGWTAPVLLEFCRSIAAACGRQVDGNPLYTDLRGTLAAVLSDPDWTPARWLDLPQERVAEQVGRFAGEVARAVTEEIARAYCRSTAGPWNLAAVEFKIFWSVLRKLDADAPLLQCRTAMFETLASLLRSVDECMARFDKSLPRPPWGEHAASPSAPPNWTTSLRTVWAVLAELDAWSQSHQDAFWVLRRALRATLAEHPAAVTALVLSAGREMRRNSSSWIARHRDLLPFEARRHLAMRMLPELVAGVHAPPPYEMLIDRSRLLPDSFGYIAHATPQELGAGLSVAFKHEQATGPGMLREWFCLVSQALFNRHLVLFSACPHDRRRFFINPTSAVDPLHLEYFEIAGRMIALALMHKIHVGVFFDRTLFLRLTGRSITLDDIVDADPSLHKSCKQILEMDQSLVDSNVLGLTFVREVEVLGSRTIRELIPSGKDIVVTSQNRDNYISLLIQDRFINSTRRQLSYFIIGFSSFFDRGELGAKFFESLDATDFDRMLGGGCNDTIDVKEWRAYTDYRGYKEKDRQIKWFWEAVENMTVEQQRMLLFFWTSVKYLPSDGFSGLGCRLFIYRASSSRDHLPTSQTCFYHLNLPAYTSSRMMQSRLRMIVQEHMSSGFGVS >PAN33848 pep chromosome:PHallii_v3.1:6:3739921:3742307:1 gene:PAHAL_6G051400 transcript:PAN33848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPGLLRRARAPPRLPPRRHLSRLLDRYGFVAPASLTPSPREPPRAAAADSAAAKKRRAKKPPYRPPSSLDRGGRPPARSDLPFDFRFSYTESTPASKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDATLRDVAAEDPLPDAERGLEEARRRERERVLGEPLTPAERAFLVEKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKSCEAVRVKCLGVPTVDMQNVCHQLEDKTGGLIIHRHGGQLIVYRGRHYNPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVEETKQMRKKGLHVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIVWRGKEDGSLQDQTHKSSPSVMDSDGASVKNETRDQEQTPSDWSSDESSGFSSSDKVPDDKPVISDPDPSRAI >PAN33681 pep chromosome:PHallii_v3.1:6:2714697:2718960:1 gene:PAHAL_6G037500 transcript:PAN33681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSGSFLEIQPSELAFPFELMKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQKESPPDMQCKDKFLVQSVAAENGATTQDINAAMFNKEPGKVVDEFKLRVVYVPTTSPSPIPEDSELGSSAHSFAQENGISHPALPQTVSRSSAETTKEKSSEATSVISKLTEEKMSAIQQNQKLRQELELLRKESSKNGGGFSITFLVIVGLLGIIVGYILKRT >PVH36501 pep chromosome:PHallii_v3.1:6:7268165:7269526:1 gene:PAHAL_6G085400 transcript:PVH36501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHHGQAHRSGEGSEPTTARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRARQLQQACSAAAQQLPNAGVVGVGHYHGASDNTSSPFAMNGHGQVRASMMPTAAPLPSSAATAPHFFADEVDSGDDLFAISRQMGLMSRGGDHRCGYTASDDASQLSYQPTGTTSIQVFINGAVYEVPGAGAPLDLAGTFGRDAMLVHSSGEILPVNEHGVLMKSLQMGECYYLVSRST >PAN36707 pep chromosome:PHallii_v3.1:6:45311192:45312424:1 gene:PAHAL_6G303600 transcript:PAN36707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFEDGPLQVVVQCVRKCEAKDLPKPLVVATPTNASTYPVVVFLHGWNMCTWWYRCLLKHVASHGFIVVAPQLHEFLNFSISDADDIEATKAVTNWLATNKEEEQHAGAGLLHVLRDILKVEGVKPDLSRVALAGHSRGGDTAFAVALGLKGRHVRHPISLDVNFSALVGVDPVGGVAVELGWLPPLQWTVEPSVLTGPFDPRMPVLVVGTGLGPEGLVPCAPAGMNHVEFYKLCQHSPRYHFAVKDYGHVDMLDDDEPPFPLRWCPGNDDHTGRELCRKTMGGLMVAFLWDKLEEGKGQDLQAVLQNPGIAPALLDQVEQA >PVH37386 pep chromosome:PHallii_v3.1:6:45104196:45104567:1 gene:PAHAL_6G300700 transcript:PVH37386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASLIPLSKMQAAYFKMYEQLTYKMDEEAQANIRLKLPYIEEWRKRFNGNRLPEGIYYFKPKTYEVSEPEQQPHQLTFQEQVEQDKKRKKRRIIKNGQVPEASNCSQNGRCQEGNRAWDGCI >PVH36317 pep chromosome:PHallii_v3.1:6:3309742:3310140:1 gene:PAHAL_6G045600 transcript:PVH36317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSELQARARFVQSSAASAGVHFDEERWLSRVRRSLEREAAEVLGAAAKVFDVPRVLRATRPEAYLPQHFALGPYHCNRPELRDMERYKLAAAKRAEKLFADGRKFDDLVQRLLQAQEQMRAPYHRSRPIS >PAN35117 pep chromosome:PHallii_v3.1:6:34867588:34868345:1 gene:PAHAL_6G182500 transcript:PAN35117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKILALLVLLALSASAATAVLIPQSSLATTAATISQYIPPVTAVGFEHPIVQSYMLQQALASSIIPSALWQQQSSAYLTILSIMAQQQLQQLSPALNQLAMANPAANLQQQQLSQAINQVAVANTVAYSQQQMFPFNQLAIANSAAILQQQLLTLNPLAAVNPTAFLQQQQLLQLSQLAATNPQAYLQQPIVGSSIF >PVH36948 pep chromosome:PHallii_v3.1:6:37585834:37586472:-1 gene:PAHAL_6G204300 transcript:PVH36948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQRKKSATLMEMKPIRNSLGRNPLSDALHRNPGVVLNGQGPGRHPLRGVSYRDLGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLSSWNVGSLTGKLRELVDVAIRRRVNILYMQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSFKDGVVDVRRQGERIILVRLVIGDLVLNM >PVH36165 pep chromosome:PHallii_v3.1:6:1060659:1062399:-1 gene:PAHAL_6G012200 transcript:PVH36165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGALLLHLDLHRDRAMSARGHHAGSKAAAFSSARGPAPAGFLPSAKQPAPGTGSVGDGGPGVGGSYLGAEAAALLACVAATLLVLPLLLPPLPPPPPPVLLVPVAIFAVLLVLVLVPSDARGAVVTVGPPSSSPPSSSSYS >PAN35724 pep chromosome:PHallii_v3.1:6:39658185:39658816:-1 gene:PAHAL_6G229600 transcript:PAN35724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVLLLLALLPFPSLALNQDFCVADLARGARRAATRAAVTADDFYYRGLATTGPPIAPFNVGLSSAFAARFPGVNGVGILAARADMAPGGVVPLHWHPLATELLFVLDGSMVCGFISAALNKVYARTLYKGDLMVLPQGQLHYQYNLGNATAVALSSYSSSNSGIQILDFALFANDLPSEVVSKVTVLDEAQVRKLKAMSGGSG >PVH36624 pep chromosome:PHallii_v3.1:6:18372985:18373551:1 gene:PAHAL_6G124400 transcript:PVH36624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLETLPPLPLDLTPPLPPLPPGLLPPLSLAWKLPTPGYLSLSLYCLFALYHL >PAN34082 pep chromosome:PHallii_v3.1:6:5797912:5798984:1 gene:PAHAL_6G074600 transcript:PAN34082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKTLSTSAPETEQGTHVFRIVGYSQQTGLGKPIRSGKFSVGGHKWVAFLYPVVSKFPNGIDEHHQVAGVAMGPKSAKARASCKLRLINQRTGLAFSVHKAAPREFSHDNARSSYDHFQINLSVLEAPPPRIPEVKSFPNIEVPPSDIALHLPSCWKKRREWDITFSVGGKNIGAHKMVLAIRSPVFKAELYGPMREEGTEPIVVKDVQPDVFRVLLHFIYTDSLPPLEDLEEDDRNEIIRHLLVAAVRYAMERLKLMCQIILCENLSIQTVATTLALADQHHCDMLKDACIEFMACSSVMDAVEATQGYKNLKRTCPSVVVEALEKISRFRNG >PVH36807 pep chromosome:PHallii_v3.1:6:32739762:32742421:-1 gene:PAHAL_6G172400 transcript:PVH36807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNNKEASKDPKYLPFVFIWSHHPSPPRSQIRQTRPHRDTMAAASPPPLPTSVVPAATPSPSPIPTAVSSADVAAADANPAAARAFVSRLLDSARRALSGARRWAELVDRSALSRPDTLSDATSRLRKNLAYFRANYAAVVALSLAAALLAHPFSLAALLALLAAWCLLYMLLPADAPPLAAFGRTFSDREVLGGLIASSAFVVFLTSVGSLMFSALALGAAVVCAHGAFRVPEDPFLDEPDQAAGSGNQQDEDDDGGSSAAVLLLPDDMLADVLRRLPPRSLAASRCVRKQWCSIIDARRMLRVDLLPLQLDGFFCNPNHLQDRPSFFAPPSTMRRITTCLDDIYDTLGYMSIEDHCNGLLLLGQQMVVNPATRQWATLPAFPSSSSSLLFSRVDDQLLLHVDNQLIVYDPMVSPQHYEVFLIPMLHAINHGEDDDDDDETQHLSADYSADQYWPPSPYTTHVFSSRKWRWEERSFVRQGDPAGTIADMMWRDSGGSLDGQGVYFQGALYVRCRNDSVIRITLSGDKYQMIKSPVSRTRLGEYDLSYLGKSEKGVYSALLRQDGVSCPQCRVWLLNELCGQMEWVLKSNINLQSLEDIPHLQFANKYSKPWTTVDDFNTKDEAPAQDQLDGWDFDDGMVLEITDNKQATTTNWSFGNVFHGFHPYKEIAFFDVSSGVGVVSYHLNTSKVQELGTFHITGLESVFPYTACWMRDLFENN >PAN36222 pep chromosome:PHallii_v3.1:6:42149581:42151847:-1 gene:PAHAL_6G262200 transcript:PAN36222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03880, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03880) UniProtKB/Swiss-Prot;Acc:Q9SI53] MNSLSKRLPRNRLAATRRSSRRLHHTQPHPHPLLATFSRICVEGPFRAALALLPDLASAGLRADPVSLTRLVKLCVRHGTASDGRLIHRHVADHGALSHGGGGGLFVSNSLVSMYVKFGLLDDALRLFDGMPERNVVTWTTVVAALANADGRKDEALRFLVAMRRDGVVPNAYTFSSVLGACGTPGVLAAMHASIVKVGLDSDVFVRSSLIDAYMKLGDLDGGRGVFDEMVTGDLVVWNSIIAGFAQSGDGAGAIELFVRMKDAGFSANQGTLTSVLRACTGMVMLEVGRQVHAHVLKYEKDLILHNALLDMYCKCGSLQDADALFRRMPQRDVISWSTMISGLAQNGRSTEALRVFDLMKSAGVAPNRITLVGVLFACSHAGLVEDGWYYFKSMEKLFGIRPEREHHNCMVDLLGRAGKLNEAVEFIHEMSLDPDSVIWRTLLGACRMHKNANLAAYAAREILKLEPDDQGARVLLSNTYADLRQWTDAEKLWKAMRDRGMKKEPGRSWIEVEKQVHVFIAGDLSHPSSDSIVQELNRLIGRINALGYVPQTEFVLQDLTIEQKEDLLKYHSEKLAIAFGTMHAMLGKPIRIMKNLRICGDCHAFAKLVSKSEGKVIIIRDPVRFHHFQDGACSCGDYW >PAN33416 pep chromosome:PHallii_v3.1:6:1501007:1502549:1 gene:PAHAL_6G019500 transcript:PAN33416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCRTAAAAATVMRSGALRSRSPAERLFQAARSPLAAPRIRRPVVAAALASLDSLMPLHSAVAAARLRSCIAADSACWSCLSEGLIKRI >PVH36711 pep chromosome:PHallii_v3.1:6:26038985:26040080:-1 gene:PAHAL_6G149100 transcript:PVH36711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLDTFVSEAEHEKHLRLVLQRLREHKLYAKLSKCEFWIDEVPFLGHVISKGGIAVDPGKVKDVLDWVVPQTVKEVRSFLGLAGYYRRFIENFSKIAKPLTSLLEKDVGFSWTDERQSAFEELKKRLTTAPVLTLPDQSKRFTVYCDASRDGLGCVLMQEGRVIAYASRQLRRHELNYPTHDLELAAVVHALKIWRHYLFGQRCDIYTDHKSLKYIFTQSELNMRQRRWLELVKDYDLEIHYHPGKANVVADALSRKSYVNMAVAFQMPQELCEEFEQLSLGFVHHTSGTSFEAEPTLEAEIRQHQKEDQKLQEIQRMIRVPCGTRVVYVCRMWQISGS >PVH36754 pep chromosome:PHallii_v3.1:6:29458614:29458861:-1 gene:PAHAL_6G160200 transcript:PVH36754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVIPLQRYGKALQRKGPIHPLTKKLLRSYLPVKKLQMAVVAMIVVQPPLIRTGI >PAN35680 pep chromosome:PHallii_v3.1:6:39434163:39436582:1 gene:PAHAL_6G226300 transcript:PAN35680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEMTATEVAALLDLKPHPEGGFYAETFRDGSVTLSTAQLPPQYKVDRAVSTAIYFLLPAGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPEQHYSLVGCTCAPGFQYEDFEMATFEDVRSIAPKAEPFLKFLIPSTE >PAN33924 pep chromosome:PHallii_v3.1:6:4236403:4239646:-1 gene:PAHAL_6G056900 transcript:PAN33924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSVVNSCPWCTGHGITPYHSCHNRKKIISNEYNPTVRSIVHGLQFARKGEGENLKGAGQIKIRTARREQRAFILVSIDGACVGASDMRCPGRRCTSVQIQEAAGMPALVCIPYHPHCHAYM >PVH36825 pep chromosome:PHallii_v3.1:6:33800588:33803591:-1 gene:PAHAL_6G177000 transcript:PVH36825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAPPSATGANPGRSPKRKRKPKPKAAGPSALNPNWAQLQSKLPQRPAATHLGKRKHDAGPPTLPPASKEPSPPAEAEVKLEPTSDDVSLTKAVAIDCEMVGVGSDGSKSALGRVTLVNSFGNVVYDEYVRTVERIVDYRTRISGIRPKHMNKAKEFWVVQKEVAELIKGRILVGHALHNDLKVLLLSHPKKDIRDTSEYEIFRRERKRRSLRDLAAEVLGAKIQQNEHCPIEDARAAMLIYNKHKKAWEKNMKEQFRFKKKLKKRGKKKPAESNASDPNVPTVLL >PAN33640 pep chromosome:PHallii_v3.1:6:2575748:2581579:1 gene:PAHAL_6G035400 transcript:PAN33640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNYYEDHSISSSHADDTDERRSTISVSPEASAGEEPFSFFGLLCYADTVDWLLMALGTIGSIIHGIAFPVGYLLLGKALDAFGTNINDQEGMVHALYKVVPYVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTATIITGVTNHMSVIQDAIGEKLGHFVASFSTFFAGIIIAFISCWQVAMLSFLVIPLILVIGATYTKKMNGISLSRNAIVSEAISVVEQTLSHIKTVFSFVGESWAIKSFVQCMENQFNLSKKEAMIKGIGLGLFQAVTFCSWALMVWIGAVAVTKNKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSINYDKGGVVLEKIHGEIKFHRVHFAYPSRQDKPILQGFSLSIPAGKVIALVGSSGCGKSTVISLLQRFYDPTSGDIFIDGHSIKKLDLKSLRSNIASVSQEPSLFSGNIKDNLRIGKMDASDEEIIEAATTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKLVQDALERAMRGRTVILIAHRMSTIVNADTIVVVENGRVAQTGTHHELLEKSTFYSNEQIGEAHIRQSSTKQGTKNKLERVESKQRKREIVKEIHPFFRLWYGLHKEDILKILFGSSAAAVSGISKPLFGYFIMTIGVAYYDPDAKRKVSKYSLIFFTAGMVTLVSNILQHYIYGIVGEKAMKNLREALFSAVLRNELGWFEKPKNGVGFLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATIVSMYVNWRMGLVSWAVMPCHFIGGLIQAKSAKGFYGDSAIAHRELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPLKKTKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVRRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPAFDTLDRETEIVPDKPENPSKGWLVGRTEFQDVHFNYPSRPEATILDGFNLIIEPGQKVALVGPSGAGKSSVLALILRFYDPYRGRVLIDNKNIRDYNLRWLRKQIGLVQQEPILFNISIRDNISYGSESTSETEIIQAAMEANIHEFISGLPEGYDTIVGEKGSQLSGGQKQRIAIARTLLKRPPILLLDEATSALDGESERVVMSSLGAKEWTNKDARASKVTSITVAHRLSTVINAEMIVVMEKGKVVELGDHETLISADDGIYSRLFHLQSNMKD >PAN36069 pep chromosome:PHallii_v3.1:6:44437134:44439709:-1 gene:PAHAL_6G290600 transcript:PAN36069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLGVVRGVVGKTADALVQSVTRMWGVDEDCGRLERHLVYVQSLLADAEAKSETNHAVRAWMKELKAAAYQADDVLDDFQYEALLREVLSGRSLASKVLSNFTSKNKLVFRHKASRDLKNVLGKIDELVTEMRKFDLVVRVEAPTQALYRQTHSALHESKDIFGREDDKEVVVKLLLNQQDQQDVQVLPIIGMGGVGKTTLAKMVYNNHRIQKHFELLMWHSINSRCDLPDTIELLQRRLQEAIGRKRFLLILDDVWNEDQRKWEDDLKPLMCSCIGGSGSMIVVTSRSRQVASIMGTLPPHELVCLSEDDSWELFSKKAFSDGVQEQADLVTIGRRIVSKCKGLPLALKTMGGLMSSKHQVQEWEAIADCNISDTNRGKDEVLPILKLSYKHLSPEMKQCFAFCAVFPKDYEMEKDVLIQLWMANGYIHEEGNMDVAKKGELVFNELVQRSFLQDVNVKEDYYLGISSNELEEISGLVKPISSLRTLLTESRSKDLMEVKLISLRALQCRCYSIIYNQLINTIHLRYLDISGFNIIRLPDSICLLYNMQSLRLNYCHDLQYLPEGLATSLKKLIHICLLGCHKLECMPPKIGLLHNLHTLTKLFVGSDYGFGIEELRDLRHRLELYNLRNAKRGSKANLHEKQNLSELLLCWGRDRICYPTDVVDASNEEQVLESLALYPQGELKHLEVHGYGALAIPQWMKDSQIFLHSRKLIISRCPRCVDLPIVWSLPCLEALSLFKMYSLTTLCRNIGVEAAGHNSHVQILPMLKRMSLVDLPEFETISFGALSS >PAN35699 pep chromosome:PHallii_v3.1:6:39525088:39525982:-1 gene:PAHAL_6G227700 transcript:PAN35699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKGLPAGKSKEGGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >PVH37329 pep chromosome:PHallii_v3.1:6:44310990:44313908:1 gene:PAHAL_6G288700 transcript:PVH37329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVQKVLTALALWCLIINTREIAACTAAERGALVAFNASINDPYGRLSSWRGENCCSWSGVRCSKKTSRVIQLDLGEFALQGEISPTLAALTDLVYLNLSQNDFGGVSIPEFIGSFKMLRYLDLSGAHFGGPVPPQLGNLSRLQHLDLSGSQMTTVDNFHWVSKLTSLRYLDLSWLYLAASLDWLQAVNMLPLLQVLHLNDASLPATNLNSFPQVNFTTLKILDLKSNTNLNSSLPSWIGNLSSLSGLELSSCELSGVIPDELGKLTSLKFVGLTDNKLEGSIPRSVSGLCNLVRLDLSGNLLSGDISVIAKTLLPCMKQLQILDLAANKLKGNLSGWLDKNSLSGDVPASMGKLSNLTHLDISSNSFGGTLSEQHFVNLSGLDTLILSSNALKIVMKPSWVPPFQLREVGMHTCLVGPQFPTWLQSQTRIEKIDVGSAGISGMLPDWIWTFSSSLTSLNVSTNNITGKLPASLEQLKMLTILNMRYNQLEGRIPDLPTGVQVLDLSHNYLSGSLPQSFGGNELYYLLLSNNSLSGVIPTDLCNMVSMEVIDLSSNNLSGELPDCWNKNSKLYIIDFSSNKLWGEIPSTMGSLNSLITLDLGMNNLSGILPTSLQSCNRLVLLDLGDNNLSGIPKWIGDGLQTLQFLKLRSNQFSGEIPEVLSQLHALQYLDLSNNKLSGPVPHFLGNLTSMYMHNPEWDTSPFIEFMVYGVGGAYFSVYTFSLRATIKGLALIFRKYYNRLTSIDLSANQLTGEIPSEIRFLSALFNLNLSRNHIGGSIPDEIGSMIYLESMDLSWNDLSGSIPQSLTALPSLGYLDLSYNDLSGKIPYQHQLATFSASSFLGNVDLCGAPLSTICLPNNNNNNNNKHFDTMTYLCMMLGFASGFSIVWSILISSAAARKVYFQFTDGILDKLRAAADIKLHTNRMLAGRDLSIPTASQNSITRYHFGGPSTAAWRNIS >PAN36027 pep chromosome:PHallii_v3.1:6:44160009:44161739:-1 gene:PAHAL_6G286000 transcript:PAN36027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASRIFFGKSKPFYIAKSSFPENKCIPRLSHCHIPLLLSRAIVSGLFLKPPLVHSILRSLSLGPVPSLSLSFLSLLRHSGYMTLDNYSLNIALFATARLPSVSVGGQLHSLSVKLGLVSDTFVLNSLINMYSSCSYPATARLVLDSAPQGACDVVSWNTIISGYLRAGMPNKALQAFGEMAKEQVRLDDVTLLNALVASARTSTVKVGRLCHALVVVNGVGINCHMGSSLISMYAKCGLAEDARKVFDGMHERNVVCWTSMISGYTQLGKFKEAVELFRDMQISGMKADDATIATVVSSCAQMGALDLGCYVHAYCDIHGLGKELSVKNSLIDMYSKCGDIKKAYEIFSGLTKRDVFSWTAMIMGFAVNGLCGKALDLFAQMEGEGEVIPSEITFLGVLTSCSHGGLLEEGYHHFQRMSTVYNLAPRIEHYGCMVDLLGRAKLLAEASQFIKEMPIAPDVVVWRSLLFACRACGEVGLAEYVAERILELEPKKCAGHVLLSNVYATTSRWVDVNKLRTPMDGIRMSKQPGCSFIEVDGCVHEFFAGDESHLETEAIYNILLGINELLVAESFVI >PVH36940 pep chromosome:PHallii_v3.1:6:37366202:37372256:-1 gene:PAHAL_6G202000 transcript:PVH36940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLAAANTALLLILGCYPPRRRRLPARRLVRVVRPLSGRAPNCVHEQRSETHARGRRPGSTGAETETHSSQPPSGSSASERAERNEALRHRSILPPTKPRTHLRDSGDMLARLAAGRRQRLLPLLPRAAPAPAAAYSSSAAAAAHGGVAPASASVLPDTLDRGSDAYARNAAAVAGLLADLRARVSQVLRGGGPEAVRRNASRGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLEMGRNIVKNLHLAAKGTNIQSSACDYQEPLYDVEELRSIAPADLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPSFLFLWPTARISVMGGVQAAGVLAQIEKNNKKRQGVEWTKDDEEAFKAKVTEAYDREGSPYYATARLWDDGVIDPADTRRILSLCLSAAAKPVPEDTKYGVFRM >PAN33315 pep chromosome:PHallii_v3.1:6:998866:1001686:1 gene:PAHAL_6G011200 transcript:PAN33315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLGDGAVAASADNLVVSFGEMLIDFVPDVAGLSLAESGGFVKAPGGAPANVACAISKLGGSSAFLGKFGDDEFGHMLVNILKQNGVNAEGCLFDQHARTALAFVTLKKNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLISEPCRGAHLAAMRAAKAAGILCSYDPNVRLPLWPSEEAARAGILSIWKEADFIKVSDDEVAFLTQGDASDEKNVLSLWFDGLKLLIVTDGDKGCRYFTKDFKGSVPGYKVNTIDTTGAGDAFVGSLLVNVAKDDNIFHNEEKLREALKFSNACGAICTTQKGAIPALPTVAAAQELIAKGN >PAN35081 pep chromosome:PHallii_v3.1:6:34119556:34120988:-1 gene:PAHAL_6G178800 transcript:PAN35081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADFFRVSECKGQKTIDGEQVPLVLAPSDDGAKSSGCEALVEALKANREWVEGKVVANSGVLLRGFDVRDAAEFNAVVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEEFIYYHHEMVLIKEFPGKVILFCEVPPPSGGETPFVPSFRVTERALEEFPETVEELDARGLRYTFTALSKNDTKSMRGRGWEDAFATSDKAEAERRARALGMDVEWLPGGGVRTVLGPRKLTRVFPGRKGRRMWFNTVVGMHGKELSSATLADGSEIPADFVRRCGEIIEEESIQFRWQKGDILILDNLATLHGRRPSLPPRRVLVATCK >PAN35634 pep chromosome:PHallii_v3.1:6:39217626:39218968:1 gene:PAHAL_6G223100 transcript:PAN35634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRQSIFHLGEEGGAAVHHHRVGVVGAAMAGANGRRSRERERLVVGLQILVHHHHHHHHHSHGRHAHAAASIVLKQMVRPRSTAASRHAGVPCSFLKACSLCRRDLSPSKDVYMYRGDQGFCSEECRSEQILVDEARERQAAVGSKERQRRGQAHHHSPHRTPNRGRPPPRKPLAVVA >PVH36184 pep chromosome:PHallii_v3.1:6:1371051:1371599:1 gene:PAHAL_6G016900 transcript:PVH36184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDGDIDEDIRHRISAGWLKWRQAYGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVYSGVLKRGDNVRRGRGRPRLTWDKTVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN34340 pep chromosome:PHallii_v3.1:6:7466746:7472339:1 gene:PAHAL_6G086300 transcript:PAN34340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASSGAMELVAALLRGRVPPELGGDGAEGRALVATLAAAVLGAALLVLWRRAAAGKKRKREVAAAAEAAHAKARGAKAADEEEAAAADDGSGGRKKVTVFFGTQTGTAEGFAKALAEEAKARYDKAVFKVVDLDDYAAEDEEFEEKLKKEKQALFFVATYGDGEPTDNAARFYKWFTEGNERGVWLSDLEYAVFGLGNRQYEHFNKIAKVVDDLLSEQGGKRLVPVGLGDDDQCIEDDFNAWKEALWPEMDRLLRDENDVSPGTTYTAAIPEYRVEFIKPEEAAHLERNFSLANGHAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGSGLMYETGDHVGVYTENCPEVVEEAERLLGYSPETFFTIHADKEDPFPSPITVRNALARYADLLNSPKKSSLVALATYASDPAEADRLKFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAPTRIHVTCALVNETTPTGRVHKGVCSTWIKNAVSSEESKDCSWAPIFVRQSNFKLPADPSVPIIMIGPGTGLAPFRGFLQERLAQKESGAELGRSVFFFGCRNSKMDFIYEDELNNFLEQGAMSELVLAFSRQGPTKEYVQHKMAQKASEIWDMISQGAYIYVCGDAKGMARDVHKVLHTIIQEQGSLDSSKAESFVKNLQTEGRYLRDVW >PAN36319 pep chromosome:PHallii_v3.1:6:43011048:43013265:-1 gene:PAHAL_6G273800 transcript:PAN36319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVVDAAAKGVRLERHAAGAAVLLRRASGAKQLVSGSSHLLFRATVLATLALVVLFAVHYPSLLSRSFSLSAAPSSGSSSAPRSRSSHRSLLGSGASYGGAAWEREVRRSATPRRDGGLSVLVTGAAGFVGAHCSLALRGRGDGVLGLDNFNSYYDPALKRARQRLLASRGVVVLDADINDAALLERLFAAAPFTHVLHLAAQAGVRYAMQAPQTYVASNVEGLVNLFEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGEPITLFRTTDGADARRDFTYIDDVVKGCLGALDTAGKSTGSKSGKKRGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRVVTMPSNGDVPFTHANVTHAARDFGYRPATSLEAGLRHFVDWFVQYYKLDIKGSNVLAGKTTKRKSMGMSAAS >PAN35803 pep chromosome:PHallii_v3.1:6:40325876:40327112:1 gene:PAHAL_6G235600 transcript:PAN35803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPEKQQPPLPPQQHMAPPRMSFSSDFALEPPPPPPPRPPGRSDADFEFSPVGSRPMMAADQLFSKGRILPLREAARGVPATLRDELRAHDAADRGAARRGPRWKELLGLKRAAHRKGAASAADAHVDLGDHAAADAGE >PAN34127 pep chromosome:PHallii_v3.1:6:5384548:5387157:-1 gene:PAHAL_6G070200 transcript:PAN34127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIVILTTRKEDLASKSSYHYGHFSYRMKPLIDHESRILFSRSMFGSENHCTSRFREVSDEILSKCSGIPLAIILVARLLANRPSPLREEWEGIRDSIGIQESGTNPTWERMRQILYLSYKDLPHHLRTCFLYLGIYPEDSEIQRSELIRQWVAEGFVHHSPGQNLEDVAQSYFSELINRGLIQPANTNYGEVVSCRVHDVILDFILSSCTEANFVSVAYNLGEIRGQNEYKIRRLLLDPRIGDEGDTAIAGTTATTLSHVRSLQLFGEPLPVLLLSKYLRVLTLENQRVDLTTVGQLFQLRYLNVSAGAIELPTEIQGLQHLAIFQIFCTSEIRLPSETARLPRLRHLILPLYTRLLDGIGRMKSLCTLEGFDVSDVQNINDLGVLINLRDLQLYNSQVLTEIEIDALVTSLGKLCNLRSLCIICSVGQWTHDVNDRLGSLSCPPFHQIQKLELIRWLLPRAPRWINGGLQSLIRLKLSVKETSTAEVRVLGALPSLNDLDLWVQSCPQDEAPIAFGPGGFPALEHLKIYCGGDFFSRLRFEAGVMPNLQKLTLSYMDSEWSGTAPVGVEHLLNLREMQLFPFSDSGSVDNDRIRRAFTGVVEAHGRSLDGAALRVCSHPVPRTVAQEPLIGAKQSLVCYKR >PAN33746 pep chromosome:PHallii_v3.1:6:3082665:3086020:-1 gene:PAHAL_6G042200 transcript:PAN33746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPSQPDLSLQIGLPTSPTPHDHHHHAAALSARFFAAAGGGGGNGVGNPAAMPPSLQLPVPLPLPLPVQLPMPPSAAAAAGAAGLYYHPDAAVLRPIRGVPLYQHPHTHAVPPTFPPHSAAPGPCFCEPCHVAAGAWRRAGCGAGARVAGFPPAKRAARAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNSLDLHGYGGGKPESAAAARHGRLAACNDHGSSTGAHCALWNSSSREDWTGFPSESNTGSMHSLKEQMQSKSLEILSDMNSCVSETTSSTSELNLEFTLGRPQNRPN >PAN33747 pep chromosome:PHallii_v3.1:6:3082085:3086171:-1 gene:PAHAL_6G042200 transcript:PAN33747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPSQPDLSLQIGLPTSPTPHDHHHHAAALSARFFAAAGGGGGNGVGNPAAMPPSLQLPVPLPLPLPVQLPMPPSAAAAAGAAGLYYHPDAAVLRPIRGVPLYQHPHTHAVPPTFPPHSAAPGPCFCEPCHVAAGAWRRAGCGAGARVAGFPPAKRAARAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNSLDLHGYGGGKPESAAAARHGREDWTGFPSESNTGSMHSLKEQMQSKSLEILSDMNSCVSETTSSTSELNLEFTLGRPQNRPN >PVH36352 pep chromosome:PHallii_v3.1:6:4215129:4216946:-1 gene:PAHAL_6G056500 transcript:PVH36352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVEVWSKHGIQITVLFSLVFQLLLFAIPIARRHSWLEKRKHLARLNNLVLWLAYQLADTTAIYALGHMAISSSPSGERRQLMALWAALLLVHLGGQDTITAYALEDNRLWKRHLLTLLVQAAGVFYVIPVNRGSNPRLSFAAALMSILGVVKFAERIYVLRLSEDMNKVFDLVSWLEPPTTETYTRPVAPTQQEDRNEQEEQALKLAHHLLEFSMGKFIDAEVGLSRYPRSIIMEHYDDNGTLYNLVELQLSLIHDKLYTKTPAIHTWLGCLIRAFSWLATAVIGTMLVFHFVRSSSSSRNYSGGGFDAAVTLTLLAGAFLLETASLLKAAGSTWTVQILDRRGWDGRMVLWFRRLVKAAECNRSWPGFIGANVPVSEVIKCDWGFSSSIQKIEPDTKWYVLNQIRWMVYLCRGNEEQLRTSRGELWLQRLSVPPQLIQNKITNSEFDESFFIWSFLSKNFVKYGSDLDLYSTDRMQLLRDAIKQISGYMDYLLKEKTHMLPPPVRHRRKYDNLVSKENNEIYDVLISGDELTMEAKMEAVLGVWVEMLCYAASYCNRESHARELSNGSGEFVTIVWLLRAALFKAFYPDDDGTQESARTNR >PAN34967 pep chromosome:PHallii_v3.1:6:31248412:31252908:1 gene:PAHAL_6G165900 transcript:PAN34967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone protein p23-1 [Source:Projected from Arabidopsis thaliana (AT4G02450) UniProtKB/Swiss-Prot;Acc:Q8L7U4] MSRHPEVKWAQRIDKVYITVQLPDAKDAKVNLEPNGVFTFSGSAGTNLYELKLDLNDKVNVEASKISVGVRSIFCIVEKAEAKWWKKLVRDDQRAPHFVKVDWDKWVDEDDDGGDVNLDGMDFSNFGGMGGMGDMAGLGGMGGLGGMGGLGGMGGLGGIGGMGMDEFEDESDDEEEVSKPQAAEAGEAEKTEATEAKTETAQSS >PAN35756 pep chromosome:PHallii_v3.1:6:39858497:39863680:1 gene:PAHAL_6G231600 transcript:PAN35756 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MAPPSAATRRKLQRKFRLRGFTLKVEALEEAAAFLERFPEAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDAASPAVTSARSALRVVDAFLVPRFHYDPIKKVFYEHTGRLPIHGEAGDKASLYRDRYQVLLQRLSRDKYFSKPAFDMVVTEDSSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSLLMGLDFFGGGVLPTEETLRLSSLEKKAVNDMFVILSDVWLDNPETMEKLAIVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEIIAARSRLKEHSRFLFVPGPDDAGPSKALPRCALPKYLIEEIQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLADKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALEG >PAN33508 pep chromosome:PHallii_v3.1:6:1877398:1882438:-1 gene:PAHAL_6G026000 transcript:PAN33508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPAGRNMPAAGMIGGLASYAASLGQNMMDGQHQLVVMQQQQNHGQQQAATSGSDTHGPRHDELLMESKSGSDNMEGGIGSGSGGEELQEEDLSLQRPRKKRYHRHTQHQIQELEAFFKEFPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERQENTQLRAENEKLRAENARYKDALTNAACPNCGGPATAVIGEMSFDEHHLRIENMRLRDEIDRISAIAAKYVGKSATGMLPNSSNISSVVAAPYPPPLSTHHLITGAGDMFSSLHHHGAAAGFDKPLVIELAVAAMEELVRMAQLGEPLWVPALIDGAATETLNEEEYACGFPRGVGPKSPELRSEASRETVVVIMNHVNLVEMLMDVNQWSTLFSSIVSRAATLEVLSTGVAGNYNGALQLMTAEFQMPSPLVPTRESQFVRYCKQHADGGWAVVDVSLDGLRTGGAAGTRGRRRPSGCLIREMPNGYSRVTWVEHVEADDAMVHELYRPLVSSGLAFGARRWAAVLERQCERLASAMASGVPAAPAGGDTGVVTSAEGRRSMLRLAERMVASFCGGVTASTTHQWTTLSGSGPEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPARVFSFLRDDATRSEWDILSNGGDVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDATGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPGAGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAALAADPAGGGGGAR >PAN36574 pep chromosome:PHallii_v3.1:6:44653890:44658355:1 gene:PAHAL_6G293900 transcript:PAN36574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRRSSTSSSSAAPAPRAPSSPRSYSWIHRRSLLVTSSASSLAASGNPAAEDSDSAPAPVAAASSSPSLAPSSPNVDRGGIKSPWSRRKRKRALSCQHWNRLFSSNGKLRDGGRKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEDRNAIKLKKRKEYEKLRRQCHRILNCYKGNGLNVINEFMNEDVSDGVEGSESPHSEGVSKRACVLPKELKSLGSKAEEPESSNWASVEGMDEDTSELTYVDPCIAESESSDSESSYEEDPDRTPVSTNLEENCDPKPKFVRSASSKSEIFISDKTPEDFTTWQRIIRVDAIRANTEWALFARNQAEVSKEKALRSAITVGLKDYDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFLYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHHLVVNLHDKI >PVH36718 pep chromosome:PHallii_v3.1:6:26509095:26509601:-1 gene:PAHAL_6G150500 transcript:PVH36718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAEGGAARGGAVAGACGQRGSALGERRGGERAQARLAERRPSAGGGRSGPGGRRPERERAGCAQVAGASAGAERRAGARRGRVRAGERSGRLCGWRWRPVLGGTEAGADPGERSAQRAQALGSARLAAQAGRAEAGRRQRLVQGVQAVRGSSGAVPRQSMDVKWSG >PAN35890 pep chromosome:PHallii_v3.1:6:40805020:40808909:1 gene:PAHAL_6G242900 transcript:PAN35890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPPHPCPLARLRASSPSLGARLRPCLPFPPSPSHAPLVSRSPLPRWPPPLRAHGSGEPVRRRAAFGLDAFLSAAELLCLAPPAICSVVCAARLVFSPSSASAGPLPLTDGRLLALQYVLLVGAVAIGSLIRRRQSGRLRPATGAAERVGVGLVERMEKVEDSVRGMVAAVGVLSRTVEKLSIRFRVLRRTLRDAISETANLAQKNSEATRILAAQEGLLEKEIGAIQKVLYAMQEQQQKQLDLILAIGEASTILGGEQDMLDGGSARSSSTDPAPEIENKQAKVSSGAVTGHNKP >PVH36642 pep chromosome:PHallii_v3.1:6:19839702:19849527:1 gene:PAHAL_6G129000 transcript:PVH36642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIHTKAELKWLNQEFVKIWPFVNEAASELIKTSVEPVFEQYKSFILASLHFSKLTLGTVAPQFIGVEILESDDSAITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVADFPCFGAVCCSLREKSKVEFTLKVIGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIIPGDYSDLELKPVGVLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLGEKTKNSKTINNDLNPIWNEHYEFVVEDMSTQHLTVKVYDDEGLQPSEIIGCARVDLADLQPGKVKDLWLDLVKDLEIQWDKKPRGQVS >PAN36237 pep chromosome:PHallii_v3.1:6:42083741:42087884:-1 gene:PAHAL_6G261200 transcript:PAN36237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARSDDPGVSPGTSAAATGGEIWGTWEELLLACAVRRHGTSSWDSVAMEVQSRRPASAAARLTPTGCRLRFRLLHRRFAAGAENGDGGGADADEDPDAAAADGWVEELRKLRVAELRREVERYDLSIGSLQSKVKRLKEERERSISGEANPAAVKAEEDEEPAAGKGSLEDDAAGGEDRVSGGESGRSCRESNSSDLKRPVNDAGTASAAGDGAAAMEEGEEEATAAGVSVDVKREEVSGESVAGSKEADKESSDVQSSASPSRRREREGGGGGEGGEEAETEEASASPSARAALPAAEAEALLAFVESVRTSKPGSVFERRLESQDDAKYRSTIRRHVDLETVRSKLEGGGGGGGGGACYGSASEFYRDLLLLCANALVFFPRGSPEHTAAARTRALVSNHMAASLRKDQPGTSGKAAAPAPKKAKAEADVGSLLEKTAPIIVCRKRSSIAKAAAAATKDDKAEKVETDKEEENEAGKKKPGGAKDKAPRGLRTNKTRAAPARKAASNQKTGDDDSDSDGPAEGTRKPDKKGGGGATGSGAGAAKKRNAVNFLNRMKQGSAPSTERVSLLETLKLSAAAEQKKAGKGSQGKKEAAGGSGSKRGTPSGRRNVGRPPKRAAAPSSPPPAKRGRGGGGKRGGRK >PAN35008 pep chromosome:PHallii_v3.1:6:19157691:19159276:1 gene:PAHAL_6G126600 transcript:PAN35008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHVFVSSLFLLFLLALCLVAILPPRGSPPPGSFFQPWLPPISSGSGMEHDNGGSECDYSDGRWVRDDDAGVTAYTEDCPFLDPGFQCMRNGRRDDSFRYWRWRPHRCRLPKFNATEMLERSRNGRIVFAGDSIGRNQWESMVCMLAGASPAGASRVYEQSGKPISRHKGYLSMVFADYNLSVEYYRAPMVVMVDRLPTNVTSDDGGTVRGAIRLDVLPRHANRWAGADVLLLNTGHWWNVHKTAKAGNYFMVGNRLNKSMDIKEAFRLSLETVKDWELRSAQFSKSYFFFRSYSPSHYSNGTWNTGGSCAGQQDPLTTATTTDYFGEEYSWINAMIAKTTEGIRTHGRKAWFLNITHMTELRPDGHPSRHREPGTPPDAPEDCSHWCLPGLPDAWNEVLYAHLVSIGYDTRRKHK >PVH36385 pep chromosome:PHallii_v3.1:6:4849177:4850114:-1 gene:PAHAL_6G064200 transcript:PVH36385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFRRTTPTRTRKSHRRTNQRLRRQPLLRRRRRRELAMCALVRITLLQSVRTAKATTPPTWLLASLEEHRDRRDFLLADGERIACACSWCWYGKSEVYFGEDRAAEERAACPHHQEESSQRLSTV >PAN35327 pep chromosome:PHallii_v3.1:6:39555205:39559259:1 gene:PAHAL_6G228300 transcript:PAN35327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPAIAVYTSPPGAVHAPPEHDASSRGPAPCAAAAPPSAAGSHRHAGGLSCLFSSPSAAPRATAHEELGALWHDRSDEPALAPAAGFGGGGYSCPQSSPSPSPFKLRDHLHRSPASLFHSPASSPASRSPSVSWLAGRERDRLFSSFVRNALGSCIDYAPVTSLPLGVPAAAGVDAAELAFELDENLSEAEPSCEPYAHELLASAQARHRIFRDELVVKAFFEAERAHRGQKRASGDPYLQHCVETAVHLAKIGANATVVSAGLLHDTIDDSFVDYDDIFQMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPMVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVMSFDEALLTSTLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVETEQDCYRALDIVHKLWPRVTGRFKDYISHPKLNGYRSLHTVIMCEGVHPFEIQIRTKEMHLQAEYGFAAHWRYKEGGCRHSFVLQMVEWARWVLTWQCEAMSKERPSALASSVGVRPTCPFPLHSEDCPYSYSRQCNHEGPIFVIMLEHDKMSVQEVPANSTVVDLMERVGANSPRWSPYSFPLKEELRPRVNHKPICDPNRKLSMGDVVELTPALPHKSLSEYREEIQRMYERGGFALATTPRR >PAN35675 pep chromosome:PHallii_v3.1:6:39391911:39392873:-1 gene:PAHAL_6G225900 transcript:PAN35675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSLTRHFLRRRRVRHRGIEVVSLVHFEEGLDLFPPLVESSYRPLVILLAVAYLSFRPPLVIANGGVGSYGRFNFQKIWLMCWVQL >PAN33467 pep chromosome:PHallii_v3.1:6:1736175:1739553:-1 gene:PAHAL_6G023400 transcript:PAN33467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACPKFQEMGHVLVLAVCFLVLLPGWACGLGSMSSIAVSYGEDGPVFCGLSSDGSHLVTCFGVDASVLYGAPPNIPFLGVTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPMIEGAKYSELSAGDNHLCALRAAADGIHGANDGASLVDCWGYNMTATHVLTEAVSTISAGSVFNCGLFARNRTVFCWGDETVSGVVGLAPRDLRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQVAPSSAIGDGDVNIVPMDAMVSVVGGRFHACGIKSLDHQVACWGFTLHNSTSPPKGLKMYVLVAGDYFTCGVPAETSAMPRCWGNSGPLALPMAVPPGICVPNVCSHGYYEFVNHGEVGSSKVCKPANSRLCLPCSTDCPEDSFESSPCNATADRVCQFDCLRCVTDECMSFCLSQKRTKSRKLMAFQMRIFVAEIVFAVILVLSVSVITCLYVRHKLRHCQCSNNELRLAKSTAYSFRKDNMRIQPDVEDLKVRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKEFHNELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGNDPNLKRRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVFLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIFAILDPVLSPPSDLEALKKIASVACKCVRMRGKDRPSMDKVTTALEHALALLMGSPCIEQPILPTEVVLGSNRMHKVSQMSSNHSCSENELADGEDQRIEYRAPSWITFPSVTSSQRRKSSASEADITGRTTTEGRNVGSSIGDGLRSLEEEISPASPQENLYLQHNF >PAN36544 pep chromosome:PHallii_v3.1:6:44525051:44527586:1 gene:PAHAL_6G291500 transcript:PAN36544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGQGSDASAAAAGEVEENMAAWLVAKNTLKIMPFKLPPLGPHDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGAGVKHLAAGDRVALEPGISCWRCRHCKGGRYNLCDDMKFFATPPVHGSLANQIVHPADLCFKLPDGVSLEEGAMCEPLSVGVHACRRAGVGPETAVLIMGAGPIGLVALLAARAFGAPRVAIVDVDEHRLGVARSLGADAAVRVSARPEDAAEEVARIRAALGGAEIDVSLDCAGFSKTLATALEATRPGGRVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKVDVKPLITHRFGFSQREVEEAFEVSARGRDAIKVMFNL >PVH36251 pep chromosome:PHallii_v3.1:6:2223211:2223780:-1 gene:PAHAL_6G030200 transcript:PVH36251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAFFLLLHLAFFSALHLPLPPASACPDLPSMTVDAACRKAAGTLLMYELCRDAMRDVTYPSNGADLYALVAAKRALASFDGTARAVGALLGGGGRSLTGAERNAYALCRESYAQATGTMDAVVAALVGCRFAEGDLGQVYRDGVAQVERCRDRVRDLPASPLYARNLVDRNMAVLAYFVGRLLGGVQ >PAN35608 pep chromosome:PHallii_v3.1:6:39105692:39111141:-1 gene:PAHAL_6G221500 transcript:PAN35608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRALLPTPHAAAATSPAGARAGLAAASASLPFGAAGRAGGARLRVRCATLSSPAPVAAVESPARRISGAGSDGALRPKPAVLVAEKLSEAGLAVLREFADVECAYGMSPAELLARVSQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAIARADFISLHMPLIPTTSKIFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVMSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTTARGPDDLDTRLLRAMVTKGLVEPVSSTFVNLVNADYSAKQRGLRITEERVAHDSPAAEAPLESIQVRLSQVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNISFMSVGRTFRGKQAIMAIGVDEEPNKETLEKIGGIPAIEEFVFLEL >PAN35725 pep chromosome:PHallii_v3.1:6:39663639:39664663:-1 gene:PAHAL_6G229700 transcript:PAN35725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMVLLPVLLSFLLLPFASLALTQDFCVADLSCSDTPAGYPCKSSVSANDFYYHGLASKGKINPLIMAAVTPAFVGQFPGVNGLGISAARLDMEVGGVVPLHTHPAGSELLFVTQGTVAAGFISSGSNTPYTKTLYAGDIMVFPQGLLHYQYNAGTGPAVALVAFSSPNPGLQITDFALFANNLPSAVVEKVTFLDDATVKKLKSVLGGSG >PAN36042 pep chromosome:PHallii_v3.1:6:41482934:41488559:1 gene:PAHAL_6G252800 transcript:PAN36042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTSVKPDTLKLIVPQSTNKGSKLITPFLDPHSSLTLNEAAISEGKPIRMMGVFDDEIEEVSDNGNRPDLRIIGFDEEEQRLRQRSAGRPQISLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHKWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRIRTDDLKGFRKYESIKKTLLHELAHMVHSEHDANFFALNKQLNEEAASLDWTKSRGHMLNGRKVFDSYEDEFVLEPGTTVAGHRLGGELSSLASARALSGAAAYQRFLNGSAKEDHVSGTETEYNPAGVPQAFVQGTVKVEPDPDDAMHIDSAIVTSGSLGSRSAAGQHTIGYSEPNDVGKQSSVGCLEPDPDDSQNVNILNQELRFDGRHHSEPDPDDGANGFVLESGNKMEVDSELTNNITVLKSEPDPDDSLNTVVNQKLVIDGKHGEEPDPDDTTCLVVPKSGDETQVITEQSRKSTVLKSEPDPDDHFGDLNSNELQRIEEPVAALCARLQKSIEMLRLQATPTEADSAIQTLFKIIKNVIEHPNDIKYKRLRKSNPHFQRSVANYKAAMEVLELIGFCEDVISDEIGRAETYLVLKRNDPGLLWLAKSSLEVSLA >PAN33814 pep chromosome:PHallii_v3.1:6:3562895:3571951:-1 gene:PAHAL_6G048200 transcript:PAN33814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGQKKRGPRIEPFRHRVETDPKFFDKSWRKLHDAIREIYNHNASGLSFEELYRTAYNMVLHKFGPKLYEKLTENMKEHLEEMRTCVEAAQGGLFLEELERKWNDHNKALTMIRDILMYMDRTYIPTNKKTPVFDLGLELWRDTIVRSPMIQGRLLDTLLELIHRERTGEVINRCLMRTTTKMLMNLGSSVYQDDFERPFLEVSASFYSGESQQFIDCYSCGDYLRLAERRLNEESERVSQYMDVKTNEKITAVVVKEMLANHMQRLIHMENSGLVNMLIEDRYEDLTRMYTLFNHVPDGLTAIRSVMMSHIKDTGKSLVTDPERLKDPVDFVQRLLNEKDKYDNIINVSFNSDKSFLNALNSSFEHFINLNNRSPEFISLFVDDKLRKGVKEANEEDLETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKTASDDSERSMLVKLKTECGYQFTSKLEGMFTDLKTSQDTTQGFYASTSDLADYPQISVQILTTGSWPTQPCNTCNLPPEIVAVSEKFRSYYLGTHNGRRLTWQTNMGHADIKATFGNGSKHELNVSTYQMCVLMLFNSSDVLTYREIEQSTAIPAADLKRCLQSLALVKGKQVLRKEPMSRDIADDDSFSVNDKFTSKLFKVKIGTVVAQKETDPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIMTEVTKQLQPRFLPNPVVIKKRIESLIEREFLERDKTDRKMYRYLA >PVH36909 pep chromosome:PHallii_v3.1:6:36794264:36795185:-1 gene:PAHAL_6G196000 transcript:PVH36909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETYTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLISVHQNPLINIEAAPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEVMNADSSDDDDDVPQDWVSSDFSHLVIDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWMAVHGGCMPIRVNGKIIGNAPLSLSTLVICLGCRRAIATSRRNTSQMRCTG >PVH36749 pep chromosome:PHallii_v3.1:6:29192659:29193012:-1 gene:PAHAL_6G159100 transcript:PVH36749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGAFWNIRSLNKTGRLECLKIFIDNNSLDFVGIHETKKDAFHQSFFNCFGDQFSWNFLPACGTAGGVLVGLRKSKFNILRSDIKQFCISAFISNSRDNFSWRLISVYGSAYDEHK >PAN33567 pep chromosome:PHallii_v3.1:6:2952923:2954569:-1 gene:PAHAL_6G040900 transcript:PAN33567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMKVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSHVEDDGELALMMRKFTHLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKLDKRSKDNKNKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEEFDPNKFTNLINEYTSVIKREKGKVKVLESTHAKLELTHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEYRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRSLPKLLKNYSSMFQNNHFLLSKVKGKVNAKFIGKIAKESKKKLPKQLWVPKALVTHVQGTKLVWVPKTQK >PAN35136 pep chromosome:PHallii_v3.1:6:35304139:35309401:1 gene:PAHAL_6G184300 transcript:PAN35136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPATPSRGPASFVTQANALLRKNLCFQKRNLKTNVGITLFPVLLCVILVLIQGVIDRELDKPKYRCGCACVDPGPAAAGDACRRTECGVQHSTLDQVGSCPIPSPTPWPALVQLPRPESRAVRTDGQPFDGLPDPTCRDTGSCPAAVLVTGNNRSLAESLSGGLFPSLTSTFNFTDYLDTLSKIVAGSDTWPWTTQLIEPVFIPGNNLYLVQPRCLSNLSQTVSSNAGAIPLQLNVDCIQGLSLWRESASIVNDELFKGYRQQGGGGGGGKTNEFVAGYDFLNTNRNGLEINIWYNSTYNNNTAYVRIALLRVPRLVNTASNAYIKFLRGSGVEMLLEYVKEMPKVGTKQKFDLSSLLGPLFFTWIIELLFPVILTYLVYEKQQKLKIMMKMHGLKDGPYWLISYAYFFALSAIYMILFLIFGSLIGLRFFKTNAYSIQIVFYFIYINLQIALAFFVASFFSAVKIATVVGYIYVFGSGLLGEFLLGFFVEDTSFPKGWIVVMEIIPGFSLYRGLYEFGQYAFAGNAMGADGMKWANLDDPDNGMRGVLIIMVVEWAILLPLAFYVDQVSSLGGGFRKNPLFFLKCFKKRSLSLRRYSFGGQGSKVVVEMDNPDAAQEREVVEQLLLEPIANQAILSDNLRKVYHGKDGNPDKLAVQGLSLAIPKGQCFGMLGPNGAGKTSFISMMIGLVPPTSGTAYIHGMDIKTDMDAIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGTELLKAVDDSLKSVNLFHGGVGDKQVGKYSGGMKRRLSVAMSLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNPKELKARYGGTYVLTMTTSSENEQEVQQLVHRLSPNASRIYHISGTQKFELPKQELKIADVFHAVESAKSRFSIYAWGLVDTTMEDVFIKVAKGAQAFSMVA >PVH36257 pep chromosome:PHallii_v3.1:6:2444595:2445858:1 gene:PAHAL_6G033200 transcript:PVH36257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPLAHLLPYMTAQILGSIAASFSVKGIYHPVNPGIATIPKVGTTEAFFLELITTFVLLFIITALATDPHAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATGRYTQIWIYMVATPLGAIAGTGAYVAVKL >PAN35919 pep chromosome:PHallii_v3.1:6:40902783:40904276:-1 gene:PAHAL_6G244200 transcript:PAN35919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHFHGEVASLRLLSPPNASFAAHHHMNMALPPQAYFPPSFEPASAFQDAASLLAGDVASFELDTVFREAAHLAGRNGSPSSGSGSDGAAGSGYPMNTVAVAAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVSHLRGANRRLLDELNRALRGCADARRESARLRNEKAELAKKLEQLLQSATATEKGAAAASSDRSCSSEPCNNTSADTSE >PAN33868 pep chromosome:PHallii_v3.1:6:3875390:3884664:1 gene:PAHAL_6G052800 transcript:PAN33868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGVTTGVMKPLLSKLTKLLEEEYIKVKGVRKQIKFLRDELSAMSATLEDLADADPEQLNSEVRLWRNKLRELAYDLEDCIDSFMARVDDGRDGPTGFKKYFRKLKTLKARHDIANHIQELKASVMEASERHRRYEFARLKHKSGTSSIDPRLQALHEDIEKLVGIDGPKKHIVELLSMEMKGPSTKLKVVSIAGCGGLGKTTLAKQVYDTIKGHFSCSAFVSVSRTPDLRKILIHISSGVGFTGYTQDDGEQQLIDKIRNHLHCKRYIVVIDDVWDTEAWEFVKLALPNNDLGSRIISTTRSVTVAKCCSSQVYEMEPLSFDDSKRLFFKRAFGSETPCYPHLEDIPDRILRKCGGLPLAIVTVSSMLTNQLRKAEWDRVLSAMGFALANKPDAKKMTSIISLSYFDIPYHLRTCLLYLSVFPEDYKIEKQCLINRWIAEGFIHEEEGRSKYEIGEGYFNDLINRSMIQPVDVKYGQAKACRVHDIILDYIRCKATEENFVTSLYAAEHVYTPAYKVRRLCVSNHTEENVAIWADPMLSRVRSVTIFGQPVKTCLLPSTSLHVLDLGGCSSMKDHHLASIETMFHLKYLRISSGSISKLPEKIGELKYLQTLDVQGTSIEELPSTITKLQRLAHLYVNWDIRFPDGVIGEMHSLEEMREYGVQSYEQGKSLQEFSKLTKLRTLKIRWYFNSLEGSEGLRKAEGFHSYVGTLLSSCNLYNLYITDCDEDENYPLLLDSWHPAAPCSLRKLCIKTCPIYKVPNWMGSLGNLVVLKLQYIICMRPEDVEILEAIPGLLFLKLVTIRGTNGRITIHGRNGFRSLKYLYLGIYHCGTTLEFQVGSMPKLEHVKLMFPAHKRECLNGASDLGIQHLSALSKVEVRIWGNCRYVTNYNLTEDENDDAVRWVANAITGAIMTHPNRPTIRFETRHDKKCQHFECFLRKENQQELGRLLTEWLKIWQIEEEQTCAEDREEETDEEEEYSYEEEGEEQTDKEKE >PVH36391 pep chromosome:PHallii_v3.1:6:4930917:4932287:-1 gene:PAHAL_6G065000 transcript:PVH36391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYKESWFQRKGKWGYGEIGRRYGLDCIEPWYGNLLSGNFQIQRNPGMKNGQS >PAN36500 pep chromosome:PHallii_v3.1:6:44291386:44294717:1 gene:PAHAL_6G288200 transcript:PAN36500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATGPEDAPSAAGGAKGEANRKRGSEQGEGGAGGRQKRKKKEVFIYGNYRNYYGYRIDRNVGEDPRLEAFNKQWFENKDCLDIGCNQGLVTIGLAMKFKCRRILGVDIDSGLIETAKWNLRRIMRQDKVATKNVKAQESSNSPSQSSPGEVAPEFSNGNKHHDLFKIVSFRRENFVESLDGCSEQYDTILCLSVTKWIHLNWGDDGLVTLFVKIWRLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTICIYPEKFREILLDKVGFRSVELIMDRLVGTATGFDRPIEVYHK >PAN34319 pep chromosome:PHallii_v3.1:6:6969521:6971304:1 gene:PAHAL_6G083800 transcript:PAN34319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQARAQLLASLAAIYLILAIPHVTGGLTDDLEIMWGNAKVVTDSSGQQAIALTLDRSTSSAFRSKKTCQFCRIDVEIKLVPGNSAGTVTTFYMITEGAWQYHDEIDIEFLGNSTGQPYTMHTNMYARGQGGREKQYKFDFDPTQDYHKYTIIWNKDWILFLVDDKLYRQIKNNQMYGAPYPYYYPMRVYATIWNADDWATQGGRVRTDWSQAPFTAYFRNYRAISCPQYGNNPLCLPGSGWFNQQLDESRKQQMTQVDSNNKIYDYCKDPKRYKSGPPPRECGLN >PAN34020 pep chromosome:PHallii_v3.1:6:5456516:5459913:1 gene:PAHAL_6G071100 transcript:PAN34020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKILLSASMGVMNSLLGKLATLMGEKYAKLKDVQKQVAFLHEELSNMGALLEDLADMEGLDNQTKQWRNKDVELKARVQEASAQRMRYRLDDCKSRSGNVAVDPRMTALYTESSRLVGIDGSKEEVINLLTKQVDDASVQKLRVVSILGLGGLGKTTHANQVYGKLGESFGCKAFVSVSQRPDMVVLWYLVVVDDLWDASAWEFIKCAFPEGQYGSNVLTTTRIERPLDDHNSSQLFYGRVFGLENTCPQPFEESSDKILQKSGGLPLAIISIASLLASQSNRSVSQWNCILNSLRSDLRSNPSLEGMRQILNLSYTHLLHHLKTCLLYIRMSPEDHDIDKDHLVMQWVAEGSYFNKLVNRSMIIQLVEHRAWNMELLYHRVHDMVLDLIVSKSAEENFLGVVENLETITRRQQCKTRRLSLQLDEAELGKIAPCMSLPHVRSLFIFGLPHRSIGLLELKFLHVLFVCKVDGLDLTLIGKLSQLRYLYVKSYHRSSMQLPRQICGLHHLETLVTDGQLSHLPHDIVHLSALSYLKVFVSIAYHDGISKMKSLHTLDCFDPSKQSLDNLMALGELLNLREDHTLTDYYDGWNSLCLPDCRLEQLHLQFPFPWLPVWVGQLSTLSSLEIHLDELCKDDVAVHAELPVLAHLVLWAGYVPDKAIARRAGIAFHFQAGSMPKVETLRFQSSVHEVKTCGVRLAGIERLKNLKRVAIRLGFFGPRSEESDVPTIEAAIRSFFEERHPGCPTIHITSYLYTYDD >PAN35619 pep chromosome:PHallii_v3.1:6:39158335:39159672:1 gene:PAHAL_6G222300 transcript:PAN35619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEPGPSKEEKAPRNKKRSSILGSLQEAIRKVRFLLSFSATRWMLLTSAGARGALARRGLSFGPRPGLLDVEGGLASPAGSSRTTSRSASMGTATTRSLSRASSAASPGGPRRTLSSSSGRSPASSAADDDIDQRAEQFIANFYRQLQMERQVSLQLRYVRRNSWDRTP >PAN36687 pep chromosome:PHallii_v3.1:6:45180026:45184104:-1 gene:PAHAL_6G301900 transcript:PAN36687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDGHQIRRHKLIIDTDPGIDDSMTILMAFRAPSVEIIGLTTIFGNVSTEGATRNALLLCERAGHSEVPVAEGSPEPLKGGKPRIADFVHGSDGIGDLFLPEPTTKKVEESAAEFLVNTVSEFPGDVSVLALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVNPAAEANIHGDPEAADIVFTSGADIVVVGINITTQVCFTDEDLLELRNSKGKHAQFLSDMCNFYRDWHAKSDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPKVISFVKKLLMAP >PAN35774 pep chromosome:PHallii_v3.1:6:40038314:40040780:1 gene:PAHAL_6G233000 transcript:PAN35774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTARSSAAAAAAGTGSGSDASDGEADATRFYSRRRSSSPSPIPSRSRSRSKTPPPNLRPSAAALSSTPTSAGADVAAASDAAAASGGRAPSPRRGDGKGPPGDHLYSDADADAGNGTSRRVPSPTRRGDRSPSFHSDSDADAAAGGGRVPSPRRNRERTPRLHSDSDNSVATANFTATTSEDDGGGAGDASPSRRPRLSTPIKASNIKPIRTRPMDVTGHDAAPSSELRSKRRHSPSERRTPEYQKRPSRVWSPEDELTILNALIEYRAKKGRLPGSSQDTSKLHLQIYGRLTANASTTQLSDKVRRLKHKYKLQVKRAKNRGVPDLPTEHDRSVYELSKKVWRLKSLEGRSLAHEDTGDAESNEEQGIKESDDDMDNGGEHRERTSKKPKISRFENGNGDATLTMGRASHGDGSGRDSAEKGKQMYPYLWAAVEELSKEHPSGPIFRKAFGLLEKSKARAIEEKLRKFRMSVIRLQLNRMDLTKLSVGMVLDALEGAY >PVH36665 pep chromosome:PHallii_v3.1:6:22173848:22174708:-1 gene:PAHAL_6G135200 transcript:PVH36665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINALESLGVTECPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALHYLCRIFERHLAATPVRYFPPAIRTPVWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLRQIRAAQAEARAAAAVSSEAVAQESLRQARDRLMQEWAQSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPPENPESPAAAVERDAAAQPLTDGNPEDGEKGLLTLPAPEEGTPRE >PAN34162 pep chromosome:PHallii_v3.1:6:5586742:5591831:1 gene:PAHAL_6G072500 transcript:PAN34162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVMVSSAGSLLAMLQEPAPELKLHALASLNSLVHAFWHEISTSVSSIESLYEDEEFDQRQLAALVASKVFFYLGELNDALSYALGAGALFDVADDSDYAQTLLAKALDEYAAIRSRAAGEEKTMDPRLEAIVERMLDKCILDGKYQQAMGMAVECRRLDKLEGAISRCDNLHGALSYCINLSHQYVSHREYRLEILLCLVKIYQTLPNPDYLSICQCLMFLDESDTVASILDKLISGSKDDALLAYQTAFDLAENENQAFLLNVRNHLDALSSARANPDSTPALPSDQAANAATEPSGDVQMGDDVNMPNGSALTVDPNKVAHADRLTKIKNILSGETSIQLTLQFLYSHNKSDLLVLKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQNGAIGSASPYSEGGALYALGLIHANHGEGIKEFLRESLRNTSSEVVQHGACLGLGLAALGTADEEICEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGAFRRKLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSKSKHDRLTAVVGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPTTQQAATTSVKVPTAILSTYAKAKSRAKKDAESKAKEKAEVAPPSEDASAASTSMQVDGAAAEKKAPEPEPTFQLLTNPARVIPAQEKFIKFLEESRYEPVKAAPSGFVLLRDLKPTEAEELVLTDAPSTAASNNAPAPNSSEQAAMAVDEEPQPPPAFEYTS >PVH37036 pep chromosome:PHallii_v3.1:6:39493471:39494767:-1 gene:PAHAL_6G227300 transcript:PVH37036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLTRGAVAPAAQRAVLQVVEVSWVAEPPVGLDGCCLCRPSTETHRKVLSDGVHTLGQFSLSTPLKHYVEDGHIRKGTVLRLLVFCCNVCSTDKTIIYEFEVL >PAN36227 pep chromosome:PHallii_v3.1:6:42140205:42144161:-1 gene:PAHAL_6G262000 transcript:PAN36227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAVDAAAFGSVDGVVGEVMRLHRSLPARPSLEEVEAAEALAHAADREERARLDAVSRLRRSPAVPDELFGVALEMHRALAAFQCREQKRDATRLLELDALHALFDDLIQRASQCVPSSSSSSSTRAAPRVTAAPAAASTSAASSSSSVAADSNTDRYSSTGTNGFSAARKVTGTGRVSMDDSYVKKAKAAVWDDGVVPASLHTPRRVVEANSMAARVDGGYGDSDEKLSLIKLASMIEVAAKKGSCDLNLQGKLMNQIEWLPDSIGKLTRLVTLDISENRILALPDAIGRLSSLAKLDLHSNRIAQLPESIGDLCNLMYLDLRGNQLASLPSSLGRLVKLEELDVSANHLTSLPESIGSLARLKKLIIETNNLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEVLSVRYNSIRGLPTTMASLTKLKEVDASFNELDSIPENFCFVTSLVKLNVGNNFADLRSLPRSIGNLEMLEELDISNNQIRVLPDSFGNLQRLRVLRAEENPLQVPPRDVALKGAQAAVQYMSEHVAKRATRSQPTKTKKTWAQFCFFSRPNKRKHDRIDTAS >PAN34683 pep chromosome:PHallii_v3.1:6:24760727:24766443:1 gene:PAHAL_6G144000 transcript:PAN34683 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE3 [Source:Projected from Arabidopsis thaliana (AT2G01110) UniProtKB/TrEMBL;Acc:A0A178VUB7] MGSAGALLSHPLPQLHPGGVLISQSRPLHSARNLPLLSLAAAAPGLQRGRCRRLCCASVNGEGGQREAGPPPQMEKSPSSGLGAALEDPPPGPPVENGSFGGLSQEQEQSPLYNFLYPSKELLPDDKEMSIFDHLEELRERIFISVLAVGAAILGCFAFSKDLILLLEAPVTVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFILVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >PAN33903 pep chromosome:PHallii_v3.1:6:4077117:4079788:-1 gene:PAHAL_6G055300 transcript:PAN33903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGEGGKEKGPGGACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASLCDRCVRRGPGAAGAGGAGADEEMGGGRGGRDEEDDDGEGEDEEEDGEEEEGEGENQVVPWTEEAAATPPPVASSTSSSSREAAPNGANAAECAKEDVPCSTSQPGLCHYSSPTRHGGQSDEATSSRNGGRFLASRHRKRSPSDFLGPGSAQSGNGTPARNCSNAGIGRNGFT >PVH37018 pep chromosome:PHallii_v3.1:6:39070841:39076602:-1 gene:PAHAL_6G221100 transcript:PVH37018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTATGNCDLQPPPLSALAQKGSRRCPPHSRTATPEPKLQRSLSLSLSLSPWMLLLRISAAPSPGPIRSPGQELRPRAPELRRDKMRLALWHWFFLLCALVSSSWSLSSDGLALLALSKNLTLPSNVTSSWNASNATPCKWYGVGCNKRNRVVSLDLSSSEVSGSIGSEIGLLKYLHVLNLSANNISGLIPPELGNCSMLEQLDLSQNFLSSKIPASMGNLKKLVWLSLHYNSLTGTIPEELFKNQFMEHVYLHYNQLSGSIPVSVGEMTSLTALWLHGNMLSGVLPASIGNCTKLEDLYLDENQLSGSLPETLSRIKGLRNFDATTNGFTGEITFTFENCKLEIFSLSFNNIKGEIPSWLGNCRSLTQLGFVNNSLSGKIPTSLGLLSNLTYLLLSQNSLSGPIPTEIGNCQLLQWLELDANKLEGTVPKEFANLRILSKLFLFENRLVGEFPENIWSIPTLESVLIYSNSFTGKLPAVLAELKSLQNITLSDNFFTGVIPPKLGLNSRLVQIDFTNNSFVGGIPPNICSGKRLRILDLGLNHLNGSIPSSVVDCPSLERVILQNNNLDGTIPQFGNCANLSYMDLRHNSLSGSIPASFRRCVNITDLNWSENKLSGRIPPEIGYLVNLGRLNLSHNILHGSLPVQIANCSKLNTLDLSSNSLNGSALGTVSNLKSLLQLRLQENKFSGGLPDSLSHLGMLIELQLGGNILGGSIPSALGRLLKLGALNLSGNGLVGDIPPQLGNLVALESLDLSFNNLTGGLVTFGSLHILNALNVSYNQFSGPVPENLLVFLNSTPSSFNGNPGLCISCSTNNAYCKGTNVLKPCGGSKKRGLHGRFRLALIVLGSLFVGALLVLVLACILLKSRDRKKKNEESVSTMFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGDVYAIKKLVISSHKGSYKSMMRELKTIYKIKHRNLVKLKEFWLRSDNGFILYDFMDKGSLHDVLHVIQPATVLDWCVRYEIALGTAHGLAYLHDDCRPAIIHRDIKPSNILLDKDMVPHISDFGIAKLMDQPSAPQTTGIVGTVGYMAPELAFSTKSSMESDVYSYGVVLLELLTRKTVVDPSFPDNTDIVGWVSSALNGTDKIEAVCDPALMEEVYGTVEMEEVRKVLSLALRCAAREASQRPSMAAVVKELTNVRPAGGGPGRSLSKKQGKPGPGSQSHSSSY >PAN36196 pep chromosome:PHallii_v3.1:6:42239348:42244895:1 gene:PAHAL_6G263800 transcript:PAN36196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREVGPQVAPPLFLHQIQPLPPHAAAAKKRAHPWPAAVAPARAAAAGDGNWNPKMWDWDSRALTARPSSDALRLGGGGGGAQGQQQQPQPASAAAKAAEAHRQGNGALNLQLGLRKDAATPMDASPPAPVASSPSPPASAATGQEPVVRPSKRVRSGSPGSAGGSGGGGGANGGASYPMCQVDDCRADLTSAKDYHRRHKVCETHSKTTKALVASQMQRFCQQCSRFHPLAEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNAGKAPSIAPIPDKQNLVEIISKINSLNNTTSVAKSLPLEVVDLNASQEQQEDSVQKTANGIDKQTVPSTMDLLAVLSTGLATSTPETNTSQSQGSSDSSGNNKSKSHSTEPATVVNSHDKSIRAFPAAGFTRSNSTHESQPHTYMQTDQETRPYLSLQLFGGTEEDIPPKMDSANKYLSSESSNPLDERSPSSSPPITHKFFPIHSVDEEDRHPHDYGEDAAMVEVSTSRAWCAPPLELFKDSDRPIENGSPPNPGYQSCYASTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRDEIVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWEELEENLLQRVNALVQNPDLDFWREGRFLVRTDSKLVSYNEGTTRLSKSWRTWNTPELTFVSPIAVVGGQKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPAEPDLILGRCFIEVENRFRGNSFPVIVASSSVCQELRNLEVELEDSQIPDVSSDDQVHDPRQSKPRDQVLHFLNELGWLFQRAAACTSDVSDLDLIQFSTPRFKYLLLFSSERDWFSLTKTLLDILAKRSLVSDELSQETMEMLAEVHLLNRAVKRKSSRMVHLLVKFVVICPDDSKVYPFPPNFPGPGGLTPLHLAASIENAEDIVDALTDDPQQIGLNCWQSVLDDDGQSPETYAKLRNHNSYNELVAQKLVDRKNSQVTIMVDKDEIRMDQSGNVGGVRALQMQSCSQCAILESGVLRKPSRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRINSGRSFKWERLDYGTM >PAN35574 pep chromosome:PHallii_v3.1:6:38793665:38796476:1 gene:PAHAL_6G218200 transcript:PAN35574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRRSAAATDGDPSAAAGLRGEPAPKRARRKSGPRESPSQRSSAYRGVTRHRWTGRFEAHLWDKDARGGSRGKKGKQVYLGAYDDEDAAARAHDLAALKYWGPGTVLNFPLHGYDEELREMDGQPREEYIGSLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDIGHYVSHWQRHRHGAVDGGLGATDAAPLIPFQLPLPDDDSPERPAAVGLDETTGAADFHDGDGHPQRQTAACPFLGDGAQPADQAGPPARHAAPTPSALDLLLQSSKFKEMMEQVSAAAASASSSSSSSPSPQPPLSPQRQPEIIGGTPAPCGFPDDVQTFFDLENEDAMGFTFAEVDTFLFGDLGEYAAPMFQYCDLDVWSALDG >PVH37134 pep chromosome:PHallii_v3.1:6:41544281:41553401:-1 gene:PAHAL_6G254000 transcript:PVH37134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGVGYLRQVNKILGNICRMLWPGMVELLSGERIPATSWNHYRYGVNVTFGNTQKAVWAEFWKYYKLLEDGAYDDHARRVFHHNAHIVVRDMISYARIQVVVSYLERTQGRRFEKKWDAGKYYLTEEQYREEMIPWMATREEAYHALCHYWTTDEFKSISQRNSDPTENTSATTGTSTFCTGRLAVSFYSAFSHPTS >PVH36855 pep chromosome:PHallii_v3.1:6:35313718:35318292:1 gene:PAHAL_6G184400 transcript:PVH36855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNHSAYWIHAIFQETLMGSHWNQCVQALPLWCDNPSVINHHMFKGYKGGNKRRRSNEFLAGYDFLDTSKRHFHVYVWYNSSFSRDNGHHSMTVLRVARLVNMASTAYLNLFGGQNVEMRLEYLKEMPKAATPMRLDLTTLLDALFFTWTVQLLLPVILTYLVYEKEQRLRLMMKMHGLKDAPYWLISYAYFLSLSTAYMFFFMISGFAIGLDIFRLNSYSIQSLFYFICLNLQIVLAFLLASFFSSVKIATVIGYIYVFGSSLLGEALLKIFIEDATFPRMWLVIMELVPGFSLYRGVYELSEYAAAGRNMGKPGMRWADLNDPVNGMKDAFILMSTEWIILLLVAFLLDHRPEWQPLFLFGFLSTKHSSPSEKPNKLKRGSRKVHVDMTKPDVFLERKLVKRLLKDMDMKNMIICHNLKKVYPGKNGNPDKHAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLLKPTYGTAYIHGMDLRTDMNEIYANIGVCPQHDLLWETLTGREHLMFYGRMKNLTGAALTQAVEDSLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDSRSRNDLWSIIKRAKKDCAIILTTHSMEEAEELCDRIGIFINGNFHCIGTPKELKARYGGTRILTITTAPEHEAAAERLLVSHLSPGCATRIYGVSGTQKFLLPRQEVALGRVFGAVEAARRSFPVLGWGVADATLEDVFVRVAKEARAFDVLS >PAN35283 pep chromosome:PHallii_v3.1:6:39276612:39279034:1 gene:PAHAL_6G224100 transcript:PAN35283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNGLVISDLNIQAPMARITNKTVVEMGQERIQEKKIENYDKYRAKRVWKIDEDEEDYEAEFQAFLKEDDEKDKGRYKFRALMDVLGPAKEKVGSDFLKKAPTTNEDPIMKPKQKRKNPYRGIRRRPWGKWAAEIRDPKKGVRVWLGTYKTPEDAARAYDAEARKIRGNKAKVNFHDEAPPNIMTNIPEPIVTVMPPMLVSAEKFNTNAPVRHANNSNEDLFSVVNFSGNNARSVPNEGFGLLSMNVPNVPSAPYEISRMEVCPNQNIFSACSSSNGLVNFSANNASSIGTEGSGLLSMKMPHAPSVIPTMGHCPSQNKFSVGSSSNGSGNDAIKNLNACSSLPHLGMPMFSQCTFAGPPMMIERNVGTLVPTLSNAAPIVPFGVASVDAGIKVIDQQPILQVVENESIPSNLQGDVSEDVAAEITMWDFYDQLLAKAN >PAN36150 pep chromosome:PHallii_v3.1:6:42448438:42453632:1 gene:PAHAL_6G267300 transcript:PAN36150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAGGSHQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSAYPSCSDARVMWDNKTGRSRGYGFVSFRNQQEAETAITEMTGKWLGSRQIRCNWATKNNSEEKPETDNHNAVVLTNGGSSNSATDASQDGGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEIRVQQEKGFGFVRYSTHGEAALAIQMANGLVVRGKPLKCSWGNKPTPPGTASKPLPPPVAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAGSQALYDGYPNQSSAQQLMYYN >PVH36489 pep chromosome:PHallii_v3.1:6:6971737:6972213:-1 gene:PAHAL_6G083900 transcript:PVH36489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQELISNRSTHVIKSSRLRAPPQPSPSQASSSSPGTTATAVVTQGGVVVLPPGGCHT >PVH36885 pep chromosome:PHallii_v3.1:6:36152352:36153799:-1 gene:PAHAL_6G190500 transcript:PVH36885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISRQRALIHMQMQSELILLLLEPISLQSPLLSKSFSFSEILQLCYLHTSKLRSH >PVH36926 pep chromosome:PHallii_v3.1:6:37202447:37203210:1 gene:PAHAL_6G199700 transcript:PVH36926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANAAVPAMPPPPPPRPGWRSIAAAVVRSRRAVAAVVYLVLGMVWCLFASLGLRRLARAACGEGCALVAGADEVSRFATGSLVVLAIVPGLDVLAPADRKVLGVFLLGMFGFLSSFFLALVGFMLKAYSPAKGSRLERSGSVIIDVVVSSLLALNCFVVLPSLALFVWGRMLVLWQHI >PAN33667 pep chromosome:PHallii_v3.1:6:3417542:3418282:1 gene:PAHAL_6G046500 transcript:PAN33667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPEYAYREHIAGGTLRCDMMVFVERSTRYPDVDPWFISTTGFRFPDTYRKAAPLRRLRVIYKHHLQRTPMGFFPPTEGRGRAWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQAAQLKQLIRGIEKITQELEEQRTRAASAEYSLAALQAQKQEYESRNGIGGWIEEEEEEEPMETRWDKGTQTEDGEMDRSLPIKKRPIRIEEESP >PVH37320 pep chromosome:PHallii_v3.1:6:44207008:44214414:-1 gene:PAHAL_6G287100 transcript:PVH37320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLDVDGALGYAKSAAAEERDNHEVLMTWVKQVRYDAEDCLQDFSIHLHKPSWWRLCTLRERRRIAKQMKELRARSSIAAAAIFGVGEARRAAKHDNSKVDFVDLINQEGEGLRVIAVWGTSGDLGQASIISEAYENPDIKNKFPWQASVRVLHPFNPNDFIQSLVMQFRSAVGVDVLLETEKTGKELAEEFIGYINENSYLILLNDLSIFEVWNGIKACLSLQSQALELKQLSACRTIYAFYEKSSQGQEKLLMVASISNTTTTRTNEVLGNHSKGGRESKVETRSLTRHKTMASAFEEYQLAGRDKEKLRWSNLFATNLKFIGMFEKRACVTVMRPFILFILKEFLKSLIVQLSLQSSSEKKRAMDFGHSTRNTVAMMGVKALIKELARLLERKKCLIVLDDVSSTEEWDHIIRSFPKLDSSCRILVTTREESIAKHCSKKQENIYKLKVLEYKDAQDLFTRKVFKEAKDLDKHPELIEEAKMILKKCNGLPLAIVTIDGFLANQPKVAVEWRKLNEHISAELEMNPELEAIKTILGKSYDGLPYHLKSCFLYVSIFPEDHKVSRRRLIQRWSAEGYSREIRGKSQEEVADKYLMELVERSMILPSQLSVNSRKGTDSCQVHDLMREIGISKSTEENLVFRMEEGCISNTLGIVRHLVISTNWEGDKSEFESVVDLSRIRSLTVFGKWRPFFISDKMRFLRVLDLEGTSGLVDHHLEHIWRLRHLRYLSLRGCNGIFHLPDSIGNLTQLQALDILACTESDDDDLHEDLVEDLPESLKNRTCILTLSLLGFCVACCAPDILKKVDWSDADMNRRDVCMCFCCLAFPAFVSGQLPTHMPIGMGKLKSLRTLGLVNIAVNKSILRDLKMLAQLRKALFRLQLGVTGINKVNSQEFCSVVANLSCLESLLVQSVGMPGLHGCLEGLTSAPNNLQSLKLYGNLVELPGWVGGLQNLVKLTLRSSRILEHETALQVLGKLPNLVSLRLRLKPFQGEDLCHTFHPESFLSLTVLELNGIDGLKSVEFEDRAMLQLERLDFLASLASLREFMLDNDDYKDDFVEDVRAQLAHNPSAPVLRRH >PVH36179 pep chromosome:PHallii_v3.1:6:1288437:1289900:-1 gene:PAHAL_6G015300 transcript:PVH36179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKDLTEDQIASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >PAN35186 pep chromosome:PHallii_v3.1:6:35788944:35790168:-1 gene:PAHAL_6G187600 transcript:PAN35186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMENLPWWPLSAWVSPGAALFLLCNVLIGAIVVTSRGDQGGRAAASTRRLCRSASSMVLERLRSFSMFSVHPVPFEEGYDHPSMELEAEEQRQQPQPAVAEPGTPAASASAPAVAAASATSSKSATAEEVAGAGKDKPVFSEEAQGPAWQSSSPPAAVTAAAEATAAAERPETVAESIMQRARACRREVEEALEGRAALNARAELFIRQFREDLKLQRLNSIINYTRALRRGAGAPAAGQ >PAN35502 pep chromosome:PHallii_v3.1:6:38420485:38422587:-1 gene:PAHAL_6G214000 transcript:PAN35502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTATK >PVH37178 pep chromosome:PHallii_v3.1:6:42163361:42164904:1 gene:PAHAL_6G262500 transcript:PVH37178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTELKVEMVALHEKRVRKCLSKVKGIERVEVEASLQKVVVTGCVNRSKILKALRRVGLRAEPWSPHNELLSAYATTSLMFNNSYSFF >PAN35518 pep chromosome:PHallii_v3.1:6:38521928:38522188:-1 gene:PAHAL_6G215200 transcript:PAN35518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLAKRLLAILLCLLLLAHQQKAYGLKGIHLFFRRQGNKPRMLAERTVVSLHSKGFPAKRASSVDPNRMSDRRVRRGSDPIHNRC >PVH36213 pep chromosome:PHallii_v3.1:6:1648707:1649636:1 gene:PAHAL_6G021900 transcript:PVH36213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRPAMRRCCRRQARCTVTDSHRKRTGCWQFWSAAVCGRGSGSVGHGWVRCCSIFCASWQGTAWQKQSNVLDVDVLIVSPVLCMIDIIGFDRRLCIQARMSQQNLYVWRSSFILCISGGCLWLLYIC >PVH36921 pep chromosome:PHallii_v3.1:6:37075903:37079356:1 gene:PAHAL_6G198400 transcript:PVH36921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAVGGQQYSQGSVNSGTPSQEKSGMASARKRSQCDPAGISQTIFLFFIIIGYWMKKGTENGLGKQKIGAALNDIGLLGASIISGFVLPKLLRKLNVTGGRCGSCDSLIQQTIQVSVSGRARRYWSSCLTCSDKMATTGSMLLFPGILI >PAN33239 pep chromosome:PHallii_v3.1:6:369689:370767:-1 gene:PAHAL_6G005100 transcript:PAN33239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSVRQRQAPPVSAQQQPQLLLREEQQDLLPKQEPGAPPPSPQRSPLSQALTSTANLANLLPTGTLLAFNLLSPTFTNHGACDATTALLTRGLLALLALSCVLASFTDSLKGPDGRVYYGVATPRGIWLIDYPPGAPPPADTARYRLAFVDFVHAALSVAVFGVVAARDKNVVMCFYPAPPKETEEVLDILPLGVGVLCSLLFVAFPTTRHGIGYPVTNGN >PAN36291 pep chromosome:PHallii_v3.1:6:42902957:42903921:1 gene:PAHAL_6G271700 transcript:PAN36291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDNYNRIVYELMAEQVRKGNRPNTHLNTLGYNEVSARFFQMTGIELSKTQIKNKWDRLKNDWSIWNKLVRNQTGTGWDNARGVINMDNEWWKKMKMDVPGSGKFKKKPLQNQDFLGEMFGDISNDESDHWNPMSDNPIIPDSQKEFENMDGEGLEEEDNEFMHDWSYREEEDDDVQEVSPVVDNRKRKPRVVLEIPKKPKSSTALQIQEQITKIANSAESFTSRKQAEGVSIKEVMDVVLDCGAEYGSNERDIATQLFVKKEQREIFLTFPTKEIRLNWLKRRYNDKYGN >PAN36259 pep chromosome:PHallii_v3.1:6:45471052:45472184:-1 gene:PAHAL_6G306100 transcript:PAN36259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGGFMEPPASDSADAHCHALLYNLSLLRDKVQQLQPLVGLAMEHDGPGGPVAAEIITAASSMMYAFQQLCSHGAQSSTTANAATGVSSIAAAVGHAKNGIADTACGDHHQAAFAMDHHPTTSAAMAEEEAAGTSTIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALANPAKAGGGGDATAAAATARTRRSYYSCPQEGCRWNRKHAKFQPLKSVICAKNHYKRSYCPKMYVCNRCNRKHFSMLSDLRTHEKHCGDHRWVCSCGTSFSRKDKLIGHLALFAGHQAAVPLDRQANGKRSLSSTSTTHFGT >PVH36471 pep chromosome:PHallii_v3.1:6:6495380:6495819:-1 gene:PAHAL_6G080200 transcript:PVH36471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSEPQQSCCQNFVDHQLFFFCYVELQQLRHRHFIEPQTLLLIKNQSMSARKGI >PVH36467 pep chromosome:PHallii_v3.1:6:6353721:6355203:-1 gene:PAHAL_6G078200 transcript:PVH36467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILASMSMACSPSALVVLAVALLMFVSASPQAQAFTPLPAPSGPTRAPRQPTPAPSPALAPPPTPAAAPAPSSQVCPTTGFSSLKEFKEAFDQYAARRIFLVLVPAGSPESGTHAISAKLRRAGLIPCVCRSRVFVVTGPIQCEDA >PVH36169 pep chromosome:PHallii_v3.1:6:1103859:1105774:1 gene:PAHAL_6G012900 transcript:PVH36169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPNPRSEQNRGGGKGATYLALLAPPTVGEHGPPSPTRSPARLLAQRGQSSRELSSGRSPGTTEKPLDGGAPTQRWTRAPASGLAVAPPPRLLPPAGRSLSLG >PAN33415 pep chromosome:PHallii_v3.1:6:1494861:1499020:-1 gene:PAHAL_6G019400 transcript:PAN33415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGRLPKKPAKSGEKELAGAGTSLPSPTADARTTTDLTMSSRLVNPSSYASTVTNPGQNYAARNAGAGAGVSNGFTASAGYEALPSFRDVPASEKPSLFLRKLAMCCVVFDFTDPTKDVKEKEIKRQTLLELVDYITSATGKFPEPVVQEVIKMVSINLFRAPNPAPRENKVLESFDLEEEEPVMDPAWPHLQIVYELFLRFIQSPETDAKLAKRYIDHGFIIRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVAMYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIARCLCSSHFQVAERALFLWNNDHIEGLIKQNSKVILPIIFPALERNTKGHWNQAVQSLSLNVRKIFMDHEPGLFEECRKKFEEEEAQEASKTSKREAVWKRLEEIALSKSAQ >PVH36253 pep chromosome:PHallii_v3.1:6:2245901:2250879:-1 gene:PAHAL_6G030500 transcript:PVH36253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G13682) UniProtKB/Swiss-Prot;Acc:Q9LID0] MSPSPQRRPQRRAASSRPASYDESLVDAALQAYLGDAPSRRVRRLRRLSAEERQRETETEALIALSLGFPIDELLPEERPLLPAHIADAPNDYIVVRNHILASWRADPGAPLPRARILETVAASYDHLVAAAHGFLAREGHINFGVSAAFPAAPPPDAALQGPAASGASVIVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTSRLGGDKAAVELGGSVITGIHANPLGVLARQLSIPLHKVRDRCPLYYPDGRTVETRLDRSIDLVFNTLLDHATRLREFLNEAAERISLGEGIEKIRRLYHVARTDDERMVLDWHLANLEFSNAGCLSELSLAHWDQDDPYEMGGDHCFLAGGNSRLIHALCDGVPVLYEKTVKRIEHGADGVSVTAEGGQVFQADMVLCTVPLGVLKSGSIVFDPELPEHKLGAVQRLGFGLLNKVAMVFRHIFWDEDIDTFGCLNKESSKRGEYFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPVVALHRVLAILRGIYGPKGVTVPDPIQSVCTRWGSDPFCWGSYSHIRVGSSGADYDILAESVNDRLFFAGEATIRAYPATMHGALLSGLREASKIHRAAESIMNSDQKKYFLPKSLRPPNGALEDLFSEPDLAFGIFSFVFSSVTLDDPEAPGLARISLDKILLLQPKNHEPKEDEKVHDPAAEKIVLQTFHLYATVFREQADRLQNSSNDDKGRLGLLCKDLGVKLMGYDSTCDVCSDMISSILSARKARKRLQRPKNFNISH >PAN34646 pep chromosome:PHallii_v3.1:6:19152572:19153111:1 gene:PAHAL_6G126500 transcript:PAN34646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGLILLYYHLLTLATNYIFEPILGITFDSENEGYEFYNMYSWEVGFGIKKATRVTNKKGFHTMRDMSCLCSGSEERSKYKTKKTGCKAMIQLLRSNNDGWYIPRSCTQLLRLLLYY >PAN36709 pep chromosome:PHallii_v3.1:6:45314228:45316513:1 gene:PAHAL_6G303800 transcript:PAN36709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPPPMTRAPPALADELVEEILLRSPQDDPARLVRAALVCKRWCRLVTGPAFRRRFRGLHRRPAPMLGFLCNEVPRAGADDACSARFVRTAASCPPIAARCGWHALDARAGRVLLHRAAAAAPAQAAVRLAVWDPLAAGDRGHIELPAPALPRRLRSWNAALLCEDDPDGPFRVVLVGTDAQGTFACVYSSLEPAAWSEPAAYARGASRLTSGVGGDHVDAVRGALVGDALYFVCQRRTRVLRYDLGTRAMSVVHLPPAPHNQRIALTTTEDGGLGFARMEGYRLCLWSVEANLEWTRGRVIDLRTLLPVIDLLGFAHGLGIILVGTVDGFFSVDRKSGRINKVGDGPGFYNVVPYVSFYTPALRTASRDEGSSANA >PVH36943 pep chromosome:PHallii_v3.1:6:37497992:37500643:-1 gene:PAHAL_6G203100 transcript:PVH36943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGESPKSAQFQMIWSRLEARKWLSHGFVSELTGVEKQPQEGQNGTVKYKVFGSRWNIGGIAPPDDLDLKELLDTATDYYDIYVLGFQEIVPLNARNVLGPKQRSAATRWDALIGDALNNRRRRHDTMAPQRQWRQDDEEFRCVMSEQMVGILVSVWARSGLRRHVRHTGASRIGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGEDGDALRRNADAAGIVSRTSFSGRRDGLTQEELQLPRKIFDHDRMVLLGDLNYRVAIDGAEALQLVRARKWGMLLGNDELLLELSRGRRFDGWREGLVTFAPTYKYKPNSEWFYWRTDDDSAIAGHQKQHRAPAWCHRILWRGKGMRQTRYESCRGYRLSDHRPVRAVFHAVCEVAEGVDSKMVKHADILIG >PAN33489 pep chromosome:PHallii_v3.1:6:2250865:2255762:1 gene:PAHAL_6G030600 transcript:PAN33489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRVGFGLGRGEGGWVGTELGMWMKMTVDHSNQQPAGAHRLRASAQAATANRGEISAAAPRTGSPGRSQAALNGPRAAASRARPPWALPERDRAVFARARGADAVAARCRLAGALVCGERELVSTLGASIELKEEEGALAKEMRKNWGLSSGPARPFSDRRWLLPFLASLLVTATLLLAAACGLFSPPYPGGGDGDAALFDVVSLADWDDGSSPGDGARSVEAGIKDRLLGGDAAADDENPDDAAVNSDDSDAEPPRIAYLLEGTKGDGLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAILLKEGLDWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFIEHFQLSGWKVNIRAKPIVLDPGLYLSKKFDLTMTTERRELPTSFKLYTGSAWIMLTKSFLEYCIWGWDNLPRNLLMYYVNFISSPEGYFQTVICNSNDFRGTAVSHDLHYIAWDYPPKQHPLILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGRFTPGAWCDGTSEGGADPCLSRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLAYDQTKRDWYVPKSKG >PVH36702 pep chromosome:PHallii_v3.1:6:25493010:25493249:-1 gene:PAHAL_6G146700 transcript:PVH36702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINSTVALSSPSAVYFPFFSSLSCSSQAEQTTQLFFSFSLPDSDFLFTEQSKSEQGIQPQAPRHPNTSTCSFFPPLFC >PAN35474 pep chromosome:PHallii_v3.1:6:38260256:38265766:1 gene:PAHAL_6G212300 transcript:PAN35474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDVTIEVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPKYLLPEHISACHMRITFSAHKDLNIKFQSHRSRDYTNPYLPVNQTAIEGIVQPTVGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTLSDPNAQLQAAAQPPALSTPGVAWQNTAAPAAPFYASTAASTPAGVGQVPGWNPNMQAGAFASASTPYPSQPMMANSMPHYPAIGTSSGAPPVPFQASQQMPQYGIPPGAPPHAPPAGQPMYFPK >PVH36281 pep chromosome:PHallii_v3.1:6:2762278:2762862:-1 gene:PAHAL_6G038000 transcript:PVH36281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPGGERITSEPFDVGGRDWPVDYYPNGPYAFRDDSDSIALYLRLAGSHKKERVRAAYKFSLLDPAGNAAYELPKETAIFTAAAHVYGVPQCEGEEAAGDPGRGYACFITKEELRRRGKSLLREDTLAIRCDVVVAEVETLDVRFVSLLLLLLFVTSFNLFLFCSPNRKKIRWGRFQTLSRADPCWMNAAWTV >PVH36581 pep chromosome:PHallii_v3.1:6:14003874:14005239:1 gene:PAHAL_6G110300 transcript:PVH36581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSRKWCLAISTPLIPARVRNSPRGKRRRGHRGSCGAAPRQKIDHEREQERRSDGRCAEQTRRIAGARVQQGALARGSSRGASERVQQGRRRAQTSELLRRGRGSSCGSGGARGGAPAVAAARSANLGRRMFGRAAAARAPAPEGGRLRTASWRAEEWG >PVH36436 pep chromosome:PHallii_v3.1:6:5665886:5666337:-1 gene:PAHAL_6G073500 transcript:PVH36436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTIWHAYCSSSSCMHALREEFAIRPPSNVHGHIVATGMEATVGSLNHHLPTHSYTQAR >PAN35830 pep chromosome:PHallii_v3.1:6:40466258:40468518:1 gene:PAHAL_6G237700 transcript:PAN35830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPAQRREAERSMSIAEKLLMARDLEGCKQFVAEALSADPRAPGADDLFAAADALLAAQRRRLPSGPPDPYAVLGLDSAVPGSRDPDVVHSHYRRLSLLLNRSHPDRPCSLAFADAARLVADAWAFLSDPLRKASLDSDLDAAAAAATAKAAAAAAVASAARVPTVPFPDKLHQPPPPQPASPPPAPQPRQTVLATPPSKRGRPPRAAKTPPAVEQNQEGEAPQAPQFWTACPSCCHLHQYDRSYESQTLLCPSCRRPFAATAIATPPPIVPGTDMYYCSWGFFPMGFPGGPAFARPVSSPGQQAPSALGYYPMGPYLPLLGQGGIVEGNTAVGASSRIPVTPTMTAPVPVTPTVTAPMPVTPAVTALAPTVAKPVNSSHQKVEARKRGRPKGSKNKKVVIEIN >PAN35980 pep chromosome:PHallii_v3.1:6:41189366:41190334:-1 gene:PAHAL_6G248500 transcript:PAN35980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPYHLQSPRTILARLVNMRQLPPGLPPPPPPSKTVRQPEVLPQRLPAAPSKIILQPRDRTSPAMWFAAIVCFVFSIILIVAGVVILIVFLAVKPRAPSFDTANASLNSVYIDSPAYFNGDMTLVANFSNPNQKIDVVFRSATVELFFRDRPMAVQVLPPFAQRRGQFQVVNLHMVSSRVLLPPEVAMELVNQVRSNRVVYTIRGAFKVEARFWFSHYSYWMNTICELELTAPPCGVLVARRCRTK >PAN34307 pep chromosome:PHallii_v3.1:6:6875385:6875803:1 gene:PAHAL_6G083100 transcript:PAN34307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWDLGNTVKPLYITSHILRPAHDDCYFTRVHIRECLETSRGLRTHLAHDSNTPHTTYATSISNAARRALWSLCYTHRQELGTTNYHHLPCCISGTEETVVLMGEDGEDHINILARVTTALNTDLEGATVELD >PVH37172 pep chromosome:PHallii_v3.1:6:42088273:42089307:-1 gene:PAHAL_6G261300 transcript:PVH37172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDDSSSSSSSSCDDSSEATSRTTASIYCSCRSHCPRINPDASHTHTCGHWLGSKRRTRREA >PVH36320 pep chromosome:PHallii_v3.1:6:3327808:3331251:1 gene:PAHAL_6G046000 transcript:PVH36320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERAEVLKEEVRKIIKGTNQLPKILDLIFTLQRLGLDNHYEAEIDEHLHFVYNSGYDVKDLNLVSLRFYLLRKNGYDVPSDVFLNFKDKEGNFAFDDIRGLLSLYNAAYLRTHGEKVLDEAIIFTRSHLEAVLDSLDSTLADEVSQSLQTPLFRRVRILETRNYIPIYEKEPTRNMAILEFAKLNFNLLQLLYCEELKMVTLWWKQLNVETNLSFIRDRIVEMHFWMAGACSEPKYSLSRVILTKMTAFITILDDIIDTYSTTEEGMLLAKAIYRCNEDATELLPDYMKDFYLFLLKTFDSCEDELGPNRRYRVFYLKEMIKILVRGYSQEIQWRDEHYVPETINEHLEISRVTVGAFQLACSSFVGMGDIITKEVLDWLLAYPELLKCFTTFVRLSNDIASTEREQTGGHHASTIQCYMLQHGTTMHVACEKIKELIEDSWKDMVKLYLTPTEQPKVVAQTVVDFARTGDYMYKKTDAFTFSHTIKDMVALLYVEPILF >PAN33806 pep chromosome:PHallii_v3.1:6:3548723:3557585:-1 gene:PAHAL_6G047800 transcript:PAN33806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKWRSLQHRHRYTYTSIVFPKHYLEALALVPADITSSYFFLQLNNLISLTSTYSQVVAVKDLASAYVQFLSAPGTSDDAVLAATKLYLEILFLENSLPLHRTLISVLAKCKKFSPVISGCFALLCEEYGGSGSKAKKRFLVSRAALSLIGYPKLGFLDEAVERCAEIMALDIVDGLDGVTRDIGEGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLDKASSVFNSSVRAILSVLKSSAFSRDCLVASGVSFCAAVQVFMSAEEICWFISQGLFGVCADHEDRKDLSVHDVLSDFNLCEEIRDLSILSRLCLLRGILTSIPRTVLNIRQLDSSGSLWTVLYDGILLELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLTDSTDFSGDYKPFSRNVIDRILRIIWRNLEDPLSQTVKQVHLIFDLILDIESCIPSGDHELNNKLFLSNIANDLLCLGPRCKGRYVPLASLTKRLGAKSLLSLKPNLLSETAYAYIDDDVCCAATTFLKSFLETLRDECWNDDGVDQGYDAFRVLCLPPLMRGLVSGNSKLRSNLNTYALPALIEVDADSIFTMLGFISVGPSAKATGLDVVLKNDQCIAALVSLLKVSRNLALVEGDIDLDPDKLSQPQKEDNRGAAVISVRGINVTVPVNWFALALTHSDESLRIDAAESLFLNPKTSSLPSSLELSLLKEAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKHGSWIPSSSIEGADSVDAAVTQRAEDLFQFMKWLSSFLFNSCYPSGPYERKTIAMELILTLLDVWPICHSEGKNNLYPYNDSIILPDSTISFVGSIIDSWDRLRENSFHILLQFPTPLPGISSSLSINNVIRWAKTLVLSPRVRESDAGALTFRLIFRKYVLELGVILVFSKESDCLECYTQSTDGDTEVFTSQNPVAQYISSLIQWLCTVVEEGEKGLSEACKKSFVHGVLLTLRYTFDELDWNSEVVQSCVSEMRCLVERLLQLIMRITSLALWVVSSDAWYMPYDMDDVIDDGSFLSDIYEEDQPTTGTEKEEQNTKPGSNGKPADQVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSQDGLLDSSEETIMSEEILDVEQLEKMGDHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCQMTESWMALLMDRTTAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQKDSNQKSGIMKDGLGELCESLSETAASAHSNGNLSKSRDEGVVPTVHVFNVLRAAFNDANLATDTSGFSAEATIVAIRAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRESARRSLTGLEFFHRYPALHPFLSSELRIATELLADGVSNNLESHIVKAIHPSLCPILILLSRLKPSPISCGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQQVVSDILDNLASGNREVVSHNVQFSDPPISVNMENGNLLRASRSFSFNSIHGLLLQLSSLLDNNFRGLTDSCKKDQIIGHLIDVLSRCSWLGSTKLCSCPVVSTSYLRVLDLMLDIARTGKNRHTEVIQTLLLELSSRCLSSAISTRYAFHDPTRIELQQQATESFFSCVGLSKRNDETSVEDVQFQILGEPTSIISVMPRVEVSLPELHKEIMSCLADPTYDVRITVLKRILQLTKSIRHGQSKNILHQWAGANLQPVLMERLFAEDHPKCLYYNLKIIFLWNMESPFNNGEDSGTILSFWDRLVHLNNTMSHAKTREIILCCMGMCMKWFAKLLRNALQMDGLKTSELSASFVRINEGNRLSDALLRVNFFVSLVKNQSAPSETVNARRAAAEAIVASGLLEEANFFASSVSNSCFPSECDEGHIKEKCIEANVSEFTSLYACKIIDLWFICIKLLEDEDAYLRQNLANNVQKIIANGSASAFCDDSTPLQVDRVIELSFDYLTSLFGHWLKYIECLLRIVLDTGNTLDSRGDLVRQIFDKEIDNHHEEKLLICQICCFNIQKLLQSKCQMETGGKIELFLQNWRESFLNQLTSLTSGYIEKEGKTDWIGGIGNHKDVFISVYADLLGLYVLAPSASLEHQNSPETYLQEFSNLNGFITPFLKNPLISNLYVLVKLSHDRFGCSDKPENQVASNFDPYFLIR >PVH36516 pep chromosome:PHallii_v3.1:6:8486154:8488625:1 gene:PAHAL_6G090700 transcript:PVH36516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINRHFAIVLTYLLIDMFFGELVTDPKKIAYRYIRTNFFIDLAAALPVPQILVWAILPSLSFRYIDISLFLIILRNIIKTVGFLTKNGWTGSSYNLFLYLVASHMTDNAPCDFKFLDCIYGTSNQSQIWAKTTKVFTECNVDTMQNGVFEISFPEKYFYSLWWGMQQINETSSYIGENLFAIGLTLLSIGLFAQLIGSMTVPLFSAMDHQLLDAICERMNYLLCSEGTYIIRKGEPVKVMTFVFRGKLESCTTDGGRTDFFNSIILKPGDFCGEELLTWALLPSSGDCYPLSTRTMTLKFVASTFRMMHSKHLQHIFRFHSHQWRTWAARFIQSAWRRHISRQKTAERSLSSSSRSRAAEFPFSKIATIFLKAQNNRPEEPDFSIGDHPN >PAN33793 pep chromosome:PHallii_v3.1:6:3297930:3298919:1 gene:PAHAL_6G045300 transcript:PAN33793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATAPSRRPPSAVASAAPSPPAARVPLPQRRASLASGGVRSHPKGAPPSALPAAAPPRRRAPSCACSPTTHPGSFRCALHRGHAAPPPVSSRLSAPRRASMANPLVRIAAVEGGDQIRRALASLPRPPPSSQQQQHRRRAGAFRPRPSRLSAASSAADDEDKPSPRPEE >PVH37202 pep chromosome:PHallii_v3.1:6:42780979:42783136:1 gene:PAHAL_6G270000 transcript:PVH37202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVAAGIPPAHGGFRGSEKGKPDPDDADSRRRRNTNGFFAEEEDEEEEEEEEEEEEGVGEAAVRRRGDEEEEEEALSESSSIGAASSDSSSIGENSASDKEDDGDEEVESKVEGLGMMGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLAEAAATAAAKEIAKPENPFNKRRRVLAAWNRRRASCSALATAYLPPLLAPDHAVVEEEDEEGADDDDDDDEDQQPGGSRGGLRSRRPPTFPSPRLSVHTTGGQMGRNPHASSFRSPRSFSMTDLQNAG >PAN36194 pep chromosome:PHallii_v3.1:6:42250672:42253931:1 gene:PAHAL_6G264000 transcript:PAN36194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPACGGGRGRSPLSPLLGCLVAVFLLLLSAAAGRAEAQLQPLPPLAVATYNYTSFQAGNSREAAELVYSKDARVYQGALQVTPDTGNAGTYRDIMVNKSGSVLLRRRFNLWRRVDDGGNATASQQAPRVQVVSFNATFSMNVYQLTAASPGEGLTFVIAPSRDEPPPGSYGGYLGLTNSTLEAAGPAANRFVAVEFDTLKQSYDPDDNHVGLNIGSVVSNKTASLAGFRIATNETTATNYTVWIQYDGAARHMSVYMDIRGRPKPPSPVLESPLDLSLYVPETAYLGFSASTGTSFELNCILDWSLSIEIIPDKKSRTWIIIVAVVVPVSVAAVAVAAFFLTKKLRARRSMERRQERLEHQLTNLPGMPRGFEYEKLRKATRNFDERLRLGKGGYGMVYKGVLPADDARSEGMSVAVKRFIRDDSRGVSDFLAEVQIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFRRGVTEEQRPPLSWERRYAVVADVAAGLHYVHHEYTHMVLHRDVKASNVLLDASFRARLGDFGLARVLEHDRNSFTDLNVAGTRGFIAPEYFVGHKASRQTDVFAFGALVLEVVTGQYALRADPRCPVLADWVWQMHGRGALLGAVDQSLGTAGFDHDEAARLLLLALACSSPNPGDRPTMPQVMQVLSKASPPPEVPPFKPQFVWPPEGGAHFELSDIEVSTTSGTTGNGGASSAMATQDTSYDSFHPHTAPNSSEGYFPALSSGR >PVH36961 pep chromosome:PHallii_v3.1:6:38169837:38170655:1 gene:PAHAL_6G210300 transcript:PVH36961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAITGAVVSSKPYSLPKAVLILHHFYDSAADCATYLRTAPEATREHGLFRRGLRANQQQGAANLEAYDYEGERKHQDRERKGDTAVPAGGSHRDSAAEVELDAAASEKKSKKKKNKEDRQQVRAVAGVESHIPSSSEIGKEKRKEKISIKEIIAHVKQEPVDEELLSEKKSKKKKEKGRVKLEEEARDVNVKKRKKKKHVEEISSKDVKQEEKMASDGDLDSEKKRKKKRGRVDNDNHMLEQVEHTKKKQRK >PVH36259 pep chromosome:PHallii_v3.1:6:2476045:2476574:-1 gene:PAHAL_6G033800 transcript:PVH36259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMKHSSQALLLLSLVLLACSLVPARVISGQSVGGTKTETTTGATNKTTKCFENHNSPSGSPIFCCKILDVCYASLSVCELHC >PVH36751 pep chromosome:PHallii_v3.1:6:29195115:29195471:-1 gene:PAHAL_6G159400 transcript:PVH36751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSSGRATSSDVPTWKGTLGERSCGATEDPGVEVAIHPEEEIESSSGKLWAIPSSFPRRLQPSVPGSDGFLAWVRKDLVRERRITLEECYPVRNSDRIAGKPTRISFSRDICGSSN >PVH36543 pep chromosome:PHallii_v3.1:6:9881638:9885107:-1 gene:PAHAL_6G098200 transcript:PVH36543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPIPWLEASHRRSATPPSYSPCFSTTTGYTAKSQWSNNLIGKMPQGIMNLTKLQILDLSSNQLEDEVPATISSLQSLQYLNLCNNKLSGMIPNLNTRKLLAISLAKNYFTGGFPMALCPQASLEILDLSNNQLHGELPRCLWDLQGLLFMDFSNNTFSGIVKTSTDSDLSLRSVQLANNNLMGEFPLVFKRCRRLTILDLGENKFSGMIPSWIGSSHPAIPC >PAN33833 pep chromosome:PHallii_v3.1:6:3673352:3674292:1 gene:PAHAL_6G050300 transcript:PAN33833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKVVVQGAALEQGEEKRSFPAKGKAEESMATAAASSPAAVLAFLTRPLSLLRHVAHGCAGYLGGLASRLKPAAAAADAAAACQPQREEGSVEAAALVTEEEVLVVQVRSRAMAPQRPRGLKEGKGGNGGAHRK >PAN33255 pep chromosome:PHallii_v3.1:6:429205:431531:1 gene:PAHAL_6G006100 transcript:PAN33255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKRRRQNQRAGGGHKRRRPHKHLYLVLDDWEKGFSIHKIDADRFHSDSDSDSDSDYQHLPEPPALRLEPPVSGEPYCDVSFATLGTKIFAFMNQRCGLAYDAETGVLSMGAHAPAQMVCGYGISIAVGDVLYVLTYRYDFDRQHPHSFEAMSSAPTAPEERQHPTEGWTWKTLPPPAFHSCVHSYALHPDGRTIFMTSSYDTDKMGTYSFDTKDSTWRFHGNWVLPFSGQGHFDAELDAWVGLDQYGYICSCPVISPSFQCTAPCFYPDCKMTEEKIFAKRHMRATLTYMGATKFCLVDCVNNRSRDAYEIRLTMFGLKYSYKGELQITDHRSTCSFMVSRHTYHFVPLAFWM >PVH37046 pep chromosome:PHallii_v3.1:6:39746214:39747942:-1 gene:PAHAL_6G230400 transcript:PVH37046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGHVAPGLGFLVVGLWHLYNHIRLFLLRPRSYVAPVWFPVRGARHLELILVIAGAAASILMELVIGPARHQPFDADGAVPAEHLHNFEHASISLALLVYAAAAIHLDRARAPGRDAVSQLAAAAAFAQELMLFHLHSADHAGVEGQYHLLLQGVAAVTLAATALGVAAPRSFAVSLVRSASLVLQGAWFVAMGVALWTPALLPRGCFLSHEDGHDVARCREEGGALARAKALVNLQFSWYLSATVVLVVVLYLRMCSLYKEEPQYVLMIDGNGHGDDDGDDDDNDVEAAKGGGGRAFGESRPMKVSRP >PVH36881 pep chromosome:PHallii_v3.1:6:35893040:35893819:1 gene:PAHAL_6G188600 transcript:PVH36881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYEDPPAEIFHTAHPAHGLKLVPAGATFVCGGCKEPGDGARYACGCGGSVSFDLHPPCVLADEDEAMRHALFPGRDFFVPAPPPPVDRTICDACGEPARGYVYHCFEADLEQVEGGASALWVHRVELRRMYICFAPSRSRPCGLCGGRRSGFWAYRSFFDGEAVDLHVTCMKDLARLSCEAAACSKNWGGGGHQIVQASLPNMDRTLQSFPRDKRKRSGFDRFIRIVRAIPTD >PVH36603 pep chromosome:PHallii_v3.1:6:16997970:16999187:1 gene:PAHAL_6G120000 transcript:PVH36603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDRNKYKFFSYFYFMIDQYKHKQLQIGLVSPQQIRAWAKKILPNGEVVGEVTRPSTFYYKTDKPEKDGLFCERIFGPIKSGICACGNSRVSVAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYFKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSAKKPTFL >PAN35935 pep chromosome:PHallii_v3.1:6:41006334:41007164:-1 gene:PAHAL_6G245300 transcript:PAN35935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVQPAAAPPPRPPPPHPHHDTTLTLALALPPPLPPPPFACALSPRPLQARRPRPDGVASSSTAFARVRSSPTGDTPPCTECGKRFTSWKALFGHMRCHPERQWRGITPPPHFRHQHLAVAAAAGDQFTVQEREIATSLLMLAGARPGGVKGKKSTLVPSSAKKESCSTPTPSPTAAAPAPPRCDGHKCSVCARGFATGQALGGHKRCHWERACAEGVAVAAPSSCSTLATSGAAAVATTLDLNLPPPGTMPPLPRKIDEDGSLNAALDLKLGF >PVH36610 pep chromosome:PHallii_v3.1:6:17308737:17309780:-1 gene:PAHAL_6G121700 transcript:PVH36610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPPPTHLPCVPLLPSPQATAAPYCTAHHPFLRFHRRVQVQPSPHPTLSVSIRSPLSLYNRWHGTPFLSPSASEEDGGRTTQTRPSVEARRGGRRENGTMTFSSLPTRVTFLERETAARATRRKEKRGGRTGVHGGAPGGRSRATPHPSSPSLTPSRHLLPSSPSLEDGRERERGRAHADPSMPRPPLSSRGCVEASRIRAGPSPEPWSARARRDSTAPTPLAPPPPPPGLPSTHHYHRLPTHPVYHHRRRC >PAN35855 pep chromosome:PHallii_v3.1:6:40622154:40624177:1 gene:PAHAL_6G239900 transcript:PAN35855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFSEGLFGSWAMAAAPGGGGGWSWGHGESEHGGAMEGVMDLEGGAGAGGYWEVGASSSVMMQGADHQEPDGSSAPPLPENGGGGNAAAGGGAGFSQEVAVAAAAVAMSPPPASGRRKRRRTRSVKNREEVESQRMTHIAVERNRRKQMNEYLAALRSLMPPSYVQRGDQASIIGGAINYVKELEQLLQSLEARKHARRHDLSPGDGDAAAGPFAGFFTFPQYSMSARAVARSPATGNTPPADGEGPNNADADANNGDGDHDASGSRLSSVADVEVTMVESHASLKLLSRRRPRQLLRLVAGLQRRRLTVLHLNATSDARRMALYSLSLKVEDDCALSSVDDIAAAVHGIVEAVDREEGGAEPLGSQAEEEEE >PAN36426 pep chromosome:PHallii_v3.1:6:43485438:43489479:-1 gene:PAHAL_6G281300 transcript:PAN36426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGAGGAGGGGGAGGGGRQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAGCAARPAAARVAAAAGAGGEAAFLCADCREGRGDGVAVEGFSGCPSAAELAASWGLDLRGAGGGCGEGDAEAEAEDDAFFSVLDYSMLGADPDLRDLYVPCDPPEVAAAGARRLKGEALCDQLAEMARREADTSSHPHQPHSDLSPRTPRRNSAASSGRLPGKMAAPPTLPPHPPPAAVQEVPLPYTSLLMMASASSTELIGGSGRMADDDEQLLWDCAAPSVPPTQIWDFNLGRSRDHDEKSAIEVGFGSNHGGFMIKSYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNICQLSSKNVSTGSNKRKVSSCASTIDGPTTSGNHVPTSGPALTREISFGDQTVSPAAADRPAAMRIDSETLAQNRDSAMQRYREKRKNRRYEKHIRYESRKLRADTRKRVKGRFVKSTEPLNAVNGG >PAN35542 pep chromosome:PHallii_v3.1:6:38585197:38588478:-1 gene:PAHAL_6G216300 transcript:PAN35542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLALAVALLVGAGFGGVDTGAAAPVEAEGGEVTYGSVIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDSNSYWIIRPTPDSSSKQGDAIETGGIIKLQHMRTRRWLHSHLHASPLSGNLEVSCFGGDELSDTGDYWRLEIEGSGKVWKRDQKIRLRHIDTGGYLHSHNKKYNRLGGGQQEVCGVKEKRAENIWLAAEGVYLPVNGSK >PAN36090 pep chromosome:PHallii_v3.1:6:41762813:41768649:1 gene:PAHAL_6G256600 transcript:PAN36090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGGYGGGGGGGGVAASLAALLCCGCVTLALAAAAAQGPRLPSAYKTLSGDAPRVVAKGGFSGVFPDSSSAAYLFALMESAPDTTLWCDVQLTKDGVGVCLRDINMQNGTSVAQQYPARKRTYVIDGVRKTGWFALDFTMAELQPVILTQAIYSRPGYFDFVAYRILSVADLQSLPSIVKQPSVWLNVQHDIFYKEHGLNMRNYIVSIQKNVSVDYISSPELGFLQNISGRVRRKTKLVFSFLDKALTDNSIHQTYGSLLSNLTFVKSIASGIMVPKIYIWPVTKDNYLQPPTSIVAEAHSAGLEIYASDFANDRVIPYNYSYDPLAEYLNFISDGGFSVDGVLSEHPITASEAIGCFANLNSSKTDHGEPLIISHNGASGDYPDCTDLAYHSAINDGADVIDCPVQVTSDGTLMCMSSINLLDTTNVQRTPFSSRASVVSEIQATPGVFTFNLTWDDINSSIQPTISSPLSKYNIVRNPRYTNQGKFLKLSDFLAMGMDKDLSGVMIIIENAAFLAKSLGIDIIDSVNAALSAAGYNNQTAKGVLIQSKDSAVLVKLKQQKTKCKLVYTLPSGIGDVSTSSLEAVKKFADAVVVDKDNSVFTSSLAFIIRQNNLMKDLQSAGLAVYAQVFRNEFLSQPYDFFADATVEINYYFQSFNLSGIITDFPKTVTRYKKNTCTGLGNDMPNYMQTIPVGSLDQLLQNSKGQPPSMPPMPTLNASNVEETPLPPVAPRNEPGGSPRGAETPGAPPSDTHKATASTGILFVMAFAALLI >PVH36781 pep chromosome:PHallii_v3.1:6:31840784:31841644:1 gene:PAHAL_6G168500 transcript:PVH36781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPGLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVITRSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTQAPPEDPESSAAADEGDAATRPLTDGNPEDGERGPLALPTPKEGTPCK >PVH37037 pep chromosome:PHallii_v3.1:6:39502660:39505652:-1 gene:PAHAL_6G227400 transcript:PVH37037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDQLGLQYAALCCATLMVGWLLHWVYRWVNPPCIVGKLPPGSMGFPVVGETFQLFKASPSMDIPSYYRDRLKRYGPVFKTNLVGQPLVVSLDPEFNRFIFQQEGKLFRLWYPETANNIFGKKSVTTYSGTVHKFIRSFSSKLFGAESLKEVLIGELEDAMRQGFASWAARPSIEVKDSVADMIFDLVAKKMVSIEPVESRELRKNFEDFFQGMLCFPIYFPGTSFYKCMKGRKNVQKKLTGLLQDRLRTPGKKHGDLLDLLVEELGSEKPVIDEAFAIDALAALFFGSFATISATLTLGLKLLTDNPKVVETLKEEHEEILKQRGDKNSGFTWDEYKSLAFTTQVMNEITRMSNVAPGIFRKTLKDVQVNGYTIPAGWLVMISPMAVHLNPTLFEDPLKFNPWRWMTHDETKRSTQQRNFMPFGGGIRLCLGAEFGKLFISLFLHVLVTKYRWKEIKGGQVLRVAEMIIPQGYHIQLVPTTE >PAN35809 pep chromosome:PHallii_v3.1:6:40350789:40352338:1 gene:PAHAL_6G236300 transcript:PAN35809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARRLSLQYTIADAKLPCPKERQDLGSPIFRRTPGPSSNTPTATARRFAAHKPDNHLAGICHLTIAFGMDPDAEVTFEFVPVIRQYRSGLVERLLPVNPVPPSVDAATGVASRDVTIDAATGLRARLYLPARPGGGGGRLPVVLYFHGGGLVAGSAADAPEHAFLNRLAARAGALAVSVEYRLAPEHLVPACYDDARAALRWATAAGAEADPWVRDRGDAARVFVLGFSAGGNVAHNLALRAGSEPGLLPRGARVEGVALLHPFFLSSSGVKAAEGEAKDAWVRGKLAELWVFACGGRTAGTDDPRVNPLVDGAPSLGRLGCGRVLVCLAEDALVAEGRAYYDALLASGWAAADAELLDSRPADHEFHLREPESAKAVLLMDRLVALVAGDQ >PAN33846 pep chromosome:PHallii_v3.1:6:3727556:3728851:1 gene:PAHAL_6G051000 transcript:PAN33846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTSTDTSKWKPMHLTLLGGNFIPFTGLLSRCNTLFSFGVSSPWSESNKPSPLKYHQKHFLETLHDQHIQVILLPL >PAN36097 pep chromosome:PHallii_v3.1:6:41805912:41809217:1 gene:PAHAL_6G257100 transcript:PAN36097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGSSSSEDVEAPLLALPADDGRERPPAAAWARPLLAHGYPAVASGPAACAAVCALVDLGGAHRGARNMLAVLAWVFLWWVTGAVPLAVASMAPLFLFPLFGVAGADAVAKAYMDDVISLVLGSFILALAIEHYQIHRRLALNITSLFCGDPVRPPLLLLGITGTTFFLSMWIHNTACTVMMMPVATGILQRLPRGGDGAGATGCQEEEVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYYPEQEPITFSSWMSFGLPMALIVFLTLWVTLCLMYCTKNTGKALSAYLDRSHLRRELTLLGPMAFAEKMVMAVFGGLIVLWMTRTLTDDMPGWGSLFHNNVGDGTVTIMMATLLFIIPSGKSNGEKLMDWNKCRKLQWDIILLLGAGFAIAEGFSSSGLTDILSDGLRFLKDAQPMVIVPVACTVSAVITEFTSDDATTTLVLPLFAELAKSIDVHPALLMISGAVGAQLSYLLPTGSPSNVVGFSSGHITIKDLVTTGMPLKIVGIASLTILLPSLGPHIFGIDSRS >PAN33266 pep chromosome:PHallii_v3.1:6:497252:501929:1 gene:PAHAL_6G007000 transcript:PAN33266 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MASEMVKAATSDKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKCIGGRSKNTQLYAVMLLEMLMNNCGEPIHRQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGAKARFPQYYDAYYELVSAGVQFSNRPNVVVTRAEVPVPETRTEPNKERLSTRLNEAQQEVHTQPASDTSIVRKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEAVVSQAIDLNEELHKVLVRHDALLSVQPTTTVASNLEEEEEEDAESLYRRLRKGKALSQDYTDDSVPSFRSIPDEKMRRPLTIQPPHPDKKLGALSIRSLDQEEPRPELAPLIPPPPAKHAERERFFREKSIDGLASLPGHMRGLSQHSRDGSSSCSGSTDYGD >PVH37336 pep chromosome:PHallii_v3.1:6:44480593:44481929:-1 gene:PAHAL_6G290900 transcript:PVH37336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVCAASSGSSPSPASFFSATGQHQEHEFVPCDVLEGWLEDDDWMDGPRDGGDEGSRSPGNDLLSGEPPAPAPKRRGRKLGPRSNGPALTHVEKERQRRDKLNRLFCELRGAVPNVSRMDKASLLADAATYITQLRGRVKQLESENGLAAAAAPVAAPYSVGVQENLEVRMVGREAAALRLTTAARHAPARFMLALHALDLPVQHACVCLVGGMTVQDAVVDVPAAALRDERALRAALLYRLQQTGY >PAN35437 pep chromosome:PHallii_v3.1:6:37984917:37987711:-1 gene:PAHAL_6G208800 transcript:PAN35437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAPLSLSASTLPARLRAAAVPAGARGGQTRRGRMVVRAKIREIFMPALSSTMTEGKIVSWSAAEGDRVNKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEEEVPLALAKAQELANGQPQQAPPAPAEDAAATPSPPPSPAAAPAPVAAGTKGIASPQAKKLAKQHRVDLAKVTGTGPYGRITPEDVEAAAGIQPKPKPAAVAAASAPVAAPSAAAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPTFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNDYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRTVYGADLAAFLQTFAKIIEDPESLTL >PAN34362 pep chromosome:PHallii_v3.1:6:7517424:7518534:1 gene:PAHAL_6G086800 transcript:PAN34362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSLSPSSAAAAYEHRYPCSPDDDYYEDDDFEFTPLLPRPRRRRSTRTERPRTTVQAATAAPAPPQPAQQRARRGAPTNAAAVAAPASRKVRWHDMAFGSVRMPAAMDMGEIRRRLHARQHGAGDEPSSAAAAGWAPWRLIRSLSCKGVEAVAAAAAPVRLV >PVH36656 pep chromosome:PHallii_v3.1:6:20586750:20590959:1 gene:PAHAL_6G131700 transcript:PVH36656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPSLSCPGHLPPHADMSRRASAARDRCLELERAIAGRVRSGSLGLDDAVKMFDELLPHARPASVLAFNQLLTAVSRAQGRGSSSSELVPSLFNRMARACSDKVSPDLHTYSILINRFCRIGRLELGFAAFGLILKTGWRVNGIVINQLLKGVCDRKCVSEAMDILLRRMPEFGCTPDVVSYNTVLKGLCNEKRAEEALELLHMMADDGGGSCPPDVVAYSTVINGLFRDGQVDKAYNLFREMDDRGISPTVVTYNTVINGLFRDGQVDKAYNLFREMDDRGISPTVVTYTTVIDGLSKAQAVDRAEGVLQQMIHKGVKPDNRTYNCLIHGYCSSGQGKEVVRMLKEMSAHGHKPDTVTCTLLLDHLCKSGRCTEARKIFDSMIEKGTKPNVTTYGVLLHGYATKGALSDMRGLLDLMVENGVSPNHHTFNIVLCAYAKGGMIDEAMHIFDQMRQQGLSPNVVNYGALIDALCKLGRVDEAMLKFDQMIHEGVTPCIVVFSSLVYGLCTVENWEKAEELFSEMLNQGIHPNATFFTTIMRNLCNGGRVMEAQSLLDLMVHVGVRPDVISYNTLIDGYCLAGSMKEAMKLLDAMVRVGLKPDTVSFTTLLHGYCRAGRIDDAVRLFREMLSNEVKPGIVTYNIVLHGLFQSGKFSEAKELYLHMIKSGMQLNIYMYSTILNGLCKNKCVDEAFKIFQSLCSKDFQLDIITFNIIIDALLKSGRKEDAMDMFTAISAHGLGPDVVTYHLMIENLIKEGLLEESDNLFSAMEKSGCTPDSCMLNALVRRLLHRGEIMRVGVYLSKIDEMNFSLEAATTSLLISVLSREEYQHHAKSLPEKYHFLKEINK >PAN33201 pep chromosome:PHallii_v3.1:6:209011:213150:-1 gene:PAHAL_6G003000 transcript:PAN33201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHKQRRVYQAWRGNNIILCGGRLIFGPDAKATLLSFTLIAIPVAVFCAFVAKHLIHIFPAYNAGYAILAVTIALTIYVLLLLILTSSQDPGIVPRNSHPPVEEFSHDASAPHTLQFPRVKEVMVNGVPVKVKYCETCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGERNYRYFFCFVLSAAILCIYVCAMCGLYIKLLMSRGHHSLAKAIKESPASLAVMGYCFVCFWFVGGLTGFHSYLIATNKTTYENIKYKYSNQPNVYDHGCVRNCHEFWCTKRKPSKINLRAIVQEEHEVAQPQTSYSNVPEDDAPHRPRAKVEDDLEMGLDILKSPRRRTDEISDEELESGSNGVKYRTPDSDTDIPVTRTKTEIFGEVRDLNLSVSNAALPSSPQQKQHPDELC >PVH36168 pep chromosome:PHallii_v3.1:6:1103501:1103827:-1 gene:PAHAL_6G012800 transcript:PVH36168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLALQRLTPLRRDRQRRRRRNRPLNGSIAAVDKRKGLPCQQDGHGDSKAAKRMKCSIPALPEL >PVH36635 pep chromosome:PHallii_v3.1:6:19447343:19447669:-1 gene:PAHAL_6G127100 transcript:PVH36635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWGRVRTFMTRPCCVGSQPCLFISIDCRCSSNCSLRGLRRVTTIWYIRTNISMWYLLGWFTEELVISRVTSHLVYDICWRILLLWGGKCVRHWWSGLRRQLPSVTP >PVH36541 pep chromosome:PHallii_v3.1:6:9867636:9869060:-1 gene:PAHAL_6G098000 transcript:PVH36541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVENYDRSARRQLDFALGINMAGVASRRQVKNREADPSDRPQKEKKEYVTEEQVRYVRNQWPTSSDLLRKYEYQYQQRLQRESEEEEYERRTGKRLRKHEDARDHWHYPFFRYCRDLGMSRLPTIRDCPECGSVKSDARDSVFRRLVPAPTRQERVRSPRREDEEEDRYHRPRWCPDGLNRSQKCRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPSRKEWQPKSTKADKKVSANTHMVFVVPAEFHARTHEEPSVAQLDLGPRLVIFEKPPAKNYKHLKALYLKGYINGQPVNKMLVDTGVAINIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPTSFFVVNIKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN33479 pep chromosome:PHallii_v3.1:6:1793369:1797892:-1 gene:PAHAL_6G024200 transcript:PAN33479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYWAARAKRKFGPKPWARVQTIGEVSGSAAATCPAPPRQRKQAVRNGGGGKRRKAAAFAVSPPLAALTAAGEKAVVSLESHTQPDMADIPDVWPNDAHGSAAVHCEPSSNEGVSFLDEMDSFWEEVNAGLHVSKFVIETVMKGILTDVQQEAARQIASKDEEIASLNQKLQQVENSSLISHEGRDKRYDEFYCLREQLDTISKSLLSSEWGFLGSQLNSEGSEDASKQRSEEKSRNGVAKKICSEEEIFADPKLLKHMDNDALISYFNKSMNEMKRQHDSTVHEQTEQIFKLKRELLKREGPNPWHLRNNKELEHMRKEIGEVLSKLDVLLLENKRTFVRSKADTFPGQHDKSNVVDSDVMQLQGGATNNEEPWSLPTQAPHFASLEADHKKHIIRLESDIEVASTAATIREEVEKIVMKEFFSEMKIRLHGYEMELDMKHEVCSIIQNEAVSRAMLDSLLLKCKEKKDCAEEESKQKLKIEKLKRIVDSFTEVVREKEEFVSQIGLRAMEARVSSLCREIDLLRDKVGKQDSYISEKNREFDIVVGRLEQAQHHVQNNDATLSDLNDRFRTVSASLKELEKQNQVLRTIIEEKEKRLTSAVFKDKELKEFMETIIKSVRDFGNFMMDQQTIVANKVQHNESRFLVLKEQCKHLVKEGNLLRKKALRYKEISETRGSNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQHYTGVMETLTMIKKHISMAK >PVH36565 pep chromosome:PHallii_v3.1:6:12161314:12162243:-1 gene:PAHAL_6G104700 transcript:PVH36565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHCTEPPLYDGVEYLEEGVSRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGVSKITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHLITQRDAVIEFLQEQIHDLLIEADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGISEIDSEHGDPVLSPHHSLSGSQSSVGNFDDF >PAN36758 pep chromosome:PHallii_v3.1:6:45601141:45603330:1 gene:PAHAL_6G308000 transcript:PAN36758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative kinase-like protein TMKL1 [Source:Projected from Arabidopsis thaliana (AT3G24660) UniProtKB/Swiss-Prot;Acc:P33543] MLHPWCLLLTFLLFLCLPLAAAAAAASDAALLLAKVRPALQGRNPNAQLATWNASTPLCLWRGLRWSTAPDARPLRCDTAAARANLSLAHDPSLLLVSIRLPAAALAGTLPPELGAFSALDSIYLAANRLTGPVPLDLGNAPALSALDLSANRLSGPLPTAIWNLCDRLADLRLHANALAGAIPAPAGPNTTCDSLRVLDLGANRFSGAFPSFLTAFRGLRRLDLAANRFQGPIPEALAGMDHLQDLNLSCNNFSGQLPPTFAASRFTEAAFLGNHPSLCGPPLRNQCVSSSGLSSRGVAAMVIGLMVAAVVLASVSIGWAQGRWRRRDATAQGGDEADDDGNEQEGRLLVFEGGEHLTLEEVLNATGQVVDKAAYCTVYKAKLASGGGSIELRLLREGCCKDAASCAPVVRRIARARHHNLVPLRAFYHGRRGEKLLVYDYFPRTRTLHGLLHEQHDGGEARPLLTWPRRHKIALGAARALAYLHAGQGEAHGNVRSSNVLVDDLFVARLAEHAVDRLLVPAAAEAVLAAAKADGYKAPELHSIKRCSARTDVYAFGILLLELLMGRKPAADLPAAVKVAVLEETALEEVLDAEVVKGLRMSPAEEGLLQALKLAMGCCAPVAAARPTMAEVVRQLEESRPRTLQARSALYSPAESRSDAGTPNTA >PAN35713 pep chromosome:PHallii_v3.1:6:39576382:39579634:-1 gene:PAHAL_6G228400 transcript:PAN35713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLHHFPSYLLLVIVILATSGGGCHQDQSAALLRLRASFRFPDTDSSCPWFSSDGRTLLPWKVDTSCCTWDGVTCDGTSGHVTALDLSHLCISGNLSSSDIFELTSLRSLSLASNNFDANPWPNRGFEQLTELKYLDLSYSGLSGALPVENGQLSNLVALDLSGLDLKNLSLHTLIDSLGNLQKLQLDEVNISASPTDLAHASSTNTTSGLKELTMCWCTITSGRLDTVLTKLPFLSMLLLPGTNISGPTPMPEHFADFSSLAVLNLYSCGLTGTFPSWIFRIKSLTSLDVSGNQNLCGELPEFIQGSALQVLRLSGTKFLGKIPESIGSLRNLTVLDLSNCLLYGPIPSFSQWPMISWVELSGNNLTGSLPSDGYLSLHNLTAILLNNNSISGAIPASLFSHPSLEYLDLSQNNFTGNFLLYPNISSSLIVIDVSFNKLEGPLPKLLPKFVGLEWLDLSSNNLTGTVDLSFIKNYKTLYYLSLSYNKLSVVVEDGNHSYAEYPIIRSYLGLASCNLSYVPKFLMHQRIIHDLDLSSNNIGGHIPDWIWGIGSFALNLSHNSFTSVNANLSNTSIRDFDLHSNKIEGALPLPPWGTYRVDYSNNHFNSSIMPEFWSRISSATSLSLANNSLIGEVSHLICSATNIEVLDLSFNSFSGLIPPCLLKHNERLEILNLRGNNFHGPLPQDIINECALQIIDLNGNKLEGKLPVSMINCQMLQVLDLGNNLIVDTYPEWLGVLPLLKVLVLKSNGFHGPIDYEMNKQTHPFFPELQVLDLSSNYFNGSIPTRFLKQFKAMMVISPGAPNMYVEIIATSSASSPSYRPYYRESVTVSLKGQETTLVQILSVFMYIDLSNNNFMGVIPNAIGDLKFLKQLNLSRNSFTGEIPPRIAYMLQLESLDLSYNQLSGEIPPAMAAMSFLEVLNLSYNHLSGMIPQSSQFLTFPITSFLGNDRLCGKPLARLCETNHAPSAAATPGSSKELNWEFLSVEVGVVSGLAIVAATMLLWGNGRGWVYWHVDKFWLQVLQPWICCRRR >PAN35463 pep chromosome:PHallii_v3.1:6:38200673:38202317:1 gene:PAHAL_6G211100 transcript:PAN35463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAITGAVVSSKPCSLPKAVRILHHFYDSAASNLPSADCATYLRTAAEATREHGLFRRGLRANQQQGAANLEAYDYEGERKHQDRERKGDTAVPAGGSHRDSAAEVELDAAAGEKKSKKKKNKEDRQQVRAVAGVESHIPSSPEIGKEKRKEKILIKEIIAHVKQEPVDEELLSEKKSKKKKEKGRVKLEEEARDVNEVGGKIVNDGGLEQNVASGEKKRKKKKHVEEISSKDVKQEEKMASDGDLDSEKKRKKKRGRVDNDDHMLEQVEHTKKKQRK >PVH37372 pep chromosome:PHallii_v3.1:6:44939306:44939826:-1 gene:PAHAL_6G298100 transcript:PVH37372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSNWLELACMLVVLESRINSASILCSLFSRHISCDYTVLVRCKEKLYCACF >PVH36740 pep chromosome:PHallii_v3.1:6:28140194:28143664:1 gene:PAHAL_6G156500 transcript:PVH36740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKPPGRAADVPLHPYERQRLMQCMRNNARLRELGIFYLCNELAEANKISHKKKNNPSSRNSEDSESEYEPSEDDTNDDNAKGSKECNIRTANKTLGAIQLRSKRIFAEQEVTRNTRSKKTIVQSAATLAPTDGPAQLDKNTHVANEGHAVAPLGGQNNTCNEVRNHVPVLKHWKEYKKKTGLLKLFTGKLNAKFDINTRDASVQNACSKMMKNAVRQQRYRLKKKYFDPFPLHLVIKTSPVRLMTDHEWNELVEYWKTPKRMNRSHVIYHQTTGSRSYQVHVENLTQMQNKLSTSTEGEQMSATQVVADVLAEKTRKNLFLQNVGIQNACPRSSIRSIEAQLEAEKKANSDLRQVRETEESRLREQEEMKKRQAEMEADMKKRQADLDAKFQSLLSKFQAS >PVH36722 pep chromosome:PHallii_v3.1:6:26872894:26873294:1 gene:PAHAL_6G152100 transcript:PVH36722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHACRRGIPGISVVLSLLIKIYLELRTIPEMWIKCGFVSFVHKRIRSDRS >PAN35946 pep chromosome:PHallii_v3.1:6:41085288:41085919:1 gene:PAHAL_6G246600 transcript:PAN35946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAMFLIVLLLAAVAVAPFAAEARDVAADVEGRSLADAPSDAPAPSPDSASPSDAPSSSSDA >PVH36447 pep chromosome:PHallii_v3.1:6:5883255:5891839:-1 gene:PAHAL_6G075000 transcript:PVH36447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATPKTSWFSLDWPVYEIRETFFSYLGENQYAKLSSTPVIPIDDPKVPLIHICLNRFKGALNGTGSHRACFSLRCIVTSSEILGSWSSGDYFKEEAIGLLHSLLSKKYGLPESRIHATYFSGDTSSGLSPDNESKTALQKCIGEERILPSMSKEDFWMSGETGPCGPSVGIFVDGSNSQDGVNGKFIEISRTVFVEFNRQADGVLSPLQAKHIITGINLQCLAAILQKKESLYELDDYDNIIDCISSISFHRAGEEFDSYSGKVGEADTDGVDTAYRLLADHMRMISVTNAPSSQLGPGHEGREYFLKCADKLAVQYGHKVLEILEQDRYAVIVFTSLLDSDLCPELEGYRKKDQIEEDEVMIYLKTIREVLFFSRSSVVWYATRIIEFLGRRTPIVLKNKNTRCSLVALCNVLLLGEKITLNLDIKKVSEGHLIYLVQSYLLYGNTQMQLEQNLELSEFNKQVLRVLPKLPSSLYFDVKFASSCGFEQTLETALFGCLGVPLHHGWMVDRQDVELGSSIHRSCYFRLAVTLAMYESLLPEHQKYDVGCKDDMFYSALAFSSAEPEELTGCARISTFLRGPQLTPYGFSSFKNDLEERQPSLLLWNETLLTVSKVEDQIYVLLNDISLLSTHTDAVWERLSEGNDDGYFVDRNFMPTNSLIQSILVTTNKNERKTWKKKAEMGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKTEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMHGNLNMRPINFFGQSTHIIHQINDGPCALIAVCNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTVTPEWLLLDCLDLNIRHGWIPNVDLLPGPEVPEVSYERLTPKSLEPDCPDAETIKNFLNGHQLTLIGLVSLLEDLGEKIPCILYCHYHYSTIAKVNGVIYSLVTNINYLRTRAVWQMLQGSFSVPETSTSEASTSFMKPDSEGITSHGDRLGVDSFTQLHSGPDTAPLRDNLHGSWFVPKIRTRRSCTNSMRPYTDKDMSNEKSVPGPQIVPETRELSLEEFVLIPF >PAN35493 pep chromosome:PHallii_v3.1:6:38357820:38361485:-1 gene:PAHAL_6G213400 transcript:PAN35493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MPSLAPEPRAMASPSRLARAPRPSPTPASSSVAAALLASASALPARRFLQLHAHLLRTGLLALSPSAPAFLSLAAASLPSHRALAVLDHHLTAPPSLPSTFKCNSILRALSDPADALRFLRRMRALGRRGNAFTLAILLTPRCGPAHARQLHANAVAEGHLRDALLATSLMRCYANGGDGDGARKLFDEMPVRDTVAWNVLIASCARNRRTKDTLKLFEEMRAREGEVQPDDVTCILLLQACTTLGALDFGEWVWAYAEERGYGGEQKVRNSLIAMYSRCGCVEKAYWVFRKTPQKSVVSWSAMISGLAANGFGEDAISSFEEMIRSDVAPDEQTFTGVLSACSHSGLVDEGFRFFDMMRCEYRLKPNVRHYGCIIDLMGRAGLLDEAYELVTKEMRVAPDATIWRTLLGACRIHGHVDLGERVISHLIELKAQQAGDYVLLLNTYAAVGDWNKVAEVRKLMKEKGIQTTPGCTTVEHNGEVHEFIADDDAHPRKVEIYDKLNEINRHLRIAGYVPNVSSELHDLDSEGKESALTYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGIYKRLVIVRDRTRFHHFEGGKCSCNDYW >PVH36980 pep chromosome:PHallii_v3.1:6:38356196:38361460:-1 gene:PAHAL_6G213400 transcript:PVH36980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MPSLAPEPRAMASPSRLARAPRPSPTPASSSVAAALLASASALPARRFLQLHAHLLRTGLLALSPSAPAFLSLAAASLPSHRALAVLDHHLTAPPSLPSTFKCNSILRALSDPADALRFLRRMRALGRRGNAFTLAILLTPRCGPAHARQLHANAVAEGHLRDALLATSLMRCYANGGDGDGARKLFDEMPVRDTVAWNVLIASCARNRRTKDTLKLFEEMRAREGEVQPDDVTCILLLQACTTLGALDFGEWVWAYAEERGYGGEQKVRNSLIAMYSRCGCVEKAYWVFRKTPQKSVVSWSAMISGLAANGFGEDAISSFEEMIRSDVAPDEQTFTGVLSACSHSGLVDEGFRFFDMMRCEYRLKPNVRHYGCIIDLMGRAGLLDEAYELVTKEMRVAPDATIWRTLLGACRIHGHVDLGERVISHLIELKAQQAGDYVLLLNTYAAVGDWNKVAEVRKLMKEKGIQTTPGCTTVEHNGEVHEFIADDDAHPRKVEIYDKLNEINRHLRIAGYVPNVSSELHDLDSEGKESALTYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGIYKRLVIVRDRTRFHHFEGGKCSCNDYW >PAN34767 pep chromosome:PHallii_v3.1:6:32748459:32749852:1 gene:PAHAL_6G172700 transcript:PAN34767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAGWYLKIAVVGASIGAAMELFMIHTGFYEKVTVLESEKRAWESSPEARAMREALNPWRKHDEQQKK >PVH36694 pep chromosome:PHallii_v3.1:6:24647680:24648540:1 gene:PAHAL_6G143900 transcript:PVH36694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLCCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAVRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPGSSAATDEGDAAMQPLTDGNPEDGEREPLTLSAPEEDTPHK >PVH37211 pep chromosome:PHallii_v3.1:6:42913295:42914007:-1 gene:PAHAL_6G271900 transcript:PVH37211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFFPSLYYSLSDSDCFLRLRQVDQGMVESTGSSSFPPSLAVCQGGWPSIGYHGGDRCPAPALLYHQQSKAAGRMLLVFLNITFGEYCCCNAWLLDFFSPSYYSG >PVH37077 pep chromosome:PHallii_v3.1:6:40471885:40472673:1 gene:PAHAL_6G238000 transcript:PVH37077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTFESRGFRLSGTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTQRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVVPIEEKLIQHRLRWFGHVQWRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLREWNIAKELVMDRSA >PAN35869 pep chromosome:PHallii_v3.1:6:40732568:40735057:1 gene:PAHAL_6G241700 transcript:PAN35869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTQPPSSPDDPEPSSVAREMDDEDLVEELLVTVNSARAFAEFRRTQRKECANLLRWLQLVLPLLEELRDAAPRLTDDAYRRLALLGRALAAARRLLRSCHDGSKIYLALESETVLAKFRSVYEKMHSALDGMPYAELAISDEVKEQVELMNAQLMRCKKRTDTQDMELSMDIMVILQNKEDERNADRAILERLAKKLELQTLAELRAETKAIKKIINERNGQQGDSTKQIIDLLNKFKEIAGVDEKNVLGDVSMPRSLDKCPSLMIPNDFLCPITLEIMTDPVIVASGQTYERRSIQKWLDSGERTCPKTRQPLAHLSLALNYALKNLILQWCEKNMVELQKREPEPAAEQDDKPKEDIPSLVEGLSSLHPDVQRKAVKKIRMLSKESPENRLLIADNGGIPALIGLLACPDKKVQENTVTSLLNLSIDDKNKLLITRGGAIPLIIEILRNGSPEAQENSAATLFSLSMLDENKAAIGSLGGLAPLVELLRNGSARGKKDAATAIFNLVLTQQNKARATQAGIVPALLRVIDDKGLGMVDEALSIFLLLSSHAACRAEIGTTAFVEKLVRLIKDGTPKNKECALSVLLELGTNSKPLLVHGLRFGLHEDLSKIAKNGTSRAQRKANSLIQLARKC >PAN35720 pep chromosome:PHallii_v3.1:6:39624700:39628031:1 gene:PAHAL_6G229100 transcript:PAN35720 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] MDSADRSPPVADERRPRPSLFSPYQTPRFRLAHRVVLAPMTRCRAPRAVPGPALAEYYEQRSTEGGLLISEGTIISPAGPGFPRVPGIYNQEQIDAWKKVVDAVHAKGAIFFCQLWHVGRASHQVYQPGGAAPISSTDKPISSRWRILMPDGSYGKYPTPRRLATSEIPEIVEQYRQAAVNAIKAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRVSPAIDHLDANDSNPLQLGLAVADRLNALQHEAGRLAYLHVTQPRYTAYGQTESGQHGTAEEESRMMRALRRAYRGTFMCSGGYTRELGVEAVESGDADLVSFGRLFIANPDLVERFRRDAPLNRYVRKTFYTPDPVVGYTDYPFLDQPKARM >PAN33959 pep chromosome:PHallii_v3.1:6:4567713:4570087:-1 gene:PAHAL_6G060200 transcript:PAN33959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAMANACARSSPPVPAAAPAASTKSPACPSKKTTCPRRTVWCRAAGRRDDDGLLWLPRRDVLTSLGGVAAGLVGYPGLASGALEANPVESCRRGDKVNDKLVECTDPNREYPCPPPSPVRAVDFKPEGAVRRVRQPAHLLSREYQEKYKEAIAKMKALPASHPLSFAAQAAIHQAYCDGHYRYDPTEKNRPFDVHFSWIFAPWHRMYIYFYEKALGQLIGDDTFALPYWNWDAPAGMVIPPLFRDSFANPLYDRNREQPRLDKLVDLDFLNAPDDAPLIPFNGPKDDKYEQLVTKNLCTIYQQQIRGGRGARAFLGEKLCSDTDFRLKEINERSKRRQGGQRAKRDKTKSQGSLERMAHTALHVWVGRSGPPEGKTCTADTGGVLGHDGAFNCNNDMGFLGSSGRDPLFYSHHANVDRLWHIWSTKLGGEGFKDPEWLDASFVFYDDVANPRPVRIKFRDVLDTRNLGYTYDAESEKDLPWLTCKLNPLVPHGKDSPPRPSPRKMLVYPLSLAKGEVVEVAAVAVPPRQPGQQRVLVIQGIEYDPKAENKFDVAINVPGDQALQVGPENSEYAGSFAVVPSSKAGGGTLEGRITLFIDDVLDDVMGDGDTTVDVVLVPRTDEEIKVFLPPTIQNQ >PAN36702 pep chromosome:PHallii_v3.1:6:45268116:45269907:1 gene:PAHAL_6G303200 transcript:PAN36702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >PAN35059 pep chromosome:PHallii_v3.1:6:33794551:33795915:1 gene:PAHAL_6G176800 transcript:PAN35059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDAAVAAVHHALVACAAAALLALAVALFLLWRRRRAAAARGTDGAVSRPGSAAATTAAPLPVVPLADVERATDGFHPSRVIGQGRHFAVYAAGPGVAAKRMHPHLVLVDPGGRRFPAAVRSLAVPPHPNLAAIVGLTEGPGERVILVERAPVGAASLDRLLGEGDARHIPTLSWRQRAAVAAGAARGLAHLHAHGVVHGRVRPCNVLVNASTGGGGARWRHATRLTDYGLAAFLDRRDDARAEDDVYMFGAVLLELLTGRRWDGGRLADWALPHIRAGGGMEVLDVARAGAPADKAEARLLARAARVALACVGNDGRSRPGMPEVSTILSDVEAAYRRRDGAPMGEDEEGDEGRLSGCLLGPSRSIHKADTLLRPPV >PAN33354 pep chromosome:PHallii_v3.1:6:1234927:1259237:-1 gene:PAHAL_6G014700 transcript:PAN33354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGVVSQVLAGLLRRYVKGIQKEQLKIGFWNEEILLENVELILEAFDYLQLPFALKSGRIGKLSIRIPWKKLGWDPIIIVIEDVFVCACPREDSEWSSDSLDKRELAGKLAKLNAIELAKFSRRVTDNQTGQSFLSYISAKILDNIQVSMQNFHIVYMDTHNNQGNFVFGLEFSSLSIQTDTQKQSFTMSLMARSRQDEVNKIIEISDVGIYCHQLEKQQDPCSVGALGNGHSRDDYLVNPFSVTVSVLANKAAKLDGAPQYDMTVELTALALSVDEIQLKQILSLCDYFTICALRTKYGRYRPSQRSLSKRCKGWQRMWWQYAQNSVLADVRRRLKKTSWRYLKQRLNYRIGYVKLYRMKLELLQKGQIVSKDILQELENMDKECDIDDILNYRTIAEQQLQESLVKSTKDTSTPGSPRTEEQSAGASRGWLNWLSLGMLGAGGTADSSSFAGVISEDIIKDIYEGTEFHPVSSAENYLTKENYYSLFVRLSVSQIVTTVASRRFGMKLVNTVFAGLGMECKIWDDSATVLAWLDSLQIINPLSDMKILLAEKCSTADGLGAPVISIQVDFPKANQGSEASTRVVVQEFSAIYEPEFFINVLHVYNLFSSFQFQHDRVLSSLNQFDNLGARLVSKLKYISANRKRLIWDLRIHHFAIRLPSQNCERKELTMVVEAGDVLVQSKDVVEDVSRTQESNSFLDHISKSLPSYFSDDLLHGIQLDELYSHFEVGLTGFQVKVLLPDGHSVSSTLIKLDASIALRLCVFLDEPVLKQLEVGFIVPFIDIYLSQAIYSAIVNLPRVKETNLVRNSTSDNAKTHGPKKLALNMCVSLKLSKLGLQVDLDGNYEESSGVIVGVEDIDIRYAICELSDLSLAMKTVNITSNNRKDESDSHVLCLSGNLTRCPENSVEACLNLHYRTHKHDGQMHHVYQLNLCDVDLHVNPSVIGQIRMFLRNLDSGPSAGSDVESAMIGQGSMKSGAANGILPSFVESAMIGQGSMESGVANGILPKFSLSNLCGADGTLFAGVSIEHFPFLDTGCTYGYSFGCLGTHDVQAQEGSFSKNGQCHDSSGLNGYHASDLEGNSLCITQHSNCSSTSSNNPKNVSRTVLDLSLVSVRVHFPESRGTLATITIPESIATLTFFDASSWDLLLSANNLTLASPWTPPNIHELLLGTSSHHNASVLNIRVQKELPSTEVCVGIQNVCCVLPSKLLAMFIGFFLLDDWNPIAEQEHPVAGNNLECMEESHDCITYKFEICDCVVIFPVEEQDFFCLKLGVPRLFCEFIATGSFVEFAKRIPKELFSSECIVSSRVDVICIYARNASISLLFVSEQTNFMLKLDENVPKRIHSLIENLDAGIWIQVPCKEISCSQLPTLPTSIISKISQCNLVAEDLYFVNGMEMVIGVVDKLISIGNESKMYNGNALQFLEHRSLNEGNPDPNERTNIIISIKDLRIFLGHLKDKDLAPERIATANLEFDVSALLVCEKPERMNFEIVSLVLQSPGGYSLMSIVSDAPLSPVFIKFTKHHAGQDEILLSIPLFEVWLYLEDWNTIINHFQSYVNKKVESLPMEHPAALPQFPEMTSSPFIASEFGSPDDSNLVVTCESIAGVVHIPIWEKENHTSNHTSGTSASFPMQVSTRHEADDIQYCEPKGCKFVTVSFESKHFVVMSGDSCMHFKCDLERMKIILEMIQENKGTSVPFLHISKVKSSGYIHQSERTLQHVSVDLQAEYMDVSFSHQIFSFWRNMELKFPAASSASSFYYVTFKAGLRKGSLLLNDGRWSSHGPVIETLLKNLTVQFTQMKDQTEVSAFVDLLVNYNNIDKVMWEPFIEPSRFQLSMRRKCVDCALNISPSTDVCLSSTKQLNLNISEPLIEAIIRLSKMITDSLDPSNGGGLREDPGILRLSHDDVRTRRYAPYILSNDTSLPFRFKVYRGAVNSDDIDSFSVIDENYVPAGYAVPIYVEETLDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDGTSGPSKPMSMDLVGIYFFEVNFSSSKKPIFGEESLGAFSSNRKGSNGLIVPVVLDVSLQNYSKRIRVYSTVILYNATSMPLELRFDIPFGVSSKVIGPIPPNKEIPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLLRESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYNVPSSISTRKGQFCTERLNAQPVPGSSPNNTKQSLTRTHFIRHVRLNTPLLIKNYLPVCISLTIDNGGSARVVSLKEVASASIFSVDPSNDLGITIDIQDYRSLAIKFPRGESFSTAAKSNGFKFSTTETITFYSNLSNSPLNVMLEKSMDARSGARELYLSVPFLLYNCTDLLLTVTESSYERTGSTLVIPPSFELDGHARHLLEKNGLSLVDPSVQRFVGKMPQLVLMDGSSSEISCTNNSEPVKKELDKEVKAYMFAPDGHTPATELSVKLNATPPNNGTETTRRDWSNPFLLVPGSGSTNVTIPQSSTSAAFLIAVASIPVSTELFGRTRAIAFRPRYVICNACSNDLFFRQKGTRFSKHLSSGQHSFLHWSDTARELLVSVRFDGPGWQWSGSFFPDHLGDAQLKVRNSASGVSYMVRVEVQNADLDVHCKKFSGRNNINTGTVLILLSDDKTGFVPYRIDNFSMEKLRIYQQRCESIETIVYPYTSCQYAWDEPCYPHRLTVEIPGERSLGTFNLDILGDDVHVSLPSTSEKSERKFCISVHAEGAIKVLSVIDSNCHNTETKEKDFLASKEPKVADQKLELEMNFAEVINIHIPFIGISLISASPQELLFASAKEMTIVAMQSLDQQRFTVEIQSMQIDNQFPDSPHPVMLSFEGSQKGKSMNIFKSKDIKLRSASDTLSSTTEPVLRFAAAKWRTRDLSFVSYQCINISVAPVRLELEERLVLSMIEFFRSVSSRINLGHLEKSLELSILGGATDLLREYEKISKHLSDKSLLQDSELLPSVDPIGAPWQQIHLLARKQKKVYIELFQLTPVKLTFSFTSTPWINRNECGSDPSTGFNNTTAIQRGLMALLDVEGVPVHLGEIMVENLMASWQSVQDILVRHYSRQILHELYKVLGSAGVIGNPMGFARNVGFGLKDFISASRKGKLQSPVELLSGLAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMEERERQLGLHGEGVLNGILEGLTGLLQSPIRGAEKHGLPGVISGLAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRIRFPRPVARDRPLFPYSWEEAIGISLLARADGGRLKEETFVMCKTLEEPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWSIDTEMHLKSVVHLDRSLEVVNIVGSNGETSPRDKRGVTRNRVPSSAFVPLFHLSIKLPNVEDAEGTLQVLQALIEKGRARRWDKNILHRSNIS >PVH36628 pep chromosome:PHallii_v3.1:6:18699182:18700605:1 gene:PAHAL_6G125600 transcript:PVH36628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVENYDRSARRQLDFALGINMAGVASRRQVKNKEADPSDRPQKERKEYVTEKQVRYVRNQRPTSSDLLRKYEYQYQQRLQQESEEEEYEHHTGKRLRKHEDARDHWHCPFFRYCWDSGMSRLPTIRDCPECGPVKPDARDSVFRRLGPAPTRQERVRSPRREDEEEDRYHRPCWCPDGLNRSEKRRVQRLVAWKKPRPKQVHYVQEKESRPSRKEWRPKSTKADKKVSADTHMVFVLPAEFHARTRKEPSVAQLDLGPRPVIFEKPLAKNYKHLKALYLKGYINGQPVNKMLVDTRAAVNIMPYSVLRRLERSTGDLIKTNVTLSYFNGQTSKAQGVLSVDLTIGNKTILTSFFIVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDKVEVVQADDSIEISHAAMSIWDAEDQEPILGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN35427 pep chromosome:PHallii_v3.1:6:37948562:37949591:1 gene:PAHAL_6G208100 transcript:PAN35427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYKLLSKKHHTSRQVAARPSGQPSRGSPSRVTHRRRRKGWHLAAEIRRVVMGTGCRPGPDVARLWQRSATARTWKGKK >PAN36516 pep chromosome:PHallii_v3.1:6:44351008:44352649:1 gene:PAHAL_6G289400 transcript:PAN36516 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MGSGGDEASRTVPPLALGGTREELPFVKETVLKKRKENADWAIRNRERKAEKRLRIREENKVIVKRPEEFVTAFRNKERDFLRMRTRLKVRKQPPAELLSSKLIFAIRIPGSVDLHPHIRKILRKLRMTKVLTGVFLKATELTLKRLLVVEPFVTYGFPNLKNVKELIYKKGRGFLDKEPFPLTSNDLIEKALGSHGIICLEDLVHEIATVGPHFRDASKFLMPFKLKCPERRLQMKKKPYKDGGDSGDRGDKINELIEKLN >PVH37313 pep chromosome:PHallii_v3.1:6:44145102:44148577:1 gene:PAHAL_6G285600 transcript:PVH37313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSARATSRARASIRRGDPYKEARPGPAEVSVLPLWDRAWWYSGNKMKMLLFLVLLITLLSATATLPLEALNVRGHLLKSKTFLSPPISLRPGSISNKFYLDIDFPRGHLAIKSFDAEVVDEHGVPIPLHETYLHHWVAEPYFEAKDATAVAAQNLPDYILIRNSGVCKTTLGQYYGLAQRPGTPQLGCLIPTASRSATRRRHRRVRGEVAVQHSRH >PAN34935 pep chromosome:PHallii_v3.1:6:18601785:18605840:-1 gene:PAHAL_6G125100 transcript:PAN34935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQHLLKLMCSVLILAELIRGNNDDMEVLIELKKFLQAHNQINRGAYDGWLESEASPCNWQGVGCDAGGRVSSLDLSSSRIFGPIFGNFSSLTGLDRLDLSANSITGELPDDLNRCVGLKQLNLSHNLICGALNISSLTSLKTLDVSQNRFEGGISMSFPATCDKLTTLNISSNNLRGSITGLFDNCSSLRYVDLSLNRFAGQVSQGMDGLVQFNAAENDLTGNISLSMFPEGCKLQFLDLSGNHLFGNLPNSIANCSGLTYLSLWGNGFDGKIPPGIGTIPGLEKLILGSNNFSREMPLELMNCIALNYLDISGNNFGGEVQGLFGKLTSLTNLKLHSNKYTDGIVSSGILRLPKLTMLDLSLNWFTGELPTEVSSMTSIKYLVLAQNNFYGEIPPVYGQLVQLQVLDLSYNNLSGGVPADIGNLSSLLVLMLAGNQLSGEIPREIGNCTSLLWLNLAGNKLSGQIPPEIAGTGSNPTPTFVRNQKDAVQLEIGTKKCLSVMRWIPIGYPGFNYVDSEMSLKDCRDLEDRILKGYGIVTPPYVQPCIILGYVRFSRNLLSGHIPPMISAMRNFHLLLLDDNLLSGVLPSEICQMPLVALNVSRNVISGVIPFEIGRMILLETLDLSFNNFSSGLPPSLNLLFKLNKFNVSYNPLLSGNVPSTGQLSTFDEQSFLGDPILSLRFADYGPHSESNEDELSSEGTEDHPAKEETMVSVIAFIVFFFATIVIREYHNLMYVYLGYKTQMCQYEDLWRYVTLELCNQVKKNSESSVKGHVVQVLNC >PVH36368 pep chromosome:PHallii_v3.1:6:4626282:4627523:-1 gene:PAHAL_6G061300 transcript:PVH36368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKLSDRFSKYVCGISPPATADHDRYKAALRSLLLLPPSPERQPRRSSSTPEDDADDEDVTATVSPGEPRTELDREEEMASTLPCLAFASEHGYRLFSLAEMRMLDDPRPMPPVPGRRLVPSPYGGTVLATDVCYRHPCHLVDPFTGARTPLPDLPVPFSEREPVGYHPDDEPRPRRAPATDDGLAWDWSPRGVMVARGDTAFFRAHGGGGGEWTPVHQSARGSPMTVNYRGGRFFLLELRTLETTVVDADTLRASATIPAPAGPRDIDAAYLAPSSAGDAVLLVHRAGNSRGVLFTEAYRARAYRGGGRRWARAHDIGDRAVFVDGAHAFTVAAGLAGALANHVYVILANRVASPCGRLAVAYDVGFADLTRLECMGRLRLDVGEVEPMWGQPHWIMRRDGSDRHHQLRRF >PVH36650 pep chromosome:PHallii_v3.1:6:20516691:20517123:-1 gene:PAHAL_6G131100 transcript:PVH36650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWQPSRRGSVQTPKARQERERSEAAMAELAADQHVRYIVTVEKILNWVHTVGVSGRLQLGAGPIDGDAGASSGVGWICTVLRR >PVH36802 pep chromosome:PHallii_v3.1:6:32555627:32555881:1 gene:PAHAL_6G171500 transcript:PVH36802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPSNASPSYNSTRHFPSHLPYATPASFTIARPTGPSPSLQQRLCSNMDGGEGSRCHASSMGVAGLALLLCYLQFHSCINAAC >PAN35428 pep chromosome:PHallii_v3.1:6:37949592:37952785:-1 gene:PAHAL_6G208200 transcript:PAN35428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATAAAALRPVPLRRPGALLRAPVPRSLLDSRGAAPFPRRTASLKISQLQLTAARFSKESDSAEDDELLSELREKWDAMENKPSLLLYGGGAIFALWISFVVVRALDSVPLLPGLLELVGLGYSGWFVYRYLLFQENRKELANNFDALKKRITGDDE >PVH36942 pep chromosome:PHallii_v3.1:6:37466985:37467281:-1 gene:PAHAL_6G202900 transcript:PVH36942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPIRKVLQEIGDDPEYKESGKAEMALCSLESFEFVFLAYLLDTIFGYTDDLNCALQKRDQDIVNAISLISLAKTQLELLREDDGWESFLADATSFF >PAN35411 pep chromosome:PHallii_v3.1:6:37869415:37875492:-1 gene:PAHAL_6G206800 transcript:PAN35411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAGAKEGSIGSKGAVDVNRLPVSTAIAGRPSMIKLCTCSGGPSSSSSSSSLHSLKNTNISMTCKGCTGESTTGRGGPSCSSKLSSMGLELPRPIDPEVRWKTVNRRQRAARKARTFFGKDKRNDGTGSFYLFGSATNQEMAQEDAPVSESEKLGVSILGRRFSDPVENVPIKKRRFLMDCSPSPPPTPLLMDPYEKILGSSSRGIKSYEKHRKVKMLASECTEERKGPFGADDFSGISILAAAACESEMDGDILNGACSKLADPLQERKLENTRGSTELSLLHDMKEDKLKIPGASHCIYDRPLGSSNSAPDMNPLLATTLSNSENLVESASALKVNYSSHSALNSANQIEIASDAKSSSVAMADSSGNPEKTISCSQDADMQTNHANATRDSRLHWDLNVPMEAWDTDCGGDDDPTVATISDHNDAGNDMNKPQPSHDHFDSTDAGDISNSPVDKIQMADVSNVCVAKDEGDSPADSSSHLLLHQSSQNLQLLESESVGNDALAKTMDLPDQQKNRFATVMESHIGSNPGPALNMEHFPSPCMEKIDGSHPPSVDCEGLSRMSSVNGHVGCNSLQTSELGSTVKPLASRLVSEESTNLPTVTPFHKKVTDFGWSDNKLEEASEQSMSESKNQELLDVDSGTSKMDQSVSKNSGHDTDVFYVNKSPADAEKLTHPEDNPGSSDCDMAHVHEEDGADAIINSKDCLITCANSSSAETYYISGTAPQVPLVSSDCPRPGVTDANSIVDSRENEHAKVASNTYSEHCYETDTSHISENQAGFGKVDVEEDDSQYEDGELRESGDRYWVGDGYEEVKPANWHYQVTDYKNGAAIPHLAPLPVDSLSKNVGIRVDSYNETQRKEDAPISPISSKHSWLTNCLDGGPLADEKAQSIHLRGDTQMYGINPGRVPVGSAAIVSQSERCSDGLCDDLSSIRMKNTGWDMLPEDQKHSRRDPKDGADSSNQCGLDSSDTAGDDESLRKMGLPNKDVQRVEWQKSFDRPQRNELSRSDDGYGSGSKAERTIDSHRSHGTYDASRHIQTGNRGQWMENSKHPRSARRKSPEYFNYGPSGPRNAAEAAVAKMESNGFVVAPDGTLVRAVDAANAGQMARRMRNTSSSSYRPLSGRGSPIDRDGTCGMSRGPVHSRDSSPERHFGANSNRSGRYGPEMEKDHTDGNLSSVRCSLPNRQRGIPTGRASLNLSRAHSRSPSGSRSRSPHDWASPRNRRKIMANGGSTLRRNSRSPPNHMAKVRMGRMASPKRQPGYDDRSMRYSPPSRNHTYSQHASTWVDGRNGSTEDLSDHSKRYSRRSPPPRITSRNDRFDVMDSQGRSRSGEFYRSANGRLPYGYNRANKHDGNGDDQREYADRYGNHSVKPYDRNGAVKQFRNNTGDKFRTRISAPRSPESQRRVSPRRFDRSFER >PVH36334 pep chromosome:PHallii_v3.1:6:3812177:3812751:1 gene:PAHAL_6G052300 transcript:PVH36334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTALVGVTTVVMKPLLSKLTKLLGEEYVKLRGVHAEQLNPETKLWRDKLRELAYDLEDCIDAFMARVDDRRDGPTGFEKYFCKLKRLKARHKIANQIKELKTSVMVASERHKRYEFAQNPSTSSVDPRLQALYTDIDKLVGIDGPKKHIIELLSMEMNGPSTKT >PAN36587 pep chromosome:PHallii_v3.1:6:44730306:44732561:-1 gene:PAHAL_6G294700 transcript:PAN36587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFPLGGGGGGGGGHHGRGGGGDHHHHHPHVSPSSGSDSATAAAAAAAAYLYTTAAAAPRGGGFQLWPHHPAQEHHFYAPNIIRFADDPAAGSSRGGRGSASGAGAGTISCQDCGNQAKKDCAHMRCRTCCKSRGFDCPTHVKSTWVPAAKRRERQQHLATGAAEPSKRPRDAGAQPSSTTATTTSSGEQQQQMAMVGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTTVSIAGHVFKGILHDVGPDPSVAAGGGFRHAAEGSSPSTAAAGEGSVAGPVSSSAVVMDPYPTPGPYGGAPFFHGHPR >PVH36690 pep chromosome:PHallii_v3.1:6:24448012:24448790:-1 gene:PAHAL_6G143200 transcript:PVH36690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEAGLHLQDTTSSPMKSPKEESIGPAKPSAQKQLIMESVGTSGEKGIPPPPPQYVSPRDKKRMKRAAAKEAVTSPTKNKAGSREEDRRSQ >PVH36905 pep chromosome:PHallii_v3.1:6:36634337:36635556:-1 gene:PAHAL_6G194600 transcript:PVH36905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAYICDYIRVDLFLLRCKHNTMSHECSVFACDVVLDSGRGRQMCLCK >PAN33571 pep chromosome:PHallii_v3.1:6:2242475:2243068:-1 gene:PAHAL_6G030300 transcript:PAN33571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAARTIHSLLFLTVLISPLATLGADGVSRPCPRAPSMTAERACTAVSGTRRMRELCLRTLRAGAGAGAAVPVTRHAAAAVRAALGSYAATVAAATSLLDGGAVPADDEKAAFGACMVGYGRARMAMARVAADLADGCDGAADLRAGYTAGLRGMDGCRRGLLGYPASPLYARNLADRNVTLLAALLCSLVPAPLA >PVH36144 pep chromosome:PHallii_v3.1:6:444798:445763:-1 gene:PAHAL_6G006400 transcript:PVH36144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMATTTKNALVLLLLALLPLETLSSRASPSTHKSHAPKHPSPPPPLPPSSPPAPAALVRATCNSTAYYDLCMSTLSADPSSATADVRGLSAIAVSAAAANASGGAATAAALAANVANATAGQAAPSADATVQALLRTCAAKYSQARDALSAARGSIARQDYDYASVQVSAAAEYPQVCRVLFQRQRPGAYPAELAAREAALRQLCTVALDIITLLSNSNIS >PAN36440 pep chromosome:PHallii_v3.1:6:43593672:43597840:1 gene:PAHAL_6G283000 transcript:PAN36440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLLLPVVRGVLGKAADALVQKVTAMWGVDDDRRDLELKLLYVQSLLADAEAKAEAETEAGRAVKEWMRALRAAAYQADDVLDDFQYEALRREAQRLRSSTSKVLDFFRSRNRLVFRHKASRDLKNVLGKIDKLVKDMHKFVLLQREPEAPQPLNRQTHSALDESAEIFGRDDDKAVVVKLLLDQQDQRSVQVLPIIGMGGLGKTTLAKMVYNDRKVQEHFEFRMWHCVSENFEATAVVRSVIELATNGRCDLPDTMELLRQRLQEVIGRKRFLLILDDVWNEDQLKWDDDLKPLLCSSIGGLGSMIVVTSRSRKVASIMGTLPTHELACLSEEDSWELFSKKAFCKGVEEQEEFITVGKLIVNKCKGLPLALKTMGGLMSSKYQIKEWEAIAESNRGANIEILSILKLSYMHLSSEMKQCFAFCAVFPKDYEMDKDKLIQLWMANNFIQAEGNIDLVQKGEFVFYELVWRSFIQDVNVNAFDGYSVSRCKKIRCKMHDLMHDLAKDITDECAFAEELIQQKASVNNVRHMQLPWNVSDEITGLMKASLSLRTLLAQYSKPTDVKELTLKSVRAIHCPYAYVIHRLINTTHLRYLDISGSEIVGLPNSFCMLYNLQSLWLNDCRKLKFLPEGMQTMRQLTHIYLLGCISLERMPPKLSLLHNLCTLTSFIVDTGDGFGIEELQGLRQLGNMLELFNLRKVKSGSKANLHEKKNLTALFLNWGREVGYNPLHDEVVSNNQEEVLESLVPNTKLKTLELHGYGGLAISQWMRDPQMFCCLRELQISNCPGCKDLPLVWLLSPLEKLHLSSMNSLATLCKNIDAEAAGYTTSQEIFPKLKMMRLYKLPEFERWAENSAGEPNSLVMFPQLEKLYISNCNKIVNLPEAPALTSASFVEESADCIVPMSMEWGSFPSLIHLKFGRLVNVVMPVKDHQNQSQRPLRTPRSLFVEGSNGFISMFNSSKLQLGLGDCLSFVEHLVISECDNIVRWPVEEFRCLVRLQSLWIDSCSKLEGKGSSSEEFLPLPRLEILDIRSCGSLLEIPKLPALLEEMCIYGCKSLVALPSNLGDLAKLRHLGLVTCFELKVLPGGMDGLTSLEQLEIYNCPGISKFPQGLLQRLPALKSLEIYYCPDLERRCREGGEYFDLVFSIPDKRIPQPDEPATKKSMKSGLLPWCVGGSSSS >PAN36612 pep chromosome:PHallii_v3.1:6:44861571:44864217:-1 gene:PAHAL_6G296800 transcript:PAN36612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGGGNNGGGGDERLREALLAPAGDGNGNGGKVGEGNLEEIRSVRAFLRHAAEENRRLWYLAGPAIFTSIAQYSLGAVTLVFAGHLTTLELDAVSTENNVIAGLALGITLGMGSALETLCGQAYGAKQLHMLGVYLQRSWIILTGMAVLMLPLYLFATPILRLFHQDADIAALAGRLALYMIPQLFAYALNFPIQKFLQAQSKVVAMAAVSAAGLAFHVALSWLLVGPMRMGLVGLAVALNASWWFVVLGQLAYILMGYCPGAWNGFDWLAFSDLVGFARLSLGSAVMLCLEFWFYMFLIVIVGNLENAQVAVAAVSICTNLFGWEIMVFFGFNAAISVRVSNELGAGRPRAAKFAILVVLMSSVAIGLAFFAAVLALRDVYGAPFTGSPAVVREVASLGVVFALSLLLNSVQPVLSGVAVGAGWQWLVAYVNLGCYYLVGIPVGYLIAFPLRGGVRGMWGGMLTGVGLQTVVLVAITLRTDWDREAREASSRIRKWGGSAADKALDH >PAN35131 pep chromosome:PHallii_v3.1:6:35124757:35131089:-1 gene:PAHAL_6G183600 transcript:PAN35131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSGGALAWQQYRSLLRKNATLTWRHRRSAALQLFSSLVFIFLIFCIDRAVRSRFSSTTAYRNVPDPAALVAPPIPPCEDKFFIKSPCYDFLWSDGGSARVRGLVDAIRRNNPGRPIPADKVLGFRTPDDVDAWLFQNPMRCPGALHFQDISATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSTMGLYESAYWLSWFTWEALLTTVSALFTVLFGMMFQFDFFLNNSFGILFLLFFLFQLNMLSFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYSSDYKKLYRTLWSLFPPDVFAKALNILGKATATPEDKGISWNQRGECPSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNILPNVNGVRKSVFYFLMPSYWTGKGGKMQEGGLFSFFGSSRPADDASPTDEDVLAEENLVKEQAANNEVDPGVAVQIHGLRKTYPGTFSIGCCKCSTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAFIYGHSVRSTAGMTNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSTITSVAEESLAKVKLSQVTNVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHMQSPNINSNTEAPVNPNIEAVKWFFKERLDVDPKEESRTFLTFVIPHHKEPLLTRFFGELQDREGEFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGATIQIPKGARFVGIPGTETEEHPRGVMVEVYWDQDENGSLCISGHSDEMPVPVNVELRRPPSISRRASMAREGPVGYIIDPSQVP >PAN36169 pep chromosome:PHallii_v3.1:6:42359592:42361657:1 gene:PAHAL_6G265600 transcript:PAN36169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSDEEEQGSSPASALESESECPPLMAPPPPQPLAPPSQRPLLLNPAYARCKSVIHDELRSFRVFLQWCALDHSTRAGRAASYAAFLALALLVPAAVSVSLRADAALSPASASAITFNRVAQVPVTGLAAISFATLAVFFRRFGGLRQLLFLDGALRDDTTYVRRGYARELDRAFRLLAALLLPSLCVEAAHKAVFFFCTVRVDPPAALGVVLPPLLPPWRVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIHHMFDVEARAAAAEIFAEHRRIRKQLLATSHRYRVFIICCLVTITVSQLGALLVVLSSGDAKSFSNTGDLLVGLAVQLSGFFMCLFGAARITHRAQRMVSIASQWHMSMVAAMHHGKSSPDSTSASDVDASRVSGSSAAVSQAEPGGAFSYKSRQALVTYLRHNGGGITLFGFTLDRGLLHTIFVFEMTLVLWILSKVVVLQ >PAN35412 pep chromosome:PHallii_v3.1:6:37880988:37886124:1 gene:PAHAL_6G206900 transcript:PAN35412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPRQYHDDPRGYRDAPPPPLSRTRAASPRRLEEELSTRRAEMRRIHEDNQRLADEIVGLRQTMPRLKEDLHASSQAVPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALHLRSEAGKLQSLRQELAAKVQGLLKELEHQKSESQKIPAMIAERDALRQELIQARAGLEYEKNAKPELTAQVQAMEKDLVAMAQEAEKLRADIAKRRAPSFSSHGTYGAPLSTPGMGLQGMYDGGYTSMGSRYGSGPWGSHESRGYPQP >PAN34744 pep chromosome:PHallii_v3.1:6:32237988:32240723:1 gene:PAHAL_6G170500 transcript:PAN34744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAPGVEEEEPKDSSEYRLRKQLLLLAALVVSVTYVAGLTPPGGVWQEDGPGVAAGGPVLRITHRRRFLSFYYCNSTALAASLVVIFLLLLKNPTRVQLAVLRLVMVLDLLALMGAYLAGSYRDRPATVYATALVLALSAYVGVHILQGLQHPPPPPVDRRDEEEDRRRRTESSSSVLKPKERCKVLLLLATFATGLTYVAGLNPPGGFWDTMDNGRRRGHGHGYRPGDSLVEVHHRGHFRMFFYCNTTAFVASLFIIVLLLDRKLSARTARSFALHVFVLSALLGLLAAYDAGSCRDSNCSVYVVSLFGAVLAFIFLTMVAIISLKSLVCADAPASESNNNRVHDNQATTMATSSSQGSVNTVAPRAASTGNTVEKRAIKKVKSLVLLLANLAATITYQAGLDPPGGFWTYDGEGHRAGDAILLSKDPARYKAFFYCNSAAFVVSLVVILMVQNVRLVKSHTLLVAMMLDMFALIGAYAAGSCRNLRTSVHVVALAGAVLLYVLVHVLFFTLRATGADGTVPEKKHKRLLLVAILVATITYQVGLTPPGGFWIEENSPLGRHRHAGGAVLLDKFPRRFGVFFYCNTVSFMASIALILLLVNPNLSRLAIRCYALYACQVAGLFGLMGAYAAGSARRLRTSIFALVLVALVIAFVALNIIMFSLFKPRTAMAEVDSPAPAEEEEEPTPRDAEETEYRDEVYAKRKYLMLLGILAAGVTYQAGLAPPGGLWQDDGTGGGGHGREAGNPVLHDTDQRRYHVFFYSNSTSFVASVVVIALLLQQILRRHRPENHELLLLATNTAVVLDLLGLLAAYAAGSTREWRIVAVLPVLVLTFMAVHVAIWLFGERRCGGGGASSNGWIEEQVVNGHDQSSHVPEV >PVH36918 pep chromosome:PHallii_v3.1:6:36972901:36973512:1 gene:PAHAL_6G197700 transcript:PVH36918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEEGLKQVSEEADEEARKEVDKEGEALKHASNELDRKWEKSITVRDEITSEHDGNSITLASDGVHKDAGTIKILVKQALKETFINWGWILISRTSYVIS >PAN35475 pep chromosome:PHallii_v3.1:6:38266223:38271649:1 gene:PAHAL_6G212400 transcript:PAN35475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTARAPVAALRPSSAFLGHSSRLGRAAAAPTRRSLKAEAKGEWLPGLASPAYLDGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLSDPWHNTIIQTLSG >PVH36715 pep chromosome:PHallii_v3.1:6:26398197:26398613:-1 gene:PAHAL_6G149900 transcript:PVH36715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNTAQEQEFLSLHNAARREVGVEDVVWDETVAAFARVYAAKRAGDCNLQHSDQAERDNLGYGENIAVGFPGSDLKVAAAVQMWVNEKQDYDSASGRCMKGRPEELMCGHYTQVVWRNTKAIGCARVKCDNGGIFIT >PVH36953 pep chromosome:PHallii_v3.1:6:37946838:37948306:-1 gene:PAHAL_6G208000 transcript:PVH36953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAGAQPLPVRGARGRGSPSRRGDIPHRPRTPNPPPTAAHPTDALVPPPPPGPRASRSRHSPRLARGVSAWALAGGVAPRHWPADRSLAVASVYKDAPPAPLRLVHPSPTAIRRSPTAPSRCAREAAAPAPPPAPGVSF >PVH36163 pep chromosome:PHallii_v3.1:6:1041575:1044998:-1 gene:PAHAL_6G011800 transcript:PVH36163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQICDSVVVPNLRLRDEDEEEFEGNWVEYVRRDSEGSDSDTLRRAACRLLRGLAANYRDQVATLVSAQVQQMLAAYTVDRANNWKEKDAAIYLVIALMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWQSEPMLKATVLRFLKEFRDQIPKATALALLPGVVRFLTHESNVVHSYAATFIENLLMIKDAVPVPGLNTLTRSQRHVAADINPFAPQIIQNLSTALSFPDSHENPYLMKCLMRVLGVANIAGLIVHEITARLVGILMEVCNNSKNPDFNHYLFEALAAVIGRSGEQDPALVPAFEASLFPVLQRILVEDIAEFWPYAF >PAN35223 pep chromosome:PHallii_v3.1:6:36322611:36329230:-1 gene:PAHAL_6G191200 transcript:PAN35223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHAATSPGLHHLSRPPMAALGAATTRAAAPAAPRGNNRHPRHRVAARRGRAALARRFELRASVSPAVTADAPDEAAAEPLVEPAPEMKLSKLACPICYYPLVSASDQSGDAASLECSTCKKVYPNKQDYWDLTVAVGSSEYSESMPAATELFRTPLVSFLYERGWRQNFIWGGFPGLEREFEMAKTYLKPTIGGTVVDASCGSGLFSRLFVKSRLHSLVVALDFSENMLKQCNEYIKRENISDERLVLVRADISRLPFVSGSIDAVHAGAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADVIPPAIPILRIGRPYISQFTGNNTFLSEVEFEDLCKACGLVDFKFVRNGFYIMFSATKAS >PVH36927 pep chromosome:PHallii_v3.1:6:37268187:37268878:1 gene:PAHAL_6G200400 transcript:PVH36927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPSITPLNGDVTYLRWKESMLLLLNTAGVAHVLSEDPPLPAGAGASPEAARKWARDDAVYRGHILASLSDAFEFRLDGGGGAPSFLEQLAHAEALGVDGQPSHRDLVDRTLGQKLPPEVVSRATVVLGDGSVSVCMDKAWEVARIRERNRISEEDELNVRAAMAEDEEKGLLCCNFGNNAGTGYGSRNSRA >PAN36473 pep chromosome:PHallii_v3.1:6:44171872:44172997:1 gene:PAHAL_6G286400 transcript:PAN36473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGKAAEFLRKAVGALRGKAAVLRARLLFLASLRRRMAVVAGISRHVRALTPRQGQGRDKAAAQCRGTPVAPAEDDADVVGLPEMARLFEQVANEDGDGGYAEWALTLRSLFDDDEDSERRAGALPAVDGLDDDDGQEEEPSVINVIRSRLEGDGREFRIEDEIDRAADMYIARVRRRIMNAQTAGAC >PAN33812 pep chromosome:PHallii_v3.1:6:3561086:3562794:-1 gene:PAHAL_6G048100 transcript:PAN33812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGQRLNVVPTVTTLGVVKARLAGATRGHALLKKKSDALTVQFRAILKRIVSAKDAMGDAMRAASLSLAEALYVAGAPLRHVVQQSVSGPARLRVRAQQDNIAGVRLPRFESYLAGDVSAGGPSSSSASLAGLAGGGQQVAACRAAHARALEVLVELASLQTSFLTLDAAIKTTNRRVNALENVVKPRLENTIAYIRGELDEHEREEFFRLKKIQGYKQRELERQKEAAARYAEEKAAGEVALKRGVSMDTAAGMLENGDRDEDIIF >PVH36609 pep chromosome:PHallii_v3.1:6:17071716:17075229:-1 gene:PAHAL_6G120800 transcript:PVH36609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGACRHDECRCFLSCDLDVPIKFHVVEADYNLSTGRKHQELFVECKLYMNGVPFGLPAKTRLQDSGPPYCWNQLITLTTKYKDLISFSQLAFTVWDVSSGKDGDIVGGATISLFNSKMQLKTGRWKLRLWLKKEGDGSVPTSIPSKIPKNERSEIERLEGLINKYERGKIQHIDWLDRLTCSALEKAMKKKSGRIVNLYPSLIVELYSFDHKVIFQESGANFYGPAPVSLLNELVIIWDPELGRTNPSEHKH >PVH37157 pep chromosome:PHallii_v3.1:6:41985584:41986675:-1 gene:PAHAL_6G259600 transcript:PVH37157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSRFIEFRLDHTKTKHLAIGAIVSYEDIPAGGHLWRVNCYPRGCKEEDRGEHLSIYLQLVSDSNNVLAIFDAFAMGADGTPSWCDGHRRAHRTSTRRYLESLYLAADGLVTLVCGVIVVRDGDDPPPPPPSDVGDHLGRLLDRADGSDVAFVVGGEAFPAHRLAQLLGSMAEATMPSITLHDIDPETFRIMLRFIYADALPGDDEIGDALIETMRHLLAAADLYALDRLKAICAHKLYHNVSADTVAATLACAETYNCQHLKKKCIAFLADEKNLEAAMVTDGFVQLVQQYPSTVATFRPMLQAMYPEAFAGGDDEVGDSPTEIFERLRAPRYYGTM >PAN36058 pep chromosome:PHallii_v3.1:6:41651588:41652420:1 gene:PAHAL_6G255000 transcript:PAN36058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAQLVAVPLAAAALALLAAAASSSEAAASFTCAQVLSAMSPCFAYARGDGPIGQCCGGVRSLDSAARTPADRRFACGCLKSAAARVSGLNAATAAKIPSRCAVDIPTISPSIDCSRVS >PVH36348 pep chromosome:PHallii_v3.1:6:4104260:4104469:1 gene:PAHAL_6G055400 transcript:PVH36348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYHQVKTHSGNDSDSMFNLLSGGGGGGVSCTTRHGPGSSADPCIKGLSEFMNKVAMQLWLPSCIAAQ >PAN36099 pep chromosome:PHallii_v3.1:6:41820460:41823388:1 gene:PAHAL_6G257300 transcript:PAN36099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPYTSFFKNPYYYYTTSFPSAPAPHLPPPLPPYTSLYPAVAAAAPQYPACFFQPQPTLPPLHDSPPSPPLREALPLLSQSPTRGCASRPRHVAADYDSDDDGDDFLREVVGSSATPSGRAPLFADLNCMPSCCDDGDPMDVEAGASTDDAAVALRIGLPAAPANGCDGTEADLLSGLTGRACGGMEPEEDEEECKVDTGAGDGDEAVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNVDGADGLEDEDEGAVSEIEQDCAAGATCRSSAR >PAN34289 pep chromosome:PHallii_v3.1:6:6670462:6672390:1 gene:PAHAL_6G081300 transcript:PAN34289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVAKLAVLTALALLGSVSCQGGYGYGSGSSYGYGSGSGYGYGYGYGYGYSSSNGGAAAASGGGGGGGGSSKSTPPPQSSTAKPPPTSPPTAPVPPAYPTTSPPATFSPPTSQTPTSSRPLSSPQLPASPPINTRPIPQLHASPPMNPPPTPQPRTSPLVSPPHTLQPSTPTSPPMSSPSTLQPPSSPPFTPQSPTNPPASPPPALQPLTSVPPTSQPPMSPPTSSPSIPQPPASPPSSPPISQPPTSSPTSPPHTAQPSTSSPSTPPTSSPTSPPSIPQPPSSAPTSPPAPDAGQKLRVGYYSGKCARYVDVEAIVRKHVSQADAGIKAGLIRLFFHDCFVRGCDASVLLDPTSANPQPEKLGVPNFPSLRGFEVIDAAKSELEAACPGTVSCADIVAFAARDASSLLSHGWVSFAMPAGRYDGGVSLAGETIPNLPPPFADAARLKQMFAAKGLDAADMVALSGAHSVGRSHCSSFSGRLAPPSNASGSDMEPAHAARLRAACAAPANNSTDSTVAQDYRTPDELDSQYYRNVLDRKVLFASDAALDAAGTTSLVRFFADMPWAWQFRFGEAMVKMGRVEVKTAANGEIRKACRFVNSKA >PAN33221 pep chromosome:PHallii_v3.1:6:305815:311648:1 gene:PAHAL_6G004200 transcript:PAN33221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain (PHD) transcriptional regulator, Flowering promote [Source: Projected from Oryza sativa (Os08g0105000)] MRFWLSPVSPAPPIWTHALPHHFIPPLPSPLNLRSGVRSPACRARSPKSPANPSPSPSLLLATLAPPPLRASRPPRASHSDLAAVSWGLAGACFSCPRMASDGAASRLPPLAQPPKRRAAGDPLQPDLLTYKRRRRATSANASGGSAITSRPDKNLMGMVPHASNSQHQMLARHWRSWRDMLEGLLQSPAVNQGSGGIRSCIRDALRHNSCQPLEHGNLGESRGRGRENPSGEVGDEENNDALVKAEYATAKNGALVKLEDGTAASLEANKAMCHNALFDILVSEKFALLCDLLAATFHVNKPDDVIGLQKIDAKMRNGDYAQNPAQLDHDIKQIWKKFEQVGQEMVGLASSLSVISQASYRKQASGVSEIDMAEHKIEETSLVGVAHKVLRESTPPCDSGHSTIPIRSGTSGPDGICKDCGRKADSEGRIICDRCEAAFHVSCLKPAIDDVPAKWYCPACNELDTAIKNNNNGRSHEDCNVCEWLEFKVPEEHPQDASRTELVVKTQESSVSSMDGDSEPDLSTTALSKLCKHCGTCEDEDKKFLVCDHPYCAYKFYHVLCLKQSQIAIEKQKNRSCWYCPSCLCRGCFKAKDDEWTVLCDGCDDAYHIYCMNPPRNTIPKGSWYCTSCSTRKSVDGMQKYEKLVLESVMHVPGAKRSKVLAGGAPENK >PAN34956 pep chromosome:PHallii_v3.1:6:31307940:31314167:-1 gene:PAHAL_6G166600 transcript:PAN34956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQCAAHAVRALAVLPAPASPRRRATCVFLSLPPRRLGRAVAPIRAAKPSSPPSAAPAAQPTGASAGKAAVPDDEFSLAKVSFGVIGLGVGISLLSYGFGSYFNLLPGSEWSALLLTYGFPLTIIGMALKYAELKPVPCITYAEALALREKCATPILKQVRSDVTRFRYGDEQHLDEALQRIFQYGLGGGIPRRNAPILQKIQEEVTEDGKYSLVLVFEAKALELSDFEKRQAKFTSFFGPGIKAEIGNGGDDLYEVRLISETT >PAN35095 pep chromosome:PHallii_v3.1:6:34246098:34251323:1 gene:PAHAL_6G180500 transcript:PAN35095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSRGSLPTWMTAAASRVDLTGGAVSPSHQGSPSPSPSGPAPAAGADQELGMFERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVLYHYPPQVAALGPDAILSEFRCSPSCTRGHIFGSEPVCPPDCFQYKGTLDVFLKVVRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWIEDFTRSNAPGLTPYTPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLVGVLSPLASSSQSVQNYRVLWTGVGAQLARDVPFSGICWSTLEPIRRKLLGLVGEEGNAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTEKAMRMTTRQTLTEIWRSGGVKGLFTGVGPRVARAGPSVGIVVSFYEVVKYALHQRNAS >PVH36196 pep chromosome:PHallii_v3.1:6:1482434:1483759:1 gene:PAHAL_6G019000 transcript:PVH36196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGSVLFVVVLIELAIIVAGHRSSCRKARKVHGTATGTWKVLPPEEATQACDWVPTSGPTPAHQQVSTSPTLSRATRLLLAAGCPMERRRSQCVPRASARVTSHWRAWSASRRWRPNCPRRHRQAKGFGWTVLAARRIHAADEIWLQIPGSQGISMQEELRSIFSQCKAHMDPQRLCRVS >PAN33596 pep chromosome:PHallii_v3.1:6:2405620:2408331:-1 gene:PAHAL_6G032300 transcript:PAN33596 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT1G63910) UniProtKB/TrEMBL;Acc:Q9SRB0] MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPVVSTTSSSVTTSSPPCSTAASDAAALGHLQTPFSAAEHQLDAIISQSLALPPKLGAGQDSPPAPLLPPHCPFFMFDTSVSPPSSLTSPGTVAQLQHPFLTFTAAAMDAPSFQLPPLVDGIGMGMATMDCGLGEERGHDHGGGNNGHAAGMANGGSCCYGQQQKQQEEEQLGQDQWDDESAQHLLMWDDDQELTSSNLEAMESGAHSLLFMGPNDHA >PAN33365 pep chromosome:PHallii_v3.1:6:1276876:1279681:1 gene:PAHAL_6G015000 transcript:PAN33365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATASASTYCCNTLLHLPWLTHFRRISPPPTHRPELSLATRRAAAVESGTARSGPRARASSGPPPPPVFETVEEEEERGWSDAEAEFSDEVEDEQEWAGGNGAARGEDLGADAGEDLSGWTRQWPRPRELFVCNLPRRCDVEDLLELFRPHGTVLSVEVSRDAETGISRGTAFVTMRSLAEARTAINALDGFDLDGREIFVKLASDVISNRKNVNLTHITPTKDHIFESPHKIYVGNLAWSVQPQDLRELFTQCGTVVSTRLLTDRKGGRNRVYGFLSFSSAEELEAALKLDKTVFFGRDIVVKEAHVERQSP >PAN33934 pep chromosome:PHallii_v3.1:6:4285407:4286531:1 gene:PAHAL_6G057700 transcript:PAN33934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNFVLLTVLLALVASGAIASDPGPLQDFCVADKDSPVRVNGLPCKDVKDVKVDDFFLAANLDKPRDTTMSKVKSNVTLINVMKLAGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKILNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITISNAVFGSKPPIADDVLAKAFQVDKKVVDWLQAQFWEDNHN >PVH36612 pep chromosome:PHallii_v3.1:6:17324301:17325050:-1 gene:PAHAL_6G121800 transcript:PVH36612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPQHPFRSQWQPIEISTTGYRIMDTIEAAALEAIYAFCSQHPEEVVGQPIGLFATTDPGEAERDLGTIPESHRLEGPPEEVVQGMRRYTGVQYHYHMLLRREIGHLITAARSFHGDAARYFTQADQLQAVVIEKNGIIATQNETIHHREDQINESDHIITQRDTVIEFLQAQVQDLILAVDDAQAQIEELQQPPIPPVAPATPEAEEEDPEEIEGVSELDSEHGDPVVSPHHSSSGSQSSVGNFDDF >PVH36799 pep chromosome:PHallii_v3.1:6:32329907:32330923:1 gene:PAHAL_6G170800 transcript:PVH36799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFVWLVECYIETYIRGHHGPDPTQPDLLCSDNATQTLARYGDEMVSRHGEEYDWRTSDVDVGASYSSGGGKKHGRFSMLNGVIDTSGALSEARCSQSTQNSRGYQQQSQRETVMQEKIRQHEEAMQRQEEWARQQHEYMQNFFAQHRQIQEMLAATLGSQFNLPPLPSPPPPPPNFVPFVRVPSPQVGSTSTHPRGVSASPSTPASAPRNISGGDCGSGHNITPPP >PAN36044 pep chromosome:PHallii_v3.1:6:41496814:41500517:1 gene:PAHAL_6G253000 transcript:PAN36044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAASRGFAASAAIVGTVLLLLGGAAPAAAATTGPGRNNCTRSCGGTDIPYPFGVEPGCYHAAWFNLTCDRSFQPPKLFLGDGTVQVLEISVPNGTVRINSSRLLHTVIGAGASAASGLWGRGLPLGGPFFLSESRSSVALVGCGALVQLRGGDDGSLIASCAAVCPLDGDRRIVVESSGACAGVGCCQASIVLGYDSYNIQVNKLNASAYAEAAVYLVDKGISYADEVAGSVYGYFPEALPATLDWVINHTGCPSVYRDGVASECVSSSSRCVDAGNNVPDRGRRCACGIGYQGNPYILDGCQDIDECKSPDIYPCYGICTNVLGSFICECPSGYYGNASAPDGCQDINECLNQEAYSCYGTCLNVPGAFHCQCPTGTDGNSSRKGGCVTIKNSSPVSGLGIGLGVSGGTSLLLLAFGAPFIIRKLKQRKVKKMKEKYFNQNHGLLLQQMISHKADIGERMIITLRELEKATDNFDRSRVVGGGGHGVVFKGILDLHVVAVKRSKIVVQREIDEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGPVSLRWDDRIRIALEVARALSYLHSAASMPIFHRDIKSSNILLDDNLTAKVSDFGASRYIPIDQTGITTAIQGTIGYLDPMYYYTGRLTDKSDVFSYGVLLIELLTRKKPFGYRSDGGDGIVSYFVSLLTKGKLFDIIDPQVIEEEDGEVQEVATLAAMCTKLKGEDRPTMREVEMTLENLLLVKKKHVPWNTKSRKYGDDETMAHWMSTEQVSTEVSRQYIIEEETLSSAR >PAN35481 pep chromosome:PHallii_v3.1:6:38309989:38312088:1 gene:PAHAL_6G212800 transcript:PAN35481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCGGGQQQQGGEARARLRWTRQLHDRFVLAVAQLGGADRATPKSVLRAMAVPGLTLYHLKSHLQKYRLAVSRGFITTPPGEGSNDPWSSSSEGQPDEYDEDAAAEWRGAFAAADGGAAGTKEAPCDSPRSMARMQREVQRKLQEQVEVQRHLQLRIEAQGRYLQSVLRRAEEVLADHSLGSPAAMAELSELASAVESGCLSSSSSPSPSPPRRRSADSCITSSSSEAESQAGTGSKRPCTCAAEQPVQGKRTFLQSHEAGEADADAEAEDGSSPEIDLNR >PVH37363 pep chromosome:PHallii_v3.1:6:44851502:44852633:1 gene:PAHAL_6G296500 transcript:PVH37363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVVKPPPVASVSVSMSSVSPSASAAGPAGAGGEFTADDLAAADQLVQLSVSGGGDDDEEDNQHEAFSSSSSPRSVNNAARGEVEDEEDSGVVDRRVRKRYRLVAELYAATRQVKAGAGARGKSKRNSSTDGIPRN >PAN33909 pep chromosome:PHallii_v3.1:6:4133935:4137476:-1 gene:PAHAL_6G055800 transcript:PAN33909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRPRDDPSSSFASAPKRQYGAGGGYGAQQGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLYARPGDCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICTDCYEARLDEYLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIIKRLRESEGLEFGNSVYWINFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVHEYLQKPEFTELMRRLGALGDGRHDQSTLSQDEWEVSYLYLAFVLRKRGQPPSQRRSNNANRGKMFLNEGDIEFLGI >PAN35321 pep chromosome:PHallii_v3.1:6:37156539:37159082:1 gene:PAHAL_6G199300 transcript:PAN35321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAEPSRAREEAAEREASEAVARQPQRSWVALASIPVVVYGANDARAEAIAPGAADLLLELHDPPRASYLVLPERLVPDPRWREPNNFAYIIAAARDRLLFMASQRATQGRGVLDPDYFLCNVSDGTAARLPAVPADFRIMLFPRRTMGLIADPRCPGHYMIAQLHPDDEAAMKRHDALLCYSTATGQWSVKQLASAPDHEPWGAHGVIAHGGLLWWVDIAYGMLFCDPFDDHPRLRLVPLPTGCEMHGLGNNVRPTILMDQRRLIRPSQGMLRYVEIQGLSYDHADVDDPISPAVTMWTLVDPEGPHPWRFECEASFDDIWAHDSYVAAGLPQGKVPKLALVDPNNHDVVYFFQDTALFALDVRARRVLACEECFVDRVFQDPLFQYSRFIDAWERELPPTVRGDGRASSDGGSGTKGPGHEEESDAGTGSDEEMASLLSQLEVIRSRATRPEAFVD >PVH36747 pep chromosome:PHallii_v3.1:6:28924739:28926385:-1 gene:PAHAL_6G158600 transcript:PVH36747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKNKHRHDSSDDEEEERKNKNKRFGKKKIHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEESSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH37385 pep chromosome:PHallii_v3.1:6:45014968:45015450:-1 gene:PAHAL_6G299300 transcript:PVH37385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQPAAGNDVNLDLRLVHHPAAASRRHRDLPSPAAAANEPDRTFSCTYCRRKFFSSQALGGHQNAHKLERSLAKRSRELSGAVAAGAAVSSSSSSVGAAASEIGSWYPAPHAWGAGDQAAATAAVVSWIADGGRRHAYRVQAAAAAGDGADDIDLSLKL >PVH36343 pep chromosome:PHallii_v3.1:6:4019658:4020317:-1 gene:PAHAL_6G054300 transcript:PVH36343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTPPPRVHPTLRVSPSTTPPPRLATTNAAHPSSHAAVAVPSGSLENLPPFPFPGGRGDRRGDRPTDRDAPSALPKEPPSAPDPPLPPRHAPARRRGYSPSTRIKA >PAN35611 pep chromosome:PHallii_v3.1:6:39122969:39128882:-1 gene:PAHAL_6G221700 transcript:PAN35611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGTGSMGCAATPASGAQPSRWPRAVARLRLALSSSEAAAGSGGGRWTACFRPAPSPAAPEATAVKEAKGKPPEVEVEPARGGGEDVWSASADAEVAQGGGFPEHLVIMVNGLVGSADDWKFAAEQFVRRMPDKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRTGVKKISFVAHSLGGLVARYAIGRLYEPNNRSKFSAGKSRDDVEHLEGLIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDNDDGRRPLLLRMVDDCDDLQFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHCLLVRDEKYPHIVYVEKEVANNNETEAHANVYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVINHMMDHFIV >PAN36479 pep chromosome:PHallii_v3.1:6:44181270:44181634:1 gene:PAHAL_6G286600 transcript:PAN36479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRRNTNLSASTAVILLLIIVAAEMGSVGAKRAACSHLSGSYPDWCINDRACEEVCKVESHDNIGGACDDFPARCYCQTQCPS >PVH37300 pep chromosome:PHallii_v3.1:6:43619802:43624031:1 gene:PAHAL_6G283600 transcript:PVH37300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEVTILYHHQGKGGSVGPIVGGVVGGVSALLLGIFLYVMFYRRRKAKKAALLPSSEDSTQLAATASMDKVALSSSQADSASGVPGITVDKSVEFSYEELFNATEGFSMSNKIGQGGFGAVYYAELRGEKAAIKKMDMQATNEFLAELKVLTHVHHLNLVRLIGYCTESSLFLVYEYIENGNLSQHLRGSGYEPLSWAARIQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGNTSLPTRGIVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKEAIVRSTESGSDSKGLVYLFEEALNTTNPKEGLQRLIDPALGEDYPMDSILKLTMLARACTQEDPKSRPTMRSIVVALMTLSSTSELWDMNAVQENEGLVNLMSGR >PVH36809 pep chromosome:PHallii_v3.1:6:32750799:32752722:-1 gene:PAHAL_6G172800 transcript:PVH36809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIAIFLINLPNSDSYVALQVFLVCLVAGCSICWFNTVCFVLCILSFSASNRPLALSLSISFNGLSTAFYTLFANAFSPTSPSVYLLLNAILPLAASILALPAILLCHTHDSHLQSVPKYDRHTFLGLNILAFITGIYLVVFGSLNTTRSAAWVILTGAMVLLALPLIIPACSSCSYVDTHSIDSASHHDDPHKPLLVGNNLQNESNAVMEKAMEQQLQGSSGGTILDKGHLVVLGEEHSAKRLIEPPGGTSGSTTQPTSAEQLLAWYTATTWGRLHSHCTSSHSSPCYLLSTHPSPSLVLFSALPDFLHRKVSLARTGWLAAALVPMPMAFFLTRKQQDGSILAVGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNILLGSLLYGQIAALVYDANGQRMRVTDNRTGMIDTMIVCMGVKCCYSATFFMWGCITLLGLASSIVLFIRTKPAYANTASRSSCKHLHQVSS >PVH36446 pep chromosome:PHallii_v3.1:6:5880467:5883215:1 gene:PAHAL_6G074900 transcript:PVH36446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGRLLPKEKEEDRNDDKKEDRNEDRDYEKNEEKDDEKNEEKDDEKIEEKDDGKTEEKGDGKAEEEDENIQEKAIISGMRGNLNMRPIDFFERSTHIIHQINDGPCALIAVCNILLLKGDIFFERDETVVSMDYLLNLVFTLIRESAKMQAYSPEIRRQIWDVAPKLAEGFDMNVVFNRTDGFTVTPEWLLLDCLDLNIRHGWIPNVDLLPGPEVPEVSYERLTLKSLEPDCPDAETIKNFLNGHQLTLIGLVSLLEDLGEKIPCILYCHYHYSTIAKVNGVIYSLVTNINYLRTRAVWQMLQVNGGGVYLDSKFRPIYMWLIQLLRVLSLFLKQLPPNHLLHL >PAN38233 pep chromosome:PHallii_v3.1:7:35550847:35555734:-1 gene:PAHAL_7G156600 transcript:PAN38233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEREIDEEEAIALIGGGGGQLQQEGAVPDAAEGERDGEEGDAEDDGQEEEEGRDDVEGWSEIRLAIEELSPARLIKHRGGGGDDDKPATPSPPTLPFLALSHLLLRVLDKIGPTMAVLRLDVQRNIERLQELYLLDPAKYSTLTEIVEKEVEEGTARKVDSCARAVLWLTRSMDFTIALLQRLEEDPDQQSLVQLVEAAYEVSLKPWHGWISSAACKIALKLIPERKIFTGLLLGMGQDCSALKDEIEKLALLLRPLLDDIHSMMATFRLDRLKST >PVH35345 pep chromosome:PHallii_v3.1:7:35664105:35666103:1 gene:PAHAL_7G158200 transcript:PVH35345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAARPFLSVPGLCATCSVSHAAWRLTSYPLPLSFFLPSRTRVFHLHRAHAPPPPFPPSASLRPRRGLERKDRGGAGPFLSGAGRFAPSAGSLALHPLLGCPAGLLVALHPLVACDAPGILAQALVVTVSPRPPRPRCTGAVSVGAAAAILPRPPAPRAGGRAPSWRPPSSRRQRQDRPVPRLRDRFEQGGLLGEATLNLAERRRGRGQREYRSTRGCDDTWSYLYYPRLVLHLGVKSTFLQDLVDLLL >PAN40781 pep chromosome:PHallii_v3.1:7:47318237:47323182:1 gene:PAHAL_7G340400 transcript:PAN40781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKRKSGSEKQPKHRLPLGADADAVADASKRRRSGASKQHQADEEASIPSSLSAKILREARKQQQEEMLADSGDEGPPAAAAGAAAGPSTSSSFPVPAADDEEDDDVDEFDGFDALSEYDGGEVEINEEDEKALAAFMSKDKAAERTLGDIILQKIREKDAEVSAEGRPRVKLDNSIIALYKEVGKFLSRYTSGKIPKAFKRIPSLECWAEVLQLTEPENWSPNAVYQATRLFSSNMNTKNAERFYEAILLPRVRNDIRQNKRLHFALYQSLKKSLYKPAAFNKGILLPLCRERNCTLREAVIIGSIIQKVSIPFLHASVALVKLAEMEYCGTTSYFIKLLLDKKYALPYRALDAVLAHFMRFLDDERVMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRGSCNRGEKDNNLQTSSPISVITKPIEEDKWDVPEVPMEED >PAN38998 pep chromosome:PHallii_v3.1:7:39088974:39093217:-1 gene:PAHAL_7G212900 transcript:PAN38998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFDLSAGISSSNMLTDRPRRDGSPSCRSRQDVKRTVDPAKVYAEDKLGASNWSSSSNRSNASPLNVVLAKEKSKELESKKKPPSVVARLMGLEDDLPGQEATLQSARRNLKKRHLNGNSAESKSTHQHQEQYNSIMTTRDIHIGHTETVQFKDVYEVSQEPLRTYHLQDQTFPSGTSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQDALEVLSSNRDLFLKFLEEPNSTFSEQLAGLHRSPSPPQTKRITVLKPKRSVENEGRREIRTQRLNEENEHVMPWTHRRSHSAEVMFSQPTKIVVLKPSPGKPSRTMAKLTPKAATAQLTEQIDFIGGLEDDNYLPDSLHRRDESLLSSVYSNGYGGDESSFSRSEVYYIDEEDGNLSDSEIVSPVSRHSWDHIKRYNSPYSGSSFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEQMQLPRSSSTLGEMLSLRGAKKEVGRMGSVTSSQPCDAENELTLQASCKSIVTENEGDRQSSPKNLARSKSVPVSSSMFDNIAQNDPSSNSEGCKTPNVATRSDKGKPSFKGRVSSFFFPKSKRQSKEKMTLSTSSDEKVEVTCLGSMKPEAAQNIGADENVSFHEGEDDSLTTQTICSSKDILSIETPISSVCPSGHLDGLRGGCLNGSRDEPSPTSVLDASFEDNNINESESSRSITCENERIALRSDAIESVTRSLSWEDMNSPSSLLGMTKLTPPSRVGNDELECVAFVQRIVSSAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSNQKLLFDYANMTLVEIGQDTLLRAYPWSHVWSMARKETLSLGLVEVVPRHMRDWLNGSGKFAVNENEDAGTILDRIMEQEVEGRGWVKSMRSELDEITELIAGEALEELLEETVDDLAICSPQQEMSMTIPNL >PAN38997 pep chromosome:PHallii_v3.1:7:39088601:39095024:-1 gene:PAHAL_7G212900 transcript:PAN38997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFDLSAGISSSNMLTDRPRRDGSPSCRSRQDVKRTVDPAKVYAEDKLGASNWSSSSNRSNASPLNVVLAKEKSKELESKKKPPSVVARLMGLEDDLPGQEATLQSARRNLKKRHLNGNSAESKSTHQHQEQYNSIMTTRDIHIGHTETVQFKDVYEVSQEPLRTYHLQDQTFPSGTSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQDALEVLSSNRDLFLKFLEEPNSTFSEQLAGLHRSPSPPQTKRITVLKPKRSVENEGRREIRTQRLNEENEHVMPWTHRRSHSAEVMFSQPTKIVVLKPSPGKPSRTMAKLTPKAATAQLTEQIDFIGGLEDDNYLPDSLHRRDESLLSSVYSNGYGGDESSFSRSEVYYIDEEDGNLSDSEIVSPVSRHSWDHIKRYNSPYSGSSFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEQMQLPRSSSTLGEMLSLRGAKKEVGRMGSVTSSQPCDAENELTLQASCKSIVTENEGDRQSSPKNLARSKSVPVSSSMFDNIAQNDPSSNSEGCKTPNVATRSDKGKPSFKGRVSSFFFPKSKRQSKEKMTLSTSSDEKVEVTCLGSMKPEAAQNIGADENVSFHEGEDDSLTTQTICSSKDILSIETPISSVCPSGHLDGLRGGCLNGSRDEPSPTSVLDASFEDNNINESESSRSITCENERIALRSDAIESVTRSLSWEDMNSPSSLLGMTKLTPPSRVGNDELECVAFVQRIVSSAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSNQKLLFDYANMTLVEIGQDTLLRAYPWSHVWSMARKETLSLGLVEVVPRHMRDWLNGSGKFAVNENEDAGTILDRIMEQEVEGRGWVKSMRSELDEITELIAGEALEELLEETVDDLAICSPQQEMSMTIPNL >PAN38996 pep chromosome:PHallii_v3.1:7:39089029:39094842:-1 gene:PAHAL_7G212900 transcript:PAN38996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFDLSAGISSSNMLTDRPRRDGSPSCRSRQDVKRTVDPAKVYAEDKLGASNWSSSSNRSNASPLNVVLAKEKSKELESKKKPPSVVARLMGLEDDLPGQEATLQSARRNLKKRHLNGNSAESKSTHQHQEQYNSIMTTRDIHIGHTETVQFKDVYEVSQEPLRTYHLQDQTFPSGTSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQDALEVLSSNRDLFLKFLEEPNSTFSEQLAGLHRSPSPPQTKRITVLKPKRSVENEGRREIRTQRLNEENEHVMPWTHRRSHSAEVMFSQPTKIVVLKPSPGKPSRTMAKLTPKAATAQLTEQIDFIGGLEDDNYLPDSLHRRDESLLSSVYSNGYGGDESSFSRSEVYYIDEEDGNLSDSEIVSPVSRHSWDHIKRYNSPYSGSSFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEQMQLPRSSSTLGEMLSLRGAKKEVGRMGSVTSSQPCDAENELTLQASCKSIVTENEGDRQSSPKNLARSKSVPVSSSMFDNIAQNDPSSNSEGCKTPNVATRSDKGKPSFKGRVSSFFFPKSKRQSKEKMTLSTSSDEKVEVTCLGSMKPEAAQNIGADENVSFHEGEDDSLTTQTICSSKDILSIETPISSVCPSGHLDGLRGGCLNGSRDEPSPTSVLDASFEDNNINESESSRSITCENERIALRSDAIESVTRSLSWEDMNSPSSLLGMTKLTPPSRVGNDELECVAFVQRIVSSAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSNQKLLFDYANMTLVEIGQDTLLRAYPWSHVWSMARKETLSLGLVEVVPRHMRDWLNGSGKFAVNENEDAGTILDRIMEQEVEGRGWVKSMRSELDEITELIAGEALEELLEETVDDLAICSPQQEMSMTIPNL >PAN38831 pep chromosome:PHallii_v3.1:7:38338138:38343360:-1 gene:PAHAL_7G201100 transcript:PAN38831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) UniProtKB/Swiss-Prot;Acc:Q8VZR6] MTIDMSMPGSSGLLNAVGKRNMNFFSNHYVLALTGAAGIGGFLFGYDTGVISGALLYIRDEFPAIRDNYFLQETIVSMALVGAMLGAAGGGWINDAYGRKKSTLLADLMFALGSIVMCAAGGPYILILGRLLVGLGVGVASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLSFTEVPGTWRWMLGVAAVPAIVQFVLMLFLPESPRWLYWKDEKAQAIAVLEKIYDSDRLEEEVELLASSSMHEFQSDNTSYLDVFKSKELRLAFFTGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLSGVVISLVILAMAFILQSSSSLCMAAANGTCQGALGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWISNLIVAQTFLSIVGLVGTGVTFLIIAGIAVLAFIFVALYVPETKGLSFEQVEQLWKEKAWGSRGNCQSLLGAAP >PAN37221 pep chromosome:PHallii_v3.1:7:21445597:21448504:1 gene:PAHAL_7G071400 transcript:PAN37221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIALSRSAAAPKPYSRSKTVAASNRHFLDIYNIRSSLPPIEKYLGEGACVTVGGPRCSVMPVVSTTNVSLLNHGCFLFWTLPEMIDFVN >PAN39487 pep chromosome:PHallii_v3.1:7:41286169:41291833:-1 gene:PAHAL_7G246500 transcript:PAN39487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSVRLWWLVAVAAWAAAAVGAEGEVVAVGAAAPRRHAYAAMMYMGTPRDYEFYVATRVMMRSLGRLSASADRVVIASLDVPPRWVEALKDDGVKVVSVDNLKNPYEKQENFNMRFKLTLNKLYAWSLVSYERVVMLDSDNIFLQNTDELFQCGRFCAVFINPCIFHTGLFVLKPSMDVFKNMLHELAVGRENPDGADQGFLAGYFPDLLDQPMFHPPANGTKLEGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRENLGYSSEIPVVLIQAVLYIGVIAVTRLARPSLSKMCYNRRMEKSTMFLLSLLRVVVAWSILAAYTIPFFIIPRTVHPLLGWPLYLLGSFSLSSIVINIFLLHPLSVLTTWFGIIGALFVMSFPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLQVLVERDAFRLGEPNQNAEFTKLY >PAN37639 pep chromosome:PHallii_v3.1:7:28704734:28706171:1 gene:PAHAL_7G099200 transcript:PAN37639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSVFRRVNVKGLISNASVYASATESSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGSRFHPGDYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPMAGHVLHPVYASGSTTAADLDAQL >PVH34853 pep chromosome:PHallii_v3.1:7:12574144:12579920:1 gene:PAHAL_7G045900 transcript:PVH34853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRVGEPSPVAAGGEVDQTAATTESLPSMVNHEWGKAVCSEESIQKWTAADVFRPGELVEWRAPAKDEAPLPSAMEDQFVILSLTHIMCGLRMDASDFLVSVLGHYGIEWSHLTPNSITALSIFAHLCEAYLGVPPTVEVFAHFYRLYHNKKGETTTLGGVYFRLRDKMKRNYPVYYLKASQFVWTCLWFYAKTPQSCRLTFRGDALKETNNWKDVLHLSPEQEKQVVQIGELSNQGLTGVDIVHDFLKHRISPLRRRAHLACNYIGPTDPTRDSDKDLSEEDIESKLSYLLDLKKTGQKEPPRKHNVPTSLIRASADERANQHLDLLHVLSTLKVKKKAVEELRAPRTIRESSSYKPLAPASPRRYTRQSAVPRKVVGSPPPEIDSSPLRDHSDLEDEEILEARTTMTTASSPNRGVEQKSIEKPAETERGKRVSLVKPISSIIGGKRKVFASPSGSQRKAKYSFISIMAKTRMSTLDTGCIKGTSLGKEAAVALLPQSPKSARPSPASSVEKGEKSALFILANVIDQNKVAEDSVSNVLLDQYVRDSPPKEVDPAQSIAERVQRQEAIEESAFIPVPNLEEINNEAIWERMQKVQREYVSLSEKTLSELLEQAKKLVMENKRLKDEHIMLEQQVKDLEENKMLLTDTMRKAEQEALKRIEENTKLKDEIRDQKKMIDELSEQNESIQGSLVQKCTEVNRLKEEAAVLMKDKEELQSRVSHANEILNLMRSALCDGKGEGSRAS >PAN40349 pep chromosome:PHallii_v3.1:7:43935436:43936181:-1 gene:PAHAL_7G285700 transcript:PAN40349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLTQCRHRTLRRSRRAAGEVYSAAWTSLSLQPFTELCRAAVSLSPPPPPWISRFGLLATGASSRQHAAPILLQNNDSCGHQCSLAIPHPSSSHAPCSSLWPIWVASSVRMLAFLRFVEIDAGRRGAEVSKAGI >PAN39683 pep chromosome:PHallii_v3.1:7:42277275:42281761:1 gene:PAHAL_7G260800 transcript:PAN39683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFLRAFAAASAVPAAAAAACALSSSSSGPSTSKLRFPLPASFLSASASSTSGRAPNAVPPMAAASAAATADLAAPDKESALPELTTEFMVDMKCEGCVTAVKNKLQTLEGIKNIEVDLPNQVVRVLGSLPMKTMLDALQQTGRDARLIGQGNPNDFLVSAAVAEFKGPVVFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYNPPDYEADMALGDLGTLEAGDNGEAHFSGSKEKLRVVDLIGRSVALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >PAN38646 pep chromosome:PHallii_v3.1:7:37494990:37495340:1 gene:PAHAL_7G186700 transcript:PAN38646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIDHHAMVMMLELRRQAHRSPPMAACRLRLLRWRLARRQSGSPPVEGGGCRRLGGSGRSEACDPDADAAIDGGWWRGDGVSGSSGRDPKCGIGGYSANSRYVFYKSTTILDCDQ >PVH36017 pep chromosome:PHallii_v3.1:7:47293555:47295656:1 gene:PAHAL_7G340000 transcript:PVH36017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCSMRKSFKDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGSTTLSTHERKASIREFYAVIFPSLMQLPKGISDVDDRRQKAVCIERYRRTDEDESKRPVSEIDVEREEECGICMEMNSKVVLPSCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDCRDVVDMATVTRENIRRLFMYIDKLPLVTPENIFYAYDSHVK >PAN40864 pep chromosome:PHallii_v3.1:7:47293199:47296032:1 gene:PAHAL_7G340000 transcript:PAN40864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCSMRKSFKDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGSTTLSTHERKASIREFYAVIFPSLMQLPKGISDVDDRRQKAVCIERYRRTDEDESKRPVSEIDVEREEECGICMEMNSKVVLPSCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDCRDVVDMATVTRENIRRLFMYIDKLPLVTPENIFYAYDSHRMFLVLGCG >PAN39808 pep chromosome:PHallii_v3.1:7:42823406:42825668:-1 gene:PAHAL_7G269900 transcript:PAN39808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCARHPYEGGPGVCAPCLRGRLLALAAAQNEASSLPPLPLPPEPEPELLFPRSVSPYVCRRKSDASAPRGRPPGGLLFFRTPQVGPAYGGGGGGFEEGDIGFRRRRSGRFSVLAALFGHRSEDKDRDGGGKERRHRSSWLAGIVQRGRRRKEASTASPPRRSWRGVSERGLSPVRYAPGYADGDGDESASPAESPWLPSPSPLRKTPGRRRLGLGGPGGGVSGFAVCISPLVRPGLGRHLRGGHPTEAVSSELRPSPLHPLTSSASLHHCRSWKLADGGRFR >PAN38678 pep chromosome:PHallii_v3.1:7:37607360:37609571:1 gene:PAHAL_7G189000 transcript:PAN38678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSHTWPPPAPSPPPFSSRPRASPSPHRRRRRHSKKQHKPPPAQPPPTPSPAPQGADYSALPPELVHRALAASCASDVAAASRACRAWRDALRPLREAAALHAYGRRVKHGPVAGAAARGGGGGGRIEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRRVEAVEYYRSAAELGHPVGMCNLGVSYLEADPPKAEQAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEAAKWYLRAAEGGNVRAMYNICLCYSYGEGLAQDPVRAKRWLQLAADCGHKKALYECGIKLCACQENIHHLHATQWQPF >PAN38676 pep chromosome:PHallii_v3.1:7:37607147:37610386:1 gene:PAHAL_7G189000 transcript:PAN38676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSHTWPPPAPSPPPFSSRPRASPSPHRRRRRHSKKQHKPPPAQPPPTPSPAPQGADYSALPPELVHRALAASCASDVAAASRACRAWRDALRPLREAAALHAYGRRVKHGPVAGAAARGGGGGGRIEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRRVEAVEYYRSAAELGHPVGMCNLGVSYLEADPPKAEQAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEAAKWYLRAAEGGNVRAMYNICLCYSYGEGLAQDPVRAKRWLQLAADCGHKKALYECGIKLCAAGDKVKSLTYLELATRRGETAAAHMRDVILESLSAASTQRALSDADKWKPRALHPRSILRSVTLLQPYLRNLHKAVHYR >PVH35450 pep chromosome:PHallii_v3.1:7:37607147:37610386:1 gene:PAHAL_7G189000 transcript:PVH35450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSHTWPPPAPSPPPFSSRPRASPSPHRRRRRHSKKQHKPPPAQPPPTPSPAPQGADYSALPPELVHRALAASCASDVAAASRACRAWRDALRPLREAAALHAYGRRVKHGPVAGAAARGGGGGGRIEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRRVEAVEYYRSAAELGHPVGMCNLGVSYLEADPPKAEQAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEALW >PVH35451 pep chromosome:PHallii_v3.1:7:37607147:37610386:1 gene:PAHAL_7G189000 transcript:PVH35451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSHTWPPPAPSPPPFSSRPRASPSPHRRRRRHSKKQHKPPPAQPPPTPSPAPQGADYSALPPELVHRALAASCASDVAAASRACRAWRDALRPLREAAALHAYGRRVKHGPVAGAAARGGGGGGRIEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRRVEAVEYYRSAAELGHPVGMCNLGVSYLEADPPKAEQAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEALW >PAN38677 pep chromosome:PHallii_v3.1:7:37607147:37610386:1 gene:PAHAL_7G189000 transcript:PAN38677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSHTWPPPAPSPPPFSSRPRASPSPHRRRRRHSKKQHKPPPAQPPPTPSPAPQGADYSALPPELVHRALAASCASDVAAASRACRAWRDALRPLREAAALHAYGRRVKHGPVAGAAARGGGGGGRIEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRRVEAVEYYRSAAELGHPVGMCNLGVSYLEADPPKAEQAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEAAKWYLRAAEGGNVRAMYNICLCYSYGEGLAQDPVRAKRWLQLAADCGHKKALYECGIKLCAAGDKVKSLTYLELATRRGETAAAHMRDVILESLSAASTQRALSDADKWKPRALHPRR >PAN38675 pep chromosome:PHallii_v3.1:7:37607147:37610386:1 gene:PAHAL_7G189000 transcript:PAN38675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSHTWPPPAPSPPPFSSRPRASPSPHRRRRRHSKKQHKPPPAQPPPTPSPAPQGADYSALPPELVHRALAASCASDVAAASRACRAWRDALRPLREAAALHAYGRRVKHGPVAGAAARGGGGGGRIEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRRVEAVEYYRSAAELGHPVGMCNLGVSYLEADPPKAEQAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEAAKWYLRAAEGGNVRAMYNICLCYSYGEGLAQDPVRAKRWLQLAADCGHKKALYECGIKLCACQENIHHLHATQWQPF >PAN39155 pep chromosome:PHallii_v3.1:7:39021137:39027205:-1 gene:PAHAL_7G212200 transcript:PAN39155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRALLLGVALAFLLASGSQGLNHEGWLLLALKSQMVDTLHHLDSWDPRDPTPCAWRGVNCSSAPSPAVVSLDLNNMNLSGTVAPSIGGLAELTRLDLSFNGFYGPIPATIGNLSNLEVLNLFNNNLIGIIPPEVGKLAKLVTLNLCNNKLHGPIPDEIGNMTSLQDLVGYSNNLTGSLPHSLGKLKNLKNIRLGQNLISGNIPVEIGECLNITVFGLAQNNLEGPLPKEIGRLSLMTDLILWGNKLSGVIPPEIGNCTSLGTIALYDNNLVGSIPATIGNITNLQKLYLYRNSLNGTIPSDIGNLSLAQEIDFSENFLTGGIPKELGNIPGLNLLYLFQNQLTGPIPTELCGLRNLSKLDLSINSLTGPIPAGFQYMRNLIQLQLFNNKLTGNIPPRFGIYSRLWVVDFSNNSITGQIPKDLCRQSNLILLNLGSNMLTGNIPHGITNCKPLVQLRLGDNSLTGSFPTDLCNLVNLTTVELGRNKFSGPIPPQIGNCKSLQRLDLTNNYFTSELPREIGNLSKLVVFNISSNRLGGNIPLEIFNCTVLQRLDLSQNSFEGSLPNEVGRLPQLELLSFADNKLTGRIPPILGKLSHLTALQIGGNQLSGEIPKELGLLSSLQIALNLSYNNLSGNIPSELGNLALLESLFLNNNKLTGEIPTTFANLSSLLELNVSYNYLSGALPPIPLFDNMAVSCFVGNKGLCGGQLGRCGSQSSSSSQSSNSVSPPLGKIIAIVAAVIGGISLILIAIIVYHMRKPMETVAPLQDKQLFSAGSNMHVSAKDAYTFQELVTATNNFDESCVIGRGACGTVYRAILKAGQTIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYSFIYHQGSNLLLYEYMSRGSLGELLHGQSSSSLDWETRFMIALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPLEQGGDLVTWVKNYIRDNSLGPGILDKNLDLEDQSVVDHMIEVLKIALVCTSLSPYERPPMRHVVVMLSESKDRTRVSSASSPASDDSSKKDNS >PAN37492 pep chromosome:PHallii_v3.1:7:30916418:30916603:-1 gene:PAHAL_7G111100 transcript:PAN37492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSALLVLFLLQILSVLAAAARPLAGDDGSAGWLDDGLGAVLEIFRAAKSGPGPPSHCCK >PAN37446 pep chromosome:PHallii_v3.1:7:27160063:27161498:1 gene:PAHAL_7G093400 transcript:PAN37446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFTLFQIINVYNQEYESAAAVWPSVHGRIITALIISQLLLGLLSTKGAGQSTPVLLLLPVVTFYFHKYCKNRYEPTFVKCPLQEAMKKDTLERAREPGFDLKGYLMNAYIHPAFKGDDDDEKFSIADEPEAEQVLVATKRQSRRNTPVPSKYNGSDSPSLPEIVNDQRL >PAN38023 pep chromosome:PHallii_v3.1:7:34292209:34295382:-1 gene:PAHAL_7G140700 transcript:PAN38023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPATPPAGGTAASVSPPPPSSSLIFLGTGCSGALPDTRCLIRPGAPPCHVCSMGLSLPPDQNPNYRLNTSLLIEYYCHDDGTHMYILIDIGKTFREQVLRWFVHHKVPSVDSIILTHEHADAVLGLDEVWVVQPRNDRNKSEQIPIFLTQFTMDSVARRFPYLVEQKPEDGDEDAQAAKIDWKIIEEDVDKPFVASGLEFVPLPVMHGEGYICLGFLFGRRARVAYLSDVSRFLPKTEHAISKSGAGQLDLLILEANALHGVGDAFSTHLTLSESLDAIKRIRPKRALLIGMRHFFEHQRENQMLAEWSISEGIPVQLAHDGLRVSIDL >PVH35283 pep chromosome:PHallii_v3.1:7:34292209:34295318:-1 gene:PAHAL_7G140700 transcript:PVH35283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPATPPAGGTAASVSPPPPSSSLIFLGTGCSGALPDTRCLIRPGAPPCHVCSMGLSLPPDQNPNYRLNTSLLIEYYCHDDGTHMYILIDIGKTFREQVLRWFVHHKVPSVDSIILTHEHADAVLGLDEVWVVQPRNDRNKSEQIPIFLTQFTMDSVARRFPYLVEQKPEDGDEDAQAAKIDWKIIEEDVDKPFVASGLEFVPLPVMHGEGYICLGFLFGRRARVAYLSDVSRFLPKTEHAISKSGAGQLDLLILEANALHGVGDAFSTHLTLSESLDAIKRIRPKRALLIGMRHFFEHQRENQMLAEWSISEGIPVQLAHDGLRVSIDL >PVH35284 pep chromosome:PHallii_v3.1:7:34292566:34295318:-1 gene:PAHAL_7G140700 transcript:PVH35284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPATPPAGGTAASVSPPPPSSSLIFLGTGCSGALPDTRCLIRPGAPPCHVCSMGLSLPPDQNPNYRLNTSLLIEYYCHDDGTHMYILIDIGKTFREQVLRWFVHHKVPSVDSIILTHEHADAVLGLDEVWVVQPRNDRNKSEQIPIFLTQFTMDSVARRFPYLVEQKPEDGDEDAQAAKIDWKIIEEDVDKPFVASGLEFVPLPVMHGEGYICLGFLFGRRARVAYLSDVSRFLPKTEHAISKSGAGQLDLLILEANALHGVGDAFSTHLTLISRCYQEDSS >PAN39504 pep chromosome:PHallii_v3.1:7:41349042:41354329:1 gene:PAHAL_7G247800 transcript:PAN39504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLADSFLADLDELSDNEGYPEEDNAEAAGMEDDGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEENLEKTVEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNPLGGGTQSTYFSETGTFSKIRRTQ >PAN39503 pep chromosome:PHallii_v3.1:7:41349088:41354288:1 gene:PAHAL_7G247800 transcript:PAN39503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLADSFLADLDELSDNEGYPEEDNAEAAGMEDDGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEENLEKTVEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNPLGGGTQSTYFSETGTFSKIRRTQ >PAN39502 pep chromosome:PHallii_v3.1:7:41349088:41354288:1 gene:PAHAL_7G247800 transcript:PAN39502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLADSFLADLDELSDNEGYPEEDNAEAAGMEDDGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEENLEKTVEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNPLGGGTQSTYFSETGTFSKIRRTQ >PVH35421 pep chromosome:PHallii_v3.1:7:37054219:37055086:1 gene:PAHAL_7G178900 transcript:PVH35421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQMTTCFIFSVKINLSLFAVSTVGGNYEFLNCHAYTCTSVKKAKLPNKQI >PVH35740 pep chromosome:PHallii_v3.1:7:42211928:42216992:1 gene:PAHAL_7G259500 transcript:PVH35740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSHQHVMAGPATLDPRRAEAASKHVRALNNQFASWVQLQLQNHPAELWEDGIKDYLSHASEIMEKFKDVVNWLRQNQAGSKAVSSPSPQKDEKTNPPAADDSNLLVQPSSDNTQKAPVMVSSSSAFQSSSSPAPNLFSFPSKSQMPDFSGMSGDKKNTSGDSSKLAFQFGGNNVIFGDKKNTSGDSSKPPFQFGANNGIFGDKKNTPGDSSKPTFQFGVNNGFSASSAPSLFSTLAAQSFSSQSPPLFSMNQQSVLSGNQNASEASADADEDAEPEKPSSPSVKKAEEKGIVVVHEAKCKVYVKHDDATKGWKDIGVGQLSIRSKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVQKNTVASIFHTSDTQSDESSSGAVVARAYLFRLKNEEAATKLSTAIKDSAPSD >PAN39670 pep chromosome:PHallii_v3.1:7:42211928:42216992:1 gene:PAHAL_7G259500 transcript:PAN39670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRGAKRAANSEPGAPDLPSKRVMDGPSFDVHRAESSHQHVMAGPATLDPRRAEAASKHVRALNNQFASWVQLQLQNHPAELWEDGIKDYLSHASEIMEKFKDVVNWLRQNQAGSKAVSSPSPQKDEKTNPPAADDSNLLVQPSSDNTQKAPVMVSSSSAFQSSSSPAPNLFSFPSKSQMPDFSGMSGDKKNTSGDSSKLAFQFGGNNVIFGDKKNTSGDSSKPPFQFGANNGIFGDKKNTPGDSSKPTFQFGVNNGFSASSAPSLFSTLAAQSFSSQSPPLFSMNQQSVLSGNQNASEASADADEDAEPEKPSSPSVKKAEEKGIVVVHEAKCKVYVKHDDATKGWKDIGVGQLSIRSKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVQKNTVASIFHTSDTQSDESSSGAVVARAYLFRLKNEEAATKLSTAIKDSAPSD >PAN40490 pep chromosome:PHallii_v3.1:7:45975987:45976994:1 gene:PAHAL_7G319400 transcript:PAN40490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MSSSAGGGAASASASFEQHAKRRPPASSGPSQQQQHRKLLRLSVQDDDVAAGVVPPVTVVLDGRCICHRVHLNLHTGYRSLAGALRRLFVDTDDDLGEDGLDLANAIPGHVVAYEDMEDDLLLAGDLKWNDFVRVAKRIRIIPVKKTSRTKKCGGGGLDN >PAN40491 pep chromosome:PHallii_v3.1:7:45979447:45986245:1 gene:PAHAL_7G319500 transcript:PAN40491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEAAREWAASVIPPELAAAAGGDPLAALAATAAALVAGLLILAVWFRSGGGAQAKPAPTPVRPAPVKVDADADVDDGRKRVTIFFGTQTGTAEGFAKSMAEEARARYEKAVFKVVDLDDYAQEDEEYQEKLKKETVVLFFLATYGDGEPTDNAARFYKWFSEGKEKEVWLKDLKYGVFGLGNRQYEHFNKVAKVVDELLQEQGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTGASTPYTAAIPEYRVVFIDKSDLSFQDRSWSLANGTGVIDIHHPCRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENSVEAVEEAEKLLGLSPDTVFSIHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPAGKDEYSQWITASQRSLLEVMSAFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPLEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGTSILFFGCRNRNMDYIYEDELQNFLQEGALSELIVAFSREGPTKEYVQHKMVEKAPEIWNILSQGGYLYVCGDAKGMARDVHRMLHTIVQEQGSLDSSKTESYVKSLQMEGRYLRDVW >PVH35195 pep chromosome:PHallii_v3.1:7:32513833:32514045:1 gene:PAHAL_7G123600 transcript:PVH35195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWAPVVVGVALFVLLSPGLLIEFPGTRRWVDFGSLRVTGKAATIHTIIFFTLFAIITMACNLHIYSGA >PAN38217 pep chromosome:PHallii_v3.1:7:35492463:35493132:1 gene:PAHAL_7G155400 transcript:PAN38217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSPSPAARSAAAAASCCFSASSGGPFVVTRRPCFPADLGVGGTRQEPSSSSSLACSPRAGSTPEYAPSSPSRRAGSPEYTPLTPSSRGSAPPDYADYFDGGDRRYDLSSPPRYSPRAASPEYTPLSYADRAGSPDPERTPLSPSPQPAASPDYTPSSPSGRAASPEYSPATPEYTPESPSQRAAATTRTRGARPAAAGVTVPSSHCMDTRYVCRL >PVH34797 pep chromosome:PHallii_v3.1:7:8668860:8681225:1 gene:PAHAL_7G034200 transcript:PVH34797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRAGIYRLKLHIAGIKGQVRSCPNANPEDREKCKKAIEDSKNVKRSTILEQQEVRDAVSDDMMDIEDGSTGLDEILTSQPRAVGPMDKFTMPMDSSSLGSSRNLHQQKISEHIKKERLHKLKRYIARWMYVKGIPFNAINCDEFDQVLEAAGRFGPGAKKPYQHELREKLLHEEVEDTKKMLTDHAQEWARTGCSIMTDAWTDQKRRSIMNMCVNSCVGTSFIESKEVSAEAHTGQMIFELVDRFIEKVGEERIVQVVTDNASNNMAAKDLLYVKRPRLFWSSCATHSINLMLEAIGRMKKFKAILDSAKDLTIFIYAHHKTLSLMRKYTKKRDIIRPGVTRFASAYLTLQSLYDKKEQLRMMSQSEEWEKISHVKKSTKGVQATATLVKPMFWNGVNLCLRVFEPLVQVLRMVDGDIKPSMAWVYGDILKAKEEIRIGLGNVDKTGAALYKSIIQIVEEKMKKWLDCPVHMAAYCLNPYYSYSKPSIFDSEDVMDGFIAAIETFYHGDYDKQSQVLNDDFHKFKDKAGHFAKKVAKAGCKDYDFSPAKWWANYGTQVPTLQKFAIRILSLTSSASGCERNWSCFEGVHIPRWEDIKIFMFTSQKKIKEQADL >PVH34798 pep chromosome:PHallii_v3.1:7:8669448:8670789:1 gene:PAHAL_7G034200 transcript:PVH34798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKGIPFNAINCDEFDQVLEAAGRFGPGAKKPYQHELREKLLHEEVEDTKKMLTDHAQEWARTGCSIMTDAWTDQKRRSIMNMCVNSCVGTSFIESKEVSAEAHTGQMIFELVDRFIEKVGEERIVQVVTDNASNNMAAKDLLYVKRPRLFWSSCATHSINLMLEAIGRMKKFKAILDSAKDLTIFIYAHHKTLSLMRKYTKKRDIIRPGVTRFASAYLTLQSLYDKKEQLRMMSQSEEWEKISHVKKSTKGVQATATLVKPMFWNGVNLCLRVFEPLVQVLRMVDGDIKPSMAWVYGDILKAKEEIRIGLGNVDKTGAALYKSIIQIVEEKMKKWLDCPVHMAAYCLNPYYSYSKPSIFDSEDVMDGFIAAIETFYHGDYDKQSQVLNDDFHKFKDKAGHFAKKVAKAGCKDYDFSPGI >PVH34796 pep chromosome:PHallii_v3.1:7:8665495:8681071:1 gene:PAHAL_7G034200 transcript:PVH34796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGPCLAVTIVSRRRATGAVPGAAIFRAVRAAAGRVRGSARQRGGLRQRLRRLLGVCLPVNVPVAPSSSCTYPKMGGHQNLHVYFTKVLKPRVAAGWLLDFWNGWATEILVILSLTQQVILLFFSGIRRRQGRSSKRLLLWLAYQLADSTAMYALGNLSLSSTLRQHRLVAFWAPFLLLHLAGPDNITAYSFEDNKLWRRHLLTLVVQVLGAGYVVYKHITGSGILFSLGTTLMTTVAVVKFCEKTWALRCADFSIIRESLEAEDTEQQGKCDLYLEDEPPQGGFKGKVVDKEEFLMRRAHAVFRVCKSAMVDSSENPGTYVVGILTHLKKNEMGYMWTLTEMELSLMYDILYTKAPVLHTLPGYCIRVVSPLAVVASFLLFLFYGREGNRSTDITITYVLLGSAFLMEMTSLLSALWSTWTFSFLCATQWSGLRHSALCLERWHKLRRMVLSLRRLAHSTRIAGFFRLSRRWSGTMGQYNMLEMCTARPGRLARILGYSMPAVGVPNGLKDLVVVYIEHMIESGYVNTLGMVRDKWGTEALKRWRKHIAIEDNFLGAELQEGIIIWHIATDIFLANRHNNNPEDKQRVKEVQTLSNYMMFLLVKRPDMLPGLAQNKLYQWTKRSLDTQWNDIISNGLISDPGFQPSENLASALYEKELSGPFVQKFRLSLAIKLAKILVSSTNKPNNTLQLVYEVWADFLIYTANRCSRESHAKKLNSGGEFTTLVWLMTDHLHQVDVNRHVYAAPSE >PVH34862 pep chromosome:PHallii_v3.1:7:13404386:13418143:-1 gene:PAHAL_7G047800 transcript:PVH34862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWCLRRAGAALAASSAVAVALAASSEPSAAALDAARQRVAQPGVAPPPRDAQRAALAGSTPAEPLDVLVVGGGATGCGAALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAKNGHDCSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIKDESGQRIIGARIRDTLSGKEFETFAKVVINAAGPFCDSVRKMANSNVVPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAISDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAVRSGNLKPANGCVTDNLHIVGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSAVSKHLSHAYGTLAEQVASIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESTVDFVARRCRLAFLDTDAAGRALPRIVDILASEHKWDKARKKVELQKGREFLETFKSSKNAQFSDGKHSGR >PAN37285 pep chromosome:PHallii_v3.1:7:13404373:13418143:-1 gene:PAHAL_7G047800 transcript:PAN37285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWCLRRAGAALAASSAVAVALAASSEPSAAALDAARQRVAQPGVAPPPRDAQRAALAGSTPAEPLDVLVVGGGATGCGAALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAKNGHDCSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIKDESGQRIIGARIRDTLSGKEFETFAKVVINAAGPFCDSVRKMANSNVVPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAISDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAVRSGNLKPANGCVTDNLHIVGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSAVSKHLSHAYGTLAEQVASIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESTVDFVARRCRLAFLDTDAAGRALPRIVDILASEHKWDKARKKVELQKGREFLETFKSSKNAQFSDGKHSGR >PVH34997 pep chromosome:PHallii_v3.1:7:24313286:24334199:1 gene:PAHAL_7G082600 transcript:PVH34997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSAHSSVTACDTASSLPDCFRPSPNPMATPPSSPAPAPSEPATESPSPYPSTITDGAASGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRSSDPGARLELRFRPEDPYCHQAFGESRASTGLVLRLSRRKGDAAPRAEVVARVRTAYHFEGMADFQHVVPVHAAQVRKRKRSNSQNDNEHLDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMAEGFRGFTLDDSYAIGEGFSNGYLEEVLRSFPLQEDGQNRSADAPNADGSDGEFEIFEQHSDEESSDG >PVH34993 pep chromosome:PHallii_v3.1:7:24313286:24334199:1 gene:PAHAL_7G082600 transcript:PVH34993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSAHSSVTACDTASSLPDCFRPSPNPMATPPSSPAPAPSEPATESPSPYPSTITDGAASGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRSSDPGARLELRFRPEDPYCHQAFGESRASTGLVLRLSRRKGDAAPRAEVVARVRTAYHFEGMADFQHVVPVHAAQVRKRKRSNSQNDNEHLDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMMPSVKASPMDTSKKCCAAFHCRKMAKTDQLMPLMLMVVMGSLKFSNNTVTRSRPMVRLVFMGVDLPQLQFCKQYIRCM >PVH35001 pep chromosome:PHallii_v3.1:7:24313286:24334199:1 gene:PAHAL_7G082600 transcript:PVH35001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQHVVPVHAAQVRKRKRSNSQNDNEHLDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRISQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMAEGFRGFTLDDSYAIGEGFSNGYLEEVLRSFPLQEDGQNRSADAPNADGSDGEFEIFEQHSDEESSDG >PVH35000 pep chromosome:PHallii_v3.1:7:24313286:24334199:1 gene:PAHAL_7G082600 transcript:PVH35000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSAHSSVTACDTASSLPDCFRPSPNPMATPPSSPAPAPSEPATESPSPYPSTITDGAASGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRSSDPGARLELRFRPEDPYCHQAFGESRASTGLVLRLSRRKGDAAPRAEVVARVRTAYHFEGMADFQHVVPVHAAQVRKRKRSNSQNDNEHLDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMRVFVVSPWMIPMPSVKASPMDTSKKCCAAFHCRKMAKTDQLMPLMLMVVMGSLKFSNNTVTRSRPMVRLVFMGVDLPQLQFCKQYIRCM >PVH34999 pep chromosome:PHallii_v3.1:7:24316368:24334046:1 gene:PAHAL_7G082600 transcript:PVH34999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQHVVPVHAAQVRKRKRSNSQNDNEHLDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMRVFVVSPWMIPMPSVKASPMDTSKKCCAAFHCRKMAKTDQLMPLMLMVVMGSLKFSNNTVTRSRPMVRLVFMGVDLPQLQFCKQYIRCM >PVH34996 pep chromosome:PHallii_v3.1:7:24313286:24334199:1 gene:PAHAL_7G082600 transcript:PVH34996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSAHSSVTACDTASSLPDCFRPSPNPMATPPSSPAPAPSEPATESPSPYPSTITDGAASGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRSSDPGARLELRFRPEDPYCHQAFGESRASTGLVLRLSRRKGDAAPRAEVVARVRTAYHFEGMADFQHVVPVHAAQVRKRKRSNSQNDNEHLDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRISQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMAEGFRGFTLDDSYAIGEGFSNGYLEEVLRSFPLQEDGQNRSADAPNADGSDGEFEIFEQHSDEESSDG >PVH34994 pep chromosome:PHallii_v3.1:7:24313286:24334199:1 gene:PAHAL_7G082600 transcript:PVH34994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSAHSSVTACDTASSLPDCFRPSPNPMATPPSSPAPAPSEPATESPSPYPSTITDGAASGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRSSDPGARLELRFRPEDPYCHQAFGESRASTGLVLRLSRRKGDAAPRAEVVARVRTAYHFEGMADFQHVVPVHAAQVRKRKRSNSQNDNEHLDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSDGFLSQ >PVH35002 pep chromosome:PHallii_v3.1:7:24316368:24333979:1 gene:PAHAL_7G082600 transcript:PVH35002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQHVVPVHAAQVRKRKRSNSQNDNEHLDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMAEGFRGFTLDDSYAIGEGFSNGYLEEVLRSFPLQEDGQNRSADAPNADGSDGEFEIFEQHSDEESSDG >PVH34998 pep chromosome:PHallii_v3.1:7:24313286:24334199:1 gene:PAHAL_7G082600 transcript:PVH34998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSAHSSVTACDTASSLPDCFRPSPNPMATPPSSPAPAPSEPATESPSPYPSTITDGAASGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRSSDPGARLELRFRPEDPYCHQAFGESRASTGLVLRLSRRKGDAAPRAEVVARVRTAYHFEDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMAEGFRGFTLDDSYAIGEGFSNGYLEEVLRSFPLQEDGQNRSADAPNADGSDGEFEIFEQHSDEESSDG >PVH34995 pep chromosome:PHallii_v3.1:7:24313286:24334199:1 gene:PAHAL_7G082600 transcript:PVH34995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSAHSSVTACDTASSLPDCFRPSPNPMATPPSSPAPAPSEPATESPSPYPSTITDGAASGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRSSDPGARLELRFRPEDPYCHQAFGESRASTGLVLRLSRRKGDAAPRAEVVARVRTAYHFEDKTRHQETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKSMHRGVVQERWEMKVGPTLALPFNIQVVPGKINWEDHVRKNSVDWDWQMAVCKLFEERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEDKHVDEEAPATHTGTEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMRVFVVSPWMIPMPSVKASPMDTSKKCCAAFHCRKMAKTDQLMPLMLMVVMGSLKFSNNTVTRSRPMVRLVFMGVDLPQLQFCKQYIRCM >PAN39569 pep chromosome:PHallii_v3.1:7:41584188:41584865:1 gene:PAHAL_7G252400 transcript:PAN39569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLRRLLCCFGARRRQGRPRQCHVGHGGGGGSRDDDGATKKSFLRRAARFGRSAGVERLETPRPRRRRWPRPRGMNRVFARSPPWGTTARAVAVEPRPAITDNATAATAVQADGGAGNQEFSAEHAAAATIQAHFRGHLARQAFRALRSLVKLQAFARGAYVRKQASVAIRCMKVLVRLQVRVRSRQLLLLS >PAN37506 pep chromosome:PHallii_v3.1:7:30719208:30720006:-1 gene:PAHAL_7G110300 transcript:PAN37506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTVTTSTLLLISLLFVATAAAHAPARAAAVGGRRDIKDVAANNEVQSLGRFAVAEHNRRLRRSGGVATSSDPVTVLLSFRAVAAAQEQVVAGVAYYLKVVARDDRGGGGDRPFDAVVVVKAWLKSRELVSFTPSPK >PVH35197 pep chromosome:PHallii_v3.1:7:32532738:32533799:1 gene:PAHAL_7G123900 transcript:PVH35197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSSLPSLVTLTLDGCCNCTRFPTIAQLPSLKFLSVRKMYDVKRLTSNTHGTTKFPSLELLNLWEMYGLEELFEASEGDCPRLRKVCISRCPDLKRLPCAPSLTELVLHCGHQLPDIPELASLVSLKIEGFHGVKSFSLPASAALPMLKKLEIRSCKELSSVEGPSALTTVQRLKVAGCPKLVLPRADSLRS >PAN37428 pep chromosome:PHallii_v3.1:7:26956711:26962336:-1 gene:PAHAL_7G092300 transcript:PAN37428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLANMFAVLNLDAEDDREEAEEPASSKSEADAAPRKPEKSSQNKAMIVNYDGANLASSSSDYRMPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECLDDMNEWCQVHHVASGLAEQVLKSEISEHDAEKQVLDFIKRYIGSATPLIAGNSVYMDLLFLKKYMPQLAGIFSHVIVDVSSITALCSRWFPKEKKAAPRKEKNHRALDDIRESIKELQYYKENIFKSRRS >PAN38639 pep chromosome:PHallii_v3.1:7:37444910:37451796:-1 gene:PAHAL_7G186300 transcript:PAN38639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSEHPSGPSCSSKSGGPSVSADPATSAAEEPANQDPRDLVQPYPKFSIRDYVFASRSKGVKRSWPFHPNSLQLCLKRGVKDPLPPFEPPDLIRSQPLNTFIDVVQSAACSEAIASAGLVKTRDAGSSNEDTSDINFQSCQPVDESLGPSPYTSPEDGKSGIDQVGSTNESDHTDEALRIDLQDNSCTKASRQTEVAVPSWPLRNLDSSCGPSEKKGKFVVKLGTPTDIRRTEDIASNSSSVSDPMASKTCPVCRVFASTSNTTLNAHIDQCLSAESNTELVETVFVKPKVKPRKKRLIVDIYETSLPFTLEDLDRRNGTNWAVELGMSTASKEVCTENQSPEVVPFDRRDDEREGDVYVDSNGIKIRILSKCSDAPLVLRDDLGSRKVAKHETGKGMLMSKKMQKSKMLKNKKLKMHGKKYNKTNHLKSQVPAYPHDDINEETSEEERHVRNPSESTSNCGSGTMRQWACSKRSDIAKNYTRKFSDKVTSGAQKSDRSSMLGFNDSQNTESPAGVFSSESPEDMATTSEAIGVEQSNARLLRSIPTWISKTPLQSGIMPKVPRSAAALAKRKIKEIGRREANKLDNYDIVRNPIPAKRSEARCLSFSTAGPSNGPKRLVSTSKKIRKQRSLLRTGKRAFSPSTVHGFGQDHEPDTRHVNKKFRVSNNEGPKKFVKHTEEDTADNDFSFGSDMPELGQQDDQYDVTQETEGTQMYYEREEPETDLPYDSVSRSNPADCQISDGSLSPENNREAGDVFVEGYNVAVEDPSSSEQLAHHGHEFNSAINNEAEEWQIDPTSTKESSACFTNNRDMGPGAPQDNSSITSNREYSNQEHGLPLGRDLLDSPISTASTMSASAALKDSRINESEPGLSTSRTVEERTTGSLNQETKSIPLAREGEQLPNEKPCCCSCRENISRESHQSAVVRPPMLNFAGKQVPQLHIGLRASSSFSTYQRTTTKPNPCLDTHDHPLAAKVSAKSAMNLPSYTTDCMGSSLQNQLPSPSNPILRLMGKNLMVMNNEESVHPQPPSSDYVLRGNYVAPVGFVPPNYQHLSNSAFINTPPTTASHQFPLPSVQAGSFVGPPLHGGSVMQSDNHAQQKAYRNIVPVIHHPTYMMKEVIVIDDSPERRSEPQVSMLLPPAPSPKTMSVPNTMPPRPFYCLPSQSPILPRERAVGSMPVYANVGPMVGVGSSGQGSQTEVANPYMQNPFFVQSPTGYMNPPVYYPQNLR >PAN38640 pep chromosome:PHallii_v3.1:7:37444910:37451780:-1 gene:PAHAL_7G186300 transcript:PAN38640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSEHPSGPSCSSKSGGPSVSADPATSAAEEPANQDPRDLVQPYPKFSIRDYVFASRSKGVKRSWPFHPNSLQLCLKRGVKDPLPPFEPPDLIRSQPLNTFIDVVQSAACSEAIASAGLVKTRDAGSSNEDTSDINFQSCQPVDESLGPSPYTSPEDGKSGIDQVGSTNESDHTDEALRIDLQDNSCTKASRQTEVAVPSWPLRNLDSSCGPSEKKGKFVVKLGTPTDIRRTEDIASNSSSVSDPMASKTCPVCRVFASTSNTTLNAHIDQCLSAESNTELVETVFVKPKVKPRKKRLIVDIYETSLPFTLEDLDRRNGTNWAVELGMSTASKEVCTENQSPEVVPFDRRDDEREGDVYVDSNGIKIRILSKCSDAPLVLRDDLGSRKVAKHETGKGMLMSKKMQKSKMLKNKKLKMHGKKYNKTNHLKSQVPAYPHDDINEETSEEERHVRNPSESTSNCGSGTMRQWACSKRSDIAKNYTRKFSDKVTSGAQKSDRSSMLGFNDSQNTESPAGVFSSESPEDMATTSEAIGVEQSNARLLRSIPTWISKTPLQSGIMPKVPRSAAALAKRKIKEIGRREANKLDNYDIVRNPIPAKRSEARCLSFSTAGPSNGPKRLVSTSKKIRKQRSLLRTGKRAFSPSTVHGFGQDHEPDTRHVNKKFRVSNNEGPKKFVKHTEEDTADNDFSFGSDMPELGQQDDQYDVTQETEGTQMYYEREEPETDLPYDSVSRSNPADCQISDGSLSPENNREAGDVFVEGYNVAVEDPSSSEQLAHHGHEFNSAINNEAEEWQIDPTSTKESSACFTNNRDMGPGAPQDNSSITSNREYSNQEHGLPLGRDLLDSPISTASTMSASAALKDSRINESEPGLSTSRTVEERTTGSLNQETKSIPLAREGEQLPNEKPCCCSCRENISRESHQSAVVRPPMLNFAGKQVPQLHIGLRASSSFSTYQRTTTKPNPCLDTHDHPLAAKVSAKSAMNLPSYTTDCMGSSLQNQLPSPSNPILRLMGKNLMVMNNEESVHPQPPSSDYVLRGNYVAPVGFVPPNYQHLSNSAFINTPPTTASHQFPLPSVQAGSFVGPPLHGGSVMQSDNHAQQKAYRNIVPVIHHPTYMMKEVIVIDDSPERRSEPQVSMLLPPAPSPKTMSVPNTMPPRPFYCLPSQSPILPRERAVGSMPVYANVGPMVGVGSSGQGSQTEVANPYMQNPFFVQSPTGYMNPPVYYPQNLR >PVH34959 pep chromosome:PHallii_v3.1:7:22628337:22629772:-1 gene:PAHAL_7G076000 transcript:PVH34959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPSVNMVEGYVRSARRQLDFALGINMVGPVLRRHARNEEADLCDRPQKGEKGYIMEEQVRHVRNQRPASSDLLKKYEYQYQQRLQREMEEEEYERHTGKSLKKRGDTRDHWHCPFFKYCWDSGMSRLPTVEDCPECKSWKCDAEGVSVFQCLGPVPPQHDKLQRLRSLEEAEARYLETLGKAHPDLADKVHYTQKRESGPPKKEWRPKPTRADAKTSADAHMVFVLLAEFHAQGREELPKPRVKNYKYLKALYLKGYINGQPVNKMLVDTGAAVNIMLYSVLRQLGRSTGDLIQTNVTLSDFNDQTSEAQGVLSVDLTVGSKTVPSSFFIINNKSTYSVLLGRNWIHANCCIPSTMHQCLIQWDGDEVEVVQADDWIEISHATMSIWDVEDLELILGISLEGCDRVEATKNGVRLVLSTGLTE >PAN37295 pep chromosome:PHallii_v3.1:7:6644106:6669545:1 gene:PAHAL_7G028700 transcript:PAN37295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGYIEPVLVILHEQEPTWAGRISSKSQTCMISAFSISMSLKQHPMIWSFAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPETLKTSFHVELDAAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASILSSGATTLGSSFFFLGSRLADSLLVQFSFGVPTSVLPDLTDECADIEGDPPFAKRIKRVPSDVLQDVNSVEELSFHNNSVPNSLDSAQKISFVVRDALINIGPLKDFAYGLRTNSDPNAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGITTEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFTMHSSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLVGDHSNCTISVNAPAVFASSSERISSCTLYRDRGSEPWLRRARTDAWLSTGIGEATDGNDGSSHDQSDIYCIICFESGKLEIFEVPSFKCVFSVEDFVSGLAILSDDLSHTKDAVIGVPDATTVSVKKEEANNIKIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYGGLESNAQCAPLSPNGSSDLDNASDSRLRSLRFRRVSIDVSSRDDISSFTRPRITIFNNVGGYEGLFLGGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCRGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELEKPSGRWETRFTIPMQPFENALTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFSKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFILFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDSFATEFLIDGSTLSLVVSDSDKNLQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKLLRIQMLPTQGLVSEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHLCGLNPRSFRHFKSNGKAHRPGPDNIVDFELLSRYEELSLGEQLDIAQQVGTTRSQILSNFSDFSLGTSFL >PVH34782 pep chromosome:PHallii_v3.1:7:6640384:6669562:1 gene:PAHAL_7G028700 transcript:PVH34782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAYKMMHWPTGIDHCAAGFITHSPAEAAAFSSLGPTISGPEGDIDSAAARAPQRVGPTPNLVVVAANVLEVYAVRTDTVMGAEDGGTSSSAGAILDGISGARLELVCYYRLHGNIESMALLSDGTENTRDSITLAFKDAKIACLEFDDSINGLRTSSMHCFEGPDWLHLKRGRESFACGPVIKADPQGRCGAALFYGLQMIILKAAQVGQSLVGEDEPTRMLSSAAVRIDSSYVIDLRLLEMNHIKDFTFVHGYIEPVLVILHEQEPTWAGRISSKSQTCMISAFSISMSLKQHPMIWSFAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPETLKTSFHVELDAAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASILSSGATTLGSSFFFLGSRLADSLLVQFSFGVPTSVLPDLTDECADIEGDPPFAKRIKRVPSDVLQDVNSVEELSFHNNSVPNSLDSAQKISFVVRDALINIGPLKDFAYGLRTNSDPNAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGITTEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFTMHSSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLVGDHSNCTISVNAPAVFASSSERISSCTLYRDRGSEPWLRRARTDAWLSTGIGEATDGNDGSSHDQSDIYCIICFESGKLEIFEVPSFKCVFSVEDFVSGLAILSDDLSHTKDAVIGVPDATTVSVKKEEANNIKIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYGGLESNAQCAPLSPNGSSDLDNASDSRLRSLRFRRVSIDVSSRDDISSFTRPRITIFNNVGGYEGLFLGGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCRGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELEKPSGRWETRFTIPMQPFENALTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFSKSENSQNLFQVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFILFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDSFATEFLIDGSTLSLVVSDSDKNLQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKLLRIQMLPTQGLVSEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHLCGLNPRSFRHFKSNGKAHRPGPDNIVDFELLSRYEELSLGEQLDIAQQVGTTRSQILSNFSDFSLGTSFL >PVH34780 pep chromosome:PHallii_v3.1:7:6640384:6669545:1 gene:PAHAL_7G028700 transcript:PVH34780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAYKMMHWPTGIDHCAAGFITHSPAEAAAFSSLGPTISGPEGDIDSAAARAPQRVGPTPNLVVVAANVLEVYAVRTDTVMGAEDGGTSSSAGAILDGISGARLELVCYYRLHGNIESMALLSDGTENTRDSITLAFKDAKIACLEFDDSINGLRTSSMHCFEGPDWLHLKRGRESFACGPVIKADPQGRCGAALFYGLQMIILKAAQVGQSLVGEDEPTRMLSSAAVRIDSSYVIDLRLLEMNHIKDFTFVHGYIEPVLVILHEQEPTWAGRISSKSQTCMISAFSISMSLKQHPMIWSFAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPETLKTSFHVELDAAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASILSSGATTLGSSFFFLGSRLADSLLVQFSFGVPTSVLPDLTDECADIEGDPPFAKRIKRVPSDVLQDVNSVEELSFHNNSVPNSLDSAQKISFVVRDALINIGPLKDFAYGLRTNSDPNAAGIAKQSNYELYWLFQVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGITTEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFTMHSSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLVGDHSNCTISVNAPAVFASSSERISSCTLYRDRGSEPWLRRARTDAWLSTGIGEATDGNDGSSHDQSDIYCIICFESGKLEIFEVPSFKCVFSVEDFVSGLAILSDDLSHTKDAVIGVPDATTVSVKKEEANNIKIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYGGLESNAQCAPLSPNGSSDLDNASDSRLRSLRFRRVSIDVSSRDDISSFTRPRITIFNNVGGYEGLFLGGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCRGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELEKPSGRWETRFTIPMQPFENALTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFSKSENSQNLFQVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFILFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDSFATEFLIDGSTLSLVVSDSDKNLQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKLLRIQMLPTQGLVSEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHLCGLNPRSFRHFKSNGKAHRPGPDNIVDFELLSRYEELSLGEQLDIAQQVGTTRSQILSNFSDFSLGTSFL >PVH34779 pep chromosome:PHallii_v3.1:7:6640368:6669546:1 gene:PAHAL_7G028700 transcript:PVH34779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAYKMMHWPTGIDHCAAGFITHSPAEAAAFSSLGPTISGPEGDIDSAAARAPQRVGPTPNLVVVAANVLEVYAVRTDTVMGAEDGGTSSSAGAILDGISGARLELVCYYRLHGNIESMALLSDGTENTRDSITLAFKDAKIACLEFDDSINGLRTSSMHCFEGPDWLHLKRGRESFACGPVIKADPQGRCGAALFYGLQMIILKAAQVGQSLVGEDEPTRMLSSAAVRIDSSYVIDLRLLEMNHIKDFTFVHGYIEPVLVILHEQEPTWAGRISSKSQTCMISAFSISMSLKQHPMIWSFAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPETLKTSFHVELDAAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASILSSGATTLGSSFFFLGSRLADSLLVQFSFGVPTSVLPDLTDECADIEGDPPFAKRIKRVPSDVLQDVNSVEELSFHNNSVPNSLDSAQKISFVVRDALINIGPLKDFAYGLRTNSDPNAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGITTEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFTMHSSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLVGDHSNCTISVNAPAVFASSSERISSCTLYRDRGSEPWLRRARTDAWLSTGIGEATDGNDGSSHDQSDIYCIICFESGKLEIFEVPSFKCVFSVEDFVSGLAILSDDLSHTKDAVIGVPDATTVSVKKEEANNIKIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYGGLESNAQCAPLSPNGSSDLDNASDSRLRSLRFRRVSIDVSSRDDISSFTRPRITIFNNVGGYEGLFLGGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCRGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELEKPSGRWETRFTIPMQPFENALTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFSKSENSQNLFQVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFILFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDSFATEFLIDGSTLSLVVSDSDKNLQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKLLRIQMLPTQGLVSEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHLCGLNPRSFRHFKSNGKAHRPGPDNIVDFELLSRYEELSLGEQLDIAQQVGTTRSQILSNFSDFSLGTSFL >PAN37296 pep chromosome:PHallii_v3.1:7:6640384:6669569:1 gene:PAHAL_7G028700 transcript:PAN37296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAYKMMHWPTGIDHCAAGFITHSPAEAAAFSSLGPTISGPEGDIDSAAARAPQRVGPTPNLVVVAANVLEVYAVRTDTVMGAEDGGTSSSAGAILDGISGARLELVCYYRLHGNIESMALLSDGTENTRDSITLAFKDAKIACLEFDDSINGLRTSSMHCFEGPDWLHLKRGRESFACGPVIKADPQGRCGAALFYGLQMIILKAAQVGQSLVGEDEPTRMLSSAAVRIDSSYVIDLRLLEMNHIKDFTFVHGYIEPVLVILHEQEPTWAGRISSKSQTCMISAFSISMSLKQHPMIWSFAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPETLKTSFHVELDAAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASILSSGATTLGSSFFFLGSRLADSLLVQFSFGVPTSVLPDLTDECADIEGDPPFAKRIKRVPSDVLQDVNSVEELSFHNNSVPNSLDSAQKISFVVRDALINIGPLKDFAYGLRTNSDPNAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGITTEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFTMHSSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLVGDHSNCTISVNAPAVFASSSERISSCTLYRDRGSEPWLRRARTDAWLSTGIGEATDGNDGSSHDQSDIYCIICFESGKLEIFEVPSFKCVFSVEDFVSGLAILSDDLSHTKDAVIGVPDATTVSVKKEEANNIKIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYGGLESNAQCAPLSPNGSSDLDNASDSRLRSLRFRRVSIDVSSRDDISSFTRPRITIFNNVGGYEGLFLGGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCRGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELEKPSGRWETRFTIPMQPFENALTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFSKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFILFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDSFATEFLIDGSTLSLVVSDSDKNLQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKLLRIQMLPTQGLVSEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHLCGLNPRSFRHFKSNGKAHRPGPDNIVDFELLSRYEELSLGEQLDIAQQVGTTRSQILSNFSDFSLGTSFL >PVH34781 pep chromosome:PHallii_v3.1:7:6640384:6669545:1 gene:PAHAL_7G028700 transcript:PVH34781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAYKMMHWPTGIDHCAAGFITHSPAEAAAFSSLGPTISGPEGDIDSAAARAPQRVGPTPNLVVVAANVLEVYAVRTDTVMGAEDGGTSSSAGAILDGISGARLELVCYYRLHGNIESMALLSDGTENTRDSITLAFKDAKIACLEFDDSINGLRTSSMHCFEGPDWLHLKRGRESFACGPVIKADPQGRCGAALFYGLQMIILKAAQVGQSLVGEDEPTRMLSSAAVRIDSSYVIDLRLLEMNHIKDFTFVHGYIEPVLVILHEQEPTWAGRISSKSQTCMISAFSISMSLKQHPMIWSFAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPETLKTSFHVELDAAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASILSSGATTLGSSFFFLGSRLADSLLVQFSFGVPTSVLPDLTDECADIEGDPPFAKRIKRVPSDVLQDVNSVEELSFHNNSVPNSLDSAQKISFVVRDALINIGPLKDFAYGLRTNSDPNAAGIAKQSNYELYWLFQVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGITTEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFTMHSSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLVGDHSNCTISVNAPAVFASSSERISSCTLYRDRGSEPWLRRARTDAWLSTGIGEATDGNDGSSHDQSDIYCIICFESGKLEIFEVPSFKCVFSVEDFVSGLAILSDDLSHTKDAVIGVPDATTVSVKKEEANNIKIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYGGLESNAQCAPLSPNGSSDLDNASDSRLRSLRFRRVSIDVSSRDDISSFTRPRITIFNNVGGYEGLFLGGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCRGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELEKPSGRWETRFTIPMQPFENALTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFSKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFILFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDSFATEFLIDGSTLSLVVSDSDKNLQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKLLRIQMLPTQGLVSEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHLCGLNPRSFRHFKSNGKAHRPGPDNIVDFELLSRYEELSLGEQLDIAQQVGTTRSQILSNFSDFSLGTSFL >PAN37294 pep chromosome:PHallii_v3.1:7:6640368:6669569:1 gene:PAHAL_7G028700 transcript:PAN37294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAYKMMHWPTGIDHCAAGFITHSPAEAAAFSSLGPTISGPEGDIDSAAARAPQRVGPTPNLVVVAANVLEVYAVRTDTVMGAEDGGTSSSAGAILDGISGARLELVCYYRLHGNIESMALLSDGTENTRDSITLAFKDAKIACLEFDDSINGLRTSSMHCFEGPDWLHLKRGRESFACGPVIKADPQGRCGAALFYGLQMIILKAAQVGQSLVGEDEPTRMLSSAAVRIDSSYVIDLRLLEMNHIKDFTFVHGYIEPVLVILHEQEPTWAGRISSKSQTCMISAFSISMSLKQHPMIWSFAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPETLKTSFHVELDAAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASILSSGATTLGSSFFFLGSRLADSLLVQFSFGVPTSVLPDLTDECADIEGDPPFAKRIKRVPSDVLQDVNSVEELSFHNNSVPNSLDSAQKISFVVRDALINIGPLKDFAYGLRTNSDPNAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGITTEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFTMHSSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLVGDHSNCTISVNAPAVFASSSERISSCTLYRDRGSEPWLRRARTDAWLSTGIGEATDGNDGSSHDQSDIYCIICFESGKLEIFEVPSFKCVFSVEDFVSGLAILSDDLSHTKDAVIGVPDATTVSVKKEEANNIKIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYGGLESNAQCAPLSPNGSSDLDNASDSRLRSLRFRRVSIDVSSRDDISSFTRPRITIFNNVGGYEGLFLGGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCRGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELEKPSGRWETRFTIPMQPFENALTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFSKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFILFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDSFATEFLIDGSTLSLVVSDSDKNLQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKLLRIQMLPTQGLVSEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHLCGLNPRSFRHFKSNGKAHRPGPDNIVDFELLSRYEELSLGEQLDIAQQVGTTRSQILSNFSDFSLGTSFL >PAN37216 pep chromosome:PHallii_v3.1:7:20768262:20768765:1 gene:PAHAL_7G069000 transcript:PAN37216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP11 [Source:Projected from Arabidopsis thaliana (AT2G37000) UniProtKB/Swiss-Prot;Acc:Q9SJK7] MSSSSELVLYNLVPAPQTLNPPQPHAAAAVSGDLSISTAVAPPPQEPLRVRARHPVGSSADRHAKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPEEAPPAHPVAATASLMPVPYYTALLMQPPPTADSASGSGIAAQENNN >PVH35807 pep chromosome:PHallii_v3.1:7:43763389:43766012:-1 gene:PAHAL_7G283900 transcript:PVH35807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLVQILLAVHGNRYLIACYLVYWVKELSSLALDDEINRRTEETSQLESVVRERTAQMAALVGELELLQKVNVADDESVMKANTNVDVLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSHKLEHAENINVEQRKKIQDLGGRLQIAQFAQDKLSDLEKEAKLNAEELAKVHGMWLPHWLAARVVRCQEVASAKWQAHGKPVLGPLMQKVAEKSTYAQRLMEPHLQKAQNKWVPIAKKHLTSLRNTTTVYTSAVYRVCRDAIQPCTVKAREFAGHYWQECKAFSQPYISCIVALSEPHLSRANVALEPYMEPVTSGCRSLASLACEYHHQVQNGVEGFLEDTRLLTPLPADKLAWLTASALFALPVLSIYKILSATIRKKNQAKKRQRQQPQEQAQSRQVE >PAN39992 pep chromosome:PHallii_v3.1:7:43763389:43766410:-1 gene:PAHAL_7G283900 transcript:PAN39992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRKAAIAATILCLCFVRAQCDAAASVGPSPSPEQEQEIQMLRSKVASLDDEINRRTEETSQLESVVRERTAQMAALVGELELLQKVNVADDESVMKANTNVDVLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSHKLEHAENINVEQRKKIQDLGGRLQIAQDKLSDLEKEAKLNAEELAKVHGMWLPHWLAARVVRCQEVASAKWQAHGKPVLGPLMQKVAEKSTYAQRLMEPHLQKAQNKWVPIAKKHLTSLRNTTTVYTSAVYRVCRDAIQPCTVKAREFAGHYWQECKAFSQPYISCIVALSEPHLSRANVALEPYMEPVTSGCRSLASLACEYHHQVQNGVEGFLEDTRLLTPLPADKLAWLTASALFALPVLSIYKILSATIRPFLGVLFCSKKNQAKKRQRQQPQEQAQSRQVE >PVH35806 pep chromosome:PHallii_v3.1:7:43763376:43766561:-1 gene:PAHAL_7G283900 transcript:PVH35806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRKAAIAATILCLCFVRAQCDAAASVGPSPSPEQEQEIQMLRSKVASLDDEINRRTEETSQLESVVRERTAQMAALVGELELLQKVNVADDESVMKANTNVDVLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSHKLEHAENINVEQRKKIQDLGGRLQIAQFAQDKLSDLEKEAKLNAEELAKVHGMWLPHWLAARVVRCQEVASAKWQAHGKPVLGPLMQKVAEKSTYAQRLMEPHLQKAQNKWVPIAKKHLTSLRNTTTVYTSAVYRVCRDAIQPCTVKAREFAGHYWQECKAFSQPYISCIVALSEPHLSRANVALEPYMEPVTSGCRSLASLACEYHHQVQNGVEGFLEDTRLLTPLPADKLAWLTASALFALPVLSIYKILSATIRKKNQAKKRQRQQPQEQAQSRQVE >PVH35808 pep chromosome:PHallii_v3.1:7:43763376:43766561:-1 gene:PAHAL_7G283900 transcript:PVH35808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLVQILLAVHGNRYLIACYLVYWVKELSSLALDDEINRRTEETSQLESVVRERTAQMAALVGELELLQKVNVADDESVMKANTNVDVLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSHKLEHAENINVEQRKKIQDLGGRLQIAQDKLSDLEKEAKLNAEELAKVHGMWLPHWLAARVVRCQEVASAKWQAHGKPVLGPLMQKVAEKSTYAQRLMEPHLQKAQNKWVPIAKKHLTSLRNTTTVYTSAVYRVCRDAIQPCTVKAREFAGHYWQECKAFSQPYISCIVALSEPHLSRANVALEPYMEPVTSGCRSLASLACEYHHQVQNGVEGFLEDTRLLTPLPADKLAWLTASALFALPVLSIYKILSATIRKKNQAKKRQRQQPQEQAQSRQVE >PAN39989 pep chromosome:PHallii_v3.1:7:43763389:43766012:-1 gene:PAHAL_7G283900 transcript:PAN39989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLVQILLAVHGNRYLIACYLVYWVKELSSLALDDEINRRTEETSQLESVVRERTAQMAALVGELELLQKVNVADDESVMKANTNVDVLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSHKLEHAENINVEQRKKIQDLGGRLQIAQDKLSDLEKEAKLNAEELAKVHGMWLPHWLAARVVRCQEVASAKWQAHGKPVLGPLMQKVAEKSTYAQRLMEPHLQKAQNKWVPIAKKHLTSLRNTTTVYTSAVYRVCRDAIQPCTVKAREFAGHYWQECKAFSQPYISCIVALSEPHLSRANVALEPYMEPVTSGCRSLASLACEYHHQVQNGVEGFLEDTRLLTPLPADKLAWLTASALFALPVLSIYKILSATIRPFLGVLFCSKKNQAKKRQRQQPQEQAQSRQVE >PVH35805 pep chromosome:PHallii_v3.1:7:43763376:43766561:-1 gene:PAHAL_7G283900 transcript:PVH35805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRKAAIAATILCLCFVRAQCDAAASVGPSPSPEQEQEIQMLRSKVASLDDEINRRTEETSQLESVVRERTAQMAALVGELELLQKVNVADDESVMKANTNVDVLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSHKLEHAENINVEQRKKIQDLGGRLQIAQDKLSDLEKEAKLNAEELAKVHGMWLPHWLAARVVRCQEVASAKWQAHGKPVLGPLMQKVAEKSTYAQRLMEPHLQKAQNKWVPIAKKHLTSLRNTTTVYTSAVYRVCRDAIQPCTVKAREFAGHYWQECKAFSQPYISCIVALSEPHLSRANVALEPYMEPVTSGCRSLASLACEYHHQVQNGVEGFLEDTRLLTPLPADKLAWLTASALFALPVLSIYKILSATIRKKNQAKKRQRQQPQEQAQSRQVE >PAN39990 pep chromosome:PHallii_v3.1:7:43763389:43766012:-1 gene:PAHAL_7G283900 transcript:PAN39990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLVQILLAVHGNRYLIACYLVYWVKELSSLALDDEINRRTEETSQLESVVRERTAQMAALVGELELLQKVNVADDESVMKANTNVDVLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSHKLEHAENINVEQRKKIQDLGGRLQIAQFAQDKLSDLEKEAKLNAEELAKVHGMWLPHWLAARVVRCQEVASAKWQAHGKPVLGPLMQKVAEKSTYAQRLMEPHLQKAQNKWVPIAKKHLTSLRNTTTVYTSAVYRVCRDAIQPCTVKAREFAGHYWQECKAFSQPYISCIVALSEPHLSRANVALEPYMEPVTSGCRSLASLACEYHHQVQNGVEGFLEDTRLLTPLPADKLAWLTASALFALPVLSIYKILSATIRPFLGVLFCSKKNQAKKRQRQQPQEQAQSRQVE >PAN39988 pep chromosome:PHallii_v3.1:7:43763389:43766410:-1 gene:PAHAL_7G283900 transcript:PAN39988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRKAAIAATILCLCFVRAQCDAAASVGPSPSPEQEQEIQMLRSKVASLDDEINRRTEETSQLESVVRERTAQMAALVGELELLQKVNVADDESVMKANTNVDVLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSHKLEHAENINVEQRKKIQDLGGRLQIAQFAQDKLSDLEKEAKLNAEELAKVHGMWLPHWLAARVVRCQEVASAKWQAHGKPVLGPLMQKVAEKSTYAQRLMEPHLQKAQNKWVPIAKKHLTSLRNTTTVYTSAVYRVCRDAIQPCTVKAREFAGHYWQECKAFSQPYISCIVALSEPHLSRANVALEPYMEPVTSGCRSLASLACEYHHQVQNGVEGFLEDTRLLTPLPADKLAWLTASALFALPVLSIYKILSATIRPFLGVLFCSKKNQAKKRQRQQPQEQAQSRQVE >PAN38458 pep chromosome:PHallii_v3.1:7:36720244:36731548:1 gene:PAHAL_7G173500 transcript:PAN38458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAEDALYEIRRHASGSHVIPHEGYQGAATSSGGSDAGGGVLSYLSLQGVSKLRERWTRYSALGRSRQRKRADGVALFVSPNAEYVSVTVGNRIIILRKRDGYASPCGVYTNNDRITFFTNGAWLEAQGIFGVVDDLSTLYLIKENGDLLARRTCDQLKLSSSIIDLVVQDGSSLLRPGFYIFTSDCMVHRFDYTQGPEASLCEVPISTKDVMSARTMQLPRSLSCIDYDEHHSLFVLAADSNVSFSSNSYSGTYFLHLLHVDGNLELSLSFKSLQLEGVFSPLKDQKTFVSSPKIRISPQGKHIATLDLVGSVNLFALDGDKHTFSLHTLGNCRHLIDVKDISWWTDNVLMLVRADGSISMYSITENDVVSKDAVLSTPLLEKAKATEGHVFILQSSRYERNTPANKQMDSDLEPNQPSGSGEHQQTEMDRMFWSLISFSKVTVTEMYSVMIRESRFKEALDFASRYNLDKDEVLKARWLHSDGDTHEIDSYLAKIKDQAFVLSECVNKVGPTEAALRALLSFGLRITDHYKFSGLDNSREGSTWDSRIIRLRLLRHRDMLETFLGINMGRYSAEEYSKFRSMPLVETAIALAESGKIGALNLIFKRHPYTISSKILRVLSAIPETVAVQTYSQLLPGKSPPSVVILRDGDWVECEQMVSYISNCPTQLDKIGEIKTEILVKLSTGFSWPSVAELCDWYKNRARDIDCLSGQLENCLATIELACQKGIVELQPFFDDIKCLYQVVYSNELNEFIMNLVTWEDLPGYEKFKIILKGVKEDTVVQRLEENAIPFMKKRFHLISSSHEHKQEESYLVRWLKEVAAEHDLSICLAVVENGCGESPIYGLFKDLAEMIETAVHCIYMCNATNQWNTMSSILSKLLHKTKREKSLLASEEECNLKDAKQALGSSVVSYDEMQHMCADILSALGNGPDDFYHYDSVPYELNNVKYLDMLEKRLKVAEGHVEVGRLFAYYQVPKPTHFFLSAHLDEKNVKQLIRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVIQAAREYFFSASTLSGNEIWKARECLNLLPNSKNVQAETDIIDALTVRLPYLGVTILPVQFRQVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEVAAVEEAIAREAVVNGDLQLAFDICLNLTKKSHGAVWDLCAAIARGPPLDNLDSATREKLLGFSLSHCDEESVGELLNAWKELDVHGKFEKLMITTGTNPPNVLIDGCSITSLPVQSVQDILDLRDDGGHDRHKDHVEIVKEMLSKVCLNLSNGDAHTWESILVDNRKFLSFAVLELPWLLKLSNNELQDGENQTSRTDHTSRRYRFSTKVEAAISIIYWLAVNGLAPNDNLIMILAKSIMEPPVDEEFDVLGCSVLLNLMDPFNGVKIVEEELKRRECYQEISSIMSIGMLYSSLNNSKKECSTPEQRRNLLLHKFHEKFTSDNKDDLDQIDIANTTFWREWKSKLEEEKQLADQARMLKQILPDIDTSLFLSGDADYIKRVVFSFVDSVKLEKKHILKEAVKIAETYGLQRTEVLLRFLACSLVSEYWDNNDILNEISEFREDIVRSAKGVIDMIYSDVYPEIDGYNKQRLSYIYGILSACHSYLKRTGEIELRYPEHVHTHKLEPFQYYKVLEEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIRASTITALADMVQALVSMYVDVLAKGLISRQGVYKHYVLGLLASLEGRSEARSNCTDSEKLQAVLCEIELNYDSCREYIQALPATDISYIVGRYCTLCFPSNLARSHPQEPSWKKPLATLLTFWSKLVDDIPGESIDASSYEMTNYLNSNRLSLCMGAFRQLLINDEITVHQGWDAISMYVKDCLKSGMMMEISCFCRAMILSGCNFEAVVEVYYGGQGQLESESADQINSLDLLELYNTAIEECLSDMIEGSCEYRILFHQLLSSLSQSTGKHTGIQEMVRSGVWGKLIRFSEDMQQESQLRVYALQLMQCITGRNLKTLPNEIVSQVEPWESWYEHGTGAAIADESINSSSSITGTLVALRSTQMVTVFLADANITPENLATLDSAVSCFLHLSEHASAANVAVLEAVLEEWEQLFSPKEEHVPPHESPKETSDWSDGWDDGWEALPEELESPKNKQESAPLSIHPLHSCWMEIIRKRVELGELHKVIELLDRASSKHSVFLEEEEAHSLVELVSALDCFMALKVVLLLPYEALRLQCLQMVEVKMREGTVSTSSNADDRELLALVLSSGTIQKITTEEAYSKLFSYLCHLVGNLARSFQTDLLMQWNDQAMSKSDGSLLFGRILFPCFISELVLRGQYLLAGFVISRWMHTHPSLGLMDIAETSVQRFLQGQVTQAEQPEGGDASFTDDEVSVKHTISTLRLKLVSLLQAALSALPNQEV >PAN38457 pep chromosome:PHallii_v3.1:7:36720244:36731548:1 gene:PAHAL_7G173500 transcript:PAN38457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAEDALYEIRRHASGSHVIPHQEGYQGAATSSGGSDAGGGVLSYLSLQGVSKLRERWTRYSALGRSRQRKRADGVALFVSPNAEYVSVTVGNRIIILRKRDGYASPCGVYTNNDRITFFTNGAWLEAQGIFGVVDDLSTLYLIKENGDLLARRTCDQLKLSSSIIDLVVQDGSSLLRPGFYIFTSDCMVHRFDYTQGPEASLCEVPISTKDVMSARTMQLPRSLSCIDYDEHHSLFVLAADSNVSFSSNSYSGTYFLHLLHVDGNLELSLSFKSLQLEGVFSPLKDQKTFVSSPKIRISPQGKHIATLDLVGSVNLFALDGDKHTFSLHTLGNCRHLIDVKDISWWTDNVLMLVRADGSISMYSITENDVVSKDAVLSTPLLEKAKATEGHVFILQSSRYERNTPANKQMDSDLEPNQPSGSGEHQQTEMDRMFWSLISFSKVTVTEMYSVMIRESRFKEALDFASRYNLDKDEVLKARWLHSDGDTHEIDSYLAKIKDQAFVLSECVNKVGPTEAALRALLSFGLRITDHYKFSGLDNSREGSTWDSRIIRLRLLRHRDMLETFLGINMGRYSAEEYSKFRSMPLVETAIALAESGKIGALNLIFKRHPYTISSKILRVLSAIPETVAVQTYSQLLPGKSPPSVVILRDGDWVECEQMVSYISNCPTQLDKIGEIKTEILVKLSTGFSWPSVAELCDWYKNRARDIDCLSGQLENCLATIELACQKGIVELQPFFDDIKCLYQVVYSNELNEFIMNLVTWEDLPGYEKFKIILKGVKEDTVVQRLEENAIPFMKKRFHLISSSHEHKQEESYLVRWLKEVAAEHDLSICLAVVENGCGESPIYGLFKDLAEMIETAVHCIYMCNATNQWNTMSSILSKLLHKTKREKSLLASEEECNLKDAKQALGSSVVSYDEMQHMCADILSALGNGPDDFYHYDSVPYELNNVKYLDMLEKRLKVAEGHVEVGRLFAYYQVPKPTHFFLSAHLDEKNVKQLIRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVIQAAREYFFSASTLSGNEIWKARECLNLLPNSKNVQAETDIIDALTVRLPYLGVTILPVQFRQVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEVAAVEEAIAREAVVNGDLQLAFDICLNLTKKSHGAVWDLCAAIARGPPLDNLDSATREKLLGFSLSHCDEESVGELLNAWKELDVHGKFEKLMITTGTNPPNVLIDGCSITSLPVQSVQDILDLRDDGGHDRHKDHVEIVKEMLSKVCLNLSNGDAHTWESILVDNRKFLSFAVLELPWLLKLSNNELQDGENQTSRTDHTSRRYRFSTKVEAAISIIYWLAVNGLAPNDNLIMILAKSIMEPPVDEEFDVLGCSVLLNLMDPFNGVKIVEEELKRRECYQEISSIMSIGMLYSSLNNSKKECSTPEQRRNLLLHKFHEKFTSDNKDDLDQIDIANTTFWREWKSKLEEEKQLADQARMLKQILPDIDTSLFLSGDADYIKRVVFSFVDSVKLEKKHILKEAVKIAETYGLQRTEVLLRFLACSLVSEYWDNNDILNEISEFREDIVRSAKGVIDMIYSDVYPEIDGYNKQRLSYIYGILSACHSYLKRTGEIELRYPEHVHTHKLEPFQYYKVLEEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIRASTITALADMVQALVSMYVDVLAKGLISRQGVYKHYVLGLLASLEGRSEARSNCTDSEKLQAVLCEIELNYDSCREYIQALPATDISYIVGRYCTLCFPSNLARSHPQEPSWKKPLATLLTFWSKLVDDIPGESIDASSYEMTNYLNSNRLSLCMGAFRQLLINDEITVHQGWDAISMYVKDCLKSGMMMEISCFCRAMILSGCNFEAVVEVYYGGQGQLESESADQINSLDLLELYNTAIEECLSDMIEGSCEYRILFHQLLSSLSQSTGKHTGIQEMVRSGVWGKLIRFSEDMQQESQLRVYALQLMQCITGRNLKTLPNEIVSQVEPWESWYEHGTGAAIADESINSSSSITGTLVALRSTQMVTVFLADANITPENLATLDSAVSCFLHLSEHASAANVAVLEAVLEEWEQLFSPKEEHVPPHESPKETSDWSDGWDDGWEALPEELESPKNKQESAPLSIHPLHSCWMEIIRKRVELGELHKVIELLDRASSKHSVFLEEEEAHSLVELVSALDCFMALKVVLLLPYEALRLQCLQMVEVKMREGTVSTSSNADDRELLALVLSSGTIQKITTEEAYSKLFSYLCHLVGNLARSFQTDLLMQWNDQAMSKSDGSLLFGRILFPCFISELVLRGQYLLAGFVISRWMHTHPSLGLMDIAETSVQRFLQGQVTQAEQPEGGDASFTDDEVSVKHTISTLRLKLVSLLQAALSALPNQEV >PAN38570 pep chromosome:PHallii_v3.1:7:37180861:37186770:-1 gene:PAHAL_7G181500 transcript:PAN38570 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLTFSPHPSPLLLSLPATSASKPRARLSPVHASASASPSPELLGKSALRRISDKLRSLGYLETGSEIPAPAPDTSGDAPSAGEIFVPTPAQLPRHRVGSTLDPSWATADGEAGSAARRQRRGRGRDAASAPPSAAELALPRNELRRLQGIGIKVRKRLKVGKAGITEGIVNGIHERWRNTEVVKIRFEDVWAMNMRRTHEMLERKTGGLVIWRSGSIIILYRGTDYKYPYFHHRERMDGLLDKESSEQSSSEDEDEHLGIGSVALSSSGEEDENTFQHDSSYESSENPVIACAEQRSAGEGKNHTIGDLSQSLSREKYTTRPILSTKRLVFGTHEGNLDTRTGAPNQQHARLHVNTHADHGGNVGPGDRSSLVAGVGSQNKFRLQLPGEVKLAEEADKLLDGLGPRFSGWWGYDPLPVDADLLPAIVPGYRRPFRLLPSGVPPKLTDREMTILRRLAHPLPFHYALGRSSNLQGLAASMIKLWERCEVAKIAIKRDANNTDSELITEELKGLTGGTLLSRDKESIVFYRGKDFLPPAISLAIEKRRKLGGSTIYKPKPDTEESTPAQDASVLKVSSDVPVHIHEEEMSVPESRSESLNTVAQSVETRLSQAIAEKERAERLLEELENASQPSKAETREDISEEERYMLRKVGLKMKQFLLLGRRGVFDGTIENMHLHWKYRELVKIICKEHSLKDVEYAARTLVAESGGILVAVEKVSKGHAIIVYRGKNYQRPSTLRPKTLLSKKDALKRSMENQRCKSLKVHVLNLSKNIDYLRDQMNSSYYKTTIHGPSVNSGTLQQKNEEVPEDAPKSSEPEVEECASVVMDRTLNLTKSGVPLDDDDMQSKVCLTKLEDDSSLTASPCLTRRSSAVSFNDLNRHQNEHSSTVTFDPDSHSEGDSKDVDAPKFDVKSDPLLPLRATPLSNKERLVLRKQALKMKKRPVLSIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLITELEEATGSVLVSQETNKVILYRGWGAEVAQKSSKENGTDEEKEVISPQLLEAIRLECGLLPGESQDSWTPE >PVH35902 pep chromosome:PHallii_v3.1:7:44981102:44984661:-1 gene:PAHAL_7G303600 transcript:PVH35902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFLRSNCSMALCYFLPSSEANKSELKNVMGKANLHVFFLLFLILSCFCESNNQLTQPKSLSPGDMLISEGRVFALGFFSPTNSNKSLYLGIWYHSIPERTVVWIANRDSPISNHSSVKLSITNSSEMVLFDSEGRTVWKTANTTTAGGADGAVAVLDDSGHFMLRLLNGTVVWQSIDHLTDTILPSTRVLLSYKAQVVGRLVAWKGPDDPSSGDFSSSIDPRSNLQIFIWKGSLPYKRRQVVNEVSVSGGTYQSNTTSVVSESLFYRMDELYYDFTVSDGSPYTRILLDYRGNLSLLSWNNTTLSWTVASDTPSYCDIYASCGPFGYCDAATVPTTCRCPDGFELVDSLNLSRGCQRKEALRCGKENNFMTMPNMKVPDKFLHIRNKSFDQCAAECSKNCSCMAYAYANLRKAGTMSDTSRCLVWTGDLIDMAKASSGENLYVRLGESPVQKNKKFLKILLPIVACLLLLAFAALVWKCKRRAGKQQKKKVQKKMMLEYLRSTDEAGNKNIEFPFVSFNDIVAATDNFSDTNMLGKGGFGKVYKGMLDGTTEVAIKRLSKGSRQGTEEFRNEVVLIAKLQHKNLVKLLGCCIHEDEKMLVYEYLPNKSLDYFLFDSARKSMVQWPTRFKIILGVARGIMYLHQDSRLTIIHRDLKASNILLDKEMSPKISDFGMARIFCGDQHQTNTNRIVGTYGYMSPEYAMEGAFSVKSDTYSFGVLLLEIVSGLKISSPHLIMDFPNLIVYAWNLWKDGKTEDLVDPYVKEDCPLDEVSRCTHIALLCAQDSPNCRPLMSTVVLMLESKTTPLPTPLQPVYFAFGRRDAQPGRGSDNRVPSMNDMRLTVLGGR >PVH35901 pep chromosome:PHallii_v3.1:7:44980808:44985176:-1 gene:PAHAL_7G303600 transcript:PVH35901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFLRSNCSMALCYFLPSSEANKSELKNVMGKANLHVFFLLFLILSCFCESNNQLTQPKSLSPGDMLISEGRVFALGFFSPTNSNKSLYLGIWYHSIPERTVVWIANRDSPISNHSSVKLSITNSSEMVLFDSEGRTVWKTANTTTAGGADGAVAVLDDSGHFMLRLLNGTVVWQSIDHLTDTILPSTRVLLSYKAQVVGRLVAWKGPDDPSSGDFSSSIDPRSNLQIFIWKGSLPYKRRQVVNEVSVSGGTYQSNTTSVVSESLFYRMDELYYDFTVSDGSPYTRILLDYRGNLSLLSWNNTTLSWTVASDTPSYCDIYASCGPFGYCDAATVPTTCRCPDGFELVDSLNLSRGCQRKEALRCGKENNFMTMPNMKVPDKFLHIRNKSFDQCAAECSKNCSCMAYAYANLRKAGTMSDTSRCLVWTGDLIDMAKASSGENLYVRLGESPVQKNKKFLKILLPIVACLLLLAFAALVWKCKRRGKQQKKKVQKKMMLEYLRSTDEAGNKNIEFPFVSFNDIVAATDNFSDTNMLGKGGFGKVYKGMLDGTTEVAIKRLSKGSRQGTEEFRNEVVLIAKLQHKNLVKLLGCCIHEDEKMLVYEYLPNKSLDYFLFDSARKSMVQWPTRFKIILGVARGIMYLHQDSRLTIIHRDLKASNILLDKEMSPKISDFGMARIFCGDQHQTNTNRIVGTYGYMSPEYAMEGAFSVKSDTYSFGVLLLEIVSGLKISSPHLIMDFPNLIVYAWNLWKDGKTEDLVDPYVKEDCPLDEVSRCTHIALLCAQDSPNCRPLMSTVVLMLESKTTPLPTPLQPVYFAFGRRDAQPGRGSDNRVPSMNDMRLTVLGGR >PAN37812 pep chromosome:PHallii_v3.1:7:32589591:32591945:1 gene:PAHAL_7G124600 transcript:PAN37812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASDLLFSTFTVPHYKRWEMCVIVFVGTNGQTYFITASLVTSIQNFPKSRGPTVGILKGFMGLTSAILTQVYAVIHTPDHATLIFLIAVGPSLVAIGLMFVIRPVGGHRQVRPSDKNSFMFIYTVCLLLASYLVGIMLVQDFLEPSYDVVVFLTVILFVLIISPIAIPVILSLTSEKVEHPMEEALLSEPLAGEASTSQEKEDQPEVFLSEVEEEKPKDTDSLPPSERRKRIAELQAKLVEAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQASGFKNAHIFVSLMSIWNFLGRVGGGYFSEIIVRERTYPRHIALTFAQIVMAAGHFLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLILANPTGSLIFSGLIVSNLYEYEAEKQGQQHQISALMSPRSLHNMSFLADGPLKCEGPACFFVSSLILSVFCVIGAGLSLLVVHRTKRVYARLYSSVRT >PAN37811 pep chromosome:PHallii_v3.1:7:32587621:32592232:1 gene:PAHAL_7G124600 transcript:PAN37811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVGGHRQVRPSDKNSFMFIYTVCLLLASYLVGIMLVQDFLEPSYDVVVFLTVILFVLIISPIAIPVILSLTSEKVEHPMEEALLSEPLAGEASTSQEKEDQPEVFLSEVEEEKPKDTDSLPPSERRKRIAELQAKLVEAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQASGFKNAHIFVSLMSIWNFLGRVGGGYFSEIIVRERTYPRHIALTFAQIVMAAGHFLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLILANPTGSLIFSGLIVSNLYEYEAEKQGQQHQISALMSPRSLHNMSFLADGPLKCEGPACFFVSSLILSVFCVIGAGLSLLVVHRTKRVYARLYSSVRT >PAN37810 pep chromosome:PHallii_v3.1:7:32587621:32592232:1 gene:PAHAL_7G124600 transcript:PAN37810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLRDRVRAFSTNRWLVFVAAMWMQSMAGTTYIFGAISPVLKARLGYDQRQVAALGVAKNLGGCLGLLAGALSATRPAWVLLLTGAAQNSLGYGSLWLVVTGRAPALPLWLMCVIVFVGTNGQTYFITASLVTSIQNFPKSRGPTVGILKGFMGLTSAILTQVYAVIHTPDHATLIFLIAVGPSLVAIGLMFVIRPVGGHRQVRPSDKNSFMFIYTVCLLLASYLVGIMLVQDFLEPSYDVVVFLTVILFVLIISPIAIPVILSLTSEKVEHPMEEALLSEPLAGEASTSQEKEDQPEVFLSEVEEEKPKDTDSLPPSERRKRIAELQAKLVEAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQASGFKNAHIFVSLMSIWNFLGRVGGGYFSEIIVRERTYPRHIALTFAQIVMAAGHFLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLILANPTGSLIFSGLIVSNLYEYEAEKQGQQHQISALMSPRSLHNMSFLADGPLKCEGPACFFVSSLILSVFCVIGAGLSLLVVHRTKRVYARLYSSVRT >PVH35199 pep chromosome:PHallii_v3.1:7:32587621:32592232:1 gene:PAHAL_7G124600 transcript:PVH35199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVIVFVGTNGQTYFITASLVTSIQNFPKSRGPTVGILKGFMGLTSAILTQVYAVIHTPDHATLIFLIAVGPSLVAIGLMFVIRPVGGHRQVRPSDKNSFMFIYTVCLLLASYLVGIMLVQDFLEPSYDVVVFLTVILFVLIISPIAIPVILSLTSEKVEHPMEEALLSEPLAGEASTSQEKEDQPEVFLSEVEEEKPKDTDSLPPSERRKRIAELQAKLVEAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQASGFKNAHIFVSLMSIWNFLGRVGGGYFSEIIVRERTYPRHIALTFAQIVMAAGHFLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLILANPTGSLIFSGLIVSNLYEYEAEKQGQQHQISALMSPRSLHNMSFLADGPLKCEGPACFFVSSLILSVFCVIGAGLSLLVVHRTKRVYARLYSSVRT >PAN37813 pep chromosome:PHallii_v3.1:7:32587621:32592232:1 gene:PAHAL_7G124600 transcript:PAN37813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVGGHRQVRPSDKNSFMFIYTVCLLLASYLVGIMLVQDFLEPSYDVVVFLTVILFVLIISPIAIPVILSLTSEKVEHPMEEALLSEPLAGEASTSQEKEDQPEVFLSEVEEEKPKDTDSLPPSERRKRIAELQAKLVEAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQASGFKNAHIFVSLMSIWNFLGRVGGGYFSEIIVRERTYPRHIALTFAQIVMAAGHFLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLILANPTGSLIFSGLIVSNLYEYEAEKQGQQHQISALMSPRSLHNMSFLADGPLKCEGPACFFVSSLILSVFCVIGAGLSLLVVHRTKRVYARLYSSVRT >PAN39324 pep chromosome:PHallii_v3.1:7:40596611:40600872:-1 gene:PAHAL_7G235600 transcript:PAN39324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMSDSAGGGRAGAELMVEQFHLKVLHAVLAVRAPCPLAAAAAPAAASAFRKRDRWFHLPLHDPPPPPEAADRLEPLAPGEPLVVDVLLSPAGVGAGAGAGGEVVERWTVACEPWPDAAGGEEVAVNRAYKHCFTLLRSVYAVLRVLPAYRVFRLLCANHSYNYEMVHHVDTFAEPFSRPQEAAMRSHRFIPVETQLGRLVVSVQYLPSLASFNLEITSLSPSRIIPDYVGSPAAEPMRAFPASLTEATGSAFPPSYQLQRPHSWAPPVFWPYTPAQQVFYASPTPSPPHFPGGYLQKRLTRGESAPMPIPQLVERRSPVHRQNTLPPPSPRRGDMGAAGAQESPSEMGRLIGRLSPRHKGKDNKDESGRFSALSSCDSPRQDDLDDYPFFVDDVDPPVSQPGSSDGKETSDQAGSSSHKSQDAQVGSLVYLLRNARPLRDPSYSLQTSRAESSEAASASSTTSRRTSDALEELQSFKEIRERLQSRSSAKHQEPPGKP >PAN39323 pep chromosome:PHallii_v3.1:7:40596603:40600918:-1 gene:PAHAL_7G235600 transcript:PAN39323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMSDSAGGGRAGAELMVEQFHLKVLHAVLAVRAPCPLAAAAAPAAASAFRKRDRWFHLPLHDPPPPPEAADRLEPLAPGEPLVVDVLLSPAGVGAGAGAGGEVVERWTVACEPWPDAAGGEEVAVNRAYKHCFTLLRSVYAVLRVLPAYRVFRLLCANHSYNYEMVHHVDTFAEPFSRPQEAAMRSHRFIPVETQLGRLVVSVQYLPSLASFNLEITSLSPSRIIPDYVGSPAAEPMRAFPASLTEATGSAFPPSYQLQRPHSWAPPVFWPYTPAQQVFYASPTPSPPHFPGGYLQKRLTRGESAPMPIPQLVERRSPVHRQNTLPPPSPRRGDMGAAGAQESPSEMGRLIGRLSPRHKGKDNKDESGRFSALSSCDSPRQDDLDDYPFFVDDVDPPVSQPGSSDGKETSDQAGSSSHKSQDAQVGSLVYLLRNARPLRDPSYSLQTSRAESSEAASASSTTSRRTSDALEELQSFKEIRERLQSRSSAKHQEPPGKP >PAN39325 pep chromosome:PHallii_v3.1:7:40596611:40600575:-1 gene:PAHAL_7G235600 transcript:PAN39325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMSDSAGGGRAGAELMVEQFHLKVLHAVLAVRAPCPLAAAAAPAAASAFRKRDRWFHLPLHDPPPPPEAADRLEPLAPGEPLVVDVLLSPAGVGAGAGAGGEVVERWTVACEPWPDAAGGEEVAVNRAYKHCFTLLRSVYAVLRVLPAYRVFRLLCANHSYNYEMVHHVDTFAEPFSRPQEAAMRSHRFIPVETQLGRLVVSVQYLPSLASFNLEITSLSPSRIIPDYVGSPAAEPMRAFPASLTEATGSAFPPSYQLQRPHSWAPPVFWPYTPAQQVFYASPTPSPPHFPGGYLQKRLTRGESAPMPIPQLVERRSPVHRQNTLPPPSPRRGDMGAAGAQESPSEMGRLIGRLSPRHKGKDNKDESGRFSALSSCDSPRQDDLDDYPFFVDDVDPPVSQPGSSDGKETSDQAGSSSHKSQDAQVGSLVYLLRNARPLRDPSYSLQTSRAESSEAASASSTTSRRTSDALEELQSFKEIRERLQSRSSAKHQEPPGKP >PAN38756 pep chromosome:PHallii_v3.1:7:38019522:38022956:-1 gene:PAHAL_7G195300 transcript:PAN38756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCADAQPQPAWALALAALGLLVSARAAARLALWLYAAFLRPARPLRRRYGAWAVVTGATDGIGRALAFRLAAAGLGVVLVGRNPDKLAAVSAELEAKRPGAEVRTFVVDFADDDLATRVGALGEFLRGLDVGVLVNNVGASYPYARYFHEVDEALAQRLIRLNVEAVTRVTHAVLPGMLERGRGAIMNMGSGASAIMPSDPLYTVYVATKAYVDQFSRCLHVEYKGKGIDVQCQVPIQVATKLASIRKPTLLAPSPEAYARAAVRRIGYEPRCTPYWAHAVVGLLISLVPEPVADRMFLNRSLGIRARGRAKEAKKKAQ >PVH35786 pep chromosome:PHallii_v3.1:7:43422457:43425800:-1 gene:PAHAL_7G279200 transcript:PVH35786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKVMAGREAGSGEKQEEKRGEQANRGKKAGEGQSLRPAAPRRRRAAPRRGSPPVVRDCCRRARCASGSGGGGMMEAGGGGAGGRDERVPQWGAQETRELIAARGEVEREADAARRSAKTMWEAVAVRLRERGYRRTADQCKCKWKNLVNRYKGKETSDPENGRQCPFFEELHAVFTERARNMQRQLLESESGAFDKRKLKRPSGDRSSGESDGEDDGGEESEDEKPMHSRKRKADDKKQQSQRMPEKSRSGVSSIHELLQDFLTQQQRIDIQWQETMERRAQERVAFEQEWRQTMQKLEQERLMLEHSWIQREEQRRMREEARAEKRDALLTTLLNKLLQDDL >PVH36105 pep chromosome:PHallii_v3.1:7:47989133:47991064:-1 gene:PAHAL_7G351900 transcript:PVH36105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKKARAGGCDIQATGINYRIAVSTHPPLKVWSRSDDEAQDHHHIINGVRHVLRNVTCRARPGELLAIVGPSGAGKSTLLEILAGRLSPSPPPDLLLLDGAATASADLRRVSGYVTQRDVLFPLLTVRETLLFSARLRLGAALPAKDMDARVDALLDDLTLRRVAATRIKDLSGGERRRVSIGVEAVHDPAVLILDEPTSGLDSASALQIVGALRAMAETRGRTVLLSIHQPGARIVKMFDSVLLLAAGSVLHHGTVDQLRSLLADAGLRLPPHVDAVEFSIDSVDALRAHHRLISADGLQQAPPPQPAPASSRCTLQQLFQLHSKQVADEDTPTMAVPTATGGSSRYANSRAREVAVLTQRFFNNVARTRQLFACRTVCMLVAGLALGSIFYDLGEDKVAERVGLFAFLLTFLLSSTTEALPIFLQEREILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWLTGLRRTAAAFGYFLLVVWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPGCWVFMHYLSLFKWPFEALLVNEFAGGGRCVVRVLGTCVATGDEVLRREGLGEECRWRNVGVMVAFMAAYRVLGYAVLRVRCNRGGMAMAMAAASKASSSSSSV >PVH35812 pep chromosome:PHallii_v3.1:7:43825760:43829412:1 gene:PAHAL_7G284700 transcript:PVH35812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MVFILFSDGSIFVLCPVVPFGSDYSKKHIQEIYEDVNAFGLKSSNPNVVTNSHLAIAWLEATFPDLLHQSTDTSLLMSKAHPYAPVDDSLTLQGPLCRVCEENNESEGKSSSCEGKAVGFMYSSAGKDSVLVTAWGSGQLQVDALADEIQPQWNIGIPTRLNVDSHGHIKSVAMICDSNSQDPLALRSHRPSSTGSNVKSNIEAVWMGHSPPLLRLAIVDLALPKAPSDSSLSLFPDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNSDTSSPFLSGFVTIADAYGHVQLVGITCLGECFVVEMKGWKEPTPLQLDIDSKSIKDVEPPATGMISKELIAGPDPPILPSSSSLKSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYVKTELEDKQKRLQAVKKSLLSIETKDQEINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSQAEQEFKAQLDRFANVELDALRSSIAALSARMKRFAQQSAGGVAGTRVVPWQAPKTGRSHISESQMSLLKSSLEKLSLLNEENNLKLRIIDNELKNKEQ >PVH35811 pep chromosome:PHallii_v3.1:7:43824263:43829412:1 gene:PAHAL_7G284700 transcript:PVH35811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MLMPETDLGYVVTHVSLNTDGSSLLLVGSHNLSVLYVHERVSEDGDTIICRIALIASQILPSNNDGIKVLQASWHPFSNNHFAVLTSDAVFRLFDLSSDLEQPEQEFYLQPILPGKCQNALAICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYSKKHIQEIYEDVNAFGLKSSNPNVVTNSHLAIAWLEATFPDLLHQSTDTSLLMSKAHPYAPVDDSLTLQGPLCRVCEENNESEGKSSSCEGKAVGFMYSSAGKDSVLVTAWGSGQLQVDALADEIQPQWNIGIPTRLNVDSHGHIKSVAMICDSNSQDPLALRSHRPSSTGSNVKSNIEAVWMGHSPPLLRLAIVDLALPKAPSDSSLSLFPDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNSDTSSPFLSGFVTIADAYGHVQLVGITCLGECFVVEMKGWKEPTPLQLDIDSKSIKDVEPPATGMISKELIAGPDPPILPSSSSLKSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYVKTELEDKQKRLQAVKKSLLSIETKDQEINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSQAEQEFKAQLDRFANVELDALRSSIAALSARMKRFAQQSAGGVAGTRVVPWQAPKTGRSHISESQMSLLKSSLEKLSLLNEENNLKLRIIDNELKNKEQ >PAN40012 pep chromosome:PHallii_v3.1:7:43823375:43829412:1 gene:PAHAL_7G284700 transcript:PAN40012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MGPLQKLGLCCAVWPCCSFGVGNRNLGIKPNTILLPTVPLHSPSSPSMTRITAPPPPSSPPGSPPPIRHSPAPETPLSRRRGRHSPSPSLALTPSSSASTSAATSSRPKLRPTPKRAYAPSQWVPLSSHPDFFRRDGEGGGGAAAWDATASRLYAWDPSACGAHRIGVRIRDPEAENEGEEVAVEAAVPSEMLMPETDLGYVVTHVSLNTDGSSLLLVGSHNLSVLYVHERVSEDGDTIICRIALIASQILPSNNDGIKVLQASWHPFSNNHFAVLTSDAVFRLFDLSSDLEQPEQEFYLQPILPGKCQNALAICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYSKKHIQEIYEDVNAFGLKSSNPNVVTNSHLAIAWLEATFPDLLHQSTDTSLLMSKAHPYAPVDDSLTLQGPLCRVCEENNESEGKSSSCEGKAVGFMYSSAGKDSVLVTAWGSGQLQVDALADEIQPQWNIGIPTRLNVDSHGHIKSVAMICDSNSQDPLALRSHRPSSTGSNVKSNIEAVWMGHSPPLLRLAIVDLALPKAPSDSSLSLFPDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNSDTSSPFLSGFVTIADAYGHVQLVGITCLGECFVVEMKGWKEPTPLQLDIDSKSIKDVEPPATGMISKELIAGPDPPILPSSSSLKSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYVKTELEDKQKRLQAVKKSLLSIETKDQEINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSQAEQEFKAQLDRFANVELDALRSSIAALSARMKRFAQQSAGGVAGTRVVPWQAPKTGRSHISESQMSLLKSSLEKLSLLNEENNLKLRIIDNELKNKEQ >PAN39635 pep chromosome:PHallii_v3.1:7:41988838:41992082:-1 gene:PAHAL_7G256700 transcript:PAN39635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAHWQQSLGLVKPMEEMLMAANAGAAANTSQGSNPNPPAPSSVAGGGALRAPAPPAVAGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSASASTSASVTSSSMTSTAGAASKNPKLAHEGAQDLNLAFPHHGGLHAPEFAAFPSLESSNVCNSGGAMAGNGRGGGGGAGPAVGALSAMELLRSSGCYMPLQMPMPMPGDYAAAGFALGEFRAPPPPPPPSQSVLGFSLDAHGPGPGAAASGYGSSAGLPENAGRLLFPFEDLKPPVSSGGGGVAAGASGGAGDGNSGHPQFDHNKEQGGGGPSAGHDTPGFWNGMIGGSGASW >PVH35725 pep chromosome:PHallii_v3.1:7:41988255:41992038:-1 gene:PAHAL_7G256700 transcript:PVH35725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRSSSGSTTATHNPTRAQAKSLGLVKPMEEMLMAANAGAAANTSQGSNPNPPAPSSVAGGGALRAPAPPAVAGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSASASTSASVTSSSMTSTAGAASKNPKLAHEGAQDLNLAFPHHGGLHAPEFAAFPSLESSNVCNSGGAMAGNGRGGGGGAGPAVGALSAMELLRSSGCYMPLQMPMPMPGDYAAAGFALGEFRAPPPPPPPSQSVLGFSLDAHGPGPGAAASGYGSSAGLPENAGRLLFPFEDLKPPVSSGGGGVAAGASGGAGDGNSGHPQFDHNKEQGGGGPSAGHDTPGFWNGMIGGSGASW >PAN40732 pep chromosome:PHallii_v3.1:7:47046390:47049125:-1 gene:PAHAL_7G336300 transcript:PAN40732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MARLVTKTPATLLLVAALLLLAAAANASSYDYAGAFDKCLQFFEAQRSGKLPADHRVKWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGFPMAYAVTMLSWGVLEFEKEMVAANNLQRALDTIRWGTNYFIKAHTEPNGLWVQVGDGDSDHLCWERAEDMSTPRTAFKIDTNHPGSDVAGETAAALAAAAKAFRPYDSMYADLLLLHAKQLFTFADTFRGRYDDSLQSAKKFYPSESGYQDELLWAAAWLYEATGDEEYLRYVSQNAEAFGGTGWSVLEFSWDNKYAGLQVLLSKVLFQGAGAGASAYADTLKQFQAKAEFFLCACLQKNNGHNIKLTPGGLLYVDDWNNMQYVSSSTFLLTVYADYLAVSRGALKCPDGEVKPAEIVRFAKSQVDYVLGKNPKGMSYMVGYGSYFPTHVHHRGASIPSVSAVKSIVGCMDGFDKYYNSKGADPNVLHGAIVGGPDANDGFVDDRCNYQHAEPTIAGNAPICGVFARLASEPAGASDNSPAPAYSPPHDSSPSKGSLLELVHTVSNSWTTNGVEYYRHVVTAKNTCGHPITYLKLHIKELSGPIYGVSAAKEKDAYEFPPWLTRLGAGEQLTIVYIQGGPAAKISVVNYKTA >PAN39882 pep chromosome:PHallii_v3.1:7:43267481:43270994:-1 gene:PAHAL_7G276600 transcript:PAN39882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSNSGYSGGCASFCSSKDNIVNGSCSGVACCQAPVPKGLKKLELEFGIISNKDNDTLPCGEAFIVEQNSYVFSSSDLSNTNNTKRQYRPVVLEWSIDGSCEEAKETTAYACRENTYCYNSSNGIGYRCNCSKGFEGNPYLQGPNGCQDIDECSTRNPCTHKCVNTKGSFQCRCPAGMSGDGLREGSGCNGVGTLVIAIVTGLALLVLLFILGFWTHWLVKKRKLAKTRQRYFMQNGGLLLKQQMFSERSQLHIFTSNELDKATNNFSDDNIVGRGGFGTVYKGILSNQVVVAIKKAQRVDQTQMEQLVNELIILSQANHKNVVQILGCCLETEVSLLVYEFITNGALFHHLHNTLVPMSWEKRLNIAVETASALAYLHLAANIPIIHRDIKSSNILLDKNFTAKVSDFGASRPIQYNQTHVTTLVQGTIGYMDPEYFQTSQLTKKSDVYSFGVVLIELLTRKKPIMDGVMEDVRSLPLQFSMLFHKNKLLDIVDPEVAEEAGMRHVETIAKLALRCLRLKGEERPRMIEVAIELEGLRRLMQQHFILKSESLLQDSWYHEEMSIDTPPSLHLGDGGIARDESMEIMLFPPKSC >PAN39881 pep chromosome:PHallii_v3.1:7:43268035:43270077:-1 gene:PAHAL_7G276600 transcript:PAN39881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSNSGYSGGCASFCSSKDNIVNGSCSGVACCQAPVPKGLKKLELEFGIISNKDNDTLPCGEAFIVEQNSYVFSSSDLSNTNNTKRQYRPVVLEWSIDGSCEEAKETTAYACRENTYCYNSSNGIGYRCNCSKGFEGNPYLQGPNGCQDIDECSTRNPCTHKCVNTKGSFQCRCPAGMSGDGLREGSGCNGVGTLVIAIVTGLALLVLLFILGFWTHWLVKKRKLAKTRQRYFMQNGGLLLKQQMFSERSQLHIFTSNELDKATNNFSDDNIVGRGGFGTVYKGILSNQVVVAIKKAQRVDQTQMEQLVNELIILSQANHKNVVQILGCCLETEVSLLVYEFITNGALFHHLHNTLVPMSWEKRLNIAVETASALAYLHLAANIPIIHRDIKSSNILLDKNFTAKVSDFGASRPIQYNQTHVTTLVQGTIGYMDPEYFQTSQLTKKSDVYSFGVVLIELLTRKKPIMDGVMEDVRSLPLQFSMLFHKNKLLDIVDPEVAEEAGMRHVETIAKLALRCLRLKGEERPRMIEVAIELEGLRRLMQQHFILKSESLLQDSWYHEEMSIDTPPSLHLGDGGIARDESMEIMLFPPKSC >PAN40329 pep chromosome:PHallii_v3.1:7:45138029:45140537:1 gene:PAHAL_7G306900 transcript:PAN40329 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENDO1 [Source:Projected from Arabidopsis thaliana (AT1G11190) UniProtKB/TrEMBL;Acc:A0A178WKD4] MASGGKGLLTGSFLRAAALGLVVLASAAPVARSWSKEGHMLTCQIAQDLLEPDAAHAVRNLLPEDVGGDLSALCVWPDQVRHWYKYTWSGPLHFIDTPDKACTFDYARDCHGPDGAKDMCVAGAIANFTSQLLHYKHGSADRKYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNSIDLRWFRHKSNLHHVWDREIIQTALAEFYDKDMGTFRKQLEHNLTKGTWSDDVTSWGDCEDLLSCPTKYATESISLACKWAYSGVHEGETLSDDYFGSRLPIVSRRIAQGGVRLAMFLNRIFGQHNRDVAAPS >PAN37849 pep chromosome:PHallii_v3.1:7:32951262:32955746:1 gene:PAHAL_7G127900 transcript:PAN37849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPKAARAADVVAPAMPEPDIAAELDALAARSSSSPTRGTAGAAEGRPPLVVVGHRGKGMNALASPDPRTRGDVRENTLRSFNDAAAAGHPAVAYVEFDVQVTKDGCPVIFHDNFIYTEENGEISGKRVTDLDLHEFLSYGPQRNQDKAGKPLLRKLKDGRILRWEVRSEDALCTLREAFEGVDRRVGFNVELKFDDDLVYTEAALTAVLQAVLKVVFEHADGRPIIFSSFQPDAAQLIRKLQDKYPVYFLTNGGTQIYADPRRNSLEEAVSLCVASGLQGIVSEVRAILRRPSAVAEIKEAKLSLMTYGQLNNVPEVVYVQHLMGVDGVIVDLVREIAEAVSAFPAAAREPGSSEGGGRCERMEAAATAAGTPSFSPREMSFLLRLIPELVQ >PAN37850 pep chromosome:PHallii_v3.1:7:32951215:32955775:1 gene:PAHAL_7G127900 transcript:PAN37850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPKAARAADVVAPAMPEPDIAAELDALAARSSSSPTRGTAGAAEGRPPLVVVGHRGKGMNALASPDPRTRGDVRENTLRSFNDAAAAGHPAVAYVEFDVQVTKDGCPVIFHDNFIYTEENGEISGKRVTDLDLHEFLSYGPQRNQDKAGKPLLRKLKDGRILRWEVRSEDALCTLREAFEGVDRRVGFNVELKFDDDLVYTEAALTAVLQAVLKVVFEHADGRPIIFSSFQPDAAQLIRKLQDKYPVYFLTNGGTQIYADPRRNSLEEAVSLCVASGLQGIVSEVRAILRRPSAVAEIKEAKLSLMTYGQLNNVPEVVYVQHLMGVDGVIVDLVREIAEAVSAFPAAAREPGSSEGGGRCERMEAAATAAGTPSFSPREMSFLLRLIPELVQ >PAN38496 pep chromosome:PHallii_v3.1:7:36888667:36891942:1 gene:PAHAL_7G176300 transcript:PAN38496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSQLLLALLCLAASLGAQAAGSGGRKMVGVYELRMGDFSVKVTNWGARLMSLVLPDSKGNLADVVLGKDTIAEYVNDTSYFGPITGRVGQRISRGRFVLDGKVYHLERNDGRNTLHGGGRGFHKVIWTVEEYMPDGDSPYITFYYRSFDGEQGFPGNLDVYVTYRLSAPYTLGVHMNATALDRATPVNLLLHTYWNLGGHGSGDVLGHTLGLFASRYTVLDVDLLPSSGRVAPVAGTPFDFRAPTAIGARIRQVTGGKVVGYDANYVIDGEQERMRPVAQVQDGASGRAVELWANQATVQLYTGNWLNNTKGKDGKVYNQYAGFTLETMGYVDAVNHPEFPSQTLLPGQEYKHDMVLKFSF >PAN39816 pep chromosome:PHallii_v3.1:7:42860977:42861978:-1 gene:PAHAL_7G270300 transcript:PAN39816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTMLFMKLYMDGFSDCTSTQVKTQLKKLPHRVGENCFALAEPFQGQTTAFLPRSNVPRSRQLQELLWVLPNYITELAFVQNLPKRRKKEKIPDQMLEEVRDSFPNRHPIW >PAN39814 pep chromosome:PHallii_v3.1:7:42859957:42862397:-1 gene:PAHAL_7G270300 transcript:PAN39814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTMLFMKLYMDGFSDCTSTQVKTQLKKLPHRVGENCFALAEPFQGQTTAFLPRSNVPRSRQLQELLWVLPNYITELAFVQNLPKRRKKEKIPDQMLEEVRDSFPNRHPIWFKIIREVSGIALHLYFWCHVDS >PAN39815 pep chromosome:PHallii_v3.1:7:42859957:42862396:-1 gene:PAHAL_7G270300 transcript:PAN39815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFSDCTSTQVKTQLKKLPHRVGENCFALAEPFQGQTTAFLPRSNVPRSRQLQELLWVLPNYITELAFVQNLPKRRKKEKIPDQMLEEVRDSFPNRHPIWFKIIREVSGIALHLYFWCHVDS >PAN39813 pep chromosome:PHallii_v3.1:7:42859956:42862397:-1 gene:PAHAL_7G270300 transcript:PAN39813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTMLFMKLYMDGFSDCTSTQVKTQLKKLPHRVGENCFALAEPFQGQTTAFLPRSNVPRSRQLQELLWVLPNYITELAFVQNLPKRRKKEKIPDQMLEEVRDSFPNRHPIWFLAKGKVSQKKEGNYFSKLCTW >PAN38703 pep chromosome:PHallii_v3.1:7:37768019:37771292:-1 gene:PAHAL_7G190800 transcript:PAN38703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRGHLDGLSAQAAGLMRHGSFAAGSLSSRSPLDSSSTLEMLEKKLAMQTAEVEKLIRENQRLATSHEVLRQDIVDTEKEMQLIRTHLGEVQTETDLQIRDLLERIRLMEADIQSGDAVNKELHQVHMEAKRLIAERQMLTLEIENANKEIQKLSASGDNKSLPELLAELDGLRKEHHNLRSQFEFEKNTNVKQVEQMRTMEMNLITMTKQAEKLRTDVANAERRAQAAAAQAAAQAAGAQVATSQPGTAQATAASAAATNPYASAYANYPTAYQQGTQPGEYQQGTQAGAYQQGTQPAVYQQGTQAGAYTYAYDAATAYQMHAAQASAYGGYSGYPVAGYTQSAVPNYPAAYAVPPQPINSGAATDVTNLYGAIGITGYPAGQVQPSSGTANAAQAPPPPPPPTTPYPSTYDPARGAQR >PVH35457 pep chromosome:PHallii_v3.1:7:37767027:37772073:-1 gene:PAHAL_7G190800 transcript:PVH35457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRGHLDGLSAQAAGLMRHGSFAAGSLSSRSPLDSSSTLEMLEKKLAMQTAEVEKLIRENQRLATSHEVLRQDIVDTEKEMQLIRTHLGEVQTETDLQIRDLLERIRLMEADIQSGDAVNKELHQVHMEAKRLIAERQMLTLEIENANKEIQKLSASGDNKSLPELLAELDGLRKEHHNLRSQFEFEKNTNVKQVEQMRTMEMNLITMTKQAEKLRTDVANAERRAQAAAAQAAAQAAGAQVATSQPGTAQATAASAAATNPYASAYANYPTAYQQGTQPGEYQQGTQAGAYQQGTQPAVYQQGTQAGAYTYAYDAATAYQMHAAQASAYGGYSGYPVAGYTQSAVPNYPAAYAVPPQPINSGAATDVTNLYGAIGITGYPAGQVQPSSGTANAAQAPPPPPPPTTPYPSTYDPARGAQR >PVH35458 pep chromosome:PHallii_v3.1:7:37768019:37772073:-1 gene:PAHAL_7G190800 transcript:PVH35458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRGHLDGLSAQAAGLMRHGSFAAGSLSSRSPLDSSSTLEMLEKKLAMQTAEVEKLIRENQRLATSHEVLRQDIVDTEKEMQLIRTHLGEVQTETDLQIRDLLERIRLMEADIQSGDAVNKELHQVHMEAKRLIAERQMLTLEIENANKEIQKLSASGDNKSLPELLAELDGLRKEHHNLRSQFEFEKNTNVKQVEQMRTMEMNLITMTKQAEKLRTDVANAERRAQAAAAQAAAQAAGAQVATSQPGTAQATAASAAATNPYASAYANYPTAYQQGTQPGEYQQGTQAGAYQQGTQPAVYQQGTQAGAYTYAYDAATAYQMHAAQASAYGGYSGYPVAGYTQSAVPNYPAAYAVPPQPINSGAATDVTNLYGAIGITGYPAGQVQPSSGTANAAQAPPPPPPPTTPYPSTYDPARGAQR >PAN40215 pep chromosome:PHallii_v3.1:7:44746840:44752501:1 gene:PAHAL_7G299400 transcript:PAN40215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPELGGGDGSYDFHLRSLSAASRDSAAAADPASDPNLLQSVRRVCKMCREAKEARDEMVARAFPVMSKLFQRCAAAPTQAVASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPIVAERTLEFLVANKTKILSSFPTLIPQFYPLLLKLIASNGERLEKKFLEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDSRGNSGSDDSSPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQVAVNSPQSDRLKQSLEMAPRFLSLYFAIALRDVNDSLLCALIPVVMSRYAAMFPDKVFSFEVRKRLLDFILAAFQRSPDIIAVLKKPITDRLGEAHDNPAKTELALHLCWAIGEHGAGGINCKDVARELFENLELLLYENLATSRLGLSQEPGFDSMGASSRKSSQARLLCFVVTAIAKLATCHSELLPRARVSLAKVARSRTSDRRVWQRACDYLGLINEPAICLSVLGPSTAQGNGPGIVDWCEGGTTMVAHVPFYLLAEQKGPPFHDFSFADLLPAE >PVH35878 pep chromosome:PHallii_v3.1:7:44746840:44752501:1 gene:PAHAL_7G299400 transcript:PVH35878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPELGGGDGSYDFHLRSLSAASRDSAAAADPASDPNLLQSVTASPFEPFSSCLVYWMYIRIFSFLLLAPFFFSRVKVRRVCKMCREAKEARDEMVARAFPVMSKLFQRCAAAPTQAVASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPIVAERTLEFLVANKTKILSSFPTLIPQFYPLLLKLIASNGERLEKKFLEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDSRGNSGSDDSSPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQVAVNSPQSDRLKQSLEMAPRFLSLYFAIALRDVNDSLLCALIPVVMSRYAAMFPDKVFSFEVRKRLLDFILAAFQRSPDIIAVLKKPITDRLGEAHDNPAKTELALHLCWAIGEHGAGGINCKDVARELFENLELLLYENLATSRLGLSQEPGFDSMGASSRKSSQARLLCFVVTAIAKLATCHSELLPRARVSLAKVARSRTSDRRVWQRACDYLGLINEPAICLSVLGPSTAQGNGPGIVDWCEGGTTMVAHVPFYLLAEQKGPPFHDFSFADLLPAE >PAN37921 pep chromosome:PHallii_v3.1:7:33557946:33562099:1 gene:PAHAL_7G133100 transcript:PAN37921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLAFSQDSPPISIICAAKVAGIPLTVDPSLAAGSAPTLQFGFGESLHGVNPILHFIARGASFSSFSGQNAIEFGHVVEWLEYAPMFLLGSEFENACSFVDGYLASRTFLVGHGLTIADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAEYRDTLNEIVAAYVGKRGIGKSPSPSLKEKVHDSKDPSAPEVDLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEQMRKERMDGIESKCRNNTIEENLSLWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLTNGPEKPFVRILPRHKKCEAAGKKATTFANRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELVGELHLEGSVKTTKLKTTWLADIDELVPLSLVEFDYLISKKKLEEDEDFLDNLNPCTRRETPALGDANMRNLKRGEVIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQGSLN >PVH35233 pep chromosome:PHallii_v3.1:7:33557521:33562189:1 gene:PAHAL_7G133100 transcript:PVH35233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLAFSQDSPPISIICAAKVAGIPLTVDPSLAAGSAPTLQFGFGESLHGVNPILHFIARGASFSSFSGQNAIEFGHVVEWLEYAPMFLLGSEFENACSFVDGYLASRTFLVGHGLTIADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAEYRDTLNEIVAAYVGKRGIGKSPSPSLKEKVHDSKDPSAPEVDLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEQMRKERMDGIESKCRNNTIEENLSLWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLTNGPEKPFVRILPRHKKCEAAGKKATTFANRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELVGELHLEGSVKTTKLKTTWLADIDELVPLSLVEFDYLISKKKLEEDEDFLDNLNPCTRRETPALGDANMRNLKRGEVIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQGSLN >PAN39943 pep chromosome:PHallii_v3.1:7:43467867:43472817:-1 gene:PAHAL_7G280300 transcript:PAN39943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWRKKVVSPARRAWAAVSTRVRARNPGGGGSILKLHEDVQTCGYRDVQVMFEILTSELEVASHGPKHHPRKRPAWTPPPPWPSHRSSSMIAAAQ >PVH35789 pep chromosome:PHallii_v3.1:7:43466169:43473201:-1 gene:PAHAL_7G280300 transcript:PVH35789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWRKKVVSPARRAWAAVSTRVRARNPGGGGSILKLHEDVQTCGYRDVQVMFEILTSELEVASHGPKHHPRKRPAWTPPPPWPSHRSSSMIAAAQ >PAN37708 pep chromosome:PHallii_v3.1:7:31701989:31704036:-1 gene:PAHAL_7G116100 transcript:PAN37708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAANILLAMTMASLSLAASSAPAPGPTATVRAGFYLAAAAHLRPLAALDASLYTHLYYSALAVHPTTRKLALPADPHQAGLLAAFSPVLKSRNRALRTLLSVGAGAGVAGAGSQSQTDPAFAAMAADPASRAAFVAAAVALARGSGFDGLDVAWRFPASAVEMADFGFLVSEWRAAAPPGFLLTATVYFSNHVFDAPLPGVDYPSEAVARCLDWVNVVAFGLRPRGAGATAFDAPLYDRASHFSASYGVGSWIDAGVPAGKVVMGLPLYGRSWFLRNKANSGVGAPVVAAGPKQRGSNATGVMSYAEVQKLAAAGGGSGPQRSVTTTYDNASVASYLSVGDVWVAFDGAAVVSEKLAFVARRGLLGYFLWPVNYDDANLTVSRTASEVWMQNEISSSSKNGTGVRQTQGPVRLPPALRSPAGTPGPVPAPTSGSGSWLPWTKLNGLLHLWLLILVWC >PVH35169 pep chromosome:PHallii_v3.1:7:31701991:31704036:-1 gene:PAHAL_7G116100 transcript:PVH35169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAANILLAMTMASLSLAASSAPAPGPTATVRAGFYLAAAAHLRPLAALDASLYTHLYYSALAVHPTTRKLALPADPHQAGLLAAFSPVLKSRNRALRTLLSVGAGAGVAGAGSQSQTDPAFAAMAADPASRAAFVAAAVALARGSGFDGLDVAWRFPASAVEMADFGFLVSEWRAAAPPGFLLTATVYFSNHVFDAPLPGVDYPSEAVARCLDWVNVVAFGLRPRGAGATAFDAPLYDRASHFSASYGVGSWIDAGVPAGKVVMGLPLYGRSWFLRNKANSGVGAPVVAAGPKQRGSNATGVMSYAEVQKLAAAGGGSGPQRSVTTTYDNASVASYLSVGDVWVAFDGAAVVSEKLAFVARRGLLGYFLWPVNYDDANLTVSRTASEVWMQNEISSSSKNGTGVRQTQGPVRLPPALRSPAGTPGPVPAPTSGSGSWLPWTKLNGLLHLWLLILVWC >PAN40537 pep chromosome:PHallii_v3.1:7:44840791:44843387:-1 gene:PAHAL_7G301300 transcript:PAN40537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEKNAAGKAKKKKKPNNSKGGPLAMVRWFRVVLEEAHAVKRHQTQMAKACCGLSAERRWCLSGTPIQNSIDDLYSYFRFLKYEPYSNFSSLRSMIKNPVSRNATHGYKKLQTVLSIVLLRRIKEMLLDGEPIINIPPKTIQLSKIDFTKEERAFYLYLEESSCQKLKGRSKKYIQKNYVHILALLSQLRQACNHAFLFVGPRIKASTPPTITPGFYGRVG >PAN40581 pep chromosome:PHallii_v3.1:7:46473925:46476352:-1 gene:PAHAL_7G326100 transcript:PAN40581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Naringenin,2-oxoglutarate 3-dioxygenase [Source:Projected from Arabidopsis thaliana (AT3G51240) UniProtKB/Swiss-Prot;Acc:Q9S818] MLLYNAAAQSSSVQYHHHHQPPPPSTFQSSKREAARRTTSATPQMAPVSSAVPFLPTASSEATLRPSFVREEDERPRVPHDSFSDEVPVISLEGIDDDGGARRGEIRARVAAACEGWGIFQVVDHGVDAALVAEMTRLARDFFALPPQEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYSRWPDKPPAWRSVVEQYSEQLMGLSCKLLGVLSEAMGLEADALGKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVKPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSECSRLSIATFQNPAPDATVYPLAVREGEAPILDEPITFAEMYRRKMARDIELAKLKKQAKAEKQQQQVRMQQQSANKEFAVPKPKSLDEILA >PAN39541 pep chromosome:PHallii_v3.1:7:41477459:41483763:1 gene:PAHAL_7G250100 transcript:PAN39541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREEVTEFLGQVPLLQCLPGSSIRRIAEAVQVKRYEPGDYVAREGEPVDGLYIILDGQAEVSASVNAEEANRPDYVLNKYDYFGYGTNSSDHQVNVVALSKLTCFILPNQYGYLLQPKTIWNAEETPEHSLLEQILHLEPLEVDIFRGFTLPEAPTFRQVFGGQLIGQALAAASKTVDCLKMVHSLHAIFLVAGDNNLPIIYQVHRARDGSSFATRKVEAKQKGLVIFTLIASFQKEEVGFEHQAAIMPDVPPPEQLLNLEEIRERRLTDPRFPTQYRNSAAKKKFTPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDQALHRCVVAYASDLLFSGVSLNSHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIESPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRREKTRGPKPRPKL >PVH35702 pep chromosome:PHallii_v3.1:7:41478752:41483763:1 gene:PAHAL_7G250100 transcript:PVH35702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSVLKAEVSASVNAEEANRPDYVLNKYDYFGYGTNSSDHQVNVVALSKLTCFILPNQYGYLLQPKTIWNAEETPEHSLLEQILHLEPLEVDIFRGFTLPEAPTFRQVFGGQLIGQALAAASKTVDCLKMVHSLHAIFLVAGDNNLPIIYQVHRARDGSSFATRKVEAKQKGLVIFTLIASFQKEEVGFEHQAAIMPDVPPPEQLLNLEEIRERRLTDPRFPTQYRNSAAKKKFTPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDQALHRCVVAYASDLLFSGVSLNSHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIESPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRREKTRGPKPRPKL >PVH35482 pep chromosome:PHallii_v3.1:7:38060703:38065815:1 gene:PAHAL_7G195900 transcript:PVH35482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) UniProtKB/Swiss-Prot;Acc:Q9LK22] MEAEYPHHQAGPSSSSSSSTTTLLWPCATRRRKRGEDGDDELSPASVAMDPDADAQRAADKLKAVGEELGHQIRVFSSEKFALQPNKLPSADHEEDDDFYELQPADYFNLVSNRMAEQSKMLKTRKIREAELAAQRAKITKAVMRVRFPDDHILEADFLPSERIHSLVDLLMKVLARPDLPFYLYTVPPKKRILDTSQDFYTAGFVPGANIHFSYDLPEDELKAGPFLREEIQNLDGLSLLLKPASQPDDSRMNSSALQSSVPQPDPVPTTNKKPGKPKWLKR >PAN38763 pep chromosome:PHallii_v3.1:7:38060703:38065815:1 gene:PAHAL_7G195900 transcript:PAN38763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) UniProtKB/Swiss-Prot;Acc:Q9LK22] MEAEYPHHQAGPSSSSSSSTTTLLWPCATRRRKRGEDGDDELSPASVAMDPDADAQRAADKLKAVGEELGHQIRVFSSEKFALQPNKLPSADHEEDDDFYELQPADYFNLVSNRMAEQSKMLKTRKIREAELAAQRAKITKAVMRVRFPDDHILEADFLPSERIHSLVDLLMKVLARPDLPFYLYTVPPKKRILDTSQDFYTAGFVPGANIHFSYDLPEGSYTDELKAGPFLREEIQNLDGLSLLLKPASQPDDSRMNSSALQSSVPQPDPVPTTNKKPGKPKWLKR >PVH35490 pep chromosome:PHallii_v3.1:7:38180769:38181657:-1 gene:PAHAL_7G198300 transcript:PVH35490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRVQPRHGVAHALRDDAHAPVVGERDDDGGRWRGASYHDHTSSTPHPVGEGIVGGLGTKIYPIRFHSRVSRQSQGEGAGDWLHFYLFLDYTRPRVAVVWAGRFRHLRPHAVSRKPNSPFSSNHLAILIGGARGTGVQQHDACHEQPRADGQRRRASQLLREHATPPEGRGTSAGMRGRSSFGCSELKRSVLAVELVQGCSLLPATWMSGFGSLCALLYSY >PAN40806 pep chromosome:PHallii_v3.1:7:47436225:47437196:-1 gene:PAHAL_7G342400 transcript:PAN40806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQARPYSGIFCGGVSARTGPHALPLARIKKIMKRSAGETADGGARMISGEAPVVFSKACELFIAELTRRAWAATLEGKRRTVHKEDVATAVQNTDLFDFLVDVVMADAGGGGHAAAGQYDEDDGALE >PAN40889 pep chromosome:PHallii_v3.1:7:47745273:47750762:1 gene:PAHAL_7G348100 transcript:PAN40889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPLRRSSPIPLLLVLLFVVSSPLFFSPSPAANAVGDCPLDFSWANFTLATAACSDPAQRAACCRYINAFVAISIARYANATGRLGVPPAFAEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMMQSPNFNDVIGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVALSVCRNAIFVTLATQEGILSYDDIVTCFFGVQGITTFPGPSSVTSTPASSPNVTVDSPALKIKSLPQKHQQHYRITAIPGIGIGVILLAVLLQIILVVLIRRKSKELKNAEFPAQNQDNTFHHNQSWRYPEGQSPMFQRYSYKETTKATDNFSTVIGKGGFGTVFKAQFSDGSVAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIEKKERFLVYEYMANGSLKDHLHSSGRKPLSWQTRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDEHFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQDNKNLVEWAQMHLSSGVISPEMVDLRIRSAIDMDQLHLVIGIVQWCTQREGRQRPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRSSRSGAQHRNDLIPHSGDMRSLHSSSSTTRSYCSRSMLLESGQTQSPPETL >PVH36068 pep chromosome:PHallii_v3.1:7:47745622:47750762:1 gene:PAHAL_7G348100 transcript:PVH36068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWFLYVSASKCNDYCRLYDLETDPYLLYCPLDFSWANFTLATAACSDPAQRAACCRYINAFVAISIARYANATGRLGVPPAFAEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMMQSPNFNDVIGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVALSVCRNAIFVTLATQEGILSYDDIVTCFFGVQGITTFPGPSSVTSTPASSPNVTVDSPALKIKSLPQKHQQHYRITAIPGIGIGVILLAVLLQIILVVLIRRKSKELKNAEFPAQNQDNTFHHNQSWRYPEGQSPMFQRYSYKETTKATDNFSTVIGKGGFGTVFKAQFSDGSVAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIEKKERFLVYEYMANGSLKDHLHSSGRKPLSWQTRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDEHFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQDNKNLVEWAQMHLSSGVISPEMVDLRIRSAIDMDQLHLVIGIVQWCTQREGRQRPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRSSRSGAQHRNDLIPHSGDMRSLHSSSSTTRSYCSRSMLLESGQTQSPPETL >PVH35815 pep chromosome:PHallii_v3.1:7:43846417:43851783:1 gene:PAHAL_7G285100 transcript:PVH35815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSESQIVVEKNPSTSVDAKDQLVLSKDKKISTTVVQGASSLESPKSAQEESSLMGKGGEQQFGYQPHVYASQPQALFSGGYLNHLGQWEEYPYVVSAEDLDAAYLVTYGAYSPLSTFGDNQSYFSLLYPLSSPYYQPPASASMGYSSSATGISQFDPLHQYYLPDELYYSSTPGFHQPFGSFDGVPMHPSGIPEFFGQGNVPLNSEMHQGSMYNSGSYTALEQGGKYGGSIACCSASGRSGTFNKGFRHEKGSLDFLYEQSRGPRATKTKKEVESSSAVDKNKKALLIVDPDKYNHPDFVTEYKDAKFFVIKSYTEDHVHKSIKYNVWASTASGNRKLNTAYREAKDKEEYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKNVDYWQNDRWSGQFPVKWHIVKDVPNNIVRHIILENNEDKRVTNSRDTQEVKLELGVQMLAIFKNHDAKTTILEDFDFYEQQEKAMLDNRQQLKAVQLEGTRTKKSV >PAN40021 pep chromosome:PHallii_v3.1:7:43846417:43851783:1 gene:PAHAL_7G285100 transcript:PAN40021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSESQIVVEKNPSTSVDAKDQLVLSKDKKISTTVVQGASSLESPKSAQEESSLMGKGGEQQFGYQPHVYASQPQALFSGGYLNHLGQWEEYPYVVSAEDLDAAYLVTYGAYSPLSTFGDNQSYFSLLYPLSSPYYQPPASASMGYSSSATGISQFDPLHQYYLPDELYYSSTPGFHQPFGSFDGVPMHPSGIPEFFGQGNVPLNSEMHQGSMYNSGSYTALEQGGKYGGSIACCSASGRSGTFNKGFRHEKGSLDFLYEQSRGPRATKTKKEVESSSAVDKNKKALLIVDPDKYNHPDFVTEYKDAKFFVIKSYTEDHVHKSIKYNVWASTASGNRKLNTAYREAKDKEEYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKNVDYWQNDRWSGQFPVKWHIVKDVPNNIVRHIILENNEDKRVTNSRDTQEVKLELGVQMLAIFKNHDAKTTILEDFDFYEQQEKAMLDNRQQLKVQCADAKTQKLVEASVAVGIVTQISDTFAQAVQLEGTRTKKSV >PVH35816 pep chromosome:PHallii_v3.1:7:43846417:43851783:1 gene:PAHAL_7G285100 transcript:PVH35816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSESQIVVEKNPSTSVDAKDQLVLSKDKKISTTVVQGASSLESPKSAQEESSLMGKGGEQQFGYQPHVYASQPQALFSGGYLNHLGQWEEYPYVVSAEDLDAAYLVTYGAYSPLSTFGDNQSYFSLLYPLSSPYYQPPASASMGYSSSATGISQFDPLHQYYLPDELYYSSTPGFHQPFGSFDGVPMHPSGIPEFFGQGNVPLNSEMGSMYNSGSYTALEQGGKYGGSIACCSASGRSGTFNKGFRHEKGSLDFLYEQSRGPRATKTKKEVESSSAVDKNKKALLIVDPDKYNHPDFVTEYKDAKFFVIKSYTEDHVHKSIKYNVWASTASGNRKLNTAYREAKDKEEYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKNVDYWQNDRWSGQFPVKWHIVKDVPNNIVRHIILENNEDKRVTNSRDTQEVKLELGVQMLAIFKNHDAKTTILEDFDFYEQQEKAMLDNRQQLKVQCADAKTQKLVEASVAVGIVTQISDTFAQAVQLEGTRTKKSV >PVH35817 pep chromosome:PHallii_v3.1:7:43847694:43851783:1 gene:PAHAL_7G285100 transcript:PVH35817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSSSATGISQFDPLHQYYLPDELYYSSTPGFHQPFGSFDGVPMHPSGIPEFFGQGNVPLNSEMHQGSMYNSGSYTALEQGGKYGGSIACCSASGRSGTFNKGFRHEKGSLDFLYEQSRGPRATKTKKEVESSSAVDKNKKALLIVDPDKYNHPDFVTEYKDAKFFVIKSYTEDHVHKSIKYNVWASTASGNRKLNTAYREAKDKEEYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKNVDYWQNDRWSGQFPVKWHIVKDVPNNIVRHIILENNEDKRVTNSRDTQEVKLELGVQMLAIFKNHDAKTTILEDFDFYEQQEKAMLDNRQQLKVQCADAKTQKLVEASVAVGIVTQISDTFAQAVQLEGTRTKKSV >PAN39204 pep chromosome:PHallii_v3.1:7:40116035:40121366:1 gene:PAHAL_7G227700 transcript:PAN39204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAVPPPPPPHLRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWCDAQVGHYIGIDASASGVSDARELWENKRKPFTAEFIELDPSDDDFEAQVQEKGIQADIVCCMQHLQLCFESEERAKKLLNNASSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPFFGKKYQLKFASEAVSENHCLVHFPSLMRLAREAGLEYVEIQNLTEFYDDNRLLFNFCIPEA >PAN39202 pep chromosome:PHallii_v3.1:7:40116035:40121366:1 gene:PAHAL_7G227700 transcript:PAN39202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAVPPPPPPHLRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWCDAQVGHYIGIDASASGVSDARELWENKRKPFTAEFIELDPSDDDFEAQVQEKGIQADIVCCMQHLQLCFESEERAKKLLNNASSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPFFGKKYQLKFASEAVSENHCLVHFPSLMRLAREAGLEYVEIQNLTEFYDDNRTQFAPMLGGYSASFLDARGKLVTRSYDILGFYSTFVFQKPDPDAIPPIVTPDLHDTDSSQEEDWLRQQQAADDGRRPHTDVLPLDHEKGILGPGPADMRL >PVH35622 pep chromosome:PHallii_v3.1:7:40116035:40121366:1 gene:PAHAL_7G227700 transcript:PVH35622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAVPPPPPPHLRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWCDAQVGHYIGIGVSDARELWENKRKPFTAEFIELDPSDDDFEAQVQEKGIQADIVCCMQHLQLCFESEERAKKLLNNASSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPFFGKKYQLKFASEAVSENHCLVHFPSLMRLAREAGLEYVEIQNLTEFYDDNRTQFAPMLGGYSASFLDARGKLVTRSYDILGFYSTFVFQKPDPDAIPPIVTPDLHDTDSSQEEDWLRQQQAADDGRRPHTDVLPLDHEKGILGPGPADMRL >PAN39201 pep chromosome:PHallii_v3.1:7:40116691:40118675:1 gene:PAHAL_7G227700 transcript:PAN39201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAVPPPPPPHLRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWCDAQVGHYIGIDASASGVSDARELWENKRKPFTAEFIELDPSDDDFEAQVQEKGIQADIVCCMQHLQLCFESEERAKKLLNNASSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPFFGKKYQLKFASEAVSENHCLVHFPSLMRLAREAGLEYVEIQNLTEFYDDNRTQFAPMLGGYSASFLDARGKLVTRSYDILGSAEFFFFAALDHKVPVYSMFPPGFCRLLFNFCIPEA >PVH35623 pep chromosome:PHallii_v3.1:7:40116691:40118232:1 gene:PAHAL_7G227700 transcript:PVH35623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAVPPPPPPHLRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWCDAQVGHYIGIDASASGVSDARELWENKRKPFTAEFIELDPSDDDFEAQVQEKGIQADIVCCMQHLQLCFESEERAKKLLNNASSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPFFGKKYQLKFASEAVSENHCLVHFPSLMRLC >PAN39203 pep chromosome:PHallii_v3.1:7:40116035:40121366:1 gene:PAHAL_7G227700 transcript:PAN39203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAVPPPPPPHLRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWCDAQVGHYIGIDASASGVSDARELWENKRKPFTAEFIELDPSDDDFEAQVQEKGIQADIVCCMQHLQLCFESEERAKKLLNNASSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPFFGKKYQLKFASEAVSENHCLVHFPSLMRLLFNFCIPEA >PAN36803 pep chromosome:PHallii_v3.1:7:730964:745879:-1 gene:PAHAL_7G002800 transcript:PAN36803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTMLQPQVFFLCSIILTFYFALLQPVTAQITAPWEVDALKAIRGSLIDPLERLNSWNRGDPCTGNWSHVICYNATSKIDGYLHIQELQLLALNLSGTLAPELGQLSQMRIMDFMWNSIGGSIPKEVGNITSLELMLLNGNQLNGSLPEEIGFLPNLNRIQIDQNQISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYIPPELSKLPKVLIIQLDNNNFSGSSVPPSYGNIMTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGPIPSSRFASNITTIDLSHNHLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSNIWQNIDLSGNRSLILDFQNNALTNLSTPLSPPDNVTILLHGNPVCTVQNQQNISQYCQSTPVVAHGGSSSNSTLCGPCSTDLPYERVPMSPIPCSCAVPLYVDYRLKSPGLSNFVPYEVLFQQYLSSGLSLLSYQLEVSTFMWEEGPRLKMYLKLFPNNTILFNASEVSRLRGMFTGWQIPDSDIFGPYELINFNPGWYNNILPHGTKSSLSTGAIVGIVMAAFAAAAILSSLITIVILRRRSKHSSSKKRSAKRISMKIAGVKDFTFEELSHCTNDFDDSTLIGQGGYGKVYRGLLADGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLFGYCDEEDEQMLVYEFMPNGNLRDHLSAKAKVPLDFPMRLQIALGSSRGILYLHTEADPPIYHRDIKASNILLDSRFVAKVADFGLSRLAPLPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVLAANQSGMIFSVVDNRMGSYPAECMERFAALALRCCQDETDARPSMVEVVRELEGIRQMTPGTENIASSESGALAMGSSSSNTTGTPTASSASRMASSDDHYIASMEVSGSNLLSGVVPSINPR >PVH34668 pep chromosome:PHallii_v3.1:7:730964:745894:-1 gene:PAHAL_7G002800 transcript:PVH34668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTMLQPQVFFLCSIILTFYFALLQPVTAQITAPWEVDALKAIRGSLIDPLERLNSWNRGDPCTGNWSHVICYNATSKIDGYLHIQELQLLALNLSGTLAPELGQLSQMRIMDFMWNSIGGSIPKEVGNITSLELMLLNGNQLNGSLPEEIGFLPNLNRIQIDQNQISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYIPPELSKLPKVLIIQLDNNNFSGSSVPPSYGNIMTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGPIPSSRFASNITTIDLSHNHLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSNIWQNIDLSGNRSLILDFQNNALTNLSTPLSPPDNVTILLHGNPVCTVQNQQNISQYCQSTPVVAHGGSSSNSTLCGPCSTDLPYERVPMSPIPCSCAVPLYVDYRLKSPGLSNFVPYEVLFQQYLSSGLSLLSYQLEVSTFMWEEGPRLKMYLKLFPNNTILFNASEVSRLRGMFTGWQIPDSDIFGPYELINFNPGWYNNILPHGTKSSLSTGAIVGIVMAAFAAAAILSSLITIVILRRRSKHSSSKKRSAKRISMKIAGVKDFTFEELSHCTNDFDDSTLIGQGGYGKVYRGLLADGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLFGYCDEEDEQMLVYEFMPNGNLRDHLSAKAKVPLDFPMRLQIALGSSRGILYLHTEADPPIYHRDIKASNILLDSRFVAKVADFGLSRLAPLPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVLAANQSGMIFSVVDNRMGSYPAECMERFAALALRCCQDETDARPSMVEVVRELEGIRQMTPGTENIASSESGALAMGSSSSNTTGTPTASSASRMASSDDHYIASMEVSGSNLLSGVVPSINPR >PAN39641 pep chromosome:PHallii_v3.1:7:42025799:42027650:-1 gene:PAHAL_7G257100 transcript:PAN39641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRTEAARSRARPRHPRAHRSEHPPRWILYERVSHCCYSTGHSAYDSMPMPPRTNPDAVSLQLPTALGSGALLLRGTGCHCAAAARQPPQGEINQLQTDAIMPWEGADRDRLGPAAMIRARPGCSEYSAAGPRSAINPRRGEFSSTVRGVYWRLQAFSTGPVNWKCSGSPGR >PAN39642 pep chromosome:PHallii_v3.1:7:42026432:42027378:-1 gene:PAHAL_7G257100 transcript:PAN39642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRTEAARSRARPRHPRAHRSEHPPRWILYERVSHCCYSTGHSAYDSMPMPPRTNPDAVSLQLPTALGSGALLLRGTGCHCAAAARQPPQGEINQLQTDAIMPWEGADRDRLGPAAMIRARPGCSEYSAAGPRSAINPRRGEFSSTVRGVYWRLQAFSTGDCRTGELEV >PAN39640 pep chromosome:PHallii_v3.1:7:42026432:42027378:-1 gene:PAHAL_7G257100 transcript:PAN39640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRTEAARSRARPRHPRAHRSEHPPRWILYERVSHCCYSTGHSAYDSMPMPPRTNPDAVSLQLPTALGSGALLLRGTGCHCAAAARQPPQGREINQLQTDAIMPWEGADRDRLGPAAMIRARPGCSEYSAAGPRSAINPRRGEFSSTVRGVYWRLQAFSTGDCRTGELEV >PAN38362 pep chromosome:PHallii_v3.1:7:36294476:36300710:1 gene:PAHAL_7G166800 transcript:PAN38362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38359 pep chromosome:PHallii_v3.1:7:36294508:36300678:1 gene:PAHAL_7G166800 transcript:PAN38359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38355 pep chromosome:PHallii_v3.1:7:36294498:36300673:1 gene:PAHAL_7G166800 transcript:PAN38355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38354 pep chromosome:PHallii_v3.1:7:36295102:36300678:1 gene:PAHAL_7G166800 transcript:PAN38354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38357 pep chromosome:PHallii_v3.1:7:36294499:36300677:1 gene:PAHAL_7G166800 transcript:PAN38357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38360 pep chromosome:PHallii_v3.1:7:36294476:36300710:1 gene:PAHAL_7G166800 transcript:PAN38360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38365 pep chromosome:PHallii_v3.1:7:36295102:36300678:1 gene:PAHAL_7G166800 transcript:PAN38365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38361 pep chromosome:PHallii_v3.1:7:36294505:36300677:1 gene:PAHAL_7G166800 transcript:PAN38361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38358 pep chromosome:PHallii_v3.1:7:36294476:36300710:1 gene:PAHAL_7G166800 transcript:PAN38358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38363 pep chromosome:PHallii_v3.1:7:36295134:36300673:1 gene:PAHAL_7G166800 transcript:PAN38363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38364 pep chromosome:PHallii_v3.1:7:36295134:36300678:1 gene:PAHAL_7G166800 transcript:PAN38364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PAN38356 pep chromosome:PHallii_v3.1:7:36295102:36300677:1 gene:PAHAL_7G166800 transcript:PAN38356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PVH35387 pep chromosome:PHallii_v3.1:7:36294476:36300710:1 gene:PAHAL_7G166800 transcript:PVH35387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILNFSPANAIYTIPATPHKHRGPHKQQGMASPAGRSSTRHSKIPEFLVGPIGQPMPAVGLGTASHPFVEEEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEAVRCGIVASREDLFVTSKVWCTQCHPQLVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDRSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRARGKSAAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRLKIGQIPQCKLITVQNLLCPEGISSVDISDVDVLEM >PVH35831 pep chromosome:PHallii_v3.1:7:44013040:44017111:1 gene:PAHAL_7G287300 transcript:PVH35831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSTPSRTLAPAPAPAGLRGRAPAQAHLPRGCPAPRRSRALRVRASVAIEKETPESEPPPTFLREDGRGAGSGSVRERFEAMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLEDGRVFEKAGVNVSVVYGVMPPDAYRAAKGVAGKNGAAEGDKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFHPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVLPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHKPEEGTEEWKLLDACINPKDWI >PAN38337 pep chromosome:PHallii_v3.1:7:33488659:33489464:1 gene:PAHAL_7G132700 transcript:PAN38337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARGLISISKSNCVISAHLHNCIRTQQEASSTCILAPQQVNNNNKKLTSTRATRTSRFYNGTHSDEQQKQHTQTWQKTSICITHTHRHRLTGECRCLNYYWQ >PAN39697 pep chromosome:PHallii_v3.1:7:42356381:42357365:1 gene:PAHAL_7G262100 transcript:PAN39697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAKPASRPAKTAAAPKPKLKPAAAKPKAAAAGASHPPYFEMIKEAITALKERTGSSSHAIAKYMEDKHGPSLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKEAPKGKPGAAKTAAAKPAKAATKPKKSAAAAKPKKTASAAAGTKRKAPEKKVVAKPKKSPAAKAKAKPKTVKSPASKKARKVAA >PAN38441 pep chromosome:PHallii_v3.1:7:36627415:36629353:1 gene:PAHAL_7G172200 transcript:PAN38441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGAAAGGGKPHAVLVPFAAQGHVTPMLKLGKILHCWGFDVTFVNTEYNHRRLLRSRGACALDGLPGFRFAAIPDGGTPSDADVTQDVPSLCRSTAETCLPHLRALLADLNASPDAPPVTCVVGDGVMSFTLEAAREIGVPCALLWTSSTCGYMGYRYYRTLIEEGVFPLKEEQLTNGFLDTPVDSVPGLSKHTRFKDLPSFIRSTDPNEFMVHFALKVTEQIAGADALLLNTFDELEHEAVDGMRDMIPPSASVHAVGPLAFLAEQIVPQGGQLDALGSNLWKEDVSCLAWLEGRRPGSVVFVNYGSVTVMTNAELMEFAWGLANSGHDFVWVIRPDLVSGDAAVLPPEFLEAVEGRGLLAGWCPQDAVLRHEAVGVFLTHSGWNSTLESLCAGVPMLCWPFFAEQQTNCRYKCGVWGVAMEIGHDVRREAVEGNIREMMGGEKGKEMRRRAAEWREAAVRATRPGGGSYASLEKLVNDVLLSGGKSS >PAN38956 pep chromosome:PHallii_v3.1:7:38867637:38868985:-1 gene:PAHAL_7G209300 transcript:PAN38956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEENETKSITIELKVYMHCDACERKVRRTINKVEGVETVEVDREENKVAVTGDFEPEKVVKKIKKKTGKKAEILIPEEDEEEEGTGQEPYYVPYEDPVLYPDADVPDEFRSYRRPERWDFHYFDDENAQACMVM >PAN40037 pep chromosome:PHallii_v3.1:7:44018784:44021228:1 gene:PAHAL_7G287400 transcript:PAN40037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFRVSLSTYKASSSAAAAAPHSTAEPSHPPRRRLPDLPMASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQAT >PVH35607 pep chromosome:PHallii_v3.1:7:39825464:39828629:1 gene:PAHAL_7G223900 transcript:PVH35607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFFSGLDSRLRVSVKAADSIMVGLVNAAMEDAYRKSLWKDGDLDRLFQKLRFAELAIMQLEWCLRFVRGEMEADDDQEQLLDDLLETRDQIQARLDEAELAVAEADRDYIRRKRAELGPACGRETPPAASLRGAEEECGRALGELRVSVIRKMSRMRSRLEDASSTLAALMEKVSGEASPMARLQEAGHEGEGVKGLSGFYGMAQLLMEFQEMVLDAGVVRDSVASSFDAMERSVSELGAAMDEQQWLMDAEREMYSAVMEGFVREINVGSDRTSSPGEGSRPPTSQHDSDATENSLEEFQSSEDETRQLQSGRHIAAEKSDSRQCYQLGEHCIHREEAERLTEDKIDSDVRSELQCVLYTAVFRDLVRKLAVQAHDVQKLKEEKDEVDVASKLQCEIYGSMFKDSLKKLAVLADAQKVTEERDEVDMRSELQNEIYSILVKDLLKEVAVDSADHFSRPSSKMKCMRFFFAKTLNAWKGTTEMVHSERHIKEEMMRNNVTTEDEGPDSDQHGVPVKQEILSLGANHDRRNSKGSDHQAEMYGQR >PAN40475 pep chromosome:PHallii_v3.1:7:45843993:45848542:-1 gene:PAHAL_7G318300 transcript:PAN40475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MAGWISSKLKAAETLLHQIDQQAAESLGKSPSASDLAALHPSPSADAFLDGPPARRPPAAAPRPSLGLRPAAKRPSPPSPAPRRSASAAAVLALQDQAGGGPPVEVKPEGDRGDRGDRGDGKGGASESGSGSDDESDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVARLEGEKAGLEKLLAEREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALASLARLEVTNAELAKSLAREQWNLEVQVDQVAQLREEIETKTLAVDKYKRKLAKIQKTSTPPVDEIESLRRFKLEEEIIDAEYTLTCDRIVSLKDKARKIEESIELTRRNMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLMRIESRDCSTIAPHRRLLQAHQE >PAN40476 pep chromosome:PHallii_v3.1:7:45844256:45848408:-1 gene:PAHAL_7G318300 transcript:PAN40476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MAGWISSKLKAAETLLHQIDQQAAESLGKSPSASDLAALHPSPSADAFLDGPPARRPPAAAPRPSLGLRPAAKRPSPPSPAPRRSASAAAVLALQDQAGGGPPVEVKPEGDRGDRGDRGDGKGGASESGSGSDDESDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVARLEGEKAGLEKLLAEREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALASLARLEVTNAELAKSLAREQWNLEVQVDQVAQLREEIETKTLAVDKYKRKLAKIQKTSTPPVDEIESLRRFKLEEEIIDAEYTLTCDRIVSLKDKARKIEESIELTRRNMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLMRIEAVSRLLDNSASSTASTSSSRIDIEAGAWQESYSPKLRDRIRAGQQQLGTAIRQLDLIFSAGHIFLRRNPKAQIWALVYLVCLHLWVLYILTSHPAVSETRPGAAFSLETLNKTSI >PAN40525 pep chromosome:PHallii_v3.1:7:44809419:44811305:1 gene:PAHAL_7G300600 transcript:PAN40525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MTAAAAGDRFPSSSPPRAASAWPPPAPPELAMVARAVQRLVARNDAVAAPDGRGGGGARGMRAFEAARGAPAPRIGVAEYLERMHRYAGLDPECYVVAYAYVDMAAHRRPAAAVASRNVHRLLLACLLLASKVLDDFHHSNAFFARVGGVSNAEMNKLELELLGVLDFAVAVDHRAYDRYREHLEKEMRRDHHVPPGAAPKPTTRAAAAPTAIKLLLPPLAEERRAEIDDGDSEERGRKPPPNGVRAGEEHDRKLPNGVAPGAKTLRELCALDYY >PVH34883 pep chromosome:PHallii_v3.1:7:16560076:16570779:-1 gene:PAHAL_7G055700 transcript:PVH34883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERMLESQERTEDNELHGSHTWLPGGWLIEVRAGGKKMDKMYKFYFHPPTGIRFLSKGEVLRYVNEGKISACDMDVLCDTSTDDNILAHVEFSPDGLPDGWVKETIFRKCNDGIRKDPYYTDPISHRVFRTLKSVLSYLGTGEISKHAYSPRRNVIDMYSFDKCADLPQSMLKRLKAEGQTKHKSRRALVLNKELPNDQTSNHSEGGLTPKSGPKGIKFGNEKGTSTHVTGSETTRRQRGRPKKILKQTNESISDRDRRHNETKHNEVKEEVDIGVEEGMPNGKTKEHTEMSECTTVIQEVQNNISIAERNLSKRKGDKSDLVAGLGLRSQENGRLTEAGEKATCSSVHKFYKRRCSNQMLGSNKG >PVH34882 pep chromosome:PHallii_v3.1:7:16559609:16572445:-1 gene:PAHAL_7G055700 transcript:PVH34882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERMLESQERTEDNELHGSHTWLPGGWLIEVRAGGKKMDKMYKYYTDPISHRVFRTLKSVLSYLGTGEISKHAYSPRRNVIDMYSFDKCADLPQSMLKRLKAEGQTKHKSRRALVLNKELPNDQTSNHSEGGLTPKSGPKGIKFGNEKGTSTHVTGSETTRRQRGRPKKILKQTNESISDRDRRHNETKHNEVKEEVDIGVEEGMPNGKTKEHTEMSECTTVIQEVQNNISIAERNLSKRKGDKSDLVAGLGLRSQENGRLTEAGEKATCSSVHKFYKRRCSNQMLGSNKG >PAN37300 pep chromosome:PHallii_v3.1:7:16559609:16567571:-1 gene:PAHAL_7G055700 transcript:PAN37300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCIGCPAHTRPSRMFYFHPPTGIRFLSKGEVLRYVNEGKISACDMDVLCDTSTDDNILAHVEFSPDGLPDGWVKETIFRKCNDGIRKDPYYTDPISHRVFRTLKSVLSYLGTGEISKHAYSPRRNVIDMYSFDKCADLPQSMLKRLKAEGQTKHKSRRALVLNKELPNDQTSNHSEGGLTPKSGPKGIKFGNEKGTSTHVTGSETTRRQRGRPKKILKQTNESISDRDRRHNETKHNEVKEEVDIGVEEGMPNGKTKEHTEMSECTTVIQEVQNNISIAERNLSKRKGDKSDLVAGLGLRSQENGRLTEAGEKATCSSVHKFYKRRCSNQMLGSNKG >PVH34885 pep chromosome:PHallii_v3.1:7:16560076:16572128:-1 gene:PAHAL_7G055700 transcript:PVH34885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSARRGGNGPLPAEGAPSELTPAAHPPPSVSRRQSRDASPPGWPGRAREKASLVISNDDTLKQLVGAEKQDGQGRDIVVVEEPDEMDEDSRSLEEPPGWLPDGWIMEVCRDDDSSIYRYYTSPVSGYTFTSKMETLEYLFSGMEERMLESQERTEDNELHGSHTWLPGGWLIEVRAGGKKMDKMYKFYFHPPTGIRFLSKGEVLRYVNEGKISACDMDVLCDTSTDDNILAHVEFSPDGLPDGWVKETIFRKCNDGIRKDPYYTDPISHRVFRTLKSVLSYLGTGEISKHAYSPRRNVIDMYSFDKCADLPQSMLKRLKAEGQTKHKSRRALVLNKELPNDQTSNHSEGGLTPKSGPKGIKFGNEKGTSTHVTGSETTRRQRGRPKKILKQTNESISDRDRRHNETKHNEVKEEVDIGVEEGMPNGKTKEHTEMSECTTVIQEVQNNISIAERNLSKRKGDKSDLVAGLGLRSQENGRLTEAGEKATCSSVHKFYKRRCSNQMLGSNKG >PVH34884 pep chromosome:PHallii_v3.1:7:16559609:16572445:-1 gene:PAHAL_7G055700 transcript:PVH34884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSARRGGNGPLPAEGAPSELTPAAHPPPSVSRRQSRDASPPGWPGRAREKASLVISNDDTLKQLVGAEKQDGQGRDIVVVEEPDEMDEDSRSLEEPPGWLPDGWIMEVCRDDDSSIYRYYTSPVSGYTFTSKMETLEYLFSGMEERMLESQERTEDNELHGSHTWLPGGWLIEVRAGGKKMDKMYKYYTDPISHRVFRTLKSVLSYLGTGEISKHAYSPRRNVIDMYSFDKCADLPQSMLKRLKAEGQTKHKSRRALVLNKELPNDQTSNHSEGGLTPKSGPKGIKFGNEKGTSTHVTGSETTRRQRGRPKKILKQTNESISDRDRRHNETKHNEVKEEVDIGVEEGMPNGKTKEHTEMSECTTVIQEVQNNISIAERNLSKRKGDKSDLVAGLGLRSQENGRLTEAGEKATCSSVHKFYKRRCSNQMLGSNKG >PVH35479 pep chromosome:PHallii_v3.1:7:38011718:38013743:-1 gene:PAHAL_7G195000 transcript:PVH35479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFHSATVTLHVGDVTVDTQLHIFQLTTASLSSSQPPPPALYLRPPSPVACKTCASTQQQGCPRATTSLARGAQPVSIPMAVACAHAQPAWALALAALGLLVSARAAARLALWLYAAFLRPARPLRRRYGAWAVVTGATDGIGRALASRLAGAGLGLVLVGRSPDRLAAASADIRARHPGAQVRTFVLDLAADGLAARVGALGEYIRDLDVGVLVNNAGACYPYARYFHELDEALARDMVRINVEATTRVTHAVLPGMVERGRGAIVNIGSGGASNMPSCPLHTVYAATKAFVDQFSRALYVEYKSKGIDVQCQVPMYVATKMASIRNPSFFAPSPEAYSRAAVRYIGYEPRCTPYWPHALWKLVWLLPGPVADRVILSMALDGRAKGRAKDARKKAQ >PVH35418 pep chromosome:PHallii_v3.1:7:37023823:37027461:1 gene:PAHAL_7G178400 transcript:PVH35418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDAPNALASVADHPHPAPPSRLVSKHRPRRRAAASRPPLPPPAPALSLPDLTQCHCCGVRFPTPQPGAKPKRRPVRPLSSLWRVVLLCAECLSLVSSAAVCSYCLSLDNLPPEDSAVSCRRCRRFVHQSCIPAEHRAALIQPVDVEDFLCVDCCPTVRPKVGGFNLGMNLEAYPRDPTSVAGGDALRKVVEVKSPSKRGKEAVGTDGFGGRGSDDPVLLDEELALQLHLAMNGSQRISRSGNSSTGASVEPGKGNNGVVAGRIDNGNQEICITNMMAQLDDEAEPGRNRVLKRFRRSDSFVTVVLALECVKGKHTAKRKGPPVTLQQNGLVNPYKKKYSKRSSIKQAKVEYIASRTMCDGNDIDGDHGDNGVAPMK >PVH35419 pep chromosome:PHallii_v3.1:7:37023824:37027413:1 gene:PAHAL_7G178400 transcript:PVH35419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDAPNALASVADHPHPAPPSRLVSKHRPRRRAAASRPPLPPPAPALSLPDLTQCHCCGVRFPTPQPGAKPKRRPVRPLSSLWRVVLLCAECLSLVSSAAVCSYCLSLDNLPPEDSAVSCRRCRRFVHQSCIPAEHRAALIQPVDVEDFLCVDCCPTVRPKVGGFNLGMNLEAYPRDPTSVAGGDALRKVVEVKSPSKRGKEAVGTDGFGGRGSDDPVLLDEELALQLHLAMNGSQRISRSGNSSTGASVEPGKGNNGVVAGRIDNGNQEICITNMMAQLDDEAEPGRNRVLKRFRRSDSFVTVVLALECVKGKHTAKRKGPPVTLQQNGLVNPYKKKYSKRSSIKQAKVEYIASRTMCDGNDIDGDHGDNGVAPMK >PAN38534 pep chromosome:PHallii_v3.1:7:37023824:37027461:1 gene:PAHAL_7G178400 transcript:PAN38534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDAPNALASVADHPHPAPPSRLVSKHRPRRRAAASRPPLPPPAPALSLPDLTQCHCCGVRFPTPQPGAKPKRRPVRPLSSLWRVVLLCAECLSLVSSAAVCSYCLSLDNLPPEDSAVSCRRCRRFVHQSCIPAEHRAALIQPVDVEDFLCVDCCPTVRPKVGGFNLGMNLEAYPRDPTSVAGGDALRKVVEVKSPSKRGKEAVGTDGFGGRGSDDPVLLDEELALQLHLAMNGSQRISRSGNSSTGASVEPGKGNNGVVAGRIDNGNQEICITNMMAQLDDEAEPGRNRVLKRFRRSDSFVTVVLALECVKGKHTAKRKGPPVTLQQNGLVNPYKKKYSKRSSIKQAKVEYIASRTMCDGNDIDGDHGDNGVAPMK >PVH35892 pep chromosome:PHallii_v3.1:7:44843688:44845237:-1 gene:PAHAL_7G301400 transcript:PVH35892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRVLVAIRPMAATSARRFARAGTMEIIDLSSDGEAIVDLCSDGEDSSSTNMCSDSEDDHGHHDFRNPFRQQPLHSLSTTSDDTSSDDEPVMLDGDGFLSTHQATSYYRTSKDLPRQPMPSVTSVIDFEADSSQNSLKRVILLSMRKPCMKKPCSISVRKKGKKICLKVFCQFRSLSISISLDGLQGE >PVH35416 pep chromosome:PHallii_v3.1:7:36991591:36993397:1 gene:PAHAL_7G178100 transcript:PVH35416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLLLKGVFMMSIFRSILPRVARSNGWRCFSTRIPYDNIAELNKEMESIFGESPSPSPLGSSPPQQPVQPAHEAEDSQPVLTHTDSSGQAKMVDVSPKEDSKRVAIASCRVLLGQKVFNLVASNEIAKGDVLTVAKIAGITGAKQTSNLIPLCHNINLSHVRVDLILNEEDSSVVIEGEATTSGKTGVEMEAMTAVAIAGLTVYDMCKPLQRTFV >PAN37352 pep chromosome:PHallii_v3.1:7:24833353:24834212:1 gene:PAHAL_7G084400 transcript:PAN37352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSMSSSLWSILLLLALAAALCTSSAATDDDVDDGSNGADPDAGRRARATATVAEILSVHNAARQAVGVPPLVWSPQIAGYAKGFAHSRRGDCAPRRSPLFYFGENIFVGKGRHWNATALAAPWVAEGQWYDYETNSCAAPPGAGCLRYTQVVWRNTTQVGCARIVCDSGDTLLVCDYFPPGNYGTGRPY >PVH35148 pep chromosome:PHallii_v3.1:7:31027772:31037407:-1 gene:PAHAL_7G112100 transcript:PVH35148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIQYFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGTCYVETSALDGETDLKTRIVPSISANLSVELLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTVNNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFLLQIVAVLVLGYFGNIWKDTQGLKQWYLMYPVKGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKQCCISNTTYGNDNGDALKDARLLNAVSSNDPDVIKFLMVMALCNTVVPVKSNDDTVSYKAQSQDEEALVNAASNLNVMLISKDSSTAEICFNGCKFRYELLDILEFTSDRKRMSVVVKDGQTGKIHLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEACHSLERDLYILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLVTSEPNSQLLSISGKTEEDILRSLDTALLITKNTCETKDLAFVLDGWALEIILKHSKESFTRLAMLSRTAICCRMTPLQKAQLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILHHSQAGREGRVVVSLEEAPPR >PVH35149 pep chromosome:PHallii_v3.1:7:31027343:31038462:-1 gene:PAHAL_7G112100 transcript:PVH35149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVNINDDSCRHSYCDNRISNTKYTLWNFFPKNLWEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGTCYVETSALDGETDLKTRIVPSISANLSVELLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTVNNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFLLQIVAVLVLGYFGNIWKDTQGLKQWYLMYPVKGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKQCCISNTTYGNDNGDALKDARLLNAVSSNDPDVIKFLMVMALCNTVVPVKSNDDTVSYKAQSQDEEALVNAASNLNVMLISKDSSTAEICFNGCKFRYELLDILEFTSDRKRMSVVVKDGQTGKIHLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEACHSLERDLYILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLVTSEPNSQLLSISGKTEEDILRSLDTALLITKNTCETKDLAFVLDGWALEIILKHSKESFTRLAMLSRTAICCRMTPLQKAQLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILHHSQAGREGRVVVSLEEAPPR >PVH35143 pep chromosome:PHallii_v3.1:7:31025908:31037407:-1 gene:PAHAL_7G112100 transcript:PVH35143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIQYFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGTCYVETSALDGETDLKTRIVPSISANLSVELLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTVNNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFLLQIVAVLVLGYFGNIWKDTQGLKQWYLMYPVKGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKQCCISNTTYGNDNGDALKDARLLNAVSSNDPDVIKFLMVMALCNTVVPVKSNDDTVSYKAQSQDEEALVNAASNLNVMLISKDSSTAEICFNGCKFRYELLDILEFTSDRKRMSVVVKDGQTGKIHLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEACHSLERDLYILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLVTSEPNSQLLSISGKTEEDILRSLDTALLITKNTCETKDLAFVLDGWALEIILKHSKESFTRLAMLSRTAICCRMTPLQKAQLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILHHSQAGRLLNPTTFCGWFGRSLYHALVVFFITVCAYADEKSEMQELSMVALSGCIWLQAFVVTMDTNSFTYPQIILIWGNFVAFYMINLILSAIPSLQIYTIMFRLCGQPSYWITMALTVAVGMGPVMAFRYFRNLYRPSAINILQQIEQSNGSIQPSRNVESAALKSARSNLTNLLSGSRRKRGSYYQPLLSEPAR >PVH35146 pep chromosome:PHallii_v3.1:7:31027705:31037407:-1 gene:PAHAL_7G112100 transcript:PVH35146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIQYFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGTCYVETSALDGETDLKTRIVPSISANLSVELLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTVNNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFLLQIVAVLVLGYFGNIWKDTQGLKQWYLMYPVKGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKQCCISNTTYGNDNGDALKDARLLNAVSSNDPDVIKFLMVMALCNTVVPVKSNDDTVSYKAQSQDEEALVNAASNLNVMLISKDSSTAEICFNGCKFRYELLDILEFTSDRKRMSVVVKDGQTGKIHLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEACHSLERDLYILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLVTSEPNSQLLSISGKTEEDILRSLDTALLITKNTCETKDLAFVLDGWALEIILKHSKESFTRLAMLSRTAICCRMTPLQKAQLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILHHSQAGRWNWRLESNKVANANSCLDAHVKKLGIDLLILFTLAMSEKVASSSRWRKPRRADRGGAHHRCPRLAEEGPAGPGL >PVH35142 pep chromosome:PHallii_v3.1:7:31025789:31038462:-1 gene:PAHAL_7G112100 transcript:PVH35142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVNINDDSCRHSYCDNRISNTKYTLWNFFPKNLWEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGTCYVETSALDGETDLKTRIVPSISANLSVELLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTVNNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFLLQIVAVLVLGYFGNIWKDTQGLKQWYLMYPVKGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKQCCISNTTYGNDNGDALKDARLLNAVSSNDPDVIKFLMVMALCNTVVPVKSNDDTVSYKAQSQDEEALVNAASNLNVMLISKDSSTAEICFNGCKFRYELLDILEFTSDRKRMSVVVKDGQTGKIHLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEACHSLERDLYILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLVTSEPNSQLLSISGKTEEDILRSLDTALLITKNTCETKLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILHHSQAGRLLNPTTFCGWFGRSLYHALVVFFITVCAYADEKSEMQELSMVALSGCIWLQAFVVTMDTNSFTYPQIILIWGNFVAFYMINLILSAIPSLQIYTIMFRLCGQPSYWITMALTVAVGMGPVMAFRYFRNLYRPSAINILQQIEQSNGSIQPSRNVESAALKSARSNLTNLLSGSRRKRGSYYQPLLSEPAR >PVH35145 pep chromosome:PHallii_v3.1:7:31025789:31038462:-1 gene:PAHAL_7G112100 transcript:PVH35145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIQYFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGTCYVETSALDGETDLKTRIVPSISANLSVELLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTVNNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFLLQIVAVLVLGYFGNIWKDTQGLKQWYLMYPVKGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKQCCISNTTYGNDNGDALKDARLLNAVSSNDPDVIKFLMVMALCNTVVPVKSNDDTVSYKAQSQDEEALVNAASNLNVMLISKDSSTAEICFNGCKFRYELLDILEFTSDRKRMSVVVKDGQTGKIHLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEACHSLERDLYILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLVTSEPNSQLLSISGKTEEDILRSLDTALLITKNTCETKLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILHHSQAGRLLNPTTFCGWFGRSLYHALVVFFITVCAYADEKSEMQELSMVALSGCIWLQAFVVTMDTNSFTYPQIILIWGNFVAFYMINLILSAIPSLQIYTIMFRLCGQPSYWITMALTVAVGMGPVMAFRYFRNLYRPSAINILQQIEQSNGSIQPSRNVESAALKSARSNLTNLLSGSRRKRGSYYQPLLSEPAR >PVH35147 pep chromosome:PHallii_v3.1:7:31027343:31038462:-1 gene:PAHAL_7G112100 transcript:PVH35147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVNINDDSCRHSYCDNRISNTKYTLWNFFPKNLWEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGTCYVETSALDGETDLKTRIVPSISANLSVELLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTVNNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFLLQIVAVLVLGYFGNIWKDTQGLKQWYLMYPVKGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKQCCISNTTYGNDNGDALKDARLLNAVSSNDPDVIKFLMVMALCNTVVPVKSNDDTVSYKAQSQDEEALVNAASNLNVMLISKDSSTAEICFNGCKFRYELLDILEFTSDRKRMSVVVKDGQTGKIHLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEACHSLERDLYILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLVTSEPNSQLLSISGKTEEDILRSLDTALLITKNTCETKDLAFVLDGWALEIILKHSKESFTRLAMLSRTAICCRMTPLQKAQLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILHHSQAGRWNWRLESNKVANANSCLDAHVKKLGIDLLILFTLAMSEKVASSSRWRKPRRADRGGAHHRCPRLAEEGPAGPGL >PVH35144 pep chromosome:PHallii_v3.1:7:31025908:31037514:-1 gene:PAHAL_7G112100 transcript:PVH35144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVNINDDSCRHSYCDNRISNTKYTLWNFFPKNLWEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGTCYVETSALDGETDLKTRIVPSISANLSVELLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTVNNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFLLQIVAVLVLGYFGNIWKDTQGLKQWYLMYPVKGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKQCCISNTTYGNDNGDALKDARLLNAVSSNDPDVIKFLMVMALCNTVVPVKSNDDTVSYKAQSQDEEALVNAASNLNVMLISKDSSTAEICFNGCKFRYELLDILEFTSDRKRMSVVVKDGQTGKIHLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEACHSLERDLYILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLVTSEPNSQLLSISGKTEEDILRSLDTALLITKNTCETKDLAFVLDGWALEIILKHSKESFTRLAMLSRTAICCRMTPLQKAQLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILHHSQAGRLLNPTTFCGWFGRSLYHALVVFFITVCAYADEKSEMQELSMVALSGCIWLQAFVVTMDTNSFTYPQIILIWGNFVAFYMINLILSAIPSLQIYTIMFRLCGQPSYWITMALTVAVGMGPVMAFRYFRNLYRPSAINILQQIEQSNGSIQPSRNVESAALKSARSNLTNLLSGSRRKRGSYYQPLLSEPAR >PVH35671 pep chromosome:PHallii_v3.1:7:40892585:40893669:-1 gene:PAHAL_7G240300 transcript:PVH35671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARVALALCVLLLADGVARRAEASSYNVGNRAGWDLSADLPSWANGKTFYVGDVLVFQYSRYHTLDEVDEAGFKNCSAASAVLSRSDGNTTVPLTAPGDRYFICGNQLHCLGGMKLHVLVNQPAGGAGEAPAGAPQAPPQAALAPGTDDDAGVPRLFFGGSRRTTAGPLLAAWLLVATALLIV >PVH35929 pep chromosome:PHallii_v3.1:7:45337671:45339104:-1 gene:PAHAL_7G310600 transcript:PVH35929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFREDIEKINEYDKAMCDCHSSIFHIDATTFSLYFCMIATKGVKLASSVMETAASRLDKRDEISSCTTKQILRPTMAAAWIHTMLYISTVVGRHEMVLGYVPGTEVRRRASDDGEPGALGRSGSV >PVH35930 pep chromosome:PHallii_v3.1:7:45337671:45339106:-1 gene:PAHAL_7G310600 transcript:PVH35930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFREDIEKINEYDKAMCDCHSSIFETAASRLDKRDEISSCTTKQILRPTMAAAWIHTMLYISTVVGRHEMVLGYVPGTEVRRRASDDGEPGALGRSGSV >PVH36059 pep chromosome:PHallii_v3.1:7:47674425:47677459:1 gene:PAHAL_7G346500 transcript:PVH36059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSAAYGAPSDEDDDEPLSSPPVATALTSFAPSPVKRPRWEYQPYLPPPPSFPQPPLPNAAPPLTSQASGRYVSKRERALLAASRASVDAASILPPQTTAEVDCSVGSITDSNLRAGILHSLRCQPKPGSSKSLPSKLSVSLKGHTKAINCIDWSPTHAHLLTSAGMDHTVHVWNVWDKGNTTARVLKHHTAAVKDVRWSPHGLSLLSGGLDCSLQLIDVVEGKVIKVLKEDQAVEVIKFNPSNPNLFLSGGSKGSLRLWDIRSGLVTKEFHRSLGTILDIEFSADGRQFISSTDTTRSNISENTIIVWDVLRQVPLSNQVIGL >PVH36062 pep chromosome:PHallii_v3.1:7:47674152:47678339:1 gene:PAHAL_7G346500 transcript:PVH36062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSAAYGAPSDEDDDEPLSSPPVATALTSFAPSPVKRPRWEYQPYLPPPPSFPQPPLPNAAPPLTSQASGRYVSKRERALLAASRASVDAASILPPQTTAEVDCSVGSITDSNLRAGILHSLRCQPKPGSSKSLPSKLSVSLKGHTKAINCIDWSPTHAHLLTSAGMDHTVHVWNVWDKGNTTARVLKHHTAAVKDVRWSPHGLSLLSGGLDCSLQLIDVVEGKVIKVLKEDQAVEVIKFNPSNPNLFLSGGSKGSLRLWDIRSGLVTKEFHRSLGTILDIEFSADGRQFISSTDTTRSNISENTIIVWDVLRQVPLSNQVYTEAFTCPCVRYHPHEASFVAQSNGNYIAIFSARPPFKLNKYMRFEGHGVWGFPIKCNFSLSGRELASGSSDGSIYFFDYKSARCLRKIEAFKEACTDVVYHPVIPNVIASCSWTGEISIFE >PVH36060 pep chromosome:PHallii_v3.1:7:47674152:47678339:1 gene:PAHAL_7G346500 transcript:PVH36060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSAAYGAPSDEDDDEPLSSPPVATALTSFAPSPVKRPRWEYQPYLPPPPSFPQPPLPNAAPPLTSQASGRYVSKRERALLAASRASVDAASILPPQTTAEVDCSVGSITDSNLRAGILHSLRCQPKPGSSKSLPSKLSVSLKGHTKAINCIDWSPTHAHLLTSAGMDHTVHVWNVWDKGNTTARVLKHHTAAVKDVRWSPHGLSLLSGGLDCSLQLIDVVEGKVIKVLKEDQAVEVIKFNPSNPNLFLSGGSKGSLRLWDIRSGLVTKEFHRSLGTILDIEFSADGRQFISSTDTTRSNISENTIIVWDVLRQVPLSNQRFSIFMRTYSDMLQHWDWSMDKHILHTLIQ >PVH36061 pep chromosome:PHallii_v3.1:7:47674152:47678339:1 gene:PAHAL_7G346500 transcript:PVH36061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSAAYGAPSDEDDDEPLSSPPVATALTSFAPSPVKRPRWEYQPYLPPPPSFPQPPLPNAAPPLTSQASGRYVSKRERALLAASRASVDAASILPPQTTAEVDCSVGSITDSNLRAGILHSLRCQPKPGSSKSLPSKLSVSLKGHTKAINCIDWSPTHAHLLTSAGMDHTVHVWNVWDKGNTTARVLKHHTAAVKDVRWSPHGLSLLSGGLDCSLQLIDVVEGKVIKVLKEDQAVEVIKFNPSNPNLFLSGGSKGSLRLWDIRSGLVTKEFHRSLGTILDIEFSADGRQFISSTDTTRSNISENTIIVWDVLRQVPLSNQRFSIFMRTYSDMLQHWDWSMDKHILHTLIQ >PVH35180 pep chromosome:PHallii_v3.1:7:32298331:32299977:1 gene:PAHAL_7G120800 transcript:PVH35180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKIKKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSSLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFSEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKTKFIGKIAKESKKNLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH35551 pep chromosome:PHallii_v3.1:7:39043494:39044140:1 gene:PAHAL_7G212300 transcript:PVH35551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAPLSVPRLPRPMDFGFSGFPLLSPLRGHLPSRASSYSVSLPLQAKKRSNSDVGRRKEKGSTLTEENRAPAKGLSVRYR >PAN40016 pep chromosome:PHallii_v3.1:7:43839603:43842121:-1 gene:PAHAL_7G284900 transcript:PAN40016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEAKKHVKMLQLPGVESLLSNGGKQEVPLSSIEGKMACLFFSAHWCRPCRNFTPKLVQIYTMLRNTGKNIEIIFISLDHDETSFLNHFKSMPWLALPFNTSLRRKLCSHFGIEHIPALIPLSETPSGGLGFEDDVVKLVEEYGVDAYPFSAKRRGELEAMDDARRQGGKLQELLGCKDRDYVISADGIKISIADLTGKTIGLYFGAHWCPPCRAFTKQLMEVYNELKILRPGSFEVIFISIDRSKEEFQASLSAMPWLAIPYSDTATQELTRVFAIKGIPALLILGLDGKVLKTDGRTAISAYGATAFPFTESRVSEVDEALRKEGDKLPRQVNDPRHSHELELDMAKAYICDECLQKGRHWVFSCKQCNFDLHPSCVEESN >PVH35814 pep chromosome:PHallii_v3.1:7:43839790:43841498:-1 gene:PAHAL_7G284900 transcript:PVH35814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQEVPLSSIEGKMACLFFSAHWCRPCRNFTPKLVQIYTMLRNTGKNIEIIFISLDHDETSFLNHFKSMPWLALPFNTSLRRKLCSHFGIEHIPALIPLSETPSGGLGFEDDVVKLVEEYGVDAYPFSAKRRGELEAMDDARRQGGKLQELLGCKDRDYVISADGIKISIADLTGKTIGLYFGAHWCPPCRAFTKQLMEVYNELKILRPGSFEVIFISIDRSKEEFQASLSAMPWLAIPYSDTATQELTRVFAIKGIPALLILGLDGKVLKTDGRTAISAYGATAFPFTESRVSEVDEALRKEGDKLPRQVNDPRHSHELELDMAKAYICDECLQKGRHWVFSCKQCNFDLHPSCVEESN >PAN40015 pep chromosome:PHallii_v3.1:7:43839499:43842479:-1 gene:PAHAL_7G284900 transcript:PAN40015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEAKKHVKMLQLPGVESLLSNGGKEVPLSSIEGKMACLFFSAHWCRPCRNFTPKLVQIYTMLRNTGKNIEIIFISLDHDETSFLNHFKSMPWLALPFNTSLRRKLCSHFGIEHIPALIPLSETPSGGLGFEDDVVKLVEEYGVDAYPFSAKRRGELEAMDDARRQGGKLQELLGCKDRDYVISADGIKISIADLTGKTIGLYFGAHWCPPCRAFTKQLMEVYNELKILRPGSFEVIFISIDRSKEEFQASLSAMPWLAIPYSDTATQELTRVFAIKGIPALLILGLDGKVLKTDGRTAISAYGATAFPFTESRVSEVDEALRKEGDKLPRQVNDPRHSHELELDMAKAYICDECLQKGRHWVFSCKQCNFDLHPSCVEESN >PAN40017 pep chromosome:PHallii_v3.1:7:43839603:43842121:-1 gene:PAHAL_7G284900 transcript:PAN40017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEAKKHVKMLQLPGVESLLSNGGKEVPLSSIEGKMACLFFSAHWCRPCRNFTPKLVQIYTMLRNTGKNIEIIFISLDHDETSFLNHFKSMPWLALPFNTSLRRKLCSHFGIEHIPALIPLSETPSGGLGFEDDVVKLVEEYGVDAYPFSAKRRGELEAMDDARRQGDNRKALQQGERDLMHQDLTNFVVQEKISIADLTGKTIGLYFGAHWCPPCRAFTKQLMEVYNELKILRPGSFEVIFISIDRSKEEFQASLSAMPWLAIPYSDTATQELTRVFAIKGIPALLILGLDGKVLKTDGRTAISAYGATAFPFTESRVSEVDEALRKEGDKLPRQVNDPRHSHELELDMAKAYICDECLQKGRHWVFSCKQCNFDLHPSCVEESN >PVH35813 pep chromosome:PHallii_v3.1:7:43839603:43841917:-1 gene:PAHAL_7G284900 transcript:PVH35813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVPLSSIEGKMACLFFSAHWCRPCRNFTPKLVQIYTMLRNTGKNIEIIFISLDHDETSFLNHFKSMPWLALPFNTSLRRKLCSHFGIEHIPALIPLSETPSGGLGFEDDVVKLVEEYGVDAYPFSAKRRGELEAMDDARRQGDNRKALQQGERDLMHQDLTNFVVQEKISIADLTGKTIGLYFGAHWCPPCRAFTKQLMEVYNELKILRPGSFEVIFISIDRSKEEFQASLSAMPWLAIPYSDTATQELTRVFAIKGIPALLILGLDGKVLKTDGRTAISAYGATAFPFTESRVSEVDEALRKEGDKLPRQVNDPRHSHELELDMAKAYICDECLQKGRHWVFSCKQCNFDLHPSCVEESN >PAN38415 pep chromosome:PHallii_v3.1:7:36536853:36538341:1 gene:PAHAL_7G170500 transcript:PAN38415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPPSPSAEGGAVCCMCGDRGLPGELFRCRRCRARLQHRYCSDLYPRAAAYRRCNWCLREPASDHGGRPAHAAAAVPNSRPEEKRKTAPVPASASDEERQRQRHEGCSSRRPPAEPSRPVKKKHKAQEKVPPTAQPKEIITSDASGSKEVMRAGKTRVSRVRVRRYKLLAEVISC >PAN40555 pep chromosome:PHallii_v3.1:7:46367034:46369207:-1 gene:PAHAL_7G324500 transcript:PAN40555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFAHIGKSRKLAQTLLVASKPNAGSGQSIGSSFAFGLGYSGRAFLHGRVHNGPSTSYILGRANGSSHWSTGVRKFSVLSSCSQNAFQSQLAWKRLVEMGSRAPKASPFLSRVACAISLAVSRSNLAPYLFAFIAGEVMLAQKTSADGEYYPIRERAQDGRIYVTSLIYSAVEMVIIIFRSIYLALLFTPSILMAPFAETLGSKYRKTWLRLVHHTLELAGPAFIKWGQWAATRPDLFASDLCTELSKLHTKAPAHSFKYTKKTVEKAFGRKLSDIFENFEENPVASGSVAQVHRAVLQFRYPSQQTKRVTVAVKVRHPGVGDSIRRDFSIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPAVLVETYEHGESVSHYVDDLEGHDRVKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKNSNNTLIKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNAKAFIEAMLL >PAN40556 pep chromosome:PHallii_v3.1:7:46365177:46369262:-1 gene:PAHAL_7G324500 transcript:PAN40556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFAHIGKSRKLAQTLLVASKPNAGSGQSIGSSFAFGLGYSGRAFLHGRVHNGPSTSYILGRANGSSHWSTGVRKFSVLSSCSQNAFQSQLAWKRLVEMGSRAPKASPFLSRVACAISLAVSRSNLAPYLFAFIAGEVMLAQKTSADGEYYPIRERAQDGRIYVTSLIYSAVEMVIIIFRSIYLALLFTPSILMAPFAETLGSKYRKTWLRLVHHTLELAGPAFIKWGQWAATRPDLFASDLCTELSKLHTKAPAHSFKYTKKTVEKAFGRKLSDIFENFEENPVASGSVAQVHRAVLQFRYPSQQTKRVTVAVKVRHPGVGDSIRRDFSIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPAVLVETYEHGESVSHYVDDLEGHDRVKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKNSNNTLIKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNAKAFIEEVERSFSFWGTAEGDAVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIQGLMAP >PAN40554 pep chromosome:PHallii_v3.1:7:46367034:46369207:-1 gene:PAHAL_7G324500 transcript:PAN40554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFAHIGKSRKLAQTLLVASKPNAGSGQSIGSSFAFGLGYSGRAFLHGRVHNGPSTSYILGRANGSSHWSTGVRKFSVLSSCSQNAFQSQLAWKRLVEMGSRAPKASPFLSRVACAISLAVSRSNLAPYLFAFIAGEVMLAQKTSADGEYYPIRERAQDGRIYVTSLIYSAVEMVIIIFRSIYLALLFTPSILMAPFAETLGSKYRKTWLRLVHHTLELAGPAFIKWGQWAATRPDLFASDLCTELSKLHTKAPAHSFKYTKKTVEKAFGRKLSDIFENFEENPVASGSVAQVHRAVLQFRYPSQQTKRVTVAVKVRHPGVGDSIRRDFSIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPAVLVETYEHGESVSHYVDDLEGHDRVKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKNSNNTLIKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNAKAFIEQAMLL >PAN38550 pep chromosome:PHallii_v3.1:7:37078935:37081785:-1 gene:PAHAL_7G179700 transcript:PAN38550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASEELERRSRYLSALVRRTRLADPPQPEPEAAEEAKADAVEPGLKEGKGGKEEETKAKERAAVKAKAKGEGRKVAVCVRAADMALPLQRRAVRIAVEAVAAMPRLETKRLALALKKDFDATYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVYILLFRTAVEPLAHPQ >PAN40523 pep chromosome:PHallii_v3.1:7:46202137:46207561:1 gene:PAHAL_7G321900 transcript:PAN40523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASASTPAGEEKQELAPVVQCKGVNDLDKVVLREVRGSSVEVYLYGGHVTSWKDEHGDELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEQHGFARNKVWAIDTDPPPFPVPTTNTAYIDLILKPTEEDLKIWPHSFEYRLRVALSPGGDLMLTSRIRNTNADGKSFSFTFAYHTYFKISDISEVRVEGLETLDYLDNLQDRARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPVTLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSSVPEDSIS >PVH35960 pep chromosome:PHallii_v3.1:7:46202214:46207406:1 gene:PAHAL_7G321900 transcript:PVH35960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASASTPAGEEKQELAPVVQCKGVNDLDKVVLREVRGSSVEVYLYGGHVTSWKDEHGDELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEQHGFARNKVWAIDTDPPPFPVPTTNTAYIDLILKPTEEDLKIWPHSFEYRLRVALSPGGDLMLTSRIRNTNADGKSFSFTFAYHTYFKISDISEVRVEGLETLDYLDNLQDRARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPVTLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSSVPEDSIS >PVH35982 pep chromosome:PHallii_v3.1:7:46543802:46548343:-1 gene:PAHAL_7G327300 transcript:PVH35982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLWIPQDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLVGVRRATRQQPPLSSSVLSTDSMHIGVLAAAAHAASSGGSFTIYYNPRTSPSPFVIPLTRYNKATYMQPSVGMRFAMMFETEESSKRRCTGTIVGISDYDPMRWPNSKWRNLQVEWDEHGYGERSERVSLWDIETPENSLVFSSPLNSKRQCLPSYGVPGLQIGSVNMSSIPRAQANPFGNLQHMPGIGSELALMLLNQSGQNLGSPLACQQSSFSSIIQNVKHGYIPPSTFGSSTGSVKQENMLSNEAQQQLNAPNIEKDDQVSCEVQPSIDSISVQELNVKARGPRNTDSYSSQSISDQNSKGEPRTKTRRSKKGLSHKSISDKSELSSVPSQIYDNKRHGSEPKLVDCEAEQVNCGDNEDSSGALTRGGFAGEPQVQQVEQHELLSPPKLESSKSPDGGKSVSSFPNQGCSPQFFEGLDWVIQPSYYQDSNGIHSVSGSENILNQSTDITSAINADTMEAFQTSCLSECFPNSVQEFISSPDLNSLTFMSHDMQHFEGQHEVNNLPSTSNSYVQMSYSEESGNQSASLSGLHMEAIHINSSCSEPVATGSFDTGMFSKLPDLRESQVLPLQEIHNSSMGTPSCSMDAAEYSIDRSAKPMRPPVRTYTKVQKLGSVGRSIDVTRYRDYHELRSAIACMFGLQGKLEHPGSSDWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGVHVLNDCIQIA >PAN40600 pep chromosome:PHallii_v3.1:7:46543802:46549777:-1 gene:PAHAL_7G327300 transcript:PAN40600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQEKATSGVLRNAAALLDEMQLMGEAQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTKKVPNSRIPNYPSLPSQLLCQVHNITLHADKETDEIYAQMTLQPVHSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLVGVRRATRQQPPLSSSVLSTDSMHIGVLAAAAHAASSGGSFTIYYNPRTSPSPFVIPLTRYNKATYMQPSVGMRFAMMFETEESSKRRCTGTIVGISDYDPMRWPNSKWRNLQVEWDEHGYGERSERVSLWDIETPENSLVFSSPLNSKRQCLPSYGVPGLQIGSVNMSSIPRAQANPFGNLQHMPGIGSELALMLLNQSGQNLGSPLACQQSSFSSIIQNVKHGYIPPSTFGSSTGSVKQENMLSNEAQQQLNAPNIEKDDQVSCEVQPSIDSISVQELNVKARGPRNTDSYSSQSISDQNSKGEPRTKTRRSKKGLSHKSISDKSELSSVPSQIYDNKRHGSEPKLVDCEAEQVNCGDNEDSSGALTRGGFAGEPQVQQVEQHELLSPPKLESSKSPDGGKSVSSFPNQGCSPQFFEGLDWVIQPSYYQDSNGIHSVSGSENILNQSTDITSAINADTMEAFQTSCLSECFPNSVQEFISSPDLNSLTFMSHDMQHFEGQHEVNNLPSTSNSYVQMSYSEESGNQSASLSGLHMEAIHINSSCSEPVATGSFDTGMFSKLPDLRESQVLPLQEIHNSSMGTPSCSMDAAEYSIDRSAKPMRPPVRTYTKVQKLGSVGRSIDVTRYRDYHELRSAIACMFGLQGKLEHPGSSDWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGVHVLNDCIQIA >PAN40601 pep chromosome:PHallii_v3.1:7:46544047:46549548:-1 gene:PAHAL_7G327300 transcript:PAN40601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQEKATSGVLRNAAALLDEMQLMGEAQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTKKVPNSRIPNYPSLPSQLLCQVHNITLHADKETDEIYAQMTLQPVHSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLVGVRRATRQQPPLSSSVLSTDSMHIGVLAAAAHAASSGGSFTIYYNPRTSPSPFVIPLTRYNKATYMQPSVGMRFAMMFETEESSKRRCTGTIVGISDYDPMRWPNSKWRNLQVEWDEHGYGERSERVSLWDIETPENSLVFSSPLNSKRQCLPSYGVPGLQIGSVNMSSIPRAQANPFGNLQHMPGIGSELALMLLNQSGQNLGSPLACQQSSFSSIIQNVKHGYIPPSTFGSSTGSVKQENMLSNEAQQQLNAPNIEKDDQVSCEVQPSIDSISVQELNVKARGPRNTDSYSSQSISDQNSKGEPRTKTRRSKKGLSHKSISDKSELSSVPSQIYDNKRHGSEPKLVDCEAEQVNCGDNEDSSGALTRGGFAGEPQVQQVEQHELLSPPKLESSKSPDGGKSVSSFPNQGCSPQFFEGLDWVIQPSYYQDSNGIHSVSGSENILNQSTDITSAINADTMEAFQTSCLSECFPNSVQEFISSPDLNSLTFMSHDMQHFEGQHEVNNLPSTSNSYVQMSYSEESGNQSASLSGLHMEAIHINSSCSEPVATGSFDTGMFSKLPDLRESQVLPLQEIHNSSMGTPSCSMDAAEYSIDRSAKPMRPPVRTYTKVQKLGSVGRSIDVTRYRDYHELRSAIACMFGLQGKLEHPGSSDWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGVHVLNDCIQIA >PVH35834 pep chromosome:PHallii_v3.1:7:44094783:44097374:-1 gene:PAHAL_7G288600 transcript:PVH35834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MLLRVGLARSTRLRGGATPLFLARCGRGLSSGPPPDPEWTDTVEYLDESGALLSSAPGARPAVPGADPTILSGASAHPLPRPAAAARLAALALRHRSGAPLSAALSALPSAPDPALLLLAAASLPASDPVPLISLVAWARLQPWFVPSDDLSSLLASRLPPATHSSELLALFDDTLGLPDPAAFPKTLNAVVSALATHGLLEPAFYCFKRLRDAGFKGLETPAYNALLSLLLTRGLAFKAFEVLDEMATSGCALDEGTYELAVPALARAGRIDAARKMFDEMKQREGIGRAPAGVYSVMVDVLAKAGRLDAAMGMYREMVAVGHRVSTAVSTAMVEGLVRSGKLDAGMELWEEMRRGGLRPNFGLYTMVVEANARSGRLDIAAKLFGDMEKAGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGTRPGLSTFTALLTMLANKRMLDLAAKVLLEMKASGFPIEVTASDLLMIYIKDGSTDLALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDLILYTSILAHLVRCQDESSERAIMDILSASKHKAHDFMCGLFTGPEQRKQPVLSFVREFFQGIDYDIEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFAKAIVFDQHIAWSLDVRSLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSTPEVRSLSIPRSLGMSR >PAN37809 pep chromosome:PHallii_v3.1:7:32575514:32583207:1 gene:PAHAL_7G124500 transcript:PAN37809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRIRTPTGPGEHRRRNLASSLAQLGPDLIGFSSSLLPVGVGYVGDHRRVSSTVVTCRGFFVDNVRRKSHSRASLKNGLVCSLEGDGSRESTLCVSVPSEPSSCSEVPSVLGQKIAQGIDGNPRASAETKKEEKLWQRLQGGKKLGRRRAPKHGLGKDRHGRKSVRRDDNANVVLSFINQDSSIEECNSALIHLEKHSDEEALNFFDWMKANGKLKGNAGAYHLALQAIAWKEDWKMAELLLHEMVADSDCALDAQAFNGLIYVCAKRRLADWGTKWFRMMLCREVQPNVSTIGMLMGLYQKTGNLSEAEFTFAEMRNCNIKCVNAYSAMITLYTRLGLFAKSEDVIVLMNNDGVVPNMENWLVRLNVYCQQGKMEEAQSVLHFMVDEGFTLNVVAYNTLITGYGKSTDIQKAKKLFDSLGNAGLAPDETTYRSMVEGFGRADRYEEAISYYRKLKSAGFRPNASNFYTMINLLARHDDSEGAAEILEDMRAAGCQCSSIVTVLVRAYRTVGRMHKVLSILKSCFYKKILFDATSCSILVTAFAQNSLLEEALLILREKKWKDSAFEENLYHILICSCKEAGSYNDVVRIYSQMPKSGTHPNLRISCTMIDVFSMMGRFADAETIYLELKASSSVLDMIAYSVIVRMYIKAERLEDACSILAEMEKQKEIVPDKFLFLDMLRTYQKCGLLEKLADTYYWILKSQVECDEAMHNCIINCCGRAIPVDELSRIFDEMIQQGHLTNTITLNVLLDIYGKAGLFTRAEKVFLMARKQGLVDIITYNTIIAAYAKSGNFRSMNYFVQRMQDAGFPVSLEAYNCMLDAYGKAGQLEEFASVLQKMKRAKCKFDHYTYNIMINIYGRRGWIEDVANVLAELKSRGVEPDLYSYNTLIKAYGIARMPEDAVKLMQEMRIKGISPDRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVVGRGTRA >PVH35267 pep chromosome:PHallii_v3.1:7:34090043:34094528:1 gene:PAHAL_7G138300 transcript:PVH35267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGIARVAAPLHLACSARPTGGSLGVGAARSRTGRQSGWGRRCGPSRPPNSVPDPRARHHDPGLEIGDAVDGRSVNGGAAKPHPQAAPRMRRAAAAVEDQGWELLRESVVHYCGSPVGTIAACDPDDPTPLNYDQVFIRDFVPSGIAFLLKGEYGIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSSLLCAREMLTPEDGSDDLIRALNNRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWELVVNSKQLSNRTSVPCYFGSD >PVH35270 pep chromosome:PHallii_v3.1:7:34090043:34094528:1 gene:PAHAL_7G138300 transcript:PVH35270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGIARVAAPLHLACSARPTGGSLGVGAARSRTGRQSGWGRRCGPSRPPNSVPDPRARHHDPGLEIGDAVDGRSVNGGAAKPHPQAAPRMRRAAAAVEDQGWELLRESVVHYCGSPVGTIAACDPDDPTPLNYDQVFIRDFVPSGIAFLLKGEYGIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSSLLCAREMLTPEDGSDDLIRALNNRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWELVVNSKQLSNRTSVPCYFGSD >PVH35268 pep chromosome:PHallii_v3.1:7:34090043:34094528:1 gene:PAHAL_7G138300 transcript:PVH35268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGIARVAAPLHLACSARPTGGSLGVGAARSRTGRQSGWGRRCGPSRPPNSVPDPRARHHDPGLEIGDAVDGRSVNGGAAKPHPQAAPRMRRAAAAVEDQGWELLRESVVHYCGSPVGTIAACDPDDPTPLNYDQVFIRDFVPSGIAFLLKGEYGIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSSLLCAREMLTPEDGSDDLIRALNNRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATGHQSHAILDLIEAKWSDLVAEMPMKICYPALEDEEWKFITGSDPKNT >PVH35271 pep chromosome:PHallii_v3.1:7:34091092:34094528:1 gene:PAHAL_7G138300 transcript:PVH35271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCHSPGQGLMPASFKVRVIPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSSLLCAREMLTPEDGSDDLIRALNNRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATGHQSHAILDLIEAKWSDLVAEMPMKICYPALEDEEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVEVSERRIARDKWPEYYDTKRARFIGKQSRLFQTWSIAGFLVAKLLLETPDKSRILCNNEDEEILNALSLMADGSSPRRRRRKQAPKNYIV >PVH35269 pep chromosome:PHallii_v3.1:7:34090767:34094154:1 gene:PAHAL_7G138300 transcript:PVH35269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTGGASTAAPPSRTRRPRRGCGVPPRPWRTRGGSSSGSRSCTTAAAPWGRSPRATRTTPRRSTTTRCSSGTSCPRASRSCSRGSTASSAISSSTRCSSSDDLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSSLLCAREMLTPEDGSDDLIRALNNRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATGHQSHAILDLIEAKWSDLVAEMPMKICYPALEDEEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVEVSERRIARDKWPEYYDTKRARFIGKQSRLFQTWSIAGFLVAKLLLETPDKSRILCNNEDEEILNALSLMADGSSPRRRRRKQAPKNYIV >PAN37979 pep chromosome:PHallii_v3.1:7:34090043:34094528:1 gene:PAHAL_7G138300 transcript:PAN37979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGIARVAAPLHLACSARPTGGSLGVGAARSRTGRQSGWGRRCGPSRPPNSVPDPRARHHDPGLEIGDAVDGRSVNGGAAKPHPQAAPRMRRAAAAVEDQGWELLRESVVHYCGSPVGTIAACDPDDPTPLNYDQVFIRDFVPSGIAFLLKGEYGIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSSLLCAREMLTPEDGSDDLIRALNNRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATGHQSHAILDLIEAKWSDLVAEMPMKICYPALEDEEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVEVSERRIARDKWPEYYDTKRARFIGKQSRLFQTWSIAGFLVAKLLLETPDKSRILCNNEDEEILNALSLMADGSSPRRRRRKQAPKNYIV >PVH35324 pep chromosome:PHallii_v3.1:7:35252290:35253266:1 gene:PAHAL_7G151700 transcript:PVH35324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFFGSLPLRALHPGDDRARGASPPSARGRGRRGQSRIREISRERSQKRRRPAMPIPFPSRACLPSPGGCSAPLDLPKTESPTPGRRAPTT >PVH35366 pep chromosome:PHallii_v3.1:7:36111311:36116020:1 gene:PAHAL_7G163400 transcript:PVH35366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGRHLERSNSKRALDHGGGGGGDDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRKVVSEEVERALTKLAPSGPARIQGRSSPKQIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHAITAGPESSVKLDVLVLEGDFNKEEDAGWTEEDFESHIVKEREGKRPLLTGDLQVILKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSPGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDEKALLRFKQKKKSVMTRSETAKASSSYPASNGLMHSQKQLVGGPVNAEQSSLSNISEDATGIASIGNQVARGYAANPQDMAPSMTMQFDTSSLAPEGQFSGSSIQTQASRSSNTLTLCPMQQQQPLQNFEFSGLGGQSTQPSALNPFDDWSRLQENCGGVNDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLSNVDSFPSYPSPAPAFSLEDECSRPSSKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >PAN38315 pep chromosome:PHallii_v3.1:7:36111318:36116018:1 gene:PAHAL_7G163400 transcript:PAN38315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGRHLERSNSKRALDHGGGGGGDDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRKVVSEEVERALTKLAPSGPARIQGRSSPKQIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHAITAGPESSVKLDVLVLEGDFNKEEDAGWTEEDFESHIVKEREGKRPLLTGDLQVILKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSPGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDEKALLRFKQKKKSVMTRSETAKASSSYPASNGLMHSQKQLVGGPVNAEQSSLSNISEDATGIASIGNQVARGYAANPQDMAPSMTMQFDTSSLAPEGQFSGSSIQTQASRSSNTLTLCPMQQQQPLQNFEFSGLGGQSTQPSALNPFDDWSRLQENCGGVNDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLSNVDSFPSYPSPAPAFSLEDECSRPSSKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >PVH35367 pep chromosome:PHallii_v3.1:7:36111132:36116018:1 gene:PAHAL_7G163400 transcript:PVH35367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGRHLERSNSKRALDHGGGGGGDDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRKVVSEEVERALTKLAPSGPARIQGRSSPKQIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHAITAGPESSVKLDVLVLEGDFNKEEDAGWTEEDFESHIVKEREGKRPLLTGDLQVILKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSPGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDEKALLRFKQKKKSVMTRSETAKASSSYPASNGLMHSQKQLVGGPVNAEQSSLSNISEDATGIASIGNQVARGYAANPQDMAPSMTMQFDTSSLAPEGQFSGSSIQTQASRSSNTLTLCPMQQQQPLQNFEFSGLGGQSTQPSALNPFDDWSRLQENCGGVNDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLSNVDSFPSYPSPAPAFSLEDECSRPSSKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >PVH35368 pep chromosome:PHallii_v3.1:7:36111317:36116020:1 gene:PAHAL_7G163400 transcript:PVH35368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGRHLERSNSKRALDHGGGGGGDDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRKVVSEEVERALTKLAPSGPARIQGRSSPKQIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHAITAGPESSVKLDVLVLEGDFNKEEDAGWTEEDFESHIVKEREGKRPLLTGDLQVILKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSPGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDEKALLRFKQKKKSVMTRSETAKASSSYPASNGLMHSQKQLVGGPVNAEQSSLSNISEDATGIASIGNQVARGYAANPQDMAPSMTMQFDTSSLAPEGQFSGSSIQTQASRSSNTLTLCPMQQQQPLQNFEFSGLGGQSTQPSALNPFDDWSRLQENCGGVNDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLSNVDSFPSYPSPAPAFSLEDECSRPSSKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >PAN40244 pep chromosome:PHallii_v3.1:7:44883412:44885793:1 gene:PAHAL_7G302300 transcript:PAN40244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGKKRTACVTGGNGYIASALVRMLLEKGYAVKTTVRNPDDMAKNSHLQDLQALGPLEVFRADLDEEGSFDEAVAGCDYAFLVAAPVNIHTKNPEKELIEPAVRGTLNVLRSCVKAGTVKRVVLTSSAAAVTSRPLQGDGHVLDEDSWSDVEHLTATKFPYRGYPVSKVLLERAACRFAEEHGISLVTLCPVVTVGAAPAPNAHTSVPNCLSLLSGDEAAFAVLHGIERGTGCIPLVHVEDLCRAELFAAEEDAGAAGRYICCSLNTTIAELARFLADKYPHYGVKTHLLSGERLEKPRVCLSSAKLVKQGFEFKYKTLEHIYDDMVEYGKALGILPS >PAN37287 pep chromosome:PHallii_v3.1:7:13277427:13283696:-1 gene:PAHAL_7G047600 transcript:PAN37287 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MLVSVDDDSSGWQESPSQAVLFADEIAAVRAVLGAGLPEARVVAALSRYGGNAERAINALLDDSAGAAEAGPTYRGRGGGAAPKPVKAERDVGGAPPPAKVKAEALDDEVVGSQDSGAASAKADAKVKGEPANWCAKEPSVVPHQVKKEERPAGGGRDAPRKGAAAAAAAAARGSGGGISLVPRPKKRPREEVETIDLTATHPVPYLNSRPIRALPPPVNPRPILVVPPLDVRMYDPGPVLPAPPPVDVEMYEPRPRPLRAAAPAPVPAPVTDMRMVVAPPDAEFGNFPEERDWLLVGKSYVPGLSTNRGRRRMDAGEIVHFAFPSSERSYGGVKMSAKKVAALAAIVRFSTKRAGEIGRLPQEWTQCLVPLVNSSKVKIHGKIVFPTIELRLMQEILLYASFYIHKSVFTEMDNSSCDMLDHANVNFSSSPLHQLLTLLKLKASNKDDFSLGDLSTRKLQRILRGNHNSGDESTPVLGQTFLEQGPDEQAISEAALNKLVGTAETFDLEEAEPPSTLVSVLKPYQKQALFWMSKLEKGMDANEATKTLNPCWSAYNIADKRAPAVYVNLFTGQATTQFPSATGTARGGILADAMGLGKTVMTIALILSNPRGECSNYIERDTRGLRDHGTRARTSRSSVRGGTLIVCPMPLLGQWKDELEAHSIPGALSVFVHYGGDKTDNLMLMAQHDVVLTTYGVLSAAYKANGRSIFHRMDWYRIVLDEAHTIKSPKTKGAQAAFGLNSECRWCLTGTPLQNNLEDLYSLFCFLHVKPWCNANWWQKLIQKPYENGDDRGLKIVRAILRPLMLRRTKETKDKIGNPILVLPPAHIEVVECEQSEHERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCNHPFLVISRADPQKYADLDQLAQRFLEGVQSCSGRQNALPSRAYVEEVVEEIRQGATTECPICLESASDDPVLTPCAHRMCRECLLSSWRTPDGGPCPLCRSHISKSDLIILPAQCRFQVDAENNWKDSCKVSKLILILEDLQKKREKSIVFSQFTSFFDLLEIPFNHKGMKFLRYDGRLSQKHKEKVLKEFSESQDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMQQVQVCKQRMISGALTDEEVRGARIEHLKMLFT >PAN40408 pep chromosome:PHallii_v3.1:7:45481854:45486363:-1 gene:PAHAL_7G313100 transcript:PAN40408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCCPCATSSSPALFLLPSVPASSTSKTTTSSSRVAFSPCDGAFGCVSGRLRWGTRPRARHATVAASGKDGSGTAEEEKEEDEPGFNPFGFVTDNPSSRGAIQLPAVPAEDGNVGQMLYRIEDKGREYGSYVRSGDFRWFVREIGSSDARRGTIVFLHGAPTQSFSYRVVMAQMADAGYHCFAPDWIGFGFSEMPQPGYGFDFKEEEFHKAFDDLLGTLNITEPFFLVVQGFLVGSYGLTWALNNSSKVLKLAILNSPLTVSSPVPGLFQQLKWPLVGEFTCQNAVLAERFIEAGSAYVLKLEKADVYRLPYLSSGAPGFALLEAARKVNFQDVLRRISAGFSSSSWEKPILLAWGISDKYLPLSIAEEFKKANPNAVKLEAIEGAGHMPQEDWPEKVVKALVSFL >PVH35940 pep chromosome:PHallii_v3.1:7:45482445:45485927:-1 gene:PAHAL_7G313100 transcript:PVH35940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAVSAGGCGGGRDREPGTRQSRRAARTGVVRRRRRRKRTSRGSTRSVSSRTTPPAAAPSSCPRFPPRMAMSARCSTDSPCCVQRIEDKGREYGSYVRSGDFRWFVREIGSSDARRGTIVFLHGAPTQSFSYRVVMAQMADAGYHCFAPDWIGFGFSEMPQPGYGFDFKEEEFHKAFDDLLGTLNITEPFFLVVQGFLVGSYGLTWALNNSSKVLKLAILNSPLTVSSPVPGLFQQLKWPLVGEFTCQNAVLAERFIEAGSAYVLKLEKADVYRLPYLSSGAPGFALLEAARKVNFQDVLRRISAGFSSSSWEKPILLAWGISDKYLPLSIAEEFKKANPNAVKLEAIEGAGHMPQEDWPEKVVKALVSFL >PAN40409 pep chromosome:PHallii_v3.1:7:45481854:45486363:-1 gene:PAHAL_7G313100 transcript:PAN40409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCCPCATSSSPALFLLPSVPASSTSKTTTSSSRVAFSPCDGAFGCVSGRLRWGTRPRARHATVAASGKDGSGTAEEEKEEDEPGFNPFGFVTDNPSSRGAIQLPAVPAEDGNVGQMLYRIEDKGREYGSYVRSGDFRWFVREIGSSDARRGTIVFLHGAPTQSFSYRVVMAQMADAGYHCFAPDWIGFGFSEMPQPGYGFDFKEEEFHKAFDDLLGTLNITEPFFLVVQGFLVGSYGLTWALNNSSKVLKLAILNSPLTVSSPVPGLFQQLKWPLVGEFTCQNAVLAERFIEAGSAYVLKLEKADVYRLPYLSSGAPGFALLEAARKVNFQDVLRRISAGFSSSSWEKPILLAWGISDKYLPLSIAEEFKKANPNAVKLEAIEGAGHMPQEDWPEKVVKALVSFL >PVH35941 pep chromosome:PHallii_v3.1:7:45482445:45485927:-1 gene:PAHAL_7G313100 transcript:PVH35941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAVSAGGCGGGRDREPGTRQSRRAARTGVVRRRRRRKRTSRGSTRSVSSRTTPPAAAPSSCPRFPPRMAMSARCSTDSPCCVQRIEDKGREYGSYVRSGDFRWFVREIGSSDARRGTIVFLHGAPTQSFSYRVVMAQMADAGYHCFAPDWIGFGFSEMPQPGYGFDFKEEEFHKAFDDLLGTLNITEPFFLVVQGFLVGSYGLTWALNNSSKVLKLAILNSPLTVSSPVPGLFQQLKWPLVGEFTCQNAVLAERFIEAGSAYVLKLEKADVYRLPYLSSGAPGFALLEAARKVNFQDVLRRISAGFSSSSWEKPILLAWGISDKYLPLSIAEEFKKANPNAVKLEAIEGAGHMPQEDWPEKVVKALVSFL >PAN40608 pep chromosome:PHallii_v3.1:7:46582177:46585321:-1 gene:PAHAL_7G328100 transcript:PAN40608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAASSSASALVRLPGPAHLRAPPRSWWRDHRRPRRTTARCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALITSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESREAIATALRDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEALEKLEKSVDTLIVIPNDKLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARLVEAKEKASSLAHRGAAASVQPAPASAWSRRLFS >PVH35667 pep chromosome:PHallii_v3.1:7:40816290:40817228:1 gene:PAHAL_7G238800 transcript:PVH35667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKSLTYLTKGDICCIEVFFVERASYDLENAIDKLDLDVDNSIMKVQEKDNDVPPISAYCSTNTLTGEISFRVPRVVKSPKKKRSTTSLEKRKGKKKRSTNKDKGADPKQTMDLDARIVEANSIPNDMYGQPSTTTIPSIQGCYANVIMPGFNMHMNSSIMDAHGIGGGYTSLLFGVDKDAASAIRQLYFDRVLDNENI >PAN38486 pep chromosome:PHallii_v3.1:7:36834072:36838684:-1 gene:PAHAL_7G175200 transcript:PAN38486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLISLGWIHATLNFLTFAWRLAALILDVYKTRYIGMPKPRPWRLSAQRSFLDLFFFSRASAMAARRLEWAPATAALRLFLLLLAAAGAAAASPAPAGRREVVTSPRGAVAADDWRCSRAGRDALRDGGGAVDAAVATALCLGVVSPASSGVGGGAFMLVRLADGTSIVYDSRETAPLAASKDMYGGNATLKARGALSIAVPGEIAGLYEAWRRHGKLPWKRLVLPAARLAAAFRISPYLRMQMEATRDGILANRGIRAVYAPGGDILRAGEVCRNVQLARTLRLVAERGPAVFYNGTVGERLVEDVREVGGIVTAEDLKRYRVKVRRPLTENVMGLQVVTMPPPSAGGAGMLLILNILAQYGLPSGFAGSLGIQRLIESLKHYMAVKMNLGDPDFVNVTEVVSDMMSPKFAAELKKTIYDNMTFDPKHYGGRWNILPEHGTSHLSVVDSERNAVSMTSTVNSYFGSLIVSPSTGILLNNEMDDFSMPANTTLNSPPPAPANFVSPSKRPLSSMTPTIVLKDGKLKAAVGASGGAMIPAGTIEVFLNHFVKNMDPLASVMAPRVYHQLIPNVVQYENWTTVTGDHFELATATRADLQRRGHALKPLAGGTISQLVVHNVERRGDLTAVSDPRKGGVPAGY >PAN38483 pep chromosome:PHallii_v3.1:7:36834072:36838390:-1 gene:PAHAL_7G175200 transcript:PAN38483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRLADGTSIVYDSRETAPLAASKDMYGGNATLKARGALSIAVPGEIAGLYEAWRRHGKLPWKRLVLPAARLAAAFRISPYLRMQMEATRDGILANRGIRAVYAPGGDILRAGEVCRNVQLARTLRLVAERGPAVFYNGTVGERLVEDVREVGGIVTAEDLKRYRVKVRRPLTENVMGLQVVTMPPPSAGGAGMLLILNILAQYGLPSGFAGSLGIQRLIESLKHYMAVKMNLGDPDFVNVTEVVSDMMSPKFAAELKKTIYDNMTFDPKHYGGRWNILPEHGTSHLSVVDSERNAVSMTSTVNSYFGSLIVSPSTGILLNNEMDDFSMPANTTLNSPPPAPANFVSPSKRPLSSMTPTIVLKDGKLKAAVGASGGAMIPAGTIEVFLNHFVKNMDPLASVMAPRVYHQLIPNVVQYENWTTVTGDHFELATATRADLQRRGHALKPLAGGTISQLVVHNVERRGDLTAVSDPRKGGVPAGY >PVH35405 pep chromosome:PHallii_v3.1:7:36834322:36838018:-1 gene:PAHAL_7G175200 transcript:PVH35405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRLADGTSIVYDSRETAPLAASKSESQPCVQDMYGGNATLKARGALSIAVPGEIAGLYEAWRRHGKLPWKRLVLPAARLAAAFRISPYLRMQMEATRDGILANRGIRAVYAPGGDILRAGEVCRNVQLARTLRLVAERGPAVFYNGTVGERLVEDVREVGGIVTAEDLKRYRVKVRRPLTENVMGLQVVTMPPPSAGGAGMLLILNILAQYGLPSGFAGSLGIQRLIESLKHYMAVKMNLGDPDFVNVTEVVSDMMSPKFAAELKKTIYDNMTFDPKHYGGRWNILPEHGTSHLSVVDSERNAVSMTSTVNSYFGSLIVSPSTGILLNNEMDDFSMPANTTLNSPPPAPANFVSPSKRPLSSMTPTIVLKDGKLKAAVGASGGAMIPAGTIEVFLNHFVKNMDPLASVMAPRVYHQLIPNVVQYENWTTVTGDHFELATATRADLQRRGHALKPLAGGTISQLVVHNVERRGDLTAVSDPRKGGVPAGY >PAN37930 pep chromosome:PHallii_v3.1:7:33587759:33592264:1 gene:PAHAL_7G133300 transcript:PAN37930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVEDRPSVEPIKQLKRQDSLYGDAEKVSSAKYHGSEGSWSRLLQLAFQSVGIIYGDVGTSPLYAISSTFPDGIKNRDDLLGVLSLILYTLILIPMVKYVFIVLYADDHGDGGTFALYSLISRHAKIRLIPNQQAEDAMVSNYGIEAPSRQLRRAQWLKQKLESSMAAKISLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKVPSLTETQVVWISVPILFALFSVQRYGTDKVGYSFAPIITVWFVLIAGIGIYNLVVHEIGVLRAFNPMYIVDYFRRNGKEGWISLGGVILCVTGTEGMYADLSHFSIQAIQISFNTVLFPSVALCYMGQAAYLRKFPENVADTFFRSIPAPMFWPTFITAILSAIIASQAMLSGAFAILSKALSLGCFPSVQVIHTSKSHEGQVYIPEVNFLMGLASIIVTITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLIWRKKFIFVFLFYVVFGSIELIYLSSILTKFAQGGYLPFCFSLVLMALMMAWHYVHVNKYWYELDHIVPADEVTALLKKHDVRRIPGVGLLYSDLVQGIPPVFPRLVQKIPSVHSVFLFMSIKHLPIPHVVPVERFLFRQVGPREHRMFRCVARYGYCDMLEESGLFKGFLMERLKMFIQDEAAFETNSTTGDSQTCSEGSACPIVPSGQSGNINSDLVEKEKQLIDAETERGVVYLMGEANVVAGPNSSLVKKIVVDYVYAFLRNNLTEGEKALSIPKDELLKVGITYDI >PAN37929 pep chromosome:PHallii_v3.1:7:33587745:33592298:1 gene:PAHAL_7G133300 transcript:PAN37929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVEDRPSVEPIKQLKRQDSLYGDAEKVSSAKYHGSEGSWSRLLQLAFQSVGIIYGDVGTSPLYAISSTFPDGIKNRDDLLGVLSLILYTLILIPMVKYVFIVLYADDHGDGGTFALYSLISRHAKIRLIPNQQAEDAMVSNYGIEAPSRQLRRAQWLKQKLESSMAAKISLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKVPSLTETQVVWISVPILFALFSVQRYGTDKVGYSFAPIITVWFVLIAGIGIYNLVVHEIGVLRAFNPMYIVDYFRRNGKEGWISLGGVILCVTGTEGMYADLSHFSIQAIQISFNTVLFPSVALCYMGQAAYLRKFPENVADTFFRSIPAPMFWPTFITAILSAIIASQAMLSGAFAILSKALSLGCFPSVQVIHTSKSHEGQVYIPEVNFLMGLASIIVTITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLIWRKKFIFVFLFYVVFGSIELIYLSSILTKFAQGGYLPFCFSLVLMALMMAWHYVHVNKYWYELDHIVPADEVTALLKKHDVRRIPGVGLLYSDLVQGIPPVFPRLVQKIPSVHSVFLFMSIKHLPIPHVVPVERFLFRQVGPREHRMFRCVARYGYCDMLEESGLFKGFLMERLKMFIQDEAAFETNSTTGDSQTCSEGSACPIVPSGQSGNINSDLVEKEKQLIDAETERGVVYLMGEANVVAGPNSSLVKKIVVDYVYAFLRNNLTEGEKALSIPKDELLKVGITYDI >PVH35236 pep chromosome:PHallii_v3.1:7:33587759:33592264:1 gene:PAHAL_7G133300 transcript:PVH35236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVEDRPSVEPIKQLKRQDSLYGDAEKVSSAKYHGSEGSWSRLLQLAFQSVGIIYGDVGTSPLYAISSTFPDGIKNRDDLLGVLSLILYTLILIPMVKYVFIVLYADDHGDGGTFALYSLISRHAKIRLIPNQQAEDAMVSNYGIEAPSRQLRRAQWLKQKLESSMAAKISLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKVPSLTETQVVWISVPILFALFSVQRYGTDKVGYSFAPIITVWFVLIAGIGIYNLVVHEIGVLRAFNPMYIVDYFRRNGKEGWISLGGVILCVTGTEGMYADLSHFSIQAIQISFNTVLFPSVALCYMGQAAYLRKFPENVADTFFRSIPAPMFWPTFITAILSAIIASQAMLSGAFAILSKALSLGCFPSVQVIHTSKSHEGQVYIPEVNFLMGLASIIVTITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLIWRKKFIFVFLFYVVFGSIELIYLSSILTKFAQADEVTALLKKHDVRRIPGVGLLYSDLVQGIPPVFPRLVQKIPSVHSVFLFMSIKHLPIPHVVPVERFLFRQVGPREHRMFRCVARYGYCDMLEESGLFKGFLMERLKMFIQDEAAFETNSTTGDSQTCSEGSACPIVPSGQSGNINSDLVEKEKQLIDAETERGVVYLMGEANVVAGPNSSLVKKIVVDYVYAFLRNNLTEGEKALSIPKDELLKVGITYDI >PAN37931 pep chromosome:PHallii_v3.1:7:33587745:33592695:1 gene:PAHAL_7G133300 transcript:PAN37931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVEDRPSVEPIKQLKRQDSLYGDAEKVSSAKYHGSEGSWSRLLQLAFQSVGIIYGDVGTSPLYAISSTFPDGIKNRDDLLGVLSLILYTLILIPMVKYVFIVLYADDHGDGGTFALYSLISRHAKIRLIPNQQAEDAMVSNYGIEAPSRQLRRAQWLKQKLESSMAAKISLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKVPSLTETQVVWISVPILFALFSVQRYGTDKVGYSFAPIITVWFVLIAGIGIYNLVVHEIGVLRAFNPMYIVDYFRRNGKEGWISLGGVILCVTGTEGMYADLSHFSIQAIQISFNTVLFPSVALCYMGQAAYLRKFPENVADTFFRSIPAPMFWPTFITAILSAIIASQAMLSGAFAILSKALSLGCFPSVQVIHTSKSHEGQVYIPEVNFLMGLASIIVTITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLIWRKKFIFVFLFYVVFGSIELIYLSSILTKFAQADEVTALLKKHDVRRIPGVGLLYSDLVQGIPPVFPRLVQKIPSVHSVFLFMSIKHLPIPHVVPVERFLFRQVGPREHRMFRCVARYGYCDMLEESGLFKGFLMERLKMFIQDEAAFETNSTTGDSQTCSEGSACPIVPSGQSGNINSDLVEKEKQLIDAETERGVVYLMGEANVVAGPNSSLVKKIVVDYVYAFLRNNLTEGEKALSIPKDELLKVGITYDI >PAN38001 pep chromosome:PHallii_v3.1:7:34193388:34198451:1 gene:PAHAL_7G139400 transcript:PAN38001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAERFGTRWLPFRLAAAVVLLALMTDRAVLRAEATPIGINYGQIADNLPSPARVSWLLRSMQVSKVKLYDADPYVLSAFLDTGVEFVVGIGNENVSAMVDPAAARAWIQRHVQPYLPSTRITCITVGNEVFKGNDTALKANLLPAMQSVYQALAALGLQGRVNVTTAHSLDIMGSSFPPSAGAFRADVVPYMQPILSFLSMARSPFLINCYPYFAYKADPGNVPLEYVLFQPNAGVTDTNTKLNYDNMLYAQVDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLQGYLPPMDYSRGTRKAVHLLALILIAVASIALSLS >PAN38005 pep chromosome:PHallii_v3.1:7:34193388:34198451:1 gene:PAHAL_7G139400 transcript:PAN38005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAERFGTRWLPFRLAAAVVLLALMTDRAVLRAEATPIGINYGQIADNLPSPARVSWLLRSMQVSKVKLYDADPYVLSAFLDTGVEFVVGIGNENVSAMVDPAAARAWIQRHVQPYLPSTRITCITVGNEVFKGNDTALKANLLPAMQSVYQALAALGLQGRVNVTTAHSLDIMGSSFPPSAGAFRADVVPYMQPILSFLSMARSPFLINCYPYFAYKADPGNVPLEYVLFQPNAGVTDTNTKLNYDNMLYAQVDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLQGYLPPMDYSRGTRKGASACPFATEPGPLPRVAGPWDRPCMRTPAILFEHGAPVQIFRRQQTRQRCPGAWAMGSSRQTLTFTRLIAYSSCWRSGI >PAN38003 pep chromosome:PHallii_v3.1:7:34193809:34196537:1 gene:PAHAL_7G139400 transcript:PAN38003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAERFGTRWLPFRLAAAVVLLALMTDRAVLRAEATPIGINYGQIADNLPSPARVSWLLRSMQVSKVKLYDADPYVLSAFLDTGVEFVVGIGNENVSAMVDPAAARAWIQRHVQPYLPSTRITCITVGNEVFKGNDTALKANLLPAMQSVYQALAALGLQGRVNVTTAHSLDIMGSSFPPSAGAFRADVVPYMQPILSFLSMARSPFLINCYPYFAYKADPGNVPLEYVLFQPNAGVTDTNTKLNYDNMLYAQVDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLQGYLPPMDYSRGTRKAVHLLALILIAVASIALSLS >PAN37999 pep chromosome:PHallii_v3.1:7:34193388:34198451:1 gene:PAHAL_7G139400 transcript:PAN37999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAERFGTRWLPFRLAAAVVLLALMTDRAVLRAEATPIGINYGQIADNLPSPARVSWLLRSMQVSKVKLYDADPYVLSAFLDTGVEFVVGIGNENVSAMVDPAAARAWIQRHVQPYLPSTRITCITVGNEVFKGNDTALKANLLPAMQSVYQALAALGLQGRVNVTTAHSLDIMGSSFPPSAGAFRADVVPYMQPILSFLSMARSPFLINCYPYFAYKADPGNVPLEYVLFQPNAGVTDTNTKLNYDNMLYAQVDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLQGYLPPMDYSRGTRKAVHLLALILIAVASIALSLS >PAN38000 pep chromosome:PHallii_v3.1:7:34193478:34198451:1 gene:PAHAL_7G139400 transcript:PAN38000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAERFGTRWLPFRLAAAVVLLALMTDRAVLRAEATPIGINYGQIADNLPSPARVSWLLRSMQVSKVKLYDADPYVLSAFLDTGVEFVVGIGNENVSAMVDPAAARAWIQRHVQPYLPSTRITCITVGNEVFKGNDTALKANLLPAMQSVYQALAALGLQGRVNVTTAHSLDIMGSSFPPSAGAFRADVVPYMQPILSFLSMARSPFLINCYPYFAYKADPGNVPLEYVLFQPNAGVTDTNTKLNYDNMLYAQVDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLQGYLPPMDYSRGTRKAVHLLALILIAVASIALSLS >PAN38002 pep chromosome:PHallii_v3.1:7:34193478:34198451:1 gene:PAHAL_7G139400 transcript:PAN38002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAERFGTRWLPFRLAAAVVLLALMTDRAVLRAEATPIGINYGQIADNLPSPARVSWLLRSMQVSKVKLYDADPYVLSAFLDTGVEFVVGIGNENVSAMVDPAAARAWIQRHVQPYLPSTRITCITVGNEVFKGNDTALKANLLPAMQSVYQALAALGLQGRVNVTTAHSLDIMGSSFPPSAGAFRADVVPYMQPILSFLSMARSPFLINCYPYFAYKADPGNVPLEYVLFQPNAGVTDTNTKLNYDNMLYAQVDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLQGYLPPMDYSRGTRKAVHLLALILIAVASIALSLS >PVH34942 pep chromosome:PHallii_v3.1:7:21282852:21284336:-1 gene:PAHAL_7G070900 transcript:PVH34942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKNKRIWTYYEDDELIKALYEVSLDPRWKSEGGFKNGYCSVLENMFASKLPNSGLTAIPHIEFRVRHFRTSMVPLRGLYGISFRYYETLAAIYSKDIATREGAEGIGEDVSNMDKEIALGDSHNEVEEDHMSMDTPQQSLDSRNSMDSTSSSSKRRKKAKDNSKGNEPSLSSDPFLDMVGGLRGDLNKASQHFGKMAEALEREAKVQAEATQNDPMQMLWEKSIAKLTRLGFTGSELLKAATVFMKIPNQMTMLFALPENLRREFIQNMLADEAQRKK >PAN39769 pep chromosome:PHallii_v3.1:7:42689778:42694152:1 gene:PAHAL_7G267200 transcript:PAN39769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDMSDESSLLTLQSWAANVDMQRFEVLLCIGNKADLVPGHGAHVEYRRRMQRLGESSSDPHPEYLDFGINESEGCGLLSEEEPCIEIRNSTSQWCIEQNIEYIEACASNTDFDKCLSVDGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEETTDDESNYDFEYEVLSHGSDDQWEFIGETSTSRSFERSDAANDAQDRTHQVVNPSADSSASNALPSDRPTETTVTQSNKAKDSDHVDKTAADSVDDHQGDVTEANNLFEDEHYGLDDLEKLMSEIGNMRSNLRLMPDFQRREMAAKLAMKMAAMFGDEDEEAFEDI >PAN39767 pep chromosome:PHallii_v3.1:7:42689778:42694152:1 gene:PAHAL_7G267200 transcript:PAN39767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDMSDESSLLTLQSWAANVDMQRFEVLLCIGNKADLVPGHGAHVEYRRRMQRLGESSSDPHPEYLDFGINESEGCGLLSEEEPCIEIRNSTSQWCIEQNIEYIEACASNTDFDKCLSVDGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEETTDDESNYDFEYEVLSHGSDDQWEFIGETSTSRSFERSDAANDAQDRTHQVVNPSADSSASNALPSDRPTETTVTQSNKAKDSDHVDKTAADSVDDHQGDVTEANNLFEDEHYGLDDLEKLMSEIGNMRSNLRLMPDFQRREMAAKLAMKMAAMFGDEDEEAFEDI >PAN39768 pep chromosome:PHallii_v3.1:7:42689778:42694152:1 gene:PAHAL_7G267200 transcript:PAN39768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLEARPGVLLVGAPGVGKRTILSRLLAAEIPDVHDLSSGVLCQGWTIETKYYSAELSIWTANLGEEFSLGSLPHLDRLAALVMVFDMSDESSLLTLQSWAANVDMQRFEVLLCIGNKADLVPGHGAHVEYRRRMQRLGESSSDPHPEYLDFGINESEGCGLLSEEEPCIEIRNSTSQWCIEQNIEYIEACASNTDFDKCLSVDGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEETTDDESNYDFEYEVLSHGSDDQWEFIGETSTSRSFERSDAANDAQDRTHQVVNPSADSSASNALPSDRPTETTVTQSNKAKDSDHVDKTAADSVDDHQGDVTEANNLFEDEHYGLDDLEKLMSEIGNMRSNLRLMPDFQRREMAAKLAMKMAAMFGDEDEEAFEDI >PVH35899 pep chromosome:PHallii_v3.1:7:44906542:44907184:-1 gene:PAHAL_7G302500 transcript:PVH35899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVWDRMENWTQSIIKKPAQGMEVMDWWEKKLAHLSKKARRLKAALMIHGAWNIWKARNKRVFEKKTMTSLEVMQEIKAEMQCRNMACGRPELSSFND >PAN39512 pep chromosome:PHallii_v3.1:7:41401025:41405997:1 gene:PAHAL_7G248500 transcript:PAN39512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNILLCRLHIKGMACKYCTSTVQFVLQASPGVQRASVALATEEAEIRYDRRIVSASQLIQVVEETGFEAILLTTGEDWSRIDLKMDGVLDERSLMIVKSSVQALPGVENIKLDTKLHKVTISYKPEQTGPRDLIEVIESATSGLVNASIYLEADGRDQHRYVEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPWLKAGLERKVFNMMSTGELLRWILSTPVQFVIGRKFYAGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLQAATSANYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETAILLMYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVILFSLLTWLAWFVAGRLHSYPNSWIPPSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDYAAAAEINSEHPLAKAIVEHAKKLHPEENHIWPEARDFISVTGHGVKAEVSDKSVIVGNKSFMLSSDIDIPSEALEILMEEEEKARTGIIVAMDREVVGVISVSDPIKPNAHEVISYLKSMKVESIMVTGDNWGTANAISKEVGIEKIIAEAKPEQKAEMVKELQMSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCWSLLLRYYKSPKIVSS >PAN39517 pep chromosome:PHallii_v3.1:7:41399636:41405997:1 gene:PAHAL_7G248500 transcript:PAN39517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNILLCRLHIKGMACKYCTSTVQFVLQASPGVQRASVALATEEAEIRYDRRIVSASQLIQVVEETGFEAILLTTGEDWSRIDLKMDGVLDERSLMIVKSSVQALPGVENIKLDTKLHKVTISYKPEQTGPRDLIEVIESATSGLVNASIYLEADGRDQHRYVEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPWLKAGLERKVFNMMSTGELLRWILSTPVQFVIGRKFYAGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLQAATSANYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETAILLMYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVILFSLLTWLAWFVAGRLHSYPNSWIPPSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDYAAAAEINSEHPLAKAIVEHAKKLHPEENHIWPEARDFISVTGHGVKAEVSDKSVIVGNKSFMLSSDIDIPSEALEILMEEEEKARTGIIVAMDREVVGVISVSDPIKPNAHEVISYLKSMKVESIMVTGDNWGTANAISKEVGIEKIIAEAKPEQKAEMVKELQMSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCWSLLLRYYKSPKIVSS >PAN39513 pep chromosome:PHallii_v3.1:7:41399636:41405998:1 gene:PAHAL_7G248500 transcript:PAN39513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATTRALFLSCFHGGGGGSEVSRRLALRPRYPSMPRRPKGAAVAGGGGGGGDLEAAAGGESEEEEKVAVFSVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSENKITEAIEDAGFEAKLIDEEVMEKNILLCRLHIKGMACKYCTSTVQFVLQASPGVQRASVALATEEAEIRYDRRIVSASQLIQVVEETGFEAILLTTGEDWSRIDLKMDGVLDERSLMIVKSSVQALPGVENIKLDTKLHKVTISYKPEQTGPRDLIEVIESATSGLVNASIYLEADGRDQHRYVEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPWLKAGLERKVFNMMSTGELLRWILSTPVQFVIGRKFYAGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLQAATSANYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETAILLMYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVILFSLLTWLAWFVAGRLHSYPNSWIPPSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDYAAAAEINSEHPLAKAIVEHAKKLHPEENHIWPEARDFISVTGHGVKAEVSDKSVIVGNKSFMLSSDIDIPSEALEILMEEEEKARTGIIVAMDREVVGVISVSDPIKPNAHEVISYLKSMKVESIMVTGDNWGTANAISKEVGIEKIIAEAKPEQKAEMVKELQMSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCWSLLLRYYKSPKIVSS >PAN39515 pep chromosome:PHallii_v3.1:7:41401963:41405998:1 gene:PAHAL_7G248500 transcript:PAN39515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNILLCRLHIKGMACKYCTSTVQFVLQASPGVQRASVALATEEAEIRYDRRIVSASQLIQVVEETGFEAILLTTGEDWSRIDLKMDGVLDERSLMIVKSSVQALPGVENIKLDTKLHKVTISYKPEQTGPRDLIEVIESATSGLVNASIYLEADGRDQHRYVEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPWLKAGLERKVFNMMSTGELLRWILSTPVQFVIGRKFYAGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLQAATSANYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETAILLMYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVILFSLLTWLAWFVAGRLHSYPNSWIPPSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDYAAAAEINSEHPLAKAIVEHAKKLHPEENHIWPEARDFISVTGHGVKAEVSDKSVIVGNKSFMLSSDIDIPSEALEILMEEEEKARTGIIVAMDREVVGVISVSDPIKPNAHEVISYLKSMKVESIMVTGDNWGTANAISKEVGIEKIIAEAKPEQKAEMVKELQMSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCWSLLLRYYKSPKIVSS >PAN39516 pep chromosome:PHallii_v3.1:7:41399636:41405997:1 gene:PAHAL_7G248500 transcript:PAN39516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNILLCRLHIKGMACKYCTSTVQFVLQASPGVQRASVALATEEAEIRYDRRIVSASQLIQVVEETGFEAILLTTGEDWSRIDLKMDGVLDERSLMIVKSSVQALPGVENIKLDTKLHKVTISYKPEQTGPRDLIEVIESATSGLVNASIYLEADGRDQHRYVEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPWLKAGLERKVFNMMSTGELLRWILSTPVQFVIGRKFYAGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLQAATSANYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETAILLMYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVILFSLLTWLAWFVAGRLHSYPNSWIPPSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDYAAAAEINSEHPLAKAIVEHAKKLHPEENHIWPEARDFISVTGHGVKAEVSDKSVIVGNKSFMLSSDIDIPSEALEILMEEEEKARTGIIVAMDREVVGVISVSDPIKPNAHEVISYLKSMKVESIMVTGDNWGTANAISKEVGIEKIIAEAKPEQKAEMVKELQMSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCWSLLLRYYKSPKIVSS >PAN39156 pep chromosome:PHallii_v3.1:7:39018787:39021136:-1 gene:PAHAL_7G212100 transcript:PAN39156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEEKVSPHVILFPFLAHGHVPAFLRLADLLRARRPGLEVTLVSTPRILGSLSLPPASPPLRLHALPFSPADHGLPPGADSLADIQVHQFITFFQASESLRPAFEEFVSGIGSRSPVCIVSDAFFGWTADVARARGAPHVVFLTGGAFGNAVFFSVWEHLPHARTAADEFPLPDFPGVVLQRTQIPRYMLPATGADPWTAFFRRVIAFCRKTDAVLVNTVRELEPSGLDMLRRSLGVQPWPIGPVLAAPAPSDSRDDAGIIRWLDAHPPRSVLYISFGSQNSISADQMRELALGLEASGRRFLWALRPPLGSDAKGAFKPEWLPAGFEERAARASAGLLVRGWAPQVRILAHPSTSAFLTHCGWNSVLESLSRGVPLLGWPLGAEQFFNAKLVAEWGVCVEVARGNLESSAVEKENVAEAVRTVMGENATGEEMRRKAAAIARAMAAAWEAPGGSAAESLEGFLRCVEASMR >PAN39400 pep chromosome:PHallii_v3.1:7:40868956:40872865:-1 gene:PAHAL_7G239800 transcript:PAN39400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSQFETCLPSLFSLGALPPPLAPLPNQHKLLQMPLFQEQGGTYGVMLSSDHHGGLYPLLLPGIPFCNSSAGAGEKPTGFAVLDAGEAGTLAAKAAGEIPSTTTATFHGSSSWWKGSAAEAGEKGRMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHTHSPCSDDADGAGDHIGSCAFTSL >PAN39398 pep chromosome:PHallii_v3.1:7:40871626:40872627:-1 gene:PAHAL_7G239800 transcript:PAN39398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSQFETCLPSLFSLGALPPPLAPLPNQHKLLQMPLFQEQGGTYGVMLSSDHHGGLYPLLLPGIPFCNSSAGAGEKPTGFAVLDAGEAGTLAAKAAGEIPSTTTATFHGSSSWWKGSAAEAGEKGRMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRCSSA >PAN39397 pep chromosome:PHallii_v3.1:7:40871626:40872627:-1 gene:PAHAL_7G239800 transcript:PAN39397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSQFETCLPSLFSLGALPPPLAPLPNQHKLLQMPLFQEQGGTYGVMLSSDHHGGLYPLLLPGIPFCNSSAGAGEKPTGFAVLDAGEAGTLAAKAAGEIPSTTTATFHGSSSWWKGSAAEAGEKGRMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPSCVRRCSSA >PAN37253 pep chromosome:PHallii_v3.1:7:22080001:22084232:-1 gene:PAHAL_7G073200 transcript:PAN37253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREVAESCVDGVVMEMVAAYCGRLYATKPELAARRIEAIGFQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSLDPSAESTDVTDNDSAPLGDTAAQTTSMLLYFPCGLIRGALTNLGIPCSVSADMSNLPACSFVVRIKT >PAN37252 pep chromosome:PHallii_v3.1:7:22080001:22084266:-1 gene:PAHAL_7G073200 transcript:PAN37252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREVAESCVDGVVMEMVAAYCGRLYATKPELAARRIEAIGFQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSLDPSAESTDVTDNDSAPLGDTAAQTTSMLLYFPCGLIRGSFVVRIKT >PAN39622 pep chromosome:PHallii_v3.1:7:41897037:41901121:1 gene:PAHAL_7G256000 transcript:PAN39622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGVKNGAVRQYIRSKEPRMRWTADLHRSFVRAIECLGGEDKATPKLILQFMGAKGLTISHVKSHLQMYRAARLGAARRGMQASQLQRRHSCAGDEQGPKEFLCPPLKRSRMGTAAVYESLQGSHGISEARTAAAGSLHCVDDYMQAMAMRRRIKEEGLRWQRRDAAAPAAAASNLQAVGCLVQESDRFKQISRPEANHLGLALNQQQGSIEDGNGCSLFSSFSIAAKGEPPEQCSLSLSLGLDPNSARAMAASSPSESSCILTASPARRSSGDCSGHSDYFVFPGLSLELSLSMRG >PAN39621 pep chromosome:PHallii_v3.1:7:41897037:41901149:1 gene:PAHAL_7G256000 transcript:PAN39621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGVKNGAVRQYIRSKEPRMRWTADLHRSFVRAIECLGGEDKATPKLILQFMGAKGLTISHVKSHLQMYRAARLGAARRGMQASQLQRRHSCAGDEQGPKEFLCPPLKRSRMGTAAVYESLQGSHGISEARTAAAGSLHCVDDYMQAMAMRRRIKEEGLRWQRRDAAAPAAAASNLQAVGCLVQESDRFKISRPEANHLGLALNQQQGSIEDGNGCSLFSSFSIAAKGEPPEQCSLSLSLGLDPNSARAMAASSPSESSCILTASPARRSSGDCSGHSDYFVFPGLSLELSLSMRG >PAN39592 pep chromosome:PHallii_v3.1:7:41725202:41729295:-1 gene:PAHAL_7G254100 transcript:PAN39592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNIGHLVAVMAQYSAPTWATLVAGLFMLLALSLSTYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYISLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSGQPLLHHASEKGIIHHHFPVNFILKPWRLGTRFYLIIKFGIFQYMIIKTLTATLSLLLEPFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVAWYTATKDELAPIKPLAKFLSFKSIVFLTWWQGVVIAILYALGLLRSPLAQSLELKSSIQNFIICIEMGIASAVHLYVFPAEPYALLSNRSPGNISVLEDCASSDPVDPFEITESNRPTKMKFPQLEPDERSVTNIKESVRDFVFGSGEYVIKDFKFTVDQAVKPVEKRFDKLMKKDKRKKTQDDNLVSAATPKRPIRGIDDPLLSGSASDSGVTKGKKHHKVVGSAGAMDSWGGGDQSSDGYEIRGHRWAVKN >PAN39591 pep chromosome:PHallii_v3.1:7:41725202:41729272:-1 gene:PAHAL_7G254100 transcript:PAN39591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNIGHLVAVMAQYSAPTWATLVAGLFMLLALSLSTYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYISLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSGQPLLHHASEKGIIHHHFPVNFILKPWRLGTRFYLIIKFGIFQYMIIKTLTATLSLLLEPFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVAWYTATKDELAPIKPLAKFLSFKSIVFLTWWQGVVIAILYALGLLRSPLAQSLELKSSIQNFIICIEMGIASAVHLYVFPAEPYALLSNRSPGNISVLEDCASSDPVDPFEITESNRPTKMKFPQLEPDERSVTNIKESVRDFVFGSGEYVIKDFKFTVDQAVKPVEKRFDKLMKKDKRKKTQDDNLVSAATPKRPIRGIDDPLLSGSASDSGVTKGKKHHKVVGSAGAMDSWGGGDQSSDGYEIRGHRWAVKN >PVH34704 pep chromosome:PHallii_v3.1:7:2147130:2151455:1 gene:PAHAL_7G011900 transcript:PVH34704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFVTCVTAAAFKACLWNESVPSIFIVCSTLYILMSSLSSCIIAYRVAVSIQGNATMALQVPFLLSLSSVLAAWIYSEFLGFRASSSHEKVHPDAYLSNETIKQDDRAVLLEGSQSKLPSRNNSAKANLIRFITLNESFLLENQGVLRAMSEFGIILVYFYICDRTNIFAESKKSYNRDMFLFLYILLIIASILTSLKKHHEPSAISGKSILYLNRHQTDEWRGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYKKKDFSIARFTQMMWRVNFFAAFCCIVLDNDYMLYYISPMHTLFTLMIYGSLFLFNKYNEIPSVVAIKIACCFLTVILIWEIPGVFEILWAPLTFLIGYKNPEHSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESDTKVRLSIKGTIVTISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYICLRNCTQKLRSTSLALFVWLGKITMESYISQFHIWLRSGIPNGQPKLLLSFIPDYALLNFLLTTTIFLLVSSNVKVIFIQKSHQNDIHYVTYPADIIPGV >PVH34702 pep chromosome:PHallii_v3.1:7:2146524:2152329:1 gene:PAHAL_7G011900 transcript:PVH34702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFVTCVTAAAFKACLWNESVPSIFIVCSTLYILMSSLSSCIIAYRVAVSIQGNATMALQVPFLLSLSSVLAAWIYSEFLGFRASSSHEKVAVLLEGSQSKLPSRNNSAKANLIRFITLNESFLLENQGVLRAMSEFGIILVYFYICDRTNIFAESKKSYNRDMFLFLYILLIIASILTSLKKHHEPSAISGKSILYLNRHQTDEWRGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYKKKDFSIARFTQMMWRVNFFAAFCCIVLDNDYMLYYISPMHTLFTLMIYGSLFLFNKYNEIPSVVAIKIACCFLTVILIWEIPGVFEILWAPLTFLIGYKNPEHSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESDTKVRLSIKGTIVTISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYICLRNCTQKLRSTSLALFVWLGKITMESYISQFHIWLRSGIPNGQPKLLLSFIPDYALLNFLLTTTIFLLVSSNVKVIFIQKSHQNDIHYVTYPADIIPGV >PVH34703 pep chromosome:PHallii_v3.1:7:2146524:2152329:1 gene:PAHAL_7G011900 transcript:PVH34703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFVTCVTAAAFKACLWNESVPSIFIVCSTLYILMSSLSSCIIAYRVAVSIQGNATMALQVPFLLSLSSVLAAWIYSEFLGFRASSSHEKVAVLLEGSQSKLPSRNNSAKANLIRFITLNESFLLENQGVLRAMSEFGIILVYFYICDRTNIFAESKKSYNRDMFLFLYILLIIASILTSLKKHHEPSAISGKSILYLNRHQTDEWRGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYKKKDFSIARFTQMMWRVNFFAAFCCIVLDNDYMLYYISPMHTLFTLMIYGSLFLFNKYNEIPSVVAIKIACCFLTVILIWEIPGVFEILWAPLTFLIGYKNPEHSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESDTKVRLSIKGTIVTISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYICLRNCTQKLRSTSLALFVWLGKITMESYISQFHIWLRSGIPNGQPKLLLSFIPDYALLNFLLTTTIFLLISYRVFKLTNSLKEAFIPTRDNNRLYQNFIAGTAIFIGLYFCSFIIDKIPIV >PVH34705 pep chromosome:PHallii_v3.1:7:2146524:2152329:1 gene:PAHAL_7G011900 transcript:PVH34705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFVTCVTAAAFKACLWNESVPSIFIVCSTLYILMSSLSSCIIAYRVAVSIQGNATMALQVPFLLSLSSVLAAWIYSEFLGFRASSSHEKVHPDAYLSNETIKQDDRAVLLEGSQSKLPSRNNSAKANLIRFITLNESFLLENQGVLRAMSEFGIILVYFYICDRTNIFAESKKSYNRDMFLFLYILLIIASILTSLKKHHEPSAISGKSILYLNRHQTDEWRGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYKKKDFSIARFTQMMWRVNFFAAFCCIVLDNDYMLYYISPMHTLFTLMIYGSLFLFNKYNEIPSVVAIKIACCFLTVILIWEIPGVFEILWAPLTFLIGYKNPEHSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESDTKVRLSIKGTIVTISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYICLRNCTQKLRSTSLALFVWLGKITMESYISQFHIWLRSGIPNGQPKLLLSFIPDYALLNFLLTTTIFLLISYRVFKLTNSLKEAFIPTRDNNRLYQNFIAGTAIFIGLYFCSFIIDKIPIV >PVH34701 pep chromosome:PHallii_v3.1:7:2146524:2152329:1 gene:PAHAL_7G011900 transcript:PVH34701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYDRAVLLEGSQSKLPSRNNSAKANLIRFITLNESFLLENQGVLRAMSEFGIILVYFYICDRTNIFAESKKSYNRDMFLFLYILLIIASILTSLKKHHEPSAISGKSILYLNRHQTDEWRGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYKKKDFSIARFTQMMWRVNFFAAFCCIVLDNDYMLYYISPMHTLFTLMIYGSLFLFNKYNEIPSVVAIKIACCFLTVILIWEIPGVFEILWAPLTFLIGYKNPEHSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESDTKVRLSIKGTIVTISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYICLRNCTQKLRSTSLALFVWLGKITMESYISQFHIWLRSGIPNGQPKLLLSFIPDYALLNFLLTTTIFLLISYRVFKLTNSLKEAFIPTRDNNRLYQNFIAGTAIFIGLYFCSFIIDKIPIV >PVH36045 pep chromosome:PHallii_v3.1:7:47520614:47527439:1 gene:PAHAL_7G343600 transcript:PVH36045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MLMQFLSLPAASSPTPHLPPPRTTFKPVASSASFRRPSPPPPAPPKHSPPPPPPPLPPPPTNPLASKLWLSSKLSPPPPPTPPPSLEAIEEPPPPPPPPEPEPEQDAEPLRQEDFRQKGKVFVGNLPLWARKPEITEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDEPEAAAERAVEVDGVEFRGKSLTVRLDDGRKGRARAEERARWVEAGERREARSPWHKGREEACREFRRVLESRPENWQAVVSAFERIPKPSRREFGLMVVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCTEEMKSEGLELTVVTYSILIAGYAKINDAQSADNLFKEAKAKLDTLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDVYHSMMHGYTIVQDEKKCLIVFDRLKECGFKPSIISYGCLINLYVKIGKVPKALTISKEMESCGVKHNNKTYSMLINGFIHLHDFANAFSIFEDMLKSGLQPDRAIYNLLIEAFCKMGNMDRAIRIFEKMQKERMQASNRTFRPIIEGFAVAGDMKRALDTLDLMRRSGCAPTVMTYNALIHGLIRKHQVERAVSVLDKMSIAGIAPNEHTYTIIMRGYAAVGDIGKAFEYFTKIKENGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMQKM >PVH36046 pep chromosome:PHallii_v3.1:7:47520614:47527439:1 gene:PAHAL_7G343600 transcript:PVH36046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MLMQFLSLPAASSPTPHLPPPRTTFKPVASSASFRRPSPPPPAPPKHSPPPPPPPLPPPPTNPLASKLWLSSKLSPPPPPTPPPSLEAIEEPPPPPPPPEPEPEQDAEPLRQEDFRQKGKVFVGNLPLWARKPEITEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDEPEAAAERAVEVDGVEFRGKSLTVRLDDGRKGRARAEERARWVEAGERREARSPWHKGREEACREFRRVLESRPENWQAVVSAFERIPKPSRREFGLMVVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCTEEMKSEGLELTVVTYSILIAGYAKINDAQSADNLFKEAKAKLDTLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDVYHSMMHGYTIVQDEKKCLIVFDRLKECGFKPSIISYGCLINLYVKIGKVPKALTISKEMESCGVKHNNKTYSMLINGFIHLHDFANAFSIFEDMLKSGLQPDRAIYNLLIEAFCKMGNMDRAIRIFEKMQKERMQASNRTFRPIIEGFAVAGDMKRALDTLDLMRRSGCAPTVMTYNALIHGLIRKHQVERAVSVLDKMSIAGIAPNEHTYTIIMRGYAAVGDIGKAFEYFTKIKENGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAENVIQEMADVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKMAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMYENDLTVDLRTAVHWSKWLHKIERTGGALTEALQRIFPPDWNSSENLEASNSASDGDSESCSDSDFSDNDEDHDID >PAN40823 pep chromosome:PHallii_v3.1:7:47520614:47527439:1 gene:PAHAL_7G343600 transcript:PAN40823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MLMQFLSLPAASSPTPHLPPPRTTFKPVASSASFRRPSPPPPAPPKHSPPPPPPPLPPPPTNPLASKLWLSSKLSPPPPPTPPPSLEAIEEPPPPPPPPEPEPEQDAEPLRQEDFRQKGKVFVGNLPLWARKPEITEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDEPEAAAERAVEVDGVEFRGKSLTVRLDDGRKGRARAEERARWVEAGERREARSPWHKGREEACREFRRVLESRPENWQAVVSAFERIPKPSRREFGLMVVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCTEEMKSEGLELTVVTYSILIAGYAKINDAQSADNLFKEAKAKLDTLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDVYHSMMHGYTIVQDEKKCLIVFDRLKECGFKPSIISYGCLINLYVKIGKVPKALTISKEMESCGVKHNNKTYSMLINGFIHLHDFANAFSIFEDMLKSGLQPDRAIYNLLIEAFCKMGNMDRAIRIFEKMQKERMQASNRTFRPIIEGFAVAGDMKRALDTLDLMRRSGCAPTVMTYNALIHGLIRKHQVERAVSVLDKMSIAGIAPNEHTYTIIMRGYAAVGDIGKAFEYFTKIKENGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAENVIQEMADVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKMAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMYENDLTVDLRTAVHWSKWLHKIERTGGALTEALQRIFPPDWNSSENLEASNSASDGDSESCSDSDFSDNDEDHDID >PVH36044 pep chromosome:PHallii_v3.1:7:47520614:47527439:1 gene:PAHAL_7G343600 transcript:PVH36044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MRLSSQVARDMRGALSCTEEMKSEGLELTVVTYSILIAGYAKINDAQSADNLFKEAKAKLDTLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDVYHSMMHGYTIVQDEKKCLIVFDRLKECGFKPSIISYGCLINLYVKIGKVPKALTISKEMESCGVKHNNKTYSMLINGFIHLHDFANAFSIFEDMLKSGLQPDRAIYNLLIEAFCKMGNMDRAIRIFEKMQKERMQASNRTFRPIIEGFAVAGDMKRALDTLDLMRRSGCAPTVMTYNALIHGLIRKHQVERAVSVLDKMSIAGIAPNEHTYTIIMRGYAAVGDIGKAFEYFTKIKENGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAENVIQEMADVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKMAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMYENDLTVDLRTAVHWSKWLHKIERTGGALTEALQRIFPPDWNSSENLEASNSASDGDSESCSDSDFSDNDEDHDID >PAN40091 pep chromosome:PHallii_v3.1:7:44229098:44234446:1 gene:PAHAL_7G291200 transcript:PAN40091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERGGGGGGGRGRGRGRGGGGGGRGGGGYGRHGDGRGGGGYGGGGGGGGGYGYDEGGGYGGDRGYHGPRGGGGGGYGAGAGGRGGRGPGAGGGQAYGPGGGRGGRAWAPGSSSGRGRGGGGGAEYAPVARAPAQAPAVRGMAPKDKEAPSSSGSVERIGPSQLARVEPSASSLVAMSSAGTRVPMQRPDRGGSSFQAKVKLLVNHFIVNYRQASTIFHYDIDIKLDQASPKASGKELSKAEFLSVKDELFKDTDFRRLSSCVAYDGGRNLFTSAELPEGLFRVRVRSKTYIVSVDLKKKMPLSQLSELPVPREVLQGLDVIVREASRWRKIIVGKGFYSPDNSLDIGQGAVALKGAQQTLKHTQQGLILCVDYSVMPFYKAGPVMDLVEKIVGRLDYKTTLNKRQVENLEYELKGRRVTVIHRRTNQKYTVQGLTPLPASQLTFVDAETGQTKRLVEYFAQKHVKVIEYQMLPCLDLSKSKDKPNHVPIELCTLLEGQRYPKANLDKNSDRTLKSEALIPAFKRRKEILDLVNARDGPCSGEIAHQFGISLDVQMTEVTGRILPPPNLKLGTSNGQTCKFSIDQESCQWNLMKKKLVEGRDLQCWGIVDFSARPSHPRQESLNGRMFVEKIVRKCCDLGIRMNTNPCFVHISEMEVLSDPHRLHEELNKAKQAAVSKKQRLQLLFCPMSEQHSGYKTLKLICDTQLGILTQCFLSNLANKQQGQDQYMTNLALKINGKLGGSNVQLFDSLPRVSGVPFMFIGADVNHPSPGNVESPSIAAVVASINSGVNKYASRIRAQPHRCEVIQQLGEICLELIGVFEKQNRVKPQRIIYFRDGVSDGQFDMVLNEELADMEKVIKVNGYSPTITVIVAKKRHHTRLFPKDQGQLQTRNGNVPPGTVVDTGVVDPSAYDFYLCSHNGLLGTSRPTHYYSLVDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEAGVKSGTFEVGNFPRLHKDLEDNMFFI >PVH35136 pep chromosome:PHallii_v3.1:7:30985103:30988691:-1 gene:PAHAL_7G111700 transcript:PVH35136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAFNLPGAGEEEEEEVMGDLDEDEAMKDLDAGEGEDEDYFPATMKVGEEKEIGKQGLKKKLVKEGEGWDRPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPELAYGETGSPPTIPPNATLQFDVELLSWASVKDICKDGGVFKKIVTEGEKWENPKDLDEVFVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEQGRPASGDEGAVPPNATLHIDLELVSWKTVTLIGDRKRILKKVLKEGEGYERPNDGAVVRVRLIGKLEDGTVFVKKGHDGEEPFEFRTDEEQVIEGLDITVVNMKNGEVALARVPPEHAFGSAETKQDLAVVPPNSTVFYEVELVSFEKDKESWDLKSNTEKIEAAAKKKDEGNAWFKMGKYAKASKRYEKAAKYIEYDSSFSEEEKKQSKALKISCKLNNAACKLKLKEYREAEKLCTKVLELESTNVKALYRRAQAYIELVDLDLAELDIKKALEIDPDNRDVKLVYKTLKEKMREYNRRDAKFYGNMFAKWRKLEHMDTKKVPGKQEPQPMAIDSAA >PVH35921 pep chromosome:PHallii_v3.1:7:45156207:45158455:-1 gene:PAHAL_7G307300 transcript:PVH35921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMTPAAATGAVHAGAGDAAVLEKPLGADVAEDPIYCMPDFKMSGKKSDELEPTDAGDEDDGGDDDDEDGDFGGEGEEEISEGEEDISEGEGYDNPKGNENKKKQRGDAEENGEEDEEEPEDQEEGGGGDDDDDDDDDNDDDDDDEDGGEDDDEAVEGEDDDQDEDEEEDDDEDSLQPPKKRKK >PAN38852 pep chromosome:PHallii_v3.1:7:38427882:38431403:-1 gene:PAHAL_7G202300 transcript:PAN38852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFQATMHKPHGMLTAYRPVAGLGRTHELLGRHTLCPHSTRSCKLQQQLYPRLVLVSACHKRLGPVYASSGKENPVNDPFSMESLNKAMAQAKRPRSLQDLLSERMAKIRGQGSGGNGGNKNRYGGSGGGSDGPEDESFKDSLYEMIQILIATIAFILVYVHIIRGEELYRLARDYTRYLVTGKRTARLKRAMLNWRDFSERITKKDSAQEEDYYGRSVSSESAWWQQPKQLARRLEELCRGYLRPHAQES >PAN38853 pep chromosome:PHallii_v3.1:7:38427882:38431396:-1 gene:PAHAL_7G202300 transcript:PAN38853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFQATMHKPHGMLTAYRPVAGLGRTHELLGRHTLCPHSTRSCKLQQQLYPRLVLVSACHKRLGPVYASSGKENPVNDPFSMESLNKAMAQAKRPRSLQDLLSERMAKIRGQGSGGNGGNKNRYGGSGGGSDGPEDESFKDSLYEMIQILIATIAFILVYVHIIRGEELYRLARDYTRYLVTGKRTARLKRAMLNWRDFSERITKKDSAQEEDYYGRSVSSESAWWQQPKQLARRLEELCRGYLRPHAQES >PAN39864 pep chromosome:PHallii_v3.1:7:43169043:43172106:-1 gene:PAHAL_7G275200 transcript:PAN39864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWAEAALVLVSPSPATASSPSSSCGVRPRAALEPGRLFCKGFPCSMRSKAGFQINSYRTRTFKIKAKTESGDGYTRLAPLRFESPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQKEEASKVPQDLLYKRIAEVKEKERQNALEEIIYCWVIYKFMDSDISMTPALSPGAGPVRDISSLPNQEDKLQSIHSPDAFEMIQNHLNLIMGEKIAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKTLPPNPKQQIVFENLKPNPFWDMESLVQITPDGEEISLDDEESNPNKLRSYVSQLDADTLQRYATIRSKEAVSLIEKQTQSLFGRPDIKVLDDGSVNAKDGKMITITFIELNHLVLEAVAFGSFLWEAESYVESKYHFINS >PAN39863 pep chromosome:PHallii_v3.1:7:43169043:43171128:-1 gene:PAHAL_7G275200 transcript:PAN39863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKAGFQINSYRTRTFKIKAKTESGDGYTRLAPLRFESPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQKEEASKVPQDLLYKRIAEVKEKERQNALEEIIYCWVIYKFMDSDISMTPALSPGAGPVRDISSLPNQEDKLQSIHSPDAFEMIQNHLNLIMGEKIAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKTLPPNPKQQIVFENLKPNPFWDMESLVQITPDGEEISLDDEESNPNKLRSYVSQLDADTLQRYATIRSKEAVSLIEKQTQSLFGRPDIKVLDDGSVNAKDGKMITITFIELNHLVLEAVAFGSFLWEAESYVESKYHFINS >PVH36053 pep chromosome:PHallii_v3.1:7:47595757:47598086:-1 gene:PAHAL_7G345300 transcript:PVH36053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPQVEVPCYFLCPISLHMMRDPVTLPTGITYDRDGIERWLLTAGTCPLTKQPVPADCDPTPNHTLRRLIQSWCALHAADGVQRVATPKPPTDRARVASLISRIGANLTSTPQELLAALRELRDVASESERNRKLVAAVPRAVDTLVGVFVIASATSAAVCDEVLEIISSLELSEKCLLARVIETNEALSLVDALVSALQRSNTASRAHAALLLQNVTGVMSTNSLVPLPEQVFGEVVQLIRDKVSRAATKAALHVLVGTTPWGRNRVKAVDAGAVPVLVDMLLDGPERRACELALGALDRLCGCAEGRAELVAHGAGVAAVGRKALRVSDVATDKAVRVLRSVARHAATTAVVQEMAQTGVVGTLCVVAQSEQYGERTRERARETLRLHARAWRSSPCLHQHLQAMYPC >PAN37904 pep chromosome:PHallii_v3.1:7:33406759:33409764:-1 gene:PAHAL_7G132200 transcript:PAN37904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSSAEKMPSVYLYIPNIIGYFRIIVNFIAFAVCYSNRTLFAILYFFSFVLDGIDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQSYRPGLVFLMLLGLDITSHWFQMYSSFLSGKTSHKDVQHTSNWLLKLYYVYRPFMAFCCVSCEVLYIILFLFADEKSTSLLSSCNEAKSPHCLGVHFNSCWLGIETSYQHHPDENSCRHMRRD >PAN37905 pep chromosome:PHallii_v3.1:7:33407123:33409409:-1 gene:PAHAL_7G132200 transcript:PAN37905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSSAEKMPSVYLYIPNIIGYFRIIVNFIAFAVCYSNRTLFAILYFFSFVLDGIDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQSYRPGLVFLMLLGLDITSHWFQMYSSFLSGKTSHKDVQHTSNWLLKLYYVYRPFMAFCCVSCEVLYIILFLFADEKSTSLLSVCRVVMKQSPLIVLVFISTLVGWALKQVTNIIQMKTAADTCVEIDPKRSK >PAN38155 pep chromosome:PHallii_v3.1:7:35213138:35215476:-1 gene:PAHAL_7G151000 transcript:PAN38155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFCAGPAASAAANPSSAGRRLQNPAPASVLQARWIRSRGAPAFLSLRRPNAGLRPLRVAASAGVDPKIVNGEDVPTMKDLIQLYKTAFLDGNDEVLGEVEKAITAVEKEKSKVASQFESVAAEITSGKEKFIRLNADLENFRKQSEKERAKFTSNIQVEVVQSLLPLVDSFEKTNSENTPETEKEQKISTSYQGIYKQLVETLRYLGVGIVETVGKPFDPSVHEAIAREESSQFKAGIVSHEVRRGFLLKERLLRPATVKVSTGPGKQSASSVEAAKDAAVGI >PAN40194 pep chromosome:PHallii_v3.1:7:44704659:44705719:1 gene:PAHAL_7G298500 transcript:PAN40194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRSETSSRLVTTSAQAAHNFEVTDFSLLEGMGAGRYVSSRAFTAGGRAWNIRVYPDGWKEEDKAAHASVLLCFVGGAKDARTKFSLELQEKDGEVSDLQVQDTTTMTSHTFGTPGATFPKFVEKSKLKPLLRLNGDGFTIRCVLIVVGESKYEDVGAMAFPPSDIHQHFEHMLKDGRGADVALDVDGQLFRAHRCVLAARSPVFAAELFGPMKETKDTEPIKVDDMEPCVFEELLHFMYTDRISDDKRECGDATPVVAADRYGLDRLRLMCEAKLCRGIDARTVATTLALAEQHRCARLKDACLAFVASRDMLGAVMETDGFKHLAASCPLVLVEILDRIVKGKSQ >PAN37358 pep chromosome:PHallii_v3.1:7:24983579:24993256:1 gene:PAHAL_7G085100 transcript:PAN37358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHLRPFRSLFSSYATVRPQRREPKLLPLPDFSSCTSTAHIFSYQQSHRRLVPSAVRCEQTLLAADCRSSSHHGCDDNGIRKKERRRRWRKRKQTSKEEGTCVPSEEELSLRKVKLYQIGDPLGRKELGLHVVQWIKQGMHLMASKFAFSEMQDDGAALLSLDGELSDGHMGFVMQAQPYLSATPMPKGQESLCLKASTHYPTLFDNFQRELRDVLLQQQNEGLITDWRSTQSWMLLKELAKSAEHRAAARRSGNPVMHVTLGISLDKTRLMQTKIDEFVKKMTDLLQLERDAELEFTQAELNTTSMMLAKSEKPARPVEYLVTHGQAQEQCDTLCNLKVISSSTGLSGLHLVLFRVEESHKLPPTRLSPGDMVCVRTCNSRGEVATSCMQGFIHALGEDNCSITVALNSRHGDPTFSKLFGRSVRIDRIQALADSVTYERNCEALMLLQKRGLQKRNASIGVVASLFGDKEDAMKLEQNNLIDWGGSEVHDEALLKRHNYNFDTSQSKALALALNKKRPVLVIQGPPGTGKTCLLSYLITCVVRQGERVLVTAPSNAAIDNIVEKLSSTELNILRVGNPSRMSPSVASRSLGEIVTRRLEKFTEEFERKKSDLRNDLKHCIQDDSLASGIRQLLKKLGKEYKKMEKETTMEVLSNAEVVLSTNIGAADPLIRGMGSFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGMSLLERASSLHDELLTTMLTVQYRMHDSIARWASNEMYDGLLKSSPSVASGLLVDYPFIKANWMTQCALLLLDTRMPYGSLNIDCEESLDPAGTGSFYNNGEAEIVAQHVLSLVRCGVLPTSIAVQSPYIAQVQMLRDRLEEYPLASGVEVSTIDSFQGREADAVVISMVRSNSMGAVGFLGDSRRMNVAITRARSHVAVVCDSSTIRNNAFLARLLRHIQQHGQVRHVEPGSLDGDSDLGFNPPALPSLG >PAN37357 pep chromosome:PHallii_v3.1:7:24982416:24993256:1 gene:PAHAL_7G085100 transcript:PAN37357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMASKFAFSEMQDDGAALLSLDGELSDGHMGFVMQAQPYLSATPMPKGQESLCLKASTHYPTLFDNFQRELRDVLLQQQNEGLITDWRSTQSWMLLKELAKSAEHRAAARRSGNPVMHVTLGISLDKTRLMQTKIDEFVKKMTDLLQLERDAELEFTQAELNTTSMMLAKSEKPARPVEYLVTHGQAQEQCDTLCNLKVISSSTGLSGLHLVLFRVEESHKLPPTRLSPGDMVCVRTCNSRGEVATSCMQGFIHALGEDNCSITVALNSRHGDPTFSKLFGRSVRIDRIQALADSVTYERNCEALMLLQKRGLQKRNASIGVVASLFGDKEDAMKLEQNNLIDWGGSEVHDEALLKRHNYNFDTSQSKALALALNKKRPVLVIQGPPGTGKTCLLSYLITCVVRQGERVLVTAPSNAAIDNIVEKLSSTELNILRVGNPSRMSPSVASRSLGEIVTRRLEKFTEEFERKKSDLRNDLKHCIQDDSLASGIRQLLKKLGKEYKKMEKETTMEVLSNAEVVLSTNIGAADPLIRGMGSFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGMSLLERASSLHDELLTTMLTVQYRMHDSIARWASNEMYDGLLKSSPSVASGLLVDYPFIKANWMTQCALLLLDTRMPYGSLNIDCEESLDPAGTGSFYNNGEAEIVAQHVLSLVRCGVLPTSIAVQSPYIAQVQMLRDRLEEYPLASGVEVSTIDSFQGREADAVVISMVRSNSMGAVGFLGDSRRMNVAITRARSHVAVVCDSSTIRNNAFLARLLRHIQQHGQVRHVEPGSLDGDSDLGFNPPALPSLG >PVH35011 pep chromosome:PHallii_v3.1:7:24984444:24992445:1 gene:PAHAL_7G085100 transcript:PVH35011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHLRPFRSLFSSYATVRPQRREPKLLPLPDFSSCTSTAHIFSYQQSHRRLVPSAVRCEQTLLAADCRSSSHHGCDDNGIRKKERRRRWRKRKQTSKEEGTCVPSEEELSLRKVKLYQIGDPLGRKELGLHVVQWIKQGMHLMASKFAFSEMQDDGAALLSLDGELSDGHMGFVMQAQPYLSATPMPKGQESLCLKASTHYPTLFDNFQRELRDVLLQQQNEGLITDWRSTQSWMLLKELAKSAEHRAAARRSGNPVMHVTLGISLDKTRLMQTKIDEFVKKMTDLLQLERDAELEFTQAELNTTSMMLAKSEKPARPVEYLVTHGQAQEQCDTLCNLKVISSSTGLSGLHLVLFRVEESHKLPPTRLSPGDMVCVRTCNSRGEVATSCMQGFIHALGEDNCSITVALNSRHGDPTFSKLFGRSVRIDRIQALADSVTYERNCEALMLLQKRGLQKRNASIGVVASLFGDKEDAMKLEQNNLIDWGGSEVHDEALLKRHNYNFDTSQSKALALALNKKRPVLVIQGPPGTGKTCLLSYLITCVVRQGERVLVTAPSNAAIDNIVEKLSSTELNILRVGNPSRMSPSVASRSLGEIVTRRLEKFTEEFERKKSDLRNDLKHCIQDDSLASGIRQLLKKLGKEYKKMEKETTMEVLSNAEVVLSTNIGAADPLIRGMGSFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGMSLLERASSLHDELLTTMLTVQYRMHDSIARWASNEMYDGLLKSSPSVASGLLVDYPFIKANWMTQCALLLLDTRMPYGSLNIDCEESLDPAGTGSFYNNGEAEIVAQHVLSLVRCVNDRLN >PAN37360 pep chromosome:PHallii_v3.1:7:24982416:24993256:1 gene:PAHAL_7G085100 transcript:PAN37360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMASKFAFSEMQDDGAALLSLDGELSDGHMGFVMQAQPYLSATPMPKGQESLCLKASTHYPTLFDNFQRELRDVLLQQQNEGLITDWRSTQSWMLLKELAKSAEHRAAARRSGNPVMHVTLGISLDKTRLMQTKIDEFVKKMTDLLQLERDAELEFTQAELNTTSMMLAKSEKPARPVEYLVTHGQAQEQCDTLCNLKVISSSTGLSGLHLVLFRVEESHKLPPTRLSPGDMVCVRTCNSRGEVATSCMQGFIHALGEDNCSITVALNSRHGDPTFSKLFGRSVRIDRIQALADSVTYERNCEALMLLQKRGLQKRNASIGVVASLFGDKEDAMKLEQNNLIDWGGSEVHDEALLKRHNYNFDTSQSKALALALNKKRPVLVIQGPPGTGKTCLLSYLITCVVRQGERVLVTAPSNAAIDNIVEKLSSTELNILRVGNPSRMSPSVASRSLGEIVTRRLEKFTEEFERKKSDLRNDLKHCIQDDSLASGIRQLLKKLGKEYKKMEKETTMEVLSNAEVVLSTNIGAADPLIRGMGSFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGMSLLERASSLHDELLTTMLTVQYRMHDSIARWASNEMYDGLLKSSPSVASGLLVDYPFIKANWMTQCALLLLDTRMPYGSLNIDCEESLDPAGTGSFYNNGEAEIVAQHVLSLVRCGVLPTSIAVQSPYIAQVQMLRDRLEEYPLASGVEVSTIDSFQGREADAVVISMVRSNSMGAVGFLGDSRRMNVAITRARSHVAVVCDSSTIRNNAFLARLLRHIQQHGQVRHVEPGSLDGDSDLGFNPPALPSLG >PAN37359 pep chromosome:PHallii_v3.1:7:24982416:24993269:1 gene:PAHAL_7G085100 transcript:PAN37359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMASKFAFSEMQDDGAALLSLDGELSDGHMGFVMQAQPYLSATPMPKGQESLCLKASTHYPTLFDNFQRELRDVLLQQQNEGLITDWRSTQSWMLLKELAKSAEHRAAARRSGNPVMHVTLGISLDKTRLMQTKIDEFVKKMTDLLQLERDAELEFTQAELNTTSMMLAKSEKPARPVEYLVTHGQAQEQCDTLCNLKVISSSTGLSGLHLVLFRVEESHKLPPTRLSPGDMVCVRTCNSRGEVATSCMQGFIHALGEDNCSITVALNSRHGDPTFSKLFGRSVRIDRIQALADSVTYERNCEALMLLQKRGLQKRNASIGVVASLFGDKEDAMKLEQNNLIDWGGSEVHDEALLKRHNYNFDTSQSKALALALNKKRPVLVIQGPPGTGKTCLLSYLITCVVRQGERVLVTAPSNAAIDNIVEKLSSTELNILRVGNPSRMSPSVASRSLGEIVTRRLEKFTEEFERKKSDLRNDLKHCIQDDSLASGIRQLLKKLGKEYKKMEKETTMEVLSNAEVVLSTNIGAADPLIRGMGSFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGMSLLERASSLHDELLTTMLTVQYRMHDSIARWASNEMYDGLLKSSPSVASGLLVDYPFIKANWMTQCALLLLDTRMPYGSLNIDCEESLDPAGTGSFYNNGEAEIVAQHVLSLVRCGVLPTSIAVQSPYIAQVQMLRDRLEEYPLASGVEVSTIDSFQGREADAVVISMVRSNSMGAVGFLGDSRRMNVAITRARSHVAVVCDSSTIRNNAFLARLLRHIQQHGQVRHVEPGSLDGDSDLGFNPPALPSLG >PVH35010 pep chromosome:PHallii_v3.1:7:24984864:24992445:1 gene:PAHAL_7G085100 transcript:PVH35010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMASKFAFSEMQDDGAALLSLDGELSDGHMGFVMQAQPYLSATPMPKGQESLCLKASTHYPTLFDNFQRELRDVLLQQQNEGLITDWRSTQSWMLLKELAKSAEHRAAARRSGNPVMHVTLGISLDKTRLMQTKIDEFVKKMTDLLQLERDAELEFTQAELNTTSMMLAKSEKPARPVEYLVTHGQAQEQCDTLCNLKVISSSTGLSGLHLVLFRVEESHKLPPTRLSPGDMVCVRTCNSRGEVATSCMQGFIHALGEDNCSITVALNSRHGDPTFSKLFGRSVRIDRIQALADSVTYERNCEALMLLQKRGLQKRNASIGVVASLFGDKEDAMKLEQNNLIDWGGSEVHDEALLKRHNYNFDTSQSKALALALNKKRPVLVIQGPPGTGKTCLLSYLITCVVRQGERVLVTAPSNAAIDNIVEKLSSTELNILRVGNPSRMSPSVASRSLGEIVTRRLEKFTEEFERKKSDLRNDLKHCIQDDSLASGIRQLLKKLGKEYKKMEKETTMEVLSNAEVVLSTNIGAADPLIRGMGSFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGMSLLERASSLHDELLTTMLTVQYRMHDSIARWASNEMYDGLLKSSPSVASGLLVDYPFIKANWMTQCALLLLDTRMPYGSLNIDCEESLDPAGTGSFYNNGEAEIVAQHVLSLVRCVNDRLN >PVH35009 pep chromosome:PHallii_v3.1:7:24982416:24993256:1 gene:PAHAL_7G085100 transcript:PVH35009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMASKFAFSEMQDDGAALLSLDGELSDGHMGFVMQAQPYLSATPMPKGQESLCLKASTHYPTLFDNFQRELRDVLLQQQNEGLITDWRSTQSWMLLKELAKSAEHRAAARRSGNPVMHVTLGISLDKTRLMQTKIDEFVKKMTDLLQLERDAELEFTQAELNTTSMMLAKSEKPARPVEYLVTHGQAQEQCDTLCNLKVISSSTGLSGLHLVLFRVEESHKLPPTRLSPGDMVCVRTCNSRGEVATSCMQGFIHALGEDNCSITVALNSRHGDPTFSKLFGRSVRIDRIQALADSVTYERNCEALMLLQKRGLQKRNASIGVVASLFGDKEDAMKLEQNNLIDWGGSEVHDEALLKRHNYNFDTSQSKALALALNKKRPVLVIQGPPGTGKTCLLSYLITCVVRQGERVLVTAPSNAAIDNIVEKLSSTELNILRVGNPSRMSPSVASRSLGEIVTRRLEKFTEEFERKKSDLRNDLKHCIQDDSLASGIRQLLKKLGKEYKKMEKETTMEVLSNAEVVLSTNIGAADPLIRGMGSFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGMSLLERASSLHDELLTTMLTVQYRMHDSIARWASNEMYDGLLKSSPSVASGLLVDYPFIKANWMTQCALLLLDTRMPYGSLNIDCEESLDPAGTGSFYNNGEAEIVAQHVLSLVRCVNDRLN >PAN40820 pep chromosome:PHallii_v3.1:7:47511875:47518293:1 gene:PAHAL_7G343400 transcript:PAN40820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSKVTVCCCRTPRNGITNENTDAVTEEQGEAYELPMFQEFTFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQIRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWESQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTMLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQSLAPLQKDVETPSYELMDIPRGGASSIQSLPLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFTTAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDMPQEALSDAMQALVISPTWPTAFYLQAAALLAQGMENEAQEALKDGCNLEQSSSSGH >PVH36107 pep chromosome:PHallii_v3.1:7:48001543:48002192:1 gene:PAHAL_7G352200 transcript:PVH36107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGEAPAGDAGSGDKIFRTKCAQCHTVERGGAHKQGPNLHGLFGRQSGTTPGYAYSTANKNMAVVWEEGSLYDYLLNPKKYIPGTKMVFPGLKKPKERTDLIAYLKQATAA >PVH34773 pep chromosome:PHallii_v3.1:7:5615219:5616028:-1 gene:PAHAL_7G026400 transcript:PVH34773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKFTVAAFTAHKFTLPQVHPPSQLPPRSLRTPRHSPERWEFQISPPPLPPVCLKFIHIPSSHLDPSAHLATPHGAGNSKSRRRHCRRSASSSSTFPAPTSTPPHTSPLPTALGIPNLAAAIAAVCLKFIHLPSSHLDPSAHLATPHSAGNSKSRRRHCRPVFKLSRGREKQGDSLRISRSGTARGREKTGQA >PAN40465 pep chromosome:PHallii_v3.1:7:45788087:45791357:-1 gene:PAHAL_7G317400 transcript:PAN40465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLAAADKEVLVEVVRFTQKNGLKGSDGGWKDFLSRNDKKFGDSVSDPRKRSRDVLLAFLQTFSKDFQKYFGKLVKRQKERSAVQQYMNDFPDKVSPEQKLVQLTAEHPEYRKNYCFPSYQEGWKVLRIGEVSSLVSSSAMLAIDCEMVLCDDGMEAVVRVCVVDNNLEVKLDILVNPSKAITDYRTHITGVSKKDLEGVTSSLVDVQKSLKRILSKGKILIGQSLYRDLCALKIDYSQVIDTAYIFKYANLPTTASASLNSLCKSVLGYSVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEVAASSVTESDALKLLAHRIPMHLPCQELCKVFSGNPSIDDKIDSRIRGEFYSTCISFNEIDEVEKAFEALDGQMTKDSGGRLQKYVLMKRDNGDVVSFYVRKMVYGCQPNQFEFPKKRPQPTEDAEPKKEQADGDQQKKKRKSKKHVN >PAN40464 pep chromosome:PHallii_v3.1:7:45787749:45791511:-1 gene:PAHAL_7G317400 transcript:PAN40464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLAAADKEVLVEVVRFTQKNGLKGSDGGWKDFLSRNDKKFGDSVSDPRKRSRDVLLAFLQTFSKDFQKPATFWYQYFGKLVKRQKERSAVQQYMNDFPDKVSPEQKLVQLTAEHPEYRKNYCFPSYQEGWKVLRIGEVSSLVSSSAMLAIDCEMVLCDDGMEAVVRVCVVDNNLEVKLDILVNPSKAITDYRTHITGVSKKDLEGVTSSLVDVQKSLKRILSKGKILIGQSLYRDLCALKIDYSQVIDTAYIFKYANLPTTASASLNSLCKSVLGYSVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEVAASSVTESDALKLLAHRIPMHLPCQELCKVFSGNPSIDDKIDSRIRGEFYSTCISFNEIDEVEKAFEALDGQMTKDSGGRLQKYVLMKRDNGDVVSFYVRKMVYGCQPNQFEFPKKRPQPTEDAEPKKEQADGDQQKKKRKSKKHVN >PAN40463 pep chromosome:PHallii_v3.1:7:45787648:45791511:-1 gene:PAHAL_7G317400 transcript:PAN40463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLAAADKEVLVEVVRFTQKNGLKGSDGGWKDFLSRNDKKFGDSVSDPRKRSRDVLLAFLQTFSKDFQKYFGKLVKRQKERSAVQQYMNDFPDKVSPEQKLVQLTAEHPEYRKNYCFPSYQEGWKVLRIGEVSSLVSSSAMLAIDCEMVLCDDGMEAVVRVCVVDNNLEVKLDILVNPSKAITDYRTHITGVSKKDLEGVTSSLVDVQKSLKRILSKGKILIGQSLYRDLCALKIDYSQVIDTAYIFKYANLPTTASASLNSLCKSVLGYSVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEVAASSVTESDALKLLAHRIPMHLPCQELCKVFSGNPSIDDKIDSRIRGEFYSTCISFNEIDEVEKAFEALDGQMTKDSGGRLQKYVLMKRDNGDVVSFYVRKMVYGCQPNQFEFPKKRPQPTEDAEPKKEQADGDQQKKKRKN >PAN40116 pep chromosome:PHallii_v3.1:7:44326749:44330755:1 gene:PAHAL_7G292800 transcript:PAN40116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTPRSRSQARTTRPWILPGMDFADSRRKPNFTGKIAVAAALTVMCIIVLKQSPGFSGTNVFSRHEIGVTHVLVTGGAGYIGSHATLRLLKDNYRVTIVDNLSRGNMGAVRVLQRLFPQPGRLQFIYADLGDAKAVNKLFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAKHNVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFTKSKKSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIISGLKIRGNDYPTTDGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGQGRSVKEFVEACKKATGASIKVDFLARRPGDYAEVYSDPSKIHRELNWTAQYTDLGQSLAQAWKWQKAHPKGYGSA >PAN40442 pep chromosome:PHallii_v3.1:7:44328361:44330337:1 gene:PAHAL_7G292800 transcript:PAN40442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLVLQFSRHEIGVTHVLVTGGAGYIGSHATLRLLKDNYRVTIVDNLSRGNMGAVRVLQRLFPQPGRLQFIYADLGDAKAVNKLFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAKHNVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFTKSKKSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIISGLKIRGNDYPTTDGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGQGRSVKEFVEACKKATGASIKVDFLARRPGDYAEVYSDPSKIHRELNWTAQYTDLGQSLAQAWKWQKAHPKGYGSA >PVH35050 pep chromosome:PHallii_v3.1:7:27345055:27345655:-1 gene:PAHAL_7G094100 transcript:PVH35050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLCTQHTLHSNILVTCRGNQWIKGLTLILLRVCNKVSCKSQIIFNITSTYISSIIGSNVCTMTQAILHNVSHMPLQRWHMILVELLVVGCFAVGTTQ >PAN40543 pep chromosome:PHallii_v3.1:7:46301466:46303071:1 gene:PAHAL_7G323500 transcript:PAN40543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGAAAVTTSASEVSVSSHLFTVRGYGNTKGIGVGVSIESPAFDAAGHRWSVVFYPDGESQDASGQISVFVRLVSEASDDVTVLYGLSLVDPTGAAPAAEASKAPKISTFSCGESRGLGCFMEQETFEASPYLQDNCFTIKCVIGAVNGPGAALAPPATELRHGFDRLRLDGAADDAWSDIGGSSGEASD >PVH35506 pep chromosome:PHallii_v3.1:7:38398156:38403121:1 gene:PAHAL_7G201800 transcript:PVH35506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRVSKLKPPTSKLKSQKKKASHIIMENVPFSGQNFLKMGDADTSNRSSLGSAISYSESCAPYGDVDASEMSGSAQSHAWESLVPSRKRSCVTRPKASPVEKLVKDLKSIMHEDQLYYLSGSSEEDLLYHSETPVGSFEIGSGSVLLRHPNSKSPEEESEASSIPSDGKSYITSESYSGSALFVVHDGNKGTVNLKAAIATPKRLPLHIEDNASRDKLHCKKQHILESIDSPLVSVDLEEKEIKEIGEVENISESIGFAGSTMKLLKRSHDTHIQGDSEIDFALIK >PAN38839 pep chromosome:PHallii_v3.1:7:38398600:38402053:1 gene:PAHAL_7G201800 transcript:PAN38839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRVSKLKPPTSKLKSQKKKASHIIMENVPFSGQNFLKMGDADTSNRSSLGSAISYSESCAPYGDVDASEMSGSAQSHAWESLVPSRKRSCVTRPKASPVEKLVKDLKSIMHEDQLYYLSGSSEEDLLYHSETPVGSFEIGSGSVLLRHPNSKSPEEESEASSIPSDGKSYITSESYSGSALFVVHDGNKGTVNLKAAIATPKRLPLHIEDNASRDKLHCKKQHILESIDSPLVSVDLEEKEIKEIGEVENISESIGFAGSTMKLLKRSHDTHIQGDSGL >PAN38838 pep chromosome:PHallii_v3.1:7:38398158:38401829:1 gene:PAHAL_7G201800 transcript:PAN38838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRVSKLKPPTSKLKSQKKKASHIIMENVPFSGQNFLKMGDADTSNRSSLGSAISYSESCAPYGDVDASEMSGSAQSHAWESLVPSRKRSCVTRPKASPVEKLVKDLKSIMHEDQLYYLSGSSEEDLLYHSETPVGSFEIGSGSVLLRHPNSKSPEEESEASSIPSDGKSYITSESYSGSALFVVHDGNKGTVNLKAAIATPKRLPLHIEDNASRDKLHCKKQHILESIDSPLVSVDLEEKEIKEIGEVENISESIGFAGSTMKLLKRSHDTHIQGDSG >PVH35591 pep chromosome:PHallii_v3.1:7:39637116:39640215:-1 gene:PAHAL_7G220800 transcript:PVH35591 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MLHVLSTQSLLFAAGLRPTPAQATAASWILKDGMQHAGKLICSSMGARMDSEPKSWRILADVLYDFGTALEFVSPLCPQLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIVGPLLSAVHIYGVVQEMRATPVNTLNPQRTAMIVADFVKSGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPLRRVLSPWLVEQLRATFPNEKFLLNQKSNKTYMVLEQSASGEDAFRGWLVAAFASEMERSGMGSRDAVLNVAYEKMERVFPTFVSEVRSRGWYTDQFLDGNGSRIAFAKFQ >PAN39098 pep chromosome:PHallii_v3.1:7:39637116:39641105:-1 gene:PAHAL_7G220800 transcript:PAN39098 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MNILERIRGGGDRAAATERPPPQPEFWVEISESVSRLCSFDAVGSGGGRISVKVIQDSRPIHDKVVDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAASWILKDGMQHAGKLICSSMGARMDSEPKSWRILADVLYDFGTALEFVSPLCPQLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIVGPLLSAVHIYGVVQEMRATPVNTLNPQRTAMIVADFVKSGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPLRRVLSPWLVEQLRATFPNEKFLLNQKSNKTYMVLEQSASGEDAFRGWLVAAFASEMERSGMGSRDAVLNVAYEKMERVFPTFVSEVRSRGWYTDQFLDGNGSRIAFAKFQ >PVH34938 pep chromosome:PHallii_v3.1:7:20929661:20930664:1 gene:PAHAL_7G070100 transcript:PVH34938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCIAEKLAGGDLIPVGKHLLTAVYQLLHSYRLLSSSQPIGNTGGPWWFIQLLLNLYTHKAIGQSLLDRTFPLNYKDDGNMRVPYLPS >PAN38885 pep chromosome:PHallii_v3.1:7:38534672:38540854:1 gene:PAHAL_7G204500 transcript:PAN38885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPMPSNRAGCNGNISSPMGSSDDPFGVAATMNFDGYSELCSPSVADQIFSMLNDPSAAQQMFAMWSSLGSSPRASAMRDDMPFGTYSEPMDATAAPTQRSNSASALSPTGVDRELKVSDELVPHNGLQQGSNIIPRSVGNFLADKMLMALSLFRKSLSDGVLAQVWMPVEHDGRVVLSTFEQPFLLDQDLAGYREVSRNFLFSVKEEPGLHLGLPGRVFISGVPEWTSSVLYYSKPEYLRMDHALRHEIRGSLAMPIYDPSKGSCCAVLELVTNKEKPDFDAEMDSVCNALQAVNLLTTTDRSDKKVYSENQKSAFTEILDVLRAICHAHMLPLALTWVPTSNGISNGSCAGKNIGVDSQSGKAVLRVHESACYINDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCKGCGEQQMLLNNLSSTMQRICKSLRTVSEAEVDNAGASGTAMHEKTNGTLPTGNTESSSHDDQPITESAFQDLSLGDKQGDREPDQAYSSSMRVAEKKRSTSEKNFSLDVLRKYFSGSLRDAAVSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPAASLPDKMQFSACDTLPTSSVGKPMEEKSSPKSEQGLSSPDGWQRETCQFHVSSISKREGDEVRMLANDNNSSRNCASDVAKVTPHSNSEGAQGPLYPIGAVNSLCTGEIGYISSPTSLHPSIDSVEDPTMGRNSSFVQQADVTMVDGHDTKEHTHPSTSGMTDSSSGSASSQPTFKGNPGHVLKDRSSPALTVKATYNGDTVRFKFLPAMGWYHLLEEIAKRFKLMTGAFQLKYKDDEDEWVILANDSDLQECVDVLDLIGSRNVKLQVRDLPCLISSSGSSSCLQVEAHSS >PAN38884 pep chromosome:PHallii_v3.1:7:38534793:38540837:1 gene:PAHAL_7G204500 transcript:PAN38884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPMPSNRAGCNGNISSPMGSSDDPFGVAATMNFDGYSELCSPSVADQIFSMLNDPSAAQQMFAMWSSLGSSPRASAMRDDMPFGTYSEPMDATAAPTQRSNSASALSPTGVDRELKVSDELVPHNGLQQGSNIIPRSVGNFLADKMLMALSLFRKSLSDGVLAQVWMPVEHDGRVVLSTFEQPFLLDQDLAGYREVSRNFLFSVKEEPGLHLGLPGRVFISGVPEWTSSVLYYSKPEYLRMDHALRHEIRGSLAMPIYDPSKGSCCAVLELVTNKEKPDFDAEMDSVCNALQAVNLLTTTDRSDKKVYSENQKSAFTEILDVLRAICHAHMLPLALTWVPTSNGISNGSCAGKNIGVDSQSGKAVLRVHESACYINDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCKGCGEQQMLLNNLSSTMQRICKSLRTVSEAEVDNAGASGTAMHEKTNGTLPTGNTESSSHDDQPITESAFQDLSLGDKQGDREPDQAYSSSMRVAEKKRSTSEKNFSLDVLRKYFSGSLRDAAVSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPAASLPDKMQFSACDTLPTSSVGKPMEEKSSPKSEQGLSSPDGWQRETCQFHVSSISKREGDEVRMLANDNNSSRNCASDVAKVTPHSNSEGAQGPLYPIGAVNSLCTGEIGYISSPTSLHPSIDSVEDPTMGRNSSFVQQADVTMVDGHDTKEHTHPSTSGMTDSSSGSASSQPTFKGNPGHVLKDRSSPALTVKATYNGDTVRFKFLPAMGWYHLLEEIAKRFKLMTGAFQLKYKDDEDEWVILANDSDLQECVDVLDLIGSRNVKLQVRDLPCLISSSGSSSCLQVEAHSS >PAN40870 pep chromosome:PHallii_v3.1:7:47671205:47672792:1 gene:PAHAL_7G346400 transcript:PAN40870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNMDGNVSKLAAVVDAENPRRALPCGVDDDDDLSQRANWLRAAVLGANDGLVSTASLMLGVGAVKADARAMVISGFAGLLAGACSMAIGEFVSVCSQRDVELAQLDRDGKRGGDEEKALPSPVQAAAASALAFSVGALVPLLAAGFIRDYRLRIGVVIALATATLAAFGCVGAVLGRAPVARSCARVVVGGWAAMGVTFGLMRLFKASGI >PVH34783 pep chromosome:PHallii_v3.1:7:7061969:7065137:-1 gene:PAHAL_7G029600 transcript:PVH34783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGAESSPLLPAQTLAERNLKSYQETSGANGDLGMRQGNESANREPYGLVASAMNKVHEARKEAEVESDMAMNTSRGDTDLEERYGIMLAPEHEVREHRNLTTRESLQSPIFLWNDTDMNRRSEIQVPGAGETYVQELGQDNGNVVLNEGDEDLNECQKDQAEVDADGDEDYMFPSPEEMEKARPPEVGMVFSTLQDAHRFINVYGQVTGFAVIKGTNYKHKKITFVCNKSRKARETDTRQRKRRRDAVEHTQCRMKVTVKLVADRWEVTAAMHEHNHPLWCSPLLTRFFMSHKDMSDEERHFSRILQESRIKPAKIMEIFRKLQGRLKNVPVRKVDVNNLKQSYRLMKTRNTDIGSTLEHVRRLQKEQPGFYYAMKTDEDSTIRNIFWTDARARLDYALYGDFIHFNTTYRTNAYHMPFASLIGINGHETFSWLFRTFLDVMDGKKPSIIITHQDSAIQKSIAEVFPTVFHRFSMWHVVKEAAAEVGGMLEKYTAELNEHLKHMYWTRSMWVPVYFRHVFCPFIRSFGRCESTHSIFKDYVLQEDTIETIISQYNIFQEESVSIDRFESTLQKPIYCTRQLIERRAAEIYTMGLFLKFQKELLDASAFNVFEKEDRIYTVQRVVDYEDAEFPNDSFTIEVDMKNKTFNCICSKFERDGILCCHVLRLFTQFGINQIPEHYIKQRWTKKFREQELQKLCIEKTGSIASQNSLRYAMLMNRMAETCASVSKDPNRSQIFLEELERIQQKMSSKA >PVH34699 pep chromosome:PHallii_v3.1:7:2077815:2078549:-1 gene:PAHAL_7G011000 transcript:PVH34699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGFCHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAAPLRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGRKEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH35470 pep chromosome:PHallii_v3.1:7:37894388:37899205:1 gene:PAHAL_7G193000 transcript:PVH35470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLYLEAVLRQQVEFFDAPAPSSQATTFRVISTISDDADTIQDFLAEKLPNVLANMTLFFGGLAVAFVFAWRLALAGLPFTILFVVPSVVLGKRLAAAAGEARAAYEEAGGVAEQAVASIRTVASYRGERRTLERFGRALARSTALGVKQGLIKGAVIGSMGVIYAVWSFLSWLGSVLVIRFHAQGGHVFVASICIVLAGMSIMMALPNLRYFVDATTAASRMREIIDKLQPLGAEGKKGATKESIRGQIVFRDVHFSYPSRPDTLVLDGLNLTIAAGATVGLVGGSGSGKSTVISLLQRFYSPDSGEILLDGHDIAALNAEWLRSQIGLVSQEPVLFATSIRENILFGDEAASLKQVVAAAKMANAHDFITKLPHGYETNVGQFGTQLSGGQKQRIAIARALIRDPRILLLDEATSALDSESELAVQDALDRASVGRTTVVVAHRLSTIRKADMIAVLDAGRVVECGTHDELVAAGMNGGAYAQMARLQKAPVAREEQRHRVVEVESESSMVSFHSVEIMSLPSDYHPSPAPSFRSVERSVDVEDDELAGRDTAARRRKPSQLRLLKMNRPEWKQALLGCAGAVVFGAVLPLYSYSLGSLPEVYFLGDNDLIRSKTRLYSLVFFGIAIVCITANIVQHYNFAVMGERLTERVRAQMLAKILSFEVGWFDEKENSSAAVSARLATQATKVRSLVGDRMCLLVQAAANAALGFSLALAVSWRLAVVMMAMQPLIIASFYFKKVLMTAMSRKAKKAQVQGSQLAGEAVVNHRTITAFSSQRRMLRLYEAAQEGPRKDSRVQSWISGSCLSLCQFSNTASMALALWYGGRLMARGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAKGGDAVRSVLDTLDREPMIKDDGRDGDEAKESKKNSKQRQQEIKGVIEFRNVHFSYPTRPEVAVLDGFTLEIGAGKTVALVGPSGSGKSTVIALIERFYDAQKGSVLIDGRDIRSYSLAHLRSHIALVSQEPTLFSGTIRDNIAYGEEHATEDEVTSAAKLANAHEFISGMEGGYDARLGERGVQLSGGQRQRIALARAVLKNARVLLLDEATSALDTVSERLVQDAVERMLRGRTCVVVAHRLSTVQKSDVIAVVKDGKVAERGRHGELIAAGPGGMYYNLIKLQHGRSPCLSPM >PVH35353 pep chromosome:PHallii_v3.1:7:35963755:35970108:1 gene:PAHAL_7G160900 transcript:PVH35353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPAADWDACACDPAGPEEFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDGTGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRSTPYRDQVFDALVKGLKGLTTKMNVSSLHITFPSEGEFSKLKDSGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLQMKRLRGDEIKGSHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKMGDNVMLIVAENDDKLVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLGKVEAGAQGEHKIQRGYLPVTTYSCHYFSDPGFGAAIGNFLTRETAQVKHVIKVLHDSGPYKDDILKEFAPEQEDNK >PAN38285 pep chromosome:PHallii_v3.1:7:35963755:35970108:1 gene:PAHAL_7G160900 transcript:PAN38285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAATRHPSPTVLPSAPRHPLRLRRRGHPPLKLGYQTSRLKVNALFGWFRGDTTTRELIPPAESYTLSGSASEDGAKPREVSISVASSIMDIPAADWDACACDPAGPEEFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDGTGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRSTPYRDQVFDALVKGLKGLTTKMNVSSLHITFPSEGEFSKLKDSGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLQMKRLRGDEIKGSHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKMGDNVMLIVAENDDKLVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLGKVEAGAQGEHKIQRGYLPVTTYSCHYFSDPGFGAAIGNFLTRETAQVKHVIKVLHDSGPYKDDILKEFAPEQEDNK >PAN39717 pep chromosome:PHallii_v3.1:7:42464599:42472441:1 gene:PAHAL_7G263700 transcript:PAN39717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIAAIWRRSSQSSASQSLMETFVGPSVSSGALRWFSSCAKRSRKPDITVVDQIKVVGRCSAVNDVARTRRLPLSSRMDTNWLIVPKSRHGALPGFLGISSFHRGYSSDTGIKPEASQSAVSNVPSTESSEVGTAGGGGGSWIEILDNARKSTVDATTDAGKKVKELTDAVTPHIQQLFDTYPNLEKVVVPLGGTLCGTMMAWLVMPIILRRLHKYTSQSPIAALLGNSTKNDVSYQTSLWCALEDPAKYLITFMAFSEMATLIAPSISTYLPQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDAPSVDRDRISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGKVVEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRADWRASVTKIPIRIEDIEKVPSVSEEIKVMLRSNPNVSNSDVPYCYLSRLESSYGELTIGCNLKNMRKDEWLSAEQDILLGAARIIKSHGIELGSTMQCC >PVH35749 pep chromosome:PHallii_v3.1:7:42464771:42472305:1 gene:PAHAL_7G263700 transcript:PVH35749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFVGPSVSSGALRWFSSCAKRSRKPDITVVDQIKVVGRCSAVNDVARTRRLPLSSRMDTNWLIVPKSRHGALPGFLGISSFHRGYSSDTGIKPEASQSAVSNVPSTESSEVGTAGGGGGSWIEILDNARKSTVDATTDAGKKVKELTDAVTPHIQQLFDTYPNLEKVVVPLGGTLCGTMMAWLVMPIILRRLHKYTSQSPIAALLGNSTKNDVSYQTSLWCALEDPAKYLITFMAFSEMATLIAPSISTYLPQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDAPSVDRDRISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGKVVEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRADWRASVTKIPIRIEDIEKVPSVSEEIKVMLRSNPNVSNSDVPYCYLSRLESSYGELTIGCNLKNMRKDEWLSAEQDILLGAARIIKSHGIELGSTMQCC >PVH35959 pep chromosome:PHallii_v3.1:7:46081114:46082274:1 gene:PAHAL_7G320700 transcript:PVH35959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQAAAGAPIQPANGAAAYDVAPAPPYGGRVVELGAVDRFLDDILREKPARFTPEDLRGFTQNYAERLGSGGFGVVYRGAFPNGAQVAVKILNSTLDRRAEEQFMAEVGTAGRTYHINLVRLYGFCFDATTKALVYEYLENGSLDRVLFEHEQRRGLGLGFDTLYGVVVGTARGVRYLHEECQHRVIHYDIKPGNVLLTADYTPKVADFGLARLCNRDNTHLTMTGARGTPGYAAPELWLPLPVTHKCDMYSFGMLVFEILGRRRKLELQHPAVSQEWYPKWVWQRFDQGRFDDVMAASGIHAKDRDKAERMCKVALWCVQYQPEARPSMSSVVRMLEGEEEIARPVNPFTYIASLHTTSSSSSGGSAAASGGSSKEFRGMRGAH >PAN39923 pep chromosome:PHallii_v3.1:7:43411564:43413228:-1 gene:PAHAL_7G278800 transcript:PAN39923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRSQMEAEFQRKVAESSGDSGANVKRLEQETAAKIEQLNQQAASISPEVIQMLLRHVTTVKN >PAN39922 pep chromosome:PHallii_v3.1:7:43411535:43413244:-1 gene:PAHAL_7G278800 transcript:PAN39922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRSQMEAEFQRKVAESSGDSGANVKRLEQETAAKIEQLNQQAASISPEVIQMLLRHVTTVKN >PAN40549 pep chromosome:PHallii_v3.1:7:46339841:46347063:1 gene:PAHAL_7G324100 transcript:PAN40549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVHRDLVRNGPGSVKLVPEEEDDLWHAYNLIATGDNLQAVTVRKVLREVASGGRDAERVKLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKEIWDWLALETIQQACDPSASADLAVILMQEGLAHLFLIGRSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYMFLEAARRDLRVIIENKQRLVLAHATSGYKHSLKEVLDTPGIMALIKDTKAAQEVRALQDFFNMLTNDSARACYGPKHVEIAQDRLAIQTLLITDTLFRNADIATRKKYVNLVEGVKKYGGTVHIFSSMHVSGDQLAQLTGIAAILRFPLPDLEDIEM >PAN40550 pep chromosome:PHallii_v3.1:7:46339841:46347685:1 gene:PAHAL_7G324100 transcript:PAN40550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCREVVQILLLELDTFPSLAASPPPPSTRTRALDLVPASEAMRLVHRDLVRNGPGSVKLVPEEEDDLWHAYNLIATGDNLQAVTVRKVLREVASGGRDAERVKLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKEIWDWLALETIQQACDPSASADLAVILMQEGLAHLFLIGRSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYMFLEAARRDLRVIIENKQRLVLAHATSGYKHSLKEVLDTPGIMALIKDTKAAQEVRALQDFFNMLTNDSARACYGPKHVEIAQDRLAIQTLLITDTLFRNADIATRKKYVNLVEGVKKYGGTVHIFSSMHVSGDQLAQLTGIAAILRFPLPDLEDIEM >PAN37064 pep chromosome:PHallii_v3.1:7:6377152:6378128:1 gene:PAHAL_7G028200 transcript:PAN37064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKQTTLCCLLLALMLHTDYASAEIVCATISMKIPFCKGWMCKSECWLQKKLRKVALKEHKCTKGGIKGRCHCLVCKDVPRLN >PVH36018 pep chromosome:PHallii_v3.1:7:47296313:47300038:-1 gene:PAHAL_7G340100 transcript:PVH36018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRMVRSCVQTALKAVNSVVGLAGMAVILYALWMLRAWYREVNDLDQRFPVPWFIYTFLGLGIFLCLVTCSGHIAAETANGHCLSCYMIIVFVLIILEGAITVDVFLNTNWEEDFPPDPSGKFDEFKDFVRSNFEICEWVGLSVLAAQVLSIILGMVLRTLGPDRETDYDSDDDTTVPARLPLLRNQSQHGPDYAEPNTSRRSDSWKLRILDKTLRTEF >PAN40865 pep chromosome:PHallii_v3.1:7:47296790:47299625:-1 gene:PAHAL_7G340100 transcript:PAN40865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRMVRSCVQTALKAVNSVVGLAGMAVILYALWMLRAWYREVNDLDQRFPVPWFIYTFLGLGIFLCLVTCSGHIAAETANGHCLSCYMIIVFVLIILEGAITVDVFLNTNWEEDFPPDPSGKFDEFKDFVRSNFEICEWVGLSVLAAQVLSIILGMVLRTLGPDRETDYDSDDDTTVPARLPLLRNQSQHGPDYAEPNTSRRSDSWKLRILDKVNN >PVH35776 pep chromosome:PHallii_v3.1:7:43097193:43097684:1 gene:PAHAL_7G274400 transcript:PVH35776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESETTREPTPEYDPIAAYEDRAPLHWDAVEWDFHYQSEDDESLTDGEDLALLLGAELEEEEDDTLWGEDLSLSEEESDSISSEEDPMAGTFFFDGSSDDTSDGREGADDDDGFTSSSGGDDDGSRDGSSSSGTSIAPPSKRRKASDVYWW >PAN37436 pep chromosome:PHallii_v3.1:7:27093010:27093824:1 gene:PAHAL_7G092800 transcript:PAN37436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSLIVLSQVTLICVEAEKLGRVCVVMDFHLFDHVRVHRMGCVAVVNLGHPVYRCWKYEVNVSVQRGAFFYLSA >PAN37634 pep chromosome:PHallii_v3.1:7:28804352:28810548:-1 gene:PAHAL_7G100100 transcript:PAN37634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHKFLLKWFELYPEFQSNPFYISGESYAGYYIPTLTDEVVKGILKGVEPRINFKGYLIGNGATDVNYDYNAFVPFAHGMGLISTGMYEDVKATCGGTFWGKVDDLCQENIERVHWELKDLNMYNILAPCYHHPEMQEVEFINSSLPSSFTRLGETERPFPVRKRMAGRSWPLRAAVRNGHIPMWPGLGGRSLPCTSDELATLWLDDEGVRAAIHAKPKSLIGSWELYTARIDYTHDTGTMQSYHKKFTAMGYRVLIYSGDHELCVPFTGTEAWVKSIGYQVVDRWRPWYFGKQVAGYTQGYDHNLTFLTIKGAGHAVPEYKPREALAFYSRWLAGKKI >PVH35076 pep chromosome:PHallii_v3.1:7:28804352:28812084:-1 gene:PAHAL_7G100100 transcript:PVH35076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAASPPGTTPDGQVRDGGRGQRDYLVLSERDPAADPVVLWLNGGPGCSSFDGFVYEHGPFTFEPRRSTTPGGLPRLRLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHKFLLKWFELYPEFQSNPFYISGESYAGYYIPTLTDEVVKGILKGVEPRINFKGYLIGNGATDVNYDYNAFVPFAHGMGLISTGMYEDVKATCGGTFWGKVDDLCQENIERVHWELKDLNMYNILAPCYHHPEMQEVEFINSSLPSSFTRLGETERPFPVRKRMAGRSWPLRAAVRNGHIPMWPGLGGRSLPCTSDELATLWLDDEGVRAAIHAKPKSLIGSWELYTARIDYTHDTGTMQSYHKKFTAMGYRVLIYSGDHELCVPFTGTEAWVKSIGYQVVDRWRPWYFGKQVAGYTQGYDHNLTFLTIKGAGHAVPEYKPREALAFYSRWLAGKKI >PAN37633 pep chromosome:PHallii_v3.1:7:28804356:28812084:-1 gene:PAHAL_7G100100 transcript:PAN37633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAASPPGTTPDGQVRDGGRGQRDYLVLSERDPAADPVVLWLNGGPGCSSFDGFVYEHGPFTFEPRRSTTPGGLPRLRLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHKFLLKWFELYPEFQSNPFYISGESYAGYYIPTLTDEVVKGILKGVEPRINFKGYLIGNGATDVNYDYNAFVPFAHGMGLISTGMYEDVKATCGGTFWGKVDDLCQENIERVHWELKDLNMYNILAPCYHHPEMQEVEFINSSLPSSFTRLGETERPFPVRKRMAGRSWPLRAAVRNGHIPMWPGLGGRSLPCTSDELATLWLDDEGVRAAIHAKPKSLIGSWELYTARIDYTHDTGTMQSYHKKFTAMGYRVLIYSGDHELCVPFTGTEAWVKSIGYQVVDRWRPWYFGKQVAGYTQGYDHNLTFLTIKGAGHAVPEYKPREALAFYSRWLAGKKI >PVH35075 pep chromosome:PHallii_v3.1:7:28804356:28810662:-1 gene:PAHAL_7G100100 transcript:PVH35075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHKFLLKWFELYPEFQSNPFYISGESYAGYYIPTLTDEVVKGILKGVEPRINFKGYLIGNGATDVNYDYNAFVPFAHGMGLISTGMYEDVKATCGGTFWGKVDDLCQENIERVHWELKDLNMYNILAPCYHHPEMQEVEFINSSLPSSFTRLGETERPFPVRKRMAGRSWPLRAAVRNGHIPMWPGLGGRSLPCTSDELATLWLDDEGVRAAIHAKPKSLIGSWELYTARIDYTHDTGTMQSYHKKFTAMGYRVLIYSGDHELCVPFTGTEAWVKSIGYQVVDRWRPWYFGKQVAGYTQGYDHNLTFLTIKGAGHAVPEYKPREALAFYSRWLAGKKI >PVH34961 pep chromosome:PHallii_v3.1:7:22769022:22771760:-1 gene:PAHAL_7G076600 transcript:PVH34961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAVRHVDCVPYSEISLVNPIDITKIQIGTRQTGQGGLVVLLYLRRRRRPSFPHAAARPGAGESNGPRLRRPPSVPPGDLATATGGTQVCPVPNPQWMTGVVGPDPRFRLVASSIRKASDSRLPAVTPSRNNIFCLLFLAEVYYSYVISNIQRCSNDQMVFF >PAN37451 pep chromosome:PHallii_v3.1:7:27228928:27235991:1 gene:PAHAL_7G093600 transcript:PAN37451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEENTSLFLIFILTMIALPLVPYTIMRLCRAASEKVKTIHCRCSGCRRSGKYRKSIYKRISNFSTCSNLTIVLLWIVMIFLVYYIKHVSREVQVFEPFSILGLEPGASESEIKKSYRRLSIQYHPDKNPDPEAHKYFVEYISKAYQALTDPVSRENYEKYGHPDGRQGLQMGIALPKFLLNIDGASGGIMLLGIVGFCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLTRESFALTPALLKDYRHMLELAPRLLEELVKIAVLPRNPHGFGWLRPATGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPHFTEATVKKIARKKIRAFQELCDMSVEDRAKLLTQVAGLSEEQACDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLQRRNGLTAALPHCPNFPFHKEENFWLLLADAASNDVWLSQKVSFMDEATAITAASKAIQETQEALGASAKEIGIAVREAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDSWIGCDTKTSFKLKVLKRSRAGTRGHVPEEGPVAAAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKNKGTGKGKVANGVVHQGVDSDIDSGSDE >PAN40589 pep chromosome:PHallii_v3.1:7:46509398:46510802:-1 gene:PAHAL_7G326600 transcript:PAN40589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAGPSSDDSLPPGFESLQPTNNHKIDISQIPLVRWRCPPQILYNPDWLVVAGEESVEVGLQNERMFGALEAIYPRPSNIPPNPFVSPDVKDSYFDDSQTQLVPLIPVEEDDASDQLEEQSVGPPNSYHQSDKYDSATVRVPQASDAPFTTAQQQPNGSINTASAGGISSEPDAVAAASAAYTAIMQSNQMGNMIDQDLLIKILSDPAQLERLMKEYGTLKHEQPINSSAPAPMLPGLPPQMTASAPVPFPDHMTTFHNINPGLPPPPPVMNRLPPAIPSVGMNPPASSSQAINFSNVPGRGINYYKTLIHQHGGERQEPLQQHGMQFGMHHHSVPSQTSTIDVISNGTMPGREIKQRPTKPCAYFNSARGCRNGANCTFLHDVSAARKEQPKGSKRIKLDSRIAGRY >PAN40588 pep chromosome:PHallii_v3.1:7:46509183:46515341:-1 gene:PAHAL_7G326600 transcript:PAN40588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGALEAIYPRPSNIPPNPFVSPDVKDSYFDDSQTQLVPLIPVEEDDASDQLEEQSVGPPNSYHQSDKYDSATVRVPQASDAPFTTAQQQPNGSINTASAGGISSEPDAVAAASAAYTAIMQSNQMGNMIDQDLLIKILSDPAQLERLMKEYGTLKHEQPINSSAPAPMLPGLPPQMTASAPVPFPDHMTTFHNINPGLPPPPPVMNRLPPAIPSVGMNPPASSSQAINFSNVPGRGINYYKTLIHQHGGERQEPLQQHGMQFGMHHHSVPSQTSTIDVISNGTMPGREIKQRPTKPCAYFNSARGCRNGANCTFLHDVSAARKEQPKGSKRIKLDSRIAGRY >PAN40591 pep chromosome:PHallii_v3.1:7:46509398:46514922:-1 gene:PAHAL_7G326600 transcript:PAN40591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSRRSRRVSWAAGANLCKVRLFLSEDSPSQAGLRPQDNLQAKGSWLMHAAGPSSDDSLPPGFESLQPTNNHKIDISQIPLVRWRCPPQILYNPDWLVVAGEESVEVGLQNERMFGALEAIYPRPSNIPPNPFVSPDVKDSYFDDSQTQLVPLIPVEEDDASDQLEEQSVGPPNSYHQSDKYDSATVRVPQASDAPFTTAQQQPNGSINTASAGGISSEPDAVAAASAAYTAIMQSNQMGNMIDQDLLIKILSDPAQLERLMKEYGTLKHEQPINSSAPAPMLPGLPPQMTASAPVPFPDHMTTFHNINPGLPPPPPVMNRLPPAIPSVGMNPPASSSQAINFSNVPGRGINYYKTLIHQHGGERQEPLQQHGMQFGMHHHSVPSQTSTIDVISNGTMPGREIKQRPTKPCAYFNSARGCRNGANCTFLHDVSAARKEQPKGSKRIKLDSRIAGRY >PAN39307 pep chromosome:PHallii_v3.1:7:40524150:40527611:-1 gene:PAHAL_7G234700 transcript:PAN39307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFTHVPPGFRFHPTDEELVDYYLRKKVALRKIDLDVIKDVDLYKIEPWDLQEKCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGFPHEEGWVVCRVFRKRLATVQRMAGDSPYWFSDHAGFMAPELLGSPRQAAHHQQTTAAMYHRPQSSSSSSYHPCKVELEYHHLLPQEHFLQQLPQLESPNKLPDLIGGQVATTLQPCSLAEEHGAPRYTVPELHAEPIFLPAGDASGTDWRALDKFVASQFISHGDTDTPPKQGSGYSDPAVQVFEQAEEKEEEALEYVSTSASCGGDNVLWK >PAN40412 pep chromosome:PHallii_v3.1:7:45489229:45491797:1 gene:PAHAL_7G313200 transcript:PAN40412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDHLFGLRNSFYIGAYHAAITSSQSVPAHALSPDELVERDAILYRSYIAIGSHQESAVSRLRELLSDAAIGSNPILRLMAGTIFMHERDYAEALKHTHSGGNMELLALNVQIYLQMHRADHAEKQLRVMQQLDEDHTLTQLASAWVDLVMGGSKVQEAHLIFQDLSEKYPPTCTILNGKALCSMHMGNFEDAEGLLLESLNKDAKDAETLANLTVCSLNLGKPATRYLNQLKLVNPEHTLVKRMSSAADSFDRACQAMA >PAN40411 pep chromosome:PHallii_v3.1:7:45489229:45491797:1 gene:PAHAL_7G313200 transcript:PAN40411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDHLFGLRNSFYIGAYHAAITSSQSVPAHALSPDELVERDAILYRSYIAIGSHQLVVGEIGPCAATALQAVKLLAVYLSGDSGNRESAVSRLRELLSDAAIGSNPILRLMAGTIFMHERDYAEALKHTHSGGNMELLALNVQIYLQMHRADHAEKQLRVMQQLDEDHTLTQLASAWVDLVMGGSKVQEAHLIFQDLSEKYPPTCTILNGKALCSMHMGNFEDAEGLLLESLNKDAKDAETLANLTVCSLNLGKPATRYLNQLKLVNPEHTLVKRMSSAADSFDRACQAMA >PVH34726 pep chromosome:PHallii_v3.1:7:3390144:3391444:-1 gene:PAHAL_7G016900 transcript:PVH34726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIKHRRTTGNDDPYAKVKFTIPSFHGRYDAEEYLDWEMTVEQKFASHLVPDQHRVRQATSEFKDFAIIWWQECAQLNIQPDSWDALKIAMRDRFVPASYKRDMRKKLQRLDQGDMSVQEYYAELQKGMVRCGVVEDAEDKVCRFYGGLKREIQDIVDYKSFTTTNQLFQLAMLAEKELQGRQQQQPQSRSTPNRSYMPKSFTPKGPSTSTVVSPAPATTPAAGKSRVQEQTKSASPAARSSSGIQCHRCQGFGHVIRECPSKRTYIATADGGYVSTSDAEDDTEDVPPSNEGLSLSAGDAGSQRICIVHRVLSTQLGQADKMQRHNLFQILFVIHDRRARVIIDGGSCNNLVSADLVQKLGLATRPHKHPYHVQWLNDSGKVKK >PAN39338 pep chromosome:PHallii_v3.1:7:40632933:40634232:1 gene:PAHAL_7G236200 transcript:PAN39338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCACFSGPAVKDDGRMDALKSDNSFPPEETAEDAAAAAASALVEKDGFSVEDLLDLEEFCEPDKDGPDDVEAPPPPAATAAEVKSNEDSQPLSVVTYELPPPPPEMVDLPAHDVEELEWVSRIMDDSLSELPPQPQPPAALVASMSARPPLAQQRRAPQVHDGAYRALPAAPGPLRTPTICALSTEALVPVKAKRSKRTRGPGWSLSGASFLSDSASSSSTTTTSSCSSSGSFSPFLFLDSAPFSGLELGEGYYNHFLPAPPSKKSKHGGGKGSKHKPKKRGRKPKHLPPNPSAAGAAAPQPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGGMVATAAPAVASF >PVH35648 pep chromosome:PHallii_v3.1:7:40632453:40635082:1 gene:PAHAL_7G236200 transcript:PVH35648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQTLIPSSFSPLRLRLLLLAFPPRLRRRHNATGWFPPPPHPNHSAFPPGSAMPSLAHHHSPLDDGRMDALKSDNSFPPEETAEDAAAAAASALVEKDGFSVEDLLDLEEFCEPDKDGPDDVEAPPPPAATAAEVKSNEDSQPLSVVTYELPPPPPEMVDLPAHDVEELEWVSRIMDDSLSELPPQPQPPAALVASMSARPPLAQQRRAPQVHDGAYRALPAAPGPLRTPTICALSTEALVPVKAKRSKRTRGPGWSLSGASFLSDSASSSSTTTTSSCSSSGSFSPFLFLDSAPFSGLELGEGYYNHFLPAPPSKKSKHGGGKGSKHKPKKRGRKPKHLPPNPSAAGAAAPQPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGGMVATAAPAVASF >PVH35649 pep chromosome:PHallii_v3.1:7:40681100:40682747:-1 gene:PAHAL_7G236700 transcript:PVH35649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like cytoplasmic kinase, Salt tolerance, Oxidative stress toleranc [Source: Projected from Oryza sativa (Os04g0540900)] MFSACGLFGRARRGRGDLRKRGEMGGASSRVAPAEPVDAEEAEEQGGGAARQLAWAEVEAATRGFSSRVVGRGGFSTVYLASLPASRLGAVKVHCSSERLHRAFRRELDVLLSLRHPHIVRLLGYCDERDEGVLVFEYATNGDLHERLHGGGDLPWTRRVAVASQVAAALEYLHEGRDPAVIHGDIKASNVLLDANLDAKLCDFGFAHVGVSATAGGAGGGRPSARAVMGSPGYVDPHLLRSGVATKKSDVYSFGVLLLELLTGEEAVCRETGHRLTAAVGPKLSDGKVSDVLDQRLGAEYDAAEAAAVAELAMQCVGDNPALRPSMADVVRVLQEKTSAAGSKSDRKMMS >PAN39690 pep chromosome:PHallii_v3.1:7:42319985:42322931:-1 gene:PAHAL_7G261400 transcript:PAN39690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESPASPAQPPSQPGSPLDAAISSPVPALLRGSVLLLAFLVLQLVLFWSLLGYPASSRFLPAPGRRNTTWPNGAVDAGACEAGLVYVYDLPPEFNHDLVDDCESLWPWYSFCPYLTNGGFGEAAATLPVFFNVTRNVSLHSWYNTDQFQLEVIIHRRLLSHRCRTTDPSQAISFYVPFYVGLDVGSHLWGDNSTAADRDRAGLRLLRWLKNQTSFQRSGGWDHFITLGRITWDFRRYGDDGWGTNFVVLPGMANVTRLAIEADTLDPMDVGVPYPTGFHPRAAADVRAWQRHVLARKRSKLFGFAGAPRSGFRGDFRGVLLEECEDAGSERCRAVDCRGTRCTDNGAAVLELFLDSRFCLQPRGDSFTRRSMFDCMVAGAVPVLFWRRTSYDQYRWYLPPGPRGDKGEWSVFIDRQALRVGNVSVRDVLEGISERRVRRMQERVVEMIPRLVYAFSPDGLGDGMEDALDVALGGVLERIRRRWGSIAREEHPPGTFAARRVGVKSTAAPPHSDGQNGSAAAIGRGTAGKNQMPPASSYIKTVTSEASASISKTLQKS >PAN39750 pep chromosome:PHallii_v3.1:7:42629428:42630781:-1 gene:PAHAL_7G266100 transcript:PAN39750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYVVFARGKEATIRFEEQEEDIGCASSESSAAGSSASSSDEVELADDASSSGSTGDHFEMASLMTQLPIKRGLSKFFDGKSQSFASLAAVDGLEDLPKPPAKRLKTSRSCGVGLKDAHRRRLPAPAVGKKQAPRARLTPSAPRRLVRARPLVAARPAAAGQPLLFA >PAN39749 pep chromosome:PHallii_v3.1:7:42629028:42630781:-1 gene:PAHAL_7G266100 transcript:PAN39749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYVVFARGKEATIRFEEQEEDIGCASSESSAAGSSASSSDEVELADDASSSGSTGDHFEMASLMTQLPIKRGLSKFFDGKSQSFASLAAVDGLEDLPKPPAKRLKTSRSCGVGLKDAHRRRLPAPAVGKKQAPRARLTPSAPRRLVRARPLVAARPAAAGQPLLFA >PAN37159 pep chromosome:PHallii_v3.1:7:19873740:19876491:1 gene:PAHAL_7G065600 transcript:PAN37159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIQPRSSPPKHVALLWRHPLPSPRLHSIRFLFSLPFSPSLSYKTRAPLLLIPCSEVQTRAELPCFLTNSFFFSSSASSSAAMSEVAPVVDAEYMAEIERARRDLRALIASKNCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRFPQEYSHGANAGIKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVAGRKDSSVCPEEGRLPDAKQGASHLRDVFYRMGLSDKDIVSLSGGHTLGRARPERSGFDGAWTKDPLKFDNSYFVELLKGETEGLLKLSTDKALVEDPEFRRYVEIYAKDEEAFFRDYAESHKKLSELGFKPPRSAFSCRSGNKQKSLLVQAAAGVAVAAAVVAWTYICEANKKIG >PAN38303 pep chromosome:PHallii_v3.1:7:33340361:33344092:1 gene:PAHAL_7G131400 transcript:PAN38303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGRRLSSSLSWRPAAAAARNPLAGAGAPGGDDDSARGRSQPRFSIESPFFAAARGFSSAETLVPRNQDAGLADVPATVAAVKNPNPKVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >PAN37892 pep chromosome:PHallii_v3.1:7:33340373:33344092:1 gene:PAHAL_7G131400 transcript:PAN37892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGRRLSSSLSWRPAAAAARNPLAGAGAPGGDDDSARGRSQPRFSIESPFFAAARGFSSAETLVPRNQDAGLADVPATVAAVKNPNPKVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >PVH35861 pep chromosome:PHallii_v3.1:7:44481392:44481751:1 gene:PAHAL_7G295400 transcript:PVH35861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLGKITRAISDALQDPEKLPGALILCGVLEAAAALSLIFFRVPGGVFHHNGESLVYVYYGVLIAVVVFGLAEAAAGFWVSRDLSGRRAIGKIVMGLSILPLIVVASLGGFSSAAPPN >PAN38626 pep chromosome:PHallii_v3.1:7:37387437:37390341:1 gene:PAHAL_7G185500 transcript:PAN38626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDVSNESYGIKVGYAVPGQSGGAGSSSSQGGGCCS >PVH35835 pep chromosome:PHallii_v3.1:7:44092777:44094813:1 gene:PAHAL_7G288500 transcript:PVH35835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTTLLFCRETGDDDVAIQVLYCGICHSDLHTVKNEWRTAMYPVVPGHEIAGLVTEVGKNVHKFNVGDRVGVGCMANTCQSCESCEAGLENYCSKIVFTYNSRDRDGTVTCGGYSDMVVVNQHFVIRFPDGMPLDKGAPLLCAGITVYTPMKYHGLNEPGKRIGVIGLGGLGHVAVKFAKAFGMRVTVISTSPEKREEAMEKLGADAFVVSSDAGQMKAAKGTMHGIINTASASMSMYPYLALLKPQGKMILLGLPEKPLQISAFSLVAGGKTLAGSCMGSIRDTQEMMDFAAKHELTTDIEVVGAEDVNDALERLAKGDVRYRFVIDVGNTLVAA >PAN40057 pep chromosome:PHallii_v3.1:7:44091100:44094765:1 gene:PAHAL_7G288500 transcript:PAN40057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTEAEQHPRKALALALAAHDASGRVTPIRISRRETGDDDVAIQVLYCGICHSDLHTVKNEWRTAMYPVVPGHEIAGLVTEVGKNVHKFNVGDRVGVGCMANTCQSCESCEAGLENYCSKIVFTYNSRDRDGTVTCGGYSDMVVVNQHFVIRFPDGMPLDKGAPLLCAGITVYTPMKYHGLNEPGKRIGVIGLGGLGHVAVKFAKAFGMRVTVISTSPEKREEAMEKLGADAFVVSSDAGQMKAAKGTMHGIINTASASMSMYPYLALLKPQGKMILLGLPEKPLQISAFSLVAGGKTLAGSCMGSIRDTQEMMDFAAKHELTTDIEVVGAEDVNDALERLAKGDVRYRFVIDVGNTLVAA >PAN40058 pep chromosome:PHallii_v3.1:7:44093255:44094765:1 gene:PAHAL_7G288500 transcript:PAN40058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTCQSCESCEAGLENYCSKIVFTYNSRDRDGTVTCGGYSDMVVVNQHFVIRFPDGMPLDKGAPLLCAGITVYTPMKYHGLNEPGKRIGVIGLGGLGHVAVKFAKAFGMRVTVISTSPEKREEAMEKLGADAFVVSSDAGQMKAAKGTMHGIINTASASMSMYPYLALLKPQGKMILLGLPEKPLQISAFSLVAGGKTLAGSCMGSIRDTQEMMDFAAKHELTTDIEVVGAEDVNDALERLAKGDVRYRFVIDVGNTLVAA >PAN38530 pep chromosome:PHallii_v3.1:7:37002076:37004467:1 gene:PAHAL_7G178200 transcript:PAN38530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPGFRFHPTDEELITHYLARKVADTRFAALAVGEADLNKSEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLPGAVPRTASAKNEWVLCRVFKKSLVAGVAPAAKRGAMEMDDIASISHLPPLMDVSSGAAAVGCNPAAAHVTCFSNALEGQFFNQTAPPQAAASATDHLGLASSSPFLSSFTQYGPLHHGGVSLVQLLESSGYGGGGDLPDMPKQQQQQQGGGERERLSASQDTGLTSDAHPEISSSSGQRFDTEQLWGY >PAN38528 pep chromosome:PHallii_v3.1:7:37002574:37004467:1 gene:PAHAL_7G178200 transcript:PAN38528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPGFRFHPTDEELITHYLARKVADTRFAALAVGEADLNKSEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLPGAVPRTASAKNEWVLCRVFKKSLVAGVAPAAKRGAMEMDDIASISHLPPLMDVSSGAAAVGCNPAAAHVTCFSNALEGQFFNQTAPPQAAASATDHLGLASSSPFLSSFTQYGPLHHGGVSLVQLLESSGYGGGGDLPDMPKQQQQQQGGGERERLSASQDTGLTSDAHPEISSSSGQRFDTEQLWGY >PAN38529 pep chromosome:PHallii_v3.1:7:37002076:37004467:1 gene:PAHAL_7G178200 transcript:PAN38529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPGFRFHPTDEELITHYLARKVADTRFAALAVGEADLNKSEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLPGAVPRTASAKNEWVLCRVFKKSLVAGVAPAAKRGAMEMDDIASISHLPPLMDVSSGAAAVGCNPAAAHVTCFSNALEGQFFNQTAPPQAAASATDHLGLASSSPFLSSFTQYGPLHHGGVSLVQLLESSGYGGGGDLPDMPKQQQQQQGGGERERLSASQDTGLTSDAHPEISSSSGQRFDTEQLWGY >PAN38531 pep chromosome:PHallii_v3.1:7:37002076:37004467:1 gene:PAHAL_7G178200 transcript:PAN38531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPGFRFHPTDEELITHYLARKVADTRFAALAVGEADLNKSEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLPGAVPRTASAKNEWVLCRVFKKSLVAGVAPAAKRGAMEMDDIASISHLPPLMDVSSGAAAVGCNPAAAHVTCFSNALEGQFFNQTAPPQAAASATDHLGLASSSPFLSSFTQYGPLHHGGVSLVQLLESSGYGGGGDLPDMPKQQQQQQGGGERERLSASQDTGLTSDAHPEISSSSGQRFDTEQLWGY >PAN38200 pep chromosome:PHallii_v3.1:7:35378841:35382801:1 gene:PAHAL_7G153800 transcript:PAN38200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGERGEALVVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGTSLQYAGPASLVWGWVVVSFFTWFVGVAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNTGGGYLAPRWLFLVMYIGLTLIWAVLNTFALEVIAFLDVISMWWQVIGGTVIVVMLPLVAKTTQPASYVFTHFQTAPEVTGISSGAYAVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYNPNNETAGTFVPAQILYDAFHGRYHSSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDRGIPFSSVWRRIHPRHKVPANAVWLCAAVCALLGLPILRINVVFTAITSVATIGWVGGYAVPIFARMVMREEDFRPGPFYLGAASRPVCLVAFLWICYTCAVFLLPTSYPIRMDTFNYAPIALGVCLGLIMLWWALDARKWFKGPVRNIDDHNSNGKV >PAN38976 pep chromosome:PHallii_v3.1:7:38935555:38935890:-1 gene:PAHAL_7G210300 transcript:PAN38976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAPADSPADLRRCICSPTTHPGSFRCRLHRGGVGMPRSASCQQFGDAGAPGSRSLRPSHMRRPASQQQFAVHSTTVGGGGMSKPSSEQLFRPLGLPRSASCQDFFPKE >PAN40316 pep chromosome:PHallii_v3.1:7:45100617:45101773:1 gene:PAHAL_7G305700 transcript:PAN40316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNMISACKPHQSQPPAALLPPPRPVLPGRAAFPRLPARDTTSRLVVRRRCQEEDKQQQQQQQQEQEAAEGSGADEQERRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFIKDKLKREQNIGTAVLQWDDPGL >PVH35918 pep chromosome:PHallii_v3.1:7:45100617:45101773:1 gene:PAHAL_7G305700 transcript:PVH35918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNMISACKPHQSQPPAALLPPPRPVLPGRAAFPRLPARDTTSRLVVRRRCQEEDKQQQQQQQQEQEAAEGSGADEQERRTFLSLEEAGLVEMSGLSTHERFLCRLTEGVPIEELNAGRVCDWFIKDKLKREQNIGTAVLQWDDPGL >PAN37737 pep chromosome:PHallii_v3.1:7:31876055:31878944:-1 gene:PAHAL_7G118100 transcript:PAN37737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYCANRIFLSGGSNILHGPKSRGRASRRHSAVRFRRCSVRANFWRTDHLPVKVTPSEIIEVLQASDVLGSIRKWSRLQLVTMTGVMACVVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANIACLNTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDVGEFPVPDPSALVKNFNMSDFNGKWYISSGLNPTFDTFDCQLHEFHVEGDKLIANIAWRIRTPDSGFFTRSTVQRFVQDPSQPGILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGSVLYTRSKTVPETIIPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEEGEKTIIREVREIEGEIEEEVEELEEEEATLFQKLAEGLMEVKQDLMNFFQGLSKEEMELLDEMNMEATEVEKVFSRALPLRKLR >PAN37738 pep chromosome:PHallii_v3.1:7:31876325:31878467:-1 gene:PAHAL_7G118100 transcript:PAN37738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYCANRIFLSGGSNILHGPKSRGRASRRHSAVRFRRCSVRANFWRTDHLPVKVTPSEQIIEVLQASDVLGSIRKWSRLQLVTMTGVMACVVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANIACLNTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDVGEFPVPDPSALVKNFNMSDFNGKWYISSGLNPTFDTFDCQLHEFHVEGDKLIANIAWRIRTPDSGFFTRSTVQRFVQDPSQPGILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGSVLYTRSKTVPETIIPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEEGEKTIIREVREIEGEIEEEVEELEEEEATLFQKLAEGLMEVKQDLMNFFQGLSKEEMELLDEMNMEATEVEKVFSRALPLRKLR >PVH35301 pep chromosome:PHallii_v3.1:7:34825658:34826476:-1 gene:PAHAL_7G145900 transcript:PVH35301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESREFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVVKKDTFWYLGSMLQKDGDIDENVRHRISAGWLKWRQASGFYRTAILPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRIEEIRDRVGVAPIEEKLIQHRLRWFGHVQWRPPEAPVRSGVLKWGDNVRRGRCRLRLTWDETVKRYLKEWNIAKKLVMDRSAWRLAINVPEP >PAN40763 pep chromosome:PHallii_v3.1:7:47182471:47191997:-1 gene:PAHAL_7G338500 transcript:PAN40763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSILSLSGHTSAVESVGFDSTEVFVAAGAASGTIKLWDLQEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKNCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHEFKCHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLADLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKVNGHSELKTVSSGTMPLQNDSGSRANIGRSSVLQNSENNLKASSGRLSVSQNSDSALKETKSTASSGLVPSTPQRAGVGSNNRSFGNSTFASGGTTLKRSSLRSHNASSVSNFSKADVVPVIVPRTSSGGDLATDSRSDADVAPVLSKATRRADPATESRKDSTDVEPIIPRASSRMEISSDSAPVIISKSGRRLESGADSIKESADAAPVVVPRSNTKMEMASDYAPVLSKANRKVDPGNDSKKESADATPVIAPRTSSRTEMPSDSRREPSAGRVSPFRIQSRYAELRKLTHAKVDIGSKNTETDDFNCQIFLPRRNGVFQTISSEETREDLKHGAVDRMPFSDSAEPSASVRSENYVSRMRKPRDNCYVEVSRSGRARSSVSNWGGRDQSPSHEEPTTSSSSLAPTGRSYSSRGSNQASETPTIASDEDVLSLLMEQHELFLSSTRSRLTKLQIIHQMWVRNDIRGVLSAMEKMCDHAVSADMASVLMEKSETITLDLCTSILPVVTDLLESKTDRHLGVSLELLVKLVRTLGPMIHMTVSAGPSSVGVDLEAEQRRERCNLCFIELEKVKNKLPFLTRRKGAVANAAQELTLVFQEVMS >PVH34806 pep chromosome:PHallii_v3.1:7:9567476:9568322:-1 gene:PAHAL_7G037400 transcript:PVH34806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRKFFPAVVLLLLIVATTDMAAVQARECEKNSAKFVGLCMNVDNCANVCRGEGFASARCSTFRRRCVCVKPC >PAN40815 pep chromosome:PHallii_v3.1:7:47493484:47503123:-1 gene:PAHAL_7G343200 transcript:PAN40815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKELISPSDLQSFYQQQQQHRAALGGGGGAHSPSSLAGMHSVIRPMPNMPNMNMSAAAILNSIGGGSLAGMQFQMDTAPPPLMHNSTMGSVSASASGTVPPAPAPAEPVKRKRGRPRKYGPDGTMKAAAAAQQQQHLVSAPPRMGSSMSGPDMLGARGMEDPAQKKRRGRPPGTGKKQQTSPSVGNAFAGSAGTSFTPHIITVSPSEDVAAKIAAFANQSSRAVCVLSATGSVSRVVLRHPGDASPMTRVHSSPPYKNPAIYEGFYEILSLTGSYNLAEGSQQQGQQQSGGLSVTLCSPERNVIGGVLGGQLVAASTVQVVLGSFHQGGSRSKSKKAAAKQAAFSPDGGQEASPSSGHNQQNLTPPSVTGGGGWPTSGIFDTRSSSIDINSSRG >PAN39445 pep chromosome:PHallii_v3.1:7:41092489:41095870:1 gene:PAHAL_7G243100 transcript:PAN39445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPGGGRRRGGGGRRRDAAGGAEAVRKGPWMAEEDAVLLEHVRAHGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGCKFSAEEERVVLELQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRAPLPARSSKNSSGKAPAASSLESRPATVGPCLDRVPFGTNSSVHPCSAATPFMNTQNAARVPYDQSASGLLSFNGALPPFAPATDSHACSSSNAAPFLQKSPFDEPPYPLLDYPGMPECWSMAPGGFVNAGAMDDLAYQELLPMMAQSAPMMFPFFGMECAQGGVKPEPPDAPDFFDDLPADMFDSLDQVPPPLSPPATSSGF >PVH35963 pep chromosome:PHallii_v3.1:7:46273384:46274109:1 gene:PAHAL_7G322900 transcript:PVH35963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARVSERVGKRGAQRLRPGGSGTGKRERMAAASAWLWGDGPTGPFFQLISSCLLRVFSFFFVMRRKLASALRLFWENGTNSTPIKRCPFYL >PAN39481 pep chromosome:PHallii_v3.1:7:41276749:41279164:-1 gene:PAHAL_7G246300 transcript:PAN39481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPFARLVPTARPALPPIHAGAAGPSYPPVHWAAALRGAPLTAVGRRAYRPLAVSAQSASPAAGLRLEHKFYEVEMKVRDYELDQYGVVNNAVYASYCQHGRHELLGSVGISADAVARSGESLALSELHLKYFAPLRTGDKFVVKVRLASIKGVRMIFEHIIEKLPNREQILEAKATAVCLNKDYRPSRIPPELLSKLQLYSSKDSEGSSDYANNRKNSCS >PVH34764 pep chromosome:PHallii_v3.1:7:5152072:5157935:1 gene:PAHAL_7G024900 transcript:PVH34764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETWLTRAVDFWNEWAIRIAASVSLAALVLLMLLAETRRRSVRPGLTFSLWLVYQILHFAGSYALGHLSLDATGETEAANHEQVLIAFWAPFLLLHLGGPDNVGAYSLDDDKLSWRNFVGAVTKALGAVYIVYTKIFLADSSGLLSLASIIMIIIGLFRFIEMAIALLRSLSKKAWDSSNKKQAPSTVDLPCRGNEDARKIAQGQWQYCGCRALFDSSVEMNSTDLETSKKIFNLAWKEMCKVVEVEASLMYDMLYTKASVVYTTGGYILRLIAPLATSTAIVLFCLYPKDTVELPDLVVTYIVLGASLVLDVVWLVMALSSTWTYVFLAARSGTWLHHKVLCGGWWCGFRRFAMCLHPCRLLGEDPTRYKMWSDTIGRFNMLQECTRTPGLTERLCRWLATNLGLEDASNEYRIWKCLSQLPQDVKGLVFERIKERLSGSKTYFMKDIRAFWGQEAVKRRNNIFDGLMLPYFGHEFQEDILLWHIATTIYLCSGNQPQLISGANAAETQRNHVKAIEMLSEYLMFLVMLRPHMVPDPSLRRLCDVTIQALKEEYVKKDKENNPCCAARKQKLAEILHSREKSKSLMNSDANRRLVSDAARLAIALQEVKAKKVKDVVELIFDVWVDKLLYASASARPAAAPIAGYARALASRRPRHTAAAATHCAAACLLPVYAKPACDPLLRRPISIPSPRPRSLRLVPEHPGRH >PAN37630 pep chromosome:PHallii_v3.1:7:28824275:28825515:1 gene:PAHAL_7G100300 transcript:PAN37630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLPGGGMMMLLRREDTLIEDEARFYAGETVLAIEAIHKHNYIHRNIKPDNLLLDKFSHFRMSDFGLCKPLDVTRCKPYYNPSCVHIST >PVH36063 pep chromosome:PHallii_v3.1:7:47694999:47695424:1 gene:PAHAL_7G347000 transcript:PVH36063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEELAEHTRQEVIDHLKPKVPEKSVPIDAMLVAKSYNSLSDTAKRRVKLPSNFGRTLIKAHQKMKKCGKTVPQLGTQQKIFELLRVQTDKKRNIRRNLCKKRDLPMRRKPGKKIFQYLKLQVFHISMENLLSPKIRRSI >PAN39362 pep chromosome:PHallii_v3.1:7:40738121:40741645:-1 gene:PAHAL_7G237800 transcript:PAN39362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQERRRRQQPRPPPHVELAMAHARDGGVNEGAEAAACLDGDAETGARAAGRLPPWREQLTARGMVASLAVGAMYSVIVMKLGLTTGLIPTLNVSAALIAFVILRGWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKIMIIDYKLTYPSGSATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGVMWPLISDLKGDWYPADIPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKSAKKEEDIPVLDDIHRNEVFTRDSIPTWLAFSGYLALSVVAVFAIPLMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMQDFKTGHLTFTSPRSMIIAQAIGTAMGCVIGPLTFLLFYKAFDIGNPDGYWKAPYALVYRNMAILGVQGFSALPLHCLQLCYGFFGFAVAANLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMVDRSKASLMVPAVASGLICGDGLWIFPESLLALAKINPPLCMAFRSTH >PAN39365 pep chromosome:PHallii_v3.1:7:40737403:40740087:-1 gene:PAHAL_7G237800 transcript:PAN39365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDYKLTYPSGSATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGVMWPLISDLKGDWYPADIPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKSAKKEEDIPVLDDIHRNEVFTRDSIPTWLAFSGYLALSVVAVFAIPLMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMQDFKTGHLTFTSPRSMIIAQAIGTAMGCVIGPLTFLLFYKAFDIGNPDGYWKAPYALVYRNMAILGVQGFSALPLHCLQLCYGFFGFAVAANLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMVDRSKASLMVPAVASGLICGDGLWIFPESLLALAKINPPLCMAFRSTH >PAN39366 pep chromosome:PHallii_v3.1:7:40738121:40741585:-1 gene:PAHAL_7G237800 transcript:PAN39366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARDGGVNEGAEAAACLDGDAETGARAAGRLPPWREQLTARGMVASLAVGAMYSVIVMKLGLTTGLIPTLNVSAALIAFVILRGWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKIMIIDYKLTYPSGSATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGVMWPLISDLKGDWYPADIPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKSAKKEEDIPVLDDIHRNEVFTRDSIPTWLAFSGYLALSVVAVFAIPLMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMQDFKTGHLTFTSPRSMIIAQAIGTAMGCVIGPLTFLLFYKAFDIGNPDGYWKAPYALVYRNMAILGVQGFSALPLHCLQLCYGFFGFAVAANLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMVDRSKASLMVPAVASGLICGDGLWIFPESLLALAKINPPLCMAFRSTH >PAN39369 pep chromosome:PHallii_v3.1:7:40737371:40742276:-1 gene:PAHAL_7G237800 transcript:PAN39369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQERRRRQQPRPPPHVELAMAHARDGGVNEGAEAAACLDGDAETGARAAGRLPPWREQLTARGMVASLAVGAMYSVIVMKLGLTTGLIPTLNVSAALIAFVILRGWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKIMIIDYKLTYPSGSATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGVMWPLISDLKGDWYPADIPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKSAKKEEDIPVLDDIHRNEVFTRDSIPTWLAFSGYLALSVVAVFAIPLMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGL >PAN39363 pep chromosome:PHallii_v3.1:7:40737403:40742276:-1 gene:PAHAL_7G237800 transcript:PAN39363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQERRRRQQPRPPPHVELAMAHARDGGVNEGAEAAACLDGDAETGARAAGRLPPWREQLTARGMVASLAVGAMYSVIVMKLGLTTGLIPTLNVSAALIAFVILRGWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKIMIIDYKLTYPSGSATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGVMWPLISDLKGDWYPADIPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKSAKKEEDIPVLDDIHRNEVFTRDSIPTWLAFSGYLALSVVAVFAIPLMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGL >PAN39368 pep chromosome:PHallii_v3.1:7:40738121:40741645:-1 gene:PAHAL_7G237800 transcript:PAN39368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQERRRRQQPRPPPHVELAMAHARDGGVNEGAEAAACLDGDAETGARAAGRLPPWREQLTARGMVASLAVGAMYSVIVMKLGLTTGLIPTLNVSAALIAFVILRGWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKIMIIDYKLTYPSGSATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGVMWPLISDLKGDWYPADIPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKSAKKEEDIPVLDDIHRNEVFTRDSIPTWLAFSGYLALSVVAVFAIPLMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMQDFKTGHLTFTSPRSMIIAQAIGTAMGCVIGPLTFLLFYKAFDIGNPDGYWKAPYALVYRNMAILGVQGFSALPLHCLQLCYGFFGFAVAANLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMVDRSKASLMVPAVASGLICGDGLWIFPESLLALAKINPPLCMAFRSTH >PAN39364 pep chromosome:PHallii_v3.1:7:40738121:40739909:-1 gene:PAHAL_7G237800 transcript:PAN39364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDYKLTYPSGSATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGVMWPLISDLKGDWYPADIPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKSAKKEEDIPVLDDIHRNEVFTRDSIPTWLAFSGYLALSVVAVFAIPLMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMQDFKTGHLTFTSPRSMIIAQAIGTAMGCVIGPLTFLLFYKAFDIGNPDGYWKAPYALVYRNMAILGVQGFSALPLHCLQLCYGFFGFAVAANLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMVDRSKASLMVPAVASGLICGDGLWIFPESLLALAKINPPLCMAFRSTH >PAN39367 pep chromosome:PHallii_v3.1:7:40737403:40742276:-1 gene:PAHAL_7G237800 transcript:PAN39367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARDGGVNEGAEAAACLDGDAETGARAAGRLPPWREQLTARGMVASLAVGAMYSVIVMKLGLTTGLIPTLNVSAALIAFVILRGWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKIMIIDYKLTYPSGSATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGVMWPLISDLKGDWYPADIPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKSAKKEEDIPVLDDIHRNEVFTRDSIPTWLAFSGYLALSVVAVFAIPLMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMQDFKTGHLTFTSPRSMIIAQAIGTAMGCVIGPLTFLLFYKAFDIGNPDGYWKAPYALVYRNMAILGVQGFSALPLHCLQLCYGFFGFAVAANLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMVDRSKASLMVPAVASGLICGDGLWIFPESLLALAKINPPLCMAFRSTH >PAN40044 pep chromosome:PHallii_v3.1:7:44044880:44047934:1 gene:PAHAL_7G287700 transcript:PAN40044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEQEVVAPGAVYGHRLSTVVPSSVTGEVDYALADADLAFKLHYLRGAYYYPPGEVARGLTTKVLKDPMFPWLDEYFPVAGRVRRAEEGAPAGAGAQAEAAAEGGARRPYIKCNDCGVRIVEAKCDREMAEWLRDDAPDRLRQLCYDKVLGPELFFSPLLYVQITNFKCGGLALGFSWAHLIGDVFSAATCFNKWAQILSGKKPEATVLTPENKPLQSHSPAGAAAPRSVKQVGPIEDHWLVPAGRDMACYSFHVTEAALKKLQQQGRHAAAAGTFELVSALLWQTVAKIRGNVDTVTVVRTDAAARSGRALANEMKVWYVEASGSSPAKTDVAELAALLAKGVVDETAAVAAFPGDVLVYGGAHLTLVDMEQVDVYGLEIKGLRPVHVEYGMDGVGEEGAVLVQPDADGRGRLVTAVLPRDEIESLRAVLGSALQAAA >PAN39031 pep chromosome:PHallii_v3.1:7:39282045:39283187:1 gene:PAHAL_7G215100 transcript:PAN39031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASTGPDTMEATRAPGLSITVEKNPPEARLLQLGVKSWPKWGCPPGRFPLKFDAALTCYLVKGRVRAAVKGSCECVEFGAGDLVVFPKGLSCTWDVVVGVDKHYNFAPS >PAN37788 pep chromosome:PHallii_v3.1:7:32439708:32441121:1 gene:PAHAL_7G122300 transcript:PAN37788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGISLAVAPRSDPDNGSERQPTAMLGGVMGSLRVIELQLVAFIMVFSASGLVPLIDLAFPVATTLYLLAISRLAFPPLHSKLDAARSPAASQEIFRGSKLFQVYVVLGTTVGLFLPLAHVLGGFARGDDAAVRSATPHLFLLSCQILTENVVGSLGAFSPPVRALVPLLYTVRRVFVVVDWVYDVWTNRELTQASTAQDAAWLWFGRYLALANLLYFSANLFVFLIPRFLPRAFEKYFRMRDEACAKTAEDRRARGGHLAAPAPEQHGDAGKPVEAKKAD >PAN39126 pep chromosome:PHallii_v3.1:7:39767178:39774836:-1 gene:PAHAL_7G222900 transcript:PAN39126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGQGSSVSTREKPKGDRAVDPNEDNCKHRRGAYLLLGLLIVFLHGSWSVYRFQFGNLPLPLDAKQAGKRGFSEASALEHVKYLTSLGPHPVGSDSLDIAIQYVYAVAEKIKTTAHWEVDVQLELFHTDIGANRLSKGLFKGKTLLYSDLKHVLLRVVPKYMPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVCFAIDLEAMGISGKSTLFQGTDHWALESFAAVAKYPSAQIASQDIFHSGAIKSATDFQIYQEVAGLPGLDFAYTDTTSVYHTKNDKMKLLKPGSLQHIGDNMLAFLLHSAASPNFLKNAQQQKQENTEQNKAVFFDILGMYMVVYSQRLATMFHNSIILQSLLIWGTSLLMGGRSGLVSFGISCLGTILMLIFSICLPVVVAFILPHICPFPVPYIANPWLIIGLFGSPALLGAFIGQHIGFILLKRHLRCVYAITKPGLTHNTREYVIDLEAERWIFKSGFVQWLIVLILGTYFKVGSSYIALIWLVSPAFAYGFLEATLSPVRLPKQLKVVTLVLGMAAPVVSSAGLAVRMADVIVGSVVRVDRNPGGLPDWLGNVIVAVAIAIVICFMFVYLLSYVHISGDKRTLGLLLCIFFGLSLALVSSGIVPAFTEDVARSVNVVHVVDATGIDDGNREPLSYISLFSNTPGKLTKELVDLGDEEFFCGRNMTTDFVTFTMKYGCWSYKDSSTGWSKSEVPTLRVESDSVTDGARQTVISVDTKSSTRWSLGINKQEIDDFTVQVDSEKLVLLGDKTQVDGWHTIQFAGGKNSPTKFQLTLYWSNSATETSRREAKEGTDVPLLVKLRTDVNRVTPQVTNVLEKLPRWSTPFGKSTSPYTLAFLTGLRVNI >PAN39125 pep chromosome:PHallii_v3.1:7:39766715:39776178:-1 gene:PAHAL_7G222900 transcript:PAN39125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVCFAIDLEAMGISGKSTLFQGTDHWALESFAAVAKYPSAQIASQDIFHSGAIKSATDFQIYQEVAGLPGLDFAYTDTTSVYHTKNDKMKLLKPGSLQHIGDNMLAFLLHSAASPNFLKNAQQQKQENTEQNKAVFFDILGMYMVVYSQRLATMFHNSIILQSLLIWGTSLLMGGRSGLVSFGISCLGTILMLIFSICLPVVVAFILPHICPFPVPYIANPWLIIGLFGSPALLGAFIGQHIGFILLKRHLRCVYAITKPGLTHNTREYVIDLEAERWIFKSGFVQWLIVLILGTYFKVGSSYIALIWLVSPAFAYGFLEATLSPVRLPKQLKVVTLVLGMAAPVVSSAGLAVRMADVIVGSVVRVDRNPGGLPDWLGNVIVAVAIAIVICFMFVYLLSYVHISGDKRTLGLLLCIFFGLSLALVSSGIVPAFTEDVARSVNVVHVVDATGIDDGNREPLSYISLFSNTPGKLTKELVDLGDEEFFCGRNMTTDFVTFTMKYGCWSYKDSSTGWSKSEVPTLRVESDSVTDGARQTVISVDTKSSTRWSLGINKQEIDDFTVQVDSEKLVLLGDKTQVDGWHTIQFAGGKNSPTKFQLTLYWSNSATETSRREAKEGTDVPLLVKLRTDVNRVTPQVTNVLEKLPRWSTPFGKSTSPYTLAFLTGLRVNI >PVH36006 pep chromosome:PHallii_v3.1:7:46998458:46999240:-1 gene:PAHAL_7G335800 transcript:PVH36006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGRDRCAGACAFLLLLLGLGVAAGAETTEAIAAVDPNQERRILLLRACYSLSFTGVCLDQNSDESKDAFAQVPWSPAPAPSPMNPIDCRSACASRCALSSRPNLCNRACGSCCARCNCVPPGTSGNYHMCPCYAAITTRGGRPKCP >PAN40726 pep chromosome:PHallii_v3.1:7:46998306:46999040:-1 gene:PAHAL_7G335800 transcript:PAN40726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKQLNTVLRIPSLWSCSVCLDQNSDESKDAFAQVPWSPAPAPSPMNPIDCRSACASRCALSSRPNLCNRACGSCCARCNCVPPGTSGNYHMCPCYAAITTRGGRPKCP >PAN38719 pep chromosome:PHallii_v3.1:7:37833959:37837013:1 gene:PAHAL_7G192000 transcript:PAN38719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLPSPPAAWMVLSVLALLAACHQAAAAVPTLSTASRWVVDEGGSRVKLACVNWPSHLEPMLAEGLGKRPVGAIAADVAAMGFNCVRLTWPTFLVTNASYGNLTVAQSFQRLSLTESLAGVKVNNPGVVDLKLIDALKAVVSSLGEHDLMVILDNHLSKPGWCCSNTDGNGFFGDALFDPDVWVDGLTRMAATFAAAPNVVGMSLRNELRGPRQNANDWYKYMQRGAEAVHAANPRVLVILSGLSFDNDLAFLNSRPVNLSFAGKAAFEVHWYSFSNSQEWSSGNANQACARVSAGVTRRALYLLDRGWPVILSEFGVDNRGVNANDNRYYGCAAAVAADLDLDWALWALQGSYYLREGVLGLDEVYGVLDWSWCKPRNATALRRVQALQRPLRGPGLAEAAPYTVLFHPMTGMCVVRRRSLAEQTLELGPCNETEAWAYSAQEQRLALRDSPQMCLRAEGAGRAASLGASCADAMARWRLVSDSKLHVAVNATASSSAAGGGTLCLDVGADGRSVVTNPCRCLSADNSCDPESQWFKLVTSTRSVAAAKQNLLAQLPLRLKNWKIRSF >PAN40738 pep chromosome:PHallii_v3.1:7:47083043:47087692:-1 gene:PAHAL_7G336800 transcript:PAN40738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MVMPTAASAAAAALHQAVSTRRLGVGNGNASAAAGGFPASCRRAAAAGLVRARVAEAAPVAVEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMDLKIAGIEDGYTAEVSTFRQALLVDVRDKLLFEQDYAGSIKEKVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRSDSKPYVHDILLAMALAECSIAKACFEKSKVSLGFEALARAQYLLRRKPSLEKMPLLEQIEESLEELAPACTLELLSLPQTPENSERRRGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKRSLESQSQRVVVDFNCLYVAMLAHLAFGFSTRQTELIKKAKTICECLVASESTDLKFEESFCSYLLGEETGTAVFEKLQQLQSNGSSNSKNYGLDKKKDSSDKVTVNQSLELWLKDVALSRFADTKDCLPSLANFFGAPKRILNTSKQKLGSPRLVLLSSQPSSSVSSCNRTSGEQTPRLSPNSHLGEAVKQLAPANLGLHSSTDKQVNGSGTTSVPLKRNPGSHPLRTLELWGRTGDVMGKLAYSALLGFVVFGTLKLFRFQFGHMKYANPSRESASTLSLDEASAPEGSFITGSVRKHFENLSKLLWLSDRVYPNGEERDKYAMPNDITAVHKQKMDFQEAEALVKQWQDIKSEALGPDYQIEMLPEILDGSMLSKWQDLVLSAKDQSCYWRFVLLNLSVVRAEIILDEVGAGEAAEIDAVLEEAAELVDDSKPKKPSYYSTYEVQYILRRQNDGSWKICEAAVQDLT >PVH34829 pep chromosome:PHallii_v3.1:7:11418891:11436402:-1 gene:PAHAL_7G042600 transcript:PVH34829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLWSNVLVECNARVLVPLLWSRVQVTGQIRLLSKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGAKDVVLASMLEARNLVVLRHGSVISSNADLMVYGQGLLNLSGPGDGIKARRLFLSLFYNIEVGPGSLVQAPHDEAVQSSLDALSRCESKTCPSELITPPDDCHVNRSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTIAKDGTISASELGCKEGIGKGTFLKYGAGGGAGHGGRGGVGIYNGMKSDGGLAYGNADLPCELGSGSGGSAVSADSTAGGGLIVIGSMKWPLSRLLIYGSVNSDGESHRGTTGNSNGTFKGGVGGGSGGSILLFLQGLLLDKNSSLSASGGNGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTVASSGGSGNNHGRFGEDGTVTGKKCPMGLYGTFCTECPVGTYKNVTGSNSSLCTPCSVDSLPNRADFIYVRGGVTQPPCPYKCISDKYKMPNCYTPLEELMYTFGGPWSFAIILSFTIILLALILSGLRIKIGESDITYPATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWCRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVASTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGSIHSTLGPVVSWINSHGNPQLERHGVRVELGWFQSTASGYYQLGIVVAVNENFYKTLHPHEHGPEFGERSRKNIAVLLQDFNQANQDQPCTSYTVSRKRLTGGVNGGIINEGTLKSLDYRRDYLFPFSLLLQNFRPIGYAETLQLLICIVLLGDFSITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFALWNITSVINIIVSIIYGALYFWLSSLALSSLHHVYNGKSFKSREDNEWWILPIILFLIKSLQAGLVNLHVANLEIQDYTLFSPDPDRFWAM >PVH34830 pep chromosome:PHallii_v3.1:7:11418891:11438574:-1 gene:PAHAL_7G042600 transcript:PVH34830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCVAWWAVLFAALGAARRDGILPALALAAEPRHNDRFALAPVEAVGGGGGGDVGGAAAGLRGELQCQMYSANSRTCEELNGSGSFNTTCVISSSSSLDGDLCVYGDGSLEIRPHVKIICPVRGCYLTVNVSGSITIGEHVEVIGGSVSFDAANVTLDHHSTINTTALAGEAPPQTSGTPHSLEAAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSMGGSMSADQQFGGYGGGRVMLRARDFMNIDGHVLAEGGVGSLKGGGGSGGSIIIHAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGRSFGCAQNAGAAGTVYDSSLQTLKVSNGNFTTHTETPLLGFPMTRLWSNVLVECNARVLVPLLWSRVQVTGQIRLLSKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGAKDVVLASMLEARNLVVLRHGSVISSNADLMVYGQGLLNLSGPGDGIKARRLFLSLFYNIEVGPGSLVQAPHDEAVQSSLDALSRCESKTCPSELITPPDDCHVNRSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTIAKDGTISASELGCKEGIGKGTFLKYGAGGGAGHGGRGGVGIYNGMKSDGGLAYGNADLPCELGSGSGGSAVSADSTAGGGLIVIGSMKWPLSRLLIYGSVNSDGESHRGTTGNSNGTFKGGVGGGSGGSILLFLQGLLLDKNSSLSASGGNGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTVASSGGSGNNHGRFGEDGTVTGKKCPMGLYGTFCTECPVGTYKNVTGSNSSLCTPCSVDSLPNRADFIYVRGGVTQPPCPYKCISDKYKMPNCYTPLEELMYTFGGPWSFAIILSFTIILLALILSGLRIKIGESDITYPATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWCRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVASTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGSIHSTLGPVVSWINSHGNPQLERHGVRVELGWFQSTASGYYQLGIVVAVNENFYKTLHPHEHGPEFGERSRKNIAVLLQDFNQANQDQPCTSYTVSRKRLTGGVNGGIINEGTLKSLDYRRDYLFPFSLLLQNFRPIGYAETLQLLICIVLLGDFSITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFALWNITSVINIIVSIIYGALYFWLSSLALSSLHHVYNGKSFKSREDNEWWILPIILFLIKSLQAGLVNLHVANLEIQDYTLFSPDPDRFWAM >PVH34803 pep chromosome:PHallii_v3.1:7:8985407:8985726:1 gene:PAHAL_7G035500 transcript:PVH34803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFDSVGVPIGKCSTINLDKKLLVQAHRYILRYCDELEDFRREFLDEEKSKLCHSTNLTSFFSEKLIDEHFPDWLEQKV >PAN39996 pep chromosome:PHallii_v3.1:7:43791721:43796305:-1 gene:PAHAL_7G284300 transcript:PAN39996 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity K+ transporter (HKT) family protein, Na+ exclusion from leaf blade upon salt stres [Source: Projected from Oryza sativa (Os04g0607600)] MAGAHKLGELLHRLHRCSAAVLDSAMSLVCSLSKAYAQHQITERVARSRRALRCSSGQVWRRAVAARLSSLLVHVVYFTAISCVGWGLLSALKVRAPHRRPRGIDMFFTAVSAATVSSMSAVEMEVFSNGQLLVLTALMLIGGEVFVSLVGLASKWFKLRKQSRNRARVDSHDDVELETPTAATYADDARSIATTVTEAEYSTPVVDAKTLRRNAVRSLFYVVLTILLVVHVVGVVAVAAYMHAAPGARRTLRRKALDVWTFAVFTTVSTFSSCGYMPTNENMIVFKRDVPLQLLLAPQALVGNTLFPPLLAACVWAAAAATGREDLAEVARKGREATGYYHLLPARRCWMLAGTVAGFIAVQAALVCAMEWGGALQGLSAGEKVANALFLAVNSRHTGEATLDLSTLAPAVLVLFVLMMYLPPYTTWFPFEENSTTRDHSTESQGIRFLKSTVLSQLSCLTVFVIVICITERRKLKEDPLNFNVLSIIVEVVSAYGNVGFSMGYSCSRQINPDQLCTDRWTGFAGRWSDSGKLILIIVMFFGRLKKFSMKGGKAWKLS >PAN39998 pep chromosome:PHallii_v3.1:7:43794590:43796267:-1 gene:PAHAL_7G284300 transcript:PAN39998 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity K+ transporter (HKT) family protein, Na+ exclusion from leaf blade upon salt stres [Source: Projected from Oryza sativa (Os04g0607600)] MAGAHKLGELLHRLHRCSAAVLDSAMSLVCSLSKAYAQHQITERVARSRRALRCSSGQVWRRAVAARLSSLLVHVVYFTAISCVGWGLLSALKVRAPHRRPRGIDMFFTAVSAATVSSMSAVEMEVFSNGQLLVLTALMLIGGEVFVSLVGLASKWFKLRKQSRNRARVDSHDDVELETPTAATYADDARSIATTVTEAEYSTPVVDAKTLRRNAVRSLFYVVLTILLVVHVVGVVAVAAYMHAAPGARRTLRRKALDVWTFAVFTTVSTFSSCGYMPTNENMIVFKRDVPLQLLLAPQALVGNTLFPPLLAACVWAAAAATGREDLAEVARKGREATGYYHLLPARRCWMLAGTVAGFIAVQAALVCAMEWGGALQGLSAGEKVANALFLAVNSRHTGEATLDLSTLAPAVLVLFVLMMHIDHAAHTTPNVPIYRPFSVMMAVLRPHVIALS >PAN37607 pep chromosome:PHallii_v3.1:7:29427439:29429388:-1 gene:PAHAL_7G102000 transcript:PAN37607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vicilin-like seed storage protein At3g22640 [Source:Projected from Arabidopsis thaliana (AT3G22640) UniProtKB/Swiss-Prot;Acc:Q9LUJ7] MGAMKRSPLVLVLLLSLCSSLALASYSYGEESSGSGRPYHYGEESFRHWTRSRQGRFRVLERFTHELLEDAVGNYRVAELEAAPRAFLQPSHYDADEVMFVKEGEGVVALLYRGKRESFCVKEGDVLVIPAGAVVYSANTHGSRWFRVVMLLNPVSTMGRFEEFFPIGGESPESFFSVFSDDVLQAAFNTRREEWEQVFEKQSKGEITTASEEQIRELSRSCSRGGRGHGGGESMWDIKPSSLTGKRPRHSNNHGRHYEITGDDCPQLRALDIEVGLANITRGSMMVPSYSTHAYKVAIVVEGSGYFEMACPHLSGGRARGHDSRERGREEEEEGREEQEGGQKSRSYKQVRSRIKEGSVIAIPPGHPTTLVAGEDKNLAVLCFGINARHDEKVFLAGSNSVLRQMDEPAKVLAFGAEREKVDRLIGAQTDAIFVHGPGSRRISSA >PVH34845 pep chromosome:PHallii_v3.1:7:12052926:12055735:1 gene:PAHAL_7G043900 transcript:PVH34845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDSPKLDKNFLFDSASSTAGGQAIGCWRHSTFFTANGCPCPLRRNPSDHYLRIINKDFDEYYRDLVEQEIKNGPSLKSPSAAEAIETLVNSFRSLHNLAANIQAMRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34837 pep chromosome:PHallii_v3.1:7:12052925:12055735:1 gene:PAHAL_7G043900 transcript:PVH34837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDSPKLDKNFLFDSASSTAGGQAIGCWRHSTFFTANGCPCPLRRNPSDHYLRIINKDFDEYYRDLVEQEIKNGPSLKSPSAAEAIETLVNSFRSLHNLAANIQAMRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQARISLIMCITTILTMTSLEGFPSFAEDMKVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34838 pep chromosome:PHallii_v3.1:7:12054385:12055566:1 gene:PAHAL_7G043900 transcript:PVH34838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQARISLIMCITTILTMTSLEGFPSFAEDMKVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34846 pep chromosome:PHallii_v3.1:7:12052953:12055730:1 gene:PAHAL_7G043900 transcript:PVH34846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34844 pep chromosome:PHallii_v3.1:7:12052926:12055735:1 gene:PAHAL_7G043900 transcript:PVH34844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDSPKLDKNFLFDSASSTAGGQAIGCWRHSTFFTANGCPCPLRRNPSDHYLRIINKDFDEEIKNGPSLKSPSAAEAIETLVNSFRSLHNLAANIQAMRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34847 pep chromosome:PHallii_v3.1:7:12052953:12055730:1 gene:PAHAL_7G043900 transcript:PVH34847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34840 pep chromosome:PHallii_v3.1:7:12052925:12055735:1 gene:PAHAL_7G043900 transcript:PVH34840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDSPKLDKNFLFDSASSTAGGQAIGCWRHSTFFTANGCPCPLRRNPSDHYLRIINKDFDEEIKNGPSLKSPSAAEAIETLVNSFRSLHNLAANIQAMRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQARISLIMCITTILTMTSLEGFPSFAEDMKVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34843 pep chromosome:PHallii_v3.1:7:12052953:12055730:1 gene:PAHAL_7G043900 transcript:PVH34843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34839 pep chromosome:PHallii_v3.1:7:12054385:12055566:1 gene:PAHAL_7G043900 transcript:PVH34839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQARISLIMCITTILTMTSLEGFPSFAEDMKVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34850 pep chromosome:PHallii_v3.1:7:12052925:12055735:1 gene:PAHAL_7G043900 transcript:PVH34850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQARISLIMCITTILTMTSLEGFPSFAEDMKVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34849 pep chromosome:PHallii_v3.1:7:12052925:12055735:1 gene:PAHAL_7G043900 transcript:PVH34849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQARISLIMCITTILTMTSLEGFPSFAEDMKVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34842 pep chromosome:PHallii_v3.1:7:12053001:12055735:1 gene:PAHAL_7G043900 transcript:PVH34842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQARISLIMCITTILTMTSLEGFPSFAEDMKVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34848 pep chromosome:PHallii_v3.1:7:12052953:12055730:1 gene:PAHAL_7G043900 transcript:PVH34848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PVH34841 pep chromosome:PHallii_v3.1:7:12053001:12055735:1 gene:PAHAL_7G043900 transcript:PVH34841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTENDVLPLIKERQAGFFTKLLVLIKRSSVNMHRDIGYYWLRFAIFTFVCLSIGSIFYNIGDTSMGSIQARISLIMCITTILTMTSLEGFPSFAEDMKVFRKERLNGHYGATAFVISNTLSSAPFLGLMCIIPGAIIYYMTGLQRGMDHFIYFVAVLWASIMLVEGLMMVVAVMVPDILLGIAIGSGIQALLLLSCGFFRFPDDLPKPVWKYPMYFISYHKYGMQGLYKNEFVGLTFGDQMNRNGLLMGGDHVLKKLQVEMGYSKWVDLAILCAMVIIYRATFLAMIKLTEMRGPIIKCRWMKV >PAN39742 pep chromosome:PHallii_v3.1:7:42605370:42605693:1 gene:PAHAL_7G265500 transcript:PAN39742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKCGVKSAAAGAFFAILMAVAMAIGSADATSSLTSWAGPGCSGQTATAGSCGCSDLQFYGGQEFSYQGQMATLYTETGCAGTPYLVFEDTEACGDFGWRSINIDC >PVH34697 pep chromosome:PHallii_v3.1:7:2043413:2043847:1 gene:PAHAL_7G010700 transcript:PVH34697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACFQVRKPLHPLPTVLLLMMIMCSLLSCSHAKQPWFFKSSGSDQDDCPTDTSDSTACGSFAASLSSSYRNRNANSPLFKFSDDDSRGYFVIPLQTIQEPSLFISPRIPPPTDSSLEPSGPTWTTITYSAIVLAMCKYILFAM >PAN39077 pep chromosome:PHallii_v3.1:7:39540204:39540851:-1 gene:PAHAL_7G219500 transcript:PAN39077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARLLSGRSDDELPSHREEEPTSTAIAVAAFISLAVLACGALLLVSLLAWGIFMMLTTRLPVFSVTVDRFAGLEDRVPRAFNLTLGIDNLGGEYDVCVGGEAVVLYGGVPLAVGRVEELCVPRNGAADLAVVAASGGVGALAELMAGEKRADGAVHAEVRVISAKHSWFLSSTTALEKGAARPYPCKKSSLVDESDGVRPDSSSGTPGAPL >PAN39461 pep chromosome:PHallii_v3.1:7:41176741:41178707:-1 gene:PAHAL_7G244600 transcript:PAN39461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLSQAVPKTFAPPIPRARHRLAPKPPSAAAFLRGLFPARPPPAKAELLRLIADQGRGLQTQSDPARLADIVSCIDALAAVSPGVDTVSDAAKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVNGDIEVQPPQRVNFRFTRAVLRGSNWEVPFPPFGKGWFDTVYLDDDIRVAKDIRGDYLVVDRAPYSWDG >PAN40810 pep chromosome:PHallii_v3.1:7:47476778:47479899:-1 gene:PAHAL_7G342900 transcript:PAN40810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxalate--CoA ligase [Source:Projected from Arabidopsis thaliana (AT3G48990) UniProtKB/Swiss-Prot;Acc:Q9SMT7] MAADAPTLTALLKKAAAAFPARRAVSVPGKIDLTHAALDALVDAAAARLAADAGVRQGHVVALSFPNTIELVIMFLAVIRARAVAAPLNPAYTQDEFEFYLSDSESRLLITNAEGNPAAQAAAAKLGLVHAAATLKDAAGPVHLAGLGCAAANGNGSHSSHQEDTNNDPSDVALFLHTSGTTSRPKGVPLTQANLAASVRNIRSVYRLAETDATVVVLPLFHVHGLLCGLLSSLASGASVALPAAGRFSASSFWADMRASGATWYTAVPTIHQIILDRHASKPEASYPALRFVRSCSASLAPVILEKLEAAFGAPVLEAYAMTEASHLMTSNPLPQDGARKPGSVGRPVGQELAILDEEGSRVAAGKPGEVCIRGGNVTAGYKGNPEANEAAFRFGWFHTGDIGVVDDEGYVHLVGRIKELINRGGEKISPIEVDAVLLDHPGVAQAVSFGVPDDKYGEEINCAVIPREGSALGEGDVVAHCRKNLASFKVPKKVFITGELPKTATGKIQRRIVAQHFVQPAKAA >PVH36042 pep chromosome:PHallii_v3.1:7:47478142:47479826:-1 gene:PAHAL_7G342900 transcript:PVH36042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxalate--CoA ligase [Source:Projected from Arabidopsis thaliana (AT3G48990) UniProtKB/Swiss-Prot;Acc:Q9SMT7] MAADAPTLTALLKKAAAAFPARRAVSVPGKIDLTHAALDALVDAAAARLAADAGVRQGHVVALSFPNTIELVIMFLAVIRARAVAAPLNPAYTQDEFEFYLSDSESRLLITNAEGNPAAQAAAAKLGLVHAAATLKDAAGPVHLAGLGCAAANGNGSHSSHQEDTNNDPSDVALFLHTSGTTSRPKGVPLTQANLAASVRNIRSVYRLAETDATVVVLPLFHVHGLLCGLLSSLASGASVALPAAGRFSASSFWADMRASGATWYTAVPTIHQIILDRHASKPEASYPALRFVRSCSASLAPVILEKLEAAFGAPVLEAYAMTEASHLMTSNPLPQDGARKPGSVGRPVGQELAILDEEGSRVAAGKPGEVCIRGGNVTAGYKGNPEANEAAFRFGWFHTGDIGVVDDEGYVHLVGRIKELINRGGEKISPIEVDAVLLDHPGVAQAVSFGVPDDKYGEEINCAVIPREGSALGEGDVVAHCRKNLASFKVPKKVFITGELPKTATGKIQRRIVAQHFVQPAKAA >PVH36041 pep chromosome:PHallii_v3.1:7:47478142:47479826:-1 gene:PAHAL_7G342900 transcript:PVH36041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxalate--CoA ligase [Source:Projected from Arabidopsis thaliana (AT3G48990) UniProtKB/Swiss-Prot;Acc:Q9SMT7] MAADAPTLTALLKKAAAAFPARRAVSVPGKIDLTHAALDALVDAAAARLAADAGVRQGHVVALSFPNTIELVIMFLAVIRARAVAAPLNPAYTQDEFEFYLSDSESRLLITNAEGNPAAQAAAAKLGLVHAAATLKDAAGPVHLAGLGCAAANGNGSHSSHQEDTNNDPSDVALFLHTSGTTSRPKGVPLTQANLAASVRNIRSVYRLAETDATVVVLPLFHVHGLLCGLLSSLASGASVALPAAGRFSASSFWADMRASGATWYTAVPTIHQIILDRHASKPEASYPALRFVRSCSASLAPVILEKLEAAFGAPVLEAYAMTEASHLMTSNPLPQDGARKPGSVGRPVGQELAILDEEGSRVAAGKPGEVCIRGGNVTAGYKGNPEANEAAFRFGWFHTGDIGVVDDEGYVHLVGRIKELINRGGEKISPIEVDAVLLDHPGVAQAVSFGVPDDKYGEEINCAVIPREGSALGEGDVVAHCRKNLASFKVPKKVFITGELPKTATGKIQRRIVAQHFVQPAKAA >PAN39428 pep chromosome:PHallii_v3.1:7:41029971:41033977:1 gene:PAHAL_7G242000 transcript:PAN39428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMVAGAGPDQQAAWPWGWWLAIALLPPLLALAICHGNDAFHRAAFALKRWLHGRPRARLPPGHMGLPFVGENPALKRYFRRARRPDGYVHDKKRRYGDATGGLYRTHLFGSPAVLVCSPAVNKFVLQSPDSFGVCWPAPDLVGASSILNVDGARHARLRACVVEAVNRPSSLRSIACAIQPRVAAALRTWARKSTVTAAVETKKVTFENICKMFVSMEPSPLTEEMDAWFAGLLGGLRAFPLDLPGTAFRRALRCRRKLSAVFRDELRRRKDAADGGDDLMSALMRTEDEGGRLLSDEEVVDNIVSLVLAGYQSTAAALMWAVYLLAKTPHALAKLRVVEETLRVANVAAMVHRVALKDVEYGGYTIPQGWRVVVWLRSLHTDPNYYDDPLRFNPDRWEKPAKPGTYQVFGGGYRMCAGNMLARLQLIIMLHHLSVGYKWELLNPGAEIVYIPHSYPSDGALIAISEL >PAN39427 pep chromosome:PHallii_v3.1:7:41029971:41033977:1 gene:PAHAL_7G242000 transcript:PAN39427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMVAGAGPDQQAAWPWGWWLAIALLPPLLALAICHGNDAFHRAAFALKRWLHGRPRARLPPGHMGLPFVGENPALKRYFRRARRPDGYVHDKKRRYGDATGGLYRTHLFGSPAVLVCSPAVNKFVLQSPDSFGVCWPAPDLVGASSILNVDGARHARLRACVVEAVNRPSSLRSIACAIQPRVAAALRTWARKSTVTAAVETKKVTFENICKMFVSMEPSPLTEEMDAWFAGLLGGLRAFPLDLPGTAFRRALRCRRKLSAVFRDELRRRKDAADGGDDLMSALMRTEDEGGRLLSDEEVVDNIVSLVLAGYQSTAAALMWAVYLLAKTPHALAKLREENDAIIRDKNGEFITPDDIPKMKYTAKVVEETLRVANVAAMVHRVALKDVEYGGYTIPQGWRVVVWLRSLHTDPNYYDDPLRFNPDRWEKPAKPGTYQVFGGGYRMCAGNMLARLQLIIMLHHLSVGYKWELLNPGAEIVYIPHSYPSDGALIAISEL >PAN40088 pep chromosome:PHallii_v3.1:7:44220966:44224418:1 gene:PAHAL_7G291000 transcript:PAN40088 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MEILNSVSFSSIGVGRTRINKAVKVQVGSQTQRIGWKLTRTCCEYSPDTYRRQAKYEQQPQNVDLPELQPKNKKKPFPVPIKKMLQDSRRDKRLAQMRIEKPLEAPKNGLLVPELVPVAYDVLHNWKVLIRGLSQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGSGSQRRNSHHSWARGSINDVLIPIESYHLFDPFGRRVKHETRFDYDRIPAIVELCIQAGVDLPQYPSRRRTSPVRMIGKKVIGRGKFVDEPKPHRSEDCVSLLAELDTFSNQQGQSPSPSNVKDLAERTLKAYLTVRRGVEQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVIDEVIPPNYVWHIPDPSGPPPRSSLRSFYGKAPAVVELCVQAGAAIPDEYRPMMRTDIVVPDCKEARWAA >PAN38459 pep chromosome:PHallii_v3.1:7:36732485:36733168:1 gene:PAHAL_7G173600 transcript:PAN38459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLITQMVSASSTAAAAIPSPQTVQEMQQARYFTFVMLVRMAQENIPRNTTFLIPSDRLMSTASISQSQVLEFLSRHSIAAPLKFNDLIRLPNGTVVPTMTSSRHQKLYFNGIELTSPDICQSGESFRCHGINGVIRPTAARGVKAATCTRYAAPTSAAPEIPLAENQSLRTSSLRSPNIGSATIPAHEPAAESSQCSDTSMSLTRTTLVTALMFSIF >PAN39005 pep chromosome:PHallii_v3.1:7:39133850:39134369:1 gene:PAHAL_7G213400 transcript:PAN39005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATKVAIQVMVFALVFFIMLATHPAWGEQECCAEKDAVIHNCMRTITIVGGYVDPSSRCRATVQESDMACVCRAISVNEQLRISVVKLVRLARQCGKLVPVGSKCGTWTVQPPLSPPSQSAHP >PVH34939 pep chromosome:PHallii_v3.1:7:20941971:20942900:-1 gene:PAHAL_7G070200 transcript:PVH34939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYIEEGVFRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVASYPIGLFPTIDPGNSDWDFRTNHLGHLLGDIAEETVRIVTRFMDVQYRYQMLLHHGVSQITGVAQGHYRNADHQVTQIEELQALVTQKDEIIAARDETILHRVDQIIESDHLITQRDAVIEFLQEHIHDLMLEADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PAN40306 pep chromosome:PHallii_v3.1:7:45054780:45058152:-1 gene:PAHAL_7G304700 transcript:PAN40306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFCVFGFLKRHIIKQIGMRRIRKPLQIAGEARSISAADDNIHEDETVKGSILSSPLVEFSTVYSATNNFSDKLGEGGFGPVYKGILPDGQEIAVKRLSKSSGQGLEEFKNEVMVLSKLQHRNLVRLFGCCIHGEEKMMLYEYMPNKSLDSFIFNESRRLVLGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQDLTHRIVGTYGYISPEYAMEGKYSEKSDVFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTTCTYDEVCRCIQVGLLCVQELPAERPTMSLVLRMLSGDVTIPSPKQAAFFAGRVPHLSADDNTGSGNQLTYTDLQGR >PVH35917 pep chromosome:PHallii_v3.1:7:45054761:45057530:-1 gene:PAHAL_7G304700 transcript:PVH35917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFCVFGFLKRHIIKQIGMRRIRKPLQIAGEARSISAADDNIHEDETVKGSILSSPLVEFSTVYSATNNFSDKLGEGGFGPVYKGILPDGQEIAVKRLSKSSGQGLEEFKNEVMVLSKLQHRNLVRLFGCCIHGEEKMMLYEYMPNKSLDSFIFNESRRLVLGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQDLTHRIVGTYGYISPEYAMEGKYSEKSDVFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTTCTYDEVCRCIQVGLLCVQELPAERPTMSLVLRMLSGDVTIPSPKQAAFFAGRVPHLSADDNTGSGNQLTYTDLQGR >PAN40305 pep chromosome:PHallii_v3.1:7:45054760:45058832:-1 gene:PAHAL_7G304700 transcript:PAN40305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIRKPLQIAGEARSISAADDNIHEDETVKGSILSSPLVEFSTVYSATNNFSDKLGEGGFGPVYKGILPDGQEIAVKRLSKSSGQGLEEFKNEVMVLSKLQHRNLVRLFGCCIHGEEKMMLYEYMPNKSLDSFIFNESRRLVLGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQDLTHRIVGTYGYISPEYAMEGKYSEKSDVFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTTCTYDEVCRCIQVGLLCVQELPAERPTMSLVLRMLSGDVTIPSPKQAAFFAGRVPHLSADDNTGSGNQLTYTDLQGR >PVH34978 pep chromosome:PHallii_v3.1:7:23757372:23757913:1 gene:PAHAL_7G079900 transcript:PVH34978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKTEEWIELIKYWCDPKNQVHGLHHCFC >PAN40271 pep chromosome:PHallii_v3.1:7:44990544:44993998:1 gene:PAHAL_7G303700 transcript:PAN40271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLLGKDKSSLMAAPTIKFTNLPLLILPILLLFHRASGAGGIASDTLNNGGNITDGETLVSAGGSFTLGFFSPAGVLTKRYLGIWFTASGVDAVCWVANRDTPLNNTSGVLVIGTGGSLLLVDGPRGQTAWSSNTTGASASTVAQLLDSGNLVVSEKSSGRRVLWQSFDHPSNTLLAGMKFGKSLKTGAEWSLTSWRAQDDPATGDYRRVMDTKGLPDIVTWHGNVKKYRAGPWNGRWFSGLPDMASDFKLFSVQMVNGPDEVTYALNSIAVSPFSRVMLDEVGVVKVLVWITASQVWRTLPWLPRDVCDEYASCGAFGLCNVDTASTPLCTCVEGFSPMNRSQWSRRESSSGCQRDVKLECGGNGAAATDRFTVVRNVKLPDTDNATVDMSATLEQCRARCLANCSCLAYAPADIRGDGDGSGCVMWKDDIIDLRYVENGQDLYVRLAKVESATRKGKNVAKIVLPVMASVLVVTAAGMYLIWICKLRGKRRQSKDILKKAILGYSNAPNELGDENIELPFVSFGDIAAATNNFSADNMLGQGGFGKVYKGTLGQNIEVAIKRLGQGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEFLPNKSLDFFIFDAANKYLLDWPTRFKIIKGISRGLLYLHQDSRLTIIHRDLKPSNILLDADMNPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVIVLEIISGLKITLTRYKGFPNLLAYAWSLWIDGKSMDLVDSSLAKSCSRTEALRCIQIGLLCVQDNPNYRPLMSSVVTMLENESTPLSVPKQPVYFSYTGTQGTTGENTSSSVNNMTLSTVLEGR >PVH36003 pep chromosome:PHallii_v3.1:7:46948836:46949499:-1 gene:PAHAL_7G334900 transcript:PVH36003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVAVAGVTTTNRSCCLASAHRRTLHRHRHPAPPRASGAVEVRVCTNRTCARQGGREVLAALAGLAPPRVDVDSCGCLGRCGAGPNVAASVGGSIAVFGHVGTAARGAQLLEHLLGAAEFNAAAELAALAAREKAEAALEKGSAAEAEVLLNEVFGLNACGGMHLVYRSRLRSVVLSSACELVFPC >PAN40710 pep chromosome:PHallii_v3.1:7:46948645:46949499:-1 gene:PAHAL_7G334900 transcript:PAN40710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVAVAGVTTTNRSCCLASAHRRTLHRHRHPAPPRASGAVEVRVCTNRTCARQGGREVLAALAGLAPPRVDVDSCGCLGRCGAGPNVAASVGGSIAVFGHVGTAARGAQLLEHLLGAAEFNAAAELAALAAREKAEAALEKGSAAEAEVLLNEVFGLNACGGMHLVYRSRSKTRLAIGDISGALEDAEEAIRISPRFIQVYSPSQIIIRFGTLDT >PAN40711 pep chromosome:PHallii_v3.1:7:46947564:46949667:-1 gene:PAHAL_7G334900 transcript:PAN40711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVAVAGVTTTNRSCCLASAHRRTLHRHRHPAPPRASGAVEVRVCTNRTCARQGGREVLAALAGLAPPRVDVDSCGCLGRCGAGPNVAASVGGSIAVFGHVGTAARGAQLLEHLLGAAEFNAAAELAALAAREKAEAALEKGSAAEAEVLLNEVFGLNACGGMHLVYRSRSKTRLAIGDISGALEDAEEAIRISPRFIQAHLLRGDALLAMGEYCAAEDAYADALDLDPSIRRSKSFKARVERLREKLVSATNP >PAN39200 pep chromosome:PHallii_v3.1:7:40111586:40112802:1 gene:PAHAL_7G227600 transcript:PAN39200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDAATFQVYRPMPAPTPAPAPMSLAAAAPASDVAAPALKKASPGAGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTSSPASAAHPLLGKRPREDHEPAPAPAPFWATLQARPVAWGLSPAQEAAAQAYASVAAQQGHHLNLLSVLSGAARPSEEESR >PVH35790 pep chromosome:PHallii_v3.1:7:43530104:43533814:1 gene:PAHAL_7G281100 transcript:PVH35790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDPGLFETTGIAPLIFLTSEGNYGVHRSLHPSFISPLPPTTIAQCLGRSVALTAFAYAAGQFHRRIGGGPTHHGGHPSCRRPIGSLVQPLDGRSATNARWPPPKLPPQPRHQDGLPQRAQLRRRPAHRHPSFEYIKWDAIQNRSNTSNSAVVIFTASLCFHVAR >PVH34820 pep chromosome:PHallii_v3.1:7:10709194:10710207:-1 gene:PAHAL_7G040800 transcript:PVH34820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34816 pep chromosome:PHallii_v3.1:7:10709194:10710207:-1 gene:PAHAL_7G040800 transcript:PVH34816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34821 pep chromosome:PHallii_v3.1:7:10709194:10710207:-1 gene:PAHAL_7G040800 transcript:PVH34821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34823 pep chromosome:PHallii_v3.1:7:10708377:10711872:-1 gene:PAHAL_7G040800 transcript:PVH34823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34822 pep chromosome:PHallii_v3.1:7:10709194:10710207:-1 gene:PAHAL_7G040800 transcript:PVH34822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34824 pep chromosome:PHallii_v3.1:7:10708444:10711872:-1 gene:PAHAL_7G040800 transcript:PVH34824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34819 pep chromosome:PHallii_v3.1:7:10709194:10710207:-1 gene:PAHAL_7G040800 transcript:PVH34819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34818 pep chromosome:PHallii_v3.1:7:10708444:10711872:-1 gene:PAHAL_7G040800 transcript:PVH34818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34817 pep chromosome:PHallii_v3.1:7:10708444:10711872:-1 gene:PAHAL_7G040800 transcript:PVH34817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWCEVGVRQALGCSFDYIEPVSYKQKNTEILQCWVWMWSPNLLPRSKLTTFFPEGAGCSSPGVTVTSPGGDMVELLIHLDRHFDWSPQPHPRTPSSPAMAYLPPARRTRRGGLFCSLGTFCGTLEFWMAACLRARPPDLPLLVEVCRRQHAATTTPTTMAGVTLAATGLSGSTLVGSRLMIFRSGGSWALVIGTAPARLFRRAVNMAGEGHTRWTPAVGAGRAPLHRQPGDAISPAETTEDGSAAARDPRPTLIPMASLHVPRHHGPRWTSGCAA >PVH34791 pep chromosome:PHallii_v3.1:7:8102993:8103928:1 gene:PAHAL_7G033000 transcript:PVH34791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPMYDGVEYLEEGVFRCRVKMIIPQHPFRSLWSPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPTIDPSNSDWDVRTDHYGHLLGDVAEETVRIVTRFMDVQYRYQKLLRHGVSQITGVAQGHYRNADHRVTQIEELQALVTQKDEIIAARDETILHREDQIMESDHLITQRDAVIEFLQEHIHDLMLEADDAQAHIEELQQQPILPAIPLVPEEEEEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PVH36093 pep chromosome:PHallii_v3.1:7:47899761:47905849:1 gene:PAHAL_7G350100 transcript:PVH36093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLAVEISDAADLAPKDGAASCNPYVEVDFDDQRQRTATKPADRNPYWNQTLVFDVRDPARFHSLAIDVSVLHDRRLQDHNALRPHTFLGRVRINAASVASQSPQEAVLQRYPLEKRGLFSRVSGDIALRIYLLNPNNDAAAAAAAASMDGAPNHHHHQQQQQQEPVIDPERIVRSAAFAPPHEQQQQPAPDHQAQHGRKSHDLDDPEPRPRIFRSVPAAAGGGDDQHHQQQPRRATLHAVAAPPPPPGQTVVMPRPAAPAAAAPPPGAAYGLVETKPPLPAKMGPRAAAAAAAKIASTYDMVEPMSYLYVSVIKARDLPTMDVTGALDPFVEVKLGNFKGVTKHLEKNPNPVWRQTFAFSSEHLQSNQLEVVVKDKDMIKDDFVGRVLFDMTDIPARLPPDSPLAPQWYRLADRSGEKLRHGEIMLAVWKGTQADEAFPEAWHSDAHSLPLEGLASTRSKVYYSPKLAYLKVVAIAAQDVVPAEKGRALAPTIAKIQLGGQVRRTRPGQPQGSANPVWNEEFMFVAGEPFDEPLVVTVEERVAAGRDEAVGRVIVPVAAPYVPRNDLAKSVDSKWFNLSRALTADEAAAGKAGKDRHFSSKIHLRLSLETAYHVLDESTHYASDLQPSAKKLRKGSIGILELGILSARNLVPSKAKEGRLADPYCVAKYGAKWVRTRTALNTLAPRWNEQYTWEVFDPCTVLTVAVFDNNGLLPGGEGNKAADQRVGKVRVRLSTLEIDRVYTHFYPLMALSPSGLKKTGELHLAVRFTCTAWANMLGMYGRPLLPKMHYTHPISVLQLDYLRFQAMQMVAARLGRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRVTSLFSGAVAVAKWMDGICKWKNPLTTVLVHVLFLILVCYPELILPTVFLYLFMIGVWNYRRRPRKPPHMDTVLSHAELAHPDELDEEFDSFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQSLLSWRDPRATAIFIMLSLLAAVVLYVTPFQVVAVVAGLYLLRHPRFRSKQPSVPFNFYKRLPAKTDMLL >PVH36094 pep chromosome:PHallii_v3.1:7:47899761:47905849:1 gene:PAHAL_7G350100 transcript:PVH36094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLAVEISDAADLAPKDGAASCNPYVEVDFDDQRQRTATKPADRNPYWNQTLVFDVRDPARFHSLAIDVSVLHDRRLQDHNALRPHTFLGRVRINAASVASQSPQEAVLQRYPLEKRGLFSRVSGDIALRIYLLNPNNDAAAAAAAASMDGAPNHHHHQQQQQQEPVIDPERIVRSAAFAPPHEQQQQPAPDHQAQHGRKSHDLDDPEPRPRIFRSVPAAAGGGDDQHHQQQPRRATLHAVAAPPPPPGQTVVMPRPAAPAAAAPPPGAAYGLVETKPPLPAKMGPRAAAAAAAKIASTYDMVEPMSYLYVSVIKARDLPTMDVTGALDPFVEVKLGNFKGVTKHLEKNPNPVWRQTFAFSSEHLQSNQLEVVVKDKDMIKDDFVGRVLFDMTDIPARLPPDSPLAPQWYRLADRSGEKLRHGEIMLAVWKGTQADEAFPEAWHSDAHSLPLEGLASTRSKVYYSPKLAYLKVVAIAAQDVVPAEKGRALAPTIAKIQLGGQVRRTRPGQPQGSANPVWNEEFMFVAGEPFDEPLVVTVEERVAAGRDEAVGRVIVPVAAPYVPRNDLAKSVDSKWFNLSRALTADEAAAGKAGKDRHFSSKIHLRLSLETAYHVLDESTHYASDLQPSAKKLRKGSIGILELGILSARNLVPSKAKEGRLADPYCVAKYGAKWVRTRTALNTLAPRWNEQYTWEVFDPCTVLTVAVFDNNGLLPGGEGNKAADQRVGKVRVRLSTLEIDRVYTHFYPLMALSPSGLKKTGELHLAVRFTCTAWANMLGMYGRPLLPKMHYTHPISVLQLDYLRFQAMQMVAARLGRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRVTSLFSGAVAVAKWMDGICKWKNPLTTVLVHVLFLILVCYPELILPTVFLYLFMIGVWNYRRRPRKPPHMDTVLSHAELAHPDELDEEFDSFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQSLLSWRDPRATAIFIMLSLLAAVVLYVTPFQVVAVVAGLYLLRHPRFRSKQPSVPFNFYKRLPAKTDMLL >PVH36092 pep chromosome:PHallii_v3.1:7:47899761:47904152:1 gene:PAHAL_7G350100 transcript:PVH36092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLAVEISDAADLAPKDGAASCNPYVEVDFDDQRQRTATKPADRNPYWNQTLVFDVRDPARFHSLAIDVSVLHDRRLQDHNALRPHTFLGRVRINAASVASQSPQEAVLQRYPLEKRGLFSRVSGDIALRIYLLNPNNDAAAAAAAASMDGAPNHHHHQQQQQQEPVIDPERIVRSAAFAPPHEQQQQPAPDHQAQHGRKSHDLDDPEPRPRIFRSVPAAAGGGDDQHHQQQPRRATLHAVAAPPPPPGQTVVMPRPAAPAAAAPPPGAAYGLVETKPPLPAKMGPRAAAAAAAKIASTYDMVEPMSYLYVSVIKARDLPTMDVTGALDPFVEVKLGNFKGVTKHLEKNPNPVWRQTFAFSSEHLQSNQLEVVVKDKDMIKDDFVGRVLFDMTDIPARLPPDSPLAPQWYRLADRSGEKLRHGEIMLAVWKGTQADEAFPEAWHSDAHSLPLEGLASTRSKVYYSPKLAYLKVVAIAAQDVVPAEKGRALAPTIAKIQLGGQVRRTRPGQPQGSANPVWNEEFMFVAGEPFDEPLVVTVEERVAAGRDEAVGRVIVPVAAPYVPRNDLAKSVDSKWFNLSRALTADEAAAGKAGKDRHFSSKIHLRLSLETAYHVLDESTHYASDLQPSAKKLRKGSIGILELGILSARNLVPSKAKEGRLADPYCVAKYGAKWVRTRTALNTLAPRWNEQYTWEVFDPCTVLTVAVFDNNGLLPGGEGNKAADQRVGKVRVRLSTLEIDRVYTHFYPLMALSPSGLKKTGELHLAVRFTCTAWANMLGMYGRPLLPKMHYTHPISVLQLDYLRFQAMQMVAARLGRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRVTSLFSGAVAVAKWMDGICKWKNPLTTVLVHVLFLILVCYPELILPTVFLYLFMIGVWNYRRRPRKPPHMDTVLSHAELAHPDELDEEFDSFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQSLLSWRDPRATAIFIMLSLLAAVVLYVTPFQVVAVVAGLYLLRHPRFRSKQPSVPFNFYKRLPAKTDMLL >PAN39144 pep chromosome:PHallii_v3.1:7:37120493:37123977:1 gene:PAHAL_7G180400 transcript:PAN39144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIEAVKRAYAEVMLNAAREAAARILAAERRAAALAGGMDAVRDDAVAALLRFKAISDAKIKEAKLQSLAHIKRIEELEMKLHSAQSTILSLEGELERAKNELNQTKIQAEENRIRHSDAEKTDDSEMSSHHSKICMRERSKSPVSSEIYCIPRNLKEDENVGKIQDLHNCNHYLALSGATHKESICFCSRGAKNTSSLEQHVQSLETPLGRSGRNAGQVKNLSISGKKVIAKKFCCLEAEVLQTGNLRYCKRRRSKRSGSRYRHAANKVQRKTENEAPNTSDGNGCMLLIQALEHDLSPSKIFDRQGGNHVGQHVTEAMMYLTDPMQSSFDSDNRSMQTVEPMKNSTEDEECRTQENTISDKKEDKNQHICNLDLDKFGVAPIVSSSTNEGNATISSITSNRTACLYTFNRRKRKSKHIEKSTDDSVTDKILHRMGPVEEHKSKTQVILTDSPQSNRRLLEVASQLISLSEK >PAN38557 pep chromosome:PHallii_v3.1:7:37120493:37123977:1 gene:PAHAL_7G180400 transcript:PAN38557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIEAVKRAYAEVMLNAAREAAARILAAERRAAALAGGMDAVRDDAVAALLRFKAISDAKIKEAKLQSLAHIKRIEELEMKLHSAQSTILSLEGELERAKNELNQTKIQAEENRIRHSDAEKTDDSEMSSHHSKICMRERSKSPVSSEIYCIPRNLKEDENVGKIQDLHNCNHYLALSGATHKESICFCSRGAKNTSSLEQHVQSLETPLGRSGRNAGQVKNLSISGKKVIAKKFCCLEAEVLQTGNLRYCKRRRSKRSGSRYRHAANKVQRKTENEAPNTSDGNGCMLLIQALEHDLSPSKIFDRQGGNHVGQHVTEAMMYLTDPMQSSFDSDNRSMQTVEPMKNSTEDEVNMTEDLSECRTQENTISDKKEDKNQHICNLDLDKFGVAPIVSSSTNEGNATISSITSNRTACLYTFNRRKRKSKHIEKSTDDSVTDKILHRMGPVEEHKSKTQVILTDSPQSNRRLLEVASQLISLSEK >PVH35459 pep chromosome:PHallii_v3.1:7:37781063:37781422:-1 gene:PAHAL_7G191000 transcript:PVH35459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQAWLFSSLCPLSAASLTSGYRSFAQFPAQQWPWAPGRPRREALPLRRDPRGDPWLLRGRLRRLRRYPIRLRRAPAGPAHVILQRRVVDDASVPASADAANGAPPTPLSSKLIFFKQ >PAN37321 pep chromosome:PHallii_v3.1:7:23906378:23906710:1 gene:PAHAL_7G080400 transcript:PAN37321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPTTPSSIPITSSKMEQSLSQAAPAHVFDGPITRSRAKQLQQEVHALLCEIPFINENYILPKSCMLLLLRVTKEDDKDTPRLNQRGELRRTSSAWQNCHEETVISLDS >PAN38620 pep chromosome:PHallii_v3.1:7:37361008:37363714:-1 gene:PAHAL_7G185200 transcript:PAN38620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVSTALIARGLVRSYRARARAAVSQPAFQQFMNYSSGHGGDPNANGDSTATRLATDRDTHQDFKPMSKSSDTSLHDIVAQDIRENPVLIYMKGFPESPMCGFSALAVKVFQQYDVPICGRDILGDLRLKEAVKAHTNWPTFPQIFIKGEFVGGSDIILSMHQKGELKDLLGDITQRDGQKADAN >PVH35372 pep chromosome:PHallii_v3.1:7:36146543:36152393:1 gene:PAHAL_7G164100 transcript:PVH35372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSISPMEQLEIWHSSTAVIGPNMLLVACPLTISLSGVYMLTWSRKKEDVVGLKAPKKEKRVKKENRTQPPVEAPYVAPKPKIATKSPDKTVEIFDGMTLLDLSKRTGAYISTLQGILADLGEKVESEFDSISIDLAELVAMELGVNTRRMHTAEGTNEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPVVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVAKSGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKSGTLVSGQHIVVGAEWGRIRSLRDTAGNITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEGMADELEIKEETPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRTPPIAITQAAARANIKVLLHKVIYHLLEEMGRAIVEKAPGTAETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGDVVFEGPCASLKREKQDAETIEKGNDCGLVIEDCDDFQVGDVIQCLEQVIRKPKFISTQSGSVRIEC >PAN38322 pep chromosome:PHallii_v3.1:7:36146543:36152393:1 gene:PAHAL_7G164100 transcript:PAN38322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRMLRRKEFQTSLLNLAFRADHGGKKHFTNGAIGNLAQFYRSDRPKHAASCMPSNHFTIRNFHAGVYMLTWSRKKEDVVGLKAPKKEKRVKKENRTQPPVEAPYVAPKPKIATKSPDKTVEIFDGMTLLDLSKRTGAYISTLQGILADLGEKVESEFDSISIDLAELVAMELGVNTRRMHTAEGTNEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPVVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVAKSGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKSGTLVSGQHIVVGAEWGRIRSLRDTAGNITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEGMADELEIKEETPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRTPPIAITQAAARANIKVLLHKVIYHLLEEMGRAIVEKAPGTAETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGDVVFEGPCASLKREKQDAETIEKGNDCGLVIEDCDDFQVGDVIQCLEQVIRKPKFISTQSGSVRIEC >PAN40577 pep chromosome:PHallii_v3.1:7:46437575:46439112:-1 gene:PAHAL_7G325700 transcript:PAN40577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLAFHEQKLLRRTKFLHCKCDKGHREARVTQRYHIVERDDYKKYNGICLMVQKQVNTIKQMDPRDPCRIEMTDMLLNKLSLVKCEKLSASSFCRRRLATVMTRINMAEHLKEAVTYIEQGHVRVGPEVVTDPAFLETRNMEDFITWVDSSKIKKKVMEYNDALDDYDAMA >PVH35042 pep chromosome:PHallii_v3.1:7:27177138:27186867:-1 gene:PAHAL_7G093500 transcript:PVH35042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREATKLDAEQQQLLLRRCRRLFTAEERSFRMDRRSQSAFALRAAVADVLPRFLGSYTDETLAEYIVILICNGKHQYQARDDLEAFLGDDSAKFVAWLWSYLSKQAVTSADNCNFEHGMDNEIENLNDKRNLFVAKAHHGHAHDISVPETYHGLHKLDSTTGRNVPPRCISSTVLISPEKSGCDQCIWENQHHKNGQNAASSRSFSERTTQILMQEELHGEHLGRNASTRWLPEAVGIDDGRAPVSLKRRRNVWDRLGKPVVEDCGLVRQTHDISVQNGAHKMPKLMVAEHEQRYHVISNAQNEAGSRKFTTGYTDVNTVQGHQHVGKPNRSRLIGRLSFGEGNVFHGDMGRKNLKDRDVISQKSSLTLPIKSIQSQSLNEFTCDMKDSPAAASEPTCNIFKPSKGHVLASKKLPPLTMRRNSETELSHGEQVSSPAQSKTPSSVHEDGNSCRDKPVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGALSLGPYANSEEDADSRTIFVRNVHFAATKEALSMHFMKCGTVIKVNILTDAITGHPKGAACITFADRESIEKAVSLSGTSFLTRVLTVMRKANAPPGFLASVQQAGRPLQPWKSPPLKTVSTPKKASGYHLQWKRDQSVLEISPASCATN >PVH35046 pep chromosome:PHallii_v3.1:7:27181666:27186777:-1 gene:PAHAL_7G093500 transcript:PVH35046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREATKLDAEQQQLLLRRCRRLFTAEERSFRMDRRSQSAFALRAAVADVLPRFLGSYTDETLAEYIVILICNGKHQYQARDDLEAFLGDDSAKFVAWLWSYLSKQAVTSADNCNFEHGMDNEIENLNDKRNLFVAKAHHGHAHDVNSKISVPETYHGLHKLDSTTGRNVPPRCISSTVLISPEKSGCDQCIWENQHHKQNGQNAASSRSFSERTTQILMQEELHGEHLGRNASTRWLPEAVGIDDGRAPVSLKRRRNVWDRLGKPVVEDCGLVRQTHDISVQNGAHKMPKLMVAEHEQRYHVISNAQNEAGSRKFTTGYTDVNTVQGHQHVGKPNRSRLIGRLSFGEGNVFHGDMGRKNLKDRDVISQKSSLTLPIKSIQSQSLNEFTCDMKDSPAAASEPTCNIFKPSKGHVLASKKLPPLTMRRNSETELSHGEQVSSPAQSKTPSSVHEDGNSCRDKPVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGALSLGSLK >PVH35044 pep chromosome:PHallii_v3.1:7:27181549:27186867:-1 gene:PAHAL_7G093500 transcript:PVH35044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREATKLDAEQQQLLLRRCRRLFTAEERSFRMDRRSQSAFALRAAVADVLPRFLGSYTDETLAEYIVILICNGKHQYQARDDLEAFLGDDSAKFVAWLWSYLSKQAVTSADNCNFEHGMDNEIENLNDKRNLFVAKAHHGHAHDISVPETYHGLHKLDSTTGRNVPPRCISSTVLISPEKSGCDQCIWENQHHKNGQNAASSRSFSERTTQILMQEELHGEHLGRNASTRWLPEAVGIDDGRAPVSLKRRRNVWDRLGKPVVEDCGLVRQTHDISVQNGAHKMPKLMVAEHEQRYHVISNAQNEAGSRKFTTGYTDVNTVQGHQHVGKPNRSRLIGRLSFGEGNVFHGDMGRKNLKDRDVISQKSSLTLPIKSIQSQSLNEFTCDMKDSPAAASEPTCNIFKPSKGHVLASKKLPPLTMRRNSETELSHGEQVSSPAQSKTPSSVHEDGNSCRDKPVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGALSLGSLK >PAN37448 pep chromosome:PHallii_v3.1:7:27177139:27186867:-1 gene:PAHAL_7G093500 transcript:PAN37448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREATKLDAEQQQLLLRRCRRLFTAEERSFRMDRRSQSAFALRAAVADVLPRFLGSYTDETLAEYIVILICNGKHQYQARDDLEAFLGDDSAKFVAWLWSYLSKQAVTSADNCNFEHGMDNEIENLNDKRNLFVAKAHHGHAHDVNSKISVPETYHGLHKLDSTTGRNVPPRCISSTVLISPEKSGCDQCIWENQHHKNGQNAASSRSFSERTTQILMQEELHGEHLGRNASTRWLPEAVGIDDGRAPVSLKRRRNVWDRLGKPVVEDCGLVRQTHDISVQNGAHKMPKLMVAEHEQRYHVISNAQNEAGSRKFTTGYTDVNTVQGHQHVGKPNRSRLIGRLSFGEGNVFHGDMGRKNLKDRDVISQKSSLTLPIKSIQSQSLNEFTCDMKDSPAAASEPTCNIFKPSKGHVLASKKLPPLTMRRNSETELSHGEQVSSPAQSKTPSSVHEDGNSCRDKPVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGALSLGPYANSEEDADSRTIFVRNVHFAATKEALSMHFMKCGTVIKVNILTDAITGHPKGAACITFADRESIEKAVSLSGTSFLTRVLTVMRKANAPPGFLASVQQAGRPLQPWKSPPLKTVSTPKKASGYHLQWKRDQSVLEISPASCATN >PVH35043 pep chromosome:PHallii_v3.1:7:27177391:27186777:-1 gene:PAHAL_7G093500 transcript:PVH35043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREATKLDAEQQQLLLRRCRRLFTAEERSFRMDRRSQSAFALRAAVADVLPRFLGSYTDETLAEYIVILICNGKHQYQARDDLEAFLGDDSAKFVAWLWSYLSKQAVTSADNCNFEHGMDNEIENLNDKRNLFVAKAHHGHAHDVNSKISVPETYHGLHKLDSTTGRNVPPRCISSTVLISPEKSGCDQCIWENQHHKQNGQNAASSRSFSERTTQILMQEELHGEHLGRNASTRWLPEAVGIDDGRAPVSLKRRRNVWDRLGKPVVEDCGLVRQTHDISVQNGAHKMPKLMVAEHEQRYHVISNAQNEAGSRKFTTGYTDVNTVQGHQHVGKPNRSRLIGRLSFGEGNVFHGDMGRKNLKDRDVISQKSSLTLPIKSIQSQSLNEFTCDMKDSPAAASEPTCNIFKPSKGHVLASKKLPPLTMRRNSETELSHGEQVSSPAQSKTPSSVHEDGNSCRDKPVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGALSLGPYANSEEDADSRTIFVRNVHFAATKEALSMHFMKCGTVIKVNILTDAITGHPKGAACITFADRESIEKAVSLSGTSFLTRVLTVMRKANAPPGFLASVQQAGRPLQPWKSPPLKTVSTPKKASGYHLQWKRDQSVLEISPASCATN >PVH35045 pep chromosome:PHallii_v3.1:7:27181666:27186777:-1 gene:PAHAL_7G093500 transcript:PVH35045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREATKLDAEQQQLLLRRCRRLFTAEERSFRMDRRSQSAFALRAAVADVLPRFLGSYTDETLAEYIVILICNGKHQYQARDDLEAFLGDDSAKFVAWLWSYLSKQAVTSADNCNFEHGMDNEIENLNDKRNLFVAKAHHGHAHDVNSKISVPETYHGLHKLDSTTGRNVPPRCISSTVLISPEKSGCDQCIWENQHHKNGQNAASSRSFSERTTQILMQEELHGEHLGRNASTRWLPEAVGIDDGRAPVSLKRRRNVWDRLGKPVVEDCGLVRQTHDISVQNGAHKMPKLMVAEHEQRYHVISNAQNEAGSRKFTTGYTDVNTVQGHQHVGKPNRSRLIGRLSFGEGNVFHGDMGRKNLKDRDVISQKSSLTLPIKSIQSQSLNEFTCDMKDSPAAASEPTCNIFKPSKGHVLASKKLPPLTMRRNSETELSHGEQVSSPAQSKTPSSVHEDGNSCRDKPVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGALSLGSLK >PAN39585 pep chromosome:PHallii_v3.1:7:41665645:41666452:-1 gene:PAHAL_7G253700 transcript:PAN39585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLLHQALTLVRCNNLEVSRISSKDSPQMHVAILQSSGITLRKLNITAPGSSPNTDGIHIEQSQNVRVADSRIGTGDDCISVSSGSSFVAVDGIECGPGHGVSIGSLGKNGDTAAVEFVDVRNVRFTDSMNGARIKTWEGGQGYAKSISFTNIEFDNVDHPVLIDQFYRDRSVQVHELEPLPWEKVH >PVH35715 pep chromosome:PHallii_v3.1:7:41665201:41666452:-1 gene:PAHAL_7G253700 transcript:PVH35715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLLHQALTLVRCNNLEVSRISSKDSPQMHVAILQSSGITLRKLNITAPGSSPNTDGIHIEQSQNVRVADSRIGTGDDCISVSSGSSFVAVDGIECGPGHGVSIGSLGKNGDTAAVEFVDVRNVRFTDSMNGARIKTWEGGQGYAKSISFTNIEFDNVDHPVLIDQFYRDRSVQVGVAISNVTYSNLRGTSSRATTVAFDCSGGGGCTDIHVNSMVITGPGGRRTVARCRNAQVAISGQVYPEIPCRS >PAN40285 pep chromosome:PHallii_v3.1:7:45005967:45009716:-1 gene:PAHAL_7G304000 transcript:PAN40285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKKDAIMQGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYAWTLWEDGNAMELVDSSVAENCPAHEVLRCIHVGLLCVQDNPNARPLMSSVVFMLENETTLLPAPKEPVYFAQRNNETEETRRNKEGSLNALIITTLEGR >PAN40292 pep chromosome:PHallii_v3.1:7:45005724:45009782:-1 gene:PAHAL_7G304000 transcript:PAN40292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYAWTLWEDGNAMELVDSSVAENCPAHEVLRCIHVGLLCVQDNPNARPLMSSVVFMLENETTLLPAPKEPVYFAQRNNETEETRRNKEGSLNALIITTLEGR >PVH35903 pep chromosome:PHallii_v3.1:7:45005775:45009782:-1 gene:PAHAL_7G304000 transcript:PVH35903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFVVTCLLNM >PVH35906 pep chromosome:PHallii_v3.1:7:45005775:45009782:-1 gene:PAHAL_7G304000 transcript:PVH35906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFVVTCLLNM >PAN40294 pep chromosome:PHallii_v3.1:7:45005967:45009038:-1 gene:PAHAL_7G304000 transcript:PAN40294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKAGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYAWTLWEDGNAMELVDSSVAENCPAHEVLRCIHVGLLCVQDNPNARPLMSSVVFMLENETTLLPAPKEPVYFAQRNNETEETRRNKEGSLNALIITTLEGR >PAN40287 pep chromosome:PHallii_v3.1:7:45006211:45009038:-1 gene:PAHAL_7G304000 transcript:PAN40287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKAGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYIYIFPGMDIMGRWKCNGIGGLISC >PAN40280 pep chromosome:PHallii_v3.1:7:45006796:45009716:-1 gene:PAHAL_7G304000 transcript:PAN40280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFENMCSIGQHGSK >PAN40281 pep chromosome:PHallii_v3.1:7:45006796:45009716:-1 gene:PAHAL_7G304000 transcript:PAN40281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKAGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFENMCSIGQHGSK >PVH35905 pep chromosome:PHallii_v3.1:7:45006211:45009716:-1 gene:PAHAL_7G304000 transcript:PVH35905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKAGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYIYIFPGMDIMGRWKCNGIGGLISC >PVH35904 pep chromosome:PHallii_v3.1:7:45005775:45009782:-1 gene:PAHAL_7G304000 transcript:PVH35904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKAGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFVVTCLLNM >PAN40279 pep chromosome:PHallii_v3.1:7:45005775:45009782:-1 gene:PAHAL_7G304000 transcript:PAN40279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKKDAIMQGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYAWTLWEDGNAMELVDSSVAENCPAHEVLRCIHVGLLCVQDNPNARPLMSSVVFMLENETTLLPAPKEPVYFAQRNNETEETRRNKEGSLNALIITTLEGR >PAN40286 pep chromosome:PHallii_v3.1:7:45005775:45009786:-1 gene:PAHAL_7G304000 transcript:PAN40286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYAWTLWEDGNAMELVDSSVAENCPAHEVLRCIHVGLLCVQDNPNARPLMSSVVFMLENETTLLPAPKEPVYFAQRNNETEETRRNKEGSLNALIITTLEGR >PAN40293 pep chromosome:PHallii_v3.1:7:45005967:45009038:-1 gene:PAHAL_7G304000 transcript:PAN40293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKAGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYAWTLWEDGNAMELVDSSVAENCPAHEVLRCIHVGLLCVQDNPNARPLMSSVVFMLENETTLLPAPKEPVYFAQRNNETEETRRNKEGSLNALIITTLEGR >PAN40277 pep chromosome:PHallii_v3.1:7:45005967:45009716:-1 gene:PAHAL_7G304000 transcript:PAN40277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKAGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYAWTLWEDGNAMELVDSSVAENCPAHEVLRCIHVGLLCVQDNPNARPLMSSVVFMLENETTLLPAPKEPVYFAQRNNETEETRRNKEGSLNALIITTLEGR >PVH35907 pep chromosome:PHallii_v3.1:7:45006211:45009716:-1 gene:PAHAL_7G304000 transcript:PVH35907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYIYIFPGMDIMGRWKCNGIGGLISC >PAN40284 pep chromosome:PHallii_v3.1:7:45005775:45009782:-1 gene:PAHAL_7G304000 transcript:PAN40284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYIYIFPGMDIMGRWKCNGIGGLISC >PAN40278 pep chromosome:PHallii_v3.1:7:45005723:45009782:-1 gene:PAHAL_7G304000 transcript:PAN40278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYCSLKSNLGKVKVFSNTLVHYTAATSNFHPICPFSKRVMTWTMYCTIYLDAIFFARDSSEMNGEGTMGTICLTVFILLLLICFCQSDDQLTSARPLSPGDLLISKNGVFALGFFSPVGSNESLYVGIWFHGIPKRNRTIVWVANRDNPTTTASTPTLAISNSSDLVLSDSKGQTLWRTQNIVAAHDSGAFLVLRDTGNLVLQLPNTSVIWQSFDHPTDTILPGMEFLLIHRGRAVARLISWRSPDDPSTGDFSFGLDPVSNLQLIIWNGAKIYCRISVWNGVLGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGGYGLYDSCGPNGYCDFTEAAPACHCLEGFEAVGLNSSRGCRRTEPLQCSKGSHFVALPGMRVPDKFVLLRNRTFEQCAAECSWNCSCTAYAYANLSSGMADQSRCLVWTGELVDTWKSSNYGEVLYLRLANPPVKTKTNLVKIVLPITACLLLPICIALVCIYKFKGKWRKEEIQKKLMLGYLGTSNELGDKNVEFPFVSFDDIVAATDNFSDCHMLGRGGFGKVYKGMLKGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHGEEKLLIYEYLPNKSLDAFLFDNSRKHVLDWPTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKIISTQFITDFPNLIAYAWTLWEDGNAMELVDSSVAENCPAHEVLRCIHVGLLCVQDNPNARPLMSSVVFMLENETTLLPAPKEPVYFAQRNNETEETRRNKEGSLNALIITTLEGR >PAN40767 pep chromosome:PHallii_v3.1:7:47194873:47198867:-1 gene:PAHAL_7G338600 transcript:PAN40767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPMLDNRSELEKPFTDPGMWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIVPFKFDTPSPDDMVTTGLKSSRNFRKVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PAN40764 pep chromosome:PHallii_v3.1:7:47194873:47198867:-1 gene:PAHAL_7G338600 transcript:PAN40764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPMLDNRSELEKPFTDPGMWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIVPFKFDTPSPDDMVTTGLKSSRNFRKVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PAN40765 pep chromosome:PHallii_v3.1:7:47194581:47199851:-1 gene:PAHAL_7G338600 transcript:PAN40765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIVPFKFDTPSPDDMVTTGLKSSRNFRKVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PAN40771 pep chromosome:PHallii_v3.1:7:47194632:47199851:-1 gene:PAHAL_7G338600 transcript:PAN40771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGILFLLFPRALHGWYEPRLEDTCGRSGNHRDSSATGLGIRDDNRSELEKPFTDPGMWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PAN40766 pep chromosome:PHallii_v3.1:7:47194873:47198867:-1 gene:PAHAL_7G338600 transcript:PAN40766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPMLDNRSELEKPFTDPGMWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIGTAMLSSSLFPFKFDTPSPDDMVTTGLKSSRNFRKVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PAN40769 pep chromosome:PHallii_v3.1:7:47194873:47198994:-1 gene:PAHAL_7G338600 transcript:PAN40769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGILFLLFPRALHGWYEPRLEDTCGRSGNHRDSSATGLGIRDDNRSELEKPFTDPGMWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIVPFKFDTPSPDDMVTTGLKSSRNFRKVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PAN40770 pep chromosome:PHallii_v3.1:7:47194632:47199851:-1 gene:PAHAL_7G338600 transcript:PAN40770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPMLDNRSELEKPFTDPGMWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PVH36014 pep chromosome:PHallii_v3.1:7:47194580:47199851:-1 gene:PAHAL_7G338600 transcript:PVH36014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIGTAMLSSSLFPFKFDTPSPDDMVTTGLKSSRNFRKVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PAN40772 pep chromosome:PHallii_v3.1:7:47194632:47199851:-1 gene:PAHAL_7G338600 transcript:PAN40772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPMLDNRSELEKPFTDPGMWQCSICTHENDTSNLSCELCGVLRDLSLYFNNISEAEAGAKRRNKYSGVSVLARSLFASSSTKSKAIIFSDGSQDNRNATGNKQATMDALHKTYMPSKKRHINIVVQIKDSVDATGKKMMDSGILQTEKDTSMDPCTSAEVDKLGGTSSSVPSSNQQVTPILDHELQHLSLESKPKSSKPKIKKPASISEYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASVGSFEAGMGVSGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEHSKDRFNFVKLQLGIFLRSCGFKDSSITWVPLSAMANENLVTAASDSRLLSWYNGNCLLESIDSLPPPHRDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSSCNLARAGDNIAVGLQGVDPGHLVSGGVICHPDFPVHVASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAVVDVKLDKEVCVEEFSALKALGRAFLRSGGNTVAVGIVTRILDEAKV >PAN38504 pep chromosome:PHallii_v3.1:7:36924721:36928291:-1 gene:PAHAL_7G177100 transcript:PAN38504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAEEKGKRARTDGAEEDGGEPIDRALQLSIEKLQGIQDEIEKFLSHPVLGDLLTEDDQQICKHLESIFVDESEDIKSGCSITLTFSSNPYFEDKKLTKTYSMSDDGTVTVEATSIKWKAGMVCTKKGEKRLLVDQSFFTWFNAAENGSFAHGEMDQVADIIKEDLWPNPLKYFNNELESEFEQEGDEEGSDEEESEDEDEDGGDEEET >PAN38506 pep chromosome:PHallii_v3.1:7:36925156:36928148:-1 gene:PAHAL_7G177100 transcript:PAN38506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAEEKGKRARTDGAEEDGGEPIDRALQLSIEKLQGIQDEIEKFLSHPVLGDLLTEDDQQICKHLESIFVDESEDIKSGCSITLTFSSNPYFEDKKLTKTYSMSDDGTVTVEATSIKWKAGMDIVNGKVCTKKGEKRLLVDQSFFTWFNAAENGSFAHGEMDQVADIIKEDLWPNPLKYFNNELESEFEQEGDEEGSDEEESEDEDEDGGDEEET >PAN38505 pep chromosome:PHallii_v3.1:7:36925156:36928148:-1 gene:PAHAL_7G177100 transcript:PAN38505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAEEKGKRARTDGAEEDGGEPIDRALQLSIEKLQGIQDEIEKVNEEASDKVLEVEQKYNKIRRPVYVRRNEIIQKISDFWLTAFLSHPVLGDLLTEDDQQICKHLESIFVDESEDIKSGCSITLTFSSNPYFEDKKLTKTYSMSDDGTVTVEATSIKWKAGMDIVNGKVCTKKGEKRLLVDQSFFTWFNAAENGSFAHGEMDQVADIIKEDLWPNPLKYFNNELESEFEQEGDEEGSDEEESEDEDEDGGDEEET >PAN38507 pep chromosome:PHallii_v3.1:7:36924721:36928291:-1 gene:PAHAL_7G177100 transcript:PAN38507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAEEKGKRARTDGAEEDGGEPIDRALQLSIEKLQGIQDEIEKVNEEASDKVLEVEQKYNKIRRPVYVRRNEIIQKISDFWLTAFLSHPVLGDLLTEDDQQICKHLESIFVDESEDIKSGCSITLTFSSNPYFEDKKLTKTYSMSDDGTVTVEATSIKWKAGMVCTKKGEKRLLVDQSFFTWFNAAENGSFAHGEMDQVADIIKEDLWPNPLKYFNNELESEFEQEGDEEGSDEEESEDEDEDGGDEEET >PAN37187 pep chromosome:PHallii_v3.1:7:22223442:22224589:1 gene:PAHAL_7G073800 transcript:PAN37187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRSSAFLFAAAVLACACALGAMAARDLADHQAMVAKHEQWMAKYGRVYTDAAEKARRLEVFKANVALIESVNAGNHKFWLEANQFADLTDDEFRATRTGYKPASGKGRRTTTGFRYANVSLDDIPDSVDWRAKGAVTPIKDQGECGCCWAFSTVASMEGIVKLSTGKLISLSEQELVDCDVNGMDQGCNGGEMDDAFQFIIDNGGLTTESNYPYTASDGTCDSSKASNDAASIKGYEDVPANDEASLRKAVANQPVSVAVDGGDSHFRFYKGGVLSGTCGTELDHGIAAVGYGVASDGTKYWIMKNSWGTSWGEGGYIRMERDIADEGGLCGLAMQPSYPTA >PAN38583 pep chromosome:PHallii_v3.1:7:37238176:37238965:1 gene:PAHAL_7G182700 transcript:PAN38583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSFFCIFSFSRKPRRYHVDDDDEASDWEGPPARLRKVRSSDEDDGWWVGERDVDQKASDFIATFHQRRLVV >PAN39980 pep chromosome:PHallii_v3.1:7:43576519:43578010:1 gene:PAHAL_7G281500 transcript:PAN39980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLLPLRLPSPIAATLPSAASLLPALTRLSLRGTPPRANMSSAAAAPDSAAANPAATGEEGGREAVDVLVQYVVLRRDLADAWPLGSVVAQGCHAAVATVWAHRDHPDTAAYCAPGNLDSMHKVTLEVKGETQLRNLAEKLEAAGVRHKLWIEQPENIPTCIATAPCPKSQVSSFFKKLKLCK >PVH35867 pep chromosome:PHallii_v3.1:7:44540940:44542975:-1 gene:PAHAL_7G296300 transcript:PVH35867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLRFNLLRNNIWSSYPVRFLKQLAGFSYEKLLQPGNYEKRHFTTKLTETASWHKTDSASCIPAISPLWLRQTSEHDQPATILVFDIETTGFLHADHRIIEFALRDLSGGMNCTFETLINPERNVPRYAAEANNITTELVCRPDVPRFSDLLPILLAYVQSRQAPGKPVLWVAHNAKQFDVPFVIQEFERCSAQVPADWLFVDSLCLARKLKKSDDFSFLLQEI >PAN40162 pep chromosome:PHallii_v3.1:7:44540553:44543208:-1 gene:PAHAL_7G296300 transcript:PAN40162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLRFNLLRNNIWSSYPVRFLKQLAGFSYEKLLQPGNYEKRHFTTKLTETASWHKTDSASCIPAISPLWLRQTSEHDQPATILVFDIETTGFLHADHRIIEFALRDLSGGMNCTFETLINPERNVPRYAAEANNITTELVCRPDVPRFSDLLPILLAYVQSRQAPGKPVLWVAHNAKQFDVPFVIQEFERCSAQVPADWLFVDSLCLARKLKKSDGNIGLLNLKALGEHYGVSSEGPSHRAMPDVQALCDILPKITLGLKLTCDGLMSEARKFYDFRKVSRM >PVH35866 pep chromosome:PHallii_v3.1:7:44540424:44543208:-1 gene:PAHAL_7G296300 transcript:PVH35866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLRFNLLRNNIWSSYPVRFLKQLAGFSYEKLLQPGNYEKRHFTTKLTETASWHKTDSASCIPAISPLWLRQTSEHDQPATILVFDIETTGFLHADHRIIEFALRDLSGGMNCTFETLINPERNVPRYAAEANNITTELVCRPDVPRKYRSTKLEGTGRTLWCQFRRSFS >PAN40163 pep chromosome:PHallii_v3.1:7:44540425:44544102:-1 gene:PAHAL_7G296300 transcript:PAN40163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLRFNLLRNNIWSSYPVRFLKQLAGFSYEKLLQPGNYEKRHFTTKLTETASWHKTDSASCIPAISPLWLRQTSEHDQPATILVFDIETTGFLHADHRIIEFALRDLSGGMNCTFETLINPERNVPRYAAEANNITTELVCRPDVPRFSDLLPILLAYVQSRQAPGKPVLWVAHNAKQFDVPFVIQEFERCSAQVPADWLFVDSLCLARKLKKSDGNIGLLNLKALGEHYGVSSEGPSHRAMPDVQALCDILPKITLGLKLTCDGLMSEARKFYDFRKVSRM >PVH35865 pep chromosome:PHallii_v3.1:7:44540424:44544103:-1 gene:PAHAL_7G296300 transcript:PVH35865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLRFNLLRNNIWSSYPVRFLKQLAGFSYEKLLQPGNYEKRHFTTKLTETASWHKTDSASCIPAISPLWLRQTSEHDQPATILVFDIETTGFLHADHRIIEFALRDLSGGMNCTFETLINPERNVPRYAAEANNITTELVCRPDVPRKYRSTKLEGTGRTLWCQFRRSFS >PAN39199 pep chromosome:PHallii_v3.1:7:40100819:40109668:1 gene:PAHAL_7G227500 transcript:PAN39199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPVAAQEASDDDAARVVVRVAGSHVDSASNPLPPPGSATPAAEGEQEHTKPEAPAEAAAPAPVEAKQEAATAAAAAAGVQAMAVTVVRDVEAGRVASTSDQGGDKPSWFTPKRLLVMFCIINMLNYVDRGAIASNGVNGSRTKCSGGTCSPGSGIQGDFDLNNAEDGVLSSAFMVGLLVASLIFASLAKRYNPFRLIGVGLLVWTIATAGCGASFDFWSITICRMLVGVGEASFISLAAPFIDDNAPVAQKTAWLAMFYMCIPTGIALGYVYGGLAGKTLGWRAAFFGESILMVPFVILGFVIKPLELKGFSHNGIKEYGQMLTPEVQDQINNNGTKHVMPGGIDDLAGKVPQKFSFSRFGRSLMTEIGLFSKDMKELLQEKVFVTVVLGYISYNFVIGAYSYWGPKAGQQIYNMTSADYMFGGITIVCGIVGTLAGGITLDKIGSTIPNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPCFSVGEILVFATQAPVNFVCLHSVMPHLRPLAMAVSTVSIHVFGDVPSSPLVGLLQDKINNWRSTALILTSILFIAAVFWFIGIFVPSVDRFKEESEHGVPAAERSNLRPLLDDNDEARTSD >PAN39341 pep chromosome:PHallii_v3.1:7:40640626:40645081:-1 gene:PAHAL_7G236300 transcript:PAN39341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALWKQASRLKEQVARQGVFKQFGYGNSDNSFADESEVKLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLSDDSQKYGVENTCTSGDTLSKAATYFGKARSLIEKERGSMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQVVEVSRRQNRVRESPGNGDMISKLEAAEYKLEELKSSMVGLGKEAIAAMSAVEAQQQRLTLQRLIALVEAERAYHQRVLEILDQLEEEMVSERQKIEAPPTPAAENYMPPPPPSYDEVNGVFASTSVNETIQSVDFFLGEALDSFKAESEFELTLSAGDIVIVRKISSNGWAEGECKGKAGWFPHAYIERRERVLASKVPHIF >PAN39141 pep chromosome:PHallii_v3.1:7:39819466:39823013:1 gene:PAHAL_7G223800 transcript:PAN39141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSLRIRDSFKHGYLQKMELNLGHMKRAQRQGEGQPVGGQEGQASDGKAPLLQDRSTSGSVLAGAVELAWDAVLLFTCCCCCFCCGACSDEEDYPTAR >PAN39142 pep chromosome:PHallii_v3.1:7:39819466:39823013:1 gene:PAHAL_7G223800 transcript:PAN39142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSLRIRDSFKHGYLQKMELNLGHMKRAQRQGEGQPVGGQEGQASDGKAPLLQDRSTSGSVLAGAVELAWDAVLLFTCCCCCFCCGACSDEEDYPTAR >PAN40310 pep chromosome:PHallii_v3.1:7:45083153:45084064:-1 gene:PAHAL_7G305100 transcript:PAN40310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGKAKASSWAAAMSVGTVEALKDQVGLCRWNHAFRSVQQRARGAAAGGSGSGSGSGGNARALPSGAAAAAAARRKARQQEEELRTVMYITNWGPNN >PAN39664 pep chromosome:PHallii_v3.1:7:42167943:42172040:1 gene:PAHAL_7G258800 transcript:PAN39664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGASSMVGPEGYGRGWEQTSLGDMPESCVAAVLLYLDPLEICQVACLNRAFRGAASADCIWVAKLPANHRYLAALAAAADDDCGCDGAAEGDGRCCSSAAIKKEIYARLCRPTPFDGGTKEFWIEKDKGGFCMSISSRAMSITGRDDRRYWSHLSTEGSRFHSVAYLQQIWWLEVCGEIDFCFPAGSYSLFFRLHLGRPHKCTGRRGHGSESIHGWDIKPTRFQLSTSDDQHTESECYPSKPGRWILYHVGDFVVSSSDEVTELKFSMMQIDCTHTKGGLCVDSVFISPKDHRYEEECVPCQKIL >PAN37589 pep chromosome:PHallii_v3.1:7:29740851:29748682:1 gene:PAHAL_7G103800 transcript:PAN37589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWRARRLLPLLTFVTLGMILGSLLQLALLHRLDDPSHLMHADNDPEAAVLRLGYVKPEVISWTPRIIVFHNFLSSEECDYLMAIARPRLQISTVVDVATGKGVKSDVRTSSGMFVNSEERKSPVIQAIEKRISVFSQIPKENGELIQVLRYEASQYYRPHHDYFSDTYNLKRGGQRVATMLMYLTDGVEGGETHFPQAGEGQCSCGGNIVRGLCVKPNKGDAVLFWSMGLDGNTDPNSIHSGCPVLKGEKWSATKWMRQKMTF >PAN37776 pep chromosome:PHallii_v3.1:7:32328771:32334261:1 gene:PAHAL_7G121000 transcript:PAN37776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAETLARSPSSDPPRAASSEPPRDASSEPHGSGAGDSSSRRRRRSRWEHSNDDSAANNSGGEGGAGGRKRKTRWAEEEPRPAIALPDFMKDFAAEMDPEVHALNARLLEISRLLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPSDYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEAETQDALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNKMTTFKSDVQCKICGDGGHPTIDCPVKGMAGKKMDDEYQNFLAELGGGSAPESMTKSGGPMLALTGSGGSSGASAGSGSNPPWSAGGGAAATGANGIKKDYDETNLYIGYLPPTMDDAGLISLFSQFGDIVMAKVIKDRNTGHSKGYGFVKYSDVSQANAAIAAMNGHHLEGRVIAVRVAGKPPQSAPPVSAPPSYPPTDPASGGYSSQSYMGAPPPPPPPGSYAPVPWGNPPPYASYPPPPPGSSVYNPAPPAPGHTAPPPYGVQYPPPPPPPAAPIPPPGTAPSSDAAQNYPPGITPPSSGAPTHPAPTPVYASSGTPNAPPMYPPPSYSYSPYYPTYQPPPPPPPPAASVDPSQSIATAPWATHNAAPPPPPPLSSTTNQPASYGADAEYDKFMSEMK >PAN39806 pep chromosome:PHallii_v3.1:7:42815338:42816135:1 gene:PAHAL_7G269700 transcript:PAN39806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEIECLTRSIRPYPPALRAAAATTSLAVGRRAILSPRATPRALPARPCAAHLRTACLVFPLSFAVLAHSLFAHPILLRIQSTSSGAHSAEWLRPFAYQFLYFIVLFTFSLLSTASTFLQVAQRCVEEGEHFWLIL >PAN40716 pep chromosome:PHallii_v3.1:7:46951646:46958316:-1 gene:PAHAL_7G335100 transcript:PAN40716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 homolog [Source:Projected from Arabidopsis thaliana (AT1G08190) UniProtKB/Swiss-Prot;Acc:P93043] MSTARRAHPPLQNGAGGDDGDDERDEEDGDEELEEEEEPRLKYQRLGGSVPAILSTDAAASIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVAISSLFTDEILKFEYHRPMKAIALDPNYSRNYRRFATGGLAGQVLVLTKKTWGGYHKKVLRDGEGPIHSMKWRADLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPHLVWQDDTVLVIGWGTSVKIAAIRIDLSQGLNGIQRTIATVGSEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPNEDEKEKKFSTSVTSRQGTAQRPEIHLVSWKNDEVTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHIAWLLQHDCHEKALAAVEAGQGPTELLDEVGSRYLDHLIIERKYAEAAQRCPKLLRGSPSAWERWVFHFAHLRQLPVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKNWPSTLYSASPVISAIEPQLNSSSMTDTLKEALAELYVINSQHEKALSLYAELLKPEVFEFIEKYNLHDAIRDKVVNLMILDSKRTVHLLIQHRDIIPPYEVVEQLLHTNKNCDKRYFLHLYLHALFEIDIHAGKDFHDMQVELYADYEPRMLLPFLRTSQHYRLDKAYEIFAQRELVREQVFVLGRMGNAKEALSTIINKLENIEEAVEFVMDQHDDELWEELITQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVHGNRVDDGSSRASERSSSVRALDVKSRTRCGARCCLCFDPLPIQDISVIVFYCCHAYHLSCLEGGLDLMRSNSNQDSDNGSDDEDGSPSGKSRMRCVLCTTAAA >PAN40717 pep chromosome:PHallii_v3.1:7:46951646:46958317:-1 gene:PAHAL_7G335100 transcript:PAN40717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 homolog [Source:Projected from Arabidopsis thaliana (AT1G08190) UniProtKB/Swiss-Prot;Acc:P93043] MAVLVIGWGTSVKIAAIRIDLSQGLNGIQRTIATVGSEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPNEDEKEKKFSTSVTSRQGTAQRPEIHLVSWKNDEVTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHIAWLLQHDCHEKALAAVEAGQGPTELLDEVGSRYLDHLIIERKYAEAAQRCPKLLRGSPSAWERWVFHFAHLRQLPVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKNWPSTLYSASPVISAIEPQLNSSSMTDTLKEALAELYVINSQHEKALSLYAELLKPEVFEFIEKYNLHDAIRDKVVNLMILDSKRTVHLLIQHRDIIPPYEVVEQLLHTNKNCDKRYFLHLYLHALFEIDIHAGKDFHDMQVELYADYEPRMLLPFLRTSQHYRLDKAYEIFAQRELVREQVFVLGRMGNAKEALSTIINKLENIEEAVEFVMDQHDDELWEELITQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVHGNRVDDGSSRASERSSSVRALDVKSRTRCGARCCLCFDPLPIQDISVIVFYCCHAYHLSCLEGGLDLMRSNSNQDSDNGSDDEDGSPSGKSRMRCVLCTTAAA >PVH35784 pep chromosome:PHallii_v3.1:7:43305396:43306254:1 gene:PAHAL_7G277000 transcript:PVH35784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRARPAWRRPPPPPAAHEGNLARQYSALRISGREAEDKEMEDILARFASLRDREERLAAIAADLREMEAQRRAAGLAPSDAEVAAFVILRESADGTLEGLPTNFPSSSRSND >PAN40640 pep chromosome:PHallii_v3.1:7:46688006:46690170:-1 gene:PAHAL_7G330100 transcript:PAN40640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >PVH35877 pep chromosome:PHallii_v3.1:7:44736389:44739562:-1 gene:PAHAL_7G299300 transcript:PVH35877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRNPTSVVIQLRRKCSTTRQRIVRCSLNEEMPPNKHGVISKQIISCFAASLVFISPPCQAIPAETSAQLGLCQIATVAAIDSASVPLQFDSPYDEGSAGMMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIREKCFLRFPTLPFIPKEPYDVLATDYDNYAIVSGAKDTSFIQIYSRTPNPGPQFIEKYKSYVANFGYDPSKIKDTPQDCEYMSSDQLALMMSMPGMNEALTNQFPDLKLKAPIALNPFTSVFETLKKLLELYFK >PAN40211 pep chromosome:PHallii_v3.1:7:44737011:44739826:-1 gene:PAHAL_7G299300 transcript:PAN40211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSPIFIGLPQNSIPIPSPSCHATTWTTKLRCCIIHRLPRSLRKRSRRPETWRQAREAAGAMVLVALGCSPARSPTSRRKCSTTRQRIVRCSLNEEMPPNKHGVISKQIISCFAASLVFISPPCQAIPAETSAQLGLCQIATVAAIDSASVPLQFDSPYDEGSAGMMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIREKCFLRFPTLPFIPKEPYDVLATDYDNYAIVSGAKDTSFIQIYSRTPNPGPQFIEKYKSYVANFGYDPSKIKDTPQDCEYMSSDQLALMMSMPGMNEALTNQFPDLKLKAPIALNPFTSVFETLKKLLELYFK >PAN38386 pep chromosome:PHallii_v3.1:7:36396341:36398941:1 gene:PAHAL_7G168400 transcript:PAN38386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLARLLLLVAAAGCAAGALATTPHPAKGLRVRLTHVDAHGNYSRLQLLQRAARRSHHRMSRLVARATGVPMSSKAVASGSGGDLQVPVHAGNGEFLMDLAIGTPALPYAAIVDTGSDLVWTQCKPCVECFNQSTPVFDPSSSSTYAPVPCASDLCGDLPTSSCTSASRCGYAYTYGDASSTRGVLATETFTLAKSELPGVAFGCGDTNEGDGFSQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDTGKSPLLLGSAAGIAESAATAPAQSTPLVKNPSQPSFYYVTLTGLTVGSARITLPSSAFAIQDDGTGGVIVDSGTSITYLEAPGYRALRKAFVAQMSLPVADGSEVGLDLCFQAPAGGVDQVRVPKLVLHFDGGADLDLPAENYMVLDSASGALCLTVMPSRGLSIIGNFQQQNFQFVYDVAKDTLSFAPVQCGKL >PVH34763 pep chromosome:PHallii_v3.1:7:5134633:5136545:1 gene:PAHAL_7G024800 transcript:PVH34763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAVGIGKYAERVVAIRGGNMSSIRNSLKKQPPARHHHFHHWDQGLLKRTIDEEEASLCRAHHMFHICKRATVDSWLEKDPEHNTFEMLRSLRKEGSKGMWAFAEMELSLLYDILYTKAAVIHTWPGYFIRFASSATTAASSLLFHFSGRDGHKVDVAVTYALLAGAFLMEVASLLNALGSTWAYAFLCTTRWSWLRYAALCTGRWGRLRRIVKAIKGMVGSNQSGRRWSGKMGQYNMLHYRSRRERAYTPLLGRFASMLGFEEWWNRKHYSATVDISDGLKQGLFEYIHRLTETGLNSQGVIRKSWGQDALEREDRDLYDRIKKDRNLGVEFQEGVIIWHIGTDIFLAKSSRDAGDDTADLVKDIRMLSNYLMFLLVDHPKMLPGLAQNMLYRRTCENLSDRCKQNHGYPRTGNVGAVLKEIFRLNDGPNVTELSHVNELANAVYKEMPEYSHSVPRLCYANGVAEELLDREKDKGSKAVLKLVLDVWMDFLVYAANRCSRESHAKTLSSGGELTSVVWIMTDFLNQEAYARHRD >PAN40530 pep chromosome:PHallii_v3.1:7:46215672:46220875:-1 gene:PAHAL_7G322200 transcript:PAN40530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSLAAASPHPHLFRSRRNPNSTFRTSNRGRLQPIRCSSAPSSPQPATGGEAEGSERRRLSKQSSWEAQDADGDDYLYRLGKEADNMNIAVGARAGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNFIAHLLNIKIPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKLSCLMINDLDAGVGRFDNPTRVSIGQKWTESDVTNRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPGREDIINIVHGMYTKDGISVEEVSRIVDTFPNQALDFYGALRSRTYDRAILEWVDQIGGHEKLGEKLLKQKKGEKLPTFIPPKQTLEALIESGSSLVREQELIMNSKLSKEYMKNMED >PAN40528 pep chromosome:PHallii_v3.1:7:46216715:46220570:-1 gene:PAHAL_7G322200 transcript:PAN40528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSLAAASPHPHLFRSRRNPNSTFRTSNRGRLQPIRCSSAPSSPQPATGGEAEGSERRRLSKQSSWEAQDADGDDYLYRLGKEADNMNIAVGARAGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNFIAHLLNIKIPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKLSCLMINDLDAGVGRFGNTQMTVNNQIVCGTLMNLADNPTRVSIGQKWTESDVTNRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPGREDIINIVHGMYTKDGISVEEVSRIVDTFPNQENICLSKSQRKYWWAVHFIVRIIYSRNN >PAN40527 pep chromosome:PHallii_v3.1:7:46216715:46220570:-1 gene:PAHAL_7G322200 transcript:PAN40527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSLAAASPHPHLFRSRRNPNSTFRTSNRGRLQPIRCSSAPSSPQPATGGEAEGSERRRLSKQSSWEAQDADGDDYLYRLGKEADNMNIAVGARAGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNFIAHLLNIKIPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKLSCLMINDLDAGVGRFDNPTRVSIGQKWTESDVTNRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPGREDIINIVHGMYTKDGISVEEVSRIVDTFPNQENICLSKSQRKYWWAVHFIVRIIYSRNN >PAN40529 pep chromosome:PHallii_v3.1:7:46215673:46220875:-1 gene:PAHAL_7G322200 transcript:PAN40529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSLAAASPHPHLFRSRRNPNSTFRTSNRGRLQPIRCSSAPSSPQPATGGEAEGSERRRLSKQSSWEAQDADGDDYLYRLGKEADNMNIAVGARAGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNFIAHLLNIKIPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKLSCLMINDLDAGVGRFGNTQMTVNNQIVCGTLMNLADNPTRVSIGQKWTESDVTNRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPGREDIINIVHGMYTKDGISVEEVSRIVDTFPNQALDFYGALRSRTYDRAILEWVDQIGGHEKLGEKLLKQKKGEKLPTFIPPKQTLEALIESGSSLVREQELIMNSKLSKEYMKNMED >PAN37670 pep chromosome:PHallii_v3.1:7:27981717:27985689:1 gene:PAHAL_7G097000 transcript:PAN37670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSREKIPNTAAAGLTDDLIVDILSRLPVKSLCRCKCVSPHWRDLISHPDHRRWLPQTLAGFFENDFDNGREVWRFTNFGGARQPPLICTPFSFMPGYEDVAIVDACNGLLLCRPPKASPHHVSRYVVCNPATKSWVVLPDSGSHGDDVEDDEPLVARLGFDPTVSAHFHVFEFVENDYGTVAGVEIYSSETGAWSYEESQWNYETHLFEFSPSVFINGLLHFTTIQFEVVAVDVEGESWWVLPAPEDADDVDDPCNWNPGFLARYQGLLCYMTRWYNGRDLSIWVLEDYAVDGWVLKRQVTVRQLTEKISPPNGCYYRLITIHPDCNWITYVSGLESMLMAYDMDRNEVHVIQNLGSRGVVSCIPYVPSYAKSLTDGH >PVH35064 pep chromosome:PHallii_v3.1:7:27981716:27985689:1 gene:PAHAL_7G097000 transcript:PVH35064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSREKIPNTAAAGLTDDLIVDILSRLPVKSLCRCKCVSPHWRDLISHPDHRRWLPQTLAGFFENDFDNGREVWRFTNFGGARQPPLICTPFSFMPGYEDVAIVDACNGLLLCRPPKASPHHVSRYVVCNPATKSWVVLPDSGSHGDDVEDDEPLVARLGFDPTVSAHFHVFEFVENDYGTVAGVEIYSSETGAWSYEESQWNYETHLFEFSPSVFINGLLHFTTIQFEVVAVDVEGESWWVLPAPEDADDVDDPCNWNPGFLARYQGLLCYMTRWYNGRDLSIWVLEDYAVDGWVLKRQVTVRQLTEKISPPNGCYYRLITIHPDCNWITYVSGLESMLMAYDMDRNEVHVIQNLGSRGVVSCIPYVPSYAKSLTDGH >PVH35758 pep chromosome:PHallii_v3.1:7:42717685:42718090:1 gene:PAHAL_7G267800 transcript:PVH35758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGIKSKRNRHSWWSKKGNSIKETKKNWKNIDKTKFKICYSDPKELRK >PAN40611 pep chromosome:PHallii_v3.1:7:46596859:46600373:-1 gene:PAHAL_7G328400 transcript:PAN40611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNAKKIKLHDHHYGSPLCDPQMFPATAAAGLSFHPGLVSSLPQQHGGAGIWLHEEYTPTPRTVPATQGSCVGADTAAFFAAEHLLGMARFDFPLGTTTLPATMTVAKTPPFVRSPEAEQLYRPVDPLLLRDNSVRTYYVRPQQRDAPEAPPALKLPLQQQQERGHELYGNGSSGRLLGGEPKAHSFSAHVTAANTLLPAMEAPGLQSPTENPLSRSCSIGAPVTHTGTVVAAPGHGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSGGLTIYHIKSHLQKYRIAKYMPASTSEGKQEKRAAGNDAQNLDPSTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEAQGKKLQKMFEEQLKASRTVMEPPEEHPAQGVGISAAAFAGVGEQEEEDGFDDVQLLSVAGSGYSDARFPSKIS >PAN40612 pep chromosome:PHallii_v3.1:7:46596872:46600373:-1 gene:PAHAL_7G328400 transcript:PAN40612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNAKKIKLHDHHYGSPLCDPQMFPATAAAGLSFHPGLVSSLPQQHGGAGIWLHEEYTPTPRTVPATQGSCVGADTAAFFAAEHLLGMARFDFPLGTTTLPATMTVAKTPPFVRSPEAEQLYRPVDPLLLRDNSVRTYYVRPQQRDAPEAPPALKLPLQQQQERGHELYGNGSSGRLLGGEPKAHSFSAHVTAANTLLPAMEAPGLQSPTENPLSRSCSIGAPVTHTGTVVAAPGHGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSGGLTIYHIKSHLQKYRIAKYMPASTSEGKQEKRAAGNDAQNLDPSTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEAQGKKLQKMFEEQLKASRTVMEPPEEHPAQGVGISAAAFAGVGEQEEEDGFDDVQLLSVAGSGYSDARFPSKIS >PAN38067 pep chromosome:PHallii_v3.1:7:34572404:34573590:1 gene:PAHAL_7G144000 transcript:PAN38067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRHCRASGPAAVCCHLLRIVAAAVSLASSLAPKPPPVAAPPTSLLPAPVARRARPRRWPAGRGSTRDSGRWPQEREAAEGVAGHVGARGRGGGGPPATVDARRCVGSGERGWRRRDLARGQQLGGIERSARRRRDLAREGSGGMVEAEEKEEEGGHGGGMVETEEGGGGRPRRCGRRRGREIVDFRICDPNGAYRFYLQSRIYITIQIWILDFGFRLSNGA >PAN38469 pep chromosome:PHallii_v3.1:7:36759919:36763522:1 gene:PAHAL_7G174100 transcript:PAN38469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFAVAADAAPSADYGGRVTFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLSAFFPGVLRRMAAARRDQYCVYDSHALTAFTSSLYLAGLAASLVASRVTRAVGRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPPKWRGAFTTGFQLFLSIGNLAANLVNYGTSRIPAWGWRLSLGLAAAPAAVILAGALLIPDTPSSLVVRGRVEEARAALRRVRGPKADVDAELEDVSRAVEAARACEEGAFRRILRREHRHHLVMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGSNGALMGAAILGAVNLGSTLVSTVTVDRYGRRPLFLTGGFVMIICQVAVAWIMGSQIGGDGESAMARPYSLAVLALTCVFSASFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFLLTQTFLSMLCALKYATFIYYAAWVAIMTAFVVAFLPETKGVRLEAMGAVWERHWCWGRFVRPPVKIDEEP >PAN39905 pep chromosome:PHallii_v3.1:7:43354998:43358645:-1 gene:PAHAL_7G278100 transcript:PAN39905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERAWLVAALFLLIFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSMETCIAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEVYELRQAKIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKESGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTTRQTDKHPID >PAN39907 pep chromosome:PHallii_v3.1:7:43354998:43358645:-1 gene:PAHAL_7G278100 transcript:PAN39907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERAWLVAALFLLIFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSMETCIAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKESGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTTRQTDKHPID >PAN39906 pep chromosome:PHallii_v3.1:7:43354264:43358819:-1 gene:PAHAL_7G278100 transcript:PAN39906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERAWLVAALFLLIFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSMETCIAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKESGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRMIITDVLGGDIQFDFYHRWFDAIFVVDPFLYHSFQRHCFSPRPPYTVTEHNTSVNLNGSLYFIICLPAA >PAN39908 pep chromosome:PHallii_v3.1:7:43354264:43358819:-1 gene:PAHAL_7G278100 transcript:PAN39908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERAWLVAALFLLIFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSMETCIAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEVYELRQAKIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKESGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRMIITDVLGGDIQFDFYHRWFDAIFVVDPFLYHSFQRHCFSPRPPYTVTEHNTSVNLNGSLYFIICLPAA >PAN37109 pep chromosome:PHallii_v3.1:7:19410493:19412064:-1 gene:PAHAL_7G063300 transcript:PAN37109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSRVTKSLPFLAAPSEATPAGALWLTSMDSALVSLPMAALLVFKHPVDQPAEILRQALSRALVPYYPIAGRLSAGAHGLSIACTGEGVVFVGASATCTLRDARLSDSRPAIPVEDLTVTYAGQYNKEPPLLLMQVTKFSCGGFVVGVTWNHVVADGVGMAQFLQAVGEFARGFSSSSVEPVRVDNFLQELPPPIITMTKSMVSRKHTDFPSSYITIPMSFINRIKDEFRRSGQQRGDQKAAAPATCTAFDVFTAAIWRSRARATIAGAASQDAPTALAFTVNVRKQAGAKDGYYGNVFAFGLAAATLREVSDGDILDLARLIKDAKVRVPYTFTDGAAYIGDEMGGRLQGLDGYDTLYVTSWWNLGLDDVDFGGGGPARVMGNMERKVVPACILCGRKDKADGVAAMAFCVKQEHEEAFHAELRRLK >PAN38502 pep chromosome:PHallii_v3.1:7:36917794:36920941:-1 gene:PAHAL_7G176900 transcript:PAN38502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESCVPRPLFGGAISTAFPARFQDVSNIREVPDHQEVFVDPARDESLIFELLDLKGEVDDAGSALWFLRDIANEQDAGDNLVVEHSGTLELASLRLGEAPAVAGTSVGQLAVSKGRQGREAQNIVRLYLANIRLKNAATDVLITAYEPLLINPLSESAAAVAAGPAIPAEQAGCLPMSEIFKVAVMNFNVHDWNLFNGGP >PAN39345 pep chromosome:PHallii_v3.1:7:40664511:40667519:1 gene:PAHAL_7G236500 transcript:PAN39345 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MGMGRFVFVLLVFSCCSSSRFADAYDPMDPNGDITIDWDFQSLDVKAANTYTVMVSIHNRQLYRHIERPGWRLSWSWAGKEVIWDTRGAEATEQGDCSRVGGGSRPHCCQKRPVMVDLPPGTPFNQQVANCCRGGVLSSLSQNNLTSTAAFQMVVGEYAAARDGGSKEPEMPWGFDIGVPGYSCSNATKVPPTRSKVDRNRHVQVLLTWRVTCSYSQYREAGVQSCCVSLSTFYSSGIVPCPRCSCGCQGSPTSPQCVSGGEPAPPPPAGGGDDDDEPAAPLIRCSEHMCPIRVHWHVKVNYRRYWRVKVTVSNYNLVRNYSDWNLVLQHPNLRSLTQLFSFNYKPLVEYGTYNDTGMFWGIRYYNEMLLQDGNVQTEMILEKSEGEFTFSGGWAFPRRVYFDGHECVMPPADQYPALPNGAAATRGQSSLAAGACMVLLSFLALV >PAN39344 pep chromosome:PHallii_v3.1:7:40664511:40667519:1 gene:PAHAL_7G236500 transcript:PAN39344 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MGMGRFVFVLLVFSCCSSSRFADAYDPMDPNGDITIDWDFQSLDVKAANTYTVMVSIHNRQLYRHIERPGWRLSWSWAGKEVIWDTRGAEATEQGDCSRVGGGSRPHCCQKRPVMVDLPPGTPFNQQVANCCRGGVLSSLSQNNLTSTAAFQMVVGEYAAARDGGSKEPEMPWGFDIGVPGYSCSNATKVPPTRSKVDRNRHVQVLLTWRVTCSYSQYREAGVQSCCVSLSTFYSSGIVPCPRCSCGCQGSPTSPQCVSGGEPAPPPPAGGGDDDDEPAAPLIRCSEHMCPIRVHWHVKVNYRRYWRVKVTVSNYNLVRNYSDWNLVLQHPNLRSLTQLFSFNYKPLVEYGTYNDTGMFWGIRYYNEMLLQDGNVQTEMILEKSEGEFTFSGGWAFPRRVYFDGHECVMPPADQYPALPNGAAATRGQSSLAAGACMVLLSFLALV >PVH35319 pep chromosome:PHallii_v3.1:7:35024831:35026687:1 gene:PAHAL_7G148900 transcript:PVH35319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRQWSSCGGVAVAAVLGLLSVLCAGHPVPGGHGGGGGFPLQPHFYDHTCPQMQAIVGAIVAKAHAEDPRMAASLLRMHFHDCFVQGCDASVLLDADGSGRFVTEKRSNPNKDSLRGFEVIDEIKAAVEHACPHVVSCADIVAVAARDSVVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDSLPTIIGKFANQGLDVVDLVALSGGHTIGDSRCVSFRQRLYGQNNNGQVDRTLNPAYAAELRGRCPRSGGDQNLFALDPASQFRFDNQYYHNILAMNGLLSSDEILLTQGRETMELVHRFAANQGLFFEQFAKSMVKMGNISPLTGHAGEIRNNCRRVNH >PAN38122 pep chromosome:PHallii_v3.1:7:35024831:35026687:1 gene:PAHAL_7G148900 transcript:PAN38122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRQWSSCGGVAVAAVLGLLSVLCAGHPVPGGHGGGGGFPLQPHFYDHTCPQMQAIVGAIVAKAHAEDPRMAASLLRMHFHDCFVQGCDASVLLDADGSGRFVTEKRSNPNKDSLRGFEVIDEIKAAVEHACPHVVSCADIVAVAARDSVVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDSLPTIIGKFANQGLDVVDLVALSGGHTIGDSRCVSFRQRLYGQNNNGQVDRTLNPAYAAELRGRCPRSGGDQNLFALDPASQFRFDNQYYHNILAMNGLLSSDEILLTQGRETMELVHRFAANQGLFFEQFAKSMVKMGNISPLTGHAGEIRNNCRRVNH >PVH35730 pep chromosome:PHallii_v3.1:7:42053238:42054911:1 gene:PAHAL_7G257400 transcript:PVH35730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os04g0568700)] MASPAAGTPPFLTKTYAMVEDPSTDDTISWNDTGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDGFRRGEKHLLGGIQRRKGTGAGGAGGGAAIPTGIPIISSPPTSSGGEPAVSSSSPRGSAAGVSGAVAELEEENARLRRENARLARELARARRLCDGVRQLVARYDHGGGGEDDPADGDDGHGGGGGGGGPGGPGAKPMLFGVAIGAKRPRGAEGGDGDEDGEDGAAEEDGEEEDEEHDDDETHAARRRGSKAARRNEMSDLDVLALSVRAAAAARPGGGSRDRKSSVS >PAN40311 pep chromosome:PHallii_v3.1:7:45086933:45087632:-1 gene:PAHAL_7G305200 transcript:PAN40311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSAHRNAKANVRSFAQAQKMAPAAAAERRRADKAEEGMRTVMYFSCWGPN >PAN37799 pep chromosome:PHallii_v3.1:7:29754709:29756732:1 gene:PAHAL_7G103900 transcript:PAN37799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGIPPKFVKNYISELETGSSISILLSPLCKFCLITVEKDQSSGTLFFSGGWSRFLASHGIMEYDVLLLRYEGNMVFTVKVFGPDGCQKGCKDQGTSNKQQEQSDETTFSDTKMEEEDAPSSSRKRKRMEKKPGGEDNSKRPRSPTTSLNKAPLSMNRPVYEIGPPSWMKREISAYILSNHLTIAWTFCKAIGLCSTSEVTLRTTADEDHGLRSWQVRIMVYEIRHSGELTRGWRRFCADNRIKAGDICTFNIIETTLWHVDITRHCKDQGTSSKELEQSDKTTFSDAKTEKEDVPSSSRKRKCKDKKPDGEENSKRPKSPTTSLNKAPSPSIVYEIGPPSWLKRKISAYILSKILYVPGNFCKAIELRRTSEITLRTTANEDHGLRSWQVRFLVYGKSNMLTRGWRGFCADNRIKEGDICTFNIIKTTLWHVDIMRHG >PVH35302 pep chromosome:PHallii_v3.1:7:34827982:34828833:-1 gene:PAHAL_7G146000 transcript:PVH35302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQRKKSATQMEIKSIRNSLGRNPLSDALHRNQGVVLNGHGPGRHPLRGASYRDPGTMISEQGSGRRSLCGTLHLRPGVVKNEQGSSHFSRRVRRVRKLPEPTRIRLGSWNVRSLTGKLRELVDIAIRRRVNILCMQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQVGLSESSKSHTVPISEKLFIGGDLNGHVGATNVGYERVHGGFRYGSRNEGGRMF >PVH35673 pep chromosome:PHallii_v3.1:7:40939306:40942639:1 gene:PAHAL_7G241100 transcript:PVH35673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRWLPLEANPDVMNQFMWGLGVPEDVGFCDVYGLDGEMLAMVPQPVLAVILLYPQDRIKESQSSTASPVETKQEPSKKVYFTRQTIGNACGTVGIIHALGNAASKIKLGEGSCFDRFYKQTADMDPVQRAAFLEEDQEMEDAHSAAVSAGDTEAKDGVIEHYICFSCVDGDLYELDGGNSRPISHGPSSPDTLLQDAAKVIKGRLALYSESNNFNVMALSGR >PAN39419 pep chromosome:PHallii_v3.1:7:40939306:40942639:1 gene:PAHAL_7G241100 transcript:PAN39419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRWLPLEANPDVMNQFMWGLGVPEDVGFCDVYGLDGEMLAMVPQPVLAVILLYPQDRIKESQSSTASPVETKEPSKKVYFTRQTIGNACGTVGIIHALGNAASKIKLGEGSCFDRFYKQTADMDPVQRAAFLEEDQEMEDAHSAAVSAGDTEAKDGVIEHYICFSCVDGDLYELDGGNSRPISHGPSSPDTLLQDAAKVIKGRLALYSESNNFNVMALSGR >PAN37891 pep chromosome:PHallii_v3.1:7:33334257:33340112:1 gene:PAHAL_7G131300 transcript:PAN37891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRVCFLLPSPSPRAPLAKPLPLLLRLPRTLPSAARALASMAAPAAPAGGTAAMSRDAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRADHLLKDFCKDKLEKDQTLSPEQAEEYNKILAILDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFGDVSDLEFLMFPREDQMAGRSATRLKLGNAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGEKLEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMGETEALDMKAKLESKGEVEFKVCTLGKDVTIKKSMVSINIEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFFQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKLEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDELGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVMDGQSTWADIMWRYPTHTASAAEDEAEP >PAN37037 pep chromosome:PHallii_v3.1:7:5912278:5914079:-1 gene:PAHAL_7G027100 transcript:PAN37037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAHLLLQHSAATGNAARNRVVPPAILLVLQLLCGALGADGATSFRFTNACQHPVWVGALHGASSPPLARSGFYLAPSATSRLDAPSSSSWSGTFWARTGCAVDSATGRFSCATADCGTGDVACEGRGPAPPVSLVEVTLAVPGSGGQDFYDVSLVDGFNVPVRVAPSGGGGGDCRPAACAGDVNAMCPADLRVVAASGGVVACKSACNAYGSARYCCTGQYGTPAACGPTSYSQVFKSACPAAYSYAYDDASSTFTCSGASSYDVTFCPGS >PVH35151 pep chromosome:PHallii_v3.1:7:31043172:31043492:1 gene:PAHAL_7G112200 transcript:PVH35151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVCVFLLVALLGVALALAGSIAGGSMEAVLAGKRQYGAAQLMMTPVTATPTSVLGVEVRRRVLGSISPSSLDPNRAACIGSCPAAGGAYTGRGCQRVYQCSTG >PAN39713 pep chromosome:PHallii_v3.1:7:42448613:42450951:1 gene:PAHAL_7G263300 transcript:PAN39713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRHSSPATSTLTTGHHGRSAAAAVVLRQPTTDPRSRFLLRARKPAAGSPAAGADTEASSRSENAVLKAAWYGSELLGIAASLLRPAPSSPEEGAPGDAEGGEAGALDRAGVVEAIKDDFARSYFVTGNLTVKAYEEDCEFADPAGSFKGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMLFPWRPILSATGYTEYYFDAESGKVCRHAENWNVPKMALLRQIFRPSRWVWEER >PVH35201 pep chromosome:PHallii_v3.1:7:32640238:32640654:1 gene:PAHAL_7G125200 transcript:PVH35201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEPSTITAPNKARLRPCRHGRGARSRSRRNPSVVPIPSLPFPNNGARRRGARPSPGLRLPAPQPTTPTGKPARPARCSRRAVDFPRRDLSCSVGSGGSPRNLMLVAGSYGCEEGCWVPVGCTQRPISDGNERPVFL >PVH35005 pep chromosome:PHallii_v3.1:7:24824284:24824577:1 gene:PAHAL_7G084200 transcript:PVH35005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRRQAPQRSSPSTRASGGGAHVRVAASPAATHPRPHARAVAPAAGHPRAPAALAAGCGGGGAPDPAASALAAACSRARRRPPQRDVEAAEHLTAG >PVH35957 pep chromosome:PHallii_v3.1:7:46062059:46064308:1 gene:PAHAL_7G320400 transcript:PVH35957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAAVPIISSVAGAATVVVMIALIRRCRVVRKKMKKKTVKKVMEEIERRNREVQACKALDDVVIEIGPVEKFLNEILNEKPMRFSSEQLAACTRNYSSELGSGGFGVVYKGDLPNGLPVAVKVLKVSMNKKVQEGFMAEIGTIGRTYHVHLVRLYGFCFDRDTKALVYEFLENGSLDKYLYSDEEGKAARLEWRTLHGIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGGRGTPGYAAPELWMALSATEKCDVYSFGMVLFEILGRRRNFDPCEGESKEWFPRWVWEKYEQGEIERVVSCAGIGEADREKAEMMCKVALWCVQFQPAARPTMSSVVRMLEGEMAIVPPVNPFRYVMDSSSSGSTSSGLWSGTYQSSRDTAGRDSELSVSHAAMPVDAMIEDVKRSDE >PAN40050 pep chromosome:PHallii_v3.1:7:44063168:44069312:1 gene:PAHAL_7G288100 transcript:PAN40050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVTAAAPPSCSPRGLIPLRSSRASRPCVARAYSGKRLGAENTRVQNVHRMGLETRIRNQLLRPELPPSSYDTAWVSMVPLRGSNQSPCFPQCVEWILQNQQDDGSWGVNRFDSSVNKDVLLSTLACVLALKRWNVGRENIWRGLHFIGRNFSVAMDEQTTAPIGFNITFATMLSLAIDMGLEFPIRQTDVHGILHLRKMELKRQALYGSYGRKAYMAYIAEGLGNMLDWDEVMKFQRKNGSLFSCPSTTAVALIHKYNDQAHQYLNSLVSEFGGAVPAVYPSKLHCQLLMVDALERMGISQHFVNEIKNILDMTFSHWLQKNEEIMMDIATCAMAFRLLRMNGYDVSSDELSHVAEASTFCDSLQGYLNDTKSLLELYKASKVSLSGNDLILDSIGSWSGNLLKDKLCSSRVQKTPIFGEMEYAVKFPFYATLERLEHKRNIEYFDAWGSLMLTTKCSSFHVTEEFLALAVKDFSFSQSVYQDELQHLDSWVKENKLEQLQFARQKLTYCYLSAAATIFPSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIELVEKWHEHHADKYYSEQVKIVFSAIYATTNQLGAKASAAQGRDVTKHLAEIWLGLLRSMMMEAEWQRSQHVPTVEEYMTNAVVSFALGPIVLPALYFVGQELLEHAVKDQEYDKLFRLMSTCGRLLNDSKGFEREGSEGKLNSISLLVLHSGNSMSTEAAKKVIQKSIDTSRRDLLRLVLRKESVVPRPCKDLFWKMCKILHLFYFQTDGFSSPKEMVGAVHAVINEPLKIQMGDASSFHQKNEDLL >PAN40049 pep chromosome:PHallii_v3.1:7:44063168:44069312:1 gene:PAHAL_7G288100 transcript:PAN40049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVTAAAPPSCSPRGLIPLRSSRASRPCVARAYSGKRLGAENTRHRMGLETRIRNQLLRPELPPSSYDTAWVSMVPLRGSNQSPCFPQCVEWILQNQQDDGSWGVNRFDSSVNKDVLLSTLACVLALKRWNVGRENIWRGLHFIGRNFSVAMDEQTTAPIGFNITFATMLSLAIDMGLEFPIRQTDVHGILHLRKMELKRQALYGSYGRKAYMAYIAEGLGNMLDWDEVMKFQRKNGSLFSCPSTTAVALIHKYNDQAHQYLNSLVSEFGGAVPAVYPSKLHCQLLMVDALERMGISQHFVNEIKNILDMTFSHWLQKNEEIMMDIATCAMAFRLLRMNGYDVSSDELSHVAEASTFCDSLQGYLNDTKSLLELYKASKVSLSGNDLILDSIGSWSGNLLKDKLCSSRVQKTPIFGEMEYAVKFPFYATLERLEHKRNIEYFDAWGSLMLTTKCSSFHVTEEFLALAVKDFSFSQSVYQDELQHLDSWVKENKLEQLQFARQKLTYCYLSAAATIFPSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIELVEKWHEHHADKYYSEQVKIVFSAIYATTNQLGAKASAAQGRDVTKHLAEIWLGLLRSMMMEAEWQRSQHVPTVEEYMTNAVVSFALGPIVLPALYFVGQELLEHAVKDQEYDKLFRLMSTCGRLLNDSKGFEREGSEGKLNSISLLVLHSGNSMSTEAAKKVIQKSIDTSRRDLLRLVLRKESVVPRPCKDLFWKMCKILHLFYFQTDGFSSPKEMVGAVHAVINEPLKIQMGDASSFHQKNEDLL >PAN40051 pep chromosome:PHallii_v3.1:7:44065037:44069017:1 gene:PAHAL_7G288100 transcript:PAN40051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRMGLETRIRNQLLRPELPPSSYDTAWVSMVPLRGSNQSPCFPQCVEWILQNQQDDGSWGVNRFDSSVNKDVLLSTLACVLALKRWNVGRENIWRGLHFIGRNFSVAMDEQTTAPIGFNITFATMLSLAIDMGLEFPIRQTDVHGILHLRKMELKRQALYGSYGRKAYMAYIAEGLGNMLDWDEVMKFQRKNGSLFSCPSTTAVALIHKYNDQAHQYLNSLVSEFGGAVPAVYPSKLHCQLLMVDALERMGISQHFVNEIKNILDMTFSHWLQKNEEIMMDIATCAMAFRLLRMNGYDVSSDELSHVAEASTFCDSLQGYLNDTKSLLELYKASKVSLSGNDLILDSIGSWSGNLLKDKLCSSRVQKTPIFGEMEYAVKFPFYATLERLEHKRNIEYFDAWGSLMLTTKCSSFHVTEEFLALAVKDFSFSQSVYQDELQHLDSWVKENKLEQLQFARQKLTYCYLSAAATIFPSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIELVEKWHEHHADKYYSEQVKIVFSAIYATTNQLGAKASAAQGRDVTKHLAEIWLGLLRSMMMEAEWQRSQHVPTVEEYMTNAVVSFALGPIVLPALYFVGQELLEHAVKDQEYDKLFRLMSTCGRLLNDSKGFEREGSEGKLNSISLLVLHSGNSMSTEAAKKVIQKSIDTSRRDLLRLVLRKESVVPRPCKDLFWKMCKILHLFYFQTDGFSSPKEMVGAVHAVINEPLKIQMGDASSFHQKNEDLL >PAN40380 pep chromosome:PHallii_v3.1:7:44063168:44069312:1 gene:PAHAL_7G288100 transcript:PAN40380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVTAAAPPSCSPRGLIPLRSSRASRPCVARAYSGKRLGAENTRVQNHRMGLETRIRNQLLRPELPPSSYDTAWVSMVPLRGSNQSPCFPQCVEWILQNQQDDGSWGVNRFDSSVNKDVLLSTLACVLALKRWNVGRENIWRGLHFIGRNFSVAMDEQTTAPIGFNITFATMLSLAIDMGLEFPIRQTDVHGILHLRKMELKRQALYGSYGRKAYMAYIAEGLGNMLDWDEVMKFQRKNGSLFSCPSTTAVALIHKYNDQAHQYLNSLVSEFGGAVPAVYPSKLHCQLLMVDALERMGISQHFVNEIKNILDMTFSHWLQKNEEIMMDIATCAMAFRLLRMNGYDVSSDELSHVAEASTFCDSLQGYLNDTKSLLELYKASKVSLSGNDLILDSIGSWSGNLLKDKLCSSRVQKTPIFGEMEYAVKFPFYATLERLEHKRNIEYFDAWGSLMLTTKCSSFHVTEEFLALAVKDFSFSQSVYQDELQHLDSWVKENKLEQLQFARQKLTYCYLSAAATIFPSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIELVEKWHEHHADKYYSEQVKIVFSAIYATTNQLGAKASAAQGRDVTKHLAEIWLGLLRSMMMEAEWQRSQHVPTVEEYMTNAVVSFALGPIVLPALYFVGQELLEHAVKDQEYDKLFRLMSTCGRLLNDSKGFEREGSEGKLNSISLLVLHSGNSMSTEAAKKVIQKSIDTSRRDLLRLVLRKESVVPRPCKDLFWKMCKILHLFYFQTDGFSSPKEMVGAVHAVINEPLKIQMGDASSFHQKNEDLL >PVH35975 pep chromosome:PHallii_v3.1:7:46464904:46465353:-1 gene:PAHAL_7G325900 transcript:PVH35975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVAITIPSLVCLRRAVRRWRSRTAAARAASPRSGKNGDATVPAGHVAVCVGGTGSGEGSTGPRRFVVRLAHLTHPAFLELLQQAEEEYGFPAASGPIALPCDEDHFLDVLHRVSSASSCCCGPAVTRRGRGDARPLLQGMAVEKLVW >PAN40479 pep chromosome:PHallii_v3.1:7:45853642:45855737:1 gene:PAHAL_7G318500 transcript:PAN40479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVVGAINNYTAALKDSSSPYDPTESLMFLAHFVGDVHQPLHCGHTEDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKDFYDNDLSTMIQAIQQNITEEWANEEKQWETCRSRTKTCADKYAEESAKLACKAYEGVEQDSTLEDDYFFAALPVVQKRIAQGGVRLAAILNKLFRGNSKLQSS >PAN40480 pep chromosome:PHallii_v3.1:7:45853642:45855737:1 gene:PAHAL_7G318500 transcript:PAN40480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSYCASCNEGMQVSCSTTLQVKTFFPLKYRKQERTKGDCHNTKGEKDMCVVGAINNYTAALKDSSSPYDPTESLMFLAHFVGDVHQPLHCGHTEDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKDFYDNDLSTMIQAIQQNITEEWANEEKQWETCRSRTKTCADKYAEESAKLACKAYEGVEQDSTLEDDYFFAALPVVQKRIAQGGVRLAAILNKLFRGNSKLQSS >PAN40478 pep chromosome:PHallii_v3.1:7:45853033:45855733:1 gene:PAHAL_7G318500 transcript:PAN40478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLLLHVLLVAVAARAPAAQAWGKEGHYMVCKIAESFLTEQASTAVKNLLPGWAGGDLAETCSWADQQRFRYRWSSPLHFADTPGDCKFDYARDCHNTKGEKDMCVVGAINNYTAALKDSSSPYDPTESLMFLAHFVGDVHQPLHCGHTEDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKDFYDNDLSTMIQAIQQNITEEWANEEKQWETCRSRTKTCADKYAEESAKLACKAYEGVEQDSTLEDDYFFAALPVVQKRIAQGGVRLAAILNKLFRGNSKLQSS >PAN38116 pep chromosome:PHallii_v3.1:7:35002783:35004608:1 gene:PAHAL_7G148500 transcript:PAN38116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDGCFLLEVMRTAAAAAAAGEEDDGCGGAGEYAASDPVFSQHGELYVVPYVRRDMLTIENQLPLLVLQRLVAFLHGASTATDDAINNMELDFVKLSHDAPALSGGGLALHPLDVCHRSLLHGPPRPPRAGPVDEFVPSATELHQAGVRFAASRTRSLHDIHFRRGVLSIPELAVDDTRAHKLQSPMAFEQLHAERRAANEVTAYVFLMDNVIKSAADARRPPEQPRQRQGGGRDVQPDGERGRAGPARPAARRAPRRQRPPRGALARVARQPRAEPRGQPVGDHLPRRRLRPPRPHRRADGLHRAAVLRPEGTDGGRRRHRLAKEL >PVH35688 pep chromosome:PHallii_v3.1:7:41363278:41377808:-1 gene:PAHAL_7G247900 transcript:PVH35688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDARESSDSSPPPAAPPTPPREEGSAEQFEAVALGAESGVEEEAASNPGMGTSTPATPATPYEPSPRPRRPPGVPADAPQEVVRAVEAAIAGGPDLLREVVSQEQGELAHSVVDVLLGTMGGADEAGDASGTGAPPSVMSNARAAVAAAELLPHLPCDDEPSPRTRMVAGLHAALRACTRNRAMCSSAGLLAALLESAEKLLVEMDPGSCCDGAPLLQCIQVLGGHSLSVKDLHSWLGLVRKALGTSWSTPLMLALEKAMGSEETRGPAATFEFDGESSGLLGPGDSKWPFLNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESVGGRGKKASLHFTHAFKPQRWYFVGLEHTNKHGLLGKGESELRLYVDGSVYESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPVYIFKEPIGPDRMRRLASRGGDTLPSFGNGAGLPWKATNDHVKSMAEESFTLNNEMGGSLHLLYHPSLLTGRFCPDASPSGSSGTHRRPAEVLGLVHVSSRVRPADSLWALAYGGPMALLPLTISNVQMDSLEPTPGDLALSLATVSLSAPVFRIISLAIQHPGNNEELCRAFAPELLSRVLHYLLQVLSKLESGEEALTDEELVAAIVSLCQSQRNNHELKVQLFSSLLLDLKLWSSCNYGLQKKLLSSLADMVFTESACMRDAKAMQMLLDGCRRCYWAIQEPDSIDNFALTGTKRSLGEVNALVDELLVVIELLLGAASSTAVSDDVRCLIGFIVECPQPNQVARVLHLIYRLIVQPNISRADMFSQSFISTGGVEALLVLLQREAKTGNKNILDDSGANLSENDVHRDGSSNRKADSADTRCQANETVSAEHHETIFHEEAAEHEATNVNDMLDSHIGSKVPGSENGLLKNLGGISFSITSDNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFDSGAASPNIPGDSQTTLNEEGNPMSEDRLPLLLFALQKVFQAAPRRLMTANVYMALISAAINVSSVDESLNLYDSGHRFEHIQLLLVLLRSLPYASRAFQARAIQDLLFLACSHPDNRTTITSIAEWPEWILEVLISNHEMGAKKKADGVSMSEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGDLLDFSARELQVQTEVIAAAAAGVAAEGFSPEEAKIQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSLTGDSIVSSASMASLAASRSNSLGTAGKEPMAAGASRRSSLSSDAGGLPLDLLTSMADANGQIPAAVMERLTAATAAEPYESVKHAFVSYGSCIADLGESWKYRSRLWYGVGIPPKSDIFGGGGSGWESWKSVLEKDSNGIWIEFPLVKKSVAVLQALLLDESGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMVLVSMREDDNGEIDAFTKDISIKDVASEGMSPQAGSMMPFDGNSYSSSRKPRSALLWSVLGPILNMPITESKRQRVLVASSILYSEVWHAIGRDRKPLRKQYIELILPPFVAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALAIEASLLMVSPGWAAAFASPPVAMALAMMAAGASGTETRISPRNTLNRRDTSLPERKAVAKLQTFSSFQKPIETAANKPGSTPKDKAAAKAAALAAARDLERTAKIGSRRGLSAVAMATSGQRRSSGDIERAKRWNTSEAMSAAWMECLQSADSKSVAGRDFSALSYKYVAVLVSCLALARNLQRVEMERQTLVDVLNRHRASTGLRAWRHLLHCLTEMGRLYGPFGQPLCTPVRVFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYEDRKLLSAAAQSNECNSEDANSSLTNTLPSSASVIMADAMSMDERNVENEQLETDTTHSSIDDDQLQHSSAADQQSVKGSVGSRSSDICADRNLVRSTVLAPSYVPSEVDERIIIELSSLMVRPLKVVRGTFQVFSNYRVQ >PAN39505 pep chromosome:PHallii_v3.1:7:41354502:41377808:-1 gene:PAHAL_7G247900 transcript:PAN39505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDARESSDSSPPPAAPPTPPREEGSAEQFEAVALGAESGVEEEAASNPGMGTSTPATPATPYEPSPRPRRPPGVPADAPQEVVRAVEAAIAGGPDLLREVVSQEQGELAHSVVDVLLGTMGGADEAGDASGTGAPPSVMSNARAAVAAAELLPHLPCDDEPSPRTRMVAGLHAALRACTRNRAMCSSAGLLAALLESAEKLLVEMDPGSCCDGAPLLQCIQVLGGHSLSVKDLHSWLGLVRKALGTSWSTPLMLALEKAMGSEETRGPAATFEFDGESSGLLGPGDSKWPFLNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESVGGRGKKASLHFTHAFKPQRWYFVGLEHTNKHGLLGKGESELRLYVDGSVYESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPVYIFKEPIGPDRMRRLASRGGDTLPSFGNGAGLPWKATNDHVKSMAEESFTLNNEMGGSLHLLYHPSLLTGRFCPDASPSGSSGTHRRPAEVLGLVHVSSRVRPADSLWALAYGGPMALLPLTISNVQMDSLEPTPGDLALSLATVSLSAPVFRIISLAIQHPGNNEELCRAFAPELLSRVLHYLLQVLSKLESGEEALTDEELVAAIVSLCQSQRNNHELKVQLFSSLLLDLKLWSSCNYGLQKKLLSSLADMVFTESACMRDAKAMQMLLDGCRRCYWAIQEPDSIDNFALTGTKRSLGEVNALVDELLVVIELLLGAASSTAVSDDVRCLIGFIVECPQPNQVARVLHLIYRLIVQPNISRADMFSQSFISTGGVEALLVLLQREAKTGNKNILDDSGANLSENDVHRDGSSNRKADSADTRCQANETVSAEHHETIFHEEAAEHEATNVNDMLDSHIGSKVPGSENGLLKNLGGISFSITSDNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFDSGAASPNIPGDSQTTLNEEGNPMSEDRLPLLLFALQKVFQAAPRRLMTANVYMALISAAINVSSVDESLNLYDSGHRFEHIQLLLVLLRSLPYASRAFQARAIQDLLFLACSHPDNRTTITSIAEWPEWILEVLISNHEMGAKKKADGVSMSEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGDLLDFSARELQVQTEVIAAAAAGVAAEGFSPEEAKIQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSLTGDSIVSSASMASLAASRSNSLGTAGKEPMAAGASRRSSLSSDAGGLPLDLLTSMADANGQIPAAVMERLTAATAAEPYESVKHAFVSYGSCIADLGESWKYRSRLWYGVGIPPKSDIFGGGGSGWESWKSVLEKDSNGIWIEFPLVKKSVAVLQALLLDESGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMVLVSMREDDNGEIDAFTKDISIKDVASEGMSPQAGSMMPFDGNSYSSSRKPRSALLWSVLGPILNMPITESKRQRVLVASSILYSEVWHAIGRDRKPLRKQYIELILPPFVAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALAIEASLLMVSPGWAAAFASPPVAMALAMMAAGASGTETRISPRNTLNRRDTSLPERKAVAKLQTFSSFQKPIETAANKPGSTPKDKAAAKAAALAAARDLERTAKIGSRRGLSAVAMATSGQRRSSGDIERAKRWNTSEAMSAAWMECLQSADSKSVAGRDFSALSYKYVAVLVSCLALARNLQRVEMERQTLVDVLNRHRASTGLRAWRHLLHCLTEMGRLYGPFGQPLCTPVRVFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYEDRKLLSAAAQSNECNSEDANSSLTNTLPSSASVIMADAMSMDERNVENEQLETDTTHSSIDDDQLQHSSAADQQSVKGSVGSRSSDICADRNLVRSTVLAPSYVPSEVDERIIIELSSLMVRPLKVVRGTFQVTSKRINFIIEERSSDSNVDDTSSTSGQCDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDIDARKNAYRAIVHAKPPNLNDIFLATQRAEQILKRTQLMERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIVADYKSRLLNLDDPSTYRDLSKPIGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPFTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINCIDFGTTQLGGKLDSVQLPPWAENHVDFVHKHRKALESEHVSAHLHEWIDLIFGYKQRGKEAIMANNVFFYITYEGTVDIDKITDPVERRATQDQIAYFGQTPSQLLTVPHMKRKPLAEVLQLQTIFRNPNELKSYMLPHSDRCNVPASAMLVSNDSIVVVDVNVPAARVALHHWQPNTPDGQGTPFLFHHGRNASNSTSGALMRIFKGSAGSAEDYEFPRAIAFAASAIRSSAVVAVTCDKETITGGHVDGSLKLISPDGAKTIETASGHLAPVTCLALSPDSNYLVTGSRDTTVILWRIHRTGSSHKKNATEPPPTTPPTPRSPLSSSASSLSETKRRRIEGPMHVMRGHLGEVTCCSVSPDLGLVASSSNTSGALLHSLRTGRLMRQLDVAEAHAICLSSQGIILVWNESKKTLSTFTVNGLPIATSVLLPFSGQVSCIEISTDGHFALIGTSLFNNYKCDESTETGHLELGPNGKDDISKDSEQSQTEQSVHVPSICFVDLHKLKVFHTLKLAKGQDITAIALNKENTNLLVSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQQ >PAN37993 pep chromosome:PHallii_v3.1:7:34155277:34156791:1 gene:PAHAL_7G138800 transcript:PAN37993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNAKLYLQNCYIMKENERLRKKALLLNQENQALLTELKQRLAKTAAANTNSAKANGNAAAAGNRAAIPDLNAAAPGAHGGHEKKAAPKPKKAVAN >PAN39181 pep chromosome:PHallii_v3.1:7:40027864:40030598:1 gene:PAHAL_7G226200 transcript:PAN39181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAASPSLSSLVSSSSAAAVSSSTSCCNAFHEPRPLHLSLKPVSPLPKPQALSCSAPHVPRAAAGDGSGAGNRGDGSGGSGGKDGGGGRGGEGDDDYEEAEFGPLLGFDEVLRLAAARGVALPGDMMEAAKDAGIREVLLLRYFDLQAAPWPLGAMIRAFSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVAVDIALVGLLAPYVRFGKPSASTGLLGRFNRMAVSLPSSVFEAERPGCRFTVQQRIGTYFYKGVLYGSVGFFCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKRAPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >PVH34774 pep chromosome:PHallii_v3.1:7:5769770:5770239:1 gene:PAHAL_7G026600 transcript:PVH34774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PVH35787 pep chromosome:PHallii_v3.1:7:43431318:43435056:1 gene:PAHAL_7G279400 transcript:PVH35787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLAPGHRHCRHPPGLPSLLGSFPPSLILALTRDAAAIYAAPGRGGNDERQRPSLRPRTASRCLLFCRHPFRSIPALFLSSRAPRGGRRTLFSSRGMAASRAWLARAATVLGFLLLAASAEAGPAEVEMVFLKNAVAKGAVCLDGSPPVYHFSAGSGSGANNWLVHMEGGGWCRNTDECAVRKGNFRGSSKFMRPLSFSGILGGGQKSNPDFYNWNRVKVRYCDGSSFTGDVEAVESGKNLYYRGFRVWRAIMDDLLTARGMNKAQNVLLSGCSAGGLAAILHCDRFHDLFPATTKVKCFSDAGYFLDGKDISGNNYARSIYKNVVNLHGSAKNLPASCTSKQPPELCMFPQYVVPTLRTPLFILNAAYDSWQIKNVLAPSPADPKKTWAQCKLDIKSCSPSQLTTLQSMFIDSCNAHCQSGAQDTWLADGSPLVNKTQIGKAVGDWYFDREVSQKIDCPYPCNPTCKNREDD >PAN39931 pep chromosome:PHallii_v3.1:7:43431318:43435056:1 gene:PAHAL_7G279400 transcript:PAN39931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLAPGHRHCRHPPGLPSLLGSFPPSLILALTRDAAAIYAAPGRGGNDERQRPSLRPRTASRCLLFCRHPFRSIPALFLSSRAPRGGRRTLFSSRGMAASRAWLARAATVLGFLLLAASAEAGPAEVEMVFLKNAVAKGAVCLDGSPPVYHFSAGSGSGANNWLVHMEGGGWCRNTDECAVRKGNFRGSSKFMRPLSFSGILGGGQKSNPDFYNWNRVKVRYCDGSSFTGDVEAVESGKNLYYRGFRVWRAIMDDLLTARGMNKAQNVLLSGCSAGGLAAILHCDRFHDLFPATTKVKCFSDAGYFLDGKDISGNNYARSIYKNVVNLHGSAKNLPASCTSKQPPELCMFPQYVVPTLRTPLFILNAAYDSWQIKNVLAPSPADPKKTWAQCKLDIKSCSPSQLTTLQNFRTDFLAALPKPSPSIGMFIDSCNAHCQSGAQDTWLADGSPLVNKTQIGKAVGDWYFDREVSQKIDCPYPCNPTCKNREDD >PVH35919 pep chromosome:PHallii_v3.1:7:45106313:45106803:1 gene:PAHAL_7G306000 transcript:PVH35919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNFLITLDNTAIIKLSKSPYISFQCRTPSRFSLKFRDLERSTPKLQGNSSLD >PAN39173 pep chromosome:PHallii_v3.1:7:37305643:37311337:-1 gene:PAHAL_7G183800 transcript:PAN39173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAESLPERPSEEEFCAALRNGLVLCKVLNRVNPGAVPKVVENPVVTVQTFDGPAQSAIQYFENMRNFLVAVSEMNLLMFETSDIEKGGSSMKVVDCILCLKGYHEWKLSGGIGIWRYGGIVKIASSSKRPASHLTRGGGSDQQMLEFVHLLSEVSLEESRVEEVQHSLFQHFVLRVVRAFLLEWGEAEDLPLDDMVIETVLEQACKEFTILLASHRNQVRSLLRKMMKDDNGTLSKLDLIEVISKCLKENSECLFSSLRIPCGSREHLDDGGVLESQQDELEKLKMSFNEMKLQVESTRADWEKDLRRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFPKTQSDQRSTVDHIGENGEIMIANPQKQGKDGRKIFTFNKIFGPHASQSEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDVTAEETWGVNYRSLNDLFEISQTRADSMTYDVKVQMIEIYNEQVRDLLMTDGGNRRLEIRNNSHVNGLNIPDANIVPVKCAQDVLDLMKVGQRNRAVGSTALNERSSRSHSVLTVHVQGKEVISGSTLRGCLHLVDLAGSERVDKSEATGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPETDSFIETMSTLKFAERVATIELGAARANKEAGQVKDLKEEIAKLKMALDEKEREAAQFKDLANRVTSEMRNARTKSPLTASMSLKPEAGQESSVDTCTSEIRSSSSGKQRRFRSPLSARELDEKSPVINRELYLSAWKYKTPSPPVRSSLSAERGNFAKTSENTSSIDCTPVSKVELPPKVLSSSSRNTPSSVLTAQSLRKFRDSEENRCKIPSVRQSMTKNRSDSTPKAHKEEQSANRHSGTKVRSEAKNTRDSSEIENEFAGDGPTFHFNRKAKKLPSQATRQSQNIDLRASVREMEPLTEGRQRRNWSKPPYAERTNIPLPDIRRSASLPRGKNPLV >PAN38597 pep chromosome:PHallii_v3.1:7:37305643:37312598:-1 gene:PAHAL_7G183800 transcript:PAN38597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATAPAAGAHDAGMALRKAEEAAARRCEAARWLRQMEPAAAESLPERPSEEEFCAALRNGLVLCKVLNRVNPGAVPKVVENPVVTVQTFDGPAQSAIQYFENMRNFLVAVSEMNLLMFETSDIEKGGSSMKVVDCILCLKGYHEWKLSGGIGIWRYGGIVKIASSSKRPASHLTRGGGSDQQMLEFVHLLSEVSLEESRVEEVQHSLFQHFVLRVVRAFLLEWGEAEDLPLDDMVIETVLEQACKEFTILLASHRNQVRSLLRKMMKDDNGTLSKLDLIEVISKCLKENSECLFSSLRIPCGSREHLDDGGVLESQQDELEKLKMSFNEMKLQVESTRADWEKDLRRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFPKTQSDQRSTVDHIGENGEIMIANPQKQGKDGRKIFTFNKIFGPHASQSEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDVTAEETWGVNYRSLNDLFEISQTRADSMTYDVKVQMIEIYNEQVRDLLMTDGGNRRLEIRNNSHVNGLNIPDANIVPVKCAQDVLDLMKVGQRNRAVGSTALNERSSRSHSVLTVHVQGKEVISGSTLRGCLHLVDLAGSERVDKSEATGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPETDSFIETMSTLKFAERVATIELGAARANKEAGQVKDLKEEIAKLKMALDEKEREAAQFKDLANRVTSEMRNARTKSPLTASMSLKPEAGQESSVDTCTSEIRSSSSGKQRRFRSPLSARELDEKSPVINRELYLSAWKYKTPSPPVRSSLSAERGNFAKTSENTSSIDCTPVSKVELPPKVLSSSSRNTPSSVLTAQSLRKFRDSEENRCKIPSVRQSMTKNRSDSTPKAHKEEQSANRHSGTKVRSEAKNTRDSSEIENEFAGDGPTFHFNRKAKKLPSQATRQSQNIDLRASVREMEPLTEGRQRRNWSKPPYAERTNIPLPDIRRSASLPRGKNPLV >PAN38598 pep chromosome:PHallii_v3.1:7:37305923:37312032:-1 gene:PAHAL_7G183800 transcript:PAN38598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATAPAAGAHDAGMALRKAEEAAARRCEAARWLRQMEPAAAESLPERPSEEEFCAALRNGLVLCKVLNRVNPGAVPKVVENPVVTVQTFDGPAQSAIQYFENMRNFLVAVSEMNLLMFETSDIEKGGSSMKVVDCILCLKGYHEWKLSGGIGIWRYGGIVKIASSSKRPASHLTRGGGSDQQMLEFVHLLSEVSLEESRVEEVQHSLFQHFVLRVVRAFLLEWGEAEDLPLDDMVIETVLEQACKEFTILLASHRNQVRSLLRKMMKDDNGTLSKLDLIEVISKCLKENSECLFSSLRIPCGSREHLDDGGVLESQQDELEKLKMSFNEMKLQVESTRADWEKDLRRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFPKTQSDQRSTVDHIGENGEIMIANPQKQGKDGRKIFTFNKIFGPHASQSEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDVTAEETWGVNYRSLNDLFEISQTRADSMTYDVKVQMIEIYNEQVRDLLMTDGGNRRLEIRNNSHVNGLNIPDANIVPVKCAQDVLDLMKVGQRNRAVGSTALNERSSRSHSVLTVHVQGKEVISGSTLRGCLHLVDLAGSERVDKSEATGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPETDSFIETMSTLKFAERVATIELGAARANKEAGQVKDLKEEIAKLKMALDEKEREAAQFKDLANRVTSEMRNARTKSPLTASMSLKPEAGQESSVDTCTSEIRSSSSGKQRRFRSPLSARELDEKSPVINRELYLSAWKYKTPSPPVRSSLSAERGNFAKTSENTSSIDCTPVSKVELPPKVLSSSSRNTPSSVLTAQSLRKFRDSEENRCKIPSVRQSMTKNRSDSTPKAHKEEQSANRHSGTKVRSEAKNTRDSSEIENEFAGDGPTFHFNRKAKKLPSQATRQSQNIDLRASVREMEPLTEGRQRRNWSKPPYAERTNIPLPDIRRSASLPRGKNPLV >PAN40878 pep chromosome:PHallii_v3.1:7:47713417:47716718:1 gene:PAHAL_7G347200 transcript:PAN40878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGSGDDVARPVAAMVLVQVVFAGVNIFYKLAVSNGMDMRVLVAYRYLFASAFLAPLAYFIERRNRTKLTWRVVVLSFICGLTGGSLAQNLYISGMKLTSATFASATTNLIPAFTFLLALIFRYERLAIRSCSGQAKLAGTFLGVAGAMLLTFYKGADITPWHSRRGPQHAGAGLHPAAAEAEATNRVMGSLLCISSCFFYALWLILQAKLSKEYPFHYSSTAIMCVMSTLQSVAFALCYDRDVAQWRLRFDVRLLSVVYSGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLLVVAVLSSLLLGEKLHLGSALGAVLIVMGLYAVLWGKGRETKDAEVAKVGELPTTCITHPDHQQSEEDRWKQQDHQQQQRSTRR >PVH36064 pep chromosome:PHallii_v3.1:7:47713417:47716718:1 gene:PAHAL_7G347200 transcript:PVH36064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGSGDDVARPVAAMVLVQVVFAGVNIFYKLAVSNGMDMRVLVAYRYLFASAFLAPLAYFIERRNRTKLTWRVVVLSFICGLTGCDAIYRQTDAGPLLHVVVHSYRGSLAQNLYISGMKLTSATFASATTNLIPAFTFLLALIFRYERLAIRSCSGQAKLAGTFLGVAGAMLLTFYKGADITPWHSRRGPQHAGAGLHPAAAEAEATNRVMGSLLCISSCFFYALWLILQAKLSKEYPFHYSSTAIMCVMSTLQSVAFALCYDRDVAQWRLRFDVRLLSVVYSGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLLVVAVLSSLLLGEKLHLGSALGAVLIVMGLYAVLWGKGRETKDAEVAKVGELPTTCITHPDHQQSEEDRWKQQDHQQQQRSTRR >PAN37581 pep chromosome:PHallii_v3.1:7:29867207:29869415:-1 gene:PAHAL_7G104800 transcript:PAN37581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >PVH35624 pep chromosome:PHallii_v3.1:7:40125488:40126345:1 gene:PAHAL_7G227800 transcript:PVH35624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADACFTARPSDIVVATLPKSGTTWIKSLLYANVHRREHHPATAADNHPLISVGPHGCIKFFEYQLYTRNRVPDLDKLPDPRLFATHLPFVSLPRTIATSGSKIVYVCRDPKDHLISQWDFANKFRVMNQLEPLSVETAAELFCAGLSPFGPYWDHVLGYWHAHLTRPQQVLFFRYEEMQKEPAAHVRRLAEFVGHPFGVGEEEDGVVDAIVRVCSFEHMSGLEVTRSGKTDLVIGTVENSSFFRRGVVGDWANHLSPETARRIDVITAAKFMGSGLVLASNGQ >PAN40010 pep chromosome:PHallii_v3.1:7:43802575:43807423:-1 gene:PAHAL_7G284500 transcript:PAN40010 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MCSVYWGNVLSLMSCVELDIVRKKVVEISGRDEREVRVAACPYRICPLGAHIDHQGGIVTAMTINYGVLLGFVPSNDSEVLLRSGQFEGVIRFRVDDLQKPIDNPENINWESYARGAVYALQNNGYDLRKGIIGYISGVKGLDSSGLSSSAAAGIAYLLSLENVNDLVVSSVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKTASPSYVYFSELSKSQQPQGHLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALLQASGCEEASNILRNVDSAVYEAQKCVLEENLSRRAEHYFSEMKRVAKGRDAWARGNLQELGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESDRAEEAAAYVADEYEKAQPELVFRIPADRRVLVCEPGDSARVILPDDRLHS >PVH35810 pep chromosome:PHallii_v3.1:7:43802361:43808095:-1 gene:PAHAL_7G284500 transcript:PVH35810 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MEYSLVLCPLMIPRFYSDLANLKVLYDSENINWESYARGAVYALQNNGYDLRKGIIGYISGVKGLDSSGLSSSAAAGIAYLLSLENVNDLVVSSVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKTASPSYVYFSELSKSQQPQGHLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALLQASGCEEASNILRNVDSAVYEAQKCVLEENLSRRAEHYFSEMKRVAKGRDAWARGNLQELGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESDRAEEAAAYVADEYEKAQPELVFRIPADRRVLVCEPGDSARVILPDDRLHS >PAN40001 pep chromosome:PHallii_v3.1:7:43802350:43808095:-1 gene:PAHAL_7G284500 transcript:PAN40001 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MTINYGVLLGFVPSNDSEVLLRSGQFEGVIRFRVDDLQKPIDNPENINWESYARGAVYALQNNGYDLRKGIIGYISGVKGLDSSGLSSSAAAGIAYLLSLENVNDLVVSSVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKTASPSYVYFSELSKSQQPQGHLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALLQASGCEEASNILRNVDSAVYEAQKCVLEENLSRRAEHYFSEMKRVAKGRDAWARGNLQELGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESDRAEEAAAYVADEYEKAQPELVFRIPADRRVLVCEPGDSARVILPDDRLHS >PAN40000 pep chromosome:PHallii_v3.1:7:43802339:43808095:-1 gene:PAHAL_7G284500 transcript:PAN40000 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MVAPGGGGGGGGGGPARWPSEEELDIVRKKVVEISGRDEREVRVAACPYRICPLGAHIDHQGGIVTAMTINYGVLLGFVPSNDSEVLLRSGQFEGVIRFRVDDLQKPIDNPENINWESYARGAVYALQNNGYDLRKGIIGYISGVKGLDSSGLSSSAAAGIAYLLSLENVNDLVVSSVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKTASPSYVYFSELSKSQQPQGHLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALLQASGCEEASNILRNVDSAVYEAQKCVLEENLSRRAEHYFSEMKRVAKGRDAWARGNLQELGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESDRAEEAAAYVADEYEKAQPELVFRIPADRRVLVCEPGDSARVILPDDRLHS >PAN39190 pep chromosome:PHallii_v3.1:7:40064624:40069619:1 gene:PAHAL_7G226800 transcript:PAN39190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGTASASASGGSAVHDNADALEQGSSSQLRRRHAGGQQAGEAGGGDAGKEEPAPPSVEQAFADLPVPSWREQLTVRAFVVGSLLAVVFNIILMKIDLTTGINPSLNVCASLLSYFLVRVWTKAIESCGLLRQPFTRQENTMIQTCVVSAYGITFTGGFSSYLFGMSGTIANKVTEANDAQNIKEPHLGWMIGFMFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHAPQGTERAKKQVRTLGKYFSLSFLWAFFQWFYTAGKHCGFGSFPTLGLEAYKNRFFFDFSPTYVGVGMICPYIVNMSLLLGGIISWGVMWPLISTKKGSWYPETLPDSSLHGLQGYRVFITIAVILGDGMYNILKVLARIIEAFISRYRNRNTNMLPVSDDGTPVTNTDAESFDEKRRAELFMKDQIPTKVAFGGYVVLAAITIGCLPLIIPQLKWYHILAAYILAPALAFCNAYGCGLTNWSFASAYGKLAIFSFGAWAGASHGGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCIFWLFYKSFDVGASDGAYPAPYTIMYRNMAIMGVDGLSLPKHCLTLCYIFFAVSFTVNLMKDLVPKKVAKFIPIPMAVAIPFYVGAFFTIDMFLGCTILFIWEWKNKAEADSLGPAVASGFMCGDGLWALPEAVLSLANVKPPICMKFLSRSVNAKVDSFLEN >PVH34790 pep chromosome:PHallii_v3.1:7:7748939:7749871:-1 gene:PAHAL_7G032300 transcript:PVH34790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPMYDGVEYLEEGVFRCRVKMIIPQHPFRSLWSPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPTIDPSNSDWDVRTDHYGHLLGDVAEETVRIVTRFMDVQYRYQKLLRHGVSQITGVAQGHYRNADHRVTQIEELQALVTQKDEIIAARDETILHREDQIMESDHLITQRDAVIEFLQEHIHDLMLEADNAQAHIEELQQQPILPAIPLVPEEEEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PVH35060 pep chromosome:PHallii_v3.1:7:27744488:27747792:-1 gene:PAHAL_7G096300 transcript:PVH35060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPDSVQQAAGGEDSVQQPTDDRASSGRPARSQSGASTSGAGRKKRSQTKWPSDVKSCGRLNSEAAPEESSVLVRLARRIFENNIQPYVEYPIELHDKATKHAMKIISKAWRSYKNKLLKCWKKKENPFDKYADLTKEAWDELVEKWNTPEFQQSSEYFRGLRARNELDHHLGSAGYAGKQRKWEQEDEMLAARGIENPYESFEGRLAPFMRARSKLTEDGNINFYSTSAEEVAQRALMESSQGSNEGVREFDALTRALGTREQRGRVRGVSSQLTWKEGFPEHKGRYRKRTRDSSSKVDIDEIKKQVKMEMFGELKTIFESQGLSFPDMPGSTMSEERRDSFACTAAGASQSRGTERAIVPTSVEPDTIDGLARPTRCSLLVQLVGDSSFMEVGNGLVYPGMSQLEGVQVRADCAVVKIDYVHEFAKNIKLEVPPDDMTTTLRDAVARRVQWRRAGIHIDPADADSVPTSQPQPQSAAVPPTFSEPCPQLPDTRESLSEPHPPVPTQPQVTPPPPVPTEPATAPKKPSKANPVRKKQSRPMATKREISEGKKKVERIKQPVTRAYTSENPKYRVGKALLSVSELRAAGPYCMDLHKYYMQNVNQAEEIMVSFEERHFLQLEGNGNIFIVAWSDLFDLFNLDALDLSLIRCFALHMQQETRRRTGKKCGYIDPQLMTVTFMLTDRDSLVRYMVKCMRVHADKEHIVVPYNPGNHWVTLIINVRSKQVFYLDSSIPSDESGAPQIRDYSLVISILDESLDRHLRAKEGYKEQRQAAFTHHTAWTCTRQPSGNSCGFYVCHNMLLVAEKPDFTDEDDYFNQTTLGNVKDIRERLAGFLMMEVVNTKGEFHPR >PAN36857 pep chromosome:PHallii_v3.1:7:1161165:1166905:-1 gene:PAHAL_7G005500 transcript:PAN36857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPEMRVLHLRIHKGLLYCTYCRGPLRPNDCMRKPWMCAGGHIFCRECTSCHENACISGCLLMDGIMAQMKIECNFCHSGNIPYSSFFAHLCDHPPDPKLRDRQIESVFCPGECTLDANLLGCSECKLPLRPPIYKHLSRDSPVCGACYRGDIDNYLHCRELDYLVQDEYGSTRSSACNSTNIGKSKGKAPPRVRKMDNHIVDSNVDESDDDSSDDIHPETGKRVAETVLKTSAYNKKAKIATPSGEKMGAHPANN >PAN36855 pep chromosome:PHallii_v3.1:7:1161571:1166280:-1 gene:PAHAL_7G005500 transcript:PAN36855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPEMRVLHLRIHKGLLYCTYCRGPLRPNDCMRKPWMCAGGHIFCRECTSCHENACISGCLLMDGIMAQMKIECNFCHSGNIPYSSFFAHLCDHPPDPKLRDRQIESVFCPGECTLDANLLGCSECKLPLRPPIYKHLSRDSPVCGACYRGDIDNYLHCRELDYLVQDEYGSTRSSACNSTNIGKSKGKAPPRVRKMDNHIVDSNVDESDDDSSDDIHPETGKRVAETVLKTSAYNKKAKIATPSGGHKTGEKMGAHPANN >PAN36858 pep chromosome:PHallii_v3.1:7:1161165:1166905:-1 gene:PAHAL_7G005500 transcript:PAN36858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPEMRVLHLRIHKGLLYCTYCRGPLRPNDCMRKPWMCAGGHIFCRECTSCHENACISGCLLMDGIMAQMKIECNFCHSGNIPYSSFFAHLCDHPPDPKLRDRQIESVFCPGECTLDANLLGCSECKLPLRPPIYKHLSRDSPVCGACYRGDIDNYLHCRELDYLVQGITAKCVACEEYLPFSTLALHQLDDCPFKHKLQKIAPGSSAQKNLCDEYGSTRSSACNSTNIGKSKGKAPPRVRKMDNHIVDSNVDESDDDSSDDIHPETGKRVAETVLKTSAYNKKAKIATPSGEKMGAHPANN >PAN36856 pep chromosome:PHallii_v3.1:7:1161571:1166280:-1 gene:PAHAL_7G005500 transcript:PAN36856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPEMRVLHLRIHKGLLYCTYCRGPLRPNDCMRKPWMCAGGHIFCRECTSCHENACISGCLLMDGIMAQMKIECNFCHSGNIPYSSFFAHLCDHPPDPKLRDRQIESVFCPGECTLDANLLGCSECKLPLRPPIYKHLSRDSPVCGACYRGDIDNYLHCRELDYLVQGITAKCVACEEYLPFSTLALHQLDDCPFKHKLQKIAPGSSAQKNLCDEYGSTRSSACNSTNIGKSKGKAPPRVRKMDNHIVDSNVDESDDDSSDDIHPETGKRVAETVLKTSAYNKKAKIATPSGGHKTGEKMGAHPANN >PVH36022 pep chromosome:PHallii_v3.1:7:47330535:47335055:1 gene:PAHAL_7G340600 transcript:PVH36022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRQPGPTRISFLTGSVSRCSAPTDPRLQLAAPHPPQQRRSRRTTSRAVGRRTSHDDAEAQQKGTALSREARRRSHGGVGEGAARAGARGGGGARGRAPLPRALLQEFGRRRVQPPPARPLLDRQRTRRHARPRRTRRSGGQRGPDQRDRRRGLPQLRAPAAAPRLRRRCHHARTHRRVSISHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLFVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLIHAERIPDDEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKASLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRNISAYLGKELSGKVLSTFVRGNLVFAEDKHAKAACGATILAK >PVH36025 pep chromosome:PHallii_v3.1:7:47330536:47335055:1 gene:PAHAL_7G340600 transcript:PVH36025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRQPGPTRISFLTGSVSRCSAPTDPRLQLAAPHPPQQRRSRRTTSRAVGRRTSHDDAEAQQKGTALSREARRRSHGGVGEGAARAGARGGGGARGRAPLPRALLQGPRDSRQRWVYLPSFSLLSALSNLCWKLRRCSFSLSLLVAEFGRRRVQPPPARPLLDRQRTRRHARPRRTRRSGGQRGPDQRDRRRGLPQLRAPAAAPRLRRRCHHARTHRRVSISHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLFVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLIHAERIPDDEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKASLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRKTSTQRPPVVLQSSLSKIAGSKVDAELLQYCPC >PVH36024 pep chromosome:PHallii_v3.1:7:47330536:47335055:1 gene:PAHAL_7G340600 transcript:PVH36024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLIDVHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLFVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLIHAERIPDDEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKASLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRKTSTQRPPVVLQSSLSKIAGSKVDAELLQYCPC >PAN40784 pep chromosome:PHallii_v3.1:7:47330536:47335055:1 gene:PAHAL_7G340600 transcript:PAN40784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKGRLVPVLAVAAALAAVLLYRAPFSKSLGGEGCSLLPHDHFWIASERVVTLGRVGPAAVEVKGGLINAIAVGDYRNFVLRRPLLDYGDAVIMPGLIDVHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLFVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLIHAERIPDDEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKASLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRNISAYLGKELSGKVLSTFVRGNLVFAEDKHAKAACGATILAK >PVH36026 pep chromosome:PHallii_v3.1:7:47330536:47335055:1 gene:PAHAL_7G340600 transcript:PVH36026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKGRLVPVLAVAAALAAVLLYRAPFSKSLGGEGCSLLPHDHFWIASERVVTLGRVGPAAVEVKGGLINAIAVGDYRNFVLRRPLLDYGDAVIMPGLIDVHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLFVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLIHAERIPDDEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKASLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRKTSTQRPPVVLQSSLSKIAGSKVDAELLQYCPC >PAN40785 pep chromosome:PHallii_v3.1:7:47330536:47335055:1 gene:PAHAL_7G340600 transcript:PAN40785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLIDVHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLFVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLIHAERIPDDEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKASLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRNISAYLGKELSGKVLSTFVRGNLVFAEDKHAKAACGATILAK >PVH36023 pep chromosome:PHallii_v3.1:7:47330536:47335055:1 gene:PAHAL_7G340600 transcript:PVH36023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRQPGPTRISFLTGSVSRCSAPTDPRLQLAAPHPPQQRRSRRTTSRAVGRRTSHDDAEAQQKGTALSREARRRSHGGVGEGAARAGARGGGGARGRAPLPRALLQGPRDSRQRWVYLPSFSLLSALSNLCWKLRRCSFSLSLLVAEFGRRRVQPPPARPLLDRQRTRRHARPRRTRRSGGQRGPDQRDRRRGLPQLRAPAAAPRLRRRCHHARTHRRVSISHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLFVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLIHAERIPDDEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKASLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRNISAYLGKELSGKVLSTFVRGNLVFAEDKHAKAACGATILAK >PVH36021 pep chromosome:PHallii_v3.1:7:47330535:47335055:1 gene:PAHAL_7G340600 transcript:PVH36021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRQPGPTRISFLTGSVSRCSAPTDPRLQLAAPHPPQQRRSRRTTSRAVGRRTSHDDAEAQQKGTALSREARRRSHGGVGEGAARAGARGGGGARGRAPLPRALLQEFGRRRVQPPPARPLLDRQRTRRHARPRRTRRSGGQRGPDQRDRRRGLPQLRAPAAAPRLRRRCHHARTHRRVSISHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLFVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLIHAERIPDDEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKASLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRKTSTQRPPVVLQSSLSKIAGSKVDAELLQYCPC >PVH36055 pep chromosome:PHallii_v3.1:7:47604821:47605675:-1 gene:PAHAL_7G345500 transcript:PVH36055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTALNPSRPVCIYMAPHCTSLPPIHFPIVAVNHHIDHTSPSPLVLPEMMSGSRRRTAAAVLFLFLLATTLLGARFVDGARSMQQTTRSGDVVRPAPAMRLYGGYLPRPRVIPPSGPSEGHNAIGPEDDQRMMLRKP >PAN40593 pep chromosome:PHallii_v3.1:7:46517907:46521516:1 gene:PAHAL_7G326800 transcript:PAN40593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGKPEEVAAYQSSEAKQARLQSMLAALLEDPILADVSRKPSLADVDTLINLELGSAMRVTVVKLDNTSFDVAVSNAATVKDLKLAIRKKINEIEQEQMGHRHISWKHVWENYCLTHHNEKLIDDSSALSSHGVRNNSKVCFSPHIMSRVHRKHSRRRKHRFFHGLSKKL >PVH35978 pep chromosome:PHallii_v3.1:7:46517907:46521360:1 gene:PAHAL_7G326800 transcript:PVH35978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGKPEEVAAYQSSEAKQARLQSMLAALLEDPILADVSRKPSLADVDTLINLELGSAMRVTVVKLDNTSFVSNAATVKDLKLAIRKKINEIEQEQMGHRHISWKHVWENYCLTHHNEKLIDDSSALSSHGVRNNSKVCFSPHIMSRVHRKHSRRRKHRFFHGLSKKL >PAN40153 pep chromosome:PHallii_v3.1:7:44461933:44465738:1 gene:PAHAL_7G295000 transcript:PAN40153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIHQKAQAGALSPTKLRMKIMGAHNRVRVITSNSSSRTSPAKNIEASQAQNRLLVCDVLEEVSDNSDGTKHPSAINKTEAVGKDSALDVNKVQNTSKSSVPQPATSNSSMIHPVRPVEEDSTECDSGLDNASTSSFEFHGGEKTATQNPVAGYFSRQASSKWNDAEKWIVNRQNVNQNISKGTAQNQTVHQMNSAAARGVIVPKISGRPVQKMKRVNPALSAPRSILERLSFASYQPKVVRHADVCPVSSSSAIPEYHKATDAGSEIEVKPCNDPKAISTVQSVSVRDVGTEMTPIPSQEPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSVASPGEDNTDDGPYFNRKGVTHGNELSDTEMRLKTRQEIAALGIQLGKMNIATWARKEELELVSAAPSIADLERMKKEYAARAASYEEAENTKHTARFKKEEVKIEAWESRQRAKIESEMRRIEEHAERMRSEAMVKMAEKLEMTRRIAEEKRASANAKMNQQAAIAVQKAAKIRQTGRVPGSNILCCRGCFCGP >PAN38173 pep chromosome:PHallii_v3.1:7:35272986:35276358:-1 gene:PAHAL_7G152100 transcript:PAN38173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPQSTTPFPRRSRARLRSATRLRPRPRLAGKKRTQLRRAIYELGLSLSPPSFPPPLPLSRRLAARLVVETVESLLSSSRAGAGRGATTAAACEMEPAAAAAAMRKASSQASLADPDDFDLTRLLNHKPRINVERQRSFDDRSLSELSLSGAGAGGRGGWGGCGYSAGAESYESMYSPGGGLRSYCGTPASSTRLSFEPHPLVGEAWDALRRSLVSFRGQPLGTIAAVDHSAGEVLNYDQVFVRDFVPSALAFLMNGEPEVVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDMTLAEAPMCQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAEGKEIMERIVTRLAALSYHVRSYFWLDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLFDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQAAAIMDLIEERWEDLVGEMPVKICYPAIEGHEWQTVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLRRSASWTV >PAN37208 pep chromosome:PHallii_v3.1:7:20613211:20625711:1 gene:PAHAL_7G067900 transcript:PAN37208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSERSFLIESDDEDAAAVEDGKRGGHGGEESGDDDGSGSDSSSPCDSPRVVAARCGQPSSYTQQWPQSYRQSIDMYSSVHSPNLSFLGTPSLSRLSNSFLTNSFRGKPPEIISSLIKPLLPASTTPTSDDHQQQQQEDIRKSSHYLPPSRKASSLERIPEDHRPMVGEHEVGPYRQCSYFQGVMNGVNVLCGVGILSTPYAVKQGGWLGLVILAVLGALAWYTGILLRRCLDSKEGLETYPDIGHAAFGTAGRIIISIILYMELYACCIEYLILESDNLSNLFPNAHLTIGSLTLDSHVLFAILTALIVMPTTWLRDLSCLSFVSAGGVIASIVIVSCLFWVGLVDHVGTVKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFPAVLFTCIALSTVLFASAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTDLSCPWWDPSSPCLWPIFYHVPAFWLSLGVK >PVH34931 pep chromosome:PHallii_v3.1:7:20613211:20625711:1 gene:PAHAL_7G067900 transcript:PVH34931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSERSFLIESDDEDAAAVEDGKRGGHGGEESGDDDGSGSDSSSPCDSPRVVAARCGQPSSYTQQWPQSYRQSIDMYSSVHSPNLSFLGTPSLSRLSNSFLTNSFRGKPPEIISSLIKPLLPASTTPTSDDHQQQQQEDIRKSSHYLPPSRKASSLERIPEDHRPMVGEHEVGPYRQCSYFQGVMNGVNVLCGVGILSTPYAVKQGGWLGLVILAVLGALAWYTGILLRRCLDSKEGLETYPDIGHAAFGTAGRIIISIILYMELYACCIEYLILESDNLSNLFPNAHLTIGSLTLDSHVLFAILTALIVMPTTWLRDLSCLSFVSAGGVIASIVIVSCLFWVGLVDHVGTVKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFPAVLFTCIALSTVLFASAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTVTNPITKYALTMTPLALSLEELLPPNQQTYPNIVMLRSALVVSSLIVALSVPFFAFSGLVMSLVGSFLTMFVAYILPCACFLAILRSKVTWYQVALCVFIIVVGLCCASVGTYSSLSNIIQKYH >PAN37209 pep chromosome:PHallii_v3.1:7:20613211:20625711:1 gene:PAHAL_7G067900 transcript:PAN37209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSERSFLIESDDEDAAAVEDGKRGGHGGEESGDDDGSGSDSSSPCDSPRVVAARCGQPSSYTQQWPQSYRQSIDMYSSVHSPNLSFLGTPSLSRLSNSFLTNSFRGKPPEIISSLIKPLLPASTTPTSDDHQQQQQEDIRKSSHYLPPSRKASSLERIPEDHRPMVGEHEVGPYRQCSYFQGVMNGVNVLCGVGILSTPYAVKQGGWLGLVILAVLGALAWYTGILLRRCLDSKEGLETYPDIGHAAFGTAGRIIISIILYMELYACCIEYLILESDNLSNLFPNAHLTIGSLTLDSHVLFAILTALIVMPTTWLRDLSCLSFVSAGGVIASIVIVSCLFWVGLVDHVGTVKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFPAVLFTCIALSTVLFASAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTVTNPITKYALTMTPLALSLEELLPPNQQTYPNIVMLRSALVVSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRSKVTWYQVALCVFIIVVGLCCASVGTYSSLSNIIQKYH >PAN37894 pep chromosome:PHallii_v3.1:7:33348456:33349631:-1 gene:PAHAL_7G131600 transcript:PAN37894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRILPAAAAILLFAVAAVATKAPDYVIQGRVYCDTCRAGFETNVTEYMKGAKVRLECKHFGTGNVERAIDGVTDETGTYKVELKDSHEEDICEVVLVQSPRKDCDEVQELRDRASVLLTRNVGICDSVRLANPLGYFKDVPLPVCGELLKQLDLDDQTE >PAN39531 pep chromosome:PHallii_v3.1:7:41450814:41454098:-1 gene:PAHAL_7G249800 transcript:PAN39531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGATGCRAGASPATNMSGCATRNSPTAKPSPARSWRRYVSAFSVSVYRLPFVFVINRSQSLLAHHELRRFEQGASIQTIVCIPLMSGVLELGTTDPVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQFASSAAVVPWMISEALRKAIGKR >PVH35697 pep chromosome:PHallii_v3.1:7:41450814:41453112:-1 gene:PAHAL_7G249800 transcript:PVH35697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNLTKLLLEKKNLTKLGAHCQVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQFASSAAVVPWMISEALRKAIGKR >PAN39532 pep chromosome:PHallii_v3.1:7:41451659:41454059:-1 gene:PAHAL_7G249800 transcript:PAN39532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGATGCRAGASPATNMSGCATRNSPTAKPSPARSWRRYVSAFSVSVYRLPFVFVINRSQSLLAHHELRRFEQGASIQTIVCIPLMSGVLELGTTDPVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQVALRHGSCNAALFASSAAVVPWMISEALRKAIGKR >PVH35699 pep chromosome:PHallii_v3.1:7:41451659:41453109:-1 gene:PAHAL_7G249800 transcript:PVH35699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQVALRHGSCNAALFASSAAVVPWMISEALRKAIGKR >PAN39534 pep chromosome:PHallii_v3.1:7:41451659:41455877:-1 gene:PAHAL_7G249800 transcript:PAN39534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASLVRQDVPPGRQLRNQLAAAVRSINWSYAFFWSISNAQPGILTWTDGFYNGEVKTRKIANSAELTAEQLVMQRSEQLRELYEALLSGECDRRAARPVASLSPEDLGDTEWYYVVCMTYAFRPGQGLPGRSFASNEHVWLCNAQLADSKAFPRALLAKGASIQTIVCIPLMSGVLELGTTDPVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQVALRHGSCNAALFASSAAVVPWMISEALRKAIGKR >PAN39536 pep chromosome:PHallii_v3.1:7:41451659:41455877:-1 gene:PAHAL_7G249800 transcript:PAN39536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASLVRQDVPPGRQLRNQLAAAVRSINWSYAFFWSISNAQPGILTWTDGFYNGEVKTRKIANSAELTAEQLVMQRSEQLRELYEALLSGECDRRAARPVASLSPEDLGDTEWYYVVCMTYAFRPGQGLPGRSFASNEHVWLCNAQLADSKAFPRALLAKGASIQTIVCIPLMSGVLELGTTDPVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQVALRHGSCNAALFASSAAVVPWMISEALRKAIGKR >PAN39533 pep chromosome:PHallii_v3.1:7:41450814:41456362:-1 gene:PAHAL_7G249800 transcript:PAN39533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASLVRQDVPPGRQLRNQLAAAVRSINWSYAFFWSISNAQPGILTWTDGFYNGEVKTRKIANSAELTAEQLVMQRSEQLRELYEALLSGECDRRAARPVASLSPEDLGDTEWYYVVCMTYAFRPGQGLPGRSFASNEHVWLCNAQLADSKAFPRALLAKGASIQTIVCIPLMSGVLELGTTDPVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQFASSAAVVPWMISEALRKAIGKR >PVH35700 pep chromosome:PHallii_v3.1:7:41450814:41454098:-1 gene:PAHAL_7G249800 transcript:PVH35700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQFASSAAVVPWMISEALRKAIGKR >PVH35698 pep chromosome:PHallii_v3.1:7:41451659:41453102:-1 gene:PAHAL_7G249800 transcript:PVH35698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNLTKLLLEKKNLTKLGAHCQVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQVALRHGSCNAALFASSAAVVPWMISEALRKAIGKR >PAN39535 pep chromosome:PHallii_v3.1:7:41450814:41456362:-1 gene:PAHAL_7G249800 transcript:PAN39535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASLVRQDVPPGRQLRNQLAAAVRSINWSYAFFWSISNAQPGILTWTDGFYNGEVKTRKIANSAELTAEQLVMQRSEQLRELYEALLSGECDRRAARPVASLSPEDLGDTEWYYVVCMTYAFRPGQGLPGRSFASNEHVWLCNAQLADSKAFPRALLAKGASIQTIVCIPLMSGVLELGTTDPVVEDPDLVSRATASFWEMQFPACSQEPSSSPSANETGKAADIIVFEDLDHNAMEEMIAGGQELDESESLSNATLEHITKEIDEFYSLCEEMDVQPLEDCWIMDGSFEVPSPPQPAAGASTHDAATSSTPVRGSRATSFTAWTRSASDSGEVAVLVIEEPQKLLKKVVAGGAWASNGGGDTTRTAKESGIRNHVMSERKRREKLNEMFLVLKSLVPSIYKVNKASILAETIAYLKELQRRVHELESSREPISRPSETRRLTKRHDDGSARKKVSAGSKRKGSELGGDMVRDEHPWALSKDGASNVTVTVSDKDVLVEVQCRWEELLMTRVFDAIKNLHLDVLSVQASAPDGFMGLKIRAQFASSAAVVPWMISEALRKAIGKR >PVH34951 pep chromosome:PHallii_v3.1:7:22246667:22248983:1 gene:PAHAL_7G074300 transcript:PVH34951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPSASTTSPSSSSSSSAAASSEETHTRRGVHVLLLPVPGAQGHTNPMLQFGRRLVYHGLRPTLVTTRYVLSTTARPPPSPFRVAAISDGFNDGGMAACPDLAEYCRRLEAVGSETLAELLRSEAAEGRPVRVLVYDPHLPWARRVARAAGVAAAAFLSQPCSVDLIYGELSAGRLALPVTDGAELSRRGLLGVELGPDDVPPFAAKPDWCPAITQTLLRQFEGLEDADDVLVNSFRDIEPKEADYMERTWRAKAIGPCLPSFYLDDERLPSNKTYGFDLFTTTVSCMAWLDKQAPCSVVLVSYGTVSEYDETQLEELGNGLCNSGKPFLWVVRSNEEHKLSDQLREKCKEHGLIVSWCPQLEVLAHKAAGCFFTHCGWNSTLEAIANGIPMVAMPHWADQATISKYMESMWGMGLRVRKDETGLVTRNEVERCIKEVMDGDRKDEFRRNAAKWMHKAKEAMQKGGSSDKNIGEFAAKYSSR >PAN39809 pep chromosome:PHallii_v3.1:7:42827505:42837908:1 gene:PAHAL_7G270000 transcript:PAN39809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKRAASSKKSPSSQPSQPAKFGILHFFERQTQASQNAKRQKPDPPPPPPPPPPPPPPPEVEPSEVSPEVTKALAPKRVRFSPGMLIKQSQDDGAAEVVTWKVSPVNHRLGATKSRQFLGVPLHPCSNNEKNSSLEAMKKWHSSPLGLSRCTASGRNSGVIGSALAGCDGVEDSQSPFRTPPSLSYGCSQHLNGGATSEGGLEPLGAGQHKKALLDLLDQVEDAIMEEELPVDTGNKGGQAINEDNTNNSCSPVADGDLTFPSEKTADAPPLHSFLVLEVSEKHKTDDSACDRYPVKVLRLLNEHSGKECAVHLFDEWFHSLVGPGDTVNVIGEFIDQGKCIVDHDNNLVIVHPELLISGTRVASSFHCPRRSVLDDRLKSNEYSTSALIGTLLHQVFQAGLLEDSPSRQFLEQQAKEVLLKNIESLYACEVNESNMYSTLIEAIPKILNWLKCFLKGSKCSSVDFGHTEGRKTVGVTEVMDIEEMAWAPRYGLKGMIDASVISRVDSCNGGSHDKIMPLEFKTGKGTSGQTAMEHSAQVILYTLLMTERYLNKDIDLGLLYYLHTDQTLGIKVKRSDLIGLIMRRNELATEILKASISQSFPPMLQSPSTCNGCRHLNSCAIYHKAHGGNAATSGLGDLFDNLVNHLTVAHHNFLKHWDRLIDLEARASQVKKKNIFQPYHSNSGSRDCTPSYFALDIKNGHLIDSSGKCKRYIYNFVYHKMPPETIDQSNAQFDSLDFSLKCGDPVVLSTQSGRIAVANGSIRDISRSHITVSLPRRLRIPDSNSLSEPEDLKREIWRIDKDEFSSSFAIMRLNLVQLFAQNPQKSQLRNLIVDLEAPRFDSGGMFSQDPALSYIRSLPSLNSDQQRSLHKILGAKDYALILGMPGTGKTYTMVHAVKSLLMRGESILLTSYTNSAIDTLLMKLKSEGVDFIRIGRHEAVHPDVRDHCLSTAEVQSVDAIKARMDQVQVVGVTCLGMYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGVSLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYGNRLCCGSLEIANAKLKFSGRETVQLKLKEILNPDRAVIFANTDQIPALEAKDHRTVNNPTEAHIISWIIKELLRRGVPQDDIGIITPYNAQVNIIQQCTDDLVEVHTIDKYQGRDKECIIVSFVRSTANSKASGASLLGDWHRINVLLTRAKKKLIMVGSRGTLSTIPLLRLLVDKVAEIGGLLDLTNNDVHSLRELRGSRLNAQ >PAN40706 pep chromosome:PHallii_v3.1:7:46934411:46939796:-1 gene:PAHAL_7G334600 transcript:PAN40706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MAPSPPTPTAPKTIADFFARPAKRLRAGAPAPASASLSSSSLSPEQRRRADTNLALARMRRNLRLAESKAKASGGAAKLEELLVEETWVEALDGELRKPYALELCRFVTHERLHGPLPVYPPQHLVFHALNTTPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGIKKPSSLGNIFKELEKDLGCTVPSHGNLERWAAQGVLMLNTVLTVREHQANSHAKKGWEEFTDAVIKTISQKKSGLVFLLWGNSAQSKTRLIDGTKHHILKSAHPSGLSANRGFFGCRHFSKTNQILEKLGLSAIDWQL >PVH35580 pep chromosome:PHallii_v3.1:7:39357790:39360625:-1 gene:PAHAL_7G216800 transcript:PVH35580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSADGASTMAFFSSGSRALVEILTRMQSAERPMPVDHTFFEFGSIRYHVEASASDPENVYLSISTPSLSHEAAPSSTGLPEATLQETRKAYHKFAEVVEPPREGYVLTLKLNFSGLARPKDRVKAINQVSLLQSVVLSSQLRDMLGSLGPFGTMKLVYSQREPFFVSNTAEKIHAIFPMRFRDDTDLAIATSFFQELQDLGNSFARAPRCSWSPIPPPELRGEHVDRLTTNGGFVSFGVLSRHVRGKKRAAKTAWILLNFQAYVKYHIKCTRSHIQSRMRQRLEALTEVIQDASLRGNHDKTRSQGSRSRVAREAAGVLVSGFQLVNYQERVLSNSNGNRRSTNPPRIDAQVPDANEKRAAWHGRCLET >PVH35578 pep chromosome:PHallii_v3.1:7:39356024:39360692:-1 gene:PAHAL_7G216800 transcript:PVH35578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSADGASTMAFFSSGSRALVEILTRMQSAERPMPVDHTFFEFGSIRYHVEASASDPENVYLSISTPSLSHEAAPSSTGLPEATLQETRKAYHKFAEVVEPPREGYVLTLKLNFSGLARPKDRVKAINQVSLLQSVVLSSQLRDMLGSLGPFGTMKLVYSQREPFFVSNTAEKIHAIFPMRFRDDTDLAIATSFFQELQDLGNSFARAPRCSWSPIPPPELRGEHVDRLTTNGGFVSFGVLSRHVRGKKRAAKTAWILLNFQAYVKYHIKCTRSHIQSRMRQRLEALTEVIQDASLRGNHDKTRSQAVLKKRRSKRRPISFAKANTKLQKGFRAILNKVKRLRLRIRVKGLDRLWRQCRCFAVPRLPVPPRTKEKEHRYHKLASVRSREQG >PVH35579 pep chromosome:PHallii_v3.1:7:39356071:39360624:-1 gene:PAHAL_7G216800 transcript:PVH35579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSADGASTMAFFSSGSRALVEILTRMQSAERPMPVDHTFFEFGSIRYHVEASASDPENVYLSISTPSLSHEAAPSSTGLPEATLQETRKAYHKFAEVVEPPREGYVLTLKLNFSGLARPKDRVKAINQVSLLQSVVLSSQLRDMLGSLGPFGTMKLVYSQREPFFVSNTKIVFLHPLQAEKIHAIFPMRFRDDTDLAIATSFFQELQDLGNSFARAPRCSWSPIPPPELRGEHVDRLTTNGGFVSFGVLSRHVRGKKRAAKTAWILLNFQAYVKYHIKCTRSHIQSRMRQRLEALTEVIQDASLRGNHDKTRSQAVLKKRRSKRRPISFAKANTKLQKGFRAILNKVKRLRLRIRVKGLDRLWRQCRCFAVPRLPVPPRTKEKEHRYHKLASVRSREQG >PAN40067 pep chromosome:PHallii_v3.1:7:42725654:42727955:-1 gene:PAHAL_7G268000 transcript:PAN40067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPPRKALMTSAPSAARQRALRRHALRRSAAAFVLATLVALPLAVLYRAAVSRPPGASWGWETSLPSLVASEEEEEGAEDSEDIKLERVLKKASTGDNTVILTTLNAAWASPGSVIDLFIDSFHSGVRTNSLLKHLVIVAFDRKAYEQCVKIHCYCFALGTEGVDFSEEKRFLTAGYLEMMWRRLDFLRLVLEKGYNFIFSDADIMWFRNPFPHFYPDVDFQIACDHYVGNATDLRNIANGGFSYVKSNERSIEFYSFWYSSRLRYPGYHDQDVFNAIKHDPYIVGIGLTIKFLSTKYFGGFCEPSRDLNKVCTMHANCCIGLRSKIHDLRIMMEDWKSYLSLPPNLKRLQTSAWRVPQNCSLSSSHR >PAN40721 pep chromosome:PHallii_v3.1:7:46972473:46975475:1 gene:PAHAL_7G335400 transcript:PAN40721 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G18620) UniProtKB/TrEMBL;Acc:A0A178V949] MAEQEQRPLLEVEQCVTSIPEDHEATCWGCGLRLVFASYSPVYKCGWCGAITQSNQTARKPDSVCFSHWRRLRDTFFVTVLILFMLFVICGGVWAVYPIIFSVSKFCGIFHCMVTAILALCTITSYCLASFKSAGAPTSIRWGSYPMVGKNDLENYTFCTYCNKPKPPRAHHCRSCKMCVVDMDHHCPFIGNCVGASNHQVFVIFLISVVISCAYAASMTIYASYKTWPSVDFPNLESSRHSMSSVKILLEIITTIAGSAFFLSARGLVLVYLAFASLSVNAGIGVLLCQQLSYIYEGNTYLNHLSSPNAMHGERGLQNIVRFFGCPYPISRALLRYSNTGKLQDNSGSKLL >PVH36004 pep chromosome:PHallii_v3.1:7:46972473:46975475:1 gene:PAHAL_7G335400 transcript:PVH36004 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G18620) UniProtKB/TrEMBL;Acc:A0A178V949] MAEQEQRPLLEVEQCVTSIPEDHEATCWGCGLRLVFASYSPVYKCGWCGAITQSNQTARKPDSVCFSHWRRLRDTFFVTVLILFMLFVICGGVWAVYPIIFSVSKFCGIFHCMVTAILALCTITSYCLASFKSAGAPTSIRWGSYPMVGKNDLENYTFCTYCNKPKPPRAHHCRSCKMCVVDMDHHCPFIGNCVGASNHQVFVIFLISVVISCAYAASMTIYASYKTWPSVDFPNLESSRHSMSSVKILLEIITTIAGSAFFLSARGLVLVYLAFASLSVNAGIGVLLCQQLSYIYEGNTYLNHLSSPNAMHGERGLQNIVRFFGCPYPISRALLRYSNTGKLQDNSGSKLL >PAN38821 pep chromosome:PHallii_v3.1:7:38291241:38294211:1 gene:PAHAL_7G200400 transcript:PAN38821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWESAKSVFGDRRDDGEDGEEDGGSVGCFPRIRRKLSRNTYAYTPDPEGHGGGPAPEEVVTVEVPEVPLRELNEITASFSGERLIGQGSYAKVYLATLRGGRHAVVKRLEKPSKHASNVVFLKQLSVASRLRHDNFVRLLGYTISNDLRVLVYEYATMGTLHDVLHGDREALAGQGGGGRPVLSWIHRVHIALDAARGLEYLHKLVRPAVTHKDVRSTNVLLFEGFRAKLADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPKLTEDRVQEYIDPKLGDQYPPAGALKLGRIALQCLQYDPTFRPSMGTVARVINYAVLRDQQGVV >PVH35176 pep chromosome:PHallii_v3.1:7:31799125:31799409:-1 gene:PAHAL_7G117600 transcript:PVH35176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFDTLEHCNCMHHCFCSAAKIVCNGSCSAMFSAEAVLHEFITYSFGVVYGDLSTSPFYAYKSIFCGKLRQYSIRRWRNCNQCTFLHILDIHSY >PVH35732 pep chromosome:PHallii_v3.1:7:42075961:42079147:1 gene:PAHAL_7G257700 transcript:PVH35732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MIQEAKQTLAPQALANSPIFPPQSRGFPLPPHKRNPPARAMAAASSAAAPAPADTYDIPWVEKYRPTRVADVVGNSDAVARLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPDGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHIKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAP >PAN37842 pep chromosome:PHallii_v3.1:7:32853779:32856998:1 gene:PAHAL_7G127300 transcript:PAN37842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPAAAPCRFLSPLATAASRALLSSPTPTTRRRLLLSTTTTTIAAAMAASSQSEGSASSPCKVLDSHLHVWASSQQAKEGYPYFPGQEATLRGDADFLLECMAEAGVDGALIVQPINHMFDHSLVTSVLKKCPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMTMKGIGLYIQEIEELCRDYPETTVILDHMAFCKPPTNTEEEKAFSSFLNLSRFPKVYVKYSALFRISREAYPYEDTAQLLSRVISNYGANRIMWGSDFPFVVPECGYKGAKEAVSHAASKISVSPSDLEWILGKTVSQLFQGAWVAP >PAN39215 pep chromosome:PHallii_v3.1:7:40153699:40158536:1 gene:PAHAL_7G228200 transcript:PAN39215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASSQKDAVGGHRRRPGSVGDVVVFLPGLRVPRSVDLAQALGGRLDRSIVERMSALRARVVEMAMQESAAALKPRRKTAAARHGSRTANLLQALEDYLPFLLGLVKEGSTLRHTVQFTWTNQEDNAAEETTMADAWYEVLSVLHLMAMVCLLQANTLLLPRSYGDGYAPRVSEESRRATVDVFLKAAGYLDCAIRHVIPQIPPELRRQLPVDLVEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWQQVQESIPDLPDSDGWGKKHRLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAIAALQASEEFLKESKRVSEAFHATPPTSRSPTPFGTAKYMFDKIPKDASSKVRINQDLYTQEKVIGTPPPLPDFALALMPDDYDLPPLDPLWNKEDRRQ >PAN39214 pep chromosome:PHallii_v3.1:7:40153699:40158536:1 gene:PAHAL_7G228200 transcript:PAN39214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASSQKDAVGGHRRRPGSVGDVVVFLPGLRVPRSVDLAQALGGRLDRSIVERMSALRARVVEMAMQESAAALKPRRKTAAARHGSRTANLLQALEDYLPFLLGLVKEGSTLRHTVQFTWTNQEDNAAEETTMADAWYEVLSVLHLMAMVCLLQANTLLLPRSYGDGYAPRVSEESRRATVDVFLKAAGYLDCAIRHVIPQIPPELRRQLPVDLVEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWQQVQESIPDLPDSDGWGKKHRLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAIAALQASEEFLKESKRVSEAFHATPPTSRSPTPFGTAKYMFDKIPKDASSKVRINQDLYTQEKVIGTPPPLPDFALALMPDDYDLPPLDPLWNKEDRRQ >PAN39213 pep chromosome:PHallii_v3.1:7:40153699:40158536:1 gene:PAHAL_7G228200 transcript:PAN39213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASSQKDAVGGHRRRPGSVGDVVVFLPGLRVPRSVDLAQALGGRLDRSIVERMSALRARVVEMAMQESAAALKPRRKTAAARHGSRTANLLQALEDYLPFLLGLVKEGSTLRHTVQFTWTNQEDNAAEETTMADAWYEVLSVLHLMAMVCLLQANTLLLPRSYGDGYAPRVSEESRRATVDVFLKAAGYLDCAIRHVIPQIPPELRRQLPVDLVEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWQQVQESIPDLPDSDGWGKKHRLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAIAALQASEEFLKESKRVSEAFHATPPTSRSPTPFGTAKYMFDKIPKDASSKVRINQDLYTQEKVIGTPPPLPDFALALMPDDYDLPPLDPLWNKEDRRQ >PAN39805 pep chromosome:PHallii_v3.1:7:42811335:42814691:1 gene:PAHAL_7G269600 transcript:PAN39805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRANVDSKFRLAQRVLSSISFYCLFFPSLDGPLVELLVIFRASDLQRTNLGCYLFSACHSKGFEMGEAGEIQLQIAGIRGQEGGDDHGQRAGNGGACPPTTAAPRPALSKRLAWWALVLVNVVFVLAGQSVATLLGRIYYDQGGKSLWMQTVVQSCGTPLAIPLLLYFRTRKSSSSSSVAPAARPPLAKLAAIYAGLGVLLAGDNLMYSYGLLYLPMSTYSIIGASQVSFNAVFSYFLNKEKLQALILNSVVLLTFSAALVGVSHGSDGSGSDIPKGKFPAGFALTLSASALFSLILSLMQLTFEEVLKSDTLPTVLEMQFWSNTAAACVSVAGLFASGEWRGIAGEMAAYRKGEVAYAMTLAWTAVSWQLCTMGLMGLVAAVSSLFTNVISTVGTPLSPVIAVIFLGDRVDGEKLLAMLIGVWGLLSYVYQHYLDDSAKAKKMGEKSDEQLQAGKISAE >PVH35714 pep chromosome:PHallii_v3.1:7:41602620:41605849:-1 gene:PAHAL_7G252800 transcript:PVH35714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDEHSVVLQACVRCILRLLGAYNTACSCASLTSSVLHSFLEEHDDSIKGASCSCLSADDSYCSVCLGILLPVWHQDDGLEMPHDENISSMISRVVQKEGFQVDEFSLEISLPAVIAANERAFRLYMKQKFGNEDWFKDKMFPQQTMSAKEALRLLIVPSLEKQMNAKHGSSSFRIRLTYTHGDASQKLHSLLPNEHSHKRKPGSRNGSDTSNEAHKRNSTDANNKQISESDSFIYKTLESMHDQEFCNLIQLPPEKVSKPCHLVISCLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICRGDSCKFHAAGREDIDVRMLGSGRPFLVELLNVRSIPSEIEVQQIEDRINNSEKKSVRVRNLKLVGNEIWTMMREGEAEKQKQYAALIWTSRELAENDLHNISVTKDMEIVQKTPIRVLHRRSPLERKRIIHWMQIEKVKNGSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAILGCRAEILQLDVTDVKMDFLQ >PVH35713 pep chromosome:PHallii_v3.1:7:41602220:41605938:-1 gene:PAHAL_7G252800 transcript:PVH35713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLYYRPVYAASCACWEPITLPAHDSYCSVCLGILLPVWHQDDGLEMPHDENISSMISRVVQKEGFQVDEFSLEISLPAVIAANERAFRLYMKQKFGNEDWFKDKMFPQQTMSAKEALRLLIVPSLEKQMNAKHGSSSFRIRLTYTHGDASQKLHSLLPNEHSHKRKPGSRNGSDTSNEAHKRNSTDANNKQISESDSFIYKTLESMHDQEFCNLIQLPPEKVSKPCHLVISCLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICRGDSCKFHAAGREDIDVRMLGSGRPFLVELLNVRSIPSEIEVQQIEDRINNSEKKSVRVRNLKLVGNEIWTMMREGEAEKQKQYAALIWTSRELAENDLHNISVTKDMEIVQKTPIRVLHRRSPLERKRIIHWMQIEKVKNGSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAILGCRAEILQLDVTDVKMDFLQ >PAN39574 pep chromosome:PHallii_v3.1:7:41602620:41606393:-1 gene:PAHAL_7G252800 transcript:PAN39574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNASAEAEARSILERAAESFPPLHAVHHLLSVGACVRCILRLLGAYNTACSCASLTSSVLHSFLEEHDDSIKGASCSCLSADDSYCSVCLGILLPVWHQDDGLEMPHDENISSMISRVVQKEGFQVDEFSLEISLPAVIAANERAFRLYMKQKFGNEDWFKDKMFPQQTMSAKEALRLLIVPSLEKQMNAKHGSSSFRIRLTYTHGDASQKLHSLLPNEHSHKRKPGSRNGSDTSNEAHKRNSTDANNKQISESDSFIYKTLESMHDQEFCNLIQLPPEKVSKPCHLVISCLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICRGDSCKFHAAGREDIDVRMLGSGRPFLVELLNVRSIPSEIEVQQIEDRINNSEKKSVRVRNLKLVGNEIWTMMREGEAEKQKQYAALIWTSRELAENDLHNISVTKDMEIVQKTPIRVLHRRSPLERKRIIHWMQIEKVKNGSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAILGCRAEILQLDVTDVKMDFLQ >PAN39576 pep chromosome:PHallii_v3.1:7:41602620:41606380:-1 gene:PAHAL_7G252800 transcript:PAN39576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLRRRHGASLNGPRSPSRHSTPSTTSSPSGPVYAASCACWEPITLPAPDDSYCSVCLGILLPVWHQDDGLEMPHDENISSMISRVVQKEGFQVDEFSLEISLPAVIAANERAFRLYMKQKFGNEDWFKDKMFPQQTMSAKEALRLLIVPSLEKQMNAKHGSSSFRIRLTYTHGDASQKLHSLLPNEHSHKRKPGSRNGSDTSNEAHKRNSTDANNKQISESDSFIYKTLESMHDQEFCNLIQLPPEKVSKPCHLVISCLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICRGDSCKFHAAGREDIDVRMLGSGRPFLVELLNVRSIPSEIEVQQIEDRINNSEKKSVRVRNLKLVGNEIWTMMREGEAEKQKQYAALIWTSRELAENDLHNISVTKDMEIVQKTPIRVLHRRSPLERKRIIHWMQIEKVKNGSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAILGCRAEILQLDVTDVKMDFLQ >PAN39575 pep chromosome:PHallii_v3.1:7:41602220:41606710:-1 gene:PAHAL_7G252800 transcript:PAN39575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLRRRHGASLNGPRSPSRHSTPSTTSSPSGPVYAASCACWEPITLPAHDSYCSVCLGILLPVWHQDDGLEMPHDENISSMISRVVQKEGFQVDEFSLEISLPAVIAANERAFRLYMKQKFGNEDWFKDKMFPQQTMSAKEALRLLIVPSLEKQMNAKHGSSSFRIRLTYTHGDASQKLHSLLPNEHSHKRKPGSRNGSDTSNEAHKRNSTDANNKQISESDSFIYKTLESMHDQEFCNLIQLPPEKVSKPCHLVISCLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICRGDSCKFHAAGREDIDVRMLGSGRPFLVELLNVRSIPSEIEVQQIEDRINNSEKKSVRVRNLKLVGNEIWTMMREGEAEKQKQYAALIWTSRELAENDLHNISVTKDMEIVQKTPIRVLHRRSPLERKRIIHWMQIEKVKNGSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAILGCRAEILQLDVTDVKMDFLQ >PAN38595 pep chromosome:PHallii_v3.1:7:37297343:37301103:-1 gene:PAHAL_7G183600 transcript:PAN38595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAVGSAPPRPGLAAPTRYPHLRRPRTLAAARRPLAAAPMEAAGGGRPSPAPARCASAETESEVDEAATSSHAAEAEAAGATEQADNGSPVADAAAAGASIEGVDGIRIRRRPVTGPAVHYVGPFQFRLENEGNTPRNILEKIVWDKDVEVSQMKERRPLYMLKGALEAAPPARDFVGALKASYDRTGLPALIAEVKKASPSRGVLREDFDPVQIAQAYEKNGAACLSVLTDKKYFQGSFNNLEAIRNAGVQCPLLCKEFIVDAWQLYYARSKGADAVLIIAAVLPDRDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFEVDISNTKKLLEGERGRLIAQKDVIVVGESGLVTPEHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHAGAS >PVH35643 pep chromosome:PHallii_v3.1:7:40473217:40475260:1 gene:PAHAL_7G233800 transcript:PVH35643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVETDESLTEYERQRLERIRENEARLEALGLRGLAASPLLRNPSPAAAAMGKQKKRSADEDEEYVPSDDGRGEEDEDESSSESGQDDDMDGEGKSASRSRAKGKKKKLSKSSKSTKSAATKGSSSSTDFVDDDDALQQAIALSLAESSEKSVTAIRAETSSTVKEASEGTPYKNNGKTSVQDSAKNRKIKMLKLVKDISHRGILKEWLQ >PAN39293 pep chromosome:PHallii_v3.1:7:40473217:40475959:1 gene:PAHAL_7G233800 transcript:PAN39293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVETDESLTEYERQRLERIRENEARLEALGLRGLAASPLLRNPSPAAAAMGKQKKRSADEDEEYVPSDDGRGEEDEDESSSESGQDDDMDGEGKSASRSRAKGKKKKLSKSSKSTKSAATKGSSSSTDFVDDDDALQQAIALSLAESSEKSVTAIRAETSSTVKEASEGTPYKNNGKTSVQDSAKNRKIKMLGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATINDFIWTDSEISKMIRCFDSDGDGKINLEDFRSIISRCNMLREPEK >PAN39220 pep chromosome:PHallii_v3.1:7:40176974:40178451:1 gene:PAHAL_7G228800 transcript:PAN39220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRCFLPLNEQPTNRPFLAQQIRSSSRAPRARTDWPSQEPKYCGGLLDGPLQATTGPLTPPRASAPAPSIAPIARKYPPSTVASGSEARKAPERSNTAGGVCLLPMATSTVAGAALLCPSPRFPAALSRRGSPSAVAGRTRRRRRGAAGVVRACFNPLGDERILREAIKEPVAFMGGVFAGLLRLDLNEDPLKEWMTRTVEASGIAEENSSEESSEADQNDAPQQIEIE >PVH35625 pep chromosome:PHallii_v3.1:7:40176930:40181353:1 gene:PAHAL_7G228800 transcript:PVH35625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRCFLPLNEQPTNRPFLAQQIRSSSRAPRARTDWPSQEPKYCGGLLDGPLQATTGPLTPPRASAPAPSIAPIARKYPPSTVASGSEARKAPERSNTAGGVCLLPMATSTVAGAALLCPSPRFPAALSRRGSPSAVAGRTRRRRRGAAGVVRACFNPLGDERILREAIKEPVAFMGGVFAGLLRLDLNEDPLKEWMTRTVEASGIAEENSSEESSEADQNDAPQQIEIEANQTVGRCFPTNPMMVADQAGMRISFACKSPAPTCEASCYYGITVGTLEPEAVTVDLI >PAN39221 pep chromosome:PHallii_v3.1:7:40176928:40179296:1 gene:PAHAL_7G228800 transcript:PAN39221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRCFLPLNEQPTNRPFLAQQIRSSSRAPRARTDWPSQEPKYCGGLLDGPLQATTGPLTPPRASAPAPSIAPIARKYPPSTVASGSEARKAPERSNTAGGVCLLPMATSTVAGAALLCPSPRFPAALSRRGSPSAVAGRTRRRRRGAAGVVRACFNPLGDERILREAIKEPVAFMGGVFAGLLRLDLNEDPLKEWMTRTVEASGIAEENSSEESSEADQNDAPQQIEIE >PAN37981 pep chromosome:PHallii_v3.1:7:34118038:34120310:1 gene:PAHAL_7G138500 transcript:PAN37981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKQETMEETILVGDDLMRGPPPPVIPKEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERMQDSEYKLGSSMPLEEAKGRATQLQSEVTLLERLMSNTGPSKRITS >PAN37984 pep chromosome:PHallii_v3.1:7:34118244:34121889:1 gene:PAHAL_7G138500 transcript:PAN37984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKQETMEETILVGDDLMRGPPPPVIPKEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERMQDSEYKLGSSMPLEEAKGRATQLQSEVTLLERRLILASGLEGMEGFRQRWSLHGQLEDTRKRLVALNRGIGKRENQSSMGEGTKSAPAGKRLPAPLHELMTILVVSLSVE >PAN37982 pep chromosome:PHallii_v3.1:7:34118038:34121804:1 gene:PAHAL_7G138500 transcript:PAN37982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKQETMEETILVGDDLMRGPPPPVIPKEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERMQDSEYKLGSSMPLEEAKGRATQLQSEVTLLERRLILASGLEGMEGFRQRWSLHGQLEDTRKRLVALNRGIGKRENQSSMGEGTKSAPAGKRY >PAN37986 pep chromosome:PHallii_v3.1:7:34118037:34122307:1 gene:PAHAL_7G138500 transcript:PAN37986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKQETMEETILVGDDLMRGPPPPVIPKEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERMQDSEYKLGSSMPLEEAKGRATQLQSEVTLLERRLILASGLEGMEGFRQRWSLHGQLEDTRKRLVALNRGIGKRENQSSMGEGTKSAPAGKSTRCAGSANPKGSPIQLYNHFDLLIQMLRITY >PAN40650 pep chromosome:PHallii_v3.1:7:46716801:46720091:-1 gene:PAHAL_7G330900 transcript:PAN40650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase 4, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G22260) UniProtKB/Swiss-Prot;Acc:Q56X52] MAVASASPLPAALSAKPGPARSPPRPAPGFLPLRARRAGAAPRLGPVATWRRFRAEVIKTQREKQQTEAPVEESFPAREAAPLDGGADDPMVPSDESWVVKLEQSVNIFLTESVIMVLDGVYRDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEELGGNALWIDRFLARFMAFFYYFMTVGMYMLSPRMAYHFSECVERHAYSTYDKFLKLHEEELKRLPAPEAALNYYLNEDLYLFDEFQTARVPCSRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSTQNCLEADTECVIPENDCEGIVDCVKKSLTK >PAN37168 pep chromosome:PHallii_v3.1:7:20141876:20158005:-1 gene:PAHAL_7G066400 transcript:PAN37168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MASRPPLRTTSVASVSSSTDSPTSVGPPGGVPQSITALLNNPLPSAAASSYSWLPWPPPTIPLPDAAPTPPSHPCEVTRADFAPYLAAVSDPYARFADIRLHATAELAASSDTEGAPAASSGLAACLREVPALFFKEDFALEDGPTFQVACPLGDDGLQERLGQHLDVVEAHLVREIALRSESFYEAQGRLRGLDGEIVTAVGRIRELREVVRVLTGDLVGSARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVHAAVPDGKAVDAMISSNVKRKASSPSNGTEHEGNIHEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPVLTARPIDSDSVTGDRAVDADAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLQQAAEVKRLVEWIMGNLDGTLIVDASNPTVQHGGSVSDVSQENDYSVSSRVSNTLTRSTSKIPFVQGKANDLSIINSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPRLRLQEFLIIYNITEEFIAATEKVGGRLGYNIRGILQQQSKQFVDYQHSVRMTKIKAVLDQETWVAVDVPEEFQAIVLSLSSTYSSVNGMDMPSADDNSKFSDPTSQETAYSAENNADNGKLTSATGESKVESTSQTENNVIGNQRSTLQTIVHGGVGYHMVNCGLILLKMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFLKIPEARKHLLMSELDRVTQDYKVHRDEIHSKLVQIMRERLLANLRKLPQIVEGWNGPEDNELQPSQFAKAVTKEVTYLHRILSQTLLEVDVQTIFRQVVQIFHSHITEAFRKLEVSTPQAKNRLCRDVQHILTCIRKLPAENFSAETIPNYGLLDEFLAENFGTKVGE >PAN37167 pep chromosome:PHallii_v3.1:7:20143019:20158005:-1 gene:PAHAL_7G066400 transcript:PAN37167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MASRPPLRTTSVASVSSSTDSPTSVGPPGGVPQSITALLNNPLPSAAASSYSWLPWPPPTIPLPDAAPTPPSHPCEVTRADFAPYLAAVSDPYARFADIRLHATAELAASSDTEGAPAASSGLAACLREVPALFFKEDFALEDGPTFQVACPLGDDGLQERLGQHLDVVEAHLVREIALRSESFYEAQGRLRGLDGEIVTAVGRIRELREVVRVLTGDLVGSARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVHAAVPDGKAVDAMISSNVKRKASSPSNGTEHEGNIHEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPVLTARPIDSDSVTGDRAVDADAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLQQAAEVKRLVEWIMGNLDGTLIVDASNPTVQHGGSVSDVSQENDYSVSSRVSNTLTRSTSKIPFVQGKANDLSIINSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPRLRLQEFLIIYNITEEFIAATEKVGGRLGYNIRGILQQQSKQFVDYQHSVRMTKIKAVLDQETWVAVDVPEEFQAIVLSLSSTYSSVNGMDMPSADDNSKFSDPTSQETAYSAENNADNGKLTSATGESKVESTSQTENNVIGNQRSTLQTIVHGGVGYHMVNCGLILLKMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFLKIPEARKHLLMSELDRVTQDYKVHRDEIHSKLVQIMRERLLANLRKLPQIVEGWNGPEDNELQPSQFAKAVTKEVTYLHRILSQTLLEVDVQTIFRQVVQIFHSHITEAFRKLEVSTPQAKNRLCRDVQHILTCIRKLPAENFSAETIPNYGLLDEFLAENFGTKVGE >PVH34990 pep chromosome:PHallii_v3.1:7:24155912:24156427:1 gene:PAHAL_7G081900 transcript:PVH34990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSASAAISFESESTQEPTLEYDPIAAYEIHVPLHWDVEEWDFQPWSEDDESLIDDLHLLLGGELEEDDEDNASWEEDFFSSSKEEADSSSTEVDSVAGGFLLGASSEDDDEDDNDEEEETEDSSGFSGNSGGDDGSNVDDSSGDSDVTTAPPIKRRRTSGAYWW >PAN37908 pep chromosome:PHallii_v3.1:7:33462284:33463963:-1 gene:PAHAL_7G132500 transcript:PAN37908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLIITVDLECCRCSAKIQKVLCCIQDRGEFVIEKIVYEKDKVLVSGPFDADKLSSKLCCKAGRIIKKIEVKPPEKETPKSPKPELPCKPICPYPYPYPYPQPTWPCSCPTPYCCGCQSKPPPPKEESKSPETKSKPKPDPALCKLIYPYPYLYPCPQPAWPCSCPTPHCGCQSKPASTPPPAPAEPPKPPACQCPAWSPCYCSGGYPPYMPPPMPYPMVVCDESPPYGACTVM >PAN37656 pep chromosome:PHallii_v3.1:7:28398460:28400612:1 gene:PAHAL_7G098200 transcript:PAN37656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETAAGETRQQPHAVCMPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEFNHRRLLRSRGAASLASVPGFRFDAIPDGLPPSDSDATQDIPALCYSTMTTCLPHLRSLLARLNNGSGSPAPPVTCLVIDAVMSFGFDAAREIGVPVAALWTASACGFMGYRNYRGLIDRSLVPFRDEADLVDVAGGHLATVVTGARGMCDGVQLRDFPSFIRTTDRADIMLNFLLREAERLSLPDAVIVNTFEDLEGATLDAMRAILPPVYSVGPLILRERLEIPVGTPLAGLGSNLWKEEDGILDWLAGRMPRSVVYVNYGSITVMTNSQLLEFAWGLASSGYPFVWNIRPDLVKGDSAVLPPEFAAAVEGRALLPTWCPQEAVIQHEAVGVFLTHSGWNSTLESLCAGVPMVSWPFFAEQQTNCRYKRTEWGVGMEIGGEVRRDEVAAIIKEAMDGEKGREMRRRAEEWKEKAVKVTLPGGPAETNLDKVIDEVLLSKKKGQAVDA >PAN40508 pep chromosome:PHallii_v3.1:7:46091136:46092537:-1 gene:PAHAL_7G320900 transcript:PAN40508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTYETAEDAARAYDEAARLMSGPAARTNFPLSSGTGGTLSPTLRAKLEKCCTEESASKQPAQDGGADASGAERDGGGVGQEQQGVKAETGDDDGDEYIEEMIRELTYYGPVEIQHPSGSSGAAPACSSSPAIR >PAN39926 pep chromosome:PHallii_v3.1:7:43418925:43422347:-1 gene:PAHAL_7G279100 transcript:PAN39926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQPLHLLLAQPHHPRLPGLLSDHPTYCLPSSRLRLRFRRRRLKPLAAVPSRRPHGSQADASGRAGWEWAPNAAAALALQLAVCSLLFLFPSRARALALPPPAGAAAAVEAAQEEEDQEWEAALQKWKTKSYALSVPLRVIALRGSFPPSWIKDFVESQGKRLKFSPQLRANLDGIFSEMSQCMDKGQVQPKSAMAADIVSIGDSWLGYAICKGLLEPIKNAEEHDWFRSMSDRWKVHLCRNQNGEADPNGSVWGVPYRWGTMVIAYKKNKFKRHNLKPIQDWEDLWRPELTGKISMVDSPREVIGAVLKHLGSSYNTVDMETDVNGGRQAVLKSFTQLQKQVQLFDSMNYLKSFSVGDVWVAVGWSSDLIPAAKRMSNVAVIVPKSGSSLWADLWVIPCATRFQTDKIGGRTRGPSPLIHQWFDFCLQSARSLPFRQGVIPGASPLYLENPVPEVPQDRNKRKPKLDTNLVRGVPPPEILEKCEFLEPLSGKALEDYQWLVSRMQRPRPGLFGTVLQKISSVLDLKSRF >PAN39927 pep chromosome:PHallii_v3.1:7:43418923:43422347:-1 gene:PAHAL_7G279100 transcript:PAN39927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQPLHLLLAQPHHPRLPGLLSDHPTYCLPSSRLRLRFRRRRLKPLAAVPSRRPHGSQADASGRAGWEWAPNAAAALALQLAVCSLLFLFPSRARALALPPPAGAAAAVEAAQEEEDQEWEAALQKWKTKSYALSVPLRVIALRGSFPPSWIKDFVESQGKRLKFSPQLRANLDGIFSEMSQCMDKGQVQPKSAMAADIVSIGDSWLGYAICKGLLEPIKNAEEHDWFRSMSDRWKVHLCRNQNGEADPNGSVWGVPYRWGTMVIAYKKNKFKRHNLKPIQDWEDLWRPELTGKISMVDSPREVIGAVLKHLGSSYNTVDMETDVNGGRQAVLKSFTQLQKQVQLFDSMNYLKSFSVGDVWVAVGWSSDLIPAAKRMSNVAVIVPKSGSSLWADLWVIPCATRFQTDKIGGRTRGPSPLIHQWFDFCLQSARSLPFRQGVIPGASPLYLENPVPEVPQDRNKRKPKLDTNLVRGVPPPEILEKSLLVVKFCVGP >PAN40135 pep chromosome:PHallii_v3.1:7:44401997:44403585:-1 gene:PAHAL_7G294100 transcript:PAN40135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFLFGKKAEQAVQGDDDVHSVKVFSYNDLRKATQDFSGGNKIGEGGFGSVFRGVLRDGTVVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLSQTLLGTRYSNIRFNWRSRVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWGLYEEGRLEEIIDIDIGDDLDVEEACGFLKIGLLCTQDAMARRPNMTNVVRMLTGEKRIPVDKITRPAMITDFADLKVSSKEQRTSETRSPTTKSSFTTTELFSLSETPTQSSM >PAN40134 pep chromosome:PHallii_v3.1:7:44401764:44403843:-1 gene:PAHAL_7G294100 transcript:PAN40134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFLFGKKAEQAVQGDDDVHSVKVFSYNDLRKATQDFSGGNKIGEGGFGSVFRGVLRDGTVVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLSQTLLGTRYSNIRFNWRSRVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWGLYEEGRLEEIIDIDIGDDLDVEEACGFLKIGLLCTQDAMARRPNMTNVVRMLTGEKRIPVDKITRPAMITDFADLKVSSKEQRTSETRSPTTKSSFTTTELFSLSETPTQSSM >PAN40133 pep chromosome:PHallii_v3.1:7:44401758:44405289:-1 gene:PAHAL_7G294100 transcript:PAN40133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFLFGKKAEQAVQGDDDVHSVKVFSYNDLRKATQDFSGGNKIGEGGFGSVFRGVLRDGTVVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLSQTLLGTRYSNIRFNWRSRVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWGLYEEGRLEEIIDIDIGDDLDVEEACGFLKIGLLCTQDAMARRPNMTNVVRMLTGEKRIPVDKITRPAMITDFADLKVSSKEQRTSETRSPTTKSSFTTTELFSLSETPTQSSM >PVH35679 pep chromosome:PHallii_v3.1:7:41162887:41165032:1 gene:PAHAL_7G244300 transcript:PVH35679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGGSGSRSASAAPPWDLGMHWAPTGSSPPYPQQPLVARPGVGAASHYHQQQQELTCLKLGKRPCCWAGAAATPAAQAGTAGLPPQVHGNGAAAAGGASGAAAEGRRKEKAAAATAAAPRCQVEGCHAELAGAKDYHRRHKVCEAHSKAPRVVVLGAEQRFCQQCSRFHAVSEFDDAKRSCRRRLAGHNERRRKSNASEAMARGAAHPHGFGHGFLPPRGLPAGALSLLSSARGAGAPWLVPAPDISARSSAALDELIAENRAALLAWQFFDRSAPSRHHLAPPSSAGRAPPPPDHQAPGWPSPHHPHNGAGAGGGGRYFHAAPASAGHTTLDLMQLAAGAAATTAAPAGAPPFRPVPERVGAAARPPRTKDNGDASGCSSDAWAPAGGGGARAL >PAN39751 pep chromosome:PHallii_v3.1:7:42631852:42645273:-1 gene:PAHAL_7G266200 transcript:PAN39751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKVTINKEIPFGLRQLAAVLLKQFIKQHWQEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAISMAVAAIGQQDWPEDWPELLPLLLKLISDQNNGNGVRGALRCLALLSDDLDDTCVPKLVPELFPSLYKIISSPHLYENSLRAKALGIVHSCISMLGSMSGVYKRDTSSLMSSMLDPLIEQFSLILNSPVQSQNPDDWSMQMEVLKCLLQLVQNFPRLPEAKISAILPSLWHTFVSSFKIYHLSSIQGSEVLDSVDYDSDGSERSLESFEIQLFELWTTIVGNSRLAKVIGGNMKELAYYTIAFQQITEEQVQSWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGINSILEASKMRFHESQESKQAGSADWWRLHEASLFALGSLSEQLCEAQDSGFAKYNVRDLLEQMLTDIMVTGVHQYPFLHSRAFSIVAKFSSVISKEVSEQYLSYAARAIASDVPPPVKVGACRALAQLLPECNQDLIQPNVMGILSSLVDLLRQASDETLHLVLETLQSAIKSGGEQSTLIEPIISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLQPLVSRILPTIGSILGKPKIQSDGLVAGSLDLLTMVLKNAPTVVVKAVFDTCFVSTVQIVLESEDHGEMQNATECLAAFISGGRQELLVWSGEQGRTLKMLLDAASRLLDPALESSVSLFVGSFVLQLILQMPSHLSPYIPDLIAAIVRRMQTSSIAGLKSSLIVIIARLVHLSVPNVDQFINLLLSIPAQGYDNSLVYIMSVWSQLQGEIQGAYQIKVTTTALALLISTRHPELSKIEVQGHLIKTSTGITTRSKALVAPDQWTKIPLPAKIFSLLADTLAEIQEQIGGGSDDECEEDSDWEEVQNGNTSIADDIMYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLTKNDPVNEVKLADFLTNIFTNLWENDRPLFDCLCQGLSDSQRSAVEKVLRM >PVH34684 pep chromosome:PHallii_v3.1:7:1395886:1396487:-1 gene:PAHAL_7G006700 transcript:PVH34684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESRPLLPALILPKLLILANLLLLLLFFSIFFPRQFFQIHKNVSFLLALQLRIVPPLRSQMNICFYFHVL >PAN38152 pep chromosome:PHallii_v3.1:7:35205491:35208033:1 gene:PAHAL_7G150800 transcript:PAN38152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRRRHAGAGAAAGEWAAVSGAGAWRVEAVGKHQLMRRTGLPARDLRALDPALSYPSSIMGRDRAVVVNLERVRAVITATEVLVPGPRDPTVAPLVRELRARLMAAPAPAPAASPAPPPQEDGAADNVGALPPTPEVGGDGKDGQALGSDKVLPFEFRALEVCLEFACKSLDQETCTLEEEAYPALDELSSNVSTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDVDMAAMHLSEKLAYQAVDGNSMRPDADNEPSEFEEERDGEVEEEGGSSEGGYGNGTSATVFTPKIDELEILLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGIMLSTGTLVVSCAIAVTGVFGMNITIPLYTAATEGVFWQVTGGIVGATAAVYLVALLCYRRSGILQ >PAN38192 pep chromosome:PHallii_v3.1:7:35340731:35344402:-1 gene:PAHAL_7G153000 transcript:PAN38192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEDVLGPAGLSGGGAPPGLRLPLAAVAVKPKRRSSRVAQTPPQPEARIPGTQTIYVKTFGCSHNQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLIMKCKNANKPLVVAGCVPQGSHGLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVDRVKTVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNAIVAELPVDRSTMLRIGMTNPPFILEHLKEIAAVLRHPCVYSFLHVPVQSGSDAVLTAMNREYTVGEFRKVVDTLCELVPGMQIATDIICGFPGETDEDFAETVNLVKEYQFPQVHISQFYPRPGTPAARMKKVPSNDVKKRSRELTSVFESFSPYQGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADATITSVGRWSVFGEVIEGSVVVGEAPKQTSIEPQKEHIQNQVEEAGCCAIDSCGTCACSDAAQHCVPERCEDTLDAPQTSGDVNRQEAVQSTLVRRNVEGSTKASGSNAAQSVGKEQQANVVTRRGVNVDTVLWCGLAVSFAATVALLVLLTGKISSTSSY >PVH35469 pep chromosome:PHallii_v3.1:7:37881600:37882218:-1 gene:PAHAL_7G192800 transcript:PVH35469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFQDHTLLSACLPSANVFLAAYPDHHRNSDSTTTSAGFPVGAVSRTASRRISRGQGSQAQIRESRKGAPSIDVDQQRGAHGSSILPVMMAEEEGTGGDDVQGISHLEFLEQWCPVAITRRAKKFWSSSTMGTALIHLFSSSTMARCRWSTRRL >PVH35468 pep chromosome:PHallii_v3.1:7:37880806:37882232:-1 gene:PAHAL_7G192800 transcript:PVH35468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFQDHTLLSACLPSANVFLAAYPDHHRNSDSTTTSAGFPVGAVSRTASRRISRGQGSQAQIRESRKGAPSIDVDQQRGAHGSSILPVMMAEEEGTGGDDVQGISHLEFLEQWCPVAITRRAKKFWSSSTMGTALLNNGALSMEHAAALSCYPFMDLSFFNFTS >PVH35179 pep chromosome:PHallii_v3.1:7:32138487:32139925:-1 gene:PAHAL_7G120300 transcript:PVH35179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTGAGDNNADLPPPPSSASDKTGFLKTCFNGVNALSGIGLLSIPYALSQGGWSSLAVFLAIAVICCYTGILLQRCMDASALVTTYPDIGALAFGRRGRLAVAAFMYLELYLVAVDFLILEGDNLHKLFPGAGFRLGGLRVGSKQGFVLAATLAVLPTTWFSSLGVLAYAAAGGALASVVLIAAVMWVAVFDGVGFHERGRLVHWAGMPSAVSLYSFCFSGHAVFPMIYNGMKDRKRFPTVLFICFVVSTLSYGFMGVIGYLMYGEALMSQVTLNLPPGKVSSKVAIYTTLVNPLTKYALVVTPIAEAVEAALGVRKSRLLCVLVRTALVVGTAVVALAVPFFADVVALTGALLSCTATMLLPSLCYLRVRAKLGYKKPWLETAACVVIAAVGTAIVVLGTYSSVKQIVQRLK >PAN38279 pep chromosome:PHallii_v3.1:7:35901485:35902539:-1 gene:PAHAL_7G160300 transcript:PAN38279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRVGPGLLASYQGRVFAQVLDLFEKGRPPDGGDGEEPHLLEDPATTEPRKARLVSAAVVIFCVARTTCAPCTPSASPSPGKPSPATLRTSSCAPCRSAGRWCCGRISSAYALRSP >PAN36991 pep chromosome:PHallii_v3.1:7:4498725:4511168:1 gene:PAHAL_7G022200 transcript:PAN36991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec24-like At3g07100 [Source:Projected from Arabidopsis thaliana (AT3G07100) UniProtKB/Swiss-Prot;Acc:Q9SFU0] MQPPMGNERPPPPPGRPVSAFVPGAAVPPPPFAAGGPFVPPPRQGAPPPQPGAAAPPFGAAQPAAMGGYRGPPPSQGPFAAAPPPQRPFTSAPPPQGPFTSAPPSQGPFASAPPPQGPFTSAPPSQGLFTSAPPSQAPFAAGPPPQGPFATSAPAPFRPPPSSSVGQPQSPTGGSLPPPNYVRPPPLQSQGFYPGAQPVNPQFPMSRPAFQQPVQTMPPPPMGPTATFGNQAAYPSAGPPVGGTLQSLVEDFQSLALSSAPGSLDPGIDVKGLPRPLDGDEEPVKLLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRYDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDELPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFHDNINVESALGPALKAALMVMSQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSEKYSDIASLGSLAKYTGGQVYHYPSFQAATHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAATPVVTDLSEMYRQADTGAIVSLLGRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIFPESLRFLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPVKRLLNFVYPSLYRVDEVLTMEPNKVDTSLKRLPLTMQCLHTGGLYLLDDGFSFIVWLGRMLPPELVSNILGVSLANFPDLSKIQLRECDNEFSRNFMKILKNLREKDPSYQQLCRVVRQGEQPREGFLMLSNLVEDQMAATSSYVDWILQIHRQTQS >PAN40083 pep chromosome:PHallii_v3.1:7:44206882:44212285:1 gene:PAHAL_7G290700 transcript:PAN40083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Control of lateral leaf growth, panicle numbe [Source: Projected from Oryza sativa (Os04g0615000)] MAPDVLPSAFFQILEKRHGRTCSTWCSLANLFSKMRPSDDRAQLSGFAQSEESTLDVEGHCYHQQSFPCSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLNPTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFTELVDRLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDIKTVTTTVRGVGDIGDVKVIDPQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGKDGEKPRPIGIIWGGTANRGRLKLTCDHGPENWTSGVDLGRLLDRLELDLIITNESLQDAVQQQRLAMVAAANSVVGESSTVAPPVPEEKVEEMFEPLGIKIEQLPRHEVLASGTEGEGAAATDVEEHQFISNFIGMSPVRQDQDAPRQIANLNNPSEEELAMSLHLGDREPKRLRTDTEADLDLEK >PAN40084 pep chromosome:PHallii_v3.1:7:44205358:44212285:1 gene:PAHAL_7G290700 transcript:PAN40084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Control of lateral leaf growth, panicle numbe [Source: Projected from Oryza sativa (Os04g0615000)] MAPDVLPSAFFQILEKRHGRTCSTWCSLANLFSKMRPSDDRAQLSGFAQSEESTLDVEGHCYHQQSFPCSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLNPTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFTELVDRLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDIKTVTTTVRGVGDIGDVKVIDPQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGKDGEKPRPIGIIWGGTANRGRLKLTCDHGPENWTSGVDLGRLLDRLELDLIITNESLQDAVQQQRLAMVAAANSVVGESSTVAPPVPEEKVEEMFEPLGIKIEQLPRHEVLASGTEGEGAAATDVEEHQFISNFIGMSPVRQDQDAPRQIANLNNPSEEELAMSLHLGDREPKRLRTDTEADLDLEK >PAN40085 pep chromosome:PHallii_v3.1:7:44208753:44211905:1 gene:PAHAL_7G290700 transcript:PAN40085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Control of lateral leaf growth, panicle numbe [Source: Projected from Oryza sativa (Os04g0615000)] MSSDSMLLIVNVTACSTWCSLANLFSKMRPSDDRAQLSGFAQSEESTLDVEGHCYHQQSFPCSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLNPTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFTELVDRLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDIKTVTTTVRGVGDIGDVKVIDPQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGKDGEKPRPIGIIWGGTANRGRLKLTCDHGPENWTSGVDLGRLLDRLELDLIITNESLQDAVQQQRLAMVAAANSVVGESSTVAPPVPEEKVEEMFEPLGIKIEQLPRHEVLASGTEGEGAAATDVEEHQFISNFIGMSPVRQDQDAPRQIANLNNPSEEELAMSLHLGDREPKRLRTDTEADLDLEK >PVH35846 pep chromosome:PHallii_v3.1:7:44206882:44212285:1 gene:PAHAL_7G290700 transcript:PVH35846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Control of lateral leaf growth, panicle numbe [Source: Projected from Oryza sativa (Os04g0615000)] MAPDVLPSAFFQILEKRHGRSTVRLCIPDLARPSACSTWCSLANLFSKMRPSDDRAQLSGFAQSEESTLDVEGHCYHQQSFPCSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLNPTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFTELVDRLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDIKTVTTTVRGVGDIGDVKVIDPQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGKDGEKPRPIGIIWGGTANRGRLKLTCDHGPENWTSGVDLGRLLDRLELDLIITNESLQDAVQQQRLAMVAAANSVVGESSTVAPPVPEEKVEEMFEPLGIKIEQLPRHEVLASGTEGEGAAATDVEEHQFISNFIGMSPVRQDQDAPRQIANLNNPSEEELAMSLHLGDREPKRLRTDTEADLDLEK >PAN40082 pep chromosome:PHallii_v3.1:7:44205358:44212285:1 gene:PAHAL_7G290700 transcript:PAN40082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Control of lateral leaf growth, panicle numbe [Source: Projected from Oryza sativa (Os04g0615000)] MRPSDDRAQLSGFAQSEESTLDVEGHCYHQQSFPCSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLNPTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFTELVDRLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDIKTVTTTVRGVGDIGDVKVIDPQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGKDGEKPRPIGIIWGGTANRGRLKLTCDHGPENWTSGVDLGRLLDRLELDLIITNESLQDAVQQQRLAMVAAANSVVGESSTVAPPVPEEKVEEMFEPLGIKIEQLPRHEVLASGTEGEGAAATDVEEHQFISNFIGMSPVRQDQDAPRQIANLNNPSEEELAMSLHLGDREPKRLRTDTEADLDLEK >PAN38739 pep chromosome:PHallii_v3.1:7:37938762:37941234:-1 gene:PAHAL_7G193800 transcript:PAN38739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHHGGAAPGISVEAESSSEQHVSSSRGAMSREDCVEGIRSALKHPTVRFLREQMEKAGCQVWPRLIQAATCSAAGGYARREGIKVCCNHMTLQDEINQVIIHELIRAYDDCVTKNMDWRNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQDCVKRRALMSVKNNPYCSEAASKDAIEAVWNICYNDTRPFDRAP >PVH34973 pep chromosome:PHallii_v3.1:7:22942328:22958334:1 gene:PAHAL_7G077500 transcript:PVH34973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADLVQRCLEAGGRDLLFHHPSSPPSPTSASAAASSSILQSLPLHVSFDRGYYLLVKAIQELRARKDGHVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTGAGGDDGNSDIDAIDFEALARNLQDLVKGKDTMVPLVDFQEKKRTGWRQLKISSSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNESPDGTKFYSFDQNKAETENFIEMYLRPPFTSEEMKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTSTSVNRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEASKLGIKGPWITKSYLEMILDSKGVPRLNTPPPVSSTLLTESQEKKIAAPKPIRVSAENVTNLDDLMQPWTRSPPKKLEQEHILAKWQFIPDSSSRCNVQLAPLPDSYDLDRGLLLSVQAIQALLENKGYPVIVGIGGPSGSGKTSLAQKMANIIGCEVVSLESYYKPEQVRDYKYDDYSSLDIALLTKNIMEIRNSHKAEVPCFDFENFSRKGFKELQVSEESGVVIFEGVYTLHPAIRKLLDLWIAVVGGVHSHLIARIQRDKNRAGFSISQTEIMTTVFPLFQQYIEPHLVHAHLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKVCSSVQNFTDVYLRLPGVPSNGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASIVAGLLKLGYQAVAYIEASAVIYQDGKILIEVDHLQGVATPYLQIKGTNKDIVSSAGSALSLDGSYTTKSYLQIILESLPADENVSVGIHNQQAARLQELVEFIQSQGGSFNSDSSSPMREISSTDSVLDDMQSRIRKLERWNTINMVLWTILLSALVGYSLYQKRRH >PAN40752 pep chromosome:PHallii_v3.1:7:47145476:47147606:-1 gene:PAHAL_7G337600 transcript:PAN40752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 2 [Source:Projected from Arabidopsis thaliana (AT3G20015) UniProtKB/Swiss-Prot;Acc:Q9LHE3] MHATTIKKLLLLFISAFMLIISHASSLRFHYINSHNFTTKTSAASSSSSSSAAHRSRNPSLALVHRDAITGATYPSRRHAVLDRVGRDNARAEYLVRRLSPTYLPTDLGSEVVSGLDEGSGEYFVRVGVGSPPTEQYLVVDSGSDVIWVQCKPCLQCYAQADPLFDPASSTTFSGVSCGSAICRMLSSSWCGDAGRCQYEVSYGDGSYTKGVLALETLTFGGTAVEGVAIGCGNRNHGLFVGAAGLLGLGWGPMSLVGQLGGAAGGAFSYCLASRGPGSNADAGSLVLGRSEAVPEGAVWVPLVRNPQAPSFYYVGLSGIGVGDERLPLEAGLFQLSDDGAGGVVMDTGTAVTRLRQEAYAALRDAFAGAVGALPRAPGVSLLDTCYDLSGYTSVRVPTVSFYFDEGATLTLPARNLLVEVDGGIYCLAFAPSSSGLSILGNIQQEGIQITVDSANGFIGFGPNTC >PVH35968 pep chromosome:PHallii_v3.1:7:46355723:46356730:-1 gene:PAHAL_7G324400 transcript:PVH35968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIFSKGDSITLRAGVERGVVVRVFLFSLFPHPLPPSTCFIISCTGREKITPFSLLRFHAAALFCLSLSTRYYRTQDLSEDICVRAVFLPSSLSLSLCCLPSFLSLKTTSNEDHAQHKRQAGPSPDPLCQSPNLPFSVLPTLSSPTLTPLPPLSLPLLLSLSPSSLLPPTIPSPAF >PVH35292 pep chromosome:PHallii_v3.1:7:34574135:34576324:1 gene:PAHAL_7G144100 transcript:PVH35292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNGSRPLSPPQSPRWCGGVTRGGVRSPHDGVAALERRESDTRGTRRFPLHHPSPPFAFTPSEGTNHCLFSLREARSSKQARNQRYALRCSSNWTVQCCNSVAMHFEQFLLACILFLCTLVCMAREWSGNLSTCYHVLLNLSS >PAN38069 pep chromosome:PHallii_v3.1:7:34574135:34575659:1 gene:PAHAL_7G144100 transcript:PAN38069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNGSRPLSPPQSPRWCGGVTRGGVRSPHDGVAALERRESDTRGTRRFPLHHPSPPFAFTPVIFLFQSRFLLQLVTCGAKEPTTAYSLLERQGRTSSLFVAEDALWWHNEVSCWISSSNI >PVH35293 pep chromosome:PHallii_v3.1:7:34574135:34576324:1 gene:PAHAL_7G144100 transcript:PVH35293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNGSRPLSPPQSPRWCGGVTRGGVRSPHDGVAALERRESDTRGTRRFPLHHPSPPFAFTPVIFLFQSRFLLQLVTCGAKEPTTAYSLLERSSKQARNQRYALRCSSNWTVQCCNSVAMHFEQFLLACILFLCTLVCMAREWSGNLSTCYHVLLNLSS >PAN37068 pep chromosome:PHallii_v3.1:7:6404987:6424783:1 gene:PAHAL_7G028300 transcript:PAN37068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSHGSSQTAPLPPAVAAELAQLERRLGQVAEEPARRDLGALGEAPAVRVLRWIGRSQREVRTLTGYIVTVARQEAFALNAQAVPTAESAACSSSAPCLRDESVHGPQYHNDVKMEEIALDLPNHCMVMDENQGHEGCPVMMAVDNPSDCISRRDWNQDCIEVDNVVPGMAPQANQMPMQYGDSIQELISIVPHGVIMLAESLRSGRPSELWNHIQAGSPKHEMVPTHPRSESASSRLQHVLVCLQRVGLLGSHLGPECAIMLPKPVPNDVARNAFRDTASPQISENELRKTASPQMCALEVLEYSRRFLILSYLCQNKMEDEAVLTVDYIKSLKLLSIAHFESEIWSKFGRKNFQASYRTPSDRAKNLELDPSKAKVYHCDIEIRGDSIFHIFKGPYMENKRTHLQKVLGDDNVLVVKFMVPSDSDADFYRQHYHKIAEDGIVLGLRRYRFFGYKDGKKGKKKKDDEQGEIKKCTSSVRCYFICTESEDESYILSHKTVDQCRKLFMHIHTAPTLANYMKRFSLILSKTETLDVDLSTVDVILIDDEPCRDKHGKVDITDGKRLIHTDGTGFISENLAKKCPNRIIKGKKSKDYMHRGETMPLLMQVRLFYNGYAVKGTLLVDKRLQDNTIVVRPSMVKVKADPKLSWMQSLSSLEIVSTSHQSDRTSTSRSLISLLHYAGVKAEYFMELLHNAIEGVANARYDFRHALKLASRYANMEDSMLERMIHSGIPLEEPHLLSRLNFIAKQEMKGFREGKLPIDECYYLMGSTDPTGTLKPNEVCVILDSGQYSGDVLVFKHPGLHFGDIHALTARQISGLEKNFVGYSKNAILFPISGERSLADEMANSDFDGDEYWVSRNHMLLKGFKKRSEPWVPLFKPEETQHRPKGPLDFNESVLERLLFNECLATTFIPNYALGISSDCWLVFMDRFLTEEVDEDEKKSIAKKMIKLVNLYYWALDGNKVKVDRNLRVEAYPHFMEKKGFESYHSTSILGRIYDETEKAILQQSENDKQIQITMLPCFTEVEATPECTSLWEDRYQEYLRKSRELISLDKEEKNDEFQKLYQSYKHVSIFNLLTR >PAN37069 pep chromosome:PHallii_v3.1:7:6404987:6422488:1 gene:PAHAL_7G028300 transcript:PAN37069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSHGSSQTAPLPPAVAAELAQLERRLGQVAEEPARRDLGALGEAPAVRVLRWIGRSQREVRTLTGYIVTVARQEAFALNAQAVPTAESAACSSSAPCLRDESVHGPQYHNDVKMEEIALDLPNHCMVMDENQGHEGCPVMMAVDNPSDCISRRDWNQDCIEVDNVVPGMAPQANQMPMQYGDSIQELISIVPHGVIMLAESLRSGRPSELWNHIQAGSPKHEMVPTHPRSESASSRLQHVLVCLQRVGLLGSHLGPECAIMLPKPVPNDVARNAFRDTASPQISENELRKTASPQMCALEVLEYSRRFLILSYLCQNKMEDEAVLTVDYIKSLKLLSIAHFESEIWSKFGRKNFQASYRTPSDRAKNLELDPSKAKVYHCDIEIRGDSIFHIFKGPYMENKRTHLQKVLGDDNVLVVKFMVPSDSDADFYRQHYHKIAEDGIVLGLRRYRFFGYKDGKKGKKKKDDEQGEIKKCTSSVRCYFICTESEDESYILSHKTVDQCRKLFMHIHTAPTLANYMKRFSLILSKTETLDVDLSTVDVILIDDEPCRDKHGKVDITDGKRLIHTDGTGFISENLAKKCPNRIIKGKKSKDYMHRGETMPLLMQVRLFYNGYAVKGTLLVDKRLQDNTIVVRPSMVKVKADPKLSWMQSLSSLEIVSTSHQSDRTSTSRSLISLLHYAGVKAEYFMELLHNAIEGVANARYDFRHALKLASRYANMEDSMLERMIHSGIPLEEPHLLSRLNFIAKQEMKGFREGKLPIDECYYLMGSTDPTGTLKPNEVCVILDSGQYSGDVLVFKHPGLHFGDIHALTARQISGLEKNFVGYSKNAILFPISGERSLADEMANSDFDGDEYWVSRNHMLCTWYIV >PAN37067 pep chromosome:PHallii_v3.1:7:6404678:6425670:1 gene:PAHAL_7G028300 transcript:PAN37067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSHGSSQTAPLPPAVAAELAQLERRLGQVAEEPARRDLGALGEAPAVRVLRWIGRSQREVRTLTGYIVTVARQEAFALNAQAVPTAESAACSSSAPCLRDESVHGPQYHNDVKMEEIALDLPNHCMVMDENQGHEGCPVMMAVDNPSDCISRRDWNQDCIEVDNVVPGMAPQANQMPMQYGDSIQELISIVPHGVIMLAESLRSGRPSELWNHIQAGSPKHEMVPTHPRSESASSRLQHVLVCLQRVGLLGSHLGPECAIMLPKPVPNDVARNAFRDTASPQISENELRKTASPQMCALEVLEYSRRFLILSYLCQNKMEDEAVLTVDYIKSLKLLSIAHFESEIWSKFGRKNFQASYRTPSDRAKNLELDPSKAKVYHCDIEIRGDSIFHIFKGPYMENKRTHLQKVLGDDNVLVVKFMVPSDSDADFYRQHYHKIAEDGIVLGLRRYRFFGYKDGKKGKKKKDDEQGEIKKCTSSVRCYFICTESEDESYILSHKTVDQCRKLFMHIHTAPTLANYMKRFSLILSKTETLDVDLSTVDVILIDDEPCRDKHGKVDITDGKRLIHTDGTGFISENLAKKCPNRIIKGKKSKDYMHRGETMPLLMQVRLFYNGYAVKGTLLVDKRLQDNTIVVRPSMVKVKADPKLSWMQSLSSLEIVSTSHQSDRTSTSRSLISLLHYAGVKAEYFMELLHNAIEGVANARYDFRHALKLASRYANMEDSMLERMIHSGIPLEEPHLLSRLNFIAKQEMKGFREGKLPIDECYYLMGSTDPTGTLKPNEVCVILDSGQYSGDVLVFKHPGLHFGDIHALTARQISGLEKNFVGYSKNAILFPISGERSLADEMANSDFDGDEYWVSRNHMLLKGFKKRSEPWVPLFKPEETQHRPKGPLDFNESVLERLLFNECLATTFIPNYALGISSDCWLVFMDRFLTEEVDEDEKKSIAKKMIKLVNLYYWALDGNKVKVDRNLRVEAYPHFMEKKGFESYHSTSILGRIYDETEKAILQQSENDKQIQITMLPCFTEVEATPECTSLWEDRYQEYLRKSRELISLDKEEKNDEFQKLYQSYKHLLYDAEEFEETTRDYSKVFMEACAIYRIVYERARSTKSIGKCRFVWTVAGAALCHLHATKYAMQRGERTALCPISVIRQLY >PAN37065 pep chromosome:PHallii_v3.1:7:6404678:6425670:1 gene:PAHAL_7G028300 transcript:PAN37065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSHGSSQTAPLPPAVAAELAQLERRLGQVAEEPARRDLGALGEAPAVRVLRWIGRSQREVRTLTGYIVTVARQEAFALNAQAVPTAESAACSSSAPCLRDESVHGPQYHNDVKMEEIALDLPNHCMVMDENQGHEGCPVMMAVDNPSDCISRRDWNQDCIEVDNVVPGMAPQANQMPMQYGDSIQELISIVPHGVIMLAESLRSGRPSELWNHIQAGSPKHEMVPTHPRSESASSRLQHVLVCLQRVGLLGSHLGPECAIMLPKPVPNDVARNAFRDTASPQISENELRKTASPQMCALEVLEYSRRFLILSYLCQNKMEDEAVLTVDYIKSLKLLSIAHFESEIWSKFGRKNFQASYRTPSDRAKNLELDPSKAKVYHCDIEIRGDSIFHIFKGPYMENKRTHLQKVLGDDNVLVVKFMVPSDSDADFYRQHYHKIAEDGIVLGLRRYRFFGYKDGKKGKKKKDDEQGEIKKCTSSVRCYFICTESEDESYILSHKTVDQCRKLFMHIHTAPTLANYMKRFSLILSKTETLDVDLSTVDVILIDDEPCRDKHGKVDITDGKRLIHTDGTGFISENLAKKCPNRIIKGKKSKDYMHRGETMPLLMQVRLFYNGYAVKGTLLVDKRLQDNTIVVRPSMVKVKADPKLSWMQSLSSLEIVSTSHQSDRTSTSRSLISLLHYAGVKAEYFMELLHNAIEGVANARYDFRHALKLASRYANMEDSMLERMIHSGIPLEEPHLLSRLNFIAKQEMKGFREGKLPIDECYYLMGSTDPTGTLKPNEVCVILDSGQYSGDVLVFKHPGLHFGDIHALTARQISGLEKNFVGYSKNAILFPISGERSLADEMANSDFDGDEYWVSRNHMVKVDRNLRVEAYPHFMEKKGFESYHSTSILGRIYDETEKAILQQSENDKQIQITMLPCFTEVEATPECTSLWEDRYQEYLRKSRELISLDKEEKNDEFQKLYQSYKHVSIFNLLTR >PAN37066 pep chromosome:PHallii_v3.1:7:6404678:6425670:1 gene:PAHAL_7G028300 transcript:PAN37066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSHGSSQTAPLPPAVAAELAQLERRLGQVAEEPARRDLGALGEAPAVRVLRWIGRSQREVRTLTGYIVTVARQEAFALNAQAVPTAESAACSSSAPCLRDESVHGPQYHNDVKMEEIALDLPNHCMVMDENQGHEGCPVMMAVDNPSDCISRRDWNQDCIEVDNVVPGMAPQANQMPMQYGDSIQELISIVPHGVIMLAESLRSGRPSELWNHIQAGSPKHEMVPTHPRSESASSRLQHVLVCLQRVGLLGSHLGPECAIMLPKPVPNDVARNAFRDTASPQISENELRKTASPQMCALEVLEYSRRFLILSYLCQNKMEDEAVLTVDYIKSLKLLSIAHFESEIWSKFGRKNFQASYRTPSDRAKNLELDPSKAKVYHCDIEIRGDSIFHIFKGPYMENKRTHLQKVLGDDNVLVVKFMVPSDSDADFYRQHYHKIAEDGIVLGLRRYRFFGYKDGKKGKKKKDDEQGEIKKCTSSVRCYFICTESEDESYILSHKTVDQCRKLFMHIHTAPTLANYMKRFSLILSKTETLDVDLSTVDVILIDDEPCRDKHGKVDITDGKRLIHTDGTGFISENLAKKCPNRIIKGKKSKDYMHRGETMPLLMQVRLFYNGYAVKGTLLVDKRLQDNTIVVRPSMVKVKADPKLSWMQSLSSLEIVSTSHQSDRTSTSRSLISLLHYAGVKAEYFMELLHNAIEGVANARYDFRHALKLASRYANMEDSMLERMIHSGIPLEEPHLLSRLNFIAKQEMKGFREGKLPIDECYYLMGSTDPTGTLKPNEVCVILDSGQYSGDVLVFKHPGLHFGDIHALTARQISGLEKNFVGYSKNAILFPISGERSLADEMANSDFDGDEYWVSRNHMVKVDRNLRVEAYPHFMEKKGFESYHSTSILGRIYDETEKAILQQSENDKQIQITMLPCFTEVEATPECTSLWEDRYQEYLRKSRELISLDKEEKNDEFQKLYQSYKHLLYDAEEFEETTRDYSKVFMEACAIYRIVYERARSTKSIGKCRFVWTVAGAALCHLHATKYAMQRGERTALCPISVIRQLY >PAN38606 pep chromosome:PHallii_v3.1:7:37328765:37329676:-1 gene:PAHAL_7G184400 transcript:PAN38606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQKIVIRLSLASEKTRSKAMALVAKADGVSSMGVTGDGRDQLEVVGDGVDTVCLVQCLRKKIGHAEILKVEEVKPAEKKPEEKKPEPLPYWWYHNYYHYHPPPPCW >PVH35584 pep chromosome:PHallii_v3.1:7:39390189:39391086:1 gene:PAHAL_7G217400 transcript:PVH35584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTVRILARCMELHPTSPSSHLRAKVCAAVLRVVRRRTVAVEFRRSIHALQSGVFEIKMGTTDGNAGKICVRFDAVWPAPSDLESSGGFGTSKNPGPGPASTLQFNRLGVAAVADERRALPPQSSHGSSSRCTGVIMGYLSSLLQEWIREGFRHECAQQVAEILFFPLSKILLMRDANILLGVITYTNHIVSNLSGVYQIL >PVH35394 pep chromosome:PHallii_v3.1:7:36447878:36448825:1 gene:PAHAL_7G169300 transcript:PVH35394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIDWNAVNTRELCKLFAEQVRRGNRPNTYLNSVGYAEVEKGMKDRLGIELKEDFRAWKKLLLRQTGTGWCPIKGTIVMDDEWWKKARVDIPGCEKFRKQGLQNEEELQVCFGSIISIGIDHWSPHMASAEEGQNGINEEDTQEDAVDVGQNSTNEEDTQEDASLVNVNGKRAPRFIQDKGKKPKIGTALLIQEAVTSMATLASSYSSKKEGKYSIDEVMGHVLACGADYETNEYFIASELFVKKEQREMFMRFPTNELRFSWLTRKYMGKYGK >PAN40137 pep chromosome:PHallii_v3.1:7:44414333:44417462:-1 gene:PAHAL_7G294400 transcript:PAN40137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVTDVQMSPVVLAAVSIAFFSLQFLRAHGSELLLSCGSNGTVDADGRRWIGDMGPGGNFTLSSPGLATPLAGKSNSDEIFGPIYSSARVFKTTSWYTISVLPGSYCVRLHFFPSTYGNFSANESVFDITAHDFKLASKFNVSEEIVWRNSASNSVINAVVKEYFLVVSSRGLQIEFDPSPGSFAFVNAIEVMLTPDNLFNDTVSKVGVAGVQLPLGLSDRGVETMYRLNVGGPALKSASDQYLHRPWYTDEAFMFSANAAQTVSNTSSIMYVSGNDSSIAPIDVYETARIMGNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELVYDKPSQRIFKIYINNKTAAESYDVYARAGGINKAHHEDFFDYLTQQADSLWLQLGADSMTSASGTDALLNGLEIFKLSRNGTLAYVLGHIDMGNQRDSSKGGKRKELWEEVGIGSASVVAVTSVALFSWCYIRKKRKAMKKEAPPGWHPLVLHEAMKSTTDARATSKSSLTRNTSSIGHRMGRRFSITEIRAATKNFDESLVIGTGGFGKVYKGEIDEGTTVAIKRANTLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSGLPPLTWKQRIDVCIGAARGLHYLHTGADRGIIHRDVKTTNILLDDNFVAKIADFGLSKTGPTLDQTHVSTAVRGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACARPVIDPTLPKDQINLAEWAMRWQRQRSLEEIMDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNVDSESFGSGELGFDDISFSLPHIRAGEEEHHSKPSSIREEPDT >PAN36802 pep chromosome:PHallii_v3.1:7:725681:730499:-1 gene:PAHAL_7G002700 transcript:PAN36802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 2 [Source:Projected from Arabidopsis thaliana (AT5G58970) UniProtKB/Swiss-Prot;Acc:Q9ZWG1] MDLDLNLPRPIVHAQHTTYSLLQSRECLCRGPRTKAQPSQANQPPADCRLDSSRPLPIPTSGAAAAGETGGMATASSFAAVFFSSAFAACFAEVCTIPLDTAKVCLQLQRKTPSLPSPLASAAAGGGTLATILCIAREEGVAALWKGIIPGLHRQFLYGGLRIGLYEPVKAFFVGGTAVTDVSLLSKILAALTTGVIAIVVANPTDLVKVRLQADGKANTVKRNYSGALNAYATIIRQEGIGALWTGLGPNVARNAIINAAELASYDQFKQLDLVLGFLLFALALQWMW >PVH34667 pep chromosome:PHallii_v3.1:7:727566:730499:-1 gene:PAHAL_7G002700 transcript:PVH34667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 2 [Source:Projected from Arabidopsis thaliana (AT5G58970) UniProtKB/Swiss-Prot;Acc:Q9ZWG1] MDLDLNLPRPIVHAQHTTYSLLQSRECLCRGPRTKAQPSQANQPPADCRLDSSRPLPIPTSGAAAAGETGGMATASSFAAVFFSSAFAACFAEVCTIPLDTAKVCLQLQRKTPSLPSPLASAAAGGGTLATILCIAREEGVAALWKGIIPGLHRQFLYGGLRIGLYEPVKAFFVGGTAVTDVSLLSKILAALTTGVIAIVVANPTDLVKVRLQADGKANTVKRNYSGALNAYATIIRQEGIGALWTGLGPNVARNAIINAAELASYDQFKQLDLVLGFLLFALALQWMW >PAN36801 pep chromosome:PHallii_v3.1:7:726128:730011:-1 gene:PAHAL_7G002700 transcript:PAN36801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 2 [Source:Projected from Arabidopsis thaliana (AT5G58970) UniProtKB/Swiss-Prot;Acc:Q9ZWG1] MDLDLNLPRPIVHAQHTTYSLLQSRECLCRGPRTKAQPSQANQPPADCRLDSSRPLPIPTSGAAAAGETGGMATASSFAAVFFSSAFAACFAEVCTIPLDTAKVCLQLQRKTPSLPSPLASAAAGGGTLATILCIAREEGVAALWKGIIPGLHRQFLYGGLRIGLYEPVKAFFVGGTAVTDVSLLSKILAALTTGVIAIVVANPTDLVKVRLQADGKANTVKRNYSGALNAYATIIRQEGIGALWTGLGPNVARNAIINAAELASYDQFKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSTYRSTLDCFAKTLKNDGPGAFYKGFIANFCRIGSWNVIMFLTLEQVRRLFL >PAN40351 pep chromosome:PHallii_v3.1:7:45217562:45218418:1 gene:PAHAL_7G308500 transcript:PAN40351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAAQIKDKFLGLVDRVAGCGRAGGAKDVQEPTKLHTVQRVEVRSRGGEPTVPDGSHAGVA >PAN38468 pep chromosome:PHallii_v3.1:7:36753926:36755463:-1 gene:PAHAL_7G174000 transcript:PAN38468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQSMCLGVLPLLDAVGGLVASLRSSVALPSPTHGAQITNVLGNEETEAKSSHAAEDGTLDARLLDTSHVHAADLVFPCACSVASAAPSSQRSQAKRRREAAFGGRSSRDGGLFRRLAARHERHLTYAVVVAMLQVFLRLTRANATTLLLPMQAQAAALGDAMLVLVNTCGVLGSALAAREFGREAMCAISGVLIVFCQVRCSGRSRSRRSWFMGAAHAGLSGGGARMAGGHAAGMFALACAVSGGFSWAWGALFWAVPGDGIRSAGQAAGAALGFGLGFAQMQCFLLTLRQLKHAAFTFYAVWIWS >PAN37613 pep chromosome:PHallii_v3.1:7:29327827:29344128:1 gene:PAHAL_7G101600 transcript:PAN37613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLVVVLRAALSHAPEERKAAEASLEQLQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVAKNWSPVDPEKQKIPETDKSMVRENILGFVMQLPPLLRAQLGESIKTLIVVDYPEQWPNLLHWVTHNLESQDQIFGALYVLRILARKYEFKSEEERIPLYQVVEESFPRLLNIFSKLVQIPNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNFFNAWMVLFLNLLERPVPVEGQPSDPDARKSWGWWKVKKWIAHILNRLYTRFADLKIQKPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQMDIIMFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIHFIVGIFIRYDEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHIKFADQNNFRKAMHCVIAGMRDAELPVRVDSVFALRSFVEACTDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYIQIEPTLLPILRKMLTSDGQDVYEEVLEILSYMTFYSPSISLDMWSLWPLIMEALNDWAIDFFENILVPLDNYVSRGTEHFLTCKDPDYQHSLWKALSSIMTDQNMEDSDIIPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRTEKPYLKSLLLQVIANTLYYNPSLALQKLHTLGVATEIFNLWFVMLQQVKRSGQRANFKREYAKKVCCLGLTSLIGLPASHIPGEALERIFKSTLELLVAYKDQVAESKRQNEAAAEDLDGFDADEEDEEVESDKEMGLDDEDGDGANTFNPQSFAEVRGFHREDSEDDSDDEFSDDEELQTPIDEVDPFIFFVETIQALQASDPARFQNLMQTMDFHYQALASGVAQHAEERKTEIAKEKLEKANAQ >PVH35079 pep chromosome:PHallii_v3.1:7:29329019:29344128:1 gene:PAHAL_7G101600 transcript:PVH35079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRQVASIHFKNFVAKNWSPVDPEKQKIPETDKSMVRENILGFVMQLPPLLRAQLGESIKTLIVVDYPEQWPNLLHWVTHNLESQDQIFGALYVLRILARKYEFKSEEERIPLYQVVEESFPRLLNIFSKLVQIPNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNFFNAWMVLFLNLLERPVPVEGQPSDPDARKSWGWWKVKKWIAHILNRLYTRFADLKIQKPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQMDIIMFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIHFIVGIFIRYDEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHIKFADQNNFRKAMHCVIAGMRDAELPVRVDSVFALRSFVEACTDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYIQIEPTLLPILRKMLTSDGQDVYEEVLEILSYMTFYSPSISLDMWSLWPLIMEALNDWAIDFFENILVPLDNYVSRGTEHFLTCKDPDYQHSLWKALSSIMTDQNMEDSDIIPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRTEKPYLKSLLLQVIANTLYYNPSLALQKLHTLGVATEIFNLWFVMLQQVKRSGQRANFKREYAKKVCCLGLTSLIGLPASHIPGEALERIFKSTLELLVAYKDQVAESKRQNEAAAEDLDGFDADEEDEEVESDKEMGLDDEDGDGANTFNPQSFAEVRGFHREDSEDDSDDEFSDDEELQTPIDEVDPFIFFVETIQALQASDPARFQNLMQTMDFHYQALASGVAQHAEERKTEIAKEKLEKANAQ >PAN37612 pep chromosome:PHallii_v3.1:7:29327827:29344128:1 gene:PAHAL_7G101600 transcript:PAN37612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLVVVLRAALSHAPEERKAAEASLEQLQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVAKNWSPVDPEEKQKIPETDKSMVRENILGFVMQLPPLLRAQLGESIKTLIVVDYPEQWPNLLHWVTHNLESQDQIFGALYVLRILARKYEFKSEEERIPLYQVVEESFPRLLNIFSKLVQIPNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNFFNAWMVLFLNLLERPVPVEGQPSDPDARKSWGWWKVKKWIAHILNRLYTRFADLKIQKPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQMDIIMFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIHFIVGIFIRYDEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHIKFADQNNFRKAMHCVIAGMRDAELPVRVDSVFALRSFVEACTDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYIQIEPTLLPILRKMLTSDGQDVYEEVLEILSYMTFYSPSISLDMWSLWPLIMEALNDWAIDFFENILVPLDNYVSRGTEHFLTCKDPDYQHSLWKALSSIMTDQNMEDSDIIPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRTEKPYLKSLLLQVIANTLYYNPSLALQKLHTLGVATEIFNLWFVMLQQVKRSGQRANFKREYAKKVCCLGLTSLIGLPASHIPGEALERIFKSTLELLVAYKDQVAESKRQNEAAAEDLDGFDADEEDEEVESDKEMGLDDEDGDGANTFNPQSFAEVRGFHREDSEDDSDDEFSDDEELQTPIDEVDPFIFFVETIQALQASDPARFQNLMQTMDFHYQALASGVAQHAEERKTEIAKEKLEKANAQ >PVH35080 pep chromosome:PHallii_v3.1:7:29329019:29344128:1 gene:PAHAL_7G101600 transcript:PVH35080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRQVASIHFKNFVAKNWSPVDPEEKQKIPETDKSMVRENILGFVMQLPPLLRAQLGESIKTLIVVDYPEQWPNLLHWVTHNLESQDQIFGALYVLRILARKYEFKSEEERIPLYQVVEESFPRLLNIFSKLVQIPNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNFFNAWMVLFLNLLERPVPVEGQPSDPDARKSWGWWKVKKWIAHILNRLYTRFADLKIQKPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQMDIIMFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIHFIVGIFIRYDEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHIKFADQNNFRKAMHCVIAGMRDAELPVRVDSVFALRSFVEACTDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYIQIEPTLLPILRKMLTSDGQDVYEEVLEILSYMTFYSPSISLDMWSLWPLIMEALNDWAIDFFENILVPLDNYVSRGTEHFLTCKDPDYQHSLWKALSSIMTDQNMEDSDIIPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRTEKPYLKSLLLQVIANTLYYNPSLALQKLHTLGVATEIFNLWFVMLQQVKRSGQRANFKREYAKKVCCLGLTSLIGLPASHIPGEALERIFKSTLELLVAYKDQVAESKRQNEAAAEDLDGFDADEEDEEVESDKEMGLDDEDGDGANTFNPQSFAEVRGFHREDSEDDSDDEFSDDEELQTPIDEVDPFIFFVETIQALQASDPARFQNLMQTMDFHYQALASGVAQHAEERKTEIAKEKLEKANAQ >PAN39509 pep chromosome:PHallii_v3.1:7:41384533:41388265:-1 gene:PAHAL_7G248300 transcript:PAN39509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MGSRDGSGDCTGGQGYAADIDSIRQAQARIAPYVHRTPVLSSTSIDAIVGKQLFFKCECFQKAGAFKIRGASNSIFVLDDEQASKGVVTHSSGNHAAAVALAAKLRGIPAHIVIPKNAPACKVDNVRRYGGNIIWSDVSIESRESVCKRVQEETGAVLIHPFNNKYTISGQGTVSLELLEQVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDIIVVDDNAIVDAMKMCYELLKVAVEPSGAIGLAAVMSDEFKQSSVWHESSKIGIIVSGGNVDLGVLWESLYKR >PAN37429 pep chromosome:PHallii_v3.1:7:26966113:26968239:-1 gene:PAHAL_7G092400 transcript:PAN37429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRVLGFQCMFPNLGRASPRRRIPLGTITILQWPTEVILLQNLLRTGEELGSRERRVVFVTVGTTCFDALVKAVDSDEVKEALLHKGYTDLLIQMGRGTYMPSKVSGNSTLQVDYFTFSPSIADYIREASLVISHAGSGSILETLQLGKPLIVVVNEDLMDNHQSELAEELANRKHLFCARPQTLQETVEAMELNNLLPYMPGDAKQVVTLISKFLGFPVD >PAN38673 pep chromosome:PHallii_v3.1:7:37599447:37603763:1 gene:PAHAL_7G188900 transcript:PAN38673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRKRSDYGSRGRRVATMPRVPNSARGKRTTRKKKDEMCAFDLLATVAGTLLADQDNSANVPNTSCAAKAKNEKAVKEEPHDEILPLKNMVMEKDCCSGCVVGSGGICSFPRQANNCLAENSSTRNEAGPILESLTVKSNMLVRDSLVSCTRPCETSRGLGIIPEFGAHRICHPGSSSSAEAEQVHQAESNVVRRQADGHAPALHSLFDSVDLDGRPPALVSSDSSSCVPFCSHDKEHQTSSLCRGEVQYTADKDDDENSSGCTDPSTIGDKGYKPQYLGNHRIRKLLASKVRKAARNKICGGIPSKKIYGGMSNKGSKLNLCSKKIPATCQKGQRMIFKKKRLAHHATSFAKEMPTEASGTSMEGRNKSCGSDDYHVKLRIKSFNIPELFINVPENATIGSLKRTVMDVVNSIMQGGLRVGVLLQGKDIQDDNKTLRQAGICHDKKLNNIDFTLECESGQDSPSRVVIPEQMDLLSADVVEPLARMKCEEHFPETGGDDNQQRIPPYRSRSLSDLYSVVHPVEMASQDTSASSQAIVPVAPSGDGALAIVPLCKPRPSEIGQRRTRRPFTVGEVEALVEAVELIGTGRWRAVKMHAFDHVDHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQDKPHGKAALPEICPA >PAN38674 pep chromosome:PHallii_v3.1:7:37599442:37603763:1 gene:PAHAL_7G188900 transcript:PAN38674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRKRSDYGSRGRRVATMPRVPNSARGKRTTRKKKDEMCAFDLLATVAGTLLADQDNSANVPNTSCAAKAKNEKAVKEEPHDEILPLKNMVMEKDCCSGCVVGSGGICSFPRQANNCLAENSSTRNEAGPILESLTVKSNMLVRDSLVSCTRPCETSRGLGIIPEFGAHRICHPGSSSSAEAEQVHQAESNVVRRQADGHAPALHSLFDSVDLDGRPPALVSSDSSSCVPFCSHDKEHQTSSLCRGEVQYTADKDDDENSSGCTDPSTIGDKGYKPQYLGNHRIRKLLASKVRKAARNKICGGIPSKKIYGGMSNKGSKLNLCSKKIPATCQKGQRMIFKKKRLAHHATSFAKEMPTEASGTSMEGRNKSCGSDDYHVKLRIKSFNIPELFINVPENATIGSLKRTVMDVVNSIMQGGLRVGVLLQGKDIQDDNKTLRQAGICHDKKLNNIDFTLECESGQDSPSRVVIPEQMDLLSADVVEPLARMKCEEHFPETGGDDNQQRIPPYRSRSLSDLYSVVHPVEMASQDTSASSQAIVPVAPSGDGALAIVPLCKPRPSEIGQRRTRRPFTVGEVEALVEAVELIGTGRWRAVKMHAFDHVDHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQDKPHGKAALPEICPA >PAN38773 pep chromosome:PHallii_v3.1:7:38096752:38098657:-1 gene:PAHAL_7G196700 transcript:PAN38773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTASKDIITLRGSAAIVSEFFGYAANSILYNRAVYPEESFTKVKKYGLTMLLTQDEGVKTFIASLTSQLSEWLEAGKLQRIVLVIMSKATNEVLERWNFNIVTDAEVVEKGAIKEKSDKEIMREIQAIMRQIASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLISNPQMVKLHSFDTKIHKVDTLVSYKKDEWDEEE >PAN40906 pep chromosome:PHallii_v3.1:7:47913227:47916338:-1 gene:PAHAL_7G350300 transcript:PAN40906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGKAAAGLGTLRAVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCVHFICSSIGAYVAIHVLKAKPLIEVEPKDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSVTELSFNIFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVINWFYTHDSIVPALIIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISAMNAIGCGITLVGCTFYGYVRHLISQQQAAAPGNLPRNQMEMLPLVDDKQEKV >PAN40392 pep chromosome:PHallii_v3.1:7:44104260:44104677:1 gene:PAHAL_7G289000 transcript:PAN40392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTRKVARPCGAVVCGPSKNSSRFVASTAEKDLCRILPAPYHPWIEPFQSSENDISVKWLAQKYQLMSFLRVNIAVAVGLKSKGGR >PAN40258 pep chromosome:PHallii_v3.1:7:44953641:44958652:-1 gene:PAHAL_7G303400 transcript:PAN40258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSSFPVFIFLLMVCLCQSDDRLTPAKPLLPGDVLISEGGAFAIGFFSLKNSTSSSYVGIWYNNIPERTYVWIANRDNPITANMPGKLVFTNSSDLVLLDSTGHTIWTTTNNFTAGDGETAAVLLDSGNLVIQSPNGTDIWESFHYPTDTIVPNVRFSLSSADVTKHLVAWKGPNNPSSSNFSMGGDTSSDLQIVIWNGTRPYWRRAAWGGEAVFGKFQRNSNFVMSQTIVDTGDGYHFTVNVPVGSPSVRLTLDYTGMLNFQRWNSSTSSWTIFEKFPSPTCDRYAFCGPFGYCDSTEYVPTCKCLDGYEPNGLNFSQGCQRKEELKCGNGESFLTLPTMKTPDKFLYIKNRTFDQCTAECSHNCSCTAYAYANLKNVNTTLDQTRCLVWMGELVDVEKFDSTFGENLYLRVPRSPVSKKSTVLKIIVPVMATFLLLFTCIWLVCKSRGKHQSKKVQKNLLCLNPSNELGNENLEFPLVSFEDIITATNNFSDYKMLGKGGFGKVYKGMLEGGKEVAIKRLSKGSMQGIEEFRNEVVLIAKLQHRNLVRLLGFCIHEDEKLLIYEFLSNKSLDAFLFDATRKSSLHWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDIDMCPKISDFGMARIFCGSEQQANTTRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLETVSGLKISSPHLIMNFPNLIAYAWSLWRDGNAREFVDSSISESCSLQEVIRCIHLGLLCVQDHPNARPLMSSIVFMLENETTPLPTPNEPLYFTIRNYETDRSNEYIQTSLNNMSITTLEAR >PAN40260 pep chromosome:PHallii_v3.1:7:44953641:44958653:-1 gene:PAHAL_7G303400 transcript:PAN40260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSSFPVFIFLLMVCLCQSDDRLTPAKPLLPGDVLISEGHTIWTTTNNFTAGDGETAAVLLDSGNLVIQSPNGTDIWESFHYPTDTIVPNVRFSLSSADVTKHLVAWKGPNNPSSSNFSMGGDTSSDLQIVIWNGTRPYWRRAAWGGEAVFGKFQRNSNFVMSQTIVDTGDGYHFTVNVPVGSPSVRLTLDYTGMLNFQRWNSSTSSWTIFEKFPSPTCDRYAFCGPFGYCDSTEYVPTCKCLDGYEPNGLNFSQGCQRKEELKCGNGESFLTLPTMKTPDKFLYIKNRTFDQCTAECSHNCSCTAYAYANLKNVNTTLDQTRCLVWMGELVDVEKFDSTFGENLYLRVPRSPVSKKSTVLKIIVPVMATFLLLFTCIWLVCKSRGKHQSKKVQKNLLCLNPSNELGNENLEFPLVSFEDIITATNNFSDYKMLGKGGFGKVYKGMLEGGKEVAIKRLSKGSMQGIEEFRNEVVLIAKLQHRNLVRLLGFCIHEDEKLLIYEFLSNKSLDAFLFDATRKSSLHWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDIDMCPKISDFGMARIFCGSEQQANTTRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLETVSGLKISSPHLIMNFPNLIAYAWSLWRDGNAREFVDSSISESCSLQEVIRCIHLGLLCVQDHPNARPLMSSIVFMLENETTPLPTPNEPLYFTIRNYETDRSNEYIQTSLNNMSITTLEAR >PAN37605 pep chromosome:PHallii_v3.1:7:29447043:29449015:1 gene:PAHAL_7G102200 transcript:PAN37605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTATVPRIKLGSQGLKVSAQGLGCMGMSAFYGPPKPEPDMIKLIHHAVAAGVTFLDTSDMYGPHTNEILLGKALQGGVREKVDLATKFGVNFAGGNVEIRGDPAYVRSACEGSLKRLGVDCVDLYYQHRIDKKVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWCRDVEEDVIPTCRELGIGIVAYSPLGRGFLSGGAKLVESLSEQDFRKYLPRFQPENLDKNAQIFERVNAMAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSLKLTPDEMAELESYAAAGEVLGDRYPQMANTWRDSETPPLSSWKSE >PAN38487 pep chromosome:PHallii_v3.1:7:36845004:36846344:1 gene:PAHAL_7G175300 transcript:PAN38487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRESSRLRAPTTPTALVLALLLLTGSSWSRVADGVRTTTPRASSGPADGAVLGEDAPAAAGSGRRTDRPDDRTAASPEEEGRGPAAEREELWAKEERRAGATGSRLPDCAHACGPCSPCRRVMVSFRCAQASESCPVAYRCMCRGRFFRVPSL >PAN40092 pep chromosome:PHallii_v3.1:7:44239826:44242536:-1 gene:PAHAL_7G291400 transcript:PAN40092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHEALASAAGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRIEADLRARLAAALDENVKLAKERDSLAATAKKLARNLAKLEAFKKQLMKSLSEDNLLQLSETGLEHDAEDNLTARVPSWKDEVSSSYSSSDTSSRSTKTESTHGGGYQFSITPYIPQKVTPDSTPMISSSGGSPRSYSTGPSSPKFLSGPTSPTRSQSEGQSAFSSWQGSSHQYSAPTSPPQRRSFTGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEGIFGVEHKDLYISFQNMLNRNQS >PVH35850 pep chromosome:PHallii_v3.1:7:44239200:44243204:-1 gene:PAHAL_7G291400 transcript:PVH35850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHEALASAAGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRIEADLRARLAAALDENVKLAKERDSLAATAKKLARNLAKLEAFKKQLMKSLSEDNLLQLSETGLEHDAEDNLTARVPSWKDTSSRSTKTESTHGGGYQFSITPYIPQKVTPDSTPMISSSGGSPRSYSTGPSSPKFLSGPTSPTRSQSEGQSAFSSWQGSSHQYSAPTSPPQRRSFTGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEGIFGVEHKDLYISFQNMLNRNQS >PVH35793 pep chromosome:PHallii_v3.1:7:43662630:43674226:-1 gene:PAHAL_7G282300 transcript:PVH35793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTSWRDKQHPNLINFMATFLAANSYRLNFLSVSPDFIFNNGGTSVAFVFETNWDSEKEAAVFSRVNTLKRQFKHLYVVVVLPTGEQIESFNQSYFKYGMVLGCPTFVPVCDPEMGFEKIVKIAHARGVCKQQDIITTMRNERAQAVQCMDAFLRVLTSIPGIDTHDANALAQAIGSIEAIAKASKEFILENTDLSTEKAQRIFRFFRDPQYYLSPKIN >PAN39164 pep chromosome:PHallii_v3.1:7:39850659:39851389:1 gene:PAHAL_7G224500 transcript:PAN39164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSSRSRRLVASALFVVLLLLVASETGPARVAEARHCLSQSHRFVGACMSYRNCEGVCRTEGFPWGVCRWHGIERKCYCKRLC >PVH34914 pep chromosome:PHallii_v3.1:7:19774317:19776922:1 gene:PAHAL_7G065100 transcript:PVH34914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAAVMGLRERYFGPSYELHSHDKEISQQLPLSRRHRNPAVWVFITSSFQFYQLLPQNK >PVH34919 pep chromosome:PHallii_v3.1:7:19774201:19777254:1 gene:PAHAL_7G065100 transcript:PVH34919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAAVMGLRERYFGPSYELHSHDKYAEVWAVDEAHPHMAPEGGESVADVANRLSAVLSSTETDFHRYISIYTYVFTEFEFLFVFHVHAFFN >PVH34920 pep chromosome:PHallii_v3.1:7:19774201:19779333:1 gene:PAHAL_7G065100 transcript:PVH34920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAAVMGLRERYFGPSYELHSHDKEISQQLPLSRRHRNPAVWVFITSSFQFYQLLPQNKAWQWRLELCCSGAWLRSCKTDFVLG >PVH34912 pep chromosome:PHallii_v3.1:7:19774184:19779333:1 gene:PAHAL_7G065100 transcript:PVH34912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAAVMGLRERYFGPSYELHSHDKYAEVWAVDEAHPHMAPEGGESVADVANRLSAVLSSTETDFHSSEILIVSHGDPLQIFQAVLSGAKENTSFLDGVRDMKMKGTAVASVLSQHRKFALHTGEVRRVV >PVH34915 pep chromosome:PHallii_v3.1:7:19774184:19780576:1 gene:PAHAL_7G065100 transcript:PVH34915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAAVMGLRERYFGPSYELHSHDKEISQQLPLSRRHRNPAVWVFITSSFQFYQLLPQNK >PAN37155 pep chromosome:PHallii_v3.1:7:19774184:19779394:1 gene:PAHAL_7G065100 transcript:PAN37155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAAVMGLRERYFGPSYELHSHDKEISQQLPLSRRHRNPAVWVFITSSFQFYQLLPQNKYAEVWAVDEAHPHMAPEGGESVADVANRLSAVLSSTETDFHSSEILIVSHGDPLQIFQAVLSGAKENTSFLDGVRDMKMKGTAVASVLSQHRKFALHTGEVRRVV >PVH34918 pep chromosome:PHallii_v3.1:7:19774201:19777254:1 gene:PAHAL_7G065100 transcript:PVH34918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAVSLGYPAVMGLRERYFGPSYELHSHDKYAEVWAVDEAHPHMAPEGGESVADVANRLSAVLSSTETDFHRYISIYTYVFTEFEFLFVFHVHAFFN >PVH34913 pep chromosome:PHallii_v3.1:7:19774184:19779333:1 gene:PAHAL_7G065100 transcript:PVH34913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAVSLGYPAVMGLRERYFGPSYELHSHDKYAEVWAVDEAHPHMAPEGGESVADVANRLSAVLSSTETDFHSSEILIVSHGDPLQIFQAVLSGAKENTSFLDGVRDMKMKGTAVASVLSQHRKFALHTGEVRRVV >PVH34917 pep chromosome:PHallii_v3.1:7:19774197:19779333:1 gene:PAHAL_7G065100 transcript:PVH34917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAVSLGYPAVMGLRERYFGPSYELHSHDK >PVH34916 pep chromosome:PHallii_v3.1:7:19774184:19780576:1 gene:PAHAL_7G065100 transcript:PVH34916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSLAILRNRYWILRHGRSVPNESGLIVSSLENGTKPEFGLAPQGFEQARAAGELLQKELEEMGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPSCAVSLGYPAVMGLRERYFGPSYELHSHDKEISQQLPLSRRHRNPAVWVFITSSFQFYQLLPQNK >PAN40245 pep chromosome:PHallii_v3.1:7:44903747:44906259:1 gene:PAHAL_7G302400 transcript:PAN40245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGNGKKVACVTGGSGYIGSALVKMLLEKGYAVKTTVRNPDDMAKNSHLKHLQALGQLEVLRANLDEEGSFDEAVAGCDYAFLVAAPVNLKSEHPEVQQIEPAVRGTLNVMRSCAKAGTVKRVILTSSAAAVIRRPELQGDGHVLDEESWSNVEYLTANKPPFWGYPVSKVLLEKEASRFAEEHGISLVTVCPVVTVGAAPAPSARTSVPNCLSLLSGDEAEFAVLRGIERGSGTVALVHLDDVCRAELFVAEEPAAAGRYLCSSLDTTIRELARFLAHKCPQYPVKTNLLSGDLLEKPRMRLSSAKLVREGFEYKYKTLDGMYDDMIEYGQALGILPN >PVH35898 pep chromosome:PHallii_v3.1:7:44903747:44906259:1 gene:PAHAL_7G302400 transcript:PVH35898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGNGKKVACVTGGSGYIGSALVKMLLEKGYAVKTTVRNPDDMAKNSHLKHLQALGQLEVLRANLDEEGSFDEAVAGCDYAFLVAAPVNLKSEHPEQIEPAVRGTLNVMRSCAKAGTVKRVILTSSAAAVIRRPELQGDGHVLDEESWSNVEYLTANKPPFWGYPVSKVLLEKEASRFAEEHGISLVTVCPVVTVGAAPAPSARTSVPNCLSLLSGDEAEFAVLRGIERGSGTVALVHLDDVCRAELFVAEEPAAAGRYLCSSLDTTIRELARFLAHKCPQYPVKTNLLSGDLLEKPRMRLSSAKLVREGFEYKYKTLDGMYDDMIEYGQALGILPN >PAN37971 pep chromosome:PHallii_v3.1:7:34052934:34055006:1 gene:PAHAL_7G137700 transcript:PAN37971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPTSRATTAGQLHAAMEEAASSLSVLQLAFTAAVATAALAVAVAVARYNRRYRGLRLPPGPPGWPVVGNLFQVAFSGKLFIHYIRDLRREYGPILTLRMGERTLVIISSAELAHEALVEKGQEFASRPRENTTRNIFSSNKFTVNSAVYGPEWRSLRRNMVSGMLSTSRLREFRHARMRAMDRFVARMRAEAAASPDGASVWVLRNARFAVFCILLDMTFGLLDLQEEHIVRIDAVMKRVLLAVGVRMDDYLPFLRPFFWRHQRRALAVRREQVDTLLPLINRRRAILRASSPPDPDVAAPFSYLDSLLDLRVEGRDAAPTDDELVTLCAELINGGTDTTATAIEWGMARIVDNPSIQARLHEEIARQVGDARPVDDKDTDAMPYLQAFVKELLRKHPPTYFSLTHAAARPGCKLAGYDVPADANLDIFLPTISEDPKLWDRPAEFDPERFLSGGEAADMTGSAGIRMIPFGAGRRICPGLAMGTTHIALMVARMVQAFEWRAHPSQPPPDFKDKVEFTVVMDRPLLAAVRPRSLSF >PVH35916 pep chromosome:PHallii_v3.1:7:45051238:45054266:-1 gene:PAHAL_7G304600 transcript:PVH35916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVLVLLSLPLIFLGTPATGIPDMLDHGRNITDGETLVSANGSFTLGFFSPGVTTRRYLGIWFSTTVCWVANRERPLNDTSGVLVLSDTGSLLVLDGSGQVIWSSNSTGASSAVAQLLESGNLVVFHDQNSSAVLWQSFDHPSNTLLPGMKTGKNLWTGAEWYLTSWRSADDPAPGPYRRGTETGGGLLENVLWHGGAKKYRTGPWNGLWFNGVPEMPSYADMFAYQLTASPGEITYGYRAKAGAPLSRVVVPEDGAVERLVWDPSSRAWKTFYSAPRDVCDAYARCGPFGLCNVDAASTSFCGCAEGFRPASLAAWRMREASGGCRRAVPLDCGGNGTTTTMDSFVVVRSVKLPDTQNASVDTGIAVEECRERCLADCSCVAYAAADIRGGGGAGSGCIIWTHDVVNIRYVDKGQDLYLRLAKSELEDDARDNKRKRNAVIAPYLLVSYRMIILWAKDYLGERALDDFMREVAVMSKLRHDNLVRLLAFSDQANERVMVYEYMQNRSLNLYIFDIADFGTEKQFRADQTGTQTVVVSPGYAPPECAKDGDVTLKCDVFSFGVVLLEVVSGRRNSAEPSLVSHAWKLWEERRIMDLHDAAVPRPRADADLVSELRRCIQIGLLCVQRSPCDRPAMSAALAMLTSRTSQLDQPRTSVIERGTERPLAAGEATGGGAIAQDPSSTVVNLM >PAN38721 pep chromosome:PHallii_v3.1:7:37847584:37849428:-1 gene:PAHAL_7G192200 transcript:PAN38721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVCVTGAGGFIGSSIVKLLLARGYAVRGTSRSAADDPKNAHLWALDGAGERLAMVRVDLLDRPGLRAAFSGCDGVIHLAAPIVGDPAEIVEAVVTGTRNVVEAAADAGVRRLVLSSTISAMYMDPRRDPEAPLDESSWSDLDYCKNTENWYSYAKTVAEKSAWDAARARGLDMAVVIPVIVLGALLPPGLNVSSTHITKYLNGEVATYANASHAYVHVGDAAEAHVRVLEVPGAGARRYVCAESSLHRGELCRILAELFPEYPIPTRCKDEVNPPKKGYKFTNQPLKDLGIKFTPLREYLYEAVKSLQEKGFIQKTTDTKVADKRSPPPSENLPVPISVAKL >PVH34807 pep chromosome:PHallii_v3.1:7:10143382:10145006:1 gene:PAHAL_7G038900 transcript:PVH34807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLFWYQNNEPVSFSFVRFGVPTVASPPYFEKLTATVINLFHVEIRFSFCSTANKLTTIYIEYFQFFIFLLAIVEPKSYGISSIKCLSVCLDGGSIWVVMGSTNFDPRLVKISYGLGPSLREIYTSNADRVGPSSDSIWTCTIYWRSCSAFMLGLAIPYHHWTTPGNHVGFVVMYTFTFFFTNFGPNSTTFIVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGSLYAAQSTDPTKTDAGYPPGIGVRNSLFMLSGCNVVVFLFTFLVPESKGKSLEELSVENEDEAPPASGQSNKQTAPTNLSE >PAN39773 pep chromosome:PHallii_v3.1:7:42701651:42702598:-1 gene:PAHAL_7G267500 transcript:PAN39773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRAARRLMWGASRPGTDVPSDHDVVIVLASLLCAMITVLGIGLVARCACGRGGASRQPAAANRGVKKSVLRKIPTVPYAAACGGDEESGEAAAAAEECAICLAEFEEGESVRVLPPCGHGFHAACIDKWLRGHSTCPSCRRILSLRLPPGEQCSRCGARPHAGDGGWKPTSYSGVPPFLP >PAN40403 pep chromosome:PHallii_v3.1:7:45463498:45466433:1 gene:PAHAL_7G312500 transcript:PAN40403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASSSFAGAACFVLLLVLAGASVSHGSFLGRRVLSDEQATGIVALWRRSLADAPPPPNNSLVLASQRTRRSDPFANLTAYTGGWNISDQHYWGSVAYTAIPLFVLAVVWFVGFGAVLLIISCCCCFCGSKDGAYSPGCYFTSLAFLVILTIATITGCVILHCGSDLFHHSSIKTVDYVVGQGDLTVDNLRNFAGSLAAAKNITIDQIFLPADVQQKIDIVEEKLNSSANEFSTRLLENSIKIKKVVNHMEHELMAAAAVMGGLAVFGFLFSVLGLRFLVCILVILAWFNLTVILMTSGVFLLLHNVVGDTCVAMDDWVTHPQAHTALDDILPCVDVATANLSLYRSQEVTAQLVALVNNVVVNISNREFPAGLLPLNFNQSGPLMPVLCNPFNPDMSPRACVPGEVNFKSAAREWKRFECQTTGPPGSEVCVTPGRLTPAAYGQMTAATSVSQGLYEYGPFLVQLQDCSFVRETFLSISDNNCPGLERYSRHVYVGLIIISGGVLLSVVLWMVHTRQRRRRAMAKQL >PAN38766 pep chromosome:PHallii_v3.1:7:38068451:38076212:1 gene:PAHAL_7G196100 transcript:PAN38766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSAGSSPSSRRNSFNSLSRDVDLPSEQGCLSIVVLGASGDLAKKKTFPALYHLFDQGFIQSGEVHIFGYARSNLSDDGLRVRIRGYLKGAPEEDLSEFLQLIKYVSGSYDNGDGFGKLNKAISEYEASSKSESYRRLFYLALPPSVYPSVCKMIRTYCMNPSSHPGWTRVIVEKPFGKDLDSAEELSAQLGELFEEHQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPINPEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYVKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDDGKLKAVPYQPGSRGPKEADELSARVGYVQTHGYIWIPPTLA >PVH34742 pep chromosome:PHallii_v3.1:7:4214067:4216096:1 gene:PAHAL_7G021000 transcript:PVH34742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVQLLTLGLAIVLFLAVFRRSTRHGRGAAKPTLIEISDSSVARTALNDHPDAFSNRPLTLFPVALVTGQRRRRSDSVSSAQYGPLWRALRCNLTSEALHPARFDQLAPLQRESVAALVASLSARVAGDGDAVVVRDSVHAAVFGLVARLCFGDLIEDPRQMLAMRRVMQGFVRAIGEANVFAGSWLAKLVHWRRWRRFLGYRGQQAAFFLPLVSERERLRRYGCCNDGGIRPYVDTLIDLRVPDDDDEDDDDVARMHVDARRALSDDEMVSLLSEFLGASTESTVACIEWTLAHLVTQPEVQKKLRREIAGNGGDGAAVSEERLRSLPYLHAVVLESLRQHPPVPFLMRDVHTDEGVAIGATTVPAGGTRVHFLIRDMARDEKDWKDPDTFRPERFLAGGEAVGVGAVPGPKEIRMMPFGAGRRHCPGAGMGMMHIKCFLAALVREFEWMPAADGGEVDFTELDGFFKVMKTPLRAHVKRSTKA >PAN40207 pep chromosome:PHallii_v3.1:7:44730262:44734957:-1 gene:PAHAL_7G299100 transcript:PAN40207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDAPSEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQASHTSGGSAHGKDDVLGKHFVGKLTKKIPGFALITVKVKDNQVLKGWVPDENNLRPITPKDDLAPELPMLRPSQVRKRASAILMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHTVPLAPRPYMGSAVLAAVPVSISPSNPEMRTLAKQDTEPVITQSSVAAVPIKSARPVLVPCKQVDNQNELPGKKSVNEFQKDSESSNETKESSEGRQLLNVQVMDVVKETSGQTQNVDAIITDEIKITSGVGDQPNSANSEQQSSKEPSDITEQSERLKTQTSVLKGVDGLKSGASDDVHPAR >PAN40206 pep chromosome:PHallii_v3.1:7:44730262:44734958:-1 gene:PAHAL_7G299100 transcript:PAN40206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDAPSEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQASHTSGGSAHGKDDVLGKHFVGKLTKKIPGFALITVKVKDNQVLKGWVPDENNLRPITPKDDLAPELPMLRPSQVRKRASAILMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHTVPLAPRPYMGSAVLAAVPVSISPSNPEMRTLAKQDTEPVITQSSVAAVPIKSARPVLVPCKQVDNQNELPGKKSVNEFQKDSESSNETKESSEGRQLLNVQVMDVVKETSGQTQNVDAIITDEIKITSGVGDQPNSANSEQQSSKEPSDITEQSERLKTQTSVLKGVDGLKSGASDDVHPAR >PAN40202 pep chromosome:PHallii_v3.1:7:44731583:44733798:-1 gene:PAHAL_7G299100 transcript:PAN40202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDAPSEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQASHTSGGSAHGKDDVLGKHFVGKLTKKIPGFALITVKVKDNQVLKGWVPDENNLRPITPKDDLAPELPMLRPSQVRKRASAILMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHTVPLAPRPYMGSAVLAAVPVSISPSNPEMRTLAKQDTEPVITQSSVAAVPIKSARPVLVPCKQVDNQNELPGKKSVNEFQKDSESSNETKESSVIGEKTNTALVDVVVKDSPEGRQLLNVQVMDVVKETSGQTQNVDAIITDEIKITSGVGDQPNSANSEQQSSKEPSDITEQSERLKTQTSVLKGVDGLKSGASDDVHPAR >PAN40208 pep chromosome:PHallii_v3.1:7:44732089:44733798:-1 gene:PAHAL_7G299100 transcript:PAN40208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDAPSEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQASHTSGGSAHGKDDVLGKHFVGKLTKKIPGFALITVKVKDNQVLKGWVPDENNLRPITPKDDLAPELPMLRPSQVRKRASAILMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHTVPLAPRPYMGSAVLAAVPVSISPSNPEMRTLAKQDTEPVITQSSVAAVPIKSARPVLVPCKQVDNQNELPGKKSVNEFQKDSESSNETKESSVIGEKTNTALVDVVVKDSPEGRQLLNVQVMDVVKETSGQTQNVDAIITDEIKITSGVGDQPNSANSEQQSSKEPSGDILRNNLSG >PAN40205 pep chromosome:PHallii_v3.1:7:44730262:44734943:-1 gene:PAHAL_7G299100 transcript:PAN40205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDAPSEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQASHTSGGSAHGKDDVLGKHFVGKLTKKIPGFALITVKVKDNQVLKGWVPDENNLRPITPKDDLAPELPMLRPSQVRKRASAILMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHTVPLAPRPYMGSAVLAAVPVSISPSNPEMRTLAKQDTEPVITQSSVAAVPIKSARPVLVPCKQVDNQNELPGKKSVNEFQKDSESSNETKESSEGRQLLNVQVMDVVKETSGQTQNVDAIITDEIKITSGVGDQPNSANSEQQSSKEPSDITEQSERLKTQTSVLKGVDGLKSGASDDVHPAR >PAN40203 pep chromosome:PHallii_v3.1:7:44731583:44733798:-1 gene:PAHAL_7G299100 transcript:PAN40203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDAPSEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQASHTSGGSAHGKDDVLGKHFVGKLTKKIPGFALITVKVKDNQVLKGWVPDENNLRPITPKDDLAPELPMLRPSQVRKRASAILMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHTVPLAPRPYMGSAVLAAVPVSISPSNPEMRTLAKQDTEPVITQSSVAAVPIKSARPVLVPCKQVDNQNELPGKKSVNEFQKDSESSNETKESSVIGEKTNTALVDVVVKDSPEGRQLLNVQVMDVVKETSGQTQNVDAIITDEIKITSGVGDQPNSANSEQQSSKEPSDITEQSERLKTQTSVLKGVDGLKSGASDDVHPAR >PAN40209 pep chromosome:PHallii_v3.1:7:44731124:44734943:-1 gene:PAHAL_7G299100 transcript:PAN40209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDAPSEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQASHTSGGSAHGKDDVLGKHFVGKLTKKIPGFALITVKVKDNQVLKGWVPDENNLRPITPKDDLAPELPMLRPSQVRKRASAILMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHTVPLAPRPYMGSAVLAAVPVSISPSNPEMRTLAKQDTEPVITQSSVAAVPIKSARPVLVPCKQVDNQNELPGKKSVNEFQKDSESSNETKESSEGRQLLNVQVMDVVKETSGQTQNVDAIITDEIKITSGVGDQPNSANSEQQSSKEPSGDILRNNLSG >PAN40204 pep chromosome:PHallii_v3.1:7:44731583:44733798:-1 gene:PAHAL_7G299100 transcript:PAN40204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDAPSEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQASHTSGGSAHGKDDVLGKHFVGKLTKKIPGFALITVKVKDNQVLKGWVPDENNLRPITPKDDLAPELPMLRPSQVRKRASAILMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHTVPLAPRPYMGSAVLAAVPVSISPSNPEMRTLAKQDTEPVITQSSVAAVPIKSARPVLVPCKQVDNQNELPGKKSVNEFQKDSESSNETKESSVIGEKTNTALVDVVVKDSPEGRQLLNVQVMDVVKETSGQTQNVDAIITDEIKITSGVGDQPNSANSEQQSSKEPSDITEQSERLKTQTSVLKGVDGLKSGASDDVHPAR >PVH36007 pep chromosome:PHallii_v3.1:7:47080257:47083042:-1 gene:PAHAL_7G336700 transcript:PVH36007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGAWPAAATFAPLSTAVRAAMRTLGGLLPRAHRPHSTTTTTSDAAASSPSSHTLHDYNCLLAAFARDGDGDAALRVLRRMRLSSPACAPTAVSYTSAMSALAKAGRPADAASLFDDMLANGVAPDRAAFSLLLHVYSSHLHLPAAAHSVLLWMSGLGLPPTPIDYTDLIFSYCRAGRLADALQLLDEMRALNYPLTPHTYAPILKAFCDNADIQGADAIISSMRCSGCLPDVVIYNIYIQGLCKMGDFDAVELVIDQSGRNGWVPDAVTYSTYIAGLCRFGCIEEAFRLLEIMVAKGLQLTVVGLNILLDHVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFSKKGKWLRVLKLFTDLLKKPITPNVQTYNILISCLCRAGKFQFAKFVFSSKGEDCPRYHHIQYTGRLSLQVREEVGGCQFCQAYR >PAN40362 pep chromosome:PHallii_v3.1:7:45275324:45275625:-1 gene:PAHAL_7G309500 transcript:PAN40362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAKLQKIRGRLAPCPGDSKAKLQMQASTPVQVELAARENNTADELYYVYAGRVL >PAN39855 pep chromosome:PHallii_v3.1:7:43074264:43079108:1 gene:PAHAL_7G274000 transcript:PAN39855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKSSRARGAATQGPDGPTAAPRPPVKAGPPNPSPAAELPQAAPAAAQALERLHLDPASDGDPPPPPPPVTEPEAPAPSQPPPVEASSSGRSEAGGSLEEEAVRKLHELAEAGGEEVALTEEEVRANDQRQEDELCALEAIFGDAVVILDRKGGQRCFQVHVHIEIPDAIDVSTRLNYGDGKLKYGATSDADADDLDYKFRVEHLPPILLTVLLPASYPSHHAPFFTISTYWLDKGMISSLCRMLDMLWEEQQGMEITYQWVQWLQSSSLSHLGFGNEIILGKNDVTCDADKRACLDNASPDFIIPRMMRYNDNKHHEAFLHAIHDCMICFSECPGFDFIKLPCHHFFCWKCMQTYCKMNVKEGNVVKLLCPDTKCEGAVPPNILKRLLGEDEFERWEGLLLQRTLDAMSDVVYCPRCQTACLEDVGNEAVCSSCLFSFCTLCRNRRHIGEQCMSPEERLLILEKRQQSGQVQGDQQRILEELRSLKEIMKDAKQCPRCKMAISKTEGCNKMHCENCGEYFCYQCNRAITGYEHFKGSCVLFPQEELDRWEMQMNQRVRRQVVAQAHAEMHALHGQVHPCPTCRQPSPKVGNNNHLFCWACQKHFCALCHKPVPKPAQHYGPKGCKQHTADP >PAN40450 pep chromosome:PHallii_v3.1:7:45738625:45739467:-1 gene:PAHAL_7G316500 transcript:PAN40450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLLAFVAARGFLQVFQVSAPLLWPLNLWVPLARHLPEACAAFYGALVSHAARLRAAVRSRRRRGEESPLDEYFRNALLTLSD >PVH35290 pep chromosome:PHallii_v3.1:7:34374365:34375903:1 gene:PAHAL_7G142200 transcript:PVH35290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGDSDMGPMAMAPPSHGAAHAPPAGAGKAVAPPAGPHMSMMHMTFFWGDRAVVLFPGWPGARGGGAYALCLLFVLALAALTEALAAASRCVARRRGRDAGGRGGGVPASSAALLTAAHAARMGTAYLVMLAVMSFNGGVLLAAVAGHALGFLLARSRVHPGSGAAADAARERELAAGPDGSKA >PVH35352 pep chromosome:PHallii_v3.1:7:35953153:35955149:1 gene:PAHAL_7G160600 transcript:PVH35352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRCVCALWRALMDGRGLLPHALPPRAFPGFFANARVKPRRRPGLGFGFLPPPASRPPAPDRLAFLRAHIPRVGAEAAVRHQCNGLVLCFVCNPTTERWARLPPPPTWWPRGHEGLFLAFDPAVSLDYEVLLLPVPPPRQSNGDAGLPAEAPRQGRVTLGMFVPESFGKQQEANEEKLLPLLVFSSATRQWTKRLLAPGRCAPPRLFDRVMRRRRRSAEGDSWVRTWRSAVYCRGALYVNCEKRILVVLRSSSEGTYDMVMLPADAGAGAGQGERYAAGHVLSSLPEDSTFPSTEDGVLLRYASVDAFRVKAWALRESAGDGGGPLLEWTLTHDMDLAAHARMLDLLHHAPSNCVPLAAEEPTGRGGRGGKSVWFSDEDGEEAAGNDGCSGRSWSWDDASLLDIEIGEHELPDVGAGAPSPFSVLGCHPDKEVIFLAAGAFHVVAYHLGSGKVQYLGRDAAGRR >PAN38923 pep chromosome:PHallii_v3.1:7:38704163:38706335:-1 gene:PAHAL_7G207400 transcript:PAN38923 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQPKISAFFKRQETAPDPNSGGGEGHGQGSGGGAAAEEKRKPKGGGRGDLVSKKRSYAQFHLELGQPDFVLHTCSVCGMMYARGNDDDEKVHKAYHKSYFEGVPFKGWRNETVIARSEGGDRVILATDENSHMWNSKVKEVIAVVEKELGFAEGKLLHKLCKVYLYISGQRIVGCLVTEPIKTAHRVIPSSKEESHSDLLPNNNESRKTDHTLEFGKISFKREIIRRNNPSVENKGECQDPGAIICDKEAVPALCGFRAIWVVPSRRRKRIGSKLMDVARKTFCEGGTLGISQFAFSPPTSSGKALACRYCKTSAFLVYKDMDV >PVH35522 pep chromosome:PHallii_v3.1:7:38704617:38706169:-1 gene:PAHAL_7G207400 transcript:PVH35522 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQPKISAFFKRQETAPDPNRCIGTCATRGEATCDSVLISASLRSGGGEGHGQGSGGGAAAEEKRKPKGGGRGDLVSKKRSYAQFHLELGQPDFVLHTCSVCGMMYARGNDDDEKVHKAYHKSYFEGVPFKGWRNETVIARSEGGDRVILATDENSHMWNSKVKEVIAVVEKELGFAEGKLLHKLCKVYLYISGQRIVGCLVTEPIKTAHRVIPSSKEESHSDLLPNNNESRKTDHTLEFGKISFKREIIRRNNPSVENKGECQDPGAIICDKEAVPALCGFRAIWVVPSRRRKRIGSKLMDVARSFSSLHCSA >PVH35523 pep chromosome:PHallii_v3.1:7:38704547:38706335:-1 gene:PAHAL_7G207400 transcript:PVH35523 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQPKISAFFKRQETAPDPNSGGGEGHGQGSGGGAAAEEKRKPKGGGRGDLVSKKRSYAQFHLELGQPDFVLHTCSVCGMMYARGNDDDEKVHKAYHKSYFEGVPFKGWRNETVIARSEGGDRVILATDENSHMWNSKVKEVIAVVEKELGFAEGKLLHKLCKVYLYISGQRIVGCLVTEPIKTAHRVIPSSKEESHSDLLPNNNESRKTDHTLEFGKISFKREIIRRNNPSVENKGECQDPGAIICDKEAVPALCGFRAIWVVPSRRRKRIGSKLMDVARSFSSLHCSA >PAN38922 pep chromosome:PHallii_v3.1:7:38704300:38706169:-1 gene:PAHAL_7G207400 transcript:PAN38922 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQPKISAFFKRQETAPDPNRCIGTCATRGEATCDSVLISASLRSGGGEGHGQGSGGGAAAEEKRKPKGGGRGDLVSKKRSYAQFHLELGQPDFVLHTCSVCGMMYARGNDDDEKVHKAYHKSYFEGVPFKGWRNETVIARSEGGDRVILATDENSHMWNSKVKEVIAVVEKELGFAEGKLLHKLCKVYLYISGQRIVGCLVTEPIKTAHRVIPSSKEESHSDLLPNNNESRKTDHTLEFGKISFKREIIRRNNPSVENKGECQDPGAIICDKEAVPALCGFRAIWVVPSRRRKRIGSKLMDVARKTFCEGGTLGISQFAFSPPTSSGKALACRYCKTSAFLVYKDMDV >PVH34712 pep chromosome:PHallii_v3.1:7:2535381:2536741:-1 gene:PAHAL_7G013600 transcript:PVH34712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRFVCVIRLHKQVLMLFWRMQCLLLACKPQKTKEKMKKGSGGGGGGGGGGGGGGGGGGGLTLMGSIFPALSVCLLSSGLPPLTSHASIHVPRDYTTLVKD >PVH35859 pep chromosome:PHallii_v3.1:7:44467515:44467888:-1 gene:PAHAL_7G295100 transcript:PVH35859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPVSAVDGDRNPHEGPRNYPRSDPCLTSSGRSSRFVPSHAVLAARLGDFAVGFSVQFSPVIANITSFSQSTMICVFEIFIQVIRMPSPQPEYKQSE >PAN36869 pep chromosome:PHallii_v3.1:7:1405495:1406418:1 gene:PAHAL_7G006900 transcript:PAN36869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNAVAAEVSTAVTKLNEHLATVLHGADATTGAANNTVITLAGENSGATMEAAAGDVEDLVVVGNADAEENDDGDNQEEEDDVVISAYTNSNYQAVNNSVLVSGSCAVNDPGVHVVVVEHVDEIRDYDDDLDAQEF >PAN38762 pep chromosome:PHallii_v3.1:7:38052293:38056663:-1 gene:PAHAL_7G195800 transcript:PAN38762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGRLPRKPGKAGDSRDAAAGVAAGNGTEPSNSYSVARSMDSGNKRTGNGDYPVPPGVTPNSVMNGAVLYQSNEPLPAFKDVAASEKQNLFVKKVNLCCAVYDFMDPTKNLKEKEVKRQTLMELVDYVTSANGKFSEVVMQEITKMVSINLFRSSNPTPRENKAIEGVDLEEDEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFRQIARSMNSSHFQVAERALFLWNNDHIENLIKQNYKVLLPIIYPALERNTRDHWNQAVRSLTLNVRKIFSDHDSAFFGECVQRFNDEELKQEETDSKREALWKRLEEMATSKPGENNPSGAPNGKSSQAAG >PAN38969 pep chromosome:PHallii_v3.1:7:38910503:38915735:1 gene:PAHAL_7G209900 transcript:PAN38969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFVRGLKTGSAVVVATTAGMFLVCFESLCPCFGSKAKDGSEDHVLSGHSNSLSSSEMRSISDRIPASPLRIPASPSRFSLSSPPSGNEPLNLSLEHVVKLTHNFSPTLMIGEGYFGKVYRAELRDGRVIAIKRAKKEHFVSLRAEFSNEVTLLKNIEHRNLVQLLGYIDKANERLIITEYVSNGTLREHLDGQHGLVLGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTECFRAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIEVRRGATERITVRWAFNKYNRGNVRDILDPMLTEAINEDILNRIFDVAFECVAPTREDRPHMKEVVEGLWKIRRDYTKMQRIAELTL >PVH35536 pep chromosome:PHallii_v3.1:7:38910470:38915791:1 gene:PAHAL_7G209900 transcript:PVH35536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFVRGLKTGSAVVVATTAGMFLVCFESLCPCFGSKAKDGSEDHVLSGHSNSLSSSEMRSISDRIPASPLRIPASPSRFSLSSPPSGNEPLNLSLEHVVKLTHNFSPTLMIGEGYFGKVYRAELRDGRVIAIKRAKKEHFVSLRAEFSNEVTLLKNIEHRNLVQLLGYIDKANERLIITEYVSNGTLREHLDGQHGLVLGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTECFRAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIEVRRGATERITVRWAFNKYNRGNVRDILDPMLTEAINEDILNRIFDVAFECVAPTREDRPHMKEVVEGLWKIRRDYTKMQRIAELTL >PVH35538 pep chromosome:PHallii_v3.1:7:38910503:38915735:1 gene:PAHAL_7G209900 transcript:PVH35538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISDRIPASPLRIPASPSRFSLSSPPSGNEPLNLSLEHVVKLTHNFSPTLMIGEGYFGKVYRAELRDGRVIAIKRAKKEHFVSLRAEFSNEVTLLKNIEHRNLVQLLGYIDKANERLIITEYVSNGTLREHLDGQHGLVLGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTECFRAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIEVRRGATERITVRWAFNKYNRGNVRDILDPMLTEAINEDILNRIFDVAFECVAPTREDRPHMKEVVEGLWKIRRDYTKMQRIAELTL >PVH35537 pep chromosome:PHallii_v3.1:7:38910503:38915792:1 gene:PAHAL_7G209900 transcript:PVH35537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFVRGLKTGSAVVVATTAGMFLVCFESLCPCFGSKAKDGSEDHVLSGHSNSLSSSEMRSISDRIPASPLRIPASPSRFSLSSPPSGNEPLNLSLEHVVKLTHNFSPTLMIGEGYFGKVYRAELRDGRVIAIKRAKKEHFVSLRAEFSNEVTLLKNIEHRNLVQLLGYIDKANERLIITEYVSNGTLREHLDGQHGLVLGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTECFRAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIEVRRGATERITVRWAFNKYNRGNVRDILDPMLTEAINEDILNRIFDVAFECVAPTREDRPHMKEVVEGLWKIRRDYTKMQRIAELTL >PAN38248 pep chromosome:PHallii_v3.1:7:35616191:35621575:-1 gene:PAHAL_7G157400 transcript:PAN38248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVLASSCSASPRLPVLSASAARLRGLPGAAPPPPASSTGGAARRAARRPRLLVAVAAAAAPRGSRNVFEGLRAKGFASVSSSTGNENVSTGTGTLPPMPPPSSYIGSPVFWIGVGVALSVAFTTVSSMVKRYAMQQAFKSMMSQSPSNSFGSNSSFPFAMPPQAAPTAPSSYPYSQPKRDTSPQVATVDVLATEVEASGASKEADVAETPKPSKKFAFVDVSPEELQQKNLQSSLETVDVKRDSTDSESKEDTEQKVPTNGAAFKPNEDAARGPTESSNSGPMLSVETIEKMMEDPQVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGQSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >PAN37707 pep chromosome:PHallii_v3.1:7:31697434:31701151:-1 gene:PAHAL_7G116000 transcript:PAN37707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLVVLDQLVGDSPAAEVFLLLLFTLLLLFLLLRYLTAGGAGSRARLPPSPSGGLPLIGHAHLVGALPHVSLRRLAARQRRCADLMTVRLGAVPTLVASSARAARVVLRTHDQALASRARSVCGDVLTYGPSDVVFAPYGERWRRSKRLVTTHLLSARKVQSHRAAREEEVELVINKIRDAAAAAAGATVDMSEVLSKFTNDMVCRAVAGRTFRVEGRDRVFRELIDETFAVLGGFNLENLYPGLAKAAGGVLMWPARRRAERLRDRWDELLDKLIDQHMGEVAGHEDAGGDGEQESDFISVLLSVQEEYGLTRDNIKGILGNMFAAGTDTTYLVLEFTIAELMLHQDAMAKLQAEVRKSTPKGQKLVNEDDLVGMTYLKAVIKETLRLHPPVPLLLPHLSQEDCDADGYAVPAGTSVLVNAWAISRDPAVWDAAEEFMPERFISMGDLGGVDFRGMDFQFLPFGSGRRICPGISFALSSIEIMLANLMLHFDWELPSGVDTVDMTEVFGLTVSRKEKLFLNPRPRGDVIK >PAN39051 pep chromosome:PHallii_v3.1:7:39376664:39378451:1 gene:PAHAL_7G217100 transcript:PAN39051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGERRDATHRRDAGFVDGNRISRASARWGTGGNSASAPRSGAEYGRTPQKRPRGSGDLPNPASSSGDLAPRATSPPAPGSLASNTVVARMMKRMNYREGTGLGRHGQGIVAPLEVIVRPKNAGLGTAEGSTGGDFEPPPSAENWPKWDEAGGAKKGPLEEGAAEAVARVQKALARASRWSSGQGEPCYGEGTAAIAKAMARVQEESSSGMLTTAELIREFTALKEQCPREYTTYRLADAAPLLRAVFQQWEPLEDPSRGLEAVTRLKDALLDDGSAASPYAALVDDVVVGAVLASAAETWEAMDPEPMHLLEQAVMPKLSAAAESWEPRWEPVPCHAWVHPWIPLLGRRHEPLVATVRCKLGNALVGWRAPRATADHDMVLPWKDAFGPAAWEEFVGRHVVPYLRQGLRAVRVTPPKQEDGGFGGVMRWLSVVPARDMARLLEEEGFLGKWQDALCRWLWAARPTVAEATAWHEGWKRLLTPELLADERVRAPIEAGLQKISRAAQGLEIYRPPGREQHAGQASSWRYRSRRRAAFGRGGNSRH >PVH34663 pep chromosome:PHallii_v3.1:7:583777:584622:-1 gene:PAHAL_7G001900 transcript:PVH34663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEASGAKAGGGLCQQTPTQSTFVLTFLNNIVADGTKTSTGFKKVHLNACAKALNDHFKLTRTGDQVSNHLKTWKKKYTRINYLKNLSAALWDEDEFIVSLDHDHYKGYMADPKNKADDEYLNKPLPYYGFLATIFGNSVAIGQYAKSSNEPLGTDKSEGVSNGADGIAESDGLNHGIDKSVVNDDISSSARPAKRAKTIDDTGRNIDCLVEAFDRGSQRLAKAIEKASNALPDGLFEAVDSLLGFELDHKFR >PVH35569 pep chromosome:PHallii_v3.1:7:39257463:39280656:-1 gene:PAHAL_7G215000 transcript:PVH35569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MGEGEDEASSSGAPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLMYELGHLIRKPSREVCVFLAPTIPLVRQQAIVIADSTNFKVQRYYGSGKNLRDHKAWENEMAEYEVLVMTPQIFLRNLRHCFIKMDLVALLVFDECHHAQAPKRHPYAQIMKEFYNNVDKPPRVFGMTASPIIGKGGSNKLIYTKCINSLEELLNAKVCSVDNLELESVVASPEIEVYFYGPVGQSNLTATYSKGLDGYKLQSECMLRESLCNFKESQKKLKSLWRLHENLIFCLQEVGLFGALQAARTFLSSSGGCLDRKGVDIDDNHASFAQHYLNKAISLLSCDILDGADADSVDLETLEEPFFSKKFAVLIDVLSRYRLEENMKCIVFVKRIIVARVVAHILQNLKCLDFWKCEFLVGCHSGLKNMSRNKMGSIIEKFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVSSFIQSRGRARMNKSKYIFLLERGNQSQEKLVGDYITGESIMDQEINLRTSNDMFDCLEENIYRVNDTGASISTACSVSLLHCYCDNLPRDMFFVPSPAFFYVDDVEGIVCRLILPPNAAFRQVNSQPCPSKDEAKRDACLKACIRLHELGALTDFLLPGQGSRKTKISTIDISESNKAEDESFREELHEMLIPAVLRPSRYKLDCLLNLHFYYIEFIPKPADRRYQMFGIFVIDALPKEAEKLDVELHLARARIVKAGIKYLGMITFNKEEMMLARNFQEMCLKVLLDRSEFTSSYVMLGNDAALQMDSTFYLLLPIKQKFYGDKFMIDWPAVKRCLSSPVFQDPRGLSLHDSYLPNESLKLLDGTYSKADVIGSLVFAPHNNLFFFVDVILDEVNAKSEFKGATYAEYFKERFHIELSHPEQPLLKAKQLFNLRNLLHNRQLESTESEGRELMEHFVELPPELCSLKITGFSKDMGSSLSLLPSLMFRLENLLVAIELKDVMLSYFPEASQISASGILEALTTERCLERISLERFEVLGDAFLKYAVGRHSFISYEGLDEGQLTRRRSDIVNNSNLYELSIRRNLQVYIRDQQFEPTQFFALGRPCKVVCNPETEESLHPKNIDPDKRENCNLRCTKSHHWLHRKTIADVVEALLGAFIVESGFKAAFAFLHWIGIKVNFKDSALYRVLDASSANLSLMNYINISELEELIGYKFKHKGLLIQAFVHPSFNKHSGGCYQRMEFLGDAVLEYLMTSYLYSAYPDLKPGQITDLKSLAVNNNSFAHVAIKKSIHKYLIKDSKYLMAAVNKFENYVNLSNSEKDLSEEPACPKVLGDIVESCVGAVLLDSGFNLNNVWKLMLMLLKPILSFCDMHINPMRELRELCQCNAFELGLPKPTKADGEFHVKVEVNINGKMISCAAANRNSKDARKLAAQDALSKLKNYGYKHKSKSLEEILRVATKKESELIGYDEEPIKVEDDISLETKNLHANREMEGNIFFQNNEASSIRRYETSIQSTGDNKVDNNDANDGRMNKSNVITQNGCLLRGGADKINKKEYHGDMVHKTARSFLYELCAANYWKRPEFDLCKDEGPSHLRKFTFKVLVQIMGPSATILECYSDPKLHKKAAQEHAAQGALWCLKQLGYLPKDETRV >PAN39557 pep chromosome:PHallii_v3.1:7:41535041:41542481:-1 gene:PAHAL_7G251400 transcript:PAN39557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWWGKSSSKDVKKTTKENLLDTFHRLISPNEPKGNTKSKRNCRRGNNTAVEKVCKSTTVSRPTSPSKEVSRCQSFSVDRPHAQPLPTPGGCPRATRTVSDVIESKPILEKRGKPPLFLPLPKPDLLHKRPGNSEVASEIVVASVSSNCSADSEDHADSQLQSPVGNDIENTQISSKNKSSNVRKEHPGTITTKIKKETSKPVANAFLNNHTMSTSPRGIAAENNQPNVQNLRPVVLESAPNSLMSSPSRSPRRICPDHIPTAAFWAVKPHADVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGVAPESPTSRHDDGKKKQTHKLPLPPLSISNSSFFPNNSTPTSPISVPRSPGRTENPSSPASRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDPKSKESAKQLGQEISLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTHQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQHCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSERCKDFIRKCLQRDPSQRPTSAELLQHPFIQNGISLEKSVAPNPLEHLAAISCRPKPKVSMQTRNTSLGLEGQTIYQRRGVKLSSKHSDIHIRSNISCPVSPCGSPLLKSRSPQHTSGRMSPSPISSPRTTSGTSTPLSGGNGAIPFNHLRYATYSSEGFGTTSRGPDDLFPNRHKDPILGQFTQSHQVSQGPRERVVSEADILSPQFGKRLGNVFDLRERLSPSEHFTRHAFMDHVELNPSFDLTPGSLNLGLKHGK >PAN39558 pep chromosome:PHallii_v3.1:7:41533834:41544124:-1 gene:PAHAL_7G251400 transcript:PAN39558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWWGKSSSKDVKKTTKENLLDTFHRLISPNEPKGNTKSKRNCRRGNNTAVEKVCKSTTVSRPTSPSKEVSRCQSFSVDRPHAQPLPTPGGCPRATRTVSDVIESKPILEKRGKPPLFLPLPKPDLLHKRPGNSEVASEIVVASVSSNCSADSEDHADSQLQSPVGNDIENTQISSKNKSSNVRKEHPGTITTKIKKETSKPVANAFLNNHTMSTSPRGIAAENNQPNVQNLRPVVLESAPNSLMSSPSRSPRRICPDHIPTAAFWAVKPHADVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGVAPESPTSRHDDGKKKQTHKLPLPPLSISNSSFFPNNSTPTSPISVPRSPGRTENPSSPASRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDPKSKESAKQLGQEISLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTHQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQHCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSERCKDFIRKCLQRDPSQRPTSAELLQHPFIQNGISLEKSVAPNPLEHLAAISCRPKPKVSMQTRNTSLGLEGQTIYQRRGVKLSSKHSDIHIRSNISCPVSPCGSPLLKSRSPQHTSGRMSPSPISSPRTTSGTSTPLSGGNGAIPFNHLRYATYSSEGFGTTSRGPDDLFPNRHKDPILGQFTQSHQVSQGPRERVVSEADILSPQFGKRLGNVFDLRERLSPSEHFTRHAFMDHVELNPSFDLTPGSLNLGLKHGK >PAN40239 pep chromosome:PHallii_v3.1:7:44865477:44870862:1 gene:PAHAL_7G301900 transcript:PAN40239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKHAPAFTPEAASASVVAGQPHNLPVLQAKMKRDPEGYEDELRQLHRHFESSVFLFRQQAALATTSASGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARGLPPGLRTHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQKHKNDTRNRKLQNILFKFLQAEEESRAKRAFTIVCDLHRRRVWFDERTTNAICDACFHPSSRIMIAAISFLLGYENAEQEDDSDASSSEDEADQNPQILLSKQDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSVDEAGSSYYSPLTYLKDAQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDKSRPEAIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPVDPKARPKAFGEVTVASDVPGAELLDENISSEGEGSDDESDAFDSDDETTLPSAPPGTEENMEGSDANKLDANEDTEEEDEASDDDGTDEGQDNSDNDIDDIDEELDDDSDMDADTDMSDEDEDDEELKESINGSEDEGSDHDEDSDEGEKSNGSGSKVQKRKLSDYIGELNAADASLRALKRLAGAKKAQVSSDETGKILSDEDFKRIKELKAKKEAKLALAQHGLIKGVDTKSATFKMPSSDQLSRKRVDPLQLEAHVRRKMSKEERLAMVKAGREDRGAYVARAAVKQKKTGGLSNKQKQHRKRMPLAATRAKAARSRQEKKQLRKRSGNQFRGRKAWK >PVH35605 pep chromosome:PHallii_v3.1:7:39783235:39783570:-1 gene:PAHAL_7G223200 transcript:PVH35605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEWTLRCSRSLAPPCVASFARIDASSPGQRATAPAARPAPPRAVSFARIDAPPLPPAGSSPWRLVSRIDALPLSKEFAAARVHASAPHVTPGSAAGAGPSWAPPWGRS >PAN39552 pep chromosome:PHallii_v3.1:7:41514331:41516943:-1 gene:PAHAL_7G250800 transcript:PAN39552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLMRCSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSILLFRPHENALRMRTGADRLCMPAPSVEQFLEAVKLTVLANKRWVPPTGKGSLYIRPQLIGSGAILGVAPAPQYTFIVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPIHNKFVEEVSSCNIFMVKDNVISTPLLTGTILPGITRKSVMGIAQNLGFQVEERNITIGELLGADEVFCTGTAVVLSPVGSITYRERKVEYGKSQEAGVVSQQLYAAFTAIQKGFVEDSMGWTLELN >PVH35705 pep chromosome:PHallii_v3.1:7:41513969:41517799:-1 gene:PAHAL_7G250800 transcript:PVH35705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTSAARSFASPASGHGTVPFPRIPSRLVWSRSTQRTSLRGPVARMRSRFSTLMTTTYNTGTPDLVDFNWDALGFQLVPTDFMYLMRCSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSILLFRPHENALRMRTGADRLCMPAPSVEQFLEAVKLTVLANKRWVPPTGKGSLYIRPQLIGSGAILGVAPAPQYTFIVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPIHNKFVEEVSSCNIFMVKERFFPESQEKV >PVH35703 pep chromosome:PHallii_v3.1:7:41513969:41517799:-1 gene:PAHAL_7G250800 transcript:PVH35703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLMRCSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSILLFRPHENALRMRTGADRLCMPAPSVEQFLEAVKLTVLANKRWVPPTGKGSLYIRPQLIGSGAILGVAPAPQYTFIVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPIHNKFVEEVSSCNIFMVKERFFPESQEKV >PVH35704 pep chromosome:PHallii_v3.1:7:41514331:41517734:-1 gene:PAHAL_7G250800 transcript:PVH35704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTSAARSFASPASGHGTVPFPRIPSRLVWSRSTQRTSLRGPVARMRSRFSTLMTTTYNTGTPDLVDFNWDALGFQLVPTDFMYLMRCSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSILLFRPHENALRMRTGADRLCMPAPSVEQFLEAVKLTVLANKRWVPPTGKGSLYIRPQLIGSGAILGVAPAPQYTFIVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPIHNKFVEEVSSCNIFMVKDNVISTPLLTGTILPGITRKSVMGIAQNLGFQVEERNITIGELLGADEVFCTGTAVVLSPVGSITYRERKVEYGKSQEAGVVSQQLYAAFTAIQKGFVEDSMGWTLELN >PAN37617 pep chromosome:PHallii_v3.1:7:11949118:11949400:1 gene:PAHAL_7G043200 transcript:PAN37617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTCLQDSSTIAPQNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKV >PAN39112 pep chromosome:PHallii_v3.1:7:39706448:39709357:-1 gene:PAHAL_7G222000 transcript:PAN39112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTAICESDPLNWGKAAAEMAGSHLDEVKRMVAQFREPLVKIEGSSLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGKGSDGHTLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAAMVCFDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILDGSSFMKHAKEVNAMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYMVALCQAVDLRHLEENLKSAVKNCVTTVGRKVLTTSPDGDLHNARFSEKSLLTAIDREAVYGYYDDPCSANSSLMKKIRAVLVDHALANGEAEKDASASVFSKINKFEEELREALPREMEAARVAFETGTAPIANRIKESRSYPLYRFIRQDLGAVYLTGEKLKSPGEECNKVFLALSEGKLIDPMLECLKEWDGKPLPIC >PAN38167 pep chromosome:PHallii_v3.1:7:35253944:35256218:-1 gene:PAHAL_7G151800 transcript:PAN38167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTLSLAVNGGTGTGTGKKRKVRDGVVDDVVGDGGDRGRVVRLLQARERMVARLESDDQRVAPDDAAGGGGGLRLMHLLLSSVAAGEAGDVRAATAALHEVYRLASFGGGDPAPRVAAYFADAMAPRLRLRPPAEASSAPARGEQFLAYTMFYRASPLYQFAHFTANQAIVEAFEGGGRRSLHVVDFDVSYGFQWPSLIQSLSDAADAASTSGSQDGDRRERPVSLRITGLGTSADELRQTEARLTRFASGCPNVRFEFEGIVTNEQNSGRHERIKNDDDATVVVNLAFPAAQSSRASAREACSALALIRSLNPSLVFLVERGGGGNATSRGRSSLLPPFTASLRYFAAVFDSLHESLPADSAERLAIERNYLGTEIRNAVASLDRSHGGDDRTAAEPCSSSASWKEMMESAGFEAVPLSSRTVSQAKLLLKMKSGCGGGGGFRVVVEGDGGRAMSLGWRDSALITTTAWRRRRRRSISKTSS >PAN39006 pep chromosome:PHallii_v3.1:7:39141780:39145100:1 gene:PAHAL_7G213500 transcript:PAN39006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDALLVTSCLVIVAAVAAPGASAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEHLGSEPALPYLSPYLRGDKLLVGANFASAGVGILNDTGIQFVNIIRIGQQLENFQEYQQKLAAFVGEDAAAQVVNNALVLITLGGNDFVNNYYLLPFSVRSRQFAIQDYVPYLISEYRKILTRLYELGARRVVVTGTGMIGCVPAELAMHSVDGECARDLTVAADLFNPQLERMLAGLNAEIGSDVFIAANTNRASFDFMFNPQDYGFVTSKVACCGQGPYNGIGLCTPASNVCPNRDVYAYWDAFHPTERANRIIVGQFMHGSTDHISPMNISTILAMDNNRG >PVH35027 pep chromosome:PHallii_v3.1:7:26150595:26151026:1 gene:PAHAL_7G088700 transcript:PVH35027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKVQAIHDWPLPRSARAVRGFLGLAGYYRKFIHNYGSIAAPLTVLLKKEGFTWGPEVASAFLALKEAVTSAPVLAMPDFDKTFVVECDASSHGFGAVLIQEGHPIAFFSRPVAPRHQALAAYERELIGLVQAVRHWRPYL >PAN40046 pep chromosome:PHallii_v3.1:7:44051142:44054714:1 gene:PAHAL_7G287900 transcript:PAN40046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHVHGHGRRLAAAAWAWLAVSMMASLASARFIVEKNSVKVLSPRSLRGHHEAAIANYGVPEYGGRLTGVVLYPEDPKLATGCGPFGDKKFRSPSGRPVVLLVDRGGCYFALKTWNAQLAGAAAVLVADSADEPLLTMDSPEDETPDMAFLANITVPSALVTKRFGDALRRAASPSSGGSGEEVVVRLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQAAFVRAFRGHAQLLEKGGYALFTPHYITWFCPDAFLDTPQCKAQCINRGRYCVPDPEGDLAAGYDGKDVVVENLRQLCVHRVANASSRPWVWWDYVADYHLRCSMKENKYTRRCAEDVVRSLGLPMDMIDKCMGDPDADAENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTAVLKAICAGFKETTEPHVCLTPDMETDECLDNNGGCWRDEKTNITACKDTYRGRICQCPVVGGVQYQGDGYTECKAVGPGRCAMGNGGCWTETRNGKTLSACSGSDLSGCKCPPGFKGDGFHCQDVDECSEKLACSCPHCSCKNNWGGFDCKCGGGLMYIKSEDTCIAKNMSAFGWLVTALVVSCLAGAGVAGYVFYKYRLRRYMDSEIMAIMAQYMPLDSQHNENQPLRTQETQQA >PVH35833 pep chromosome:PHallii_v3.1:7:44051142:44054714:1 gene:PAHAL_7G287900 transcript:PVH35833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHVHGHGRRLAAAAWAWLAVSMMASLASARFIVEKNSVKVLSPRSLRGHHEAAIANYGVPEYGGRLTGVVLYPEDPKLATGCGPFGDKKFRSPSGRPVVLLVDRGGCYFALKTWNAQLAGAAAVLVADSADEPLLTMDSPEDETPDMAFLANITVPSALVTKRFGDALRRAASPSSGGSGEEVVVRLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQAAFVRAFRGHAQLLEKGGYALFTPHYITWFCPDAFLDTPQCKAQCINRGRYCVPDPEGDLAAGYDGKDVVVENLRQLCVHRVANASSRPWVWWDYVADYHLRCSMKENKYTRRCAEDVVRSLGLPMDMIDKCMGDPDADAENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTAVLKAICAGFKETTEPHVCLTPDMETDECLDNNGGCWRDEKTNITACKDTYRGRICQCPVVGGVQYQGDGYTECKAVGPGRCAMGNGGCWTETRNGKTLSACSGSDLSGCKCPPGFKGDGFHCQDVDECSEKLACSCPHCSCKNNWGGFDCKCGGGLMYIKSEDTCIAKNMSAFGWLVTALVVSCLAGAGVAGYVFYKYRLRRYMDSEIMAIMAQYMPLDSQHNENQPLRTQETQQA >PVH34765 pep chromosome:PHallii_v3.1:7:5224884:5225257:1 gene:PAHAL_7G025000 transcript:PVH34765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRSPSRRSEPAAGAVGADFRRGAGRRGRGGAPPGPGSRPAQLSIWMRWIGFAFLVVLPLRHCARCHVRAGCLQ >PAN38488 pep chromosome:PHallii_v3.1:7:36846887:36851915:-1 gene:PAHAL_7G175400 transcript:PAN38488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALRWWWAAAFVSLVVGVSRRVVANTEGDALYSLRQSLKDTNNVLQSWDPTLVNPCTWFHVTCDTDNSVIRLDLGNAQLSGPLVPQLGQLKNMQFLELYSNNISGPIPPELGNLTNLVSLDLYLNNFTGNIPDTLGQLLKLRFLRLNNNSLSGQIPKTLTAITTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTTKPCPGAPPFSPPPPYNSPTPTSSKGASSTGAIAGGAAAGAALLFAIPAIAFALWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNRNVLGRGGFGKVYKGRLTDGSLVAVKRLKEERTPGGELQFQTEVELISMAVHKNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERGPDDPPLEWETRARIALGSARGLSYLHDHCDPKIIHRDVKAANILLDESFEAVVGDFGLARLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEQLVDPDLQGGYTDEEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAERAPRHNDWIVDSTYNLNAVELSGPR >PVH36011 pep chromosome:PHallii_v3.1:7:47156525:47157728:1 gene:PAHAL_7G337900 transcript:PVH36011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGDAILLIANELYTGEGKKINELMMSKRCLDLQLKTATVGSDAKLRACLEIIHHQVAISLPCHLPQLNGSELYADRNTGAHRQWHKPGLPLLRQLSYHGAVQPRLPGERDAWLHGGPVPLVQRGEVAAAQLRAVEGRRDLAPVVVDADALVGVGGGHVDVERVGHRHRRGVHVEPGDGEPVHAEVGDLGPEDDVEDARRGGERDDEKDDGEQGAAHAPAAAAVPLAARGRGQRRGRARRRGRDRELQLLMAGFLAPAGLLRRRGRRRRRADRYRVDPVVVSHARSSDPNRLTPRW >PVH35303 pep chromosome:PHallii_v3.1:7:34898935:34901102:1 gene:PAHAL_7G146900 transcript:PVH35303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLAGVVQLLWNSSVPYWSSGDWNGQYQYFSSVPGMAARHLFDFTFVNDGREVSFKYHLRDETDTVYSFLDVSGQRKVLLWQESTQEWITIYRHPELRCDAHAACGPFAVCDDNAASACSCLRGFSVAAPDVWELGDRTAGCKRDVPLDYCGGNGSVAGPADRFYAVAAVRLPYDPRSVGGPVTSAAECEQACLSTCSCSAYSFGTGGCSVWHGELVNVRHLQFADAAAGNGEVLHLRLAAKEFQTRKKSRAMVIGGAIATGTAALCVSVLVLLLMVMARRNRRHRPHCDGGLIAFRYRDLQRATGDFSDKIGAGGFGSVFKGSLNTSTTIAVKRLHGSCRAEKQFRAEVSSIGILQHTNLVKMIGFCCERDRRLLVYEHMPNLSLDVHLFRSKSNAAALTLSWSTRYRVALGVARGLAYLHESCRDCVIHCDVKPQNILLDGSFRPKIADFGMAKLMARDLSRVLTTARGTVGYLAPEWISGMPVTPKVDVYAYGMVLLEIVSGRMNSEEECGGGEDDDEVVYFPVLVARKLLEGDVMRLVDRSLSSDAVVEEVERACKVACWCIQDNEADRPAMGKVVQILEGLVEVDMPPTPRLLAAIAGRSHSACT >PAN38437 pep chromosome:PHallii_v3.1:7:36606285:36608983:-1 gene:PAHAL_7G171900 transcript:PAN38437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLAQLEEELRREREEKARALRELEEVRRDGESGAKGAAEKVQLLEREVDKSKESERKMLESLIYQTKQLEQTKISLEEAKLEIATLRQANKGLEAAAARRGGAAEQRSVKDLMFGGADEEIRVLRSELRTAMQGEERSRKAADDLSVALSDVTMEAKQVKVWLSEAQAELEAASAEAERLRGALAAAEARLRAVSAEHERCRLEAEECAAAWGDKERVLLDCVRASEEEVNRARQENTKLVESQRVIRDENARLRDILKQAVAEANVVKDSLELARAENARLNDAVADKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTACGTPASTKTAPAPDYSFDQRLPNGSKSGTPQSASQRWMADKPRTPGSRRYSIGEPGKLKGGFSQSARMGSLNPKERVFASLSNIADLKSAADAAMEDFDDEFDHIDESHYADMEDSMKHKKKRPIFRKFGDLFRRKSFYKPNLAPVHTH >PAN38438 pep chromosome:PHallii_v3.1:7:36606285:36608293:-1 gene:PAHAL_7G171900 transcript:PAN38438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWDSPRRSGSFEAGLRAAATATASSSASSREHRKQPSSPRLHRSRSSAGGPSKASPSPERRRSVGGAGAMQQQRLAQLEEELRREREEKARALRELEEVRRDGESGAKGAAEKVQLLEREVDKSKESERKMLESLIYQTKQLEQTKISLEEAKLEIATLRQANKGLEAAAARRGGAAEQRSVKDLMFGGADEEIRVLRSELRTAMQGEERSRKAADDLSVALSDVTMEAKQVKVWLSEAQAELEAASAEAERLRGALAAAEARLRAVSAEHERCRLEAEECAAAWGDKERVLLDCVRASEEEVNRARQENTKLVESQRVIRDENARLRDILKQAVAEANVVKDSLELARAENARLNDAVADKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTACGTPASTKTAPAPDYSFDQRLPNGSKSGTPQSASQRWMADKPRTPGSRRYSIGEPGKLKGGFSQSARMGSLNPKERVFASLSNIADLKSAADAAMEDFDDEFDHIDESHYADMEDSMKHKKKRPIFRKFGDLFRRKSFYKPNLAPVHTH >PAN38436 pep chromosome:PHallii_v3.1:7:36606275:36608711:-1 gene:PAHAL_7G171900 transcript:PAN38436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPARPRSGSFEAGLRAAATATASSSASSREHRKQPSSPRLHRSRSSAGGPSKASPSPERRRSVGGAGAMQQQRLAQLEEELRREREEKARALRELEEVRRDGESGAKGAAEKVQLLEREVDKSKESERKMLESLIYQTKQLEQTKISLEEAKLEIATLRQANKGLEAAAARRGGAAEQRSVKDLMFGGADEEIRVLRSELRTAMQGEERSRKAADDLSVALSDVTMEAKQVKVWLSEAQAELEAASAEAERLRGALAAAEARLRAVSAEHERCRLEAEECAAAWGDKERVLLDCVRASEEEVNRARQENTKLVESQRVIRDENARLRDILKQAVAEANVVKDSLELARAENARLNDAVADKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTACGTPASTKTAPAPDYSFDQRLPNGSKSGTPQSASQRWMADKPRTPGSRRYSIGEPGKLKGGFSQSARMGSLNPKERVFASLSNIADLKSAADAAMEDFDDEFDHIDESHYADMEDSMKHKKKRPIFRKFGDLFRRKSFYKPNLAPVHTH >PAN38141 pep chromosome:PHallii_v3.1:7:32429131:32431446:1 gene:PAHAL_7G122100 transcript:PAN38141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPRGRPGPAPPPASRAAPSTRGAAGPRLLQVRERGRLLGPQQGLVPRLRGTVLRGVHAPGDGLHVGGVQVPGLHRPARGRVQAGRDGARVARAVAAAQRGGGGAGHEERAEVRGESAAGGGRVRQRVKAVTRGPRGASGVCPCPPSRLRPGFY >PAN39168 pep chromosome:PHallii_v3.1:7:39884628:39888891:-1 gene:PAHAL_7G225100 transcript:PAN39168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPNMNFGAFSQSLCNQHVVSFQTSATTSGSGGMPAYLDCSTGMDASVGMLSTTPSVVVSTGSSNMPADPGQNLKYGGPLAADWTHLELQILRDGLEKYVHEQGIMKYIKIAAALPNKTVRDIAMRCQWVGKKVNTRRRKAQEHHTGRNIKERKDKFVEPALWGANHPLQTGMRTNSFVPHNVQNNLFLSGASEIDRPVQHLLEENNQLLHQIETNILTFQAQNNIDLFHRARRNINDLLHITTQLPGMSTKMPPLRVSVNEGLASFVLPGISMDQILGSSHLKEEPRGW >PVH35652 pep chromosome:PHallii_v3.1:7:40696839:40698527:-1 gene:PAHAL_7G236900 transcript:PVH35652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPPPPPGPMQPAPISSAAPAPAPGPVPVEMPSAAHQPLNLPGFSFSSMSESESDDESEDDDMTAETGGSRDRLGKRKRGGGGGSKKMMSFFEGLMQQVVERQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIIAFLQRIGGQSVQPPPAVVVPMSVQTPQPPKQPPRQQHPPPPSPQATTPQSKPISAAPLQQQPKETPHREVATPRSAPPTSSASLELVPVTEQHVDSGLGGGDGGAASSSRWPKTEVHALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYNRKHLRSVAAAAASNVVIAPAAPPALPEQPNPSRHEIEGKNINDDKRNNGGSGGGAPQVPASNGEKAPTTPAAFDADSGMKKPEDIVRELNEHPPRELTTDETDSDEMGDEYTDDGEEGEDDGKLQYRIQFQRPTNPGGTNSAPAPASTAAAAPAVPTSAPASTFLAMVQ >PAN39351 pep chromosome:PHallii_v3.1:7:40696839:40700061:-1 gene:PAHAL_7G236900 transcript:PAN39351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHQHQDGGGSQYGAVPPDMGPFSPPPASGPMPLSSRPPPATQPQQPRASYEELAAVSSGAGAGGFDDEMLGGGGGGGSSGASSNRWPREETQALIRIRSEMDATFRDATLKGPLWEDVSRKLADLGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFDELEALHAAAPQPQQQPPHHQLPPASTAPPLHAFAAPVSAPQPMSSMPPPPPGPMQPAPISSAAPAPAPGPVPVEMPSAAHQPLNLPGFSFSSMSESESDDESEDDDMTAETGGSRDRLGKRKRGGGGGSKKMMSFFEGLMQQVVERQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIIAFLQRIGGQSVQPPPAVVVPMSVQTPQPPKQPPRQQHPPPPSPQATTPQSKPISAAPLQQQPKETPHREVATPRSAPPTSSASLELVPVTEQHVDSGLGGGDGGAASSSRWPKTEVHALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYNRKHLRSVAAAAASNVVIAPAAPPALPEQPNPSRHEIEGKNINDDKRNNGGSGGGAPQVPASNGEKAPTTPAAFDADSGMKKPEDIVRELNEHPPRELTTDETDSDEMGDEYTDDGEEGEDDGKLQYRIQFQRPTNPGGTNSAPAPASTAAAAPAVPTSAPASTFLAMVQ >PAN39352 pep chromosome:PHallii_v3.1:7:40696043:40700845:-1 gene:PAHAL_7G236900 transcript:PAN39352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHQHQDGGGSQYGAVPPDMGPFSPPPASGPMPLSSRPPPATQPQQPRASYEELAAVSSGAGAGGFDDEMLGGGGGGGSSGASSNRWPREETQALIRIRSEMDATFRDATLKGPLWEDVSRKLADLGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFDELEALHAAAPQPQQQPPHHQLPPASTAPPLHAFAAPVSAPQPMSSMPPPPPGPMQPAPISSAAPAPAPGPVPVEMPSAAHQPLNLPGFSFSSMSESESDDESEDDDMTAETGGSRDRLGKRKRGGGGGSKKMMSFFEGLMQQVVERQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIIAFLQRIGGQSVQPPPAVVVPMSVQTPQPPKQPPRQQHPPPPSPQATTPQSKPISAAPLQQQPKETPHREVATPRSAPPTSSASLELVPVTEQHVDSGLGGGDGGAASSSRWPKTEVHALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYNRKHLRSVAAAAASNVVIAPAAPPALPEQPNPSRHEIEGKNINDDKRNNGGSGGGAPQVPASNGEKAPTTPAAFDADSGMKKKTSSGS >PAN39350 pep chromosome:PHallii_v3.1:7:40696839:40700061:-1 gene:PAHAL_7G236900 transcript:PAN39350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHQHQDGGGSQYGAVPPDMGPFSPPPASGPMPLSSRPPPATQPQQPRASYEELAAVSSGAGAGGFDDEMLGGGGGGGSSGASSNRWPREETQALIRIRSEMDATFRDATLKGPLWEDVSRKLADLGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFDELEALHAAAPQPQQQPPHHQLPPASTAPPLHAFAAPVSAPQPMSSMPPPPPGPMQPAPISSAAPAPAPGPVPVEMPSAAHQPLNLPGFSFSSMSESESDDESEDDDMTAETGGSRDRLGKRKRGGGGGSKKMMSFFEGLMQQVVERQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIIAFLQRIGGQSVQPPPAVVVPMSVQTPQPPKQPPRQQHPPPPSPQATTPQSKPISAAPLQQQPKETPHREVATPRSAPPTSSASLELVPVTEQHVDSGLGGGDGGAASSSRWPKTEVHALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYNRKHLRSVAAAAASNVVIAPAAPPALPEQPNPSRHEIEGKNINDDKRNNGGSGGGAPQVPASNGEKAPTTPAAFDADSGMKKPEDIVRELNEHPPRELTTDETDSDEMGDEYTDDGEEGEDDGKLQYRIQFQRPTNPGGTNSAPAPASTAAAAPAVPTSAPASTFLAMVQ >PVH35651 pep chromosome:PHallii_v3.1:7:40696101:40700688:-1 gene:PAHAL_7G236900 transcript:PVH35651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPPPPPGPMQPAPISSAAPAPAPGPVPVEMPSAAHQPLNLPGFSFSSMSESESDDESEDDDMTAETGGSRDRLGKRKRGGGGGSKKMMSFFEGLMQQVVERQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIIAFLQRIGGQSVQPPPAVVVPMSVQTPQPPKQPPRQQHPPPPSPQATTPQSKPISAAPLQQQPKETPHREVATPRSAPPTSSASLELVPVTEQHVDSGLGGGDGGAASSSRWPKTEVHALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYNRKHLRSVAAAAASNVVIAPAAPPALPEQPNPSRHEIEGKNINDDKRNNGGSGGGAPQVPASNGEKAPTTPAAFDADSGMKKKTSSGS >PAN39349 pep chromosome:PHallii_v3.1:7:40696101:40700679:-1 gene:PAHAL_7G236900 transcript:PAN39349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHQHQDGGGSQYGAVPPDMGPFSPPPASGPMPLSSRPPPATQPQQPRASYEELAAVSSGAGAGGFDDEMLGGGGGGGSSGASSNRWPREETQALIRIRSEMDATFRDATLKGPLWEDVSRKLADLGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFDELEALHAAAPQPQQQPPHHQLPPASTAPPLHAFAAPVSAPQPMSSMPPPPPGPMQPAPISSAAPAPAPGPVPVEMPSAAHQPLNLPGFSFSSMSESESDDESEDDDMTAETGGSRDRLGKRKRGGGGGSKKMMSFFEGLMQQVVERQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIIAFLQRIGGQSVQPPPAVVVPMSVQTPQPPKQPPRQQHPPPPSPQATTPQSKPISAAPLQQQPKETPHREVATPRSAPPTSSASLELVPVTEQHVDSGLGGGDGGAASSSRWPKTEVHALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYNRKHLRSVAAAAASNVVIAPAAPPALPEQPNPSRHEIEGKNINDDKRNNGGSGGGAPQVPASNGEKAPTTPAAFDADSGMKKKTSSGS >PAN39015 pep chromosome:PHallii_v3.1:7:39169765:39172133:1 gene:PAHAL_7G214100 transcript:PAN39015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASSSSSSPESRAMALAKAKEIVASAPVVVFSKSYCPFCVRVKQLFEKLGASFKAIELDVESDGSEIQDALKEWTGQRTVPNVFINGKHIGGCDDTMALNNDGKLVSLLTEAGAIVGSTSKKTTATA >PAN40713 pep chromosome:PHallii_v3.1:7:46949609:46951792:1 gene:PAHAL_7G335000 transcript:PAN40713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAHVQLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWATKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGILPCPDPKTRGV >PAN40712 pep chromosome:PHallii_v3.1:7:46949642:46951792:1 gene:PAHAL_7G335000 transcript:PAN40712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINLILVENTLEAMLGAHVQLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWATKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGILPCPDPKTRGV >PAN40715 pep chromosome:PHallii_v3.1:7:46949891:46951792:1 gene:PAHAL_7G335000 transcript:PAN40715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETLGISFACSMVINLILVENTLEAMLGAHVQLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWATKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGILPCPDPKTRGV >PVH34771 pep chromosome:PHallii_v3.1:7:5610229:5611149:1 gene:PAHAL_7G026300 transcript:PVH34771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPPAMWTNLMVIFPKIKKFNNNKATFPLFDALGELYDGHLAEGIYNVTSLETPQEEEPPEQLQHPEDEPQGFDDNVVYEVNDEGGDGTERNEEGLQEMADTLSRDKKDDAPAIERSGQQRPAASRNKQEKELKRPRKNENIVGMMGAYLKMRTKQAEAEAADRAKEMEERERETREREAREKDAAQASDFSIRRCISVLNTMKVTKEEKAKAYAIFIKSKENREAFICACEVDQESALIWLRSEMA >PVH35059 pep chromosome:PHallii_v3.1:7:27648926:27650809:-1 gene:PAHAL_7G096100 transcript:PVH35059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMESLIKLFNELEIQLLVLLSFTLQLFLFFAGNLRRSSSNSLLRLSLWAAYLGADLAAVYALGYLSRHEQTQQLAFFWAPFLLIHLGGQDTITAFAMEDNNLWLRHLLNLVTQVNLALYVFWKSIGRHNVELLISGIFAFVAGIIKYGERTWSLKCGSLTNLESSDAYHYRKRLPEGIGSDCGFVRAALQSMPSVIDVLSERSLLDVPTDLVDTIDDCDQMIGMVRLQLGMIYDDLYTKALELRTRNGVILRCISQASVIIAFALFYASDKERYKKADIAITYSLFVGCFFLELCSMFIPMMSPWTWAWLKVQKCDGLAKLSWFIFCCDTGYPKIKQRWPNLIGQYNLHSWLTDSDLQPKTYSKRIMILFRKLFVDFFGVGKKKIFWLSKILDIEYVDVDSMIVEFVGKEVRLLLYEFPIDQPQEWRHIGLLLKNIQKGFVRDFGQGIIAMHHATETFLRKYAASHSDMEANATRAEVPSGLMDVCRKLSKYMIYLLVTQPSILPLSISAAATLDQFQEPKVLGEITGIVDELCQLDPSKETLEELARMWTRLLLYAAGKSRAAMHAVQLSRGGELITFAWLLMAHCAMGDSHVRRIELTNTDTTLARDVRLRKTYAFLVPQDED >PAN39002 pep chromosome:PHallii_v3.1:7:39113017:39114800:-1 gene:PAHAL_7G213100 transcript:PAN39002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRSEVLSPFRSVRMFFYLAFMASGALGGLIALTQLLPALSNPARAAGAAETLKGLGIDAAAVALFAFLYSRESKAKDAQVGRLAREERLSRLKLRAGAGDGRPLALGELRGTARLVIVAGPGEFVAESFRRSQPLLRELAERAVLAVPFATDGNAPELRLDGAGEGGVDDDDDLARRSRRLWQLTPVYTTEWAQWLDDQKKLAGVPPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >PAN39001 pep chromosome:PHallii_v3.1:7:39113358:39114690:-1 gene:PAHAL_7G213100 transcript:PAN39001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLRSPPSISVSVPCAALSASLHSSLPISRRPSLSCSRACRAGVRCSAANKPSPPPPTTPDSSEVSSMARIRSEVLSPFRSVRMFFYLAFMASGALGGLIALTQLLPALSNPARAAGAAETLKGLGIDAAAVALFAFLYSRESKAKDAQVGRLAREERLSRLKLRAGAGDGRPLALGELRGTARLVIVAGPGEFVAESFRRSQPLLRELAERAVLAVPFATDGNAPELRLDGAGEGGVDDDDDLARRSRRLWQLTPVYTTEWAQWLDDQKKLAGVPPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >PAN39019 pep chromosome:PHallii_v3.1:7:39195824:39197708:1 gene:PAHAL_7G214400 transcript:PAN39019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDRSAMAASAVVLAVAASLAAVLPAARAEIKTTSIVSDSRSVILFEEFGFRRGGRAAVTATGVGWKVPEGSQIKAADPTLMGFFLISNSLIYLINNESDYAEATGTAFCPLTSKYVQPLFRFKEIAPDGTGKGSLTIDADDQYTVLFSSCQDGVEVTMEVRTEMFNVRRSGAKEYLPVGLLPLPGIFAAASVVHFVFLGTWLYVCVKHCKTAERIHAVMGALLLFKALKLACAAEDQWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQEREKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLQKLTLFKQFYLVVVCYLYFTRIAASAVAAVLSYKYQWVVNVSIETASLAFYVFVFYNFQPVEKNPYLYVGDDEEEAAGGQLEMESTFEI >PAN39682 pep chromosome:PHallii_v3.1:7:39672320:39673646:-1 gene:PAHAL_7G221400 transcript:PAN39682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLFELIHGKNQALQISFSTLLRIAHEAAEGLNFLHSYTSPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTCGYLDLEYMLTCQLTEKSDVFSFGVILLVVLTGQEPLKLNGPETERSLSSNFLSAMKENNLDAVLPIHVKGQESNELIRGLAELAKHYLDMCGSNRPSMKEIADELGRLRKLSLHPWVQIDAEMETQSLLGGASTASFQKEGAASGYPTQEGENLPMNPSSSYYAR >PAN39892 pep chromosome:PHallii_v3.1:7:43313674:43318593:1 gene:PAHAL_7G277300 transcript:PAN39892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGSALSELAQATKKFAECQMVMNVLWTRLGERGANWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETIVGILNDKERIKAVRDKAASNRDKYIGLSSTGITYKSSSASFGSNYSSGERYGSFSGTREADSFGDSYRDKEPVKTSTSKTGSKLRKDTKSDRRNEDYSSPSSLNPPSNTKSSEDDFDDFDPRGSNGKAAAKSTEVDLFGPNLMDDFIDASAAIPATDSAVEPQVDLFADADFQSATTSTETTANMDVQGNVDLFAEKTSFAAAFPPQTGFIPPPSSGVSSEVNTSVSKNGAPEPFDPFGAIPLNSFDGSDPFGGFSSNAGSSTVPPPTHGSTGNISTSNQNLQAASDFGAFVSNNEEAAKDPFDLSSTVNVRKTPLAAPKTDASDFGAFVSSNEEAARDPFDLSSSNNLGRTDQTPLAAPNPSAKKENFQVKSGIWADSLSRGLIDLNITAPKKVNLADIGIVGGLGDGSDEKAMPSWTMGTTSGLGMGIPPSTQAGGIESLANYNKHQFGFK >PAN37137 pep chromosome:PHallii_v3.1:7:4067349:4071166:-1 gene:PAHAL_7G020200 transcript:PAN37137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLDLLMGIFRQQTLGGAALELAALAAPLWVAVLVGLLIGWAWRPRWAGVVVGDNAQQAAQLPAPPPPARATATAEASRLDSPAVVPRTVVAPVAPEEEQLPVNTGDLMQLWRLVEEKDGGPSWIHMMERTLPTMRYQAWRRDPPNGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKDSWDDMLLQHETLEECTKTGTMVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKGVPRPSVPRQNKPRRVDLYYSSWCIRPVESRKGDGAMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKKIEPGLRAYQVARTAGEPVSKCAAMAHVTTKFNADELVPEGNTESSSSNNNTEIEKPKHWTNNIPKVLMIGGAVALACTFDGGLLTKAVIFGTARRFAGPGRR >PAN38971 pep chromosome:PHallii_v3.1:7:38921863:38922866:1 gene:PAHAL_7G210100 transcript:PAN38971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLWQKEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >PAN38972 pep chromosome:PHallii_v3.1:7:38919967:38923222:1 gene:PAHAL_7G210100 transcript:PAN38972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTACFVIVSKNDIPIYEAEVGSAPKKEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >PAN38970 pep chromosome:PHallii_v3.1:7:38919967:38923222:1 gene:PAHAL_7G210100 transcript:PAN38970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >PAN38338 pep chromosome:PHallii_v3.1:7:36205537:36209970:1 gene:PAHAL_7G165600 transcript:PAN38338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSIPVVSKIFCSGNPTMLMIRRRPIVVNGGGFVVTDLSHNVVFIVDGCGILGSKGEIMVKDGEGEPILFISKKGGIVQALSTRNKWNGYSMDYQGKDKLVFSLTDPKSCIAQGAPIRIHIEPKRHCKNWDFEIGGSFADRDCTIVDCTRKIVAQMGRKELIGGKDFYHVEVQSGYDQAFIIGVMAILDNIHGESTRC >PVH35378 pep chromosome:PHallii_v3.1:7:36205537:36209970:1 gene:PAHAL_7G165600 transcript:PVH35378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSIPVVSKIFCSGNPTMLMIRRRPIVVNGGGFVVTDLSHNVVFIVDGCGILGSKGEIMVKDGEGEPILFISKKALSTRNKWNGYSMDYQGKDKLVFSLTDPKSCIAQGAPIRIHIEPKRHCKNWDFEIGGSFADRDCTIVDCTRKIVAQMGRKELIGGKDFYHVEVQSGYDQAFIIGVMAILDNIHGESTRC >PVH34889 pep chromosome:PHallii_v3.1:7:16825081:16825491:1 gene:PAHAL_7G056200 transcript:PVH34889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASQGEYLCNNDFFHTNKIWKSETVLNKTVANMQRELILSQAIMGIYRICALTPYLGIFIK >PAN38076 pep chromosome:PHallii_v3.1:7:34637058:34646037:-1 gene:PAHAL_7G144500 transcript:PAN38076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTHTEEVKVASNKVVVYGPDMDEWDPWNPPYPQYCLSHPDLGSKSHPQLIDEYYDQIKEIIATSRRTNIIIPDRTPQEVDDAFYGIVPRLLPILEKDSARRFLRLFRQAGGSMKWGFIITPVTFTQMVKQNALQCAKVALDGKAPELFGFRANPNCMNRYGYFPLHQAAEIFSVDMVKLLFSYGASANVRTAGAEVIGDLLPLHVAVENTCLHKYLEENAFPNHEDLEDSQATLNYICKLIHLLCLPEMKIFLDTTRLLAENTNNVVDELWNYIKDGKLVQTAVLLLAAQEHIRGGPSCKENGNSKPDGFSIKSSWKKKGNTKPDGFSIIINRIMTHKINLAVQTGQNRKTNKELDIEKKLTCAALLLVRAVIKAGEVLDAYIRSHPEVPHNMQVSHDEVHERVSSILKDSGFCPPRASINIGDLCPYENVLSKKESPNNHGDMITVKEGCQPSAIKVVRNRTPRGWELKYIRKSFFPCWRSVLKARTACKVVRLEDMHDFEKFWDKSGLRPSPILDPKLVLLGRAHQFSKHQTKRMFCSAALPLLKLFRNA >PAN38075 pep chromosome:PHallii_v3.1:7:34637051:34646046:-1 gene:PAHAL_7G144500 transcript:PAN38075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTHTEEVKVASNKVVVYGPDMDEWDPWNPPYPQYCLSHPDLGSKSHPQLIDEYYDQIKEIIATSRRTNIIIPDRTPQEVDDAFYGIVPRLLPILEKDSARRFLRLFRQAGGSMKWGFIITPVTFTQMVKQNALQCAKVALDGKAPELFGFRANPNCMNRYGYFPLHQAAEIFSVDMVKLLFSYGASANVRTAGAEVIGDLLPLHVAVENTCLHKYLEENAFPNHEDLEDSQATLNYICKLIHLLCLPEMKIFLDTTRLLAENTNNVVDELWNYIKDGKLVQTAVLLLAAQEHIRGGPSCKENGNSKPDGFSIKSSWKKKGNTKPDGFSIIINRIMTHKINLAVQTGQNRKTNKELDIEKKLTCAALLLVRAVIKAGEVLDAYIRSHPEVPHNMQVSHDEVHERVSSILKDSGFCPPRASINIGDLCPYENVLSKKESPNNHGDMITVKEGCQPSAIKVVRNRTPRGWELKYIRKSFFPCWRSVLKARTACKVVRLEDMHDFEKFWDKSGLRPSPILDPKLVLLGRAHQFSKHQTKRMFCSAALPLLKLFRNA >PVH35298 pep chromosome:PHallii_v3.1:7:34785058:34786034:-1 gene:PAHAL_7G145000 transcript:PVH35298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKQPFLFCILFSLQKQPMPYVYIWLELVIGSVKRNYCFGTLSCARRRDRHAGRRPRRLARSPGRRPPPTSRFKRRPPPPSSSSFPISLQTVGAGPSSSLARDRRRDPVPRPLVPGGRGRRNTPPPPSRAGSGRGREGITPALLEDGALIARGSVVAMSKGGTGRPQGAATPRAAASGRAGGQAAGWYRVGGPSGNSELQTDSVQPDNVRGAGRCILVVPRPGKRKKMKINTRGRMTRKTSCQRSTAFSASSNVQVQHVRCREMYIV >PVH36039 pep chromosome:PHallii_v3.1:7:47464918:47465700:1 gene:PAHAL_7G342600 transcript:PVH36039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAARSGRGTSTTSARRSFTPTRPCTRSSSCRGNYFYFCTDFEELGVIEFRPTPAFSSVAMREVVTGGYGVAHSALVLMVESRGQLHMVNLLFEGSFSNVVYEIGVYRMDFTRQEWRRV >PAN40317 pep chromosome:PHallii_v3.1:7:45101851:45104803:1 gene:PAHAL_7G305800 transcript:PAN40317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFCHVPRGRRASTAPHDHQPLPPPEWIEPYPDLADPSPYASASSAPPTPSPWLPRVISLVLRSPPATLAADLRAFCKTFLIRLSPAFVAAALRSPQLNSCPLPSLHFFRSLPNGADLLAHPQSLLSCYVSLLHSFARSRDAAPDAVGQVRLLVAELRAHGDAVLQHLTPASSASLIRSLAALGLSEELLWAWQAMRLAGVEPSRLTYNCLLDGLVNAGLLDTAINVFDAMSTEDRVRPDVVSYNILIKGYCRAGRTQDAMTRFADMREHAELAPDKVTYLTLMQRHYSEGTFPQCIARFQEMEERGMGKEIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRGCPANAAMYTALIDSMGKFGREKEAMALFERMKASGIELDAVTYGVVVNCLCRFGNMDEALACFRSCVEKGVAVNAIFYTSLIDGFGKAGIVDQAQELFMEMVSKGFVPDSYCYNVLIDALVKAGRTDDACALYKRMEDDGCDQTVYTYTILIDGLFKEHKNEEALKFWDSMIDKGITPTAAAFRVLANGLCLSGKFSRACRILDELAPMGVIPETAHEDMINVLCKTGRFKQACKLADGIVQKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKKRVKFQTLFE >PVH35053 pep chromosome:PHallii_v3.1:7:27393577:27397345:-1 gene:PAHAL_7G094600 transcript:PVH35053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVGGENAAERWINSVAERDDDGRESERASAKERRGKAGGRGGGGAWWLAIKAIMAAAVCGEGRRKGEGFGGSLLSLDGGLSGGGREKASGRGTWAAGGAAAQRGGEAAGVTEREKGERIGPRVEARLGALWAEWGAWAVWGKEEKERRREERAGLDIRVGISYSTPIRSGVDVYNMSWKVPRQPFTGALYTELNFTGCDFDIYWLDDVHSRVLICTVTCPSEGIAESVARQHCNGTGCCRYAFGYMVGFSLELQFVRHNRGKGGSMLEGEMQQINPTSLWDRISVETRYMRLSWGFLLDRRPSCAAMVDNKTSYACISKYSTCTNNWYSGILRSYRCECENGYVGNPYVSDGCSRDRGYNPVPRKANCGRSCGNISVPFPFGLEEDCSARKQFQLECSDMRSSRLQLISLSYYYVRQISINEGIIETIDTDESYYTGTKSIYTGSMGTQELHWVVANLSCQEAQENFTTYACVSANSTCLDVSSRSSFYGYLGYRCKCMAGFHGNPYVPNGCREYDATKMRCTPTNKQKGLLLGIVIGLGVGFGVPLLGLSATFIVLRWKEDVRKKQRRKYFRENQGILLEQLISLDENASDKTKIFSLEDLEYATHNFDQTRILGCGGHGTVYKGILPDQRVVAIKSSKVIEQCEINQFINEVIILSHINHRNIVRLFGCCLETKVPLLVYDFVPNGSLYDILHSSSHSSFSLSWDDCLRIALEVAGALCYLHSAASVLVFHRDVKSSNILLDANYTAKVSDFGASRVVPIDQTHVNTNVQGTFGYLDPEYYRTGQLNEKSDVYSFGVVLVELLIRKEPVFTTPSGSKQNLSSYFLWELKSRPIKEIVGAQIREEATEEEINSVADLAEMCLRLHGEERPTMKQVEMTLHTLHVKRCYSLEQEFISSAEIPR >PVH35087 pep chromosome:PHallii_v3.1:7:29591701:29592192:1 gene:PAHAL_7G103300 transcript:PVH35087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPIAAYETRAPLHWDEEEWDFRYQSEDDESLTDGEDLALLLGAELEEDEDDASWGEDLSSSEEKADSFSSEEDPIAGTFLLSGSSDDSSDSSEGAEGDDSFTSNDSGDDDDSGSDTGDSGPSIAPSPKRRKTSGVYWW >PVH35219 pep chromosome:PHallii_v3.1:7:33114698:33120792:-1 gene:PAHAL_7G129800 transcript:PVH35219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPPELRWLGSLTRPGRLAPSPLAALASPRRRRRAPSPSPSPSPSDSSTPSTAPVSTGGPGAEGFEGPEWKKVSAKRFGFKESMIPAEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENNSIVEVSSFNTCARGSSGSPIYNSKSQNCSKNDFVRWRNCQGRDFTINGLMFNPYSEKIYDYLGGIDDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLACSVARLDKGRILMEMNYMLAYGSAEASLRLLWRFGVLEHLLPFQAAYFASTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNSLWISLLAFHEALARQPCDPLVVATFALAFYLGGDMSLAVDIGKSINRQHDTSFRELLEPKVWADKHLVDEVQSFAALMKQALTEMTDEYFVAKAMAKIPQAPSSDLFYPTLGIYTTTSIPEGCQIH >PAN37872 pep chromosome:PHallii_v3.1:7:33113950:33120883:-1 gene:PAHAL_7G129800 transcript:PAN37872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPPELRWLGSLTRPGRLAPSPLAALASPRRRRRAPSPSPSPSPSDSSTPSTAPVSTGGPGAEGFEGPEWKKVSAKRFGFKESMIPAEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENNSIVEVSSFNTCARGSSGSPIYNSKSQNCSKNDFVRWRNCQGRDFTINGLMFNPYSEKIYDYLGGIDDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLACSVARLDKGRILMEMNYMLAYGSAEASLRLLWRFGVLEHLLPFQAAYFASTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNSLWISLLAFHEALARQPCDPLVVATFALAFYLGGDMSLAVDIGKSINRQHDTSFRELLEPKVWADKHLVDEVQSFAALMKQALTEMTDEYFVAKAMAKIPQAPSSDLVFIPLQAYLKVVKFIECVQYGKKERGYEPKSDGKINYHNLSYGTHAEIRNLFTLVVFDTLYPTDMEDQHDGSS >PAN37873 pep chromosome:PHallii_v3.1:7:33114232:33120792:-1 gene:PAHAL_7G129800 transcript:PAN37873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPPELRWLGSLTRPGRLAPSPLAALASPRRRRRAPSPSPSPSPSDSSTPSTAPVSTGGPGAEGFEGPEWKKVSAKRFGFKESMIPAEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENNSIVEVSSFNTCARGSSGSPIYNSKSQNCSKNDFVRWRNCQGRDFTINGLMFNPYSEKIYDYLGGIDDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLACSVARLDKGRILMEMNYMLAYGSAEASLRLLWRFGVLEHLLPFQAAYFASTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNSLWISLLAFHEALARQPCDPLVVATFALAFYLGGDMSLAVDIGKSINRQHDTSFRELLEPKVWADKHLVDEVQSFAALMKQALTEMTDEYFVAKAMAKIPQAPSSDLVFIPLQAYLKVVKFIECVQYGKKERGYEPKSDGKINYHNLSYGTHAEIRNLFTLVVFDTLYPTDMEDQHDGSS >PAN38836 pep chromosome:PHallii_v3.1:7:38372685:38377137:1 gene:PAHAL_7G201500 transcript:PAN38836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPSLLVQCFPGLLPSKTTSCVPIVSEKDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSDVASTKYDGTLKYWESSITLVNILKNEIRDGQLSFRGKRILELGCGSGLSGIFACLKGASTVHFQDINAETIRCRTIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGEWDELPTVLSVVQAPAAPTNLSFSEDDFMDGCSSHDGSSIVGHDYCPRRSRKLSGSRAWERASETDQADGGYDVILISDVPYAVNSLKKLYALISKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELSDREIWKFFFK >PVH34921 pep chromosome:PHallii_v3.1:7:19790060:19790342:1 gene:PAHAL_7G065300 transcript:PVH34921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTCLQDSSTIAPQNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKV >PAN39258 pep chromosome:PHallii_v3.1:7:40314950:40316172:-1 gene:PAHAL_7G231300 transcript:PAN39258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHGTSVRLLLAMLLLLATELAAFGCCGGHRIPRADVAAWRRHGRVAAAAATTTVAAASATAPAAAARDAEAVLGESKRLVPQGSNPLHN >PAN36840 pep chromosome:PHallii_v3.1:7:1041800:1049162:-1 gene:PAHAL_7G004700 transcript:PAN36840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSEVIEAGRLVNLWNEWGIQILVLVSFSLQVFLLVFGGIRRHSSSSILMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIARSGTLLLLASISMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYQLLTLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSQSNSKQSSVISYLGEDLYKLVEMELTLMYDFLYTKAAVIHTWALLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNKMHFSGTFSRSDILSIRDIKKLVLQALQDKERALQYKNTDSNSRGSFILKSMEAYDDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELIEATEVLSDYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRARFGDKDNPVEPSPISWNPYCMFKELFHRDGPNCSRIPRREKLAEMAWSFSQFALGSVRAPNPHGDSIRDSANMYAILLANELLSIELRWQEQRDPLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVSRGTEDVGGNSPTGKTED >PAN36841 pep chromosome:PHallii_v3.1:7:1044315:1049162:-1 gene:PAHAL_7G004700 transcript:PAN36841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSEVIEAGRLVNLWNEWGIQILVLVSFSLQVFLLVFGGIRRHSSSSILMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIARSGTLLLLASISMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYQLLTLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSQSNSKQSSVISYLGEDLYKLVEMELTLMYDFLYTKAAVIHTWALLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNKMHFSGTFSRSDILSIRDIKKLVLQALQDKERALQYKNTDSNSRGSFILKSMEAYDDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELIEATEVLSDYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRARFGDKDNPVEPSPISWNPYCMFKELFHRDGPNCSRIPRREKLAEMAWSFSQFALGSVRAPNPHGDSIRDSANMYAILLANELLSIELRWQEQRDPLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVSRGTEDVGGNSPTGESNPMSENTAGLEKFAYFTPEMAPRSSGKTED >PVH34673 pep chromosome:PHallii_v3.1:7:1045933:1047741:-1 gene:PAHAL_7G004700 transcript:PVH34673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSEVIEAGRLVNLWNEWGIQILVLVSFSLQVFLLVFGGIRRHSSSSILMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIARSGTLLLLASISMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYQLLTLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSQSNSKQSSVISYLGEDLYKLVEMELTLMYDFLYTKAAVIHTWYGFCIHFISLLGTATTFLLFQLSINSRGNGYSRVDVIISYVLLVGALVLEIISVCRALLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNKMHFSGTFSRSDILSIRDIKKLVLQALQDKERALQYKNTDSNSRGSFILKSMEAYDDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELIEATEVLSDYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRARFGDKDNPVEPSPISWNPYCMFKELFHRDGPNCSRIPRREKLAEMAWSFSQFALVWAEWGLKFIHIV >PAN36842 pep chromosome:PHallii_v3.1:7:1044321:1049162:-1 gene:PAHAL_7G004700 transcript:PAN36842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSEVIEAGRLVNLWNEWGIQILVLVSFSLQVFLLVFGGIRRHSSSSILMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIARSGTLLLLASISMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYQLLTLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSQSNSKQSSVISYLGEDLYKLVEMELTLMYDFLYTKAAVIHTWALLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNKMHFSGTFSRSDILSIRDIKKLVLQALQDKERALQYKNTDSNSRGSFILKSMEAYDDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELIEATEVLSDYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRARFGDKDNPVEPSPISWNPYCMFKELFHRDGPNCSRIPRREKLAEMAWSFSQFALVYLPCQFN >PAN36844 pep chromosome:PHallii_v3.1:7:1044321:1049162:-1 gene:PAHAL_7G004700 transcript:PAN36844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSEVIEAGRLVNLWNEWGIQILVLVSFSLQVFLLVFGGIRRHSSSSILMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIARSGTLLLLASISMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYQLLTLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSQSNSKQSSVISYLGEDLYKLVEMELTLMYDFLYTKAAVIHTWYGFCIHFISLLGTATTFLLFQLSINSRGNGYSRVDVIISYVLLVGALVLEIISVCRALLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNKMHFSGTFSRSDILSIRDIKKLVLQALQDKERALQYKNTDSNSRGSFILKSMEAYDDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELIEATEVLSDYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRARFGDKDNPVEPSPISWNPYCMFKELFHRDGPNCSRIPRREKLAEMAWSFSQFALGSVRAPNPHGDSIRDSANMYAILLANELLSIELRWQEQRDPLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVSRGTEDVGGNSPTGESNPMSENTAGLEKFAYFTPEMAPRSSGKTED >PVH34672 pep chromosome:PHallii_v3.1:7:1045933:1047741:-1 gene:PAHAL_7G004700 transcript:PVH34672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSEVIEAGRLVNLWNEWGIQILVLVSFSLQVFLLVFGGIRRHSSSSILMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIARSGTLLLLASISMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYQLLTLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSQSNSKQSSVISYLGEDLYKLVEMELTLMYDFLYTKAAVIHTWYGFCIHFISLLGTATTFLLFQLSINSRGNGYSRVDVIISYVLLVGALVLEIISVCRALLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNKMHFSGTFSRSDILSIRDIKKLVLQALQDKERALQYKNTDSNSRGSFILKSMEAYDDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELIEATEVLSDYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRARFGDKDNPVEPSPISWNPYCMFKELFHRDGPNCSRIPRREKLAEMAWSFSQFALVWAEWGLKFIHIV >PAN36843 pep chromosome:PHallii_v3.1:7:1045838:1047741:-1 gene:PAHAL_7G004700 transcript:PAN36843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSEVIEAGRLVNLWNEWGIQILVLVSFSLQVFLLVFGGIRRHSSSSILMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIARSGTLLLLASISMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYQLLTLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSQSNSKQSSVISYLGEDLYKLVEMELTLMYDFLYTKAAVIHTWYGFCIHFISLLGTATTFLLFQLSINSRGNGYSRVDVIISYVLLVGALVLEIISVCRALLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNKMHFSGTFSRSDILSIRDIKKLVLQALQDKERALQYKNTDSNSRGSFILKSMEAYDDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELIEATEVLSDYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRARFGDKDNPVEPSPISWNPYCMFKELFHRDGPNCSRIPRREKLAEMAWSFSQFALVYLPCQFN >PAN38189 pep chromosome:PHallii_v3.1:7:32618302:32619126:-1 gene:PAHAL_7G125000 transcript:PAN38189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIPIIKLIVGTNLFSSLATKVDSAHIRASLSLIWWIWFFIKAAESHKINIRQFQERY >PVH35396 pep chromosome:PHallii_v3.1:7:36566568:36567627:1 gene:PAHAL_7G171100 transcript:PVH35396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQVACGPSPPLLHAACEATAASGYGCGQSGREQHGRGGVERAQAERGRARGAVGGAVVGGGNWEGQIHIGEFIRKGGRTGGENKTCRFSEAISIDVAVASIFRQRTGILRGSIFFFCSSTFLLFSLGLWRRRWRPDLGNFQRGKRSMLEDSGRAS >PAN39796 pep chromosome:PHallii_v3.1:7:42759097:42760247:-1 gene:PAHAL_7G268700 transcript:PAN39796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHLACAFFFDAEPLGEPRLPALDACALCSKSLARDSDIFMYRGDTPFCSEECRDEQMQFDAIRARQAARPAGRRQQYSSGTESRRGRQEASKVSAVAS >PAN38688 pep chromosome:PHallii_v3.1:7:37661491:37664670:1 gene:PAHAL_7G189900 transcript:PAN38688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPQTHPILSYVLSRIPTLSKPKPAASEFDIEQPPTHTPSPRTPSTAGEFELVERMPGLRHPSVLRAMTRAVADVSAARSALQVLGPRPDHELVDSSRAIVAAAEAGDSRIPEGDVEACRAVVRLEETHDAYEALLQEAEARLERVYRSAMEGTDVDDEAAEGGKDDGPAAGAEGGDAAVQEEVVAVLKQAEEGKPVESVRLVDRQLRQLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLAANSLISLPDTIGLLSNLKIMNVSSNRLRALPDSISKCRSLVELDASYNGLTYLPTNIGYEMVNLQKLWVHMNKLRSLPSSICEMTSLYLLDAHFNELCGLPSAFGKLSSLEILNLSSNFSDLKELPASFGDLLNLRELDLSNNQIHALPDTFGRLDKLEKVNLEQNPLVMPPMDIVNKGVDAVKEFMSKRWLDILLEEEQRRIAAEIPQASSTPKAWLARSVSWVTDVSGSLVGYLSGNEKSEKDAYLDQQF >PAN38053 pep chromosome:PHallii_v3.1:7:34412522:34416898:1 gene:PAHAL_7G143200 transcript:PAN38053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEETPPIAGGGAGRGAFAFISRGWREVRDSATADLRLMRARAESARARADRELEHLLASASALAGPAPLLPPVAAGAPIAEVEFVRRRIQPKIQELRRQCSPRAPDGGWPPGASSLRVDLSGIAAIRNAVVAEGDGAEGRRVAPWKGDQAAEGRKEWEVVRMLRSGLKEFERRSLSSDMFAGFRGRGEFVEKFKLSLKSLNKDYRESKEVPPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKLVQMLYSKRNGRLMYHPLSEDRTLAENITEELDLRIARVLESTGYHTDEGFWNDPAKYKILDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSAKQKVTLVVPWLSKSDQELVYPNNITFSSPEEQETYIRNWLQERLGFEADFKISFYPGKFSKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVIGVVHTNYLEYIKREKNGAIQSFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLNIGEKIAAERKCGQKVFSKGAYFLGKMVWAKGYRELIDLLSKHKKDLEGFKIDVYGNGEDSEAVQTSARKFELSINFFKGKDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNDFFKSFPNCLTYKTSEEFVARVKEAMASEPQPLTPEQRYSLSWEAATERFMEYSELDKVLNNTNGHPGRCGKINKARKIPLLPKLSDVVDGGLAFAHHCLTGNEILRLVTGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >PAN38052 pep chromosome:PHallii_v3.1:7:34412522:34416898:1 gene:PAHAL_7G143200 transcript:PAN38052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEETPPIAGGGAGRGAFAFISRGWREVRDSATADLRLMRARAESARARADRELEHLLASASALAGPAPLLPPVAAGAPIAEVEFVRRRIQPKIQELRRQCSPRAPDGGWPPGASSLRVDLSGIAAIRNAVVAEGDGAEGRRVAPWKGDQAAEGRKEWEVVRMLRSGLKEFERRSLSSDMFAGFRGRGEFVEKFKLSLEVPPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKLVQMLYSKRNGRLMYHPLSEDRTLAENITEELDLRIARVLESTGYHTDEGFWNDPAKYKILDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSAKQKVTLVVPWLSKSDQELVYPNNITFSSPEEQETYIRNWLQERLGFEADFKISFYPGKFSKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVIGVVHTNYLEYIKREKNGAIQSFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLNIGEKIAAERKCGQKVFSKGAYFLGKMVWAKGYRELIDLLSKHKKDLEGFKIDVYGNGEDSEAVQTSARKFELSINFFKGKDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNDFFKSFPNCLTYKTSEEFVARVKEAMASEPQPLTPEQRYSLSWEAATERFMEYSELDKVLNNTNGHPGRCGKINKARKIPLLPKLSDVVDGGLAFAHHCLTGNEILRLVTGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >PAN39101 pep chromosome:PHallii_v3.1:7:39648703:39650299:1 gene:PAHAL_7G221000 transcript:PAN39101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQLRREYEIGEEIGRGRFGVVRRCASRATGEPFAVKSVDRSALADDLDRALAALEPKLARLAGAGGANPGVVQVRAVYEDEAWTHTVMDLCTGPDLLDWVRLRRGAPVPEPAAARVVAQLAEALAGCHRAGVVHRDVKPDNVLLDLGGPAGEEEEAEAAPPPRVRLADFGSAAWLGGGRDAAEGLVGTPHYVAPEVVAGGEYGEKVDVWSAGVVAYALLSGGALPFGGETPAEVFAAVLRGGLRFPPALFAGVSPAAKDLMRRMLCRDVSRRLSAEQVLRHPWIQSGGGGREAVQPT >PAN39265 pep chromosome:PHallii_v3.1:7:40341225:40342997:1 gene:PAHAL_7G231900 transcript:PAN39265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAISRIPRNTRVAVVTGGNRGIGLEVCRQLASNGVAVVLTAVDEKMGAEAVEKLQGLAVSDVLSHQLDITDASSIARLADFLKTRFGKLDILVNNAAVCGVVFFRDHADASVTSGEMFKGMDRDERLEWLWGNCRETYDAAKEGLQTNYYGTKHVIEALLPLLQASSDGRIVNVSSDFGLLRCFRNEELKQELNDTDNLTEERLDELLAMFLKDFEAGGVEERGWPSAFAAYKVAKAAVNAYSRILARRHPELRVNCAHPGYVKTDITLHSGLLTPEEGAGNVVEVALLPERGATGAFFEEGKELASFV >PAN39264 pep chromosome:PHallii_v3.1:7:40341225:40343023:1 gene:PAHAL_7G231900 transcript:PAN39264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAISRIPRNTRVAVVTGGNRGIGLEVCRQLASNGVAVVLTAVDEKMGAEAVEKLQGLAVSDVLSHQLDITDASSIARLADFLKTRFGKLDILVNNAAVCGVVFFRDHADASVTSGEMFKGMDRDERLEWLWGNCRETYDAAKEGLQTNYYGTKHVIEALLPLLQASSDGRIVNVSSDFGLLRCFRNEELKQELNDTDNLTEERLDELLAMFLKDFEAGGVEERGWPSAFAAYKVAKAAVNAYSRILARRHPELRVNCAHPGYVKTDITLHSGLLTPEEGAGNVVEVALLPERGATGAFFEEGKELASFV >PVH34949 pep chromosome:PHallii_v3.1:7:22231019:22232296:-1 gene:PAHAL_7G074000 transcript:PVH34949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPLAGVLRTTSRSESANLFFNHFIRRKLSLVEFWLRFDTALECQYQGELIADNKSMHSEPTLKTSWAMEKQCSRIYTHEVFAEFQSQLLAARDHCFIQGITDNEEMKSVIVSSHSGKERVVTLDKSTMFWKCSCKFEESYGIPCRHIIQA >PVH35262 pep chromosome:PHallii_v3.1:7:33993988:33996113:-1 gene:PAHAL_7G136800 transcript:PVH35262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEALSPPAARSRGDYHEPARRPLHRPLPTPVATFSPISRV >PAN38384 pep chromosome:PHallii_v3.1:7:36388538:36395331:1 gene:PAHAL_7G168300 transcript:PAN38384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAEPSLKPEWLLRPAAVAVTALRPATSPRADDQGRGASSRNRSSGRDRDRSSQQSSSRRSSGSGGSRRNDRDGTGKSRGYSSFGRHNRERVQEKDPDFRDRDSKLVQPEDPLRDGFESFSSCRSEKDRLNRTRSKVSVSNRAVGVSLDNGNISKKDTGGISFEREFPHLGSEDKNGKQDIGRVPSPGISTPIQNIPLITASEGWNSVLAEVPTLSDPSINSISSSLSPAGSSKQTEVSNSGSVLSMAETVMQSPLKISTTPQLSIDAQKIEERTLRQCILRPLTPSTNKISNTVHASNSLDKLKSKGSRAGESNGPIKVAPQLSLQPSSCSIRTPVKTELVKPSQSGSFQVLSREQNGTVNTAAKDSTSNPVSPVLGRSSSMEPMRKSVVNPKPKVGTNGRSLHPLQVQVQGSFGDRKTSAKDKLKFFEFLRSKSVNGSSTAIESSPSLIDDQQNSCLDLSFKFIENGSSSCEEANSCEGSQQHLSDNEEIIPTSESHDVLDEGSLGIEVDDRDANSSPVLADTEDVASKKPQADKAEDVLPVKPAYINDSSMISNSVDSEANLLLEEAHPAHEYEHIGAGEEKPCPAQEFEPIGAGGEEELNLLRSMGWDENEVVQPLQQEEIADCLRQNVRLQQKLQECRG >PVH35666 pep chromosome:PHallii_v3.1:7:40808743:40812790:1 gene:PAHAL_7G238700 transcript:PVH35666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFKPSLVLCSILTFLSLNCGPSHVSAKVYMVVMEDDPVISYKVNRKHVMRGEEAQKYKRVATTKHDSFLDSFLPIGSYKKLYSYTHLINGFALHAESEKTVRILSRAKGVRLIQEDIKMAKMTTHTPNFIGASGVWPLLGGAENSGDGVVIGMIDTGIDPKNPSFVSSNMSSQAKSPPASFKGMCRSGNRFPPDSCNGKIVGARWFARAAQATGEFNATIHYASPYDSDGHGSHTASIAAGNFHTPVISRGYSFGYASGIAPGARLSIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPSSISPGPASFLNLLEAQLLLATKAGVSVVQAVGNGGPDENTVVSFSPWILSVAASTTDRKYRKSIITGNGKAFSCGTLSAPTPGETMYPLAWADDVIVENSTDEGSNKCRDPKIFIKPLVQGKVIICMFDSSDYYDDVSLASIVDTIQKIGAAGVIVTDRSTHDVDIDFEPTFPTTVPSAIVLKGSDMQALMQYYNNNTVRDEDGDVLSFGATARILEGRRATYTGEAPVVADYSSRGPDVENSLMQPAEVLKPNVMAPGHLIWGAWSPTSNALPEIHGESYALLSGTSMAAPHVAGVAALIKQRHPTWSPAMVMSAIMTSADATDRSGRPLMARGDEGSLGPATPFDMGAGAVNAARALDPGLVFDAGYRDHLQFLCAVPGVDEAAVLRAVGAPCPPRARAGAARWCSDLNSPSVTVASLVGSRRVDRRVTSVGAHNETYMAYVRAPKGVAVRVSPAEFAIAPGAARTLRIALNTTAPGNAFSFGEVVLRGDRKHRVRIPLAVYPAAALSP >PAN39383 pep chromosome:PHallii_v3.1:7:40807255:40812790:1 gene:PAHAL_7G238700 transcript:PAN39383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVVQRRARQFLMYQVYMVVMEDDPVISYKVNRKHVMRGEEAQKYKRVATTKHDSFLDSFLPIGSYKKLYSYTHLINGFALHAESEKTVRILSRAKGVRLIQEDIKMAKMTTHTPNFIGASGVWPLLGGAENSGDGVVIGMIDTGIDPKNPSFVSSNMSSQAKSPPASFKGMCRSGNRFPPDSCNGKIVGARWFARAAQATGEFNATIHYASPYDSDGHGSHTASIAAGNFHTPVISRGYSFGYASGIAPGARLSIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPSSISPGPASFLNLLEAQLLLATKAGVSVVQAVGNGGPDENTVVSFSPWILSVAASTTDRKYRKSIITGNGKAFSCGTLSAPTPGETMYPLAWADDVIVENSTDEGSNKCRDPKIFIKPLVQGKVIICMFDSSDYYDDVSLASIVDTIQKIGAAGVIVTDRSTHDVDIDFEPTFPTTVPSAIVLKGSDMQALMQYYNNNTVRDEDGDVLSFGATARILEGRRATYTGEAPVVADYSSRGPDVENSLMQPAEVLKPNVMAPGHLIWGAWSPTSNALPEIHGESYALLSGTSMAAPHVAGVAALIKQRHPTWSPAMVMSAIMTSADATDRSGRPLMARGDEGSLGPATPFDMGAGAVNAARALDPGLVFDAGYRDHLQFLCAVPGVDEAAVLRAVGAPCPPRARAGAARWCSDLNSPSVTVASLVGSRRVDRRVTSVGAHNETYMAYVRAPKGVAVRVSPAEFAIAPGAARTLRIALNTTAPGNAFSFGEVVLRGDRKHRVRIPLAVYPAAALSP >PVH35254 pep chromosome:PHallii_v3.1:7:33865904:33867487:-1 gene:PAHAL_7G135800 transcript:PVH35254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPASTAVAAVVVVLLCGASARAQDMDKEWARPPYRGFFGAPGSMLPQSDVDLLEFPLNLEYLETEFFCWSALGYGLDAIDANLTGGGPPSIGGQSASLTPFVRDVATQFCYQEVGHLRAIKQTVRGFPRPLLDISAANFGKIIEQALNATLDPPFNPYENSVNFLIASYIIPYVGLTGYVGANPKLLTPQARKLLAGLLGVESAQDAVIRTLLYERGMTRMASYGVGVAEVTAHISDLRNALGRRGVKDEGLVVAPGQGPEGVTVGNVIAGDHLSLAYDRTPEEILGIVYGTGNPTQHGGFFPQGADGRIARGFLV >PVH35099 pep chromosome:PHallii_v3.1:7:29975219:29978298:-1 gene:PAHAL_7G105700 transcript:PVH35099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGRGGAEIKHPRVLLPFTCDSLRIPDELAEGIGAGEALVVGPASGTAKHWRVEVGWDGDGAFLGRGWPEFADACGVEAGWLLVLRHRGQGLLTVKAFDANCCLRELPAPSPPAVGATASSKDASRKPQFIRVHSRDFMEKLLIPAEFVQQYLSDENLNNRTAIIFGPLGKVSHIELEKNSSDVFFAGAWSQFLVFHYITEADALLLRYEGNMVFTVKVFGLDGYQRDSKHKETRAKQVSTSADIEEQERQEAPSFSIQKHCKNKVPGSAGEKKPKGIVTPINEESSWMKPVYEIGPPLFVKKQINANTLKELALAKPFCDAIGLHGPCIIITLKTSMSNTESWKVHAVQRKDMGYRLLQGWRLFCSDNSIELGDICTFTVIETTVWDVIATRCKETINHLCNETPSASSRKHNTMNNESSNKGEKRPKVSMTALNKTSPRGCVFEIGPPAWIKKEINSTTVENRLYLPPVFCEAIGILKPCTVTLKTSMSCTRSWQARVAPYDGSSHHVSGPGWRQFCRENRIKVGEICTINIFKTTLWHVIISSPE >PVH35098 pep chromosome:PHallii_v3.1:7:29974780:29978404:-1 gene:PAHAL_7G105700 transcript:PVH35098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGRGGAEIKHPRVLLPFTCDSLRIPDELAEGIGAGEALVVGPASGTAKHWRVEVGWDGDGAFLGRGWPEFADACGVEAGWLLVLRHRGQGLLTVKAFDANCCLRELPAPSPPAVGATASSKDASRKPQFIRVHSRDFMEKLLIPAEFVQQYLSDENLNNRTAIIFGPLGKVSHIELEKNSSDVFFAGAWSQFLVFHYITEADALLLRYEGNMVFTVKVFGLDGYQRDSKHKETRAKQDIEEQERQEAPSFSIQKHCKNKVPGSAGEKKPKGIVTPINEESSWMKPVYEIGPPLFVKKQINANTLKELALAKPFCDAIGLHGPCIIITLKTSMSNTESWKVHAVQRKDMGYRLLQGWRLFCSDNSIELGDICTFTVIETTVWDVIATRCKETINHLCNETPSASSRKHNTMNNESSNKGEKRPKVSMTALNKTSPRGCVFEIGPPAWIKKEINSTTVENRLYLPPVFCEAIGILKPCTVTLKTSMSCTRSWQARVAPYDGSSHHVSGPGWRQFCRENRIKVGEICTINIFKTTLWHVIISSPE >PVH34686 pep chromosome:PHallii_v3.1:7:1469265:1473806:1 gene:PAHAL_7G007400 transcript:PVH34686 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRRLGALLLRSPPSSSSAAASSCQHHRHHLLPSEEPLALDRLARLFTSQAGSDGGNSQKPFIAFVLGGPGSGKGTQCTKIASDFGFAHLSAGDLLRHEIASGSEKGELILDIIKEGRIVPSEITVELIRKAMETSSANKVLIDGFPRCEENRITFERIVGREPDIVVFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVDYYSSRGKVCKINATGTADEIFEAVRKLFSSLRF >PAN40495 pep chromosome:PHallii_v3.1:7:46021870:46026911:1 gene:PAHAL_7G319900 transcript:PAN40495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLRSRSLKRLLSLGRRSNAEESAEECVDADPPPPPPAPPPYKPTWRCFSYDELHQATDGFHQDNMVGKGGYGEVYRGVLDDGRAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFEFSIRGSVSANLHDLKLPVMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHMSLIAWAKPYLSDGVVQGLVDPRLGDGYDAGQLRRLMFIASLCVRAAAAWRPTMTQVLELLESGEISQDQWQMPEKEEQDELWDFDDLDDFDEDDDDDDYDNYDDESDSPSISSSACSIHPND >PAN40749 pep chromosome:PHallii_v3.1:7:47131974:47135775:-1 gene:PAHAL_7G337300 transcript:PAN40749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAKGSSAGAAGAGHGDLGRAISHEQYQAFVASVHRTAPGAANVHQYPAGLIPEPPMAMPVHVPVPRPSYSPQIAAPPPQPFARPPEPHRAQSQPPTGRYQDYSPYGNTASSQYTRGFADWGTHNNALMSLAHATTFGSGSSSINSNGFHQNFSSYNTHTWTTTYMPRNPYNTAYGPATMNMMLQTPSFHNNHEKDSGAGFAASSFTMPATVVPTSPFQLMSPKSTNYTSTQIFEEPNNLEDTSTVYGSGDIESDHSEEPDPTPVAEMEDLKQGNGHIINAMSKTINCQDYRIILRKDLTNSDVGNIGRIVLPKKDAEPNLPILEDKDGLILEMDDFELPAVWKFKYRYWPNNKSRMYILETTGEFVKRHSLQAKDILVIYKNKKSGRYVARAVKAEDIQVPQCECIKAGNLSEECGFAVSPSGKKIII >PAN38590 pep chromosome:PHallii_v3.1:7:37266429:37267214:1 gene:PAHAL_7G183100 transcript:PAN38590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRTRSAMNLLVAVLVIVSLLTLHAPVAGARHVVVLNPNNNGLNNKGDNKNLAMVPASALTDDLAATSKGFSGRKLGAPNKEETKTAMGSTTTSAGWRPRTVEMRAARRHGDAAAELYDMLRRDYAWKASRRRPINNGVKPFQVKKP >PAN38874 pep chromosome:PHallii_v3.1:7:38500596:38503053:1 gene:PAHAL_7G203700 transcript:PAN38874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGRSRCVALFVLVAVAAQAASAVVSDGLLPNGDFEQGPDESQMKDTRVMSPNAIPHWEISGFVEYIGSGHTQDDMILPVPEGARAVRLGNDATIRQELCVIRQAYYSITFTAARSCAQAEALNVSVTPDFGVLPIQTVYTSSGWDSYSWAFKAKHSTVWLSIHNPGHEENPACGPLVDFIAIKALHPPHHVQAGNMLRNGDFEEGPHIFPDVPWGVLVPPMSEDLYSPLPGWMVLSDTKVVKYVDAAHHAVPRGARAVELVAGMECALVQEARTVPGRAYRLSFSVGDGANGCEGTLGVDVYAGQTRATASYESRGTGGHRRVELDFEAAEGLTRVVFHSSNYHMKPDGTLCGPVVDDVSLVPVHRHAARRLRM >PVH35511 pep chromosome:PHallii_v3.1:7:38500596:38502676:1 gene:PAHAL_7G203700 transcript:PVH35511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGRSRCVALFVLVAVAAQAASAVVSDGLLPNGDFEQGPDESQMKDTRVMSPNAIPHWEISGFVEYIGSGHTQDDMILPVPEGARAVRLGNDATIRQELCVIRQAYYSITFTAARSCAQAEALNVSVTPDFGVLPIQTVYTSSGWDSYSWAFKAKHSTVWLSIHNPGHEENPACGPLVDFIAIKALHPPHHVQGNMLRNGDFEEGPHIFPDVPWGVLVPPMSEDLYSPLPGWMVLSDTKVVKYVDAAHHAVPRGARAVELVAGMECALVQEARTVPGRAYRLSFSVGDGANGCEGTLGVDVYAGQTRATASYESRGTGGHRRVELDFEAAEGLTRVVFHSSNYHMKPDGTLCGPVVDDVSLVPVHRHAARRLRM >PAN40517 pep chromosome:PHallii_v3.1:7:46164211:46169166:-1 gene:PAHAL_7G321500 transcript:PAN40517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVMKAAACGGDQRGLDGELEEKLLLRDGGLTRCSAGAAALLQKRGMIERRRLPPVLAGQGGAGDAGDYHERFVRAYDRLRDELLADDSCELTDEARRWVEQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEITEDEFFLACVLGWCVEWFQACALLLDDIMDGSHTRRDQICWYRRPEVGLWGINDGILLKCHIARLIKRYFREKTYYIDISELWNEVALQTSLGQMLDLISTHNGADELAKYNIEGYRRIVKYKTSYYSFYLPVACALLLSGAKLENFSELRDILIEMGVYFQAQDDYLDCFADPNTIGKIGTDIEDHKCSWLIVQALGHANINQIEVLLKNYGKKDSTSVSKVKSTYSTLDLKDMFSEFEDRAYKHLVTSIEAQRDRAVQEILKSFLKKIHRRKK >PAN40516 pep chromosome:PHallii_v3.1:7:46164211:46168200:-1 gene:PAHAL_7G321500 transcript:PAN40516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEITEDEFFLACVLGWCVEWFQACALLLDDIMDGSHTRRDQICWYRRPEVGLWGINDGILLKCHIARLIKRYFREKTYYIDISELWNEVALQTSLGQMLDLISTHNGADELAKYNIEGYRRIVKYKTSYYSFYLPVACALLLSGAKLENFSELRDILIEMGVYFQAQDDYLDCFADPNTIGKIGTDIEDHKCSWLIVQALGHANINQIEVLLKNYGKKDSTSVSKVKSTYSTLDLKDMFSEFEDRAYKHLVTSIEAQRDRAVQEILKSFLKKIHRRKK >PAN40518 pep chromosome:PHallii_v3.1:7:46164211:46168198:-1 gene:PAHAL_7G321500 transcript:PAN40518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEITEDEFFLACVLGWCVEWFQACALLLDDIMDGSHTRRDQICWYRRPEVGLWGINDGILLKCHIARLIKRYFREKTYYIDISELWNEVALQTSLGQMLDLISTHNGADELAKYNIEGYRRIVKYKTSYYSFYLPVACALLLSGAKLENFSELRDILIEMGVYFQAQDDYLDCFADPNTIGKIGTDIEDHKCSWLIVQALGHANINQIEVLLKNYGKKDSTSVSKVKSTYSTLDLKDMFSEFEDRAYKHLVTSIEAQRDRAVQEILKSFLKKIHRRKK >PAN40515 pep chromosome:PHallii_v3.1:7:46164652:46166889:-1 gene:PAHAL_7G321500 transcript:PAN40515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFLFFAKGKLNRGLSVIDSYLLLKQGSEITEDEFFLACVLGWCVEWFQACALLLDDIMDGSHTRRDQICWYRRPEVGLWGINDGILLKCHIARLIKRYFREKTYYIDISELWNEVALQTSLGQMLDLISTHNGADELAKYNIEGYRRIVKYKTSYYSFYLPVACALLLSGAKLENFSELRDILIEMGVYFQAQDDYLDCFADPNTIGKIGTDIEDHKCSWLIVQALGHANINQIEVLLKNYGKKDSTSVSKVKSTYSTLDLKDMFSEFEDRAYKHLVTSIEAQRDRAVQEILKSFLKKIHRRKK >PAN38292 pep chromosome:PHallii_v3.1:7:36013988:36018890:1 gene:PAHAL_7G161800 transcript:PAN38292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAPLSSLQEEGEGDPAGEDSSAFSPAAVPPRPATHHSLQKYAPLDWSAYFDEERRVAIPGTDDVFNVYTAGSEGPVVFCLHGGGYSGLSFALAARQMKEKARVVAMDLRGHGKSTTSNDLDLSIETLTNDVIAVIRAMYGDSPPAIILVGHSMGGSVAVHVAARREIRNLHGLVVIDVVEGTAMASLVHMQKILSNRAQHFPSIEKAIEWSVKGGPLRNIESARVSIPSTLKYDESRECYTYRTPLEQTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFISRNKIGPNGVEIPGLIKKWGH >PAN38181 pep chromosome:PHallii_v3.1:7:35316587:35320974:-1 gene:PAHAL_7G152700 transcript:PAN38181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNSCYVLKSRRFVGIADASFEDAADGISEFSDTMSAAHKQKVKGVEETMEIAEKQELAWDSNLLSFKDDDFLLPGNSKLHAMTSGETVLSGKMGLSEPRIDRSRNVGTRKAPRLGSMLGTASMAGFGKAVEILDTLGSLATTFSPDGGFISRSKNKGCKISILAFEVANTILKGASIMQSLSEDTVTYFKQVVLPSEGVQSLVSSDMSELMRIAANDKREELKIFSQEVVRFGNRCKDPQWHNLDRYFVKLESESATQKQLKETAIADMQKLMTLVQRTTDLYHELHALDRFEQEYNSKLKGKDTERFEKGDSIQIVRLELKTQRSYVKSLKKRSLWSKMLEEVVEKLVEIVHYLHIEIKNTFGSSDGFALSAESTVSCQRLGPAGLALHYANIIIQIYSIVSRSGYVPSNTREALYQGLPPRVRSALPNRLKTSSVPQELTIDDIRVRMEKSLKWLVPMAVNTTCARGFLRFSEWAKSGTDRVGRRPGQADPIETLYHADKARTEDHILDLVVWLHHLVNQSNRPAMQKSTDQPLHLTKSVQ >PAN39086 pep chromosome:PHallii_v3.1:7:39595553:39597044:1 gene:PAHAL_7G220200 transcript:PAN39086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSPNPDSPSSGGGNGGIRSSSGGASPSVGSMTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHGAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLQGDGSGGLHGHPHQPPPPPPAGAAC >PAN39666 pep chromosome:PHallii_v3.1:7:39595099:39597043:1 gene:PAHAL_7G220200 transcript:PAN39666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSPNPDSPSSGGGNGGIRSSSGGASPSVGSMTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHGAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLQGDGSGGLHGHPHQPPPPPPAGAAC >PAN39085 pep chromosome:PHallii_v3.1:7:39595086:39597043:1 gene:PAHAL_7G220200 transcript:PAN39085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSPNPDSPSSGGGNGGIRSSSGGASPSVGSMTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHGAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLQGDGSGGLHGHPHQPPPPPPAGAAC >PAN40124 pep chromosome:PHallii_v3.1:7:42952031:42952402:-1 gene:PAHAL_7G271700 transcript:PAN40124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMGPARLWPRGACSSGRSNHRGSPLSPLSHPRSSTPTIKIERNEARPHPTGSRPDPLHRHLPVRRCVASGRRRIWPPPPPPSPAGRVLLLHGQHQWPRQQCAVASRKAITGTSVTSSQPRW >PVH35234 pep chromosome:PHallii_v3.1:7:33580912:33585664:1 gene:PAHAL_7G133200 transcript:PVH35234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYVFIVLYANDNGDGGTFALYSLISRYAKVSLIPNQQAEDAMVSNYGLDIVSAPMRRAQWMKKRLENSEVAKVAIFLLTILGTSMVISDGVLTPAISVLSAVSGLQEKAPQLKQGQIVLISVFILVVLFSVQRFGTDRVGYSFAPIILLWFLCIGGIGFYNLIRYDVGVLRAFYPKYIVDYFKRNGKDAWISLGGILLCFTGTEAMFADLGHFNVRAVQTSFSFVLFPAVSLAYIGQAAFLRKHPEHVLDTFYRSIPGPLFWPTFIIAVAAAIIASQAMISGAFSIIQQSQTLGCFPRVKVLHTSKLYEGQVYIPEVNFVLGLLCVIITLAFQTTTDIGHAYGICVTSVMIITTILMVIVMLLIWRVSIWLIIPFCLAYGFVELVYLSAVLYKFTEGGYLPIVIATVMVVMMGVWHYVHVKKYWYELEHIVTNESMRQLIQTHGVKRIPGVGFLYTELVQGISPIFPHLIEKIPFVHSVLMFVSIKHLPIPHVEVSERFLFRNVESKTSRMFRCVARYGYSDKLEGAKEFAASLIEGLQSHIEEGHFITDMQIQETEAQTASAGDGDARPRKAGSSTVYIEEVLTAGETTVLTQPRLSSYSAHSSGRISEEQTRTIAEEKQFIQRELQKGVIYILGETEIKAGPDSSFVKKIVVNYMYSFLRKNFRQGEKAFAIPRQQVLKVGMVYEI >PAN40070 pep chromosome:PHallii_v3.1:7:44140513:44140811:1 gene:PAHAL_7G289700 transcript:PAN40070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDRPREEKALKCSGFGLLLCRHARIYTSRSSRVTSLIMDHKNAGFVGLIIADFRVKRFGFWCS >PAN39651 pep chromosome:PHallii_v3.1:7:42096717:42098838:1 gene:PAHAL_7G258100 transcript:PAN39651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPDVEAGGPARAAPATTGIKPPPGRYNMASNGNGNGSGNASYVPPSPFYYDNAAAHERHHWSWLVPLVVIANVAMFVVVMFANNCPRGGGDCVGRGFLRRFSFQPLKENPLLGPSAATLQKYGALDWYKVVHGSQAWRLESCTWLHAGLIHLLANMISLIFIGVRLEQQFGFWKVGLVYLVSGFGGSVLSVLFIRKGVSVGASGALFGLLGAMLSELITNWTIYTNRFAAMVNLIIIAAINLALGILPHVDNFAHIGGFATGFLLGFVLLIQPQFGWLEQPFGAKSKSKYKAYQIILLLLALVLLAAGFAVGLVMVFRGENGNDHCSWCHYLTCVPTSSWKCDN >PAN39746 pep chromosome:PHallii_v3.1:7:40030599:40032105:1 gene:PAHAL_7G226300 transcript:PAN39746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRLLPLVVPFLAATAVADGGRSCPTSCGAIDISYPFGVGPACSLPGFNLTCDASTYSNQLRLGSPNATVDYMITSASGSVTAVAVHVVRSVSMPAGAGAYSASWEGRSPSLARPTCRCSSSDAASRRRCSTAARDRGRELLRGLRREAGHGEAAGRTLRRRGMLPHRRAGAPAGVHPEPLARRLGCQPGRGDVTGHRPGQVRVPAERPGARRRRGHGRSGSSGLGHP >PAN38729 pep chromosome:PHallii_v3.1:7:37885015:37887003:-1 gene:PAHAL_7G192900 transcript:PAN38729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLPRLCMATGTGDGDGEGSGKGGDSSSGKLATAVSSSSSTVSTSSSAAAAAVSEESSSASLPSLPSLSAAAAATLAASFSHVATLRPVCAGPSAAVVAAGDSLHGLVVARPAAVALHDLSTLEATSTSAAADAAAAAGSVKCAAHLHGGAAAVTGHQDGRLRLWRVSSRSPARLRLAAALPTVADRLRRFPVPSNHVTVRRHHRRLWIEHADTVSGVAASADGRLLFSVSWDKTLKVWALPSLRCLQSLPAHDDAVNAVAVAPDGTVYTGSADKRVRVWAPRPAPDKARRARGRKHQPAYHLVATLSRHTAAVNALAVGSGGQALYSGSNDRSIVVWEREDSASHMVAIGALRGHRRAVLSISCSAGGLVVSGSADQTVRAWRRAADGRGYACVAVIDGHGAAVRSVAAAPSPSPAPQKWPRGGGGGGGDEEEWRVCSASFDGEVRVWSLRVSSGL >PVH34877 pep chromosome:PHallii_v3.1:7:15777471:15778400:1 gene:PAHAL_7G053600 transcript:PVH34877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTFETAALEAIKLFCNQHPMEVAAYPIGLFPTIDTGNLEWDFRTDHLGHMLGDLAEETVRIITRFMDVQHHYQMLLHHGVSQLTGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDAVIEFLQEQIHDLILEADDAQAHIEELQQQPILPAIPIVPEEEEEDSEEIEGVLEIDSEHGNPVLSPYHSPSGSQSSVGNFDDF >PAN37970 pep chromosome:PHallii_v3.1:7:34041275:34043514:1 gene:PAHAL_7G137600 transcript:PAN37970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLVSCFSEHAVRISDVACSGAANAAAGAPGPGGGGGGRAAAVSAVTTVYRSRLSASGKELLLEVTWSRAPDGPALSVAVHEAAAAPRHRAAAAAPRHLHKKKGSGTFTAGSCVVGVFWDYAAARYAAAGAGPEPASGFYVAVVADAEFVLLLGDLSRGYVERLHGGIPIAGSRMARRRERFVGCGCWSTKARFLEAGAEHEIVVVVLDGDAEAWVTVDGRKVVQLRRLRWNFRGSHTIFLDGGAPVDMTWDLHGWLFHAGAEPPHASSSSNATSCAVFTFQARGASETRLWTEDADADADASEELEKPPAPASGRRQKPGGGGASGQGFCLLIQGFRGFSKST >PAN39318 pep chromosome:PHallii_v3.1:7:37927275:37928126:-1 gene:PAHAL_7G193400 transcript:PAN39318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPTHIVRAGRRRPAADLPEDVHAEILVRLPAESVLRFRSVCKAWHRITSDPRFLTAHARRRPAQVVLYRYLESTGCKNRPLGYAVDIALDVLPVSGEEEAGRRRRLIGYPRFVTTTDPPERWRVDMPVHCLLLDTQWAELPRLPEQTYGAAAGVRVREYGFYFHRPSREFRLLCGAFAGGQTAWCVLSTGAAEPRQHGADDQLVVNQGHLRGATAMAPLALHDRLHWPPRGSATRSGRRRRRWWLSTRCRRSST >PAN39507 pep chromosome:PHallii_v3.1:7:41379819:41381321:-1 gene:PAHAL_7G248100 transcript:PAN39507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGWVVVARMPDARAAQEEGDVLGQTHPLLRTWRHGRSPPPIFCPPPPCQTGLGNLASARAIATAHRLLATVEPGCGNETNPSTMANSASGMAVNDECKLKFQDLKSKRSFRFITFKIDEHTQQVVVDRLGKPGDTYDDFTASMPDSECRYAVFDFDFTTDENCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGIQLELQATDPSEMSMDIVKARAL >PAN40932 pep chromosome:PHallii_v3.1:7:47945063:47947551:-1 gene:PAHAL_7G350700 transcript:PAN40932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEQHPQPNTTDQTDNSPTADDHHKPGIPRVSSCSTDKDSGLSLCRVCHCVEPDLRGESALGFLGIVPPSREPSAPRTNNDSTKTSTSKDAITGPNDGTNAPRFVEFISPEGEIFVCSTDVESGPMHQQDHLVDLGCSCKNELALAHYACALKWFISHGSTVCEICGSIAANVRPDDFNKVLASLKDYEALRERTSTGELSYLQLVADTGVDPDAVAAIRRQRLSEISSWFNPHNSHMAVSQGHIDQPPLSPSNNSVLEHSIVAARRVHTRWSLESTGVLVAIGLAVIVLAWLVAPHVGKKCSL >PAN40933 pep chromosome:PHallii_v3.1:7:47944004:47947551:-1 gene:PAHAL_7G350700 transcript:PAN40933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEQHPQPNTTDQTDNSPTADDHHKPGIPRVSSCSTDKDSGLSLCRVCHCVEPDLRGESALGFLGIVPPSREPSAPRTNNDSTKTSTSKDAITGPNDGTNAPRFVEFISPEGEIFVCSTDVESGPMHQQDHLVDLGCSCKNELALAHYACALKWFISHGSTVCEICGSIAANVRPDDFNKVLASLKDYEALRERTSTGELSYLQLVADTGVDPDAVAAIRRQRLSEISSWFNPHNSHMAVSQGHIDQPPLSPSNNSVLEHSIVAARRVHTRWSLESTGVLVAIGLAVIVLAWLVAPHVGKKAAVIGLHMLLGGLCALTLVISLRFVFPRIQYGSMQYWAILFVSWFLVFGVWASRTRSIRSS >PAN40931 pep chromosome:PHallii_v3.1:7:47944275:47946578:-1 gene:PAHAL_7G350700 transcript:PAN40931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEQHPQPNTTDQTDNSPTADDHHKPGIPRVSSCSTDKDSGLSLCRVCHCVEPDLRGESALGFLGIVPPSREPSAPRTNNDSTKTSTSKDAITGPNDGTNAPRFVEFISPEGEIFVCSTDVESGPMHQQDHLVDLGCSCKNELALAHYACALKWFISHGSTVCEICGSIAANVRPDDFNKVLASLKDYEALRERTSTGELSYLQLVADTGVDPDAVAAIRRQRLSEISSWFNPHNSHMAVSQGHIDQPPLSPSNNSVLEHSIVAARRVHTRWSLESTGVLVAIGLAVIVLAWLVAPHVGKKAAVIGLHMLLGGLCALTLVISLRFVFPRIQYGSMQYWAILFVSWFLVFGVWASRTRSIRSS >PVH36096 pep chromosome:PHallii_v3.1:7:47944005:47947551:-1 gene:PAHAL_7G350700 transcript:PVH36096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEQHPQPNTTDQTDNSPTADDHHKPGIPRVSSCSTDKDSGLSLCRVCHCVEPDLRGESALGFLGIVPPSREPSAPRTNNDSTKTSTSKDAITGPNDGTNAPRFVEFISPEGEIFVCSTDVESGPMHQQDHLVDLGCSCKNELALAHYACALKWFISHGSTVCEICGSIAANVRPDDFNKVLASLKDYEALRERTSTGELSYLQLVADTGVDPDAVAAIRRQRLSEISSWFNPHNSHMAVSQGHIDQPPLSPSNNSVLEHSIVAARRVHTRWSLESTGVLVAIGLAVIVLAWLVAPHVGKKAAVIGLHMLLGGLCALTLVISLRFVFPRIQYGSMQYWAILFVSWFLVFGVWASRTRSIRSS >PVH35441 pep chromosome:PHallii_v3.1:7:37390513:37391489:-1 gene:PAHAL_7G185600 transcript:PVH35441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHPLSSRCAPPSIARVYPLSWPHHALLVLRLCLFIARQSFVQLEKRGRQPCVAGQSIQPAAIRFLSRRKGGKAPRHPHPLSLAHRPALPGCLGCSFTSKATPSPYRRGDRATHLPPPLPPIRSRVRGFASTTRGRERESPEAVHQATCRGDAMCKLKFTRERVGCYLLVILVILLIIGVLFGLGVFRHGVDRIRDLGRNHTCFDCNRY >PAN39619 pep chromosome:PHallii_v3.1:7:41871797:41874130:-1 gene:PAHAL_7G255800 transcript:PAN39619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVATQQPHKFQWAELEDDLGLLLPPRVVLGPDAGGLRTVIEYRLDDDGNKVKVTTTSRTRSLARARLSRSAVERRSWTKFGDAVKGDDGGSRFTMVSTEEVLLERPRAPGKQTEEPTTSGDPLSMASTGGGALLMLCRICGKKGDHWTSRCPYKDLSPQAEVLLTGLLLLTAVQHHLVSEHIFLQTRKKVLIQV >PVH35539 pep chromosome:PHallii_v3.1:7:38915735:38920241:-1 gene:PAHAL_7G210000 transcript:PVH35539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MFRVAETFDVLCSTTVLRSTNVIMAMVCAVLFHDLLLCIRPGIGERKATTYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKHFWISAMFGAFSILFRQTNVIWIIFFAANGAISYIQDLYPKDNVSHENIEATHQSDKVVSGRDNKTAAQGLRRRRINSPMSKKVVVSESSKLYNKEVWDICLKLWNSKCEVLIAFAPFIFVMVAFVAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPSRILDLFHLSGKNKTCSFLAVLMGFGLSFIAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWMMKYMLIPLYVYSWFSIINILGKTQKRVWVLSFVLSVALVLVPAPLIEFRYYTIPFVTLVLHFPVIGNGKLLALGLLYVAADLFTLVMFLFRPFHWEHEPGTQRFMW >PAN38968 pep chromosome:PHallii_v3.1:7:38916371:38919539:-1 gene:PAHAL_7G210000 transcript:PAN38968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGKLVVAAAVAGWAIPIAALVNSVVPEPYMDEIFHVPQAQQYCHGDFLTWDPMITTPPGLYYVSLAYLASFFPVAWMFRVAETFDVLCSTTVLRSTNVIMAMVCAVLFHDLLLCIRPGIGERKATTYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKHFWISAMFGAFSILFRQTNVIWIIFFAANGAISYIQDLYPKDNVSHENIEATHQSDKVVSGRDNKTAAQGLRRRRINSPMSKKVVVSESSKLYNSLAEEVWDICLKLWNSKCEVLIAFAPFIFVMVAFVAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPSRILDLFHLSGKNKTCSFLAVLMGFGLSFIAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWMMKYMLIPLYVYSWFSIINILGKTQKRVWVLSFVLSVALVLVPAPLIEFRYYTIPFVTLVLHFPVIGNGKLLALGLLYVAADLFTLVMFLFRPFHWEHEPGTQRFMW >PAN38967 pep chromosome:PHallii_v3.1:7:38916371:38918667:-1 gene:PAHAL_7G210000 transcript:PAN38967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MFRVAETFDVLCSTTVLRSTNVIMAMVCAVLFHDLLLCIRPGIGERKATTYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKHFWISAMFGAFSILFRQTNVIWIIFFAANGAISYIQDLYPKDNVSHENIEATHQSDKVVSGRDNKTAAQGLRRRRINSPMSKKVVVSESSKLYNSLAEEVWDICLKLWNSKCEVLIAFAPFIFVMVAFVAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPSRILDLFHLSGKNKTCSFLAVLMGFGLSFIAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWMMKYMLIPLYVYSWFSIINILGKTQKRVWVLSFVLSVALVLVPAPLIEFRYYTIPFVTLVLHFPVIGNGKLLALGLLYVAADLFTLVMFLFRPFHWEHEPGTQRFMW >PVH35540 pep chromosome:PHallii_v3.1:7:38915735:38920241:-1 gene:PAHAL_7G210000 transcript:PVH35540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGKLVVAAAVAGWAIPIAALVNSVVPEPYMDEIFHVPQAQQYCHGDFLTWDPMITTPPGLYYVSLAYLASFFPVAWMFRVAETFDVLCSTTVLRSTNVIMAMVCAVLFHDLLLCIRPGIGERKATTYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKHFWISAMFGAFSILFRQTNVIWIIFFAANGAISYIQDLYPKDNVSHENIEATHQSDKVVSGRDNKTAAQGLRRRRINSPMSKKVVVSESSKLYNKEVWDICLKLWNSKCEVLIAFAPFIFVMVAFVAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPSRILDLFHLSGKNKTCSFLAVLMGFGLSFIAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWMMKYMLIPLYVYSWFSIINILGKTQKRVWVLSFVLSVALVLVPAPLIEFRYYTIPFVTLVLHFPVIGNGKLLALGLLYVAADLFTLVMFLFRPFHWEHEPGTQRFMW >PVH35260 pep chromosome:PHallii_v3.1:7:33969283:33973936:1 gene:PAHAL_7G136400 transcript:PVH35260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSHFVFLLFSELLMHHVCCSLGADPSQLTKQALARVQGELAKDGREPVRLSSFKLRDKSAAGAGKSAIVKYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARITSLLHEVRKAGDVIVFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEEAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMELFKKKKEEQCSVLSKSPDEYWQEIRAVQSMHEVALTNRLKYSLDENDKEDIVNTEVIDEDKIASPLTPPTSVDEPILVDSEEIARVTSLWSGIPVQKLTADEMKLLLGLDDELRKRVIGQNDAIVAISKAVKRSRVGLNDPDKPIATLLFCGPTGVGKTELTKALAASYFGSESAMVRLDMSEYMERHTVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISSGRRSIGFSTQKDTEDTTYAAMKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMMAILNIILQEVKSRLLALGIGLEISDSMKNLISQQGYDRSYGARPLRRAVTQLVEDVISEAILFGQFKPGDTILMDTDATGKPCLSRLNDQTIQLSDPAPTL >PVH35259 pep chromosome:PHallii_v3.1:7:33967976:33974173:1 gene:PAHAL_7G136400 transcript:PVH35259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACCCSSSSAPILAAAPDGALRQRFSASAAGGRAPSSLPPPRPLRASAAMLAAPAAPRRGQQRRRGAGVVRAVFERFTERAVKAVVFSQREARGMGDEAVAPHHLLLGLVAEDRSPAGFLGSGLRVDRAREACRDALGKAGPAQPATGLATDVPFSGASKRVFEATVEFSRNMGCNFISPEHIALGLFNLDDPTTNSVLKSLGADPSQLTKQALARVQGELAKDGREPVRLSSFKLRDKSAAGAGKSAIVKYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARITSLLHEVRKAGDVIVFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEEAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMELFKKKKEEQCSVLSKSPDEYWQEIRAVQSMHEVALTNRLKYSLDENDKEDIVNTEVIDEDKIASPLTPPTSVDEPILVDSEEIARVTSLWSGIPVQKLTADEMKLLLGLDDELRKRVIGQNDAIVAISKAVKRSRVGLNDPDKPIATLLFCGPTGVGKTELTKALAASYFGSESAMVRLDMSEYMERHTVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISSGRRSIGFSTQKDTEDTTYAAMKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMMAILNIILQEVKSRLLALGIGLEISDSMKNLISQQGYDRSYGARPLRRAVTQLVEDVISEAILFGQFKPGDTILMDTDATGKPCLSRLNDQTIQLSDPAPTL >PVH35258 pep chromosome:PHallii_v3.1:7:33967976:33974173:1 gene:PAHAL_7G136400 transcript:PVH35258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACCCSSSSAPILAAAPDGALRQRFSASAAGGRAPSSLPPPRPLRASAAMLAAPAAPRRGQQRRRGAGVVRAVFERFTERAVKAVVFSQREARGMGDEAVAPHHLLLGLVAEDRSPAGFLGSGLRVDRAREACRDALGKAGPAQPATGLATDVPFSGASKRVFEATVEFSRNMGCNFISPEHIALGLFNLDDPTTNSVLKSLGADPSQLTKQALARVQGELAKDGREPVRLSSFKLRDKSAAGAGKSAIVKYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARITSLLHEVRKAGDVIVFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEEAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMELFKKKKEEQCSVLSKSPDEYWQEIRAVQSMHEVALTNRLKYSLDENDKEDIVNTEVIDEDKIASPLTPPTSVDEPILVDSEEIARVTSLWSGIPVQKLTADEMKLLLGLDDELRKRVIGQNDAIVAISKAVKRSRVGLNDPDKPIATLLFCGPTGVGKTELTKALAASYFGSESAMVRLDMSEYMERHTVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISSGRRSIGFSTQKDTEDTTYAAMKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMMAILNIILQEVKSRLLALGIGLEISDSMKNLISQQGYDRSYGARPLRRAVTQLVEDVISEAILFGQFKPGDTILMDTDATGKPCLSRLNDQTIQLSDPAPTL >PVH35257 pep chromosome:PHallii_v3.1:7:33969509:33973522:1 gene:PAHAL_7G136400 transcript:PVH35257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSHFVFLLFSELLMHHVCCSLGADPSQLTKQALARVQGELAKDGREPVRLSSFKLRDKSAAGAGKSAIVKYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARITSLLHEVRKAGDVIVFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEEAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMELFKKKKEEQCSVLSKSPDEYWQEIRAVQSMHEVALTNRLKYSLDENDKEDIVNTEVIDEDKIASPLTPPTSVDEPILVDSEEIARVTSLWSGIPVQKLTADEMKLLLGLDDELRKRVIGQNDAIVAISKAVKRSRVGLNDPDKPIATLLFCGPTGVGKTELTKALAASYFGSESAMVRLDMSEYMERHTVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISSGRRSIGFSTQKDTEDTTYAAMKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMMAILNIILQEVKSRLLALGIGLEISDSMKNLISQQGYDRSYGARPLRRAVTQLVEDVISEAILFGQFKPGDTILMDTDATGKPCLSRLNDQTIQLSDPAPTL >PAN38575 pep chromosome:PHallii_v3.1:7:37208192:37209226:-1 gene:PAHAL_7G182000 transcript:PAN38575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLAVAVALVATLLLLSNSNSKAAPGGGYPPAPPVGPPPHQIVDPAKDCGGACDVRCGAQSHKNRCARACLKCCSVCRCVPAGTAGNQETCGKCYTDWTTHGNRTNKCP >PAN38162 pep chromosome:PHallii_v3.1:7:35234327:35237635:-1 gene:PAHAL_7G151400 transcript:PAN38162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLVQFGRKAWFIVRVMSGYEERRIRSYRLQLQKRLEMAQARKEELRKQPEKVILSEVRQVVQQMQALNQHLEEAETSIDEYFKPIDKNAKIITNMQLEKEEKQMKEMAKVMQEQIKMQREIAMKRDDAASLESKEAQVSEKTAEIPLKQETVK >PAN37840 pep chromosome:PHallii_v3.1:7:30092428:30093198:-1 gene:PAHAL_7G106600 transcript:PAN37840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMIGETRLLILRPFTVVVVENLMAVIDSSQVPSRQRAGSSRSARRSTSSGDDSVEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIATQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVDPTSNWADQFIGSGGSIQPGDGGGQT >PAN38724 pep chromosome:PHallii_v3.1:7:37860472:37867986:1 gene:PAHAL_7G192600 transcript:PAN38724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRGWRRGTGEARDRLSGAAVASSSSLPPTPPPPPRATPRRRMSLGPAMAARHVWGGVRVNGAASRSGDDWEARGRRRARAHSRWASSRRRRRHTRPHPARSGPFSHPSNSRHGHRLRPLGSEMPPTCRIQLGEVRHRWQAALLLQFIIL >PAN37380 pep chromosome:PHallii_v3.1:7:25463906:25467825:1 gene:PAHAL_7G086400 transcript:PAN37380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLPRGRKSPSGFSPSSTAEEVTAGIDGSGLVAIVTGASSGIGAETCRVLALRGVHVVMGVRNLSTASQVREKIVGQVPTAKIEILELDLSSMSSVRRFVDNFDVLDLPLNILVNNAGIAFVPFKLSEDGIELHFATNHLGHFLLTDLLLEKINVTAKESGIEGRIVIVASDSYKHSYREGIRFDKINDESGYNSILAYGQSKLANILHSNELSSHLKEQDAKVVVNSLHPGAVVTNIARYWGFLNGLLSSLGKFVLKGVEQVAGVTGSYFVDCNTVQLKSHATDKELAKRLWDFSMSLLR >PAN37379 pep chromosome:PHallii_v3.1:7:25464013:25467548:1 gene:PAHAL_7G086400 transcript:PAN37379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLPRGRKSPSGFSPSSTAEEVTAGIDGSGLVAIVTGASSGIGAETCRVLALRGVHVVMGVRNLSTASQVREKIVGQVPTAKIEILELDLSSMSSVRRFVDNFDVLDLPLNILVNNAGIAFVPFKLSEDGIELHFATNHLGHFLLTDLLLEKINVTAKESGIEGRIVIVASDSYKHSYREGIRFDKINDESGYNSILAYGQSKLANILHSNELSSHLKEQDAKVVVNSLHPGAVVTNIARYWGFLNGLLSSLGKFVLKGVEQCVIWHCILRLLESRAVTLWIAILFN >PAN37378 pep chromosome:PHallii_v3.1:7:25463906:25467202:1 gene:PAHAL_7G086400 transcript:PAN37378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLPRGRKSPSGFSPSSTAEEVTAGIDGSGLVAIVTGASSGIGAETCRVLALRGVHVVMGVRNLSTASQVREKIVGQVPTAKIEILELDLSSMSSVRRFVDNFDVLDLPLNILVNNAGIAFVPFKLSEDGIELHFATNHLGHFLLTDLLLEKINVTAKESGIEGRIVIVASDSYKHSYREGIRFDKINDESGYNSILAYGQSKLANILHSNELSSHLKEQDAKVVVNSLHPGAVVTNIARYWGFLNGLLSSLGKFVLKGVEQVSLRS >PAN38842 pep chromosome:PHallii_v3.1:7:38411712:38415557:1 gene:PAHAL_7G202000 transcript:PAN38842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFLIRRTTSALRRQTPRARSFHPSSDGGNLASSRGTLELLAPPPSSPSYTFHKVFNRDAGFFSWLQRYNLQAYCCIHTSKSVSSEYQAMEEPQQNPGAAVSADDSAEPKPKRKKLKGKRAVTRFLKSLRWKKKKEIQRMTAEEKILYKLKLARKKEERLVAALKKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIATELARLSGGIVLDIQDGNTIIMYRGKNYVQPPPEIMSPKVTLPRKKALDKSKYKEKLRSVRRYIPRLEQELEDLHAQMKLSGEHKGGIGKYVASVSQNANSMAARVESSISVRDKTVSDLLSESVEGSKRLEDESSEVEDVSASESMSFSESEDLSDIFETESEEQEEGNKEHPLYLDRLDKFPSENNDNEQDDFEEHLRKIASLSDKTDSPSKELKVSELDEIDKIFLRASSLLKKRSMSLSI >PAN38844 pep chromosome:PHallii_v3.1:7:38411718:38415558:1 gene:PAHAL_7G202000 transcript:PAN38844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQQNPGAAVSADDSAEPKPKRKKLKGKRAVTRFLKSLRWKKKKEIQRMTAEEKILYKLKLARKKEERLVAALKKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIATELARLSGGIVLDIQDGNTIIMYRGKNYVQPPPEIMSPKVTLPRKKALDKSKYKEKLRSVRRYIPRLEQELEDLHAQMKLSGEHKGGIGKYVASVSQNANSMAARVESSISVRDKTVSDLLSESVEGSKRLEDESSEVEDVSASESMSFSESEDLSDIFETESEEQEEGNKEHPLYLDRLDKFPSENNDNEQDDFEEHLRKIASLSDKTDSPSKELKVSELDEIDKIFLRASSLLKKRSMSLSI >PAN36837 pep chromosome:PHallii_v3.1:7:1030531:1035120:-1 gene:PAHAL_7G004600 transcript:PAN36837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSTWFRYAAHKFEYSISLTWKKYNVGQINSTELTDAIWKNFFQGKLTFMHWTKGGESMAPIVSPTGGTLLVRKLANLSSTQVFVGDVVLLKDPEKSDDLIIRRLAALEGYEMVSSDEKDEPFVLEKDQCWVLADNQALKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSGIAMNQDAPVLAVELDVEEMAKNNKT >PVH35592 pep chromosome:PHallii_v3.1:7:39652664:39655122:-1 gene:PAHAL_7G221100 transcript:PVH35592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFLLLGLVFLLAPQHAPAVAVPGPECQTKCGGVDIPYPFGIGDNCSLSPGFNASCQEVRHGVYRPFIGDAELLNISLINGTIRAMNHISTYCYNSSGLMDRHTWRFDGSHSPYRFSDVHNKFTVIGCNTLAYIYDSSGTGYQSGCVSTCQNLTDLTNGSCSGMGCCQTAIPKGMGYYAVGFDKSFNTSQIRNFSPCSYAVLMEAEAFNFSTAYISTTKFNDTNTGQVPVVLDWAIRNGTMSLSCEEAKLNKTGTYACLSNNSGCVDSRNGPGYLCNCSEGYEGNPYLPNGCRDVDECKNSPCPSGGVCHNTEGGHWCSCRAGRKFSKQSNTCSPDTSLIIGVTVGFLVLVIFFFFGHILLQKRKLNQVKQEHFREHGGMILFERMRSEKGLAFTVFSEAELIQATNNYDKSRIIGKGGHGTVYKGIVKGNMHVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFVPNGTLYELIHGKNQALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQQPLKLDGPEMQRSLSSNFLSAMKENNLDAVLPSHLKEQESNEVIRGLAELAKQCLDMCGSNRPSMKEIADELGRLRKLSLHPWVRIDAEMETQSLLGGASTASFQIEGAASGYPTQEGENLPMNPRSSYYAR >PAN39102 pep chromosome:PHallii_v3.1:7:39652455:39655867:-1 gene:PAHAL_7G221100 transcript:PAN39102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFLLLGLVFLLAPQHAPAVAVPGPECQTKCGGVDIPYPFGIGDNCSLSPGFNASCQEVRHGVYRPFIGDAELLNISLINGTIRAMNHISTYCYNSSGLMDRHTWRFDGSHSPYRFSDVHNKFTVIGCNTLAYIYDSSGTGYQSGCVSTCQNLTDLTNGSCSGMGCCQTAIPKGMGYYAVGFDKSFNTSQIRNFSPCSYAVLMEAEAFNFSTAYISTTKFNDTNTGQVPVVLDWAIRNGTMSLSCEEAKLNKTGTYACLSNNSGCVDSRNGPGYLCNCSEGYEGNPYLPNGCRDVDECKNSPCPSGGVCHNTEGGHWCSCRAGRKFSKQSNTCSPDTSLIIGVTVGFLVLVIFFFFGHILLQKRKLNQVKQEHFREHGGMILFERMRSEKGLAFTVFSEAELIQATNNYDKSRIIGKGGHGTVYKGIVKGNMHVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFVPNGTLYELIHGKNQALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQQPLKLDGPEMQRSLSSNFLSAMKENNLDAVLPSHLKEQESNEVIRGLAELAKQCLDMCGSNRPSMKEIADELGRLRKLSLHPWVRIDAEMETQSLLGGASTASFQIEGAASGYPTQEGENLPMNPRSSYYAR >PAN36926 pep chromosome:PHallii_v3.1:7:2246031:2251347:1 gene:PAHAL_7G012400 transcript:PAN36926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKADFILMEYAEVPGSGGSNWTDQETLLLLEALQIFQGKEWDEIAEHVATKTKEQCMLYFLQMPIFNSFLDGEDFNETPQKITEQDSAETGTLGVPEEMDVGDNAKGKESTDEKTYKKANANSSETGTKLADQSVSAKENTMNLGDNDLVPSSIVDESNKSSLMDPANKKKSADADVSGEHASNFVTDVLRSTFEAVGHFLGKDDLGSFAEAGNPVMALAGFLASLVERDDAVTLCCSSLRAISAISPALQLATGHCFILPDPPNNLKDLTSNYSPCTCGEYQGGADGTQNVNDTDKDSSKREESALALEKEDASQEHLELSNTEESFVEGPKAEVKSNSTKDSDNQTAKVGTSVASDKMRDGCNTIPCSATSNNTNEPSSITSQEASAASTNATTNRERLEADKSSSKELPDDDSPSQGKVEPKEIEHAPMASSSIQQHESNQTGSGNTEEPNSNKNIAAAAADPVIRLQRAAVTAISAAAVKAKFLVEQEEGHIRRLAELVIEKQFQKMETKMSFLAEVENLVLRSRELTEKMRKKLMLERSAIIASRMSAAASRTNQHRAPETRLPVALVRQLRHP >PAN36923 pep chromosome:PHallii_v3.1:7:2241778:2251346:1 gene:PAHAL_7G012400 transcript:PAN36923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATFFDGKSERRTPEIYLGIRNLIMRKFHFNPEVHLECKDLSELSIGEMDARLEILEFLAHWGLVNFHPCPPAVQDCKLVESKSSADTTEEISLIEKLFQFETVHSYLVPVSKKAEIISPVQFTSFLSQPKLAEDAITAAESSVEYHCNSCSVDCSRKRYHCRTQVDFDLCSECYNEGKFDEGMSKADFILMEYAEVPGSGGSNWTDQETLLLLEALQIFQGKEWDEIAEHVATKTKEQCMLYFLQMPIFNSFLDGEDFNETPQKITEQDSAETGTLGVPEEMDVGDNAKGKESTDEKTYKKANANSSETGTKLADQSVSAKENTMNLGDNDLVPSSIVDESNKSSLMDPANKKKSADADVSGEHASNFVTDVLRSTFEAVGHFLGKDDLGSFAEAGNPVMALAGFLASLVERDDAVTLCCSSLRAISAISPALQLATGHCFILPDPPNNLKDLTSNYSPCTCGEYQGGADGTQNVNDTDKDSSKREESALALEKEDASQEHLELSNTEESFVEGPKAEVKSNSTKDSDNQTAKVGTSVASDKMRDGCNTIPCSATSNNTNEPSSITSQEASAASTNATTNRERLEADKSSSKELPDDDSPSQGKVEPKEIEHAPMASSSIQQHESNQTGSGNTEEPNSNKNIAAAAADPVIRLQRAAVTAISAAAVKAKFLVEQEEGHIRRLAELVIEKQFQKMETKMSFLAEVENLVLRSRELTEKMRKKLMLERSAIIASRMSAAASRTNQHRAPETRLPVALVRQLRHP >PAN36924 pep chromosome:PHallii_v3.1:7:2241323:2251346:1 gene:PAHAL_7G012400 transcript:PAN36924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPSPPPAATPRRRGAATKRKERAASAALSASPPPKRQARERTPVDPPPLPPPPAPRSRPAASKSRRKSARKKAPRRSVNPPREQQPEEAQAPPPPPPQRPSLEQEIKAVLSRGPGVHVVPTFAGWFSWKEIHPIEKQMLATFFDGKSERRTPEIYLGIRNLIMRKFHFNPEVHLECKDLSELSIGEMDARLEILEFLAHWGLVNFHPCPPAVQDCKLVESKSSADTTEEISLIEKLFQFETVHSYLVPVSKKAEIISPVQFTSFLSQPKLAEDAITAAESSVEYHCNSCSVDCSRKRYHCRTQVDFDLCSECYNEGKFDEGMSKADFILMEYAEVPGSGGSNWTDQETLLLLEALQIFQGKEWDEIAEHVATKTKEQCMLYFLQMPIFNSFLDGEDFNETPQKITEQDSAETGTLGVPEEMDVGDNAKGKESTDEKTYKKANANSSETGTKLADQSVSAKENTMNLGDNDLVPSSIVDESNKSSLMDPANKKKSADADVSGEHASNFVTDVLRSTFEAVGHFLGKDDLGSFAEAGNPVMALAGFLASLVERDDAVTLCCSSLRAISAISPALQLATGHCFILPDPPNNLKDLTSNYSPCTCGEYQGGADGTQNVNDTDKDSSKREESALALEKEDASQEHLELSNTEESFVEGPKAEVKSNSTKDSDNQTAKVGTSVASDKMRDGCNTIPCSATSNNTNEPSSITSQEASAASTNATTNRERLEADKSSSKELPDDDSPSQGKVEPKEIEHAPMASSSIQQHESNQTGSGNTEEPNSNKNIAAAAADPVIRLQRAAVTAISAAAVKAKFLVEQEEGHIRRLAELVIEKQFQKMETKMSFLAEVENLVLRSRELTEKMRKKLMLERSAIIASRMSAAASRTNQHRAPETRLPVALVRQLRHP >PAN40338 pep chromosome:PHallii_v3.1:7:45171814:45178101:1 gene:PAHAL_7G307500 transcript:PAN40338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELYPGYLEDHFNLHKLSIGGAATPPEYMTSASAVQFAAAPVRMGVYERPAPAPVMGIWNCDPFKVDSGQATSGSTVMEADKFDTRLEDAPQVALEPARSTDQETSKPPERVLRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGAYANGNLGDPNLGFTGPMDPGVAVFEIDYSNWVEEQNRHTAELRSALQGQTTELELRMLVETGLNNYEHLFRIKALAANSDVFYVMSGMWKTPAERFFLWIGGFRPSEVLKILRPQLEPLTEPQRMAVGGLQHTSTQAEDALSQGMEKLQQNLAETLTAAADPFGPPDGYMLQMATAVEKLKELVGFVTQADHLRQTTLQQMHKILTTRQAARGLLALGDYFQRLRALSHLWATRREAAIS >PAN40340 pep chromosome:PHallii_v3.1:7:45174409:45178101:1 gene:PAHAL_7G307500 transcript:PAN40340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASAVQFAAAPVRMGVYERPAPAPVMGIWNCDPFKVDSGQATSGSTVMEADKFDTRLEDAPQVALEPARSTDQETSKPPERVLRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGAYANGNLGDPNLGFTGPMDPGVAVFEIDYSNWVEEQNRHTAELRSALQGQTTELELRMLVETGLNNYEHLFRIKALAANSDVFYVMSGMWKTPAERFFLWIGGFRPSEVLKILRPQLEPLTEPQRMAVGGLQHTSTQAEDALSQGMEKLQQNLAETLTAAADPFGPPDGYMLQMATAVEKLKELVGFVTQADHLRQTTLQQMHKILTTRQAARGLLALGDYFQRLRALSHLWATRREAAIS >PAN40339 pep chromosome:PHallii_v3.1:7:45170128:45178101:1 gene:PAHAL_7G307500 transcript:PAN40339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELYPGYLEDHFNLHKLSIGGAATPPEYMTSASAVQFAAAPVRMGVYERPAPAPVMGIWNCDPFKVDSGQATSGSTVMEADKFDTRLEDAPQVALEPARSTDQETSKPPERVLRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGAYANGNLGDPNLGFTGPMDPGVAVFEIDYSNWVEEQNRHTAELRSALQGQTTELELRMLVETGLNNYEHLFRIKALAANSDVFYVMSGMWKTPAERFFLWIGGFRPSEVLKILRPQLEPLTEPQRMAVGGLQHTSTQAEDALSQGMEKLQQNLAETLTAAADPFGPPDGYMLQMATAVEKLKELVGFVTQADHLRQTTLQQMHKILTTRQAARGLLALGDYFQRLRALSHLWATRREAAIS >PAN40341 pep chromosome:PHallii_v3.1:7:45169007:45178101:1 gene:PAHAL_7G307500 transcript:PAN40341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELYPGYLEDHFNLHKLSIGGAATPPEYMTSASAVQFAAAPVRMGVYERPAPAPVMGIWNCDPFKVDSGQATSGSTVMEADKFDTRLEDAPQVALEPARSTDQETSKPPERVLRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGAYANGNLGDPNLGFTGPMDPGVAVFEIDYSNWVEEQNRHTAELRSALQGQTTELELRMLVETGLNNYEHLFRIKALAANSDVFYVMSGMWKTPAERFFLWIGGFRPSEVLKILRPQLEPLTEPQRMAVGGLQHTSTQAEDALSQGMEKLQQNLAETLTAAADPFGPPDGYMLQMATAVEKLKELVGFVTQADHLRQTTLQQMHKILTTRQAARGLLALGDYFQRLRALSHLWATRREAAIS >PAN40337 pep chromosome:PHallii_v3.1:7:45170927:45178101:1 gene:PAHAL_7G307500 transcript:PAN40337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELYPGYLEDHFNLHKLSIGGAATPPEYMTSASAVQFAAAPVRMGVYERPAPAPVMGIWNCDPFKVDSGQATSGSTVMEADKFDTRLEDAPQVALEPARSTDQETSKPPERVLRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGAYANGNLGDPNLGFTGPMDPGVAVFEIDYSNWVEEQNRHTAELRSALQGQTTELELRMLVETGLNNYEHLFRIKALAANSDVFYVMSGMWKTPAERFFLWIGGFRPSEVLKILRPQLEPLTEPQRMAVGGLQHTSTQAEDALSQGMEKLQQNLAETLTAAADPFGPPDGYMLQMATAVEKLKELVGFVTQADHLRQTTLQQMHKILTTRQAARGLLALGDYFQRLRALSHLWATRREAAIS >PVH35380 pep chromosome:PHallii_v3.1:7:36249547:36250443:1 gene:PAHAL_7G166100 transcript:PVH35380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTSTFLTPSDKEDLRLFSEWLLHVGNGTEPFIQIQNEPSSTYIQIPQSLLLHPDYRNLDGLISFVYSSGCQPTDIPSYFCDRAILAPTNEVVTEINNKIISQLTTYEMSYYSSDSIDDTSANHSTLESLYPTEFLNTITINGLPEHVLKLKIGVPIMLLRNLDPSRGLCNGTRLIVTQLTTRVIEGEIITGKARGSKAYIPRIITTSNQSKWPFKLKRRQFPIRLSYAMTINKSQGQTLNTVGAYLPSPVFSHGQLYVAFSQVTSPKGLRIVIENSPPLFEDCTHNIVYGEVFSQI >PVH35520 pep chromosome:PHallii_v3.1:7:38664216:38666896:1 gene:PAHAL_7G207000 transcript:PVH35520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSVTGSPAMADQEQPDWLDLPSDLLELIGQRTRDAVTGVAAFRSVCRTWRAAIGPAPRLLLPRAGAGHALVFPLSRGWSIVVDARDVSCRLSHLAAGVTAALPRLNMQRTDRELALGNDLWFTDLFRFAVHAPPDAPAAAAGMTVMMYHMLLGRTGVLFCRPGDAAWTEVEKPTPIGLGFSDFAYHDGKMFGLDTNGEMAVYDAATLDVLHLVRKPPDTPILGNTMTSVKFFRPVAFSIFELGSTPDGLAWLKVPSTIAAYCYSMQDNRLECVYSSPEDSLECSTKPS >PAN38915 pep chromosome:PHallii_v3.1:7:38663801:38665873:1 gene:PAHAL_7G207000 transcript:PAN38915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSVTGSPAMADQEQPDWLDLPSDLLELIGQRTRDAVTGVAAFRSVCRTWRAAIGPAPRLLLPRAGAGHALVFPLSRGWSIVVDARDVSCRLSHLAAGVTAALPRLNMQRTDRELALGNDLWFTDLFRFAVHAPPDAPAAAAGMTVMMYHMLLGRTGVLFCRPGDAAWTEVEKPTPIGLGFSDFAYHDGKMFGLDTNGEMAVYDAATLDVLHLVRKPPDTPILGNTMTSVKFFRPVAFSIFELGSTPDGLAWLKVPSTIAAYCYSMQDNRLECVYSSPEDSLECSTKPSWFVP >PAN38913 pep chromosome:PHallii_v3.1:7:38664216:38665829:1 gene:PAHAL_7G207000 transcript:PAN38913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSVTGSPAMADQEQPDWLDLPSDLLELIGQRTRDAVTGVAAFRSVCRTWRAAIGPAPRLLLPRAGAGHALVFPLSRGWSIVVDARDVSCRLSHLAAGVTAALPRLNMQRTDRELALGNDLWFTDLFRFAVHAPPDAPAAAAGMTVMMYHMLLGRTGVLFCRPGDAAWTEVEKPTPIGLGFSDFAYHDGKMFGLDTNGEMAVYDAATLDVLHLVRKPPDTPILGNTMTSVKFFRPVAFSIFELGSTPDGLAWLKVTDAGNYELFMDGYHTTFRENGANSGTRIYYVHDEHGPLQVPSTIAAYCYSMQDNRLECVYSSPEDSLECSTKPSWFVP >PAN39737 pep chromosome:PHallii_v3.1:7:42579653:42582059:-1 gene:PAHAL_7G265200 transcript:PAN39737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFASSSSRFSKEEDEEEEQEEEEEEEASPREIPFMTAAAAATTGGAASSSSSPPAAATASASGSAALRSSDGAGASGSGGGGGSDDVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANEKGLLLSFEDRAGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAGEAARDRLFIDWKRRADSRDPHRMPRLPLPMAPVASPYGPWGGGAGGFFMPPAPPATLYEHHRFRQGLDFRNINAAAPARQLLFFGSAGMPPRASMPPPPPPTPHNIMMVQPSPVVTAGLPLPMVLDSVPLVNSTTAAAKRVRLFGVNLDNPQPSGGESSQDTNALSLRMPGWQRPGPLRFLESPQHGAAGATGGAESSAASSPSSSSSSKREAHSSLDLDL >PAN38111 pep chromosome:PHallii_v3.1:7:34981316:34983123:1 gene:PAHAL_7G148000 transcript:PAN38111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGGGVVDGAKPAVAMVAVEFVFSALQIFIKLALDDDMDVRVLVAYRLMFASAFLCPLAFLIERKKRPPLTMKVVLGLFLCGLFGIAINQNLLVLAMKLTNSTTIVTALSNLTPQSTFIVAILTRQESVKLGKASGRAKLAGTLVGLGGAMVVTFYRGPELAFMHRLARVAGLRHGGRGLDARGTTAAASPAARVVGSFLAITSCFSFAVWLSIQARVGEAFPCHYSIAALVCLSGAAQSALLALCFHRDTAHWRLGLDVRLYSSAYAGIVASGFAFPLMSWCLRVRGPLYVAMFGPLIIVFVAVLSSVFLDETLHLGIALGAVLIVAGLYMVLWGKAREAQEKAAGVLPQDEEQGEESTAPSDAANGETK >PAN38501 pep chromosome:PHallii_v3.1:7:36915633:36917786:1 gene:PAHAL_7G176800 transcript:PAN38501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMLSATTVPLQGAGLSEFSGLRSSASLPLRRSNAASDDFMNAVSFRTHAVGTSGGPRRAPTEAKLKVAINGFGRIGRNFLRCWHGRDDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDAEVRPEGDNAISVDGKVIKVVSDRNPSNLPWGELGVDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELSGVLEVCDAPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >PVH35343 pep chromosome:PHallii_v3.1:7:35636729:35638125:1 gene:PAHAL_7G157700 transcript:PVH35343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMWGKMENFTTNLLMRHRAPRLGAFRLHTGLTSVICRRDVDRWIRRAIEYCPVELEITVLLSVSYQPPKLFSCHLKRLVLSGVYLEHNFGEQLRSECRVLEDLVLWECREFSGLHSDTLKKLVVHSCSSRVADKLVIRAPSLASLRLELPFYTYKNGVLLDTEKFLIEASISLTSDQLSRRGEAILLASGQPIQCDELGVEKF >PAN38433 pep chromosome:PHallii_v3.1:7:36594017:36596590:1 gene:PAHAL_7G171600 transcript:PAN38433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSSAPSITLAFHAFVSPRHGGNKPAIATTSATQRRRGRTGLTMNSSGMNNAGIPPIKGSATRISAADPGSPGPAPSGGNIPIPGIPPWTQWLVGAIVFAVPLYRQFRTMEDEIEKTAEVAIEVIDKVAEETEKIADEAAVAFPGNETLRKAAAKIKAVADEIEEDADKAEALIEKVDEIKAEMDSIVDSIIDKGAKK >PAN39577 pep chromosome:PHallii_v3.1:7:41607510:41609192:-1 gene:PAHAL_7G252900 transcript:PAN39577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRGAFALVALCAVLALAVEGAVAKRPLVPAMFVFGDSLVDVGNNNRLDRCNVSCKANHRPYGVDYPCHSPSGRFSNGYNLADQLAQQLGFAASPPPFLYLSNASAIRRISTGINFASGGSGLLPTTGNKACVEVVPMSEQVGNFTSLVRRWSAGSGGRTAPDVISKSLVFISVGSNDLFEYADHYITEANISSPSRNDTAFLQELIASYTSYVKDLHAAGARKFSVLSPSLVGCCPFQRKVAKDYGDVDQLGCLGTANNLSRQLHPMVASMLQGLSQELPGMSYSLGDAIGMAGWVFTNTNFTADRACCGAGDFGASLCNSSVPLCPDRSGYFFWDRYHPTEAASAITAKALFGGAAGPGRFVSPVNVQQLVAPRP >PAN39924 pep chromosome:PHallii_v3.1:7:43413522:43415265:1 gene:PAHAL_7G278900 transcript:PAN39924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAARAVVAARPARPLLPSRRLPSFSSARLPRQRGGVGSVRCMARRPDSSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAQVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQSYQR >PAN38736 pep chromosome:PHallii_v3.1:7:37927858:37929413:-1 gene:PAHAL_7G193500 transcript:PAN38736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSATDGAANPALEPDADAPAGGGLALAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHLHAPTYELVCAHGTGHAEVVRLHYDPAACPYAALLDAFWAKIDPTTLNRQGKDVGTQYRTGIYYYTAEQERLARESLAEQRNKWEGEIVTEILPAKRFYPAEEYHQRYLEKGGQSAAKGCTDPMRCYG >PAN37424 pep chromosome:PHallii_v3.1:7:26867906:26869314:1 gene:PAHAL_7G091900 transcript:PAN37424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKEKDEGDGTTRERTILWDEDQTKFMLGWFIDYIKEQHAGFKIKKQHHFKCSEALNRQFNMGVTATQVERHFRHYKENWKFIKTALSKSGNTFDTSRSMVIISESEKANLQVRARRLLSKPIKFFNEMQELFLNSSADGSLAMDANTCMNETQGDEDNDYDDDVCNDLSSYARPEDNLGDDSDTLPSPISGQPSIASQVAEQSSSSSGVKRLRSEGKAPKRDVRPKSRMSRVGDAITTALVELQNEIRKPPPPPPSMRNSDDILWQRLENMTLTTDQKLMVGTFLASKEQKGMRSFLSGSSEVTFQSWVFKFLSDSGM >PVH35561 pep chromosome:PHallii_v3.1:7:39156839:39159635:1 gene:PAHAL_7G213900 transcript:PVH35561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNLFGRALSYVVNEFIVEGLANSRAFQRFAVKTNRTLESLSSKAKEVREELSEQIKDARGQNDHFKR >PAN39040 pep chromosome:PHallii_v3.1:7:39321964:39324796:-1 gene:PAHAL_7G216000 transcript:PAN39040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAASRCTRAAARRLSSAAAEASPAAAGAIRQPSLDEGDWSYHREWWGKEDGPGEGAQAVFRRHSECGNGVVSVSAYPASRPAIEHWPAVERWLQERNARLYPESAGVDQFKILGYQWRVMRFNDHTRQSTVKVMTCYRTSGQRSLFLMQQPHVLPVPYVKSMVSAGLTALSCSSYDLPEAVSGQNNMKILCIGHGGGSLPLFLGSKFRGASIHIVEIDPVVVSASVEAMGFPVSPAKGLSSESMLPADADDLLWGGIHDRIFLHIADAEDFIASDSNQYDLVFIDAYDGDDIFPRKLWDADGAFMENLERRVHPVHGTVVVNLHSDSELPDSDSGVQSHAQFQSVLPMGRHVSQVCGAYKQHFGMAFTAAVPWLCNITLVACRGESVTSGAGARLLGLSRRDSILGKLLSKSDVVERALGLPFPCLPYVKNGFALVE >PVH35728 pep chromosome:PHallii_v3.1:7:42004797:42011858:1 gene:PAHAL_7G256900 transcript:PVH35728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVIEKGRQAGMRVEMENLVHQGSFREKGVSTCFLLLVLLINKCRKIPARLTADRFQQGSKQHLHCTGNGSVRGPSRERARMRGTHPSRQAPAPLATFPALSITPFRSPLPPPVPRQAPGRQPRRHGRRPAPPRESRRKALQVLGHGTTMVGVGVESPAPHAARMLRPGRVSAKRSWPPGCGRPPPAPPPAPAPAAAAGDGENGVDGGAGVLSGRAGEAIAPAAVSPPSQNGSLLRQQGSGNKGEEAVGPAAVSPVAQNGALPRQQGMDKMEEAVGPAVASTVTQKDDMPPQPGSNKVEEAAAPAAVSPAVQNGDLPQQQGSDKVQEAAALAAAQNGAPHQQVRNKVEELVVPAAASPAACNGSRSHAMPQLGPQRAGEDGDKGENKEAQLLSDAGVLSLDGQEGNRVFEVAAPPVKVLESCGIVGAASSAQNGGDGAVCLAVKEQGDGGSAKVGREEVAADGDAMEMGNRTGGGDLERKENGVAGSRAKRWLTSAVNPPPKNRAVSAVRKFPPGCGRTAVTTKGSGVLEVSPVQTFPSGCRRSAVTITDSGDQEGLLLEATPVTSSDTMVSIPVLGGAAASTLAQEASNEKLEGKRMVDEGHNWAHNRVQVLDDFVGTEQDGDLQLNVVAKATLGNSSNEKMKGTHSPHEGKHVARVVVDDKMKNKLEGSFNRSTLRTPLSDPIDAKTKGKSLESDETTVALLCDAKACVAEKMQSKTLSTKKEVTCSNVKVKQNKVARKLKGDGIGKGNLHRSDRESKFGKHVGTNQIEENNGLNLVPDQLIVQALMAPDRCPWSRGRKSVARASKSLPRMNKLKGQYSTPRKLLTGKVASSEPINDETMEDNDNSNLEDDDNSKALVMYGEKREICVTVPPSVPDDSKALVVYGEKREICVTVPPSVPFGSHHRQLGDHDVDARSKVRKLLQLFQAICRKLMQVEEQGIRSVGRIDLEAMYALKKDPIYKKLGAIVGNVPGVEVGDEFHFRVELSIVGLHRPNQAGIDTSKVNGVLVAISIVASGGYPDELSSSDELIYTGSGGKAGGNKDADDQKLERGNLALKNCIETKTPVRVIHGFKGQTKSKLGPSRGKQTSTFIYDGLYEVVECWKEGPKGEMVFKYKLRRIAGQPELALHTVKATRKSKVREGLCLPDISQGSERIPICVINTIDDMRPAPFKYITKVIYPTWYEKELPAGCDCTNGCSDSIRCACVVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPSCHNRVSQHGIKIPLEIFKTGKTGWGVRSLSSISSGSFICEYTGELLEDGEAEKRQNDEYLFDIGSNYHDEELWEGLKSVVGVQSSTLSSKTMEGFTIDAAEWGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMFFAVENIPPLQELTYHYNYKVGEVHDKNGNEKVKNCYCGASDCFGRLY >PAN39636 pep chromosome:PHallii_v3.1:7:42004794:42011858:1 gene:PAHAL_7G256900 transcript:PAN39636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGVESPAPHAARMLRPGRVSAKRSWPPGCGRPPPAPPPAPAPAAAAGDGENGVDGGAGVLSGRAGEAIAPAAVSPPSQNGSLLRQQGSGNKGEEAVGPAAVSPVAQNGALPRQQGMDKMEEAVGPAVASTVTQKDDMPPQPGSNKVEEAAAPAAVSPAVQNGDLPQQQGSDKVQEAAALAAAQNGAPHQQVRNKVEELVVPAAASPAACNGSRSHAMPQLGPQRAGEDGDKGENKEAQLLSDAGVLSLDGQEGNRVFEVAAPPVKVLESCGIVGAASSAQNGGDGAVCLAVKEQGDGGSAKVGREEVAADGDAMEMGNRTGGGDLERKENGVAGSRAKRWLTSAVNPPPKNRAVSAVRKFPPGCGRTAVTTKGSGVLEVSPVQTFPSGCRRSAVTITDSGDQEGLLLEATPVTSSDTMVSIPVLGGAAASTLAQEASNEKLEGKRMVDEGHNWAHNRVQVLDDFVGTEQDGDLQLNVVAKATLGNSSNEKMKGTHSPHEGKHVARVVVDDKMKNKLEGSFNRSTLRTPLSDPIDAKTKGKSLESDETTVALLCDAKACVAEKMQSKTLSTKKEVTCSNVKVKQNKVARKLKGDGIGKGNLHRSDRESKFGKHVGTNQIEENNGLNLVPDQLIVQALMAPDRCPWSRGRKSVARASKSLPRMNKLKGQYSTPRKLLTGKVASSEPINDETMEDNDNSNLEDDDNSKALVMYGEKREICVTVPPSVPDDSKALVVYGEKREICVTVPPSVPFGSHHRQLGDHDVDARSKVRKLLQLFQAICRKLMQVEEQGIRSVGRIDLEAMYALKKDPIYKKLGAIVGNVPGVEVGDEFHFRVELSIVGLHRPNQAGIDTSKVNGVLVAISIVASGGYPDELSSSDELIYTGSGGKAGGNKDADDQKLERGNLALKNCIETKTPVRVIHGFKGQTKSKLGPSRGKQTSTFIYDGLYEVVECWKEGPKGEMVFKYKLRRIAGQPELALHTVKATRKSKVREGLCLPDISQGSERIPICVINTIDDMRPAPFKYITKVIYPTWYEKELPAGCDCTNGCSDSIRCACVVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPSCHNRVSQHGIKIPLEIFKTGKTGWGVRSLSSISSGSFICEYTGELLEDGEAEKRQNDEYLFDIGSNYHDEELWEGLKSVVGVQSSTLSSKTMEGFTIDAAEWGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMFFAVENIPPLQELTYHYNYKVGEVHDKNGNEKVKNCYCGASDCFGRLY >PVH35726 pep chromosome:PHallii_v3.1:7:42004797:42011858:1 gene:PAHAL_7G256900 transcript:PVH35726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVIEKGRQAGMRVEMENLVHQGSFREKGVSTCFLLLVLLINKCRKIPARLTADRFQQGSKQHLHCTGNGSVRGPSRERARMRGTHPSRQAPAPLATFPALSITPFRSPLPPPVPRQAPGRQPRRHGRRPAPPRESRRKALQVLGHGTTMVGVGVESPAPHAARMLRPGRVSAKRSWPPGCGRPPPAPPPAPAPAAAAGDGENGVDGGAGVLSGRAGEAIAPAAVSPPSQNGSLLRQQGSGNKGEEAVGPAAVSPVAQNGALPRQQGMDKMEEAVGPAVASTVTQKDDMPPQPGSNKVEEAAAPAAVSPAVQNGDLPQQQGSDKVQEAAALAAAQNGAPHQQVRNKVEELVVPAAASPAACNGSRSHAMPQLGPQRAGEDGDKGENKEAQLLSDAGVLSLDGQEGNRVFEVAAPPVKVLESCGIVGAASSAQNGGDGAVCLAVKEQGDGGSAKVGREEVAADGDAMEMGNRTGGGDLERKENGVAGSRAKRWLTSAVNPPPKNRAVSAVRKFPPGCGRTAVTTKGSGVLEVSPVQTFPSGCRRSAVTITDSGDQEGLLLEATPVTSSDTMVSIPVLGGAAASTLAQEASNEKLEGKRMVDEGHNWAHNRVQVLDDFVGTEQDGDLQLNVVAKATLGNSSNEKMKGTHSPHEGKHVARVVVDDKMKNKLEGSFNRSTLRTPLSDPIDAKTKGKSLESDETTVALLCDAKACVAEKMQSKTLSTKKEVTCSNVKVKQNKVARKLKGDGIGKGNLHRSDRESKFGKHVGTNQIEENNGLNLVPDQLIVQALMAPDRCPWSRGRKSVARASKSLPRMNKLKGQYSTPRKLLTGKVASSEPINDETMEDNDNSNLEDDDNSKALVMYGEKREICVTVPPSVPDDSKALVVYGEKREICVTVPPSVPFGSHHRQLGDHDVDARSKVRKLLQLFQAICRKLMQVEEQGIRSVGRIDLEAMYALKKDPIYKKLGAIVGNVPGVEVGDEFHFRVELSIVGLHRPNQAGIDTSKVNGVLVAISIVASGGYPDELSSSDELIYTGSGGKAGGNKDADDQKLERGNLALKNCIETKTPVRVIHGFKGQTKSKLGPSRGKQTSTFIYDGLYEVVECWKEGPKGEMVFKYKLRRIAGQPELALHTVKATRKSKVREGLCLPDISQGSERIPICVINTIDDMRPAPFKYITKVIYPTWYEKELPAGCDCTNGCSDSIRCACVVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPSCHNRVSQHGIKIPLEIFKTGKTGWGVRSLSSISSGSFICEYTGELLEDGEAEKRQNDEYLFDIGSNYHDEELWEGLKSVVGVQSSTLSSKTMEGFTIDAAEWGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMFFAVENIPPLQELTYHYNYKVGEVHDKNGNEKVKNCYCGASDCFGRLY >PVH35727 pep chromosome:PHallii_v3.1:7:42004799:42012137:1 gene:PAHAL_7G256900 transcript:PVH35727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVIEKGRQAGMRVEMENLVHQGSFREKGVSTCFLLLVLLINKCRKIPARLTADRFQQGSKQHLHCTGNGSVRGPSRERARMRGTHPSRQAPAPLATFPALSITPFRSPLPPPVPRQAPGRQPRRHGRRPAPPRESRRKALQVLGHGTTMVGVGVESPAPHAARMLRPGRVSAKRSWPPGCGRPPPAPPPAPAPAAAAGDGENGVDGGAGVLSGRAGEAIAPAAVSPPSQNGSLLRQQGSGNKGEEAVGPAAVSPVAQNGALPRQQGMDKMEEAVGPAVASTVTQKDDMPPQPGSNKVEEAAAPAAVSPAVQNGDLPQQQGSDKVQEAAALAAAQNGAPHQQVRNKVEELVVPAAASPAACNGSRSHAMPQLGPQRAGEDGDKGENKEAQLLSDAGVLSLDGQEGNRVFEVAAPPVKVLESCGIVGAASSAQNGGDGAVCLAVKEQGDGGSAKVGREEVAADGDAMEMGNRTGGGDLERKENGVAGSRAKRWLTSAVNPPPKNRAVSAVRKFPPGCGRTAVTTKGSGVLEVSPVQTFPSGCRRSAVTITDSGDQEGLLLEATPVTSSDTMVSIPVLGGAAASTLAQEASNEKLEGKRMVDEGHNWAHNRVQVLDDFVGTEQDGDLQLNVVAKATLGNSSNEKMKGTHSPHEGKHVARVVVDDKMKNKLEGSFNRSTLRTPLSDPIDAKTKGKSLESDETTVALLCDAKACVAEKMQSKTLSTKKEVTCSNVKVKQNKVARKLKGDGIGKGNLHRSDRESKFGKHVGTNQIEENNGLNLVPDQLIVQALMAPDRCPWSRGRKSVARASKSLPRMNKLKGQYSTPRKLLTGKVASSEPINDETMEDNDNSNLEDDDNSKALVMYGEKREICVTVPPSVPDDSKALVVYGEKREICVTVPPSVPFGSHHRQLGDHDVDARSKVRKLLQLFQAICRKLMQVEEQGIRSVGRIDLEAMYALKKDPIYKKLGAIVGNVPGVEVGDEFHFRVELSIVGLHRPNQAGIDTSKVNGVLVAISIVASGGYPDELSSSDELIYTGSGGKAGGNKDADDQKLERGNLALKNCIETKTPVRVIHGFKGQTKSKLGPSRGKQTSTFIYDGLYEVVECWKEGPKGEMVFKYKLRRIAGQPELALHTVKATRKSKVREGLCLPDISQGSERIPICVINTIDDMRPAPFKYITKVIYPTWYEKELPAGCDCTNGCSDSIRCACVVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPSCHNRVSQHGIKIPLEIFKTGKTGWGVRSLSSISSGSFICEYTGELLEDGEAEKRQNDEYLFDIGSNYHDEELWEGLKSVVGVQSSTLSSKTMEGFTIDAAEWGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMFFAVENIPPLQELTYHYNYKVGEVHDKNGNEKVKNCYCGASDCFGRLY >PAN40683 pep chromosome:PHallii_v3.1:7:46830773:46833069:-1 gene:PAHAL_7G333300 transcript:PAN40683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671300)] MEHLLCAYSGFFKHIDGQNSSPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKNGQQVPQEIVTKVGEIFEKILKETVKVRDEHANDMALIQAMAIVLDRNPHLKLEGLEYEVLQWCICRLEAWFATDTDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIHLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPNEKLSAIADLGVGIENKIALKFNTVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGRFAYEIEKLSDEESVNFVMFQLRKMLPEATEPVQYLVSRWGSDPNSLGSYSCDLVGKPSDLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFQVGKIAMREEMTEVMVPFQISRL >PAN40685 pep chromosome:PHallii_v3.1:7:46830567:46834786:-1 gene:PAHAL_7G333300 transcript:PAN40685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671300)] MLHLRLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKNGQQVPQEIVTKVGEIFEKILKETVKVRDEHANDMALIQAMAIVLDRNPHLKLEGLEYEVLQWCICRLEAWFATDTDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIHLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPNEKLSAIADLGVGIENKIALKFNTVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGRFAYEIEKLSDEESVNFVMFQLRKMLPEATEPVQYLVSRWGSDPNSLGSYSCDLVGKPSDLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFQVGKIAMREEMTEVMVPFQISRL >PAN40684 pep chromosome:PHallii_v3.1:7:46830773:46834239:-1 gene:PAHAL_7G333300 transcript:PAN40684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671300)] MDQPPNGFAAGGFFKHIDGQNSSPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKNGQQVPQEIVTKVGEIFEKILKETVKVRDEHANDMALIQAMAIVLDRNPHLKLEGLEYEVLQWCICRLEAWFATDTDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIHLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPNEKLSAIADLGVGIENKIALKFNTVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGRFAYEIEKLSDEESVNFVMFQLRKMLPEATEPVQYLVSRWGSDPNSLGSYSCDLVGKPSDLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFQVGKIAMREEMTEVMVPFQISRL >PAN40682 pep chromosome:PHallii_v3.1:7:46830567:46833110:-1 gene:PAHAL_7G333300 transcript:PAN40682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671300)] MLHLRLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKNGQQVPQEIVTKVGEIFEKILKETVKVRDEHANDMALIQAMAIVLDRNPHLKLEGLEYEVLQWCICRLEAWFATDTDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIHLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPNEKLSAIADLGVGIENKIALKFNTVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGRFAYEIEKLSDEESVNFVMFQLRKMLPEATEPVQYLVSRWGSDPNSLGSYSCDLVGKPSDLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFQVGKIAMREEMTEVMVPFQISRL >PVH36033 pep chromosome:PHallii_v3.1:7:47392990:47397172:1 gene:PAHAL_7G341700 transcript:PVH36033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNVMQASWMEVLVLLELLELFEIRCKESNPGRFALGKGTDCRIFIAWFLVGEGAYKWAKSKGMDLLECTSEANSWLVTENAKAQWVKYTSLLVNSKKLLELNTGSGSEHDSVQLEAPGTESENIADVKKMFTQSLMEDNQDCVMDTVGVICVDSYGIVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVACCATGAGEHLIRGFAARECCISSSLSQSGPASACTKVLRSVVQSSSKMSHDTGAGLLLVQADVQKRGDLSELEAAELVAAYSSPSFGVGYFGSNMNNPKISMLRASEGASGIVNHFATRIKIDAESSEY >PVH36031 pep chromosome:PHallii_v3.1:7:47392990:47397172:1 gene:PAHAL_7G341700 transcript:PVH36031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSTGSFGAVGAIRGVKNPIQVALHLAKEQIAGSSLLGRIPPMFLVGEGAYKWAKSKGMDLLECTSEANSWLVTENAKAQWVKYTSLLVNSKKLLELNTGSGSEHDSVQLEAPGTESENIADVKKMFTQSLMEDNQDCVMDTVGVICVDSYGIVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVACCATGAGEHLIRGFAARECCISSSLSQSGPASACTKVLRSVVQSSSKMSHDTGAGLLLVQADVQKRGDLSELEAAELVAAYSSPSFGVGYFGSNMNNPKISMLRASEGASGIVNHFATRIKIDAESSEY >PVH36034 pep chromosome:PHallii_v3.1:7:47392990:47397172:1 gene:PAHAL_7G341700 transcript:PVH36034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNVMQASWMEVLVLLELLELFEIRCKESNPGRFALGKGTDCRIFIAWFLVGEGAYKWAKSKGMDLLECTSEANSWLVTENAKAQWVKYTSLLVNSKKLLELNTGSGSEHDSVQLEAPGTESENIADVKKMFTQSLMEDNQDCVMDTVGVICVDSYGIVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVACCATGAGEHLIRGFAARECCISSSLSQSGPASACTKVLRSVVQSSSKMSHDTGAGLLLVQADVQKRGDLSELEAAELVAAYSSPSFGVGYFGSNMNNPKISMLRASEGASGIVNHFATRIKIDAESSEY >PVH36030 pep chromosome:PHallii_v3.1:7:47392990:47397172:1 gene:PAHAL_7G341700 transcript:PVH36030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGGASEMAVGVGEENPSASTIPSRRFFVALHVGAGFHAPANEKAYRRAMKRACLAAAAVLREGSGTSLDAVAAAIHVLEDDPITNAGRGSNLTESGHVECDASIMDGSTGSFGAVGAIRGVKNPIQVALHLAKEQIAGSSLLGRIPPMFLVGEGAYKWAKSKGMDLLECTSEANSWLVTENAKAQWVKYTSLLVNSKKLLELNTGSGSEHDSVQLEAPGTESENIADVKKMFTQSLMEDNQDCVMDTVGVICVDSYGIVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGHNLVLLLLVLKFSVLWFKAAVRCLMIQALGCC >PVH36032 pep chromosome:PHallii_v3.1:7:47394407:47396964:1 gene:PAHAL_7G341700 transcript:PVH36032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVGEGAYKWAKSKGMDLLECTSEANSWLVTENAKAQWVKYTSLLVNSKKLLELNTGSGSEHDSVQLEAPGTESENIADVKKMFTQSLMEDNQDCVMDTVGVICVDSYGIVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVACCATGAGEHLIRGFAARECCISSSLSQSGPASACTKVLRSVVQSSSKMSHDTGAGLLLVQADVQKRGDLSELEAAELVAAYSSPSFGVGYFGSNMNNPKISMLRASEGASGIVNHFATRIKIDAESSEY >PAN40801 pep chromosome:PHallii_v3.1:7:47392990:47397172:1 gene:PAHAL_7G341700 transcript:PAN40801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGGASEMAVGVGEENPSASTIPSRRFFVALHVGAGFHAPANEKAYRRAMKRACLAAAAVLREGSGTSLDAVAAAIHVLEDDPITNAGRGSNLTESGHVECDASIMDGSTGSFGAVGAIRGVKNPIQVALHLAKEQIAGSSLLGRIPPMFLVGEGAYKWAKSKGMDLLECTSEANSWLVTENAKAQWVKYTSLLVNSKKLLELNTGSGSEHDSVQLEAPGTESENIADVKKMFTQSLMEDNQDCVMDTVGVICVDSYGIVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVACCATGAGEHLIRGFAARECCISSSLSQSGPASACTKVLRSVVQSSSKMSHDTGAGLLLVQADVQKRGDLSELEAAELVAAYSSPSFGVGYFGSNMNNPKISMLRASEGASGIVNHFATRIKIDAESSEY >PAN38617 pep chromosome:PHallii_v3.1:7:37351307:37352534:-1 gene:PAHAL_7G185000 transcript:PAN38617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIKVSMASEKSRSRAMELVARADGVSSMGVTGSGRDQLEVVGNDVDTVCLVKCLRRKLGHAEILKVEEVKDKKPEEKKPEEPKIVDPPPYYYYPGYYYHHHLPAPWC >PVH35445 pep chromosome:PHallii_v3.1:7:37534537:37537014:1 gene:PAHAL_7G187400 transcript:PVH35445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPYVFRAQAPPVRVEDHKAAKDAAAPVPQAREQWPAGASRSARPHGAGAGWLGGLGSGEGLASAYDLVETMQYLYVRVVKARGLPESAVTGGCSPYVEARVGNYHGATRHLEGKSSPEWNQVFAFSRDRVQATALEVLVRDKDALARDDCVGRVAFDIAEAPVRVPPDSPLAPQWYRLEGTGGKMAANGEVMLAVWVGTQADEAFADAWHADAASVHGGGGGGDGAAAVQSMRSKVYVTPKLWYLRISVLEAQDVVPPGTGGIADKGRHADVFAKVQVGGMVLRTRPCATRSPTSLAWNEELVFAVAEPFDEPAVLIIEARAHPGKDEIIGRAVLPLTLFEKRLDRRQVQAQWFSLEPFGRPARPPEAVFAGRVQLRACLEGAYHVMEEPTMYASDTRPTARQLWRPPIAVLEVGVLGAQGLTPMKTLDGRGMTDAYCVAKYGQKWVRTRTVVDSCSPRWNEQYTWEVYDPCTVLTLAVFDNCQLGNAAAGSGAAVRDQRIGKVRIRLSTLEMDRVYTTAHPLVVLHPSGLRKNGELRLAVRLTCLSLGSVVYLYGQPFLPKMHYVHPFTVLQLDNLRRQAMGIVASRLSRAEPPLRREVVEYMLDADSHAWSIRQSKANFFRVTALLSGAASTARWLADVCRWKNPAATILVHVLFVTLTCFPDLILPTMFLYMSAAGLWNYRRRPRRPPHMDARLSCAEAVHPDELDEELDTFPTSRPNAVVRLRYDRLRSVAGRIQTVVGDVATQGERVRSLLAWRDPRATALFTAFCLVAAVVLYVTPIRVVALVVGLYVLRHPRFRSRMPSAAGNFFKRLPSRADTML >PAN36875 pep chromosome:PHallii_v3.1:7:1476110:1479315:1 gene:PAHAL_7G007500 transcript:PAN36875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEVEGPPSEAPAKRGLLRYNSPLAQVSLLGIICFCCPGMFNALSGLGGGGQVDNTTADNANTALYAFFAVFGVLGGAAHNLLGPRVTLLLGALTYPLYAGSFLYYNHHASQAFPVAAGALLGAGAGFLWAAQGAIMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSFNYNSGGKPASVNDGTYIAFMAFMLLGAALTVLVLPPVRIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNGALFTLRTKGLNNVFYWGAQMLGSAGIGYFLDFGFASRRKRGLFGVLVVALIGTAIWGGGLANQLKYKHGELANRIDFKDGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQILSRYVGFYKGVQSAGAAVAWQVDRRKASLLSQLFVNWGLMTISYPLLALLVFLAVKDEDNSVSSVEDGKEKDSKLSAPTSFH >PAN38591 pep chromosome:PHallii_v3.1:7:37267597:37271382:-1 gene:PAHAL_7G183200 transcript:PAN38591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDDERSYQSHIFQELPSNGSPKLDYESERQSKHSFLADKMVEQTNQSEHSFVKGEHQNGGKTGQTCIEDYSYDKDVVEIKLPDTVLSSDYGGHFVKDVCIDEGVFPDKKTSTEKVVDQKVSINFDSSEDTNDDLGEEMRADSTKTALELKSQIVILPVMCATDGNTGEQNSLCKERNLEDNNTATNSTDSNDEKPNPKQSLHEGAQGCHQVGGVTSKSNENLEPFFNGEVAHQVSSNGCHETGIGIASETSNINHSDLTVESAAADFSVAIPEEVVVSAAMDKGGSNQVNHYNPFIAYGSLDETWEPNYSLPTIVDAASIAPICPVEKTDSFSDLVNRTLKGFDPIEIDEAIIEENRSDSVEAGSSTLDVQASEQCNDQRGSLTDVKTDAAHETGIATSLSTSNGEPSDGKSESCKKIEIDSAQDISDFNPRDVEVGTKRSEDVTDDKNSPLVQREPVVQQNGPDSAKVTAQTVIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPVLQNEWNSSPVKMAKADRRRLREDRGWGYRILCCKF >PVH35769 pep chromosome:PHallii_v3.1:7:43012201:43013364:-1 gene:PAHAL_7G272900 transcript:PVH35769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLATPYFIFFLSIDRISRQGDCQRVLPRGQAARAPASRHDLPQPRPRGGLHREGPRRAGAAHGRELLPAVPGAGAHLRPTQAHGPQRPHRPPPGPQRRRPPGPQGRQPVDRRQPPAKRFRHQPRRPAAGSEQRRVQERVAPRGGERGARAHVGGIFPVPVQQRGDQPGGGARPRRGRARVPELHLRRVLQQVLEQEPGPGALPGALQMRPAAAPVTIWHYTAGGFALLVN >PVH34891 pep chromosome:PHallii_v3.1:7:17248614:17256667:1 gene:PAHAL_7G057000 transcript:PVH34891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANVKNAVTPTTPPKVENGSPSEITLDEFEDLSALSKNDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDEFLKRCFYHSGQYDSEEHFIDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAASLFSYLMFVYLGHACPKVLERDTGCL >PVH34892 pep chromosome:PHallii_v3.1:7:17246227:17256667:1 gene:PAHAL_7G057000 transcript:PVH34892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANVKNAVTPTTPPKVENGSPSEITLDEFEDLSALSKNDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDEFLKRCFYHSGQYDSEEHFIDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >PAN37029 pep chromosome:PHallii_v3.1:7:17246227:17256667:1 gene:PAHAL_7G057000 transcript:PAN37029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCMRCPAGAAVRRAAAPPPSPTAAVSFARCGFGRSAAGGGCWRIQAIAPQGVKAPMDANVKNAVTPTTPPKVENGSPSEITLDEFEDLSALSKNDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDEFLKRCFYHSGQYDSEEHFIDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >PAN37028 pep chromosome:PHallii_v3.1:7:17246518:17255992:1 gene:PAHAL_7G057000 transcript:PAN37028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCMRCPAGAAVRRAAAPPPSPTAAVSFARCGFGRSAAGGGCWRIQAIAPQGVKAPMDANVKNAVTPTTPPKVENGSPSEITLDEFEDLSALSKNDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDEFLKRCFYHSGQYDSEEHFIDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAASLFSYLMFVYLGHACPKVLERDTGCL >PVH35966 pep chromosome:PHallii_v3.1:7:46303079:46307367:1 gene:PAHAL_7G323600 transcript:PVH35966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGAAAVTTSASEVSVSSHLFTVRGYGNTKGIGVGVSIESPAFDAAGHRWSVVFYPDGESQDASGQISVFVRLVSEASDDVTVLYGFSLVDPTGAAPAEEASKAPKVSTFSCGEARGVGCFMEQETFEASPYLQDNCFTIKCVIGAVKGPVGRPRGGARRRPLAGPAWTAVPSPPLSPPRCRPLVFSSLPIQTRPFSSPGQTLTPPAAASSSTRPPAAASHRRRDRDAGGRTR >PVH35377 pep chromosome:PHallii_v3.1:7:36209115:36212153:-1 gene:PAHAL_7G165700 transcript:PVH35377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLHNTFLLVRIRLPPHHPLRLLHYSFSPNAHLPPQHPSTVPAPAPPQATELWIAKALASAALLRPHRLPAFRVLDPSPFAAAAAVRLAPCAAAALCIFSCLHSPPLSLPPSEHSYRHVISLLCRSGRHSDALKLFDQMMGQSGYFPDAGFFSFVAGSCTNAGHLDAAATLLAKGSQFGCHIEPYAYNKVMNSFIAHGRTQDAVALFENWIQEGLYSPDVWSFNVVIKGVCRVGNVQKALQLVERMDEFGCSPDTITHNILVDGLCRVKEVNKGREVLRRLQRDGVCMPNVVTYTSVISGYCKTGRMEDALAVYNDMLEYGTRPNTITYNVLINGYGKTGDIESALGMYQQLMLHGCPPDVVTFSSLIDGYCRCGQLDGALRVWKDMVQYHIQPNLYTFSIMIHSLCKQSRSEEALSLLRELNMREDIAPRTFIYNPVIDILCKGGKVDEANLILLDMEDKGCHPDKYTYTILIIGHCMKGRISEAITLFHKMVETGCYPDAITVNSFISCLLKAGMPNEVDRIMLIASGHASSSQKVCSLQSQRLDISIAV >PAN38339 pep chromosome:PHallii_v3.1:7:36209479:36212153:-1 gene:PAHAL_7G165700 transcript:PAN38339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLHNTFLLVRIRLPPHHPLRLLHYSFSPNAHLPPQHPSTVPAPAPPQATELWIAKALASAALLRPHRLPAFRVLDPSPFAAAAAVRLAPCAAAALCIFSCLHSPPLSLPPSEHSYRHVISLLCRSGRHSDALKLFDQMMGQSGYFPDAGFFSFVAGSCTNAGHLDAAATLLAKGSQFGCHIEPYAYNKVMNSFIAHGRTQDAVALFENWIQEGLYSPDVWSFNVVIKGVCRVGNVQKALQLVERMDEFGCSPDTITHNILVDGLCRVKEVNKGREVLRRLQRDGVCMPNVVTYTSVISGYCKTGRMEDALAVYNDMLEYGTRPNTITYNVLINGYGKTGDIESALGMYQQLMLHGCPPDVVTFSSLIDGYCRCGQLDGALRVWKDMVQYHIQPNLYTFSIMIHSLCKQSRSEEALSLLRELNMREDIAPRTFIYNPVIDILCKGGKVDEANLILLDMEDKGCHPDKYTYTILIIGHCMKGHASSSQKVCSLQSQRLDISIAV >PVH35382 pep chromosome:PHallii_v3.1:7:36264725:36268577:1 gene:PAHAL_7G166300 transcript:PVH35382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFWGVEIKPGKPFTLTNNDCGGRLRLTQATLGDEVVKGEKGAGRKKCVLQCSVESKDPVYLCALVPEQCETCHLELEFDEEVVTFSVIGQRSVHLVGYYIADAYEEDMRDSDAESDSLQGSDEDGFLEDDDDDNNMVMGYSDSEDDSDYDSESDDEEMEYNQRRGKSSVVIEEIQEDDKPSAGEAQKGSNKKQSSENGDKSQLQLVVRAPATESLESEDEDGFPVSFSESKKSSESASKKKGGKDKEASNEDRKRKSGAISDLGDSSGDVKAENDGASKKKKKTKDKSTAMDNGKVNDDVKEIKQQGSHADPFSAKQKKKNKNTSVSEAGVVEQSAKKNNIQKDGEEVTSQEANKKNKKKKTQDANTSENQSPTAIAESKNKKEPLQTRTFANGMIIQEMVLGKPDGKKATNGKKVAVRYIGKLKNGTIFDSNVSGRPFEFRLGVGQVIKGWDVGVNGMRVGDKRRLTIPPSMGYGSDRVGKIPQNSTLIFDVELVNVK >PAN38875 pep chromosome:PHallii_v3.1:7:38503549:38504138:-1 gene:PAHAL_7G203800 transcript:PAN38875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRGILTFLLLAVASTVLFTAVEGARRLQPEGQYAADHHPALHERARSLIMAWVAQLTQGPSPRGPGH >PVH35338 pep chromosome:PHallii_v3.1:7:35536466:35537381:-1 gene:PAHAL_7G156300 transcript:PVH35338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRLRAVQSQFQQVIVIATSMLTLRQVLMAENPKVTPAELENSISELFEALLKILDGSPNAGTDEIVEAMIGASASVSSPSEEKIQARKQMIARVFLKTLRPGDAVLKMVSRAVHCAFRGVVLGGSGPRGQKLADAALRRVGAAKLVGRVVKAAEVVIRVATVSVKVHGPWDAALMRM >PVH35683 pep chromosome:PHallii_v3.1:7:41219182:41220656:-1 gene:PAHAL_7G245400 transcript:PVH35683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPVFHSSRAPLASGSSAAREGSSRRHSGGVAAPSYSNPVLVGDQAPRSCLASASPSDKFRVMDYLILICFL >PAN39357 pep chromosome:PHallii_v3.1:7:40723227:40724705:1 gene:PAHAL_7G237300 transcript:PAN39357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox-leucine zipper protein HOX22 [Source: Projected from Oryza sativa (Os04g0541700)] MDRPDRHQFFMPVQQPQLPPPPHHHHQQLCAPMMDEQASFLAGRGGGGGAAGRGERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEQDYAALRDKFDALHARVEFLKQEKHALTAQLQELSERLRKREDHRAASGGVAATASSSCNGGGEEAEDDKRNVVLRCVDMEPPESCVLGGACATPADVSVESECDDHRLGYDDGFPESFCATPELWEPWPLVEWNAVA >PAN39380 pep chromosome:PHallii_v3.1:7:40797953:40798956:1 gene:PAHAL_7G238500 transcript:PAN39380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPSPPAPASGRRVPPPCWTPDETLAVARAYTARRLTVGREHLTSADWAAVAAAAPSKTARQCRHKVEKLRRRLRSSRRRPCPLLDAIDLLDGPSPPFFSKSQSRSPSLSPSPPPAISPPSPPSPPASPPRKRRRDDAGDEDGLSDVVGALRAIGEGFLRAEERRMEAARETQRMRMEMALRHLDAQRRLMDALVGRIVDALE >PAN39774 pep chromosome:PHallii_v3.1:7:42705132:42711213:-1 gene:PAHAL_7G267600 transcript:PAN39774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MARRHGWQLPAHTLQVVAITVYFLLCIAFYAFFSPFLGKDVYQYVAVGVYSFLALSVLILYVRCTAIDPADPGILISMDGTLIYKSEDAQDEGGKSGSRNSEDIPKHKSCLCRVCFCCAIFTIEDCRKEDEVNQQEDYGEEALFCTLCNAEVRKHSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLCLMAVSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSDPPGPSVHDDQQSLASSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLEPGRVPSTVDPDTTDPAERAKNHPKRPVRISAWKLAKLDSNEAMKAAAKARASSSVLKPINTRNQYEADSDNLSSRSSVISADTGHHRYPPSGGNSQYKPSYPPSRASADDIELYPRTPSSFQSNSRTPTPLAEHHPSKHFNPIYQTSANRSPFSVRASVNEAPVTETSNARRSYPPPQAERSLRSSVYWDQEAGRFVSAQANQGSSSRSGRPDLLYTGQSIFFGGPLITDPAARSFRDPGGSSQRSAGPRPHQLPVFVPSDPQKDQLSKLP >PAN39776 pep chromosome:PHallii_v3.1:7:42705498:42710947:-1 gene:PAHAL_7G267600 transcript:PAN39776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MARRHGWQLPAHTLQVVAITVYFLLCIAFYAFFSPFLGKDVYQYVAVGVYSFLALSVLILYVRCTAIDPADPGILISMDGTLIYKSEAHTDAQDEGGKSGSRNSEDIPKHKSCLCRVCFCCAIFTIEDCRKEDEVNQQEDYGEEALFCTLCNAEVRKHSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLCLMAVSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSDPPGPSVHDDQQSLASSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLEPGRVPSTVDPDTTDPAERAKNHPKRPVRISAWKLAKLDSNEAMKAAAKARASSSVLKPINTRNQYEADSDNLSSRSSVISADTGHHRYPPSGGNSQYKPSYPPSRASADDIELYPRTPSSFQSNSRTPTPLAEHHPSKHFNPIYQTSANRSPFSVRASVNEAPVTETSNARRSYPPPQAERSLRSSVYWDQEAGRFVSAQANQGSSSRSGRPDLLYTGQSIFFGGPLITDPAARSFRDPGGSSQRSAGPRPHQLPVFVPSDPQKDQLSKLP >PAN39775 pep chromosome:PHallii_v3.1:7:42705132:42709467:-1 gene:PAHAL_7G267600 transcript:PAN39775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MDGTLIYKSEAHTDAQDEGGKSGSRNSEDIPKHKSCLCRVCFCCAIFTIEDCRKEDEVNQQEDYGEEALFCTLCNAEVRKHSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLCLMAVSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSDPPGPSVHDDQQSLASSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLEPGRVPSTVDPDTTDPAERAKNHPKRPVRISAWKLAKLDSNEAMKAAAKARASSSVLKPINTRNQYEADSDNLSSRSSVISADTGHHRYPPSGGNSQYKPSYPPSRASADDIELYPRTPSSFQSNSRTPTPLAEHHPSKHFNPIYQTSANRSPFSVRASVNEAPVTETSNARRSYPPPQAERSLRSSVYWDQEAGRFVSAQANQGSSSRSGRPDLLYTGQSIFFGGPLITDPAARSFRDPGGSSQRSAGPRPHQLPVFVPSDPQKDQLSKLP >PAN39007 pep chromosome:PHallii_v3.1:7:39145674:39147488:-1 gene:PAHAL_7G213600 transcript:PAN39007 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MGTVTAAISVSAAAAAVSRSRPAGRRRHRGPGVFSCSASTAGERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRAKMGHRVLDLCCGSGDLAFLLSQKVGLDGEVMAVDFSRQQLQTAADRQEQRWKLCYENIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKSRAMQEIFRVLKPGSRASILDFNKSSSLFTASLQSWAIDNVVVPLASGYGLTEEYKYLKSSISQYATGEELEKLAREAGFTVAKHYELGGGIMGNLVATR >PAN39008 pep chromosome:PHallii_v3.1:7:39145092:39147580:-1 gene:PAHAL_7G213600 transcript:PAN39008 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MGTVTAAISVSAAAAAVSRSRPAGRRRHRGPGVFSCSASTAGERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRAKMGHRVLDLCCGSGDLAFLLSQKVGLDGEVMAVDFSRQQLQTAADRQEQRWKLCYENIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKSRAMQEIFRVLKPGSRASILDFNKSSSLFTASLQSWAIDNVVVPLASGYGLTEEYKYLKSSISQRRVGEISQRSWFHCSQALRAWWRDHGEPSSNSMSRISPRNLSKCDSPGCLADYMFPCLSKCHVVPISS >PAN39311 pep chromosome:PHallii_v3.1:7:37902430:37903975:-1 gene:PAHAL_7G193200 transcript:PAN39311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGGAASPALAPDADAPAGEGLALAQFAAGCFWSVELVYQRLPGVARTEVGYSQGHRHAPTYRDVCGGGTGHAEVVRVHYDPEACPYAALLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTAEQEKLARESLAEKQQEWKGAIVTEILPARRFYPAEDYHQQYLEKGGQSARKGCSDPIRCYG >PAN39219 pep chromosome:PHallii_v3.1:7:40171793:40175937:-1 gene:PAHAL_7G228700 transcript:PAN39219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSSASIQEEATSAVFDGTVGTHSNLRCFLDSVTPIVKAYRVPKAPYYLPQPNEHNGNIGDGVKCFYYLGDLWNSFYKWSACGVGTSVCIAPGGTVEQYFVPYLSAMELYANETNVPASNSMVYDGRFNGYNQHGATEWTTHGYQTPSNMRKVGVLESKGELLFKYFEPDSPYERIPFVDKVYELYTERPFITSLNSLELSPSSWMSVYWYPTSHVPAKNQKDLNTCFLTYHNLSTSEGNVSLDRVHDSNHVALAPFGLATFKLDAKVWANPDSGDQKHIVSLYDAARSWLKKHSIHHYDFNYFSYRCSST >PAN40167 pep chromosome:PHallii_v3.1:7:44557799:44560431:1 gene:PAHAL_7G296600 transcript:PAN40167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITNVSDYEELAKQKLSKMVYDFYASGAEDQRTLKENREAFSRILFLPRVLIDVSRINMSVSVLGYNISMPIMVAPTALHKLAHPEGEVASARAAAAAGTIMTLSSWSSCSIEEVSSSGPGLRFFQLSVFKDRNFVQQLVRRAENAGYKAIAVTVDAPRLGHREADVRNRFSLPENVGLKCFEGLDLSNMDKNVSGLAAYVASQIDSSLSWKDIKWLQMITRLPILVKGVITAEDARIAIECGVAGIIMSNHGGRQLDYLPSTISCLEEVVREAKGSVPVFLDGGIRRGTDVFKALALGASGVFIGRPVLFALAVDGEAGVRKALQMLRDELEIAMALSGCTSLKEITRGHVSTEGDRIGRSRL >PAN40308 pep chromosome:PHallii_v3.1:7:45066563:45067155:1 gene:PAHAL_7G304900 transcript:PAN40308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYMSRVGARAAQAVRESTGRSVKDKAQSASSSASMARSGRAAGASVDSGRVSAAAAARRKAEEEKRRRAEQALRTVMFLSVWGPNT >PAN38548 pep chromosome:PHallii_v3.1:7:37074916:37078467:1 gene:PAHAL_7G179600 transcript:PAN38548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAESTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPAEEIREAPKQDSSEGQ >PVH35799 pep chromosome:PHallii_v3.1:7:43748185:43751566:1 gene:PAHAL_7G283500 transcript:PVH35799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNGPATSPVVTYPFYPAGAFPQQAGDDQAQGPGIYAIQQNQLAAAMGMGCYAPTTLVPLTYKIPTESVGAPAGEENVQNAGQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYLYQTGAITPFVRWLQRAGGVAARPPQAPARVENRAPLPAQNDGNGQPNDPANPDQAAENQEPGAAAGNENPQAAEGEGNQRNWLGGILKEVQLVVVGFVASLLPGFQHND >PVH35016 pep chromosome:PHallii_v3.1:7:25616606:25618091:-1 gene:PAHAL_7G086600 transcript:PVH35016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIKLCLLAVSCALVLATACHGLQVGYYRKTCPRAEALVRAEVKKAVRANPGVGAGLIRMLFHDCFVEGCDASVLLDPTQANPQPEKLGAPNNPSLRGYEVIDAAKAAVEKACPDTVSCADIIAFAGRDASYLLSHAKVSFHMPAGRLDGRKSLASETLTFLPGPSSNLSSLVSAFAAKGLSVEDVVVLSGAHSIGRSHCSSFVQARLSSPSDIATSLATMLRKQCPANPTTGNDPTVSQDVVSPHALDNQYYKNLLARKVLFTSDATLLSAPNTARMVRANARFAGSWEKKFAKAMVKMGAIGVKTGRDGEIRRSCRLVN >PAN40192 pep chromosome:PHallii_v3.1:7:44699486:44700463:1 gene:PAHAL_7G298300 transcript:PAN40192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHQRVTACDARRQSKTSSRCVAESATVTHDFEVAGYSQLKALGVGQYVSSGTFSAGGHNWAIRVYPKQYGNVIAHYQPPLFYAGASLQLRDAGVGTEVTASFTLSLLQKDGRVSPMAKRTMTAAFGAPPRDSYGFHKLLASATMLQKWRCLHNDALTIRCVLTVVRTRDPVPPPELAGHLGSLLATGMGADVTFDVGGRAFPAHRVLLAARSPVFRAELFGHMMEKDARRIRIAGVRPEIFELLLHFIYTDSLPGDGEGCDTATLQHLLVAADLYGIDRLKHICEGKLHASVDEKTVASMLALAERHNCPRLRDACLNPNVHC >PAN38517 pep chromosome:PHallii_v3.1:7:36952290:36956839:1 gene:PAHAL_7G177600 transcript:PAN38517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLNPTSRRLATAACRRISTPAAVDALVSHRNFQERCSSSLVDVSGRFSEMFDATQRYYVVGGKGGVGRTSMAAALAVKFANHGEPTLIVSTNPIRSLGDSFEQDVSDSKVVRIDGFDSLFATEIGHVKLEGGRPGVGSFINNLMGSMGLGTLADLSMLDDILSRFPPGLEEAYALSELIKSIELQGTDKFRRIVLDAPSTGHTLKLLSANNWIEKFLGIAVKGVNAASSMPIFKSSLEKWQEISSGLEKLRQQIAGVHELLVDPRSTEFIIVTIPTMMAVSESSRFHSSLKKYGAHARRLVINQVLPPSASDCRFCASKRREQARAFSAIREDRELSGLKLIQAPLLDVEVKGVPALRFLSDSVWK >PAN39103 pep chromosome:PHallii_v3.1:7:36952745:36956840:1 gene:PAHAL_7G177600 transcript:PAN39103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERCSSSLVDVSGRFSEMFDATQRYYVVGGKGGVGRTSMAAALAVKFANHGEPTLIVSTNPIRSLGDSFEQDVSDSKVVRIDGFDSLFATEIGHVKLEGGRPGVGSFINNLMGSMGLGTLADLSMLDDILSRFPPGLEEAYALSELIKSIELQGTDKFRRIVLDAPSTGHTLKLLSANNWIEKFLGIAVKGVNAASSMPIFKSSLEKWQEISSGLEKLRQQIAGVHELLVDPRSTEFIIVTIPTMMAVSESSRFHSSLKKYGAHARRLVINQVLPPSASDCRFCASKRREQARAFSAIREDRELSGLKLIQAPLLDVEVKGVPALRFLSDSVWK >PAN37520 pep chromosome:PHallii_v3.1:7:30609028:30616968:-1 gene:PAHAL_7G109300 transcript:PAN37520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAAHHSGAPPAAAMEEAGRKVEREEQQRGTRGSGRVAAVVAAGGGGGGEIEIEMEAAAAGGAAGASSSGQRHDDAEESGLRQRPTWKRFLAHAGPGFLISLAYLDPSNVQTDLQAGSSHKYELLWVLFFGFIFVLIIQSLAAKLGIITGRHLAELCMREYPKHVKYGLWLLMEAGVIAATVPGVLGTALAYNKLLHIPFWGGVLICGASTLLILTLQCYGARKMELIGVIFISIMAACFFVDLSNVNPPMGEVIQGLFIPRLRGAYATSDAIAVFSSLIVPHNLFLHSSLVLSRKLPTSPKGVKDTSTFFLIENAFALFLVLLVNVAIVSITGTICADNQLVDDIISTCSGLTLNSTSVLLKNVFGKSSSKIYGLALLASGQSCVVATSYSGQYIMQGFSGMRKCIIYIIAPCFTIIPSLIICSIGGAPHVRQLINISAIILAFVLPFALVPLLKFSSYCAMIGPYKNTTGIVQVAWILSTVIMGINIYFFCTSFISWLVHSELPRILNAIISTLVFPFMAAYIAALIYLVFKKVSVSVPLPSTSVSSETEVEEVRRLDDIAAR >PAN37522 pep chromosome:PHallii_v3.1:7:30607960:30613353:-1 gene:PAHAL_7G109300 transcript:PAN37522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIGVIFISIMAACFFVDLSNVNPPMGEVIQGLFIPRLRGAYATSDAIAVFSSLIVPHNLFLHSSLVLSRKLPTSPKGVKDTSTFFLIENAFALFLVLLVNVAIVSITGTICADNQLVDDIISTCSGLTLNSTSVLLKNVFGKSSSKIYGLALLASGQSCVVATSYSGQYIMQGFSGMRKCIIYIIAPCFTIIPSLIICSIGGAPHVRQLINISAIILAFVLPFALVPLLKFSSYCAMIGPYKNTTGIVQVAWILSTVIMGINIYFFCTSFISWLVHSELPRILNAIISTLVFPFMAAYIAALIYLVFKKVSVSVPLPSTSVSSETEVEEVRRLDDIAAR >PAN37888 pep chromosome:PHallii_v3.1:7:30607960:30617332:-1 gene:PAHAL_7G109300 transcript:PAN37888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVFLYPWLTLTLATTDLQAGSSHKYELLWVLFFGFIFVLIIQSLAAKLGIITGRHLAELCMREYPKHVKYGLWLLMEAGVIAATVPGVLGTALAYNKLLHIPFWGGVLICGASTLLILTLQCYGARKMELIGVIFISIMAACFFVDLSNVNPPMGEVIQGLFIPRLRGAYATSDAIAVFSSLIVPHNLFLHSSLVLSRKLPTSPKGVKDTSTFFLIENAFALFLVLLVNVAIVSITGTICADNQLVDDIISTCSGLTLNSTSVLLKNVFGKSSSKIYGLALLASGQSCVVATSYSGQYIMQGFSGMRKCIIYIIAPCFTIIPSLIICSIGGAPHVRQLINISAIILAFVLPFALVPLLKFSSYCAMIGPYKNTTGIVQVAWILSTVIMGINIYFFCTSFISWLVHSELPRILNAIISTLVFPFMAAYIAALIYLVFKKVSVSVPLPSTSVSSETEVEEVRRLDDIAAR >PVH35120 pep chromosome:PHallii_v3.1:7:30607960:30617332:-1 gene:PAHAL_7G109300 transcript:PVH35120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAAHHSGAPPAAAMEEAGRKVEREEQQRGTRGSGRVAAVVAAGGGGGGEIEIEMEAAAAGGAAGASSSGQRHDDAEESGLRQRPTWKRFLAHAGPGFLISLAYLDPSNVQTDLQAGSSHKYELLWVLFFGFIFVLIIQSLAAKLGIITGRHLAELCMREYPKHVKYGLWLLMEAGVIAATVPGVLGTALAYNKLLHIPFWGGVLICGASTLLILTLQCYGARKMELIGVIFISIMAACFFVDLSNVNPPMGEVIQGLFIPRLRGAYATSDAIAVFSSLIVPHNLFLHSSLVLSRKLPTSPKGVKDTSTFFLIENAFALFLVLLVNVAIVSITGTICADNQLVDDIISTCSGLTLNSTSVLLKNVFGKSSSKIYGLALLASGQSCVVATSYSGQYIMQHWRRPSCSTTYQHICNHISFCVAICPGSSPQIQ >PAN38269 pep chromosome:PHallii_v3.1:7:35840413:35840995:-1 gene:PAHAL_7G159500 transcript:PAN38269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGLKSVIMCVLILGIIFQVEGKSCCKSTLARNCYNICRLRLPRPVCARTCGCKIIKGTKCPPGFPKLNLLPNSGEPDAIEYCNLGCVSSMCDTMSNAPEFVGEETKIDMERCSDACDRFCNGDSRIASVAA >PAN39237 pep chromosome:PHallii_v3.1:7:40251957:40253606:1 gene:PAHAL_7G229800 transcript:PAN39237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAANAQQAASSMVVAPPAFPSPTTMPPAARRPLHLLLLSLSLPALLLLLSLVFLLSHTTFSLLICPLLPRPPSRRNATTTSGSGSGDSLGVSMDKTLQAFHASTPPSPSWRNATATSSSGASLGVSTDKTVQAFHGSVAAAPPPPLPAAPASAVKIGRNSKKASAKRNKSLLKLLLRSTPQTRRFAARADELFAAPCAARFFVTWLSPLAQFGRRELLVMESLFRWHRGACLLVASDTMDSAGGRDRLRPFLDCGLRVAVASPDFAYLLNGTPAEAWLGAVQRGGVSPGSVPLGQNLSNLLRLALLYRYGGVYLDADVVVLRPFSGLRNVIGAQAVDEATGDWRRLNNAVMVFDRAHPLLREFIAEFAAAFDGSKWGHNGPYLVSRVAARLRHRGPGLNLTVLPPRAFYPVHWSKIGGLFVAPKDRKDERWVKAKVENIKGESFGIHLWNRESRRLEMEEGSVIGRLISDSCLFCNSSMFVKQE >PAN40774 pep chromosome:PHallii_v3.1:7:47203872:47206106:1 gene:PAHAL_7G338700 transcript:PAN40774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIIIAVVFVLDVLAFVLAIGAERRRSYVTYVNVDTYGRAYCVYSSDASTAYGVSALLLLLAGQAVAMVATRCFCCGRALSPGRWRAWSGICFVVCCITFVIAELCLLAGSVRNAYHTKYIPRPSDSPPSCAMLRKGVFAAGAAFTFLTALFTELHYLFYARSRAAADVPPPIVGGIGMTRM >PVH35276 pep chromosome:PHallii_v3.1:7:34186509:34191758:1 gene:PAHAL_7G139300 transcript:PVH35276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:Projected from Arabidopsis thaliana (AT2G27510) UniProtKB/TrEMBL;Acc:A0A178VWS0] MATFTSPVLCNFMAIAQNNTLLLNNCTKRPLHLSFPRSTPALPRFRARQDVRAAAVYKVKLIGPEGQESVVDVPEDSYILDAAEDAGLDLPYSCRAGACSTCAGKVLEGSVDQSDQSFLDDAQVGAGYALTCVAYPTSDCVIQTHREADLY >PAN38249 pep chromosome:PHallii_v3.1:7:35622334:35625277:-1 gene:PAHAL_7G157500 transcript:PAN38249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYGLQLRTKPAASSSSRAPPPPARPLAAFADDDDDDVEAEILRQAAKKRALQKVEEQQKKAMEEDPSVFAYDEVYDDMKEKEARPKMQAKVVRESKYIAQLKEKAEQRKREQDIIYERKLQKERSKEDHLFGDKDKFVTSAYRKKLEEQQKWLEEERIRQLREEREDVTKKKDLSDFYFGLEKNVAFGARTHGNAKHADPQKSDNKREDTKSSSLDAEVSEPSPKRRRESSVGSERAKSVEEPSGSQPKDSTAAASTEKNDAEVPSNASQTPQNIQPVKVTDEHYKRSDDALAAARARALARKKAKEQQL >PAN40199 pep chromosome:PHallii_v3.1:7:44724173:44725176:1 gene:PAHAL_7G298900 transcript:PAN40199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTTGSAGWTAGGLLLATVKHWSPRRSSARAAAAVEEQHGCRQGRGGAVKPLRGRHRRRMDRSLAHCRQRTGHRAGRRREERAETPNEVEQLPPAKNSKRIDGLRRRIHLRYMRHCPFRFHQMRRHAK >PAN40426 pep chromosome:PHallii_v3.1:7:45606535:45610369:1 gene:PAHAL_7G314700 transcript:PAN40426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYIQTMLCILCMLHSMFHMACGCVAEERIALMHVRSSLVKADSSVPDSWGQSDECCSWERVTCGDKVPRVSGLDLSNMHAPQGNSIAGSECWRLNLTAFSAFRELQLLDLSWNHACLQNLDGLQGLTKLRYLNLSANSFIGNDTMGSLGKLASLEVINLVGSNISGTLQNTAFKNLKHMRELHLRSNQLSGSIPSSLFELPRLEYLDLSENLFQGHIPMSSFASISSALRTLKLSGNNLSGAFRFFWLRNCTMLEKIDLSGNSDLSIDVKFHGLVPPFQLRSLVLSGCKTDDSIVVGPNFLGTQRHLQMLDLSHNNLTGGVPDWIFANIATLVYLNIASNSLVGSIDPMWQHQSALEMINISGNHFVGQLPTNISSVLPNLEVLVASNNIISGYLPPSLCNISNIRIVDLSNNKFTGEVPTCFFTDCSYLTILKLSNNNLGGLIFGGVSDLSVAAIYLDSNKFEGTLPNNLSGNPVIMDLHDNKLSGKLDTSFWGLSPQVLSVASNNLTGEIHPAICKLSSSLQILDMSDNNFVGSTPNCASELQLYLLNMSRNSLSRFSRGFFNSSCITVLDLRYNQFHGDLDWTHSLAQTRLLLLGGNRFGGQISPNICHLQYLNIIDLSDNRISGSVPPCIGAISFGYHADDLDFQTLFNFIVLGTGFSSMDNDDPPFMYDTPYDLRGFTFSTKGNIYAYSRSFFNLMFGIDISGNMLSGEIPWEIGNLTRVKSLNLSNNHFSGRIPASLANMSAVESLDLSHNELDGAIPWQLSRLWSLEVFSVAYNNLSGCIPDSAQFASFSMDSYIGNKNLQNMSLGNACSPGSGRAAAPAPEDMDETPDDLILYVVCASFVLAFWATIAFSFCHPCGRAVMLRL >PAN39468 pep chromosome:PHallii_v3.1:7:41225614:41226998:1 gene:PAHAL_7G245500 transcript:PAN39468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSELSSDAFAFPCRDDGSAAALSPEVVITVLASILERHIARNERALAAGSDREAAPGGDSGEATAAAATLRRVRAFDSGTELDMSLRAFLERFSRYANVSPQVYVVAYAYLDRLRRGDAGVRVVRANAQRLLTAAILVASKFVEDRNYKNSYFAAVGGLAAAELSALELDFLFLMQFRLNVCTSVFQSYCRHLEREVSHGGGYRVERRLEKALVCAGEARAQQAQHRRAAAAL >PAN38871 pep chromosome:PHallii_v3.1:7:38490011:38492768:1 gene:PAHAL_7G203400 transcript:PAN38871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGTRPRRAVLLLLLLLVGVAAPAASGVVTDGLLPNGNFEQGPDESQMSDTRVMSPSAIPHWEISGFVEYIGSGQQQDDMILPVPEGARAVRLGNDATIRQLLSVTQKASYSITFSAARTCAQAEQLNVSVGPESGVLPIQTVYTSSGWDSYSYAFRARHSTVWLSIHNPGHEDDPACGPLIDFIAIKTLSPPRREKGNMLKNGDFEEGPFIFRDTPWGALVPPMEEDKVSPLPGWMVMSDTKVVKYVDAGHHAVPRGARAVELVAGSEVALLQEVRTVAGRTYRLSFSVGDAGNGCAQPLAVRASAASSSLAVTYESRGTGGSRRGELEFAAAADVTRIVFQSMNHHMKPDGTLCGPVVDDVSLVGASKRAARRLFM >PVH35173 pep chromosome:PHallii_v3.1:7:31768086:31768544:-1 gene:PAHAL_7G116900 transcript:PVH35173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRTRIIRSPCLLTSTTPSLRLLSIKMPTPFLPEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFTRLLIATSISSLNLPVRDPTFQLPRRILVGSASFLTLRTRREKCEDPCSFFTTPERRCSAAQRLRRPNPCSLIIVPGSRYDAPLRG >PVH35403 pep chromosome:PHallii_v3.1:7:36813566:36816338:1 gene:PAHAL_7G174800 transcript:PVH35403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGESIEYYQKPAAHAASKPTTMDGDEACAKENGKGKAGHRAAEPEPEVSQEEFFSDSESGSESIEIADLKKRMWKDQLLLMKLEGLSGRDGRGSHPDHGQQQQPVPDQLLAASKDREESPETRYRRKAMLRAQDGVIRHMLKMMEACNARGFVYGVVGEDGVPVSGSSDSLRGWWKDDVGFDRSGPLALLAGQEATGGPGSPMAASFLYGLHDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLAPPWWPTGREPWWGMQGEAQAHQGPPPYRKPHDLKKAWKISLLSAVIKHLTPRFDQMRKLVWQSKRLQHKMSARDAETWSKVITQEEALDRHAQRALQITPLEEEDEDDDAGADSPLVAAHHVDKRKRKVGREGDGVDVGKALLALQDIDCVPDADHSSIDELMRLYYRCLQGPDDDGEHQEAKGAVADDGGQHSGGAVAEAATPVPVAHHDVLLHGLLQHGGGAAHQTGASVHEDDVLLRSLQGVADVVDMSDFPNSPIWQWGVYD >PAN38478 pep chromosome:PHallii_v3.1:7:36813566:36816338:1 gene:PAHAL_7G174800 transcript:PAN38478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGESIEYYQKPAAHAASKPTTMDGDEACAKENGKGKAGHRAAEPEPEVSQEEFFSDSESGSESIEIADLKKRMWKDQLLLMKLEGLSGRDGRGSHPDHGQQQQPVPDQLLAASKDREESPETRYRRKAMLRAQDGVIRHMLKMMEACNARGFVYGVVGEDGVPVSGSSDSLRGWWKDDVGFDRSGPLALLAGQEATGGPGSPMAASFLYGLHDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLAPPWWPTGREPWWGMQGEAQAHQGPPPYRKPHDLKKAWKISLLSAVIKHLTPRFDQMRKLVWQSKRLQHKMSARDAETWSKVITQEEALDRHAQRALQITPLEEEDEDDDAGADSPLVAAHHVDKRKRKGPDDDGEHQEAKGAVADDGGQHSGGAVAEAATPVPVAHHDVLLHGLLQHGGGAAHQTGASVHEDDVLLRSLQGVADVVDMSDFPNSPIWQWGVYD >PVH34991 pep chromosome:PHallii_v3.1:7:24183823:24184764:1 gene:PAHAL_7G082000 transcript:PVH34991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPKIFWDHEGHAHTNALHWEGFPHLLWESLQLFCYTEPPQYDGVEYSEEGVPQCRVKMTIPQHPFCSLWQPIEINMVGFHLVDTIEAAALEAINNFCDQHPEEVAAYPIGLFPTMDSRDPEWVFRVSHCGHLLGDLAEETLGATIRFMNAQHHYQILQHRGMNQLTSIAQSHHRNLDQQVTQIEELQAAIIAKNEFIAQQDETIIHREDQIVESDTLIIQRNTIIEFLQEQVHDLTLELDNAIAHINVLQEQPVPLDVPEEPESEDEEEDPEEIEGVSDLDSEHGDPEPNPEPNHSSSGSQSSVGNLDEF >PAN39772 pep chromosome:PHallii_v3.1:7:42697302:42699392:1 gene:PAHAL_7G267400 transcript:PAN39772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSTGISRRRIPLPASATLAVLILCSTCSCLQFSYPTFHAASEDDFSFSPGTGIANGALQITPDTGDMSHRSGRVCYARERLKLWNGERTALTSFRTEFMLNILPRNGTGEGMAFILTNSPELPPGNSSGQWLGIVNSQTDGSPANRIVAVEFDTRKSGDDDLDHNHVGLDVNGIRSVSAYPLSNLSIVLSSGSDVWVSIQYDGAALSVVAVQTYSFMYSWAGDLSQYLTEDITVGFAASTGEFTELNQIKSWNFTTLGDAGGRRGRQARKLRLLLAYLIPLAIAGSFLAFCVWRRLTRPGRLAYRNLEKMIDAHGPVRFGFRELRNATANFSSDRKLGRGGFGTVYLGYLKRMGMEVAVKRVMTSASSSRGEQEFVAEVNSISKLSHRNLVKLIGWCHERGELLLVYEYFPMGSLDKLLYADARASGASASAAAPELTWERRYGIICGVASALEYLHHGSSKRILHRDVKASNVMLDGGYGARLGDFGLARVVQRDGATHHSTRAVAGTRAYMAHESFFTGRASLGTDVYAFGVFVLEVVSGRRPGSPVPHSCLDDDDDGRDVFAPSVRRGREDAHVVDWAWRLYGEGRASDAADAALDGAFDPKEAERAVRLALACCHPNPRERPSMRAAVQVLAGGAEAPEPPLVKPAFVWPPDGGQQQEIELARVGLLFTGARAHSSFCSMTSSSLSGR >PVH36091 pep chromosome:PHallii_v3.1:7:47908321:47913605:1 gene:PAHAL_7G350200 transcript:PVH36091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PVH36086 pep chromosome:PHallii_v3.1:7:47905652:47913861:1 gene:PAHAL_7G350200 transcript:PVH36086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLHASGESELSDDASIWIPPEAADDKEHDGATTTTHHHHAASDTTSDDTTAEEDEDEDSDDDDSDDDGGGSFSWCQQQHPTSSSYREERQKAMLRAMNGQLKMLAARFLESAGIPAAFHQLQAGADDACCWLDIVTSLSWEAALLIRPDATAGNEMDPSSYVKVKCLASGTRRQCEVIRGLVFKKNAAHKHMPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PVH36089 pep chromosome:PHallii_v3.1:7:47906993:47913896:1 gene:PAHAL_7G350200 transcript:PVH36089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLHARDDALLGANRPNHLLLHSHPSRDDTLLITSGESELSDDASIWIPPEAADDKEHDGATTTTHHHHAASDTTSDDTTAEEDEDEDSDDDDSDDDGGGSFSWCQQQHPTSSSYREERQKAMLRAMNGQLKMLAARFLESAGIPAAFHQLQAGADDACCWLDIVTSLSWEAALLIRPDATAGNEMDPSSYVKVKCLASGTRRQCEVIRGLVFKKNAAHKHMPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PVH36088 pep chromosome:PHallii_v3.1:7:47905652:47913861:1 gene:PAHAL_7G350200 transcript:PVH36088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLHASGESELSDDASIWIPPEAADDKEHDGATTTTHHHHAASDTTSDDTTAEEDEDEDSDDDDSDDDGGGSFSWCQQQHPTSSSYREERQKAMLRAMNGQLKMLAARFLESAGIPAAFHQLQAGADDACCWLDIVTSLSWEAALLIRPDATAGNEMDPSSYVKVKCLASGTRRQCEVIRGLVFKKNAAHKHMPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVRNVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PVH36087 pep chromosome:PHallii_v3.1:7:47908321:47913605:1 gene:PAHAL_7G350200 transcript:PVH36087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVRNVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PVH36084 pep chromosome:PHallii_v3.1:7:47905652:47913861:1 gene:PAHAL_7G350200 transcript:PVH36084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLHARDDALLGANRPNHLLLHSHPSRDDTLLITSGESELSDDASIWIPPEAADDKEHDGATTTTHHHHAASDTTSDDTTAEEDEDEDSDDDDSDDDGGGSFSWCQQQHPTSSSYREERQKAMLRAMNGQLKMLAARFLESAGIPAAFHQLQAGADDACCWLDIVTSLSWEAALLIRPDATAGNEMDPSSYVKVKCLASGTRRQCEVIRGLVFKKNAAHKHMPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PAN40905 pep chromosome:PHallii_v3.1:7:47905652:47913861:1 gene:PAHAL_7G350200 transcript:PAN40905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLHARDDALLGANRPNHLLLHSHPSRDDTLLITSGESELSDDASIWIPPEAADDKEHDGATTTTHHHHAASDTTSDDTTAEEDEDEDSDDDDSDDDGGGSFSWCQQQHPTSSSYREERQKAMLRAMNGQLKMLAARFLESAGIPAAFHQLQAGADDACCWLDIVTSLSWEAALLIRPDATAGNEMDPSSYVKVKCLASGTRRQCEVIRGLVFKKNAAHKHMPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVRNVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PVH36085 pep chromosome:PHallii_v3.1:7:47906993:47913896:1 gene:PAHAL_7G350200 transcript:PVH36085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLHARDDALLGANRPNHLLLHSHPSRDDTLLITSGESELSDDASIWIPPEAADDKEHDGATTTTHHHHAASDTTSDDTTAEEDEDEDSDDDDSDDDGGGSFSWCQQQHPTSSSYREERQKAMLRAMNGQLKMLAARFLESAGIPAAFHQLQAGADDACCWLDIVTSLSWEAALLIRPDATAGNEMDPSSYVKVKCLASGTRRQCEVIRGLVFKKNAAHKHMPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVRNVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PVH36090 pep chromosome:PHallii_v3.1:7:47908321:47913605:1 gene:PAHAL_7G350200 transcript:PVH36090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRCHNPRLLLLRGVLGDSDVGFSSFSSIEQEKDHLEKSVCKMMQICRPNVVMVEKTVSRDIQELLLEEGVTLVLDMKLNRLQRIARCSGSPILSFSEVLSKPKLKQCDYFHIEKVTEEHNHTVETGKRQSKTLMYLEGFRKPLGCTILLRGANSEELKKVKQVMQYTVFAAYHLVLETSFFEDQRVILNNKNASKEETSISSNSESSVIRHGIPAPSIGSHPVGPKDNDASASKLYPLTSSESVEEPTKGKTVAVSSTKIEDLNSLEKGFPNELPEGPAIYYDSNQALPSERLVSSVPGSPRRSIDILRYQNIYLPVTSSQEATDHQKEDMLQDMASNGVHISPNVSVQVGSGENVDHLSNPQNQASTESNQQMALDDLSVSEQPSTPLENGEQQSTSYVSGDKTSDIDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGDFDVSLGRYLQDILQNQNLSCSSCGEPPEAHMYSYTHRNGNLTVLVKRLPKYCLPGESEGKIWMWTRCLRCEHESGISRSSRRVLMSAEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTASKPQPTLEFHNPNAHEWYGQEVRNVLARGVMLFSEVTGLLQNLKDQFSEVVIYCGSLLPIEEFSQLEDMLIKEKSEFMCTLAQAVDRSGAPSSVHEILSVNWLYQDLLLELYVWDRRLHQLVECISAERERMGISVKGTSEFTGDQTAVVAEADGVTECASNKVSFENGCIETDKFSESGTGTTLLDENAWDKHYEEQLCTKVPSPKQEPLRIPQQSGLPPWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLHRHNQSGEEVGSPRFTVGPCGSVLCVSEDEISSIISRALAISEERRYLLDAITESAPADSRVGELTKTMEKSYSSVSESSSASSSWSSTWSSSGSSDSEASISSDDLSSYDSSLLSSSQHPEISVNGRVALKGKYSVICVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKRTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRISPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSRYFLTVPDDWSTENRPVSCKSCAHAGSANLPGVVDEKPPQHPNPIVACA >PVH35735 pep chromosome:PHallii_v3.1:7:42099835:42103447:-1 gene:PAHAL_7G258200 transcript:PVH35735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRFLSHLTNIPDPRAVIPRKHRNLTPTAHTHKKRGNQNPDGLIRSSSHTRRRLRPQMGLLSSLPPHRRGGVLSGGWQWSFLDVVWAVFLLAVVVFLALVFTPRRGGGDPLSVARSGGAAAVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPSGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKHEGAYFIFPGGGTMFPDGAEQYIEKLSQYVPLKTGVLRTGLDMGCGVASFGGFLLKENIMTLSFAPKDSHKSQIQFSLERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGYLIISGPPVRWKKQEKEWDELQVMAGALCYKLMTVDGNTAIWKKPAEASCLPNQNEFGLDLCSTNDDPDEAWYFKLKKCVGKVSMVEEIAIGSIPRWPDRLSKPSARASLINNGANLFEVDTQKWVRRVSYYKKSLGVKLGTTHIRNVMDMNAFLGGFAAAIVSDPVWVMNVVPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADAIDSLINNPISGTSSMCSRC >PVH35736 pep chromosome:PHallii_v3.1:7:42098748:42103480:-1 gene:PAHAL_7G258200 transcript:PVH35736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRFLSHLTNIPDPRAVIPRKHRNLTPTAHTHKKRGNQNPDGLIRSSSHTRRRLRPQMGLLSSLPPHRRGGVLSGGWQWSFLDVVWAVFLLAVVVFLALVFTPRRGGGDPLSVARSGGAAAVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPSGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKHEGAYFIFPGGGTMFPDGAEQYIEKLSQYVPLKTGVLRTGLDMGCGVASFGGFLLKENIMTLSFAPKDSHKSQIQFSLERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGYLIISGPPVRWKKQEKEWDELQVMAGALCYKLMTVDGNTAIWKKPAEASCLPNQNEFGLDLCSTNDDPDEAWYFKLKKCVGKVSMVEEIAIGSIPRWPDRLSKPSARASLINNGANLFEVDTQKWVRRVSYYKKSLGVKLGTTHIRNVMDMNAFLGGFAAAIVSDPVWVMNVVPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADAIDSLINNPISGTSRCDLFDVMLEMDRILRPEGTAVIRGSPDVIDKAAQVAQSVRWNAQVHDSEPESGSTEKILVATKTFWKLPLTSQ >PAN39915 pep chromosome:PHallii_v3.1:7:43386626:43388265:-1 gene:PAHAL_7G278500 transcript:PAN39915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKSNFFSRAWNRARGKSDVERICKKVFDDLADKDTELLDINSLHVATLMVYNSINKQLIGPHKDPPCLEIVAEKMEEYRAKKGIAFTEFQELILRWVEKDLRLVLVNKAALAVLGAPLLAVTAKNAGRRVPRVSDAVEKVPTPLVAAVFSVGLLLLQDVRVGRQRE >PAN38030 pep chromosome:PHallii_v3.1:7:34325632:34327255:1 gene:PAHAL_7G141500 transcript:PAN38030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSAVSGLAGAALASRPAFSTSFVRGGRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLSVIGFGLIGWIGPSSVPVINGNSLTGLFFSSIGQELAHFPTPPPVTSQFWLWLVTWHLGLFIVLTFGQIGFKGRTEDYFQK >PAN38297 pep chromosome:PHallii_v3.1:7:36038052:36042630:-1 gene:PAHAL_7G162300 transcript:PAN38297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGANAIPLLTPYKMGKFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGVSDTAQGYKDTPGIWTNEQVEAWKPIVHGVHEKGGIFFCQIWHVGRVSNSTFQPNGQAPISSTDKPLKPQVRANGVDVATFTPPRRLETDEIPSIISDFRVAARNAIGAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEIGADKVGIRLSPFASYSEAPDSNPEALGLYMANALNKFGILYCHVVEPRMVKLGEKFETPYSLRPMKDAFKGTFIVAGGYNREDGNNAITTEYADLVAYGRIFLSNPDLPRRFEIDAPLNKYNRETFYIPDPVIGYTDYPFLSTDV >PAN37264 pep chromosome:PHallii_v3.1:7:13817914:13818467:1 gene:PAHAL_7G048700 transcript:PAN37264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSPFSPKASATNAGETSPLPAGRLFHHRLGPHPPLLSWGTNALLSARPHAPHDLEAPPPPLGWGASAPPSARPHPPHVWEASPPPSAGCPQADAPSPAPQPPGASSSGQ >PVH34866 pep chromosome:PHallii_v3.1:7:13817906:13818932:1 gene:PAHAL_7G048700 transcript:PVH34866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSPFSPKASATNAGETSPLPAGRLFHHRLGPHPPLLSWGTNALLSARPHAPHDLEAPPPPLGWGASAPPSARPHPPHVWEASPPPSAGCPQADAPSPAPQPPGASSSVSEQVLLPEILAV >PVH34700 pep chromosome:PHallii_v3.1:7:2134876:2135190:-1 gene:PAHAL_7G011800 transcript:PVH34700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRSSAALLFLALALAAGSLAAVAQLHPPAGARYMLVALQTGRGSNTKLAMRTAGGMSITGFANGKHDWFALPGGEGSSRRGDIPMQGLGNLLSVLVNNGTH >PVH34857 pep chromosome:PHallii_v3.1:7:13056596:13057525:1 gene:PAHAL_7G047000 transcript:PVH34857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPMYDGVDYLEEGVFRCRIKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPTIDPSNSDWDVRTDHLGHLLGDIAEETVHIVTRFMDVQYRYQMLLRNGVSQITGVAQGHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQIIESDHLITQRDAVIKFLQEHIHDLMLEADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PVH35981 pep chromosome:PHallii_v3.1:7:46537971:46539679:1 gene:PAHAL_7G327100 transcript:PVH35981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAPVQWNCKFHTNPLQTQAPSLPLFCSKGDPHGHAAPAAADSLRPLSRWCRSRLHGACHRPRRRLPGPHGLRRSRTSGSSTLAAGTRCCSQSSGFLLCWRWKTAHARGSTAPVSSSVPKPSG >PVH35747 pep chromosome:PHallii_v3.1:7:42456141:42458715:1 gene:PAHAL_7G263500 transcript:PVH35747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACEPVSHATIRPLLPPRARVAEGASRGLEVLSSLHLVSSPFPASPLPLTPSLSGCPPTTFLSHPQKVHEREKPGVTRNASASHGRRTLRRRDDQLRRQQEPRAARRRHAPQGACPRRARAAVLAAHHRAGPAPPRSRDRHVLRAAGHGAPDGPGPGRPRAGAGAGARDGAGGGGQGRGGAARRGEEGAEAVRAADVPGGRRHVQPRGHVHGRRRRVLPLQLANGGRRGAGDRDVRHVCALHRRGGRDGVLGAVGAPGPVARLPVAHARVAPPAAGGALRAQRRVRHHQRRAGHLPPRLRLLPPRLRARPLLRRGPRDYAVRHGLHVRPRRPGPPPLPRRPHRQRALLPASRCRSQDPSHGQVRGRPVWALPGTKGAGGGWWPGRAGEGARANPQPGHVTSTGAAAWTERSGAPGSRGGLRSRIFVSGRFFVDSIVGESRTTRKLVWLFGGVDVVVNICMVWGRRAPFVHGKLRCHEMFFFFPLPFSCRRLCGDVARSGPLYCSYG >PVH34880 pep chromosome:PHallii_v3.1:7:16496041:16497113:1 gene:PAHAL_7G055500 transcript:PVH34880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLARSSARRRGTFPISSEVLAQSLQIEFLVGAQEGTAAVDCRGWLHPLLPASVAMGLMSPWRRGLPGSGSRTSMQWEGVIVRRRCAQEQGAPPG >PAN39544 pep chromosome:PHallii_v3.1:7:41483871:41485979:-1 gene:PAHAL_7G250200 transcript:PAN39544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPHAPGQAGAPPNHRKRKAPVPAAAAGDEAETEVHALGREVEELEESLADLDRRVLEHLRGTATRLADAAVDRLAALRPPARQEFLAVSETPSSEEDQEQLQKLNILKSKIEANIADLPKVLEKMHESVARCEKLENLHVNIDPIFRTRRLYHG >PAN39037 pep chromosome:PHallii_v3.1:7:39307485:39313589:-1 gene:PAHAL_7G215700 transcript:PAN39037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPQQPSSGPTTPPQEDNQSAKTNSKKNRGTKKSKRASASSLGSSTMAEDPFFVLAGGKEGGFLELEEIDEADFRIVGGDVKDVGADEGKAGKNDGKKKKKKKKKRKRGDDDQKKRNADDDEGLSGDDDSVVENEQEGEKEKKTTKRKRNMKKRKVKDSEKSGEGNEEVADDNAEDMQDENENMEQDKDDELILGEDDVFAWHELRLHPLLVKAMRRLGFKEPTPIQKSCFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAARLHQDDEKMEESSRGSPLRALILTPTRELAKQVCDHLKDAAKFLGIHVVPIVGGLSMEKQERLLKKKPEIVVGTPGRLWELMSMNNQHLVELHSLSFFVLDEADRMIERGHFHELQSIIEMLPLTNGSDEQAARTMPNCETVPILQIKKRQTFVFSATLALSSNFRKKLKRGLSTSKASTDDVSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSEEDKDAYLYYILSVHGQGRTIIFCTSIAALRHISSILRILGINVLTNHAQMQQRARMKAVDRFRGSGNSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSLAGCSIAIICPADKSKFYSLCKSLSKENLQQFPVDHAYMPEVMDRLSLARQIDKIARKSSQENANKSWLQRNAESMGLLLETSDSEEERVKGHKQRKATSAHLQKLQQELSDLLKRPLQPKTFSRRYLAGAGISPLLQKQLEELAKRNVSNKSSKTENKGSCLVVIGQDRVEPLQALQNSGQEVCVNLDKEKEKRRLAQNWKRKKHEEKKRTREQKRKQRRKAKGTE >PAN38837 pep chromosome:PHallii_v3.1:7:38377685:38393895:1 gene:PAHAL_7G201600 transcript:PAN38837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSRKKKKRGAAGRKAAKDHAAQHEGDQTALDEELTALTSILGEDFKVKSESPQTRLNICIRPYSDGMGFEDLNVSAILDVICFPGYPHKCPKLRVVPEKNLSKEDADRLLSLLVDQANIYSREGRVMIFDLFEAAQEFLSEITATHVSASTCLGSSSTTDVDVKVSLDGDPHPGISYIYTSFDLYSQLYDDTSWSRQGPDLTMDSSRKNSGSQVKSNVRSKRKTIIEKSYVSADKAYHAKSSSGDKAEQQRAAKHGVIQEAAPNLHVVAEETENDSKTLSTSNAGNTSDSPERCSSSLHEPEDADLADEAWNEEDCDSDFSSSNGSSYVSDMLDDASRNKQRDLIMVHLLRIACASKDSLSAALPAISSELCNIGVLSEWAKNLISESPAVFGETFSHVFGQQIISSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHIVRYYQAWVETEYGHRNILNAGGSRTAESSIFSYDDISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYSSSFDVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDLPKSWAAQFPAQLNLLRRLLSPSPSDRPSAVEVLQSELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEDRLIAKTQCQHESSKKSTANTDNSELLDTIIEVAKEVFKRHCAKRFQISPLHTLEGNFTENREKTVKILTQGGEMLELCYELRTPFVMSIVGNQTSSFKRYEISWVHRRAVGHSSPYRFLQGDFDIIGGASPIPEAEIIKVALDLGTRFYDPKALVIRLNHGKLAEAICSWAGVPQEQRQNVAEFLSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDDLSALLKCLRVWLAEEPVTIDVLMPPSECYYTYLFFQIYSKEGNHGPSFHEKLLAVGGRYDWLMEQAWDKAHKSKPPGAVGVSIALEKFLPNNPSSDLGLPRLLSRIEPSISVLVCSRGGGGLLNERMELVAELWKANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITESGLSQTDLVKVRHLDFKREKDVKREELIKFLSDAICSQFKNPTIWSLTSGPL >PAN40045 pep chromosome:PHallii_v3.1:7:44048634:44050235:1 gene:PAHAL_7G287800 transcript:PAN40045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQAAALEAPPLPVRGPPSQDAGSSRADGSASAMDVEMSDGGNGRLKSLLKPLGSISKKKVQLHLKIKKDKRKARKKGKFSFKK >PAN40034 pep chromosome:PHallii_v3.1:7:44003857:44005298:1 gene:PAHAL_7G287100 transcript:PAN40034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLMAAMLLGGARAAGAAKLAGSSAVKQALVPTPACFLPPRPQPAGWGRLCLQTTPRSSQAYNNAADDRRDIRDKYKGAAEEAKDATGEAKEHVKGVAGEAKDRAQDQAGRVADQASGMAGRAKDQTKGMAEDAADTASRVADRAKHETKDAAREAADRAGHAKDRAKEMGHEAADRAQEAARAAKDRTGEAAEQAMDRAGEAKDRAVEGTMSAGEKVVEMTKEGASKVAETAQAIGEKAKQAARGTWDAAKETAQSVKDSVVPDADDVDAAVKERDRVAREMDRVEQERNKREAREKGSGLP >PVH34874 pep chromosome:PHallii_v3.1:7:15431724:15432596:-1 gene:PAHAL_7G053000 transcript:PVH34874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLIDYLERLGITERPRYYSREYEHLGTHRCRVVLSIARSARHPDIEPKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERCRHQEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAHESLRQIQDRRMQEWTNSGTPVPAIGETQVLIGRPITGWGGLFRTLQASPEGARRTAVAVEGGAVEQPQENGILEDDEDELLIPLEVHSAPEDDSPRE >PAN37807 pep chromosome:PHallii_v3.1:7:32562023:32565033:-1 gene:PAHAL_7G124200 transcript:PAN37807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAARRRQELAAEGQRHLEETIAAAFQILVSMNDELCNAGLWSSISVSAAAAAAAAAGPQHQHSATPPPPHSADSDAADAGGAPGPGGSLDEARHRYKSAVTALRTSIAAVSSCAQDIGSTESEADHAEIERLEERASALRKEIESKNKHIKLLMDQLRELVADISMWQSPCSV >PAN38738 pep chromosome:PHallii_v3.1:7:37935658:37936692:-1 gene:PAHAL_7G193700 transcript:PAN38738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGVVRLVALVFLAVFSASLHQQVGVGAIRLHDRRQHAQQWAEERNRLRSYMTMDYQPWHRRVPKHN >PAN40526 pep chromosome:PHallii_v3.1:7:46211903:46214853:-1 gene:PAHAL_7G322100 transcript:PAN40526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWWQKAVVVPVKRAWIVVAARMRRKKDDGRGALVKLHDDIQTCAYEDVQVMWEMLQRSETERLAREPSPKGARALVWLRRHHKMDPRRRC >PAN37741 pep chromosome:PHallii_v3.1:7:31879073:31886010:1 gene:PAHAL_7G118200 transcript:PAN37741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAGALAPAQGPEEAPLEAAAIRSRVEQLSLKRRRRGGEEEEEAAVADAEVALESAYQLAREGMDVLDSSTAAISIEDSDAYLERLRKEVALAEEGNRKVSDEIGVTAETTANDMIQLDVDIGVLESLLSKLESEGFNHFDASPVLGQSDSTDSCRNQSIADKDCIYEVLELDHQIGRSKMNLKMLQNLQSVDEMWQLKSMLLPFGAKVLDFKDNCLRMFLKAPTWTSDCVIYGQQLNCAIDSFISDHELLIEVDEGNMEPKNLKIFPDDVCVDILIERLESSREVISSPALGWLIQQCQRRFIINALRRSLVNDANNSRHSFEYFDKEDAIIAHLDRGIDASIKISSDWPLCSYGLKLISICNSGTHPTNIASSLLTKTKELANGLDQHIRQHLVRFVDAVEEILIRELRSG >PVH35800 pep chromosome:PHallii_v3.1:7:43752513:43753782:-1 gene:PAHAL_7G283600 transcript:PVH35800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCPCLQRAMPMRLLTVGKKRSRGTQLLVEEYKEKLGYYCDFEDTLIRPNPKLTSDVKVQVEAEDTAMMQQLKPEDFVVVLDENGKDVISEQIADLIGDAGNTGSSRLTFCIGGPYGLGLQVRQRADATIRLSSLVLNHQVALIVLMEQLYRAWTIIKGQKYHH >PAN39985 pep chromosome:PHallii_v3.1:7:43751679:43754637:-1 gene:PAHAL_7G283600 transcript:PAN39985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISPFRCICGAQHSLSKDRGAPAPSLGKRSKYSGQSVRAMPMRLLTVGKKRSRGTQLLVEEYKEKLGYYCDFEDTLIRPNPKLTSDVKVQVEAEDTAMMQQLKPEDFVVVLDENGKDVISEQIADLIGDAGNTGSSRLTFCIGGPYGLGLQVRQRADATIRLSSLVLNHQVALIVLMEQLYRAWTIIKGQKYHH >PVH35801 pep chromosome:PHallii_v3.1:7:43751679:43753895:-1 gene:PAHAL_7G283600 transcript:PVH35801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCPCLQRAMPMRLLTVGKKRSRGTQLLVEEYKEKLGYYCDFEDTLIRPNPKLTSDVKVQVEAEDTAMMQQLKPEDFVVVLDENGKDVISEQIADLIGDAGNTGSSRLTFCIGGPYGLGLQVRQRADATIRLSSLVLNHQVALIVLMEQLYRLRLGNGRLCMHKFAAWHGQRTDKIKIC >PVH35803 pep chromosome:PHallii_v3.1:7:43752513:43753782:-1 gene:PAHAL_7G283600 transcript:PVH35803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCPCLQRAMPMRLLTVGKKRSRGTQLLVEEYKEKLGYYCDFEDTLIRPNPKLTSDVKVQVEAEDTAMMQQLKPEDFVVVLDENGKDVISEQIADLIGDAGNTGSSRLTFCIGGPYGLGLQVRQRADATIRLSSLVLNHQVALIVLMEQLYRAWTIIKGQKYHH >PVH35802 pep chromosome:PHallii_v3.1:7:43752513:43753782:-1 gene:PAHAL_7G283600 transcript:PVH35802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCPCLQRAMPMRLLTVGKKRSRGTQLLVEEYKEKLGYYCDFEDTLIRPNPKLTSDVKVQVEAEDTAMMQQLKPEDFVVVLDENGKDVISEQIADLIGDAGNTGSSRLTFCIGGPYGLGLQVRQRADATIRLSSLVLNHQVALIVLMEQLYRAWTIIKGQKYHH >PVH35804 pep chromosome:PHallii_v3.1:7:43751678:43754637:-1 gene:PAHAL_7G283600 transcript:PVH35804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISPFRCICGAQHSLSKDRGAPAPSLGKRSKYSGQSVRAMPMRLLTVGKKRSRGTQLLVEEYKEKLGYYCDFEDTLIRPNPKLTSDVKVQVEAEDTAMMQQLKPEDFVVVLDENGKDVISEQIADLIGDAGNTGSSRLTFCIGGPYGLGLQVRQRADATIRLSSLVLNHQVALIVLMEQLYRLRLGNGRLCMHKFAAWHGQRTDKIKIC >PVH35989 pep chromosome:PHallii_v3.1:7:46618909:46620369:1 gene:PAHAL_7G328900 transcript:PVH35989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADDVAAVAPDSVDDLDFSIDDFYVRDLDLDFDFGDQLAADEFCDAYSAFVANADAKGVGGAASGGWLAGLCVGGDEGSGREGTPESGVTDDGALAGDEAMSAYVAELERFMMEDDGDAEEEALCPAAGDFFGDPLVASDTNGIVVTTAAAAGALRNGEEGNGDGDVLAAREEDEPTSRKRARHKIKGTTMAPWRGELEVTRRHLARIQAPAAWPSAAAALLCCM >PVH36027 pep chromosome:PHallii_v3.1:7:47335187:47339490:1 gene:PAHAL_7G340700 transcript:PVH36027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENVRDCLQAISRSLLVCARLQAWSSPASTAMAAPAKLTLLLPTTLTSTVSFPSRVVLKPFPPLQRLVVAAAASSSSSQTLLSSPSLETPEARQIRLETESALEWGSVCARLADFAATSAGRAACVEGRVAVGRSREESERLIEQTAAAVLLSAPLDFGGVEDVSAVVAAATGGRLLAVREICVVGRSIRAARGVFDQLQSLAQETQDGRYSPLLDVLQGCDFLTELVQRIEFCLDSTLSLVLDRASKNLETIRRERRRNIEMLESLLKDTASKIFQAGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAVELNNREVKLSGDERAEELVILGLLTSSIVDSQLKIRNLMDRILELDLAIARGSYALWTNGVKPSFSDSYSSSQSDQSSDYSVYIEGIRHPLLLEHSLMAEDSTIEASEMPVPLDLWVKKDARIVVISGPNTGGKTATMKTLGLSSLMSKAGIFFPAKGRPRIPWFDQVLADIGDHQSLEHSLSTFSGHISRLRRIVEVVSKDSLVLIDEIGSGTDPSEGVALSTSILKFLASKVNLAIVTTHYADLSCLQSVDSRFENAAMEFCLETLQPTYRILWGTTGNSNALSIAKSIGFDQKVLDRAQEWVEKLLPDKQKERQGLLYDSLLDERNLLESQANEAASVLSQVEGLYNEIRLEADDLESRVAALRTRETQNVQQELKVVKSQMDTIIKNFEVQLKNSKLEQYNSLMRKAEAATASVVAAHQPNEITFNDDENQSLFVPQIGDKVYIQGLGGGTMATVIETLGEDGSCIVQYGKIKVQVKRSKMKLVQQGTNETATSSSVKPKGRTPKQRSAAAETNQSQDGSVSFGPVVQTSKNTVDLRGKRVSEASYELQMAIDSCRPCQVLFVVHGMGTGAVKDCAIDVLRNHPRVAKFEDESPLNYGCTVAYIQ >PVH36028 pep chromosome:PHallii_v3.1:7:47335187:47339490:1 gene:PAHAL_7G340700 transcript:PVH36028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENVRDCLQAISRSLLVCARLQAWSSPASTAMAAPAKLTLLLPTTLTSTVSFPSRVVLKPFPPLQRLVVAAAASSSSSQTLLSSPSLETPEARQIRLETESALEWGSVCARLADFAATSAGRAACVEGRVAVGRSREESERLIEQTAAAVLLSAPLDFGGVEDVSAVVAAATGGRLLAVREICVVGRSIRAARGVFDQLQSLAQETQDGSRYSPLLDVLQGCDFLTELVQRIEFCLDSTLSLVLDRASKNLETIRRERRRNIEMLESLLKDTASKIFQAGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAVELNNREVKLSGDERAEELVILGLLTSSIVDSQLKIRNLMDRILELDLAIARGSYALWTNGVKPSFSDSYSSSQSDQSSDYSVYIEGIRHPLLLEHSLMAEDSTIEASEMPVPLDLWVKKDARIVVISGPNTGGKTATMKTLGLSSLMSKAGIFFPAKGRPRIPWFDQVLADIGDHQSLEHSLSTFSGHISRLRRIVEVVSKDSLVLIDEIGSGTDPSEGVALSTSILKFLASKVNLAIVTTHYADLSCLQSVDSRFENAAMEFCLETLQPTYRILWGTTGNSNALSIAKSIGFDQKVLDRAQEWVEKLLPDKQKERQGLLYDSLLDERNLLESQANEAASVLSQVEGLYNEIRLEADDLESRVAALRTRETQNVQQELKVVKSQMDTIIKNFEVQLKNSKLEQYNSLMRKAEAATASVVAAHQPNEITFNDDENQSLFVPQIGDKVYIQGLGGGTMATVIETLGEDGSCIVQYGKIKVQVKRSKMKLVQQGTNETATSSSVKPKGRTPKQRSAAAETNQSQDGSVSFGPVVQTSKNTVDLRGKRVSEASYELQMAIDSCRPCQVLFVVHGMGTGAVKDCAIDVLRNHPRVAKFEDESPLNYGCTVAYIQ >PAN37887 pep chromosome:PHallii_v3.1:7:33228365:33231942:1 gene:PAHAL_7G130800 transcript:PAN37887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MDMVMDMDMDMETLADDTDAALAGDSGGEAERYEAAEAEAELLRDRLRLAVISIATAEGKKAGMTVADPVVACIADLAYKSAEQMAKDAELFAQHAGRKTIKMDDVILTAHRNEHLMGLLRTFSQELKGKEPASSERKRKKSSKKDERPIDV >PAN37996 pep chromosome:PHallii_v3.1:7:34178515:34182022:1 gene:PAHAL_7G139100 transcript:PAN37996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGEKRPPGPAPASGGTARKLILALFVSLSALLYKQIQPPLPKIPGSPGGPPVTATRTRLSDGRYLAYLESGVPKEAAKYKIIFVHGFDSCRYDALPISTELAQELGIYLLSFDRPGYAESDPHPARTEKSSALDIAELADNLQLGPKFYLAGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNYWWPGLPANVSRDAWYQQLPQDRWAVWVAHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLVIPKFAHRSYMPQIRQQGEHECLHRDMMVGFGRWSWSPLQLEDPFAGGRGKVHLWHGAEDLIVPVSLSRHISGKLPWVVYHELPTSGHLFPIADGMADAIARSLLLGDDDGPRPAWGPARVR >PVH35635 pep chromosome:PHallii_v3.1:7:40320227:40323718:1 gene:PAHAL_7G231400 transcript:PVH35635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIVDHKFLKCERVINESLGTTDFVEKGTDNVVFRTCPQERGRVVFQMGTSHAVRALKAAEIVCHDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTPKDTVELARRIEKTGVPALAVHGRKIKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGALWNASIFCAKGKTPWEEVKREYVRKSLVAERIVG >PAN39259 pep chromosome:PHallii_v3.1:7:40320017:40325722:1 gene:PAHAL_7G231400 transcript:PAN39259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIVDHKFLKCERVINESLGTTDFVEKGTDNVVFRTCPQERGRVVFQMGTSHAVRALKAAEIVCHDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTPKDTVELARRIEKTGVPALAVHGRKIKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGALWNASIFCAKGKTPWEEVKREYVRKSVLWDNDVKSTKHTLKEMIMHYSCLELPEGKGVIKCDTSADLARLYGEEEYYNFVVSNRK >PAN37283 pep chromosome:PHallii_v3.1:7:13584025:13585080:1 gene:PAHAL_7G048000 transcript:PAN37283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSLLSPACSLLRRLPAAPHLSRSARFKRFDRVRRFSTAAMSTPSGPKEAPGNNPGLHTEIDPATKGYFLQQTMLRVKDPKVSLDFYSRVMGMS >PAN37927 pep chromosome:PHallii_v3.1:7:33594978:33598339:-1 gene:PAHAL_7G133400 transcript:PAN37927 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MATAGGDGDPPQPFPADLDDNGFPALPSSPAATTTTSSGFAEDFYRSGTDWSSLRAPPPRRPPPEGAPGVKAKGKEGGSLVQSSLFQAWGLERPRREEGAGAGESSLVQRSLFQAWGIERPKREGVGAGDRSPSPSRSGSWSGRKRRRGGPDEAAAAGAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSINSDYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFLLSDGKTYLHTGDFRASRSMQLHPLLQRGRINLLYLDTTYCNPKYKFPPQEDVIDFVVSTARRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWTDLSKRICPCNQSSPLHVLPLGSVNHENLKKYLGTLNQRFLAVLAFRPTGWTFSEATGKQLDLIKPRSNGSVTIYGVPYSEHSSFTELKDFVMFVRPLKVIPTVNVGNAASRDKMQAYFREWLKGS >PAN37923 pep chromosome:PHallii_v3.1:7:33591782:33598408:-1 gene:PAHAL_7G133400 transcript:PAN37923 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MATAGGDGDPPQPFPADLDDNGFPALPSSPAATTTTSSGFAEDFYRSGTDWSSLRAPPPRRPPPEGAPGVKAKGKEGGSLVQSSLFQAWGLERPRREEGAGAGESSLVQRSLFQAWGIERPKREGVGAGDRSPSPSRSGSWSGRKRRRGGPDEAAAAGAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSINSDYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFLLSDGKTYLHTGDFRASRSMQLHPLLQRGRINLLYLDTTYCNPKYKFPPQEDVIDFVVSTARRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWTDLSKRICPCNQSSPLHVLPLGSVNHENLKKYLGTLNQRFLAVLAFRPTGWTFSEATGKQLDLIKPRSNGSVTIYGVPYSEHSSFTELKDFVMFVRPLKVIPTVNVGNAASRDKMQAYFREWLKGS >PAN37925 pep chromosome:PHallii_v3.1:7:33591782:33598410:-1 gene:PAHAL_7G133400 transcript:PAN37925 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MATAGGDGDPPQPFPADLDDNGFPALPSSPAATTTTSSGFAEDFYRSGTDWSSLRAPPPRRPPPEGAPGVKAKGKEGGSLVQSSLFQAWGLERPRREEGAGAGESSLVQRSLFQAWGIERPKREGVGAGDRSPSPSRSGSWSGRKRRRGGPDEAAAAGAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSINSDYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFLLSDGKTYLHTGDFRASRSMQLHPLLQRGRINLLYLDTTYCNPKYKFPPQEDVIDFVVSTARRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWTDLSKRICPCNQSSPLHVLPLGSVNHENLKKYLGTLNQRFLAVLAFRPTGWTFSEATGKQLDLIKPRSNGSVTIYGVPYSEHSSFTELKDFVMFVRPLKVIPTVNVGNAASRDKMQAYFREWLKGS >PAN37928 pep chromosome:PHallii_v3.1:7:33594978:33598339:-1 gene:PAHAL_7G133400 transcript:PAN37928 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MATAGGDGDPPQPFPADLDDNGFPALPSSPAATTTTSSGFAEDFYRSGTDWSSLRAPPPRRPPPEGAPGVKAKGKEGGSLVQSSLFQAWGLERPRREEGAGAGESSLVQRSLFQAWGIERPKREGVGAGDRSPSPSRSGSWSGRKRRRGGPDEAAAAGAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSINSDYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFLLSDGKTYLHTGDFRASRSMQLHPLLQRGRINLLYLDTTYCNPKYKFPPQEDVIDFVVSTARRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWTDLSKRICPCNQSSPLHVLPLGSVNHENLKKYLGTLNQRFLAVLAFRPTGWTFSEATGKQLDLIKPRSNGSVTIYGVPYSEHSSFTELKDFVMFVRPLKVIPTVNVGNAASRDKMQAYFREWLKGS >PAN37924 pep chromosome:PHallii_v3.1:7:33592385:33598407:-1 gene:PAHAL_7G133400 transcript:PAN37924 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MATAGGDGDPPQPFPADLDDNGFPALPSSPAATTTTSSGFAEDFYRSGTDWSSLRAPPPRRPPPEGAPGVKAKGKEGGSLVQSSLFQAWGLERPRREEGAGAGESSLVQRSLFQAWGIERPKREGVGAGDRSPSPSRSGSWSGRKRRRGGPDEAAAAGAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSINSDYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFLLSDGKTYLHTGDFRASRSMQLHPLLQRGRINLLYLDTTYCNPKYKFPPQEDVIDFVVSTARRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWTDLSKRICPCNQSSPLHVLPLGSVNHENLKKYLGTLNQRFLAVLAFRPTGWTFSEATGKQLDLIKPRSNGSVTIYGVPYSEHSSFTELKDFVMFVRPLKVIPTVNVGNAASRDKMQAYFREWLKGS >PVH35235 pep chromosome:PHallii_v3.1:7:33593460:33598407:-1 gene:PAHAL_7G133400 transcript:PVH35235 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MATAGGDGDPPQPFPADLDDNGFPALPSSPAATTTTSSGFAEDFYRSGTDWSSLRAPPPRRPPPEGAPGVKAKGKEGGSLVQSSLFQAWGLERPRREEGAGAGESSLVQRSLFQAWGIERPKREGVGAGDRSPSPSRSGSWSGRKRRRGGPDEAAAAGAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSINSDYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFLLSDGKTYLHTGDFRASRSMQLHPLLQRGRINLLYLDTTYCNPKYKFPPQEDVIDFVVSTARRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWTDLSKRICPCNQSSPLHVLPLGSVNHENLKKYLGTLNQRFLAVLAFRPTGWTFSEATGKQLDLIKPRSNGSVTIYGVPYSEHSSFTELKDFVMFVRPLKVIPTVNVGNAASRDKMQAYFREWLKGS >PAN37926 pep chromosome:PHallii_v3.1:7:33592385:33598339:-1 gene:PAHAL_7G133400 transcript:PAN37926 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MATAGGDGDPPQPFPADLDDNGFPALPSSPAATTTTSSGFAEDFYRSGTDWSSLRAPPPRRPPPEGAPGVKAKGKEGGSLVQSSLFQAWGLERPRREEGAGAGESSLVQRSLFQAWGIERPKREGVGAGDRSPSPSRSGSWSGRKRRRGGPDEAAAAGAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSINSDYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFLLSDGKTYLHTGDFRASRSMQLHPLLQRGRINLLYLDTTYCNPKYKFPPQEDVIDFVVSTARRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWTDLSKRICPCNQSSPLHVLPLGSVNHENLKKYLGTLNQRFLAVLAFRPTGWTFSEATGKQLDLIKPRSNGSVTIYGVPYSEHSSFTELKDFVMFVRPLKVIPTVNVGNAASRDKMQAYFREWLKGS >PVH35162 pep chromosome:PHallii_v3.1:7:31450104:31456297:-1 gene:PAHAL_7G114300 transcript:PVH35162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVTTMDAISDKIVSMGITRAEIRAVDAQESLCGGVTVLVMGHLTGRTGVGREFVQSFFLAPQEKGYFVLNDILRYVGDGEGEDGAGHPPPPPQPAPEAVADAEAAPAAVLANGTVGGDNETVPREQDASPQPEQHAAEPAPPTQEEEDLNGEEVYNPPNDVEKPVVKEITVAEVINEVPNDVAVAAPSSSPPVPIEEAPKKSYASIVKVMKEYRPPAPAVPSRPAPPKPEKQAPPAPALVADAPAFSPNTQGGSFQDPEVDAHAIYVRNLPLNATPQQLEEEFKRFGTIKYEGIQVRSNKIQGFCYGFVEFEDASAVQTAIEASPVMIGERQCYVEEKRTTGSRGSNRGGRFAPGRGGNFRGEGLRGRGTYNGGRGYGRGEFNYRSDYGGRGGGRGGSSRGEVGYQRVDHSGTAGGRGTRPASATTAAAK >PAN37689 pep chromosome:PHallii_v3.1:7:31449909:31456662:-1 gene:PAHAL_7G114300 transcript:PAN37689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSPPAGPAAPGSPPPAQVVGNAFVNQYYNILHQSPELVHRFYQDASRLGRPAGAGADGMDTVTTMDAISDKIVSMGITRAEIRAVDAQESLCGGVTVLVMGHLTGRTGVGREFVQSFFLAPQEKGYFVLNDILRYVGDGEGEDGAGHPPPPPQPAPEAVADAEAAPAAVLANGTVGGDNETVPREQDASPQPEQHAAEPAPPTQEEEDLNGEEVYNPPNDVEKPVVKEITVAEVINEVPNDVAVAAPSSSPPVPIEEAPKKSYASIVKVMKEYRPPAPAVPSRPAPPKPEKQAPPAPALVADAPAFSPNTQGGSFQDPEVDAHAIYVRNLPLNATPQQLEEEFKRFGTIKYEGIQVRSNKIQGFCYGFVEFEDASAVQTAIEASPVMIGERQCYVEEKRTTGSRGSNRGGRFAPGRGGNFRGEGLRGRGTYNGGRGYGRGEFNYRSDYGGRGGGRGGSSRGEVGYQRVDHSGTAGGRGTRPASATTAAAK >PAN37688 pep chromosome:PHallii_v3.1:7:31449346:31456662:-1 gene:PAHAL_7G114300 transcript:PAN37688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSPPAGPAAPGSPPPAQVVGNAFVNQYYNILHQSPELVHRFYQDASRLGRPAGAGADGMDTVTTMDAISDKIVSMGITRAEIRAVDAQESLCGGVTVLVMGHLTGRTGVGREFVQSFFLAPQEKGYFVLNDILRYVGDGEGEDGAGHPPPPPQPAPEAVADAEAAPAAVLANGTVGGDNETVPREQDASPQPEQHAAEPAPPTQEEEDLNGEEVYNPPNDVEKPVVKEITVAEVINEVPNDVAVAAPSSSPPVPIEEAPKKSYASIVKVMKEYRPPAPAVPSRPAPPKPEKQAPPAPALVADAPAFSPNTQGGSFQDPEVDAHAIYVRNLPLNATPQQLEEEFKRFGTIKYEGIQVRSNKIQGFCYGFVEFEDASAVQTAIEASPVMIGERQCYVEEKRTTGSRGSNRGGRFAPGRGGNFRGEGLRGRGTYNGGRGYGRGEFNYRSDYGGRGGGRGGSSRGEVGYQRVDHSGTAGGRGTRPASATTAAAKSEACLQMSSAWQHQHQPTLLPHQSLQKMEGGLAELIM >PAN38366 pep chromosome:PHallii_v3.1:7:36301206:36302815:1 gene:PAHAL_7G166900 transcript:PAN38366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHGSSSPALPLLVLSLLLLSPPGTLAGGDGSPPSRPIVTPISKDGSTSLYTIPVKGGAPLVLDLAGPLVWSPCQPGHRTVPCKSSVCTVANRNHPANCAYTGTGQPGSPDPTCACTAYPYNPASGQCGSGDLTKMPLSANATDGRNPLFPVSFPAYAACAPDGLLASLPAGAAGVAGLSRQPLSLPSQVASRLKVAKQFALCLAGDGQTGAAIFGGGPFELQAAQPMELAEDLRKNALPLLVNPKSGAYYFRLHGIAVNGAQLSVPAGAFDLDRRQGTGGVLFSTVTRFTTLRSDIFSPLINAFEALTRDVPRRKPFPPFDLCFDASGFPSTRVGPGVANIDLMLDGGRNWTLPGASSLVQVGGSTVCFAFQNMGFGATVANSPAVIIGTHQMEDNLVLFDLEKGTFGVSGLLLGRSTHCGNFNFAMGSS >PAN40072 pep chromosome:PHallii_v3.1:7:44142349:44146339:-1 gene:PAHAL_7G289800 transcript:PAN40072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKYEPAREGLPDGWLKERRPRKNRYGSRIKGDMFYIDPINGYEFRSLKDVYRYIESGDISQCVTLPNKRKIEDLHTAGDQSDRTGNPSDHKQSDAANESNQYDIPRGTNTLRNVQRETVRVEATESKSIQSGLIEHTPGKDESVTRTGENVEQKTKEKKRKTKPVKGIATPLRSSPRLAALKISQEANNNAPRDEPISTYSDITNQSQKKQVQKPRRKANSSVLLEMKDGTPTASSSEKFEDKYRSVPNQVQGASVPYSSGDVGCHNAPAETPVLPQQVGQGETSDNMPGSALSTLFRHVWSDPCLVFAFRTLMGDIPVLNDTLAYRSSAYDGNRNYFIPPQNLNKGATTNWSSSAYDGNRNQMQVNHVGLSVPRPSDKFYGSGWFPPQ >PVH35125 pep chromosome:PHallii_v3.1:7:30701419:30703339:1 gene:PAHAL_7G110000 transcript:PVH35125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQRIGRPSDKLGVIVVDENLCHANAARRLLVKLDFQVLVYTSPVEALKFLKDHIKDIDFALVAVNMKEMHGFQFLDISRKSHKNLQVIMMSVDLTWPTVKRSVELGARFLVKKPLDANTINNIWQHLDLKFYWREKIEYLFQGIEPKNDDVFESENKFGEGKRKVAHLMWTPFLQKKFLQALELLGEAATPRKVQLIMNVNSIGRKQISAHLQKHRKKVEKELRNTDAKKCSNDPEIQPANISDEEMSWDETGNTQETQGKTMYEAMRRALKLGTVFDESQLPNDPFGRQASKGEVDMTGDGYGRDDWTYAFGGNSVVSETQNAENAKGVMGTKCDSDKQVPNGDAQAQVMKLVTYSDSEDGEAF >PAN40246 pep chromosome:PHallii_v3.1:7:44907724:44909753:1 gene:PAHAL_7G302600 transcript:PAN40246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVNKKKVACVTGGSGYIASALIKMLLEKGYAVKTTVRNPDDTAKNSHLRELQALGPLTVLRADLDEEGSFDDAVAGCDYAFLVAAPVNLETENAEEELIEPAVRGTLNVMRSCAKAGTVKRVVLTSSASSVTRRPDLQGDGHVLDEESWSDVEHLRAEKPPTWGYCVSKVLVEKAASRFAQEHSISLVTLCPVVTVGAAPARRTRTSVPNCLSLLSGDEAEFAVLAAIEKSCSTMPLVHLDDVCRAELFVAEEPAAAGRYLCSSLDTTIRELARFLAHKYPQYPVKTNLLSGDLLEKPRMRLSSAKLMREGFEYKYETLDGMYDDMIEYGKALGILPN >PAN39370 pep chromosome:PHallii_v3.1:7:40744929:40745838:1 gene:PAHAL_7G237900 transcript:PAN39370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPPLQSLGPRGRAPPFDFVFPAVFFVGWRTDVLHGVPPRRGLMRRSVEVSGKSKQRTWIRWLVIGLGCSLWWKG >PVH34894 pep chromosome:PHallii_v3.1:7:17338608:17339088:-1 gene:PAHAL_7G057200 transcript:PVH34894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQSTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQSLQQIHAQPPPPPPPQPRDRRADFLRGHPPTFSHATDPLQADDWLHSQGSCEAPLLTGGSPTQLGIVRLSRGTSSVSVSGTITFLRAS >PVH36106 pep chromosome:PHallii_v3.1:7:47997922:47999930:-1 gene:PAHAL_7G352100 transcript:PVH36106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAITEIDLYKFAPWDLPEKASLESNDLVWYFFCPRDRKYSSGLRTNRSTGVGYWKATGKDRPVFYNSRTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDEELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEEDWNDASNVECSPFASSVAPCAPESSHGGLNSAGQHLAVSYDGKVSLAPLSESNNEHTVNRVHPDRPSPSIPVDSIHIQLLAEIIRCSSTNLLCTAAEDGSLPDSTAGYDNEDGESLDDIETIFCGVDELASQPVVNNSNHCDSCEHLIHHMPEARGTEQYLELNDLFFSLAEGPDSSGMLLSNEISVEQPFDLEPRIERDSLDCISNTVNTSTSTADGPFPSVCAVDVHRT >PAN40939 pep chromosome:PHallii_v3.1:7:47997301:48000932:-1 gene:PAHAL_7G352100 transcript:PAN40939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAITEIDLYKFAPWDLPEKASLESNDLVWYFFCPRDRKYSSGLRTNRSTGVGYWKATGKDRPVFYNSRTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDEELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEEDWNDASNVECSPFASSVAPCAPESSHGGLNSAGQHLAVSYDGKVSLAPLSESNNEHTVNRVHPDRPSPSIPVDSIHIQLLAEIIRCSSTNLLCTAAEDGSLPDSTAGYDNEDGESLDDIETIFCGVDELASQPVVNNSNHCDSCEHLIHHMPEARGTEQYLELNDLFFSLAEGPDSSGMLLSNEISVEQPFDLEPRIERDSLDCISNTVNTSTSTADGPFPSVCAVDVHRT >PAN40938 pep chromosome:PHallii_v3.1:7:47997335:48000926:-1 gene:PAHAL_7G352100 transcript:PAN40938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAITEIDLYKFAPWDLPEKASLESNDLVWYFFCPRDRKYSSGLRTNRSTGVGYWKATGKDRPVFYNSRTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDEELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEEDWNDASNVECSPFASSVAPCAPESSHGGLNSAGQHLAVSYDGKVSLAPLSESNNEHTVNRVHPDRPSPSIPVDSIHIQLLAEIIRCSSTNLLCTAAEDGSLPDSTAGYDNEDGESLDDIETIFCGVDELASQPVVNNSNHCDSCEHLIHHMPEARGTEQYLELNDLFFSLAEGPDSSGMLLSNEISVEQPFDLEPRIERDSLDCISNTVNTSTSTADGPFPSVCAVDVHRT >PAN40936 pep chromosome:PHallii_v3.1:7:47997340:48000926:-1 gene:PAHAL_7G352100 transcript:PAN40936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAITEIDLYKFAPWDLPEKASLESNDLVWYFFCPRDRKYSSGLRTNRSTGVGYWKATGKDRPVFYNSRTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDEELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEEDWNDASNVECSPFASSVAPCAPESSHGGLNSAGQHLAVSYDGKVSLAPLSESNNEHTVNRVHPDRPSPSIPVDSIHIQLLAEIIRCSSTNLLCTAAEDGSLPDSTAGYDNEDGESLDDIETIFCGVDELASQPVVNNSNHCDSCEHLIHHMPEARGTEQYLELNDLFFSLAEGPDSSGMLLSNEISVEQPFDLEPRIERDSLDCISNTVNTSTSTADGPFPSVCAVDVHRT >PAN40937 pep chromosome:PHallii_v3.1:7:47997319:48000926:-1 gene:PAHAL_7G352100 transcript:PAN40937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAITEIDLYKFAPWDLPEKASLESNDLVWYFFCPRDRKYSSGLRTNRSTGVGYWKATGKDRPVFYNSRTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDEELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEEDWNDASNVECSPFASSVAPCAPESSHGGLNSAGQHLAVSYDGKVSLAPLSESNNEHTVNRVHPDRPSPSIPVDSIHIQLLAEIIRCSSTNLLCTAAEDGSLPDSTAGYDNEDGESLDDIETIFCGVDELASQPVVNNSNHCDSCEHLIHHMPEARGTEQYLELNDLFFSLAEGPDSSGMLLSNEISVEQPFDLEPRIERDSLDCISNTVNTSTSTADGPFPSVCAVDVHRT >PAN40345 pep chromosome:PHallii_v3.1:7:45188316:45190516:-1 gene:PAHAL_7G307800 transcript:PAN40345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLARRALHRWLPVHLPPQPLVPFSCGIRGHIFLRFSTSSSDQPHFMVDYLVSTCGLPPDKAAKAAPRFAHLSSPSRPDAVLAFLRSRGLTRAQARAVVSWNPAVLLSDVDATIAPKFRAVRSLGLTRAEAVRLFSLYPPALAMGVHTNLLPRLLLWLDLLGSARLLMKWLAKTWLLRNSVDALLQNLGALRSHGVPEARLAATVRLKPSLILQSPAKLRALTARVDACGVPRGSRMYAWALLTLHNVSDTAFRAKRAAVMRGTGCTEQEFLAMFRRAPCFLFMSAELLRRKVEFLVDTVGCGADHIVRDPVLLTLSVSKRMVPRCRAIEALKARGVDIGRERLVNIVRASEARFVERYILRYSDQAPELLEMYPPDHRKGSSRGDCSTIASSCSSQGD >PAN38938 pep chromosome:PHallii_v3.1:7:38757322:38759352:1 gene:PAHAL_7G208100 transcript:PAN38938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAVHMCICMCGHARWRSWLYIEHDGWVQRECVMPPSVARPHQEAVAHPRRLCSWIYRRNSYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLGPAYS >PAN38937 pep chromosome:PHallii_v3.1:7:38757322:38758874:1 gene:PAHAL_7G208100 transcript:PAN38937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAVHMCICMCGHARWRSWLYIEHDGWVQRECVMPPSVARPHQEAVAHPRRLCSWIYRRNSYCSLLFCKLLPPSKTSCLHNLGKAESLRYALHVFDEMHKLGPAYS >PAN36860 pep chromosome:PHallii_v3.1:7:1273076:1276894:1 gene:PAHAL_7G005700 transcript:PAN36860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRPHSPAKQHLLRHHPPFGPPSQPSSPLRHSSSSSSSPRTHHHLAAGYPHPFLFLSRRPLPRFAAFFLLGSFLGLLHFLSHLPHTPHIRPADSSYSPNPVASAADQFPIRVQDDDDAADDNRKKLLIVVTPTRARAAQAYYLARMGQTLRLVDTPLLWVVVEAGKPTPEAAAALRRTAVMHRYVGCCDKLNASSAAADLRPRQMNAALELVENHRLDGIVYFAHEEGVYSLDLFQRLRQIRRFGTWPVPVISENKKDGVVLEGPVCKQNQVVGWHTSEENSTILRFHVAMSGFAFNSTMLWDTKLRSHVAWNSIRHPETVKGGFQGTTFVEQLVEDESQMEGVPADCSHIMNWHVPFGSENLAYPKGWRVGTNLDVIIPLK >PAN39416 pep chromosome:PHallii_v3.1:7:40922187:40929060:1 gene:PAHAL_7G241000 transcript:PAN39416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDKIAEPKDPLVLTARKVQSLEPPIPIKASWKGKNSQQQEEKDLPADGEESFRSLDSSDEGGRSSFSGASHPPEPIDMDIMKTVYVAIDEEKSEPPVCLVRGVSMKGPFMDDLSVRVTGTKANLVVGAGTAEGLAEERKVSSSAVASLATARSSQATSLPQDSEEKECVWDASLPPSGNVSPHSSIDSMGVVTAMSTLNSCASTYKSEAVASEGMLAVERNFEGVKSVRGDLQESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRWKAIHAVRTRDSVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGSFCVQPACMEPTSACIQPACFLPKFFGQKSKKKTRKTRSELGQNAGTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYASRDLIRGLLVKEPQNRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >PAN37995 pep chromosome:PHallii_v3.1:7:34175910:34177889:1 gene:PAHAL_7G139000 transcript:PAN37995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGGAASARREGATAPGKNGAPPAAAALSGALRRLALALLVFFSALLYGQIQPPPSKIPGAPGGPPVTAPRMRLRDGRHLAYLESGVPKEEAKYKIIFVHGFDCCRYDVLNVSQGLFQDLGIYLLSFDRPGYAESDAHPARTEKSIALDIEELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLAGVAILAPVGNYWWSGFPPEVFEEAWYVQFPQDRRAVWVAHHLPWLTHWWNTQNLFPSSSVKGKNPIILSKEDLPLSQKFIDRTYKEQVRQLGEHDSLHRDMMVGFGKWSWSPLEMENPFAGAGDGEVKVHLWHGVEDLFVPVQLSRYISKRLPWVIYHELPTAGHLFPVADGMPDVIVRSLILGDE >PVH35275 pep chromosome:PHallii_v3.1:7:34175910:34177889:1 gene:PAHAL_7G139000 transcript:PVH35275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGGAASARREGATAPGKNGAPPAAAALSGALRRLALALLVFFSALLYGQIQPPPSKIPGAPGGPPVTAPRMRLRDGRHLAYLESGVPKEEAKYKIIFVHGFDCCRYDVLNVSQGLFQDLGIYLLSFDRPGYAESDAHPARTEKSIALDIEELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLAGVAILAPVGNYWWSGFPPEVFEEAWYVQFPQDRRAVWVAHHLPWLTHWWNTQNLFPSSSVKGKNPIILSKEDLPLSQKFIDRTYKVRQLGEHDSLHRDMMVGFGKWSWSPLEMENPFAGAGDGEVKVHLWHGVEDLFVPVQLSRYISKRLPWVIYHELPTAGHLFPVADGMPDVIVRSLILGDE >PAN39431 pep chromosome:PHallii_v3.1:7:41043295:41046662:-1 gene:PAHAL_7G242300 transcript:PAN39431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMIAVSLSAKIAASLSAPAAVELSSLFAIRSGVAAAARELDLLRAFLRFADSRRGTDTPAAAWIDQVRDVAFELEDVADEYSFLSGRGFVRGCANLGAWFALSRRLRRARERLRELSVAKEEYDILPAVASAERLPAVGGSATVISRKVADTAHFLGEEEIVGFAAHRRLLIEWLAEDAEPRRTLVAVLGMGGVGKTTLATSVYKELAASFHFDCAAWVSVSKNFTTEDLLRKVFKELHRDVHTGVPTEVDEMNYRSLVEALQGFLSKKRYLVLLDDVWDAGAWYEIRSAFVENGTGSRIIITTRSQEVANLAKSTRIILLQPLSEKEAWTLFCNTTFREDTDRECPRHLELWALKILDKCSGLPLAIVSVGNLLALKEESEFAWKNVHDSLVWDESSDHRIGQVSSILNLSIDDLPYHLKRCFLYCSIYPEDFFVKRKILIRMWIAEGFVEEKKDATMEDVADDYLDQLVQRSLLQVIRKNEFGRAKRFQIHDLIRDLIISRSAKEGLFVFSKCTATFEANCNFRHLIIDQCRFSDLPTPKLAFLRSLHGFKTDLDASLLSQFRLLTVLSLWYIPTDKLPSSVTYLLNLCYLGIRSTLIKELPHELGRLHKLQTLDAKWSMVQRLPCSITKLKGLRHLILLRRQAADFRYQFPGKSVVLPDGMKNLTCLQTLKYIEADEKLVRSLGSFKRMRSLELSGVHEGNLIHLPSSISKMSRLTCLGIVSRDDHVQLDLESFSPPPLKLQKLTISGRLIGGKLPSWFGHLSNLMQLQLHSSELKEDSIRLLSSLPKLVDLSLMDTYKGNSLTFAAGCFPVLRKLKLQDLANLTHLEFQKGGLVNLHRLILGKCANLMTIPQGLEHLMHLRNLKLSEMPSELTENIQEVQELEGNHQDAGHTTIVKVICIQNECLLEKKIHTNLRTLQK >PVH35477 pep chromosome:PHallii_v3.1:7:37972873:37973187:1 gene:PAHAL_7G194400 transcript:PVH35477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAPNQRVLSCTIISFGRTRPAADRTRITWRNSLSTPCARHELAARPDARSADQQPSKIVVATRGGRGIPIRRRPHVRREPACRRRRARAAHGEREREIEML >PVH34905 pep chromosome:PHallii_v3.1:7:18896041:18896464:1 gene:PAHAL_7G062000 transcript:PVH34905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIVCLVISKQSKKISRSDLVIRMRQIIGDKILVSTVMRLQQKFPPMAAAGLPRALGRGRE >PVH35720 pep chromosome:PHallii_v3.1:7:41842663:41849066:1 gene:PAHAL_7G255600 transcript:PVH35720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSAAAFFGIRDGDQQDQIKPLISPQQQQLAAALPGAASNAPASGQGAPTAAAQPPPKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTGHLYGATNAGNMALSLSQVGSHLNSTLQDGHHHHHHHPSPELLRLGAAAGGGGSIAARLDHLLSPTSGASAFRPAPQAPPSSAAFFLNVAGQDFGDDAGNGPHSFMQGKAFHGLMQLPDLQGNGAGGPGGSAPGLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSGGAGGGGGGGGGSEASAAGIFGGNFVGGGDHVPTPGLYGGDQATMLPQMSATALLQKAAQMGATSSPNGAASMFRGFVGSSPHGRPATPHMEQSEANLNDLMNSLAGGGVSAAGMFGGSNGGGGGAGMFDPRQLCDMEHEVKFGQGGGDMTRDFLGVGGGGIVRGISTPRADHQSSSDMSSLEAEMKSASSFNGGRMP >PAN39612 pep chromosome:PHallii_v3.1:7:41842293:41849066:1 gene:PAHAL_7G255600 transcript:PAN39612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSAAAFFGIRDGDQQDQIKPLISPQQQQLAAALPGAASNAPASGQGAPTAAAQPPPKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTGHLYGATNAGNMALSLSQVGSHLNSTLQDGHHHHHHHPSPELLRLGAAAGGGGSIAARLDHLLSPTSGASAFRPAPQAPPSSAAFFLNVAGQDFGDDAGNGPHSFMQGKAFHGLMQLPDLQGNGAGGPGGSAPGLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSGGAGGGGGGGGGSEASAAGIFGGNFVGGGDHVPTPGLYGGDQATMLPQMSATALLQKAAQMGATSSPNGAASMFRGFVGSSPHGRPATPHMEQSEANLNDLMNSLAGGGVSAAGMFGGSNGGGGGAGMFDPRQLCDMEHEVKFGQGGGDMTRDFLGVGGGGIVRGISTPRADHQSSSDMSSLEAEMKSASSFNGGRMP >PAN39613 pep chromosome:PHallii_v3.1:7:41842267:41849066:1 gene:PAHAL_7G255600 transcript:PAN39613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSAAAFFGIRDGDQQDQIKPLISPQQQQLAAALPGAASNAPASGQGAPTAAAQPPPKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTGHLYGATNAGNMALSLSQVGSHLNSTLQDGHHHHHHHPSPELLRLGAAAGGGGSIAARLDHLLSPTSGASAFRPAPQAPPSSAAFFLNVAGQDFGDDAGNGPHSFMQGKAFHGLMQLPDLQGNGAGGPGGSAPGLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSGGAGGGGGGGGGSEASAAGIFGGNFVGGGDHVPTPGLYGGDQATMLPQMSATALLQKAAQMGATSSPNGAASMFRGFVGSSPHGRPATPHMEQSEANLNDLMNSLAGGGVSAAGMFGGSNGGGGGAGMFDPRQLCDMEHEVKFGQGGGDMTRDFLGVGGGGIVRGISTPRADHQSSSDMSSLEAEMKSASSFNGGRMP >PVH35744 pep chromosome:PHallii_v3.1:7:42324118:42325269:-1 gene:PAHAL_7G261500 transcript:PVH35744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGERGSRVSESGRECGGAAAAVRALPFIVRSERCRPCAVVSSCDAATTRWQIHCYLPSTTLPSPPSISSTPHPLLPLSSAASPTTAGTRDVGPRMVAGSSSVAASRTPPTFVVAGHTPFRGISLVLLKAQKLKQLLWAYGQ >PVH35973 pep chromosome:PHallii_v3.1:7:46420698:46426844:-1 gene:PAHAL_7G325400 transcript:PVH35973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYHQQRRFAGSGDAPPPPQQPPHPSHPNAHWYPAAPPPYPSHPSHPYPPQHHHHWGSPHDLQQQHQRHPPPPQQQLYGYQQPPPPIAIQQQQQPPPPPGNPWPPHHASGQPPPANYPPPPPGQAWTNHSWAQNHGYPGQHRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQGQPFPTASTVQGGFLQATPSMPVVPSAEQPPFGHERQSVDPSDQPLEFNSLKAPDVVVHTNVNSTIPAAPTLASNYDVVTTSTHSWTPSTTVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPATFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPSNASAHPTNLNSMESHDAEQPLGIPDQSDSRSNDSAKSTEGNEDDEDEIEASRVAAINREIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAESNEPAGVGSKEPGPGEARTKTTAKVLLPAKPTNISSSDHKDSTGLSSPKGALLGLASYDSDDDDDDNDSKDKIPISDLSANAGVADTEEGDKSTLGKEHMNHDGKKLSRGSTSSGEDLKSINKNSQRSTKVEPEREHIHDIQNGEFPVDAKTFVKPNSAVDKMDEKADRYAAVDVQNRKTSSNNHAEKYDDLESSHRHLEKSSKDFVKEVKTDHAKEHESFTAKKYNSDDKYSIHGNVDKKSSFKEGKGSDRTSKHESNRRDSRSNSKHDGAKADRKDFPKDTRERDRDTTDRRGGKGKDEKDGRSRQMTKGSTSHSRNSRSRSPQGRSRSRRENSSHVQGSVSSDEPSDSMKKRKHHSRKNSMSPSPPKSRNRYPIYAPSLYC >PAN40573 pep chromosome:PHallii_v3.1:7:46419119:46426924:-1 gene:PAHAL_7G325400 transcript:PAN40573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYHQQRRFAGSGDAPPPPQQPPHPSHPNAHWYPAAPPPYPSHPSHPYPPQHHHHWGSPHDLQQQHQRHPPPPQQQLYGYQQPPPPIAIQQQQQPPPPPGNPWPPHHASGQPPPANYPPPPPGQAWTNHSWAQNHGYPGQCNEEDWATKAKAWVAAKSVTGNHQIKQHAISTSRTESHHNGYHDQYWQPAGLPTEVTEHLHPPVPQSSNDHMPFPMTGQHRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQGQPFPTASTVQGGFLQATPSMPVVPSAEQPPFGHERQSVDPSDQPLEFNSLKAPDVVVHTNVNSTIPAAPTLASNYDVVTTSTHSWTPSTTVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPATFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPSNASAHPTNLNSMESHDAEQPLGIPDQSDSRSNDSAKSTEGNEDDEDEIEASRVAAINREIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAESNEPAGVGSKEPGPGEARTKTTAKVLLPAKPTNISSSDHKDSTGLSSPKGALLGLASYDSDDDDDDNDSKDKIPISDLSANAGVADTEEGDKSTLGKEHMNHDGKKLSRGSTSSGEDLKSINKNSQRSTKVEPEREHIHDIQNGEFPVDAKTFVKPNSAVDKMDEKADRYAAVDVQNRKTSSNNHAEKYDDLESSHRHLEKSSKDFVKEVKTDHAKEHESFTAKKYNSDDKYSIHGNVDKKSSFKEGKGSDRTSKHESNRRDSRSNSKHDGAKADRKDFPKDTRERDRDTTDRRGGKGKDEKDGRSRQMTKGSTSHSRNSRSRSPQGRSRSRRENSSHVQGSVSSDEPSDSMKKRKHHSRKNSMSPSPPKSRNRMRRSRSRTPVKRR >PVH35974 pep chromosome:PHallii_v3.1:7:46420698:46426844:-1 gene:PAHAL_7G325400 transcript:PVH35974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYHQQRRFAGSGDAPPPPQQPPHPSHPNAHWYPAAPPPYPSHPSHPYPPQHHHHWGSPHDLQQQHQRHPPPPQQQLYGYQQPPPPIAIQQQQQPPPPPGNPWPPHHASGQPPPANYPPPPPGQAWTNHSWAQNHGYPGQCNEEDWATKAKAWVAAKSVTGNHQIKQHAISTSRTESHHNGYHDQYWQPAGLPTEVTEHLHPPVPQSSNDHMPFPMTGQHRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQGQPFPTASTVQGGFLQATPSMPVVPSAEQPPFGHERQSVDPSDQPLEFNSLKAPDVVVHTNVNSTIPAAPTLASNYDVVTTSTHSWTPSTTVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPATFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPSNASAHPTNLNSMESHDAEQPLGIPDQSDSRSNDSAKSTEGNEDDEDEIEASRVAAINREIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAESNEPAGVGSKEPGPGEARTKTTAKVLLPAKPTNISSSDHKDSTGLSSPKGALLGLASYDSDDDDDDNDSKDKIPISDLSANAGVADTEEGDKSTLGKEHMNHDGKKLSRGSTSSGEDLKSINKNSQRSTKVEPEREHIHDIQNGEFPVDAKTFVKPNSAVDKMDEKADRYAAVDVQNRKTSSNNHAEKYDDLESSHRHLEKSSKDFVKEVKTDHAKEHESFTAKKYNSDDKYSIHGNVDKKSSFKEGKGSDRTSKHESNRRDSRSNSKHDGAKADRKDFPKDTRERDRDTTDRRGGKGKDEKDGRSRQMTKGSTSHSRNSRSRSPQGRSRSRRENSSHVQGSVSSDEPSDSMKKRKHHSRKNSMSPSPPKSRNRYPIYAPSLYC >PVH35972 pep chromosome:PHallii_v3.1:7:46420638:46426844:-1 gene:PAHAL_7G325400 transcript:PVH35972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYHQQRRFAGSGDAPPPPQQPPHPSHPNAHWYPAAPPPYPSHPSHPYPPQHHHHWGSPHDLQQQHQRHPPPPQQQLYGYQQPPPPIAIQQQQQPPPPPGNPWPPHHASGQPPPANYPPPPPGQAWTNHSWAQNHGYPGQCNEEDWATKAKAWVAAKSVTGNHQIKQHAISTSRTESHHNGYHDQYWQPAGLPTEVTEHLHPPVPQSSNDHMPFPMTGQHRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQGQPFPTASTVQGGFLQATPSMPVVPSAEQPPFGHERQSVDPSDQPLEFNSLKAPDVVVHTNVNSTIPAAPTLASNYDVVTTSTHSWTPSTTVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPATFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPSNASAHPTNLNSMESHDAEQPLGIPDQSDSRSNDSAKSTEGNEDDEDEIEASRVAAINREIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAESNEPAGVGSKEPGPGEARTKTTAKVLLPAKPTNISSSDHKDSTGLSSPKGALLGLASYDSDDDDDDNDSKDKIPISDLSANAGVADTEEGDKSTLGKEHMNHDGKKLSRGSTSSGEDLKSINKNSQRSTKVEPEREHIHDIQNGEFPVDAKTFVKPNSAVDKMDEKADRYAAVDVQNRKTSSNNHAEKYDDLESSHRHLEKSSKDFVKEVKTDHAKEHESFTAKKYNSDDKYSIHGNVDKKSSFKEGKGSDRTSKHESNRRDSRSNSKHDGAKADRKDFPKDTRERDRDTTDRRGGKGKDEKDGRSRQMTKGSTSHSRNSRSRSPQGRSRSRRENSSHVQGSVSSDEPSDSMKKRKHHSRKNSMSPSPPKSRNR >PAN40571 pep chromosome:PHallii_v3.1:7:46419567:46426844:-1 gene:PAHAL_7G325400 transcript:PAN40571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYHQQRRFAGSGDAPPPPQQPPHPSHPNAHWYPAAPPPYPSHPSHPYPPQHHHHWGSPHDLQQQHQRHPPPPQQQLYGYQQPPPPIAIQQQQQPPPPPGNPWPPHHASGQPPPANYPPPPPGQAWTNHSWAQNHGYPGQHRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQGQPFPTASTVQGGFLQATPSMPVVPSAEQPPFGHERQSVDPSDQPLEFNSLKAPDVVVHTNVNSTIPAAPTLASNYDVVTTSTHSWTPSTTVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPATFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPSNASAHPTNLNSMESHDAEQPLGIPDQSDSRSNDSAKSTEGNEDDEDEIEASRVAAINREIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAESNEPAGVGSKEPGPGEARTKTTAKVLLPAKPTNISSSDHKDSTGLSSPKGALLGLASYDSDDDDDDNDSKDKIPISDLSANAGVADTEEGDKSTLGKEHMNHDGKKLSRGSTSSGEDLKSINKNSQRSTKVEPEREHIHDIQNGEFPVDAKTFVKPNSAVDKMDEKADRYAAVDVQNRKTSSNNHAEKYDDLESSHRHLEKSSKDFVKEVKTDHAKEHESFTAKKYNSDDKYSIHGNVDKKSSFKEGKGSDRTSKHESNRRDSRSNSKHDGAKADRKDFPKDTRERDRDTTDRRGGKGKDEKDGRSRQMTKGSTSHSRNSRSRSPQGRSRSRRENSSHVQGSVSSDEPSDSMKKRKHHSRKNSMSPSPPKSRNRRISRSPHSKHSHRRHSPYSSDNRMRRSRSRTPVKRR >PAN40570 pep chromosome:PHallii_v3.1:7:46419118:46426924:-1 gene:PAHAL_7G325400 transcript:PAN40570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYHQQRRFAGSGDAPPPPQQPPHPSHPNAHWYPAAPPPYPSHPSHPYPPQHHHHWGSPHDLQQQHQRHPPPPQQQLYGYQQPPPPIAIQQQQQPPPPPGNPWPPHHASGQPPPANYPPPPPGQAWTNHSWAQNHGYPGQCNEEDWATKAKAWVAAKSVTGNHQIKQHAISTSRTESHHNGYHDQYWQPAGLPTEVTEHLHPPVPQSSNDHMPFPMTGQHRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQGQPFPTASTVQGGFLQATPSMPVVPSAEQPPFGHERQSVDPSDQPLEFNSLKAPDVVVHTNVNSTIPAAPTLASNYDVVTTSTHSWTPSTTVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPATFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPSNASAHPTNLNSMESHDAEQPLGIPDQSDSRSNDSAKSTEGNEDDEDEIEASRVAAINREIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAESNEPAGVGSKEPGPGEARTKTTAKVLLPAKPTNISSSDHKDSTGLSSPKGALLGLASYDSDDDDDDNDSKDKIPISDLSANAGVADTEEGDKSTLGKEHMNHDGKKLSRGSTSSGEDLKSINKNSQRSTKVEPEREHIHDIQNGEFPVDAKTFVKPNSAVDKMDEKADRYAAVDVQNRKTSSNNHAEKYDDLESSHRHLEKSSKDFVKEVKTDHAKEHESFTAKKYNSDDKYSIHGNVDKKSSFKEGKGSDRTSKHESNRRDSRSNSKHDGAKADRKDFPKDTRERDRDTTDRRGGKGKDEKDGRSRQMTKGSTSHSRNSRSRSPQGRSRSRRENSSHVQGSVSSDEPSDSMKKRKHHSRKNSMSPSPPKSRNRRISRSPHSKHSHRRHSPYSSDNRMRRSRSRTPVKRR >PAN40572 pep chromosome:PHallii_v3.1:7:46419119:46426924:-1 gene:PAHAL_7G325400 transcript:PAN40572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYHQQRRFAGSGDAPPPPQQPPHPSHPNAHWYPAAPPPYPSHPSHPYPPQHHHHWGSPHDLQQQHQRHPPPPQQQLYGYQQPPPPIAIQQQQQPPPPPGNPWPPHHASGQPPPANYPPPPPGQAWTNHSWAQNHGYPGQHRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQGQPFPTASTVQGGFLQATPSMPVVPSAEQPPFGHERQSVDPSDQPLEFNSLKAPDVVVHTNVNSTIPAAPTLASNYDVVTTSTHSWTPSTTVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPATFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPSNASAHPTNLNSMESHDAEQPLGIPDQSDSRSNDSAKSTEGNEDDEDEIEASRVAAINREIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAESNEPAGVGSKEPGPGEARTKTTAKVLLPAKPTNISSSDHKDSTGLSSPKGALLGLASYDSDDDDDDNDSKDKIPISDLSANAGVADTEEGDKSTLGKEHMNHDGKKLSRGSTSSGEDLKSINKNSQRSTKVEPEREHIHDIQNGEFPVDAKTFVKPNSAVDKMDEKADRYAAVDVQNRKTSSNNHAEKYDDLESSHRHLEKSSKDFVKEVKTDHAKEHESFTAKKYNSDDKYSIHGNVDKKSSFKEGKGSDRTSKHESNRRDSRSNSKHDGAKADRKDFPKDTRERDRDTTDRRGGKGKDEKDGRSRQMTKGSTSHSRNSRSRSPQGRSRSRRENSSHVQGSVSSDEPSDSMKKRKHHSRKNSMSPSPPKSRNRMRRSRSRTPVKRR >PAN38934 pep chromosome:PHallii_v3.1:7:38744258:38746437:1 gene:PAHAL_7G207900 transcript:PAN38934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIAQQFMLELTAPRAWLLLLLPVFLLLARYSFRAKRARKMRQQQDDHLPTSPPALPGLGHLHLVGSLPHVSLRSLARKHGHDLMLLRLGAMSVVVVSSPRAAQAVLRTHDHVFASRPHSLVAEIVLYGPSDVGFAPHGEYWRQARKLVTTHLLTVKRVQLLRHAREEEVSMVMAKIGEAAGTGAAVDVGDLLGSFTNDLACRAVMGKSSSRNDDRSKLFRQLVVDTSPLLGGFHVEEFFPFLARFGVLSRVVRAKSERLRRRWDELLDRLIDDHESKYKPTMAAASASDQKDEDDDFIHILLYVRQEYGLTREHMKAILLDVFFGGIDTAAAVLENTVVELMKKPRVMKKLQAEVRSIVPKGQEIVGEADLNGMAYLRAVIKESLRLRTVTPLLAPHFSMASCSVDGVVVPAGVRVLVNAWAIGRDPRYWGEDAEEFVPERFLDGGSAAGVGFKGNDFQFLPFGTGRRQCPGMNFGMAAVEVMLATLVHRFDWEMPAGKEARDIDMSEEFGLVVHRKEKLLLVPKLRV >PAN39828 pep chromosome:PHallii_v3.1:7:40334144:40336962:1 gene:PAHAL_7G231700 transcript:PAN39828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVSNPSSIKRIALVTGGNKGVGLETCRQLATKGLKVVLTARNEARGLEAVEAIRRSSGGGAAEVFFHQLDVTDPSSAARLADFVRGQFGRLDILINNAGISGVDRDPVLVAKVKDQVEGMDVNQRVEWMRENSKETYEEAKQCIRTNYYGAKIVTEALLPLLQLSSSGRIVNVSSGFGLLRNFNSEELRKEFDDIDNLTGKRLEELLDLFLEDFKSNLLEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLRINCLTPGYVKTDMSMHMGVLTLEEGSRNPVKVALLPDDGPTGAYFDLNGEAPFV >PAN40177 pep chromosome:PHallii_v3.1:7:44623792:44626545:1 gene:PAHAL_7G297400 transcript:PAN40177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAATPPRGVAAPRTPPSLQTLRCSHRHLLIRTKGAANLRRLSCCRATDGRRVDRRDVLLGLGGAAAAAGLATSHGGGALAAPVQAPDLQSCHPPEDLPDTVPDVSCCLTYRPGAAVVDFEPPPAGSPLRVRPAAHLVGEEYLTKYERAVALMKELPDDDPRSFAQQWRVHCAYCNVAYDQVGFPDLGLQIHSCWLFYPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPGGMTLPAIYADESSPLYDERRNPAHQPQFTLDLDYNGTDDSTITRDQQVDQNLRIMYRQMISGAKKKELFFGQPYRKGNQPDPGAGTIENTPHNTIHFWSGDTRQPNGEDMGNFYSAARDPVFFAHHANIDRMWHVWSGLRPGNTGFTDPDWLDASFLFYDEEARPVRVRVRDCLDAAALRYTYQDVGLPWLNARPSAEAGSPEPAADTLPATLSRTLRVAVARPGSRSRGEKEDEEEVLVVEGIEVADCSKFVKFDVFVNQSQSAAATTAAAECAGSVALTPHLSRSGKDKGAIKTAARFGICDLLDDIGADGDQTIVVSLVPRCAGGTVTVGGIRIEYVK >PVH35685 pep chromosome:PHallii_v3.1:7:41273444:41274566:-1 gene:PAHAL_7G246200 transcript:PVH35685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVRQVTGLSPRPHAADGSSGGPHGQHRVVVRTGRARPGRIVAAAHVASRPKYCRLRGAAAVAVPETSRQQLLDQQHVRPTAPNQLISTVRKDKFFEIEMKVLDDELDEYGVVNNAIYASYLHSGRDVVLEKLGISVDYWTSTGNAMALSELNLKYYAPLRSGDRFVVKVKPVQIKGVRMIVEHMIETLPERKLVLEGRATVVCLNKDFRPTRVFPELSARAMEVFACKVA >PAN37502 pep chromosome:PHallii_v3.1:7:30757466:30763669:1 gene:PAHAL_7G110600 transcript:PAN37502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGPLSALSEDSVNSERGLTPRVFEQLFSRIKEEQVKHADKELTYNCVCSFLEIYNEQITDLLDPSQKNLQIREDVRTACVYVESLTKQYVFTMKDVTQLLVKGLANRRTGATSANADSSRSHCVFTCVIKSESKNPEDGSSSTRSSRINLVDLAGSERQKLTHAAGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKAIKNNAVVNEEKVEDVNALREQIRQLKDELHRMKSNGGLEGNNGCFASGWNARRSLHLLKMSLGRPTTFQAIKEDSDEEMEIDENDVEKPYNHDNTAVSPIKGNRSKLQASMDICAGTSHVEVLDGDKNLISTKRSCCDANKFSGGTDVGDGKCKLNLAASIQRGLHVIESHQNNSAWRRASVGLNARIMDIQPCKVDVAIQTDPEESEARDNPLALIPSCLLEASANEIRDPSASRDLQLVPADGAVPADDQKQQHFLKAVEKVLAGAIRREMARDEQCAKQAAEIQQLNRLVQQYKHERECNAVIAQTLEGKIARLESLMDGTLPTEEFMNEEYLSLLNEHKILQKKYENHPDVLRAEIEVKRLQEELDMFRNSGDEKEVLQEEIQDLKNQLHYMLSSSSSIRKLWPPLPLSGPGTKDKDGDTDIGDAPDWTEAESKWITLTEELRLELEATKSLVGKLQSELESEKKCSEELKEAVQTAIQGAARHLEQYADLQENHFRLLALHRRMREGVEDVKTRAEKAGIKGAELRFINALAAEISVLKAQNEGLQGQLRDTAEAVQAAGELLVRLKDAEEAEALAKKRALVAEQETEKAYQEIDNLKRNYDQEILALNQRLSESSQSQCKDDAVQPEEPSQSPRYDTAGSPSGQQWKEEFNTLQQGGSFEVSKSTDLNSWFYGYDKCNI >PVH35129 pep chromosome:PHallii_v3.1:7:30756023:30763669:1 gene:PAHAL_7G110600 transcript:PVH35129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSLFSRQARHPTTPPPPPFSGGGGETPPRRRVPKENVDPSSYSSPAHHHGPDPFRSPSSAAKPLSTRNRPPRPPSSNPLKRKLDISSAAAPPHDAAPASDSGVQVVVRIRPPCRVDEEEAGEDGRGPEACVRKTAVNSVAIQGQDFTFDAVADAVSTQDDIFNLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALSEDSVNSERGLTPRVFEQLFSRIKEEQVKHADKELTYNCVCSFLEIYNEQITDLLDPSQKNLQIREDVRTACVYVESLTKQYVFTMKDVTQLLVKGLANRRTGATSANADSSRSHCVFTCVIKSESKNPEDGSSSTRSSRINLVDLAGSERQKLTHAAGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKAIKNNAVVNEEKVEDVNALREQIRQLKDELHRMKSNGGLEGNNGCFASGWNARRSLHLLKMSLGRPTTFQAIKEDSDEEMEIDENDVEKPYNHDNTAVSPIKGNRSKLQASMDICAGTSHVEVLDGDKNLISTKRSCCDANKFSGGTDVGDGKCKLNLAASIQRGLHVIESHQNNSAWRRASVGLNARIMDIQPCKVDVAIQTDPEESEARDNPLALIPSCLLEASANEIRDPSASRDLQLVPADGAVPADDQKQQHFLKAVEKVLAGAIRREMARDEQCAKQAAEIQQLNRLVQQYKHERECNAVIAQTLEGKIARLESLMDGTLPTEEFMNEEYLSLLNEHKILQKKYENHPDVLRAEIEVKRLQEELDMFRNSGDEKEVLQEEIQDLKNQLHYMLSSSSSIRKLWPPLPLSGPGTKDKDGDTDIGDAPDWTEAESKWITLTEELRLELEATKSLVGKLQSELESEKKCSEELKEAVQTAIQGAARHLEQYADLQENHFRLLALHRRMREGVEDVKTRAEKAGIKGAELRFINALAAEISVLKAQNEGLQGQLRDTAEAVQAAGELLVRLKDAEEAEALAKKRALVAEQETEKAYQEIDNLKRNYDQEILALNQRLSESSQSQCKDDAVQPEEPSQSPRYDTAGSPSGQQWKEEFNTLQQGGSFEVSKSTDLNSWFYGYDKCNI >PAN37245 pep chromosome:PHallii_v3.1:7:17603856:17605518:-1 gene:PAHAL_7G058200 transcript:PAN37245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLLSYISKMLCIKARSEATQAGEDGGCPVDECRVCLSRIRIGEATRRLPCRHVFHRVCVDRWLVSCKRTCPLCRVYVADENRHPVSAKHTGREAIADDLVIWFSTVLVPGF >PAN40688 pep chromosome:PHallii_v3.1:7:46849274:46853028:-1 gene:PAHAL_7G333500 transcript:PAN40688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGAAEAGTVAAASGAKPLTPEEEALRRSTDCVYFLASPLTCKKGNECDFRHSEGARMNPRDCWYWLNGSCLNPKCSFRHPPIDGLFGAPTPGIPPVSSHYGAYSSGKQMVPCYYFQKGNCLKGDRCPFYHGPQAAGNNPTDQAAKVSSLPLELPQAKKNEESAAPNNLTQQGARIIDDRTMVHIAKSGVGATPTELASNAVKSRPNSEQAPNSMPAAKKSFTIEEDHPMHYQNQLPVEGDSVQDWNQNFQMPATDDLPQNSREAEDFLGESSPGFDVLVDNDADGAAYLHDEEDFGRDMYPVEDYEYAPADFDTQAHPESEQFNGMGKNGQIGQLYDGYDRKRLRSSSERSIDRPFHPDRRFHHRGLDRDEIDGSDLRHQLRRRRIRGSSTAVSPERANGGRHWRDECYRERAHGGHHMHNDRRQGPRGSTLSSRLQARIKLPGRSPDRVEARFEDERDRRRFSPARWADFHGGRNWEPGQHQERSHRISSELVSSVRHADGLSIRRDAVDSAHFPARRNLGEPRKANGIVESEASLDFEGPKPFSVILQRKREAAGGNNSSFSYEKSAEVTVMQAGSLAESEKKGCDNNIMSEDCKSGLGDEEYKEEDHIPVEGHGQSSSHGDKFEVEDAAEVDPEGNQEADNYDEREGESDDYETIEGHDYKSEDENAYQEDEDLDDDDDFAQKVGVVFS >PAN39406 pep chromosome:PHallii_v3.1:7:40881424:40886116:-1 gene:PAHAL_7G240100 transcript:PAN39406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPCLGACGGGGLALPVPRRRVSSSSSRGVAPPRASVSCSAGGGKASPRGKENVWSVDNERAAKDAGRGPKHRRRRRPGGRRLPPPPPRRKGNDADSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIEKCFDSGHGSEIRRRTNWVPINPFGEAVKQIASYGGGSYDASSGKGTADLPSYESFDLNRSAVLDEETFAMEPGIVFFEDGSYSRGPVDIAIGEYDESKYFLSPTYKFEQCLVKGCHNRLRIVHTIEFNEGGANIQIVRVAVYEEKWASPANIHVEDDTLVELKPFSQRSRTKPSELTGSWKVYEVSATPIFSDEMQELEGGSPFVYLCMETVKKRTLPESSVVFGEEEILDMQDVTVLWLPGGVTAYVDINEDGVLCIGVGWYSEEGINLVMERDYGTDGRLREVRMKTEVKRRWYQSVP >PAN40013 pep chromosome:PHallii_v3.1:7:42411526:42412271:1 gene:PAHAL_7G262700 transcript:PAN40013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAAGRPEKPPDHPEAARGAADAAALRRAASPWSWLSAAAAGLVAVGLGGAALLVWWALAFHPAHARLWMVPAGLVLLGTPILAWLSLLASGPCGRHAPPGAAAYMSA >PAN39894 pep chromosome:PHallii_v3.1:7:43319603:43322479:1 gene:PAHAL_7G277400 transcript:PAN39894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGSYGGGGDGGAMSRDPKPRLRWTPELHQRFVDAVDRLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQGKKSTGLELNGSGFAAQGLSFPTPTPVPGDPSEGKNTGEMPLADALKYQIQVQRKLQEQLEVQKKLQMRIEAQGKYLKAILEKAKRNIPFDANAPSDHIDTTRSQLMDFNLALSGFMDNATRVCEESNEQLVKTISDDNHKDNLGFQLYQLGSQEAKEGKWTPKTEDSLRLDLNIKGGYDLSSRGMQACELDLKINQ >PAN39893 pep chromosome:PHallii_v3.1:7:43319603:43322479:1 gene:PAHAL_7G277400 transcript:PAN39893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGSYGGGGDGGAMSRDPKPRLRWTPELHQRFVDAVDRLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQGKKSTGLELNGSGFAAQGLSFPTPTPVPGDPSEGKNTGEMPLADALKYQIQVQRKLQEQLEVRQVLHHYHELMLSKTFFHNTTSAYVCIQVQKKLQMRIEAQGKYLKAILEKAKRNIPFDANAPSDHIDTTRSQLMDFNLALSGFMDNATRVCEESNEQLVKTISDDNHKDNLGFQLYQLGSQEAKEGKWTPKTEDSLRLDLNIKGGYDLSSRGMQACELDLKINQ >PAN37312 pep chromosome:PHallii_v3.1:7:17928904:17932170:-1 gene:PAHAL_7G058900 transcript:PAN37312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFVLSGQSNMAGRGGVHHRRWDSVVPPECAPDPSIQRLSAALDWEEAREPLHADIDTTKTCGVGPGMVFARAVLPCLQEDTPGEGARTGIGLVPCAVGGTAIREWARGERLYEQMVCRARVAARYGEIEAVLWYQGESDAESAAAAAAYYGNIERLIANVRADLGMPQLPFIQVALASGDKRNIDKVRSAQFSVNLPNVVTVDAMGLALNEDNMHLTTESQVKLGNVLAEAYIKNFLTTTC >PAN37311 pep chromosome:PHallii_v3.1:7:17929458:17931499:-1 gene:PAHAL_7G058900 transcript:PAN37311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFVLSGQSNMAGRGGVHHRRWDSVVPPECAPDPSIQRLSAALDWEEAREPLHADIDTTKTCGVGPGMVFARAVLPCLQEDTPGEGARTGIGLVPCAVGGTAIREWARGERLYEQMVCRARVAARYGEIEAVLWYQGESDAESAAAAAAYYGNIERLIANVRADLGMPQLPFIQVALASGDKRNIDKVRSAQFSVNLPNVVTVDAMGLALNEDNMHLTTESQVKLGNVLAEAYIKNFLTTTC >PVH34968 pep chromosome:PHallii_v3.1:7:22825519:22827012:1 gene:PAHAL_7G077000 transcript:PVH34968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSSSKPAKQTARKKVVIHDDSDNYDVEMLMKLEEMEQEDTSGLEWDNDQLQRDDIHSEKAGNEKSMERGSDEDKGNEESVLDSNMTSDVADERDVMVDTRKDNTSVEKGYDMPTAGTYNHDTEDGTASDLEGGNNSHD >PVH34966 pep chromosome:PHallii_v3.1:7:22825519:22827012:1 gene:PAHAL_7G077000 transcript:PVH34966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSSSKPAKQTARKKVVIHDDSDNYDVEMLMKLEEMEQYMFFRAIVLCCVEDTSGLEWDNDQLQRDDIHSEKAGNEKSMERGSDEDKGNEESVLDSNMTSDVADERDVMVDTRKDNTSVEKGYDMPTAGTYNHDTEDGTASDLEGGNNR >PVH34965 pep chromosome:PHallii_v3.1:7:22825519:22827012:1 gene:PAHAL_7G077000 transcript:PVH34965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSSSKPAKQTARKKVVIHDDSDNYDVEMLMKLEEMEQYMFFRAIVLCCVEDTSGLEWDNDQLQRDDIHSEKAGNEKSMERGSDEDKGNEESVLDSNMTSDVADERDVMVDTRKDNTSVEKGYDMPTAGTYNHDTEDGTASDLEGGNNSHD >PVH34967 pep chromosome:PHallii_v3.1:7:22825519:22827012:1 gene:PAHAL_7G077000 transcript:PVH34967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSSSKPAKQTARKKVVIHDDSDNYDVEMLMKLEEMEQEDTSGLEWDNDQLQRDDIHSEKAGNEKSMERGSDEDKGNEESVLDSNMTSDVADERDVMVDTRKDNTSVEKGYDMPTAGTYNHDTEDGTASDLEGGNNR >PAN40024 pep chromosome:PHallii_v3.1:7:43941502:43945826:-1 gene:PAHAL_7G285900 transcript:PAN40024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRMERQTASSSASCSPSAATSSSSACGGKKRSDFLNMFRSAACLNSSSADTGKGRSKLSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYEKNHELGLFAIFDGHLGDKVPSYLKANLFSNIIKEPVFWDSPQDAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVVCEKGYANQLTVDHEPHTTNERQRIEKHGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHVPINSTIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALTRKSKDDISCIVIRFRC >PAN38948 pep chromosome:PHallii_v3.1:7:36180290:36184078:1 gene:PAHAL_7G165100 transcript:PAN38948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTGERLAVDEVLSFAQDLVGVLRASNDRDANAQTGAGARMLLSACRSDSDDLELQMREHQEKIHSCKEKIDKAKSETITDDELNALQKKMEEKLQEEKQLRQELRVLRDELDNLDRQRTSIEERKDAVKKKKKDMQKAERTLSMCVSVTNIMPNFEDQEKISGYIVDKTGKKIEKFEFEKTTLPVEICDKLWKKI >PVH35884 pep chromosome:PHallii_v3.1:7:44811306:44812093:1 gene:PAHAL_7G300700 transcript:PVH35884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRLPETTPPPPPPPPPPPRPGAREESAAPRRVGTEEVEEAELNRHRKRAGMARGRCVTSSEDDADAAAPENGETGVAFPFHFGVDGIEGILHRRPRRLGLFDLSLVLGVSTLQGSNCGGLVTMVLVRHGSHPYSNIWCMVGCFSSPCLDIEIVKCIILGSINFDMS >PAN37383 pep chromosome:PHallii_v3.1:7:25836964:25839580:-1 gene:PAHAL_7G087300 transcript:PAN37383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDEPLLANGVGKNRGVRELVLAEVRKQLYLAGPLIAAWLLQNLISMISVMFVGHLGELALSSASIATSFASVTGFSFLAGMASSLDTLCGQAFGAKQYSLLGIFKQRAVLVLTLMSVAVAVIWSYTGRILLFFGQDPEIAAGAESYIQWMIPALFAYGPLQCHVRFLQTQNIVLPVMVSSGVTALNHLLVCWLLVYKIGLGYKGAALANAISYLTNVSILAIYVRLSPHCKNTWRGFTKEAFHDIPNFLRLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNSCSLAFMIPFGLSAAISTRVSNELGAGRPEDARLATRVVMVLALLVGILVGLVMVLARNLWGYAYSSEEEVVEYIARMMPILAVAFVFDDLQGVLSGVVRGCGWQKIGACVNLGAYYLIGVPAAFCFAFVYHLGGKGLWFGMICALVVQMLLLLSVTLCSNWEKEALKAKDRIFNSSLPIDMAT >PAN37902 pep chromosome:PHallii_v3.1:7:33394259:33395080:1 gene:PAHAL_7G132000 transcript:PAN37902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSSYFFSSSSSSDKKSSSSSSSKRRQQQPDANTTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSVTPYLSPDLTSGDNAGQLLQPFYADPAASLTAAAQAANGGGGAGFGLGAGGDYASSYGYSAEDMSALMDDLAIPDDLPADDYGVDAGGAMDLTSVYGGAIGASNASAGGGGGGWCDASELSAYGASATASHGVYFEEGYVHSPLFSPMPAADDACADGFQLDGSSSSYYY >PAN38134 pep chromosome:PHallii_v3.1:7:35078042:35082127:1 gene:PAHAL_7G149600 transcript:PAN38134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFICDNPIVSPSEVNRHHYSLAAVAVGLGLGVAGLCKAFYSGLSIPWVSPRNLFLGSGRVYYVGGLQNLGNNCFLNVILQALASCDSFVSFLDNLLATDGLLPEEKAERMPLLLALSSLLQDLSVVRDERIVLNPHGVMHALSFYVSHFNLTRQQDASEAFLHLLISLRDEFSHCYVPHRSSLADITLSHSKVYKQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFQNFQCLPLSPVLNTNGDITSGCSLVDCLKYFTVVEHLDNYHCDRCWHITAAKYLSLKSEADEEKVSKLNTCVDYGTCSCRGMFSPEGIPCSSSSRATKQLIISQCPKILCIHFLRASVSLDGEPIKHQGHISFPLLLNLSPFAGGASSIGQESGPLAMNVQRDGQQALHLYRQLNMQMSLNVIPTGGNSIHVANVDVASSSSSSLQPSPSSSRSKLCGLSAVVEHYGKCGGGHYAVYRRVASNPDPEVPGQTLAGLGKRWFYISDGHVSEVSEDDVLGAEATLLFYERL >PAN38135 pep chromosome:PHallii_v3.1:7:35078042:35082127:1 gene:PAHAL_7G149600 transcript:PAN38135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFICDNPIVSPSEVNRHHYSLAAVAVGLGLGVAGLCKAFYSGLSIPWVSPRNLFLGSGRVYYVGGLQNLGNNCFLNVILQALASCDSFVSFLDNLLATDGLLPEEKAERMPLLLALSSLLQDLSVVRDERIVLNPHGVMHALSFYVSHFNLTRQQDASEAFLHLLISLRDEFSHCYVPHRSSLADITLSHSKVYKQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFQNFQCLPLSPVLNTNGDITSGCSLVDCLKYFTVVEHLDNYHCDRCWHITAAKYLSLKSEADEEKVSKLNTCVDYGTCSCRGMFSPEGIPCSSSSRATKQLIISQCPKILCIHFLRASVSLDGEPIKHQGHISFPLLLNLSPFAGGASSIGQESGPLAMNVQRDGQQALHLYRQLNMQMSLNVIPTGGNSIHVANVDVASSSSSSLQPSPSSSRSKLCGLSAVVEHYGKCGGGHYAVYRRVASNPDPEVPGQTLAGLGKRWFYISDGHVSEVSEDDVLGAEATLLFYERL >PAN39395 pep chromosome:PHallii_v3.1:7:40845933:40849548:-1 gene:PAHAL_7G239600 transcript:PAN39395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCHHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRISKLKPPTSKSKSQKKKTNHIIMENGPFSCQNFRKMGDADPSYRSSSGSAVSYSENCGPYGASDASEMTGSAQSHAWESLVPSRKRSCVTRQKPSSVEKLAKDLNSIMHEEQLYYLSGSSEEDLLYHSETHVGSFEMGSGCVLLRHPNLKSLEEESEASSIPADNKSYITSESYSGSASFVAHSGNRAAINLNAATARPKRSLLHIEDNARRDKLHYGNQHIPESIDSPLVSVGLEEKEIKATGRVENICGSKGFTKSTMNLLKRSHDTHIQSDIG >PAN39392 pep chromosome:PHallii_v3.1:7:40844717:40849548:-1 gene:PAHAL_7G239600 transcript:PAN39392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCHHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRISKLKPPTSKSKSQKKKTNHIIMENGPFSCQNFRKMGDADPSYRSSSGSAVSYSENCGPYGASDASEMTGSAQSHAWESLVPSRKRSCVTRQKPSSVEKLAKDLNSIMHEEQLYYLSGSSEEDLLYHSETHVGSFEMGSGCVLLRHPNLKSLEEESEASSIPADNKSYITSESYSGSASFVAHSGNRAAINLNAATARPKRSLLHIEDNARRDKLHYGNQHIPESIDSPLVSVGLEEKEIKATGRVENICGSKGFTKSTMNLLKRSHDTHIQSDIELEGTMMSPKRVLKSGDLTPEFKSSSLLKSGYATKDSTCTGGALNLFMLPPEKLSLLVPPQYADGDFDQDLLLEIPLNAWHAEAELLCQPSQLSSITQSNTSVDGDAGGEGCLKQP >PAN40879 pep chromosome:PHallii_v3.1:7:47719811:47724134:1 gene:PAHAL_7G347300 transcript:PAN40879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRSMVRDLRESFGNISRRKFEVRIYHRGKSLGSSSDLQDGPMVIQQSRWASLPPELLRDVMKRLEEDESSWPSRKDVVSCASVCTTWRDVCKDIVRSPEICAKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYRLYLCLSSAVLDENGKFLLAARRSRRTTHTDYAISMDSKNFSRSSAGYIGKLRSNFLGTKFVIYDTQPPFNAGRLCSQERPPSRRLSSRKVSPKVPVGSYPIGQVNYELNVLGTRGPRRMQCTMHSIPESAVEPGGVVPGQPKELLPRLFDESFRSTATSFSKYSIADSSMDLSSCRYSECGGGNPQGGDAADKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNLQLIASVPPAASAGASAQPQASVPSSTSSHDTVILQFGKVARDIFTMDYRYPLSAFQAFAICLTSFDTKLACE >PAN40220 pep chromosome:PHallii_v3.1:7:44779342:44780958:-1 gene:PAHAL_7G300000 transcript:PAN40220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVKGFFKGFKVISRIFVVKEHEMEIGYPTDVKHVAHIGWDSAAGNASPIWMNDIMASPNFSSLGNFAASTETTWASQDFGAVSETTGRQESTTCPDVPRRPGKPRGKKPEDGSPTPPTSMDELASPPSAAAEAAVGVAADGTQ >PAN38165 pep chromosome:PHallii_v3.1:7:35249072:35251095:-1 gene:PAHAL_7G151600 transcript:PAN38165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31220) UniProtKB/Swiss-Prot;Acc:P52422] MEAAAAPPSLRPRRSLSINPDPAPRPGPKPRPWGLAANRQANAVRCELPRRPDPRLLRARAMVGDGGDAAGAGRRKRLAVFVSGGGSNFRAIHEAALAGEVHGDVVALVTDKPGCGGAEYARSNGIPVVVFPKSKSAPEGVSVPELLHTLREHEVDFVLLAGYLKLIPAELVREYPRFILNIHPSLLPAFGGKGYYGLKVHTAVVASGARYSGPTVHFVDEHYDTGKTLAQRVVPVFADDTPELLAARVLHEEHQVYVEAVAALCDDRIVWREDGVPLIKSRLNPDVYL >PVH35447 pep chromosome:PHallii_v3.1:7:37568297:37569366:-1 gene:PAHAL_7G188000 transcript:PVH35447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATHLLILFLLSSQLITMSLSSQSPQGNSDPDLPTLLKIKTQFGNPAALAEWQPGTDHCGWPYAYCNQYRRVVALFLENVSITSTLPPAIGELDQLRTINIVNIPGLHGPIPDSLGKLAHLSILNVMVTSVSGSIPASLSRTNLSSVSFFGNKLTGRIPTSLEKLPHLTFFDAANNDLVGTIPPRLVHGGTPDRPLGLKLSNNRLSGTIPWLYGIERYIMEFKVANNQLAGDASFLFGRRKTVDDLDLSGNRLRFNLTGLEMPRDLLFLNLSHNRIYGGVPASLLESRVVTLDLSYNELCGEIPAGGRMGWFKAEAYGHNKCLCGTPLPPCASGHLNRACHGPWE >PAN40436 pep chromosome:PHallii_v3.1:7:45668105:45673467:1 gene:PAHAL_7G315400 transcript:PAN40436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKTWLWRKKSTERELEKEKFVLLEKSLQDLNEQLSFAQAECVEKDGILAKQAKVAEEAILGWEKAEAEALALKAQLDDTLDEKTAMEQRICQLDEALNVAMVERDSLIKETAQMLSCEQDKVQKLEENLVDKINIIDSLDAENDKLSEVLSVKENIISELIESKRVIESKFKDLAVKLESSERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSNTAITRTRKLNSVTSQNPGVSVQNSHDASPVNSPLLARLHAIEDENKVIKESLSRKDCELQFSRTMLARTTSKLSQVEAQLEELSRGRTAAELVKGSPTLVENPLSSISEDVCNEDNVSCSASWASALLSELEHFKKGKLTAHSSKSTGVSDMSFMDDFAEIEKLASVCSDKPVEPYGSKREVVESSGKELAPVDVPTGATDQIHQPKIEKAVLKLIELIEGVIQRSSKDCSSTVVLSGSDEDNGQGTLSGYVARAFLWNMSELTSVLQNFVFACNELLYGSTDIESFVHDLQLTLDWIINHCFSLRDVSDMKEAIVKHLELNNSDGLEIVAVTRHTGIHTEDEPRMLENVQTPLLADSSRINIESESDASTQKTGNEVAVSKFQGIEEKASTLRAELNELNESRKMNICSTHASICLPGLNKGKQEGVSCLESKHQLECCPAKEGSKNVGENDEKHLQMQLEISTASEKLIECRETILNLGKQLKALASPKDAVLFDQVLQTAGRSERKPRSQSLSEMLSMEDGGFYDPSSPKTKEIICTEPRASSERNLSADEGDGGSAAACSSSQPMPVAQPIKQACRVNGTCKGEADVKVVTLAVVPRKQKGNSSLLKRILTGRRKEAMAKPQVVLSS >PAN40437 pep chromosome:PHallii_v3.1:7:45668105:45673467:1 gene:PAHAL_7G315400 transcript:PAN40437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKTWLWRKKSTERELEKEKFVLLEKSLQDLNEQLSFAQAECVEKDGILAKQAKVAEEAILGWEKAEAEALALKAQLDDTLDEKTAMEQRICQLDEALNVAMVERDSLIKETAQMLSCEQDKVQKLEENLVDKINIIDSLDAENDKLSEVLSVKENIISELIESKRVIESKFKDLAVKLESSERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSNTAITRTRKLNSVTSQNPGVSVQNSHDASPVNSPLLARLHAIEDENKVIKESLSRKDCELQFSRTMLARTTSKLSQVEAQLEELSRGRTAAELVKGSPTLVENPLSSISEDVCNEDNVSCSASWASALLSELEHFKKGKLTAHSSKSTGVSDMSFMDDFAEIEKLASVCSDKPVEPYGSKREVVESSGKELAPVDVPTGATDQIHQPKIEKAVLKLIELIEGVIQRSSKDCSSTVVLSGSDEDNGQGTLSGYVARAFLWNMSELTSVLQNFVFACNELLYGSTDIESFVHDLQLTLDWIINHCFSLRDVSDMKEAIVKHLELNNSDGLEIVAVTRHTGIHTEDEPRMLENVQTPLLADSSRINIESESDASTQKTGNEVAVSKFQGIEEKASTLRAELNELNESRKMNICSTHASICLPGLNKGKQEGVSCLESKHQLECCPAKEGSKNVGENDEKHLQMLEISTASEKLIECRETILNLGKQLKALASPKDAVLFDQVLQTAGRSERKPRSQSLSEMLSMEDGGFYDPSSPKTKEIICTEPRASSERNLSADEGDGGSAAACSSSQPMPVAQPIKQACRVNGTCKGEADVKVVTLAVVPRKQKGNSSLLKRILTGRRKEAMAKPQVVLSS >PAN40435 pep chromosome:PHallii_v3.1:7:45669606:45673205:1 gene:PAHAL_7G315400 transcript:PAN40435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKTWLWRKKSTERELEKEKFVLLEKSLQDLNEQLSFAQAECVEKDGILAKQAKVAEEAILGWEKAEAEALALKAQLDDTLDEKTAMEQRICQLDEALNVAMVERDSLIKETAQMLSCEQDKVQKLEENLVDKINIIDSLDAENDKLSEVLSVKENIISELIESKRVIESKFKDLAVKLESSERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSNTAITRTRKLNSVTSQNPGVSVQNSHDASPVNSPLLARLHAIEDENKVIKESLSRKDCELQFSRTMLARTTSKLSQVEAQLEELSRGRTAAELVKGSPTLVENPLSSISEDVCNEDNVSCSASWASALLSELEHFKKGKLTAHSSKSTGVSDMSFMDDFAEIEKLASVCSDKPVEPYGSKREVVESSGKELAPVDVPTGATDQIHQPKIEKAVLKLIELIEGVIQRSSKDCSSTVVLSGSDEDNGQGTLSGYVARAFLWNMSELTSVLQNFVFACNELLYGSTDIESFVHDLQLTLDWIINHCFSLRDVSDMKEAIVKHLELNNSDGLEIVAVTRHTGIHTEDEPRMLENVQTPLLADSSRINIESESDASTQKTGNEVAVSKFQGIEEKASTLRAELNELNESRKMNICSTHASICLPGLNKGKQEGVSCLESKHQLECCPAKEGSKNVGENDEKHLQMQLEISTASEKLIECRETILNLGKQLKALASPKDAVLFDQVLQTAGRSERKPRSQSLSEMLSMEDGGFYDPSSPKTKEIICTEPRASSERNLSADEGDGGSAAACSSSQPMPVAQPIKQACRVNGTCKGEADVKVVTLAVVPRKQKGNSSLLKRILTGRRKEAMAKPQVVLSS >PVH35948 pep chromosome:PHallii_v3.1:7:45668159:45673203:1 gene:PAHAL_7G315400 transcript:PVH35948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKTWLWRKKSTERELEKEKFVLLEKSLQDLNEQLSFAQAECVEKDGILAKQAKVAEEAILGWEKAEAEALALKAQLDDTLDEKTAMEQRICQLDEALNVAMVERDSLIKETAQMLSCEQDKVQKLEENLVDKINIIDSLDAENDKLSEVLSVKENIISELIESKRVIESKFKDLAVKLESSERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSNTAITRTRKLNSVTSQNPGVSVQNSHDASPVNSPLLARLHAIEDENKVIKESLSRKDCELQFSRTMLARTTSKLSQVEAQLEELSRGRTAAELVKGSPTLVENPLSSISEDVCNEDNVSCSASWASALLSELEHFKKGKLTAHSSKSTGVSDMSFMDDFAEIEKLASVCSDKPVEPYGSKREVVESSGKELAPVDVPTGATDQIHQPKIEKAVLKLIELIEGVIQRSSKDCSSTVVLSGSDEDNGQGTLSGYVARAFLWNMSELTSVLQNFVFACNELLYGSTDIESFVHDLQLTLDWIINHCFSLRDVSDMKEAIVKHLELNNSDGLEIVAVTRHTGIHTEDEPRMLENVQTPLLADSSRINIESESDASTQKTGNEVAVSKFQGIEEKASTLRAELNELNESRKMNICSTHASICLPGLNKGKQEGVSCLESKHQLECSCPAKEGSKNVGENDEKHLQMQLEISTASEKLIECRETILNLGKQLKALASPKDAVLFDQVLQTAGRSERKPRSQSLSEMLSMEDGGFYDPSSPKTKEIICTEPRASSERNLSADEGDGGSAAACSSSQPMPVAQPIKQACRVNGTCKGEADVKVVTLAVVPRKQKGNSSLLKRILTGRRKEAMAKPQVVLSS >PVH35265 pep chromosome:PHallii_v3.1:7:34081367:34084662:-1 gene:PAHAL_7G138100 transcript:PVH35265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSAPPRTVICVGDVHGYITKLESLWSNLQSALPADAFATALVIFLGDYNDRGPHTRRVLDFLLSLPQRHPAQRHVFLCGNHDLAFAAFVGVLPPPPDGSPFSATWDEYLHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYRGSIYDAQPTFESYGVAHGSPDLAKAVPEEHKRFLRDMVWIHEEENVPIDTDEGQVICNLIAVHAGLEKSIDLNEQLRVLRTRDTRVPKVPMLSGRQDVWNTPKDLTGKHTIVVSGHHGQLHMDGLRFIIDEGGGYEDKPIAAIIFPSKTLIRSTEGTTSQG >PAN37976 pep chromosome:PHallii_v3.1:7:34081693:34084466:-1 gene:PAHAL_7G138100 transcript:PAN37976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSAPPRTVICVGDVHGYITKLESLWSNLQSALPADAFATALVIFLGDYNDRGPHTRRVLDFLLSLPQRHPAQRHVFLCGNHDLAFAAFVGVLPPPPDGSPFSATWDEYLHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYRGSIYDAQPTFESYGVAHGSPDLAKAVPEEHKRFLRDMVWIHEEENVPIDTDEGQVICNLIAVHAGLEKSIDLNEQLRVLRTRDTRVPKVPMLSGRQDVWNTPKNAFCCIMHFSTVARMDLTGKHTIVVSGHHGQLHMDGLRFIIDEGGGYEDKPIAAIIFPSKTLIRSTEGTTSQG >PVH35266 pep chromosome:PHallii_v3.1:7:34081934:34084662:-1 gene:PAHAL_7G138100 transcript:PVH35266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSAPPRTVICVGDVHGYITKLESLWSNLQSALPADAFATALVIFLGDYNDRGPHTRRVLDFLLSLPQRHPAQRHVFLCGNHDLAFAAFVGVLPPPPDGSPFSATWDEYLHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYRGSIYDAQPTFESYGVAHGSPDLAKAVPEEHKRFLRDMVWIHEEENVPIDTDEGQVICNLIAVHAGLEKSIDLNEQLRVLRTRDTRVPKVPMLSGRQDVWNTPKDYFDFH >PVH35430 pep chromosome:PHallii_v3.1:7:37200348:37202746:1 gene:PAHAL_7G181800 transcript:PVH35430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSKEEKLRKQLKRYEEYRRKMDEEELHRDPEELTDPYAYQARLFEERWNVLYLNRYGRFEDNTSIPCKRYTVNPAPYGGRKSDTLQVFSVKVAELTGGLQWPLDVFGMVALRDLLDHNRNIIFKRERESIFSTDRSRAWCCVRWSCGLWGSVVCEGGATESGDKELSLLAASLTMFSNCPLTSLLITKSYTSRLARWTSSSAISFTLRKLQAVCKSFLGQGQIVSMVVSRPLLTSTRNSCCSILKMRMFLSVAGGRVFRGGVDFTPQGMGAKLSDTISASARWNSPSIGPFSLTVRLS >PVH35431 pep chromosome:PHallii_v3.1:7:37200552:37202393:1 gene:PAHAL_7G181800 transcript:PVH35431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSKEEKLRKQLKRYEEYRRKMDEEELHRDPEELTDPYAYQARLFEERWNVLYLNRYGRFEDNTSIPCKRYTVNPAPYGGRKSDTLQVFSVKVAELTGGLQWPLDVFGMVALRDLLDHNRNIIFKRERESIFSTDRSRAWCCVRWSCGLWGSVVCEGGATESGDKELSLLAASLTMFSNCPLTSLLITKSYTSRLARWTSSSAISFTLRKLQAVCKSFLGQGQIVSMVVSRPLLTSTRNSCCSILKMRMFLSVAVRSSFHGAFFLLCLSKS >PVH35432 pep chromosome:PHallii_v3.1:7:37200348:37202746:1 gene:PAHAL_7G181800 transcript:PVH35432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSKEEKLRKQLKRYEEYRRKMDEEELHRDPEELTDPYAYQARLFEERWNVLYLNRYGRFEDNTSIPCKRYTVNPAPYGGRKSDTLQVFSVKVAELTGGLQWPLDVFGMVALRDLLDHNRNIIFKRERESIFSTDRSRAWCCVRWSCGLWGSVVCEGGATESGDKELSLLAASLTMFSNCPLTSLLITKSYTSRLARWTSSSAISFTLRKLQAVCKSFLGQGQIVSMVVSRPLLTSTRNSCCSILKMRMFLSVAAQQGGRVFRGGVDFTPQGMGAKLSDTISASARWNSPSIGPFSLTVRLS >PAN40583 pep chromosome:PHallii_v3.1:7:45089283:45090114:-1 gene:PAHAL_7G305300 transcript:PAN40583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRESPRPPVSRKNQGPRPPVSAGPSRPPSAILAPPSPARLPTSTQHHTISLTASQRSPLANRAPRRKDKEMAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANAPSFAQAKKLAAAERRRADKAEEGMRTVMYLSCWGPN >PAN40374 pep chromosome:PHallii_v3.1:7:45305263:45309478:-1 gene:PAHAL_7G310200 transcript:PAN40374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETGYTVQVTNLSSRVSESDLYEFFSFSGPIEHIELIRSGEYGATAYVTFKERYALETAALLSGATIVDQPVCITYWGQPEETFNFWDRPSWEVEEEIEYRNYQACQFNTTPQEALTVAQDVVKTMLARGYVLSKDALAKARAFDESRGLTASAAAKAAELSKRIGLTDRVNAGVGAINAGVGAMRSVDETYRVSETTKTVATATGRTAAKVVNSIVNSSYFSAGAMMVSDALTRAAKAAADLAAHGRQS >PAN40373 pep chromosome:PHallii_v3.1:7:45305168:45309482:-1 gene:PAHAL_7G310200 transcript:PAN40373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETGYTVQVTNLSSRVSESDLYEFFSFSGPIEHIELIRSGEYGATAYVTFKERYALETAALLSGATIVDQPVCITYWGQPEETFNFWDRPSWEVEEEIEYRNYQACQFNTTPQEALTVAQDVVKTMLARGYVLSKDALAKARAFDESRGLTASAAAKAAELSKRIGLTDRVNAGVGAINAGVGAMRSVDETYRVSETTKTVATATGRTAAKVVNSIVNSSYFSAGAMMVSDALTRAAKAAADLAAHGRQS >PAN40375 pep chromosome:PHallii_v3.1:7:45305477:45307709:-1 gene:PAHAL_7G310200 transcript:PAN40375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETGYTVQVTNLSSRVSESDLYEFFSFSGPIEHIELIRSGEYGATAYVTFKERYALETAALLSGATIVDQPVCITYWGQPEETFNFWDRPSWEVEEEIEYRNYQACQFNTTPQEALTVAQDVVKTMLARGYVLSKDALAKARAFDESRGLTASAAAKAAELSKRIGLTDRVNAGVGAINAGVGAMRSVDETYRVSETTKTVATATGRTAAKVVNSIVNSSYFSAGAMMVSDALTRAAKAAADLAAHGRQS >PAN37729 pep chromosome:PHallii_v3.1:7:31801477:31807920:1 gene:PAHAL_7G117700 transcript:PAN37729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFAGKAVAASAISAIVRKSFDYLDRCMKMEGMRSVKERLERTLPQVQLIFDAIDMERIRDQSEALDAWLWQLRDAVEEAEDVLDEVEYYKLEKKVKSRGNKVCSSLYNCKSLFIQQFNTTFNGGTFKRMADAMKKLDEVAAGVERFILLVDHLDSSSLRNICHQKDVVNPRETSSFMIEETIIGRDTERDQIVEWLIEQGGDNQNQEFCNVTLFALVGIGGMGKTTLAQAAYNDQRVKQCFDSAMWVCVSNDFDVPALTRKIIQEITGRGTDVICLNTLQEILRGKLSSKKFLLVLDDVWNDERRPDWEKLVAPLKSGQKGSKILLTTRMQSVVDIAERVLGGMTKSMRLQGLQENDLLALFNKHAFFGVNPSNHFNLQEVSKQIIKKLSGSPLAAKVMGGLLNNSMDCTYWNRILRENISSIEHGNEGVMKVLRLSYHHLSPKLQACFRYCSMFREDYRFTKKELVELWMGSGLIQLSVDESQTPEDVGDYYLGILSKKSFIELRSDVSTHQYDGGSEIGVSCYEYYVLHDLLHELARAFSMKECIRISSDAYGIIPETVRHAIIIIKNYAVITDFSMLKKLRTLLISFDGTINQRDQWIVLQNVMNAATKLRVFYVHRCSVLKLPDAFGNLLHLRYLSHPSPWMEGGKKCIWFPCSIYKLYHLQILRSTCSLVSWRLGNLVSLRHLDNSVSVFGLPPYLGRLTSLQELNLHYVQYRHGLFASEIKNLKDLRYLEACGLENVNAEEATLIKLGEKESLNMLSLSWHMGQRDAGIDERVLDHLQPHTSLAKLKISGYSGSRSPCWMENPILNNVTYISLSDCHQLQYLPPVGRLASLKYLYLRNMNTVKTINSSVYGCQNPFGFPSLKLLHIEGLPALDEWVEPETRNLFPQLEVLIIQRCKALRNVPALPSTLAYFKLSDVGLTTIPATYCCTETTQPPKSSHFKLIISHCPNLVMLEPNYCFEGLEELHVEDCKNLMHLPMVQLQKLPSVKTFLLVKCPNLIAPQAEISLPTSIRHLYIGSCGGYETSLLKSICSLTSLTALKLDNCVLVTPQRDISLPSSIQNLYMGSCGIGDTSLLNSFCSHTSLISMQLYDCLMTALPSAEVFKNLATMQHLEIVNCSKLATLDGIEELTNLRELNVNGCDMLDKQHTEGSDLRQAAAVCPSQLTKLEKLRISSPSLLQHGPLRGVKSVTHLTIDKSHRCLPEGWLMQNRNHLNCLAVRNATHLVFLPSIMASLTSLKTLEILNAVLLQSLPDLPASLERLLIIECHPVLGRRCQKRIGCDWHRIARIRDVKIDHRPSGYGSSSYMGYYWRSPLFHWNTFRF >PAN37899 pep chromosome:PHallii_v3.1:7:33360670:33364182:1 gene:PAHAL_7G131900 transcript:PAN37899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGEKTTILVARKGRLRQRYDGEYRLVAGCVPYRVGADGQPELLMVSTPNRDDLVFPKGGWEDDEDVHEAACREALEEAGVKGTINRTALGMWVFRSKSSPVSGDSPRGACKGYIFALEVAEELEQWPEQDTHGRQWVSPADAYRLCRYDWMREALSAQLDRLAEAKPAAPELDDHSGVYMMVKAAAATADRAVALC >PAN37900 pep chromosome:PHallii_v3.1:7:33360670:33364182:1 gene:PAHAL_7G131900 transcript:PAN37900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGEKTTILVARKGRLRQRYDGEYRLVAGCVPYRVGADGQPELLMVSTPNRDDLVFPKGGWEDDEDVHEAACREALEEAGVKGTINRTALGMWVFRSKSSPVSGDSPRGACKGYIFALEVAEELEQWPEQDTHGRQWVSPADAYRLCRYDWMREALSAQLDRLAEAKPAAPELDDHSGVYMMVKAAAATADRAVALC >PVH35857 pep chromosome:PHallii_v3.1:7:44452362:44453366:-1 gene:PAHAL_7G294800 transcript:PVH35857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTSFINLVKVSLEIELAKEEESLSVVTHTLHNVPVVSKKHLFPQEISLSTPMARATDSRAIFFVSQTNQLSATAISYRRESSEILARIVQYALLYL >PVH35089 pep chromosome:PHallii_v3.1:7:29791813:29792498:-1 gene:PAHAL_7G104200 transcript:PVH35089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNTEGGFSMRDIKTYLSLAPVLSTLWFGALAGLLIEINRLFPDALSFPFFSF >PVH35765 pep chromosome:PHallii_v3.1:7:42938158:42941537:-1 gene:PAHAL_7G271600 transcript:PVH35765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAALSVSGAAHTNTFGCRNNKLKSNKNRLQVAVPSSSESTNYCRKLTICRAQSEDSKGGGGFLAGFLIGGALFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRVPGPRRGQYYDEGLEIEISSLNDNEHVVENLNEHGFVQGESAT >PAN39831 pep chromosome:PHallii_v3.1:7:42938716:42941398:-1 gene:PAHAL_7G271600 transcript:PAN39831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAALSVSGAAHTNTFGCRNNKLKSNKNRLQVAVPSSSESTNYCRKLTICRAQSEDSKGGGGFLAGFLIGGALFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRVPGPRRGQYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVTGNVENEAVVDETEIEISSLNDNEHVVENLNEHGFVQGESAT >PAN40825 pep chromosome:PHallii_v3.1:7:47530715:47531505:1 gene:PAHAL_7G343800 transcript:PAN40825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGSATVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLLCDKDYPDKPPTVRFHSRINLTCVNPDTGLVEGKKFQMLSNWQREYTMEHILTQLKKEMAAPHNRKLVQPPEGTFFCAAQED >PAN40180 pep chromosome:PHallii_v3.1:7:44648049:44651494:-1 gene:PAHAL_7G297700 transcript:PAN40180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRSPPAAASGDHHRFLRPGALARLRDVRLRRGSRASRLAPPSSPATASPASPPPPPAAGDGDGGAAVPYFVPVSRILAPRCPQRKKLAAAKSAAFSF >PAN40181 pep chromosome:PHallii_v3.1:7:44651057:44651440:-1 gene:PAHAL_7G297700 transcript:PAN40181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRSPPAAASGDHHRFLRPGALARLRDVRLRRGSRASRLAPPSSPATASPASPPPPPAAGDGDGGAAVPYFVPVSRILAPRCPQRKKLAAAKYAVLFSPPPPSPDLPVEAAIEFLSSSDMVVAAH >PAN39777 pep chromosome:PHallii_v3.1:7:42713733:42717567:-1 gene:PAHAL_7G267700 transcript:PAN39777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLHILGIFSCLCSCALGHNVSMGPDAVNVGLLCTFNSTIGRVAKVAIAAAVNDINNDPSILSGTKLVVQMQDTNYSGFIGMVQALKFMEKDTVAIIGPQSSVVAHVISHVANELQVPLMSFAATDPTLTSLQYPFFVRTIHSDQFQMASVADLVDYYGWRMVTAVYIDDDYGRNGISSLSDELAKRRLKILYKAAIRPGAKKSEMAAVLVKAAMMESRVFILHAHDDSGLAVLSLAYNLTMASGGYVWIATDWLGAVLDSSPRLDSRLLSTMQGVLTLRQHTENTNRKKTLASRWSTLVKEDKGGGTFLLNAYGFYAYDTVWMLAHALDAFFNSGGNISFSPDPRLHAVAGGALNFEALSVFDGGMLLLERIREVKFMGATGPVKLDSDGNLIHPAYDIINIVGSGLRTIGYWSNYSGLSIMSPETLYKKLPNGTIENQKLHTVIWPGETITRPRGWVFPNNGNELRIGVPDRVSYHQFVSFDNQTGLVDGFCIDVFAAAMNLLPYPVTYRFIPFGNGRENPSYTQLINQIVTNEFDAVVGDVAIVTNRTKVVDFTQPYVGSGLVILTSVKKQSSNGWAFLQPFTIRMWSVTGVFFLIVGAVIWLLEHRINDDFRGPPVNQVITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTANLTSILTVQQLTSPIRGIDSLIASDEPIGFQVGSFAESYLVHELGVSPSRLRKLGSPDEYKAALDLGPRKGGVVAIVDERPYVELFLTQHDKFAVVGPEFTKSGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIHDKWLSTGPSSTTADLQQDPDRLQVQSFSALFLICGAACVAALAIHACVLVRQYSRHVAAEQAALSGGGAAGDGAAISRSGRRSGLRSFLSFADRREPQLQRGSKDPAALGASGSSSGVSSFTSSNASMSSR >PAN38180 pep chromosome:PHallii_v3.1:7:32609726:32613203:-1 gene:PAHAL_7G124900 transcript:PAN38180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGADKPGSGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >PVH35379 pep chromosome:PHallii_v3.1:7:36216578:36219771:-1 gene:PAHAL_7G165900 transcript:PVH35379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGAAATPAKVTPKKANLLDPHSIKHLLDETISDVVKSKGYTEDTRLGNLKLGIGAAVIAVALLAQFYPKKFPQNREFLLGCIALYVVLNVVLLILSYTKEKDAILFTHPPMGSFNSTGLIISSKLPRFSDMYTLTIASADPQSISANKPVHFTKSVTKWFTKEGVLVEGLFWKDVEKLIDDYNSERKSK >PAN39937 pep chromosome:PHallii_v3.1:7:43453995:43457650:1 gene:PAHAL_7G280000 transcript:PAN39937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSSPSPPTPQPSSVERTKGPTSLEKLVLREARGWSAEVHLYGGQVTSWKNDHGDELLFVSSKAIFKPPKAIRGGIPICFPQFGTQGNLEKHGFARNRLWVIDDNPPPLPVNTAIKTFADLILKPSEEDLKIWPHSEIRVEGLETLDYLDNLNEKERFTEQGDAIVFEAEVDKIYLDAPPKIAIIDHEKKRTYVLRKDGLPDTVVWNPWDKKSKNTQDLGDEEYKRMLCVEPAAVEKPITLKPGEEWKGRMELSAVPSSYCSGQLDPEKVLQD >PAN39938 pep chromosome:PHallii_v3.1:7:43453995:43457650:1 gene:PAHAL_7G280000 transcript:PAN39938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSSPSPPTPQPSSVERTKGPTSLEKLVLREARGWSAEVHLYGGQVTSWKNDHGDELLFVSSKAIFKPPKAIRGGIPICFPQFGTQGNLEKHGFARNRLWVIDDNPPPLPVNTAIKTFADLILKPSEEDLKIWPHSFEFRLRVALASGGDLILTSRIRNTNIDGRTFSFQFAYHTYLSVSDISEIRVEGLETLDYLDNLNEKERFTEQGDAIVFEAEVDKIYLDAPPKIAIIDHEKKRTYVLRKDGLPDTVVWNPWDKKSKNTQDLGDEEYKRMLCVEPAAVEKPITLKPGEEWKGRMELSAVPSSYCSGQLDPEKVLQD >PVH34707 pep chromosome:PHallii_v3.1:7:2280864:2281236:1 gene:PAHAL_7G012600 transcript:PVH34707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPFFFLAILAISSFAQMAEVAEADALDCSVLFQLCSGSCWKSGRCQECCKHYGFPDGKCSLKHGDGCYCCSSSSGGGAGGGPEGFLV >PAN38621 pep chromosome:PHallii_v3.1:7:37364296:37366391:1 gene:PAHAL_7G185300 transcript:PAN38621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIAPSSGGGDRTADATAPTNTTVTLPPLTLRDVPRLPAALASPPPAVPNPISRHPYYHPPSTFYISPGDVSLRHAFFDLASAAPSPLVAYRRAGPRRDLAVDPARARAALVTCGGLCPGLNTVLRELVVGLQELYGVRDVFGVAAGYRGFYGGDEDHVRLHPAAVDDWHKKGGTVLKTTRGGFDLAKIVDGIVARGYTQIYAIGGDGTMRGAVAIFQEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGVGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFEFLYERIKKKGHAVVVVAEGAGQELIPRTDEQKREQDESGNIVFLDVGPWLKSEIGRWWKREHPDELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGFTGFVPGPINGTYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFLKS >PVH35637 pep chromosome:PHallii_v3.1:7:40366932:40368732:-1 gene:PAHAL_7G232100 transcript:PVH35637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMGRKRRHDLAASISSGSDSDADFVPFNDPLSDSDKVENNDSDDAHTSNSNYKKKIRDLKINFKTRRINEVKKPSHAKSSDAFTRFSITIFSKIIGALTPEKKKVIEDSGFGSLLSFTKCYVPNKYAQWIAHNVDHKSGDIVIDGKIIFLIKESVHCVLGLPCGGTSFLADSSAGSIPRSC >PVH35350 pep chromosome:PHallii_v3.1:7:35864088:35865607:-1 gene:PAHAL_7G159800 transcript:PVH35350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTSRRAVQTCVLSRRWARLWCSAPCLNVDQREFNPTAAPEDWTAGKTPNTVRFLNFVDNLLSLHRAESLDTFRFHVSNWHGPGVAHQCLRRGIECCPEVLEFCYSYYDDYELPPLGSSSCRLRLHLAGIYLDKDFTLHLRSGCPTLIPHRGPFKLLRSLVNVRNLELSGLETLWNLHEGLDTFPAFPNVRTLVFNGCDTSDNLILECFLKSAASLQKLTLQDCKLAELTGKRKRIANPKVMSPKLREDAPAFECPNLMSTEFRYREDEFDELIGHLSGVRRNLQKSTSRLPKPEELFAWHECVCFYFFF >PAN40909 pep chromosome:PHallii_v3.1:7:47887590:47888278:1 gene:PAHAL_7G349700 transcript:PAN40909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSPLVSPALRVSPQLQQPGNGCRLRIEAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRSSRPISKTKHFIAVPLPPRDTRRKSQLLPPLQAQQADGDDDQQQPQPPSTGL >PAN39623 pep chromosome:PHallii_v3.1:7:41904760:41905821:-1 gene:PAHAL_7G256100 transcript:PAN39623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATGQPPYGEEHRSEGGSRRVYTPCQAEGLNLSSLGELYDLPTSPELLFDKERREGHTWGENLTFYAGCGYLAGRASGAAVGLRRGAAQSEGGETAKLRASRALTQCGAVGRTYGNRLGIIGLLFAGVESGAAGLRGADDWKNTIAGGLGAGLLYRAGAGPRSAVFGCVIGGLMAGAAVVWIQALERYVPDLKL >PVH35962 pep chromosome:PHallii_v3.1:7:46236964:46237743:-1 gene:PAHAL_7G322700 transcript:PVH35962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVSSVHPSLCPPRHGHPPDDGGAPPPSWVLLDLHAYVADRENATSAYGVMSNGEAIRVTFCTAPPPLVSYICVWCPNLLPSELVMEPTVEAAEEDLVHFRVSTRAHSCRRDHSVYKAPSGGKGPSLSRLERPDRYLNYRRTTIWLHSIAVSAGLGISTWCCTIPWT >PVH35121 pep chromosome:PHallii_v3.1:7:30601507:30604417:1 gene:PAHAL_7G109100 transcript:PVH35121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIVNTVKRFSSNDATAIPVQRCSDLSIGTSKLDKSTCIGKGISVTGETASASKGGSINGCKDFCEVASASTKGCLGDIDLLTPPMVHTRSSGSDEGNNERETPNGTVHTDARELGCVDHSEKSGLIYSQTAGHMFHNLEDESTETPRSLMLDISKDSLCKVSPEGNSFRNPKLADAVESSPAADAHKGKELILHRVSPADGLFDHNVCEELANGAPDSCYKSSAEVLDGQSTQHKSLNSDVVPIEVIAACANLDVSNPKSSLKGRAKRKRITKASSQMSVPKENTGTLIPSDLICVETPTSALVERSSGDKVMQGTPRSRMTKTLLSYVHHPPLTRSKSKALSIATPESVKMKRSKVHHSPLTRSKSKSLSIATPESIKIKRSRSGRLVVPRLDPGSQNITYDPDGCISAITNLEAPLPQAGISSEPPSKRRMSRRLSPDLNKVDCVYVMLTGFSLGLLLAVPCYL >PVH35300 pep chromosome:PHallii_v3.1:7:34812489:34813573:1 gene:PAHAL_7G145500 transcript:PVH35300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASMRATALLVALATALASCCGASSPHHAFPPAEAPAQAPNAEAPLTGHRGPEHGKCHRHELTPAEPPSYHGSPDHKHHGHRGHHRRHHHDHHEAPPSHSPAHHPPPPPHPHAPPPRFTPPGHHAPPPRYTPPGHHAPPPHFPPPKHHSPPPPHSPPQAHLAPPPPAHYTPPPQHSPSPPAHHSPPPPPSRYPPHVPAPPPHKHSPPPSHGKAPPPHGHRKFPPPMRSPHSAIAPSPADYSGIPPSEQGPKLPYHAAPSNAPLAGQNGASPPWYV >PAN39326 pep chromosome:PHallii_v3.1:7:40603340:40609312:-1 gene:PAHAL_7G235700 transcript:PAN39326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGDEPVQWGKVDGAEVANGGGGGAGRLEKILVSVRLRPLSDKEIARGDLAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRSDCNTKEVYEEGAKAVALSVVSGINSSVFAYGQTSSGKTYTMTGITEHTAADIYDYIAKHEERAFVLKFSAIEIYNEVVRDLLTAESTSLRLWDDAEKGTYVENLTEVILRDSDHLKELISVCEAQRRTGETYLNENSSRSHQILKLTIESSAREFVGKDKSTTLVASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQRELARLESELRCPTSYSGLETLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHGSKHPVASGRNFTFDVPQPCEDEQSTASEVVSSGQSFRFQGRQIAQRDYRSQQSENNAHLPLGYSVCSPPFSGMPPTNGRDDHSQVSNEDSEDLCKEVRCIETNETEENECLESSAMGSSSLQDSNVGSSMHGNNDPNPSVNSRQHDVSPITLEQHLENVKKPFANLVMDLGSSTRNSSSSKVIGRSRSCRSLMGSTLFEDLEKEDCTPPSRHFMDHPGRPEGCQRRVSALNYDAESETLSRAGSMLSEITTARDGLKANGSVAGDTEFAGIGEFVAELKEMAQVQYQKQRGDQGENGELAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGSMGRNVVAAGLNTSLVSSAKKLQREREMLCRQMQKRLTIQERESMYTKWGVSLSSKRRRLQVARRLWTETKDLEHVRESASLVARMIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSSLD >PAN39327 pep chromosome:PHallii_v3.1:7:40603340:40607420:-1 gene:PAHAL_7G235700 transcript:PAN39327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGITEHTAADIYDYIAKHEERAFVLKFSAIEIYNEVVRDLLTAESTSLRLWDDAEKGTYVENLTEVILRDSDHLKELISVCEAQRRTGETYLNENSSRSHQILKLTIESSAREFVGKDKSTTLVASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQRELARLESELRCPTSYSGLETLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHGSKHPVASGRNFTFDVPQPCEDEQSTASEVVSSGQSFRFQGRQIAQRDYRSQQSENNAHLPLGYSVCSPPFSGMPPTNGRDDHSQVSNEDSEDLCKEVRCIETNETEENECLESSAMGSSSLQDSNVGSSMHGNNDPNPSVNSRQHDVSPITLEQHLENVKKPFANLVMDLGSSTRNSSSSKVIGRSRSCRSLMGSTLFEDLEKEDCTPPSRHFMDHPGRPEGCQRRVSALNYDAESETLSRAGSMLSEITTARDGLKANGSVAGDTEFAGIGEFVAELKEMAQVQYQKQRGDQGENGELAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGSMGRNVVAAGLNTSLVSSAKKLQREREMLCRQMQKRLTIQERESMYTKWGVSLSSKRRRLQVARRLWTETKDLEHVRESASLVARMIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSSLD >PAN39328 pep chromosome:PHallii_v3.1:7:40603340:40608266:-1 gene:PAHAL_7G235700 transcript:PAN39328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGDEPVQWGKVDGAEVANGGGGGAGRLEKILVSVRLRPLSDKEIARGDLAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRSDCNTKEVYEEGAKAVALSVVSGINSSVFAYGQTSSGKTYTMTGITEHTAADIYDYIAKHEERAFVLKFSAIEIYNEVVRDLLTAESTSLRLWDDAEKGTYVENLTEVILRDSDHLKELISVCEAQRRTGETYLNENSSRSHQILKLTIESSAREFVGKDKSTTLVASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQRELARLESELRCPTSYSGLETLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHGSKHPVASGRNFTFDVPQPCEDEQSTASEVVSSGQSFRFQGRQIAQRDYRSQQSENNAHLPLGYSVCSPPFSGMPPTNGRDDHSQVSNEDSEDLCKEVRCIETNETEENECLESSAMGSSSLQDSNVGSSMHGNNDPNPSVNSRQHDVSPITLEQHLENVKKPFANLVMDLGSSTRNSSSSKVIGRSRSCRSLMGSTLFEDLEKEDCTPPSRHFMDHPGRPEGCQRRVSALNYDAESETLSRAGSMLSEITTARDGLKANGSVAGDTEFAGIGEFVAELKEMAQVQYQKQRGDQGENGELAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGSMGRNVVAAGLNTSLVSSAKKLQREREMLCRQMQKRLTIQERESMYTKWGVSLSSKRRRLQVARRLWTETKDLEHVRESASLVARMIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSSLD >PAN40218 pep chromosome:PHallii_v3.1:7:44775041:44776676:1 gene:PAHAL_7G299800 transcript:PAN40218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSPSSCVSPAPTPTMSAGESSWAMHIANFLATPQDREMDQQAAVSGGSFSSGFSSSFDSIDDDASFITSELMCNDGEEEDESLQDTACSSAAGQKVATMENFDINMPHLAKYFEAVGSQQQVTKVDQQLINSYSNNEKALYESNELRKKGLCLVPISMLINYLG >PAN39853 pep chromosome:PHallii_v3.1:7:43070718:43071834:1 gene:PAHAL_7G273900 transcript:PAN39853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRDPLSWVMATELNKSMEGTLCHEFETGLPAAEVWEVYGGLVVADLIPRLLPEVFSKVELVEGDGGVGTVLLVTFPPGTPGSQTFKEKFIKVNNENYVKEALVTEGGFLDHGFQKYLVRIEIIGKEEKTSIIRSTIEYEVHHEHANNPPVISTSGLATIAESITKYIKEQKGLE >PAN39854 pep chromosome:PHallii_v3.1:7:43070889:43071849:1 gene:PAHAL_7G273900 transcript:PAN39854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTSEALSHKIPSTSCQQLPRKKSLMYRDPLSWVMATELNKSMEGTLCHEFETGLPAAEVWEVYGGLVVADLIPRLLPEVFSKVELVEGDGGVGTVLLVTFPPGTPGSQTFKEKFIKVNNENYVKEALVTEGGFLDHGFQKYLVRIEIIGKEEKTSIIRSTIEYEVHHEHANNPPVISTSGLATIAESITKYIKEQKGLE >PAN39916 pep chromosome:PHallii_v3.1:7:43396714:43399722:1 gene:PAHAL_7G278600 transcript:PAN39916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQATTSVSSPRNGDETGLEQLSSDLQALKRLYGLLHKGPANENLDETSRALLMKMLDDATQQTLLKQAKILSGSLMSPALERKLSIRSDRQTRDAEPPMSLRPPALPSTPGLLAGERPSRLNPQYSTVSSRAVGNVHGSPLATEEPVLARLASYRSSRTTASALPPRHRPSGEQWNSGLSLYRLPVAATSRHGTVTGGARNADHRDTTRRTSGRGDQTSLETSSSRGSERARPGVAATLRHGTVAGDTTRRTSGRGDQSSPEGSISSHSRRSMSPEVSLGRTGLRDRGTVRHVGAEGFSSVRRLRRLDSGLSLGMVSCRGSERAGRGVAATSQHGTVIGSNRQAEQRDRTRRTSGRSDQSSPKGSSRRRSVSREMSLGRKRLQGRGTDRRVGAESSSTRRLGRLDSRLSLGMVSRCGSERAGRGVAATSQHGTVIGRNRHADQRDRTRRTSGRGHQSSTEGSSRRRSVSRGASLGQAQLHGRATVQHVGAESSSSMRPLRRQDSGLTLGKASCCGLERAGRGVATPEQSSSSNTMVTIHSRIRPNRDPKGRLLRRAEDDDEESTPWRRSTNASASSAGLSRRKPRRTLKRVDSGSMYISSHNSLSGAAISYHSTSPTVLPESSASASYSPPPVSRPGTVPPVSWQGIALPMPWRGIAPPMYAPQVSRSMRRRRRQEVLAKRVERLRMLKNKIATVFHHHYHNGRDEEGPSSSRTPGEQHHMSPLKYLGGMFHRTKGQDKKSTSRAVVSAPGKRPGGGGGNMQALFDEMRRHLRAKRRAPTSVNLWRKIANRSRVHAKKMHLWQRLKPRRGRAGVLAGSKLRRRLGR >PVH36037 pep chromosome:PHallii_v3.1:7:47433967:47436037:-1 gene:PAHAL_7G342300 transcript:PVH36037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMSLQLPHRVPILLKDCASKRQLDQIHGLLLTSCLHRLPGMRALLVRRATELGDTAHADLLFSSFRGTDPPDAVALYNAMIRGCAYHGPHGRALDLFAEMTRRGEGLAPDSFTYPYVVDACARLKVWRSAEAVHCRVLKEGLDAVPAVGSSLLAFYVARGSLGDARRVFDGFAIKTVGLSNRMVSEYAKARDIKSAWELFDAMAERDVVSWNAMLTAYVKAADVVAATELFARMPVKNIISWTTMIRVLSDAGDFVGMRSLFNRMPERNLVSWNCILSSYTKHGRFRQALQMFPRMLLEGLIPDSFTVVSVLSACENLRKLRLGRWIHANLVTPALQVHAEVGTALIEMYAMCGDIARALVVFFKMDRKDVFSWNVMIRALAVHRQADDAFKLFDLMRKLGFRPNHFTFMGVLLACRYGSLVDEGRRLFGMMQKDYGIPPSLQHYGCLIDLLCCNGHVDEAVAVLQGMPCRPDSEVWRALLGGCRIEAGLGSAEEATMGVVQSSGHEMCVAST >PVH35654 pep chromosome:PHallii_v3.1:7:40725392:40726267:-1 gene:PAHAL_7G237400 transcript:PVH35654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARIPLALWLGSRSIIVRRRRDTVREDPFQPPIRPAGAMHGPVAARVQKFLLPPPLSCSPLHRNGTGTSASRPAQRPPHEPNVSGCARLQRPKVSRTCSSSTVGGAATSRRRPGGRKGGTGTCFSLSLVDVRVYLVTSAFLSGCSPGAELLLLQGRCLLWSVVRTVFGNMEWSVMQISVELNSCGLGQAYLVVCTV >PAN38326 pep chromosome:PHallii_v3.1:7:36162710:36165315:1 gene:PAHAL_7G164400 transcript:PAN38326 gene_biotype:protein_coding transcript_biotype:protein_coding description:22.0 kDa heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G10250) UniProtKB/Swiss-Prot;Acc:Q38806] MNPHNVPSPSRHTKREHTSKSTHKASGSFDRVDPSDLNRAGLLLISWSSSARSREGRRHFLGSAVMSKGAAAFALVCLAVMAAVADGALLPWFGDGRRGRDEAAAAAVSPLSDLGLLADPFRILEHVPFGFDRDDVAMVSLARVDWRETPDAHEIAVDVPGMRKEDLKIEVEDNRVLRVSGERRRAEEHRGDHWHREERSYGRFWRQFRLPENADLDSVAASLDNGVLSVRFRKLAPEQIKGPRVVGIAGGDSDGDAKKSIGAGAGEEHRAKKVEL >PVH35183 pep chromosome:PHallii_v3.1:7:32339816:32342717:1 gene:PAHAL_7G121300 transcript:PVH35183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGPKYEPLRVPFLNFPEELSRRLSRSLSESPFRMQWKFTQDRVENRPRKSMKVNLTCKTKLNVYDHHVRLSTCSQQCAMTQVAALPRHVHGCPGRCWKGSPLALSGHCAWIGSYPCVHSVHSVRLDCDIMSMGVAMQAPQV >PAN37835 pep chromosome:PHallii_v3.1:7:32791321:32793331:1 gene:PAHAL_7G126600 transcript:PAN37835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSRLAGAALQVSLTALLAAVVPPYYVYKLTTYLLGAVFPEDVAGKVVLITGASSGIGEHLAYEYAKRGAYLALVARREVSLREVGDRALALGSPGVLVLPADVSKPEECEKFVDDTIRYFGRLDHLVNNASIWQVCKFEEVEDVNHFRTLMDINFWGHVYPTRLAIPHLKKTNGRIVGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELGSDIRITEVVPGVVESEITKGKILTKEGEMKVDQDERDAILGPTPAERVGDFARTVVRDVCRGARYVFEPRWYMGVYLLRVCLPEVLAWNSRLLTVHRAGAASTDTLGKWLVELPGVRRAVQPPSLRSPEIKDQ >PAN38464 pep chromosome:PHallii_v3.1:7:36742089:36745027:1 gene:PAHAL_7G173800 transcript:PAN38464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFAAAAEGGGVTVPVAVTCLMAASCGLIFGYDIGVSGGVTQMESFLRKFFPEVSSRMKSARHDAYCKYDDQLLTAFTSSLYIAAMLSSLVASRVTRTVGRQAVMLVGGVLFLVGSAINAGAVNIAMLILGRMLLGFGVGFTTQAAPLYLAETSPARWRGAFTTAYNIFLVLGSLAATVTNYFTNRIPGWGWRVSLGLAVVPAAIVVLGALLVPDTPSSLVLRGETDGARASLQRLRGPGADAEAEFKDIVRAVEEARRNDEGAYERLRGKGYRHYLVMVVAIPSFFDLTGVIVMAVFSPVLFRTVGFSSQKAILGSVILSLVNLASSLLSSFVMDRAGRRFLFLSGGAAMIICQLAMTWILAGHLGKHDSVTMPRGYALAVLVLMCLYTLSFGVSWGPLKWVVPSEIYPVEIRSAGQALTVSIALCLSFAQTQVFFSLLCAMKYAIFLFYAGWVVVMTAFVAAFLPETKGVPLEAMRAVWARHWYWRRFVVGDAKLEAQVDCL >PAN39116 pep chromosome:PHallii_v3.1:7:39730551:39732820:-1 gene:PAHAL_7G222400 transcript:PAN39116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >PAN39119 pep chromosome:PHallii_v3.1:7:39730846:39732432:-1 gene:PAHAL_7G222400 transcript:PAN39119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENQAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >PAN39117 pep chromosome:PHallii_v3.1:7:39730846:39732657:-1 gene:PAHAL_7G222400 transcript:PAN39117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENQAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >PAN40539 pep chromosome:PHallii_v3.1:7:46282511:46282783:-1 gene:PAHAL_7G323000 transcript:PAN40539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRWSRAALVACLVLLAAACAAESRAVPGGSGKAAAAADGGRPSAFDVVVVGLVSIGLGRRWRAGGGDELVDEDKRRVPTGPNPLHNR >PAN40500 pep chromosome:PHallii_v3.1:7:46060073:46061170:-1 gene:PAHAL_7G320300 transcript:PAN40500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRASLLAVHDAVASGHLSPVAVVALAVAATVAVAAIAAFGCAQGAKKQKPPRQNNNVYYYGQGYPPPPAGAYGYPAQQPAPGYAYPQQQQSAGRPGRSGLGSGAAGLAVGAVGGLAAGAVIGSALSSGGGGCGGGGCGGGGCGGGGCGGGCGG >PAN40423 pep chromosome:PHallii_v3.1:7:45598693:45603456:-1 gene:PAHAL_7G314600 transcript:PAN40423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol kinase [Source:Projected from Arabidopsis thaliana (AT1G80460) UniProtKB/Swiss-Prot;Acc:Q9M8L4] MAGEGEEVYVAAIDQGTTSTRFIIYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEILETVKVCMKEAVDKAKDGKYNVVAGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPVCRRLENELSGGRTHFVGTCGLPISTYFSALKLLWLMENVDAVKDAVRTGDALFGTIDTWLIWNLTGGIAGGQHVTDCSNASRTMLMNLKTLDWDKPTLDALGVPVEILPKIISNSEKIGVIAKEFPVAGVPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPTAPTNYALEGSIAIAGAAVQWLRDSLGIIQTAAEIEKLAETVPDSGGVYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNSLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTKEQVFSGLHKENTTVFRPKLDEAHRKKRADSWYKAVSRSFDLADLSL >PVH35177 pep chromosome:PHallii_v3.1:7:32059481:32064759:1 gene:PAHAL_7G119800 transcript:PVH35177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLSPPPQGQGAGEGGGGGGLPVPVPAAVVGDDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRLSSITSDLFPSPGPAAASDSDPEASADREAPDAAAASLAAFDAAEQLIHLWDTTPEALVFEAPEDDAGHYLAAVDVAVDHLASSSPAVSGRAGVAVQLAMARLEDELRHLMLRHAVPLDASGLFCSLRRLSLGSMDDLDTSSEFDPATPHSQDGGGPDTARSASIAGNNPFDDQVFDLVRQEAVDELRAIADRMVRAGYASELAQVYCAIRRDLLDECLNVLGVERLSIDEVQRVEWKHLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLAASDELRDECFVESTKGCIMQIRNFGDAVAVCTRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAVVLEM >PAN37762 pep chromosome:PHallii_v3.1:7:32059086:32064834:1 gene:PAHAL_7G119800 transcript:PAN37762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLSPPPQGQGAGEGGGGGGLPVPVPAAVVGDDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRLSSITSDLFPSPGPAAASDSDPEASADREAPDAAAASLAAFDAAEQLIHLWDTTPEALVFEAPEDDAGHYLAAVDVAVDHLASSSPAVSGRAGVAVQLAMARLEDELRHLMLRHAVPLDASGLFCSLRRLSLGSMDDLDTSSEFDPATPHSQDGGGPDTARSASIAGNNPFDDQVFDLVRQEAVDELRAIADRMVRAGYASELAQVYCAIRRDLLDECLNVLGVERLSIDEVQRVEWKHLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLAASDELRDECFVESTKGCIMQIRNFGDAVAVCTRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDTLLDDSGAGDVDHNASHNGTDEDEEYLKSLTPLGRRMVKLISYLEANLNEKSKLYEDAALQCIFSMNNTLYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRVSWTRVLSYLRDDGHGSSGSGSFGSSGSSSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSLVDSGRNSGKYIKYTPEDLENHLSDLFEGSPGSANHSRRR >PVH35092 pep chromosome:PHallii_v3.1:7:29879670:29890406:-1 gene:PAHAL_7G104900 transcript:PVH35092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRHHGGGVLTVKAFDASCCLWEFGAPAAEHLNNQIAVVVSPLGKFWRIGLEVNQSGMSPAGGWSEFLSFHGIIEGNVLLLKYQSNMVFTVKVFGPDGCQMQSKRKDTRIQQTPTLPDIEKQRESLSASAKKRKSKNERSSGERLKRPKGSMTSLDKASLRRKSVYEIGPQSWIKKEINKNTLEKHLSLSAAFCNVIGLREPCMIMLKNSMNSTRSWQVRCNPYKHCSYQIGSGWKRFCQDNRLKQGDVCTFNVIETTLWHVDIMRARVTLFSSMKSKCKNNSSSYEGQKWPKGSMNSLSKASLRPRCAYEIGPPSWIKKEINTSTHDNGLSLAPAFCDAIGFRKPCTITLKSSTNSTKSWQIGLGWKKFCQENRLKVGDICTFNVIKTTLWHVVIARR >PAN40247 pep chromosome:PHallii_v3.1:7:44910279:44914029:1 gene:PAHAL_7G302700 transcript:PAN40247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGAVKTACVTGGNGYIASALVKMLLEKGYAVKTTVRDPGDMEKNSHLKRLQALGHLEVLRADLDEEGSFDEAVAGCHYAFLVAAPVNLASENPEEEQIGPAVRGTLNVLRSCAKAGTVKRVILTSSAAAVVPSGRGSPRGDGGGRVMDEETWPDVNYLVANKPVTWGYCVSKVLLEKAACRFAEEHGISLVTVCPAVTVGAAPAPKVHISVPASLSLLSGDEASLGVLKGAEALFGGVPMVDVDDLCRAEIFVAEKEASSGRYICCGLNTTVVELARFLAHKYPQYNVETNLSGELLEKPRVRLSSGKLVKEGFQFKYRTLDDMYDDVVEYGKALGILSS >PAN39562 pep chromosome:PHallii_v3.1:7:41563338:41567064:-1 gene:PAHAL_7G251900 transcript:PAN39562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MAALLPVLLAHLLLLCAHGATASAAATPPPLPILPVPSFAQLRWQLSEMALFLHFGPNTFTDSEWGTGHADPSMFAPSALDAGQWARVAARGGFGRVVLTAKHHDGFCLWPSALTNYSVAASPWRGGAGDVVAELAAAARTEGVGLGLYLSPWDRHEPVYGDTIAYNEHYMGQMTELLTRYGDVQEVWLDGAKGDAKKMDYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSLFNKSSVTIGHTTDEYQRSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISDEDMQVLQEFTEIRHTIFSQNFAANATVTANSVRGGQDNLQFAPSNVLEDSIYSYWAPQEGQTSWEMLFDLGQSASFNVLQLQEPIQLGQRVIEFHVDILIDELWQTIVEATTIGYKRLQKFPVTESQYLKLSIISARADPLISFFGVFMDSFSDSYNLENRDKRANSSEVIILTTGNAAGNRSTAAM >PVH35675 pep chromosome:PHallii_v3.1:7:40960116:40961126:1 gene:PAHAL_7G241400 transcript:PVH35675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMEFIGDADRFGLDFIRDLLLGGDGRVAPVASPAVSDDVTFPVLQPQPELQPMPSFLPQQYQQQHQGYIDLTREYMVAPPAAPAVGEAAFRAQEPALVMIKFGSEPPSPVSVRPPLSISVPPSSYAWAAAAPAAAPAAVEDYRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFQMRGAKAILNFPNEVGTRGADLWGPPPPPAPATQAAAAANKRKRNHREDPDDVEVVAVVNKAVKREEAPPPPSTQVSSTSPSSMSTRETTASSTVTSTATETGAGAETPSSGSWEQYWEALLGGLPPLSPLSPHPTLGFPQLIVN >PAN39186 pep chromosome:PHallii_v3.1:7:40042359:40047021:-1 gene:PAHAL_7G226500 transcript:PAN39186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATHDGAPGSNGAGGVEMVEANELRRRGKPASDDRAASLPPARDGAGAAEDEAAPSVERAFAGQPVPSWQEQLTVRAFVVSFFLAVLFSVIVMKLNLTTGVIPSLNISAGLLGFFFVRLWTAAIERVGLLKQPFTRQENTVIQTCVVASYGLAFSGGFGNYLLAMSDKIAAMSTEANDAQNIKNPHLGWIIGFLFLVSFIGLFGLVPLRKIMIVDYKLTYPSGTATAYLINGFHTPQGAELARKQVKKLGTFFVLSFVWGFFQWFFTANVEECGFQKFPSLGLQAYKNRGIWFSADLDDTSLRGMQGYRVFIAIALILGDGLYNFLKIFILTVVSLRSQLKKSNASTLPISDDGTVPDTAPISYDEERRNELFLKDQIPWYVALGGYVVIAAISIGAVPQIFPQLKWYHILVAYIFAPVLAFCNAYGAGLTDWSLVTTYGKLAIFAFGAWVGASHGGVLAGLAACGVMINIVGTAADLMGDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFGNIGVDGSQYPAPNAAVFRSMAILGVDGFSSLPKNCLTLCYVFFAAAIVINLIRDLVPKKLSRFIPIPMAMAIPFYLGAYFAVDMFIGTAILFVWQRLGRAKADAFAPAVASGMICGDGLWVLPQSVLALAKVKPPICMKFLSRTMNDKVDAFLTTLS >PAN39441 pep chromosome:PHallii_v3.1:7:41088529:41090746:-1 gene:PAHAL_7G243000 transcript:PAN39441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGAVAAMRYVKDGIKAAKLVMEHSLHTLLVGEKATDFAISMGLPGPINLSSPESLEKWANWRQNHCQPNFWKNVAPADSCGPYHPINLAQALDSAKHEVEWSQGGVCQERFQSDSLLEPIHSHLKFIDRHNHDTISMAVIDKMGHVAVGTSTNGATFKIPGRVGDGPIPGSSSYGDDEVGACGASGDGDIMMRFLPCYQVVESMRQGMEPRDAAMDAISRIARKFPNFVGAVFAVNKKGVHAGACHGWTFQYSVRNSSMHDVEVITVYP >PAN39443 pep chromosome:PHallii_v3.1:7:41088075:41092922:-1 gene:PAHAL_7G243000 transcript:PAN39443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSVSLLVGLLLLRFNWCLAVHGGGVGEVGGVFPVVVSTWPFREAVRAAWDVVSAGDGGGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMEHSLHTLLVGEKATDFAISMGLPGPINLSSPESLEKWANWRQNHCQPNFWKNVAPADSCGPYHPINLAQALDSAKHEVEWSQGGVCQERFQSDSLLEPIHSHLKFIDRHNHDTISMAVIDKMGHVAVGTSTNGATFKIPGRVGDGPIPGSSSYGDDEVGACGASGDGDIMMRFLPCYQVVESMRQGMEPRDAAMDAISRIARKFPNFVGAVFAVNKKGVHAGACHGWTFQYSVRNSSMHDVEVITVYP >PAN39442 pep chromosome:PHallii_v3.1:7:41088948:41092466:-1 gene:PAHAL_7G243000 transcript:PAN39442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSVSLLVGLLLLRFNWCLAVHGGGVGEVGGVFPVVVSTWPFREAVRAAWDVVSAGDGGGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMEHSLHTLLVGEKATDFAISMGLPGPINLSSPESLEKWANWRQNHCQPNFWKNVAPADSCGPYHPINLAQALDSAKHEVEWSQGGVCQERFQSDSLLEPIHSHLKFIDRHNHDTISMAVIDKMGHVAVGTSTNGATFKIPGRVGDGPIPGSSSYGDDEVGACGASGDGDIMMRFLP >PAN39440 pep chromosome:PHallii_v3.1:7:41088075:41091791:-1 gene:PAHAL_7G243000 transcript:PAN39440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGATMEIGAVAAMRYVKDGIKAAKLVMEHSLHTLLVGEKATDFAISMGLPGPINLSSPESLEKWANWRQNHCQPNFWKNVAPADSCGPYHPINLAQALDSAKHEVEWSQGGVCQERFQSDSLLEPIHSHLKFIDRHNHDTISMAVIDKMGHVAVGTSTNGATFKIPGRVGDGPIPGSSSYGDDEVGACGASGDGDIMMRFLPCYQVVESMRQGMEPRDAAMDAISRIARKFPNFVGAVFAVNKKGVHAGACHGWTFQYSVRNSSMHDVEVITVYP >PVH34706 pep chromosome:PHallii_v3.1:7:2215043:2216870:-1 gene:PAHAL_7G012100 transcript:PVH34706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTMARSDRTTTTEMRWLAVLVLYAAAISGAAAAARADTFSYPAFDGTTTRDLVAATNTSVLLPAALLFDHDGAFAEFNRTEGFLLLSGTVDVWRPGPGGVPALEASFNTSFTLAGAAPVAFVVLNNRFPLYGWGGLRGFANYTSPDDGASSAGGSLASVVAGPVRSYGPDEPAVGLNVTVTPNGTAPGRTVWVEYDAAAHRLSVRVAGVGEPRPTKALLDAPLGLAGRTTTENAFVGFFAAGIQDIIVGVRDWDLTVDRFPGEGDGKKGTSWWVILLAVLGSVAATAAIVAAAVCYFQSRRRRQLNNMQPPKM >PVH34768 pep chromosome:PHallii_v3.1:7:5586272:5587832:-1 gene:PAHAL_7G025900 transcript:PVH34768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPATLQVSGTASTAATAPPVHPTVLPQSTMAFQGSDEVDTDDGLNVFDEMPSSEPFYSNTMVDSFNIDDISLSNQFPFASNEDVIEVNRTPIAKKRWARSANYSIEKDVIGTKTDEFGANGYK >PAN40447 pep chromosome:PHallii_v3.1:7:45730291:45731594:-1 gene:PAHAL_7G316200 transcript:PAN40447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHLVLLAVPAVAGGFVQAFHYAFLLWPFNLALPLARHLPRACAALREVASFYEAELRPYASGAARRLPAPPGSQQYASLSGVRQTAPHGDLVANAMIALVDISY >PVH35274 pep chromosome:PHallii_v3.1:7:34133242:34134432:-1 gene:PAHAL_7G138700 transcript:PVH35274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTRCVLLAARALVVTALLAAAVAEEYVRPPPGRIILTEHAEPAAHPQQVHVSAVGAKHMRVSWVTDDKRTQSVVEYGRASRNYTASATGDHTSYRYFLYSSGKIHHVKIGPLEPGAVYYYRCGMAGKEFSLRTPPAALPIELALVGDLGQTEWTASTLAHVGKTDYDMLLVPGDLAYADTQQPLWDSFGRFVQRHSSRRPWMVTQGNHEVEAAPLPPVPGAPPPFAAYGARWPAPHEESGSPSNLYYSFDAAAGAVHVVMLGSYAPFDAGSDQYRWLARDLAGVDRRATPWLVALLHAPWYNTNAAHQGRARP >PAN37186 pep chromosome:PHallii_v3.1:7:22220372:22222303:1 gene:PAHAL_7G073700 transcript:PAN37186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQNPAPFVYLDAAAAADARRRGMDPHVLEQSTQGVLQFLYMCLPDTPVYGRAGLSALPASSGDADDRISALPFGILRNIVSRLPAKDAARTSALSRRWRPVSRCTPLALADAHLLPGVLEGLRQPARADTPALAATVSRAIAAHPGPFRAVHLVCGYYGNAARQRELARWVQTFAAKGVEELVLVNRPWPLDVPLPAALLDVATLTRLYLGLWKFPDTSALQRRGAGAFPDTSALQRRGAGAVFPHLRELVLCSVELESRDMEYLLAGSPVLENLGVVGGSKKVTRLRLVGQHLRCVQICLSAVDSVAVVDTSSLERLFLWETMALDGSCVRLKIGEAPKLRVLGYLNPGIHMLEIRNTVINAGIKASPSTMVPGIRILGLNVRFGVRNDVKMLPTFLRCFPNVETLHFVSQKTEVVHGKVNLKFWQEAAPIESIQSCIKMMTFREFRMERSEVAFLKFFFQSAQVLKNAVIVGSNGSFTSIHEVISKLKTLIPENGPTKSCHVLVYESSDPDGGAVWSLQKGFDFSVSDPLYYR >PVH35344 pep chromosome:PHallii_v3.1:7:35642259:35643198:1 gene:PAHAL_7G157900 transcript:PVH35344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSASRERATADRLGELPDGVLLEVLSRLTFRQAVRTGVLSRRWRGLWHAVPYPLSCIDIDQKRAFRGEKATRWSPSLDEERERLFRLVDYGDRVTTSGGAVEPLGAFRLRATDLLLFETAGRWIRRALTRRPMAVAIRCDASSPLFPARPEFSFARYSRGGGAFTCCLRALQLHRVTLGLDSDFADAIANELPVLEDLRFEECDYYFTRIASTSLQNLSIYNCAARVQIVDVFALAAPRISSLRIHGNPPPVASECEMPSLLAAPEDSRSSAT >PAN36891 pep chromosome:PHallii_v3.1:7:1823929:1825007:-1 gene:PAHAL_7G009500 transcript:PAN36891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAAPVGRPGLLLLRRTDATFSAALRARYRVYDFYALGAPLRAFLAAAAAEPDPPRAALVVAGGAVLVDAAFMDAVPSLRCVVTTGAGVDHVDLAGCARRGVIVAGAGKIFSVDVADHAVGLLIAVLRRVSAADRYVRAGLWPAQGDYPLTSKLSGKRVGIIGLGSIGWLIAKRLEAFGCVVSYHSRAPKASVPYRYFPDAHALAADSDALVVACALNDATRRIVGRRVLDALGPGGVVVNVARGGNVDEQELIAALQEGRIAGAGLDVFENEPHVPPELRDMDNVVLTAHEAVFTEESTADLRELMIGNLEAFFSGKPLLTPVALP >PVH34690 pep chromosome:PHallii_v3.1:7:1822926:1825945:-1 gene:PAHAL_7G009500 transcript:PVH34690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAAPVGRPGLLLLRRTDATFSAALRARYRVYDFYALGAPLRAFLAAAAAEPDPPRAALVVAGGAVLVDAAFMDAVPSLRCVVTTGAGVDHVDLAGCARRGVIVAGAGKIFSVDVADHAVGLLIAVLRRVSAADRYVRAGLWPAQGDYPLTSKLSGKRVGIIGLGSIGWLIAKRLEAFGCVVSYHSRAPKASVPYRYFPDAHALAADSDALVVACALNDATRRIVGRRVLDALGPGGVVVNVARGGNVDEQELIAALQEGRIAGAGLDVFENEPHVPPELRDMDNVVLTAHEAVFTEESTADLRELMIGNLEAFFSGKPLLTPVALP >PVH34691 pep chromosome:PHallii_v3.1:7:1822926:1826686:-1 gene:PAHAL_7G009500 transcript:PVH34691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAAPVGRPGLLLLRRTDATFSAALRARYRVYDFYALGAPLRAFLAAAAAEPDPPRAALVVAGGAVLVDAAFMDAVPSLRCVVTTGAGVDHVDLAGCARRGVIVAGAGKIFSVDVADHAVGLLIAVLRRVSAADRYVRAGLWPAQGDYPLTSKRVGIIGLGSIGWLIAKRLEAFGCVVSYHSRAPKASVPYRYFPDAHALAADSDALVVACALNDATRRIVGRRVLDALGPGGVVVNVARGGNVDEQELIAALQEGRIAGAGLDVFENEPHVPPELRDMDNVVLTAHEAVFTEESTADLRELMIGNLEAFFSGKPLLTPVALP >PAN36851 pep chromosome:PHallii_v3.1:7:1151247:1159501:1 gene:PAHAL_7G005400 transcript:PAN36851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSCSCSYEEDTSGGEPSVNKPLAPARQESDDSEEEEESESDEAPPEPRTQPKEMSVFRLRIKKGLLNCTYCRRPLRPDTYNGRAYTCRQCVRRQIYCRECIRCHHFLAADTHSACNLLNHIIAQMKFKCDCNKYIPYCEFVEHRRECPSAKYSTPPARWKCMLKASVLRCSECEVPIRPPVFFSRSSNNLICSACYRADISTYRHCTELEYLLQGIKVKCIPCKQYFPFSWLGSRRVGDCPFKRELQNIAPGSSGRKKLCDEEENERPMAGNNSIHGKNKRKVHFEVGKMDKHIFHGDEVASDDDSYDASYDDNHPESVKRVAENAFKTPSCDKKAKTATPYGQKTDEYESTRPPAGNNSTIIGNTKGKARSKVRKMDNHIVDSDVVESDDDLSDDIHPESGIRVAENAFKTPACNKKVNIAMPYGQKTAPTHHGASRRQHLPITAPSKPPLPRRPGTRLFESARNRNRG >PVH34676 pep chromosome:PHallii_v3.1:7:1151247:1159501:1 gene:PAHAL_7G005400 transcript:PVH34676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSCSCSYEEDTSGGEPSVNKPLAPARQESDDSEEEEESESDEAPPEPRTQPKEMSVFRLRIKKGLLNCTYCRRPLRPDTYNGRAYTCRQCVRRQIYCRECIRCHHFLAADTHSACNLLNHIIAQMKFKCDCNKYIPYCEFVEHRRECPSAKYSTPPARWKCMLKASVLRCSECEVPIRPPVFFSRSSNNLICSACYRADISTYRHCTELEYLLQGIKVKCIPCKQYFPFSWLGSRRVGDCPFKRELQNIAPGSSGRKKLCDEEENERPMAGNNSIHGKNKRKVHFEVGKMDKHIFHGDEVASDDDSYDASYDDNHPESVKRVAENAFKTPSCDKKAKTATPYGQKTDEYESTRPPAGNNSTIIGNTKGKARSKVRKMDNHIVDSDVVESDDDLSDDIHPESGIRVAENAFKTPACNKKVNIAMPYGQKTAPTHHGASRRQHLPITAPSKPPLPRRPGTRLFESARNRNRG >PAN36852 pep chromosome:PHallii_v3.1:7:1151247:1159501:1 gene:PAHAL_7G005400 transcript:PAN36852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSCSCSYEEDTSGGEPSVNKPLAPARQESDDSEEEEESESDEAPPEPRTQPKEMSVFRLRIKKGLLNCTYCRRPLRPDTYNGRAYTCRQCVRRQIYCRECIRCHHFLAADTHSACNLLNHIIAQMKFKCDCNKYIPYCEFVEHRRECPSAKYSTPPARWKCMLKASVLRCSECEVPIRPPVFFSRSSNNLICSACYRADISTYRHCTELEYLLQGIKVKCIPCKQYFPFSWLGSRRVGDCPFKRELQNIAPGSSGRKKLCDEEENERPMAGNNSIHGKNKRKVHFEVGKMDKHIFHGDEVASDDDSYDASYDDNHPESVKRVAENAFKTPSCDKKAKTATPYGQKTDEYESTRPPAGNNSTIIGNTKGKARSKVRKMDNHIVDSDVVESDDDLSDDIHPESGIRVAENAFKTPACNKKVNIAMPYGQKTAPTHHGASRRQHLPITAPSKPPLPRRPGTRLFESARNRNRG >PVH34675 pep chromosome:PHallii_v3.1:7:1151247:1159501:1 gene:PAHAL_7G005400 transcript:PVH34675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSCSCSYEEDTSGGEPSVNKPLAPARQESDDSEEEEESESDEAPPEPRTQPKEMSVFRLRIKKGLLNCTYCRRPLRPDTYNGRAYTCRQCVRRQIYCRECIRCHHFLAADTHSACNLLNHIIAQMKFKCDCNKYIPYCEFVEHRRECPSAKYSTPPARWKCMLKASVLRCSECEVPIRPPVFFSRSSNNLICSACYRADISTYRHCTELEYLLQGIKVKCIPCKQYFPFSWLGSRRVGDCPFKRELQNIAPGSSGRKKLCDEEENERPMAGNNSIHGKNKRKVHFEVGKMDKHIFHGDEVASDDDSYDASYDDNHPESVKRVAENAFKTPSCDKKAKTATPYGQKTDEYESTRPPAGNNSTIIGNTKGKARSKVRKMDNHIVDSDVVESDDDLSDDIHPESGIRVAENAFKTPACNKKVNIAMPYGQKTAPTHHGASRRQHLPITAPSKPPLPRRPGTRLFESARNRNRA >PVH34677 pep chromosome:PHallii_v3.1:7:1152683:1158646:1 gene:PAHAL_7G005400 transcript:PVH34677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSCSCSYEEDTSGGEPSVNKPLAPARQESDDSEEEEESESDEAPPEPRTQPKEMSVFRLRIKKGLLNCTYCRRPLRPDTYNGRAYTCRQCVRRQIYCRECIRCHHFLAADTHSACNLLNHIIAQMKFKCDCNKYIPYCEFVEHRRECPSAKYSTPPARWKCMLKASVLRCSECEVPIRPPVFFSRSSNNLICSACYRADISTYRHCTELEYLLQGIKVKCIPCKQYFPFSWLGSRRVGDCPFKRELQNIAPGSSGRKKLCDEEENERPMAGNNSIHGKNKRKVHFEVGKMDKHIFHGDEVASDDDSYDASYDDNHPESVKRVAENAFKTPSCDKKAKTATPYGQKTDEYESTRPPAGNNSTIIGNTKGKARSKVRKMDNHIVDSDVVESDDDLSDDIHPESGIRVAENAFKTPACNKKVNIAMPYGQKTAPTHHGASRRQHLPITAPSKPPLPRRPGTRLFESARNRNRA >PAN40176 pep chromosome:PHallii_v3.1:7:44619658:44622561:-1 gene:PAHAL_7G297300 transcript:PAN40176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSSFKLEHPLEKRQSEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSSIYEENKDEDGFLYMTYSGENTFGLL >PVH35775 pep chromosome:PHallii_v3.1:7:43093871:43095533:-1 gene:PAHAL_7G274300 transcript:PVH35775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASAGAASARLPEPRCIPTPESSPDAFSSSFPSTSPAADLRPVAGEAGGEKGVLLDLDSPWAARRAGDEIRDNQQRQEDELMALEAIYGHDLAVFGNIGGLRYFQICIRYDVADGIEVCAKLSSANVCAEDEGCSDGTGQSDGSASATSSTYLL >PVH34812 pep chromosome:PHallii_v3.1:7:10456528:10456854:1 gene:PAHAL_7G040200 transcript:PVH34812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWGRVRTFVTRPCHVSSQPCLFVDIDYRCFPGCSLHGLRWVTAIRFVRTNIPMQYLLGGFTEELVISRVTSYLVYDICWRTMLLWSCKCVRHWWPGLRRQLPFVTP >PVH36036 pep chromosome:PHallii_v3.1:7:47420796:47428504:-1 gene:PAHAL_7G342100 transcript:PVH36036 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKPKQQVLSRFFSPKPAPSSAAPDPPPPPNPKPSAAHPPVSTVASFSPAKRARALSLSPKSLAAKRPNPTPPSRDAVRRRLLEPLHPAPPPRPLNPTGGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAAAVLGIVAHPDRSFLTASVPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAAAAQKGGGAAGAPFARGLSAVYTRATIEAAAGELEGGGAAPEEGSRYLVCVVDKEVEATGREGFDVKVGVVAIEVSTGEVVHGEFMDTTSRSGLEAVLLGLAPVEVILGTPLTFATEKVMMAYAGPTSNVRVERASCVCFGEGGALAELMFLFEKSVDNASRVEDDRQPMETNDDDNNLRGIEGLMAMTELVVQALALSVRYLKVFGMERIICFGSSFRPFSADTEMSLSANTLQQLEVLKNNSDGTIEGSLFQTMNNTCTAFGSRLFRNWLTHPLSDRHPICARHDAVSEISESMGSRHSINNLQDRGDGSCTALARSDLSTILSSVLEMLGKSLDIQRGITRIFHCKATAKEFVGVIQSILTAGKQLQKLFLEDIDTVSSHHKPVHSSLLRRLISTASSSTVLNNAVKLLSCLNQDAADQGDMLNLFIASVDHFPEVAEGHVNVEMAQHKLDLLIVEYRKQLGMRNLEFKTVAGSTNLIELPVDRRVPSNWIKINSTKKTIRYHTPEILKNLDNLLLAKEELAVICRSSWHKFLTDFSKYYAQFQAVVESLAALDCLYSLAVLAKQNNYVRPIFVHESASSQIHIKNGRHPVLESLLGDNFVPNDTELHADGEYCQIVTGPNMGGKSCYIRQVALITMMAQVGSFVPASSAMLHVVDGIYTRMGASDSIQQGTSTFHEEMNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLIKEKKCITIFVTHYPRILDIQREFEGCVGAYHVSYLVTKKLLEITDKPLETSPVSKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSRCIKRASVMAAKLQEELSMREENKLWRTTDAATVDGPSESSTIVGLLCAQPYQGLAEACRRVLLNMTLAQSYNDVTNTLPSLKNAREFAKKTIEGFLM >PAN40803 pep chromosome:PHallii_v3.1:7:47419773:47428714:-1 gene:PAHAL_7G342100 transcript:PAN40803 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKPKQQVLSRFFSPKPAPSSAAPDPPPPPNPKPSAAHPPVSTVASFSPAKRARALSLSPKSLAAKRPNPTPPSRDAVRRRLLEPLHPAPPPRPLNPTGGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAAAVLGIVAHPDRSFLTASVPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAAAAQKGGGAAGAPFARGLSAVYTRATIEAAAGELEGGGAAPEEGSRYLVCVVDKEVEATGREGFDVKVGVVAIEVSTGEVVHGEFMDTTSRSGLEAVLLGLAPVEVILGTPLTFATEKVMMAYAGPTSNVRVERASCVCFGEGGALAELMFLFEKSVDNASRVEDDRQPMETNDDDNNLRGIEGLMAMTELVVQALALSVRYLKVFGMERIICFGSSFRPFSADTEMSLSANTLQQLEVLKNNSDGTIEGSLFQTMNNTCTAFGSRLFRNWLTHPLSDRHPICARHDAVSEISESMGSRHSINNLQDRGDGSCTALARSDLSTILSSVLEMLGKSLDIQRGITRIFHCKATAKEFVGVIQSILTAGKQLQKLFLEDIDTVSSHHKPVHSSLLRRLISTASSSTVLNNAVKLLSCLNQDAADQGDMLNLFIASVDHFPEVAEGHVNVEMAQHKLDLLIVEYRKQLGMRNLEFKTVAGSTNLIELPVDRRVPSNWIKINSTKKTIRYHTPEILKNLDNLLLAKEELAVICRSSWHKFLTDFSKYYAQFQAVVESLAALDCLYSLAVLAKQNNYVRPIFVHESASSQIHIKNGRHPVLESLLGDNFVPNDTELHADGEYCQIVTGPNMGGKSCYIRQVALITMMAQVGSFVPASSAMLHVVDGIYTRMGASDSIQQGTSTFHEEMNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLIKEKKCITIFVTHYPRILDIQREFEGCVGAYHVSYLVTKKLLEITDKPLETSPVSKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSRCIKRASVMAAKLQEELSMREENKLWRTTDAATVDGPSESSTIVGLLCAQPYQGLAEACRRVLLNMTLAQSYNDVTNTLPSLKNAREFAKKTIEGFLM >PVH35533 pep chromosome:PHallii_v3.1:7:38827914:38837102:-1 gene:PAHAL_7G208900 transcript:PVH35533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAVCPYWPSILIPLSPGATPPRASEFPGLAGEGKPSWPGQRCCRFLAVASLRSRLSSARSSRRRARHPQGANPNLKPQLDQLEERPACHATPGAPGLVRDLAHLPAINRHGRYALLLDPPPPDASRLAAKAHPGVRVFLAGHGPGRRGRGQGREGGRERRAGGMDGWRLPVRRGGRARTVTEESEHTAGRDGTDADVPLRPRAHCSFCHPLMPRARARLLLNAGRRRGPLPRPS >PAN39684 pep chromosome:PHallii_v3.1:7:42281762:42284551:-1 gene:PAHAL_7G260900 transcript:PAN39684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) UniProtKB/Swiss-Prot;Acc:Q9FLI4] MGFSSARWRALPLCLALVALQACLSACAAAPKTYIVQMAASEMPSSFDFHHEWYASTVKSVSSVQLEGEEDDPYARIVYNYETAFHGFAARLDEEEAERMAEADGVVTVLPETVLQLHTTRSPDFLGISPEISNSIWSAGLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTANCNRKVIGARIFYNGYEASSGPINETTELKSPRDQDGHGTHTAATAAGAPVPDANLFGYARGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVADGVDVLSISLGGGTSPYYHDSLAIASFGAMQMGVFVACSGGNAGPDPISLTNLSPWITTVGASTMDRDFPAMVTLGNGANITGVSLYKGRRNLSSQEQYPLVYMGGNSSIPDPRSLCLEGTLQPQEVAGKIVICDRGISPRVQKGQVVKNAGGMGMILANTQANGEELVADSHLLPAVAVGESEGIAAKKYSKTAAKPTATLSFDGTKLGIRPSPVVAAFSSRGPNFLTLEILKPDVIAPGVNILAAWSGDASPSSLSTDRRRVGFNILSGTSMSCPHVAGVAALIKASHPDWSPAKIKSALMTTAYVHDNTYRSLKDAATGKASTPFDHGAGHIHPLRALNPGLVYEIGQDDYLEFLCVENLTPMQLRAFTKNSSKTCKHTFSSPGDLNYPAISAVFTEQPSKALMVRRTVTNVGPPSSTYHVKVSEFKGADIVVEPSTLHFTSSNQKLTYKVTMTTKAAQKTPEFGALSWSDGVHIVRSPLVITWLPPM >PVH35471 pep chromosome:PHallii_v3.1:7:37899258:37900931:-1 gene:PAHAL_7G193100 transcript:PVH35471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGGDLGSLAAVVAAVTACMAYARFAARRLRPGLPRLAALLPVLAVLPLPPLAFRALHPRAISAFFLAWVAEFRLLLLASGQGPLHPSLPLPAFAAVATLPVTLRDPRAARRPGLGLAESAAMAALLAAVVSLYRHQERMHRYALLALYSVHVYLALELVLAAAAAAARATLGLDLEPQFDRPYLSASLRDFWGRRWNLSVSALLRQCVFRPVRARLGAPAGVLAAFAVSGLMHEAMFSYITLRPPTGEAAAFFALHGACAVAEEWWAARGRWPRPPRALATPLTLAFVGVTGFWLFFPPITRPGADKQAIAESEAMVAFLRDAAGRAAASARSVLFGRS >PVH35690 pep chromosome:PHallii_v3.1:7:41395039:41398919:1 gene:PAHAL_7G248400 transcript:PVH35690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYGFWQEEKIREAIEDVGFEAKLINEEVREKNILVCRLHIKGMTCTSCTSTVESALQAFPGVQRASVALATEEAEIRYDRRIVAANQLIQAVEETGFEAVLITTGEDRSRIDLKIDGVLNERLIMILESSIQALPGVEDIKVDTELHKITISYKPDQTGPRDLIEVIESATSGDVTASIYPEAEGREHPRYGEIKRYKQSFLWSLIFTIPVFLTSMVFMYIPGLKDGLEKKVVNMMSIGELLRWILSTPVQFIIGRKFYTGAYKAMRHGSPNMDVLIALGTNTAYFYSVYSVLRAAISENYIPTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLIHDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIVLSLLTWLAWFLAGRFHGYPQSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGILIKGGQALESAHKVHCIVFDKTGTLTIGKPVVVSTRLLKNMVLREFYDYVAAAEDNSEHPLAKAIVEHAKKLHSEENHIWPEARDFISVPGHGVKAKVNDKSVIVGNKSFMLSSGIDIPMEALEILTEEEEKAQTAIMVAMDQEVVGIISVSDPIKPNANEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGKTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVVTAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSSRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKTFGN >PVH35689 pep chromosome:PHallii_v3.1:7:41391657:41399144:1 gene:PAHAL_7G248400 transcript:PVH35689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTRALFSCFHGGGAEVSRHLALRPRYPSMPRRPRTAAVADDASGGGGSRGDLEAAKGAAEKEEEEEKLAVFAVTGMTCSACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEEKIREAIEDVGFEAKLINEEVREKNILVCRLHIKGMTCTSCTSTVESALQAFPGVQRASVALATEEAEIRYDRRIVAANQLIQAVEETGFEAVLITTGEDRSRIDLKIDGVLNERLIMILESSIQALPGVEDIKVDTELHKITISYKPDQTGPRDLIEVIESATSGDVTASIYPEAEGREHPRYGEIKRYKQSFLWSLIFTIPVFLTSMVFMYIPGLKDGLEKKVVNMMSIGELLRWILSTPVQFIIGRKFYTGAYKAMRHGSPNMDVLIALGTNTAYFYSVYSVLRAAISENYIPTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLIHDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIVLSLLTWLAWFLAGRFHGYPQSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGILIKGGQALESAHKVHCIVFDKTGTLTIGKPVVVSTRLLKNMVLREFYDYVAAAEDNSEHPLAKAIVEHAKKLHSEENHIWPEARDFISVPGHGVKAKVNDKSVIVGNKSFMLSSGIDIPMEALEILTEEEEKAQTAIMVAMDQEVVGIISVSDPIKPNANEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGKTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVVTAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSSRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKTFGN >PAN39511 pep chromosome:PHallii_v3.1:7:41391738:41398919:1 gene:PAHAL_7G248400 transcript:PAN39511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTRALFSCFHGGGAEVSRHLALRPRYPSMPRRPRTAAVADDASGGGGSRGDLEAAKGAAEKEEEEEKLAVFAVTGMTCSACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEEKIREAIEDVGFEAKLINEEVREKNILVCRLHIKGMTCTSCTSTVESALQAFPGVQRASVALATEEAEIRYDRRIVAANQLIQAVEETGFEAVLITTGEDRSRIDLKIDGVLNERLIMILESSIQALPGVEDIKVDTELHKITISYKPDQTGPRDLIEVIESATSGDVTASIYPEAEGREHPRYGEIKRYKQSFLWSLIFTIPVFLTSMVFMYIPGLKDGLEKKVVNMMSIGELLRWILSTPVQFIIGRKFYTGAYKAMRHGSPNMDVLIALGTNTAYFYSVYSVLRAAISENYIPTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLIHDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIVLSLLTWLAWFLAGRFHGYPQSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGILIKGGQALESAHKVHCIVFDKTGTLTIGKPVVVSTRLLKNMVLREFYDYVAAAEDNSEHPLAKAIVEHAKKLHSEENHIWPEARDFISVPGHGVKAKVNDKSVIVGNKSFMLSSGIDIPMEALEILTEEEEKAQTAIMVAMDQEVVGIISVSDPIKPNANEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGKTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVVTAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSSRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKTFGN >PVH35400 pep chromosome:PHallii_v3.1:7:36652343:36656475:1 gene:PAHAL_7G172800 transcript:PVH35400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSSSMCGGGETGMRIVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWSPEEDGPFHPGRVSRWWISQSLQHLDASLRRLGAGKLVTRRSTDAVVALLQLVRDTGATHVYFNHLYDPISLVRDHRLKEMLAAEGIVVQSFNADLLYEPWEVVDDEGQPFTMFAPFWNRCLSMPYDPPAPLLPPKKINSGNLSMCPSDDLIFEDESERGSNALLARAWTPGWQNADKALTAFLNGPLADYSVNRKKADSASTSLLSPHLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSIGLREYSRYLSFNHPSSHERPLLAHLRFFPWVVDEWHFKLWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWIPELARLPTEWIHHPWDAPVSVLQAAGIELGSNYPLPIVELDAAKARLQEALSEMWQLEAASRATMSNGMEEGLGDSSEVPPIEFPQELQMEVDRQPAQAMANVPMTARRRQDQMVPTMTSSLNRAETEVSADLGNSEDTRAQVPFHAHFEPRVERHDAIQNAEGPAPRINGVHQNNIFQQPQHHRREAVAPSVSEASSSWTGREGAVVPVWSPPAASGHSETFAADEADVSSRSYLDRHPQSHRLMNWSQLSQSLTTGWEVENSVQPNLIG >PAN38448 pep chromosome:PHallii_v3.1:7:36652706:36655857:1 gene:PAHAL_7G172800 transcript:PAN38448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSSSMCGGGETGMRIVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWSPEEDGPFHPGRVSRWWISQSLQHLDASLRRLGAGKLVTRRSTDAVVALLQLVRDTGATHVYFNHLYDPISLVRDHRLKEMLAAEGIVVQSFNADLLYEPWEVVDDEGQPFTMFAPFWNRCLSMPYDPPAPLLPPKKINSGNLSMCPSDDLIFEDESERGSNALLARAWTPGWQNADKALTAFLNGPLADYSVNRKKADSASTSLLSPHLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSIGLREYSRYLSFNHPSSHERPLLAHLRFFPWVVDEWHFKLWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWIPELARLPTEWIHHPWDAPVSVLQAAGIELGSNYPLPIVELDAAKARLQEALSEMWQLEAASRATMSNGMEEGLGDSSEVPPIEFPQELQMEVDRQPAQAMANVPMTARRRQDQMVPTMTSSLNRAETEVSADLGNSEDTRAQVPFHAHFEPRVERHDAIQNAEGPAPRINGVHQNNIFQQPQHHRREAVAPSVSEASSSWTGREGAVVPVWSPPAASGHSETFAADEADVSSRSYLDRHPQSHRLMNWSQLSQSL >PAN38987 pep chromosome:PHallii_v3.1:7:38968109:38971573:-1 gene:PAHAL_7G211200 transcript:PAN38987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPGGKPENKVVPDAAAWVFNIVTSVGIIMVNKALMGTHGFGFVTTLPGIHFATTALVALILKLLGYLEPSYLPLPELMKYVLFANISVVGMNASLMWNSVGFYQISKLSMVPVLCMLEVLFENIRYSRDTKLSIIVVLVGVAICTVTDVSVNTKGLIAAAVAVCSTAFQQHYIHHLQNKYSLSAFNLLGHTAPAQAASLLLLGPFVDHWLTNNRVDTYRYNNVVAFFIALSCMIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFILFGREGLNVHVAFGMILAIVGMIWYNHASSRPGGKECQDYREPVQEDIEQVDQPPQVKPDGKN >PVH35546 pep chromosome:PHallii_v3.1:7:38968185:38970831:-1 gene:PAHAL_7G211200 transcript:PVH35546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPGGKPENKVVPDAAAWVFNIVTSVGIIMVNKALMGTHGFGFVTTLPGIHFATTALVALILKLLGYLEPSYLPLPELMKYVLFANISVVGMNASLMWNSVGFYQISKLSMVPVLCMLEVLFENIRYSRDTKLSIIVVLVGVAICTVTDVSVNTKGLIAAAVAVCSTAFQQHYIHHLQNKYSLSAFNLLGHTAPAQAASLLLLGPFVDHWLTNNRVDTYRYNNVVAFFIALSCMIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFILFGREGLNVHVAFGMILAIVGMIWYNHASSRPGGKECQDYREPVQEDIEQVDQPPQVKPDGKN >PAN38986 pep chromosome:PHallii_v3.1:7:38968185:38970831:-1 gene:PAHAL_7G211200 transcript:PAN38986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPGGKPENKVVPDAAAWVFNIVTSVGIIMVNKALMGTHGFGFVTTLPGIHFATTALVALILKLLGYLEPSYLPLPELMKYVLFANISVVGMNASLMWNSVGFYQISKLSMVPVLCMLEVLFENIRYSRDTKLSIIVVLVGVAICTVTDVSVNTKGLIAAAVAVCSTAFQQHYIHHLQNKYSLSAFNLLGHTAPAQAASLLLLGPFVDHWLTNNRVDTYRYNNVVAFFIALSCMIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFILFGREGLNVHVAFGMILAIVGMIWYNHASSRPGGKECQDYREPVQEDIEQVDQPPQVKPDGKN >PAN39043 pep chromosome:PHallii_v3.1:7:39333093:39336588:-1 gene:PAHAL_7G216300 transcript:PAN39043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVNVADKAEFKECLRLTWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTVLRETIVSMAVAGAIVGAALGGWMNDKFGRRPSIIIADALFFSGALIMAFSPTPTVIIVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGLPALVQFILMLMLPESPRWLYRKGRKEEASEILRKIYPANEVEQEIDAMRQSVEEEVLLEGSVGEHSLIGKLRKALGSKVVRRGLMAGVIVQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSVVSMFFVDRIGRRRLMLISLVGIIVWLAVLGGTFLGAAHHAPPVSNVETRLFANQTQTCPEFNPSVSWSCVNCLKAASTCGFCAHQGDKLLPGACLALNDASRRTCRADQREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTSVTFFLFCGVSFLALVVVFFTVPETKGLQFEEVERMLERKDYKPWKRYQGVSDVEPAKNSEEGLTAP >PVH35754 pep chromosome:PHallii_v3.1:7:42545816:42546700:-1 gene:PAHAL_7G264700 transcript:PVH35754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPASDHSYELPLRRNLLLLRDLLDLLRFVAGVLLDRLGVVSCQRSGVQLPRQTWGGEHAADDDAAVERFLDATLRAPSSPPVTAGETTRSRVAARYRQRRAGGNRQGAEGGGEGAAVCAICLAGLEEAGAAGQAVADLGACSHAFHAACIDAWAGTGVAATCPLCRAPMTPTPWEDYGQSLLHARPGD >PAN38669 pep chromosome:PHallii_v3.1:7:37584745:37585443:1 gene:PAHAL_7G188400 transcript:PAN38669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPEATTAPVPALDRTPWSISEMPRGYVFQSKARCLLDYYLVPMALHGRVAERNIRDSVAQGVDVYALRPEALPFLRCNRDRTGQVWGYFFATRPAAAGGSSAGSSHGDDVREVAAGGCWRRYGGEVEYVGEDGEAYAFRRRFAFHEAGDGGNKTVWRMKEFRLNMAASAFHGVAFHPRAKGLAIWKVYNEPIPDPEDEPAVDYYNSDDENGAIVITVGDAIVDPPAPAA >PAN38277 pep chromosome:PHallii_v3.1:7:35895290:35900768:1 gene:PAHAL_7G160200 transcript:PAN38277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMDVANPGAAAGSGMASDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDQYLPMFNLPSKILCSVVNVELRAEADSDEVYAQIMLQPEADQSELTSPDPELKEPEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPKRHLLTTGWSVFVSSKRLVAGDAFIFMRGENGELRVGVRRLMRQVNSMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSDFIVSVNKYLEAKKQKISVGMRFKMRFEGDEAPERRFSGTIIDIGSLPAMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEVEPLDAANPQSPQPPLRNKRARPPASPSTVAELPSGFGLWKSPTDSARTLSFSDPQRARELFPSIPTSTFSSSSNVNFNSKNEPSMLNSQFYWSARDTRADSCAASTNAVIVEKKQEQNSGGCRLFGIDICSAEEEVLPVVTAPALGYDQTAASLELNSDKLSQPSDVNNSDAPGASSERSPPESQSRQVRSCTKVIMQGMAVGRAVDLTKLSGYSDLCHKLEEMFDIQGELGSTLKKWRVIYTDDEDDMMLVGDDPWNEFCDMVKRIYIYTYEEAKKLTSKSNLPGSSDTSKLSDVNSQSE >PAN38864 pep chromosome:PHallii_v3.1:7:38467562:38470956:1 gene:PAHAL_7G203000 transcript:PAN38864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRRCGRRAALVVLLVCAAAARAVSAVGDGPLLNGNFEYPPNQSQMSGSSVTGEDAIPYWKTAGAVEYIGSGQQQGDMVLTVPEGAHALRLGGGASVRQQLSVTRGAYYSITFRASRTCAQDEKLSLEVVPVTGYPAQTGELPIQTVYTSCGWDSYSWAFKSEAGIVSFTIRHPHEEEEDPACGPIIDAIAIKTIYQPQPTQDNLLANGDFEEGPYIPPDSRSGVMVPPVDEDHVSPLPGWMIMSYKKVVKYVDAAHFAVPRGVRAVELVSGVETALVQEVHATVEGSWYRLEFSVGDAANGCGASSDYSPSPGMKVKASAGSGETTVGVDFRGAGGCTRGRLEFQATTSPTRVVFVSLGYHTKSDNSGTLCGPLVDDVSLVAIAQPSARRLLL >PVH35508 pep chromosome:PHallii_v3.1:7:38468654:38470956:1 gene:PAHAL_7G203000 transcript:PVH35508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSVTGEDAIPYWKTAGAVEYIGSGQQQGDMVLTVPEGAHALRLGGGASVRQQLSVTRGAYYSITFRASRTCAQDEKLSLEVVPVTGYPAQTGELPIQTVYTSCGWDSYSWAFKSEAGIVSFTIRHPHEEEEDPACGPIIDAIAIKTIYQPQPTQDNLLANGDFEEGPYIPPDSRSGVMVPPVDEDHVSPLPGWMIMSYKKVVKYVDAAHFAVPRGVRAVELVSGVETALVQEVHATVEGSWYRLEFSVGDAANGCGASSDYSPSPGMKVKASAGSGETTVGVDFRGAGGCTRGRLEFQATTSPTRVVFVSLGYHTKSDNSGTLCGPLVDDVSLVAIAQPSARRLLL >PAN40698 pep chromosome:PHallii_v3.1:7:46901231:46904770:-1 gene:PAHAL_7G334100 transcript:PAN40698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MSSRLGSYTLEPALAAAAEALFRSGSPPRAVLRRARALHALLVVSSLPSAPRPATFLANQLLALYCRHSAVPDALALLRSTPCPTVVSYNTVLSALSRTPRHAPNAFGLFRGLYASGLRPTAPSFCAVLRAAGALRDGRAGAAAHAQAAALGFLASDIVPTALLQMYSGCGSPKDANQVFDEMTMPDVVAWNCVMHCNVRYGYVERALRKFCRMVSIGLAPTESTLSSVLSGCGRSGDSHHGRALHGWVVKSEELDLDLPLQNALLDMYCCCGDLDTVLHVFQRIETPDLVSWNTIIAGFSGVGDGWSAVQAFVQLKAVSCEQLAPDEYTLAAVVSAAAALPAMCSGKPLHADIIKVGLESSVFVANTLINMYFTNEEPSSAQILFDSIMVKDVIMWTEMVAGHSSLGEGELALKYFIRMLEEGHKVDNFSLSSALNSSADLAGLKQGEMLHAQVVKGGYQGNICVSGSLVDMYAKNGALGYAYSVFCNIQKADLKCWNSMIGGYGNHGDSETAFKLFGDMIHGGLQPDRVTYISLLSACSHCGLVDKGKLYWSWMITDGIVPGFKHYTSMVSLLSRAGLLEEAVDLINKAPSAKRYPELWRILLSSCVTFKDLSIGVHAADQALEQDPDDISTHILLSNLYASVGKWDNVSAIRRRIRGLMIEKEPGLSWIEIKKTVHVFSADDECHTHIDDCRDELLRIKGNMGLLDSCENELVSNG >PAN38615 pep chromosome:PHallii_v3.1:7:37347575:37348819:-1 gene:PAHAL_7G184900 transcript:PAN38615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQKIVIKLGMPSAKNRKEAMALAAKVHGVSSVAITGDDKDRLEVVGTGVDAANLVSCLRKKVLRRADILLVGEAKKKPEEPPQWWWPGYYYPQHHPLQVAVCEEPEMAGCHIM >PVH34937 pep chromosome:PHallii_v3.1:7:20826706:20827213:-1 gene:PAHAL_7G069700 transcript:PVH34937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVHGTLICSASIIQTKSHDHILEQTHRSRYSECGFVYIRRGHKNLVITSVTIHETQDFVTSSCINQCLCNRHWVLILRSSPVEVSEVHANSPSAILLLYRTSAFICRARCWNGRNPLLRGGRCSTMRLSNPGFSV >PVH35632 pep chromosome:PHallii_v3.1:7:40286726:40287493:-1 gene:PAHAL_7G230700 transcript:PVH35632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKSVVWYLICVKLSMLFISGVSQLLLVEHSLHLQYQSLLPISIRLVISPQTKTVGR >PAN39436 pep chromosome:PHallii_v3.1:7:41073137:41076847:1 gene:PAHAL_7G242700 transcript:PAN39436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGDGRTEAIMREIASLRAQRDELDSRIRFFESQLRAGGAAPTTLPPSLSTKLDAMGVHAAAAGGGLSSDMVRRYSRHLLLPDFGVQGQRRLSRSSVLVVGAGGLGSAVALYLAACGVGSLGIADGENVELSNLHGQIIHVEAYVGQPKVKSAASACRAINSSIKVFEHHLKLKSKNALDVVRQYDIIVDATNSPASRYMLNDCCVLLQKPLISGSTIGLEGQLTVYNHNGSPCYRCLFPNPAACQSGSDNGILGVVPGVIGCLQALEAIKVATRICEPLRGRMIHFDALSSRFKTVKKIHQRSSTCMVCGDHPNLTKDDLMMFDYDSFAESSNSSKPAPSLNPLPSNAQITCREYKRLLDSGRPHLLLDVRPVHHFQIASIANSVNIPLHELREKLPRLRDALSEVAGVSRGRHRPLYFICQRGDDSQVAVHILRENGFPYASDVIGGLESWAREVDPGFPVYW >PVH34945 pep chromosome:PHallii_v3.1:7:21602336:21602665:-1 gene:PAHAL_7G071900 transcript:PVH34945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLTPVISNPEVNRTLATLRKAELGFLGLIVEKSTDKSSLLSLYRIVHEIFTSYGSSFNYFEGILFLVRESPRLLPLPPED >PAN37568 pep chromosome:PHallii_v3.1:7:29964572:29966762:-1 gene:PAHAL_7G105500 transcript:PAN37568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMLIPAKFMQQYIPKEHLNNRTAIIFGPLGKVPHIEIEMNQSDVFFSGGWSQFLVLHDITESNALLLRYEGNMVFTVKVFEPDGYQRESKTKDIRMQQNIEEKQEAPSISIQKHYKNDLSSNDGVKKPKGPVMRLTKAPFWIKSVFEVGPSSWIKKQVNANTLRELALQTAFCDTIGLQEPCIITLKTSMSSTKSWQVHALPRRNGSYRLRPGWSRFCKESDLKLGDVCTFDIVEITLWLVDVTRCKEKMNQFCYQEKPKRKKERSSGQLHI >PAN38330 pep chromosome:PHallii_v3.1:7:36179136:36180026:1 gene:PAHAL_7G165000 transcript:PAN38330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLVSVPVAFLLLVLAGSRAALASETVEQICAEATSGGAHSDLAPFCVASLQAAPGSDGADARGLAAIATNLTLANYTAAVATIKALERRGGWTAAQRGALATCRTRYIEALNVVHSAVHALAVGRLEDYAADMAVVGKAATDCEDAFGAANAGGGSSPLRKVDEDAVNLTTVATLIVRSLKK >PAN40155 pep chromosome:PHallii_v3.1:7:44470270:44478455:1 gene:PAHAL_7G295200 transcript:PAN40155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVEQLLRYIVEEASEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEDLMNLLFSFLKPDHPHGTLSAGYFAKVVICLMMRKTLPLVSYVQGHPEIVSQLVDLIGVTSIMEVLIRLIGADETMYSSYADSMQWLDDIKVLEMIVDKFSTSDCPEVHANAAEILCAVTRYAPPALAAKISSPSFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGALVTASPETVNGMLDSLGDLLKLLDVSSAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSDAAETLLIHLGAIKRAIDLLFEYPFNNFLHHHVENIIGSCLESKQDRLIGHVLDECKLVTRILEAEKNSALSTNLTKHTLSAEGRSPPRIGIVGHITRIANKLLQLANTNTMVQSHLQQNSDWIEWHASILTKRNVLENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDETQASLERDDEDVYFDDESAEVVISSLRLGDEQDSSSLFTNSNWFSFDEDKALNDGSVSSEASPSPNSDISAPKLDNENDEVILGEVIDDTKGSEPSLPDTEVSEPPLPVSNKDTNEESGQTVLANGTINKLEDDIRPPTPDVKESQPECVEWREEEAEPGGVAEKDTTAPVFEVENEKQLDSMNDVVCEAKLGEEKVGDNVVPCEAKLGVEKERDNSSEPSAPDATEEAAVPVSSDVDSMKHPEPVGDST >PVH35860 pep chromosome:PHallii_v3.1:7:44469628:44478473:1 gene:PAHAL_7G295200 transcript:PVH35860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVEQLLRYIVEEASEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEDLMNLLFSFLKPDHPHGTLSAGYFAKVVICLMMRKTLPLVSYVQGHPEIVSQLVDLIGVTSIMEVLIRLIGADETMYSSYADSMQWLDDIKVLEMIVDKFSTSDCPEVHANAAEILCAVTRYAPPALAAKISSPSFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGALVTASPETVNGMLDSLGDLLKLLDVSSAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSDAAETLLIHLGAIKRAIDLLFEYPFNNFLHHHVENIIGSCLESKQDRLIGHVLDECKLVTRILEAEKNSALSTNLTKHTLSAEGRSPPRIGIVGHITRIANKLLQLANTNTMVQSHLQQNSDWIEWHASILTKRNVLENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDETQASLERDDEDVYFDDESAEVVISSLRLGDEQDSSSLFTNSNWFSFDEDKALNDGSVSSEASPSPNSDISAPKLDNENDEVILGEVIDDTKGSEPSLPDTEVSEPPLPVSNKDTNEESGQTVLANGTINKLEDDIRPPTPDVKESQPECVEWREEEAEPGGVAEKDTTAPVFEVENEKQLDSMNDVVCEAKLGEEKVGDNVVPCEAKLGVEKERDNSSEPSAPDATEEAAVPVSSDVDSMKHPEPVGDST >PVH35606 pep chromosome:PHallii_v3.1:7:39787917:39789762:-1 gene:PAHAL_7G223300 transcript:PVH35606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIACRKRSATPFLDEVFPAPTHLAKRGRFSPCPGVAAAAQLASDPLDALRRVFPDASPGEVEACFAASGRDVHTTVEACRARQRQAREREAAAARVASAAARTDGGGMEECAGVLVEQMSAAADAADARGLASWILKLIEGAVANRAAASAEVQAAALREENAALKARAEELARDNGVLRRGVAAQHSRQEELERDNGVLKRGVAALHRRQEETERAAEELRKKVAELTAANYALGVQARGADSCRFQVFRSPDVF >PVH35783 pep chromosome:PHallii_v3.1:7:43271832:43273099:-1 gene:PAHAL_7G276700 transcript:PVH35783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEARRRQEHLYLVMDDWEMGCSIHKLDVDEFEVESDPGGGGNKLRRLPEPCALRIEAPADRSTAIVAALGSKMVLMTDRLYAFNPRRADQQCSFEVLSRAPRDEEDEFRLSRRAERWSVGSVPAPMPLGKHETVTAYAVHPDGCTIFVSARSRSRLDGEREGGTHSFDTRSSVWTWHGEWQLPFQGEGCYVHELDAWVGLRRDGFLCSCAVPPRGGGTVVQPEWKLWKKTMFHEDPERHVGQPTGATLTYMGNTAGVPMEEATDGEVDGCVLHVTMFGVKYDKRGDLQTTAHHARSYLVSR >PVH35464 pep chromosome:PHallii_v3.1:7:37815385:37818083:-1 gene:PAHAL_7G191600 transcript:PVH35464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLGSVPTLVVSTAGALRAAFQPNDRAMSGRPALTAAARIAYGLQDIVFSHPGGAFWRAARRASLSELLGAPRVRGVRKGEVAALVAAIAGASAAGSPVNLSERLMATSNRILRRVAFGDGGGGEGSIEAGAVLDEMQKLLGAFFVADYMPWLGWVDALRGLRRRLKRNFHELDAFYEKVIDDHLKKRAGSKGEDLVHVLLRLHGDPAYRSTFNSRNQIKGILTDMFIAGTDTTAATVEWTTTELVRHPDILAKAQQEVRGAVAGGGGDIVLESDLPRLTYLKQVIRESMRVHPPVPLLVPRESVRPSNPARCTAARSRPERGCS >PAN40111 pep chromosome:PHallii_v3.1:7:44322318:44325031:1 gene:PAHAL_7G292700 transcript:PAN40111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALARRPVPPFLRLRSTVCDDGCWMGRLDHKDWLAPNEVLKIFANIRDPSLITGVFNKACNRRDYKPSEALYSLMIDKLACARRFSDVEELLARARTEKFRFSDEFFYRLIKMYGNVAEHLQKAIDTLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEIYSSAPRLGVTVDTCSFNILVKGLCQFGKFDEAISLLHEMPKQGCQPNVTTYSTLMHFLCQRCQVDKAFELFERMQKQDIAADTVVYNILISGLCKEERVTEAFNLFKSMTSKGCYPNSGTYQVLLDGLISSVRFMEAKNLVSIMSTEGVRPSFQSYKLLIDGLCSDDCLDDAHHVLKQMVGQGFVPRMGTWKKLLTSMC >PAN40114 pep chromosome:PHallii_v3.1:7:44322318:44325031:1 gene:PAHAL_7G292700 transcript:PAN40114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALARRPVPPFLRLRSTVCDDGCWMGRLDHKDWLAPNEVLKIFANIRDPSLITGVFNKACNRRDYKPSEALYSLMIDKLACARRFSDVEELLARARTEKFRFSDEFFYRLIKMYGNVAEHLQKAIDTLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEIYSSAPRLGVTVDTCSFNILVKGLCQFGKFDEAISLLHEMPKQGCQPNVTTYSTLMHFLCQRCQVDKAFELFERMQKQDIAADTVVYNILISGLCKEERVTEAFNLFKSMTSKGCYPNSGTYQVLLDGLISSVRFMEAKNLVSIMSTEGVRPSFQSYKLLIDGLCSDDCLDDAHHVLKQMVGQGFVPRMGTWKKLLTSMC >PAN40112 pep chromosome:PHallii_v3.1:7:44322318:44325031:1 gene:PAHAL_7G292700 transcript:PAN40112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALARRPVPPFLRLRSTVCDDGCWMGRLDHKDWLAPNEVLKIFANIRDPSLITGVFNKACNRRDYKPSEALYSLMIDKLACARRFSDVEELLARARTEKFRFSDEFFYRLIKMYGNVAEHLQKAIDTLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEIYSSAPRLGVTVDTCSFNILVKGLCQFGKFDEAISLLHEMPKQGCQPNVTTYSTLMHFLCQRCQVDKAFELFERMQKQDIAADTVVYNILISGLCKEERVTEAFNLFKSMTSKGCYPNSGTYQVLLDGLISSVRFMEAKNLVSIMSTEGVRPSFQSYKLLIDGLCSDDCLDDAHHVLKQMVGQGFVPRMGTWKKLLTSMC >PAN40113 pep chromosome:PHallii_v3.1:7:44322318:44325031:1 gene:PAHAL_7G292700 transcript:PAN40113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALARRPVPPFLRLRSTVCDDGCWMGRLDHKDWLAPNEVLKIFANIRDPSLITGVFNKACNRRDYKPSEALYSLMIDKLACARRFSDVEELLARARTEKFRFSDEFFYRLIKMYGNVAEHLQKAIDTLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEIYSSAPRLGVTVDTCSFNILVKGLCQFGKFDEAISLLHEMPKQGCQPNVTTYSTLMHFLCQRCQVDKAFELFERMQKQDIAADTVVYNILISGLCKEERVTEAFNLFKSMTSKGCYPNSGTYQVLLDGLISSVRFMEAKNLVSIMSTEGVRPSFQSYKLLIDGLCSDDCLDDAHHVLKQMVGQGFVPRMGTWKKLLTSMC >PAN40624 pep chromosome:PHallii_v3.1:7:46633467:46642496:-1 gene:PAHAL_7G329100 transcript:PAN40624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) UniProtKB/TrEMBL;Acc:F4JXM5] MAAGGVGHQAPNGASGSVSNGAASAAATPLHSSAASTANGAAADGYDSDGYSFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPQAREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFQVILKYMGIDSPAILSLEERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRSWSIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRITIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGHEEYIGLDDNKYIGDLLSEFKSAKDRNKGEVLHCKLIFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGKIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARTSTSVTSQNDNQSYKPPNIEMYEKRVQELTKTVEESQKKADRLLEDLQLKTKQETEMQEELKGLRYTLQSEHQSFKDVKSELDKLKSLCDEKEYALQAALMEKSRLETRLTSCQGRERDTLTTVASINSDIEMVTKLKEELKSCQKELDASKEASKKLMSEKNLLDQKVQRLERMKTEEKSSMEKVYADECRKLKSHITDLEQKLEVTTRSLNVAESNLAARNSEVDSLQSSLKELDELREFKADVDRKNQQTAEILKRQGAQLVELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELSLEEKNIVCSPDEFTIAHPWKDDKSKQHIYDRVFDADTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLPKNAKQQKLEIKKDSKGVVTVENATVVSISSIEELRAIISRGSDRRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIVNDTSKHVAPKEIVRLKKLIAYWKEQAGKRSDEDELEEIQEERVSKEKADNRLTG >PAN40344 pep chromosome:PHallii_v3.1:7:45190517:45192953:-1 gene:PAHAL_7G307900 transcript:PAN40344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRIVDVGYVPAPAPAAGAAPPEPTIKLNAMEAQWVVAPVLQHLLLFEGDRLPPFDDVVRSLKSSLAATLATHAPLAGKLHHLADTGEVAIRRSADDEGVRFVVAETDADARSLACDEDHDVRTFEGLVPEVDMYRLPAPLLGVQATRLGGAGGVALGLTLHHAVADGQSMWRLVEAWAAACRGHAPPEPPPCFDRARIRLPDGEELARSVVRKYMPGLPAVPMPALLQQDRLRFTRRTFTLDAPRIARLKQRIVRLGDAHGAPLRRPPSSFVAVVSLAWSCAVRCRTVPADDDVFLFFFADARNRLDPPAGAEYFGTCLTCCQVKLPARELRGERALAAAASAVQDAIREMAEDPLGSSPGWEFMKLAGDGGPPIDRLVNVSGSAGFRAYEVADFGWGRPRRTENVRMNHDGQVALVRARDGGGVQAAVSMLRRAHVDAFQSELLHLLGSDSDE >PVH34687 pep chromosome:PHallii_v3.1:7:1769532:1769834:1 gene:PAHAL_7G008800 transcript:PVH34687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAWCHQINAPPDPHRDDGAAPKSGGSDTPNPRGNLGRSKACAGRCHMQHHAISMDVQISCKQINFRRGKAFTFIILSSPPLLVRLFPLAPSILLTPCS >PAN37482 pep chromosome:PHallii_v3.1:7:27569501:27571891:1 gene:PAHAL_7G095900 transcript:PAN37482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGLRPLAGAALLLVALFSAAALCSAEPEDASDAEDPLIEQVVGAGADGDELELNAEAHFASFVRRFGKSYRDEDERAHRMSVFKANLRRARRHQRLDPTAVHGVTQFSDLTPAEFRRQFLGLRSSSARDLLKGSSAHKAPILPTDGLPTDFDWREHGAVGPVKNQGSCGSCWSFSTSGALEGAHYLATGKLEVLSEQQMVDCDHECDASDPRSCDAGCNGGLMTTAFSYLEKVGGLESEKDYPYTGSDSTCKFDKSKIVAQVKNFSVVSVDEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGENGYYKICRGPHMQNKCGVDSMVSTVTAIHASKKE >PAN38336 pep chromosome:PHallii_v3.1:7:36193690:36197256:1 gene:PAHAL_7G165400 transcript:PAN38336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAGPDEILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKEELPAHMTCVSEAEIRKAEKAEREARDLKGTMRKRMEFLDFD >PAN39386 pep chromosome:PHallii_v3.1:7:40821973:40825032:-1 gene:PAHAL_7G239000 transcript:PAN39386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVEVDADQAAKLNKATEEKGKTPPPHPVGAPRKQRQPTPDHPPYCWMIGEAIDELCEDGGSEEDSISAFIRARHPGVPPAHDRLLRHYIEKHVVEGFFVCTAAGRYLRNPEESTDVERPVEQGAAGLSEEACVGSPVAEARRDGARSAIPKRRGQRRAAAGLAAAQEYVPASPVAVADKKDGSQAASSLPKRRGRRRAVVGLAAAEDSVPASPVAFADREDGSQAVSSTPKGRGQRQAAARLAAAEDTLPTSPAAVADKDGDQAASSTPKRRGRLHRLGMTTATNSSGKALVPGQKDSSEVPYTTGKELALVIMGNGSATTSIMDKACTEATPTMPVDCGQPLELALVTTTDVPVPVATPTIDNKKDAPSFDLALVAKTDDICRASTSPESSSQACELVLVAADDGSVPVLLGVEEAPYATNKSVRQLCKAGSVPTAGKKDGSKAPSATPKGHRRQCTPAAVATDRSALAPVAGKKAGRKVSFSFASPKLAPVTAGGCSTPASVANQDGIQARKLYPVTADEIPDDPACCLLALPCLTPAAANA >PVH35708 pep chromosome:PHallii_v3.1:7:41522048:41524471:1 gene:PAHAL_7G251000 transcript:PVH35708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQPEFHQEASVLSTVRHPILVTLIGTCPEAFGLVYEFFPNGSLEDRLACKNNTPPLTWQTRTRIIGEMCSALIFLHSNKPHPVVHGDLKPDNILLDANYSSKLGDFGICRLLIQTNTCSTTLYRTTNPRGAFSFMDPEFLTTGELTPRSDVYSFGIIILWLLTGKQHQRIAEIVEQAIEQGNLHSIIDNSAGSWPFIQANQLAHIGLRCAELSRRRRPDLTVDVWKVVEPLMKAASMTARPLSGTNLSDGTCIPSYFICPISQEIMNNPYIAADGFTYEGETIKGWLDSGHSTSPMTKLKLEHSLLVPNRALHSAILEWQQQEQH >PVH35707 pep chromosome:PHallii_v3.1:7:41522048:41524471:1 gene:PAHAL_7G251000 transcript:PVH35707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQPEFHQEASVLSTVRHPILVTLIGTCPEAFGLVYEFFPNGSLEDRLACKNNTPPLTWQTRTRIIGEMCSALIFLHSNKPHPVVHGDLKPDNILLDANYSSKLGDFGICRLLIQTNTCSTTLYRTTNPRGAFSFMDPEFLTTGELTPRSDVYSFGIIILWLLTGKQHQRIAEIVEQAIEQGNLHSIIDNSAGSWPFIQANQLAHIGLRCAELSRRRRPDLTVDVWKVVEPLMKAASMTARPLSGTNLSDGTCIPSYFICPISQMDSHMKVRLLRAGLTVGIVHHL >PAN39807 pep chromosome:PHallii_v3.1:7:42819627:42821452:1 gene:PAHAL_7G269800 transcript:PAN39807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAQEIQLQIRGVPDEESVHEDGRDGSKAATRRSTTRGSFRWWMTVAVDMLMVLCGTTVATLLGRLYYNSGGNSKWMATLTQSGGSPLLVVPLLMTPAPSAEERRPAASKLWPIYAGIGVLIGFDNLMYSYALQYLPVSTFSLVAATQLAFNAITSRLINAQRFTALIANSVMVLTFSAALLGVGSSSDGTSSSGSAPRGKYPVGFALVLAASAAFALIMSLFEATFEKVIRARTLRWVLSMQMYTNLVASAVSVAGLLASGDWRTIPAEMAAFKDGRARYVLTLAGTAVAWQAAAVGVVRLIARVSSLFANVTATLALPLVPVLAVALFGDRMTGIKVVAMLMAVWGFLSYVYQHYVDARRAGRTHCRVCAARAGSDEVLPA >PAN40402 pep chromosome:PHallii_v3.1:7:45459432:45461465:1 gene:PAHAL_7G312400 transcript:PAN40402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSAVAFAALLLLLLLATRAHGIRLNRQLHEAITSKEMADPKSGAGEASIADSEKKHCAPEGRCSSAGKVKKALAHADETAEAKQQQEIGSAVNVHDTTVDAGATHQAGRREASAASHGSPDDASAGAASTRVAARQRQTTYPDLMEIAGMDYSPATRKPPIHN >PVH35937 pep chromosome:PHallii_v3.1:7:45459432:45461465:1 gene:PAHAL_7G312400 transcript:PVH35937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSAVAFAALLLLLLLATRAHGIRLNRQLHEAITSKEMADPKSGAGEASIADSEKKHCAPEGRCSSGKVKKALAHADETAEAKQQQEIGSAVNVHDTTVDAGATHQAGRREASAASHGSPDDASAGAASTRVAARQRQTTYPDLMEIAGMDYSPATRKPPIHN >PVH35559 pep chromosome:PHallii_v3.1:7:39147396:39150155:1 gene:PAHAL_7G213700 transcript:PVH35559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQDRAGSIFLFSVDAGAEDESQRLRLLHTVETAGVFDMKWSPASPLLAQADAHGRLALRRLEQEDGSDTGIVFTDVCAEDISSSMCLYVDWNQTAESLSVGLSDGSLSVVSVREDRLEISEQWAAHQFEVWTCYFDRTRPHLLYSGSDDCCFTCWDLRESPSNIVFRNKKSHSMGVCCFAQNPFEGNMLLTGSYDEFLRVWDMRLMAKPVNEKLINLGGGVWRMKYHPSIADVVLAACMHNGFAIVKVGSGDATIMETYCKHESLAYGADWQKSEGAEQNGNSSVVATCSFYDRLLRVWQPENLAEL >PAN39009 pep chromosome:PHallii_v3.1:7:39147396:39152003:1 gene:PAHAL_7G213700 transcript:PAN39009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQDRAGSIFLFSVDAGAEDESQRLRLLHTVETAGVFDMKWSPASPLLAQADAHGRLALRRLEQEDGSDTGIVFTDVCAEDISSSMCLYVDWNQTAESLSVGLSDGSLSVVSVREDRLEISEQWAAHQFEVWTCYFDRTRPHLLYSGSDDCCFTCWDLRESPSNIVFRNKKSHSMGVCCFAQNPFEGNMLLTGSYDEFLRVWDMRLMAKPVNEKLINLGGGVWRMKYHPSIADVVLAACMHNGFAIVKVGSGDATIMETYCKHESLAYGADWQKSEGAEQNGNSSVVATCSFYDRLLRVWQPENLAEL >PAN39010 pep chromosome:PHallii_v3.1:7:39147334:39152327:1 gene:PAHAL_7G213700 transcript:PAN39010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQDRAGSIFLFSVDAGAEDESQRLRLLHTVETAGVFDMKWSPASPLLAQADAHGRLALRRLEQEDGSDTGIVFTDVCAEDISSSMCLYVDWNQTAESLSVGLSDGSLSVVSVREDRLEISEQWAAHQFEVWTCYFDRTRPHLLYSGSDDCCFTCWDLRESPSNIVFRNKKSHSMGVCCFAQNPFEGNMLLTGSYDEFLRVWDMRLMAKPVNEKLINLGGGVWRMKYHPSIADVVLAACMHNGFAIVKVGSGDATIMETYCKHESLAYGADWQKSEGAEQNGNSSVVATCSFYDRLLRVWQPENLAEL >PAN38781 pep chromosome:PHallii_v3.1:7:38145332:38148078:-1 gene:PAHAL_7G197800 transcript:PAN38781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEGETNRLWRIRRTVMQMLRDRGYMIHETEDIGLKRAAFVDRYGDPVRRDDLVINRYKKDDPADQIYVFFPNEAKPGVKTIRNYVEKMKQENVFAGILVVQQALSAFARSALQEVSQKYHLEVFQEAELLVNIKEHVLVPEHELLTPDQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >PAN38782 pep chromosome:PHallii_v3.1:7:38146179:38148078:-1 gene:PAHAL_7G197800 transcript:PAN38782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEGETNRLWRIRRTVMQMLRDRGYMIHETEDIGLKRAAFVDRYGDPVRRDDLVINRYKKDDPADQIYVFFPNEAKPGVKTIRNYVEKMKQENVFAGILVVQQALSAFARSALQEVSQKYHLEVFQEAELLVNIKEHVLVPEHELLTPDQKKTLLERYTVKETQAFSKEER >PVH35086 pep chromosome:PHallii_v3.1:7:29564004:29565455:1 gene:PAHAL_7G103200 transcript:PVH35086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAMNLDSRCYPDYDYDGRRVGRRLFRDALANVGRRGRRRPVRRLSVLVDSYYQNDYLVEVMRTTPGMDSVLTAPALQRLEELQVELRSEFCQTGHEYVLPASRVPWRSLRVLDLYGCTLGPPAAAAVFGRLETLKMVFCWASLEGLQSMVDAAPNLAGIWLERVMFEGEYAVISKRRVLLRCPAATAAVTVINCRRTDGLDIDAPGARSLCYTGFLEHFPFGPAAPPGILEHVQLSFCTAPRCANPPSSREAPPRAVFWESIGRFSCLQVLKLKLLDINDIAVRPEEEDVFLKPFPDLKFLELQGSYEVDSHGAAVAIANLLRCCPALHEFHLRCKLHGDRYAHPRRSLHLSDEKKARLDLEKSMESFKRLKCQKIITSPSSSVADDDADLAALHKACSFPCLESHLRKIRLEFELKCFDCFEVRLAKFLVENALVLEEMEVHDGDQRVPDHIHRHLPVWRANSSRRKIKIVGEYHKGSCA >PAN40052 pep chromosome:PHallii_v3.1:7:44069551:44072283:-1 gene:PAHAL_7G288200 transcript:PAN40052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGRVISRGLVVAVSLLLLLLCSTRCEARTISRRLQSRSSNPLLNALFKLNFIRTVEPARLRPPPTAGADAESLAAGDTNAPFCVNPPDAPPSSTTAPPFVSTPFTPSLPDQPPPLPPITPVPPSFEPSPPDSGAPGGGESGGQGQGGGQGQGGQGGGQGQGGGQGQGGQGGQGQEGPPASTTPSTPPQVGPGAPFGSAPPSPIVVVPTPPEFGPGPGSGSGGGSGSGGGGGGGGGPFQPPIIYPPPLAPPLPPGAGQTLWCVAKPTVPDPIIQEAMEYACGSGAECDSIQPSGACYHPNTVLAHASFAFNSYWQQTKGTGGTCDFGGTATIVTRDPSYEKCKFDLL >PAN40814 pep chromosome:PHallii_v3.1:7:47486281:47491489:1 gene:PAHAL_7G343000 transcript:PAN40814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYKLGVEVVSAHDLMPKDGQGSASACVELTFDGQRFRTAIKEKDLNPVWNERFYFNISDPSNLPELALEAYVYNVNKTMESSRSFLGKVRIAGTSFVPFPDSVVMHYPLEKRGMFSRVKGELGLKVYITNDPAIKASNPLPAMDPVSNNPPPAPSSAEQIAADITGTNLHQSQEHRSEARTLHTIAKEAQHHHNHGHLPASFGEQPSKYSVDQMKPEPQPPRIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKHASTYDLVERMQYLFVRVVRARDLPDMDVTGSLDPFVEVRIGNYRGITKHFEKQRNPEWNAVFAFSRDRMQASVLEVVVKDKDLLKDDFVGLVRFDLNDVPIRVPPDSPLAPEWYRLVGKNGDKSMGELMLAVWVGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIVEAQDVAMFDKTRYPDVFVRAQVGHQLGRTKPVQARNFNPFWNEDLLFVAAEPFEDNLILTLEDRVAPTKDEMLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLVDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPNPRFNEQYTWEVYDPSTVLTIGVFDNGQLGEKSGEKTSIGKDGKIGKVRIRLSTLETGRVYTHSYPLLVLHSSGVKKMGELHLAIRFSSTSLVNMLCLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMTVFSGLFAVSKWFSGVCAWKNPITTVLVHILYIMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRNPEVVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAVFVLFCLIAAVVLYVTPLQVLAALGGFYVMRHPRFRRRLPSVPVNFFRRLPARTDSML >PAN37346 pep chromosome:PHallii_v3.1:7:24701523:24705289:1 gene:PAHAL_7G083800 transcript:PAN37346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKNLCPSSSSRAHQLLLLFFCLAVSLHVPRASSLSFSYSAFNPDDFKPEADARIKDGRLELLGDEFAARARGRAWHKQPMQLWDGATGKSASFAANFTFSIQSVPAGKGVAGVGHGMTFFLAPYTPDLPQESYDGCLGLFDENQKGNYATINASGDARFVAVEFDTHQDPWDPSSRHIGVDVNSMDSRGDYKVLPDGSLVDAGVMSATVVYDNSTRRLDVALIVGSDIYTAAATVDLPSLLPEHVAVGFSAATGDAYASNHTVLSFSFLSTLPTKNSTSLAASSSSNKSTIELGAGVAAAALLVLLLGAAVAVLLVRRRGKQPYDEEKLTTDGDDSLDAVDDGDFESSTGPRPIPYAQLAAATKDFAVEGKLGQGGSGAVYRGHLKEPGRDVAIKVFSRGASMEGRKEYRSEVTVISRLRHRNLVQLIGWCHGRRRLLLVYELVSNGSLDGHLYSTEMTLTWPTRYQIILGLSSAVLYLHQEWDQCVVHGDIKPSNIMLDDSFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGKASAESDMYSFGIVMLEIACGRRPMAAPSAGEGKDGQVFRLVEWAWDMHGRGTALGAADERLGGAFDPWEVERVVAVGLWCAHPDPKMRPGIRQAAEALRSRKFRMPLLPPKMPVAVYLQPFGASTMQFSDTTDSVGSMPYASTTSHATATGTSSSSSPPAVTEHLSPKA >PAN37351 pep chromosome:PHallii_v3.1:7:24824690:24825341:1 gene:PAHAL_7G084300 transcript:PAN37351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVQPLVACAEAVACHDYAHAMALRRELQVGAPVHGTAFQRVASCFVQGLADRLARAHAPALGLASMAFYILPSCTGRDSARGQVLALVYELCSKCGEFSSQCHAVANRIHVKCDLRSNICSM >PAN39734 pep chromosome:PHallii_v3.1:7:42559890:42562100:-1 gene:PAHAL_7G264900 transcript:PAN39734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIAKPLLSDLVAQTGQVPLSHVRPVEDRPDLASVDNESGAGIPLIDLRKLDGPERRTVVEAIGRACESDGFFMREWRVCVQVTNHGIPAGVVEGMLHVAREFFHLPEAERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLQSFVDQWPSNPPSFRQVVGTYATEARALALRLLEAISESLGLERSHMVTAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQLQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPADALVDDGHPLAYRPFTYQEYYDEFWNMGLQSASCLDRFRPG >PAN39735 pep chromosome:PHallii_v3.1:7:42559842:42562100:-1 gene:PAHAL_7G264900 transcript:PAN39735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIAKPLLSDLVAQTGQVPLSHVRPVEDRPDLASVDNESGAGIPLIDLRKLDGPERRTVVEAIGRACESDGFFMVTNHGIPAGVVEGMLHVAREFFHLPEAERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLQSFVDQWPSNPPSFRQVVGTYATEARALALRLLEAISESLGLERSHMVTAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQLQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPADALVDDGHPLAYRPFTYQEYYDEFWNMGLQSASCLDRFRPG >PVH35406 pep chromosome:PHallii_v3.1:7:36860465:36860806:1 gene:PAHAL_7G175600 transcript:PVH35406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLGWTCHVCDARILRETSYVHMAVHRLYHYRILTGSTVLELGKTPFRIKLVRTFISKS >PAN39886 pep chromosome:PHallii_v3.1:7:43273896:43277368:-1 gene:PAHAL_7G276800 transcript:PAN39886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix transcription factor, Regulator of tapetal programmed cell death, Male reproductive developmen [Source: Projected from Oryza sativa (Os04g0599300)] MIAGGGYFESSHDQCLMAGSLIHDSSQIPKGNDDTSIELQKFKVPSFSTEALSRPTNFSSEDVGGTNLLQHQLGIDLEQEAPPGETTSWDPSVCTIQDQIIKHQFGEYSENMLVEPEIQQYDAALYPNGAYTPAPDLLNLLRINVASAFPAATSVFGDAMLNGSNYLDLNGELTGVAAIPDNGLMFTGDSSVHLGYHATQPHLANDICHSLPQNYGLFPSEDEREVMIGAGSVGDLFQEIDDRQFDSVLECRRGKGEFGKGKVKANFATERERREQLNVKYRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKKHGNNREKRIKLDHQAAADGESSSMKPIRDDQDNQLNGSIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKDNSLFHAAKVLDEFQLDLIHAVGGIIGDHHIFMFNTKVPEGSSVYACPVAKRLLEAVDAQHQTYNIFN >PAN39885 pep chromosome:PHallii_v3.1:7:43273895:43277652:-1 gene:PAHAL_7G276800 transcript:PAN39885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix transcription factor, Regulator of tapetal programmed cell death, Male reproductive developmen [Source: Projected from Oryza sativa (Os04g0599300)] MIAGGGYFESSHDQCLMAGSLIHDSSQIPKGNDDTSIELQKFKVPSFSTEALSRPTNFSSEDVGGTNLLQHQLGIDLEQEAPPGETTSWDPSVCTIQDQIIKHQFGEYSENMLVEPEIQQYDAALYPNGAYTPAPDLLNLLRINVASAFPAATSVFGDAMLNGSNYLDLNGELTGVAAIPDNGLMFTGDSSVHLGYHATQPHLANDICHSLPQNYGLFPSEDEREVMIGAGSVGDLFQEIDDRQFDSVLECRRGKGEFGKGKVKANFATERERREQLNVKYRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKKHGNNREKRIKLDHQAAADGESSSMKPIRDDQDNQLNGSIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKDNSLFHAAKVLDEFQLDLIHAVGGIIGDHHIFMFNTKVPEGSSVYACPVAKRLLEAVDAQHQTYNIFN >PAN39884 pep chromosome:PHallii_v3.1:7:43273895:43277368:-1 gene:PAHAL_7G276800 transcript:PAN39884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix transcription factor, Regulator of tapetal programmed cell death, Male reproductive developmen [Source: Projected from Oryza sativa (Os04g0599300)] MIAGGGYFESSHDQCLMAGSLIHDSSQIPKGNDDTSIELQKFKVPSFSTEALSRPTNFSSEDVGGTNLLQHQLGIDLEQEAPPGETTSWDPSVCTIQDQIIKHQFGEYSENMLVEPEIQQYDAALYPNGAYTPAPDLLNLLRINVASAFPAATSVFGDAMLNGSNYLDLNGELTGVAAIPDNGLMFTGDSSVHLGYHATQPHLANDICHSLPQNYGLFPSEDEREVMIGAGSVGDLFQEIDDRQFDSVLECRRGKGEFGKGKVKANFATERERREQLNVKYRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKKHGNNREKRIKLDHQAAADGESSSMKPIRDDQDNQLNGSIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKDNSLFHAAKVLDEFQLDLIHAVGGIIGDHHIFMFNTKVPEGSSVYACPVAKRLLEAVDAQHQTYNIFN >PAN40384 pep chromosome:PHallii_v3.1:7:45350985:45351955:1 gene:PAHAL_7G311000 transcript:PAN40384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQNQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGETMRASSFSLAEAKYVAGDGVRHVVLQSVRAASVRVKSHQENVAGVKLPKFTHFVDPAAASGGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKKREIERQRANARLFAEEQLAEDLALKRGISVGAAANLLVAGGEKDDDIIF >PAN37754 pep chromosome:PHallii_v3.1:7:31996592:31997251:1 gene:PAHAL_7G119300 transcript:PAN37754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKTVVLAILLVVSLVFADVVVKGQQLGGSEGQELVDSGSGDDGGCNRVSSKSECSESKLYTGPCVEMVCLAACMLQMHHGGHCKGSFFWGACHCFACS >PVH34794 pep chromosome:PHallii_v3.1:7:8658093:8659984:1 gene:PAHAL_7G034000 transcript:PVH34794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGRRAIFPLVRTTTTTTTSAASSRPAVLSVGHALRERRRFTEADVAAYAAVSGDRNLVHLDDAVARELGGFERGRVVHGMLVASLFPSIIAARFPGAVYASQTLKFAAPVYVGDEVVARVQALHIRTTTAANSSTASRYVVKFATKCFTDEEEGSLAIEGEAMAVLPTLELSSQSTTN >PAN39246 pep chromosome:PHallii_v3.1:7:40280631:40284549:1 gene:PAHAL_7G230500 transcript:PAN39246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MSRVRDRTEDFKEAVRVAALSHGYTEAQLAALMSSFIIRKPSPKSPFTNAAIKTLESIRELERFIVKHRRDYVDLHRTTERERDNIEHEVGVFVKACKEQIDILKNRIHEDEKSGSVKTWLGTRDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQDAINRAMPRKKIQKKPEIKPAKPSKSNLVLKSDVSNIGDQEVSTAPIRVQEQLLDDETRALQVELTSLLDAVQETETKMMEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYNN >PAN39300 pep chromosome:PHallii_v3.1:7:40493065:40495169:1 gene:PAHAL_7G234300 transcript:PAN39300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGASPARERVPEVRAPAAGEPDAAGVHVVHHLEPLLLEVAQRAGGPVAVPGGRVPAVHQHQAPHAAAVRPLAPEPHEVVPPGQRHRRVAPEVERQRRHTAVRRGVAVARPQPRLRLGSPGAREPRRGHRAGECLGHPRVRLAGQHGERRARVHHHAAVPGAVHAPELRAGRYPRLAHRDRLQRHRVEVRVPRVVQQRRVHEAAGLAEAGGLVRAAAQDEGAGADEPVGAEGVGHEAVGEPAGERRRELRRQRERAAPEPGHPDGLAQGRVRAREGEVLHGHGRGQPDAAAPEVRHEAAVPVRVVERRARVGRRAARGGLDVLQRAPRRGAEVGGRRRGVRRRAERRRAGDAARARRRRERREAARRGLALAEHAGEGRAGEPDEVAAGVEEEGHRRRGGCRAHGQGQRVVAARGERERELAVGGGLRRSRASMRAVAPVARGRAERGGAEEVVDGDGRGAVAPAERGEGVGERDEQAVALAAREEEREGEQSGQAEEPHGCGLPRCRVWNRRVFWA >PAN39372 pep chromosome:PHallii_v3.1:7:40768993:40772822:1 gene:PAHAL_7G238100 transcript:PAN39372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLVHVAIDAVEDAVRDRGHGGGDDNDEAPRRGAPQRADPDADGEEDRDERSRSTWAEVVSEHKGGGPDDERRDHRNAGRDKRHERRDDEGWERVGGRNQQHHGGRQNQYDGDDRRDGSSGRPQQQQQSQGYGRQQQEGERINDGGWQTVGEKKNHGRPQQSEAWNGYRKPPSEQQYSEDVDHQGVNVEPTREELNSLSKACSRLWELDMSRLVPGKDYRIDCGEGKKVYQKGDMASENLFCWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGEVSQDYEDFKRMLASLWFDLYGRGGNTNCSSAFEHVFVGEIKGRGQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFVGGEDNRVDIGPYTVNIKCYRLGKNKIGSAFPIAEN >PAN39375 pep chromosome:PHallii_v3.1:7:40768993:40772822:1 gene:PAHAL_7G238100 transcript:PAN39375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLVHVAIDAVEDAVRDRGHGGGDDNDEAPRRGAPQRADPDADGEEDRDERSRSTWAEDKRHERRDDEGWERVGGRNQQHHGGRQNQYDGDDRRDGSSGRPQQQQQSQGYGRQQQEGERINDGGWQTVGEKKNHGRPQQSEAWNGYRKPPSEQQYSEDVDHQGVNVEPTREELNSLSKACSRLWELDMSRLVPGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGEVSQDYEDFKRMLASLWFDLYGRGGNTNCSSAFEHVFVGEIKGRGQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFVGGEDNRVDIGPYTVNIKCYRLGKNKIGSAFPIAEN >PAN39373 pep chromosome:PHallii_v3.1:7:40768993:40772822:1 gene:PAHAL_7G238100 transcript:PAN39373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLVHVAIDAVEDAVRDRGHGGGDDNDEAPRRGAPQRADPDADGEEDRDERSRSTWAEVVSEHKGGGPDDERRDHRNAGRDKRHERRDDEGWERVGGRNQQHHGGRQNQYDGDDRRDGSSGRPQQQQQSQGYGRQQQEGERINDGGWQTVGEKKNHGRPQQSEAWNGYRKPPSEQQYSEDVDHQGVNVEPTREELNSLSKACSRLWELDMSRLVPGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGEVSQDYEDFKRMLASLWFDLYGRGGNTNCSSAFEHVFVGEIKGRGQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFVGGEDNRVDIGPYTVNIKCYRLGKNKIGSAFPIAEN >PAN39374 pep chromosome:PHallii_v3.1:7:40768993:40772822:1 gene:PAHAL_7G238100 transcript:PAN39374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLVHVAIDAVEDAVRDRGHGGGDDNDEAPRRGAPQRADPDADGEEDRDERSRSTWAEDKRHERRDDEGWERVGGRNQQHHGGRQNQYDGDDRRDGSSGRPQQQQQSQGYGRQQQEGERINDGGWQTVGEKKNHGRPQQSEAWNGYRKPPSEQQYSEDVDHQGVNVEPTREELNSLSKACSRLWELDMSRLVPGKDYRIDCGEGKKVYQKGDMASENLFCWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGEVSQDYEDFKRMLASLWFDLYGRGGNTNCSSAFEHVFVGEIKGRGQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFVGGEDNRVDIGPYTVNIKCYRLGKNKIGSAFPIAEN >PAN37060 pep chromosome:PHallii_v3.1:7:8819093:8821492:1 gene:PAHAL_7G035000 transcript:PAN37060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRALAAVWPFVKGCATGTVLGMTFVDQCASVVPVDGASMYPTLDAQQGERALVEKRCLYRYDLSRGDVVVFRSPRNHHELLVKRLIALPGDWIQVPENQEILQIPQGHCWVEGDNAGISMDSRFYGPVPLGLVQGRVTYVVWPPHRIGRVDRKMPEGRIMPL >PVH35809 pep chromosome:PHallii_v3.1:7:43775390:43776105:-1 gene:PAHAL_7G284000 transcript:PVH35809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQCSKGHAQRAESVMQPRMTCRHLIMKRKYRHHQLPGKLAIIHACKQRITLNTNII >PAN39947 pep chromosome:PHallii_v3.1:7:43492185:43493824:-1 gene:PAHAL_7G280800 transcript:PAN39947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPRARVLAAALLLGLCCGIGLPAAAAAGRIDEGLEVTWGDGRGSVSPDGQVLTLSLDRTSGSGFRSRDTYLFARADVQIKLVPNNSAGTVTTFYFISEGPWDVHDEVDLEFLGNVSGQPYTLHTNVFAGGNGGKEQQFHLWFDPTADFHTYSIEWTQQHILVLVDGTPIREFKNHADRGVAYPSTQRMRLFGSLWDAEDWATQGGRVKTDWTQAPFAAQYRNFTAADASSSSSSSAAAGGYGQEMDAAAQQAMKWARDNYMVYDYCADGKRFPQGVPPECSMP >PAN38057 pep chromosome:PHallii_v3.1:7:34440513:34442285:-1 gene:PAHAL_7G143400 transcript:PAN38057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPAPAPVEVPKFFVCPISLEVMRDPVTLSSGITYDRDSIERWVFTDGHGDCPVTKLPLGAGDQEPTPNHTLRRLIQAWCAAHAVERFPTPRPPVDADRVAAVVDAGRKGGRPEELAALRDLADIICESDRNRRCVEGAPGAVEFLVSVVKKHAASTSSAKPSGGSREESAHDVQESAHDVLESSKGTSPEEAALSMLHSLKLSEETLKRILESSDDLVDTLASVLRWPSHRARTYGMHLLKAALAAVQPSRLSSASAELIEGVVRVAVDRALPPKAVKLALHVLCRLCPWGRNRIKAVEAGAMAALVELLLNEGCGGSTTGGKRAGELAAVAIDHLCGCAEGRQELVAHPAGLAAVARAATRLSPTGTESAVRALHAVARHSATPAVLQEMLAVGVVARLLYLVQAGAAGERPRERAREMLKMHARVWRGSPCLASHLASSYPC >PAN38149 pep chromosome:PHallii_v3.1:7:35190534:35193364:1 gene:PAHAL_7G150500 transcript:PAN38149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRGVLLGAISPLLLLACAAAAAGGGERRSYIVHMDVEKMPAPFVEHEGWYLSVLSSLASATAATEGAPVHLYTYTHVMHGFSAALSARQLEELQGVEGHVAAFPETYGRLHTTRTPEFLGLSAGAGIWPASKYGDDVIVGIVDTGVWPESESFSDAGIQKPVPARWKGACEAGQAFKPSMCNRKLIGARSFSKGLKQSGLTISPDDYDSPRDYYGHGSHTSSTAAGAAVRGSSYFGYANGTATGIAPMARVAMYKAVFSADTLESASTDVLAAMDRAIADGVDVMSLSLGFPETSYDTNVIAIGAFAAMQKGVFVTCSAGNDGSDGYTIMNGAPWITTVGAASIDRDFTATVTLGSGATIHGKSVYPQSTPVVGGNLYYGHGNRSKQRCEYSSLSSKDVRGKFVLCTAAGGVSIGQQMDEVQSNGGRGAIIASDMKEFLQPADYTMPVVLVTMSDGAAIAKYVTAASGRKAPMASLRFGGTAISVKPAPTVSYFSARGPGQISPTILKPDVVAPGVDILAAWVPNKEIMEIGKQKLFTKYALVSGTSMSSPHVAGVVALLRSAHPDWSPAAIRSAMMTTAYVKDNANNVIVSMPNGSPGTPLDFGSGHVSPNDATDPGLVYDVAADDYVNFLCGLRYSSRQISTVTGRRNPSCAGANLDLNYPSFMVILNRTNSATRTFKRVLTNVAASPAKYSASVTAPAGMKVTVSPTALSFGGKGSKLPFTVTVQVSQVKRSSDDYSFIGNYGFLSWNEVGGKHVVRSPIVSAFAQ >PAN40094 pep chromosome:PHallii_v3.1:7:44246794:44253647:-1 gene:PAHAL_7G291600 transcript:PAN40094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGINALSGPIPKELGNLTNLVSLALGSNNFNGTLPDELGKLTKLRQIYIDSNDFSGPLPSTLSQLKNLSILWASDNNFTGQIPDYLGSLTNLTDLRLQGNSFQGPIPRSLSNLVKLSKLRIGEIVNGSSPLAFIGNMTSLGELVLRNSKISDTLASVDFSKFGNLSLLDLSFNNITGQIPQSILNLPSLSYLFLGNNSLSGSLPATKSPLLTNLDFSYNHLSGNFPSWATEKKLQLNLVANNFVMDSSNNSVLPWGLDCLQRNTPCFLGSPQSASFAVDCGGSRTISGSDNSMYQADNANLGAASYYVAGAPTWGVSSVGLFTNAPNGSYIIYSSRQFDNTLDSALFQTARMSPSSLRYYGIGLENGNYNVTLQFAEVDFPDIQSWTSRGRRVFDIYIQGERKEQNFDIRKTSGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPSKGYYGPAVSALSAIPNFVPTVRSSADSKSNSKTGVIVGVVVGVSVVALIALAGIFLWCQKRRKLLLELEELYTIVGRPNVFNYGELRSGTENFCSGNLLGEGGYGSVYKGKLSDGRVVAVKQLSQSSNQGKKQFAAEIETISRVQHRNLVRLYGCCLESNTPLLVYEYLENGSLDHALFEKEGLHLDWPTRFEICLGIARGIAYLHEESTIRIVHRDIKASNVLLDADLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALEIVAGESNYQNTFEENTTYIFERVWELYENGRPLDFVDPKLTEYNGDEVLRVIRAALHCTQGAPHKRPPMSKVVSMLTGDAADMAEEVTKPSYITEWQVKVVGSGSFSSTQVGSSSTQPSSGVHHGGGVHLASPEPGDATPVLPSPLFTSIIDEGR >PAN40096 pep chromosome:PHallii_v3.1:7:44246796:44254879:-1 gene:PAHAL_7G291600 transcript:PAN40096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRLSCCGFLHASVFPVLLFLLLLNSWRAAAQAQPAPPQTDPVEAAAVNAILGKLGLSAPPSWNISGNPCSGAATDDTQLDDNPAFNPAIKCDCSDQNNTLCHVTRFKINTLDAVGPIPEELRNLTHLRKLDLRKNYFTGPLPAFIGELTALESMTVGINALSGPIPKELGNLTNLVSLALGSNNFNGTLPDELGKLTKLRQIYIDSNDFSGPLPSTLSQLKNLSILWASDNNFTGQIPDYLGSLTNLTDLRLQGNSFQGPIPRSLSNLVKLSKLRIGEIVNGSSPLAFIGNMTSLGELVLRNSKISDTLASVDFSKFGNLSLLDLSFNNITGQIPQSILNLPSLSYLFLGNNSLSGSLPATKSPLLTNLDFSYNHLSGNFPSWATEKKLQLNLVANNFVMDSSNNSVLPWGLDCLQRNTPCFLGSPQSASFAVDCGGSRTISGSDNSMYQADNANLGAASYYVAGAPTWGVSSVGLFTNAPNGSYIIYSSRQFDNTLDSALFQTARMSPSSLRYYGIGLENGNYNVTLQFAEVDFPDIQSWTSRGRRVFDIYIQGERKEQNFDIRKTSGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPSKGYYGPAVSALSAIPNFVPTVRSSADSKSNSKTGVIVGVVVGVSVVALIALAGIFLWCQKRRKLLLELEELYTIVGRPNVFNYGELRSGTENFCSGNLLGEGGYGSVYKGKLSDGRVVAVKQLSQSSNQGKKQFAAEIETISRVQHRNLVRLYGCCLESNTPLLVYEYLENGSLDHALFEKEGLHLDWPTRFEICLGIARGIAYLHEESTIRIVHRDIKASNVLLDADLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALEIVAGESNYQNTFEENTTYIFERVWELYENGRPLDFVDPKLTEYNGDEVLRVIRAALHCTQGAPHKRPPMSKVVSMLTGDAADMAEEVTKPSYITEWQVKVVGSGSFSSTQVGSSSTQPSSGVHHGGGVHLASPEPGDATPVLPSPLFTSIIDEGR >PAN38429 pep chromosome:PHallii_v3.1:7:36590484:36592650:1 gene:PAHAL_7G171500 transcript:PAN38429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGSSVPSVSLPFHAPVVPPRRCGGRPATAASALHRRCLPTGSTTSSSGVNTAFLPVRRASTRVSAVDAGSAAGLDLLPGLNLPIPPWAQWLVGAIVVAVPAYRQFRAMEDKVERTAEAAIEVVEKVAEEAEKIADEVADTFQGNEMIKGAALKIKAVAEEIEEDADKAEALIEKVDEIKKEIDEIVDPILDKVAKK >PVH35034 pep chromosome:PHallii_v3.1:7:26318478:26322760:-1 gene:PAHAL_7G089900 transcript:PVH35034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGAYTIHQSLTAEAAAVLKLALALARRRGHAQVTPLHVAFALLTGPSAAACAQPLAAAFSSASSPPAPYGLLKRACLRSHPAPGAAAAPAQHPLQCRALELCFNVALNRLPTSGPHSPPSSAAHFASSLIQPSPTLSNALVAALKRAQANQRRGCVELQQPPPPPATAQQQQQPLLAIKVELDQLVISILDDPSVSRVMREAGFSSATVKSNLEEETTLMPPPSSSSSSPPPPLPPIPPHFFLDPTGIAAAGGKGGGRFALWSAQFLAAPGPDACSDDVRAVLEVMVRKEGRRGNPVVVGDSVSMAEAVASELLRRLERGDVPAELAGAHLLKLQLSYVHVRLMSRADVEARAAELRRSVDAVQLQRGGGLVVYVGDLRWALDEEPSEHHHATASSYSPVEHMVAELGRLLDDLRATGGRAWLVATASYQTYMRWQQRRRRPLESAWTLQAVVVPTGSGTGLALNSLHSSSSSSSLPSASASPVPPATATAQQLGMAAGEPTAFPAARDEQDEAQLLLCTECSRNYEREASLVKAEAGAEGPRGSLPAWLVPDRPPADQTPHQREKYLIELKRKWSRLCRKLHLCAADPCSAPCPWWSGSCLLPGNQSKPSVAGFLGLEGLMEHGKSRTTSQWSPSPLLRWGPSPLMAPGCQAVGTALALGSHPLSDSATSDGRAPGSGDGSAAARELERRLRRNIPWQPGAVVAEIAEAAVAGRGGSEGGKGAWLYVKGSDRAAARRAATVIAETRCGSADRVVWADPSRFSCAEELCSDVVSRASEISVKAFVVVVDDVENAPCDVVDCLIAASKSGRVKDHRSGQELDLSGSVVILTTSKLTGGGGGDDVIGLRLWSEDEASSGGALKRKSESPQGERKRARHDALDLNLNLSAEEDTDDEEDDGSDGAVPSDITHEGDSGDSSEHAHPHGLLESIAARVVTLDEEDDVAAAAIRARLAGALAGQGRARVDEAAVRALAAASGHFLEEVLERWAAEVLGPAAATVGNGGKGKAVVVLGLGPRGGAREAAGFMGSVLPSRVHVD >PAN40542 pep chromosome:PHallii_v3.1:7:46296048:46299430:-1 gene:PAHAL_7G323300 transcript:PAN40542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPNAMNRNGKRRKRKGRMDEAAADDASPSSASFDHHVFPILLAAAQTTRQNSNSCSSAALAARLLRRVLSRSPQMLSPLPDSLVALLPRLLSSSCPSVAALSCEVLGAAALRSMEAGEVLASDSGIASGLARALGSGSQRVTEAACNSIMDLSASSVGRERLAGSPVLPSMLHVFFQVESICEFVDSRTTKHPEKDTEANKISYLIIDTVVLLVSSRKVDKMQSMQQELVRSTLSLLYKVWKKVKLLRSSADCNNRKNQLQSREYEISKAIFNLSIELASPACLEPDVVRKSIFGQAESNFENFVLAYWEKSPNLYRRKQNTQNDDPVFAALNSAFNLGTTPDAIIESFIKGLVSCPAIASDELDINSFLHEVHDSLGDAIKYRQDIRVLRTEDPSDQTSRVYAREEHFFDDGTVFLDEEAFAKKCKHAFKKGYSVALRGLEFRSEKVAAIASALADLFGQPSVGANIYFSPPRSQGLARHYDDHCVLVWQLLGCKKWMIWPNPKPLLPRLYEPFDPLDCTLDDNSGRVEVLHEGDMMYIPRGYVHEAHTDVGESQMNAYAGYSLHLTLAIEVEPPFEWEGFAHIALHCWVEKQKLGGSRFDRSRAKEETSLFALVLHVAIRLLSNNDPIFRKACIVAAKLPSSSSCTTAHLKALRSSQRSTFDEILRSLEKNCSFKEALKSIELAVQENNDEPFLWMSWLRHLPQGGDADLRIDFCNILDALEELVEAFSSNPEQALVGFTGFTSRFCRYVVYQDACESFGTLLQMYRTTRNQYMRGMLALHGAHVS >PAN40136 pep chromosome:PHallii_v3.1:7:44408826:44411232:-1 gene:PAHAL_7G294200 transcript:PAN40136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATMPPRGVAAPRTPSSLQTLRGSHPLLLHGKHASKPRRLSCCKATGGGGRVDRRDVLLGLSGAAAAAGLATTSHGGGDALAAPIQAPDLANCNPPAIPDTGADLSCCLPYRSGTAIVDFKPPPASAPFRVRPAAHLVDKAYLAKYERAVSLMKGLPDDDPRSFAQQWRVHCAYCDAAYDQVGFPDLELQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDTPGGMTLPAIYANQSSPLYDERRNPAHQPPFTLDLDYNGTDDTAIPTDQQIDQNLRIMYRQMVSSAKKTELFFGQPYRQGDQPDPGAGSIESVPHNPVHLWTGDPRQPNFEDMGNFYSAGRDPVFFAHHGNVDRMWHVWNGLRPGNTNFTDPDWLDASFLFYDEDARLVRVRVRDCLDPAALRYTYQDVGLPWLNAKPPTQAGSPAPATGTLPATLSQTVRVAVTRPRTSRSRREKEEEEEVLVVEGIEVADHLTFVKFDVFVNQSQGEAATAAAAECAGSVAMAPHAVRPGKAQGAVKTAARFGICDLLDDIGADGDKTIVVSLVPTSAGDTITVGGVRIEYVK >PAN40628 pep chromosome:PHallii_v3.1:7:46645856:46649790:-1 gene:PAHAL_7G329200 transcript:PAN40628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASADAGAAAAAAAARTLRWAGRAGHLGGFPRAVVFAAVGAFAKAYASLLNTTTVHNADALLRLVSARPPGTPLLTVSNHMSTVDDPLMWGFKGFPTSDAKLGRWVLTAEDICFRNVVMSYVFRLGKCVPITRGGGIYQEHMNEALDVLVNGGWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPITPIVLPIVHSGFEKVMPENLFFGRRPPVPLCGKKIDIIVGEPIEFDMASLKQEAAMVPHDPSSVRKGWPTITPDGLDEAVQRWLYQKMSDKIQSVMERLRKKLLNLKQH >PAN40625 pep chromosome:PHallii_v3.1:7:46646602:46649790:-1 gene:PAHAL_7G329200 transcript:PAN40625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASADAGAAAAAAAARTLRWAGRAGHLGGFPRAVVFAAVGAFAKAYASLLNTTTVHNADALLRLVSARPPGTPLLTVSNHMSTVDDPLMWGFKGFPTSDAKLGRWVLTAEDICFRNVVMSYVFRLGKCVPITRGGGIYQEHMNEALDVLVNGGWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPITPIVLPIVHSGFEKVMPENLFFGRRPPVPLCGKKIDIIVGEPIEFDMASLKQEAAMVPHDPSSVRKGWPTITPDGLDEAVQRWLYQKMSDKIQSVMERLRKKLLNLKQH >PVH35767 pep chromosome:PHallii_v3.1:7:42970695:42973533:-1 gene:PAHAL_7G272100 transcript:PVH35767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVLGVHRQSNASPSHYPARGNHIIRNETKPAQKSPDQGQNRQPSHAVPRPSPPNSPHESPAPHAPRPNPMALSLARSPHPAAALPAPRASQFAPPLPPLRSPRRQHRAGLRLRFPGAAAVAASSPPAAAADEQGEKRRKLYVANLPWSLPAPEVEKLFAQCGTVKDVELIKGKDGRNRGFAFVTMSTAEEAAAAVEKLNSHELMGRPIRLQWKESGDDKDEVVKADGEVETVNTEGASVDDASNDGGEDKQE >PVH35766 pep chromosome:PHallii_v3.1:7:42971439:42973533:-1 gene:PAHAL_7G272100 transcript:PVH35766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVLGVHRQSNASPSHYPARGNHIIRNETKPAQKSPDQGQNRQPSHAVPRPSPPNSPHESPAPHAPRPNPMALSLARSPHPAAALPAPRASQFAPPLPPLRSPRRQHRAGLRLRFPGAAAVAASSPPAAAADEQGEKRRKLYVANLPWSLPAPEVEKLFAQCGTVKDVELIKGKDGRNRGFAFVTMSTAEEAAAAVEKLNSHDVMGRLIKVQFSKSLRKPAPPPPPGTIIERHKLYVSNLPWKARAPNVKEFFSKFNPLSANIIFDNGKAAGYGFVSFGTKEEAEAALSELDGKELMGRPIRLQWKESGDDKDEVVKADGEVETVNTEGASVDDASNDGGEDKQE >PAN36998 pep chromosome:PHallii_v3.1:7:3200344:3203895:1 gene:PAHAL_7G015900 transcript:PAN36998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGKEETKAAASSTLVAAAGGSDDKFAPSVWGDFFINYEPSATMQRSEEWMRERAELLKGQVRQLFNASKDAMGVADLVTYVDTLERLGIDNHFPEVIGAAVHRIRTEEPEPDVLNMLHIVALRFRLLRQHGIWVSADVFDKFRDDTGNFSTSLCSDPRGLLSLYNAAHMAVPGEVALDDAIAFARGHLEAMRSKVRSPLAEQISRALDIALPRFSRRLETMHYIAEYEHEDAHNSLLLELARLNFNLVRILHLKELKDLSLWWRDLYDNVKLPYARDRMVEIYFWTCGMLHEEEYSHARMLFAKTFGMVSLLDDTFDVHATLEECHKLNEAMQRWDETEISILPEYLRMLYIETLRNFKEFEDNLEPNKKYRMSYAKKAYKLSSQYYLKEQIWSSLNYQPSFKEHEEVSIVSSGLPMLTIITLMGYGDEATREVFEWVSGVPEMVCAGSQVTRFLNDLSSYKLGKHKRDMPSAVETYMIENGLTGEEAVAAVAALLENRWRILNQASMDIDRTLMPAAQVVVNMARANEIIYLHGRDGYTFGEDLKDLVTTLFLKQVTL >PAN38212 pep chromosome:PHallii_v3.1:7:35467203:35470135:-1 gene:PAHAL_7G155100 transcript:PAN38212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MAAASAAAASTVSAISNHVAAGHLFAAIDALPACFSSSLLPASLYTSLLSLATSRRSLAAARRVASHLASSSSSVPSTSRSSIPTFLFNRAIESLAACGSLADARELFDAMPRRDGGSWNAIISAASRAGNPAEALSLFAHMSSVGIRPKDVTLASVLACCAECLDLRGAQQLHGHIAKRDFQSNVILGTALVDVYGKCLVLADAKRAFDGILQPNDISWNVIIRRYLLAGMGDMAVQMFFRMVRAGVRPLVYTVTHAMLACRDNCALREGRCIHNFVLRHGYEHHVHVRSSVVDMYAKCGDVDAAQRLFNLAPMKDVVMSTSIVSGLAACGRIADAKRVFDSMEEHNLVSWNAMLTGHVRSMDLTGALDLFQQMRQETKELDAVTLGSVLNACTGLLDLGKGEELHAFAFKCGLFSYPFLMNALVRMYSKCGCLRSAEQLLLFDMGSQRDRYSWNSLISGYERHSMSEAALHALREMQSEAIPSQSTFSSALAACANIFLLKHGKQIHAYIIRNGYEIDDILRSALVDMYSKCRLFDYSTRVFESGLSQDVILWNSMIFGCAYNSKGDYGLELFDEMRKKGIRPDSVTFLGALVSCICEGHVGMGRSYFTLMTDEYSIIPRMEHYECMIELLGKHGYMVELEDFVDHMPFEPTTAMWLRIFDCCREYRNRKLGERAAQRINESNPLTPVRFVESAPDYECSDSDDVDESMPFS >PAN37862 pep chromosome:PHallii_v3.1:7:33007796:33014126:1 gene:PAHAL_7G128500 transcript:PAN37862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPLRRFRGFGHHHRERKDHAPPPAKLDELVHAAQEMGEMRNCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKAALNYDDDESGRVLMMLGKAQFELQKFVDSYRTNIINTITNPSESLLKELQVVEEMKDQCDEKRVEYEGMRAAYKDKGRSRHSKSESFSTEQLQASFLEYQEEAALFIFRLKSLKQGQFLSILTQAARHHAAQISFFRRGLKHLEALEPYVKAVAEKQHIDYHFSGLDDDSDIDDYSSYQDNHSDGSELSFDYEINDRDKDLPSSTSPMDLDQAQPTSSPRPMKEQEQENAEEIKAIFMVPHVKPDIVTQSAPIFAENVPDPSMRFRKMDLSKRIVHSYKLPTPADEKNPASVVTNTSPHSDPPASKSYVTGNLWHSSPLVKDFKPSSIYSGPVKVPLNNEGISAPLVYSYSTSDFKKMKRETRETFSGPILSKTGSSNPLFSATDRRQSMNYPSRVLSTKSHGPGWQSSLPPKVTPRVTSLPTTTPKISELHELPRPPANVGSIRPGLVGYSGPLVSRRQMPNAPTRVSPPSHKASPLPRPPAAMTRSYSIPSNSQRTPIITVNKLLESRHSRESSEVSSPPLTPISLADVSRRSTAEITVDNKRTKESL >PAN38009 pep chromosome:PHallii_v3.1:7:34210343:34218223:1 gene:PAHAL_7G139800 transcript:PAN38009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRASLGCFPSDPTLHASCGMPWGVAVTPFSAADERGSPPATGDEGHLLPRCQSCFAYFSLLCPLDRWSWTCAVCGGENDMPADAAARYARDGVHDPPEMRSAFVDLLLQGEEGEAAAAAPTPVYVAAIDLSSSEEFLELVKSALQAALEALSPGSLFGLLTFSSKIGLYDVQGPIPIVKNVFIPPDSDGALPIDLEDIMPLCSFLAPIDSCKDRITEALETIKPVSSWDVAANAAEGQDHVLHHTRGFGVALDVLVNYLGSEYGNAFELARIFAFLSGPPNYGAGQLDTSEEQNAGKAGDADHILLQEQTSFYKNLATSAVQAGVCVDLFAITNEYTDLTSLKALSVESGGSLFLYSSTDESTLPQDMYKMLSRPYAFGCVMRLRTSSQFKIADSYGHFFPDPQYMHVQHINCCDSFATYSYDFEFEKDSQFSRKSSPPILQIAFKYTVLVHNGDTSDAPNSGSRSRYSLERRLRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQVILSSLSDVLETRLWLEDWLVAVIAQYNKAYKNVTSGGGTGMYDIDVNFSHCSQLQPLSRFVFAVLLSPLLQVSSEHINPDYVTYLQCLFSALEPASLRQAIWPTLISYSSPDVEAEVHQSLGRTVFTSESPIFLLDAYKDLLVYYSPTASSEIPFPPPRDCLLRSTIDRLKQERNITPKLMFIHGACDDTTELETYLVEDQTLDGSRLPGSTGFSSFLDEVRSKVSEHGI >PVH35821 pep chromosome:PHallii_v3.1:7:43975832:43981477:-1 gene:PAHAL_7G286500 transcript:PVH35821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPGEFVEVRCAGCGETLEVEPALTEFACPDCGTQQALPPELMPPPPPRPRRALPIPGRGAAAAAVPVPVPVPVPVAPAPASLPCGACAALLSVPAGLARFACPLCGVELTVDGGRLRAYLVSPPRPTVSVLAPPPAGITLSSRPSPHWRPEGQVEKYNHLTRTIHREETFSSSQTGATHTVLAQKEPSIYSAHREESRIEPINKTVAKSSVTKTKLPACPESTGVGKARQEPPIHASSASRAQVCSSNYPVRAQGQRPVEDFTGHGQQINEHRDMPSTIQHETIEAPNQANCVEQAQGDYHSEATVWNLKRKRSGKSASVQKGKRKGLTSYPNGGVHLRRSTRLSKQPENPINNEPVQQPAALNQCDSDPLNIDKIISNLCSRTLPQHQMPRASSSQSGQVDAATGPPQSNHDASQDGQFPLCYNQLYPPEVPGEHLLDRIGDEQPLSPEDQLHIMHVQQEDAQRGHSMLGSVVKSSGKRRGRGHQPTRLIQPRREVDRPVLTPNIIDKWDVNPPCPKVASTITILLKQKYPGSTYLPAGQRREVPPNGEVVLHWQQYPPETRDAILNEFLQRYKWAPGREAECLKLFERRAARQFAGVLSEEKRKVRVKIAVVDKSNEASGAHRSNRHAELEDEDQEEEPEDQQALERSDDDDPLLWKRFSPAWMYPSWWERLCEHWAKEEVLKMSSQNRKNRYTGGRAHHTSGSRSIAMHRQIMVIENGGVPVSELEVFNKTHRRNGGTGEFVSERAKRTVEGFKKRMDEAGDKIHPHLAWVQEVGGRNRGRYYGLTGIIDKDKVDELAKSMPNSSGIKGYRQKFTQEQVQQMINQALQGLNETWEKKFKSLEQSLSGVQPLGIDPEHAPGSSAARERSHQEDQSRHHQDASDAQTGESHEEDDEEVVSTSV >PVH35472 pep chromosome:PHallii_v3.1:7:37910960:37911493:-1 gene:PAHAL_7G193300 transcript:PVH35472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGGMGTSSTVVLALLVAALAATAAAARPSHADAIRLPTSRRGAGAGGLPWECCDFVVRDPDFRPPRWQCNDVSAECPAACRRCEEAPGGGGYVCRDWVVSLLEPPVCTPRPWDCCDAAVCTRAYVPYCRCADKVEACPSNCSECELVEADPPRYRCLDQFHGYPGPKCTPWMGN >PAN37400 pep chromosome:PHallii_v3.1:7:26279301:26297087:1 gene:PAHAL_7G089800 transcript:PAN37400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MRCAPPPAAAAWWPAHGLEGYAAARPPSCAPESGSEVGGPRALADDAVERSGFAGMWLFWRTRNRFSLEELRYLTDQLQKVYVVNETNKDFVIEALRSIAELMIYGDQHDPSFFEYFMEKQIMGEFARILRISKLSRVSLQLLQTMGIMIQNLRNDHSIYYIFSNEHINFLITYPFDFRIDEMLSYYISFLRAISGKLNKNTISLLVKTKNDEVTSFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDIVQHFQKQCIDLDKLVARSSRNANSSLPSSSIEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILQVLVFRLLLPSLQRQSTDLDISVTTSMYLLCCILHIFKNKDMASTVAAALFHQPNCSDRKQGTPNGCTSEHDHCISEDQCSNASAVEQANEDKPTSMSAVSWEHLPNHSPSSDCCQGNTPREHLLSYITGGDDSEALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLTDDSICSDFDIYVRKLQDKYGLQCHHPRQMTSKVHRYQVLDALVDLFCRSKVSADVRLVGGWLFRQLLPHGEEEFTAFHLRRLKDSHKDCSAKLSAESGGCWCDMLLPIIKEAWRNCKKAIEASSPPKGSKSIIVPMDLYSFGGDSSIAIAERVYEMVKHCVLASCRTMKEDEDCKAENPPEYLGYPCISKDIETSNWCKQPMGEEEINASRMEDDSHSHTEPLILTEEAKRDCRRQAISSYKLQLLTTMNNCYKLQQLALNS >PAN37401 pep chromosome:PHallii_v3.1:7:26278912:26301928:1 gene:PAHAL_7G089800 transcript:PAN37401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MRCAPPPAAAAWWPAHGLEGYAAARPPSCAPESGSEVGGPRALADDAVERSGFAGMWLFWRTRNRFSLEELRYLTDQLQKVYVVNETNKDFVIEALRSIAELMIYGDQHDPSFFEYFMEKQIMGEFARILRISKLSRVSLQLLQTMGIMIQNLRNDHSIYYIFSNEHINFLITYPFDFRIDEMLSYYISFLRAISGKLNKNTISLLVKTKNDEVTSFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDIVQHFQKQCIDLDKLVARSSRNANSSLPSSSIEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILQVLVFRLLLPSLQRQSTDLDISVTTSMYLLCCILHIFKNKDMASTVAAALFHQPNCSDRKQGTPNGCTSEHDHCISEDQCSNASAVEQANEDKPTSMSAVSWEHLPNHSPSSDCCQGNTPREHLLSYITGGDDSEALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLTDDSICSDFDIYVRKLQDKYGLQCHHPRQMTSKVHRYQVLDALVDLFCRSKVSADVRLVGGWLFRQLLPHGEEEFTAFHLRRLKDSHKDCSAKLSAESGGCWCDMLLPIIKEAWRNCKKAIEASSPPKGSKSIIVPMDLYSFGGFRATASSYTLLHWRNFHRSASYLPSN >PVH35032 pep chromosome:PHallii_v3.1:7:26282054:26301928:1 gene:PAHAL_7G089800 transcript:PVH35032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDIVQHFQKQCIDLDKLVARSSRNANSSLPSSSIEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILQVLVFRLLLPSLQRQSTDLDISVTTSMYLLCCILHIFKNKDMASTVAAALFHQPNCSDRKQGTPNGCTSEHDHCISEDQCSNASAVEQANEDKPTSMSAVSWEHLPNHSPSSDCCQGNTPREHLLSYITGGDDSEALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLTDDSICSDFDIYVRKLQDKYGLQCHHPRQMTSKVHRYQVLDALVDLFCRSKVSADVRLVGGWLFRQLLPHGEEEFTAFHLRRLKDSHKDCSAKLSAESGGCWCDMLLPIIKEAWRNCKKAIEASSPPKGSKSIIVPMDLYSFGGFRATASSYTLLHWRNFHRSASYLPSN >PVH35033 pep chromosome:PHallii_v3.1:7:26278912:26301847:1 gene:PAHAL_7G089800 transcript:PVH35033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDIVQHFQKQCIDLDKLVARSSRNANSSLPSSSIEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILQVLVFRLLLPSLQRQSTDLDISVTTSMYLLCCILHIFKNKDMASTVAAALFHQPNCSDRKQGTPNGCTSEHDHCISEDQCSNASAVEQANEDKPTSMSAVSWEHLPNHSPSSDCCQGNTPREHLLSYITGGDDSEALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLTDDSICSDFDIYVRKLQDKYGLQCHHPRQMTSKVHRYQVLDALVDLFCRSKVSADVRLVGGWLFRQLLPHGEEEFTAFHLRRLKDSHKDCSAKLSAESGGCWCDMLLPIIKEAWRNCKKAIEASSPPKGSKSIIVPMDLYSFGGDSSIAIAERVYEMVKGFVLQHQVILFCIGETFTDQPPIYPPIDLPVNNRANAANFSGLPKPGVEVNLVDAVPCRIAFERGKERHFCFLAISNGTSGWILLLEELPLKQERGIVRVMAPLAGSDPRIDDKHEKWLHLRIRPSTLPFLDSEKHKGKAKKYLVDGRWTLAFSDEQSCKAAETMVIEEMKLQQDAVGEQLQPLIAFNMPEDGLQHPQPSSDETPSDDGS >PAN37399 pep chromosome:PHallii_v3.1:7:26278912:26301848:1 gene:PAHAL_7G089800 transcript:PAN37399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MRCAPPPAAAAWWPAHGLEGYAAARPPSCAPESGSEVGGPRALADDAVERSGFAGMWLFWRTRNRFSLEELRYLTDQLQKVYVVNETNKDFVIEALRSIAELMIYGDQHDPSFFEYFMEKQIMGEFARILRISKLSRVSLQLLQTMGIMIQNLRNDHSIYYIFSNEHINFLITYPFDFRIDEMLSYYISFLRAISGKLNKNTISLLVKTKNDEVTSFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDIVQHFQKQCIDLDKLVARSSRNANSSLPSSSIEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILQVLVFRLLLPSLQRQSTDLDISVTTSMYLLCCILHIFKNKDMASTVAAALFHQPNCSDRKQGTPNGCTSEHDHCISEDQCSNASAVEQANEDKPTSMSAVSWEHLPNHSPSSDCCQGNTPREHLLSYITGGDDSEALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLTDDSICSDFDIYVRKLQDKYGLQCHHPRQMTSKVHRYQVLDALVDLFCRSKVSADVRLVGGWLFRQLLPHGEEEFTAFHLRRLKDSHKDCSAKLSAESGGCWCDMLLPIIKEAWRNCKKAIEASSPPKGSKSIIVPMDLYSFGGDSSIAIAERVYEMVKGFVLQHQVILFCIGETFTDQPPIYPPIDLPVNNRANAANFSGLPKPGVEVNLVDAVPCRIAFERGKERHFCFLAISNGTSGWILLLEELPLKQERGIVRVMAPLAGSDPRIDDKHEKWLHLRIRPSTLPFLDSEKHKGKAKKYLVDGRWTLAFSDEQSCKAAETMVIEEMKLQQDAVGEQLQPLIAFNMPEDGLQHPQPSSDETPSDDGS >PVH35763 pep chromosome:PHallii_v3.1:7:42863235:42863711:-1 gene:PAHAL_7G270400 transcript:PVH35763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAMATTSPTRGDLFLGPHADRYIVEWITGLNLLWRKQLRRREAMRPQGPASNEALANVFQRLPPRSVAACRAVCLRWSVLLSSPRLASLAHVDDDDAAGSSTCFFAGRDDGVRAGQGRRQRRRAADTTPAAATSFPPLPKHQYRRTKKQQGYRRMF >PVH35314 pep chromosome:PHallii_v3.1:7:35016550:35021857:-1 gene:PAHAL_7G148800 transcript:PVH35314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGNDPLSQDFGTGNDLQVVTPSDPYR >PVH35309 pep chromosome:PHallii_v3.1:7:35016550:35021857:-1 gene:PAHAL_7G148800 transcript:PVH35309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGNDPLSQDFGTGNDLQVVTPSDPYR >PVH35313 pep chromosome:PHallii_v3.1:7:35016550:35021857:-1 gene:PAHAL_7G148800 transcript:PVH35313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKEARHSDLWLKYKFICSCNRCISVPESYVDLILNCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGNDPLSQDFGTGNDLQVVTPSDPYR >PVH35310 pep chromosome:PHallii_v3.1:7:35014657:35022679:-1 gene:PAHAL_7G148800 transcript:PVH35310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGNDPLSQDFGTGNDLQVVTPSDPYR >PVH35315 pep chromosome:PHallii_v3.1:7:35016550:35021857:-1 gene:PAHAL_7G148800 transcript:PVH35315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGNDPLSQDFGTGNDLQVVTPSDPYR >PVH35317 pep chromosome:PHallii_v3.1:7:35014659:35022679:-1 gene:PAHAL_7G148800 transcript:PVH35317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKEARHSDLWLKYKFICSCNRCISVPESYVDLILNCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGITTFQSVLESGDI >PVH35311 pep chromosome:PHallii_v3.1:7:35016550:35021857:-1 gene:PAHAL_7G148800 transcript:PVH35311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGNDPLSQDFGTGNDLQVVTPSDPYR >PVH35312 pep chromosome:PHallii_v3.1:7:35014659:35022679:-1 gene:PAHAL_7G148800 transcript:PVH35312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGNDPLSQDFGTGNDLQVVTPSDPYR >PVH35318 pep chromosome:PHallii_v3.1:7:35014556:35022679:-1 gene:PAHAL_7G148800 transcript:PVH35318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGITTFQSVLESGDI >PVH35316 pep chromosome:PHallii_v3.1:7:35014668:35022679:-1 gene:PAHAL_7G148800 transcript:PVH35316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRARESVNMSEDLTGAIAPYATALHDVFLHSHCSSCFSKLPPQSPSTMSCTMCCSVRYCCSECLSSDSLVHSSSGECCFFMDHLKRASPNYVTEGTSDLRATLRLLYVLEMHDLVSSDSINQCSRIGGLSASGIEEALEEDEEIAERILEGSLLMSSCRKSRAPSYVSLPDGGKVERITLWAVITNSVEVQLSEGLAMGIAVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHQSNTCVVPASKGVAADVWHAWQYEEANFTNGNALCKYGPRVVVRCTKPINKGDEVCITYIDLLQTKCDARDLNKPEDVVAAPTVEDLDDDLQQAISEYSSGDDAKACCDMIESMLSENLMRDLQKGEPSGRKHILHPLHRVCFTAYMALASAYRFRALSYKTGSLYGEKSDDLFRMAKAAAAYSLVLAGAMNHLFLSECSFMMPLSHFLIGAGQSLFFLVESMKGETRQYLSEAKFTFPSCPESSAKLDSVKYNEFRLTCEGFGKRMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTAIFPSLLSEEGYANLCAHNPTPFTKEQKECILSLAICCVTYCKYLTSICYGPQHYLTDHAKDLLEGNDPLSQDFGTGNDLQVVTPSDPYR >PAN39174 pep chromosome:PHallii_v3.1:7:39928786:39931066:-1 gene:PAHAL_7G225500 transcript:PAN39174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLCPKPHFVVIPWPATSHMIPIVDIACLLAGHGAPVTVITTPASAQLVQGRVERAGQGSSASVTVTAIPFPAAEAGLPDGCERLDHTPSVDLVPNFFDATTRFGDAVTRHCRGLMVTRRPDCIVAGMCNTWAHGLARELGAPCFIFHGFGAFALLCCEYLNTHKPHEAAASLDELFDVPVLPPFEFKLARRQLPLQFLPSCSIPEVRLRELREFEMAVDGIVVNSFEELEHGSAARLAAATGKAVFAVGPVSLCGAPGLLDSRADSDDARRCMAWLDAKKARSVLYVSFGSAGRMPPEQVMQLGMALVSCPWPVLWVIKGADSLPDDVNEWLQDNTDANGLPASQCLAVRGWAPQVAILEHPAVGGFLTHCGWGSTLESVAAGVPMATWPFTAEQFLNEKLIVDVIRIGVSVGVTKPTEGVLTGVKSGGGGKAKADVGTEQVKQVLDMLMDGGVDGEARRAKAEELKAKAKAALEHGGSSHMNLEKLIQFAG >PAN40355 pep chromosome:PHallii_v3.1:7:45239576:45242357:-1 gene:PAHAL_7G308900 transcript:PAN40355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAVLVAPTTASSSAPRRCWRAAAASSSAASGVDLKALQAAIDKKSSDDVKQALDQLRELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAVGSVAPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGQLDGKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPQLSKDQQQNLTRWAVLFAASLLKNNKAAHEALMSAMSQKASVLGCIEAIENAS >PVH35820 pep chromosome:PHallii_v3.1:7:43969283:43974564:-1 gene:PAHAL_7G286300 transcript:PVH35820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPRREKAMAPPPPAACAAAADGEPLEVRCAGCGETLEVDRGLTEFICPDCATPQSLPPELMPPPPPRRKALPLPRGAADVRGARLPCGACGALLSVPVGLPRCACPLCGAELAVDTARLRHYLLSSAAAADAVPVVPLGASSSAPPILQPREAQTERPNWLIAEQAESEHPDYMVDREKIHGVNQDTARYKEQRNIYSGSPRIVSAENRHGVPLNQVRHQPQDLPSSHAVHTKQTYQESPDRVTESLQNSANSALFKKSGCISHINDTTITDINGRAGSSICPKTLNLEKRNMQTPKQIKQKPQKQLSCYVTSLEHARAESVNRAIHVQEKQQEPSNEANRRENACARLANETIADNNNRRRTRQLIGLNAMGADKNQGQTPNNATQQVWKERSDSVICRELDNQVTLESKQPGRHRVHIRKRKGLLSANSGLQLRRSKRLAKDSSAAIDRQPVMDAQLIHRQTVGCQAASPNRLMPIATIYDERTESEPDEQWTASPVPCLSDPPDTDRTINNLCTSSFPSHEIRQTSCNELENLHSTPIPSSNPDMSDPEHFSSNPDMSDPEHFSSNPDMSDPEHFSSNPDMSDPEHFSSNPDMSDPEHFSSNPDMSDPEQFARTYIPMDVRRALAKLSSKSLIHHMMSQPSSGESYMHDSMDSEGQDVQLASQNKGRRPRGSTLCLKLWTMPKGMRIPVSLNASGLPIGKEAATLSSFLGTLARDGILAPLSHLGWKNVPEKNKDVMRHIVKLKFDIAPVGELWIVKNLGKKWKSWKSILKQKHFDAHETEEERLADRNPRVLEEQWRFLVEYWSTEKAQVASARNKACQANVTTYHSSGTKSFARRIEEERQKRPNNDEPTVEDLFILTHTPKDGKPMTKAAADTIERFRQQCQKQTEGSGSDFGLESRATRRRRKPGLKASLREAMEAKRKAEDEAASLMKKLIAMEERQKKVHAGKADMKGADGPVNSPEERAGEPSSPPGFQQVQNTPSSDKISKAYLSLRRRYPTGPTYFGDI >PAN37584 pep chromosome:PHallii_v3.1:7:29826402:29831452:-1 gene:PAHAL_7G104400 transcript:PAN37584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLNSSNYCPWFLTLYTANPTASYTETRNELTTVPASLIMFILTGLFFTLNLFSGISGVSAILDPKVRLFLSSVLSLFLPVMSYLFSEAKNIGGRGSESSTVTGLSPRARLILTWMLLVELLRRKVDEIRVRGYSSTIQRAGRVVWLGSLVFFNINVVFSILWILCVTRLLQRIVFTEVEKRSYAHGKNPGLINSYMAQMLQDHKHPHQRLGDQEVIQGGHEMLKRCKYIVMGEEKLVKKVTAYGYELNAITPSDSIITVGKLLRRRFEHLRAVTSAEEEARDSRDLILKGLHGSGESTAEALFQVMNDEVNFLCEYNHSVAPVVLASPFFLLVNYFLIHVAVFGFCLMAIILCGNGDVRFSLFSVIIFNTGISRVGICFLWPSAVFVALDFFITIILLIIFFYEEIWEFFIFLLSNWFMVSLLCNYMTKPQWRESLIFTHAFRFLMLLRSKTRNTNLHLKQFSVLDLSWPPILTLPATLSLKVKTALVPNNLKQSIMEYMVEHDHGTNHYTPLTNGKSALRRNNLFGQLSWACNSNSVAEVALTWHIATCLLEVECPPRRAEEASPWKVAMRLSKYCGYLVLFHPELLPDNQENLELIPEDISEELKNILGCWDYYFSSRRSRVKKIMESIRGGDTTTETTTGWTEIQVEATGKGDQNKVVTSGAKLGKLLMNEANSSNLETVWNVLADVWTELIIYIAVSSDKERVKDVLVHGDELVTLLWVLTMHTGISSC >PVH35091 pep chromosome:PHallii_v3.1:7:29828938:29831238:-1 gene:PAHAL_7G104400 transcript:PVH35091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLNSSNYCPWFLTLYTANPTASYTETRNELTTVPASLIMFILTGLFFTLNLFSGISGVSAILDPKVRLFLSSVLSLFLPVMSYLFSEAKNIGGRGSESSTVTGLSPRARLILTWMLLVELLRRKVDEIRVRGYSSTIQRAGRVVWLGSLVFFNINVVFSILWILCVTRLLQRIVFTEVEKRSYAHGKNPGLINSYMAQMLQDHKHPHQRLGDQEVIQGGHEMLKRCKYIVMGEEKLVKKVTAYGYELNAITPSDSIITVGKVWELAESDRLFTVFDQNQRLRRLCLSFALFKLLRRRFEHLRAVTSAEEEARDSRDLILKGLHGSGESTAEALFQVMNDEVNFLCEYNHSVAPVVLASPFFLLVNYFLIHVAVFGFCLMAIILCGNGDVRFSLFSVIIFNTGISRVGICFLWPSAVFVALDFFITIILLIIFFYEEIWEFFIFLLSNWFMVSLLCNYMTKPQWRESLIFTHAFRFLMLLRSKTRNTNLHLKQFSVLDLSWPPILTLPATLSLKVKTALVPNNLKQSIMEYMVEHDHGTNHYTPLTNGKSALRRNNLFGQLSWACNSNSVAEVALTWHIATCLLEVECPPRRAEEASPWKVAMRLSKYCGYLVLFHPELLPDNQENLELIPEDISEELKNILGCWDYYFSSRRSRVKKIMESIRGGDTTTETTTGWTEIQVEATGKGDQNKVVTSGAKLGKLLMNEANSSNLETVWNVLADVWTELIIYIAVSSDKERVKDVLVHGDELVTLLWVLTMHTGISSC >PVH35757 pep chromosome:PHallii_v3.1:7:42619160:42622180:-1 gene:PAHAL_7G265900 transcript:PVH35757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLESLPNAHSSAVPIRSMVPKPSKGAKAYHVAVAGRRGARSPFIGDIVVLVGAMPRRAADLASNDGSYCLAHVKDVSRDKLSFEIRASRKMQGASCCAFAASLLSFIPYVRIWRCLDYDAAVKRSPALVKVVAGDALSTPSVASSAGAETGADVAAKLSAFKLNDSQADAILSCVTATRRDGAAKFSLIWGPPGTGKTKTISVLLLLLLTSQAQTKCRVLTCAPTNTAISQVASRLLALTKQHAATDGGCHGDLLLFGNRERMAIGGDLSEIFLDTRVKRLKKCFSQATGWRHCLVSLVGFLGEPTTLRSQYNESCGQKDGTKLPEASFIRSRFHQIFKNLTNCFRTIMSQVPKAVLLEKNYKNIVSVIKMLEDFSKLLDRKIAGNNVAMEVFMTMSGKKCDDSAGGVGKNALVENLMRNKTTILGVTRTLLGDLKLPVTRSDFRIKKFCLRSASFVFCTVSGSAKLNAQKMDLLLIDEAGQLKECESLIPLQLSGLKQAVLIGDERQLPATVKSKVAETALLGRSLFERLSLLGHKKHLLNIQYRMHPSISMFPNLNFYDRNILDGANVTQEGHQRSYLQGAMFGPYSFINIDGREDPGRSKRNMAELAVILEILHALKKACTSSQLGVSVGVICPYAAQVEAIQQQIGDAKSMLPLTLRVNSVDGFQGSEEDVIILSTVRSNGAGFIGFLSNVRRTNVALTRARHCLWILGNAATLRGSGSIWEELVRDAVDRRCFFNWHDGGAGVSSPVPLWGAGLIGDSDFGVHPPAAYCGQEADDICDALGSLRLAE >PAN39099 pep chromosome:PHallii_v3.1:7:39641436:39647465:1 gene:PAHAL_7G220900 transcript:PAN39099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G18480) UniProtKB/Swiss-Prot;Acc:Q8GWB7] MRSPGLLAAALVAAGLLLAAGAGAATEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQADGWIVNRISLLANPNQVRPKRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETLFKDMIDKVGRLPSYTGGDQGFLNSYYPDFPNSHVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWFTAWLVKPVETWQDIRQKLEESLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQTNKELISIRSLCAFARRARHKYKSEEPLPSYSVVGSSSTFGISNQRLSNGTHSKLPPYFGPIAVLVCFMSAGFSLAFAFALIPRQVMPWTGLLLMFELTFVAFFLLFGSYLRFVYQWGSMSANHVGFNNSDSSENHMGSGHQRNMSNCDMDATFYWIGMAAIATVAVLLPTILGITALFTKLGLMVAGGVVLASFMTYAAEHLAISAFNKGQKDRNASRTRSFCF >PAN39100 pep chromosome:PHallii_v3.1:7:39641436:39647515:1 gene:PAHAL_7G220900 transcript:PAN39100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G18480) UniProtKB/Swiss-Prot;Acc:Q8GWB7] MRSPGLLAAALVAAGLLLAAGAGAATEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQADGWIVNRISLLANPNQVRPKRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETLFKDMIDKVGRLPSYTGGDQGFLNSYYPDFPNSHVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWFTAWLVKPVETWQDIRQKLEESLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQTNKELISIRSLCAFARRARHKYKSEEPLPSYSVVGSSSTFGISNQRLSNGTHSKLPPYFGPIAVLVCFMSAGFSLAFAFALIPRQVMPWTGLLLMFELTFVAFFLLFGSYLRFVYQWGSMSANHVGFNNSDSSENHMGSGHQRNMSNCDMDATFYWIGMAAIATVAVLLPTILGITALFTKLGLMVAGGVVLASFMTYAAEHLAISAFNKGLYTHGKNDGSFFDTWLPAQGPFL >PVH34981 pep chromosome:PHallii_v3.1:7:23912974:23913306:1 gene:PAHAL_7G080500 transcript:PVH34981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPTTPSSIPITSSKMEQSLSQAAPAHVFDGPITRSRAKQLQQEVHALLCEIPFINENYILPKSCMLLLLRVTKEDDKDTPRLNQRGELRRSSSAWQNCHEETVISLDS >PVH35134 pep chromosome:PHallii_v3.1:7:30952773:30976336:1 gene:PAHAL_7G111600 transcript:PVH35134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQENEQEALERILSDAGVEPIMLSFAFLRKITNDFSQEIGRGGFGVVYMGFIGRSGKVAVKKLSKTDEVSEGQFKDELKCLIRVKHKNIVRLLGYCWETQEKVVKFNGIYVLADVRRRFLCFEYVPNGSLHDYIKDKFHGREWDTCYQIIEGICHGLYYLHNKECISHLDLKLENILLDADKVPKITDFGLSRHFGIGQSRIITETIRGTLGYAAPEYLDKGELSFKSDIFSLGIIILKLLKGSTDPPDLQSWHQSLDLDCPQVKTCIKIALLCVDNDQRKRPTIRNIIEMLNGKETMIEMVSTVNGNSRNNSGSSIKKAKSVNEAHSMDIYVAPLPQRITTEAKSSASDLLVDDQVQSMSLLAAPSPLQRVTVVPKGSGETGTQIRSLGSTEISKLLDVHPLELRFPFEPYKLIERPMTLTNRTDHPVGFWIIPTNPDTSSHIHFQSYFLWMGPSCCFQIMEPNSTWGAVMTMKQCEPPPWDTCKFEVLMIVMLSEEPLKHLEEYLTRGKLSMDSSLVKRVEELGGEVHRAMVKAVICDPEAVLHNQKFKPPMLDFRHIRYVDVHPTEPWILMAQDGGHVSIWNYQTQERVMKLEVTRVHTPATLSRWARGSTSDHWWFVFCPKFIAREQWFAAGDTDGWVRVYSYTTMDKVMEFKAHDEPVCLLCVHPTRPFLLTATYNDEWIKLWDWSKNWKFENKFNTQRHGSEQLMWHPRDTNIFASVSTSNVKVWETRSSHPTATLKGAKKGCYLYTESNRHLMVTLTSDWRTSEKDTSQIWDLQTEECVHKLGLSGSGIIDDIACHPTLPLLATRGTARTVCLWDARPAYR >PAN37919 pep chromosome:PHallii_v3.1:7:30952773:30980969:1 gene:PAHAL_7G111600 transcript:PAN37919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQENEQEALERILSDAGVEPIMLSFAFLRKITNDFSQEIGRGGFGVVYMGFIGRSGKVAVKKLSKTDEVSEGQFKDELKCLIRVKHKNIVRLLGYCWETQEKVVKFNGIYVLADVRRRFLCFEYVPNGSLHDYIKDKFHGREWDTCYQIIEGICHGLYYLHNKECISHLDLKLENILLDADKVPKITDFGLSRHFGIGQSRIITETIRGTLGYAAPEYLDKGELSFKSDIFSLGIIILKLLKGSTDPPDLQSWHQSLDLDCPQVKTCIKIALLCVDNDQRKRPTIRNIIEMLNGKETMIEMVSTVNGNSRNNSGSSIKKAKSVNEAHSMDIYVAPLPQRITTEAKSSASDLLVDDQVQSMSLLAAPSPLQRVTVVPKGSGETGTQIRSLGSTEISKLLDVHPLELRFPFEPYKLIERPMTLTNRTDHPVGFWIIPTNPDTSSHIHFQSYFLWMGPSCCFQIMEPNSTWGAVMTMKQCEPPPWDTCKFEVLMIVMLSEEPLKHLEEYLTRGKLSMDSSLVKRVEELGGEVHRAMVKAVICDPEAVLHNQKFKPPMLDFRHIRYVDVHPTEPWILMAQDGGHVSIWNYQTQERVMKLEVTRVHTPATLSRWARGSTSDHWWFVFCPKFIAREQWFAAGDTDGWVRVYSYTTMDKVMEFKAHDEPVCLLCVHPTRPFLLTATYNDEWIKLWDWSKNWKFENKFNTQRHGSEQLMWHPRDTNIFASVSTSNVKVWETRSSHPTATLKGAKKGCYLYTESNRHLMVTLTSDWRTSEKDTSQIWDLQTEECVHKLGLSGSGIIDDIACHPTLPLLATRGTARTVCLWDARPAYRLQKIIRLKDTVKGMEFIGTENSTSVAVAAATPTVCSGGCCDAESGGSGDTHNRCRWLLRLLLGKTWTTTSGAMSHPEQHGGMFSFLPSSHLHNVCSSVSLFLRNYSGVCLAGMLL >PVH35135 pep chromosome:PHallii_v3.1:7:30952773:30979999:1 gene:PAHAL_7G111600 transcript:PVH35135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQENEQEALERILSDAGVEPIMLSFAFLRKITNDFSQEIGRGGFGVVYMGFIGRSGKVAVKKLSKTDEVSEGQFKDELKCLIRVKHKNIVRLLGYCWETQEKVVKFNGIYVLADVRRRFLCFEYVPNGSLHDYIKDKFHGREWDTCYQIIEGICHGLYYLHNKECISHLDLKLENILLDADKVPKITDFGLSRHFGIGQSRIITETIRGTLGYAAPEYLDKGELSFKSDIFSLGIIILKLLKGSTDPPDLQSWHQSLDLDCPQVKTCIKIALLCVDNDQRKRPTIRNIIEMLNGKETMIEMVSTVNGNSRNNSGSSIKKAKSVNEAHSMDIYVAPLPQRITTEAKSSASDLLVDDQVQSMSLLAAPSPLQRVTVVPKGSGETGTQIRSLGSTEISKLLDVHPLELRFPFEPYKLIERPMTLTNRTDHPVGFWIIPTNPDTSSHIHFQSYFLWMGPSCCFQIMEPNSTWGAVMTMKQCEPPPWDTCKFEVLMIVMLSEEPLKHLEEYLTRGKLSMDSSLVKRVEELGGEVHRAMVKAVICDPEAVLHNQKFKPPMLDFRHIRYVDVHPTEPWILMAQDGGHVSIWNYQTQERVMKLEVTRVHTPATLSRWARGSTSDHWWFVFCPKFIAREQWFAAGDTDGWVRVYSYTTMDKVMEFKAHDEPVCLLCVHPTRPFLLTATYNDEWIKLWDWSKNWKFENKFNTQRHGSEQLMWHPRDTNIFASVSTSNVKVWETRSSHPTATLKGAKKGCYLYTESNRHLMVTLTSDWRTSEKDTSQIWDLQTEECVHKLGLSGSGIIDDIACHPTLPLLATRGTARTVCLWDARPAYRLQKIIRLKDTVKGMEFIGTENSTRLFVFLDREVTIVKINLGTVRTNT >PAN40653 pep chromosome:PHallii_v3.1:7:46728437:46732665:1 gene:PAHAL_7G331200 transcript:PAN40653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSSPKKWNLKEQRNTYLQWFSLADEDGDGRLTGNDALKFFAMSNLSKPELKQVWAIADSKRQGYLGFYEFMTAMQLVSLAQAGNEISQDTISNADLERLQPPTMEGLAKKLKKHSESKGESAIVACHPAESPIPASWFNSKSGKKIPLKSVTSIVDGLKKSYIEKLRPLEKTYQFQDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYMGSFNDKPIRETAAGPLGMELFQKEQDDLLSDLNDIPKKACDRRINEFVKRARAAKVHAHIVSHLKKEMPALMGKAKAQQKLLDSLDEQFAKVQKELHLPPGDFPSVEEYRELLSSYNFDKFEKLRPKMVQGVDDMLAYDIPELLKKFRNPYD >PAN40425 pep chromosome:PHallii_v3.1:7:45609678:45614393:-1 gene:PAHAL_7G314800 transcript:PAN40425 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFVLRIQSVDFPTAPASPAAVAADEVGTSGATSNPLSPTSSHPPPSTTTSSIPPLELPGATSAASARSPRTHHTRGVIHLYHSSSSTSNTSSSYASAVAATSSSSSGPAAPQPASDSLLPPWRGTRLLVLAVPTRVSPDDFVRFCGPYLERASDIRFIRDDGVEDRYSVLVEFEDQKSAEGFYLDLNGWRFSSSEGEVCHVLFIAAVQYTPSSDVATTPPVGSTELPICPVCIERLDQDISGILATTCDHSFQCSCVSVWANSSCPVCQFCQKQSEDSTCSVCQTSGNLWICVICGFVGCGRYQEGHAKQHWKDTQHCYSLDLETQRVWDYVGDSFVHRLNHSKSDAMHAKFKSKCKYSGDDCVNCSCNDDSDMGGAMFSSKAETIVDEYNRLLANQLETQREYYEGLLSEAKRNKERLISETVDKAVNDKLQELQLKLENLMVEKKKVADMNEKLTRSQDMWRQTLRDIEERERTQLKSKDETIRDLEEQIKDFKFSIKLQKSIEKNDGVRGGTLVPLATVSDSGGKGKRSSRTSKRRN >PVH35114 pep chromosome:PHallii_v3.1:7:30363667:30364560:1 gene:PAHAL_7G107700 transcript:PVH35114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPNIRWDREGHAHTNALHWEGFPRLLWESLQIFGYDAPPLYDGYEFVEAGIPRCRVKMTIPQHPSRYLWQPVTICTVGHRLVDTFESTALEAIHIFCDKHPEEVAAYPIGLFPATDPRDSEWTFRISYYSHLLGDLSHETLQALIRFMNVQHQYQLLQRRSINQLSNLAQAHHGTIIQQLDELNELHTVTNAQVDLLAQRDMNINNQENQIQEREIVIAQRNTIIEFLQDQVQDLTIELDDAVNHINNLHEQPAPPVMSDESESEEEEEEEPEEIEGESGLDSEHGDPAPKSPI >PAN37943 pep chromosome:PHallii_v3.1:7:33693328:33696979:-1 gene:PAHAL_7G134200 transcript:PAN37943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQARHFSHGFPAAVGGGSLFLDECAGCAPTSPAWPRDTTVLGDLPRSDLACNYGFVPRKRPRLAAAEAPAAGCFLDDQRVVMPPAGVGMEGVVTVPPVVDVRSRAVSSGAASTSGRAANNGASVSRGLVAWMQHQGVEIDALVRLEAERMRAGLEEARRRHARALLAAAGRAASGRLRAAEAEAGRALRRNAELEEKARQADAECQAWMGVARSHEAVAAGLRATLEQLLQPPRAAAGGCEGDAEDARSCCFEAPAAAADGSDEDGAASSGSKTSCRSCGGGEASVLLLPCRHLCLCRACEPGVDACPVCAASKNGSLHVLFS >PVH35296 pep chromosome:PHallii_v3.1:7:34621803:34623373:-1 gene:PAHAL_7G144400 transcript:PVH35296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRAALSPSSALCLCLSPSPPLSAGRRTGAPARLIQVRYPSPAWVDADVVFGAVVAGATDASSAPAAAFFSLALLLRLFWLCALDAPADAGYLFFRDLRAGIERALGECPPALGAFLRAVGPDVEERFMRSLGYMLAKWCLLREMQAAGSAATKPAPQQEPGGAASGGCRAAVTRSPPRACRTPPRRTGSGSSEATRPCWRCRASRAPRPRPSRRRRTRCLRSRHCAMAWSTSSSRLWRSWRTQCGCATGGSSPSPCALTTSGCASRGSRSRTTTRAAWAPTPPPPKTPPAPTSWTTSAISRPASRSGSAHCEGQTRERGTTIERRGPIQLKG >PVH35420 pep chromosome:PHallii_v3.1:7:37049264:37049947:1 gene:PAHAL_7G178800 transcript:PVH35420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDLSGLGVKELQNLENQLEMSLRCIRTKKDQLMVDEIQELNRKGSLIQQDNMELYRKVNLIRQENVELYKKLYEKEAAGEVNRDSTAPYNFAVVDNANTPIHLELNTPPQENDVEQPSPPKLG >PAN38537 pep chromosome:PHallii_v3.1:7:37049264:37050297:1 gene:PAHAL_7G178800 transcript:PAN38537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDLSGLGVKELQNLENQLEMSLRCIRTKKDQLMVDEIQELNRKGSLIQQDNMELYRKVNLIRQENVELYKKLYEKEAAGEVNRDSTAPYNFAVVDNANTPIHLELNTPPQENDVEQPSPPKLGLQLNP >PAN37390 pep chromosome:PHallii_v3.1:7:26153730:26156057:-1 gene:PAHAL_7G088900 transcript:PAN37390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAPPPHASSAGSVTVTVDPSPSSSSSAPPPATAAPAPAEAVVLRLKRRGKKKVSWKEGTVDNEGLGRKSSKKCCIFHKEVPFDEDCSDDEAPGGGHRCPRGEAGEGTSSSGGGGCPSSSHDHSHHHH >PAN37389 pep chromosome:PHallii_v3.1:7:26155533:26155925:-1 gene:PAHAL_7G088900 transcript:PAN37389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAPPPHASSAGSVTVTVDPSPSSSSSAPPPATAAPAPAEAVVLRLKRRGKKKVSWKEGTVDNEGLGRKSSKKCCIFHKEVPFDEDCSDDEAPGGGHRCPRGEAGEGTSSSGGGGCPSSSHDHSHHHH >PAN39021 pep chromosome:PHallii_v3.1:7:39205765:39210424:-1 gene:PAHAL_7G214500 transcript:PAN39021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAWRKVRKALGRRLCAHAPMLGGGGVRCATAGAGVCRRDAAVAVASALAGESGPSTPAGALRRSKSGGRPSSSSSSSKGKCAICYASMRSGHGQALFTAECSHMFHFHCISSNVKHGNYVCPVCRAKWKEIPCRSLSSTSPHGRIGGNQSRSSQQDPHMALRRQVSNCRREVRRLHTSEPADYNDDEPLQHKEAFDNVNSGSGKTAQISSYPEFQSVVESSCLNGFDILIHVKAPTSSSDHVTGSLVHESSVRSSSRAPVDLVTVLDVSGSMAGTKLTLLKQAMGFVVQHLRSSDRLSVIVFSSTAQRLFPLRRMSHHGRQQALQAINSLGSGGGTNIADALKKAVKVIEDRSYKNSVCSIILLSDGQDTYSISSNSQGTSAACRSLVPSSILNEHHMVPLHAFGFGADHDSDTLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVSVQEMHLSMKCIDPGVQLRSIKCGSYPSRVARDGRSGSVDIGHLYADEERDILLSVTVPKSSEQTSLLRVTCAYKDPVTNATIKIEGDEVKIKRPTSTLSEPVSIEVDRERNRIQAASSIESARAAAERGALSEAVTILEDCRRVLSQSFASQNGDRLCMALDAELREMQERMASRQLYEASGRAYMLSGLSSHSWQRATTRGDSTDSTTLVHSYQTPSMAQMLEHSQNFSSSPRSQRPQVRGARPVLEKPQPRRN >PVH35563 pep chromosome:PHallii_v3.1:7:39205922:39210424:-1 gene:PAHAL_7G214500 transcript:PVH35563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAWRKVRKALGRRLCAHAPMLGGGGVRCATAGAGVCRRDAAVAVASALAGESGPSTPAGALRRSKSGGRPSSSSSSSKGKCAICYASMRSGHGQALFTAECSHMFHFHCISSNVKHGNYVCPVCRAKWKEIPCRSLSSTSPHGRIGGNQSRSSQQDPHMALRRQVSNCRREVRRLHTSEPADYNDDEPLQHKEAFDNVNSGSGKTAQISSYPEFQSVVESSCLNGFDILIHVKAPTSSSDHVTGSLVHESSVRSSSRAPVDLVTVLDVSGSMAGTKLTLLKQAMGFVVQHLRSSDRLSVIVFSSTAQRLFPLRRMSHHGRQQALQAINSLGSGGGTNIADALKKAVKVIEDRSYKNSVCSIILLSDGQDTYSISSNSQGTSAACRSLVPSSILNEHHMVPLHAFGFGADHDSDTLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVSVQEMHLSMKCIDPGVQLRSIKCGSYPSRVARDGRSGSVDIGHLYADEERDILLSVTVPKSSEQTSLLRVTCAYKDPVTNATIKIEGDEVKIKRPTSTLSEPVSIEVDRERNRIQAASSIESARAAAERGALSEAVTILEDCRRVLSQSFASQNGDRLCMALDAELREMQERMASRQLYEASGRAYMLSGLSSHSWQRATTRGDSTDSTTLVHSYQTPSMAQMLEHSQNFSSSPRSQRPQVRGARPVLEKPQPR >PVH35564 pep chromosome:PHallii_v3.1:7:39205142:39210694:-1 gene:PAHAL_7G214500 transcript:PVH35564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAWRKVRKALGRRLCAHAPMLGGGGVRCATAGAGVCRRDAAVAVASALAGESGPSTPAGALRRSKSGGRPSSSSSSSKGKCAICYASMRSGHGQALFTAECSHMFHFHCISSNVKHGNYVCPVCRAKWKEIPCRSLSSTSPHGRIGGNQSRSSQQDPHMALRRQVSNCRREVRRLHTSEPADYNDDEPLQHKEAFDNVNSGSGKTAQISSYPEFQSVVESSCLNGFDILIHVKAPTSSSDHVTGSLVHESSVRSSSRAPVDLVTVLDVSGSMAGTKLTLLKQAMGFVVQHLRSSDRLSVIVFSSTAQRLFPLRRMSHHGRQQALQAINSLGSGGGTNIADALKKAVKVIEDRSYKNSVCSIILLSDGQDTYSISSNSQGTSAACRSLVPSSILNEHHMVPLHAFGFGADHDSDTLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVSVQEMHLSMKCIDPGVQLRSIKCGSYPSRVARDGRSGSVDIGHLYADEERDILLSVTVPKSSEQTSLLRVTCAYKDPVTNATIKIEGDEVKIKRPTSTLSEPVSIEVDRERNRIQAASSIESARAAAERGALSEAVTILEDCRRVLSQSFASQNGDRLCMALDAELREMQERMASRQLYEASGRAYMLSGLSSHSWQRATTRGDSTDSTTLVHSYQTPSMAQMLEHSQNFSSSPRSQRPQVRGARPVLEKPQPRN >PAN40427 pep chromosome:PHallii_v3.1:7:45615332:45616078:1 gene:PAHAL_7G314900 transcript:PAN40427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNGMSRLAVAVSVTVALSLAIFLTILVLLLADLFCAHLRRRRLRAEASQSKLGPLALSPARTEDGSVATTTTAARETLSGTPPFYYAHGVLHAPNTKDLLLAIPKLESAVWRWSPARRSTPSRSGSSTGSSGARGDGFMCISNPVYDRGGARGQAAPGGGDTPPFETPGASPSPFGITEEEEEEEGGFSPPLSAMRKLPALGVVAYPPPALSFADARPALTVTDTNRASTSSSNLTAHFFSSWSSK >PAN37319 pep chromosome:PHallii_v3.1:7:23842951:23843194:1 gene:PAHAL_7G080200 transcript:PAN37319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLIDESGNPSQIGKDWKDLELIQFVDIKTCIERQDNGVQL >PVH35362 pep chromosome:PHallii_v3.1:7:36057540:36059638:-1 gene:PAHAL_7G162600 transcript:PVH35362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTCATRGREAPLRPRRSSEQPVHSHIQKRPWLSPTLLVHFVQEFDRPVDDLIECGREEEQVDHRSIKKMGDDNGEAGKDDTTIPLLTPYKMGRFQLSHRVVLAPLTRCRSFGYVPQPHAAVYYAQRATEGGLLIAEATGVSHSAQGYPDSPGIWTREQVEAWKPVVDAVHAKGGVFFCQIWHTGRASNYQFQPNGQAPVSSTDRPIAPTKSEDGLSVTTYPVPRRLAAEEIPAVVEDFRIAARNAIEAGFDGVEIHGAHGYLIDQFLKDGVNDRADEYGGGLANRCRFALEVVAAVAREVGAGRVGVRLSPYTQHMDAADSDPDALGLHMARALGGMGVLYLHAVEPRMVRPQERGETRRSLRPMRNAFRGTFVAAGAYTREDGSRAVADGYADLVAYGRLFLANPDLPRRFELDAPLNKYDRKTFYTSDPVIGYTDYPFLDDLPK >PAN40315 pep chromosome:PHallii_v3.1:7:45099609:45100399:-1 gene:PAHAL_7G305600 transcript:PAN40315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAKASWIVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANAPSFAQAKKLAPAAERRRADKAEEGMRTVMYLSCWGPN >PAN38741 pep chromosome:PHallii_v3.1:7:37955185:37961148:1 gene:PAHAL_7G194100 transcript:PAN38741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMTDMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKSVHHQLVEEDEDLGAEATMGSERSFRAPNARTASYRKSSAVKIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNLGAEKEEEEVNSYERPNFALTDKDEEEVKMPGYKFWSKSSAAMSRVGSPCMSASEARSVASRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPEQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSSIDPLQLVSQLANLIMDILSGRCQSAVSEVSKSFLGRYALSEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGSTEPNLVAEPNDMHAQSVTGYTDDWVSKVHSSSNFCQACNSNKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGHPDHLSRAQNMQSLIECALQHVLRCNVEIRFKLVSCPARKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYIMQRVDSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDDLWSKNSRFGSNLLCWRAPKQSM >PAN38742 pep chromosome:PHallii_v3.1:7:37955185:37960864:1 gene:PAHAL_7G194100 transcript:PAN38742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMTDMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDHMIWETASSNGMTLDFGRSVHHQLVEEDEDLGAEATMGSERSFRAPNARTASYRKSSAVKIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNLGAEKEEEEVNSYERPNFALTDKDEEEVKMPGYKFWSKSSAAMSRVGSPCMSASEARSVASRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPEQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSSIDPLQLVSQLANLIMDILSGRCQSAVSEVSKSFLGRYALSEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGSTEPNLVAEPNDMHAQSVTGYTDDWVSKVHSSSNFCQACNSNKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGHPDHLSRAQNMQSLIECALQHVLRCNVEIRFKLVSCPARKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYIMQRVDSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDDLWSKNSRFGSNLLCWRAPKQSM >PVH35476 pep chromosome:PHallii_v3.1:7:37955185:37961148:1 gene:PAHAL_7G194100 transcript:PVH35476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMTDMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDHMIWETASSNGMTLDFGRSVHHQLVEEDEDLGAEATMGSERSFRAPNARTASYRKSSAVKIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNLGAEKEEEEVNSYERPNFALTDKDEEEVKMPGYKFWSKSSAAMSRVGSPCMSASEARSVASRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPEQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSSIDPLQLVSQLANLIMDILSGRCQSAVSEVSKSFLGRYALSEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGSTEPNLVAEPNDMHAQSVTGYTDDWVSKVHSSSNFCQACNSNKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGHPDHLSRAQNMQSLIECALQHVLRCNVEIRFKLVSCPARKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYIMQRVDSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDDLWSKNSRFGSNLLCWRAPKQSM >PVH35299 pep chromosome:PHallii_v3.1:7:34804969:34806649:1 gene:PAHAL_7G145300 transcript:PVH35299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKAALLLALVAVSLAVEIHADAGYGYGGGYGPTPTTPTPATPTPKPEKPPKGGKPEKPPKGGKPPKEHGPKPEKPPKEHKPPTYTPSPKPTPPTYTPTPKPTPPTPKPTPPAYTPTPKPTPTPTPKPTPPTYTPTPKPTPPTYTPTPKPPVTKPPTPKPTPPTYTPSPKPTPPTYTPTPKPPATKPPTYTPTPKPTPPTYTPKPKPPTKPPTYTPTPKPTPPSYTPSPKPKPTPPTYKPTPKPTPPTYTPSPKPKPTPPTYTPSPKPKPTPPTPTPTPKPTPPTYTPTPKPTPPTYKPAPKPTPPTYKPAPKPTPPVSYTPSPPPPYYK >PAN38835 pep chromosome:PHallii_v3.1:7:38357440:38370922:1 gene:PAHAL_7G201400 transcript:PAN38835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPEEKLRCTKEPFIEDVGARRIKSIRFSVLSGSEIRKSAEVQVWSSRIYGNDMKPVPNGLLDMRMGLPSKRDKDSVCSTCYGPFSECPGHFGYLKLALPVFNVGFFNCILDVLKCICKGCSRVLLSEKDRREFLKKMRNPRADALQKSATMKKVRDKCKTTCCPRCEYRNGVVKKGRAGLIVIHDCSKILDGHAEELKNALQHKKEKVSTSSVRMLDPATVLSLFRRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVVVGNTRTSNEDSITAILKSIVNTNSILKETLQTGGLFNKCFDCWQQLQLQVVEYVNSDAPCLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSNYNLEKLRQCIRNGPYKHPGANFIITPDGTRLSLKYGDRRIAARDLKCGYIVERHLEDGDVVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSSFTLMCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNARTKVFLNLAVKEKIYSKKKEKKEGEEEEKETMCGRETMCPNDGYVYFRNSELLSGQVGKATLGNGNKDGIYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNRQKKKKIDEGYKQCHDLISLFAKGALALHPGCNAAETLEHRITGVLNEIRTAAGNVCMDTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIIQFLYGDDGMDPAKMEGKDGTPLNLDQLFMKVTATCPQRGPDTLSPADIRQMLEDKLTQHGTSSDGGCSQEFEKGLREFFEKRINLLECTRRALHLDVGHVGKKDSCIEEFVAANISGISAKQLQVFLDTCFSRYHSKTIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITTELLSRKDVLSARIVKGAMEKAVLGEVASDIKIILKPSQANLVVKLDMQLIEALHMGISADTVQLSILKHPKIKLKPEHVRVIDRAKLKIYPAGTDKSKLQLELHNLKSMLPKVIVKGIPTVERVVIDEIKKKDNTVEKYNLLVEGTNLLAVMGTPGVDARNTKSNHIMEMNSTLGIEAARRSIIDEIQYTMKSHGMNIDVRHMMLLADLMTYKGEVLGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDEIEGVSECIIMGIPMQLGTGILKVRQRLDRLPEFKYQPDPILS >PAN39241 pep chromosome:PHallii_v3.1:7:40269937:40273296:1 gene:PAHAL_7G230200 transcript:PAN39241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGAGMEADEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPWAFLKIAVVVSLQLWTATFLRDASWLKLLIVAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPISVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNAISKSVWVVLQLFFYALRPLFLKPKPPGLWEFTNLAIQVALDAGLVYLHGWRSLAYLILSTFVGGGMHPMAGHFISEHYVFSPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGARLHKVKEIAPEYYESLRSYRSWSQVIYMYIMDRTVGPFSRMKRKAPKKES >PVH34969 pep chromosome:PHallii_v3.1:7:22827336:22827584:1 gene:PAHAL_7G077100 transcript:PVH34969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFINAYFALLSWQEHMIERPGGTAYIENTFITGFMKRDGIDDAGLENLYPTAEISKISHDGHRVLDYLAHDMVTQINSILP >PAN39822 pep chromosome:PHallii_v3.1:7:42894082:42895715:1 gene:PAHAL_7G270900 transcript:PAN39822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPQLFLCPISMELMEDPVTVSTGVTYERRSIERWFFKYGKTTCPATMQRLSSFDLTPNHTLKSVISSWLDRASSSSSSSTPLCKELGRERLPSVLAGVEGTPFKVTALKNLKSCMEGDVAAQEDFVACGGIQVLGRVMTQALAESSAGGDFSAFRTCEEAGSVLAALPLSDDTSVELVLKPDCMRPVVALVQRGSAEARLHAMSIIAKISRADRDWTAGVDVDDLVKSLLEVLSDGTSPKLSSRALDVLLDVTARSRGTRRAKAVEVGAVCVLVELLPDADRRVAERALLLLKRLCKCPEGRLAFAEHALAVSAVARTVLRVSGLASRLAVSVLWLVACAVAPAERVLDDMFMSGGVAKLLALLQVESSASTKEKAARLLRVHGAFWRQYPCFPTDLRDYLKFLN >PAN37696 pep chromosome:PHallii_v3.1:7:31533789:31536571:1 gene:PAHAL_7G115000 transcript:PAN37696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDESLLGNGVQKTGGVGENLVLPEVRKQLYLAGPLIAAWMLQNIVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYYLLGIYKQRAILVLTLVSLVVAVIWSYTGQILLVFGQDPEIAAGAGSYIRWMIPALFVYGPLQCHVRFLQTQSIVLPVMLSSGVTALNHLLVCWLLVYKIGLGNKGAALANAISYLTNVSILAIYVRLAPVCKNTWRGFSKEAFHDIPSFLRLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSISLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATRVVMVLAIVVGILIGLVMILVRNLWGYAYSTEEEVVKYISKMMPILAVSFLFDCVQCVLSGVARGCGWQKLGACVNLGAYYLIGIPAAFCFAFLYHLGGMGLWLGIICALVVQMLLLLAITLCSNWEKEALKAKDRIFISSLPVDMTT >PAN37694 pep chromosome:PHallii_v3.1:7:31532057:31536571:1 gene:PAHAL_7G115000 transcript:PAN37694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDESLLGNGVQKTGGVGENLVLPEVRKQLYLAGPLIAAWMLQNIVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYYLLGIYKQRAILVLTLVSLVVAVIWSYTGQILLVFGQDPEIAAGAGSYIRWMIPALFVYGPLQCHVRFLQTQSIVLPVMLSSGVTALNHLLVCWLLVYKIGLGNKGAALANAISYLTNVSILAIYVRLAPVCKNTWRGFSKEAFHDIPSFLRLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSISLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATRVVMVLAIVVGILIGLVMILVRNLWGYAYSTEEEVVKYISKMMPILAVSFLFDCVQCVLSGVARGCGWQKLGACVNLGAYYLIGIPAAFCFAFLYHLGGMGLWLGIICALVVQMLLLLAITLCSNWEKEALKAKDRIFISSLPVDMTT >PVH35164 pep chromosome:PHallii_v3.1:7:31532057:31536571:1 gene:PAHAL_7G115000 transcript:PVH35164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDESLLGNGVQKTGGVGENLVLPEVRKQLYLAGPLIAAWMLQNIVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYYLLGIYKQRAILVLTLDPEIAAGAGSYIRWMIPALFVYGPLQCHVRFLQTQSIVLPVMLSSGVTALNHLLVCWLLVYKIGLGNKGAALANAISYLTNVSILAIYVRLAPVCKNTWRGFSKEAFHDIPSFLRLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSISLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATRVVMVLAIVVGILIGLVMILVRNLWGYAYSTEEEVVKYISKMMPILAVSFLFDCVQCVLSGVARGCGWQKLGACVNLGAYYLIGIPAAFCFAFLYHLGGMGLWLGIICALVVQMLLLLAITLCSNWEKEALKAKDRIFISSLPVDMTT >PVH35165 pep chromosome:PHallii_v3.1:7:31531968:31536651:1 gene:PAHAL_7G115000 transcript:PVH35165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDESLLGNGVQKTGGVGENLVLPEVRKQLYLAGPLIAAWMLQNIVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYYLLGIYKQRAILVLTLVSLVVAVIWSYTGQILLVFGQDPEIAAGAGSYIRWMIPALFVYGPLQCHVRFLQTQSIVLPVMLSSGVTALNHLLVCWLLVYKIGLGNKGAALANAISYLTNVSILAIYVRLAPVCKNTWRGFSKEAFHDIPSFLRLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSISLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATRVVMVLAIVVGILIGLVMILVRNLWGYAYSTEEEVVKYISKMMPILAVSFLFDCVQCVLSGVARGCGWQKLGACVNLGAYYLIGIPAAFCFAFLYHLGGMGLWLGIICALVVQMLLLLAITLCSNWEKEALKAKDRIFISSLPVDMTT >PAN37695 pep chromosome:PHallii_v3.1:7:31532057:31536571:1 gene:PAHAL_7G115000 transcript:PAN37695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDESLLGNGVQKTGGVGENLVLPEVRKQLYLAGPLIAAWMLQNIVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYYLLGIYKQRAILVLTLDPEIAAGAGSYIRWMIPALFVYGPLQCHVRFLQTQSIVLPVMLSSGVTALNHLLVCWLLVYKIGLGNKGAALANAISYLTNVSILAIYVRLAPVCKNTWRGFSKEAFHDIPSFLRLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSISLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATRVVMVLAIVVGILIGLVMILVRNLWGYAYSTEEEVVKYISKMMPILAVSFLFDCVQCVLSGVARGCGWQKLGACVNLGAYYLIGIPAAFCFAFLYHLGGMGLWLGIICALVVQMLLLLAITLCSNWEKEALKAKDRIFISSLPVDMTT >PVH34775 pep chromosome:PHallii_v3.1:7:5814080:5815009:-1 gene:PAHAL_7G026800 transcript:PVH34775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPIIDPGNSDWDFRTDHHGHLLGDLAEETIRIVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEVIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEEFQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPHHSSSGSQSSVGNFDDF >PVH35426 pep chromosome:PHallii_v3.1:7:37133653:37135971:-1 gene:PAHAL_7G180700 transcript:PVH35426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQTATPLAILSRFLSSPSPPPQPELLRVHALAVTSGLSARPDLAAKLVSAYSSAGRPGLAALVFSASPRPDAFLWNSLIRAHHCASDFAAALAAHRRMLASGARPSRFTAPLAASAAAELGALGVGASVHAYCVRCGLLVGDGGSVAVASSLVYMYARCGVVGDAVKVFEEMPDRDVVAWTAVVSGCVRNWQCADGLRYLVEMIRLAGNGETRPNSRTMESGLEACGLLGELNSGRCLHGYVLKIGVGDSPLVASALFSMYSKCHNTEGASVLFPELPEKDVVSWTSLIGAYCWRGLIREAMELFQEMEESDLQPDEVLVSCLLAGLGKSGNVLGGKAFHALITKRNFEDSVLTGNALISMYGKFELVDVAGRVFRSLHQQNAESWNLMIVGYWKAGCDVKCLELYRELQFRDKDEFLCDANSLVSAISSCSRLEELRLGQSSHCYSVKHLLDDNLSVANVLIGMYGRCRKFDHACKIFALTKLKGDVVTWNALISSYAYLGHLNSALSLYDQMLTEGLKPNSATLITVISACANLATLERAEQIHSYVKDMGWESDVSISTALVDMYAKCGQLGIARRIFDSMLQRDVVAWNVMISGCGMHGEAKQALELFDEMESGSVKPNGVTFLAILSACCHSGFVEEGRKLFTRMAKYSLEPNLKHYACMVDLLGKCGHLQEAEDMVLAMPVEPDGGVWGTLLTACKVHDNFEMGLRIAKKAFASDPENDGYYILMSNSYGSVQKWDEIEKLREMMKNHGVEKGVGWSAVDNRG >PVH35427 pep chromosome:PHallii_v3.1:7:37131928:37135971:-1 gene:PAHAL_7G180700 transcript:PVH35427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQTATPLAILSRFLSSPSPPPQPELLRVHALAVTSGLSARPDLAAKLVSAYSSAGRPGLAALVFSASPRPDAFLWNSLIRAHHCASDFAAALAAHRRMLASGARPSRFTAPLAASAAAELGALGVGASVHAYCVRCGLLVGDGGSVAVASSLVYMYARCGVVGDAVKVFEEMPDRDVVAWTAVVSGCVRNWQCADGLRYLVEMIRLAGNGETRPNSRTMESGLEACGLLGELNSGRCLHGYVLKIGVGDSPLVASALFSMYSKCHNTEGASVLFPELPEKDVVSWTSLIGAYCWRGLIREAMELFQEMEESDLQPDEVLVSCLLAGLGKSGNVLGGKAFHALITKRNFEDSVLTGNALISMYGKFELVDVAGRVFRSLHQQNAESWNLMIVGYWKAGCDVKCLELYRELQFRDKDEFLCDANSLVSAISSCSRLEELRLGQSSHCYSVKHLLDDNLSVANVLIGMYGRCRKFDHACKIFALTKLKGDVVTWNALISSYAYLGHLNSALSLYDQMLTEGLKPNSATLITVISACANLATLERAEQIHSYVKDMGWESDVSISTALVDMYAKCGQLGIARRIFDSMLQRDVVAWNVMISGCGMHGEAKQALELFDEMESGSVKPNGVTFLAILSACCHSGFVEEGRKLFTRMAKYSLEPNLKHYACMVDLLGKCGHLQEAEDMVLAMPVEPDGGVWGTLLTACKVHDNFEMGLRIAKKAFASDPENDGYYILMSNSYGSVQKWDEIEKLREMMKNHGVEKGVGWSAVDNRG >PVH35425 pep chromosome:PHallii_v3.1:7:37133653:37135971:-1 gene:PAHAL_7G180700 transcript:PVH35425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQTATPLAILSRFLSSPSPPPQPELLRVHALAVTSGLSARPDLAAKLVSAYSSAGRPGLAALVFSASPRPDAFLWNSLIRAHHCASDFAAALAAHRRMLASGARPSRFTAPLAASAAAELGALGVGASVHAYCVRCGLLVGDGGSVAVASSLVYMYARCGVVGDAVKVFEEMPDRDVVAWTAVVSGCVRNWQCADGLRYLVEMIRLAGNGETRPNSRTMESGLEACGLLGELNSGRCLHGYVLKIGVGDSPLVASALFSMYSKCHNTEGASVLFPELPEKDVVSWTSLIGAYCWRGLIREAMELFQEMEESDLQPDEVLVSCLLAGLGKSGNVLGGKAFHALITKRNFEDSVLTGNALISMYGKFELVDVAGRVFRSLHQQNAESWNLMIVGYWKAGCDVKCLELYRELQFRDKDEFLCDANSLVSAISSCSRLEELRLGQSSHCYSVKHLLDDNLSVANVLIGMYGRCRKFDHACKIFALTKLKGDVVTWNALISSYAYLGHLNSALSLYDQMLTEGLKPNSATLITVISACANLATLERAEQIHSYVKDMGWESDVSISTALVDMYAKCGQLGIARRIFDSMLQRDVVAWNVMISGCGMHGEAKQALELFDEMESGSVKPNGVTFLAILSACCHSGFVEEGRKLFTRMAKYSLEPNLKHYACMVDLLGKCGHLQEAEDMVLAMPVEPDGGVWGTLLTACKVHDNFEMGLRIAKKAFASDPENDGYYILMSNSYGSVQKWDEIEKLREMMKNHGVEKGVGWSAVDNRG >PAN38562 pep chromosome:PHallii_v3.1:7:37133653:37135971:-1 gene:PAHAL_7G180700 transcript:PAN38562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQTATPLAILSRFLSSPSPPPQPELLRVHALAVTSGLSARPDLAAKLVSAYSSAGRPGLAALVFSASPRPDAFLWNSLIRAHHCASDFAAALAAHRRMLASGARPSRFTAPLAASAAAELGALGVGASVHAYCVRCGLLVGDGGSVAVASSLVYMYARCGVVGDAVKVFEEMPDRDVVAWTAVVSGCVRNWQCADGLRYLVEMIRLAGNGETRPNSRTMESGLEACGLLGELNSGRCLHGYVLKIGVGDSPLVASALFSMYSKCHNTEGASVLFPELPEKDVVSWTSLIGAYCWRGLIREAMELFQEMEESDLQPDEVLVSCLLAGLGKSGNVLGGKAFHALITKRNFEDSVLTGNALISMYGKFELVDVAGRVFRSLHQQNAESWNLMIVGYWKAGCDVKCLELYRELQFRDKDEFLCDANSLVSAISSCSRLEELRLGQSSHCYSVKHLLDDNLSVANVLIGMYGRCRKFDHACKIFALTKLKGDVVTWNALISSYAYLGHLNSALSLYDQMLTEGLKPNSATLITVISACANLATLERAEQIHSYVKDMGWESDVSISTALVDMYAKCGQLGIARRIFDSMLQRDVVAWNVMISGCGMHGEAKQALELFDEMESGSVKPNGVTFLAILSACCHSGFVEEGRKLFTRMAKYSLEPNLKHYACMVDLLGKCGHLQEAEDMVLAMPVEPDGGVWGTLLTACKVHDNFEMGLRIAKKAFASDPENDGYYILMSNSYGSVQKWDEIEKLREMMKNHGVEKGVGWSAVDNRG >PAN40127 pep chromosome:PHallii_v3.1:7:44373372:44375099:-1 gene:PAHAL_7G293600 transcript:PAN40127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVTSPSPDPFTFHCPAALPAAVAEADGDEFEFHVVPAAAAALSAADELFSGGKLVPLHRPAPASAPCSPPPCLEVEPASEPTSPRAPRCAGRRWRDLLHLVSSSKKAKDDNKSANGCLKRRDTHFRPLLSRDYSSSSSASSVDSGKHARRLPPPSCSPLRTRSAPVANLLHLMSRTRSAGDKIGAAGDLSPHPKRQEPACAVHPLLTRASSSSSASSSDSGRNPRATAPWRPRGPSRPSRPAVAAESPRVSASGRVVFRGLERCSSTPASAGIGPRRPRPRGMERSYSANVRVDPVINVFGFGLLFMPSSPAKERRSDREKEKDGGGRRNRPEKLAMVLRDPQD >PAN38533 pep chromosome:PHallii_v3.1:7:34309623:34309973:-1 gene:PAHAL_7G141000 transcript:PAN38533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPSDQIRVPYQQREFQYRVDLHSTANPIAAEEGRDEGGRCGDGCEGRAPSGRQRAPVVGGGYGGFGGAGEGRRRIVCV >PVH35984 pep chromosome:PHallii_v3.1:7:46561456:46564353:1 gene:PAHAL_7G327600 transcript:PVH35984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAYGRNRADPNGARFFFLSVILRVVQSLGSAKAPSAPRQQIQFVLRFLGPFYHDGMYHFFYQYNPHGPTFGTGKLSWGHSISGDLVNWAFLGTALDPTSPFDADGCWSGSATVIPDGRPVILYTGRDTNTVQVQNVAFPKDPSDPLLREWHKPSCNPVIPQPADVTGNNFRDPSTAWLGRDGLWRFAVAAEVNGVGSTLIYRGADFVHWERNAAPLHASPEVPALECPDLFPVAERGTEGLDTSANGPGVRRVLKLSKMADEDYYMVGWYDDAADTFVPAEEERGGDVTKWRRIDHGHLFGAKSFFDARKKRRVLWAWVDETDGHSDDVAKGWTGIQSFPRALWLDNDGKQLVQWPVEEIETLRKKQVTLLGAVVGSGRLHEIAGIETLQADVEVAFEIPNLEEAEQLDPKWLQDPRKLCAEKGASVQGRVGPFGLIVMASGDLLEQTTIFFRVFKHDDAYKVLMCMDLTRSSTKEGVYKPVYAGFVDVDVEKDRSISLRTLIDHSVIESFGGGGRTCITARVYPEHVATCSSHLYLFNNGSDSVKVSKIEAWDLGTASVNLEDVSLPILTNVPEQGQAQP >PAN39491 pep chromosome:PHallii_v3.1:7:41301139:41301848:1 gene:PAHAL_7G246900 transcript:PAN39491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASVALFLAVNLVVFAVASATRANCPPPPSTPSTPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGNILGINLNLPIDLSLILNHCGKTVPTGFKCL >PAN39111 pep chromosome:PHallii_v3.1:7:39698363:39702199:-1 gene:PAHAL_7G221900 transcript:PAN39111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQGILLGMGNPLLDISAVVDEAFLAKYDVKPGNAILAEDKHLPMYDELASKGSVEYIAGGATQNSIRVAQWLLQIPGATSYIGCIGKDKFGEEMKKNAQAAGINAHYHEDENAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFAKVRGWETENVEEIALKISQLPKASGTHKRITVITQGCDPVVVADDGKVKTFPVILLPKDKLVDTNGAGDAFVGGFLSQLVQEKSIDKCVRAACYAANVVIQRSGCTYPEKPDFN >PAN39207 pep chromosome:PHallii_v3.1:7:40128826:40129464:-1 gene:PAHAL_7G227900 transcript:PAN39207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Alpha-amylase/subtilisin inhibitor (RASI) [Source: Projected from Oryza sativa (Os04g0526600)] MGGPGAVRLPLLLSVVLAASLYRGAAAPSPVYDTDGHELSADADYYVLPAGQGSGSGGGGLTMAPNVFRCPLFVAQEADPLRRGFPVRLTPLHGHGGDRTVRVSFDVAVHFAAATTCVQTTEWHVAGRGDEDVSGARRHVVTGPVLAPTAGGRERVFRVEGHRHGYRLAWCGVPTECEELGVFRDDRGRAWLTVSDDQPHVVVFKKAPPVPA >PAN40510 pep chromosome:PHallii_v3.1:7:46126444:46132939:1 gene:PAHAL_7G321100 transcript:PAN40510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLTSNEGAQRLEIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKVDQSALTGESLPVTKGPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFCKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRVSKGAPEQILDLCNCREDMRRKVHSMIDKYAERGLRSLAVARQEIPEKSKDSAGGPWQFVGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQNKDSTLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVIFFWAMHKTDFFTEKFGVRSIRDSEHEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFLLAQLVATFLAVYANWGFARIKGIGWGWAGVVWLYSIVFYFPLDLFKFFIRFVLSGRAWDNLLENKTAFTTKKDYGREEREAQWATAQRTLHGLQPPEVASNTLFQDKSSYRELSEIAEQAKRRAEIARLRELTTLKGHVESVVKLKGLDIDTIQQNYTV >PAN40660 pep chromosome:PHallii_v3.1:7:46756007:46759095:1 gene:PAHAL_7G331900 transcript:PAN40660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHPRSLHALLGGGAVADVLLWRRRNASAAAVVGATAVWFVFERAGYSLPSVLANALLLLVAILFFWAKSASLLNRPLPPLPNLEVSDVVVEKAADRALVWINRVLAVGQDIAIKRDRKIFIRVILILWVVSYVGMLFNFLTLIYIGVMLSLLVPPLYEKHQDHVDEKLGVAHSVLSRHIDTIITRAGQAKQKKTE >PVH35594 pep chromosome:PHallii_v3.1:7:39661632:39665227:-1 gene:PAHAL_7G221200 transcript:PVH35594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHIWYYDVSLSPYRFSDVHNKFTVIGCNTLTYIKDKNGTSYQSGCVSTCRNLTDLTNGSCSGMGCCQTAIPKGMDYYEVSFDSGFNTSQISSFSRCSYAMLMEVEAFNFSIAYLSTTKFNDTNTGRAPVVMDWAIRNGTMSLGCEEAKLNKTGTYACLSRYSGCVDSRNGPGYLCNCSQGYEGNPYLPDGCHDTDECKKSPCPSGGVCHNTIGGYRCSCRVGLKFSEQNNSCDLDTSLIIGVTLSSAGGILFLAAIVAVLTRRWQRIVQKRLRKIYFRKNKGILLEQLVSLDHNASDGTKIFSLNELEKATNNFDQTRVVGRGGHGTVYKGILSDQRVVAIKRSKVKESIEIEQFINEVAILSQINHRNVVKLHGCCLETEVPLLVYEFISNGTLYDLLQCEQNGILLPLSWEERLRIAVEVAGALAYLHSAASMAILHRDIKCMNILLNDSYTAKVSDFGASKSIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILLELLTRKKPIIENGNGERQNLSSFFFEELGKRPLDEVVDREILEEASEEAIMSMARLAEECLSLTREERPTMKDVEMRLQMLRARQGVASRKRRDDEVTRRREAVGANMINGAIPVAAASNHVSRWYSLEQESVLSSCVPR >PVH35593 pep chromosome:PHallii_v3.1:7:39661790:39664338:-1 gene:PAHAL_7G221200 transcript:PVH35593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHFLLLGLGLVLLLAAQRGPVVAIPGPECQTKCGEVDIPYPFGIGDNCSLSTGFNARCKEVQRGVYKPFLGNVELLNISLINSTIRELNRISTYCYSSSGKMEAHIWYYDVSLSPYRFSDVHNKFTVIGCNTLTYIKDKNGTSYQSGCVSTCRNLTDLTNGSCSGMGCCQTAIPKGMDYYEVSFDSGFNTSQISSFSRCSYAMLMEVEAFNFSIAYLSTTKFNDTNTGRAPVVMDWAIRNGTMSLGCEEAKLNKTGTYACLSRYSGCVDSRNGPGYLCNCSQGYEGNPYLPDGCHDTDECKKSPCPSGGVCHNTIGGYRCSCRVGLKFSEQNNSCDLDTSLIIGVTLSSAGGILFLAAIVAVLTRRWQRIVQKRLRKIYFRKNKGILLEQLVSLDHNASDGTKIFSLNELEKATNNFDQTRVVGRGGHGTVYKGILSDQRVVAIKRSKVKESIEIEQFINEVAILSQINHRNVVKLHGCCLETEVPLLVYEFISNGTLYDLLQCEQNGILLPLSWEERLRIAVEVAGALAYLHSAASMAILHRDIKCMNILLNDSYTAKVSDFGASKSIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILLELLTRKKPIIENGNGERQNLSSFFFEELGKRPLDEVVDREILEEASEEAIMSMARLAEECLSLTREERPTMKDVEMRLQMLRARQGVASRKRRDDEVTRRREAVGANMINGAIPVAAASNHVSRWYSLEQESVLSSCVPR >PVH35595 pep chromosome:PHallii_v3.1:7:39661701:39665151:-1 gene:PAHAL_7G221200 transcript:PVH35595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHFLLLGLGLVLLLAAQRGPVVAIPGPECQTKCGEVDIPYPFGIGDNCSLSTGFNARCKEVQRGVYKPFLGNVELLNISLINSTIRELNRISTYCYSSSGKMEAHIWYYDVSLSPYRFSDVHNKFTVIGCNTLTYIKDKNGTSYQSGCVSTCRNLTDLTNGSCSGMGCCQTAIPKGMDYYEVSFDSGFNTSQISSFSRCSYAMLMEVEAFNFSIAYLSTTKFNDTNTGRAPVVMDWAIRNGTMSLGCEEAKLNKTGTYACLSRYSGCVDSRNGPGYLCNCSQGYEGNPYLPDGCHDTDECKKSPCPSGGVCHNTIGGYRCSCRVGLKFSEQNNSCDLDTSLIIGVTLSSAGGILFLAAIVAVLTRRWQRIVQKRLRKIYFRKNKGILLEQLVSLDHNASDGTKIFSLNELEKATNNFDQTRVVGRGGHGTVYKGILSDQRVVAIKRSKVKESIEIEQFINEVAILSQINHRNVVKLHGCCLETEVPLLVYEFISNGTLYDLLQCEQNGILLPLSWEERLRIAVEVAGALAYLHSAASMAILHRDIKCMNILLNDSYTAKVSDFGASKSIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILLELLTRKKPIIENGNGERQNLSSFFFEELGKRPLDEVVDREILEEASEEAIMSMARLAEECLSLTREERPTMKDVEMRLQMLRARQGVASRKRRDDEVTRRREAVGANMINGAIPVAAASNHVSRWYSLEQESVLSSCVPR >PVH35013 pep chromosome:PHallii_v3.1:7:25079050:25079781:1 gene:PAHAL_7G085400 transcript:PVH35013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNVWVDGVCHAKPGLPKLLIISLERIGVMEPPEYAYREYTSKGILRCDMMIFMGKSTRYPDIDPWFISTSGFRFPDTYRKAAHKALRRLRVIYKHHLQRTPMGFFPPTEGRGRTWIDRMRGLGREEEELEDTVSHLSIYLTGLDVLYREQAAQLKQLIHRVEKATQELEEQRIRAAHAEYSLTALQAQMQEYENRREIGGWIEEEEEPEETHWDKGTQTKEEMDRCLPIKKRPIRIEEESP >PAN40484 pep chromosome:PHallii_v3.1:7:45859439:45862765:-1 gene:PAHAL_7G318700 transcript:PAN40484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASASVLSLPTAAALPAAATAVAGAAGCFALGYLLALTRHAAAVGPEGGSDDDSEDDSEEDDDENSGRSRAAKRAAGQKRTGLRLLFWARNVVTKSDSAREAERAQAQAAASPLEIENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQLRAPKSLRRWERCGQVKVVVKIESEEDMLILQGRAKSFNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >PVH34863 pep chromosome:PHallii_v3.1:7:13599999:13600229:1 gene:PAHAL_7G048100 transcript:PVH34863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMYLVGTLCFSKAYHMTCLGTLSYAFSRSIETMCKSFCSLYLSINCRIKKIASMVDLSGMNPNWFWVTLVTLLR >PAN40330 pep chromosome:PHallii_v3.1:7:45140893:45144226:1 gene:PAHAL_7G307000 transcript:PAN40330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATKVIGSEPSECFQFQEPNTWICNTELQSDVVVEVGEISFHLHKSPLISRSGTLQKLINEATGDDDDEDGGGSKPCMVRLDDVPGGPDAFRLAAIFCYDVRMELNAGNVVPLRCAAEHLAMTEDYGEGNLVEQAEAFLSQVLGTWNDAVCALHACDAVLPDAEDLLIVPRCIDSLASKACADPTLFGWPMLEYYTAKSLEETVVWNGISTTGKPRSLGADWWYKQASSFRLPVYKRLISAVRSKGMSPENVAGSLMHYARRHLSGLRRHGDNSDGSSRGGAPGTTTAVLSDGDQRALLEEVVALLPAEKGVAPTRFLLGLLRTATVLHASAACRDALERRAGNQLEEAMLEDLLIPNTGYSAETLYDVDSAQRMLEQFMMTSTSAFAASPEITDEGQLVDAPSAELMPVSTVAKLVDGYLAEVGTDTNLKLSKFQTIAALVPDYARAIDDGLYRAIDIYLKAHPWLTDSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVSDNADQGSSSDNCVLPRSTDDDDVEFAAGSETTDEDGSAAGRHVELSPAMSVEEVRQRVSELEEECSSMRQEIHKLGKPKSALSRLFGKLGLSERSSSRERRQQQQEPLPGASDKRRMSFGC >PVH34720 pep chromosome:PHallii_v3.1:7:3004140:3009673:1 gene:PAHAL_7G015000 transcript:PVH34720 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MHTRKKGAARSAAGDHVNPKTSRPSRRSTQPPVAEKKVTDLITSSSKKQKPVGVTSKKHSKGGRKLLAACDTADTENEAPQVAPSIPHDLQHSDDGADDRPSNSIYSPTYHHHKDGGLNNLSKAGSLEEQTAPVHGSKESSLKSGPNLACNTCDGASDHSCTLNLQSTGQSTLLEVDEYSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSTSTEGYGDAEETEEYDEFDPYSFIKDLPDLSTVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFMERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLESLVGVEDVRPYIARKFNLREKVATAASLTMDMQM >PVH34719 pep chromosome:PHallii_v3.1:7:3003618:3009673:1 gene:PAHAL_7G015000 transcript:PVH34719 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MHTRKKGAARSAAGDHVNPKTSRPSRRSTQPPVAEKKVTDLITSSSKKQKPVGVTSKKHSKGGRKLLAACDTADTENEAPQVAPSIPHDLQHSDDGADDRPSNSIYSPTYHHHKDGGLNNLSKAGSLEEQTAPVHGSKESSLKSGPNLACNTCDGASDHSCTLNLQSTGQSTLLEVDEYSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSTSTEGYGDAEETEEYDEFDPYSFIKDLPDLSTVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFMERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLESLVGVEDVRPYIARKFNLREKVATAASLTMDMQM >PAN36953 pep chromosome:PHallii_v3.1:7:3002942:3009673:1 gene:PAHAL_7G015000 transcript:PAN36953 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MHTRKKGAARSAAGDHVNPKTSRPSRRSTQPPVAEKKVTDLITSSSKKQKPVGVTSKKHSKGGRKLLAACDTADTENEAPQVAPSIPHDLQHSDDGADDRPSNSIYSPTYHHHKDGGLNNLSKAGSLEEQTAPVHGSKESSLKSGPNLACNTCDGASDHSCTLNLQSTGQSTLLEVDEYSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSTSTEGYGDAEETEEYDEFDPYSFIKDLPDLSTVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFMERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLESLVGVEDVRPYIARKFNLREKVATAASLTMDMQM >PVH34717 pep chromosome:PHallii_v3.1:7:3003618:3009673:1 gene:PAHAL_7G015000 transcript:PVH34717 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MHTRKKGAARSAAGDHVNPKTSRPSRRSTQPPVAEKKVTDLITSSSKKQKPVGVTSKKHSKGGRKLLAACDTADTENEAPQVAPSIPHDLQHSDDGADDRPSNSIYSPTYHHHKDGGLNNLSKAGSLEEQTAPVHGSKESSLKSGPNLACNTCDGSQDSTSTEGYGDAEETEEYDEFDPYSFIKDLPDLSTVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFMERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLESLVGVEDVRPYIARKFNLREKVATAASLTMDMQM >PVH34718 pep chromosome:PHallii_v3.1:7:3002959:3009673:1 gene:PAHAL_7G015000 transcript:PVH34718 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MHTRKKGAARSAAGDHVNPKTSRPSRRSTQPPVAEKKVTDLITSSSKKQKPVGVTSKKHSKGGRKLLAACDTADTENEAPQVAPSIPHDLQHSDDGADDRPSNSIYSPTYHHHKDGGLNNLSKAGSLEEQTAPVHGSKESSLKSGPNLACNTCDGSQDSTSTEGYGDAEETEEYDEFDPYSFIKDLPDLSTVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFMERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLESLVGVEDVRPYIARKFNLREKVATAASLTMDMQM >PAN38275 pep chromosome:PHallii_v3.1:7:35870980:35874848:-1 gene:PAHAL_7G160000 transcript:PAN38275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVESRAAEAAVVAVDWRGRPCRPHRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLPQAANVVTNFVGTIFLLALLGGFLSDSYLGCFWTILTFGFVELSGFILLSLQAHLPQLKPPPCSMTGGGCEKARGFKSTVFFLALYLVALGSGCLKPNMIAHGADQFDAAAPGGAGRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAARYRNKPPQGSIFTPVARVFVAAYSKRKQVCPSSPNSVNAGACEPVRLAGNFRHSTKFRFLDKACIRVAQQGPNMKPESPWRLCTVAEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTALPPGSSFRIPPASLQAIPYAMLLALVPAYELLLVPLMKRATGTRSGITPLQRIGVGLGTVAFSMVAAADVERRRRDAAAGGHRMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACAGMQAFLTALTYCSYAFGFYLSSVLVSLVNRVTARHGGGGGCWLGDNDLNKDRLDLFYWMLAALSVLNFFCFLLCARWYNAGVDGSDAAAASGQVAAEGVDGKEII >PAN40106 pep chromosome:PHallii_v3.1:7:44297552:44303107:-1 gene:PAHAL_7G292300 transcript:PAN40106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) UniProtKB/Swiss-Prot;Acc:Q8RY16] MVERRQRRKPLVLASTQALLDSLPGDRPPPAPQEPVRLRAGVLRFPSGGGAEFGELASFVALPAPALRRLAVVTGTPVLVKNADNNVGRIVKAILLDHPSLDVSGTEQTDLVPSASCHGHAMGILPFRPFTATGFASVDEDIAYASPLLAFNLGLHVSCLKLLIQRGGEPFKFCSRSEELHAASSAGSDLSLHLDLLSCPQVPKYASHLRVSVVRIPECGVLASLKINSSIGGSDYQDMVDQALNEYFKFDRFLARGDVFCIRNNWNCGVSSCLACNRQDDNLHPRNMIYFKVTSMEPSDEPILRVNCNETALVLGGAASAAIPPYSFFAASGDSLPLHGEIVEHLASIIAPTLCPSDILPKIKFSTFIYGPSGCGKRTVVRHVANHLGLHVVECSCHDLMTSSESGAPAALATAFKESQKYSPCIILLRHFDAIGNASSNEGPESEQSGIASNIESVIKQYTGQRWFAKDSMPGRDVNGSSYPVEPEHVSSLQIILVATADSSEGMQQSIRRCFRHEIDMKTMNEEQRKKLISETLQGIATVADESIDDKFVKDLAAQTSGFMPRDILALIADAGVSFAHKIAAEKDSKGISHHEEILPESSSATQNEEKHFCKEDILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHNNVSLLSVAQRCPPNFTGADIYALCADAWFHAAKRSVKTFEIDPSRNNDASAEEVIVEIDDFMTVLGDISPSLSLEELQNYEQLRQKIEGPSR >PAN37869 pep chromosome:PHallii_v3.1:7:33092787:33093487:-1 gene:PAHAL_7G129500 transcript:PAN37869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADGEPQPVAAEPAPAPAPAPSPSPAKRKPEEDAGSDADLAPPKAARPDADEEAAAEAEAARSRARAADKGKGKMVVEEEEEVDDDDDGSEDSSDSDDEGEGGGGSDDDELVEDPLAEVDLSNILPSRTRRRAPPQPGAYLVAPEEAAAEDDDDDDADVIPEEGADGEESD >PAN36825 pep chromosome:PHallii_v3.1:7:921272:925284:-1 gene:PAHAL_7G003900 transcript:PAN36825 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MGTGWRRALCTSVQRDDGGDGHRDTKNKKRRPQDTPTAGAGGGFFSAVKSAATGGGSSSNPSTPTLRCRTKPLQQPAEPASVTPPSAPAPMGKHRMPLLQALSAPASPRSPSRFALLKASLLPSKARCGVCSRGVKSGGSSAVFTAECSHAFHFPCIAAHARSSSANGVLSCPVCASPWRQAPFLASLRLHCSFHDDKHRGTDGRKTPPSSGGGAGPKLYDDDEPLLAPKAAANGGGFNPIPEADEDDEEQGAEFRGFFPRPRTSGLAVTVAPEAALVSSGRRHGKYVVAVKVKAPGLRSSSAAPRRAPIDLVMVLDVSQGMMGEKLQMLKRGMRLVVASLGPADRLSIIAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAASTQGQEQGQQQSACVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQQPDYSARNKFGRPAVAPATRFTHVEIPIGGPGDAPPPLVVAEEEKEDEKQEPPVEHAFAKCLGGLVSVVMQEVHLELVFPTGEITAVYSCGPGQQAVALAGGGVTGGGGVSVRLGEMYAEEERELLVEVRAPLQHSHPHSLSVRCGYRDPASQETVRGAEQPLLLPPLGQHQQASSRRLHDLFVATRAVAESRRLAELQDLATAIHLLSSARALVLQSPPTQQQQELVGSLDTELSDMRWRRSQHRQQEEEQQQQGPLTPTSRSGRRSRGDGETTPPVGTPRGSRSGSGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >PAN36826 pep chromosome:PHallii_v3.1:7:921272:924420:-1 gene:PAHAL_7G003900 transcript:PAN36826 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MDPIRSEQLYLTLRDFNLSAPNDPTASAQKVHLFPHPNVPRFFLWEQNQPAKFARCGVCSRGVKSGGSSAVFTAECSHAFHFPCIAAHARSSSANGVLSCPVCASPWRQAPFLASLRLHCSFHDDKHRGTDGRKTPPSSGGGAGPKLYDDDEPLLAPKAAANGGGFNPIPEADEDDEEQGAEFRGFFPRPRTSGLAVTVAPEAALVSSGRRHGKYVVAVKVKAPGLRSSSAAPRRAPIDLVMVLDVSQGMMGEKLQMLKRGMRLVVASLGPADRLSIIAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAASTQGQEQGQQQSACVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQQPDYSARNKFGRPAVAPATRFTHVEIPIGGPGDAPPPLVVAEEEKEDEKQEPPVEHAFAKCLGGLVSVVMQEVHLELVFPTGEITAVYSCGPGQQAVALAGGGVTGGGGVSVRLGEMYAEEERELLVEVRAPLQHSHPHSLSVRCGYRDPASQETVRGAEQPLLLPPLGQHQQASSRRLHDLFVATRAVAESRRLAELQDLATAIHLLSSARALVLQSPPTQQQQELVGSLDTELSDMRWRRSQHRQQEEEQQQQGPLTPTSRSGRRSRGDGETTPPVGTPRGSRSGSGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >PAN37833 pep chromosome:PHallii_v3.1:7:32747204:32749586:1 gene:PAHAL_7G126400 transcript:PAN37833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSMLKVGYTILRSETPATDLINSFMDWAARRSLLLLAIFLPPYYVYKLTTSAFAVVAPEDVAGKVVLITGASSGIGEQIAYQYAKKGARLALVARREASLHDVAAKAKDAGSPDVLVVAGDVANPEDCKRFVQATVEHFGQLDHLVNNAGVASVCWFEEVPDVADFKQVLAVNFWGTVHPTHCALPHLKKSGGKIFVNSSAAAVLAMPRMSFYNASKAAVLNFFETLRMELRDEVGITIATPGWIESEMTKGKHLSKQGTVEVDQDMRDSQVGLFPVVRAERCAEAIVDAVCRGRRHLTVPLWYRALFLWRMLAPEVGDFSQRVFYRRAAGGGHGEHQQAKARRFLEATGANGVLQPASLQSSEIKRD >PAN40129 pep chromosome:PHallii_v3.1:7:44386579:44393323:1 gene:PAHAL_7G293900 transcript:PAN40129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAADDSRDAALDEMEVDGGERHRDRERRDRHRRDEKEHHGSGRRDREREKEKDKDDRRREKDDGKLRDRERERERDKDKDSKHRDRDKEPERDRGRDRDRGKDRERDRGKDREKEPERERDKERERRDRDKERNRNRDKDKDRAERGDREREDREREKSRGKGRGEDDVDLSKGNEGDHKPGVDAPGEAEQPATAELRDRIARAKEERLKDKKEGGILDSDDGASEILSWVGKSRKLDEKREAEKEKALRLARALEEQDNILADNGDDDDEEEEDKQVGDHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINEEADMLENIEIGEQKQRDEAYKASKKKGTYDDKFNEDSSSKKSILSHYDDPMENEGVMLDEGGRFTGEAEKKLEELRKRIEGGHVQKKTEDLTSTTKVSTDYFTPDEMLQFKKPKKKKSLRKKEKLDLDALEAEAIASGLGAADRGSRKDTRRQSAREEEQKADAEKRSSAYEAAIAKAEEASRALRPEKITPSKPAEEEELVFGDDYEDLQKSLEQARKLALRKQEEAAGPLAVVELATATKGQEDTDAIEGDSQQNKVVITEMEEFVWGLQLNQETRKPEEGDVYMDEDDDGMPSDNLVKDETNGLAAMEEDAHAEKPVKVEEEEVKPDEVIHEVAVGKGLASALKILKERGSLNEGTDWGGRTTDKKKSKLVGVEDGPKDIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKQEKRQKKYQDEMKTKRMKSSDTPLMAAEKMREAQARNQTPYLILSGNAKTSQASDASSFATVEKEHPGSLTPMLGDKKVEHFLGIKRSAKPGSLPPPVPKKPKN >PAN39925 pep chromosome:PHallii_v3.1:7:43415600:43419425:1 gene:PAHAL_7G279000 transcript:PAN39925 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAGATFLSTVQAPAMLGARGVAASPSVSSPAARRHAHIQVCCKGSVEGIEAAGHEERLRFRRRDFIGGCVGTAIGLEMVEGSTKFTGVATAADLIERRQRSEFQSSIKDTLYTAIKAKPELVPSLLTLALNDAITYDKATKTGGANGSIRLEISRPENSGLSAALDLLVEAKKEIDSKSKGGPISFADLIQFGAQSALKRSFLDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKTFGRTDAQEADPEGRVPDWSKASVQEMKDRFVAVGLGPRQLAVMSAFLGPDQAATEERLIADPDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKPKIDLGKLKL >PVH35663 pep chromosome:PHallii_v3.1:7:40761978:40766268:-1 gene:PAHAL_7G238000 transcript:PVH35663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter of the Copper-Nicotianamine Complex, Fe(III)-deoxymugineic acid transporter, Copper distribution, Allocation of iro [Source: Projected from Oryza sativa (Os04g0542800)] MPYWHPAGSRDQMNSRLRLHAAAPAPASELELLVPRVAAAAAMEQQRSAPPPGAHEIEKTPSGRAAPDMESEPAAARAAERVPPWREQITPRGMVAALLMGFVFTVIQMKISLSTGLNPTMNVSAALLAFLALRGWTRALDRLGIASRPFTRQENTVVQTCVVACYSIAYGGGFGSFLLGLNKRTYEQSGVSSPGNAPGSYKEPAIGWMMGFLLSVSFVGLLTLLPIRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLKYFGISFLWSFFQWFYTAGDACGFAQFPTFGLKAWKQTFFFDFSPTYVGAGMICSHLVNLSLLFGAILSWGVMWPLISKQKGNWYSAKASESSMTSIYGYKAFLCIALLVGDGLYNFVKVMVISVKNIRERSHRKSLNRVADADTMALDDLQRDEVFNKDNIPTWLAYTGYALLSVIAVIIIPIMFRQVRWYYVVVAYLLAPVLGFCNAYGTGLTDMNMGYNYGKIALFILAAWAGKDNGVVAGLVVCGVVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQAVGTLMGCILAPLTFMLFYKAFDVGNPDGYWKAPYALIYRNMAVLGVEGFSALPRHCLQLCAGFFAFAVAANLARDLLPRRLARFMPLPMAMAVPFLVGASFAIDMCVGSLVVFVWHRLDSKKAVLLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFTPGS >PAN39371 pep chromosome:PHallii_v3.1:7:40761989:40766348:-1 gene:PAHAL_7G238000 transcript:PAN39371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter of the Copper-Nicotianamine Complex, Fe(III)-deoxymugineic acid transporter, Copper distribution, Allocation of iro [Source: Projected from Oryza sativa (Os04g0542800)] MEQQRSAPPPGAHEIEKTPSGRAAPDMESEPAAARAAERVPPWREQITPRGMVAALLMGFVFTVIQMKISLSTGLNPTMNVSAALLAFLALRGWTRALDRLGIASRPFTRQENTVVQTCVVACYSIAYGGGFGSFLLGLNKRTYEQSGVSSPGNAPGSYKEPAIGWMMGFLLSVSFVGLLTLLPIRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLKYFGISFLWSFFQWFYTAGDACGFAQFPTFGLKAWKQTFFFDFSPTYVGAGMICSHLVNLSLLFGAILSWGVMWPLISKQKGNWYSAKASESSMTSIYGYKAFLCIALLVGDGLYNFVKVMVISVKNIRERSHRKSLNRVADADTMALDDLQRDEVFNKDNIPTWLAYTGYALLSVIAVIIIPIMFRQVRWYYVVVAYLLAPVLGFCNAYGTGLTDMNMGYNYGKIALFILAAWAGKDNGVVAGLVVCGVVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQAVGTLMGCILAPLTFMLFYKAFDVGNPDGYWKAPYALIYRNMAVLGVEGFSALPRHCLQLCAGFFAFAVAANLARDLLPRRLARFMPLPMAMAVPFLVGASFAIDMCVGSLVVFVWHRLDSKKAVLLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFTPGS >PVH34898 pep chromosome:PHallii_v3.1:7:17691317:17691649:1 gene:PAHAL_7G058500 transcript:PVH34898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLTPVISNPEVNRTLATLRKAELGFLGLIVEKSTDKSSLLSLYRIVHEIFTSYGSSFNYFEGILFLVRESPPFFHSLPKTN >PAN40126 pep chromosome:PHallii_v3.1:7:44364568:44368637:-1 gene:PAHAL_7G293500 transcript:PAN40126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G46330) UniProtKB/TrEMBL;Acc:C0LGU8] MAFWKNDCYRTPVPNVVAVALLVVAVLVWAAPAPAAAVTDVSVAVHLEALLEFKKGVAVDPLGALSNWTVGAGGARGSRGGPPHCNWTGVACDGAGHVTSIQLLETGLRGTLTPFLGNISTLQVLDLTSNHFAGAVPPQLGRLGELEQLVLYDNSFTGGIPAEFGDLGSLQILDFGNNTLHGAIPGRLCNCSALWAFGAENNNLTGALPDCIGDLSNLKILVIAHNDLEGELPPSFAKLTLMETLDVSENQFSGSIGWLADFTNLKILQTFDNRFSGAIPLELGRCKNLTLLNMYSNNFSGAIPSELGELTNLEVLRLYGNALSSEIPRSLGRCTSLLSLQLSTNQLTGSIPTELGELRSLRDLRLHINRLTGRVPASLTNLVNLTYLSFSYNSLSGPLPENIGSLRNLQQLVIQNNSLSGPIPASIANCTLLSNASMSSNEFSGPLPAGLGRLQTLMFLSLLDNKLSGDIPEDLFDCGNLRTLSLAGNNFTGSLSPRVGGLSKLGLLQLQRNALSGAIPEEIGNLTKLIGLELRGNRFAGRVPASISNMSSLQELELQQNRLGGALPDEIFELRNLTFLSVASNRFVGPIPDAVSNLRSLSYLDLSNNALNGTVPAALGRLDQLLTLDLSHNRLTGAIPGAVIGKMSTMQMYLNLSNNMFTGPIPPEIGRLTMVQAIDLSNNRLSGGVPATLAGCKNLYSLDLSANNLTSALPGGLFPQLDILTSLNISGNDIDGEIPANIGALKHIQSLDVSRNAFTGAIPAALANLTSLRTLNLSSNQLEGPVPDAGVFRNMSMSSLQDNPGLCGWKLLAPCHHSGKQGFSRTGLVILVVLLVLAVLLLSLIVTILFLGYRRYKKKKVAANGAASFSEDFVVPELRKFSHGELEAATGSFDEGNVIGSSNLSTVYKGVLAEPDGKVVAVKRLNLAQFPAKSDKSFLTELATLSRLRHKNLARVVGYACEPGKIKALVLEYMDNGDLDGAIHDPGRDARRWTVPERLRVCVSVAHGLVYLHKGYDFPIVHCDVKPSNVLLDGDWEARVSDFGTARMLGVHLTGGAAAQSATSSAFRGTVGYMAPEFAYMRTVSPKADVFSFGVLMMELFTKRRPTGTIEEGGVPLTLQQYVDNALSRGLDGVLDVLDPDMKVATEGDLSTAADVLSLALSCAAFEPADRPDMDSVLSALLKMSKVCGED >PVH35733 pep chromosome:PHallii_v3.1:7:42091077:42092763:-1 gene:PAHAL_7G257900 transcript:PVH35733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSSARPSLCPPRHGHPPDGGGAPPPSWVLLDLHAYVADRENVTSAYGTMSNGEAIRVTFCTAPPPLVSYICVWCPNLPPTKLVMEPTIEAAEVDLVHFRVSLRAYSSLCGKGPSLSRLEKPDRYLPYGNCIALLAQREVGDIRPHVEDEGHYYLAALNHYMSRPGNFNLLLHNSMDKKWSSSPVSLDRLRIHLTAKTITLGEGGLLGFADAWRGILVCDILGRKPEHYLPLPEHLIRLDKLHDEPLLLRDVAFVKGRLTLVEMRRSAPDPDSSSSCQSWDVSTWNISSPWEGQDGWRMDYMISTRNITVDENTANVDLLPKLQDNGGTPKPSLGRLGIAHPTLSLSDSHVVYLMGKAGDWDKKTLVLYVDMRNERLQGVAVFDLRAVLLGAGVKRNLKRPGKFQMLYPRKLQTGVGGMDDMVYEAVQLFAQEQHDAGPEDGDNDMALD >PAN39550 pep chromosome:PHallii_v3.1:7:41502654:41505336:1 gene:PAHAL_7G250600 transcript:PAN39550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSTCDEGSELDARSQFDYADFDDLDRPPRGHRWEPSSDVSSECSGEPGSPYGSSPYPRWPVCALPARVPKPPPPLLKRLSTTRRAGGGVREGKPGDGELQLIKERFSKLLLGEDMSGSGKGVSTSVAISNAITNLYATVFGSCHRLEPLPAEKRSMWRREMDCLLSVCDYIVEFFPSKEILPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGQKDDSVATPCRPVSQRGDEKWWLPVPCVTKPGLTETVRRDLQQKRDCASQIHKAAMAINNDVLAEIRIPDLYKQALPKCGRASVGDLIYRHMSFPGKFSPEYLLDCLEISSEHEALEAADRVEAAMHVWRRKASQSHSRSPWSAVKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILESYSRVLESLAYNIVTCIDDVLFADEAARKIA >PVH35773 pep chromosome:PHallii_v3.1:7:43087655:43088312:1 gene:PAHAL_7G274100 transcript:PVH35773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSASRKHFVMLFHVLIAVSQTHRIHQCRLDQEQKSVRQADVMKQIEKEATAQRFRNYPCPSCHQQNPKVGNNNHIFCWACQVHYCALCGKVVQKCSEHYGPRGCKQHTVDAVDPSSEGRMRGLVRKMFHGDRAKR >PAN39107 pep chromosome:PHallii_v3.1:7:39675696:39678162:-1 gene:PAHAL_7G221600 transcript:PAN39107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHFLLLGLGLVLLLAAQHAPAVAIPGPECQTKCGEVDIQYPFGIGDNCSLSEGFNASCQEVQRGVYKPFLGNVELLNISLINGTIRELNPISTYCYNSSGLMDHHTWQFDASLSPYRFSDVHNKFTVIGCNTLAYIYDSSGTGYQSGCVSTCQNLTDLTNGSCSGMGCCQTAIPKGMAYYEVGFDSGFNTSQIWNFSRCSYAVLMEAEAFNFSTAYISMTKFNDTNTGQVPMVLDWAIRNGTMSLSCEEAKLNKTGTYACLSSNGGCVDSRNGPGYLCNCSEGYEGNPYLPNGCRDVDECKNSPCPSGGVCHNTEGGHWCSCRAGRKFSKQSNTCSPDTSLIIGVTVGFLVLVIFFFFGHILLQKRKLNQVKQEHFREHGGMILFERMRSEKGLAFTVFSEAELIQATNNYDKSRIIGKGGHGTVYKGIVKGNMHVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNQALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQQPLKLDGPEMQRSLSSNFLSAMKENNLDAVLPSHLKEQESNELIRGLAELAKQCLDMCGSNRPSMKEIADELGRLRKLSLHPWVRIDAEMETQSLLGGASTASFQIEGAASGYPTQEGENLPMNPRSSYYAR >PVH35598 pep chromosome:PHallii_v3.1:7:39675459:39678996:-1 gene:PAHAL_7G221600 transcript:PVH35598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHFLLLGLGLVLLLAAQHAPAVAIPGPECQTKCGEVDIQYPFGIGDNCSLSEGFNASCQEVQRGVYKPFLGNVELLNISLINGTIRELNPISTYCYNSSGLMDHHTWQFDASLSPYRFSDVHNKFTVIGCNTLAYIYDSSGTGYQSGCVSTCQNLTDLTNGSCSGMGCCQTAIPKGMAYYEVGFDSGFNTSQIWNFSRCSYAVLMEAEAFNFSTAYISMTKFNDTNTGQVPMVLDWAIRNGTMSLSCEEAKLNKTGTYACLSSNGGCVDSRNGPGYLCNCSEGYEGNPYLPNGCRDVDECKNSPCPSGGVCHNTEGGHWCSCRAGRKFSKQSNTCSPDTSLIIGVTVGFLVLVIFFFFGHILLQKRKLNQVKQEHFREHGGMILFERMRSEKGLAFTVFSEAELIQATNNYDKSRIIGKGGHGTVYKGIVKGNMHVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNQALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQQPLKLDGPEMQRSLSSNFLSAMKENNLDAVLPSHLKEQESNELIRGLAELAKQCLDMCGSNRPSMKEIADELGRLRKLSLHPWVRIDAEMETQSLLGGASTASFQIEGAASGYPTQEGENLPMNPRSSYYAR >PAN38559 pep chromosome:PHallii_v3.1:7:37128819:37131553:-1 gene:PAHAL_7G180600 transcript:PAN38559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSDAASPASAAAARDAKKKRGNRTAAKLKQSKLETRREQWLSGQGKDGKETKVAASPTGAGSNAGSPILASPHPPLPRRRADTRSRGSDLDDREEAGATGLEVGSSDLDSPMHSPGSDKSQGNGGARRKGFSGNGGGPSLSSGSSVWSSSRSVSDAEEEDAGCPEDDSEVLDDWEAAADALYDDDSHCHQSSVPTTPTPAPTNAAPANAARPEPIRSKTRAWAPDDIFRPQSLPSISKQASFPASIGNCWAGMGMGAAQQGILSLPLPCPICYEDLDPTDSSFRPCPCGFHLCLFCHKKILEADGRCPGCRKQYNTVSAAEGGGGPKATTVGTGQEMANIAPVRLSRSCSMGPRY >PAN38560 pep chromosome:PHallii_v3.1:7:37129190:37131553:-1 gene:PAHAL_7G180600 transcript:PAN38560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSDAASPASAAAARDAKKKRGNRTAAKLKQSKLETRREQWLSGQGKDGKETKVAASPTGAGSNAGSPILASPHPPLPRRRADTRSRGSDLDDREEAGATGLEVGSSDLDSPMHSPGSDKSQGNGGARRKGFSGNGGGPSLSSGSSVWSSSRSVSDAEEEDAGCPEDDSEVLDDWEAAADALYDDDSHCHQSSVPTTPTPAPTNAAPANAARPEPIRSKTRAWAPDDIFRPQSLPSISKQASFPASIGNCWAGMGMGAAQQGILSLPLPCPICYEDLDPTDSSFRPCPCGFHLCLFCHKKILEADGRCPGCRKQYNTVSAAEGGGGPKATTVGTGQEMANIAPVRLSRSCSMGPRY >PAN38492 pep chromosome:PHallii_v3.1:7:36879646:36882971:1 gene:PAHAL_7G176000 transcript:PAN38492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPPPLLLALLGLAALAAAGGANAAGRKTVGVYELRKGDFSVRVTNWGATLTSVVLPDSRGNLADVVLGYDTIAEYVNGSAYFGALVGRVANRVANARFVLDGKVYHLYRNDGKNALHGGKRGFSKVIWTVKEYVGGGDSPYITLYYHSFDGEEGFPGDLDVYVTYRLSGPYELSLRMNATALSRATPVNLVNHAYWNLGGHGSGDVLRHTVQLLASRYTPVDAGLIPTGAVAPVAGTPYDLRAPTRLGARLREVSGGKAGVYGYDTNFAVDGEARALRKVAAVRDGGASGRALELWADQPGVQFYTGNFLAGVKGKGGAVYGQHAALCLETQGFPDAVNHPNFPSQIVRPGQVYRHNMVFKFSF >PAN39068 pep chromosome:PHallii_v3.1:7:39501038:39505484:-1 gene:PAHAL_7G218800 transcript:PAN39068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAISAAGSCLEPLYGCLESTGMLDAAAREVAAFLRVKANWDDLEKGRDNLRAVETTIRARVAAEEDKLNVCHPQVQVWLRRVGELRRDTIDEDYGSLLKFSCLCQCTVHARRRACIGKRVVEALEEVKNLTEEGRRFKKFGFKPPPEIVNHLPQIETFGLESMLTLLHDFLEKGDSNIIGVWGQGGIGKTTLLHVFNNDLEKKAHDYQVVIFIEVSNSETLNTVEIQQTISDRLNLPWNESETVDKRAKFLVKALSRKRFVVLLDDVRKKFRLEDVGIPTPDTNSQSKLILTSRYKEVCFQMGAQKSRIEMQILDNDAAWNLFLSKLSNEAVESLSSNNTVRERAMEIILSCGGLPLALNVIGTSVAGLEGPKEWTLAADAINNNMYKYKNDGVDEMFYRLKYSYDRLTATQQQCFLYCTLFPEYGSISKEQLVDYWLAEGLLLDDCGKGYQIIHDLISACLLQTSGSMSSKLKMHHVIRHLGLWLVNETDQKFLVQAGMALDTAPSVEEWKEATRISIMSNDIKELSFSPQCRSLTTLLVQNNPKLDNLGSEFFKFMRSLTVLDLSYTAITSLPECETLVALQHLNLSHTLITRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLLKLRVLNLFRSHYGIHDVDDLNLDSLKALMFLGITIYAEDVLKKLNKTSPLAKSTYRLNLKYCGEMQSIKISDLNHLVHLEELYVESCYDLNTLVADAELTTSGLELLTLSVLPSLENVIVAPMPHHFQHIRKLVISKCPKLKDITWVLKLEMLERLVVTHCDGMLKIIEEDNSDGAKTMLDHLSEEQEDNAVAEHSGDERNGDGKSVCKNELSEGKTRIDFPKLRLIVLTDVKKLRSICKPRDFPSLETIRVEDCPNLRSIPLSSTYNCRKLKQVCGSAEWWEKLEWENDEGMDGKLFIPI >PAN40751 pep chromosome:PHallii_v3.1:7:47139884:47145637:1 gene:PAHAL_7G337500 transcript:PAN40751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSHLNGHSPLARRRPRLSAASPPAAAGESPAAGAGATTAADSLEEHDRIYFQSYSHIGIHEAMIKDRVRTDAYHSAIMHHKKFIEGKVVLDVGCGTGILSVFCARAGAKRVYAVEASEIATQACEIVKANNLSDQVVVIHGRVEDVDLEEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNPERYEGSVDFWCDVYGIDMSALVPLAKKFTSEEPSVETIGGENVISWPSVVKHINCYNFTVEEFKCITAKYKVSSMMLAPIHGFGFWFEVEFNGPQEYSHNVPSNLNPLEIIQKKRKQSSEDTVLLSTAPEDEPTHWQQTILYFPDPIEVKQDQTVEGSVTVSQSKENPRFLNIHLECSTGGHTLVKDCAMR >PVH35601 pep chromosome:PHallii_v3.1:7:39750558:39753149:-1 gene:PAHAL_7G222700 transcript:PVH35601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MQNPNATILQLYHSGRLSAALRAFESLPASAPLSAAAYAALVGACSRLRSLPHGRLIHRHLLASSPDGAGLARNTVLSNHLITMYGRCAAPDSARAVFDGMPDRNPVSWAAVIAAHAQNGRCADAVGMFSSMLRAGTAPDQFALGSTARACAELGDVGLGRQVHAQAIKSEDGSDLIVQNALVTMYSKSGSVGDGLTLFERIRDKDLISWGSIIAGLAQQGCEMEALRIFREMIAEGMHLPNEFHFGSVFRACGVFDSLEFGEQIHSLSVKYMLDRDSYAGCSLSDMYARCNKLESARKVFYRIEAPDLVSWNSLINAYSAKGLLSEAMVLFSEMRDSGLRPDGITVRGLLCACVGYDALSQGRAIHSYLVKLGLDRDVTVCNSLLSMYARCLDFPSAMDVFNETNDRDVVTWNSILTACVQSQRLEDVFRLFSLLHRSVSSLDRISLSNVLSASAELGYFEMVKQIHAYAFKVGLVSDTMLSNGLIDTYAKCGSLDDANKLFKIMGTGRDVFSWSSLIVGYAQFGYAKEALDLFARMRNLGIKPNHVTFVGVLTACSRVGLVDEGCYYYSIMEPEYGIVPTREHCSCVIDLLARAGRLSEAAKFVDQMPFEPDIIMWKTLLAASKTHNDVEMGKRAAEGILNIDPSHSAAYVLLCNIYASSGNWDEFARLKKAMRSSGVQKSPGKSWIKLKGELKVFIVEDRSHPEADEIYTMLELVGMEMVKSGYIPELPRHSCKYTSFDHTDLLNEEILVEYG >PAN39674 pep chromosome:PHallii_v3.1:7:42249831:42250717:1 gene:PAHAL_7G260200 transcript:PAN39674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNTKIVLDEMQQRFVDEMKKQFDVLDSKWTQQFTDAENRKEERVLALEQSVEAAATAFETWRPQIDSAVEDLKMEVTKLNKHLDRALLDRSTDSGLLQPTVSATARPYAGFTADGSSGHRHEHNHRDHEFGIVFTHTHIPVKGTSPPLFTPPSSKLAVIPDDSLM >PAN39472 pep chromosome:PHallii_v3.1:7:38598362:38599707:-1 gene:PAHAL_7G205600 transcript:PAN39472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPAGDGTLSLDGFNASAAALVKRWKEIEVDDSLPDWTWKPCSKMGVPSEVEGYLALEGVYRDCGGSQEQIDENDNSDGADVVACDTWVQSCSDNVHVYDFHVVYSYSYKVPVLYFQGHRSDGQLLTLDEIKQHLPSNSLKVLGESKWTFITREEHPHLSRPWFTLHPCATSDWMKLLLEQSKVAIEEQSLLRYLSAWLSVVGQAVGLKIALELHCNS >PAN38898 pep chromosome:PHallii_v3.1:7:38598058:38600111:-1 gene:PAHAL_7G205600 transcript:PAN38898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPAGDGTLSLDGFNASAAALVKRWKEIEVDDSLPDWTWKPCSKMGVPSEVEGYLALEGVYRDCGGSQIDENDNSDGADVVACDTWVQSCSDNVHVYDFHVVYSYSYKVPVLYFQGHRSDGQLLTLDEIKQHLPSNSLKVLGESKWTFITREEHPHLSRPWFTLHPCATSDWMKLLLEQSKVAIEEQSLLRYLSAWLSVVGQAVGLKIALELHCNS >PVH34899 pep chromosome:PHallii_v3.1:7:18436647:18438161:1 gene:PAHAL_7G060700 transcript:PVH34899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMQAVSLVSQLNPDQKHVFDTIIARVSCNCPGFFFVCGHGGTGKTFLWNAIITHLRSEKKIVLAVASSGVVSLLLPKGRTAHSRFKIPFDLNEAGTCSIKRGTMLAELIKVSALIIWDEAPMTHRYCFEALDRTLRDILSEEKPANAIVPFGGKPVVLGGDFRQILPVVRKGSRSAIVNASITSSKLWQHVSVLKLHTNMRLHNPSLDATQRAEIESFGKWILSIGDGTIAAEQRGEEREASWITIPDDLLVDTSGDKTAALVAEVFPDFIMNYKNPEYLAARAIVCPNNQDADDINDYIVKLVPGDDVQYLSCDTISKSTEHIPDFDVLYPTEFLNSINTNNFPIHKLVLKKGVIVMLLRNLNQTMGLCNGTRLLVTQLGQRVLCCTILTGCRVGEEVFIPRIALNTTDVKWPFTLQRRQFPVRICYAMTINRSQGQTLSTVGLCLKKSVFTHGQLYVAVSRSTSRSGLRILIENDNGSCGSQTRNVVYREVLDAANTASA >PVH34875 pep chromosome:PHallii_v3.1:7:15604888:15605692:-1 gene:PAHAL_7G053200 transcript:PVH34875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYSAASHSSCSPQRSGSASTCRCWMGPWHGRSLFASESGRISPELGGKSGKGGAEDGEETKRAGEKEKYQFRARNGIGV >PAN37348 pep chromosome:PHallii_v3.1:7:22423310:22424633:1 gene:PAHAL_7G075400 transcript:PAN37348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLLSLLLLLSSTSLQAQQNITLGSSLVPEGPSSFWLSPSGNFAFGFRAIEGNASFYLLAIWFDKTSDKTVAWYAKTTDPDPALVQVSSGSRLQLNSNGVLSLQDPTGTEMDLPNGRASKTLQIPSCFLRCSPQE >PAN40182 pep chromosome:PHallii_v3.1:7:44652919:44656997:-1 gene:PAHAL_7G297800 transcript:PAN40182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATKWEPEDIKGMESHDAYLIASQLNLLDEQQDTTYVANLQMELQQARDRVSELETERRSAKKKLDHLFKKLAEEKAAWRNREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYETEIKARELTEEVCNELAREVEEDKAEIEALKQDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYAQLSKLQQDVEAFIASCSCANGDITVVEEAENIIQAIKSVRSQDAEFRYEPPAASEDIFSIFEELRPSEEPVIKEIEPCYKNNSAKCESEIQEASPMTDIFLERKAKVYSNKSPQDESDTEDGSSWETISHEDMQGSSGSPDGSESSVNNKICDGSISWKSGNDFEYRGNEKLKDDLTGAYPTNMNQPKKKESAISKLWKSSRPKNSEICKKDAVETVNARSSNVRLSVGTYSTVESGIQEIGLSPPSVGQWSSPDSMNIQFNRGFKGCIEYPRTSQKHSLKAKLMEARMESQKVQLRQVLKQKI >PAN40183 pep chromosome:PHallii_v3.1:7:44653222:44656766:-1 gene:PAHAL_7G297800 transcript:PAN40183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNRSATAAAAAPAPAAAPSLRTPRRLRRRPVKASASAPGGGRRSGPSTPLLKWDVGGGGEGRKLGGGEEAGASAREKQAREVSVRRLAAGVWRLRPPEAVPGAATGAAERRVRVGVEHIPRHLQVQLLKQNTLGHHQSLKNEVSSPISVLERKSGELHKVQLHATSTTMEKATKWEPEDIKGMESHDAYLIASQLNLLDEQQDTTYVANLQMELQQARDRVSELETERRSAKKKLDHLFKKLAEEKAAWRNREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYETEIKARELTEEVCNELAREVEEDKAEIEALKQDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYAQLSKLQQDVEAFIASCSCANGDITVVEEAENIIQAIKSVRSQDAEFRYEPPAASEDIFSIFEELRPSEEPVIKEIEPCYKNNSAKCESEIQEASPMTDIFLERKAKVYSNKSPQDESDTEDGSSWETISHEDMQGSSGSPDGSESSVNNKICDGSISWKSGNDFEYRGNEKLKDDLTGAYPTNMNQPKKKESAISKLWKSSRPKNSEICKKDAVETVNARSSNVRLSVGTYSTVESGIQEIGLSPPSVGQWSSPDSMNIQFNRGFKGCIEYPRTSQKHSLKAKLMEARMESQKVQLRQVLKQKI >PAN38981 pep chromosome:PHallii_v3.1:7:38954812:38961413:-1 gene:PAHAL_7G211000 transcript:PAN38981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVAAAAVANGSAGAVPAVVSPGAVGVGVGVAQPLPTTSLYVGDLEGSVSDSQLFELFSQAGQVVSVRVCRDVTSRRSLGYAYVNFSNPLDAARALEVLNFALLNNKPIRVMYSNRDPSSRRSGSANIFIKNLDKAIDNKTLHETFSTFGTILSCKVAMDEVGQSKGFGFVQYEKEEEAQAAIKSLNGMLINDKPVYVGPFLRKQERDHSFDKTKFNNVFVKNLSESTTKEDLVKIFGEYGNITSAVVMIGMDGKSRCFGFINFENPDAAARAVQELNGKKINDKEWYVGRAQKKSEREMELKRRFEQSLKDAADKYQGLNLYLKNLDDSIGDDQLRELFSNFGKITSCKVMRDQNGLSKGSGFVAFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQMRPPVPMTPTMAPRLPIYPPMAPQQLFYGQAPPAMIPPQPGFGFQQQLVPGMRPGGPHMPNYFVPVVQQGQQGPRPGIRRGAGAQVQQPVQSFQQQMLPRGRMYRYPTGRNMPEAPAIPGVAGGMIQPYDMGGFPVRDAALSPAAQIGTLTSALANATPEQQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAHQQNPNTPTSQLAALSLTEGIIS >PVH35603 pep chromosome:PHallii_v3.1:7:39780791:39782410:-1 gene:PAHAL_7G223100 transcript:PVH35603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDLAAAAATGLHILEGSIRPIKLSVASNEEILKAQPVDALGKPFPITQCSQLQDNPSLGLPLQVGSFESCGATQIDKCEGHFGFIELPAPIYHPSHVAELGKILNIICLCCLRLKKPNKGTGKERKFTSCSYCHDIPPLCVTQVKKSNGARSLELKAPLKEVVGDGFWSFLDQFGFHTRGTCHRRPLHPKEVQNVMRGKISECYKQFGVACAHSTG >PVH35604 pep chromosome:PHallii_v3.1:7:39780876:39782412:-1 gene:PAHAL_7G223100 transcript:PVH35604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDLAAAAATGLHILEGSIRPIKLSVASNEEILKAQPVDALGKPFPITQCSQLQDNPSLGLPLQVGSFESCGATQIDKCEGHFGFIELPAPIYHPSHVAELGKILNIICLCCLRLKKPNKGTGKERKFTSCSYCHDIPPLCVTQVKKSNGARSLELKAPLKEVVGDGFWSFLDQFGFHTRGTCHRRPLHPKEFFCFSGSERNARKNLRVLQTIWRCLRPLYWLVTSVHTCMAPRTVSK >PAN39129 pep chromosome:PHallii_v3.1:7:39780791:39782412:-1 gene:PAHAL_7G223100 transcript:PAN39129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDLAAAAATGLHILEGSIRPIKLSVASNEEILKAQPVDALGKPFPITQCSQLQDNPSLGLPLQVGSFESCGATQIDKCEGHFGFIELPAPIYHPSHVAELGKILNIICLCCLRLKKPNDIPPLCVTQVKKSNGARSLELKAPLKEVVGDGFWSFLDQFGFHTRGTCHRRPLHPKEVQNVMRGKISECYKQFGVACAHSTG >PAN38815 pep chromosome:PHallii_v3.1:7:38278580:38286443:1 gene:PAHAL_7G200200 transcript:PAN38815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLRPAAIAGGRQVWPVAEAEDEAAREAEAASQRLVEAVARGDAREARELLAAGRADVNYAGVVWLRARRVAEAEPREGAAAEARAVHEEIRADVSPLFLAAGNGDVALVRALLAKGADVNGKVFRGYPATAAAREGRAEVAELLVRAGASQPACEEAVVEAALQGQAALAAIFMGSDLVRPRVAVHALVSAAARGFVDVVDTLIKRGADPNATARVLLRSLKPSLHANVDCTALFASIVSRQVAVVRHLLQAGVKRDTKVRLGAWSWDTSTGEELRVGAGLAEPYDAVWCAVEYYESTGSILRLLLQNGYASSTVHLGRTLLHHAILCGSTGAVQTLLASGADSEAPVKTSRSSRARPVHLAARLGQPEILQTLVDKGCDVNVRAEAGDTTAILCSRHKREDCLRVLVAAGADVALLNSAGESAASVASSGGWKSGFDRAVLGAIRSGTIPRSSDRSVFSPLMFAARCGDAATIEVLLAQPEVDVDEQDPDGCSPIMAAAKVGNVEAFRALVFAGANVKLSNKRGETAMGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDTAAVRHLVSTGCDVNVPDGDGYTPLMLAAREGHAGVCEFLISYGARCDLETPRGETALSLARAALATAAFNKAEDVIMDELGRQAVLQGVRVRKHTKGGRGKPHGKSLRMVAAAGVLRWGGSSRRNVICREAEVGGSSAFQRHRQRKGDAYEPGLFRVVTATGREVHFVCEGGEEAAELWVRGIRAVTRAAFGKRGKE >PVH34867 pep chromosome:PHallii_v3.1:7:14495916:14498642:1 gene:PAHAL_7G049600 transcript:PVH34867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICNMYASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSTVTPPPHRAPRQHHRPLNVMLQHTSPSPQATYNSFFCGPGAFHDPISGIPALTLPPPQDYMLNSGLAIPNASSLLHGHGAPQQQFHHHVVKEESGNMIVFGSDQCRSSTITRRSSSCS >PAN37259 pep chromosome:PHallii_v3.1:7:14495916:14498642:1 gene:PAHAL_7G049600 transcript:PAN37259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICNMYASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSTVTPPPHRAPRQHHRPLNVMLQHTSPSPQATYNSFFCGPGAFHDPISGIPALTLPPPQDYMLNSGLAIPNASSLLHGHGAPQQQFHHHVVKEESGNMIVFGSDQQSCSSSDGTHSQPQFGHGKELSFDGYFGYNNGSSIEHDHRLLQLQDHQQAQVPVEYNYEEIKQLLMSSTTTGNHGHGQDGSMEEGFGSQGKVTMM >PAN39523 pep chromosome:PHallii_v3.1:7:41432566:41435133:-1 gene:PAHAL_7G249300 transcript:PAN39523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDALESVAVVAVPFPAQGHLNQLLHLSLLLASRGLAVHYAAPPPHVRQARERLHGWDPRALGSIEFHDLDVPAYDSPAPDPAAASPFPNHLMPMFEAFASAARAPLSALLGRLSTTYRRVAVVFDRLNAFAATEAARLGNGEAFGLQCVAMSYNIGWLDPGHRLLRDYGLQFLPVDACMSREFVDFIVRMEQDEQGAPIAGVVMNTCRALEGEFIDVVAAQPQFQGQRLFGVGPLNPLLGADARTPGGRARHECLEWLDEQPPASVLYVSFGTTSYLHAEQVAELAAALKGSKQRFIWVLRDADSANIFAESGESRHAKFLPEFTKETEGAGLVITEWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPVLAWPMHSDQPWDSELLCKYLKAGLLVRPWEKHGEIVPAEAIQKVIEEAMLSDSGMAVRQRAKELGEALRASVADGGNSRKDLDDFIAYIAR >PAN38993 pep chromosome:PHallii_v3.1:7:38999221:39000789:-1 gene:PAHAL_7G211700 transcript:PAN38993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPPQPRATVPVQVAAGGSGSSSSSSPFAPPARDYTQDLPDDILALVFASLSPIDRSACSLACARWKEVDAATRHRLSLDARAGLGHAAPALFVRFAAVIKLALRSSRGTGADSVADDGAAAVAAALPSDRLARLKLRGLRKLSDTGLSSLAAAAPALRKLSVASCTFGPKAFVAVLQACPLLEDLSVKRLRGLPDTAGAATSITEEIKFPQALSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGTWDLPLEVITAHAPGLVELHLEKLQVGDRGLAALSACRNLEVLFLVKTPECTDLGIISVAEKCHKLRKLHIDGWRTNRIGDFGLMAVAQGCLDLQELVLIGVNPTVSSLRMLGEHCRTLERLAFCACETVGDSEIICLAERYAALKKLCIKGCPVSDRGMEALNGGCPSLVKVKLKRCRGVSYECIENLKVTRGDSFSISLDIVLEHDAGSASENGVHETGEAQTTELSDQIASMDLPTNAAGSQSSTHTINRFRSVVSAIRRRFCNTQPQ >PAN38476 pep chromosome:PHallii_v3.1:7:36805606:36806884:1 gene:PAHAL_7G174600 transcript:PAN38476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKALSFAVVLLSVVILAAGSRSPADVQQVATAAGSYGGDDNVCVYTVYVRTGWIWKAGTDSVIGLALRAADGAGFTIPDLARWGGLMGAGHDYYERGSVDIFSGRGPCLSSPPCAMNLTSDGSGAHHGWYCKSVEVTAAGMHRACARAAFGVEQWLATDAPPYRLYAERGACAKSGAAEE >PAN38870 pep chromosome:PHallii_v3.1:7:38488123:38489309:1 gene:PAHAL_7G203300 transcript:PAN38870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCCAGLLPNGNFEQGPDASQLNGTRVTGQHAIPGWEISGLVEYIQSGQNQQEGMVLAVPEGAHAVRLGNEASIRQQLTGLARRAHYSAEQLNVTVGPESGELPIQTVYTSSGWDSYSYVFRARHTTAWLTVHNPGVEEDPACGPLVDAFAIKTLNPPHHEKGNMLKNGDFEDGPYIAPDNAWGLLVPPMDEDDVSPLPGWMIMSDTKSVKYVDAAHHKVPHGSYAVELVAGSECALLQEAWTVAGRAYRLSFSVRDAGNGCAQPLAVRASAGYSSQVVTYESQGTGGSRRGELEFAAIADVTRVVFQSANHYMKPDGTLCGPVVDDVSLVSVHKHEARLLFM >PAN37638 pep chromosome:PHallii_v3.1:7:28737474:28751124:1 gene:PAHAL_7G099600 transcript:PAN37638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGNAKGGSGTYTINLDNFSKRLKVFYDHWKEHKSDLWGSSDAIAIATPPPSEDLRYLKSSALDIWLLGYEFPETIIVFMHKQIHVLCSQKKANLIGTLKKAASEAVGADIVLHVKAKNGDGADLMDDILQTVRNQSKSGNPIIGHISKEAPEGKLLETWADKLSGSSIQLTDVTNGFSELFSVKDTTEITCVKKAAYLTTSVLRNFVVPKLEKVIDEEKKVSHSTLMDDTEKAILDPLKVKVKLKPENVDICYPPVFQSGGKFDLKPGASSNDEYLYYDSASVIICAIGSKYSSYCSNVARTYLIDATPTQSKAYETLLKAHDAAVQTLKPGNQMSAVYQAAVAVIERDAPELLPHLTKSAGTGIGLEFRESGLNLNAKNDRRVKQGMIFNVSLGLHNLQAETTSEKTKQFSLLLADTVYVTEKGNEILTAPCSKAVKDVAYSFNEDEEDAPAAKVESKPVDVVPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGGGSGSGEGRGPARASNELVAYKNVNDVPFARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSKLNSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQMGNNRMKMMRLSDVWIRPAFGGRGRKLTGNLEAHFNGFRYSTSRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGSRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVSLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDDDSDSESLVESDDADEESDEDSEEEKGKTWEELEREASNADREHGAESDSEEERRRRKAKTFGKSRAPERSGFKGAPPSKKPKFR >PAN40826 pep chromosome:PHallii_v3.1:7:47535867:47537536:1 gene:PAHAL_7G343900 transcript:PAN40826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEDFAAGGRRQKKHHHHHQLQIREVWADNVDREFKLIRAAIEHFPYVSMDTEFPGVIHRPAKHPAAMTPAERYALIKANVDALHLIQVGLTFAASPTAPPALAFQVNLREFDPRLHRHAPDSVALLAASGVDLAAHRARGVSARAFAALLMSSGLVCNPEVAWVTFHSAYDFAYLVKILMGRKLPRSLPEFLKYVRVYFGPEVYDIKHMMRFCGLYGGLERVAAALQVQRAAGRCHQAASDSVLTWDTFRQMKSLYFAKEGSLQLCAGVLFGLELQEDAKLR >PAN37636 pep chromosome:PHallii_v3.1:7:28751475:28755716:-1 gene:PAHAL_7G099800 transcript:PAN37636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPLLLALVAAALLLRTPTAAAAPDDHLVTGLPGFHGSFPSNQYSGYVTVDEASERSLFYYLALSERDPAADPVVLWLNGGPGCSSFDGFVYENGPFNFEPGSTLLPRLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYISGESYAGVYIPTLADEVVRGIEKGVEPRINFKGYLIGNAFTDVDYDFNSFVPFAHGMGLISTDMFEDVKASCHGTFFGDVDNLCQEKIERVHWELKDLNKYNILAPCYHHPEIQEVEFANSSLPLSFRRLGETDRSFPVRKRMAGRSWPLRLALRGGRVPMWPGLGGRSLPCTSDELATTWLDDEGVRAAIHAKSKSLIGSWELYTARIDYTHNTGTMVSYHKKFTALGYRVLIYSGDHDLCIPFPGTEAWVRSIGYQVVDRWRPWYFGDQVAGYTQGYDRNLTFLTIKGAGHAVPEYKPKESLAFYSRWLAGEKF >PAN37637 pep chromosome:PHallii_v3.1:7:28751232:28755838:-1 gene:PAHAL_7G099800 transcript:PAN37637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPLLLALVAAALLLRTPTAAAAPDDHLVTGLPGFHGSFPSNQYSGYVTVDEASERSLFYYLALSERDPAADPVVLWLNGGPGCSSFDGFVYENGPFNFEPGSTLLPRLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYISGESYAGVYIPTLADEVVRGIEKGVEPRINFKGYLIGNAFTDVDYDFNSFVPFAHGMGLISTDMFEDVKASCHGTFFGDVDNLCQEKIERVHWELKDLNKYNILAPCYHHPEIQEVEFANSSLPLSFRRLGETDRSFPVRKRMAGRSWPLRLALRGGRVPMWPGLGGRSLPCTSDELATTWLDDEGVRAAIHAKSIYARL >PAN37635 pep chromosome:PHallii_v3.1:7:28751232:28755837:-1 gene:PAHAL_7G099800 transcript:PAN37635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPLLLALVAAALLLRTPTAAAAPDDHLVTGLPGFHGSFPSNQYSGYVTVDEASERSLFYYLALSERDPAADPVVLWLNGGPGCSSFDGFVYENGPFNFEPGSTLLPRLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYISGESYAGVYIPTLADEVVRGIEKGVEPRINFKGYLIGNAFTDVDYDFNSFVPFAHGMGLISTDMFEDVKASCHGTFFGDVDNLCQEKIERVHWELKDLNKYNILAPCYHHPEIQEVEFANSSLPLSFRRLGETDRSFPVRKRMAGRSWPLRLALRGGRVPMWPGLGGRSLPCTSDELATTWLDDEGVRAAIHAKSWRP >PAN37476 pep chromosome:PHallii_v3.1:7:27521169:27533996:1 gene:PAHAL_7G095800 transcript:PAN37476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNFSRSLMSPPVVKTEAAEERGPLPRAPAAGGNGGVPSAAPAAVIDLSSSDSDSDGEGGGGSGKRVRGAVGDGSAGKRARVSAAIAVPRGFLEPIPPPPPVVPAAFATKQFWKAGDYDGKPLGDGVPQPSASGMDHVRVHPRFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENSKDSDKEKSRMLLVEDDGGGMDPDKMRQCMSLGYSVKSKIASTIGQYGNGFKTSTMRLGADVLVFSRSRGKSGKRPTQSIGMLSYTFLRSTGKEDILVPMIDYEYKQGWERMVRTTFHDWNTSLQTIITWSPYSTEAELLEQFSLMKEQGTRIIIYNLWEDDQGDLELDFDADVHDIQLRGGNRDEKNIQMAKQFPNSKHYLTYRHSLRSYASILYLRLPNYFQMILRGKEIEHHNIVTDMMLKKEVTYRPVAPNGHPKDSSMVADVTIGFVKDAKHHIDVQGFNVYHQNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLETRLVQMQKDYWSGNAHRIGYVAPRPGRNSEAGEEGENSPENTASAHPSPYHSGKGYTHSKVFHNSKKSGKAGTSFGIQQRAEKSAKTKRSTKSILHGLPDSDSSDSEFVGTPSSRSRSHTLNTNQKSFQNGSIGLTTPSSGLTERERVRTKSQSMDPNGTSNGGLHAIDEYEIVIKKLRDENSSLKERLSGVEESMSQELVMERDKIKSLTERVEDLQRQLESATKEQEALIDIFSEERNRRDQEEESLRKKLKDASATIQDLLEQLNAARKGRKV >PAN39856 pep chromosome:PHallii_v3.1:7:43123716:43125642:-1 gene:PAHAL_7G274700 transcript:PAN39856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRAPCCAKVGLNKGSWTPEEDMRLIAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVSPAGEERGAGSKKKKKKAAGAGEPAAPSPSPSSSTTTATTNCSSGDSGEQQSDASKVPDELGLEELEMIPMLDDPAFDFDMLVDAAPEPYCPAASVSVPTSPCASSTSPPAPARPGVDELLDLPEIDIDHELWSIIDGDGGGACTVVSAAGTPAPCQSNATEPNAASTTSQGAEWWLEDLEKELGLWGPIEDYQYPVGPQGPIAHPGTLPAMVDDPVSCYFQAGPAPATLQQDPAYSAVVTSSNQLGF >PVH35105 pep chromosome:PHallii_v3.1:7:30011446:30014818:-1 gene:PAHAL_7G106200 transcript:PVH35105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQLKVLMPPSFHKLPISDELAGCFDASSGGGTGVPGPTALVVSPFGKVWRVDVGRDGDGKFLGRGWADFLAAHGIDRLMTSGSSKGVYCKPRFLTIIQQDSMEEMKIPARFVKHYITEECLNRQMAVVVSPLGKFWRIKLQNDQSGVFFAGVWSQFLAFHGISEGEVLLLRYEGNMVFKFKVFEISGCQKDLKNHNTSIQQDCIKQESPSPIKKRKADNERASSDEEKRSKSSVTSLNNASPLNGLDYQIGPPAWVKKKITAYMLERLISLPVKFCHSIGFQRDCTITLKTAIDSTRSWQVRGVTYKKVCYLLGEGWKCFCEENRLKKGDLCTFHVIETMLWHVVITHYIDKKKESPCSSSMERKSVNQRLGSEEQLRPQGSMIYLSKASSYTRPLIFSLTIFACYFGESDHELIYLICAKDRCIPEDTNQYIVVLAQGFCDAIELQEPCMITLKTSINSTRSWLIRGTKQRNGCYLLGSGRKKFSQENELKVGDICTFNVVEISLWHVVITRA >PAN38197 pep chromosome:PHallii_v3.1:7:32661318:32662556:-1 gene:PAHAL_7G125400 transcript:PAN38197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRIPTTSPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVVLHCPLPRRVVR >PAN38032 pep chromosome:PHallii_v3.1:7:34341293:34342808:1 gene:PAHAL_7G141700 transcript:PAN38032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNRKSSRGLDLKLNLSLPATGDSSRRTMADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVLLDFLQDNNSKNNSNSNSSRRSRRG >PVH35015 pep chromosome:PHallii_v3.1:7:25311518:25313164:-1 gene:PAHAL_7G086000 transcript:PVH35015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKNKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKEHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN37693 pep chromosome:PHallii_v3.1:7:31523412:31526525:-1 gene:PAHAL_7G114900 transcript:PAN37693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSEEGFDEEEQPSLRRRRGARPRSPTGGRPSPDPGAQASGSRSPSPPRGAPTPARPRSPSPPRVGIVATRLGSSVRTTRRSSPPPRTPAADAAPGGGGGEDTGVEEIIAKMREGLPAPAAAQDDAETSAAGSSGSGGGGGGEADEEEDGPGGFIGFSFQELEAPPGVDGAEVLDAFAGSEEARKAKAAAEFLEATMGANTGPRTEAIKMELVANGRVLDLEGLERWMRRTEAVSELEWFTGLCCDEANPVPQIELFECVFRALGNASSGELHHGADARRRWIGSVVVPQFVVCPISNKVMENPVVIASGKTVDRSALEEWWKEHRRICPITGEVLTHAMFIPNILIKLCIERWRAANKIPGVTAAADPPAISPEVEALFMQVTLMPHSPRSSKEVRDALFLLHDLLNEESSAVHLIGSHPGTIAKLASVLQETCLDPDPELDDIIVGVMAKAASYGPNKAVFGDDWYAIPVLIARALLGPVPMRARCAHILGLLADDYYNKIKIGELGGFAPLIELLRVGDKGVKTTAARAIASLCEARENRSRFQREGVVDAAISALRSDGLMVEAEAILLQTTDSYHDVEEVIFKLRAFQGDEMCQKMATRLWRTFVLTNPEDKRDVVPSMPASKEIWEEAWTSEAERSSASSEGSAANEKALRKQIKQDVKLIVSWLQKRCYFPRTYRYRD >PAN38395 pep chromosome:PHallii_v3.1:7:36430704:36434618:-1 gene:PAHAL_7G169100 transcript:PAN38395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHCNLLVAIAAVVLFLAVGTEASGGIGFNLHHRFSPVVRQWMEARGHAPGSSWLLPDDAPKGSREYYSALLRHDRAVFARRRGLANADGQSTLTFADGNATRLDTYEYLHYAEVEVGTPSSKFLVALDTGSDLFWLPCECKLCAKTGNTTTGLPYSPSQSSTSKTVPCGHPLCERPDACGAVGTGNSSSCPYEVKYVSVNTGSSGVLVQDVLHLVDGGGKAVQAPIVFGCGQVQTGHFLRGAADGGLMGLGMDKVSVPSALASSGLVESDSFSMCFSRDGVGRINFGDAGSPDQAETPFIAAGSLQHPYYNISVGAITVDSKAMAVEFTAIVDSGTSFTYLNDPAYTLLTTNFNSRVSEARDTYGSGYEKFEYCYRLSSGQTSMRRLPAMSLTTKGGAVFPMTWPIIPVLASTNGGPYHPIGYCLGVIKNRISSTDDATIGQNFMTGLKVVFDRRRSVLGWEKFDCYKDAKMQDGGSPDTSLGSPAGDDSTPGSPIGDYVPYVPLPWENNATDGPYYPGGVPLTWPARSGSGSSSSRASFGVLLSLILLHVLVVISVAW >PVH35237 pep chromosome:PHallii_v3.1:7:33641472:33644235:-1 gene:PAHAL_7G133700 transcript:PVH35237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITRDVRIRKHGPFDHVIEVDMKQAAATLKIWFDINDMLHIKVAEQLGVLEHTKYAMDMVDTELRYYTYDVEKQQDERVSPLDAKYNIRPIIMRELFTKRYLLVVQDLDRPMKPIELNHMTEDLWIPAPLWTRCFWILSTTSQDVYDRSKPDYDCVVESFAGDDILILTLHSLKQAAKYISTAVGHGEEKYWHHVALQCFHYATMLLLIPHSSNVDPSECDAQADVSSDVLIRQWAAQGILPVIKPSVQERIMGEDTDGHHCQYYGDDIYQVGNIILEAFREYSLLQLPFSPATEDDGAIISAACFLAYHSLVVEPLTSDELCEGNHSQLEHMQCISHVGDQGWHVSRDWWSQGSSGPTTLTIRHCSQQSRLFMKLESDDFLAKLPCLRVLDISYTPIESLPPSICCLQKLQLLSLRGCYNLRSPFSFPDTEITLCENNTNKKLNLLYLDLSYSNINTFQCDFFHKIPKELLLVKCSNLEELPPSALALSSLTKLELIDNKKLISFSRLTEIALDGHGNLNSFSLVGTPHIKRLSLHGCSKLESVDIKEVDALEELDLSATAIKELPDNIPNLPKLKCLLLRGVPSLRRFPWHKLRRLPDVFCLDQCSDGTVNHHYSPEAAQVCISDSRLFYSFNDATRNLVIRRGRLLKSFYVQVTSCKATTREIQDGEDMVKTNKLHASLAAYANVHRGYLTDGVSMMSMDDVPPFRETERHVEISAVERYQHGLKHLLEVIKSISMSDDTHVSGLNDLSGLEMLEECKLQRCHRMMLVFDKVYTYLLGQSLKNAHAKSRDARHPLLLQSQGNFLLQLPFLYFELPCLRRIHLQELPLLEHLRDEDVVLTAPAWEELHVRGCWSLRRLPRLDQRPGKKAVTVSGERAWWTKLRWDRHNSHRGSYEPRLPPASASIRERVVIKTYLR >PAN40366 pep chromosome:PHallii_v3.1:7:45282690:45293562:1 gene:PAHAL_7G309800 transcript:PAN40366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQKDGGQSPNLSDSEPELMVIDDDGEEKFHNMDEGSNDSPIHVDEGQSSMDVDVKGKTSLDDESRDDDVNGKSSSDPCSNVPIDVSVESLEKFCKEASRSFFDEIGLISHQINSYNEFVSHGLQELFDSLGEVIVDPGYDPSKKVSGSWKHAIIKFGRVKLEKPVFLSGKDEVDIDLKPRHARLQNMTYASKIKVEVTIQVYSLEKSDKSKTGNDGFVQKRDFMNETHWIYIGRLPVMVKSDLCLLHSHKESDCLFDAGGYFLVKGMEKVFIAQEQRCLSRLWIADRPCWTVSFMSEIKRRRIYIKLVESTKSEDFSGSKIISISFLYATMPIWLMFFALGISSDKEAFDVIDMQDCDASVVNTISATIKESDGLCEGFRKSDKARQYVDKLVKNSRFPPAESFDDYVDKFLFPDISGYRNKALFLGYMVKCLLMAFIGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERRMIKAIQRDLNSDRELQDLARYLDASIVTNGLNRAFSTGSWCHPYKRNERCSGIVATLRRTNPLQMMSDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTAIVSSRVVQPLIESFISCGMSKLNEIPTESIQRMDKIFLNGNWVGSCTDSASFVLRLRCMRRSSLIDPQVEIKRDKHQKEVRVFSDAGRLLRPLLVVENLNKIRKPKGRQYSFQELMQQEIIEFIGVEEEEDIQCAWGIRHLFGSEGEISSYTHCELDPSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCLGRSDYTIGRRDDFSRPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHLRSYKADVENKDGTKRLKKEKIDFAKMESKRGCVDNLDDDGLPYIGASLQTNDIIIGKVSESGEDHSIKLKHTEKGMVQKVLLSANDEGKNFAVVTLRQVRTPCLGDKFSSMHGQKGVVGFLESQENFPFTHEGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASVDVIAEQLHKFGFSKWGAESVINGRTGERMQSLVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICQTCERVANVIMRCVPGGKKIRGPYCGFCKSSENIVRINVPYGAKLLYQELFSMGICLKFETEVC >PAN40369 pep chromosome:PHallii_v3.1:7:45287537:45293822:1 gene:PAHAL_7G309800 transcript:PAN40369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIKRRRIYIKLVESTKSEDFSGSKIISISFLYATMPIWLMFFALGISSDKEAFDVIDMQDCDASVVNTISATIKESDGLCEGFRKSDKARQYVDKLVKNSRFPPAESFDDYVDKFLFPDISGYRNKALFLGYMVKCLLMAFIGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERRMIKAIQRDLNSDRELQDLARYLDASIVTNGLNRAFSTGSWCHPYKRNERCSGIVATLRRTNPLQMMSDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTAIVSSRVVQPLIESFISCGMSKLNEIPTESIQRMDKIFLNGNWVGSCTDSASFVLRLRCMRRSSLIDPQVEIKRDKHQKEVRVFSDAGRLLRPLLVVENLNKIRKPKGRQYSFQELMQQEIIEFIGVEEEEDIQCAWGIRHLFGSEGEISSYTHCELDPSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCLGRSDYTIGRRDDFSRPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHLRSYKADVENKDGTKRLKKEKIDFAKMESKRGCVDNLDDDGLPYIGASLQTNDIIIGKVSESGEDHSIKLKHTEKGMVQKVLLSANDEGKNFAVVTLRQVRTPCLGDKFSSMHGQKGVVGFLESQENFPFTHEGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASVDVIAEQLHKFGFSKWGAESVINGRTGERMQSLVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICQTCERVANVIMRCVPGGKKIRGPYCGFCKSSENIVRINVPYGAKLLYQELFSMGICLKFETEVC >PAN40365 pep chromosome:PHallii_v3.1:7:45282689:45293590:1 gene:PAHAL_7G309800 transcript:PAN40365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQKDGGQSPNLSDSEPELMVIDDDGEEKFHNMDEGSNDSPIHVDEGQSSMDVDVKGKTSLDDESRDDDVNGKSSSDPCSNVPIDELFDSLGEVIVDPGYDPSKKVSGSWKHAIIKFGRVKLEKPVFLSGKDEVDIDLKPRHARLQNMTYASKIKVEVTIQVYSLEKSDKSKTGNDGFVQKRDFMNETHWIYIGRLPVMVKSDLCLLHSHKESDCLFDAGGYFLVKGMEKVFIAQEQRCLSRLWIADRPCWTVSFMSEIKRRRIYIKLVESTKSEDFSGSKIISISFLYATMPIWLMFFALGISSDKEAFDVIDMQDCDASVVNTISATIKESDGLCEGFRKSDKARQYVDKLVKNSRFPPAESFDDYVDKFLFPDISGYRNKALFLGYMVKCLLMAFIGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERRMIKAIQRDLNSDRELQDLARYLDASIVTNGLNRAFSTGSWCHPYKRNERCSGIVATLRRTNPLQMMSDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTAIVSSRVVQPLIESFISCGMSKLNEIPTESIQRMDKIFLNGNWVGSCTDSASFVLRLRCMRRSSLIDPQVEIKRDKHQKEVRVFSDAGRLLRPLLVVENLNKIRKPKGRQYSFQELMQQEIIEFIGVEEEEDIQCAWGIRHLFGSEGEISSYTHCELDPSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCLGRSDYTIGRRDDFSRPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHLRSYKADVENKDGTKRLKKEKIDFAKMESKRGCVDNLDDDGLPYIGASLQTNDIIIGKVSESGEDHSIKLKHTEKGMVQKVLLSANDEGKNFAVVTLRQVRTPCLGDKFSSMHGQKGVVGFLESQENFPFTHEGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASVDVIAEQLHKFGFSKWGAESVINGRTGERMQSLVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICQTCERVANVIMRCVPGGKKIRGPYCGFCKSSENIVRINVPYGAKLLYQELFSMGICLKFETEVC >PAN37166 pep chromosome:PHallii_v3.1:7:20137899:20141340:-1 gene:PAHAL_7G066300 transcript:PAN37166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLASVRLLPLAPSRATAPTSSPLSVTRTRRRALPLPRVRCCASRTSHRAAAASEATPSWAGELASSVPWKAAVSGALALAVCFTCFVGSANARTGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDERTIVFVADPTFGNIINFNIGPLVDLDVPRSFWSQVSGKYGNMFYWKEKGEDASIEAAVTAISRCLRDPTGTNNCSEVL >PAN38650 pep chromosome:PHallii_v3.1:7:37514188:37517478:1 gene:PAHAL_7G187000 transcript:PAN38650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAVGTSLPLFLLLVSTLIAASASPSSAAEAQAPQELLLDFKASLRDPSGALSGWSRSAPYCNWPHVACTSAAAAANAAVSVSLSLQGLGLSGELSAASLCRVPGLVALSLASNGFNQTIPLDLARCASLASLNLSAGAFWGPLPEQLAALPALVSLDLSGNSFEGQVPAELAALGSLEVLDLGGNRLSGVLHPALFRNLTSLHLLDLSGNQFLESELPPEIGRMSSLRWLYLQGSGFTGAIPESFLGLEQLQVLDLSMNSLTGAVPPGFGLKLQKLMALDLSQNGLSGPFPEEIGKCSMLQRFEVHDNAFTGELPGGLWSLPDLRVIRAQNNRFTGRLPEFPSGQSRLEQVQLDNNSFSGGIPQSIGQVRTLYRFSASLNELNGSLPENICDSPEMSIINISRNSLSGTIPEFRSCRRLVSLYLAGNGFTGPIPASLGDLPVLTYIDMSSNDLTGGVPAELQNLKLALLNVSYNNLSGRVPPSLISELPAVFLQGNPGLCGPGLPNDCDAPLRKHQALALAATVASFLTGVALLAVGAFAVCRRLHGSEPSPWKLVLFHPVKITGEELLAGFHDKSIIGRGAFGKVYLIELQDGQNIAVKRLVNSGKLPFRAVKNEMKALAKVRHKNIAKMLGFCYSDGEVSIMYDYLQMGSMQDLICAHQKFTMAWKDRVRIAMGVALGLAHLHHDHTPQVLHRDLKASNVLLDDEFEPRIAGFGVDRVVGEMAYQTSMASDLNYKCYVAPEQSRAKNPTHLMDVHSFGVILLELVTGKPAEQPASDDSVDIVRWVRRRINVADGASQILDPSISRTAQQGMQAALELALRCTSVMPNQRPAMDEVVRSLQQLCFSVHPQTPPQPTEIVLEP >PAN37629 pep chromosome:PHallii_v3.1:7:29044384:29045592:-1 gene:PAHAL_7G100800 transcript:PAN37629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKVHEHETDVPASDLWAIYGTLRAAELLPELLPHVLAKVEVISGDGGVGTILQLTFPPGIPGLESYKEKFTKVDNENYIKEAQAIGGDILKLGFLSYMVRFEVIAKGPDLSVIRSTVEYEIDDAHPELEAMVSTAPLAATAEKFSEHAKEKKVIITQAAS >PAN37596 pep chromosome:PHallii_v3.1:7:29487098:29490160:1 gene:PAHAL_7G102700 transcript:PAN37596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHAFSLSRAAPTPARPLRPRRPAPEPSARRPRTAPPARRPRAAPALPTSPHLHSRRLALPTPRPATLSAQAATHLRKAGAGRLQQLHAASCCNNSAPAAGTSGGSATGAKDWRFFLAWYLMSLDKNPIATKAVTAAVLTLAGDLICQLRPPPDSDMGEGGEEKSFNFLQVLLEGSIAGGTAGVVVEQHCTQLTQ >PVH35081 pep chromosome:PHallii_v3.1:7:29487098:29490160:1 gene:PAHAL_7G102700 transcript:PVH35081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHAFSLSRAAPTPARPLRPRRPAPEPSARRPRTAPPARRPRAAPALPTSPHLHSRRLALPTPRPATLSAQAATHLRKAGAGRLQQLHAASCCNNSAPAAGTSGGSATGAKDWRFFLAWYLMSLDKNPIATKAVTAAVLTLAGDLICQLRPPPDSDMGEGGEEKSFNFLQVLLGCSRWKPN >PAN37597 pep chromosome:PHallii_v3.1:7:29487098:29490160:1 gene:PAHAL_7G102700 transcript:PAN37597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHAFSLSRAAPTPARPLRPRRPAPEPSARRPRTAPPARRPRAAPALPTSPHLHSRRLALPTPRPATLSAQAATHLRKAGAGRLQQLHAASCCNNSAPAAGTSGGSATGAKDWRFFLAWYLMSLDKNPIATKAVTAAVLTLAGDLICQRAA >PVH34792 pep chromosome:PHallii_v3.1:7:8224449:8227080:-1 gene:PAHAL_7G033100 transcript:PVH34792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRARLKELGIPDTYNELVNANSILNNKKKPTFRNSEDSESEYLPSEEDLVDDDSVKGSKGSNMRTTNMRSAGIMQRSKRVFAEQESIRITRSKKTTSQPDATLSPTDIHVPSPTLSRVNQASEVFGNIDGHTQAIFVENTDIANRGHATAQPDGHNLMGNQDIESTQVDDSMANRGEAITEPSVDVQLGENDRWERGVNMGHGLHRLNRAMRGKLPVVIPEGRIRPVAPLAAAKFATECNIAVRNHVPVYKHWKDYKDQASLFNLFTDKLSAKFDINTNDEPVKKACSQMMKIAVRQQRYKLKKKYFDPFPLHLVTKTSPIRSMTDKQWNDLVEYWKSPKKMEISQKNKDNRSKVKFHQTTGSRSYMVHVENLEDKDNGQKFDALDLFKECHYSRKKKCYTPNVQQAITQMENKCSTLTEGEESMSVTEVVANVLAENTKKNVFLQNVGIQNVGCRSSLRNIEAQLEVEKRANSDLRSVVTAQREQLDVLLKQMQETEESRIREQEEVKKRQAEMEAKLQLLLSQVHPS >PAN38368 pep chromosome:PHallii_v3.1:7:36309717:36310970:1 gene:PAHAL_7G167100 transcript:PAN38368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRRSSPVLPLLLLLSPPGTLAGGDGHPPSTPIVTHITKDSATLLYTIPVKNGAPLVLDLAGPLVWSVCPPSHARIPCTSSVCAVANRNRPAGCDYAGTGQRLRLHRVPRTTRPAASAAAATSPPRRCPSTPRTAGPRCSRCPSPRTRRAHARRAPPRGRRGRGGPVEGAAVAAVPGRVQAEGRQAKSSRSASPAGARPARPSSAAARSRSWPPRPWSSRRASARTRSRSRSSPTQERRLILPRARVPVPAGALDLDGRRGTGGVTLSTVTPYTALRPDVYRPLHDAFDAATRGIPRAPPVQPFRMCYRASAFGSTRAGPGVASIDLMLHGGRNWTLPGASSLVQVDGQTLCFGFVEMDPAAAAVPGTPAVVVGGFQMQDNLLLFDLEKGTLGISGLLLGMRTHCGNFNFTMGSS >PVH34956 pep chromosome:PHallii_v3.1:7:22425299:22425526:-1 gene:PAHAL_7G075500 transcript:PVH34956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNHSGATYPRVPRIPVFVCVWFVRRSLAKPKSEIFAVKLPSRRMFWGFMSQWIICVLHSSCKYSSPLATPRAI >PAN39423 pep chromosome:PHallii_v3.1:7:40972839:40974266:1 gene:PAHAL_7G241500 transcript:PAN39423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAADSDGFALDFIREHLLDGGCGGVPVPVGSTGVPDDVTFPMLQPQPEFQPMSSFLPPPLQQGYTHLTHEYKGAAPAAAAAASRAQYELSATVMIKFGSEPSSPVRPALTITVPPSSYAWAESVTAAAAVDDDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRGADLWAPPQPPAATQAAPANKRKRQQEERDVEVLAVINKAVKIEMPSSSSTWPSSMSTRETTASASSTVTSTTTEAAGAGADWLPVTPSSGSWDQYWDLGGLPPLSPHPAMGFPQLTVN >PAN38594 pep chromosome:PHallii_v3.1:7:37291046:37295930:-1 gene:PAHAL_7G183500 transcript:PAN38594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MTFLTYGPYTYTGLQSIIHNQAQPPAARLRPSSPATATMQGRLRRLLPAPPPRALLFRSLSSSPPPKGGGDGGSGVPVKQVTRGNLAEVLEELRGRVREATFVGLDLEMSGVTSAPWRDTFELDRDDVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFFIFPRKELSDCPSHEFLCQTTSIDFLAKYQFDFNTCFREGISYLSRAQEEEALQKLNLLHQDQTSASSTASEGDGDMPLERAADILFTERMKLNFKEWRDVIVSKPDSHLLGNTKCAAGQFQTVFFKMRPAIMLNGFSSHQLQLIEQVLRKNFRDLVYVCTFGEDDTSEKRVVYSDTDEDKILLMKDVQEDLLKSREARVKSAIGIRHVIDLLSSERKLIVGHSCILDIAQVYSKFVGPLPSSIKEFALSIHKIFPHIADTRHLMSVNQAVQKLMKQKSKSLSSAFSFLCPASPSYAMKPSSLSPVKIEVEGDETKSSCFISGAKHEAGYDAYMTGCVFAQLCTYLDIEFDQLSPQDNLATNNKLQKHINLLSPSWNSGTVIDLSSGMERPEPGYMRRYPAPVYDNIVLIWGFMSKVRPKEIKDCICKVFGLGSVISVFSIDSTAVLVQFKKPESVNDFLDLKAVLERTDSAISILHPLSTILEGGQTRAAKYDTYRDICSSSESKYLFADQAEAVCATSENQLQENVDDNLISGVHQSILDGTVLTSVNKGDGTKSGSKNEGDADITCQHILDALHDGRALIGRRMRS >PVH35113 pep chromosome:PHallii_v3.1:7:30353491:30355130:1 gene:PAHAL_7G107600 transcript:PVH35113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDRGVAKFNFNCGQYGVALSNGVFTCGALHRSTQESCGHVLCYRCVQHHEEDFKHVIFRARYLDDIIASDTFVSFNEGCGHDISTPHYTQHQMVCPYKRLRCPMCSQWFTSIALSSHPLRRYQRYQFNYCQLEYGALVTGNDISKWGGCVFRGRGEDFVFFIAGISFYFL >PVH35020 pep chromosome:PHallii_v3.1:7:25943893:25947288:1 gene:PAHAL_7G087800 transcript:PVH35020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSSCTAGGRGALQPTPPNLVIRQVFLSGALQEKKCVFAMVHFKNRYMVMEVFIDAGRGKQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKLAAGDRVTPEIIQSVQSCFEKIRGLES >PVH35019 pep chromosome:PHallii_v3.1:7:25943898:25947288:1 gene:PAHAL_7G087800 transcript:PVH35019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFKNRYMVMEVFIDAGRGKQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKLAAGDRVTPEIIQSVQSCFEKIRGLES >PVH35022 pep chromosome:PHallii_v3.1:7:25943898:25947288:1 gene:PAHAL_7G087800 transcript:PVH35022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFKNRYMVMEVFIDAGRGKQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKLAAGDRVTPEIIQSVQSCFEKIRGLES >PVH35021 pep chromosome:PHallii_v3.1:7:25943466:25947288:1 gene:PAHAL_7G087800 transcript:PVH35021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFKNRYMVMEVFIDAGRGKQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKLAAGDRVTPEIIQSVQSCFEKIRGLES >PVH35023 pep chromosome:PHallii_v3.1:7:25944837:25947288:1 gene:PAHAL_7G087800 transcript:PVH35023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSITGLSSGAGALQEKKCVFAMVHFKNRYMVMEVFIDAGRGKQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKLAAGDRVTPEIIQSVQSCFEKIRGLES >PAN38164 pep chromosome:PHallii_v3.1:7:32539084:32547681:1 gene:PAHAL_7G124000 transcript:PAN38164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSFDINELREEARTRWLKPSEVYYILQNHKRFPITHEAPKKPPSGSLFLYNRRVHRFFRRDGHTWRRKKDGRTVREAHERLKVGNVDALCCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVAEGRYYSSQLSNGPPESLSSLGYPHAIYGNQYLSSTSGTSEGSESHQSYSNLSSVTEVSSYSGNKEYNKDGGSLLSIPELGQTCLEQTTEVYRADNDNSKNKSGLNVALKKIAEQLSLGDDEDDYIYTNQVQPLGFATNIEATDKKDDNQIGLGRNIAPSWEDVLHSSSGLPTPSIYQVEKSQSSFYEDLQAWFAMENRFGEGLGHHACMQQVGPKLLGRNDMRQMIMEKLLLDRNGGRNCTVICINAGSGHGKTSLLHALYNDQVLTDTFDKSIWIQLSAKSDMLMLFKKIVEVAMNDHCSIANLGCLQEMVKEEISDKKFLLFLDDADIEDRQFWSTVLEVFNAGAKGSAVIMATMSDTVSTFRDVATHFLLLNPLSEESNLMLLQQCAAVGTDIQSNPDLLMVAHRIISRFGGNPLYLKAIGGLLCHADSSLEIDKFEGNGMPLQLCHDVLPIHLKKCLAFCSLFPHGYIFHKHHMVPQWISHGCVRPAEGCELEDAGIGYFNELLCRSFFQYSPVHNDRFVMHEIIYKVVESVSLDKYFKSEDPTSSIPENILHLSLVSSQFQTIELMYRTEELKVLQTFLVVQPEWQPCKISFPTLKLVGLDDFFLKFTSLETLDLSHTDTEELPGSIVGLRNLQYLSVNSTSIRALPSELCCLSNLQTLEAKDCRFLTELPGDTKKLIKLRHLDVTKDLGYVQLPHGVAQLTELRTLPVFHASSDPSHCCVSELGNLHNLRGCLQLSGLESVKTGSKAQEANLKDKYHLKDLTLQWHGGGINVDDEDDDEDTEDVAEQVLESLQPHTNLQELTIRGYEGSAFPDWMQGSSSLPSLVTLTLDGCCNCTRFPTIAQLPSLKFLSVRKMYDVKRLTSNTHGTTKFPSLELLNLWEMYGLEELFEASEGDCPRLRKVCISRCPDLKRLPCAPSLTELVLHCGHQLPDIPELASLVSLKIEGFHGVKSFSLPASAALPMLKKLEIRSCKELSSVEGPSALTTVQRLKVAGCPKLVLPRADSLRT >PAN40842 pep chromosome:PHallii_v3.1:7:47610868:47617421:-1 gene:PAHAL_7G345700 transcript:PAN40842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSSNKEKTLKLASVSRILSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEAWFTGLSALVSPGQHGSQAQHIDGIRNAGHSFDCARESSLSSSSTFTSDSLENKLSSANSTKDRSSGEYTYSERTDVSDMQVKSVSSSDIRISVSSALSTSSHGSGGEDSESFGDIYVWGEVICDTASRSGLDRSPCSPGATTDVLVPKPLESNVMLDVSYIACGVKHAALVTRQAEVFTWGEECSGRLGHGVGTNVFQPRLVESLSICNVELIACGEFHTCAVTATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLDGLQVSTVSCGTWHTALITSSGQLYTFGDGTFGVLGHGNRESCSYPKEVESLKGLRTISVSCGVWHTAAVVEVIISQSNASSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHRAACGHTLTIGLTTSGHIFTVGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGSYHVAVLTNAGEVYTWGKGANGRLGHGDIADRKVPTVVEALRDRSVKRVACGSSFTAAICQHKWVSGMEQSQCSACRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYVKLSKVLDTGVSYSRNTIPRLPGDTKAEKIDTKAAKVAPPNSSDMIRNLDVKAAKQTKKSDYSSHVPAALQLKDIPFISAPDLHNSSTMANQYPYDSRSTLPFLRMPYLNYSSSLSSESLESLRDANELLKQEVQKLQAEVNSLRQEREQQDAELQKSQAKTHEAMTLATEEASKLKTAKDVIKSLTAQLKEMSERLPPGACDVKNGRMMGALPPEIGRENQIRYDPGSILYPQTPTSVASARFGGLPAQVHQANDYNETVMVPQEGRGEHLNGFREVSSVQQRANGGTIGYRHRPDDHDRKETDRFQINLSNFTMRSSGSPNNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWDDNREKVYDKYNVCGADRLSSVMTT >PAN38589 pep chromosome:PHallii_v3.1:7:37254538:37263268:-1 gene:PAHAL_7G183000 transcript:PAN38589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPKGGAAASSSSSKKPKPKQKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKTIVLPEQSMASERAGMAVNKRGLTLRELLQQTAHYNANVRRAALNGIKDIVVKHPTELKLHKVAIIEKLQERICDTDKVVRESLYNILQSLIFPSLKEDNAISTRSTLFLLMANILNGMTHLSIDIQLMAFRFLELVVLNFPSSFSSYAEQAFNNFVAVLSNDRINLHDRNKLNSVLSGLGHCLSLVAKTIENDDISNRQVHDLSARELWKFTADEDNSVGRAFAMPNLLVKLQSLVQILINSVEVSASELCAKSAIDASSSEALLSALHCLDIICRIFIHVVKKPQLNFSISKAQFGPDWLRSSLLIHLKNLWGVKRLFHEKGDDKFFIFNLKIAEIFLCLSAWIDSTMFPAEEFCHFVSSLFAKAKTLRNKDLMEMYLSPIITCIPGLIFNAPDDSKGYLLEAFTDAFRGCKVDCKLILPYLDAVGEMLLPEKTGTWFAENGSGVLGYYDAWIHELPRILLQSIDKAPSVTKVVLELLLRIGQYFPTMDCENLRPFIKLFGVESSSGAVELGPFVNLSRDCQELATSCLYYFPSLLPDIIRPLASCCLSDALEPLILFRVVEVLQSTYKAGSLQITEQLSFLLLLMARFRVHPGGLVTPGNPSKVSNWDTFKSLNHLILTSLSEMGDGSLVLELMWNSLSNAIAQKPSMHNMNGLFRIIVTLDAGTNKLMNEDAIKLIAGYLVDASLDLSKTIEVGFQPDKTRLFQYFIKPCTIMFDKNDKVLCSTLEMLKSFITGDDHLLSSLSNLNYPGELSCRVCVVTTILIFLCNDRKLHRNLSFSKSVIKGILDYIRHQLDSSGPNVTYEEKQKLRSAFEQLKTKSLQLNCWDRSELEGLSITR >PAN38477 pep chromosome:PHallii_v3.1:7:36811417:36813160:1 gene:PAHAL_7G174700 transcript:PAN38477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGSCLPIIQTKSPTRRRPAKLPQSVNVWWGRPPCPHIVPPPGRPPADPPTTRSGAVTVSLLTTSHQLPPLRSEPPGLHPAMAKLAPCLLLVFFFAAAAYLAAAALTDVSAALSSDPENKCVYTVYVRTGSIWKGGTDSTIGVTLLGADGSGIRIADLERWGGLMGAGHDYYERGNLDIFSGRGPCMRRAPCAMNLTSDGTGPHHGWYCNYVEVTATGPHLGCAQRLFTVEQWLATDAPPYRLYSTVDKCSEKKRREDAEATAATAAAL >PVH35706 pep chromosome:PHallii_v3.1:7:41521275:41521975:1 gene:PAHAL_7G250900 transcript:PVH35706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSTDDSYDNISATPHELDKLKEALSEIQLLKKEVQEECNERRNAERELHSALQKTKELEKSCRNELRQQKVFQEMLEKQRHEIDVMRREQEEAYAALYNANEQKVTLEQGISEIQLYVKDNEDKLATNKHQLEVIQADYDRLQHERDAAIREVAELQERTGREFCHHLKH >PAN38423 pep chromosome:PHallii_v3.1:7:36568731:36570614:-1 gene:PAHAL_7G171200 transcript:PAN38423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHRRALLPYSGSGAGDCDDGYGCAPAPTVPSTPYAGPAPSPAPASSPVPTCPSVASPPSPPPAHSGRRNQGGGMHGYGPPPPGVSFGGDHRWHYVRYVLIAAGVIAFVSLILLGVSVAVRRRQVRRRRQALLAHAAPPPPPGAGNGGGDPEDGGGGGVVHHVWYIRTVGLDEAAINSIAATPYRAGAGLLGAVDCSVCLGEFQDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVLDPAVTAGAGDSGGGEAETSSNPPADPDANANVEAEQQAAAASDAVPDHGQEESDHQEAAASPAQEDQQGQHSSPEPPPPPPQQQLFCPPPRNVRRAASMDASIASTAAEADPAALERLPEAAPEEEQIGGRRKRSCVKASGSGHRSNLSTDRPAAGGVPRSFFSLHSRARSSVLPL >PAN37238 pep chromosome:PHallii_v3.1:7:6810346:6811026:1 gene:PAHAL_7G029200 transcript:PAN37238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSATVVLLLLITMMCTHASSHGTEAEETTLLAKSYATNDGIGSASGMTLGRKLMAGSTIIDSASISTTDSNHMMSVQQYRDFMGQFGRHP >PAN38086 pep chromosome:PHallii_v3.1:7:34795232:34796795:-1 gene:PAHAL_7G145200 transcript:PAN38086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCDTLQNQPGLCGIVCPCIETRFWLPLHMPLVVLLQRHRQALQRRGLAHLLIKRLLKNFSLLSKLEMTVAAVTMVQMLLIRPAQIRMHEQSSSCSFCLHQLRPLLCFCASSMDLQAV >PAN38902 pep chromosome:PHallii_v3.1:7:38613659:38615205:1 gene:PAHAL_7G206100 transcript:PAN38902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDETSAGGAPAYWGLGARPCDACGAEAARLYCRADAAFLCAGCDARAHGAGSRHARVWLCEVCEHAPAAVTCRADAAALCASCDADIHSANPLARRHERQTVAPFYGALADAPKPFASSVAVPKAADDDGSNEAEAASWLLPEPDHGQKEGATTEVFFADSDPYLDLEFARSMDDIKAIGVQNGPAELDLTGAKLFYSDHSMNHSVSSSEAAVVPDTAAGAAPVVPVVSRGLEREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTPGPGADGEDPLEEHEEEMYSSAAAAVAALMAPGGADADYGVVPTY >PAN37866 pep chromosome:PHallii_v3.1:7:33061830:33074251:-1 gene:PAHAL_7G129000 transcript:PAN37866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFPEEVVEHIFSFLPSHSDRNTVSLVCKVWYEVERLSRRSVFVGNCYAVRPERVVLRFPNVRALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARSCVGLEELRMKRMVVSDENLELLARSFPRFKALALISCEGFSTDGLAAIASHCKLLRELDLQENDVEDHGPRWLSCFPDSCTSLVSLNFACIKGEVNSGALERLVARSPNLRSLRLNRSVSVDTLSKILVRTPNLEDLGTGNLTDESESYLRLTSALEKCKMLRSLSGFWDASPICVPFIYPLCHHLTGLNLSYTPTLDYSDLTKMLRRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGFSAVTEEGLVAISSGCPKLSSLLYFCHQMTNDALITIAKNCPNFIRFRLCILEPKKPDAITNQPLDEGFGAIVRECKGLRRLSISGLLTDKVFMYIGKYAKHLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDVALLGNVAKYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEIMNELDGSSEMEGNHGDLSRVDKLYVYRTTAGARDDAPNFVKIL >PAN39342 pep chromosome:PHallii_v3.1:7:40661496:40664445:1 gene:PAHAL_7G236400 transcript:PAN39342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPTSSTAQTKPPLCDICQERRGFLFCKEDRAILCRECDVPVHTASEMTRRHSRFLLTGVRLSSAPVDSPAASEEEDQEEENSGSPCNADSCSGAGATATASASDGSSISEYLTKTLPGWHVEDFLVDDASAGALGACSDDALYDYQGEQGQIGGLLQDAYTPWTGREQLLADVVVTADERASRERWVPQMHAEFAGSKRPPERRLPARTGETEKLAVFSAR >PAN39343 pep chromosome:PHallii_v3.1:7:40661496:40664445:1 gene:PAHAL_7G236400 transcript:PAN39343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPTSSTAQTKPPLCDICQERRGFLFCKEDRAILCRECDVPVHTASEMTRRHSRFLLTGVRLSSAPVDSPAASEEEDQEEENSGSPCNADSCSGAGATATASASDGSSISEYLTKTLPGWHVEDFLVDDASAGALGACSDDALYDYQQGEQGQIGGLLQDAYTPWTGREQLLADVVVTADERASRERWVPQMHAEFAGSKRPPERRLPARTGETEKLAVFSAR >PVH35588 pep chromosome:PHallii_v3.1:7:39542270:39544544:-1 gene:PAHAL_7G219600 transcript:PVH35588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFVEIGRVALVNYGKDYGRLVVIVDIVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWEKSSWGKKLIVQKRRASLNDFDRLKVMLAKIKRGGAIRQELAKLKKEVAAA >PVH35638 pep chromosome:PHallii_v3.1:7:40379417:40381056:1 gene:PAHAL_7G232500 transcript:PVH35638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILTARDEKRGSEAVEKLHESGLPDVQFHRLDVSDPSGAARLAEFIREKFGRLDILINNAGVIGASALIDTTTPIQEMLVGKSAMERLQWLLQRSTESYEEAEECLTINYFGTRYVTGALLPILLSSSDGRLINVSSNYGLLRYFSGENLKQELSDVDNLTVERLDEMSELFLKDYKNGQLKSNGWPDDSEYLAYKVSKALINGYTRIMSKKYPELRINSVHPGYCKTDINFDTGEYTAENGASCVVSVALLPEGGPTGVFFFRTEEAPFV >PAN38576 pep chromosome:PHallii_v3.1:7:37215665:37217467:-1 gene:PAHAL_7G182200 transcript:PAN38576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPALLSLTPAVSRHAHLLFSRCPTPRHIRLAPPAAAPSPGGSPGPGVFLSPRALSQLDELAAFRYEHVFPHGLLTVRALTRGPEDDAVAEALVRLLASSFSETVRWAPAQRYAQLLTFVIRRYLHERRGLAPHAAVLVGFYRPADAAGATEDDVDEGSEDGAGKDEGEMACTAEVSFDAVGAPGAPPTPTPPLDFPYICNMTVKTALRRRGIGKQLLKACEDLVIKMDAKRRVYLHCRIIDQVPFNMYRKSGYNIVETDSILVWLSLQKRKYLMSKELPQASVVESSTKDFDDNILTR >PAN38751 pep chromosome:PHallii_v3.1:7:38005120:38011396:-1 gene:PAHAL_7G194900 transcript:PAN38751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPSPRPPRAHRDGGDAPISLFLETDLGTRLAILVAPDTTIRGLKSQVNAEHAAAFPDLGPVAVKSFQVRRRGVLYHLSDSMTVTSAFTKIKGGCFLYVKMAEAAAATLCRKDDVAKPSNQSNVSHAGAGDLTKSSRAPLEAGINTSFRQEVLDTLHKESTQNPSTVGKKKKRRRNNRSCQLAPSVAADQETSEPSAMVKELSISTGDAYNVELTGRDETAVKASGLPLSSSGLNDGNQGGKHVPFMSDAQASTDLISEQGKFDHALKGCRSPSVRDAIYSAGEVVASEEKTSKGSIAPWDGDEKHEQIKQHDEGGHDEGVAEISNTVKDGKSTDALEKRRTNDNTSPLKKRKRENKASSVDLASMNTNEKEMHGYQENAARSGAVSTEREILHDPSTQQISNNVHQGHSNVIEHSNGDGKKKKTRRQHSESSKGVDPSQDLTKSSAFVTNESSMQVTDVAPLDTKQTTPCSIEGATVIDHKKLGENLDIAAKNVIDEVLADLRSKDSLSMDLDGDMLTGKTHLSSNKNALEHPESTADEVGISTALPPKYSAAVHSDVPASTPRLKKSKREKLKVLSTMIDSSHHSSGVPEEDANKELNESESLRFSDKTSDPKDILTGDVVAQAGEKPKATKRRENKLSLKQVSADSGKTLDERVSQVDTLDLKGMNATRANLVQGGYVVETPLSTTGKVEQKGRRSSKTHTAKIQETNCSTPNAQKARKKSSNSKLQSSDSILEHGSSAELEHPRSEKGLVTPKSSVVAAEPNSDTVVHPASDAINFLDHFSSSKMNDPPISAEHKQNNEDETLREVKNKKKNKRKLSTGSMEPNDGSESLLRTDKTSLTDHFGNSKDVPSVAAENLTREDENVKNGKDKKRKTKVNTEMPVAEKENPNCDNQGYIGTQGSLLSAVQNGRMGQDNGKESSTKVTQNDSKIQYEPEDATLENKSEQSGVDGQNKLLTDKHHVHISKDVRKLTSQIKPHAKSKNDESIKRRVAPNPKPESNLVKDFSMSPQVSGDSTEAMPQNANRYRVAVRKVPSKRYEQTREKSKKENRKVGSAIFNDANSEGSDDEWDTKNDKAVMEASPDNSSTSADSGVSSAASDESDVPYNDDDGTVSLSQKRLKEGLHIGSILRGTSSYKKARKKQSELLDDDTVVPDSQPADGCWD >PAN38750 pep chromosome:PHallii_v3.1:7:38005120:38010485:-1 gene:PAHAL_7G194900 transcript:PAN38750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTSAFTKIKGGCFLYVKMAEAAAATLCRKDDVAKPSNQSNVSHAGAGDLTKSSRAPLEAGINTSFRQEVLDTLHKESTQNPSTVGKKKKRRRNNRSCQLAPSVAADQETSEPSAMVKELSISTGDAYNVELTGRDETAVKASGLPLSSSGLNDGNQGGKHVPFMSDAQASTDLISEQGKFDHALKGCRSPSVRDAIYSAGEVVASEEKTSKGSIAPWDGDEKHEQIKQHDEGGHDEGVAEISNTVKDGKSTDALEKRRTNDNTSPLKKRKRENKASSVDLASMNTNEKEMHGYQENAARSGAVSTEREILHDPSTQQISNNVHQGHSNVIEHSNGDGKKKKTRRQHSESSKGVDPSQDLTKSSAFVTNESSMQVTDVAPLDTKQTTPCSIEGATVIDHKKLGENLDIAAKNVIDEVLADLRSKDSLSMDLDGDMLTGKTHLSSNKNALEHPESTADEVGISTALPPKYSAAVHSDVPASTPRLKKSKREKLKVLSTMIDSSHHSSGVPEEDANKELNESESLRFSDKTSDPKDILTGDVVAQAGEKPKATKRRENKLSLKQVSADSGKTLDERVSQVDTLDLKGMNATRANLVQGGYVVETPLSTTGKVEQKGRRSSKTHTAKIQETNCSTPNAQKARKKSSNSKLQSSDSILEHGSSAELEHPRSEKGLVTPKSSVVAAEPNSDTVVHPASDAINFLDHFSSSKMNDPPISAEHKQNNEDETLREVKNKKKNKRKLSTGSMEPNDGSESLLRTDKTSLTDHFGNSKDVPSVAAENLTREDENVKNGKDKKRKTKVNTEMPVAEKENPNCDNQGYIGTQGSLLSAVQNGRMGQDNGKESSTKVTQNDSKIQYEPEDATLENKSEQSGVDGQNKLLTDKHHVHISKDVRKLTSQIKPHAKSKNDESIKRRVAPNPKPESNLVKDFSMSPQVSGDSTEAMPQNANRYRVAVRKVPSKRYEQTREKSKKENRKVGSAIFNDANSEGSDDEWDTKNDKAVMEASPDNSSTSADSGVSSAASDESDVPYNDDDGTVSLSQKRLKEGLHIGSILRGTSSYKKARKKQSELLDDDTVVPDSQPADGCWD >PAN39188 pep chromosome:PHallii_v3.1:7:40056548:40060290:1 gene:PAHAL_7G226600 transcript:PAN39188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQTTAAGGGEAVEEAGTLRHRHNAAKSGGGGGEEGPANGAAQQGEDEAAAGALSVERAFEDRAVPSWREQLTVRAFVVSFFLAVMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLKQPFTRQENTVIQTCVVAAYDIAFSGGFGTYLFGMSETIAKQATEANNPQNIKNPHIGWMIGFLFLASFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLFSFLWGFFQWFYTGGDNCGFQSFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYPASLSETSLHGLQGYRVFISIAIILGDGLYNFVKVLIRTTAGFISMMKKNSTLPVSNDSSPITESVSFDDERRTELFLKDQIPRSVAYGGYVAVAAVSIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFADIGLSGSEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYVFFAAAIAVNLARDLAPKKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFAWEVVNRAKADAFAPAVASGLICGDGIWTLPQSVLALAKVKPPICMKFLSRSVNAQVDAFLGN >PAN39644 pep chromosome:PHallii_v3.1:7:42051992:42053164:1 gene:PAHAL_7G257300 transcript:PAN39644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSDAAASAEADAAVICAQIAAVFSAPSAHPPARPVLVSELAAAAARGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTTPPAGGGDLLVASAGPGAFSTVDAICAVARGAGARVLLLTARPEGDFPPRQADVVAYLPAQTMADEEEEAKATETESAPSRAKLPMGSLYEGAMFVLFEMVVLELARVLGQSPAQMRARHTNLE >PAN37529 pep chromosome:PHallii_v3.1:7:30582600:30593322:1 gene:PAHAL_7G108800 transcript:PAN37529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PRD1 [Source:Projected from Arabidopsis thaliana (AT4G14180) UniProtKB/Swiss-Prot;Acc:O23277] MESDGDTSPPPPPAPAPPRACGAGHRASHSLPTSAGGRVCLSCAAALLSSAGAASTPSHHVAHALASLSLALADPAFLAPLRSAHPRLLAAPLAEALAGAAARRDAALASQASDLAADLAAAVGTPAASELVARVARVLSSGSLVKHLHTLHCLGVLLNTTKDAAAHIVDKLSLFLNLVNDLRLPSDEIRGEILFVLYKLSISNATPWDIICDNGDVDLLAIGRNLFQLSLEVLLKTQNDTVRLNCIALLLTLAKKGPFDLVLLSNQSSINCVEPEHMQNDYMSLNASLVLFAEAVKGSLLSTNLEVQTGTLDLIFHFLSSDGNICALLQILIDENVADYIFEVLRLSGNNDLLVISSIQVLLILARSEEKFKEKLAIGFSTLLPVLHYVAEIPFHPVQSHVLQLVWICMVNCSGILSLPQEEQIACTLTAILRRNGNGEHGMSSETFILVCSILIEILKSPHAHDIEKLPSFIEESSRYAISSTLSHEYDSRIPIPHSLLLLKEALLFCLEGSKYNISSKKDLEDSIIGTCGTILLHWLESAVVDGNDEETLAGILQIFQLILSRATDKKPLKFAELLASSSWFSLSFGLMGLFPTDHVKSVVYLVTSSIVDRVLGCNYGETIRDAHIYLPSDPTELMYLLGQCSTEDFNLASCQCAILSILYACSFYNERLAADNQILASVEQYILLNGGNFPYEINFSVMFTLLVHLYAYVRGISYSCSIPHSPEAENTLFHVMTQKDWDLLAIRVHPIAIKWLFQKQELMEPLAFQMLNFCKTFCEDETIMLSNSSQLVDIQMVAELVLSGETVISFLLVSLLNQIVKEGTEDEVFSVVSVIAEIVTISPCSSDQFISCSIVDSFHDIYCLPYSSRIQTVCSYLIFNILCSASALTFSQEDEWLPLTVKLLEFINSGIDYTSSNQEHKILIGVLCFVLHHSASKVLVEPAKAIILNSSLVSLTDVIVQKACSKGPSLFQHNQDTAFGELLSLVLLLVFFSLRSLHTILEASIDWQDFLQHSEDIQSFSVLGIPCHDLCRLMHFGPPSIKLIASQCLLELLTRISDQRTCTNAELRCSVKYLKSIIAVTEGLVFSEESKVAGNCGACLSVILGWEKFGSQEKVATRESKWFRLIMEEFAVALTAPGLTSKSFTNQQKFAANLAISLLRLSQVPDWLTSLFDSHLISGIVANLSARNVTAEIVNLFSELMARKYLSKEHIVALHNLFQVCRRQVYEGSSKAQMFGQSAKKVARSTDDMLALLFGLMLNQNADPGAVQSEQQTLLRAIDLFFQQSSGREQR >PAN39626 pep chromosome:PHallii_v3.1:7:41933706:41941885:-1 gene:PAHAL_7G256300 transcript:PAN39626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASSLSSLLSTLRVDGPWTPPGTWESVTPESGAARASGPGGRPRQESIYELASVSDNALVRLALHALYGVKSSLDEIDELFVLFSSNPADRTSNRVANVWSRSSSTMSVGHILKSIRATGLAVFFLCKFVHFYLIQNRERNCAGRERHECEDSDDRDTEQHQPFSLVNQAFAAAVEKVLEGYFCSLNTLPASVKLRRAADQSGLTLCQEGLNTEANVEFENFPRGSDLLSYLYVHLRDADPVHYGLLKHLFIRSCEPYFNFIKSWIYRASVDDPYEEFLITQTENKETRGDSSDILDEFTLFPLKGRNHVSAPCFLKEICHPLLRTGQQLQVLMRLLKSCHLSATGGDAYPSHNIIHMEGVLPWFYMPTESSMNSFTFSKGSVEAVTCKRDAMYQLMMGKLQHFFSNVEVIPFDTASNFLHKKTDHLATSISDAELCYYGDSDTALACNMGADEKNNDAPPTSQESSDKEDLLESSDKEDLLESSECSSYTSMDDVEVESATACDNLSGSTSYCTSTGEAKCSLVTRKLLSSDADSVHHGINCVSPIDECEECGKLNCRHVPMHSQNTKHNVVPDALDLDYQYSQFSPFDRFMKRTSCSSEKMNSVEEFLYTNHKNSLEKASHGNVVYPLHSGSGPSRLLNSKNYEKFGKINQAWNTSIPYNLSFNPILKNAACCHTESDLQHKSKNRALGSFDFESVTDPCEVYCGRNPSCPVESVNGAATVVQPSTQPSQQPDCSRKILQAEARSQAYPTSSEEMAARDNIQENASGGAFWEKLLQYNDKSKEKTAVDFNPQFDMPLDIVIDKCIIQEVLLQYKYVSSFTIKLLEEGFDLYAHLLALRRYHFMELADWADSFICSIYHKKWSFVKSDQKRAEIQGIMDLALQRSSCDSDPYKERLFIYMREQPTVSFDASACAYSLLPGLDLLDEILLGYKAEWPVNIVITEDTLKIYSEIFCYLLQVRFAVFSLTEVWRFLKELTQFVGRSNHSTPDVFKKLNFVMRVRHKVYHFLSTLQQYLHCHLSDISWRRFQHSLKNQVRDILDLEYVHMCYITDALDICFLSDESKQVATIIKSMLQLALELRSCFQSLGDTSDLSVNQLSNLHSLINFSQVDAIGTRFDSNIKDLYILHSKSSKYGELGLSLFWGYLNYNEYHSTKNSKDMGSFCF >PAN39624 pep chromosome:PHallii_v3.1:7:41933015:41942120:-1 gene:PAHAL_7G256300 transcript:PAN39624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASSLSSLLSTLRVDGPWTPPGTWESVTPESGAARASGPGGRPRQESIYELASVSDNALVRLALHALYGVKSSLDEIDELFVLFSSNPADRTSNRVANVWSRSSSTMSVGHILKSIRATGLAVFFLCKFVHFYLIQNRERNCAGRERHECEDSDDRDTEQHQPFSLVNQAFAAAVEKVLEGYFCSLNTLPASVKLRRAADQSGMPSKISDGASCNSTSEVTLLEVYLHTEELRRHVKSLGNICFPKFAGLTLCQEGLNTEANVEFENFPRGSDLLSYLYVHLRDADPVHYGLLKHLFIRSCEPYFNFIKSWIYRASVDDPYEEFLITQTENKETRGDSSDILDEFTLFPLKGRNHVSAPCFLKEICHPLLRTGQQLQVLMRLLKSCHLSATGGDAYPSHNIIHMEGVLPWFYMPTESSMNSFTFSKGSVEAVTCKRDAMYQLMMGKLQHFFSNVEVIPFDTASNFLHKKTDHLATSISDAELCYYGDSDTALACNMGADEKNNDAPPTSQESSDKEDLLESSDKEDLLESSECSSYTSMDDVEVESATACDNLSGSTSYCTSTGEAKCSLVTRKLLSSDADSVHHGINCVSPIDECEECGKLNCRHVPMHSQNTKHNVVPDALDLDYQYSQFSPFDRFMKRTSCSSEKMNSVEEFLYTNHKNSLEKASHGNVVYPLHSGSGPSRLLNSKNYEKFGKINQAWNTSIPYNLSFNPILKNAACCHTESDLQHKSKNRALGSFDFESVTDPCEVYCGRNPSCPVESVNGAATVVQPSTQPSQQPDCSRKILQAEARSQAYPTSSEEMAARDNIQENASGGAFWEKLLQYNDKSKEKTAVDFNPQFDMPLDIVIDKCIIQEVLLQYKYVSSFTIKLLEEGFDLYAHLLALRRYHFMELADWADSFICSIYHKKWSFVKSDQKRAEIQGIMDLALQRSSCDSDPYKERLFIYMREQPTVSFDASACGLDLLDEILLGYKAEWPVNIVITEDTLKIYSEIFCYLLQVRFAVFSLTEVWRFLKELTQFVGRSNHSTPDVFKKLNFVMRVRHKVYHFLSTLQQYLHCHLSDISWRRFQHSLKNQMFLI >PAN39627 pep chromosome:PHallii_v3.1:7:41933706:41941885:-1 gene:PAHAL_7G256300 transcript:PAN39627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASSLSSLLSTLRVDGPWTPPGTWESVTPESGAARASGPGGRPRQESIYELASVSDNALVRLALHALYGVKSSLDEIDELFVLFSSNPADRTSNRVANVWSRSSSTMSVGHILKSIRATGLAVFFLCKFVHFYLIQNRERNCAGRERHECEDSDDRDTEQHQPFSLVNQAFAAAVEKVLEGYFCSLNTLPASVKLRRAADQSGMPSKISDGASCNSTSEVTLLEVYLHTEELRRHVKSLGNICFPKFAGLTLCQEGLNTEANVEFENFPRGSDLLSYLYVHLRDADPVHYGLLKHLFIRSCEPYFNFIKSWIYRASVDDPYEEFLITQTENKETRGDSSDILDEFTLFPLKGRNHVSAPCFLKEICHPLLRTGQQLQVLMRLLKSCHLSATGGDAYPSHNIIHMEGVLPWFYMPTESSMNSFTFSKGSVEAVTCKRDAMYQLMMGKLQHFFSNVEVIPFDTASNFLHKKTDHLATSISDAELCYYGDSDTALACNMGADEKNNDAPPTSQESSDKEDLLESSDKEDLLESSECSSYTSMDDVEVESATACDNLSGSTSYCTSTGEAKCSLVTRKLLSSDADSVHHGINCVSPIDECEECGKLNCRHVPMHSQNTKHNVVPDALDLDYQYSQFSPFDRFMKRTSCSSEKMNSVEEFLYTNHKNSLEKASHGNVVYPLHSGSGPSRLLNSKNYEKFGKINQAWNTSIPYNLSFNPILKNAACCHTESDLQHKSKNRALGSFDFESVTDPCEVYCGRNPSCPVESVNGAATVVQPSTQPSQQPDCSRKILQAEARSQAYPTSSEEMAARDNIQENASGGAFWEKLLQYNDKSKEKTAVDFNPQFDMPLDIVIDKCIIQEVLLQYKYVSSFTIKLLEEGFDLYAHLLALRRYHFMELADWADSFICSIYHKKWSFVKSDQKRAEIQGIMDLALQRSSCDSDPYKERLFIYMREQPTVSFDASACGLDLLDEILLGYKAEWPVNIVITEDTLKIYSEIFCYLLQVRFAVFSLTEVWRFLKELTQFVGRSNHSTPDVFKKLNFVMRVRHKVYHFLSTLQQYLHCHLSDISWRRFQHSLKNQVRDILDLEYVHMCYITDALDICFLSDESKQVATIIKSMLQLALELRSCFQSLGDTSDLSVNQLSNLHSLINFSQVDAIGTRFDSNIKDLYILHSKSSKYGELGLSLFWGYLNYNEYHSTKNSKDMGSFCF >PAN39625 pep chromosome:PHallii_v3.1:7:41933015:41942120:-1 gene:PAHAL_7G256300 transcript:PAN39625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASSLSSLLSTLRVDGPWTPPGTWESVTPESGAARASGPGGRPRQESIYELASVSDNALVRLALHALYGVKSSLDEIDELFVLFSSNPADRTSNRVANVWSRSSSTMSVGHILKSIRATGLAVFFLCKFVHFYLIQNRERNCAGRERHECEDSDDRDTEQHQPFSLVNQAFAAAVEKVLEGYFCSLNTLPASVKLRRAADQSGLTLCQEGLNTEANVEFENFPRGSDLLSYLYVHLRDADPVHYGLLKHLFIRSCEPYFNFIKSWIYRASVDDPYEEFLITQTENKETRGDSSDILDEFTLFPLKGRNHVSAPCFLKEICHPLLRTGQQLQVLMRLLKSCHLSATGGDAYPSHNIIHMEGVLPWFYMPTESSMNSFTFSKGSVEAVTCKRDAMYQLMMGKLQHFFSNVEVIPFDTASNFLHKKTDHLATSISDAELCYYGDSDTALACNMGADEKNNDAPPTSQESSDKEDLLESSDKEDLLESSECSSYTSMDDVEVESATACDNLSGSTSYCTSTGEAKCSLVTRKLLSSDADSVHHGINCVSPIDECEECGKLNCRHVPMHSQNTKHNVVPDALDLDYQYSQFSPFDRFMKRTSCSSEKMNSVEEFLYTNHKNSLEKASHGNVVYPLHSGSGPSRLLNSKNYEKFGKINQAWNTSIPYNLSFNPILKNAACCHTESDLQHKSKNRALGSFDFESVTDPCEVYCGRNPSCPVESVNGAATVVQPSTQPSQQPDCSRKILQAEARSQAYPTSSEEMAARDNIQENASGGAFWEKLLQYNDKSKEKTAVDFNPQFDMPLDIVIDKCIIQEVLLQYKYVSSFTIKLLEEGFDLYAHLLALRRYHFMELADWADSFICSIYHKKWSFVKSDQKRAEIQGIMDLALQRSSCDSDPYKERLFIYMREQPTVSFDASACGLDLLDEILLGYKAEWPVNIVITEDTLKIYSEIFCYLLQVRFAVFSLTEVWRFLKELTQFVGRSNHSTPDVFKKLNFVMRVRHKVYHFLSTLQQYLHCHLSDISWRRFQHSLKNQVRDILDLEYVHMCYITDALDICFLSDESKQVATIIKSMLQLALELRSCFQSLGDTSDLSVNQLSNLHSLINFSQVDAIGTRFDSNIKDLYILHSKSSKYGELGLSLFWGYLNYNEYHSTKNSKDMGSFCF >PAN39824 pep chromosome:PHallii_v3.1:7:42898648:42899433:1 gene:PAHAL_7G271100 transcript:PAN39824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAKVKVKDAASSAKEKMKEGSAKMQGKAGEATATTHGEKEMAKEEARAKKDQANADKHQEKAEHRADSAAGRHGTGVPLTGTGTHGHHGAAGDPAYPSAGTTYPASGKYM >PVH35655 pep chromosome:PHallii_v3.1:7:40726579:40727824:-1 gene:PAHAL_7G237500 transcript:PVH35655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAHWPAVATARRWPLRRSKPPRPSTQQPARGGHRSLASCWLRAERIRNRTGNSPSTVSGCCRGPQSRATAKSLATTHVTRKWRPGRLAPQEGGPAFRYAFIFPVFLEGCTSRGGNSV >PVH36000 pep chromosome:PHallii_v3.1:7:46790748:46792559:1 gene:PAHAL_7G332600 transcript:PVH36000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIISNLLIFNRDNLRFVDAHNARAGARGYRLGLNRFADLTHDEFRAAYLGGAGVVRGGRNAAGERYRYDGVEALPEFVDWRQKGAVAPIKNQGQCGSCWAFSAVGAVEGINKIVTGELVTLSEQELVDCSKNGQNSGCNGGMMDDAFAFIARNGGIDTDEDYPYTARDGKCDLVKKARRAVSIDGFEDVPRNDEKSLQKAVAHQPVTGVFTGRCGTSLDHGVVAVGYGTEDGEDYWLVRNSWGADWGEAGYIRMARNVSSRAGKCGIAMEASYPVKTGPNPGPSPAAPPVPCDRYSSCPAGSTCCCTYGVRSMCLAWGCCPAEGATCCRDRATCCPADHPVCNAKTRTCARSRSSQDTVEALLRFPAKRQRGSLIAEELVDSRAQRELVRRCHCHHRRWPRRRARQLYALALRLGFEFNVSLMNAFLAAVVR >PVH35969 pep chromosome:PHallii_v3.1:7:46370269:46371244:1 gene:PAHAL_7G324600 transcript:PVH35969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSLLSLTLDSALLRIAHIADLSHLPDHLVIDLFRRTLSAGKLTEKVLKLFLATGCEEIILAVQLLNIKQPLVPVLPTRCSERF >PAN38943 pep chromosome:PHallii_v3.1:7:36170419:36172236:-1 gene:PAHAL_7G164600 transcript:PAN38943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENVVDKFLCQYPDHPALLQAQQPFTQILSDARAAHQPCSSVELSAVQASAPAPYRSSYIDAPAAAAAPSVAQGNNTEDPAPAFSLNVMAMATDTVEPSSSLSAESSSSCCMDVVSMAFFKGMEEASKFLPGDGVPAGGGRGQKKRLDGDDEAEAGAGRSSKQMAADGEESEEAAAREILDQLMLNGCEPSAADMQELRAAVEMEKTPRGSSRGTSAAGQAVDLHTMLIRCAEAVAADDRRGVADLLERIRRHSSPAGDGTQRLAHYFALGLEARLAGTGSRLYRSIMVRRGSLADYLRACQLHMTACCFLPVIFLFSSDAICSAVAGRKKLHIVCYGLGHGLQWPDVLRRLGHRDGGPPEVRLTGIDSPLPGFRPAQLIEETGRRLNDSARRFGVPFRFRGIAAKSEDVLAGDLDIDPDEVLVVASTFHFRSLMDEGVAGRSTNPMDTVLKTIREMRPAVFIHAIVNASYSAAFFLTRFREVLYRFTALFDMLDTVLPREDLRRLVLERDVLAQCAANVIACEGADRVQRPRSYKQWQARSRRAGLQQLPLDRGVVQMLRDKVRKEYHRCFEISEDQQWLLPGWKGRVLYALSTWTAGDDDLA >PAN37077 pep chromosome:PHallii_v3.1:7:9245905:9246672:-1 gene:PAHAL_7G036100 transcript:PAN37077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKMSSKQAALYCLLLVLVLHADHTSASLAATCIYTSPAMPFCAGWMCKTECWTEAKLIGASVQGRKCMKGGFHGWCSCFLCRN >PAN39548 pep chromosome:PHallii_v3.1:7:41505288:41511071:-1 gene:PAHAL_7G250700 transcript:PAN39548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAIMAKKSYKQHSKSEKIQVGCMSGLIRMLDFRRSPKLLSDGRVKREPKGFEDVHENISAKDNKDHRVGLIFAGRASIKTLMEEEMASSTEPLKQAQRNVTGICCEDIDLNLAASLMEIYRSRTEGQEISNSVESGRSSISTDKEDNTGPPAQLYQIPSSIQRALENVAEAVIRHQSANKKYISSSGEARSKEFVDALQLLSSNKELFLMLMQDPSSRLLECLQNLYMSLGSTKLECEECDEETEFQGMTNNLEQSVTSPSKVQRRHNSFLKEDKLVMRKQPNLNDSSRGLSRIVILKPSPARSHSSLISSSATSSPLSNHNNLQVQEASDKPDRQFSLRELKRRLRIAVSENRKDHQLNSMSITFHKAEADSSKQLPVTSMSESLASTDSSDSKVAEEPSIVEKETVPVDSGSGTRNDVAHGVGSFSYEKAKMYIVERLNDQGEDKSQIVQKSESFERLISLPENAAFSSSSFPQEENIGISHEATNPLNLHTIEQEDGSASPKPSRLYQETESDDTSNLGTESLVELKTDHGNHPQYDNAISQELISEEVKIMQDAVENPQLCAKTETLQESVEGKNPDECSSEEPLSMNVLSQVALNGQENHSPSEVVELVKPSVLTFPYSPDNTDDKEEKLSPQSVLDPAIGEVTSPGHQTQNRDELSKPISRVLFKEFDTSSASPTLWSEPEVAILDDKDARVSFIKAVLEASELLSEENSQIWYTEETLLDVSVLAEVGNSYCLTDDAVLLFDCVEEVLLKIRYKFFGNAPWVAFLKHNVRPAPVGRHLIQEVAKGIDSLVGNEFPNTLEQVMMKDLDSGSWLDLRHDSESVVVELWDDLLDDLLEEMIFDLWL >PAN39549 pep chromosome:PHallii_v3.1:7:41505407:41511010:-1 gene:PAHAL_7G250700 transcript:PAN39549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAIMAKKSYKQHSKSEKIQVGCMSGLIRMLDFRRSPKLLSDGRVKREPKGFEDVHENISAKDNKDHRVGLIFAGRASIKTLMEEEMASSTEPLKQAQRNVTGICCEDIDLNLAASLMEIYRSRTEGQEISNSVESGRSSISTDKEDNTGPPAQLYQIPSSIQRALENVAEAVIRHQSANKKYISSSGEARSKEFVDALQLLSSNKELFLMLMQDPSSRLLECLQNLYMSLGSTKLECEECDEETEFQGMTNNLEQSVTSPSKVQRRHNSFLKEDKLVMRKQPNLNDSSRGLSRIVILKPSPARSHSSLISSSATSSPLSNHNNLQVQEASDKPDRQFSLRELKRRLRIAVSENRKDHQLNSMSITFHKAEADSSKQLPVTSMSESLASTDSSDSKVAEEPSIVEKETVPVDSGSGTRNDVAHGVGSFSYEKAKMYIVERLNDQGEDKSQIVQKSESFERLISLPENAAFSSSSFPQEENIGISHEATNPLNLHTIEQEDGSASPKPSRLYQETESDDTSNLGTESLVELKTDHGNHPQYDNAISQELISEEVKIMQDAVENPQLCAKTETLQESVEGKNPDECSSEEPLSMNVLSQVALNGQENHSPSEVVELVKPSVLTFPYSPDNTDDKEEKLSPQSVLDPAIGEVTSPGHQTQNRDELSKPISRVLFKEFDTSSASPTLWSEPEVAILDDKDARVSFIKAVLEASELLSEENSQIWYTEETLLDVSVLAEVGNSYCLTDDAVLLFDCVEEVLLKIRYKFFGNAPWVAFLKHNVRPAPVGRHLIQEVAKGIDSLVGNEFPNTLEQVMMKDLDSGSWLDLRHDSESVVVELWDDLLDDLLEEMIFDLWL >PVH35967 pep chromosome:PHallii_v3.1:7:46319102:46320410:-1 gene:PAHAL_7G323800 transcript:PVH35967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEFSRGYVELARRRGSWRPRRAFATTTPSRFAMDVAVDTDAASTSTAAPEVVTGSRTLAISRFSEKKASLARGECVRSVQFRVGGSNWYIKVYPNGHDSGSEGSVSFFLARGRSGERETTAEFAFELVNFAEAGNHKSAKVRGTFDDNASNSEHLGFQRAAAELQSARQMRNDRLIVRCRLGVFKGKPPSPLLAEAPAIAVPPDTRSSDFLGLLNSQEGSDITYAAGGTTFRAHTCILLARSPVFQEEVRELIDNPEKHPWRHINVEEEEMTAQAFEALLHVVYTDQLPDMSYVEPTDEAVEAMLFAAERYEVGRLKHRCEQWLCSFVTRSRYDLRLLEVACVQYATPDHVWEHVKGTEGFDRLRATCPHIVREIESKQRNY >PAN38556 pep chromosome:PHallii_v3.1:7:37102903:37107058:-1 gene:PAHAL_7G180300 transcript:PAN38556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRLAAGPSAGAAAARARSPASSPAPAFARVPAAHRYASGGLSARGGKAASPVAVGAGPAAAAPVADVDGRPATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVRRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVYYDEELGKALFDGLPNPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKKIILNFYKFIEELEKQRS >PVH35742 pep chromosome:PHallii_v3.1:7:42268015:42271108:1 gene:PAHAL_7G260600 transcript:PVH35742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQILARELVTFVAATFFALLCSSPQVRGVHYAFMKDATQAANVSYYDYIVVGGGTAGCPLAATLSERFRVLLLERGGSPYGDERVEDMTRFTDTLADTSPGSPVQRFVSEDGVINSRPRVLGGGSCINAGFYTRAGDDYVRGAGWDLEATRAAYRWVEDVVAFHPELGPWQAALQAGLLESGITPDNGFTFDHLDGTKVGGSIFDADGRRHTAADLLRYARADGLDVLLWARVAKILFNVRGRRPVARGVVFHDSKGRMHKAYLNARRGNEIILSAGAMGSPQLLMLSGVGPADHLRLFGITLVHDQPEVGQGMSDNPMNAIYVPSPSLVETSLIQVVGITQVGSYIEGSSGARHSASARNFGMFSPQTGQLATVPPKQRTPEAIARAAETMRQLDDSAFRGGFILEKILGPLSTGHLELRNRNPDDNPSVTFNYFSHPEDLRRCVAGLSVIERVIQSKAFENFTYTDFSMETLLNMSTGFPVNLLPRHDNDTTSLEQFCRDTVMTIWHYHGGCQVGRVVDTEYRVLGLDALRVIDGSTFNASPGTNPQATVMMLGRYMGLKIQSERLKAEGSERTKL >PAN40607 pep chromosome:PHallii_v3.1:7:46575509:46577944:1 gene:PAHAL_7G328000 transcript:PAN40607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQAASASPTHHRASPGTSPPQPPYPSAARIADSACFPQYTASLKCLEANQDKSKCQQQFDDYKECKKKEREARLERNKGRSLFG >PVH35678 pep chromosome:PHallii_v3.1:7:41157182:41160989:-1 gene:PAHAL_7G244200 transcript:PVH35678 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MGRKPDPVAKPHHSGGGGASSPRAARKAPPSPVFLGTALFVLGFVSLFTGHVVTDADWSRIRSRWRSKQVIYLGFPPFYSLIARNFVSAWSLVCGNLIEVRERRLCFWPLDGYTYTCHIAGNNLRKVRIYEPIDIWKSRYSSIYYGCSGRSTNFRSAVPENNSTGYLLIATSGGLNQQRIGITDAVVVAWILNATLVVPELDHHSFWKDESDFSDIFDVDWFISYLSKDVTVVKRIPYEVMLSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLTSELDEDLQKLRCRVNFHALRFANSIHTLGQKLVHKLRLMSPRYVAVHLRFEPDMLAFSGCYYGGGEKERKELGEIRKRWDTLPELSAEDERSRGKCPLTPHEVGLMLRALGFGNDTILYVASGEIYGGEETLQPLRELFPNYYTKEDLAGDDLKPFLPFSSRLAAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNVLFQRRNQMGWDMFSLKVQKVQRGLMGAPDDIRPKQDDFHEFPSACICLRKPRNISAT >PAN39457 pep chromosome:PHallii_v3.1:7:41157111:41161087:-1 gene:PAHAL_7G244200 transcript:PAN39457 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MGRKPDPVAKPHHSGGGGASSPRAARKAPPSPVFLGTALFVLGFVSLFTGHVVTDADWSRIRSRWRSKQVRIYEPIDIWKSRYSSIYYGCSGRSTNFRSAVPENNSTGYLLIATSGGLNQQRIGITDAVVVAWILNATLVVPELDHHSFWKDESDFSDIFDVDWFISYLSKDVTVVKRIPYEVMLSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLTSELDEDLQKLRCRVNFHALRFANSIHTLGQKLVHKLRLMSPRYVAVHLRFEPDMLAFSGCYYGGGEKERKELGEIRKRWDTLPELSAEDERSRGKCPLTPHEVGLMLRALGFGNDTILYVASGEIYGGEETLQPLRELFPNYYTKEDLAGDDLKPFLPFSSRLAAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNVLFQRRNQMGWDMFSLKVQKVQRGLMGAPDDIRPKQDDFHEFPSACICLRKPRNISAT >PVH35677 pep chromosome:PHallii_v3.1:7:41158622:41160869:-1 gene:PAHAL_7G244200 transcript:PVH35677 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MGRKPDPVAKPHHSGGGGASSPRAARKAPPSPVFLGTALFVLGFVSLFTGHVVTDADWSRIRSRWRSKQVIYLGFPPFYSLIARNFVSAWSLVCGNLIEVRERRLCFWPLDGYTYTCHIAGNNLRKVRIYEPIDIWKSRYSSIYYGCSGRSTNFRSAVPENNSTGYLLIATSGGLNQQRIGITDAVVVAWILNATLVVPELDHHSFWKDESDFSDIFDVDWFISYLSKDVTVVKRIPYEVMLSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLTSELDEDLQKLRCRVNFHALRFANSIHTLGQKLVHKLRLMSPRYVAVHLRFEPDMLAFSGCYYGGGEKERKELGEIRKRWDTLPVRLLKLGVEC >PVH35896 pep chromosome:PHallii_v3.1:7:44870863:44872663:1 gene:PAHAL_7G302000 transcript:PVH35896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSPPQGPAGARPPTPPDGPPRRPGRGTQLRRRRRRLRLRLPRRRSSEPRVRRGSRERADRACGPGNAERDEVVREDRDGEARGPDLVGGCSLHQAVAAGRRARPGRGVLVRRRVPQGREVADLGVLRVQGARGGGRVQVRAGARHQPRHRLPRHHRRRGAGHEHQHQRPELPLAPVRRRGVARRAQGDREDLRLGAAGSRRRPLPRGAVRPRGGGGGGEVHLLRPQHDRRRARAFPGAQVPAVRRDAESAHGRSAPGEAESREPACRRRSW >PVH35956 pep chromosome:PHallii_v3.1:7:46038635:46041115:1 gene:PAHAL_7G320100 transcript:PVH35956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRVNPQQPPASTMRVHTILSSVFLLLASLPSSARPASGGRRSTLWRGDSIAVEDASGDVLVSPGGNFSCGFYKVATNAYTFAIWFARSADATVAWTANRDAPINGRGSRAGFRKDGSLVLQDFDGRVVWRTNTSGAQADRVQLLDTGNLVVADAAGSTLWQSFDWPTDTLLPEQPITRYKRLVSASARGLPYSGYYNFYFDSNNILKLIYDGPEISSNYWPDPFKQWWENNRTAYNSSRYGSLDRYGAFRASDHTQFNASDMGEGVTRRLTLDYDGNLRLYSLDGGDGSWHVTWAALPRPCDVHGVCGRYGVCAYLPALACSCPEGFVASDAGDWSKGCRREFDLRCGEPVYFAEMPSFDFWGFDFNYTQGLTMETCRQMCLDDCNCEAFGYKMGTGECYPKISLWNGLAPNIIKQNIFLKVPTRIKNLSPAVLDFHGHACTVHEQNASVSASYFHVRGNKINFIYFYSFLAVAFVVEAIFIVVGYLFVFRADPAAGRVRDEEGYALLFSQFRRFTYDELSDVTGKFADKLGRGASGTVYKGVLDDGRSIAVKQLDDLTQADEVFRSEMSVIGRINHMNLVRMWGYCSEHSHRILVSEFVENGSLDKALFGDGDGSALLGWHSRYKIAVGVAKGLAYLHHECLEWIVHCDVKPENILLDGELDPKITDFGLVKLLSRDACGRLVLSRAQGTRGYIAPEWAMSLPITGKADVYSFGVVLLELIRGQRVCDWVVDIREEEDDARVDFQRLVAWLREKMKRDGESSSWMEEKFVDPRLRGDFSHLQAAAMLELAVSCVDDDPNRRPSMNAVLQELLSLEDDAPVRYA >PAN39120 pep chromosome:PHallii_v3.1:7:39736765:39740620:1 gene:PAHAL_7G222500 transcript:PAN39120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNREGHMEIIKEEEAAVEAMDLSAVVPSRFRRICVFCGSSQGKKKSYQDAAVELGEELVSRNIDLVYGGGSVGLMGLVSRAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPTARHIIVLAPTPKELLDKLEEYSPRHEKVVPRMQWEMEQLSHCKSCEIPGLKEGKPIIQAQRGTTL >PVH35250 pep chromosome:PHallii_v3.1:7:33818166:33823928:1 gene:PAHAL_7G135100 transcript:PVH35250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPQQPDHTYTSGGLGYFHLRLVGPASALLLLRSDRLYSLSPSRRRGHRLRLLLARPRRPRARDLLLCTSGGALRLTHRFSGAGAPSVNGRPIRARTPAELAVGDEVSVLWRGARYGLVVERFVSCGGGGGVAAKAPGSCQEGLVFRAESLRKRLRAISESEDPLSFLRDSSCSGNGSADAGVEELRQDDAGELCLDNPTNPVSEENLPQDDCNLDQDKLEYHPDVVKGGDTDAELCQGSKGCGDDNEEQTGCSNGNEEQHHSEGCYSDGSTFFLNRLARIGSLSDTRAEPHSGVTLPQLLHPVESLVRVFTATFTSDISWFLDYCKIPQHLPVTIACHNKERCWSASSENRMAAPFESHPNLLLVYPRFPEEIAFGKDRKKQGVACHHPKLIVLQREDSMRVVVTSANLVPRQWHLITNTVWWQDFPRRTSLDYAALFGTAEDQNSDFAAQLVSFIASMVNEVPSQAYWINEIAKYDFEGAGGYLIASVPGIHARSPPYLESNYFLSEKHILHKKSAHRVYVGCVQTSVVGLSHRFHMPSDVGSQLRALSAFLGKFRENMHGTTEVILKRNTNIPADANAVSVLVADLDKFSEEGSVQLGFLPREVAKWVAPLSDSGFFNFSGFIYPREALEAAFGVNNTKVQLLLYVSKGPEFYRISGLICDEHFPPLCSLIASLKRCLGLWRLEEVLSNIKWPEALETDFIYGASSIGTSINPQFIASFASATGKRPHQDFDSQESDPEGEKRGLWNPVVPEFAFST >PVH35251 pep chromosome:PHallii_v3.1:7:33818166:33823928:1 gene:PAHAL_7G135100 transcript:PVH35251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPQQPDHTYTSGGLGYFHLRLVGPASALLLLRSDRLYSLSPSRRRGHRLRLLLARPRRPRARDLLLCTSGGALRLTHRFSGAGAPSVNGRPIRARTPAELAVGDEVSVLWRGARYGLVVERFVSCGGGGGVAAKAPGSCQEGLVFRAESLRKRLRAISESEDPLSFLRDSSCSGNGSADAGVEELRQDDAGELCLDNPTNPVSEENLPQDDCNLDQDKLEYHPDVVKGGDTDAELCQGSKGCGDDNEEQTGCSNGNEEQHHSEGCYSDGSTFFLNRLARIGSLSDTRAEPHSGVTLPQLLHPVESLVRVFTATFTSDISWFLDYCKIPQHLPVTIACHNKERCWSASSENRMAAPFESHPNLLLVYPRFPEEIAFGKDRKKQGVACHHPKLIVLQREDSMRVVVTSANLVPRQWHLITNTVWWQDFPRRTSLDYAALFGTAEDQNSDFAAQLVSFIASMVNEVPSQAYWINEIAKYDFEGAGGYLIASVPGIHARSPPYLESNYFLSEKHILHKKSAHRVYVGCVQTSVVGLSHRFHMPSDVGSQLRALSAFLGKFRENMHGTTEVILKRNTNIPADANAVSVLVADLDKFSEEGSVQLGFLPREVAKWVAPLSDSGFFNFSGFIYPREALEAAFGVNNTKVQLLLYVSKGPEFYRISGLICDEHFPPLCSLIASLKRCLGLWRLEEVLSNIKWPEALETDFIYGASSIGTSINPQFIASFASATGKRPHQDFDSQESDPEWGCWTAKHELKKPSITLLFPTIDRVKKGACGIQLCRSLLSLPEKTWQRLRSTGIFHDAIPQPYARIGHPMHVKVAQRRFESRSGGHSFGWTYCGSHNFSPAAWGQILSPPSKANPTEARSAPSGPRLHICNYELGIILISPPPGKSKQASESRHRIESIALPFVVPPLRYKGSDRPATRLAMREAAAEACVLQSYDVVNLSDDTDEDVPDEDDEQEVELSDCSQQEKEEEKIYAEMLWGQVDSSQSQEKDS >PAN40897 pep chromosome:PHallii_v3.1:7:47781513:47784645:-1 gene:PAHAL_7G348900 transcript:PAN40897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPPAVPHPIVDRDVWLACAVPLSRLPVVGAQVYYFPHGHAEQCPEHLPAPLPTPHLFPCVVTAINLGADDNTNEVFAQISLQPGPHRGPPAPDADPSNNPHHLSYFAKQLTQSDANNGGGFSVPRYCADHIFPMLDFDDDPPVQNLVMRDPQGNPWQFRHIYRGTPRRHLLTTGWSKFVNAKLLVAGDTAVFMRRPDGDLLIGLRRAPRYPVVSRDDAARQPPPRNARARVPPGDVMEAARLAAEGSPFTVTYFPRQGAAEFVVPRKEVEDALASRWEPGTQVRMQVMEAEDARRTEWANGTVKALHPNIWRALEVDWDDSSPFSKTRSKYVNAWQVQFVSFPPLLKRLKISDTIAPLCSGDCSSLAPPLIGPESKAMAILLGSPIPAGMQGARHSGPSDVPSSSSTLGMLTTQLFFPLPSGDLQMPPSVISGGSSEIFDPEVGSPPNNSVNMPPPELPVEAKSIQLFGATITQHVAQIATKGASEEVNGAVNGVVDGNVGKDF >PVH34958 pep chromosome:PHallii_v3.1:7:22620559:22621020:1 gene:PAHAL_7G075900 transcript:PVH34958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISFESESTREATPEYDPIAAYEAWSEDDESLTDGEDLQLLLSGELDEDDEDDVSWEGDFFSSEEEVDSSSTEEDSVAGGFLLGRSSKDDDDNDDDEEEAEDNSGFNSDSGGDDGGNDDGIDDDSDASMARPIKRRRVSGTYWW >PVH35876 pep chromosome:PHallii_v3.1:7:44735405:44736197:1 gene:PAHAL_7G299200 transcript:PVH35876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMNNQMPYLLPDEYSKVANKDCHPMCEGMKLVLNRYRFDVKPEIINRSIIEATGLVYECDFNVKKHAESLHYAGEHLKEISGIDFEDWDLLKLATALMIVGYPKGEQTVAGNLKKLFGDDYSTLVEDAPKYKNKGLREVACYRVYEEMLWARKVRFKALRHLAALIRTAHEAYDTEQVMSHE >PAN37039 pep chromosome:PHallii_v3.1:7:6138918:6139231:1 gene:PAHAL_7G027900 transcript:PAN37039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRARNALVATGLLIFAGAGLSFPFLFVKSKNRPIIDSSKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >PAN39115 pep chromosome:PHallii_v3.1:7:39728075:39730470:-1 gene:PAHAL_7G222300 transcript:PAN39115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIGRKIHLYNVTIGLYMLDWWERYLFNILMVCLFWYILRYVLGFFQSNLKALFQDGNYLGRGST >PAN39114 pep chromosome:PHallii_v3.1:7:39728079:39730470:-1 gene:PAHAL_7G222300 transcript:PAN39114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIGRKIHLYNVTIGLYMLDWWERYLFNILMVCLFWYILRYVLGFFQSNLKALFQDGNYLGRGST >PVH35634 pep chromosome:PHallii_v3.1:7:40307975:40308754:-1 gene:PAHAL_7G231100 transcript:PVH35634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATGLGRDSMTGCIVASDNWWKEQNDAMVGCISFKDAPLEHEDQMRIMFEAVSVTNETSFVPSNGEGGGQEDDGGQNSCELGREGQVPTPPNGTPTLGKRTAPVSPKGKKKKTFRDQCMKRLVEAYEKKAESSNNSATSNVVDSVREEIGNMLDQVIKDGAEEGSDEHYYATQLLIKKEYRDVFITLKTSNGRLNWLRRAWEDSKKH >PVH35158 pep chromosome:PHallii_v3.1:7:31202200:31202811:1 gene:PAHAL_7G113100 transcript:PVH35158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPGVCTRAATSRSEPLTRARSHAHGRPAYSASSRTTRPNRLRTLVLFLPSALLRSAWPCSAQRQPLHQRPTEPPLAPPARRQHLPPLAPEPNRAPRACAASALARACLQPCAAPRTAAARFGFRPPRAWAARSARVGRSSPGLAPLRAPPSRWSTRAPPPGARHRLPRTAALRPCACAAPLRPAGAAHAWSRAHRLGFPLA >PVH35694 pep chromosome:PHallii_v3.1:7:41426913:41428698:-1 gene:PAHAL_7G249100 transcript:PVH35694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRQTRRAHARLGRGCPRLRRVPTSTSSASPSTPSHRLTPPRPRHSPRTSWPCSRPTPTGARPARGAPRQALRLAPPRHRRARPDQRVRRRGGRADAQRRGVQAALPGRVDASRQDGRRAPASA >PAN36930 pep chromosome:PHallii_v3.1:7:2283587:2288162:-1 gene:PAHAL_7G012700 transcript:PAN36930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGAGAGSSSGGSGSGGGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPRDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALQMAGMAMPAYATYIARLQSLAASASAYKMNFGMAANSSLQQQLLANANWSYGLAGRYGMQSSGWPFGNNNTNQFPGVPKDWRNGDWLCSCGFHNYSSRTQCKECGAPVPSGIPSTTMKTTSDASSTLGTKRLASEELANDWDNKRLNPGNDNYPLSTAGTNNLFLGIEQGAGSSNGQAAFSNFDNGSSIALPSGQAMSGLMGKGAKWRDGDWMCSNCNNHNYASRAFCNRCKTQKESAVHPGVL >PVH34708 pep chromosome:PHallii_v3.1:7:2283587:2286954:-1 gene:PAHAL_7G012700 transcript:PVH34708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALQMAGMAMPAYATYIARLQSLAASASAYKMNFGMAANSSLQQQLLANANWSYGLAGRYGMQSSGWPFGNNNTNQFPGVPKDWRNGDWLCSCGFHNYSSRTQCKECGAPVPSGIPSTTMKTTSDASSTLGTKRLASEELANDWDNKRLNPGNDNYPLSTAGTNNLFLGIEQGAGSSNGQAAFSNFDNGSSIALPSGQAMSGLMGKGAKWRDGDWMCSNCNNHNYASRAFCNRCKTQKESAVHPGVL >PAN36929 pep chromosome:PHallii_v3.1:7:2283129:2288373:-1 gene:PAHAL_7G012700 transcript:PAN36929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALQMAGMAMPAYATYIARLQSLAASASAYKMNFGMAANSSLQQQLLANANWSYGLAGRYGMQSSGWPFGNNNTNQFPGVPKDWRNGDWLCSCGFHNYSSRTQCKECGAPVPSGIPSTTMKTTSDASSTLGTKRLASEELANDWDNKRLNPGNDNYPLSTAGTNNLFLGIEQGAGSSNGQAAFSNFDNGSSIALPSGQAMSGLMGKGAKWRDGDWMCSNCNNHNYASRAFCNRCKTQKESAVHPGVL >PAN40582 pep chromosome:PHallii_v3.1:7:46477149:46482088:-1 gene:PAHAL_7G326200 transcript:PAN40582 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MGALEEAHLAAAVAAACACEEDDDDLELLGGEAEPAAAAADAMEPAVRALLAGLGEDERREGLRRTPKRVAKAFRDGTRGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIELFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVSDVFAKRLQNPQRLANEVCGALHASIQPAGVAVALQCWHIPLPENLECKTLQGWIRTSHSSRSGVFEGETSTFWSDFLALLKLRGIDMEVDNHSASIPWCPLRSYEVPLSNGHCKKSSTNSMVSAVTSMLLSLGEDPLRKELLGTPQRYVQWLMKFRACNLDVKLNGFTLGNVSVYERPGGGTVDHGAIRSELHLPFCAQCEHHLLPFYGVVHIGYFDNGSGEGIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHNGVMVVVEANHICMISRGVEKIRSNTATIAVLGQFLTDPSAKAHFLHNVLDTAGPAV >PAN36985 pep chromosome:PHallii_v3.1:7:4634666:4643588:-1 gene:PAHAL_7G022700 transcript:PAN36985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESGSGVAGAGGGEAPAPSAPNGDRSGNSPPAVGPSSAVDDRGLHRASTMPGVIKNDEITNETAGPSNLERSRTERRRQNNPADDPAKQLFDERIPIKKKLKMLNRIATVKDDGTVVVDVPSGLEPAASGGTEDGYTEVVVDESLDGTDIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDPDPDTDIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQEPQKMTEIIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVSHCLNFWNISLLACCLTL >PAN36987 pep chromosome:PHallii_v3.1:7:4633311:4643222:-1 gene:PAHAL_7G022700 transcript:PAN36987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESGSGVAGAGGGEAPAPSAPNGDRSGNSPPAVGPSSAVDDRGLHRASTMPGVIKNDEITNETAGPSNLERSRTERRRQNNPADDPAKQLFDERIPIKKKLKMLNRIATVKDDGTVVVDVPSGLEPAASGGTEDGYTEVVVDESLDGTDIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDPDPDTDIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQEPQKMTEIIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGLGPPPIPVDQFGLQKLVDAIKFMMEPAVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEDQSPPQSSSFLEFLGPVSKCLGCS >PAN36986 pep chromosome:PHallii_v3.1:7:4632949:4643588:-1 gene:PAHAL_7G022700 transcript:PAN36986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESGSGVAGAGGGEAPAPSAPNGDRSGNSPPAVGPSSADDRGLHRASTMPGVIKNDEITNETAGPSNLERSRTERRRQNNPADDPAKQLFDERIPIKKKLKMLNRIATVKDDGTVVVDVPSGLEPAASGGTEDGYTEVVVDESLDGTDIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDPDPDTDIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQEPQKMTEIIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGLGPPPIPVDQFGLQKLVDAIKFMMEPAVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEDQSPPQSSSFLEFLGPVSKCLGCS >PVH34750 pep chromosome:PHallii_v3.1:7:4636632:4643588:-1 gene:PAHAL_7G022700 transcript:PVH34750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESGSGVAGAGGGEAPAPSAPNGDRSGNSPPAVGPSSAVDDRGLHRASTMPGVIKNDEITNETAGPSNLERSRTERRRQNNPADDPAKQLFDERIPIKKKLKMLNRIATVKDDGTVVVDVPSGLEPAASGGTEDGYTEVVVDESLDGTDIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDPDPDTDIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKELSPSP >PVH35889 pep chromosome:PHallii_v3.1:7:44814686:44817390:1 gene:PAHAL_7G300800 transcript:PVH35889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKLRSLTTLAAISVTLLFAWKMLRAPQEQPRRPRRRAAPSSSNTSSRSRPGALTTTDACSSADSRAHEAVSQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEEIQNHVSVRTSVVEVLLGIAKFCDVYLMERVLDDESEEKVLSALSEAGLFASGALIKEKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRPERIASNVFSSPSLEQYFGGLDQR >PVH35885 pep chromosome:PHallii_v3.1:7:44812250:44817390:1 gene:PAHAL_7G300800 transcript:PVH35885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPPTSSCHTKKLRSLTTLAAISVTLLFAWKMLRAPQEQPRRPRRRAAPSSSNTSSRSRPGALTTTDACSSADSRAHEAVSQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEEIQNHVSVRTSVVEVLLGIAKFCDVYLMERVLDDESEEKVLSALSEAGLFASGALIKEKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRPERIASNVFSSPSLEQYFGGLDQR >PVH35887 pep chromosome:PHallii_v3.1:7:44813710:44817390:1 gene:PAHAL_7G300800 transcript:PVH35887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPAPAAGAGAGGGKDDELADLVRRLVDAVARYADRLPFDLDRQKLRSLTTLAAISVTLLFAWKMLRAPQEQPRRPRRRAAPSSSNTSSRSRPGALTTTDACSSADSRAHEALTLEQLVRHKLSEGRRVTCRLLGVILEETTPEEIQNHVSVRTSVVEVLLGIAKFCDVYLMERVLDDESEEKVLSALSEAGLFASGALIKEKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRPERIASNVFSSPSLEQYFGGLDQR >PVH35888 pep chromosome:PHallii_v3.1:7:44813710:44817390:1 gene:PAHAL_7G300800 transcript:PVH35888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPAPAAGAGAGGGKDDELADLVRRLVDAVARYADRLPFDLDRQKLRSLTTLAAISVTLLFAWKMLRAPQEQPRRPRRRAAPSSSNTSSRSRPGALTTTDACSSADSRAHEAVSQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEEIQNHVSVRTSVVEVLLGIAKFCDVYLMERVLDDESEEKVLSALSEAGLFASGALIKEKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRPERIASNVFSSPSLEQYFGGLDQR >PVH35886 pep chromosome:PHallii_v3.1:7:44812251:44817391:1 gene:PAHAL_7G300800 transcript:PVH35886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSLTTLAAISVTLLFAWKMLRAPQEQPRRPRRRAAPSSSNTSSRSRPGALTTTDACSSADSRAHEAVSQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEEIQNHVSVRTSVVEVLLGIAKFCDVYLMERVLDDESEEKVLSALSEAGLFASGALIKEKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRPERIASNVFSSPSLEQYFGGLDQR >PAN40036 pep chromosome:PHallii_v3.1:7:42607030:42608003:-1 gene:PAHAL_7G265700 transcript:PAN40036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDFNIGSNIATFKIILQHHGRKQQWCSPTASSGSTRRRPRRWLWRRVGGVVPLRRRRSRIRRHRGGRPGRGAPAGADLLRAPLPRCSVAASPATRSPRSRSGSAPRSSPRPLPPPSCPPPAAAAATVLVHRGTRVGRAAGQREEAPEGGRPARELRLLRATALQAVGRHRSVLREKRVELEKQRARDER >PVH35712 pep chromosome:PHallii_v3.1:7:41599313:41601980:1 gene:PAHAL_7G252700 transcript:PVH35712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMGVNSKAEAARERRSAAEADRRDRQERAKEEQYWAEAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAAAAAASASAPSKAAARKASRVAAPPPKVTEAELARRREEERLRLECEAEAAKKRAARMAEEEEYERVVLVANTNRDDSIIEARSVEEAIARMSVVDPQAALPADKHPERRLKSSFKAFEEAELPKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQAAE >PAN39573 pep chromosome:PHallii_v3.1:7:41599313:41601980:1 gene:PAHAL_7G252700 transcript:PAN39573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMGVNSKAEAARERRSAAEADRRDRQERAKEEQYWAEAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAAAAAASASAPSKAAARKASRVAAPPPKVTEAELARRREEERLRLECEAEAAKKRAARMAEEEEYERVVLVANTNRDDSIIEARSVEEAIARMSVVDPQAALPADKHPERRLKSSFKAFEEAELPKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQAAE >PVH35841 pep chromosome:PHallii_v3.1:7:44171167:44174660:1 gene:PAHAL_7G290300 transcript:PVH35841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKKIENPTNRQVTFSKRRMGLFKKANELAILCDAQIGVIIFSGSGRMYEYSSSPWRIANIFDRYLKAPSTRFEEMDIQQKIIQEMTRMKDERNRLRIIMGQYMGEDLASFSVEDLSNLEQQMEFSLYKVRLRKQELLDQQLLEMRHREMHMSEEQSGYLCLMNPAARGQCQAAEMSGNPRPFPWWDAGASASGSGSQSSQRPHGRDAEPSVTALQLSPHLHGYRLQPRQPNLQDANLHGWLW >PVH35840 pep chromosome:PHallii_v3.1:7:44170500:44175164:1 gene:PAHAL_7G290300 transcript:PVH35840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKKIENPTNRQVTFSKRRMGLFKKANELAILCDAQIGVIIFSGSGRMYEYSSSPWRIANIFDRYLKAPSTRFEEMDIQQKIIQEMTRMKDERNRLRIIMGQYMGEDLASFSVEDLSNLEQQMEFSLYKVRLRKQELLDQQLLEMRHREMHMSEEQSGYLCLMNPAARGQCQAAEMSGNPRPFPWWDAGASASGSGSQSSQRPHGRDAEPSVTALQLSPHLHGYRLQPRQPNLQDANLHGWL >PVH35842 pep chromosome:PHallii_v3.1:7:44171471:44175164:1 gene:PAHAL_7G290300 transcript:PVH35842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKKIENPTNRQVTFSKRRMGLFKKANELAILCDAQIGVIIFSGSGRMYEYSSSPWRIANIFDRYLKAPSTRFEEMDIQQEMTRMKDERNRLRIIMGQYMGEDLASFSVEDLSNLEQQMEFSLYKVRLRKQELLDQQLLEMRHREMHMSEEQSGYLCLMNPAARGQCQAAEMSGNPRPFPWWDAGASASGSGSQSSQRPHGRDAEPSVTALQLSPHLHGYRLQPRQPNLQDANLHGWL >PAN39054 pep chromosome:PHallii_v3.1:7:39448919:39450981:1 gene:PAHAL_7G217900 transcript:PAN39054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAASLSPVCCVPFPSSSPRRASPSSTRRLPRFAARSSGGGGGGSRPEPKPGDNESKAVLDAFFLGKAFAEALTERVESVVGEVFSVVGQWQAEQQKQVQEFQEEVVQRAQKAKERAATEVTDDKGPKTLRDPSATIVTPAPTSRPPATPTQAE >PAN38882 pep chromosome:PHallii_v3.1:7:38524631:38528278:-1 gene:PAHAL_7G204400 transcript:PAN38882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANGHPPPASIAAQNGSHSGGGGGGGGGGGGGGANPTNSGTAAALRHDPGLAREWSPEEQATLDELLAKYASDVPVVRYAKIAMKLPEKTVRDVALRCRWMNKKESGKRKKEDHNSSKKSKDKKEKVSDSSSKPPVHMAGRPNVPPYPVPVLPMDDDEISSKAIGGPTGEILETNAQVLGQISTNLSNMQIQDNISLLCQTRDNILRILKEINDAPEIMKQMPPLPVKINEELVNSLLPRPAVPMQ >PAN39230 pep chromosome:PHallii_v3.1:7:40229333:40235482:1 gene:PAHAL_7G229500 transcript:PAN39230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGEEEEEEEVFYESRDRVLSSSCSSTSASDDDDHDHPRWRRDGGASAAAAAAALDVWTSEPAPVQERRRRLLQMMGLAGDPALARLEMGRSASYDGPVRPAPVSPISRSRSDGAVPTKPPLGARSRQASSGSSEATPEGEEADPRCLIRNLDDGREFVVKEEFDLREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENVANSGSNNDPSTPIQRSNSGSSNGATRHRRRSSWLRSIRNVAGSMVVSSRDRRSSDEKDTCSEKGGRRSSSATDDSQDSAGAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPDGQYLASAGEDCVIHVWEVSEFERKLEENGVCNPFVAMVCNGSLEPTLALASLDGSNSEKKRRARFLESRRSVSSDRLMVPEHVFALSEKPIRTFVGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIQNREIVDWNDLHEMVTAACYTPDGQSALIGSHKGSCHIYDTSDNRLLQKKQIDLQNKKKKSSQKKITGFQFLPGNTSRVLITSADSRIRVVDGLNLVHKYKGFRNTSSQISACLAANGRYVISASEDSHVYIWRNDDNLERGRSKGNVTVTNSYEYFHCQDVTVAVALPSTGSAMVSRTNSRKHDEQDCVSEHHLLHVVPEKLQASCDFQSQSGNILSTSSNHSGDRATWPEELMTPTKQSPRSSASLPSGAGQASSRSAWGMVMVTAGRGGQIRTFQNFGFPARV >PVH35627 pep chromosome:PHallii_v3.1:7:40230038:40233570:1 gene:PAHAL_7G229500 transcript:PVH35627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGEEEEEEEVFYESRDRVLSSSCSSTSASDDDDHDHPRWRRDGGASAAAAAAALDVWTSEPAPVQERRRRLLQMMGLAGDPALARLEMGRSASYDGPVRPAPVSPISRSRSDGAVPTKPPLGARSRQASSGSSEATPEGEEADPRCLIRNLDDGREFVVKEEFDLREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENVANSGSNNDPSTPIQRSNSGSSNGATRHRRRSSWLRSIRNVAGSMVVSSRDRRSSDEKDTCSEKGGRRSSSATDDSQDSAGAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPDGQYLASAGEDCVIHVWEVSEFERKLEENGVCNPFVAMVCNGSLEPTLALASLDGSNSEKKRRARFLESRRSVSSDRLMVPEHVFALSEKPIRTFVGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIQNREIVDWNDLHEMVTAACYTPDGQSALIGSHKGSCHIYDTSDNRLLQKKQIDLQNKKKKSSQKKITGFQFLE >PVH35934 pep chromosome:PHallii_v3.1:7:45371512:45372433:1 gene:PAHAL_7G311600 transcript:PVH35934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRPTPRAGSSSSTTSGGALGAGAPTSAPRSHASAPAALPLPSLYAPALRSHASSSASAAPSSKSEVVLLSATSPPQVRRVPARTPGRRHRRVLPVPGRLPRRGAAAAPERGEGPGGGPRSAAGAAGRVVLPWFREESRGGKAAQQQERRAPASVVGRSRPKRNGFPALNRGGGTGGGSPQILFSDGFLTWIAINSRDPIRSVLCKISYDSFTLNTLIRIAINSYDPIQNVLYKH >PVH35942 pep chromosome:PHallii_v3.1:7:45585317:45585982:1 gene:PAHAL_7G314300 transcript:PVH35942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLHVAVAGRAMAVVERDGTHDPATGRVLTGSWLWDSSLVLASHLADDDSARLRLRGATVLELGAGGTGLPGIAAVACLGAARCVLTDVRALLPGLRANAEANGLTSAQADVRELRWGDQLEHQLRVDVVLMSDVFYDPVDMPAMAATLRGLWRDGAGGGTVGWAASEVRDSVQDCMDVLREHGFEVAEVDRVTRPLLRYPDQTAAFAVYRVSLRQQEGS >PAN38792 pep chromosome:PHallii_v3.1:7:38191211:38197427:1 gene:PAHAL_7G198600 transcript:PAN38792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRHAAAATALRAYLRRACSSHAADPDDQLLGLVEAPEPRHGSRLSRKDFAFLQEPTPPLPAAALPSPEAVLISKAIRAYATDFDGKAERFLRRHREFLTDAVVVAVLRSVRDPELCVRFFLWAERQVGYSHTSACFDALAEVLSFEDRARTAERLLREIGEDDREVLGRLFNVLVRKCCRHGAWAEALEELGRLKDFGYRPLGATYNALVQVLATAGQVDMGFRVQKEMSESGFCMDKFTIGCFAQALCKEGRYSDALDIIEREDFKLDTVLCTQMISGLMEASLFDEAISFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMKEGCNPNPSLFNSLVHSYCNAGDYLYAYKLLKKMAASSCPPGYVVYNIFIGSICGGEELPNPELLDLAETVYEEMLASSCVLNKVNTANFARCLCGVGKFDKAFQIIKVMMKKGFVPDTSTYSKVITFLCQAMKVEKAFLLFQEMKNVGVNPDVYTYTILIDSFCKAGLIEQAQIWFDEMRNLGCFPNVVTYTALLHAYLKAKQLSQASDFFHRMVDAGCPPNAITYSALIDGLCKAGEIQKACEVYAKLIGTSGSVESEFFFEGEHTDTIAPNVVTYGALVDGLCKAHKVVDAHELLDAMLSTGCEPNNIIYDALIDGFCKVGKIDNAQEVFLRMSKSGYLPTVHTYTSLIDAMFKDKRLDLAMKVLSQMLEGSCTPNVVTYTAMIDGLCRIGESEKALKLLSMMEKKGCSPNVVTYTALIDGLGKSGKVDIGLQLFTQMSTQGCAPNYVTYRVLINHCCAAGLLDEAHSLLSEMKQTYWPKYLQGYSFAVQGFSKKFIASLGLMEEMESHGTVPIAPVYGLLIDNFAKAGRLEKALELHKQMIEVSPSQNITSHVTYASLIQAFCLASHPEEAFELYSEMTRKGVVPELSVFVCLIKGLVKVNKWNEALQLCYSICHEGVNWQGNNSFDGG >PAN36957 pep chromosome:PHallii_v3.1:7:5088283:5091224:-1 gene:PAHAL_7G024600 transcript:PAN36957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPCFILFWLFLCLGLSSPSCSAANGSTLTAGSALTSGERLVSSNGKFALGFFRTGSSKSSGNTTLPNWYLGIWFNKIPKFIAVWVANRDMPIPEPSLNLSKLTFTGDGNLVIMNHVTKSEIWSTKIFRRTKFSSNITAVLSDNGNLVIQDASKPTRILWQSFDHQTDVVLPGGKIGHNKVTGMKYRVVSKKNLVDPASGSYCMERDPGGSKQIVDKLCNSSTVYFSTGEWNGKYFTSVPEMSANAFAFVKFIDNREEEYWTVNTFDETVTAFIILDSNGLTKMLIWVNALQDLETLYIQPKGSCDVLAVCGPFTVCNENALPLLCTCMAGFSVKSPKDWNLDYKRGGCMRNTQLECSRNKTTKELTDQFFPIPSISGLPDNAHAMKAVASAEECMEVCLSNCSCTAYSYSTDGCSIWKGELLNVKQRNGTTGTNGEILYLRLAAEEVQSWRNSGRRRMAIGILVGASVSALVLFVVVLLLIIQRKKRKSCDHSNGIKDSGGVLAFRYVDLQRATKSFSEKLGGGGFGNVFKGILSNSTGIAVKTLTGARQGEKQFRAENRSLDVHLFRSNGTILNWGTRYQIAIGIAKGLSYLHESCRECIIHCDVKPENILLDTSFVPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGVPITQKVDVYSYGMLLLEIVSGRRNKFEECKTSDDHAVYFPLKAAWKVQEEDFGSLIDHQLLGEINTEEVERACKVACWCIQDNEFDRPTMGNVVQVLEGLVDLGKPPVPRLLETILGSSAST >PVH34760 pep chromosome:PHallii_v3.1:7:5088481:5090922:-1 gene:PAHAL_7G024600 transcript:PVH34760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPCFILFWLFLCLGLSSPSCSAANGSTLTAGSALTSGERLVSSNGKFALGFFRTGSSKSSGNTTLPNWYLGIWFNKIPKFIAVWVANRDMPIPEPSLNLSKLTFTGDGNLVIMNHVTKSEIWSTKIFRRTKFSSNITAVLSDNGNLVIQDASKPTRILWQSFDHQTDVVLPGGKIGHNKVTGMKYRVVSKKNLVDPASGSYCMERDPGGSKQIVDKLCNSSTVYFSTGEWNGKYFTSVPEMSANAFAFVKFIDNREEEYWTVNTFDETVTAFIILDSNGLTKMLIWVNALQDLETLYIQPKGSCDVLAVCGPFTVCNENALPLLCTCMAGFSVKSPKDWNLDYKRGGCMRNTQLECSRNKTTKELTDQFFPIPSISGLPDNAHAMKAVASAEECMEVCLSNCSCTAYSYSTDGCSIWKGELLNVKQRNGTTGTNGEILYLRLAAEEVQSWRNSGRRRMAIGILVGASVSALVLFVVVLLLIIQRKKRKSCDHSNGIKDSGGVLAFRYVDLQRATKSFSEKLGGGGFGNVFKGILSNSTGIAVKTLTGARQGEKQFRAEVSTIGMIQHVNLVKLIGFCSEGDRRIIVYEYMQNRSLDVHLFRSNGTILNWGTRYQIAIGIAKGLSYLHESCRECIIHCDVKPENILLDTSFVPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGVPITQKVDVYSYGMLLLEIVSGRRNKFEECKTSDDHAVYFPLKAAWKVQEEDFGSLIDHQLLGEINTEEVERACKVACWCIQDNEFDRPTMGNVVQVLEGLVDLGKPPVPRLLETILGSSAST >PAN39420 pep chromosome:PHallii_v3.1:7:40943661:40944119:-1 gene:PAHAL_7G241200 transcript:PAN39420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Oleosin [Source: Projected from Oryza sativa (Os04g0546500)] MADQHRGGMGVGGGGYGDLHRGVGETQQRQGAMMTALKAATAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKDAAQQRIDQAQGS >PAN36830 pep chromosome:PHallii_v3.1:7:995921:1005415:-1 gene:PAHAL_7G004200 transcript:PAN36830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAKMMPGMPRLGVMHASAVVKVSRDKLVSALRDAAAAMPENLRLHLEELKGKMETMAEVMGAAEMGSMEEEAPEWLMHLEDTAYGIWDMVDELQLQSNEGAPVLAAVRRPSCLPIAIAREERIMVTTMSKAIENLDLLQQRLQSDLGFRRDENTSGKLEQSVDDAHHPGGTASSFDEEEDFIVDREDLKSYIVDYILLSRDSSGLITIDGMGGMGKTTLAKMVFCDTGITRDYARAWVNVGAEEFDLKKIGNSILSQLSNKGEHQDTSDVELIMKRLDKLLGGGNKILVVLDDVRPEHASDLKRMLGGAWKIEGGKAIVIVTARRILDFAGLPRLQGACRVGPISFDGSLRLVQRKLGFDTTTTDDATLELMYMIAGKCDGIPLAIHALGYALRSKTPEEIKSVIDSDIWVEYGYEPFGSLTLPLLLSYQCMPPNLRLCFAYCATFPRGHSIRKDDLVHHWTALQLIEPSDKLSTMQLANKYIGMLLGMSFLQPSMPPSDDRSAMHFTLHDTIYDLAVTASSGKLINLNSTYSYQSFSEKNSYRYACLANFDVSALNLSGISSAQLSVLLFHGCRTNKQLSDDLFSPAKYLRVLDLRDFCLQKLPNSICQLRQLRYLNLSGCSSLVNLPDSFGNLLNLGHIDLSGCSRLLELPASFGNLRNVVHIDLSGCIGLKALPPESFGLLKRLEHFDLSSCSCLEGIPYFVNGLTNLQYLNLSHPCCYLAKHRFHLNALKDIWVKLINLRYLNLSMCLNPMFCYLPEKERIEYIESISCLHKLEHLDLSHNIFLSDVPESLGQLGELQTLNLSGCVRLKRIDKWMGERNCPKKFLVVSNCLGLERYQFAVRTDGGGKRSNLAQLKDVSCKEVEISCLEKVISTEEAQSIGLTEKKKLQKLTLVWSAGRDDVSFRDQDLLAELVPPKNLQCFELHGYSSAYLPAWLASNMLSHLPNLMEVTMVDIPNCSNLPPFGVLPNLQRLVLKRVTKVIRIDADHLGGDSKAAFRRPLHVTLDGMLNLEEIDTYSCHDRFEFPTLDELVINKCPKLRFGDNPPPKARMLVISDCDQLMVSPVEKRGEHVEDPSSSTCTTSAPVTEVVIESCKMPLSELKWSLLEGLAPLHSLHIRNCSEKIISPEIMKDCLSSLQLLCFSHCVNMTYLPEHVVQDTSLKELVIDECRGIKSLPQSVYEERNKHYPLLRIRDCPELKKWCELEENKTKLAHIEIIFEESGTSEPETTTHGKYT >PVH35083 pep chromosome:PHallii_v3.1:7:29525097:29528914:-1 gene:PAHAL_7G102900 transcript:PVH35083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDELRISGLYLHHAYREPSPTQLTIVRPPKGMFGKTAANNWTIHEGPDPREYAIVARAQGLHLNSGNWHNSFTIVFENDGSTLHVMGISVSDGDWGIVGGTGEFAMAQGVISKKLFKQIETGNIVELNIYAIYPAKIPHLVRDGPVGGNGGNPFEPQYEPRRFESIKVLSGEAVDSIEFFTLIGMELSARKVDFAAPEFVKEVTGTVAGNIVTSLNFVTNFQTYGPFGQVRGTPFSLPKQKDGKIVGLFVRAGNVIDALGVLVEP >PAN38256 pep chromosome:PHallii_v3.1:7:35658986:35661576:-1 gene:PAHAL_7G158100 transcript:PAN38256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGKRKRGDDASGGDAAGCSSGGGDRLSALPDHLLHEVMSHMKARQMVQTCVLSRRWRHLWPKVPCLDIDQRELNAGHDKFDDFAYFLLRKVSVARLDAFRLHVNEGFDRGGLVGNASAWIRRAIMASAQAPPAREGLTSSGSWRLRRLHLSGLRLDGLFAEHVRSRCPSLEDLELRVCKCRLRAIASSSLESLSLIGCSWAGKEFSEISSPTLKNLVVDRGSNDDIDSPFVVTALALASLFLVVTPYNFPGGVSFGEMPSLARASVHLKERETLAKRAHLRSHLFKILRSVSNVASLELNGFDVTVKAGEESTTFPEFSNLRTLELDQCGLGHDIHVSGHILRNSPNLEKLTLTYIESSKDTRKKKGTSKMNNIDQNHMDVRCENLKLTKIIYKDDDVGQLVQFLLRFSRNLPNNNKTNQD >PAN38939 pep chromosome:PHallii_v3.1:7:36129509:36132906:1 gene:PAHAL_7G163700 transcript:PAN38939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMESSYLPATTESLAKAQEAKDASESISILYRVIQDPSSSADALRTKEVAITNLTNYLTKENRAEELRNLLTQLRPFFAVIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEEIFPATLETISNVGKVVDSLYMRSAKIMA >PVH35160 pep chromosome:PHallii_v3.1:7:31369539:31372128:-1 gene:PAHAL_7G113800 transcript:PVH35160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGVRHARNNHVANRRATQRSASHGTATTGDDSQRSTVAVPPQVEPPKKRGRKTGPLTYTTQLGAILTREYPGLIEDKDEDGVVIGKHRALERDHYFLNTESVEASGDRVLSEFLRLFQVSEEDQEEADHVLENYLKKTVRDMMYQAHVEAVKEYYRIILKQDLDDKLAHSIELEYEQYLRGKIKWCDAEVWPELRCYWCSEEFKVKRKRGQNARLNSEDIAQNHGGSRPFTEIQQVLHNSHVCSFVHISFNCCCRKLLMDGIGNSGEIRSNKSQKRMDDYIEGARRAARPEGEDEDMEDAEGEEEHVHEQHLNEQVLYDVSCDSRTHGHLAIANEAVRVADVRAAARERELERECTRGPHTIFASI >PAN38051 pep chromosome:PHallii_v3.1:7:34407704:34408960:-1 gene:PAHAL_7G143100 transcript:PAN38051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKHHRDWILRRCCGAVCACALTLAAVVGFIILVIYLALHPSKPSFYLQDVQLRSIDLSDPALSLNLQVTIASRNPNERVGVYYKTLDAFATYRDEPVTVPVELPSIYQGHKDASVWSPVLSGESVPVAPYAADAMRQDIAAGYVLLHVRVEGRVKWKVGSWVSGGYHLFVNCPALLAASGGAVGGAFAASASAGGGAVPAGVNTTVSLKFTHPADCTVDI >PAN39413 pep chromosome:PHallii_v3.1:7:38257805:38258332:1 gene:PAHAL_7G199800 transcript:PAN39413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNVSAGMVIGVTVAGGVSAAVFVCATSCWAIKACLEGKFSRGWRRLRVLGLGGVTTLEQAPAYDCALCHHSLDQGEEVRTLSCDHVFHFRKSARCRNNIDDWLRENRMRCPACCKTACPVLPRKAPPTSAPMPAPAPPPSDLELQIPQLLAPDDEASVSSLWFQDTLWSPSQ >PAN40453 pep chromosome:PHallii_v3.1:7:45749130:45750637:1 gene:PAHAL_7G316700 transcript:PAN40453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMGSRDKLAAASAASGHRRALFVVFAFCFAFATFLTFLYTTSHFTSAAMSGSGSAAGDSASSTSVTGGGGASVSDSGSTKLPLPVFDALVHYASISNATHRMPDTDIRAVSAVLRARAPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLAAARAARAKECRPVQNLLFSECRLAINDLPNDLYDVAWDMVLIDGPSGWNPNSPGRMPSIFTTAVLARSGATAAKGPTDVLVHDFQFEVEQVLSKEFLCDENRVAGSGTPSLGHFVIPGGGGRSDAFCSAQEEGSSESGEKTRRRK >PVH35320 pep chromosome:PHallii_v3.1:7:35101691:35104721:-1 gene:PAHAL_7G149800 transcript:PVH35320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKKLPLQERVPLARTAWKLADLAVLSLLLALLARRAATLLAGAAPSCTWCWLAALVCEAWFTVVWLLNVNGKWNPVRFDTHPERLAERIDELPAVDMFVTTADPKLEPPAVTVNTVLSLLALDYPAGKLACYISDDGCSPLTLFALREAAEFAKLWVPFCRKHGVSVRAPFVYFSSAGPERGGAAGGEFQRDWTAMKSEYEKLASRIENADEESLVRRGAGEFAKFVGADRRDHPTIIKVLRDSCREGDGTGVPSLVYISREKSPRHHHHFKAGAMNVLTRVSAVLTNAPVMLNVDCDMFANNPQVALHAMCLLLGFDDEVHSGFAQAPQKFYGALKDDPFGNQLEVIYEKLGFGIAGLQGMFYGGTGCFHRRKVIYGVPPEPTTDVQQPTRMRGSPSYKELQKMFGSSKELIESARGIISGEMFAAAASADLSSRIDAAKEVSSCSYEAGTSWGQEVGWVYGSMTEDILTGQRIHAAGWRSALLNTDPPAFLGGAPTGGPASLTQYKRWATGLLEIIISRNNPILQCISRRLELRQCLAYLVIDVWPLRAPFELCYALLGPYCLLANRSFLPKAVTFDHQ >PAN38140 pep chromosome:PHallii_v3.1:7:35100263:35104779:-1 gene:PAHAL_7G149800 transcript:PAN38140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKKLPLQERVPLARTAWKLADLAVLSLLLALLARRAATLLAGAAPSCTWCWLAALVCEAWFTVVWLLNVNGKWNPVRFDTHPERLAERIDELPAVDMFVTTADPKLEPPAVTVNTVLSLLALDYPAGKLACYISDDGCSPLTLFALREAAEFAKLWVPFCRKHGVSVRAPFVYFSSAGPERGGAAGGEFQRDWTAMKSEYEKLASRIENADEESLVRRGAGEFAKFVGADRRDHPTIIKVLRDSCREGDGTGVPSLVYISREKSPRHHHHFKAGAMNVLTRVSAVLTNAPVMLNVDCDMFANNPQVALHAMCLLLGFDDEVHSGFAQAPQKFYGALKDDPFGNQLEVIYEKLGFGIAGLQGMFYGGTGCFHRRKVIYGVPPEPTTDVQQPTRMRGSPSYKELQKMFGSSKELIESARGIISGEMFAAAASADLSSRIDAAKEVSSCSYEAGTSWGQEVGWVYGSMTEDILTGQRIHAAGWRSALLNTDPPAFLGGAPTGGPASLTQYKRWATGLLEIIISRNNPILQCISRRLELRQCLAYLVIDVWPLRAPFELCYALLGPYCLLANRSFLPKASEPGFLIPLALFLTYNVYNLAEYMECRLSARAWWNNHRMQRIVSCSAWLLAFLTVVLKTLGLSETVFEVTRKEQGQGAAPDGGGDDGADPGRFTFDSSPVFVPPTALTILAVVALAVGAWRAVAGAAAAGGGPGAGEFVCCGWLVLCSWPFVRGLAGGEGSYGIPWSVRIKAGLLVAAFVHLCTRN >PAN40226 pep chromosome:PHallii_v3.1:7:44799310:44807069:-1 gene:PAHAL_7G300500 transcript:PAN40226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIIARALEYTLKYWMKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALTVDTARVGKLEITLPSVSNVQVEPIVVNIDKLDLVLVEKDDSENLSPSSTTSSASATKSSGYGYADKIADGMTVQVGIVNLLLETHGGSRRQGDATWSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFTDARFNSSSNQDNKRDDDGAKRMFFGGERFLEGISGEANITVQRTEQNNPLGLEVQLHITEAVCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQLAEAAGSSLVSIIVDHIFLCIKDTEFQLELLMQSLFFSRASVSDGECSKNLSCIKVGGLFLRDTFSRPPCTLIQPSMQAVSQEPLPMPDFGQNFCPPIYPFGNQLLEFAAGVPLFSLYCLQITPSPSPPKFASKTVITCQPLTVTLQEQSCLRIASFLADGVVPNRSTVLPDSSINSLSFSLKEFDLSVPLDSEEITRCSGTKNTCPQSSFSGARLHVEDLYFCQSPSAKCPLLNLDRDPACFLLWEYQPVDASQMKWATRASHLSLSLETSGASNGQRAARDSSANLWKCIELDDIRFEAAMVTADGSPLLDVPPPEGVVRIGVAFQQFTSNTSVEQLFFVLGFYTYFGQVAERISKVSKGNKSGVTKSSADKFENKLPSDTAVSLTMNNLQLNFLESLSAHDIHMPLVQFGGEDLFLKVSHRTLGGAFAVTTNLLWRTVSVNCLEGESSVICENGIAVTGKHNIVVHENGHPKMRAVFWVDHMSKHQDKEAQFIDINITHVMPYDMRDMECHSLNVSAKVSGVRLGGGMTYTESLLHRFGILGPDGGPGEGLLRGLKDLSSGPLAKLFKSSHLTEEENERSKVDDLNSKFDLGVPDDLDVSVELRNWLFALEGTEEVGDCFTPTRGGDHISREEKCWHSTFRNLHVSGKSSNRLNLGDAGKASPKRAFPVERFTAGIEGLQAIKPRLRDQHSGKGTSNNHQMGSGFNNASSVGDHGVDVEATMVIGEDEIEGAKWTMDNVKFSVKEPIEAVATKEELEHLAMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGMDNIFSPRRLSRQNSFGSTGTPRTSTMQAIADVMGSKNTLEATISSLQVEISESKAKCAALISQASNMEDQSRTEDIMILNEKLESMQSLATRLRTLI >PAN40397 pep chromosome:PHallii_v3.1:7:45445029:45449617:1 gene:PAHAL_7G312100 transcript:PAN40397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSAQKAQYMDGHRERFIRLEESSPRSSVPSEVGGRSTLRFSMPGFGYGSFNALRSFLSGVRKGSGRLKSLRQSLTSGAPKTAFAEDLKSYKTNIFDPQDKLLFQMNWVFFVSCLFAVAVDPLFFFLPIINNSNCIGIDKKLAVTSTIIRTIIDFVYLIRVCLQFRTAYVAPSSRVFATGELVIDPMLIAKRYFKSYFAMDFVALLPLPQIVVWRYLHIPDGPDVLTTKTALVWVVLVQYIPRLLRIFPVTKDLKRTAGVFIETAWAGAAYYLLWFMLAGHNVGTLWYFLTIQREDSCWHQYCDPNGGCNSSYLYCNNNRHDNHEYDKWLSSTQVFNLCNGTQPDPFNFGIYQQALVSGILGPGNFISKLCYCFWWGLQNLSTLGQGLSTSIYPGEVLFSIFICVLGLILFALLIGNMQSYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPDIRQRVRRYERYRWLETRGVDEESLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTENEFILREGDPVDEMHFILHGCLESVTTDGGRSGFFNKVQLKEGSFCGDELLTWALDPKSGANFPASSRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYYKRKMAEQRRKEEEAASRPSSSHPSLGATIYASRFAANAMRGVHRLRSKAVPAIVRLPKPPEPDFGVDDAD >PVH35340 pep chromosome:PHallii_v3.1:7:35584893:35585873:1 gene:PAHAL_7G157000 transcript:PVH35340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRWYVPGREIAVGKAEYKVIIEKSLGIPCLFDDIVMEVVSGHKNLMHFLVPQEKMKLRNADHLPISQGLKMILNRHGFDVKPETVNREIILVACLLLDCEYCDVKNCKPSRLAGEHIKDVSGIKSEGWDLMKLATAVKIICYPAEATITEKEMFTRDEVLKFEKDVHKYEDRFNKGLCLNVYDEMVEARAYIRSIHRTLESLPK >PAN38084 pep chromosome:PHallii_v3.1:7:34791923:34794032:1 gene:PAHAL_7G145100 transcript:PAN38084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKETAMRLPPQHRGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLIAHWAASAATCSPTAAGGGSAASSVRTSSPASLVRQVAASGADPSPALRGLAAYLSDDDVDDFEKNALVGAGRAAETVASVLRRKGEEETSVESVEAATRVLAAIVASDGIDDANKKRVAAGLAADAPTSAASLARVMRGGSGLEARVAAARLAEFLLANATDEAKAAAAESSELVSELIRIIGPVDEKGTLDGRAVEAGLSCLATICESRRAARAEMVRLGAVPAAVRALRATADPRASARALRVLESAAGCAEGRAALCEGAEEAVPAVVGRMMKAGRDGAEAAVAVLWAVCHRYRDRRAADAAAAAEGGLTRLLLLVQSGCSPAARQMALELLKMYRVNAKSCLAGYDSKTTHIMPF >PAN40160 pep chromosome:PHallii_v3.1:7:44523531:44525904:-1 gene:PAHAL_7G296100 transcript:PAN40160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLAGRSGAHHHSWGEGRAPLAPIPPNPSPNHHPVPPRGDGSKARTAASPAAGYVTFKPASLGHREARALRDRLAGELGQVRALLSRIDTWQVERQEQGHPPRRELPPAPPAKLRGAMRKRCGQILTKLRKDKRSVWFNAPVEVERLGLHDYHTVIKRPMDLGTVKENLAAGRYASHDAFAADVRLTFSNALRYNPVGHEVHTFAGALLASFEKMYKEAVAWFKEECKRLEPPKPVPAELPPPPIVEAKVKPKTGNGKMRKPKARETNKREMSLDEKNMLRLGLESLPEEKMHNVLQIVRKRNNNPEMLGDEIELDIDEMDIETQWELDRFVTNFNKALKKSQRTAMVNGGIADVTSAAVAEDDTAPVNGVATLVGNDDAESENPMKSTTLAEQVDEYVDIGDEMPTATYQSMEIEKDAEVASRSSGSGSGSSSSSGSGSGSSSSSGSESGSSGDSASGAGNAHSLA >PAN39484 pep chromosome:PHallii_v3.1:7:41291917:41294694:1 gene:PAHAL_7G246600 transcript:PAN39484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTNRTDQFVAFKVKTTSPRKYSVRHSCGILLPRGSCNVTVTMQAPMEMLSDHHCKDKFLVQSVVVQDRATMEDFGPQLFTKAPGRLIEEFKLRVVYIAANPPSPVPEEEEEEDSSPLSEVVSGVKTSSTFDVADRCIGASASEPCSEGTSVILELVGERDYGVDKNQKVLQEMELLGETRSSQQGFSLMFVVFVFMSSVFIGHLMNEIKV >PAN39486 pep chromosome:PHallii_v3.1:7:41291163:41294694:1 gene:PAHAL_7G246600 transcript:PAN39486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLRVYPSELKIPYEVKKQRSCCMQLTNRTDQFVAFKVKTTSPRKYSVRHSCGILLPRGSCNVTVTMQAPMEMLSDHHCKDKFLVQSVVVQDRATMEDFGPQLFTKAPGRLIEEFKLRVVYIAANPPSPVPEEEEEEDSSPLSEVVSGVKTSSTFDVADRCIGASASEPCSEGTSVILELVGERDYGVDKNQKVLQEMELLGETRSSQQGFSLMFVVFVFMSSVFIGHLMNEIKV >PAN39483 pep chromosome:PHallii_v3.1:7:41291917:41294694:1 gene:PAHAL_7G246600 transcript:PAN39483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLRVYPSELKIPYEVKKQRSCCMQLTNRTDQFVAFKVKTTSPRKYSVRHSCGILLPRGSCNVTVTMQAPMEMLSDHHCKDKFLVQSVVVQDRATMEDFGPQLFTKAPGRLIEEFKLRVVYIAANPPSPVPEEEEEEDSSPLSEVVSGVKTSSTFDVADRCIGASASEPCSEGTSVILELVGERDYGVDKNQKVLQEMELLGETRSSQQGFSLMFVVFVFMSSVFIGHLMNEIKV >PVH35681 pep chromosome:PHallii_v3.1:7:41193635:41195752:-1 gene:PAHAL_7G244800 transcript:PVH35681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVEFIPEGGPAEKGDHQEARQFILKVKKTIERCLEQRMNKAEMFRVIREEGLPTWIAFAVFKELREQNHDFFREYYSMHDLKEQREKLGRLIQAYRAGGSAAPTGARAPEAAPTAAAVMGTDAAASMEWSRQQTEEDTWLDGELARLLARSSTGGGVEFAGAALPHQQAVHCPGGQQQPHDDQQAVYNDRLREMGWAEEAEAVAAPTLAQQQLQNEQPVANGLFQGVAWPLQAARSPPGQQLPYQQEQAARLPAGQKLQYQQEQAARLLTGQQLQYQQEQAAHLPAGQQPPYHEQTVYFPADQQPRYPEHGVHLPAGQQLTYQEQGVSFPADQQLHYQEQDLPARQQLHYQQQAVHLPAEQQAYYCQEQLMANNGGFQGAPAPTLAAGLPSDILMDPWPLSQDGIVGWGQEQQAEPPGQRWPGAGADPSPSFTLPGGEQLGNQNFYGGSNGGRVQ >PVH35682 pep chromosome:PHallii_v3.1:7:41193706:41195297:-1 gene:PAHAL_7G244800 transcript:PVH35682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVEFIPEGGPAEKGDHQEARQFILKVKKTIERCLEQRMNKAEMFRVIREEGLPTWIAFAVFKELREQNHDFFREYYSMHDLKEQREKLGRLIQAYRAGGSAAPTGARAPEAAPTAAAVMGTDAAASMEWSRQQTEEDTWLDGELARLLARSSTGGGVEFAGAALPHQQAVHCPGGQQQPHDDQQAVYNDRLREMGWAEEAEAVAAPTLAQQQLQNEQPVANGLFQGVAWPLQAARSPPGQQLPYQQEQAARLPAGQKLQYQQEQAARLLTGQQLQYQQEQAAHLPAGQQPPYHEQTVYFPADQQPRYPEHGVHLPAGQQLTYQEQGVSFPADQQLHYQEQDLPARQQLHYQQQAVHLPAEQQAYYCQEQLMANNGGFQGAPAPTLAAGLPSDILMDPWPLSQDGIVGWGQEQQAEPPGQRWPGAGADPSPSFTLPGGEQLGNQNFYGGSNGGRVQ >PAN38474 pep chromosome:PHallii_v3.1:7:36795484:36798465:-1 gene:PAHAL_7G174400 transcript:PAN38474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MHGRRHLAASLARALAQAPSRSISSTPSLLQTLDSSVPSPPSEGRLAELRARLQADAPSLGDFTYSVEVGTRKRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRSVRDYRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKGSTAESSA >PAN39632 pep chromosome:PHallii_v3.1:7:39382195:39386248:-1 gene:PAHAL_7G217200 transcript:PAN39632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLYHELAEYVSAKEYSRIEKSTFNNVEENARHLSFAPDEDHSNEIIQFYALQNEYMKESHIPGLRTLLVVQKDELKDERNALHINFPRGMFKILGCLRALDLSNTNMEHLPHSVGELIHLRYLSLENTKIKYLPESISALFKLHSMNLKCCNNLSELPKGIKFLTNLRHLELPSMDDWNMNMPCGIGELTNLQTMHVIKVGSDLGSCGIADLANLNKLRGELCISGIENVPSAQITPEASIKNKGELRKLILHWSSIASMFSYDASSVLDSLQPHPDLEELTIKGFSGVRFPLWLGNQYMFSLSILELKDCRNCKELPSLGRLPCLKHLSINSLTSIKHVSRMVSVHEEINCGDYRSSISTAFPTLETLKFSNMDSWELWDELEARDFPCLRHLTIMRCSKLSGLPNLQALQNLRIKNCENLLELPNFPSLQCIKIEGFWSVNQILQLPIFSHIEPLELRCHKKLVSVKKIQNPVLHSLSLKQKGSLHKVSGCQVLPFHNLFVQDSQTTWTFLRCARRIHDCSCNFIAFTDLTFGQTNVYPSKVEICKDVSFHAGHSEDVELVSCKPVWVQMGQPEDSEPVYID >PVH34722 pep chromosome:PHallii_v3.1:7:3290479:3291032:1 gene:PAHAL_7G016200 transcript:PVH34722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSAASNKRLQLAALSLLVLVVAAAATDQQQCRVPEEVEACVQQIKVELSKIGQISGTPLLTPSCCKELRDQLGCACLLRDAVLKAGLDIGAPFCAQGTGCK >PAN40728 pep chromosome:PHallii_v3.1:7:47035590:47038402:1 gene:PAHAL_7G336100 transcript:PAN40728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTAAWSSLSYRSQFSPKLSLKRTGGGVSSCNCKHGKGEMVTVANLAKQPPRSRQVGASPFAQRKGAEPSQLRPPHGTANLGANPANSCPLTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISSGDIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDAHTVAVLLRHSGSKLVFVDPASLQLIRDALQLLPPGHPAPRVIPVEDPHEKEFPAAPPGTLTYERLLEIGDPEFAWVRPASEWDPMVLNYTSGTTSAPKGVVHCHRGLFLITLDSLVEWAMPRRPTYLWTLPMFHANGWSYPWGMAVVGGTNVCLRRVNAATVYAAIASRGVTHLCCAPVVLNMLANAPEGVRRPLPGKVRVLTAGAPPPAAVLQRTESIGFEISHGYGLTETAGLPVSCTWKGEWDTLPASERARLKSRQGVRTPGMAEVDIIDGETGRSVPRDGSTMGEIVLRGGCVMLGYLNDDEATKAAIRDDGWFYTGDVGVTHPDGYLEIRDRSKDVIINAGENISSVEVESVLYGHPAVNEAAVVARPDELRGETPCAFISLKEDAAGTVTAADVMAWCRERMPHYMVPRTVVFRAELPKTSTGKIQKYVLRDLANEMGPARKGDCISIQV >PAN37059 pep chromosome:PHallii_v3.1:7:8814387:8815192:1 gene:PAHAL_7G034900 transcript:PAN37059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKMNAKHAILCCLLLVLMLQANHAMAESCGYTYIKVPFCKSWSCKAECWLEAKLTSITLEQHKCTKGGIKGRCYCLFCKK >PVH35780 pep chromosome:PHallii_v3.1:7:43213029:43215729:1 gene:PAHAL_7G275800 transcript:PVH35780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIGAAIADSYWGKYKTVLVGLSISLAGMVVVTTSATLPSLRPPPCEHGACCAPATLTQRLVFFAGIYLCAVGTGGAKAIIVSFGAEQFDDDDSGKSAAEREWKASYFSWYYGVGNLAVVTSGTLLVWVEEEVSWGIGYGVCAALVAAAVAGLAATAPVYRIVPPVGSPLKGSCQVLVALAHKANVRVPDNAAELYEEVRAKTPLLEPAREQLEHTDQFRFLDKAAVVSGADLEDASPWRLCTVTQVEELKILLRLIPIWLTSAVYFVANSQAQTTFVQQGTMTDSRIAGGAFSVPAASLTSIQTVFVVASIALYTRGVASAARRFLGRAEALTPLQLMGFGHGAVAAAVALAACAEARRLASVRAGAAPMGIAWLLPQYVVMAVSDASLSVGQLEFFYDQAPETMRGASTAFYFLSDSIGNLLSSQLVTLVSSVTAAGGRKGWFPPDMDDGHLDYYFLLLVGITALNFALFVYLAKNYMPKRIR >PAN39873 pep chromosome:PHallii_v3.1:7:43213029:43215729:1 gene:PAHAL_7G275800 transcript:PAN39873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADLERMSTPLPWEAEASSSGQSLLPLLEAREQQEVGKDSSNLNSRAPNVVLCLVFLEVAAFYGVYLNLVVYLQDVLHGDSASNAAAVSLWAGASYLMPVIGAAIADSYWGKYKTVLVGLSISLAGMVVVTTSATLPSLRPPPCEHGACCAPATLTQRLVFFAGIYLCAVGTGGAKAIIVSFGAEQFDDDDSGKSAAEREWKASYFSWYYGVGNLAVVTSGTLLVWVEEEVSWGIGYGVCAALVAAAVAGLAATAPVYRIVPPVGSPLKGSCQVLVALAHKANVRVPDNAAELYEEVRAKTPLLEPAREQLEHTDQFRFLDKAAVVSGADLEDASPWRLCTVTQVEELKILLRLIPIWLTSAVYFVANSQAQTTFVQQGTMTDSRIAGGAFSVPAASLTSIQTVFVVASIALYTRGVASAARRFLGRAEALTPLQLMGFGHGAVAAAVALAACAEARRLASVRAGAAPMGIAWLLPQYVVMAVSDASLSVGQLEFFYDQAPETMRGASTAFYFLSDSIGNLLSSQLVTLVSSVTAAGGRKGWFPPDMDDGHLDYYFLLLVGITALNFALFVYLAKNYMPKRIR >PAN39872 pep chromosome:PHallii_v3.1:7:43213029:43215729:1 gene:PAHAL_7G275800 transcript:PAN39872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIGAAIADSYWGKYKTVLVGLSISLAGMVVVTTSATLPSLRPPPCEHGACCAPATLTQRLVFFAGIYLCAVGTGGAKAIIVSFGAEQFDDDDSGKSAAEREWKASYFSWYYGVGNLAVVTSGTLLVWVEEEVSWGIGYGVCAALVAAAVAGLAATAPVYRIVPPVGSPLKGSCQVLVALAHKANVRVPDNAAELYEEVRAKTPLLEPAREQLEHTDQFRFLDKAAVVSGADLEDASPWRLCTVTQVEELKILLRLIPIWLTSAVYFVANSQAQTTFVQQGTMTDSRIAGGAFSVPAASLTSIQTVFVVASIALYTRGVASAARRFLGRAEALTPLQLMGFGHGAVAAAVALAACAEARRLASVRAGAAPMGIAWLLPQYVVMAVSDASLSVGQLEFFYDQAPETMRGASTAFYFLSDSIGNLLSSQLVTLVSSVTAAGGRKGWFPPDMDDGHLDYYFLLLVGITALNFALFVYLAKNYMPKRIR >PVH35434 pep chromosome:PHallii_v3.1:7:37233178:37234500:-1 gene:PAHAL_7G182600 transcript:PVH35434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKDIYTATENLSPSNVIGQGIAGKVYRGVLANGWPVAVKHIVKNEHAGTFLREVTSLSHVRHPNLVSLRGYCDGQEEFFLVYELCINGNLSEWLFGKDKNLSWIQRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLSDFGLSRVIDLGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAMPMSLDKMASTLIQDGNVLDFADPRLNGEYSTEAFDLSLKLALSCTGHKKQRPSMEQVVSRLEKALEISMRDDSKHNSISIIESLA >PAN37306 pep chromosome:PHallii_v3.1:7:16822245:16826124:1 gene:PAHAL_7G056100 transcript:PAN37306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSAFNAFKSRVPIAWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRQAEEERRAPRPPLFISHQQPTPTPNPAAATPEGSAATAP >PAN38491 pep chromosome:PHallii_v3.1:7:36872222:36874397:1 gene:PAHAL_7G175800 transcript:PAN38491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAPFPVALLLCLALACGADGARKTVGVYELKNKKGDFSIKVTNWGATLMSVIVPDSKGNLADVVLGYDTLAEYVDGSSAFGTVVGRVANRIANGSFVLDGKTIHLNKDGTTVLHGGHRGFNRVIWTVKEYVPSGDSPYITLYYRSFNGEQGFPGDLDVYVTYQLSSPYHLSARMNATALNKATPVNLANHAYWNVGGHGSGDVLGQVIQVLASRYTPVDRSMIPTGEVAPVSGTPYDLRAPTPLGSRVRLVSGAGMAGFDINYAVDGWDGDGGLRKVAAVRDPASRRALELWADQPGVQLYTSNWLSGVKGKGGAVYGQYGAVCLETQGFPDAVNHPNFPSQIVRPGQVYRHHMVFKFSF >PAN36927 pep chromosome:PHallii_v3.1:7:2272372:2275675:1 gene:PAHAL_7G012500 transcript:PAN36927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAARRPLLLCALAAAAALSFLLVAPPPPLAAHLSSLLLAFPASPYAGRPKLLFLLAGQSNMAGRGLAPYPLPAPFRPHPRVLRLAASRRWVVAAPPLHADIDTHKACGLGPAMPFAHRLLQEAGSGESSLVLGLVPSAVGGTRIWMWAKGEPLYEAAVARARAALGAGGGTLGAVLWFQGESDTIELDDATAYGGRMKRLVNDLRADLGIPDLLLIQVGLASGEGNYTDIVREAQKNIKLPNVILVDAIGLPLRDDQLHLSTEAQLRLGDMLGQAYLKFNSSTDSRQ >PVH35308 pep chromosome:PHallii_v3.1:7:34988584:34989478:-1 gene:PAHAL_7G148200 transcript:PVH35308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSRPGAILLALAALCSLLAQPQPSRAFFFGVRSSAQPRGRCEREEKVPMTVVVPDLSPRPAPLGPSPSASPAPAPAPVRGSDGGDEDGTPRLPSERRSPRGAPSSGDRSAAAQAPAGAASADFISSSPAVPLPAGVTDSATVLPMPTPGQQRRDDVGMGALQLQVRAVQLAVPLLMMLSFMALWW >PVH35307 pep chromosome:PHallii_v3.1:7:34988326:34989644:-1 gene:PAHAL_7G148200 transcript:PVH35307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSRPGAILLALAALCSLLAQPQPSRAFFFGVRSSAPRGRCEREEKVPMTVVVPDLSPRPAPLGPSPSASPAPAPAPVRGSDGGDEDGTPRLPSERRSPRGAPSSGDRSAAAQAPAGAASADFISSSPAVPLPAGVTDSATVLPMPTPGQQRRDDVGMGALQLQVRAVQLAVPLLMMLSFMALWW >PVH35670 pep chromosome:PHallii_v3.1:7:40877131:40880341:1 gene:PAHAL_7G239900 transcript:PVH35670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIELEPHPRLLMNFHRPMNHTYMTATTGNAIRKPSINTTIHVSIDCTLVERAG >PVH35672 pep chromosome:PHallii_v3.1:7:40903739:40906181:-1 gene:PAHAL_7G240700 transcript:PVH35672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAVNYDYYDDDELRYTRFKSPFDRRPLIDRRTRHRKNEGKRTLRLVGSSTADYMRAMRHCEEDDDWEDEV >PVH35347 pep chromosome:PHallii_v3.1:7:35695088:35696605:-1 gene:PAHAL_7G158500 transcript:PVH35347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPCKRRRGNDDDAGDAGDRDRLSALPDSLLREVMSHMKARQVVQTCVLVRRWRDLWRSMTCLDADQDEFATAGACIYSDHEGWERFEDFMDTLLCPGNVSIALLDTLRLHTSYRGLGGEGRRAYRWIRRDIKYHHHAGQEPGLHRGALSCNSWRLRRLHLSNLHLCNLFAEHVRSSCQSLENLELKNCNCEFPAIASGSLKSLVLKCCALKGFREITSPSLKNLFINAGYNTKYCLLVITAPAVTSMFLGVTPYNFNAGVQVLEEGSTTFTQFNDLRALVLNNCDLSDDLQILGHFLRISPNLERLTLRCCKYTNDTKKKKGSAKSKNAEPTPCPNLVDVPCKNLKLTEIIYKEDNIRHLIELFLRISGNFPNNCIKLTKVV >PAN37746 pep chromosome:PHallii_v3.1:7:31911601:31912792:1 gene:PAHAL_7G118700 transcript:PAN37746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKQISLLHLPPQRTAVLEPRWPRPVPRPDPRHHHPALGGAESRCRPAPAPIPCPAHRAPWPSLTTGPALGFPHPRRRSHCSCHQGAPPAREAVASARRPGRERWPGREGVRERSRGGGKGRFGSYSWGPLAREAWWSFSRKSDSKAR >PAN38868 pep chromosome:PHallii_v3.1:7:38483198:38484593:1 gene:PAHAL_7G203200 transcript:PAN38868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHARGAALFLLACFASAARAATAIPDGLLPNGNFEEAPARSQLDGTRVTGRYAIPRWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQRIALTRGAHYSVTFSAARTCAQAEQLNVTVAPESDILTIQTVYTSSGWDSYSWAFKARRSAVTLIVHNPGVADDAACGPLLDAFAIKTLQPPQRTKSNMLKNGDFEEGPYIFPDTAWGVLVPPLDENDYSPLSPWMVLSSTKSVKYLDAAHHAVPRGARAVELVSGVETALVQDVVTVPGRHYRLEFSAGDAGDGCAGSLAVQAYAARGSVKVAYQSQGKGGHTRGALEFTAIANRTRVVFVSTAYNVKADGTLCGPVDDDVSLVCTREPAARRLLL >PVH35558 pep chromosome:PHallii_v3.1:7:39114947:39117242:1 gene:PAHAL_7G213200 transcript:PVH35558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMCSMSFHGFAAMCSMILPRPCQVPAIPCSSAPSIKLMRLRFRSPANFSSSVRAAMLQLRAQNRNHMRLDGAAGRPEPLKFGLWAADSLGVWPHQGKLCVSRLRARDVFVSSSRRAEQQHQADERRGRRGPGSSGKKWWGQGRARR >PVH35999 pep chromosome:PHallii_v3.1:7:46758374:46758690:1 gene:PAHAL_7G332000 transcript:PVH35999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQSSLLHPECKSGPSSSKLLLFGLRFNSNCFALFSFILIYNLCFLTYCYNCDGCFLIAGG >PVH34688 pep chromosome:PHallii_v3.1:7:1795756:1796493:-1 gene:PAHAL_7G009100 transcript:PVH34688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWSSGICHAEPGLPKLLLLSLERIGVMETPEYAYREYISGSTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPSAERNGRTWIARMRRLGREEEDLEDAVSHLSIYLTGLDALCNEQAAQLKKLIHGIEKITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN39456 pep chromosome:PHallii_v3.1:7:41155516:41158067:1 gene:PAHAL_7G244100 transcript:PAN39456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNSVLQRLASWCPWLLRDEPKLKQPVKVLVTGAAGQIGYAIVSMIARGLMLGPDQPVVLHMLDIPRMADALNGVRMELVDAALPLLRGVVATTDEAEAFQGVNFAVLIGGWPRKEGMERKDLIAKNVAIYKSQASALQQHAAPNCKVLVVANPANTNALMLKEFAPAVPARNITCLTRLDHNRALGQIAEKLGVHVGDVRNAVVWGNHSSTQFPDGSHATARTEHGEKPVRELVADEKWLREEFVGTVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGMWVSMGVYSDGSYGVPEGIFYSFPVTCEKGEWSIVQGLQVDDFARSKMELSANELDEERSMAYEFVST >PVH35926 pep chromosome:PHallii_v3.1:7:45243543:45249723:1 gene:PAHAL_7G309000 transcript:PVH35926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVYQAKLRSNGKVVAVKVQRPGVQAAISLDIYILRFLASLARKAAKLNTDLPAVLDEWASSLFREMDYREEARNGLKFRELFGKFRDVSVPEMYMEQTTRRVLVMEWIEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDALAKDFITLGLLPPTAQKGEVTKALTGVFENAVNRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDNSPKLRSTLQALLYKDGTFQIDRLESLLSESLRARTEQSLVRNQQEDVDSTRYAIKQVLSFTLTDQGAFVKDLLLQEIAKGIDALGVATLSSATSAAASRLPFAGGPSPLTSLDDEDVTNLRNLYRLLLLLSKVSQKENSSPIPGNSSAIENEGGSTDELSLVLYEMTSLPEFLPVISIIPELPPESQQQLLLLPTDLASRILSRAVARTIRRMFI >PVH35925 pep chromosome:PHallii_v3.1:7:45242425:45249723:1 gene:PAHAL_7G309000 transcript:PVH35925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQPRAVPAPALRERPPPPRPRPRRRLVPPPLAAASGSIAVSSDEDAFTRCSGYLFEEGAATESELPTSYDLPGIAAVYRRRPLLVLRRSLQIGTSFGRWFALRYLDRVNERADGMFELRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEAAFNIIEKELRLPLDMIFSEISPEPVAAASLGQVYQAKLRSNGKVVAVKVQRPGVQAAISLDIYILRFLASLARKAAKLNTDLPAVLDEWASSLFREMDYREEARNGLKFRELFGKFRDVSVPEMYMEQTTRRVLVMEWIEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDALAKDFITLGLLPPTAQKGEVTKALTGVFENAVNRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDNSPKLRSTLQALLYKDGTFQIDRLESLLSESLRARTEQSLVRNQQEDVDSTRYAIKQVLSFTLTDQGAFVKDLLLQEIAKGIDALGVATLSSATSAAASRLPFAGGPSPLTSLDDEDVTNLRNLYRLLLLLSKVSQKENSSPIPGNSSAIENEGGSTDELSLVLYEMTSLPEFLPVISIIPELPPESQQQLLLLPTDLASRILSRAVARTIRRMFI >PVH36056 pep chromosome:PHallii_v3.1:7:47609120:47610275:-1 gene:PAHAL_7G345600 transcript:PVH36056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPDRQPLMPWLTRRAPSCASSSRSPTSAAPLHLLRCPRCRLSRRAAHHHVELSNSPPAPPNRARLPASVRG >PAN38578 pep chromosome:PHallii_v3.1:7:37213055:37215578:1 gene:PAHAL_7G182100 transcript:PAN38578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDKESPSLSNLHGRSHIRTSTHVFPGGRNTLALVLTTGLFVLLFSTHHPATFYHYPMPTDISPQETKIGYEDKVCNLFNGSWIRDFRGPIYTNFTCPTMPDSKNCGKYGKQMDYVNWKWVPHGCDMVRFEPQLFLNIVRGKTMAFAADSIGRNQMESLLCLLSQVETPIKVYSDTKDKFVTWHFKSHNFTLMALWTKFLVEASEREINGTEVEMHDIHLDKLDARLAANLHEINILVISSSRWFFRKNYLYEGGKLIGCIYCSEDNITSIDVITAIQRALRTALGNLTNCQECGLQLTLVRTATPAHFENGFWNSGGYCNRTEPVEKGEAMTRTVEWAIRNAQVEEANRAQNGSRHKGQMNLEILDITEAMSMRPDAHPGIHWNNKWMRGYSDCSHWCLPGPIDTWNELLLSVLKKYGKELQNHQ >PAN37473 pep chromosome:PHallii_v3.1:7:27487767:27492852:-1 gene:PAHAL_7G095200 transcript:PAN37473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGTLSRSVKEIRVLFCQSSPASAAAREFVKKNYGDIKSRNPSLPFLVRECSGVQPQLWARYEMGVERCVNLDGLTEAQIDKKLEELAKAGESAKAK >PAN37987 pep chromosome:PHallii_v3.1:7:34122457:34124417:1 gene:PAHAL_7G138600 transcript:PAN37987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVPALLAFLVAAVGAQPMDPGQPGNPLLSDPNVIPVYMSPGSPPTYVSCYNNTQQGQQGAEPMCSVLARRCPSGCRDTCYVHCPSCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRRDADFCLVSDANLHINAHFIGKRSAQAARDFTWVQALGIRFGGHRLYLGVRRTAAWEAAVDRLAITFDGAPVPLDAAAGASWSPAAAPALSIFRTGAANGVVVRLDGRFRIVANAVPVTEEDSRVHGYGLSPEDGSLAHLNVAFKFYAISADVHGVLGQTYRPDYVSAGVDVGARVPVMGGARRYQVSDIFATDCEVGRFAGDDEGLAAAGPMDIIEEPTTALCGSGKGGAGLVCKK >PAN38158 pep chromosome:PHallii_v3.1:7:35221851:35222216:-1 gene:PAHAL_7G151200 transcript:PAN38158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGGAGERKVEAPPPETEGIVWREDAGRFETPDGEAFLQYRLLLGGDGGGAPPPAPAPAVMDMVHTYVPRSKRGRGLAARLCDAAFAHARGRGMRVVPTCSYISVRDPLFSSAALLLFP >PAN38159 pep chromosome:PHallii_v3.1:7:35221177:35222326:-1 gene:PAHAL_7G151200 transcript:PAN38159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGGAGERKVEAPPPETEGIVWREDAGRFETPDGEAFLQYRLLLGGDGGGAPPPAPAPAVMDMVHTYVPRSKRGRGLAARLCDAAFAHARGRGMRVVPTCSYISDTYLPRNPALKELVYKGGDPHPKPSSM >PAN40061 pep chromosome:PHallii_v3.1:7:44097521:44098944:1 gene:PAHAL_7G288700 transcript:PAN40061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVITKFAVTSMVMWMAPVAIMYGFYYQVFPGVSQMSSSAQTLASGFLAVISVNLVIGFYICMAMKETPHQEPQPDPTFLANAKASINQPTSSQVNDDSKGKGKVE >PVH34933 pep chromosome:PHallii_v3.1:7:20763273:20765459:-1 gene:PAHAL_7G068900 transcript:PVH34933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKLKNYKAFGPQGHQRRFQYHWFSEFPSWLEYSESSGKAYCLLCFLCSKNIKKRGGFDAFTIQGFNNWKKVHDRKNCAFLVHIGSDPCSEHNNSAKECQALLNNLNHIDNIMEVAKFNPEIAAVVLENAPQCAKYTSPDIQKEILSIFALKIRKHIREEIGDQKFSILVDETCDISKREQMAIVLRFVDIDGVLQERFFDLVHVRNTKALTLKAEICYVLSTYGFDVQNLRGQGYDGASNMRGELNGLQALVLKECPYAYYVHCYAHRLQLALVAAAKDVVPVTQFFQKLLFIVNTVDSSAKRHDELHDAQVVELARLLAVDELETGQGANQIRSLKRPGDTRWGSHLGSISSLMDMFNPVSTVLQNLAADSTAGTNRADGDTSFNYMISFEFVFILCLMREILEITEQLGQALQKKSQDIVNAIRLVQTTKILLEKMRSDDGWETFICKVMEFCVDHDIDIPNMDETYILRGGRARRQPNHFTTDHFFRVEVFRATLDTQLAELNLKFNEKVIGLLSICVTLVSKNGFASFQSRRHRVFNLVDRLLRLLVTLPVSTATAERAFSTLKIIKTRLRNRMEDDFLANSMLVNIEAEILGDYNYEDIIHDFIDVKKRKVHF >PAN39455 pep chromosome:PHallii_v3.1:7:41151686:41152908:-1 gene:PAHAL_7G244000 transcript:PAN39455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAFGSLGDSFSAASLRAYVAEFIATLLFVFAGVGSAIAYSQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLQFVTHGKAIPTHGVSGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVGQQEYP >PAN36796 pep chromosome:PHallii_v3.1:7:580601:581599:-1 gene:PAHAL_7G001800 transcript:PAN36796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSEEASADAREVESVHLPAKNPPVVAPAPVRQESDGDEGDDDDEDAEDSGSEAREEGEEDSDTEEDGHSSDEDYEDEVVEDSEPDEPLPPPPLTKSRGKSPPSPDLTKQPVAKRNAAAAGLAESSSSKKRAPPVARTKSLPLKPAEKKLPPPEEEAAKEEVAKSTAPKAAARRKRRNKSPTNAPAKDSTKWPPSKNNKAAPAAMECGKEVAKSPNPKNKSPNKVGVAKSPPAPAAAADAPSGAAEAGQMEKSARYWHLMKEVGLLEVANYNPGVLDAAFLEMDDDKAAMLNTRIIKQKLAECKVKNEWWDIKKEVTEALLDTMNK >PAN37703 pep chromosome:PHallii_v3.1:7:31615388:31620680:-1 gene:PAHAL_7G115600 transcript:PAN37703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAAAAVSSPAAPRAGAAAATTRRGFVTFGGGAARSSPALRSGRGLSGVRTHVAAVEQAVAKDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEDVSKEIEAAGGEAITFGGDVSKEADVESMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >PAN40068 pep chromosome:PHallii_v3.1:7:44125476:44131196:-1 gene:PAHAL_7G289400 transcript:PAN40068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQPSLTIPPSFAGASPPSPSPVAGSSSGPALGQAAKDKKMASAEQLVLELCDPELRENALLELSKKREIFQDLAPLLWHSFGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHPETRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLADQPSTRLLKHIIRCYLRLSDNPRACAALQSCLPDMLKDGTFNNCLRDDPATRRWLQQLLHNVTGGGGMGGAPQPGLDHMMGI >PAN37731 pep chromosome:PHallii_v3.1:7:31852507:31864121:1 gene:PAHAL_7G117800 transcript:PAN37731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWRQEGRIGSILMPDVVFADGSFDVILDKGGLDALMEPGAGTKLGTKYLNEAKRVLKSGGKFVCLTLAESHVLGLLLSEFRFGWDMSIQAIASESSKKSAFQTFMVVMVKGKMGVVQTIKSSLDQSAEYCNMKQENAVIRALGNENIIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEPETSLYCYKAVLLDAKKQTETFVYHCGVFIVPKARAQEWLFASEEGQWHVVESAKAARLVMVFLDSRHANINMDIIKKDLSPLIKDLEPGNPEEEAPIPFMMAGDGVKQRDVLEEATSEITGPMVVEDVVYENADGDQGSMPEKMFRRLVFGRSSGLVQSEALLIRDPHSDETDKKNKNASATSKKRRNQKKGSKNSLRIDHSFLGSSYHSSIISGLSLVASALSASSASGEKVSTTVIGLGAGCFPMFLRGCLPFVDIEVVELDPLVAELAKKYFGFSVDEQLKVHLGDGIKFVEDSVAANHSASNGSARNSIKILVIDVDSSHLSSGLSCPPENFVEDPFLQKAKELLSEGGLFIINLVSRSSSVREMVVSRLKVVFEHLYSLQLEEDINEVLFASPSERYLDINNLDAAVAKLKDLLKFPVDVESDIKKLQRLR >PAN37732 pep chromosome:PHallii_v3.1:7:31852507:31864121:1 gene:PAHAL_7G117800 transcript:PAN37732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPPPAEILGTLGDFTSRENWDKFFALRGTGDNFEWYAEWPNLRSPLLALLGDRGAAGEADAGAGAAAPEILVPACGSSALSERLYDAGFRRITNVDFSRVVVADMLRRHARARPEMRWRVMDMTDMQFADGSFDVILDKGGLDALMEPGAGTKLGTKYLNEAKRVLKSGGKFVCLTLAESHVLGLLLSEFRFGWDMSIQAIASESSKKSAFQTFMVVMVKGKMGVVQTIKSSLDQSAEYCNMKQENAVIRALGNENIIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEPETSLYCYKAVLLDAKKQTETFVYHCGVFIVPKARAQEWLFASEEGQWHVVESAKAARLVMVFLDSRHANINMDIIKKDLSPLIKDLEPGNPEEEAPIPFMMAGDGVKQRDVLEEATSEITGPMVVEDVVYENADGDQGSMPEKMFRRLVFGRSSGLVQSEALLIRDPHSDETDKKNKNASATSKKRRNQKKGSKNSLRIDHSFLGSSYHSSIISGLSLVASALSASSASGEKVSTTVIGLGAGCFPMFLRGCLPFVDIEVVELDPLVAELAKKYFGFSVDEQLKVHLGDGIKFVEDSVAANHSASNGSARNSIKILVIDVDSSHLSSGLSCPPENFVEDPFLQKAKELLSEGGLFIINLVSRSSSVREMVVSRLKVVFEHLYSLQLEEDINEVLFASPSERYLDINNLDAAVAKLKDLLKFPVDVESDIKKLQRLR >PAN37733 pep chromosome:PHallii_v3.1:7:31852507:31864121:1 gene:PAHAL_7G117800 transcript:PAN37733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGAGTKLGTKYLNEAKRVLKSGGKFVCLTLAESHVLGLLLSEFRFGWDMSIQAIASESSKKSAFQTFMVVMVKGKMGVVQTIKSSLDQSAEYCNMKQENAVIRALGNENIIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEPETSLYCYKAVLLDAKKQTETFVYHCGVFIVPKARAQEWLFASEEGQWHVVESAKAARLVMVFLDSRHANINMDIIKKDLSPLIKDLEPGNPEEEAPIPFMMAGDGVKQRDVLEEATSEITGPMVVEDVVYENADGDQGSMPEKMFRRLVFGRSSGLVQSEALLIRDPHSDETDKKNKNASATSKKRRNQKKGSKNSLRIDHSFLGSSYHSSIISGLSLVASALSASSASGEKVSTTVIGLGAGCFPMFLRGCLPFVDIEVVELDPLVAELAKKYFGFSVDEQLKVHLGDGIKFVEDSVAANHSASNGSARNSIKILVIDVDSSHLSSGLSCPPENFVEDPFLQKAKELLSEGGLFIINLVSRSSSVREMVVSRLKVVFEHLYSLQLEEDINEVLFASPSERYLDINNLDAAVAKLKDLLKFPVDVESDIKKLQRLR >PAN37614 pep chromosome:PHallii_v3.1:7:29276241:29283580:-1 gene:PAHAL_7G101500 transcript:PAN37614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAALLFAALALLLAAAPAAAAPGVFQVRRKFPAGGDAGGNITALRAHDGRRHGRLLAAADLKLGGLGLPTDTGLYFTEINLGTPPKHYFLQVDTGSDILWVNCITCDECPRKSGLGLDLTLYDPKASSTGSTVLCDQEFCAATYGGKLPGCSSNVPCEYKVMYGDGSSTTGFFVTDALQFDQVTGDGQTKPGNASVTFGCGAQQGGDLGTSNQALDGIIGFGQANTSMLSQLAAAGKVKKVFAHCLDIVQGGGIFAIGNVVQPKVKTTPLVPDMPHFNVNLKSVDVDGTTLELPANIFETGDKKGTIIDSGTTLTYLPELVFKEVMLAVFKKHQDITFRNVQEFLCFQYSGSVDDGFPTITFHFEDDLALHVYPHEYFFANGNDMYCVGFQNGGSQSKDGKDIVLMGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKVKDDKTGATYTVNSHDISSGWRFHWHKSLVLLLVTVVCSYLIC >PVH36013 pep chromosome:PHallii_v3.1:7:47174379:47175135:-1 gene:PAHAL_7G338300 transcript:PVH36013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYYRKTQKLARFQFMATREGRFTLCRHDEYGISDVKKRVMLKKTYVYRECILRLRARKITAEYYSLKQRGLFSWAAYKEHRESIQNSFAFLRRALARYTARRIEAGFFYMYAHPHEHSVYVMSPLHTMPRKEALKKVKRLRRRRQHYAARASSIAITSPSTVQGSNFFALGSIGERSRLIQIFSLYSFVIILAWMIVYL >PAN37463 pep chromosome:PHallii_v3.1:7:27349228:27350538:-1 gene:PAHAL_7G094200 transcript:PAN37463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVELLTSEMVVPAEPTPAGAVWLSNLDLAARRGYTPTVYFYQSNSEPGFFAVDVIKDSLAKALVAFHPLAGRLGSDDTGRLQVDCTGEGVVFVTARSDYALDDLMDNFVPCREMRDLFVPPEPAPSPPCVLLLAQVTFLRCGGVVLGLAAHHSLVDARSAAQFVETWASIARGSSDEDTLLSPCFDHKLLSARPAPVVLYDHPEYKPEPTPPAHAVTAVSTYASALITLTKAQVSALKGRCEGASTFRAVVALVWQCACRARSLPQEAETRLHTMIDMRPRLSPPLPQGYFGNAVIRTSAVATVEEVVSNPVGHAARHVRTATSQGDDYARSLVDYLEGVDTMNLPRSGISRAHLRAISWMGMSVHSADFGWGSPAFMGPALMYYSGFLHVLHAAGKDDAVVLALSLEPESMPEFRKVFAEEVVRLDRAAVATP >PAN39771 pep chromosome:PHallii_v3.1:7:42693660:42696349:-1 gene:PAHAL_7G267300 transcript:PAN39771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASVAVSLRFRFLLGPRPFRGRARWPRAIRASASSDTGGVAGGGRKVEALERRVGDLRALVASVPPAVASIRRNIGPNFVAGFCLGVAVLAAVARQVIIRSREHDNRGSVADLVRRGQLKSGQRGNAKLRTYDDPFNTPLVKIDEDSSTAQMFGKEYRLAPVRLTKEQQEMHQKRRSRAYQWKRPTVFLREGDSLPPDVDPDTVRWIPANHPFAAASSEVDEETAKQNVYQKDGVPSRVKAEHEALQARLEASNDVTKLPSDSRSMQHNERLSGKPSGNLQSSKFGSELEKQDRQLIIEPGKHSSDESLQSNRLEGK >PAN39279 pep chromosome:PHallii_v3.1:7:40396677:40399649:1 gene:PAHAL_7G232700 transcript:PAN39279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKACQKPKVQYRKGLWSPEEDEKLRDFILRYGHGCWSALPAKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMSLHAKMGNKWSQIARLLPGRTDNEVKNYWNSYLKKRVEGGAQAKCAADPATPAGSSDVRAGSPNPSDNCQGGANRPASSDSSEPVESSSADDSSCLTVTEPAGAAAAVRPHAPVLPKVMFADWLDMDYGTSLVALGPDAGVFDASGRSLSHQGSVQVDGPCGAVDSLHGLGDGGICWGFDDAAADQMDVQGAGFCDLLSMSEFLGIN >PAN39830 pep chromosome:PHallii_v3.1:7:42937127:42937714:1 gene:PAHAL_7G271500 transcript:PAN39830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSSTEPAPVKHITLHHFLKQQLLQQHRLKPAVMWGWPAAAAAIGRHAPEDAADDDDGLGGAWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRGGHHGSAAAAKSQLEAAAAQVTDEAPHATAAATKYAVLYPILNSNAAGAVLIPSGDVLLSAPVALGPAHDRCEVSDDDEAADDKDVDLELRLWWA >PAN38093 pep chromosome:PHallii_v3.1:7:34818457:34821329:1 gene:PAHAL_7G145700 transcript:PAN38093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPRRTLLHTPFSGHPSGSSHPVDGTAATDGGSPGSNFDANIVMILAVLLCALICALGLNSIVRCALRCSSRAVVDPVPNPVARMAQSGLKRKALRAMPILVYSTGLKLNAANPMCAICLSDFEAGEHVRVLPRCNHGFHVRCIDRWLLARSTCPTCRQSLFGSPQKASGCSESSWVEPAPARSVLVPLRPEGLVTPYDF >PAN38584 pep chromosome:PHallii_v3.1:7:37241996:37247545:-1 gene:PAHAL_7G182800 transcript:PAN38584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRPISAAPSFPRARAACFRSPASWSGLAAAARGNAYGCGCTVPPAAAGGHARLRTRWRSPVRAKVDEADKDAGAGLGLRTPGRRRRPRLRLRPRLRLMLWRLRRLSPRDLAAALRRAVRRVPPAAAAPVLLAFLLFAARLALPKNVAKEVAYSDLVAGLREGAVAAVAFEEDSRRIYFSKKAGDASGSDEASEPETDSAAAAAPKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFIQRQMSGGGSADKRRKPRKQRVGFDDVQGVDEAKEELVEIVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGVPDVEGRKKILAVHLRDIPLEENPEIISDLVANLTPGLVGADLANIVNEAALLAARRGGNTVSREDIMDAIEREKYGVNGRQEIDGSERQGLTKLFPWLPKPGNKPSTPDDFRGLMGYQTLS >PAN38609 pep chromosome:PHallii_v3.1:7:37335569:37337194:-1 gene:PAHAL_7G184600 transcript:PAN38609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYKIVIKVTMPNAKSRVRAMVVAAKTNGVISIAIAGDLKDRLEVVGEGIDITCLVHCLRKKLRHAEVLKVEEVKDKPPEKKKPPEPCACPAPSPCAAYLHTPLVFSCEQAESPAFGCNIM >PAN38818 pep chromosome:PHallii_v3.1:7:38294232:38299100:-1 gene:PAHAL_7G200500 transcript:PAN38818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNGVLECSACRSKVAVPSPRSVSRAYDKHRSKVSSKYRALNVLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVIFAIIMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRKFSIIQWEALALLLIGISVNQLRSIPAGTNAFGLPITAIAYAYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGQPLTVNFLLGISIVFISMHQFFSPLAKVKDEKTAGTVELGDSQNHRSSESSFVNMAAGAADDASHLSASDERKPLLPI >PAN38819 pep chromosome:PHallii_v3.1:7:38293462:38299100:-1 gene:PAHAL_7G200500 transcript:PAN38819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNGVLECSACRSKVAVPSPRSVSRAYDKHRSKVSSKYRALNVLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVIFAIIMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRKFSIIQWEALALLLIGISVNQLRSIPAGTNAFGLPITAIAYAYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGQPLTVNFLLGISIVFISMHQFFSPLAKVKDEKTAGTVELGDSQNHRSSESSFVNMAAGAADDASHLSASDERKPLLPI >PAN38460 pep chromosome:PHallii_v3.1:7:36734093:36737876:-1 gene:PAHAL_7G173700 transcript:PAN38460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAEDALYEIRRHASGSHVIPHEGYQGAATSSGGSDAGGGVLSYLSLQGVSKLRERWTRYSALGRSRQRKRADGVALFVSPNAEYVSVTVGNRIIILRKRDGYASPCGVYTNNDRITFFTNGAWLEAQGIFGVVDDLSTLYLIKENGDLLARRTCDQLKLSSSIIDLVVQDGSSLLRPGFYIFTSDCMVHRFDYTQGPEASLCEVPISTKDVMSARTMQLPRSLSCIDYDEHHSLFVLAADSNVSFSSNSYSGMPMY >PAN38462 pep chromosome:PHallii_v3.1:7:36734093:36737876:-1 gene:PAHAL_7G173700 transcript:PAN38462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAEDALYEIRRHASGSHVIPHQEGYQGAATSSGGSDAGGGVLSYLSLQGVSKLRERWTRYSALGRSRQRKRADGVALFVSPNAEYVSVTVGNRIIILRKRDGYASPCGVYTNNDRITFFTNGAWLEAQGIFGVVDDLSTLYLIKENGDLLARRTCDQLKLSSSIIDLVVQDGSSLLRPGFYIFTSDCMVHRFDYTQGPEASLCEVPISTKDVMSARTMQLPRSLSCIDYDEHHSLFVLAADSNVSFSSNSYSEMKLTVSEKSTRNFYQRITH >PAN38461 pep chromosome:PHallii_v3.1:7:36735574:36737746:-1 gene:PAHAL_7G173700 transcript:PAN38461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAEDALYEIRRHASGSHVIPHQEGYQGAATSSGGSDAGGGVLSYLSLQGVSKLRERWTRYSALGRSRQRKRADGVALFVSPNAEYVSVTVGNRIIILRKRDGYASPCGVYTNNDRITFFTNGAWLEAQGIFGVVDDLSTLYLIKENGDLLARRTCDQLKLSSSIIDLVVQDGSSLLRPGFYIFTSDCMVHRFDYTQGPEASLCEVPISTKDVMSARTMQLPRSLSCIDYDEHHSLFVLAADSNVSFSSNSYSGMPMY >PAN38463 pep chromosome:PHallii_v3.1:7:36734093:36737877:-1 gene:PAHAL_7G173700 transcript:PAN38463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAEDALYEIRRHASGSHVIPHEGYQGAATSSGGSDAGGGVLSYLSLQGVSKLRERWTRYSALGRSRQRKRADGVALFVSPNAEYVSVTVGNRIIILRKRDGYASPCGVYTNNDRITFFTNGAWLEAQGIFGVVDDLSTLYLIKENGDLLARRTCDQLKLSSSIIDLVVQDGSSLLRPGFYIFTSDCMVHRFDYTQGPEASLCEVPISTKDVMSARTMQLPRSLSCIDYDEHHSLFVLAADSNVSFSSNSYSEMKLTVSEKSTRNFYQRITH >PAN38287 pep chromosome:PHallii_v3.1:7:35985500:35987647:-1 gene:PAHAL_7G161400 transcript:PAN38287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRAEAAEGVTRVLVVDDSPVDRRVAQLLLSSSSCAGSFHVIAVDSAKKAMEFLGLNLMDGKGQAVDMVLTDYSMPDMTGYELLKAIKAMNLLKPIPVVVMSSENEPQRISRCLNAGAEDFIVKPLQSKDVQRIRSCSTARPNKGSAPCEAATKRTPPPPSGHHAAGGAASASGRRGHFAGVAMILHSSSAELSQYFPLLVKLVLLAYAVLCLGEILHRWSSGGGGGRSLSL >PAN38041 pep chromosome:PHallii_v3.1:7:34379107:34379968:1 gene:PAHAL_7G142400 transcript:PAN38041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLVLALLLAAAACLAEGAGECGATSPDRMALRLAPCASAAQNPSSAPSSACCNAVHTIGKQSPQCLCAVMLSNTAKSSGIKPEVAITIPKRCNLADRPVGYKCGAYTLP >PAN40303 pep chromosome:PHallii_v3.1:7:45047203:45051024:1 gene:PAHAL_7G304500 transcript:PAN40303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGMKVGKNFWNGAEWYLTSWRSADDPSPGPYRRALDTSGLPDNIVWEGDAKTYRAGPWNGRWFSGIPEVLTYTNVMEYEMVISPRETTYSYITKPGGPLTYIVLLDTGVVRRLVWDADARAWQTYFQGPRDVCDTYRKCGAFGLCDAGAASTSFCGCLRGFSPASPAAWDSRDTSGGCRRNVKLDCGGDGATTDGFLLLRAVKLPDAHNVSVDRSTTLEECRARCLANCSCLAYAAADIRDGDVRSGCAMWTDEIVDVRYVDHGQDLYLRLAKSELPPPSSPQAFPTAPVVGGSVAVVVVIVLVLVLIVIRRRRGSTISGARSCALSFPCIEHQLSPAHSVTSSSAPAPIVPYVDLLTLREATGYFSESNIIGRGGFGVVYEGRIPDGRKVAVKRIIQSPLLDEGGEAFMREVEVMSKLKHGNLVQLLSYCKDGNERILVYEYMKNKSLDLYIFGEDPKLRDLLNWERRLEIIRGVAKGVAYLHGLNEEVIHRDLKPSNILLDDNWKPKIADFGTAKLFVVDQTNPTLVQTAGYTAPEYITERYLTLKCDVYSFGVMLLEIVSGRKNRNTPTLLSDAWESWNQHRITELLDSAVAQPEPELLFELDRCVQIGLLCVQQSPNDRPTICAVVTMLNNSSSQIRAPKRLVFDAMTEPRLREADHSAKEGASSTSNGSHTIYLS >PVH35915 pep chromosome:PHallii_v3.1:7:45047203:45051005:1 gene:PAHAL_7G304500 transcript:PVH35915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGMKVGKNFWNGAEWYLTSWRSADDPSPGPYRRALDTSGLPDNIVWEGDAKTYRAGPWNGRWFSGIPEVLTYTNVMEYEMVISPRETTYSYITKPGGPLTYIVLLDTGVVRRLVWDADARAWQTYFQGPRDVCDTYRKCGAFGLCDAGAASTSFCGCLRGFSPASPAAWDSRDTSGGCRRNVKLDCGGDGATTDGFLLLRAVKLPDAHNVSVDRSTTLEECRARCLANCSCLAYAAADIRDGDVRSGCAMWTDEIVDVRYVDHGQDLYLRLAKSELPPPSSPQAFPTAPVVGGSVAVVVVIVLVLVLIVIRRRRGSTISGKLQPCLSLLSFFFFFSSFQFLRLLITAAYANIHSTLDVPGARSCALSFPCIEHQLSPAHSVTSSSAPAPIVPYVDLLTLREATGYFSESNIIGRGGFGVVYEGRIPDGRKVAVKRIIQSPLLDEGGEAFMREVEVMSKLKHGNLVQLLSYCKDGNERILVYEYMKNKSLDLYIFGEDPKLRDLLNWERRLEIIRGVAKGVAYLHGLNEEVIHRDLKPSNILLDDNWKPKIADFGTAKLFVVDQTNPTLVQTAGYTAPEYITERYLTLKCDVYSFGVMLLEIVSGRKNRNTPTLLSDAWESWNQHRITELLDSAVAQPEPELLFELDRCVQIGLLCVQQSPNDRPTICAVVTMLNNSSSQIRAPKRLVFDAMTEPRLREADHSAKEGASSTSNGSHTIYLS >PAN38095 pep chromosome:PHallii_v3.1:7:34822344:34823187:1 gene:PAHAL_7G145800 transcript:PAN38095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PVH34879 pep chromosome:PHallii_v3.1:7:16307630:16308368:-1 gene:PAHAL_7G054800 transcript:PVH34879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLGSSNLKPEDRKELEAGAEALKSTSLHFHRKEASPGDTGVVLLQ >PAN38684 pep chromosome:PHallii_v3.1:7:37622656:37624115:1 gene:PAHAL_7G189300 transcript:PAN38684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQPFSRHDGFFASLQRVEDRLASEEQHQEQRHNPALPPPAMATATTRQSEPAPSSDTMATASPLLLLDPAPGSAGASSDSSGPAQDFLTALTEQDQGVQQEDDDDHGGDGVEEDIARLMALLGLSPPPTEVDGCRDADDGMAGCDCSGAGGFLAKVVGVAGPKCDGEKRRLDAWIRHYYHREKGGRCRVREPARLAHLLLARASSDTTAAAFPATVKEFLDRDPPPRSTDTSE >PVH35571 pep chromosome:PHallii_v3.1:7:39298494:39298904:-1 gene:PAHAL_7G215400 transcript:PVH35571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESSDELEYVLVGTADEVERERQQEASAEAALEAGLNDRVLSVLELLEEAEVDVAARKARAHDDHLRSVEELLDGLHLDEDAPITARQSDLVRDRDADRFLEEEAAADAEWNVAFRTDERRFACTDDEEADLRKK >PAN39069 pep chromosome:PHallii_v3.1:7:39507846:39511967:-1 gene:PAHAL_7G218900 transcript:PAN39069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSMICAALQPVICGFINEAGVPAATAKRFSSFACIKRKHRLLRKARENLQAIRKVVQGQVDLETNHLNECDPRVNLWLRRVASVRGDSIDHEYDRLMQSSWLWRSVLGLGKRYRLGKHIAQMLEDIAELIEEGNQFKTFASKRLPDFVEERPQTQTFGIKPVLRDLWKSFESTDEPPLFLLENEYLRNIIGVWSPGGVGKTTLLNNFNNELKAFSRDYQVVIMIEVSNSGTLNKTAIQRTITDRLGLPWDDRETEEARARFLMKALSRKRFVILLYDVWNKFQLEEVGIPTPDFDRKSKLILTSRNVDVCYQMGAQQSLIKMEYLEKEAAWELFRSNLSTQAITAIDSSGPNNVVKEYADAIVHSCGGLPLALKVIGRAVAGLTAPREWILAMQATKHDIKDLDGIPEMFHKLKYSYDKLTPTQQQCFLYCTLFPEYGSIRKDQLVEYWMAEELIPQDPNRGHRIISRLLSAYLLESCGSDLEVKMHHIIRHLGLSLAVQQKIVVKAGMNLEKAPPHREWRTARRISLMYNDIRDLGISPECKDLVTLLVQNNPNLDRLSPTFFQSMSSLKVLDLSHTRITELPFCSTLTKIKYLNLSNTFIERLPEEFWMLKKLRHLDLSVTKALKETLDNCSKLYKLRVLNLFRSNYGIRDVNNLNIDVLNKLTNTHPLAKSTQRLSLRHCEQMQSIQISDFTHMVQLRELYVESCLDLIQLVADPDKGRASCLEVLTIAKLPALQTILIGSSPHHFHNLIEVTVSHCQKLHDTSWVLKLHALEKLSICHCHELEQVVQETINEVDNRRGVIEDRSILRSGRINGFSEEQEIHGMEEDANNEHVNGYQNKTENQWIKDTHHVDFPKLRTMVLTDLPKLTVICNPRDFPYLEIIRVEGCPRLTTLPLGQMYDCPKLKQICGSYDWLEKLDWNVKEIMDNKYFIPIKDED >PAN38185 pep chromosome:PHallii_v3.1:7:35322741:35329623:-1 gene:PAHAL_7G152800 transcript:PAN38185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFWITGIDVLTNHHHEGTGHIADPGNPVTGSSTPSSSASSHKMARCRIVESFTAGNLSSYRVFCLAADQQERRAMPSPHAGPSELVVHWTPPPPDRVYTRRAVTRRSERARICSAMDLEGIDICNSGRQRDGRGYGKLWNHLRLHAHLLMVDAGWKVEGKRRGNTSKVDHIYVAPDKLTQLFSLPRAWKCFGQWLLTTTPCIDENESNGYGKEWLNMHDFSYDLKNTLLCLQYEVQRPKQSLSFLHQWRLLDPFMAVVCIDKKVAALKNGVALKAMNSTVTFLSHSERKLLNAKNESRPLGSCKKSLLPLFLSESDGQPDKEGSSLLNEQSSICFSNNPSEYEANQQSLCVSEINGRSIRSTAHRIVMGLHDATVSLGSRQNCLSKKKKFPCIKSKVEQQAEDKSDPLYFPTSYSSVVQHPVDNVQIEDLNSHGYETMEIPYVANYAGTPEEMLLGDNLLFSPEVDEMLLGITDDTNNEQHDAAVASEPQLADKDAWYGPSGASSLPLEKGDYMGAKEDCIDNGRHDAAVVSQFQMAGKDAGDRPSGALSLQSEKDTDLGANNTSLEDPTRTEQLSSEASGNALTISEPQVLFVSPQDGTLSFMNSSMNSQEMLSFLNASHDTMCTQSAVYEASLIQGFLYLDSQGSPICWTVTNPEPPRQLICAADVEPSTKLSKHCGEMNLEKDLSAYKHKEILESGSSKNGKKRPGKIADIQDNVSRKKQKVNDAPLSNCVSQYMDDVTDNPAGRVVLNEEEQIVTAIMKQVPSNLEPKNKDDKDQDEQIIEHLKQLMPEEPLKKDNQRQKKTRSRTCKFDDDDLLMTAVIHKLTARYRNCFHRRLTDKVGFRRLPRYRWEREEEGGRKKLHGGTRTVLNKLLEMGIVAKVNILQYRGPGGKNVLKDGKITTNGIRCRCCGTTFTMSKFKCHAGLSQEIPSLNLFLGTGKSYSLCQLQAWSIEHKVRKERAKDTMSLQGDQNDDICGSCGDVGELICCDNCPASYHQACLPCQDIPEGNWYCSSCLCDICGEVINSKELRTSVPALECLQCESQYHAKCIAGKALRIEKGGPDRFLCGRRCQQIYGTFHCRVGVPDHMDDGFSCTILHNNGDQKVRTAAEIAVMAECNMKLMIALSIMEECFLPILDPRTGIDIIPSILYNWRSDFVHLDHKGFYTVVLENDDSIISVASIRLHGAIVAEMPLIATCTENRQQGMCRRLMDYIEEMLKSLKVEMLLLSAIPHLVETWTSAFGFREIDESDKKQLSKVRLASVPGTILLKKDLCERAAGTHAVDGMGCLSFRARSPPPAVAEQADWSPEVSAPVCVVQSLVDKLSVLKIASPSTTASPPPSGGGVCSKRSSGERPVNIAAAAAACGSPEDVVTVAFAGPGPEPVWEN >PAN38190 pep chromosome:PHallii_v3.1:7:35323902:35329866:-1 gene:PAHAL_7G152800 transcript:PAN38190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFWITGIDVLTNHHHEGTGHIADPGNPVTGSSTPSSSASSHKMARCRIVESFTAGNLSSYRVFCLAADQQERRAMPSPHAGPSELVVHWTPPPPDRVYTRRAVTRRSERARICSAMDLEGIDICNSGRQRDGRGYGKLWNHLRLHAHLLMVDAGWKVEGKRRGNTSKVDHIYVAPDKLTQLFSLPRAWKCFGQWLLTTTPCIDENESNGYGKEWLNMHDFSYDLKNTLLCLQYEVQRPKQSLSFLHQWRLLDPFMAVVCIDKKVAALKNGVALKAMNSTVTFLSHSERKLLNAKNESRPLGSCKKSLLPLFLSESDGQPDKEGSSLLNEQSSICFSNNPSEYEANQQSLCVSEINGRSIRSTAHRIVMGLHDATVSLGSRQNCLSKKKKFPCIKSKVEQQAEDKSDPLYFPTSYSSVVQHPVDNVQIEDLNSHGYETMEIPYVANYAGTPEEMLLGDNLLFSPEVDEMLLGITDDTNNEQHDAAVASEPQLADKDAWYGPSGASSLPLEKGDYMGAKEDCIDNGRHDAAVVSQFQMAGKDAGDRPSGALSLQSEKDTDLGANNTSLEDPTRTEQLSSEASGNALTISEPQVLFVSPQDGTLSFMNSSMNSQEMLSFLNASHDTMCTQSAVYEASLIQGFLYLDSQGSPICWTVTNPEPPRQLICAADVEPSTKLSKHCGEMNLEKDLSAYKHKEILESGSSKNGKKRPGKIADIQDNVSRKKQKVNDAPLSNCVSQYMDDVTDNPAGRVVLNEEEQIVTAIMKQVPSNLEPKNKDDKDQDEQIIEHLKQLMPEEPLKKDNQRQKKTRSRTCKFDDDDLLMTAVIHKLTARYRNCFHRRLTDKVGFRRLPRYRWEREEEGGRKKLHGGTRTVLNKLLEMGIVAKVNILQYRGPGGKNVLKDGKITTNGIRCRCCGTTFTMSKFKCHAGLSQEIPSLNLFLGTGKSYSLCQLQAWSIEHKVRKERAKDTMSLQGDQNDDICGSCGDVGELICCDNCPASYHQACLPCQDIPEGNWYCSSCLCDICGEVINSKELRTSVPALECLQCESQYHAKCIAGKALRIEKGGPDRFLCGRRCQQIYGTFHCRVGVPDHMDDGFSCTILHNNGDQKVRTAAEIAVMAECNMKLMIALSIMEECFLPILDPRTGIDIIPSILYNWRSDFVHLDHKGFYTVVLENDDSIISVASIRLHGAIVAEMPLIATCTENRQQGMCRRLMDYIEEVWA >PAN38184 pep chromosome:PHallii_v3.1:7:35323201:35329574:-1 gene:PAHAL_7G152800 transcript:PAN38184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFWITGIDVLTNHHHEGTGHIADPGNPVTGSSTPSSSASSHKMARCRIVESFTAGNLSSYRVFCLAADQQERRAMPSPHAGPSELVVHWTPPPPDRVYTRRAVTRRSERARICSAMDLEGIDICNSGRQRDGRGYGKLWNHLRLHAHLLMVDAGWKVEGKRRGNTSKVDHIYVAPDKLTQLFSLPRAWKCFGQWLLTTTPCIDENESNGYGKEWLNMHDFSYDLKNTLLCLQYEVQRPKQSLSFLHQWRLLDPFMAVVCIDKKVAALKNGVALKAMNSTVTFLSHSERKLLNAKNESRPLGSCKKSLLPLFLSESDGQPDKEGSSLLNEQSSICFSNNPSEYEANQQSLCVSEINGRSIRSTAHRIVMGLHDATVSLGSRQNCLSKKKKFPCIKSKVEQQAEDKSDPLYFPTSYSSVVQHPVDNVQIEDLNSHGYETMEIPYVANYAGTPEEMLLGDNLLFSPEVDEMLLGITDDTNNEQHDAAVASEPQLADKDAWYGPSGASSLPLEKGDYMGAKEDCIDNGRHDAAVVSQFQMAGKDAGDRPSGALSLQSEKDTDLGANNTSLEDPTRTEQLSSEASGNALTISEPQVLFVSPQDGTLSFMNSSMNSQEMLSFLNASHDTMCTQSAVYEASLIQGFLYLDSQGSPICWTVTNPEPPRQLICAADVEPSTKLSKHCGEMNLEKDLSAYKHKEILESGSSKNGKKRPGKIADIQDNVSRKKQKVNDAPLSNCVSQYMDDVTDNPAGRVVLNEEEQIVTAIMKQVPSNLEPKNKDDKDQDEQIIEHLKQLMPEEPLKKDNQRQKKTRSRTCKFDDDDLLMTAVIHKLTARYRNCFHRRLTDKVGFRRLPRYRWEREEEGGRKKLHGGTRTVLNKLLEMGIVAKVNILQYRGPGGKNVLKDGKITTNGIRCRCCGTTFTMSKFKCHAGLSQEIPSLNLFLGTGKSYSLCQLQAWSIEHKVRKERAKDTMSLQGDQNDDICGSCGDVGELICCDNCPASYHQACLPCQDIPEGNWYCSSCLCDICGEVINSKELRTSVPALECLQCESQYHAKCIAGKALRIEKGGPDRFLCGRRCQQIYGTFHCRVGVPDHMDDGFSCTILHNNGDQKVRTAAEIAVMAECNMKLMIALSIMEECFLPILDPRTGIDIIPSILYNWRSDFVHLDHKGFYTVVLENDDSIISVASIRLHGAIVAEMPLIATCTENRQQGMCRRLMDYIEEMLKSLKVEMLLLSAIPHLVETWTSAFGFREIDESDKKQLSKVRLASVPGTILLKKDLCERAAGTHAGEPPNPKPFKVYSRVPRNCTGLNVLCRS >PAN38188 pep chromosome:PHallii_v3.1:7:35322874:35329574:-1 gene:PAHAL_7G152800 transcript:PAN38188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFWITGIDVLTNHHHEGTGHIADPGNPVTGSSTPSSSASSHKMARCRIVESFTAGNLSSYRVFCLAADQQERRAMPSPHAGPSELVVHWTPPPPDRVYTRRAVTRRSERARICSAMDLEGIDICNSGRQRDGRGYGKLWNHLRLHAHLLMVDAGWKVEGKRRGNTSKVDHIYVAPDKLTQLFSLPRAWKCFGQWLLTTTPCIDENESNGYGKEWLNMHDFSYDLKNTLLCLQYEVQRPKQSLSFLHQWRLLDPFMAVVCIDKKVAALKNGVALKAMNSTVTFLSHSERKLLNAKNESRPLGSCKKSLLPLFLSESDGQPDKEGSSLLNEQSSICFSNNPSEYEANQQSLCVSEINGRSIRSTAHRIVMGLHDATVSLGSRQNCLSKKKKFPCIKSKVEQQAEDKSDPLYFPTSYSSVVQHPVDNVQIEDLNSHGYETMEIPYVANYAGTPEEMLLGDNLLFSPEVDEMLLGITDDTNNEQHDAAVASEPQLADKDAWYGPSGASSLPLEKGDYMGAKEDCIDNGRHDAAVVSQFQMAGKDAGDRPSGALSLQSEKDTDLGANNTSLEDPTRTEQLSSEASGNALTISEPQVLFVSPQDGTLSFMNSSMNSQEMLSFLNASHDTMCTQSAVYEASLIQGFLYLDSQGSPICWTVTNPEPPRQLICAADVEPSTKLSKHCGEMNLEKDLSAYKHKEILESGSSKNGKKRPGKIADIQDNVSRKKQKVNDAPLSNCVSQYMDDVTDNPAGRVVLNEEEQIVTAIMKQVPSNLEPKNKDDKDQDEQIIEHLKQLMPEEPLKKDNQRQKKTRSRTCKFDDDDLLMTAVIHKLTARYRNCFHRRLTDKVGFRRLPRYRWEREEEGGRKKLHGGTRTVLNKLLEMGIVAKVNILQYRGPGGKNVLKDGKITTNGIRCRCCGTTFTMSKFKCHAGLSQEIPSLNLFLGTGKSYSLCQLQAWSIEHKVRKERAKDTMSLQGDQNDDICGSCGDVGELICCDNCPASYHQACLPCQDIPEGNWYCSSCLCDICGEVINSKELRTSVPALECLQCESQYHAKCIAGKALRIEKGGPDRFLCGRRCQQIYGTFHCRVGVPDHMDDGFSCTILHNNGDQKVRTAAEIAVMAECNMKLMIALSIMEECFLPILDPRTGIDIIPSILYNWRSDFVHLDHKGFYTVVLENDDSIISVASIRCCCRLHGAIVAEMPLIATCTENRQQGMCRRLMDYIEEMLKSLKVEMLLLSAIPHLVETWTSAFGFREIDESDKKQLSKVRLASVPGTILLKKDLCERAAGTHADDAVDGMGCLSFRARSPPPAVAEQADWSPEVSAPVCVVQSLVDKLSVLKIASPSTTASPPPSGGGVCSKRSSGERPVNIAAAAAACGSPEDVVTVAFAGPGPEPVWEN >PAN38186 pep chromosome:PHallii_v3.1:7:35322741:35329623:-1 gene:PAHAL_7G152800 transcript:PAN38186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFWITGIDVLTNHHHEGTGHIADPGNPVTGSSTPSSSASSHKMARCRIVESFTAGNLSSYRVFCLAADQQERRAMPSPHAGPSELVVHWTPPPPDRVYTRRAVTRRSERARICSAMDLEGIDICNSGRQRDGRGYGKLWNHLRLHAHLLMVDAGWKVEGKRRGNTSKVDHIYVAPDKLTQLFSLPRAWKCFGQWLLTTTPCIDENESNGYGKEWLNMHDFSYDLKNTLLCLQYEVQRPKQSLSFLHQWRLLDPFMAVVCIDKKVAALKNGVALKAMNSTVTFLSHSERKLLNAKNESRPLGSCKKSLLPLFLSESDGQPDKEGSSLLNEQSSICFSNNPSEYEANQQSLCVSEINGRSIRSTAHRIVMGLHDATVSLGSRQNCLSKKKKFPCIKSKVEQQAEDKSDPLYFPTSYSSVVQHPVDNVQIEDLNSHGYETMEIPYVANYAGTPEEMLLGDNLLFSPEVDEMLLGITDDTNNEQHDAAVASEPQLADKDAWYGPSGASSLPLEKGDYMGAKEDCIDNGRHDAAVVSQFQMAGKDAGDRPSGALSLQSEKDTDLGANNTSLEDPTRTEQLSSEASGNALTISEPQVLFVSPQDGTLSFMNSSMNSQEMLSFLNASHDTMCTQSAVYEASLIQGFLYLDSQGSPICWTVTNPEPPRQLICAADVEPSTKLSKHCGEMNLEKDLSAYKHKEILESGSSKNGKKRPGKIADIQDNVSRKKQKVNDAPLSNCVSQYMDDVTDNPAGRVVLNEEEQIVTAIMKQVPSNLEPKNKDDKDQDEQIIEHLKQLMPEEPLKKDNQRQKKTRSRTCKFDDDDLLMTAVIHKLTARYRNCFHRRLTDKVGFRRLPRYRWEREEEGGRKKLHGGTRTVLNKLLEMGIVAKVNILQYRGPGGKNVLKDGKITTNGIRCRCCGTTFTMSKFKCHAGLSQEIPSLNLFLGTGKSYSLCQLQAWSIEHKVRKERAKDTMSLQGDQNDDICGSCGDVGELICCDNCPASYHQACLPCQDIPEGNWYCSSCLCDICGEVINSKELRTSVPALECLQCESQYHAKCIAGKALRIEKGGPDRFLCGRRCQQIYGTFHCRVGVPDHMDDGFSCTILHNNGDQKVRTAAEIAVMAECNMKLMIALSIMEECFLPILDPRTGIDIIPSILYNWRSDFVHLDHKGFYTVVLENDDSIISVASIRCCCRLHGAIVAEMPLIATCTENRQQGMCRRLMDYIEEMLKSLKVEMLLLSAIPHLVETWTSAFGFREIDESDKKQLSKVRLASVPGTILLKKDLCERAAGTHAVDGMGCLSFRARSPPPAVAEQADWSPEVSAPVCVVQSLVDKLSVLKIASPSTTASPPPSGGGVCSKRSSGERPVNIAAAAAACGSPEDVVTVAFAGPGPEPVWEN >PAN38183 pep chromosome:PHallii_v3.1:7:35322741:35329866:-1 gene:PAHAL_7G152800 transcript:PAN38183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFWITGIDVLTNHHHEGTGHIADPGNPVTGSSTPSSSASSHKMARCRIVESFTAGNLSSYRVFCLAADQQERRAMPSPHAGPSELVVHWTPPPPDRVYTRRAVTRRSERARICSAMDLEGIDICNSGRQRDGRGYGKLWNHLRLHAHLLMVDAGWKVEGKRRGNTSKVDHIYVAPDKLTQLFSLPRAWKCFGQWLLTTTPCIDENESNGYGKEWLNMHDFSYDLKNTLLCLQYEVQRPKQSLSFLHQWRLLDPFMAVVCIDKKVAALKNGVALKAMNSTVTFLSHSERKLLNAKNESRPLGSCKKSLLPLFLSESDGQPDKEGSSLLNEQSSICFSNNPSEYEANQQSLCVSEINGRSIRSTAHRIVMGLHDATVSLGSRQNCLSKKKKFPCIKSKVEQQAEDKSDPLYFPTSYSSVVQHPVDNVQIEDLNSHGYETMEIPYVANYAGTPEEMLLGDNLLFSPEVDEMLLGITDDTNNEQHDAAVASEPQLADKDAWYGPSGASSLPLEKGDYMGAKEDCIDNGRHDAAVVSQFQMAGKDAGDRPSGALSLQSEKDTDLGANNTSLEDPTRTEQLSSEASGNALTISEPQVLFVSPQDGTLSFMNSSMNSQEMLSFLNASHDTMCTQSAVYEASLIQGFLYLDSQGSPICWTVTNPEPPRQLICAADVEPSTKLSKHCGEMNLEKDLSAYKHKEILESGSSKNGKKRPGKIADIQDNVSRKKQKVNDAPLSNCVSQYMDDVTDNPAGRVVLNEEEQIVTAIMKQVPSNLEPKNKDDKDQDEQIIEHLKQLMPEEPLKKDNQRQKKTRSRTCKFDDDDLLMTAVIHKLTARYRNCFHRRLTDKVGFRRLPRYRWEREEEGGRKKLHGGTRTVLNKLLEMGIVAKVNILQYRGPGGKNVLKDGKITTNGIRCRCCGTTFTMSKFKCHAGLSQEIPSLNLFLGTGKSYSLCQLQAWSIEHKVRKERAKDTMSLQGDQNDDICGSCGDVGELICCDNCPASYHQACLPCQDIPEGNWYCSSCLCDICGEVINSKELRTSVPALECLQCESQYHAKCIAGKALRIEKGGPDRFLCGRRCQQIYGTFHCRVGVPDHMDDGFSCTILHNNGDQKVRTAAEIAVMAECNMKLMIALSIMEECFLPILDPRTGIDIIPSILYNWRSDFVHLDHKGFYTVVLENDDSIISVASIRLHGAIVAEMPLIATCTENRQQGMCRRLMDYIEEMLKSLKVEMLLLSAIPHLVETWTSAFGFREIDESDKKQLSKVRLASVPGTILLKKDLCERAAGTHADDAVDGMGCLSFRARSPPPAVAEQADWSPEVSAPVCVVQSLVDKLSVLKIASPSTTASPPPSGGGVCSKRSSGERPVNIAAAAAACGSPEDVVTVAFAGPGPEPVWEN >PAN38187 pep chromosome:PHallii_v3.1:7:35323201:35329574:-1 gene:PAHAL_7G152800 transcript:PAN38187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFWITGIDVLTNHHHEGTGHIADPGNPVTGSSTPSSSASSHKMARCRIVESFTAGNLSSYRVFCLAADQQERRAMPSPHAGPSELVVHWTPPPPDRVYTRRAVTRRSERARICSAMDLEGIDICNSGRQRDGRGYGKLWNHLRLHAHLLMVDAGWKVEGKRRGNTSKVDHIYVAPDKLTQLFSLPRAWKCFGQWLLTTTPCIDENESNGYGKEWLNMHDFSYDLKNTLLCLQYEVQRPKQSLSFLHQWRLLDPFMAVVCIDKKVAALKNGVALKAMNSTVTFLSHSERKLLNAKNESRPLGSCKKSLLPLFLSESDGQPDKEGSSLLNEQSSICFSNNPSEYEANQQSLCVSEINGRSIRSTAHRIVMGLHDATVSLGSRQNCLSKKKKFPCIKSKVEQQAEDKSDPLYFPTSYSSVVQHPVDNVQIEDLNSHGYETMEIPYVANYAGTPEEMLLGDNLLFSPEVDEMLLGITDDTNNEQHDAAVASEPQLADKDAWYGPSGASSLPLEKGDYMGAKEDCIDNGRHDAAVVSQFQMAGKDAGDRPSGALSLQSEKDTDLGANNTSLEDPTRTEQLSSEASGNALTISEPQVLFVSPQDGTLSFMNSSMNSQEMLSFLNASHDTMCTQSAVYEASLIQGFLYLDSQGSPICWTVTNPEPPRQLICAADVEPSTKLSKHCGEMNLEKDLSAYKHKEILESGSSKNGKKRPGKIADIQDNVSRKKQKVNDAPLSNCVSQYMDDVTDNPAGRVVLNEEEQIVTAIMKQVPSNLEPKNKDDKDQDEQIIEHLKQLMPEEPLKKDNQRQKKTRSRTCKFDDDDLLMTAVIHKLTARYRNCFHRRLTDKVGFRRLPRYRWEREEEGGRKKLHGGTRTVLNKLLEMGIVAKVNILQYRGPGGKNVLKDGKITTNGIRCRCCGTTFTMSKFKCHAGLSQEIPSLNLFLGTGKSYSLCQLQAWSIEHKVRKERAKDTMSLQGDQNDDICGSCGDVGELICCDNCPASYHQACLPCQDIPEGNWYCSSCLCDICGEVINSKELRTSVPALECLQCESQYHAKCIAGKALRIEKGGPDRFLCGRRCQQIYGTFHCRVGVPDHMDDGFSCTILHNNGDQKVRTAAEIAVMAECNMKLMIALSIMEECFLPILDPRTGIDIIPSILYNWRSDFVHLDHKGFYTVVLENDDSIISVASIRCCCRLHGAIVAEMPLIATCTENRQQGMCRRLMDYIEEMLKSLKVEMLLLSAIPHLVETWTSAFGFREIDESDKKQLSKVRLASVPGTILLKKDLCERAAGTHAGEPPNPKPFKVYSRVPRNCTGLNVLCRS >PVH34801 pep chromosome:PHallii_v3.1:7:8693014:8695846:1 gene:PAHAL_7G034500 transcript:PVH34801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNYGNPPGMQMPQQNSQPGQFNNPLYGASSGLIRSGLGVYGEKFLDSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFSRALIGWAFQLVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVARLIWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSRQHYFLLFMAIAQFPLFFWLGSIGA >PAN38126 pep chromosome:PHallii_v3.1:7:35047749:35049315:-1 gene:PAHAL_7G149100 transcript:PAN38126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHETLGSRTTEVSQALLAGCSRDLGDFGYFQGLLQSVSTAVEMAEFSSGLHNNSAYPVAADGLAVSSGQGTDVDESGYASSEPSPHDVYADDEGCMNELTMEQGGAVVSGEGSASDTRLQSDQHQQSRHGYSEPTTRCDKQRK >PAN38833 pep chromosome:PHallii_v3.1:7:38346697:38355195:1 gene:PAHAL_7G201200 transcript:PAN38833 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/TrEMBL;Acc:A0A178WLP2] MDSEDDMHDANDSADDDFYSGGEAGLAGSDDGDADYDFADHDSDDSGELLSHRQQQNYSILSEVDIKQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEERVRKIIGLPEKHMELPNDREVTCGICFESCPRGTMSAAACGHPFCSTCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQDMINALADDEDKEKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYESARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGGKSKSGKNRASSTSSKSGGSNRGLDDSNIWTCDQCTYANPKSARACQACDRQHR >PVH35062 pep chromosome:PHallii_v3.1:7:27827451:27833143:-1 gene:PAHAL_7G096500 transcript:PVH35062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MVAPTSLSPSLPAHPLAPVHHLRLLRPTNPTTKPISPSLVVRCASTAEAGRPGPPPLPPLRLVRCPALDRQAARASRLRFARKLLTLLLSKPRHFLPLRVLGRCRRFLGLPHRGRPLIPMVLRYPTLFRLFQAPTSLPLSPSLSTLAVGLTPAAEALAADLAALRATSNGADALSDKLHRILLMTPRQSIPVNRLAHIAPDLGVAMDFRATLCPRHPDLFTLVHTSYGHALQLADPPPPPPPSLPLFHRASTPDRLIDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPDVSPFEPLDEGASLEMLERRACAVVREVLAMTVEKRTLVDNLTHFRKDFGLPNRLRALLVRHPELFYVSVKGVRHSVFLVEAFDDDGRLLVEDEMLVGRDRLEELVREGKRMRHARKKGVLTFDSDSDEDEDDKEVEDEGLLDVDNEFGDLFEDGIIGDDWEQVGSDGGIEGNEEHDTESDAIEEFWMKKAVAEGLVDSTNEQDVW >PAN37673 pep chromosome:PHallii_v3.1:7:27827452:27832994:-1 gene:PAHAL_7G096500 transcript:PAN37673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MVAPTSLSPSLPAHPLAPVHHLRLLRPTNPTTKPISPSLVVRCASTAEAGRPGPPPLPPLRLVRCPALDRQAARASRLRFARKLLTLLLSKPRHFLPLRVLGRCRRFLGLPHRGRPLIPMVLRYPTLFRLFQAPTSLPLSPSLSTLAVGLTPAAEALAADLAALRATSNGADALSDKLHRILLMTPRQSIPVNRLAHIAPDLGVAMDFRATLCPRHPDLFTLVHTSYGHALQLADPPPPPPPSLPLFHRASTPDRLIDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPDVSPFEPLDEGASLEMLERRACAVVREVLAMTVEKRTLVDNLTHFRKDFGLPNRLRALLVRHPELFYVSVKGVRHSVFLVEAFDDDGRLLVEDEMLVGRDRLEELVREGKRMRHARKKGVLTFDSDSDEDEDDKEVEDEGLLDVDNEFGDLFEDGIIGDDWEQVGSDGGIEGNEEHDTESDAIEEFWMKKAVAEGLVDSTNEQDVW >PAN37674 pep chromosome:PHallii_v3.1:7:27827452:27833070:-1 gene:PAHAL_7G096500 transcript:PAN37674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MVAPTSLSPSLPAHPLAPVHHLRLLRPTNPTTKPISPSLVVRCASTAEAGRPGPPPLPPLRLVRCPALDRQAARASRLRFARKLLTLLLSKPRHFLPLRVLGRCRRFLGLPHRGRPLIPMVLRYPTLFRLFQAPTSLPLSPSLSTLAVGLTPAAEALAADLAALRATSNGADALSDKLHRILLMTPRQSIPVNRLAHIAPDLGVAMDFRATLCPRHPDLFTLVHTSYGHALQLADPPPPPPPSLPLFHRASTPDRLIDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPDVSPFEPLDEGASLEMLERRACAVVREVLAMTVEKRTLVDNLTHFRKDFGLPNRLRALLVRHPELFYVSVKGVRHSVFLVEAFDDDGRLLVEDEMLVGRDRLEELVREGKRMRHARKKGVLTFDSDSDEDEDDKEVEDEGLLDVDNEFGDLFEDGIIGDDWEQVGSDGGIEGNEEHDTESDAIEEFWMKKAVAEGLVDSTNEQDVW >PVH35061 pep chromosome:PHallii_v3.1:7:27827452:27833143:-1 gene:PAHAL_7G096500 transcript:PVH35061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MVAPTSLSPSLPAHPLAPVHHLRLLRPTNPTTKPISPSLVVRCASTAEAGRPGPPPLPPLRLVRCPALDRQAARASRLRFARKLLTLLLSKPRHFLPLRVLGRCRRFLGLPHRGRPLIPMVLRYPTLFRLFQAPTSLPLSPSLSTLAVGLTPAAEALAADLAALRATSNGADALSDKLHRILLMTPRQSIPVNRLAHIAPDLGVAMDFRATLCPRHPDLFTLVHTSYGHALQLADPPPPPPPSLPLFHRASTPDRLIDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPDVSPFEPLDEGASLEMLERRACAVVREVLAMTVEKRTLVDNLTHFRKDFGLPNRLRALLVRHPELFYVSVKGVRHSVFLVEAFDDDGRLLVEDEMLVGRDRLEELVREGKRMRHARKKGVLTFDSDSDEDEDDKEVEDEGLLDVDNEFGDLFEDGIIGDDWEQVGSDGGIEGNEEHDTESDAIEEFWMKKAVAEGLVDSTNEQDVW >PVH35662 pep chromosome:PHallii_v3.1:7:40733987:40735239:1 gene:PAHAL_7G237700 transcript:PVH35662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPPRRLASASPSLRFLGLLKQPDDAGVDDAQELELDERDVVWSSSATSSSSTSAASSPSPTRPRPRASADPYRRRRATSRPAASACPRSSPRTATRPPRPSRPPRARRGSAPRSRTTSPPPSPCLPGPRPWRRRSWTLPRTTRTTTASPWCRRTRWPRAAPRRRRR >PAN40673 pep chromosome:PHallii_v3.1:7:46793168:46796062:1 gene:PAHAL_7G332700 transcript:PAN40673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytol kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04490) UniProtKB/Swiss-Prot;Acc:Q9LZ76] MAAAAWPGAAAACASSSNSLLPSRSRPHAPSPASFMRRRLVPGVANPAMAALAAAAPPAVLQDGAATLFTTAGAYALVRTFDVLTERRLVEKSLSRKIVHVLSGILFMSSWPLFSNSTEARYFAAVVPFLNSLRLLTYGLCLYTDEALVKSVTREGKPEELLRGPLYYVLVLLFSVLVFWRESPIGIVSLSMMSGGDGFADIVGRRYGSVKLPFNQKKSWVGSISMFISGFLLSAIMLFYFSSLGYIHVSWEEAFGKLALVALAATLVESIPATDVVDDNISVPLATMLVALLLFGSNTQ >PAN40119 pep chromosome:PHallii_v3.1:7:44340507:44342771:1 gene:PAHAL_7G293000 transcript:PAN40119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHGTETNQFMSNLPLTSSHPHPTVGNPGDDQSMSDLHLTSSHTHSTLGDPGDQFIDFDESDWMSVLNDSGDSGVKDADVLPSPSEIRMEGIPSPGLAADAAAVAEIGQPASGLLGEHSRLTSAAVANKLPPARMELSDGVCRDQSLFDISTSTARAMHHAGALDDNSIRGPHPARGHFQPDMGTVDVRNPCPFSEMLEVTRLLEDLLKEKPSQEEADFIRDISEFRKFNYTIAPKDYLVQPWVHPTRNRRYITRFVAKLDDDKKAGYWKEKEAKAIRDPSASRNIIGMKRTLEFMNGGKRTHWLADEYVALEPWGHDALHILDDIAVRRVYEEGKETAPPSKCSKTGAHSSGESYIWQHMTRVYAGSTEAPSLLYGICHECDKALKCPPNFGNGNLNRHLARVHDIHPPCKNQCVMTGEKGVGRRAVRV >PVH34946 pep chromosome:PHallii_v3.1:7:21873499:21874429:-1 gene:PAHAL_7G072900 transcript:PVH34946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYDEEDVPRCRVKMTIPPHPTLSLWSPIEVNVVGHRLADTFEVAAIEAIHTFCDQHLEEVAGYPIGLFPAMDSRDPEWTFRVAYCDHLLGTLAGETLRTAVRFMSAQYRYQTLQQHGIYCLTNIAQRYRNQVGRQNTQIEALQATITAKEEDITQREETIQHREEQIVEKQVHELNLNLGQAIDHINMLHEQPEQPAANEPEDDEEEDPEEVEGVSGIDSEHGDPVLSPYHSSSGSQSSVGNLDDL >PVH35952 pep chromosome:PHallii_v3.1:7:45808453:45818548:-1 gene:PAHAL_7G317700 transcript:PVH35952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSSPASRLLTLLLFVVIACAVPEARCSDRRFPHLDRVRELHRREGSSSAEQEAAARGLLDRLLPSHSASFEFRVISTEQCGGKACFIIINHPLFDGEGTPEILILGVSGVEISAGFHWYLKHYCAAHISWYKTGGAQLSSIPHPGSLPRVPAGGVFIQRPIDWSYYQNAVTSSYSFAWWNWERWEKEIDWMALQGINLPLAFTGQESIWQRVFQRYNISKLDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALKSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFIEIGKLFIEEQIREYGRTSHIYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAVWLMQGWLFTYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPVWINSDQFYGVPYIWCMLHNFAADFEMYGVLDALASGPIDARLSDNSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRRVDLQVWVETYPTRRYGKPVKGLQHAWWILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTQGLYMSYGKLYATRSPKNYVKGTSNDAYEHPHLWYNTSVVVHALELFLQYGDEVSDSNTFRYDLVDLTRQVLAKYANDVFIKIIKSYKSNSTNQMTTLCQHFLGLVNDLDTLLASHEGFLLGPWLENAKGLARDRKQEIQYEWNARTQITMWFDNTETKASLLRDYANKYWSGLLRDYYGLRAAIYFKHLLQSMEKNEPFALEEWRREWISLTNDWQSDRKVFATTATGDALNISRSLYMKYFGNADLLELEGTSSPGESASL >PAN37313 pep chromosome:PHallii_v3.1:7:23486297:23490129:-1 gene:PAHAL_7G079500 transcript:PAN37313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEVVRTEMVLAPSLPFKKVQTADKYPKGQSRGRQWKHLRHLLQAADASTIPPDRPNYLNIQSPPSIYPSKRYCDITGFEAPYMDPRTKLRYADPEVFKQIRTLPDEYVQRYLALRNAAVILR >PAN37314 pep chromosome:PHallii_v3.1:7:23487075:23490129:-1 gene:PAHAL_7G079500 transcript:PAN37314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEVVRTEMVLAPSLPFKKVQTADKYPKGQSRGRQWKHLRHLLQAADASTIPPDRPNYLNIQSPPSIYPSKRYCDITGFEYMLLAGLEEKTMVAYKKLELRKTRPSVVC >PAN38954 pep chromosome:PHallii_v3.1:7:38858620:38864600:1 gene:PAHAL_7G209100 transcript:PAN38954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPATPRWNLERPYLTGRFHQEAMAAAAAQAPGSKPFSLDSFSRGAGASTGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDYVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTSGSATLNLLQSQAKVMGGDSAVRSLLEKMTEYASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKEGIPSFLTNVAAMILTTGKYLNVMRECGHNVQVSLENSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISAEKLQSLLDIALRSTAAASDPTHEELICCVERSSLLKKLATLKDLDCACPADKLAAADVDQSMQLSITGLETFCLSNKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCAAWQIQQVFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLSPELLVKVEKLKALCLQYATSIQLLMPSIDVANSENTAKARKSRSRTNKSQDRDQQLKLASENVVMSESILKFEAAFNSELQSLAPTLSNSSHAEPYLTHLAQCILGVRVDQ >PAN39028 pep chromosome:PHallii_v3.1:7:39231780:39239642:1 gene:PAHAL_7G214800 transcript:PAN39028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAPASACRVTPARSTASFLPARSLAGCRAVVWRCWCTRRGRRRGWAGLRARCVGGQSSAVQPDSENAGEGLMEEEDGPRRPPFDLNLAVVLAGFAFEAYTSPPADVGWRETDAAECQTVFLSDVFLREVYDGQLVVKLKRGINLPAMDPWGTSDPYVILQLNGQIAKSNIKWATKEPTWNENFTFNIRKSRENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNSHDVTVELEGLGGGGTIEIEVKYKSYDDIERDKQWWRIPFVSDFFVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLESGDEISESVEESRDNPVESNELQQQNIDSGDSSYSHSEGQSPAAAVNSAGNASSDMKESDEYFWRALNNVLNQNVLQNFGFSLPEVKKLDGFDLLSSLGLKSREIAEQKYLESGLATADTSTSDGSETAPEDAVGAVNENGALTAKEEKEQSSFLDINKVSRDVLSQTENILGALMILSKNLSSYDNNSVTTNETTWKDDMIIEQEVGAAEDSIDKDNAVTSTKQSVDAQKAEDMRRLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNISTDTQVAIWRDSSRRRLVVAFRGTEQSRWKDLRTDLMLVPAGLNPERLGGDFKQEVQVHSGFLGAYDSVRNRIMALIKYAVGYQDEEDAENIPRWHVYITGHSLGGALATLLALELSSSQMAKNGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRIVNHRDIIPTVPRLMGYCHVEAPVYLKFGDSKDALVSNGILDDEDQGDVIGEYTPDVIVTEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLENVRSRYQVADSANDESRQLTA >PVH34713 pep chromosome:PHallii_v3.1:7:2564225:2564617:1 gene:PAHAL_7G013700 transcript:PVH34713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCSNAIGVCQALPDGQQRIEDGLDGGARRRGVGGQHCSLLLPMGARGSPRRSHGPAGDDSEQGPTARQSEWLAPPRPPAGHRQNRRQLATLLRTAVQQPQRNRSDPIQRCGRPPVPRRSCAVGCSQRSV >PVH35397 pep chromosome:PHallii_v3.1:7:36597885:36598766:-1 gene:PAHAL_7G171700 transcript:PVH35397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLAVLSVLALLAVAATAQAPSGAPAAAPQAAPPTPATPPPAPAPVAPPTATPAPAPTVDAPTPSAAAPAPEAPMSSPPAPSPDAASPSPSAEPSTPAGGAAGLRPAFAFAAVAVAAAVYAF >PAN38054 pep chromosome:PHallii_v3.1:7:34419134:34421548:-1 gene:PAHAL_7G143300 transcript:PAN38054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHQVVSGAAAGEVPARCGAGLFSALDKVRRALVAFGAKLAKIARDDPRRVAHSLKVGLALTLVSVLYYVRPIFINWGVSTMWAVLTVVVVMEYTVGGTLIKGLNRAFATLLAGFIAVGAHKVAYLCGNNGEPVVLAIFVFLLASAATFSRFIPEVKARYDYGVTIFILTFSLVAVSSYRVEELIRLAHQRFSTIAIGVATCLFTTIFVCPVWAGEDLHNLAAGNLDKLADFLEGLESECFRENAPGENLESKPFLQVYKSVLNSKASEDSLCNFAKWEPGHGNFYFRYPWGLYQKLGALSRQCASSMQALASYIITLTKSHYPEANMELCLKVRAACGEMSLNSAKALRELSEAIQTMTAPSPARTHMSAAIRAARGLRAELSQDADLAKVMHVAVIASLLSELVTQTKKIAESVDNLARVARFKDPEDTQKDVVINVVS >PAN38055 pep chromosome:PHallii_v3.1:7:34419318:34421452:-1 gene:PAHAL_7G143300 transcript:PAN38055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHQVVSGAAAGEVPARCGAGLFSALDKVRRALVAFGAKLAKIARDDPRRVAHSLKVGLALTLVSVLYYVRPIFINWGVSTMWAVLTVVVVMEYTVGGTLIKGLNRAFATLLAGFIAVGAHKVAYLCGNNGEPVVLAIFVFLLASAATFSRFIPEVKARYDYGVTIFILTFSLVAVSSYRVEELIRLAHQRFSTIAIGVATCLFTTIFVCPVWAGEDLHNLAAGNLDKLADFLEGACSHPIQRGLESECFRENAPGENLESKPFLQVYKSVLNSKASEDSLCNFAKWEPGHGNFYFRYPWGLYQKLGALSRQCASSMQALASYIITLTKSHYPEANMELCLKVRAACGEMSLNSAKALRELSEAIQTMTAPSPARTHMSAAIRAARGLRAELSQDADLAKVMHVAVIASLLSELVTQTKKIAESVDNLARVARFKDPEDTQKDVVINVVS >PAN40853 pep chromosome:PHallii_v3.1:7:47262287:47265889:1 gene:PAHAL_7G339300 transcript:PAN40853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIWTVFAAGAVLLWAISLGRILSFPTPTCVPPSPHFMPPLRGDRRSRNVLLVVAHPDDESMFFAPTILFLKSKGHNIHILCMSQGNADGHGITRKEELYHACGTLKIPREQVKVLDHPKLQDGFHEKWDHGLLAELTMEHIQLWAIDTIVTFDSYGVSGHPNHQDVHHGICKFLHANRQGNIEAWELASLNILRKYSGPLDIWLSLLISSSSSKQPIYTLVNSSPSRSYEAMAAHRSQWVWFRRLFVMFSSYTYINVLQKL >PAN40854 pep chromosome:PHallii_v3.1:7:47262533:47264718:1 gene:PAHAL_7G339300 transcript:PAN40854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIWTVFAAGAVLLWAISLGRILSFPTPTCVPPSPHFMPPLRGDRRSRNVLLVVAHPDDESMFFAPTILFLKSKGHNIHILCMSQGNADGHGITRKEELYHACGTLKIPREQVKVLDHPKLQDGFHEKWDHGLLAELTMEHIQLWAIDTVVATSWRPYELQNTLHFCSHNRDIRFIWSIRPPKPPRCSSRHMQVSPCEQARKH >PVH34736 pep chromosome:PHallii_v3.1:7:3934770:3941509:1 gene:PAHAL_7G019500 transcript:PVH34736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDSGWVGDVRIASPITTRLLNDGFALLRFDESEKLRDLEARLLPQLALMLEKTERIPTGQMPHVELWAKRLRAAFYDAEDILNVADYHRLENQKSLEFSKISLESPNIGVSCRMCPEYRHVRSLRTSPQSPKVLFGVSQEFF >PVH34737 pep chromosome:PHallii_v3.1:7:3934771:3938438:1 gene:PAHAL_7G019500 transcript:PVH34737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDSGWVGDVRIASPITTRLLNDGFALLRFDESEKLRDLEARLLPQLALMLEKTERIPTGQMPHVELWAKRLRAAFYDAEDILNVADYHRLENQVAPHALP >PVH34955 pep chromosome:PHallii_v3.1:7:22403162:22403524:1 gene:PAHAL_7G075200 transcript:PVH34955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQHIHAQPPPPPPPQPRDRRADFLRGHPLTFSHAMDPLQADDWLRSVERQLVVAQCDDRERVLYAAG >PVH34730 pep chromosome:PHallii_v3.1:7:3683267:3694944:-1 gene:PAHAL_7G018600 transcript:PVH34730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRIPTTSPRTMSRVPSCTQSCLWVRSPLELRMAQDSDDPMFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH34876 pep chromosome:PHallii_v3.1:7:15629522:15630209:1 gene:PAHAL_7G053300 transcript:PVH34876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLWNHPAVVNSRQSWGCGRRRVDELFEHTHMEHIMETSTLWQFQTHRDVVDDLRCDIQVTRFIKTKPWM >PVH35620 pep chromosome:PHallii_v3.1:7:40091624:40094763:-1 gene:PAHAL_7G227300 transcript:PVH35620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) UniProtKB/Swiss-Prot;Acc:Q9LEY1] MHCSKCVLDETMISVLMTDDAREESDGAIPDPPPLCAGRAMAGPGCSSVAYGAAQELGPFLVRSYGANLTRNAYAWNKAVNLLFLEAPVGVGFSYTNRTADLRRLGDRVTAQDSYSFLLNWLDRFPEFKSRDFYIAGESYAGHYVPQLAELIYDGNKGASRGRVINIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCSPALRAFLGAYDDIDIYSIYTPTCLLPAAAGATPRRPAARLVAAPRLFSKHEAWHSLKRVPAGYDPCTEAYVTKYFNREDVQRALHANRTALPYPYSPCSEVIRKWNDSPATVLPILKKLMAAGLRVWVYSGDTDGRVPVTSTRYSINAMGLRPRGRAANRSAAAASAADVAPERWGGWRAWYYRQQVAGWAVEYEEGLTLVTVRGAGHQVPLFAPGRSLTMLYHFLRGQALPADRSR >PAN39196 pep chromosome:PHallii_v3.1:7:40091624:40097046:-1 gene:PAHAL_7G227300 transcript:PAN39196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) UniProtKB/Swiss-Prot;Acc:Q9LEY1] MAVAAVVAVLTLALATASDAAASGAPRRSPRPEADLVTGLPGQPAVGFRHYAGYVDVGSGGGKALFYWFFEAEREPEKKPLLLWLNGGPGCSSVAYGAAQELGPFLVRSYGANLTRNAYAWNKAVNLLFLEAPVGVGFSYTNRTADLRRLGDRVTAQDSYSFLLNWLDRFPEFKSRDFYIAGESYAGHYVPQLAELIYDGNKGASRGRVINIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCSPALRAFLGAYDDIDIYSIYTPTCLLPAAAGATPRRPAARLVAAPRLFSKHEAWHSLKRVPAGYDPCTEAYVTKYFNREDVQRALHANRTALPYPYSPCSEVIRKWNDSPATVLPILKKLMAAGLRVWVYSGDTDGRVPVTSTRYSINAMGLRPRGRAANRSAAAASAADVAPERWGGWRAWYYRQQVAGWAVEYEEGLTLVTVRGAGHQVPLFAPGRSLTMLYHFLRGQALPADRSR >PVH34923 pep chromosome:PHallii_v3.1:7:19882116:19889055:-1 gene:PAHAL_7G065700 transcript:PVH34923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARDGKSEFVGSSRTCFRSRRRQLLASRRHARRLRRWRRHNVEKQGEASAGLEAASGVQERHVARRKAARGQLGLRKWSARVAGSRARAKQGRGLEVDEGGPSCNFPKVQGPHYKT >PAN37210 pep chromosome:PHallii_v3.1:7:20709013:20719267:1 gene:PAHAL_7G068600 transcript:PAN37210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFGDGGGDGEAGRAWDPLRSGSAPPTMEGAAAAVAVAAEGMFGGGGSGASFFSGMDGLGFGARLDEVSRRRGAAGAQEHFGNSASLSVGPPGLLLNGPGDLDEQQFRKGIVHNGGAMANYSTFDMRSLWTDMDPDNAEYRRNVQNRFMSNIQKMNVNRDLNASYMSDSDLSDALSGLKLSNNRVMDEWNHGDELLDELLKRQRDFCTKIGDDNQRPLVGNVFGSPRSDLRLPPIYGDGILRRQTSALDGSNVSRMSRHHLKDVDHLSLAEQLAMMRSGNLPRGVNLSRNTAMSNMINPMNNRYNNISTRDLDYVRNRRAFLEDLLAQEYLQDDNLLYNDSGIYHDEPRFPRARMQRSGSHFHPNPGNIQSHGDRQSRLFSFNRKATFRNIGSQFYHDNTLANYLDVPSLDNADRNGADSVDLVDVLGHVKEVSMDQYGSRFIQQKLENASPDEREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLADQLEGHILQLSLQMYGCRVVQKVLEVVDMDRKINIVHELKNSVLKCIGDQNGNHVIQKCIECVPEDRIPFVIEPILSQILVLCTHQYGCRVIQRVLEHCHDPATQSAIMNEIVQQTFHLTDDKFGNYVVQHVLDHGKPEERSSIIQKLSGQVVILSKQKFASNVIEKCLANGTPEERDSFIGEIISSGQTFQELMKDQFGNYVVQRVLQTCDDKYLEMILSSIKLHLSELKNYTYGKHIVARVEKLIVTGEKRARMVSQSSQQQQSPICTAVDAL >PAN40699 pep chromosome:PHallii_v3.1:7:46906195:46908735:1 gene:PAHAL_7G334200 transcript:PAN40699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAAEQDAVSMVRRIARALNRRVTDIVALLFNHKSAGSLGAVAGFAIAVVFAWRFLRPSQGRPRRPAPKRPSATPAGTPDSVVSDAAEPVGDSGKLVTRQIVAKRLSGCRKVTCQLLGVVFEEKTPEELQKHATVRPSVVELLLEISRHCDLYLMETVLDDKSEENALMALESAGLFRTGGLMKEKVLFCSTEVGRTSFVRQLEADFHIDTSLDIVSQLSRFIRCQLFISSMEGGQLAANIFNSPNLEQFFS >PAN38232 pep chromosome:PHallii_v3.1:7:35545005:35545473:-1 gene:PAHAL_7G156500 transcript:PAN38232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASLFDALDKDGDGKVSASELRGCMAAALGEEEAARGRRRGDGRRRGRPAGPHEFLRLAREADGDDADGRRRYPRAAFGMYADDASEAAGAGGQYITPASLQRMLSRLLGSQQQQLALDECRAMICRFDLDGDGVLSFEEFRVMMHDGLI >PVH35058 pep chromosome:PHallii_v3.1:7:27485063:27488665:1 gene:PAHAL_7G095100 transcript:PVH35058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLAHDAALLIVVLILVFRCPGGGAAAVQASGNVTIPSAATLAHCPKMCGDIIFDYPFGIGAGCFRDPDFEVICNHSTQPPRLFLNDGDTELVDGISFSLPANSPGLDVAFSRSIPMISDIDVYNVSWTAPGRSFVASQIQLNFTGCDFDIYWLRDIDSRALVCAVTCPSEGITETIARQSCDGIGCCSSTFPTGGISSLKFQFVRRNSKVEGHARTEGRTNRSSLWDRISVETYFMLLSWGVVLDQQPDCAAAAKNKTSYACVSEHSTCTYELNGMYPSYMCKCRDGYNGNPHVLGGCLPGYNPNPQKVNCSRSCGNISVPFPFGLEEGCSAREEFLLNCTDAVSSTLMYGWDQYVSEINVGKGIMAISSDAFPVFGTLYAQSTAPQDLHWAVANQSCQQAQQDIYAYACVSANSTCLGVNSTEKFVSNTYVGYRCKCVDGFDGNPYILNGCQDIDECETTPGICGGPCRNTVGGYYCTKCPGKTQYYDTTKKQCTQAKRQPSLLFGIVIGFGAGFGILLLGLSTLLFANRWRGEVEKKQRRKNFLENQGLLLEQLISSDENASDKTKIFSLQELEKATNNFDNTRILGRGGHGMVYKGILSDQRVVAIKRSMIIKQSEINEFINEVAILSQINHRNIVKLFGCCLDFVPTIEAAGALSYLHSSASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVSIDQTHVSTLVQGTLGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRREPIFTSESGSKHNLSSYFLWEFKTRPIKEIAATQVREEASEEEIKSVASLAEMCLRLRGEERPTMKEVEMALQTMRAKRFKSYQAAHENGKEMHGLIRLRAKDSSDHSFAQVGYSVDQPSQRWCSLEQEFISSGGVPR >PAN39890 pep chromosome:PHallii_v3.1:7:43306889:43310119:1 gene:PAHAL_7G277100 transcript:PAN39890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARLLLPRAARTAASSCSATAIARGPLDSFSKHFRTLAPAPAKFMRGLSALSDAAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKIDLVGDAELEALTNKIKIINGMAQMKKAKFGDVDMDFVLGIGGYDLDRIEAEVQLQESKETGHCHHGDEHGHHHDHVHDSAITSVSIVSEGVLDLDEVNDWLERLVDEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWESDEKRVNKLVFIGRNLDEAALRKAFNGCLL >PAN39499 pep chromosome:PHallii_v3.1:7:41341789:41347619:-1 gene:PAHAL_7G247600 transcript:PAN39499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSHQKGRMRTKKPLGIQLFECVWRSPISFRSCQALVLVLTFLSYASYHATRKTTSIVKSVLDPKTNLGILHWPSHLYLQNLKGAENNTTLSSGWAPFNAKDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGLFTAAFGAGYWFNIHSFYYFLGMQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKYGWSWSFAVPGIMIALVGLTVFLFLPVSPDVIGIQEDLHLKDSEKTDMDTPLLERRSEAKDKAVGFIEAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGQYLSDSSAGVLSTLFDVGGVVGGILAGHISDHLDARALTAASFTFSAIPALFFYRIYGSVSLTWNIALMFITGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISSRSWSAVFTMLMASALVAGLLLTRLVVAEVVAKMESRRAPAPAASDLPVSTATMDEP >PVH35830 pep chromosome:PHallii_v3.1:7:44005524:44010796:-1 gene:PAHAL_7G287200 transcript:PVH35830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKYPIQGEEDVLGALSLVLYTLILMPLMKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGIRNTSQDVVVMISVALLVILFSVQRYATSKVGFALGPSLLVWFCCLGGIGIYNLSLYGPAAFKAFNPLYIIYYFGRNPFQAWLSLGGCLLCATGSEAIFSNLCHFPVRYVQYMFVLLVLPCLVLAYLGQAAFLIANPKSSEQVFFSSIPIGVFWPVFLVANLAALIASRTMTVAIFQCLKQSISLGCFPRLKIVHTSRKFMAKIYIPVVNWLLLVSCMGFIVLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWESNIVKVLSFVITFLFLELIFFSSALSSVGDGGWALLMFASVLFMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTIRAPGLGLVCSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKFIQREAVELSLQSEDDVDSDEEPPTPVKIITAPNGSLYSLDVPLLADYAPSTEVIPEASCSTPQQDPVLDYAQNLELELAFIKQSKQSGAVYLIDNPIIKARKDSWFFKKLMINYFFAFLRNNCLRAIMLMSIPHSNMMQVRMTSYV >PAN40035 pep chromosome:PHallii_v3.1:7:44005960:44010547:-1 gene:PAHAL_7G287200 transcript:PAN40035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAESSAASGMRKAPSLEWRWVSTEEEDDEGRGGRGGAAAVGAVGRGGSFESEDEEDAEDEEEREGKKRLIRTVPSVDWFDVEGNEVSVGQPVEDTEEFDFGRTVFLALQTLAVVFGDIGIGPLYTFDVMFNKYPIQGEEDVLGALSLVLYTLILMPLMKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGIRNTSQDVVVMISVALLVILFSVQRYATSKVGFALGPSLLVWFCCLGGIGIYNLSLYGPAAFKAFNPLYIIYYFGRNPFQAWLSLGGCLLCATGSEAIFSNLCHFPVRYVQYMFVLLVLPCLVLAYLGQAAFLIANPKSSEQVFFSSIPIGVFWPVFLVANLAALIASRTMTVAIFQCLKQSISLGCFPRLKIVHTSRKFMAKIYIPVVNWLLLVSCMGFIVLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWESNIVKVLSFVITFLFLELIFFSSALSSVGDGGWALLMFASVLFMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTIRAPGLGLVCSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKFIQREAVELSLQSEDDVDSDEEPPTPVKIITAPNGSLYSLDVPLLADYAPSTEVIPEASCSTPQQDPVLDYAQNLELELAFIKQSKQSGAVYLIDNPIIKARKDSWFFKKLMINYFFAFLRNNCLRAIMLMSIPHSNMMQVRMTSYV >PVH35785 pep chromosome:PHallii_v3.1:7:43340707:43342581:-1 gene:PAHAL_7G278000 transcript:PVH35785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGCLQLKDMVLKFSGSSKHHQYKGTAGTRSSFRSGGGSYRRPYPGFIDDTSFTPAPSRVLGEDYYPRTVLAAGGAGGARTAASDTLDVTGRQAAGKSPSAGGSGWIPSTGEDVVAVEEAAPREWTAQVEPGVQITFGTIPTGGNDLKRIRFSREMFNKWEAQRWWGENYDRIVELYNVLTFSGRQQGCSTPVSSVDDSVLRESSYSHGGSTSRGSPTAAPLPPPPPPPPAASKEPIARSASCKAMAPGSSSSAPYAAAPSTRAAYYPSTAVPDPSDHVWAHHLNMLNSAAGTSATGGGGWVSSSYDPSRATTSSRDEASASLSNVSDLEAAEWIEEDEPGVCLTIRELGDGTRELRRIRFSRERFGEDRAKVWWEQNRERIQAEYL >PAN37787 pep chromosome:PHallii_v3.1:7:32432796:32438186:-1 gene:PAHAL_7G122200 transcript:PAN37787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCPYFAAEESDRGIRPGESPAAALRRILATPGAHQAPCCFDALGARLVERAGFPIGFMGGFCVSAARLGLPDVGLISFGEMVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREEAIMHIKAAVDARNESGSDIVIVARSDSRQAISLDEALWRVKAFADAGADVLFIDALASVEEMKAFCAVAPGVPKMANMLEGGGKTPILSPAELEKIGFSLVVYPLSLVGVSMRAMQDALVAIKDGGVPPPGALPSFQEIKDTLGFNRYYKEEKQYQVDK >PAN40833 pep chromosome:PHallii_v3.1:7:47564031:47565585:-1 gene:PAHAL_7G344700 transcript:PAN40833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKNCGGHKGCECDRERLYRKLCAALVALVLLVLFVILIVWLVLRPHKPRFYLQDLSVLCLNVTPPASTYLFTTMQATVAARNGNERVGVYYDQVDVYAQYKDVAITVPTRLPVGYQGHGDQSVWSPYLQSMDSVQLPPALAVALAQDETAGYVLIDIRVDGWIRWKVGSWISGHYHLRVNCPALLTVNEGKGSYGANTGGGNGYFRFQQAAGCAVDV >PAN40378 pep chromosome:PHallii_v3.1:7:45321437:45328208:-1 gene:PAHAL_7G310400 transcript:PAN40378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAAADGKAEKPANGAGGGCDAAGDGKKRADQAVAFHELFSFADKWDLMLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVAKYALYFVYLGLVVCASSYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVNDHKDGKWLAEVHGNIEFKEVTFSYPSRPDVMIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATIAEVEAAATASNAHSFISLLPNGYNTMVGERGTQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSESIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSTGAYASLVRFQESARNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFLKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGIYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAIFRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFVVGFIIEWRVALLILATFPLLVLANFAQQISMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQSKILSLFSHELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATRIEPDDPESERVTTIRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVSIDGKDIRRLNLKSLRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIEAAKTANVHGFVSQLPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSELLTRPEGAYSRLLQLQHHRV >PAN38826 pep chromosome:PHallii_v3.1:7:38315226:38320381:-1 gene:PAHAL_7G200800 transcript:PAN38826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGEGRARPHPARRRPFRFLRHKRRVVGRHRWRCCSRTHLSAFTPACLRREWTNQVCRQGASNQEGEGKILISTMSIIGFVDWRGNPISRGVHGGVNAAWFIYFLTVVANIVNVPNMLNMVTYIHGTMHMGVSSSATTVTNVLGATSGFAMIGAFLSDSYITRSTTILLFGPLEFLGYGLLALQAYLPSLRPPACNIEAEASNCKEVHGWNATLLYAALYISALGDGCMRACMPSLGADQFDHEDPSESHQQSSFFNWYTFGISFGGFIGLILIVWLQNDKGWDIGFGVCSILILLGLLVFALGLPFYRNQIPEGSPLTRILQVLVVAFRKRSLELPEKLEEAQERSAEPDFIEVLPETNSLKFLDKACINRGKDGAWSLCGTTKVEETKIVLRVLPLFISSMIGYVSNPILFTFTVQQGGITNTRLGKIRVPPAILFIIPIIFQMVMLPIYDQFLVPFLRKRTGYASGITHLQRVGIGFASIILASVIAAVVERKRKEAAVQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIAAALFWGVLGLSSLLATFLVQIVNRVTRHGRRGGWLEAASLNNSRLDLFYWVVAVVGLLAFLNYLYWAKRYVYRHDPRIVTNQLSVNQGSL >PAN38825 pep chromosome:PHallii_v3.1:7:38316051:38320160:-1 gene:PAHAL_7G200800 transcript:PAN38825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGEGRARPHPARRRPFRFLRHKRRVVGRHRWRCCSRTHLSAFTPACLRREWTNQVCRQGTQQGASNQEGEGKILISTMSIIGFVDWRGNPISRGVHGGVNAAWFIYFLTVVANIVNVPNMLNMVTYIHGTMHMGVSSSATTVTNVLGATSGFAMIGAFLSDSYITRSTTILLFGPLEFLGYGLLALQAYLPSLRPPACNIEAEASNCKEVHGWNATLLYAALYISALGDGCMRACMPSLGADQFDHEDPSESHQQSSFFNWYTFGISFGGFIGLILIVWLQNDKGWDIGFGVCSILILLGLLVFALGLPFYRNQIPEGSPLTRILQVLVVAFRKRSLELPEKLEEAQERSAEPDFIEVLPETNSLKFLDKACINRGKDGAWSLCGTTKVEETKIVLRVLPLFISSMIGYVSNPILFTFTVQQGGITNTRLGKIRVPPAILFIIPIIFQMVMLPIYDQFLVPFLRKRTGYASGITHLQRVGIGFASIILASVIAAVVERKRKEAAVQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIAAALFWGVLGLSSLLATFLVQIVNRVTRHGRRGGWLEAASLNNSRLDLFYWVVAVVGLLAFLNYLYWAKRYVYRHDPRIVTNQLSVNQGSL >PVH35500 pep chromosome:PHallii_v3.1:7:38315029:38319550:-1 gene:PAHAL_7G200800 transcript:PVH35500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIGFVDWRGNPISRGVHGGVNAAWFIYFLTVVANIVNVPNMLNMVTYIHGTMHMGVSSSATTVTNVLGATSGFAMIGAFLSDSYITRSTTILLFGPLEFLGYGLLALQAYLPSLRPPACNIEAEASNCKEVHGWNATLLYAALYISALGDGCMRACMPSLGADQFDHEDPSESHQQSSFFNWYTFGISFGGFIGLILIVWLQNDKGWDIGFGVCSILILLGLLVFALGLPFYRNQIPEGSPLTRILQVLVVAFRKRSLELPEKLEEAQERSAEPDFIEVLPETNSLKFLDKACINRGKDGAWSLCGTTKVEETKIVLRVLPLFISSMIGYVSNPILFTFTVQQGGITNTRLGKIRVPPAILFIIPIIFQMVMLPIYDQFLVPFLRKRTGYASGITHLQRVGIGFASIILASVIAAVVERKRKEAAVQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIAAALFWGVLGLSSLLATFLVQIVNRVTRHGRRGGWLEAASLNNSRLDLFYWVVAVVGLLAFLNYLYWAKRYVYRHDPRIVTNQLSVNQGSL >PAN37768 pep chromosome:PHallii_v3.1:7:32175771:32182484:1 gene:PAHAL_7G120500 transcript:PAN37768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRDSEEGAAGDDTAAAAAVRLANKIRKRRAVSSSGASDPAAGRRLRSRRPAVLLPRRRAGAGGDMSESSRSRHCRGGADGTRPSASARRLVDAFWQNMDRGMLLEADAAAARRSLVPWSGASTEMSKRSRSRSKILEADGKGSRRNGHGWWFSADMMSIGSAMEVGTCSQDDVSRCPEEKTFNLQDLHNSLIASKELVKVLAHIWGPGELNPSTVSLISALRSELDVARAHVRRFIREQKSDVHEIEGLKKQLTEEMESWKVKQKEKVANALQFIVSELGSEKKSRKRAEKANKKLSIALANTEASLQAATKELEREKKSKGKVEKICSELIRGIDEDKAEVEALKRETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLDTRKEQEPANDPMQLSHASETGAAAADAVACRNGGDCSDDSQDDASEGSDMHSIELNVDGISKTYTWSYTPSSKGRQRHESFSDRGMDGANSCRLERSFRDMAEELEGDWAEGCSNGILNFEHDEERYQAIKNLREQMLAGSGFILSQGREKC >PAN37769 pep chromosome:PHallii_v3.1:7:32175771:32182484:1 gene:PAHAL_7G120500 transcript:PAN37769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHHHRPASRSDKPQSCEAPTPPARTAHARCPPLASSRSTHTRARTPYTQHHPGPSSLSPVRSAPHSCAAQKLQSPHDGGTSQRQQRLIGRARTDGQAGRQLINGRSGRRREESWEAAMPRRDSEEGAAGDDTAAAAAVRLANKIRKRRAVSSSGASDPAAGRRLRSRRPAVLLPRRRAGAGGDMSESSRSRHCRGGADGTRPSASARRLVDAFWQNMDRGMLLEADAAAARRSLVPWSGASTEMSKRSRSRSKILEADGKGSRRNGHGWWFSADMMSIGSAMEVGTCSQDDVSRCPEEKTFNLQDLHNSLIASKELVKVLAHIWGPGELNPSTVSLISALRSELDVARAHVRRFIREQKSDVHEIEGLKKQLTEEMESWKVKQKEKVANALQFIVSELGSEKKSRKRAEKANKKLSIALANTEASLQAATKELEREKKSKGKVEKICSELIRGIDEDKAEVEALKRETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLDTRKEQEPANDPMQLSHASETGAAAADAVACRNGGDCSDDSQDDASEGSDMHSIELNVDGISKTYTWSYTPSSKGRQRHESFSDRGMDGANSCRLERSFRDMAEELEGDWAEGCSNGILNFEHDEERYQAIKNLREQMLAGSGFILSQGREKC >PAN38581 pep chromosome:PHallii_v3.1:7:37230032:37232837:1 gene:PAHAL_7G182500 transcript:PAN38581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGAHLLYALSGGAALSRVAGPGNRRFGPHHCAVYAANAFLGPDLGAFSEWLCSFLPSSVSASAAGDLAMAAVHHPFYYPLLLGLPLAWAYACLSRRLLRAGVLDSPAGVPLNKRQCFLLISAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKGRAPINPDAVVVVGLLCTCLIGGFVYINRVKNGKSAAEKSNQSFLLILVIATLYCMWCASQIYLRQPPQPAIGEEADLGVIIFLSIYLFLPHGLCVLSMNQKDYTDALNELPLR >PVH34826 pep chromosome:PHallii_v3.1:7:11004643:11004876:-1 gene:PAHAL_7G041500 transcript:PVH34826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMPPFLLAMLILNASTASPHMAAARDQLVHIVKAGTEGTSVDNHHAIPRPEYDSWSSPGNMPGSGHDIGSEEAKP >PVH35499 pep chromosome:PHallii_v3.1:7:38266101:38273367:1 gene:PAHAL_7G200100 transcript:PVH35499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTTTASSASSHPYRPASPRASRPRLRPVLAMAGSDDPRAAPARSVAVVGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEGGFLWDEGANTMTEGELEASRLIDDLGLQDRQQYPNSQHKRYIVKDGAPALIPSDPISLMKSSVLSTKSKLALFLEPFLYKKSKTRNSGKVSDEHLSESVGSFFERHFGREVVDYLIDPFVAGTSAGDPESLSIRHAFPALWNLERKYGSIIVGAILSKLTAKGDPVKTGSDSSGKRRNRRASFSFHGGMQSLINALHNEVGDDNVKLGTEVLSLACTFDGLPATGGWSISVDSKDAGSKDLVKNQTFDAVIMTAPLSNVQRMKFGKCGAPFVLDFLPKVNYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILKQLVTSDLKKLLGVEGQPTFVKHIYWRNAFPLYDRDYNSVLEAIEKMEHNLPGFFYAGNNKDGLAVGNVIASGSKAADLAISYLESRTKHNYSH >PAN38813 pep chromosome:PHallii_v3.1:7:38266101:38273367:1 gene:PAHAL_7G200100 transcript:PAN38813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTTTASSASSHPYRPASPRASRPRLRPVLAMAGSDDPRAAPARSVAVVGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEGGFLWDEGANTMTEGELEASRLIDDLGLQDRQQYPNSQHKRYIVKDGAPALIPSDPISLMKSSVLSTKSKLALFLEPFLYKKSKTRNSGKVSDEHLSESVGSFFERHFGREVVDYLIDPFVAGTSAGDPESLSIRHAFPALWNLERKYGSIIVGAILSKLTAKGDPVKTGSDSSGKRRNRRASFSFHGGMQSLINALHNEVGDDNVKLGTEVLSLACTFDGLPATGGWSISVDSKDAGSKDLVKNQTFDAVIMTAPLSNVQRMKFGKCGAPFVLDFLPKVNYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILKQLVTSDLKKLLGVEGQPTFVKHIYWRNAFPLYDRDYNSVLEAIEKMEHNLPGFFYAGNNKDGLAVGNVIASGSKAADLAISYLESRTKHNYSH >PAN38814 pep chromosome:PHallii_v3.1:7:38266039:38272255:1 gene:PAHAL_7G200100 transcript:PAN38814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTTTASSASSHPYRPASPRASRPRLRPVLAMAGSDDPRAAPARSVAVVGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEGGFLWDEGANTMTEGELEASRLIDDLGLQDRQQYPNSQHKRYIVKDGAPALIPSDPISLMKSSVLSTKSKLALFLEPFLYKKSKTRNSGKVSDEHLSESVGSFFERHFGREVVDYLIDPFVAGTSAGDPESLSIRHAFPALWNLERKYGSIIVGAILSKLTAKGDPVKTGSDSSGKRRNRRASFSFHGGMQSLINALHNEVGDDNVKLGTEVLSLACTFDGLPATGGWSISVDSKDAGSKDLVKNQTFDAVIMTAPLSNVQRMKFGKCGAPFVLDFLPKVNYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILKQLVTSDLKKLLGVEGQPTFVKHIYWRNAFPLYDRDYNSVLEAIEKMEHNLPGFFYAGNNKDGLAVGNVIASGSKAADLAISYLESRTKHNYSH >PVH35997 pep chromosome:PHallii_v3.1:7:46741455:46744247:-1 gene:PAHAL_7G331500 transcript:PVH35997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPRPGGFVLFLHGSGGSGDESRAQVAPYFAAPGLAASVRLSFPTAPTAPIACYGDAVITAWFGIAEVPITAKTVRDEKEVLKAVDYVHELLDKEIASGTSPSDIFVCGLSQGGALAIASVLLYPKTLGGCVVFSGSVPLSKSFADKVSPEARKTPVLWFHGMADGLVLFEAGHAGCAFLEELGVTCEFKAYPTLGHSMIDEELQYFQQWILNRLGISGATEPSRPSSSSQHKDLQ >PVH35590 pep chromosome:PHallii_v3.1:7:39580688:39582572:1 gene:PAHAL_7G220000 transcript:PVH35590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLREIESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPAAARAIVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHTPPKVTARQAVVLASGGLGALQGVPETEGRRAGQRRRLILADLRRLIVAGGGGYAG >PAN39083 pep chromosome:PHallii_v3.1:7:39580034:39583165:1 gene:PAHAL_7G220000 transcript:PAN39083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLREIESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPAAARAIVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHTPPKEDWVLCRVFQKRKDGEQDNAAGSSSPTLDGSSSQAAVAMPDDHQPMVEAYFDQAAGFAPPPQEDVVGGFDPLLVNVAMWQYGSVLDHFPPQEVTSSPMMAGLGSRGVGDGCGGFYYDTGFEDMANIGGMGFPQGWMG >PVH36083 pep chromosome:PHallii_v3.1:7:47897546:47897815:-1 gene:PAHAL_7G350000 transcript:PVH36083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQPAGQIEDSSRGQFPSLPFPSIRRLPRPTPPTPTPERERERGRGSRGELAAGHSTPLPPLLPLLRAQPKHPALRSLLLAGRVPPEP >PAN40390 pep chromosome:PHallii_v3.1:7:45367042:45371009:1 gene:PAHAL_7G311500 transcript:PAN40390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKLVGCGSAIPTLSISNDSLSKIVETSDEWIAARTGIRNRRVLSGDETLRGLSIQAAQKALEMAQVKAEDVDLVLLCTSTPDDLFGGAAQVLTEVGCTNAFGFDITAACSGFIVGLITATRFIKGGGLRNVLVVGADALSKFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKHLNAVTSNDESILSNTNGIPGFPPKKATYSCIQMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRSGKVKTGDIIAASGFGAGLTWGSAIIKWG >PAN40389 pep chromosome:PHallii_v3.1:7:45365391:45371009:1 gene:PAHAL_7G311500 transcript:PAN40389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASGLALPRAAAPSPARTRAGLWPGFLRFVPPVALPPQQLRCCASTVDDGVVSAEASKPRLPRVVGMGSKLVGCGSAIPTLSISNDSLSKIVETSDEWIAARTGIRNRRVLSGDETLRGLSIQAAQKALEMAQVKAEDVDLVLLCTSTPDDLFGGAAQVLTEVGCTNAFGFDITAACSGFIVGLITATRFIKGGGLRNVLVVGADALSKFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKHLNAVTSNDESILSNTNGIPGFPPKKATYSCIQMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRSGKVKTGDIIAASGFGAGLTWGSAIIKWG >PAN37490 pep chromosome:PHallii_v3.1:7:30935548:30935727:1 gene:PAHAL_7G111400 transcript:PAN37490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTARLALLLVLLIQIVNMVAVSARPFRGEGWLDGGIQMVVDMLGDNKSRSNPPSHCCN >PVH35248 pep chromosome:PHallii_v3.1:7:33813367:33817773:1 gene:PAHAL_7G135000 transcript:PVH35248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGQMVSDDKFEEEAARNGGIIKNGREILFQAFNWESNKQNWWSKLEDKATDLAESGFTSAWLPPPTQSLSREGYLPQNLYCLDSCYGSLSELKSLLHKMHEHNLRAMADVVINHRIGTTQGSNGMYNRYDGIPISWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQTFVRKDIIEWLIWLRRSVGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWDSCEYSPPDYRLNYNQDNHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPAVFYDHFYDQGSSLHDEIAKLMQIRKCQDIHSRSSVKILEAKSDLYSAIIDEKLCMKIGDGSWCPSEPEWRLAASGDRYAVWHK >PVH35249 pep chromosome:PHallii_v3.1:7:33814488:33817773:1 gene:PAHAL_7G135000 transcript:PVH35249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MAFNWESNKQNWWSKLEDKATDLAESGFTSAWLPPPTQSLSREGYLPQNLYCLDSCYGSLSELKSLLHKMHEHNLRAMADVVINHRIGTTQGSNGMYNRYDGIPISWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQTFVRKDIIEWLIWLRRSVGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWDSCEYSPPDYRLNYNQDNHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPAVFYDHFYDQGSSLHDEIAKLMQIRKCQDIHSRSSVKILEAKSDLYSAIIDEKLCMKIGDGSWCPSEPEWRLAASGDRYAVWHK >PAN39791 pep chromosome:PHallii_v3.1:7:42729564:42731808:-1 gene:PAHAL_7G268200 transcript:PAN39791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASTAASLRPPLRRLRGGGASSGGVCHGAVPLLPRPRRRALACRADLQQDAPFAAAIGACVLASLVLPPPRPRGEAVEEAEEGGEFGTTDTRMAVMGIISFLPYFNWLSWIFAWLDSGRRRYLVYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHIQLEAGIRSGDIESFNFVERAWKLIFPSPAKEKDGHHGNKRDSIGMGDRHNRRIPSAHESRERLRNSDIFKRKLDEPNEEKQNKSDWN >PAN40922 pep chromosome:PHallii_v3.1:7:47974935:47977412:1 gene:PAHAL_7G351400 transcript:PAN40922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGLLRGLVSPAGGVAAWLPCHELFASWHHWLPSLPRLRPPASDGFKLLLVLLLFSAALAEVRYIASSSMVPTLRPGDRAVAEKATYLFRRPSIGDIVFFKVPTAVQNYGVNKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQKEHYTATHALYTMEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPIGNIVGKYMTSFTRSSFQ >PVH36101 pep chromosome:PHallii_v3.1:7:47974935:47977412:1 gene:PAHAL_7G351400 transcript:PVH36101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGLLRGLVSPAGGVAAWLPCHELFASWHHWLPSLPRLRPPASDGFKLLLVLLLFSAALAEVRYIASSSMVPTLRPGDRAVAEKATYLFRRPSIGDIVFFKVPTAVQNYGVNKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQKEHYTATHALYTMEAMVQGF >PVH36102 pep chromosome:PHallii_v3.1:7:47974935:47977412:1 gene:PAHAL_7G351400 transcript:PVH36102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGLLRGLVSPAGGVAAWLPCHELFASWHHWLPSLPRLRPPASDGFKLLLVLLLFSAALAEVRYIASSSMVPTLRPGDRAVAEKATYLFRRPSIGDIVFFKVPTAVQNYGVNKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQKEHYTATHALYTMEAMGF >PVH36100 pep chromosome:PHallii_v3.1:7:47975090:47976237:1 gene:PAHAL_7G351400 transcript:PVH36100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGLLRGLVSPAGGVAAWLPCHELFASWHHWLPSLPRLRPPASDGFKLLLVLLLFSAALAEVRYIASSSMVPTLRPGDRAVAEKATYLFRRPSIGDIVFFKVPTAVQNYGVNKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQKEHYTATHALYTMEAMVQGF >PVH35041 pep chromosome:PHallii_v3.1:7:27142676:27150493:-1 gene:PAHAL_7G093300 transcript:PVH35041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKHLRRIGDGAATVPVTRETLKEDIRVLLDQIYDFYKAALDQMPTEEIPSLALRLLSAGVCFGVLDPVSNIIANAISYSPSSPTSINPGDEDDGKAAQLHTRESVLSRIVSDSDDFLHLRLSAKMAERMTVARRSLEGLVSFLIFYFRYLAETEALRYLRLAGADLLAAVRLILLDRNSSVDPQNGKPGSCVISLSTKVALGCAAVSAKHPEPTTFLRASQLLAARLNDASMILPVQCKGRHVSSANLKRLGKLLNASSEYTERGSRKRRAKEVPSGASAEYTESRKEVTATFRYMQTLKLLLLDKIHAHYLEALARLSGDVLRECHHSSILRAGYCYGPMDPGSNIILNTIWHFGSECCSLYGLVAFLCALFNTFTEHVAAWYLLASNIDAASAMAMAEQHGHVMSGAYQEAYSSAALNSWHPDPNALSKLTMSLLQMELVESLWNGHALSNSEVGQLRMALSALFEVQVSRLDQAEILSVNQKRFITDIRKKFQVDQEFFASKANAALNNYSKKTGGDFEIHVICGVNPYVSKGARPDMFSKQYNKNFVLRKVCSSNSKKMIVSSAYCRWVRPPSTKCGTSPLTVPATCYLSFFGHCGKSVTHQSASKRSNIAGATPILFFAEFSNDDEEEESLCFSVSSTSIDSVRCFDCVYQGIKIVHPCDEVYHGSGEDFNFHVDTVCVLDEDWIYFDSKLDAKIAERNPMDDGAFRILRWNGRIF >PAN39955 pep chromosome:PHallii_v3.1:7:10967046:10968032:1 gene:PAHAL_7G041200 transcript:PAN39955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASTKFFAIILVFSMVAHGLVSSGMGMIENKGAGESKDVKPTLGVGGGTTVDNHHAIPRDQYSSHGGGDDGGSGGDTNN >PVH35335 pep chromosome:PHallii_v3.1:7:35464676:35466879:-1 gene:PAHAL_7G155000 transcript:PVH35335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADDFVLNAYSFASALCACAAEKDPRTGEQVHGLVAKSPHAEDVRIGSALVDMYAKCERPEDARRVFDAMPERNVVSWNSLISCYEQNGPVGEALVVFVEMMGAGFIPDEVTLASVVSACAGLAAEREGRQVHARVVKCNRFREDMVLNNALVDMYAKCGRTCEARTVFDSMASRSVVSETSMLTGYAKSANVEGAQVVFSQMVEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESVWPTHYTYGNVLNACGNIADLQLGQQAHAHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIDDGAKVFERMAARDNVSWNAMIVGYAQNGRARDALQLFERMLCSKESPDSVTMIGVLSACGHSGLVEEGRRYFQSMTEDHGITPSRDHYTCMIDLLGRAGHLKEVEELIKNMPMEPDSVLLASLLGACRLHKNVELGEWAAGKLFEIDPENSGPYVLLSNMYAEMGKWTEVFRVRRSMKDRGVSKQPGCSWIEIGRKMNVFLARDNRHLCRNEIHDTLRIIQMEMSRMSTDAEIANCLTNYCSEACG >PAN39090 pep chromosome:PHallii_v3.1:7:39606053:39608065:-1 gene:PAHAL_7G220400 transcript:PAN39090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTARRFEAEMAALMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDASHIHVYENGGISTIGGVHPKTVANNPDGTMDIDKIVAAIRHRGGALLYPTTRLICLENTHANCGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSISVCLSKGLGAPVGSVIVGSKAFIDKAKILRKTLGGGMRQIGVLCAAASAAVRDTVGKLEDDHRKAKALAEGLKKIKQFSVDSASVETNMTMPSLGTTQCACNAGEFKKCEVRPPLPNFR >PAN39092 pep chromosome:PHallii_v3.1:7:39605243:39609453:-1 gene:PAHAL_7G220400 transcript:PAN39092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTARRFEAEMAALMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDASHIHVYENGGISTIGGVHPKTVANNPDGTMDIDKIVAAIRHRGGALLYPTTRLICLENTHANCGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSISVCLSKGLGAPVGSVIVGSKAFIDKAKILRKTLGGGMRQIGVLCAAASAAVRDTVGKLEDDHRKAKALAEGLKKIKQFSVDSASVETNMVFFNIMDPRISPDRLCQALEQRSVLAMPASSKSVRFVLHYQISDSDVQYALTCVEKAVEELLKGGTKFEHLTNGATKHSYGH >PAN39089 pep chromosome:PHallii_v3.1:7:39605243:39609454:-1 gene:PAHAL_7G220400 transcript:PAN39089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTARRFEAEMAALMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDASHIHVYENGGISTIGGVHPKTVANNPDGTMDIDKIVAAIRHRGGALLYPTTRLICLENTHANCGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSISVCLSKGLGAPVGSVIVGSKAFIDKAKILRKTLGGGMRQIGVLCAAASAAVRDTVGKLEDDHRKAKALAEGLKKIKQFSVDSASVETNMTMPSLGTTQCACNAGEFKKCEVRPPLPNFR >PAN39091 pep chromosome:PHallii_v3.1:7:39605859:39608065:-1 gene:PAHAL_7G220400 transcript:PAN39091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTARRFEAEMAALMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDASHIHVYENGGISTIGGVHPKTVANNPDGTMDIDKIVAAIRHRGGALLYPTTRLICLENTHANCGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSISVCLSKGLGAPVGSVIVGSKAFIDKAKILRKTLGGGMRQIGVLCAAASAAVRDTVGKLEDDHRKAKALAEGLKKIKQFSVDSASVETNMVFFNIMDPRISPDRLCQALEQRSVLAMPASSKSVRFVLHYQISDSDVQYALTCVEKAVEELLKGGTKFEHLTNGATKHSYGH >PVH36097 pep chromosome:PHallii_v3.1:7:47956026:47956513:1 gene:PAHAL_7G351000 transcript:PVH36097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCVSKCYPSPPDSTSKSAAPAPAPAPPPPPSPRWSTSNSSSSSSLAACSSSLPRPRDLILLPNSSKSREWDVVELDPRSSSYHCSKLTSIHPPGNGRLATPKPKPKPKRPRSPPTRQAPPPKPLLQQQHKRQRPATEKVAEDELTNPFIAMDCFIFL >PAN38215 pep chromosome:PHallii_v3.1:7:35474469:35476084:1 gene:PAHAL_7G155200 transcript:PAN38215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASDLPPGFHFFPSDEELVVHFLRHKASLLPCQPDIVPTILLNHYDPWELNDKALQAGNQWYFFSHATQSRVTPNGYWSSICADEMVKSSGCNIGLKKTLVFSIGEPSEGIETNWIMHEYHLLDGRKVSSSSTSTSSSRKLHREKGHSNTESNNWVICRVFDSTCGSQANFHEEGMELSCLDEVFLSLDDYDEVSLSNN >PAN38216 pep chromosome:PHallii_v3.1:7:35474469:35476084:1 gene:PAHAL_7G155200 transcript:PAN38216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASDLPPGFHFFPSDEELVVHFLRHKASLLPCQPDIVPTILLNHYDPWELNDKALQAGNQWYFFSHATQSRVTPNGYWSSICADEMVKSSGCNIGLKKTLVFSIGEPSEGIETNWIMHEYHLLDGRKESNNWVICRVFDSTCGSQANFHEEGMELSCLDEVFLSLDDYDEVSLSNN >PAN40364 pep chromosome:PHallii_v3.1:7:45279842:45282236:1 gene:PAHAL_7G309700 transcript:PAN40364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNMENLWFHGKEHIAAASFSQAQPAAINCRNEELAASAVNLGCIGSSEIAQNSRKSFQHGDQSSAVPDDGCRLVLGLGPTPNLYSADSHSFGGKRTYESATLLTQHCATTNPGSMLGLSRRCSRNLQPATVNSSKSNSHARKTGIVFPIIDEGSTSAKRKPGGYMLPLLFAPRSDDLCLNGTSPDADIQQHDGIECDTESDHDIALNHHEVQASPDLSMTTDCSFTTTSDMVVGTTSGEQRSHQRHPKKCRFNGCSKGARGASGLCISHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQELGCTKSAEGKTEFCIAHGGGRRCGIEGCTRAARGRSGFCIKHGGGKRCRIEGCTRSAEGYPGLCISHGGGRRCQYPNCGKGAQGSTIYCKSHGGGKRCMFEGCTRGAEGSTPFCKGHGGGKRCLFEGGGLCPKSVHGGTSFCVAHGGGKRCNVPGCTKSARGRSDCCVKHGGGKRCKSDGCNKSAQGSTDFCKAHGGGKRCAWNTGCDKFARGRSGLCAAHATLMASKLERDPGQGRSTAGPGLFSGIVSGSSGAGSSMDHAISSSGHATWSDCVASSGDLQSGGRLLIPHQVLVPGSLKAASSCGLAGNSREDGGSRSQSFGFVVPEGRVHGGGLMSMLGVGGNLGNNPDGSKAHNTEHPTQ >PAN38552 pep chromosome:PHallii_v3.1:7:37094627:37096186:1 gene:PAHAL_7G180000 transcript:PAN38552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKNGGSGAGFLKPLGGVSPARMSGAGAVFFLVGSALGFVAVLHASESEEAGGEWASAARWAARSAARWATELAGSVGAHHLLVAVSLLFLAASVWRLGKRCAAVEGLVGSTDSAVQALRVGGIVCAVCGSKIQALKRGRRSAERTRSDASSGCPDKPVSRSLAAEFEQEADKDEEDNAGETSDSEEGNVQYLRRRLKEERLLKEVALEELEKERLAAASAADEAMAKIACLRSEKALVEREARQLQEMAQQKQMYDRQVIESLQWVIMKSGMQGWEPEAASDPAVSETSEDDRDRK >PAN38705 pep chromosome:PHallii_v3.1:7:37774363:37777900:-1 gene:PAHAL_7G190900 transcript:PAN38705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSAPLLRRLVAAASPPAPEPLPGHGGGLARRTVTYMPRPGDGTPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVRGDMPTVPPAVIESIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNLPGLPTRHEGVDIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYDEMIVDNCSMQLVSKPEQFDVMVTPNLYGNLVANTAAGIVGGTGIMPGGNVGQDYAVFEQGASAGNVGNEKIMEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGTYRTKDLGGTSTTQEVTNAVIANLD >PAN38706 pep chromosome:PHallii_v3.1:7:37774630:37777729:-1 gene:PAHAL_7G190900 transcript:PAN38706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSAPLLRRLVAAASPPAPEPLPGHGGGLARRTVTYMPRPGDGTPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVRGDMPTVPPAVIESIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNLPGLPTRHEGVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYDEMIVDNCSMQLVSKPEQFDVMVTPNLYGNLVANTAAGIVGGTGIMPGGNVGQDYAVFEQGASAGNVGNEKIMEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGTYRTKDLGGTSTTQEVTNAVIANLD >PVH36035 pep chromosome:PHallii_v3.1:7:47401515:47404436:1 gene:PAHAL_7G341900 transcript:PVH36035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFDELDDIGSLPAERRAGEPPSTPSHPTPPLTPPTDQASRRPPARRRAAPPQRGRPRGGAAGSATSLAPAALARGGGGGAAASAPTMHSPLLSRTPTTPAASAAGGDLATSVPGSWAPCHRPLPLPSSPLPGASPAAAPPPMCPQPGGGSRGRRSGAAHWGGGEADGRAVALGRQRELPRRDWRAAAHSKERGDERRRTGRRKRGADGRRQGGGERTGGGAQGGGEQTGGGAQGGAEGSAGVGTPEIFSSLPLLHFAAADDVRNICRVRFDPNRGSPRRLSMVEAPSSSEDAPNPSAPEQRVWGESHGAAAPHGVKQDCSALPWLGLPRYTNMLFVF >PVH35513 pep chromosome:PHallii_v3.1:7:38519868:38523030:-1 gene:PAHAL_7G204300 transcript:PVH35513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANGHPPPASIAAQNGPHSIGGGGGGGGGGGGANPSNGGTAAALRHDPGLAREWSPEEQATLDELLAKYASDAPVIRYAKIAMKLPEKTVRDVALRCRWMNKKECGKRKKEDHSSSKKSKDKKEKVSDSSSKPPVHMAGRPNVPPYPLPVLPMDDEEISSKAIGGPTGEILESNAQVLSQISTNLSNMQIQDNISLLCQTRDNILRILKEINDAPEIMKQMPPLPVKINEELVNSLLPRSTVPLQ >PAN39718 pep chromosome:PHallii_v3.1:7:42473528:42476121:-1 gene:PAHAL_7G263900 transcript:PAN39718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGKETVTATFLRFLLLLLLPLTALYFFYTLHLLLVSASSAASTCPPDSSGIASSVSVSRASANLTAAAAAEEQRPASAAAAPTATTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDRAVRESNMSTARTGLPAIRISSDTSAFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCLRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPLVTLHHLDVVKPLFPDARSRPAAVRRLFDGPVKLDTAGLMQQSICYDGTNRWTVSVAWGFAVLVARGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSARHAAERGGGETTVTRYERWRHPNETRPACRWDIADPDAHLDHIVVLKRPDPGLWDRSPRRNCCRVVSAPKEGKSGEKTMTIDVGVCREGEFSQVAAV >PAN37023 pep chromosome:PHallii_v3.1:7:17454432:17460060:-1 gene:PAHAL_7G057500 transcript:PAN37023 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MGAECRYEVAQAAYVKLALHALKHPSAAVNGLLVGRLVEPSSTPVVVSVVDAVPLSHHPHHLPLLPTLELALTIVEDHFATQGEGLAVVGYYHANPRRDDADLPPVAKRVGDHIFRYFPRSAVLLVDNKKLEEAVKGKSREPVVQGFIKKLASGWIRWEQSAGSKRTFYQCCPS >PAN37024 pep chromosome:PHallii_v3.1:7:17454789:17459913:-1 gene:PAHAL_7G057500 transcript:PAN37024 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MGAECRYEVAQAAYVKLALHALKHPSAAVNGLLVGRLVEPSSTPVVVSVVDAVPLSHHPHHLPLLPTLELALTIVEDHFATQGEGLAVVGYYHANPRRDDADLPPVAKRVGDHIFRYFPRSAVLLVDNKKLEEAVKGKSREPVVQLYTRDSSKSWRQAGSDGSSQLALKEPSTNVVLADHVTTMKWEKIVDFDDHLDDISRDWLNPGLLD >PAN40831 pep chromosome:PHallii_v3.1:7:47554648:47556596:1 gene:PAHAL_7G344500 transcript:PAN40831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPLLLLLLPLLSTAAPTISTTTLPLYRHLPHVAETAHHHPLSRLAAASLARASHLRRPAHHKGQAGTASATALYPHSYGGYAFTASLGTPPQPLPVLLDTGSHLTWVPCTSSYQCRNCPTAAVPTFHPKNSSSSRLVGCRNPSCLWVHSAARLAGSNCTTGAVCPPYAVVYGSGSTGGLLIADTLRAPGRAVRNFVVGCSLVSVHQPPSGLAGFGRGAPSVPAQLGLTKFSYCLLSRRFDDNAAVSGSLVLGGGRAGGMQYVPLVKSAAGDKQPYAVYYYLALTGVTVGGKAVRLPERAFSANAAGAGGAIVDSGTTFTYLEPTVFQPVAEAVIAAVGGRYKRSKEAEDGLGLRPCFALPQGAKSMALPELSLHFKGGAEMQLPLENYFVVAGRAPVPGADAAAPAAAEAICLAVVTDLGGAGGAGDVGGGPAIILGSFQQQNYYVEYDLEKERLGFRRQPCAASSSSSMN >PVH36049 pep chromosome:PHallii_v3.1:7:47554370:47556596:1 gene:PAHAL_7G344500 transcript:PVH36049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPLLLLLLPLLSTAAPTISTTTLPLYRHLPHVAETAHHHPLSRLAAASLARASHLRRPAHHKGQAGTASATALYPHSYGGYAFTASLGTPPQPLPVLLDTGSHLTWVPCTSSYQCRNCPTAAVPTFHPKNSSSSRLVGCRNPSCLWVHSAARLAGSNCTTGAVCPPYAVVYGSGSTGGLLIADTLRAPGRAVRNFVVGCSLVSVHQPPSGLAGFGRGAPSVPAQLGLTKFSYCLLSRRFDDNAAVSGSLVLGGGRAGGMQYVPLVKSAAGDKQPYAVYYYLALTGVTVGGKAVRLPERAFSANAAGAGGAIVDSGTTFTYLEPTVFQPVAEAVIAAVGGRYKRSKEAEDGLGLRPCFALPQGAKSMALPELSLHFKGGAEMQLPLENYFVVAGRAPVPGADAAAPAAAEAICLAVVTDLGGAGGAGDVGGGPAIILGSFQQQNYYVEYDLEKERLGFRRQPCAASSSSSMN >PVH36012 pep chromosome:PHallii_v3.1:7:47159173:47161898:-1 gene:PAHAL_7G338000 transcript:PVH36012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLAWEAPDEETDAMFQKTAFSILHDDEDDDGGGSIFYSSPTQLAIQVDGRRTVGPEAFAKIAPACPAIAHPITVRNLFDALTNSTGGRLHFLIYHKYLKKLDQVLSFAKSISGGHRAPDLELSDDEIILDIYGTATTKPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGEAAVYDLARDLKQSVKRESTGPWGAHLFDKAVMYKSNSTEPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRLASFQKSEALSVATLGILRYRTLKEGFHVLPAHFKTTLAFNLAEKLPKGDKILEAMYSQLKQHCPRFGGSQNPGQSNSDELTLVDPFPLSAYTMVTMGLLTLQKEDNPEERDFAVRDVQIGGTSSVQMALERSVGYSGRVEAARATLDQVKLEDIDTNVAVLKELLYPLIEIGKRLLALAEWEEPFKSYVFLLCFLYMVYSGWIWFVFPGFLLGSTIFMLWNKHYGSMQSIGAFEIITPPPRRTVEQLLALQEAISQVEAHVQAGNIFLLKLRSLMLATFSQSTNKVAGALVVAAMAFMFMPLRTIVLLILLEVYTRQMPARKKSSEKLVRRLREWWLRIPAAPVQLLKPQDTTRRWRPRLRSR >PAN40757 pep chromosome:PHallii_v3.1:7:47158658:47162098:-1 gene:PAHAL_7G338000 transcript:PAN40757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRMTMEVDGRRTVGPEAFAKIAPACPAIAHPITVRNLFDALTNSTGGRLHFLIYHKYLKKLDQVLSFAKSISGGHRAPDLELSDDEIILDIYGTATTKPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGEAAVYDLARDLKQSVKRESTGPWGAHLFDKAVMYKSNSTEPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRLASFQKSEALSVATLGILRYRTLKEGFHVLPAHFKTTLAFNLAEKLPKGDKILEAMYSQLKQHCPRFGGSQNPGQSNSDELTLVDPFPLSAYTMVTMGLLTLQKEDNPEERDFAVRDVQIGGTSSVQMALERSVGYSGRVEAARATLDQVKLEDIDTNVAVLKELLYPLIEIGKRLLALAEWEEPFKSYVFLLCFLYMVYSGWIWFVFPGFLLGSTIFMLWNKHYGSMQSIGAFEIITPPPRRTVEQLLALQEAISQVEAHVQAGNIFLLKLRSLMLATFSQSTNKVAGALVVAAMAFMFMPLRTIVLLILLEVYTRQMPARKKSSEKLVRRLREWWLRIPAAPVQLLKPQDTTRRWRPRLRSR >PAN40756 pep chromosome:PHallii_v3.1:7:47158658:47162603:-1 gene:PAHAL_7G338000 transcript:PAN40756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLEGLFGGNRHGHTRGPANKASGSAGMAADAPTPSHGAIPPLSSAAASVVRRCARIAGVPVDQLLRRFDGEEQAGRPLAYARSFVEYCSYIALRVETRRQDHLGYREFHSLTYDMMLAWEAPDEETDAMFQKTAFSILHDDEDDDGGGSIFYSSPTQLAIQVDGRRTVGPEAFAKIAPACPAIAHPITVRNLFDALTNSTGGRLHFLIYHKYLKKLDQVLSFAKSISGGHRAPDLELSDDEIILDIYGTATTKPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGEAAVYDLARDLKQSVKRESTGPWGAHLFDKAVMYKSNSTEPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRLASFQKSEALSVATLGILRYRTLKEGFHVLPAHFKTTLAFNLAEKLPKGDKILEAMYSQLKQHCPRFGGSQNPGQSNSDELTLVDPFPLSAYTMVTMGLLTLQKEDNPEERDFAVRDVQIGGTSSVQMALERSVGYSGRVEAARATLDQVKLEDIDTNVAVLKELLYPLIEIGKRLLALAEWEEPFKSYVFLLCFLYMVYSGWIWFVFPGFLLGSTIFMLWNKHYGSMQSIGAFEIITPPPRRTVEQLLALQEAISQVEAHVQAGNIFLLKLRSLMLATFSQSTNKVAGALVVAAMAFMFMPLRTIVLLILLEVYTRQMPARKKSSEKLVRRLREWWLRIPAAPVQLLKPQDTTRRWRPRLRSR >PAN38353 pep chromosome:PHallii_v3.1:7:36289045:36291826:-1 gene:PAHAL_7G166700 transcript:PAN38353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTAKTSNGESLHCSTFASRYVRTALPRFKIPEQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKEAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWDTPIHVDAASGGFIAPFIYPELVWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDVMQNCRDNATVLREGVQKMGYFDVVSKDSGVPLVAFSLKDTSKYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLGKTVADMDAHSGKAHKKSAHEIEKEVTTFWRRLVANKKKSSMVC >PVH35954 pep chromosome:PHallii_v3.1:7:45937037:45937366:-1 gene:PAHAL_7G319000 transcript:PVH35954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMLRWFCGHTRRDRVRNEEIRDRIGVAPIEEKLIQHRLRRFRHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVRRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN38306 pep chromosome:PHallii_v3.1:7:36065503:36068581:-1 gene:PAHAL_7G162800 transcript:PAN38306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTSDSTIPLLTPYNMGKFDLSHRVVHAPLTRQKSFGGIAQPHAIMYYEQRTTKGGLLIAEATAVSDTAPGYNNVPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHAGRVSSCSFQPNGQAPISSTDKILKPQVRSNGIDIATFSTPRRLETHEIPSVINDFKVAARNAVEAGFDGVEIHGAHAYLIDQFLKDQVNDRTDKYGGRLENHCRFALEVVQSVANEIGADKVGVRLSPFANYNEASDSNPEALGLHMAKALNKFGILYLHVVEPRMITVGEKTETPHSLRPMRDAFEGTFIAAGGYDREDGNEAIYTGYADLIAYGRWFLSNPDLPQRFGLDAPLNKYNRSTFYTHDPVIGYTDYPFLQ >PAN39747 pep chromosome:PHallii_v3.1:7:42624413:42626693:1 gene:PAHAL_7G266000 transcript:PAN39747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCYSDWPPIPPLRPSRRTPPQSHASLCAIRRQLASFVLHCSRSCASPLLEPKNLPDEFPAVPAAPAPAPLPDAAPKLGISNKFIRGLCSDPQTEQLAFECYRRALLQPGFLPEKKTANALTVQLLRAKQWGSLELLVQDFGAYGVLPEKRTCARLVACCIRARRFGLADAVLAVLEAKKGAPAVMAFGAAMQAYNKLHMYRSTVLLYGQARVARLPLSAAAYRAVMAACGALGEPDMVASLFKLYRSQKWYPSGGCVEAYAIVCDALGKAGRALDALRSLREMEADGLLPNAATYSSVIGALADAREKPAAEDLYHEAWDSKMLADPDMFLKLTVMHVEAGVVEETIEVAKDMRQIGLRVTDCILSTIINGFVKRRGLKPAIRAYDKLVFIGCEPGQVTYASVINVYCRLGRSDRAEAVFSEMIGRGFDKCVVAYGNMISMYGKIRRAPEAMKLLATMKQKGCEPNVQVYNSLLDMHGRLGNSKQAEKIWKEMARRKVRPDRISYTAIILANNRAGELERCMELYQEFRETGGKVDKAMAGLMVGVFSKCSRFNELIELLKDMDGTKLDRRLYMTVLRSLRDAGLEVHVKWLQSNLTFVEEKT >PAN38822 pep chromosome:PHallii_v3.1:7:38301553:38304194:1 gene:PAHAL_7G200600 transcript:PAN38822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLSYLQALWPFSALVREEDDLRASARLVGALSVPEETKQFVLALREPPGGSPRDRDGGGVIYILAAQNLSEQSASDAEHLIREVRPGAVVTQVARAALDEVRIEEDCLAGNGGEGVPVPASPFQVIKQCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHLLAAKRAAEETGSCFLLLESPYERNCGGNGSDSQNSAADDGSAQQLQASCSLPHSTTDDESGLQLQASCLLPRSATSIVSSHGRKICLMDDNGGQLLKSLAPSLNFLMSQAITSSAATECRPSECKPADRYEAPPFAQSVYPLLADLYHIFVDIPSIGRAMASAQQLLRQVHEGEPISSDMLSDVYIFRIAIEALRMGLNNAARCHIDTRDKNDSKKLEFSDLQSDEKCHILLVQALRSQLKEFNSVVAVVDASCLAGIRRHWKTPVPSEITQLASRCFNHYGDENDDKIELPSAGSTDKKSWISEKPVVAVGAGGTAILGFSSLSKTVQASAILKLAPYKSPVVLKYGLMQLQRHAAIILSKILPHGFVTAGSKASALQFTASAEKIRAVAHTIISSAERTSLLAMRTSFYEIMQKRHRQPFRITPWATFGCSMVACAGLAMHGDGIECAAEAAPSVPMIASLGRGLEGLRLTSQEVRQTKDHNVKEALQALMNSLKKSAK >PAN38402 pep chromosome:PHallii_v3.1:7:36463295:36469771:1 gene:PAHAL_7G169500 transcript:PAN38402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSTTSPAKAHFSALFPSHDEPQHGHALPAPHPQCSGAGRRRRARGRLSVSAAMRPPDAAFAAQAPAPAGGKKKGEKPRVLVAGGGIGGLVLALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMRAGCVTGDRINGLVDGISGSWYCKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDSILNDSHVVDFIDDGSKVTAILEDGRRFEGDLLVGADGIWSKVRKTLFGHSEATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEEAGGTDPENGKKKRLLEIFSGWCDNVIDLINATEEEAILRRDIYDRPPTMNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKAWQESVKSGTPMDIVSSLKRYENERRLRVAVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKIGMPAMLSWVLGGNSSKLEGRALSCRLSDKANDQLYRWFEDDDALEKAMGGEWHLFPTSEGNSNSLQPVRLIRDEQRTISVGSRSDPSDSASSLALPMSQISEMHATITCKNKAFYLTDLGSEHGTWITDNEGRRYRVPPNFPVRFHPSDIIEFGSDKKAMFRVKVLNTVPYESARRGKQQQQQVLQAA >PAN38482 pep chromosome:PHallii_v3.1:7:36827068:36827795:-1 gene:PAHAL_7G175100 transcript:PAN38482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMISGDFAEAYVRKNAGKEEERRAEANAAADGGSSAAQGEKKAGGGASGKKTAAEEAGGKGDGGGLFGFIRKKVHPKAASS >PAN39944 pep chromosome:PHallii_v3.1:7:43473743:43475568:1 gene:PAHAL_7G280400 transcript:PAN39944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGQRRDRGARLGRRAPMDLKEGRQASGGRAGGPPSRLTCNMGRPRRVSFAVDGPPQPQTATCCTALQRGFAPDSRVQARYAPVRTPASAALTQVGEDDDRQPQSAKNPGGGGGAEEMVAAAGAVRMWKSAVEDVDVAQLAETPRLRRSGGVRRDWSFENLHAGKNAAA >PVH34903 pep chromosome:PHallii_v3.1:7:18563679:18564539:1 gene:PAHAL_7G061300 transcript:PVH34903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLFINALEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPVWEACMRSLERRRQEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTQGGTPVPAIGEDHVLLGTPVIGWGLLFANTQAPPGNPESSAAAVEGDAAAQPLTDGNPENSERGLLTLPTPEEGTPRE >PVH35881 pep chromosome:PHallii_v3.1:7:44778242:44779062:-1 gene:PAHAL_7G299900 transcript:PVH35881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQRAVWYQRARRLQPPAMRVAPEKYKASSKLRGDRDSFKRCRRLQSSNRRTGGFRPFFYAHDFAVPTYNRFLVSFGAGDPQPLPIPMPLVGSGTTAFYEPAPSMVGPVLYHTMVWSILC >PAN40197 pep chromosome:PHallii_v3.1:7:44716884:44718753:1 gene:PAHAL_7G298700 transcript:PAN40197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARSVAGPPQPIAPSSLLDTAHHGGGSAVPDVFSLPKTSSTSLTKSVTAVHDFKVTDYSLLDGMGVGRYVSSSTFAAGGRDWAVRFYPDGATAGCLGHVSAFLYYFNRQLAAGVRARFTLNLLERDGRMSQQTTNPYMKHTFSPASDNWGFIKFIEKSKLLTGSPYLHKDSLTIRCVLTVVIESRTVEDEMNSVVVPPPNLHQDFGEMLKDGEGADVTFNVDGQSFRAHRCVLAYRSPVFRAELFGPMKEKATSCIRIDDVEPSIFEALLHFIYTDRLPDSCNDGRNAAMQHLLVAADRYGVERLRLMCESKLSEAIDVETVATTLALAEQHNCSQLQRACIGFMASPNMLGPVMESDGFNHLVASCPLVLKEILDKVSCIWSDNQHR >PAN36847 pep chromosome:PHallii_v3.1:7:1050694:1060370:-1 gene:PAHAL_7G004800 transcript:PAN36847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MGGSSSTGWGKTKGSSPRAMTPVEEVDISAVRYKPPTLQAPHLTGFSLRAFLWLMESPLLGPLITSVLKSQNNMPQMLQQTVIPERPMYYPEYPPQDLEPGVVLVGEDRHPVERVHEALQYLPPYDPSVRWATEEKLPFLYWKIRDFAHAYRSGITTPSVVAEHVIAGVEEWNNKKPPMPMLIYFNADDLRRQAEASTKRFEQGNPMSILDGIFVAIKDDIDCFPYPTKGATTFFDQIHTVEKDAVCVARLRKCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSVDRYTGGSSSGPAALVSSGLCSVAIGTDGGGSVRIPSALCGIVGFKTTYGRTDMTGVLCDAGTVEVASPLASSVEDAMLVYSAIAGSRPMDKLTLRPSPLCVPNLLSPDNNNILGSVRIGKYTEWFHDVSDREISSTCEDALKLLCSTFGCQIEEIILPELEEMRTAHVVSIGSESFCDLNPHYKAGRRTEFTLDTRTSLALFGSFSSTDYVASQCIRRRIMHYHMEAFKKVDVIATPTTGMTAPKIPPSALKSGESDYVVSAYLMRFIIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVAAAVEDLCLKKRNRPAAFYDILKA >PVH35912 pep chromosome:PHallii_v3.1:7:45011997:45016075:1 gene:PAHAL_7G304100 transcript:PVH35912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFLRSNCSMALCYFLPSSEANKSELKNVMGKANLHVFFLLFLILSCFCESNNQLTQPKSLSPGDMLISEGRVFALGFFSPTNSNKSLYLGIWYHSIPERTVVWIANRDSPISNHSSVKLSITNSSEMVLFDSEGRTVWKTANTTTAGGADGAVAVLDDSGHFMLRLLNGTVVWQSIDHLTDTILPSTRVLLSYKAQVVGRLVAWKGPDDPSSGDFSSSIDPRSNLQIFIWKGSLPYKRRYVVNEVSVSGGTYQSNTTSVVSESLFYRMDELYYDFTVSDGSPYTRILLDYRGNLSLLSWNNTTLSWKVAFDTPSYCDIYASCGPFGYCDAAAVPTTCRCPDGFELVDSLNLSRGCQRKEALRCGKENNFMTMPNMKVPDKFLHIRNKSFDQCAAECSKNCSCMAYAYANLRKAGTMSDTSRCLVWTGDLIDMAKGSLGENLYVRLGESPVQKNKKFLKILLPIVACLLLLAFAALVWKCKRRGKQQKKKVQKKMMLEYLRSTDEAGNKNIEFPFVSFNDIVAATDNFSDTNMLGKGGFGKVYKGMLDGTTEVAIKRLSKGSRQGTEEFRNEVVLIAKLQHKNLVKLLGCCIHEDEKMLVYEYLPNKSLDYFLFDSARKSMVQWPTRFKIILGVARGIMYLHQDSRLTIIHRDLKASNILLDKEMSPKISDFGMARIFCGDQHQANTNRIVGTYGYMSPEYAMEGAFSVKSDTYSFGVLLLEIVSGLKISSPNLIIGFPNLIVYAWNLWKDGNIEDLVDSSVKEDYCLDEVSRCIHIGLLCVQDSPNCRPLMSAVVFMLENKTTPLPVPMQPVYFSCRDADPGRAGNGRVLSMNDMSLTTLECR >PVH35913 pep chromosome:PHallii_v3.1:7:45011997:45016075:1 gene:PAHAL_7G304100 transcript:PVH35913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFLRSNCSMALCYFLPSSEANKSELKNVMGKANLHVFFLLFLILSCFCESNNQLTQPKSLSPGDMLISEGRVFALGFFSPTNSNKSLYLGIWYHSIPERTVVWIANRDSPISNHSSVKLSITNSSEMVLFDSEGRTVWKTANTTTAGGADGAVAVLDDSGHFMLRLLNGTVVWQSIDHLTDTILPSTRVLLSYKAQVVGRLVAWKGPDDPSSGDFSSSIDPRSNLQIFIWKGSLPYKRRYVVNEVSVSGGTYQSNTTSVVSESLFYRMDELYYDFTVSDGSPYTRILLDYRGNLSLLSWNNTTLSWKVAFDTPSYCDIYASCGPFGYCDAAAVPTTCRCPDGFELVDSLNLSRGCQRKEALRCGKENNFMTMPNMKVPDKFLHIRNKSFDQCAAECSKNCSCMAYAYANLRKAGTMSDTSRCLVWTGDLIDMAKGSLGENLYVRLGESPVQKNKKFLKILLPIVACLLLLAFAALVWKCKRRAGKQQKKKVQKKMMLEYLRSTDEAGNKNIEFPFVSFNDIVAATDNFSDTNMLGKGGFGKVYKGMLDGTTEVAIKRLSKGSRQGTEEFRNEVVLIAKLQHKNLVKLLGCCIHEDEKMLVYEYLPNKSLDYFLFDSARKSMVQWPTRFKIILGVARGIMYLHQDSRLTIIHRDLKASNILLDKEMSPKISDFGMARIFCGDQHQANTNRIVGTYGYMSPEYAMEGAFSVKSDTYSFGVLLLEIVSGLKISSPNLIIGFPNLIVYAWNLWKDGNIEDLVDSSVKEDYCLDEVSRCIHIGLLCVQDSPNCRPLMSAVVFMLENKTTPLPVPMQPVYFSCRDADPGRAGNGRVLSMNDMSLTTLECR >PAN39063 pep chromosome:PHallii_v3.1:7:39484298:39487525:-1 gene:PAHAL_7G218400 transcript:PAN39063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASRMRQVVAVASLLWLLLLPWASAIRRSDFPASFLFGTATSSYQIEGAYLEDNKSLSNWDVFTHVPGSIKDGSTGNVADDHYHRYEEDIELMHSLGTNAYRFSISWARILPKGRFGEVNQLGIEFYNKIIDSLLLKGIEPFVTLSHYDIPQELEDRYGAWLSAEVQRDFGYFADVCFAAFGDRVKYWTTFNEPNVAVLAGYMLGTYPPARCTPPFGSCARGDSDGEPYVATHNVILSHATAVELYRRKYQSKQKGSIGIVMYTTWYEPLTDTPEDRLATERAVAFTVSWFLDPIVYGDYPPEMRRLLGSQLPSFSPEERRKLGYKLDFIGINHYTTLYAMDCMFSSGCPLGQWTQHALAAATGERNGVPIGPPGGPCSTWCLTA >PAN39064 pep chromosome:PHallii_v3.1:7:39484785:39487282:-1 gene:PAHAL_7G218400 transcript:PAN39064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASRMRQVVAVASLLWLLLLPWASAIRRSDFPASFLFGTATSSYQIEGAYLEDNKSLSNWDVFTHVPGSIKDGSTGNVADDHYHRYEEDIELMHSLGTNAYRFSISWARILPKGRFGEVNQLGIEFYNKIIDSLLLKGIEPFVTLSHYDIPQELEDRYGAWLSAEVQRDFGYFADVCFAAFGDRVKYWTTFNEPNVAVLAGYMLGTYPPARCTPPFGSCARGDSDGEPYVATHNVILSHATAVELYRRKYQSKQKGSIGIVMYTTWYEPLTDTPEDRLATERAVAFTVSWFLDPIVYGDYPPEMRRLLGSQLPSFSPEERRKLGYKLDFIGINHYTTLYAMDCMFSSGCPLGQWTQHALAAATGERNGVPIGPPTGRSMFYVVPDGIEKMVTYIMKRYNNLPMFITENGYPQVGEGYTNSEEWLDDQARIQYLDGYLTKVAKVIRRRTFEF >PAN39062 pep chromosome:PHallii_v3.1:7:39484596:39487282:-1 gene:PAHAL_7G218400 transcript:PAN39062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASRMRQVVAVASLLWLLLLPWASAIRRSDFPASFLFGTATSSYQIEGAYLEDNKSLSNWDVFTHVPGSIKDGSTGNVADDHYHRYEEDIELMHSLGTNAYRFSISWARILPKGRFGEVNQLGIEFYNKIIDSLLLKGIEPFVTLSHYDIPQELEDRYGAWLSAEVQRDFGYFADVCFAAFGDRVKYWTTFNEPNVAVLAGYMLGTYPPARCTPPFGSCARGDSDGEPYVATHNVILSHATAVELYRRKYQSKQKGSIGIVMYTTWYEPLTDTPEDRLATERAVAFTVSWFLDPIVYGDYPPEMRRLLGSQLPSFSPEERRKLGYKLDFIGINHYTTLYAMDCMFSSGCPLGQWTQHALAAATGERNGVPIGPPTGRSMFYVVPDGIEKMVTYIMKRYNNLPMFITENGYPQVGEGYTNSEEWLDDQARIQYLDGYLTKVAKVIRDGADVRGYFVWSLVDNFEWLYGYTLRYGLYYVDYRTLERKPKSSALWYKMFLQSLHEDQ >PAN39879 pep chromosome:PHallii_v3.1:7:43258559:43260924:1 gene:PAHAL_7G276400 transcript:PAN39879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNDAFSAAHPFRWDLGPPAHAAPAPAPPLPLAPQLGAPRELEDLVAGYGVRPSTVARISELGFTASTLLGMTERELDDMMAALAGLFRWDVLLGERFGLRAALRAERGRVMSLGGRFHAGSTLDAASQEVLSDERDAAGSGGVADDEAGRRMVTGKKQAKKGAAAARKGKKARRKKELRPLDVLGDENDGDEDGGGGSESTESSAGGGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRVFLLQVQSIAKLSGHKAPTKVTNQVFRYAKKCGASYINKPKMRHYVHCYALHCLDEEASNALRRAYKARGENVGAWRQACYAPLVEIAARHGFDVDAVFAAHPRLAIWYVPTRLRQLCHQARGSQHAAAGLPPPPMF >PAN38549 pep chromosome:PHallii_v3.1:7:37081973:37085272:-1 gene:PAHAL_7G179800 transcript:PAN38549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPAAVSFLTNIAKAAAGLGAAASLLSASLYTVDGGERAVIFDRFRGVLPETVTEGTHFLVPWLQKPYIFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVDHLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAMAGTGLIELRRIEAAKEIAAELSRSPNVAYIPAGDNGKMLLGLNAAGFGR >PAN38789 pep chromosome:PHallii_v3.1:7:38188790:38190914:-1 gene:PAHAL_7G198500 transcript:PAN38789 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MGAMEDYLEYYYYDYNIRRRSASISMTSVSNSISIGLPSYGLFAETGFISQSYRKFPRRSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSINYFRSRSRRALFGGISLLGGFYVAQTISLSFGVLAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >PAN38790 pep chromosome:PHallii_v3.1:7:38188740:38190968:-1 gene:PAHAL_7G198500 transcript:PAN38790 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MTSVSNSISIGLPSYGLFAETGFISQSYRKFPRRSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSINYFRSRSRRALFGGISLLGGFYVAQTISLSFGVLAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >PVH35491 pep chromosome:PHallii_v3.1:7:38188790:38190944:-1 gene:PAHAL_7G198500 transcript:PVH35491 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MTSVSNSISIGLPSYGLFAETGFISQSYRKFPRRSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSINYFRSRSRRALFGGISLLGGFYVAQTISLSFGVLAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >PAN38791 pep chromosome:PHallii_v3.1:7:38188786:38190969:-1 gene:PAHAL_7G198500 transcript:PAN38791 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MTSVSNSISIGLPSYGLFAETGFISQSYRKFPRRSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSINYFRSRSRRALFGGISLLGGFYVAQTISLSFGVLAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >PAN38788 pep chromosome:PHallii_v3.1:7:38188785:38190966:-1 gene:PAHAL_7G198500 transcript:PAN38788 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MTSVSNSISIGLPSYGLFAETGFISQSYRKFPRRSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSINYFRSRSRRALFGGISLLGGFYVAQTISLSFGVLAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >PAN37034 pep chromosome:PHallii_v3.1:7:5899452:5912179:1 gene:PAHAL_7G027000 transcript:PAN37034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLLHAISGFALAPPPRIAAAAAAPSSASPRRSGAARASPPPAHSARAAAPGTLRVLHAAPRPPMVTGEESAGADIGVAESTQEFLSDARAYWVTKSLIAWDVSDQETSLYLYASRSATMCMSNGVFKGYDSKVQLQPEHSGLPVSVTEKFPFISCYRAFRVPSSVDVASLVKCQLAVASFDGHGKSQDVTALQLPGVLDDMFAYTGPLGAVFSEKAVSLHLWAPTAQDVSVSFYDGPVGSLLETVQLNESNGVWSVTGPRNWENRYYLYEVTVYHPATSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPTSWDKLAAEKPKLDSFSDISIYELHIRDFSAHDSTVECHSRGGFRAFTCQDTAGIRHLRKLSDAGLTHVHLLPSFQFGGVDDIKDNWKCVDEAELSKLPPGSDLQQDAVVAIQEEDPYNWGYNPVLWGVPKGSYASNPDGPNRIIEYRQMVQALNHLGLHVVMDVVYNHLYSSGPSAITSVLDKIVPGYYLRRDNNGQIENSAAVNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKNTMMRAKSALQSLTIDEHGVDGSKIYLYGEGWDFGEVAQNKRGINGSQLNMSGTGIGSFNDRIRDAINGGSPFGNPLQQGFSTGLFLEPNGFYQGNETETRLTLATYSDHIQIGLAGNLKDYVLISHTGEVKKGSEVRTFDGSPVGYTSSPIETINYASAHDNETLFDIISLKSLMSLSIEERCRINHLSSSIIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPREKNEGSWPLMKPRLENPSFKPTKDHILAALDNFVDILKIRYSSPLFRLTTASDIEQRVHFHNTGPSLVPGVIIMSIEDAQNDTHEMAQLDKNFSCVVTVFNACPHEVSVKIPDLVSMQLQLHPVQVNSSDALVRQSAFDSTTGRFTVPTRTTAVFVEPRC >PVH34776 pep chromosome:PHallii_v3.1:7:5900166:5912179:1 gene:PAHAL_7G027000 transcript:PVH34776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQEFLSDARAYWVTKSLIAWDVSDQETSLYLYASRSATMCMSNGVFKGYDSKVQLQPEHSGLPVSVTEKFPFISCYRAFRVPSSVDVASLVKCQLAVASFDGHGKSQDVTALQLPGVLDDMFAYTGPLGAVFSEKAVSLHLWAPTAQDVSVSFYDGPVGSLLETVQLNESNGVWSVTGPRNWENRYYLYEVTVYHPATSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPTSWDKLAAEKPKLDSFSDISIYELHIRDFSAHDSTVECHSRGGFRAFTCQDTAGIRHLRKLSDAGLTHVHLLPSFQFGGVDDIKDNWKCVDEAELSKLPPGSDLQQDAVVAIQEEDPYNWGYNPVLWGVPKGSYASNPDGPNRIIEYRQMVQALNHLGLHVVMDVVYNHLYSSGPSAITSVLDKIVPGYYLRRDNNGQIENSAAVNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKNTMMRAKSALQSLTIDEHGVDGSKIYLYGEGWDFGEVAQNKRGINGSQLNMSGTGIGSFNDRIRDAINGGSPFGNPLQQGFSTGLFLEPNGFYQGNETETRLTLATYSDHIQIGLAGNLKDYVLISHTGEVKKGSEVRTFDGSPVGYTSSPIETINYASAHDNETLFDIISLKSLMSLSIEERCRINHLSSSIIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPREKNEGSWPLMKPRLENPSFKPTKDHILAALDNFVDILKIRYSSPLFRLTTASDIEQRVHFHNTGPSLVPGVIIMSIEDAQNDTHEMAQLDKNFSCVVTVFNACPHEVSVKIPDLVSMQLQLHPVQVNSSDALVRQSAFDSTTGRFTVPTRTTAVFVEPRC >PAN40117 pep chromosome:PHallii_v3.1:7:44331547:44331921:-1 gene:PAHAL_7G292900 transcript:PAN40117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGRHRHRGGIAADADFVAIGFTLVLSAVSCLLFVLVGSNDTGGEGVQSDMEWEVIPAFGLMSAGLLLIMYGMRARGARPPVLVRRVANAAGAALLHPGGPERLLAVMILLMLPFLEAWFDFF >PAN39721 pep chromosome:PHallii_v3.1:7:42497801:42500102:1 gene:PAHAL_7G264000 transcript:PAN39721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSRSRPLPKLSPSHLVPLDLSTSWCCTPHGLGGHAHSHSHPSSPARAADPMDPPPAGSSSSSASASAYATPPPPPSYAPSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAGVHSIPAPPQPHQLQPARSMDRQMLLQDRIADLIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYAETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSALVEEAEVGGSCSGGGEEVLLRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLSLLREQFVRAAGGDQSEVSQIARQADNLHWMLDILVERQIAEEFLRTWAMQTELAEMHRKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNAEPEQPTRLRITAICENS >PAN40576 pep chromosome:PHallii_v3.1:7:46434396:46437129:1 gene:PAHAL_7G325600 transcript:PAN40576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAFSWSFRRRGSGSGAGAGASKSSPAAAEDEELGVTPQLLDFLRTLSPDAFKAAAIQLQGGSAEAAAGDLTSWQERHAVLVLSKAKELAKIRYDLCPRHMKDKQFWRIYFLLSKSYISPYELCAIQKEKLRRMEMENGKSKEVITVEVEMQESKGSRVSQPSEIDLESQA >PAN37978 pep chromosome:PHallii_v3.1:7:34084663:34089949:1 gene:PAHAL_7G138200 transcript:PAN37978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYGLHKKMEIYRPHKAYPIELAQFHSADYVEFLHRITPDTQHLYASELTRFNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKSCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDIKDIGEREGKYYAINIPLKDGIDDASFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPNNDYIEYFAPDYTLKVANLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPDFDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHDNGTR >PVH35264 pep chromosome:PHallii_v3.1:7:34085969:34089626:1 gene:PAHAL_7G138200 transcript:PVH35264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGCQGFSLLQTTLPDFANFTMKYMPFNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKSCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDIKDIGEREGKYYAINIPLKDGIDDASFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPNNDYIEYFAPDYTLKVANLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPDFDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHDNGTR >PAN39819 pep chromosome:PHallii_v3.1:7:42872976:42875267:1 gene:PAHAL_7G270600 transcript:PAN39819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAAPAAEFTQLEAARRSLIAISESVPEIGAPVARPPHGGMESGHGDGAEQRHRAKLISISNQSPDARSATCPSKNAAV >PAN39546 pep chromosome:PHallii_v3.1:7:41492811:41495015:-1 gene:PAHAL_7G250500 transcript:PAN39546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFKLALLPVLLVAVVAAVAGDELRTFIVHVHPRESHVFGTADDRTAWYRTFLPEDGRLVHSYHHVASGFAARLTERELEALSGMPGFVAAVPNQVHRLLTTHTPQFLGLDLPQSGRNYTSGFGEGVIIGVLDSGVYPFHPSFSGDGMPPPPAKWKGRCDFNASACNNKLIGARSFESDPSPLDKDGHGTHTSSTAAGAVVTGAQVLGQGYGTASGMAPRAHVAMYKVCGDECTTADILAGIDVAVGDGCDILSLSLGGPTLPFYRDGIAIGTFGAVEKGVFVSMAAGNDGPGVSTLSNDAPWMLTVAASTMDRLIVAQVRLGNGATFDGESVYQPNISTTVTYPLVYAGASSTPDANFCSNGSLDGFDVKGKIVLCDRGNAVSRLEKGAEVKRAGGFGMIMANQFADGYSTIADAHVLPASHVSYDAGVNIKKYINSTANPVAQIIFKGTVLGTSPAPAITSFSSRGPSVQNPGILKPDITGPGVSVLAAWPFQVGPPSAPLFPERPTFNFESGTSMSTPHLSGIAALIKSKHPDWSPAAIKSAIMTTADPTDRSGKLIVNEQHEQANFFATGAGQVNPDKAIDPGLVYDIAPADYVGYLCGLYTSQEVSVIARRSVDCSAITVIPDRMLNYPSISLTLPLTTNPTAPVVVSRAVKNVGEASAVYYPRVNLPGIVQVKVAPSSLRFTAANQVQNFTVSVWRGQSTGAKFVQGSLQWVSDKHTVRSPVSISFA >PAN37972 pep chromosome:PHallii_v3.1:7:34058085:34059994:-1 gene:PAHAL_7G137800 transcript:PAN37972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGAGGVVEQQPMQMVLRVKHPSSSSMGDEEEAGEGSSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTVNRQLKPLGKSCVKKEKEYKEVLEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >PAN40506 pep chromosome:PHallii_v3.1:7:46084560:46090586:1 gene:PAHAL_7G320800 transcript:PAN40506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFGGRRRRSPEDDGEDDRSGNGKAQRRRLSPEEDAATPAEAGAAAAAATGSSPGWLSGFVSGARRVFSSVLLFSSPEETGSGQEEDEEGNGLNSDENEDIPDTHGAIVPYSESKLAIEQMVMKETFTRDECDKMIELIKSRVTDSTFPEAREYGLPEEIPSRNAGIGHDFTGAWRSFSRDRRFPKSVQFSSMGPGSFSPGSPLQASPELCTAAVTEAKRWLEEKRQGQGLKPEENGTCTLNTDMLSSVIDSDMGSPVDLAKSYMQSLPPWQSPLLGIQTFNTSRSKYSTLLAEVPAKEDYLSNFWEKLEESRRARIGSSGGSADAPKFWNYSSTSSRLFENDTSIFSSGADEKVGEPTKTNNGSEKVAATEPVSGCPIPVTPTEDRIDGIGEPVDLAKDKGNAPEEYHAASEIQPDKVAEGNNASSTRFTKDATDHSDVKAPIAEPNIDINSASAFPEPIAKDAGPPIRTRMNGSTKKTSVNGLLDQSNANSGLESSGNDNPSCTNSSSAVPPTSNDLTEPAAGAADVDSVENGTGINPEEPVKGASRQNVRRGGRKRVVRGPKGRGK >PAN39211 pep chromosome:PHallii_v3.1:7:40157608:40160272:-1 gene:PAHAL_7G228300 transcript:PAN39211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLPGLGARFGTYELLTAFYKDGREDNYVYYSEAMLAGIAAGAVEAFFCTPFELLKLRNQVSSVIPSRPVVPANVAQESFPLLSKLLPGYVPDMRVWSNTVSLLSDLSPKHPDMLGALKQHPWMLTGSGKPPLPSDVQLPSRVISLEGWGALWRGLRPGIARDCVFGGMFFSTWQFIHTAILTWKAVNMNPEPRNIEEAGPVHPFASSVAAGFAGAIAAAASHTFDTAKSRSECTVVPKYIAMERKLLKWKAPGTWIERKTGISPADRNVLFRGIGLRMARSGIASFVLAGSYYLVVDYIS >PAN39212 pep chromosome:PHallii_v3.1:7:40157608:40161068:-1 gene:PAHAL_7G228300 transcript:PAN39212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGKPLGDSVFAGHAAAGAAAISASSVAVHPLDTVKTLIQLGAAGQKQKMGLRQVVDRLMAASGPAGFYSGIGWSIMGRLPGLGARFGTYELLTAFYKDGREDNYVYYSEAMLAGIAAGAVEAFFCTPFELLKLRNQVSSVIPSRPVVPANVAQESFPLLSKLLPGYVPDMRVWSNTVSLLSDLSPKHPDMLGALKQHPWMLTGSGKPPLPSDVQLPSRVISLEGWGALWRGLRPGIARDCVFGGMFFSTWQFIHTAILTWKAVNMNPEPRNIEEAGPVHPFASSVAAGFAGAIAAAASHTFDTAKSRSECTVVPKYIAMERKLLKWKAPGTWIERKTGISPADRNVLFRGIGLRMARSGIASFVLAGSYYLVVDYIS >PVH35687 pep chromosome:PHallii_v3.1:7:41348061:41349619:-1 gene:PAHAL_7G247700 transcript:PVH35687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRRCAEMAAARELTGTAAQATLDASGCSDILVVGTARESLIGSRCSDDPWAPASLRAARSRATAASERGRGWADWVRCERCPSTDGTRRLNPVSRRSSPVRVRLPSPASCPFVGPHPASRASVAITAPNNPSPSTTKTKKAPAPSCLAEPPPTSSRRNKPRRDHDVAGRARHEPGGISRPGRLSSFKPPPRETDTEIISRRADRTAPRPAPPRRALSSRSCRVPGAGRVPGSPSTPRA >PAN39288 pep chromosome:PHallii_v3.1:7:40452055:40452628:-1 gene:PAHAL_7G233400 transcript:PAN39288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQQKVVLKVSSMSDEKVKQKAMETVADIYGIDSIGADHKEQKMTIIGDMDPVEIAKKLKKFGRIDIVSVGPAKNEKNDDKKSGKK >PVH35641 pep chromosome:PHallii_v3.1:7:40451704:40452806:-1 gene:PAHAL_7G233400 transcript:PVH35641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKVVLKVSSMSDEKVKQKAMETVADIYGIDSIGADHKEQKMTIIGDMDPVEIAKKLKKFGRIDIVSVGPAKNEKNDDKKSGKK >PAN40648 pep chromosome:PHallii_v3.1:7:46708443:46711237:-1 gene:PAHAL_7G330700 transcript:PAN40648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNLDSAIKSQVPALLLRRLFGASRRDEAKQLSRSPTPAPVGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNMPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEQKDCSGLVEMLGCSSSIDRVKRLVDDVVTAIRNGVDPVPISSGLGGSYYFRNVSGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHENFANVPATALVKITHSIFNINCPVNGGSPAPAHDQKQQVNNSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLNGGTGRFGCQTELFPIDHGMCLPENLEDPYFEWIHWAQASIPFSEEELEYIRNLDPGKDVMMLRRELPMMREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMTREFRGMEEEPSQLEVVCMEARKRVAEWEPFSATAEQEDDTDFQFSMDMLGEYNDVIRSPRFNGSGFKVSSFRSPLSKLVESVEEGNDGHEDQNESDRVFYTGWNTPNIPSKAIGQNGSATKSAMNRSADEQLPSSMCFVKLSDMSADEWNVFLQNFQELLKEALHERARAAAGQRMKQRLGTSCKF >PAN40623 pep chromosome:PHallii_v3.1:7:46632086:46632904:-1 gene:PAHAL_7G329000 transcript:PAN40623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARCSPVVPTLLLAICAAASAADQELTHLHFYFHEVDAGAPNATVVNVASLHRNASTFGDLNVFDNALREGPDPASRLIGRAQGVAAHASLDESGGLTAIAFSFSDYGEYSGSTLATLGHLSVSGPSERSIVGGTGRLRFARGYMTSRLLSSTATSIVVVFDMYFTLAH >PAN39004 pep chromosome:PHallii_v3.1:7:39118656:39129589:-1 gene:PAHAL_7G213300 transcript:PAN39004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALEAARAKDTKERLAGVERLHEALDAAARRGLTGAEVTALVDTCMDLTRDANFRIAQGGLQALSAAAVVAGEHFKIHLNALVPAAVERLGDGKQPVRDAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVSTAVGLFASTEISLQRVLLSPVLQLMNDSNQSVRDAAISCIEEMYKHMGSQFHEELQRHNLPSYMLKEINYRLDKIEPKVRSSDTAMQYKAAESRSVSANPKRGSPRTKSIPRESTLFGGDTDITEKPVEPIKVHSEKELLREFEKIAATLVPEKDWSLRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNILSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIVDTAKNDRSAILRARCCEYALLVLEYWADAPEIQRSADLYEDMIKCCVADAMSEVRATARTCYRMFAKTWPERSRRLFMSFDPAIQRIINDEDGGVHKRYASPSLRDRVAQPSRASSHASGTLVPGYGTSAIVAMDKSAAISSDSSFSSNSLRLSQSKTIGRSSERSLESVLNSSKEKVSAIESLLKGVSISGQNFSTARSTSLDLGVDPPSSRDPPVPLAAPASNVLSLQNSALLDSSLPAITAASSRNGGSRLLDTMTTQLATKERSRSPYLSSMSSESMSGLSLPYSRRSSERLQEGGRMDESYDIRSTRRIPQMHLEKNYVDMHYRDATHRDSHNNHVPNFQRPLLRKQVMSRASASGRHSFDDSHVPSGDVSGYTDSLASLNDALSEGLSPSSDWVARVSAFDFIRNLLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAEIIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSDGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIIAVYSHFDSTAVLNFILSLSVEDQNLLRRALKIKTPRIEVDLVNYLQSKKERPRPKSYDQADFGTSSEDGYALTSKKSYPFGRYSSSSLDAEGGKKTGTMQESTPHNVSIGRTTSDMSMDHGIQSLEPSTGTEAHLTRSREPKNNSSSVVEAARSWTNYPEKTDASLDGETASGTPRLDFSRFLTSDGHNTVGSTTEESVQEGDMIVSLSSIKTSLHTDNGLSIPQLLHQISNDTEVSSSEKREALQQLVDASFDNNSSIWAKYFNQILSTVLEVLDDSDSATRELALSLIAEMLNNQKDAIEDSIEIVLEKLLHVTKDVVAKISNEANQCLNILLAKYDPFRCLAVIVPLLVSDDEKILVVCINCLTKLVGRLSQEELIDQLPTFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQARSGKPIDSNQ >PVH35825 pep chromosome:PHallii_v3.1:7:43987545:43990933:-1 gene:PAHAL_7G286700 transcript:PVH35825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPWALRADAVTLALASHPGLVERFRLARTTLRARVPAKAYCFRLPLVLGFRDFFHGIDKPAAQKVSNGLRALFNSVKILAISVTFSSKKNMESVMNLLKCFPFLETLHILGNKRREGEVHTIGPNN >PVH35824 pep chromosome:PHallii_v3.1:7:43988659:43989245:-1 gene:PAHAL_7G286700 transcript:PVH35824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPWALRADAVTLALASHPGLVERFRLARTTLRARVPAKAYCFRLPLVLGFRDFFHGIDKPAAQKKVSNGLRALFNSVKILAISVTFSSKKNMESVMNLLKCFPFLETLHILGNKRREGEVHTIGPNN >PAN38108 pep chromosome:PHallii_v3.1:7:34966995:34977982:1 gene:PAHAL_7G147800 transcript:PAN38108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSDVDEDELLQMALQEQAARDLSHQRPPAANKPVVNLVRPHAPNARGGGNARPPAKARQPSRGGDEDEDSEVELLSISSGDEDDNPRARGPPPPRGGGGGRAGARRAASRDDEDDDDDEPRSWKRVDEAELARKVREMREAKVAPSIQAFDQKAAAAAAAAARKALTSVQTLPKGVEVLDPLGLGVMDNKSLRLITDASVSSPVSREKSQGLDPNLRDKVVYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTSHLHSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTQDHEARMEILHNKIRDKVLSDSKWRKLQQDSNKSLEVDSTIGDSPRTDQLSTNVMAEEADGLRASYIRRLTSVLIQHVPAFWRLALSVFSGKFAKAATGTVVSDADMNAKPVASKTDEKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILSPYMSDTIKEIAKACQTLEGKDSSPTAVKMLRALHFEMTKLYILRLCSWMRATTKEISKDETWITLSTLERNKSPYAISCMPLEFRDIAISAMDRIDTMILNLMSETAKSYDISQPLQEINESVRLAFLNSFLDFAGYLERFGGELAQNRSNKENNYVQNGYINGTRETSTTIDGDLHKKLLVILSNIGYCKAELSDELYTRYRHIWSPVRNNDERSSDMRDLMTSFSALEEKVLDQYTFAKSNLIRSAAQSYLLDSGINWGAAPSVKGIRDATLDLLHILVAVHAEVYSGARPLLEKTMKILVEGLVDIFLSVFHENKTKDIRLLDANGFCQLMLELEYFETVLHAYFSSEAEQALKSFQENLLEKACESLAEALENPGHQRRPTRGSEDAASDGQASVSPDDLLVLAQQYSSDLLQAELERTRLNIACFMESTIQSTSAPAGSKSAAYSSYQAPAPQHAPVQTSSPSFRRQQTGSSSPVVSRRRR >PVH34871 pep chromosome:PHallii_v3.1:7:15135296:15135619:1 gene:PAHAL_7G051700 transcript:PVH34871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGTGSGQQGQNNQSTDQPLPMPPPLTPEQFFQLQMQMMATLNNTVQTLQQIHTQPPPLPPQQPRDRRADFLRGHPPTFSHAADPLQADDWLRSVERQLDVA >PAN39993 pep chromosome:PHallii_v3.1:7:43783497:43785469:-1 gene:PAHAL_7G284200 transcript:PAN39993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transporter , Na+ transpor [Source: Projected from Oryza sativa (Os04g0607500)] MHPSSSILHILQRVGSYVATNLSLSYLEAARIIEEKFQKLLGFLSMKLATMSRCVAQFITHSFWRLVFQSNPFVVQLIYFMAISFAGFLALKSLNPHGKPVPRDFDLMFTSVSTATVSSMSTIQMEDLSDQQLWVLILLMLLGGEVFTSMLGLHLNNAKANKEELSQRSLSSIRREIEASISANNIDQIDMESGQSEAVISHNQVQQTKSTRHSSRTILAHIVTGYFFASVVCSSVVIIIYFWLNSDARQVLQSKEIKICTFAIFTAMSSFANCGFTPLNSNMQAFTKNSVLLLLVIPQILAGNSLFSPLLRLSVWALGKVSGKQEYAHILQHPEETGYKHLPTQRNSVYIFLTVTGLILLQVICLFSFERGSKAFGRMNWFQKLVGSLFQSANTRQAGEAVVDISTFSSPTLLLLAIVMYLPSNVSFLPMNSDKHPLADKNPSRRAMWKDFSITSPACLALFTFLACITERKSMSADPLNFNVFSMMFEVIRQVHILAQPKSCPFQKQHLSMSYIDKGKMQSAKTA >PAN39994 pep chromosome:PHallii_v3.1:7:43782925:43786105:-1 gene:PAHAL_7G284200 transcript:PAN39994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transporter , Na+ transpor [Source: Projected from Oryza sativa (Os04g0607500)] MHPSSSILHILQRVGSYVATNLSLSYLEAARIIEEKFQKLLGFLSMKLATMSRCVAQFITHSFWRLVFQSNPFVVQLIYFMAISFAGFLALKSLNPHGKPVPRDFDLMFTSVSTATVSSMSTIQMEDLSDQQLWVLILLMLLGGEVFTSMLGLHLNNAKANKEELSQRSLSSIRREIEASISANNIDQIDMESGQSEAVISHNQVQQTKSTRHSSRTILAHIVTGYFFASVVCSSVVIIIYFWLNSDARQVLQSKEIKICTFAIFTAMSSFANCGFTPLNSNMQAFTKNSVLLLLVIPQILAGNSLFSPLLRLSVWALGKVSGKQEYAHILQHPEETGYKHLPTQRNSVYIFLTVTGLILLQVICLFSFERGSKAFGRMNWFQKLVGSLFQSANTRQAGEAVVDISTFSSPTLLLLAIVMYLPSNVSFLPMNSDKHPLADKNPSRRAMWKDFSITSPACLALFTFLACITERKSMSADPLNFNVFSMMFEVISAFGNVGYSLGYSCEKLMKPDAACKAASYGFVGWWTDEGKLIIILVMFIGRLKKFIIKEEKD >PAN37521 pep chromosome:PHallii_v3.1:7:25726790:25727095:-1 gene:PAHAL_7G086900 transcript:PAN37521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERDKLAQRIREEAQGRNGSGRRLTHGTTTVRPGCMLPQLMPTKKTLLPQHHPPSDVYGEAVVSSANGGAASSGVLGRGGAAEGERQGERGKDGRDNHRR >PVH35849 pep chromosome:PHallii_v3.1:7:44234877:44239054:-1 gene:PAHAL_7G291300 transcript:PVH35849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFENEEKVYEYYVSYAGNMGFSVRKGWLDKTSKNSNRSRVYICSREGLRSKNDAKKPRPETRMGCPARIAIKLTPSGKYRVTEFVEDHNHQLAAPFDIEMLKSQRMLAKAQPGSQASGIPPGYKNYLRSKSTKDMKSGDLRALMDYFRRMKSDNPSFYYAIQVDENDKVANVFWADARSIMDYHYFCDVVCFDMIYKHNDCSRPLALFLGMNHHRQMVIFGAAFLYDETVESFKWLLETFKSAMCGKHPKTILTDRSGPLKEALGLTWPGTMHRFCMWQIYQSAVKSVAHMFSTSEEFTHDFRHCVFDIEDEQEFVDTWNMIMEKYNLRENELLIKLYEDREHWAMPYNRQIFSGDIQSMVHAENAGTRLKEYLGSDTDLSSFLKFFQCSAEKRRQEEMQADYQANQGVPRIPLPFLWQAANLYTPITFDLFRRECELSMDCMAYGCGEFGSLSEYMVTVKNKTKDQLVRFDSSNGTVACTCKRFENAGLLCCHILKVYELRNVKEIPPQYFLKRWRKDAKLVTMDEADGFNFDSDTESSIPGRYAALCRLFYKIAAKAAENIETFALMASQSDLLLAEVEGTLRSTLADKSSGHSFTDQLTHMAQNDYLLNSSHEALGSTGKKCEVTRRRNDPETNKRKKARTGQSDETKGGPSGEMNITPGSIQAEPRNTSNPFIPDQLMHGHYVLGHNFGLGISHNLHDNLNQFGQASSVSTLQQQPFPGNGQLTQGYPGDMHALQFVETAPQIDHQNGDEGQSSIPVWDFL >PVH35848 pep chromosome:PHallii_v3.1:7:44235242:44239006:-1 gene:PAHAL_7G291300 transcript:PVH35848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSTSGDPAAAGEPAPTPSLEPTQRRHPTSRISHIVRTYLDLSSSKKRCAFPKSQPKAGGQETRAAEDETDGSEAGLPSSHPSRLLRELGIRVSRYTHEERRDIILRYMQKRSGRQAVNRAASKVPSRQALAERRRRGAGGKFLGKEDAQTADNPEGKAEEEPELPPEVVSNAGGVPIVGMVFENEEKVYEYYVSYAGNMGFSVRKGWLDKTSKNSNRSRVYICSREGLRSKNDAKKPRPETRMGCPARIAIKLTPSGKYRVTEFVEDHNHQLAAPFDIEMLKSQRMLAKAQPGSQASGIPPGYKNYLRSKSTKDMKSGDLRALMDYFRRMKSDNPSFYYAIQVDENDKVANVFWADARSIMDYHYFCDVVCFDMIYKHNDCSRPLALFLGMNHHRQMVIFGAAFLYDETVESFKWLLETFKSAMCGKHPKTILTDRSGPLKEALGLTWPGTMHRFCMWQIYQSAVKSVAHMFSTSEEFTHDFRHCVFDIEDEQEFVDTWNMIMEKYNLRENELLIKLYEDREHWAMPYNRQIFSGDIQSMVHAENAGTRLKEYLGSDTDLSSFLKFFQCSAEKRRQEEMQADYQANQGVPRIPLPFLWQAANLYTPITFDLFRRECELSMDCMAYGCGEFGSLSEYMVTVKNKTKDQLVRFDSSNGTVACTCKRFENAGLLCCHILKVYELRNVKEIPPQYFLKRWRKDAKLVTMDEADGFNFDSDTESSIPGRYAALCRLFYKIAAKAAENIETFALMASQSDLLLAEVEGTLRSTLADKSSGHSFTDQLTHMAQNDYLLNSSHEALGSTGKKCEVTRRRNDPETNKRKKARTGQSDETKGGPSGEMNITPGSIQAEPRNTSNPFIPDQLMHGHYVLGHNFGLGISHNLHDNLNQFGQASSVSTLQQQPFPGNGQLTQGYPGDMHALQFVETAPQIDHQNGDEGQSSIPVWDFL >PAN38231 pep chromosome:PHallii_v3.1:7:35537382:35539821:-1 gene:PAHAL_7G156400 transcript:PAN38231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFDDLAMSMQSPAVPQAAKALLDRLESRLVISYSAASSPPVSLKHLASPPRRSRKAPPTTSRAVSTRAATAAAKRPAAGSGASRSPGVVLCAYMIVAHPSAVLSGQGEGERQHMESAAIFVRELELLTKMVLGNGGQGRFRAQLAGFDKAWCAYFYRFVAWKVKDARVLEEDLVRAACKLELSMMQTCKVAAADGRPPSDLTLTHDMKAIRPQVADDQKLLREKVRQLSGAAGAERMDSAISDARSKFFEAKKNGSPVPTPIANVSTPLSVDSSPSGGTSPAKQPTENERMVNEMLHQDRGDFGGRSDGAATAEKDFQKKVREAMEKAFWDLVTESLRGDEPDYSQLVSLVKEVRDSLHELAPKELKEEIVEHIDLEILSQVLGSGSQDAQHLGQILQYSLATVRKLSAAAKEDEMNKSHDKLLSELSASSEAGDNGSSSSSSFVISVVKGLRFVLEEIKELRSEVSKARIQMVMQPIVRGSTGVEYLQKAFADRYGPPADAPASLRPSDSAIVLGVEERRGTGMERTFRLSFSCAIISRPGSCPRSGAPSRSRSSSRATTIFFTSTCSRRFWPARVQGRKARQAGKDRPAAACR >PAN40404 pep chromosome:PHallii_v3.1:7:45467595:45468617:1 gene:PAHAL_7G312600 transcript:PAN40404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWHAWLSGARLEPALVYEYALVFARNELEAGDVAFLDHELLHSMGISVAKHRLEILKLAWRDRRARRRSLARRLLGRVARCVRSLVRRGEGGSTALVLVPSQQQPDDGRSPGAGVLAARQQRLGKALRRAASEPKRSAPKAATGGRAAAAVHAVGDVENGGDGDEMVRWDRLFKDLKPN >PAN38579 pep chromosome:PHallii_v3.1:7:37219814:37220751:1 gene:PAHAL_7G182300 transcript:PAN38579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAELVVEVKSPADKLWAALRDSTELFPKIFPQQYESIETVEGDGKSAGTVRLLKYTEGVPMLTFAKEKLELADDENKVVAYSVVDGELVNFYKNFKITLKVSPAKEGEAGAVVNWSMEFDKANDQVPDPDVIKETATKTFHDLDDYLLKN >PAN39046 pep chromosome:PHallii_v3.1:7:39344109:39347004:-1 gene:PAHAL_7G216600 transcript:PAN39046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFDGDGGRRRRRQKSRRQSPARSPPRSIHVAVVREASDVMVKEVPPPLRGAKPSTLAAAVEVAAADVVVKEEAAPLRGAKPSTLAKAMEVPDEVAGEPPAADVAAKPSAVVAADEAVTDASSGKELRESVEQKESPLCSLLTEKQATPPLSPVKCSPIVEAIVSPQESVDCSPVVAAVVSTPDSDLREVSEHGSRSSGKKKVTFDMNVTIYENAVLPDQEEEPPEEDEKHVQKAVVLPGNHRYRNCSDSDDDVEDEYAEDDVYGDDSDEEEDDFMDCKIDLVDEEEMITEDDKLESHESLFSLSMSNDQQNDQEAISPAPKSSGTSVDAESPLITRNNLRDRSQCVHPLLNPVQDLSQWKVVKSLKTQAVSGKKLDKENVNLVPDVGARPTRMKHSISGDKEVSVDASLSTWLNSSENSTVDKAQSKSPCSISSVSREERPVLGALTVDDIKQSSSASTPQRSPRSNREEAPILGTVGSYWRCTEQDNEYCSSRSDSGTHGIPNTTSKYREDKRVNWHSTPFNVRLDRAMKKTSA >PAN38341 pep chromosome:PHallii_v3.1:7:36214251:36216622:1 gene:PAHAL_7G165800 transcript:PAN38341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGVGAEGGVGEGPTTLDELYQINVVPAELHFKFRKELQGLRVGLNLEFYNLEVNDFEAKVVLKPLDYERKWKFQYKPISGDIQLLSKKIPVTKFLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSKISMFPGFDLRIGWRAEYVLPEIHGAVGTGEPAFSMDYGRLHASIDRVEAIVTQSDRY >PAN38008 pep chromosome:PHallii_v3.1:7:34205682:34207494:1 gene:PAHAL_7G139700 transcript:PAN38008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCISSKLLPPGPGGDGRRATVRGRVDHVVSLTSTTYGVLDLHPKHGAAAAAAAAPAAAPEEKEQPPPPVPQDKPISKEWKRASMRPPPLVVPAAEKKPPAAGKPESGLEVINAWEIMAGLEEDAEAAAAGSPAKKPSKPGRWSPARVLAMALPSPKRSAARRKNTPGKENSPLQRCSGNNNGNKEKPGDVDSDRVLRPYNSIDNSKLSMASRKFSPGSARIVRKPGAAETGGGGNGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGSEKPKHPKAAPPAIVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKVIERDVSMDSGYREELRLLLGGREVRVPAVFVRGRHVGGAAEVARLEEEGKLKALLEGLPRARVWCAGCAGVRFVMCRDCNGSRKVLDAERRETVQCGECNENGLVRCPICS >PAN40422 pep chromosome:PHallii_v3.1:7:45593054:45596967:-1 gene:PAHAL_7G314500 transcript:PAN40422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSAPPPCPHLAAHRLSSRPLRFVRRCLRVRPLGRPEIRRDPRELPRCSPCAAASPSPSPRLYACLSCAAVFCPSHAASHASASAGPGHQIAVDVDRAELFCAACGDQVYDPDFDHAVFLAQSSSLLPSTSSASPSPAPRKRRRVDYRAWAPDPAEFALMSSADPTTSSSAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPVRHRAAEADAAKVACLACDLDEIYSAAFSGERMPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHVQGHGDCCIAHRVFSGILRSDVTCTSCGFTSTTFEPCMDISLDLDVGDNSPFGVANTKPHARNGERGLAGMNSKVSTLMRCLERFTRAERLDAEQKFFCERCDERQESLKQMSIRRLPLVSCFHIKRFEHSAVKKVSRKVDHCLQFPFSLDMAPYLSSSILRSRYGNRIFPAEASDADAVSELSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERAAAV >PVH34787 pep chromosome:PHallii_v3.1:7:7090178:7094453:-1 gene:PAHAL_7G029700 transcript:PVH34787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYMAVIAEKAPPLQLSGAGTSMLSEIQTADGDEYFIQELEQENGNHILNNEPDDDLNECQEDTAEAEVDCDEDYFFPSPDEVEQARPPEVGMVFAMLEDAHRFVNVYGQVTGFVVFKGRNYKHKKITLQCNKSKKAKENEIRQRKRKRNAIERTGCPMSLTVKLVAGKWEITAVQNEHNHPLSNSPSLTRFFLSHKYMSEEERNFSRILQETKIKPAKIMQIFRKLRGKFKNIPVSKMAVSNLEQFDRLMKTENTDIESTLEHVRRLQKEQPGFYYTIKTDGDNTVRSIFWTDAQARLDYALYGDFISFDTSYSTIEYDMLFALLIGMNGHGKRTVFGWALLEDGRAETFSWLFRTFLDVMDGKKPNTILTHQDSDITKSIAEVFHTAFHRFDMWHVMRKATDELGSFMAHRVGMETEVTHLVTNSVATEEFEYGWQAMLEKYDAASNAHLDLMYQTRLMWVPVYFKHVFSPFTRSTGCSMSKNSIFKDYVQQNDTIETFISQYDIFQEEAVSIEDGDRFESTLKRPTYSTRHPIERHAAEIYTMGMFLRFQKELLDASAFNAFEKEKDIMYTVKKALDYEDAEFLRDSFSVEVDLKTNTFNCICSKFERDGIVCCHVLRLFTQFSINKIPEHYIKPRWTKKLREQELHKYCSEKIVSAVSQSTLRYAMIMNRMADSCATVSKDPDRSKIFLEEHERILQKLTDRE >PVH34784 pep chromosome:PHallii_v3.1:7:7090616:7093423:-1 gene:PAHAL_7G029700 transcript:PVH34784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEIQTADGDEYFIQELEQENGNHILNNEPDDDLNECQEDTAEAEVDCDEDYFFPSPDEVEQARPPEVGMVFAMLEDAHRFVNVYGQVTGFVVFKGRNYKHKKITLQCNKSKKAKENEIRQRKRKRNAIERTGCPMSLTVKLVAGKWEITAVQNEHNHPLSNSPSLTRFFLSHKYMSEEERNFSRILQETKIKPAKIMQIFRKLRGKFKNIPVSKMAVSNLEQFDRLMKTENTDIESTLEHVRRLQKEQPGFYYTIKTDGDNTVRSIFWTDAQARLDYALYGDFISFDTSYSTIEYDMLFALLIGMNGHGKRTVFGWALLEDGRAETFSWLFRTFLDVMDGKKPNTILTHQDSDITKSIAEVFHTAFHRFDMWHVMRKATDELGSFMAHRVGMETEVTHLVTNSVATEEFEYGWQAMLEKYDAASNAHLDLMYQTRLMWVPVYFKHVFSPFTRSTGCSMSKNSIFKDYVQQNDTIETFISQYDIFQEEAVSIEDGDRFESTLKRPTYSTRHPIERHAAEIYTMGMFLRFQKELLDASAFNAFEKEKDIMYTVKKALDYEDAEFLRDSFSVEVDLKTNTFNCICSKFERDGIVCCHVLRLFTQFSINKIPEHYIKPRWTKKLREQELHKYCSEKIVSAVSQSTLRYAMIMNRMADSCATVSKDPDRSKIFLEEHERILQKLTDRE >PVH34786 pep chromosome:PHallii_v3.1:7:7090176:7094392:-1 gene:PAHAL_7G029700 transcript:PVH34786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYMAVIAEKAPPLQLSGAGTSMLSEIQTADGDEYFIQELEQENGNHILNNEPDDDLNECQEDTAEAEVDCDEDYFFPSPDEVEQARPPEVGMVFAMLEDAHRFVNVYGQVTGFVVFKGRNYKHKKITLQCNKSKKAKENEIRQRKRKRNAIERTGCPMSLTVKLVAGKWEITAVQNEHNHPLSNSPSLTRFFLSHKYMSEEERNFSRILQETKIKPAKIMQIFRKLRGKFKNIPVSKMAVSNLEQFDRLMKTENTDIESTLEHVRRLQKEQPGFYYTIKTDGDNTVRSIFWTDAQARLDYALYGDFISFDTSYSTIEYDMLFALLIGMNGHGKRTVFGWALLEDGRAETFSWLFRTFLDVMDGKKPNTILTHQDSDITKSIAEVFHTAFHRFDMWHVMRKATDELGSFMAHRVGMETEVTHLVTNSVATEEFEYGWQAMLEKYDAASNAHLDLMYQTRLMWVPVYFKHVFSPFTRSTGCSMSKNSIFKDYVQQNDTIETFISQYDIFQEEAVSIEDGDRFESTLKRPTYSTRHPIERHAAEIYTMGMFLRFQKELLDASAFNAFEKEKDIMYTVKKALDYEDAEFLRDSFSVEVDLKTNTFNCICSKFERDGIVCCHVLRLFTQFSINKIPEHYIKPRWTKKLREQELHKYCSEKIVSAVSQSTLRYAMIMNRMADSCATVSKDPDRSKIFLEEHERILQKLTDRE >PVH34785 pep chromosome:PHallii_v3.1:7:7090616:7093754:-1 gene:PAHAL_7G029700 transcript:PVH34785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYMAVIAEKAPPLQLSGAGTSMLSEIQTADGDEYFIQELEQENGNHILNNEPDDDLNECQEDTAEAEVDCDEDYFFPSPDEVEQARPPEVGMVFAMLEDAHRFVNVYGQVTGFVVFKGRNYKHKKITLQCNKSKKAKENEIRQRKRKRNAIERTGCPMSLTVKLVAGKWEITAVQNEHNHPLSNSPSLTRFFLSHKYMSEEERNFSRILQETKIKPAKIMQIFRKLRGKFKNIPVSKMAVSNLEQFDRLMKTENTDIESTLEHVRRLQKEQPGFYYTIKTDGDNTVRSIFWTDAQARLDYALYGDFISFDTSYSTIEYDMLFALLIGMNGHGKRTVFGWALLEDGRAETFSWLFRTFLDVMDGKKPNTILTHQDSDITKSIAEVFHTAFHRFDMWHVMRKATDELGSFMAHRVGMETEVTHLVTNSVATEEFEYGWQAMLEKYDAASNAHLDLMYQTRLMWVPVYFKHVFSPFTRSTGCSMSKNSIFKDYVQQNDTIETFISQYDIFQEEAVSIEDGDRFESTLKRPTYSTRHPIERHAAEIYTMGMFLRFQKELLDASAFNAFEKEKDIMYTVKKALDYEDAEFLRDSFSVEVDLKTNTFNCICSKFERDGIVCCHVLRLFTQFSINKIPEHYIKPRWTKKLREQELHKYCSEKIVSAVSQSTLRYAMIMNRMADSCATVSKDPDRSKIFLEEHERILQKLTDRE >PAN38025 pep chromosome:PHallii_v3.1:7:34302687:34309033:1 gene:PAHAL_7G140900 transcript:PAN38025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPEPEPPGGATPEIFAAGGGAAVVRRAVVIGNGCAGAESQCFGLLRVLGLVDRLTLYRAIRPTGGINKWLHFLPISFHKTLDQFLRRVFSDTRFATLVQGNKFVQYPVRNCQSFGLSSVLEADTQKMVTMVRDTFEKEGPALVVACGRDTVSYASSIRCLAPDNVFVIQMQHPRFHLDRFDLVVAPRHDYYALTAKGKQEVPRLLRRWITPQEPPGPNVVLTAGALHQADSAALRCAAADWHTELAPLPKPLVVVNIGGPTRNCKYDVDLAKQLVSSLHNVLKTCGSVRISFSRRTPQKVSDLILKEFSTHPKIYIWDGEGPNPHLGHLAWADAFIITADSISMLSEACSTGKPVYVIGAEHCRWKFADFHNHLRERRVVRPFTGLEDMSDSWSYPPLNDAADVAARVREALAQRGWRLG >PAN38169 pep chromosome:PHallii_v3.1:7:35258489:35272342:-1 gene:PAHAL_7G152000 transcript:PAN38169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQPASKQKQKPKPSSSSSSSSSSAAAAAPRLQISSENERRLRRLLLNSSASAAPSPAPTDGPGARGESREQKARRLRGVYDKLALEGFSSAQIEQALSAIPDSATFESALDWLCFNLPGDELPLKFSSGGTSTTSSRAGAEGSVKVLSTAKENWVPQSREPEDVKASTEQLEIRIGGRREENVSLDDGRSSQAAWIRQYMEQQEEEEDANSNDSSTWEDHCLQSFEVVDAKPSRRKSKAAKKNSKHGSLKEDTSHSAHSVSSNSETANVEAGQNDLGVAENNSDSLGNIDEGSDLKKGTPKDAVETCTMEIEEEEVELGSMFFEDSSAWDAVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQRLGWEAPRYSKISEKGGKFVYAVNVLRGATGRGKSRKAGGLTKIQLPEIDEEYGSVEEAQSRVAAFALYQFFADLPLRQLLTEPYSSLVLRWQEGELLSTSRVLDTEDSRRAGFVDMLLNMDADTSHIEDSSAGGIFVDSGDTEDNKSVHEKRETAMMSCTGVKSPEHAESAILKKQLEEKRKLPNYLKMLEARASLPIAKQKQHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIDSERGGYCNIICTQPRRIAAISVAERVSDERCESSPGLNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNRDLSDVTHVVVDEVHERTILSDFLLIVLKNLVEKRSNEQGRKLKVILMSATVDSSLFARYFGECPVINVEGRTHPVSTHFLEDVYEKMEYCLELDSPASGAYFAQHGEKWKHSSSSVNNRRGKKNLVLSSWGDESTLSEGYVNPHYVSDYYKSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGALLVFLPGVAEIDMLIDRLSASVRFGGASSDWILPLHSLLGPSDQRKVFQSPPDNFRKVIVATDIAETSITIDDVIYVVDTGKHKQNRFNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENIMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPNEEAISSAVDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKATLLNENLDGSTSATDNKQSDHLLMVIAYDKWSRLLLQHGAKSARQFCHSFYLNSTVMHMIRDMRLQFGTLLADIGLIDLPKDSMRPKEGSRKSNLEIWFSNMSLPFNTYARCTSVIKSVICAGLYPNVAASLEGVDPGALGGRKPSDILFSKDRPRWYDGRREVHIHPSSVNHSLKVVQYPFLVFLEKVETTKVFLRDTSVISPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIIHLLLEEDKAQLA >PAN38171 pep chromosome:PHallii_v3.1:7:35257465:35272595:-1 gene:PAHAL_7G152000 transcript:PAN38171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQPASKQKQKPKPSSSSSSSSSSAAAAAPRLQISSENERRLRRLLLNSSASAAPSPAPTDGPGARGESREQKARRLRGVYDKLALEGFSSAQIEQALSAIPDSATFESALDWLCFNLPGDELPLKFSSGGTSTTSSRAGAEGSVKVLSTAKENWVPQSREPEDVKASTEQLEIRIGGRREENVSLDDGRSSQAAWIRQYMEQQEEEEDANSNDSSTWEDHCLQSFEVVDAKPSRRKSKAAKKNSKHGSLKEDTSHSAHSVSSNSETANVEAGQNDLGVAENNSDSLGNIDEGSDLKKGTPKDAVETCTMEIEEEEVELGSMFFEDSSAWDAVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQRLGWEAPRYSKISEKGGKFVYAVNVLRGATGRGKSRKAGGLTKIQLPEIDEEYGSVEEAQSRVAAFALYQFFADLPLRQLLTEPYSSLVLRWQEGELLSTSRVLDTEDSRRAGFVDMLLNMDADTSHIEDSSAGGIFVDSGDTEDNKSVHEKRETAMMSCTGVKSPEHAESAILKKQLEEKRKLPNYLKMLEARASLPIAKQKQHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIDSERGGYCNIICTQPRRIAAISVAERVSDERCESSPGLNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNRDLSDVTHVVVDEVHERTILSDFLLIVLKNLVEKRSNEQGRKLKVILMSATVDSSLFARYFGECPVINVEGRTHPVSTHFLEDVYEKMEYCLELDSPASGAYFAQHGEKWKHSSSSVNNRRGKKNLVLSSWGDESTLSEGYVNPHYVSDYYKSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGALLVFLPGVAEIDMLIDRLSASVRFGGASSDWILPLHSLLGPSDQRKVFQSPPDNFRKVIVATDIAETSITIDDVIYVVDTGKHKQNRFNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENIMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPNEEAISSAVDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKATLLNENLDGSTSATDNKQSDHLLMVIAYDKWSRLLLQHGAKSARQFCHSFYLNSTVMHMIRDMRLQFGTLLADIGLIDLPKDSMRPKEGSRKSNLEIWFSNMSLPFNTYARCTSVIKSVICAGLYPNVAASLEGVDPGALGGRKPSDILFSKDRPRWYDGRREVHIHPSSVNHSLKVVQYPFLVFLEKVETTKVFLRDTSVISPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIIHLLLEEDKAQLA >PAN38170 pep chromosome:PHallii_v3.1:7:35257598:35272573:-1 gene:PAHAL_7G152000 transcript:PAN38170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQPASKQKQKPKPSSSSSSSSSSAAAAAPRLQISSENERRLRRLLLNSSASAAPSPAPTDGPGARGESREQKARRLRGVYDKLALEGFSSAQIEQALSAIPDSATFESALDWLCFNLPGDELPLKFSSGGTSTTSSRAGAEGSVKVLSTAKENWVPQSREPEDVKASTEQLEIRIGGRREENVSLDDGRSSQAAWIRQYMEQQEEEEDANSNDSSTWEDHCLQSFEVVDAKPSRRKSKAAKKNSKHGSLKEDTSHSAHSVSSNSETANVEAGQNDLGVAENNSDSLGNIDEGSDLKKGTPKDAVETCTMEIEEEEVELGSMFFEDSSAWDAVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQRLGWEAPRYSKISEKGGKFVYAVNVLRGATGRGKSRKAGGLTKIQLPEIDEEYGSVEEAQSRVAAFALYQFFADLPLRQLLTEPYSSLVLRWQEGELLSTSRVLDTEDSRRAGFVDMLLNMDADTSHIEDSSAGGIFVDSGDTEDNKSVHEKRETAMMSCTGVKSPEHAESAILKKQLEEKRKLPNYLKMLEARASLPIAKQKQHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIDSERGGYCNIICTQPRRIAAISVAERVSDERCESSPGLNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNRDLSDVTHVVVDEVHERTILSDFLLIVLKNLVEKRSNEQGRKLKVILMSATVDSSLFARYFGECPVINVEGRTHPVSTHFLEDVYEKMEYCLELDSPASGAYFAQHGEKWKHSSSSVNNRRGKKNLVLSSWGDESTLSEGYVNPHYVSDYYKSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGALLVFLPGVAEIDMLIDRLSASVRFGGASSDWILPLHSLLGPSDQRKVFQSPPDNFRKVIVATDIAETSITIDDVIYVVDTGKHKQNRFNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENIMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPNEEAISSAVDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKATLLNENLDGSTSATDNKQSDHLLMVIAYDKWSRLLLQHGAKSARQFCHSFYLNSTVMHMIRDMRLQFGTLLADIGLIDLPKDSMRPKEGSRKSNLEIWFSNMSLPFNTYARCTSVIKSVICAGLYPNVAASLEGVDPGALGGRKPSDILFSKDRPRWYDGRREVHIHPSSVNHSLKVVQYPFLVFLEKVETTKVFLRDTSVISPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIIHLLLEEDKAQLA >PAN38867 pep chromosome:PHallii_v3.1:7:38476033:38477968:1 gene:PAHAL_7G203100 transcript:PAN38867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVRRAAVFLAVCLAARAASAIQDGLLPNGNFEQAPPKSQLHGTRVMGRYAIPHWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQRIALTRGAHYSITFSAARTCAQAEQLNVTVAPESDILPIQTVYTSSGWDSYSWAFEATGSVVSFIVHNPGVSEDPACGPLIDLFAIKTLPPPKSSKNNLLKNGDFEGGPYIFRNTPWGVLVPPMDEDDYSPLSPWMVQSSTKSVKYVDAPHHVVPHGARAVELVSGMETALVQDVVTVPGRPYRLEFSAGDAGNGCAGAMTVQAYAGRGSVRVPYQSQGKGGHTRGAIEFTAVANQTRVVFVSMAYNMKPEGTLCGPVVDDVSLVCTRKHAARRLLL >PAN37515 pep chromosome:PHallii_v3.1:7:30628849:30633512:1 gene:PAHAL_7G109500 transcript:PAN37515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGTQRCSSRVLSLPRDESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKVVGLGGWHWLVLNNGVEVKLQRNALSVLEAPTGNEHDDDIDGNNSFCSSSDMGEKDMDYSCIEDHKPTKARVRHTKPWSSCAKLSNRANFHSTSKLRAKVNLTKLGTPTLWRYWKHFNLVSMNPNPSKEELVHGVQQHFQSQQLDELQVILDFIHTTKRLKSLCNSS >PAN37516 pep chromosome:PHallii_v3.1:7:30628849:30633512:1 gene:PAHAL_7G109500 transcript:PAN37516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGTQRCSSRVLSLPRDESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKVVGLGGWHWLVLNNGVEVKLQRNALSVLEAPTGNEHDDDIDGNNSFCSSSDMGEKDMDYSCIEDHKPTKARVRHTKPWSSCAKLSNRANFHSTSKLRAKVNLTKLGTPTLWRYWKHFNLVSMNPNPSKEELVHGVQQHFQSQQQLDELQVILDFIHTTKRLKSLCNSS >PVH35869 pep chromosome:PHallii_v3.1:7:44563455:44567143:1 gene:PAHAL_7G296800 transcript:PVH35869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLACAALARRAAGSSGAAPARVRHLASAAEAAEAELKKTALHDFHVANGGKMVPFAGWSMPIQYKDSIMESTVNCRTNGSLFDVAHMCGLSLKGRGAIPFLESLVIADVAGLKDGTGTLTVFTNEKGGAIDDSIVTKVTDQHIYLVVNAGCRDKDLAHIEAHMEAFNKKGGDVKWHIHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEDKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRRAEGGFLGADVILKQLQEGPKIRRVGMFTQGPPARGHSELVSSSGEKIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >PVH34795 pep chromosome:PHallii_v3.1:7:8660028:8660486:1 gene:PAHAL_7G034100 transcript:PVH34795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKILLLTSGIRAVLSCSLSISCLSPSIASQQPLPAAEAAAAAVPAAPAQPPSSVQTSSSLSDGGSTPRSSSSPPAARHVRRTLSALGRLECAAPVGGRTRCSGGARAGSSTDRCRSGKGVEAGNSRAGCSQSGGGGGTSGGCSTCGGSRG >PAN38475 pep chromosome:PHallii_v3.1:7:36798793:36803999:-1 gene:PAHAL_7G174500 transcript:PAN38475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGEKLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDAHGNVIATRQDAVGGMKMEKPLQHHGGRLEHNETYCGSCYGAQESDDQCCNTCEDVREAYRKKGWGVSNPDLLDQCKREGFLQSIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGEYFPGVVNPLDRAQWVQHSSYGMYQYFIKVVPTVYTDINDHIILSNQFSVTEHFRSSESGRVQALPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >PAN40063 pep chromosome:PHallii_v3.1:7:44099856:44102554:-1 gene:PAHAL_7G288900 transcript:PAN40063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter, Preferential distribution of Zn to developing tissue [Source: Projected from Oryza sativa (Os04g0613000)] MDAAKHTLKVIPWLLLFAQLAVASTSNCTNAAEGTTTDKRGAMKLKLIAIASILTAGAAGVLVPVLGRSMAVLNPDGDILFAVKAFAAGVILATGMVHILPAAFDGLKSPCLYKGGSDGNIFPFAGLIAMSAAMATMVIDSLAAGYYRRSHFKKARPIDDLDIHEQPGDEERTGHAQHMHVHTHATHGHSHGEADVISSPEEASIADTIRHRVVSQVLELGILVHSVIIGVSLGASVRSSTIRPLVGALSFHQFFEGIGLGGSIVQANFKLRATVMMAIFFSLTAPMGIALGIAISSSYNGHSATAFVVEGVFNSTSAGILIYMSLVDLLATDFNNPKLQTNTKLQLMTYLALFLGAGMMSMLAIWA >PVH34901 pep chromosome:PHallii_v3.1:7:18445650:18446736:1 gene:PAHAL_7G060900 transcript:PVH34901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQTLWDAMHTEQSNLEAVKIADSLPRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSSEKVLGEVIEEIMGDHEMLTGKNTE >PVH34902 pep chromosome:PHallii_v3.1:7:18445650:18446528:1 gene:PAHAL_7G060900 transcript:PVH34902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQTLWDAMHTEQSNLEAVKIADSLPRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSSEKVLGEVIEEIMGDHEMLTGKNTE >PAN39762 pep chromosome:PHallii_v3.1:7:42670052:42674161:-1 gene:PAHAL_7G266800 transcript:PAN39762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPWLERIASACWDRVRRYALTRKDEDEDDGDGAGADELLWSRDLARHAAGEFSFAVVQANDVLEDHSQVETGAAATFVGVYDGHGGAEASRFISNHLSAHIVRLAQEHGTMSEDVVRNAFSATEEGFLSLVRRTHLIKPAMATIGSCCLVGIIWRGTLYLANLGDSRAVVGCSNGQNKIVAEQLTRDHNAGMEEIRQELRSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKREFALDPSTARFHLSEPLRRPVLTSEPSICSRVLSSQDRFLIFASDGLWEHISNQEAVEMVHNSPREGIARRLVQAALKEAASKREMRYGDIKKLDRGVRRYFHDDITVVVVFIDHEQLRQEDSASVPELSVRGFVDAGGPSSFSGLNGIT >PAN38634 pep chromosome:PHallii_v3.1:7:37414087:37415562:1 gene:PAHAL_7G186100 transcript:PAN38634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRAYQFQLQAAEAAAVATPVRAADDDEPEQPSAKILLLELQQAQGTADAPPAPRQGSGVAPMAVGNAQATKCPECPKWFVSEKAMFGHLRKHPERGYKGATPPGTAVAGDKKPKKQVARKEADVSAMNMTAAAATAGEKNPWGAAELSTKWPVTAKRGRAPFAPSGERALEAGMQASSCCEDEEAAMILLEIASSSRSTTSETQQGSVHQVHAPDAVSGHQMLDVEQPMLLDHVSGNQAPPEPEQTVQPEVVLEISAESQTPAVKELRNLEITTEAVLIVVPANKSIASSPGTKKAKKRRTAVQDLEQTAASPAPPEGADGKPAARRIPSPASDKKHKCPTCGKSFPTYQALGGHMSSHVKGKTGAQHDELAEAQAMHNILAHRNQSAVNVVAASASIGAAAAWVQDLHLQDIQPPAPTVAPHVCAECHMTFPSGQALGGHKRKHWFPEKHQAKAAALAEPAAPAPAQAARAFDLNEMPEEGEGESDQP >PVH35070 pep chromosome:PHallii_v3.1:7:28454585:28457745:-1 gene:PAHAL_7G098400 transcript:PVH35070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPVATPPEIEEGLSSGSPSARTPRVEVLMPLPRISPTNRTRVSRVSTTDLARINRLKQARCVVGSPSIRIINQIQLSTPTTRSPKNSTTRNSCAAALIAGLTKCLRKEDMAMSWNVVTMRWHMVEAGMHVAT >PAN37649 pep chromosome:PHallii_v3.1:7:28454643:28457745:-1 gene:PAHAL_7G098400 transcript:PAN37649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPVATPPEIEEGLSSGSPSARTPRVEVLMPLPRISPTNRTRVSRVSTTDLARINRLKQARCVVGSPSIRIINQIQLSTPTTRSPKNSTTRNSCAAALIAGLTKCLRKEDMAMSWNVVTMRWHMVEAGMHVAT >PAN39379 pep chromosome:PHallii_v3.1:7:40789958:40796722:1 gene:PAHAL_7G238400 transcript:PAN39379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSRRGGAAAARKAPATRGRVGRAQAAAEEAPLVEDAKEAPAEEVKVAEEAPKMVEEPKRQPSPPPPSQQPAVEEKGSDATANGANHAEDEGAVKETYEEEDKGERLEFEDEPEYEEEAAVDYDEKDLEHYEEQYEDGDEEVEYTEDVVEVETDMVDEEDEGGDDGEGEGYENADEEHHVDVDDEEHSEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGDITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRILQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFIDGLSPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGISNSEIGEGDHKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGASRGGRLPYARPPPPRRPPPRLVRPAVSRLPPIRSHPLKRPVDIRDKRPVMSMPDRARRLPPPERSYDRRPPAPVYPKRSPRREYVRRDELPPPRSRAALDYSPRVPVDRRPSFRDDYSSRGSGYSDLGPRSAPRLSDRRAYPDDSYGGKFDRPLPAYRESRGRDYDTISGSKRPYADMDDVPRYQDISVRQSKARLDYDVGGSSARYGDTYSERPGRSHVGYSGSRSISGHDSAYGSSRHGVSYGGSASGGDASGMYSSGYSGSYASRGSDVGGSSYSSLYSGRSLGSSSGGYYGGSGSSSYY >PVH35665 pep chromosome:PHallii_v3.1:7:40789958:40796722:1 gene:PAHAL_7G238400 transcript:PVH35665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSRRGGAAAARKAPATRGRVGRAQAAAEEAPLVEDAKEAPAEEVKVAEEAPKMVEEPKRQPSPPPPSQQPAVEEKGSDATANGANHAEDEGAVKETYEEEDKGERLEFEDEPEYEEEAAVDYDEKDLEHYEEQYEDGDEEVEYTEDVVEVETDMVDEEDEGGDDGEGEGYENADEEHHVDVDDEEHSEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGDITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRILQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFIDGLSPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGISNSEIGEGDHKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGASRGGRLPYARPPPPRRPPPRLVRPAVSRLPPIRSHPLKRPVDIRDKRPVMSMPDRARRLPPPERSYDRRPPAPVYPKRSPRREYVRRDELPPPRSRAALDYSPRVPVDRRPSFRDDYSSRGSGYSDLGPRSAPRLSDRRAYPDDSYGGKFDRPLPAYRESRGRDYDTISGSKRPYADMDDVPRYQDISVRQSKARLDYDVGGSSARYGDTYSERPGRSHVGYSGSRSISGHDSAYGSSRHGVSYGGSASGGDASGMYSSGYSGSYASRGSDVGGSSYSSLYSGRSLGSSSGGYYGGSGSSSYY >PAN37487 pep chromosome:PHallii_v3.1:7:27757814:27761418:-1 gene:PAHAL_7G096400 transcript:PAN37487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPCPGAAALRARWAARSLAGAFIDLALAWACLCLAALAAAAARILALPGLPLPCTCARPHLPCLLAFLASYPPRALASVHAALRARFPFAGPTDDGEEGRNGEEPGEEWEPAADADPRSGEEARAELQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVRDRETRALRKEVDAYRRLLGLGPAEEDEDDDDDQEMVTPHSMLMSEGEPSSSRSIDASRMQRLRNDSGFSFKTPFFREQPVVLPVIGDRGNQGSDDSVAVQTPAKVHGAQSRLELSSTEDEDGTETEDDGAETVEILPLSARSQDLGQGGDFHGDTAHGMESNKERTANGFQEVGCGGIDKIDRDHAGSENDASVYDVHVVDDICFSTEVKGLIGRSFSDATMQAEKLQTRVAADDLLGRSLNAIKGAQDKIRHAASERRQSLQLQLLEDIANQLQGIKDAAEAGRHMYCAAPKSSKKS >PVH35527 pep chromosome:PHallii_v3.1:7:38809473:38811589:1 gene:PAHAL_7G208600 transcript:PVH35527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAPAKKDAKTQALKVAKAVKSGAVKKKTKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >PVH35528 pep chromosome:PHallii_v3.1:7:38809473:38811588:1 gene:PAHAL_7G208600 transcript:PVH35528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAPAKKDAKTQALKVAKAVKSGAVKKKTKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >PAN38449 pep chromosome:PHallii_v3.1:7:33950019:33956238:1 gene:PAHAL_7G136200 transcript:PAN38449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHIYATTSGCARPATSLLLPAPALLLPLLPSPSRRRSSPMGATGHLAVSAAAKTRNGGRPCAAVLGEPLPALDDAGLLVHPSADFAARALVSSAQQYREMYQRSIDDPAGFWSEIAAEFYWKQRWNPDEVCSENLDVTKGPIKIEWFKGGKTNICYNAVDRNVESGNGNKVAMYWEGNEPSQDAKLTYSELLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPITMLACARIGAVHSVVFAGFSADALAQRIVDCKPKVVITCNAVKRGQKLIPLKDIVDTSLVESAKNGVDVGICLTYENQSALNKVDTRWKPGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPEDVYWCTADCGWITGHSYVTYGPLLNGASVLVFEGAPNYPDPGRCWDIVDKYGVTIFYTAPTLIRSLMRDGTEYVDRYSRKSLRVLGSVGEPINPTAWRWFYDVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGRELEGECSGYLCIKKSWPGAFRTLYGDQDRYETTYFKPFAGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDDLRKSLIMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALSDS >PAN37540 pep chromosome:PHallii_v3.1:7:30509329:30517489:1 gene:PAHAL_7G108200 transcript:PAN37540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC4 [Source:Projected from Arabidopsis thaliana (AT5G51340) UniProtKB/Swiss-Prot;Acc:Q9FGN7] MSVAAVSASSASAEGLLALADEAERRRDFSAAASCLESALRPPHAAALLPLAEARARLRLAALLLAPRGSSRAPRPGAPAAAKAHLERALLILSPLPSAPPRLKLLAHSHLAGAYAVLGALPSQKHVLHRALGLLASASASGLLQRGPALLWTCNFQAQLASALTVDGDAASALSALSAGGTAAAELGSPQLELFFAASALHVHLLCWEDSAAVENSVTHATQLWDALPAQQKEHWVGLFFYIELLRTFYLLRVCEYKAASQRVERLDTAAKSELQRCRHMKELANELRAVERTLGQPGLKERERSALSHKQRQLKAQLRVSCGYDSLADVLDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVMVGRPKGVFKECGKRIDSGLRLIHDELVKLGIVDGVTEVNLEHSTIWTAGLYLTLLLQFLENKVAVELTRSEFVEAQEALAQMKNWFARFPTILQGCESTIEMLRGQYAHSVGCFDEAAFHFLEAMKLTESKSMQSMCQVYAAVSYICKGDAESSSQALELVGPAFRTMDSFVGVREKTCIIFVYGLLLMRQHNPQEARNRLASGLRIAHQQLGNIQLVSQYLTMLGTLALQLHDAGQAREILKSSLTLAKTLFDIPTQIWILSVFTELYRELEERGNEMENYEYERKKEDDLQRRLSEAYSHAFHQELIEKSRIQIQPLHDLSRMQSEMAGPTANSDLDIPESVGLSAPQPSLRRLVDQGSGRRSTRRRQ >PVH35327 pep chromosome:PHallii_v3.1:7:35347987:35348452:-1 gene:PAHAL_7G153200 transcript:PVH35327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRRRVPPPHQGVPPTGHHPRHWASVGGEGQPPPSICFRVAAPSPPATATPTLAGGPQPEAAAGELEAGGHGGVLHFLPAESEADNFRAAAKERSTIAAGRRGAGVAWASDGWRGVPDGGEERGRRATSCTADQEERQLAYSHGCGRGIRRK >PAN40794 pep chromosome:PHallii_v3.1:7:47366384:47368174:1 gene:PAHAL_7G341300 transcript:PAN40794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGKLEVLLVSAKGLEDTDFLNNIDPYVILTCRTQEQKSSVANGAGSDPEWNETFIFTVSDDTPQLSLKIMDSDVTNDDFVGEASIPLEAVFQEGSLPPTVHPIVKEEKYCGEIKLALTFTPAVETRRPDNEEGTYSSWN >PAN36996 pep chromosome:PHallii_v3.1:7:4399180:4401758:-1 gene:PAHAL_7G021800 transcript:PAN36996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPTDRMDSLFSPRCVWVNGPIIVGAGPSGLAVAACLREQGVPYVILERADCIASLWQKRTYDRLKLHLPKQFCELPRMPFPDHYPEYPTRRQFIDYLEDYAAKFEIKPEFNSTVLSARYDETSGLWRVITSAPGNGDMEYIGRWLVVATGENAEGVVPDIPGLEGFDGKVTHVSDYKSGEAYRGKSVLVVGCGNSGMEVSLDLSDYGARPAMVVRDAVHVLPREVLGKSTFELAVLLMRWLPLWIVDKIMILLAWLVLGDLAKLGLRRPTGAGPLELKETHGRTPVLDYGALARIRAGDIAVVPAVKRFAKGSQVELADGRVLNFDAVILATGYRSNVPQWLQGNDFFNKDGYPKTAFPHGWKGQSGLYAVGFTRRGLSGASADAVRIAKDLGNVWREETKPTKRAGACHRRCISVVF >PVH35181 pep chromosome:PHallii_v3.1:7:32316936:32317757:1 gene:PAHAL_7G120900 transcript:PVH35181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQLHRFGRPRARDQPGSSCSFPHSAPLLGPPKPQAALLHWTPADLQAASLLEQLTHLVLRAGTSGRLAARRHGSPTPQSASLHGHLEPQAAQAPEDGTQLAKLVASVACGAGGAGKSRTREAPRRRKNLFFYRQTQTEKTNIG >PVH35465 pep chromosome:PHallii_v3.1:7:37825373:37825912:1 gene:PAHAL_7G191700 transcript:PVH35465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVIDAALAQEAAPGVRPPEEGRQGGADQPEPLAVGQTRGLLGGEGVGDVVEDDDAAVGGGDRAEERRERGGRAGRERLPQRHEVGREGRRAAGVRRRRGVRGDGELVEPDAAERAVAPAVHARAGLAGVLRGRRVVDGQAARRELQRQVQQRVQVALRRERQRHDRDGGLHGVCSVG >PAN39243 pep chromosome:PHallii_v3.1:7:40276452:40276925:1 gene:PAHAL_7G230300 transcript:PAN39243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFDQQVKERTKDLKHLKEKAMRGIKVAGESCKKAWSKVRSSIKR >PVH35497 pep chromosome:PHallii_v3.1:7:38260672:38261235:1 gene:PAHAL_7G199900 transcript:PVH35497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAFDAATIAKLIGVAVGSGASAAFATGASGWWALKAYREGRFSRGWWRMRVGALGGVRTLKQALAYDCALCRRSLDRREEVRTLSCGHAFHCRRSAKCGNTIDDWLRENRMRCPVCCKIAYPVLPWKAPPTSAPPAPPRSPSTTDLEAQLPLPLALETAPPKRPPPPPPSLWFEDTLRTPSQSE >PAN40054 pep chromosome:PHallii_v3.1:7:44083300:44087320:-1 gene:PAHAL_7G288400 transcript:PAN40054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKSSSRFLSQLKLSHPDHVLVKNSASSEANFERALQAVA >PAN38046 pep chromosome:PHallii_v3.1:7:34384961:34389915:-1 gene:PAHAL_7G142700 transcript:PAN38046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAMSGAAWPAVAQQIVQSLQRCERLPPAGPPFADALGDYHRFPPPSSSAAAAAPLAGGHGDFEEGIVVGTPLKRKAPYGEAPVSGKSARTYKSKAKCSKPVPQTPISNAASPGNPPTPAGPCRYDSSLALLTRKFVNLLKQAQDGILDLNSTADKLDVQKRRIYDITNVLEGIGLIEKKLKNRICWKGLDESGTNLDNDLSVLKSEIENLNLQEQALDEHISKMHKKLKELTEDESRQRWLFLTEDDIKGLPCFQNQTLIAIKAPHGSSVEVPNPDVMTGESFRRRYRIIIRSTMGPIALYLVSNFEMKSEEKLDETATLASHTHLAKRASSVKGPRTKRAGQSCSKEVVVNAQQIHKTPDLNAPYPSEGVLRKITPSDVDSDADYWLLTDDDVSITDMWRTAPAEMQWDQIDPNDFLAEEVSTPGPGTLSQQPAAIGETYS >PAN38043 pep chromosome:PHallii_v3.1:7:34384495:34389915:-1 gene:PAHAL_7G142700 transcript:PAN38043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAMSGAAWPAVAQQIVQSLQRCERLPPAGPPFADALGDYHRFPPPSSSAAAAAPLAGGHGDFEEGIVVGTPLKRKAPYGEAPVSGKSARTYKSKAKCSKPVPQTPISNAASPGNPPTPAGPCRYDSSLALLTRKFVNLLKQAQDGILDLNSTADKLDVQKRRIYDITNVLEGIGLIEKKLKNRICWKGLDESGTNLDNDLSVLKSEIENLNLQEQALDEHISKMHKKLKELTEDESRQRWLFLTEDDIKGLPCFQNQTLIAIKAPHGSSVEVPNPDVMTGESFRRRYRIIIRSTMGPIALYLVSNFEMKSEEKLDETATLASHTHLAKRASSVKGPRTKRAGQSCSKEVVVNAQQIHKTPDLNAPYPSEGVLRKITPSDVDSDADYWLLTDDDVSITDMWRTAPEMQWDQIDPNDFLAEEVSTPGPGTLSQQPAAIGETYS >PAN38044 pep chromosome:PHallii_v3.1:7:34384724:34389915:-1 gene:PAHAL_7G142700 transcript:PAN38044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPQYLENLQGHTSQRLNAANLYLRHLYQMLLNACLSVASASPGNPPTPAGPCRYDSSLALLTRKFVNLLKQAQDGILDLNSTADKLDVQKRRIYDITNVLEGIGLIEKKLKNRICWKGLDESGTNLDNDLSVLKSEIENLNLQEQALDEHISKMHKKLKELTEDESRQRWLFLTEDDIKGLPCFQNQTLIAIKAPHGSSVEVPNPDVMTGESFRRRYRIIIRSTMGPIALYLVSNFEMKSEEKLDETATLASHTHLAKRASSVKGPRTKRAGQSCSKEVVVNAQQIHKTPDLNAPYPSEGVLRKITPSDVDSDADYWLLTDDDVSITDMWRTAPEMQWDQIDPNDFLAEEVSTPGPGTLSQQPAAIGETYS >PAN38045 pep chromosome:PHallii_v3.1:7:34384494:34389915:-1 gene:PAHAL_7G142700 transcript:PAN38045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAMSGAAWPAVAQQIVQSLQRCERLPPAGPPFADALGDYHRFPPPSSSAAAAAPLAGGHGDFEEGIVVGTPLKRKAPYGEAPVSGKSARTYKSKAKCSKPVPQTPISNAASPGNPPTPAGPCRYDSSLALLTRKFVNLLKQAQDGILDLNSTADKLDVQKRRIYDITNVLEGIGLIEKKLKNRICWKGLDESGTNLDNDLSVLKSEIENLNLQEQALDEHISKMHKKLKELTEDESRQRWLFLTEDDIKGLPCFQNQTLIAIKAPHGSSVEVPNPDVMTGESFRRRYRIIIRSTMGPIALYLVSNFEMKSEEKLDETATLASHTHLAKRASSVKGPRTKRAGQSCSKEVVVNAQQIHKTPDLNAPYPSEGVLRKITPSDVDSDADYWLLTDDDVSITDMWRTAQMQWDQIDPNDFLAEEVSTPGPGTLSQQPAAIGETYS >PAN40432 pep chromosome:PHallii_v3.1:7:45632160:45637797:1 gene:PAHAL_7G315100 transcript:PAN40432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRNSMEHFTDVFGFDIGSSSGNPVMDQQAYWNNVLGSVESQNLQGYQMNHSDATIPYGNEPQQDGTFLGFWESGEASSSGSALNFGSSSNAKTEHLNIGGGLRIGERRLVADNGLSLDVDINLNANANDLRGQSSNVNCAPQGPEQYSGCDRNGINAQPSDLRLHPYRTFLLGAEETDSFTLNPSENPLGDFSLMQEGIHQRPGGSLDGRRLACKRKNIEGANGQSSAGAGTSFSHRNDNAFHNIASSSYNPAPIRNSSSPNCLLIPSSIEDQLPRYGTNTGLPSGSYDLNGGINNAGNSQRSFRARTTTAQQIAPCTVWPSSNAIRLSNSWNHQPPHPQSTFDDPQEVIPVVSSLNLQYQHPMNVPGFPQAANRFTGHGASSSRAGSLENRVVGSEEVLRRNVVPTSYSDLVPPAAVDLRRLVPEPSNWISDGRGTAISGTIPPVSRANTSSTANPPAGSTHQNLSRRHPRNLSEEIGRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSMRGREGRRLMEIRNALEMIHRGENVRLESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVNTGLSEEVVKKLLKQRKFSSWRLKASLDHEPCCICQEEYVDGDDLGRLDCGHDFHAGCIKQWLVLKNVCPICKNTALKT >PAN40431 pep chromosome:PHallii_v3.1:7:45632850:45637797:1 gene:PAHAL_7G315100 transcript:PAN40431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRNSMEHFTDVFGFDIGSSSGNPVMDQQAYWNNVLGSVESQNLQGYQMNHSDATIPYGNEPQQDGTFLGFWESGEASSSGSALNFGSSSNAKTEHLNIGGGLRIGERRLVADNGLSLDVDINLNANANDLRGQSSNVNCAPQGPEQYSGCDRNGINAQPSDLRLHPYRTFLLGAEETDSFTLNPSENPLGDFSLMQEGIHQRPGGSLDGRRLACKRKNIEGANGQSSAGAGTSFSHRNDNAFHNIASSSYNPAPIRNSSSPNCLLIPSSIEDQLPRYGTNTGLPSGSYDLNGGINNAGNSQRSFRARTTTAQQIAPCTVWPSSNAIRLSNSWNHQPPHPQSTFDDPQEVIPVVSSLNLQYQHPMNVPGFPQAANRFTGHGASSSRAGSLENRVVGSEEVLRRNVVPTSYSDLVPPAAVDLRRLVPEPSNWISDGRGTAISGTIPPVSRANTSSTANPPAGSTHQNLSRRHPRNLSEEIGRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSMRGREGRRLMEIRNALEMIHRGENVRLESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVNTGLSEEVVKKLLKQRKFSSWRLKASLDHEPCCICQEEYVDGDDLGRLDCGHDFHAGCIKQWLVLKNVCPICKNTALKT >PVH35938 pep chromosome:PHallii_v3.1:7:45480273:45480902:-1 gene:PAHAL_7G312900 transcript:PVH35938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATRQATAAATLAFLLVAAATVSAAAASPASRKVLHWKDYLGCPRDAVKFGACVAALGSAGLQAGAQLGTKCCDVVQGLAAVEAAACFCTTIKETVLGIPTEWTVGVGALASACKTELPDGFKCV >PAN40360 pep chromosome:PHallii_v3.1:7:45272033:45275155:1 gene:PAHAL_7G309400 transcript:PAN40360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKPEMLQCSLCGAKLCLVAQVYAPVVKLNIEERTIYVLVCPTPKCGPKPQSWKVLRVQKCRNVEKTEGGSDELGQATGPSSTSVPEEQIDKNKSPDINDDDFDLDALAEALEQAATLASNSKKKNKSKHANAPIKRPVLKEKTDDLSIPVLPCFYIYYDKEQFGGKGTMGSSSNELVLDKEITDTTNDEEEKWEGEKYEYDKAIGADRTFLKFKKRLDAYPEQCFRYSYGGKPLLAAIKLQDAGTCRLCGSPRQYELQLMSPLSYFLHEANDGSSNYAPSSWTWLTLIVYTCSKSCCPSSCGGKPCSCCWGVAEEEIMIQEDEAV >PAN40361 pep chromosome:PHallii_v3.1:7:45272033:45275155:1 gene:PAHAL_7G309400 transcript:PAN40361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVHLGLPGPWAADYREKADHYTTKIGGVPDWPTGDMGIKPEMLQCSLCGAKLCLVAQVYAPVVKLNIEERTIYVLVCPTPKCGPKPQSWKVLRVQKCRNVEKTEGGSDELGQATGPSSTSVPEEQIDKNKSPDINDDDFDLDALAEALEQAATLASNSKKKNKSKHANAPIKRPVLKEKTDDLSIPVLPCFYIYYDKEQFGGKGTMGSSSNELVLDKEITDTTNDEEEKWEGEKYEYDKAIGADRTFLKFKKRLDAYPEQCFRYSYGGKPLLAAIKLQDAGTCRLCGSPRQYELQLMSPLSYFLHEANDGSSNYAPSSWTWLTLIVYTCSKSCCPSSCGGKPCSCCWGVAEEEIMIQEDEAV >PAN38218 pep chromosome:PHallii_v3.1:7:35498520:35500673:1 gene:PAHAL_7G155500 transcript:PAN38218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPHLFRCPISLDIFTDPVTLCTGQTYDRPCIERWLAAGHRTCPVTMQPLGDAVLVPNRTLRHLIERWLSADQQVPEPTAADDDHAEEPSLAALKRCLQSGAGAGANAKVAALKKVATLASESDVGRACMLQLGFLPVLLQLVFHAPAPWDLSEQEELALQCALSLLPSSPTSPQHGCLNMLKTEASLTSLVWLLERGRARVRAGLCRLLETIATAAATRELAIAAAASPRVWRALLPLLHHGDERVSGAAVRAVAAVCCAAEPACGSAVHHGAVPELLKCLSWASAGKGGGAAAAAASSALAALEALAASEAGRRAVAREPGAVRALVRHVFMMSSSNEGSEHAVAALLVVCRESRAARSEAAGAGVVTQVLLLLQSQCGTRAKTKARSLLKLFRSMCSNQARGLG >PVH34777 pep chromosome:PHallii_v3.1:7:6048496:6048951:-1 gene:PAHAL_7G027700 transcript:PVH34777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIAKWAAELAGFQLNFQPRHAIKSQVLVDFVVEWTPAPSIPGGPDHGSDPPRMEARAPVFTGPHWMLFFDGSARNKQAGASVVLIDPHGEQVKYMVHLNFGATNNMADYEALIFGLTAALSLGVRELLVKGDSQLVIRQVRGECCCNNS >PAN38605 pep chromosome:PHallii_v3.1:7:37325904:37326523:-1 gene:PAHAL_7G184300 transcript:PAN38605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKMVVKVSMPCERSRSRAMALAARADGVISVAITGDARDKLEVVGDGVDPVCLVSCLRRKVGHAEILQVEEVKDKKPEEKKPEEPKPPQPMVVHPPPHGYYSYHHHHPPPPMVACEEPSSCSIM >PVH35438 pep chromosome:PHallii_v3.1:7:37325771:37326462:-1 gene:PAHAL_7G184300 transcript:PVH35438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVSMPCERSRSRAMALAARADGVISVAITGDARDKLEVVGDGVDPVCLVSCLRRKVGHAEILQVEEVKDKKPEEKKPEEPKPPQPMVVHPPPHGYYSYHHHHPPPPMVACEEPSSCSIM >PAN38604 pep chromosome:PHallii_v3.1:7:37325771:37326816:-1 gene:PAHAL_7G184300 transcript:PAN38604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMVVKVSMPCERSRSRAMALAARADGVISVAITGDARDKLEVVGDGVDPVCLVSCLRRKVGHAEILQVEEVKDKKPEEKKPEEPKPPQPMVVHPPPHGYYSYHHHHPPPPMVACEEPSSCSIM >PAN38603 pep chromosome:PHallii_v3.1:7:37325904:37326360:-1 gene:PAHAL_7G184300 transcript:PAN38603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVSMPCERSRSRAMALAARADGVISVAITGDARDKLEVVGDGVDPVCLVSCLRRKVGHAEILQVEEVKDKKPEEKKPEEPKPPQPMVVHPPPHGYYSYHHHHPPPPMVACEEPSSCSIM >PAN38806 pep chromosome:PHallii_v3.1:7:38245555:38246840:1 gene:PAHAL_7G199400 transcript:PAN38806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGQGSEGGMASDDLSLGRAFAVLLGVSSPVIIVVGYRAYRTGRLARGWRRLRVWALGGVTTLEEALGYSCAMCSGSFDAREEVRTLSCDHVFHRCKSDKCKHVIDDWLRENRVACPVCCKVALPVLPWKAPPASAPSASDLEDPLMRQASSPSASSSGSEEQPLPLSTMASGEEPPLSSPVWEESRPQSSP >PAN38129 pep chromosome:PHallii_v3.1:7:35055270:35060285:-1 gene:PAHAL_7G149300 transcript:PAN38129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGGVLAFADRLDLLLTALGTLGAVADGCSYNLLLVFASDVVNSLGRGHAAAQQGGASATATSCVRFMRDVEKSCLSYVYLALAVLPVAFLEGYCWSRTSERQVRRIRCLYLQAMLRQEPGFFDSGDAAATAEIVGGISKDAAVIQEVLAEKVPLFLMHSTSFISGLAFSIYFSWRLALVASPLVLLLVIPGFIYGKYLVHLSCKSRHEYAKANFLVEQALGSIKTVYSFTAEKRIIQRYATVLDGTIKLGIKQGIAKGLAVGCTGIAFAIWAFLAWYGSRLVMFRHESGGRIYAAAVSFVLGGLSLGVALPELKHLTEASIAATRILEQINRVPQINADDSKGLMLDRLQGGIKFESVHFAYPSRPDMPVLQDFNLQIPAGQTVALVGSSGSGKSTAIALVQQFYDASEGTVKIDEVDIKELQLKWIRSKMGLVSQDHAMFGTSIRENILLGKPDASIDEIYSAAMAANAHNFIRELPEEYETKIGERGALLSGGQKQRIAIARAFIRNPVILLLDEATSALDSESEMLVQHALDKASMGRTTLIVAHKLSTVKNADQIAVLDGGTIAEIGTHDELINKGGPYARLVKLQKMVNYINQESEQLRASSTTRTSTSGPNVSSASPMPVTKTVSIKDDYAIPPPAPSFFKLVAMNAPELRQAVIGSLSALVYGVLQPIYATTIGSMVAAFFVQDYSEMNAIISRSALIFCSLSLISIVVNLLQHYNFAYMGVHLVRRIRIQVLEKILTFEAAWFDEETNSSGSLCSQLSNEASLVKTLVADRISLLLQTVSGTVTAVTMGLALAWKLALVMIAVQPSTMICYYAKKTVLTNVSRDLAKAQHQSTQIAIEGVYNHRMVTSFGCSSKVLQLFEHAQVEPLRKGRKMAWVAGITTGLSPCLLYLSWALDFWYGGKLVQSGEISAADFFKTYFILMTTGKLIAEAGSMTSDLAKGANAVASVFEVLDRKSPQNLQVGKDDQVNKIKGRIEFKKVDFAYPTRPQCVILKDFSFDVKAGSSVGLVGKSGCGKSTIIGLIQRFYDVARGAVCIDGIDVRDINIPCYRGQAALISQEPAIFSCSVRDNIAFGKPETDEDEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQKQRIAIARAITRNPAILLLDEATSALDAQSEKMVQEALDRIMSGRTTIVVAHRLNTIKNLDSIAVLGEGKVVELGTYPQLMNMKGPFYNLATIQR >PAN40256 pep chromosome:PHallii_v3.1:7:44948642:44951766:1 gene:PAHAL_7G303300 transcript:PAN40256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHAEMLHAAPAAVYSGAGAGAAPHGGGWWNAAVPAPTCSADLAGFGTWSAALAASYDIAVEGGKAKSATTASSESPGNNSSVTFQEPAGVADPAGIGAAVHQQQPLDAGYTDWTHPYMSSGTTLHGFLQDGHQDMSSRTEQQSPMAASSMMNPSSNNLALQGHHQEQDHHHQLLSSFGSELLLSPTSPYGFQSSSLLRSLMEPTATAAKPALPGFQQYDQYGQQMGQQASPAAARFAPGAIREPLQFTNDAPFWNSSAAGFGVPAAAVPDQARVRSVKPSPAPRAATLALKTALEGVGESSSIITTKKKANGEPAFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNGHQVHVPQLKSSSPEKSKDSHGEISLKGRGLCLVPISSTFAVASEVPVDFWTPFGANFR >PAN40927 pep chromosome:PHallii_v3.1:7:47956985:47963942:-1 gene:PAHAL_7G351100 transcript:PAN40927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFDICDNLDDEPVTAIPACRHHPAATPTPNGLNDRLLRLTRSRQDPNPNPNPPPEAHAEEARKVKLAGRRRLCKLATTSTKQPEQQQQQQDNGDSIRDILDDLTTRLDSLSVHKPNPTARPREEQLAPLPCDITADPDDQSAKDGDTHAGASSPLQISCSDEAATTISRRAEVKPETTSVASAFTDYACCEVPRGMGKSKGTKDVGRMDRVSEASSFVDSDSDYDDGDEEEGTSTAYAAKHVRRKAFTRRPTKASTFMNNDCSSNDILGQEKENHGLVENDAEDVGWEKTEDFKMEPTATAATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLIVAPKTLLAHWTKELSVVGLKHKIRDYSGPSTNIRNYELQYAFKEGGVLLTTYDIVRNNYKLIRSNSYNNDDDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCAHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATTREKHVGSNVAKELRERIRPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTACQRKLYEAFLKSELVHLAVQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGMVEKMAMNLADMAHDDDALEIGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSDRERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKLQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPQQGFDVSLTQKQLQEEHGHQVVMDESLRQHIQFLEQQGIAGVSHHSLLFSKTATLPTLTESDALDSKPRGMPMMPQHYYKGSSSDYVAGGAAFALKPKDEKFTAPRYSPSNRSAESPEEIKARIDRLSQTLSNAALVSKLPDGGEKIRRQISELDEKLTSAEKEKREKGKGATEVICLDDLSADMESIVLSSF >PVH36098 pep chromosome:PHallii_v3.1:7:47956575:47964851:-1 gene:PAHAL_7G351100 transcript:PVH36098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFDICDNLDDEPVTAIPACRHHPAATPTPNGLNDRLLRLTRSRQDPNPNPNPPPEAHAEEARKVKLAGRRRLCKLATTSTKQPEQQQQQQDNGDSIRDILDDLTTRLDSLSVHKPNPTARPREEQLAPLPCDITADPDDQSAKDGDTHAGASSPLQISCSDEAATTISRRAEVKPETTSVASAFTDYACCEVPRGMGKSKGTKDVGRMDRVSEASSFVDSDSDYDDGDEEEGTSTAYAAKHVRRKAFTRRPTKASTFMNNDCSSNDILGQEKENHGLVENDAEDVGWEKTEDFKMEPTATAATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLIVAPKTLLAHWTKELSVVGLKHKIRDYSGPSTNIRNYELQYAFKGGVLLTTYDIVRNNYKLIRSNSYNNDDDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCAHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATTREKHVGSNVAKELRERIRPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTACQRKLYEAFLKSELVHLAVQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGMVEKMAMNLADMAHDDDALEIGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSDRERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKLQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPQQGFDVSLTQKQLQEEHGHQVVMDESLRQHIQFLEQQGIAGVSHHSLLFSKTATLPTLTESDALDSKPRGMPMMPQHYYKGSSSDYVAGGAAFALKPKDEKFTAPRYSPSNRSAESPEEIKARIDRLSQTLSNAALVSKLPDGGEKIRRQISELDEKLTSAEKEKREKGKGATEVICLDDLSADMESIVLSSF >PAN39647 pep chromosome:PHallii_v3.1:7:42071618:42075120:-1 gene:PAHAL_7G257600 transcript:PAN39647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRSPSPRADAPLDSAPLLGGAGGGGSRRRGGALRRPSLRGAARLLRRGGRRAMREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRDEDSPMPLRTWVAGYALQCVVHMVCVAIEYRMRHGPRGVGPAPADEERGSDGSSSSSDEDDRELDRRGRRTDYASIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQDVDPMGPFGGIMTECGTNQPIEKVLEAEDAECCICLSAYDDGAELRELPCGHHFHCTCIDKWLHINATCPLCKYNIRKSSSSSGSEEV >PAN38102 pep chromosome:PHallii_v3.1:7:34927026:34929925:1 gene:PAHAL_7G147200 transcript:PAN38102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRLLLLLLLLCGLLFSLHAPSCAAATDTLSRGRSLAGDKRLVSSNGKFALGFFQVGSKPSNNTFNSSYLGIWFHKVPKPTPVWSANGEHPISNLVSPELMISGDSNLAILARDTIIWSTQANITANSTVAVLLASGNLVLRSSSNSSDIFWQSFDYPTDTLLPGAKFGRNKVTGLNRRIVSRRNLDDQAPGVYSNSLCLDGSIRLSWKSSTEYWSSGSVRCLWSMEGAHMGHTHDWVTFSYSPRNQCDVYAVCGAFTICSNKANPLCYCMKGFSIRSPDDWELEDRNSGCIRNTPLGCNGSDKSTKGMTDKFYSVPFIRLPTNGIGIKSTTSAKACAELCLSNCSCTAYSYGQGGCSIWLDELINVTADDNGEILYLRLAAKEVQSWKGQKHGTIISVSVGVSIVALVFIFLFVIWRSWKRSSPQMDNDQGGIGITAFRYVDMKRTTKNFTEKLGDGGFGSVFKGCLSDSVAIAVKRLDGARQGEKQFRSEVSSIGIIQNVNLVKLIGFCCEGDRRLLVYEYMPNRSLDVHLFQSHGAVLGWNIRYQIALGVARGLAYLHHSCRDCIIHCDIKPQNILLDASFTPKVAVCDGKVPREGFSRVVTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLEIISGRRNSGKESFTDDDHARYFPVQVMDKLLNGGIGSLVDTNVLGDVNLDHVECIQDNEFDRPTMVEVVKFLEGLAEPDMPPMPRLLHAIAGGSPLM >PAN38101 pep chromosome:PHallii_v3.1:7:34927026:34929925:1 gene:PAHAL_7G147200 transcript:PAN38101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRLLLLLLLLCGLLFSLHAPSCAAATDTLSRGRSLAGDKRLVSSNGKFALGFFQVGSKPSNNTFNSSYLGIWFHKVPKPTPVWSANGEHPISNLVSPELMISGDSNLAILARDTIIWSTQANITANSTVAVLLASGNLVLRSSSNSSDIFWQSFDYPTDTLLPGAKFGRNKVTGLNRRIVSRRNLDDQAPGVYSNSLCLDGSIRLSWKSSTEYWSSGSVRCLWSMEGAHMGHTHDWVTFSYSPRNQCDVYAVCGAFTICSNKANPLCYCMKGFSIRSPDDWELEDRNSGCIRNTPLGCNGSDKSTKGGCSIWLDELINVTADDNGEILYLRLAAKEVQSWKGQKHGTIISVSVGVSIVALVFIFLFVIWRSWKRSSPQMDNDQGGIGITAFRYVDMKRTTKNFTEKLGDGGFGSVFKGCLSDSVAIAVKRLDGARQGEKQFRSEVSSIGIIQNVNLVKLIGFCCEGDRRLLVYEYMPNRSLDVHLFQSHGAVLGWNIRYQIALGVARGLAYLHHSCRDCIIHCDIKPQNILLDASFTPKVAVCDGKVPREGFSRVVTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLEIISGRRNSGKESFTDDDHARYFPVQVMDKLLNGGIGSLVDTNVLGDVNLDHVECIQDNEFDRPTMVEVVKFLEGLAEPDMPPMPRLLHAIAGGSPLM >PAN38854 pep chromosome:PHallii_v3.1:7:38431649:38432977:-1 gene:PAHAL_7G202400 transcript:PAN38854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASSPRQTTTLTALTLGLVALLCAAGPCSGGGGASVPSVVTEAFFDGIKSQAGSGCEGNNFYTRGAFLSAANSFPGFAHGGSEADGKREVAAFFAHATYETGHFCYISEINRANVFCDASSRQWPCAPGKKYYGRGPLQLSWNFNYGPAGRRIGVDLLGDPDRVARDPAVSFKAALWSWMSSAHQAVPRGFGATVRAIDGGLACGGGNPAQVNALVGYYKQYCQQLGVDPGSNLTC >PAN39754 pep chromosome:PHallii_v3.1:7:42647988:42651342:1 gene:PAHAL_7G266300 transcript:PAN39754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin, Al-inducible expansin, Root cell elongatio [Source: Projected from Oryza sativa (Os04g0583500)] MAPPLLLVVLLLPALAAGHQHPSSSGSSALSEWRPAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCAPNYGLPADAGGHCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLREGGVRFSVNGRHFFFTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQVWHINCDMRGQPLSFELSSSDGKRLTSFNVVPKDWEYGKTYTGKQFLL >PAN37871 pep chromosome:PHallii_v3.1:7:33110182:33112625:1 gene:PAHAL_7G129700 transcript:PAN37871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAPVELDFLGLRPAAAEEAAADHRRGSTGTASSIRGMKTSAIASIGARQLRRVIAGGETPAPAPMTLFYNGAVATFDGVSQDKAEAIMKMAMEVTTSNGGRVVRGDAFSGNFAKDMPLTRTKSLQQFLQKRKERLSATGPYQVGPGGTGGVAAAATKSFRVKEEAA >PAN40418 pep chromosome:PHallii_v3.1:7:45531472:45535472:1 gene:PAHAL_7G313700 transcript:PAN40418 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MEVVSCSHSCSALHQTPRSAWRLRGGGLGLGHAKSTRPRRSAILCVGTTRGASIPGDSNKVQATQGFVDAALQGIPSRKAGEIEKVMIQGLPKGTDSSPISTGFWEWKPKLTVYYERSGTENSKAPAVLFLPGFGVGTFHYEKQLRDLGRDHKVWTMDFLGQGMSLPCEDPAPSSIAGDQSEDSFWGFGQDSQPWAEKLAYSVDLWQNQVQHFVEEVIREPVYIVGNSLGGFVALYFAASCPHLVKGVTLLNATPFWGFFPNPATSPRLSKIFPWAGTFPLPSFVRKLTEAVWQKISDPRSIHDILKQVYADHSTNVDKVFSRIVEITQHPAAAASFASIMFAPRGQISFQEAISRCQSQGVPISLMYGREDPWVRPIWGIKVKEQVPEAPYYEISPAGHCPHDEVPEVINYLLRGWLKNLESEGSIDLPFLEDPSYAEHGVSRELEFVREGSRKSVSVRLYGSKISLWSQLSSFLNTRASNSRVVSR >PAN40532 pep chromosome:PHallii_v3.1:7:46223832:46228622:1 gene:PAHAL_7G322400 transcript:PAN40532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRLSPALSPACAVVSFPCMPYSQLLPRPPSRSTLICLAATPKVPLPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMLTLMEDMDMDGAFEPVAVELVAQGVIGKRVDEMESGFLMALDYMIQLAQKDADDEVQVVGLLCQTEKKESRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRDTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGLTTLPEAEVNFLSKLVALKPGKALERMIRDVMNGKAEGADNIKQSSADSHAEQEHLTGLSGRGSVSGRKPRPVRPGMFLETVSKVLGGVYASNTSGITAQHLEWVHQTTLKILQELAF >PAN40533 pep chromosome:PHallii_v3.1:7:46223832:46228622:1 gene:PAHAL_7G322400 transcript:PAN40533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRLSPALSPACAVVSFPCMPYSQLLPRPPSRSTLICLAATPKVPLPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMLTLMEDMDMDGAFEPVAVELVAQGVIGKRVDEMESGFLMALDYMIQLAQKDADDERKSLLEVIKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRDTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGLTTLPEAEVNFLSKLVALKPGKALERMIRDVMNGKAEGADNIKQSSADSHAEQEHLTGLSGRGSVSGRKPRPVRPGMFLETVSKVLGGVYASNTSGITAQHLEWVHQTTLKILQELAF >PAN37586 pep chromosome:PHallii_v3.1:7:29788686:29789744:1 gene:PAHAL_7G104100 transcript:PAN37586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPVRKCKCYTLSVLAASLAAAAIISVVSVEMRPAHIFFSITQATTTPRNDTSGAGGATGMELSITLAANNTSRRASVLYRSIYIAICSKTGRPLHVSEAGACIGTVVATTLPLRQKPRITERIHAAVALEGASSPWASLGVVPRLQDILGDRSSSHYDLSVKVTALVMFKTIGGVPGTRLHDINVTCTGVTFVSQANQSSFLPSSCFYS >PAN37793 pep chromosome:PHallii_v3.1:7:32464160:32470202:1 gene:PAHAL_7G122800 transcript:PAN37793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVRSHRQLAAAAAAGGGGAGALPTYRAAPQLEVRLEAFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVSELWKTHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMTEFQLPYKALPHSEFEVVKDKLSQVARSIGQSANVESVFFKVPFEEVPDLVASRRVFISKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWMATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAVEISLKDIDQLAKSSFPLCMRHMLDKLRENHHLKHGARMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGDENLRAALNKMGVSGHALEGIMDKVKNRHYQLACTLTFEATHGVSCDSGINHPNQYFSESQKVLRAKNQTVEGQSAT >PVH35501 pep chromosome:PHallii_v3.1:7:38321818:38328222:-1 gene:PAHAL_7G200900 transcript:PVH35501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLIPIYLYILLLSDGCIGRTVIKREKKVFCSTMAIGGFVDWRGNPIKRKVHGGVRAAWFMYFLTVATNMVNVPILLNLVTYLRGTMHMGVSGSATTVTNFVGATSGFSLIGAFLSDSYITRSKTILLFGPLEFLGYGLLALQAHVPSLHPPPCNIEAELSNCKEVLVVAFRNRRFELCEELEEAQESSAERGSTKVLSQTNSLKFLDKACINHGNEGAWSLCSMTKVEETKIVLRMLPLFVSSMIGYVSNPIIFTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQMVMLAIYDRFIVPFLRKRTGYSNGITHLQRIGIGFASMVLASIIAAVVERKRKEDAVQMSLFWLVPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEIGLASLLATFLVQVVNRATRHGHKGGWLEGTSLNNSHLDLFYWVVAVVGLLGFVNYLYWAKKYVYHQDPRIIDEPSVDQDSP >PAN38827 pep chromosome:PHallii_v3.1:7:38322284:38325637:-1 gene:PAHAL_7G200900 transcript:PAN38827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGFVDWRGNPIKRKVHGGVRAAWFMYFLTVATNMVNVPILLNLVTYLRGTMHMGVSGSATTVTNFVGATSGFSLIGAFLSDSYITRSKTILLFGPLEFLGYGLLALQAHVPSLHPPPCNIEAELSNCKEVRGWNATLFYTALYISAFGEGCMRACLPSLGANQFDHEDLSESRQQSSFFNWFTFGISFGGFVGLIFMVWLANYKGWDIGLGLSAILILLGLLVVAAGLPFYRNQVPEGSPLTRILQVLVVAFRNRRFELCEELEEAQESSAERGSTKVLSQTNSLKFLDKACINHGNEGAWSLCSMTKVEETKIVLRMLPLFVSSMIGYVSNPIIFTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQMVMLAIYDRFIVPFLRKRTGYSNGITHLQRIGIGFASMVLASIIAAVVERKRKEDAVQMSLFWLVPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEIGLASLLATFLVQVVNRATRHGHKGGWLEGTSLNNSHLDLFYWVVAVVGLLGFVNYLYWAKKYVYHQDPRIIDEPSVDQDSP >PVH35503 pep chromosome:PHallii_v3.1:7:38321818:38328222:-1 gene:PAHAL_7G200900 transcript:PVH35503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLIPIYLYILLLSDGCIGRTVIKREKKVFCSTMAIGGFVDWRGNPIKRKVHGGVRAAWFMYFLTVATNMVNVPILLNLVTYLRGTMHMGVSGSATTVTNFVGATSGFSLIGAFLSDSYITRSKTILLFGPLEFLGYGLLALQAHVPSLHPPPCNIEAELSNCKEVRGWNATLFYTALYISAFGEGCMRACLPSLGANQFDHEDLSESRQQSSFFNWFTFGISFGGFVGLIFMVWLANYKGWDIGLGLSAILILLGLLVVAAGLPFYRNQVPEGSPLTRILQVLVVAFRNRRFELCEELEEAQESSAERGSTKVLSQTNSLKFLDKACINHGNEGAWSLCSMTKVEETKIVLRMLPLFVSSMIGYVSNPIIFTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQMVMLAIYDRFIVPFLRKRTGYSNGITHLQRIGIGFASMVLASIIAAVVERKRKEDAVQMSLFWLVPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEIGLASLLATFLVQVVNRATRHGHKGGWLEGTSLNNSHLDLFYWVVAVVGLLGFVNYLYWAKKYVYHQDPRIIDEPSVDQDSP >PVH35502 pep chromosome:PHallii_v3.1:7:38321818:38328222:-1 gene:PAHAL_7G200900 transcript:PVH35502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGFVDWRGNPIKRKVHGGVRAAWFMYFLTVATNMVNVPILLNLVTYLRGTMHMGVSGSATTVTNFVGATSGFSLIGAFLSDSYITRSKTILLFGPLEFLGYGLLALQAHVPSLHPPPCNIEAELSNCKEVRGWNATLFYTALYISAFGEGCMRACLPSLGANQFDHEDLSESRQQSSFFNWFTFGISFGGFVGLIFMVWLANYKGWDIGLGLSAILILLGLLVVAAGLPFYRNQVPEGSPLTRILQVLVVAFRNRRFELCEELEEAQESSAERGSTKVLSQTNSLKFLDKACINHGNEGAWSLCSMTKVEETKIVLRMLPLFVSSMIGYVSNPIIFTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQMVMLAIYDRFIVPFLRKRTGYSNGITHLQRIGIGFASMVLASIIAAVVERKRKEDAVQMSLFWLVPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEIGLASLLATFLVQVVNRATRHGHKGGWLEGTSLNNSHLDLFYWVVAVVGLLGFVNYLYWAKKYVYHQDPRIIDEPSVDQDSP >PVH35581 pep chromosome:PHallii_v3.1:7:39360729:39364592:-1 gene:PAHAL_7G216900 transcript:PVH35581 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROW1 [Source:Projected from Arabidopsis thaliana (AT1G04020) UniProtKB/TrEMBL;Acc:A0A384KH31] MESLRRFLNPLVLNLQKMELELTCPVCLKLLSAPTMLPCYHTSCSNCALTRTSNGYSCAICKSAYHSQDLRPASHLEAIVGIHRSLSSTVSTMVQEQDAQVHIPAAKTASHGTPESGNRSGVEKSDHMKSYNPVASKLVYNQSTGPAFGSMDGVQPRDLAFENKAADADVAPTVLVQKGHSGSQSSDGPGDLDCDSNDLEGELITIRSPLQTALKREANVMDDHTRELKKQKSNDQVQRQTTMASAWKCEFCHSSQVTECTGPLSHYLHGEPLQADQAWKSNVQHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHRIKGCRWDEENFVMLCSTHSSKKLPCERSKSKKKAQLQQPSSDIDDLNSPSPMQSDELWTAPPVMTSEWVICGSALSSRERG >PAN39049 pep chromosome:PHallii_v3.1:7:39360907:39364398:-1 gene:PAHAL_7G216900 transcript:PAN39049 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROW1 [Source:Projected from Arabidopsis thaliana (AT1G04020) UniProtKB/TrEMBL;Acc:A0A384KH31] MESLRRFLNPLVLNLQKMELELTCPVCLKLLSAPTMLPCYHTSCSNCALTRTSNGYSCAICKSAYHSQDLRPASHLEAIVGIHRSLSSTVSTMVQEQDAQVHIPAAKTASHGTPESGNRSGVEKSDHMKSYNPVASKLVYNQSTGPAFGSMDGVQPRDLAFENKAADADVAPTVLVQKGHSGSQSSDGPGDLDCDSNDLEGELITIRSPLQTALKREANVMDDHTRELKKQKSNDQVQRQTTMASAWKCEFCHSSQVTECTGPLSHYLHGEPLQADQAWKSNVQHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHRIKGCRWDEENFVMLCSTHSSKKLPCERSKSKKKAQLQQPSSDIDDLNSPSPMQSDELWTAPPVMTSEWVICGSALSSREREILDQFEHRTGITVTNSWSSNVTHVIASTDERGACARTLKVLMAILAGKWVLNVNWLKACIEAREPIPEEPYEVRCDVHGSFDGPRTGRLRAMQQAPSLFAGLTFYFSGHFMPAYKINLEDLIAAAGGSILEKSELSTTSLILYSVEPPQGNNLDTLNEVIKKREAEAEELAATVGCKAIPHTWLLDSIASCTILS >PVH35582 pep chromosome:PHallii_v3.1:7:39360907:39364021:-1 gene:PAHAL_7G216900 transcript:PVH35582 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROW1 [Source:Projected from Arabidopsis thaliana (AT1G04020) UniProtKB/TrEMBL;Acc:A0A384KH31] MLPCYHTSCSNCALTRTSNGYSCAICKSAYHSQDLRPASHLEAIVGIHRSLSSTVSTMVQEQDAQVHIPAAKTASHGTPESGNRSGVEKSDHMKSYNPVASKLVYNQSTGPAFGSMDGVQPRDLAFENKAADADVAPTVLVQKGHSGSQSSDGPGDLDCDSNDLEGELITIRSPLQTALKREANVMDDHTRELKKQKSNDQVQRQTTMASAWKCEFCHSSQVTECTGPLSHYLHGEPLQADQAWKSNVQHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHRIKGCRWDEENFVMLCSTHSSKKLPCERSKSKKKAQLQQPSSDIDDLNSPSPMQSDELWTAPPVMTSEWVICGSALSSREREILDQFEHRTGITVTNSWSSNVTHVIASTDERGACARTLKVLMAILAGKWVLNVNWLKACIEAREPIPEEPYEVRCDVHGSFDGPRTGRLRAMQQAPSLFAGLTFYFSGHFMPAYKINLEDLIAAAGGSILEKSELSTTSLILYSVEPPQGNNLDTLNEVIKKREAEAEELAATVGCKAIPHTWLLDSIASCTILS >PVH34856 pep chromosome:PHallii_v3.1:7:12894720:12896253:1 gene:PAHAL_7G046400 transcript:PVH34856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICYLKYVLHFLTNFVWDFSKLMSGFVISCNVLPWLKGSFQSLYVDVVLA >PAN38389 pep chromosome:PHallii_v3.1:7:36413281:36416220:1 gene:PAHAL_7G168800 transcript:PAN38389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHGSHRPGAGVQGDPVFPRATSRVVPDPSRFFAPGLLAAPLPTNAFFQNFVLKDGDMPEYIHPYSIRSPGGGALDVCYPSRSHSPSSVTQTFVADLTVSDAAAAAGTGSAQRHVVSAFDDLSVTLDVSQSLRAHLVRGCPYVTVTVTANATGPIDISFASVHAFVELSSCQCHHGSSGTKWRLRMDSGQTFLLYASAPIRLAQATPTQLSAPAFAGAIRVAYLPDASMEPVLDRYSRCFPTAGHATMNPNDRPFCVDYAWHKEGHGELLMLAHPLHLRLLALAAAADENRAVRVLDGFRYRSIDGDMVGVVGCSWALRADPVPPTWHSTRGVRADGVAEVVAALRADVGALAPAAVTTTSSYFYGKAVARAARLAVIAEEVGCADVVPAVREFLAATVTPWLDGSFQGNGFLYDATWGGLVTMQGLTDSGADFGFGIYNDHHYHLGYFLYAIAVLARLDPSWGRQYAPQAYAMVADFMTVSCDKSGGSFFTRLRMFDLWTLHSWAGGLAAIPDGRNQESTSEAVNAYYSAALVGLTYGDARLASLGATLAALEMLAAQTWWHVRDGEGMYEEDFSGSNRVVGVLWANKRDSALWFAPAEWKECRLGIQLLPLLPISEALFPDVAFVRDLVEWTLPALARDGVGEWWKGFVYALQGLYDTEAALAKIRALTAHDNGNSLTNLLWWLHSRGPAAASSGTGTGSGSPLAAAGTATDSDSGGQHE >PVH35935 pep chromosome:PHallii_v3.1:7:45378807:45380147:-1 gene:PAHAL_7G311900 transcript:PVH35935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGALPMVDLAPFFNEDDGGRGGVVRAIEAVREACRTHGFFPAVNHGVQAEVMSRALELSAAFFALPDDEKARARPAEGTVAPLPAGYARQPTHSADKHEYVLVFDPKLGFNEYPAEPAGFREAVEECYTKFTELGLLVQEVLNECMGLPPGFLRDYNGDRGFDFMAALRYFSRRRRRRTTASGRCRGSRGPQGRRVGPGGARRRQHHRQHRRRHTGAEQQQVEERDALGGEEAGAQALARVLLQHPRRQVDRAAAGVHDEDRRGAALQGVRVQ >PAN40535 pep chromosome:PHallii_v3.1:7:46232124:46235391:1 gene:PAHAL_7G322600 transcript:PAN40535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEPRTVFLAFIAILAVVIIILLGICWKVFKPNLIRKLMRPRSPASEVPEYFSGNMSGNLRTITYFDYATLKKATRDFNQKNQLGRGGFGPVYLGKLDDGRKVAVKQLTVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGSQRLLVYEFMKNKSLDKILFGGDGAPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIISSRKNTDLSLPNEMQYLPEHAWRLHEQSKILELVDPKVQADGFDEKEVQQVCQIALLCVQPYPNLRPAMSEVVLMLTMKSDQSIPAPMKPAFLDRKSLKDKNGTSDTAMEMRSYWLNTPSPMVDDRPYDMSCGI >PVH34932 pep chromosome:PHallii_v3.1:7:20761588:20762220:-1 gene:PAHAL_7G068800 transcript:PVH34932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLTPLLDDFLEMLISFICLHAQALPQISVEKEARRQVGYCSSSSYESKCELNYFMPLQLQLTNHPENYYSLGYQFNNH >PAN38803 pep chromosome:PHallii_v3.1:7:38237496:38244232:1 gene:PAHAL_7G199300 transcript:PAN38803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRGKKRTAAEAAATESSKPEAAAKEPAKRGRGKRVKAPPRPTDYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYGKTVYLFGSTEPQLLYVNGESKIVLIPVIVVVDCLFPPSDKIGINSVQRENEEILPMKAMKMAWVPYVPLEDRLSRIDSLETKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLQPIEDEDDTVINFLYPLEPPIVDDFNWEMDDYEDFADQKVKDEDLPEDEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKKKEAFENIKFYKFYPVKTPDTPDVDSVKSKYINRYYRNTHYLI >PAN38804 pep chromosome:PHallii_v3.1:7:38238162:38244232:1 gene:PAHAL_7G199300 transcript:PAN38804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSETFSRGCPKQEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYGKTVYLFGSTEPQLLYVNGESKIVLIPVIVVVDCLFPPSDKIGINSVQRENEEILPMKAMKMAWVPYVPLEDRLSRIDSLETKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLQPIEDEDDTVINFLYPLEPPIVDDFNWEMDDYEDFADQKVKDEDLPEDEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKKKEAFENIKFYKFYPVKTPDTPDVDSVKSKYINRYYRNTHYLI >PAN38802 pep chromosome:PHallii_v3.1:7:38237496:38244232:1 gene:PAHAL_7G199300 transcript:PAN38802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRGKKRTAAEAAATESSKPEAAAKEPAKRGRGKRVKAPPRPTDYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYGKTVYLFGSTEPQLLYVNGESKIVLIPVIVVVDCLFPPSDKIGINSVQRENEEILPMKAMKMAWVPYVPLEDRLSRIDSLETKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLQPIEDEDDTVINFLYPLEPPDFADQKVKDEDLPEDEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKKKEAFENIKFYKFYPVKTPDTPDVDSVKSKYINRYYRNTHYLI >PAN37832 pep chromosome:PHallii_v3.1:7:32743000:32747203:1 gene:PAHAL_7G126300 transcript:PAN37832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPPPRGPHPLASPLLLPDAASPGPVVPPWREQLTLRGVAVAAVLGALLCVVIHRLNLTVGVIPALNVASGLLAFFLAAAWRAAAGWLGFGRGPPFTRQENTVIQTCAIACAGLAFSGCSVSYILAMDRKTYELVGPDYPGNRPEDVRDPSLGWIITFLFLIALLGPFSIVLLRKVMVIDYKLTFPGGTATALMINSLHGKAEGDVAGKKVHCLVKYMSISFVWGFFKWFFSGAGDSCGFDNFPTFGLEAFKNTFYFNFSPSYVGFGLISPHIVNCSVFLGSVISWGFLWPFISAQAGHWYPDNLSNSDFRGLYGYKVFIAISIILGDGLYNLVKIFVIIAWEFCNVQSKQQNLPVQALEDDGSSEQLLDEKLQTEVFLKDSISPWFAASGYIALAAISTATVPAIFPQLKWYLVLLCYFLGPAVAFCNSYGMGLTNLNLAPTYGKIALFAFASLVGSDGGGVIAGLAACGIIMSIACSTADLMQDFKSGYLTLSSPRSMFVAQLIGIALGCIIAPLTLWLFWTAFDIGDPDSEYKAPFAIMFREMAILGIEGFSALPMHCLEICCATFFLAVAISLLKDMTPAKVSRFIPIPIAMAAPFYVGAYFGVDMFIGTVILFAWQKLNRDEADGYAVAMASGLICGDGIWSIPSAVLSILGIDPPICMSFKPSSASR >PAN39387 pep chromosome:PHallii_v3.1:7:40818068:40821971:1 gene:PAHAL_7G238900 transcript:PAN39387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G07440) UniProtKB/TrEMBL;Acc:A0A178UEW8] MNALAATTRNFRRASRLLDLDPKLEKSLLIPFREIKVECTIPMDDGKLASFVGFRVQHDNARGPMKGGIRYHPEVEPNEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHERGGKITALGDVTGSIRNKAGIDIPALMKHRNDGGALKDFHGAEVMDSAELLVLDCDVLIPCALGGVLNKDNAPDVKAKFIIEAANHPTDPEADEILAKKGVIVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNNELEKYMRSGFHHTKAMCKSLDCDLRMGAFTLGVNRVARATLLRGWEA >PVH35505 pep chromosome:PHallii_v3.1:7:38383098:38383391:-1 gene:PAHAL_7G201700 transcript:PVH35505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSKKTICKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLINLLRRCSTTLSQSFIVWLISLIPR >PVH35557 pep chromosome:PHallii_v3.1:7:39086096:39087508:-1 gene:PAHAL_7G212800 transcript:PVH35557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGKAIRVTFCTAAPPLVSYICVWSPDAEIAMEPTVEAAESDLIFLSVFLRGRRDQGEYFVYKAAGSKGPSLRRLQDPEPCWPERYNFTLLAHRDTGCPHADGDNDDHYYIAALNQFRRSGPGGFKLWLFNSMDGKWSTTPVSELV >PAN37702 pep chromosome:PHallii_v3.1:7:31609757:31612802:-1 gene:PAHAL_7G115500 transcript:PAN37702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGEAAGATTPEATSPFSEDWRERIIIPVAAAGVVGAAFGLLSRHRARLGAARAAVTYAANLAIVAGCYGGARELARDARATTPDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTALDYAALKLAPEWHAWKEQFSGKKDWFTLPEWSPIQVLDEEALAKKKAREEKLFAQRALGKLDKEDP >PAN39869 pep chromosome:PHallii_v3.1:7:43190210:43193013:-1 gene:PAHAL_7G275500 transcript:PAN39869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAAHTSATSSHYSPPGTAILLRSRRRAFATRVSCSAAAAAGNKTVVIGVAADSGCGKSTFMRRLTSVLGGGAEPPRGGNPESNTLVGDTATVVCLDDYHSLDRAARKARGLTALDPRANDFDLMYEQVRAIKDGRAVDKPVYNHVTGLLDPPERVAPPRILLLEGLHPMYDERVRGLLDFSIYLDISDEVKFAWKIQRDLAERGHSLESIKAIIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPGDDDGKVPRVRLIMKEGVKHFAPVYLFDEGSTISWIPCGRKLSCSYPGIKFAYGFGAYFGHEVSVLEMDGRFDKLDELIYVETHLSNLSTKFYGEVAQQMLTHADLPGSNNGTGLFQTIVGLKIRELCEQIVAERDAMTADAVKV >PAN40800 pep chromosome:PHallii_v3.1:7:47397057:47399268:-1 gene:PAHAL_7G341800 transcript:PAN40800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDLCCLTTLVLLLGLASGQVLFQGFNWESWKQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASKYGTAAELKSLIAAFHGKGVQAVADIVINHRCADYKDSRGIYCIYEGGTSDSRLDWGPHMICRDDTQYSDGTGNLDTGADFAAAPDIDHLNDRVQRELTEWLLWLKSDIGFDAWRLDFARGYSAEVAKVYVDGTAPSFAVAEIWNNMVPGDDGQPAYDQDPHRQTLVDWVNKVGGAASPATVFDFTTKGILNAAVEGELWRLIDAQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIATLVAVRKRNGITPTSELTILEHDGDAYVAEIDGKVIVKIGSRFDVGHLIPAGFEVAAHGNDYAVWEKGAGEESNRA >PAN39334 pep chromosome:PHallii_v3.1:7:40619486:40621186:1 gene:PAHAL_7G235900 transcript:PAN39334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAFLSPAKLAPQGRRFAGAKTPGRVRFPPARAQPQEQQVKEEEAEAEAAAVPPAQGGEEPAKARKGDAQSLPRQPLAESKNMSREYGGQWLSSATRHVRIYAAYIDPETNAFDQTQMDKLTLMLDPQDEFAWTDEACQMVFNEFQDLVDHYEGAELSEYTLRLIGSDLEHFIRKMLYDGVLKYNMRSRVLNFSMGKPRVKFNSSQIPEAK >PAN37957 pep chromosome:PHallii_v3.1:7:34003693:34008163:-1 gene:PAHAL_7G137000 transcript:PAN37957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAAASPPPASAVPVRGGGGAAASSSARLFAGSRSSVAAWIGRGRRRRCAISCSSSQDGDGPRPAAAPSDGSIQLYSQIERVITEAARQSGEGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLERLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDEPVNDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKEASSAIPLFSPVIVPMAQSFGPILSQLTSYPTVRFGAEAAIKQLENLSPPVVKQLLPLLQQLPPLYMDLVKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSEAAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGTTLGTDSGILRAQISKDVETLVDVIASWISSNSGPRLLRP >PAN37962 pep chromosome:PHallii_v3.1:7:34001881:34008410:-1 gene:PAHAL_7G137000 transcript:PAN37962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAAASPPPASAVPVRGGGGAAASSSARLFAGSRSSVAAWIGRGRRRRCAISCSSSQDGDGPRPAAAPSDGSIQLYSQIERVITEAARQSGEGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLERLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDEPVNDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKAEAAIKQLENLSPPVVKQLLPLLQQLPPLYMDLVKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSEAAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGTTLGTDSGILRAQISKDVETLVDVIASWISSNSGPRLLRP >PVH35943 pep chromosome:PHallii_v3.1:7:45617522:45623542:1 gene:PAHAL_7G315000 transcript:PVH35943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQIPVVDIPDDDDDDLASAGAFSSPPSSRKRSHGSAASTTSPADFLDAFSPSPPRQKRLQLAAGDPIVLDDTPSPPKRRTSYSSGAELPVLVVDDDDDPSAPDGIVTETPDSVLDRIAFSETPETAVPASASLGTVVAETPGFTSPRSVGPPAARGLSSAAPAHKLSGVSSLISLDSDDESDDDTMHREPLIDLSSNIENSDPVGALGSTVCTGKVDNGRGTATCTRMTEMKERQKNDAQHTEAEKKQLEGKKLSKEEKAKLMEERKQKRQEDKLHKQAMKDQLAKQKKKNKEIKEWESGKFALKCITAEIDSSIVESGSIGGCLLSSLAEKGLSYKVTKNFFRGSILWSMKIPDDIAHALFSQNDDCDTNQASASEVPYISFVLQAEEFCGLISNKSFFPHVQEVRNKYPRFTICYITNKLMNYINKCEQSQYKNNSITWKRPPVEEVLCTLATHYTNVHSRQCIDEAEVAEHLVGLTSNLAKCKFRKPLTWLSVHANGAIISKGFVDKNLAKKDTWLKALIAIPDIQPRYAMAIKKKYPCMRSLLNEYMDPSKTVLEKELLLSDLKWEDRLGEECKRLGNKCSRRVYRMLMAQNGDLDTDDPEAGGRA >PVH35944 pep chromosome:PHallii_v3.1:7:45617523:45623200:1 gene:PAHAL_7G315000 transcript:PVH35944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQIPVVDIPDDDDDDLASAGAFSSPPSSRKRSHGSAASTTSPADFLDAFSPSPPRQKRLQLAAGDPIVLDDTPSPPKRRTSYSSGAELPVLVVDDDDDPSAPDGIVTETPDSVLDRIAFSETPETAVPASASLGTVVAETPGFTSPRSVGPPAARGLSSAAPAHKLSGVSSLISLDSDDESDDDTMHREPLIDLSSNIENSDPVGALGSTVCTGKVDNGRGTATCTRMTEMKERQKNDAQHTEAEKKQLEGKKLSKEEKAKLMEERKQKRQEDKLHKQAMKDQLAKQKKKNKEIKEWESGKFALKCITAEIDSSIVESGSIGGCLLSSLAEKGLSYKVTKNFFRGSILWSMKIPDDIAHALFSQNDDCDTNQASASEVPYISFVLQAEEFCGLISNKSFFPHVQEVRNKYPRFTICYITNKLMNYINKCEQSQYKNNSITWKRPPVEEVLCTLATHYTNVHSRQCIDEAEVAEHLVGLTSNLAKCKFRKPLTWLSVHANGAIISKGFVDKNLAKKDTWLKALIAIPDIQPRYAMAIKKKYPCMRSLLNEYMDPSKTVLEKELLLSDLKWEDRLGEECKRLGNKCSRRVYRMLMAQNGDLDTDDPEAGGRA >PVH35191 pep chromosome:PHallii_v3.1:7:32478563:32478898:-1 gene:PAHAL_7G123000 transcript:PVH35191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGFYKRARGIKHKTAAATPFYPGRKPAPAAPAQLPAGSKAKQPTAAKSMVVAMEVGGSSGGAPAGGGDADVDRRAALYISRVQERFRRERMADDWRKYY >PAN38291 pep chromosome:PHallii_v3.1:7:36009667:36011881:-1 gene:PAHAL_7G161700 transcript:PAN38291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSSPRGLVLIAGLVLSLLSGSSHVAAGGHPDYADALGKAILFFQGQRSGQLPPDQAVTWRSNSGLSDGSSANVDLTGGYYDGGDNAKFGFPMAFTTTMLSWSVLEHGGKMGARVRDARAAVRWGADYLLKAATQTPGALYVGVGDPDADHRCWERPEDMDTPRTVYAVSASAPGSDVAGETAAALAAASMVFKAADRAYSRRLLAAARDVMEFAVRNQGKYSDVVGGNIGAYYQSYSGYKDELLWGSAWLLWATKNSSYLGYLYSLGESDSVDMFSWDNKLAGARVLLSRRALVNGDKTLEPFRQQAEDFFCRILPGSPSSTTRYTAGGLMHKSGYANLQYVASASFLLATYAKYMAVSKHTFSCGSLAVTPRSLRALAKKQVDYILGVNPQGMSYMVNFGARWPQRIHHRASSLPPVASHSAHIGCQEGFQSYFYSGAANPNVHTGAVVGGPDENDAFPDDRSDYARSEPTTYTNAPLVGCLAYLAGAYKS >PAN39218 pep chromosome:PHallii_v3.1:7:40168482:40170964:1 gene:PAHAL_7G228600 transcript:PAN39218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGRHPARRADAAGAGPLLPAATRAAVAEFVATAIFVFSAEGSVYGLWKLYKDTGTPGGLVAVAIAHSLALAAAVAVASDASGGHVNPAVTFGVLVGRRISFGRAAIYWAAQLLGAVAAALLLTLVSGGTRPVGIGLGRGVHERHALLLEAVMTFGLMYAVYATAVDHRSRGGGGVGPIAPLAIGFVLGANILAGGPFDGAAMNPARAFGPALVGWSWRHHWVYWVGPLIGAGLAGALYESVMVEQPSEAPAAAAGPRVLGTAAEDY >PVH35953 pep chromosome:PHallii_v3.1:7:45841661:45842966:1 gene:PAHAL_7G318200 transcript:PVH35953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASNDEVVAPWADLLPELCDHVLDHLDAVSAIRFPAASTAWAAASRMTPRLRSGTPTLLTSGLDPDGYDVEYDVEAGTFGLHDVAGGKSFYGEAQWLKNRTWIGGKDDWLVTTDLRCSVELLNPITGNRVPLPSFTTIRSLEVADYYELHVAVNNRLHLFQQVKLCQTPAHPNGHLAVALFSSGPLGLLAFTAHGDEGWTPLVNPAGVHYLKYTDATVHDGKVVAVAESGDIYSWDMMDGTTTEPTLLPRPEIHHVSYDLRRGFYLAASSGGQLQVVCMYGHGDVKDKRRRRIVFKDQWSFFARHVSLHELDAATGAWRRLRDLGGDRALFVGGNYPFYATVPPGGSDDLQADCVYVADLLGCDAAFFDLKLGDDHMYDFECRLSYPAMGDSLQMPMWFRPTAYPIAQVPVEERQTI >PVH35493 pep chromosome:PHallii_v3.1:7:38229986:38231631:-1 gene:PAHAL_7G199100 transcript:PVH35493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSNSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKDTSPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYPSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVNEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMEIKTSFIKQVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKQSKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN39061 pep chromosome:PHallii_v3.1:7:39472141:39477296:-1 gene:PAHAL_7G218300 transcript:PAN39061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARTIAAVATALAVVVAALAPAARGVDRSEFPPGFLFGAATSAYQIEGAYLEDGKGLNNWDVFTHTHSGGIKDGRNGDVADDHYHRYMGDVEILQSLGVNAYRFSISWARILPRGRLGGVNPDGIAFYNRLIDALLQKGIQPFVTLHHFDMPHELEVRYVGWLGDGIREEFEHFADVCFGAFGDRVRFWTTFNEPNLYTKFQYMLGTYPPNHCSAPFGSCNSGNSHREPYAAAHNIIMSHAAAVRAYKEKYQAKQGGSIGIVIAMKWYEPLTNTTEDILAARRAESFELEWFLDPIFFGDYPTQMREILKSNLPTFTSEEKKLLQYKSDFIGLNHYTAIYTKDCIHSPCDLSTYEGNALVFATGERDGVKIGGDTALVGFYVVPEAVEPAIMYVNQRYKDTPVYITENGYSQWSDVSREELINDVERLNYLRGYVTYLSKAIRNGANVGGYFVWTLLDNFEWTFGYSVRFGLYHVDFDTQERTPRMSARWYRSFLTGSAAPTTDGAQERRAES >PAN40029 pep chromosome:PHallii_v3.1:7:43982346:43982904:1 gene:PAHAL_7G286600 transcript:PAN40029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCAGCGETLEVESDLTEFACPNCGNHQALPPELMPRHPRSPGTAHGQGRFACLLCGSELAAFPAAAISVVAPPAAVPITPRLPADWRSPLRQRGKLCRGKPYPV >PVH35096 pep chromosome:PHallii_v3.1:7:29960613:29962045:-1 gene:PAHAL_7G105400 transcript:PVH35096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSEVFFRGGWSQFLVLHDITEDNSLLLTYEGNMVFTVKVFEVDGRQRESKHKDIRMQQISTLPYIQERQEESSVSIQKRCNSNWLSSDGQKRQKGPITRLKKAPLWKKSVFKIGPPSWIKKEINANSLKRELALSAAFCGAIGLPKHCTITLKTSMSSTESWQVLALPSKKGSYQLRKGWRRFCKENSLKIGDICTFNVVEATMWHVVVTRCKETMNQLCYLS >PVH35932 pep chromosome:PHallii_v3.1:7:45357496:45358305:1 gene:PAHAL_7G311300 transcript:PVH35932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQNQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGETMRASSFSLAEAKYVAGDGVRHVVLQSVRAASVRVKSHQENVAGVKLPKFTHFVDPAAASGGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKKREIERQRANARLFAEEQLAEDLALKRGISVGAAANLLVAGGEKDDDIIF >PAN40514 pep chromosome:PHallii_v3.1:7:46157952:46161806:-1 gene:PAHAL_7G321400 transcript:PAN40514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGLLLRGAAVLAVAVLTLGIAGAARAQLRQNYYASSCPSAESTVRSVISQRLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVDAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLLGGPNYAVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFAQNGLTQTDMIALSGAHTIGVTHCDKFVRRIYTFKQHLPWNPPMNLEYLRSLRRVCPINYSPTAIAMLDASTPRVFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANSTAFQEAFVAAMAKLGRIGLKTGSDGEIRRVCTAVN >PVH35661 pep chromosome:PHallii_v3.1:7:40729934:40732488:1 gene:PAHAL_7G237600 transcript:PVH35661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGEGGKRRDRGATAAADEDADAATSASAASLNDLCATATGAGAARAPPPFPRAAAWAVAALLAVGLGVGALVLAAVHSAALLVVAVLLSAAVAAFLLWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCASWGSMILNPWNRRSKWKLTHAELDRGLLLIST >PVH35657 pep chromosome:PHallii_v3.1:7:40729934:40731181:1 gene:PAHAL_7G237600 transcript:PVH35657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGEGGKRRDRGATAAADEDADAATSASAASLNDLCATATGAGAARAPPPFPRAAAWAVAALLAVGLGVGALVLAAVHSAALLVVAVLLSAAVAAFLLWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCASWGSMILNPWNRRSKWKLTHAEVIMLCWLMLAISMSSDMFSCKTYRSFPLSSANLP >PAN39359 pep chromosome:PHallii_v3.1:7:40729934:40732488:1 gene:PAHAL_7G237600 transcript:PAN39359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGEGGKRRDRGATAAADEDADAATSASAASLNDLCATATGAGAARAPPPFPRAAAWAVAALLAVGLGVGALVLAAVHSAALLVVAVLLSAAVAAFLLWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCASWGSMILNPWNRRSKWKLTHAERFAADFYITDAKSGKRALVKAGHHSKVVPLIDENLLVITSRDTELSSTLKYWLEERNLSSEEAQLIRLEEGYIREGMQLSVIGMLSKKNGDAMILPPREPLSTGCVLLSCLLPMYFDGIVLRLVDRSYFVPNSGVS >PVH35658 pep chromosome:PHallii_v3.1:7:40729934:40732487:1 gene:PAHAL_7G237600 transcript:PVH35658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGEGGKRRDRGATAAADEDADAATSASAASLNDLCATATGAGAARAPPPFPRAAAWAVAALLAVGLGVGALVLAAVHSAALLVVAVLLSAAVAAFLLWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCASWGSMILNPWNRRSKWKLTHAERFAADFYITDAKSGKRALVKAGHHSKVVPLIDENLLVITSRDTELSSTLKYWLEERNLSSEEAQLIRLEEG >PVH35659 pep chromosome:PHallii_v3.1:7:40729934:40732488:1 gene:PAHAL_7G237600 transcript:PVH35659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGEGGKRRDRGATAAADEDADAATSASAASLNDLCATATGAGAARAPPPFPRAAAWAVAALLAVGLGVGALVLAAVHSAALLVVAVLLSAAVAAFLLWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCASWGSMILNPWNRRSKWKLTHAEVKEPW >PVH35660 pep chromosome:PHallii_v3.1:7:40730002:40731668:1 gene:PAHAL_7G237600 transcript:PVH35660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGEGGKRRDRGATAAADEDADAATSASAASLNDLCATATGAGAARAPPPFPRAAAWAVAALLAVGLGVGALVLAAVHSAALLVVAVLLSAAVAAFLLWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCASWGSMILNPWNRRSKWKLTHAELDRGLLLIST >PVH35656 pep chromosome:PHallii_v3.1:7:40729922:40732487:1 gene:PAHAL_7G237600 transcript:PVH35656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGEGGKRRDRGATAAADEDADAATSASAASLNDLCATATGAGAARAPPPFPRAAAWAVAALLAVGLGVGALVLAAVHSAALLVVAVLLSAAVAAFLLWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCASWGSMILNPWNRRSKWKLTHAERFAADFYITDAKSEIPSYLQL >PVH34789 pep chromosome:PHallii_v3.1:7:7437410:7439015:1 gene:PAHAL_7G031300 transcript:PVH34789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAWEEEVDDSCTLVPLAMRQKGVSCSSSEMLLKSFLDDLVSNHGDE >PAN40343 pep chromosome:PHallii_v3.1:7:45180849:45188134:1 gene:PAHAL_7G307700 transcript:PAN40343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGTAPAPAPALLRRCPCSAPPWAPSPFRPRRRGRSTMSPFTGGRRQEYSHSSGVGIQDNKHLKFGVCVNFNVQSSGAQEWAAESKRLSSIRTSNGTYNGSSHLGPVILHHEHLEDFKSSKQSSAHSVRQRMAPNSLANRHANMEPAKHHMINRAAAAVSALASVVKDDTKPVNRHCESEVVAQWPNGSKFHASLPKISEVETSLPFDDKATESNAKDGNECSPKETVQPSPARAPLSKESKDARKALATIYEKVLVVDDVKSARRVVQLLTTKYRNFIHACDTEVANIDVKQETPVGHGKVTCFSIYSGTSGAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSVRKVWHNYSFDSHVIENYGIKVSGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGRKKIKKDGSEGKITAIEPVEILQREDRELWICYSSLDSMSTLRLYESLKSKLERKPWTFDGVPRGSMYDFYEEYWRPFGAILVKMETAGMLVDRAYLSQIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGEFLPKSKAIKVPNDETAVAEGKKIPKYRTIELFSIVEDLQTEILTASGWPSVSGDALRNLAGKVPSDLVYTTDDVNDDECGSDSEISDRDLEDTSSYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLANCKSMLDAFKAGGDFHSRTAMNMYQHIREAVEEEKVILEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAHGLARDWKVSVKEAKDTLKLWYSDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAYATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNTRLKELGWTLLLQVHDEVILEGPEESAELAKSIVVECMSKPFYGTNILNVDLAVDAKCAQNWYAAK >PVH35923 pep chromosome:PHallii_v3.1:7:45180849:45186834:1 gene:PAHAL_7G307700 transcript:PVH35923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGTAPAPAPALLRRCPCSAPPWAPSPFRPRRRGRSTMSPFTGGRRQEYSHSSGVGIQDNKHLKFGVCVNFNVQSSGAQEWAAESKRLSSIRTSNGTYNGSSHLGPVILHHEHLEDFKSSKQSSAHSVRQRMAPNSLANRHANMEPAKHHMINRAAAAVSALASVVKDDTKPVNRHCESEVVAQWPNGSKFHASLPKISEVETSLPFDDKATESNAKDGNECSPKETVQPSPARAPLSKESKDARKALATIYEKVLVVDDVKSARRVVQLLTTKYRNFIHACDTEVANIDVKQETPVGHGKVTCFSIYSGTSGAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSVRKVWHNYSFDSHVIENYGIKVSGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGRKKIKKDGSEGKITAIEPVEILQREDRELWICYSSLDSMSTLRLYESLKSKLERKPWTFDGVPRGSMYDFYEEYWRPFGAILVKMETAGMLVDRAYLSQIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGEFLPKSKAIKVPNDETAVAEGKKIPKYRTIELFSIVEDLQTEILTASGWPSVSGDALRNLAGKVPSDLVYTTDDVNDDECGSDSEISDRDLEDTSSYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLANCKSMLDAFKAGGDFHSRTAMNMYQHIREAVEEEKVILEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAHGLARDWKLGLLG >PAN37240 pep chromosome:PHallii_v3.1:7:22765726:22767893:-1 gene:PAHAL_7G076500 transcript:PAN37240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRRAVAECPKKVAGLVDLVNLPTALREFAGCRSQMSHLSFFLRVWSHIKEHNLQLAKQQLKLIQTDDSLALSGRCGLWMLSDGFFACMTQRIKTL >PAN37241 pep chromosome:PHallii_v3.1:7:22765246:22768612:-1 gene:PAHAL_7G076500 transcript:PAN37241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRRAVAECPKKVAGLVDLVNLPTALREFAGCRSQMSHLSFFLRVWSHIKEHNLQDPTNKNIVNCDEKLKTVLLGRSQVQLFELPMIVKLHFPKVPKS >PVH35709 pep chromosome:PHallii_v3.1:7:41524542:41525410:-1 gene:PAHAL_7G251100 transcript:PVH35709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICGGKPAKFLPAAPPTREAGAQTAAPQDGAGTVKRRAGLTAIRRFVVPTAATTTACRPSAAAVRMETETTTKTWFLAARPARRSVAPASARKVLDALPAWGQIFSGNTYLRAGCTYHGWTGVLKKRPGHLISSPASAFVPTVP >PAN40399 pep chromosome:PHallii_v3.1:7:45449700:45452579:1 gene:PAHAL_7G312200 transcript:PAN40399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLVRRRRRALALPFSTLQAAAPASSPTPPSSLDAAAVLQTLSLYANDWRRALDFFHWSASPAGANLPPTAATLARAVDILGKHFEFPLATSLLLSHHDPAWRDPAFLRPALRALLNRLAAANLVDDAVRAFESTAASIGLRDEASFHLLIDALCDHRRVDEADHLCFGKDAPPFLLGTKTHNLLLRGWAKTRAWARLRQLWFDMDSRGVAKDLHSYSIYMDALAKSGKPWKAFKIFKEMKQRGIPIDVVAYNTAIHAVGLAQGVDSAVRLYRQMVDAGCKPNTATFNAIIKLFCKEGRFKEGYAFVQQMHKTGCKPDVLTYHCFFQYLSRPQEVLGLFEKMLERGCQPRMDTYVMLIKRFGRWGFLRPVFIVWKAMEEQGLSPDAFAYNALIDALLQKGMVDMARKYDEEMLAKGLSPKPRKELGTKLPEAKSDSDNVLNGVL >PAN38778 pep chromosome:PHallii_v3.1:7:38110164:38114722:1 gene:PAHAL_7G197000 transcript:PAN38778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAAAAAGVVNYPLVAALLAFAVAQSSKVFTTWYKDNRWDARQFIASGGMPSSHSATVTALAVAVGIQEGFRSASFATALVFACVVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQVVAGCILGILMAVVMHLALGRS >PVH35224 pep chromosome:PHallii_v3.1:7:33351265:33351588:-1 gene:PAHAL_7G131700 transcript:PVH35224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARAAAAVALASLLLLLVATAADDAATAAGEPDPDTARASRRILDCTMRCVTEAMGCATRCAGARADEAPVCAAACVQGDMGCLAGCGLQQLAPGSPPALTPPAE >PAN39987 pep chromosome:PHallii_v3.1:7:43756721:43761143:-1 gene:PAHAL_7G283800 transcript:PAN39987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHHFWQLGVKDMKAVPLPRQRTSPKRRVWILVIAAFIAIAIAWAYLYPPPHYKSPMRDWLPAEPARELTDEERASRVVFRQILTTPPVRSKSSKIAFMFLTPGTLPFERLWEKFFEGHDGRYTIYVHASREKPEHVSPIFIGREIHSEKVTWGKISMVDAERRLLANALQDIDNQHFVLLSDSCVPLHNFDHVYDYLMGTNLSFIDCFYDPGPHGNFRYSQNMLPEVTEADFRKGSQWFSVKRQHALMIVADSLYYTKFKLHCRPGMEDGRNCYADEHYLPTLFHMMDPDGIANWSVTHVDWSEGKWHPKAYRAKDVTFELLKNITSIDMSYHVTSDSKKVVTENPCLWNGAKRPCYLFARKFYPESINNLMTLFSNYTLI >PAN37698 pep chromosome:PHallii_v3.1:7:31547531:31559256:1 gene:PAHAL_7G115100 transcript:PAN37698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPAMAIVLLLLLLPFADAETPWQLCGTRGNYTAGSDYQSNLNQLSAALPRNASSSTTLFATGTAGTVPDMVYALALCRGDINASACNDCVATGFQDAQQLCAFGKDASVYYDSCLLRFSNTNFIANTDNDVSLILMNTKNFTESADSMRLMLFTLLNATAQDAAKSSRRFTTARLDISSLPTMYCVVQCTPDLTAGECAACLQDFPELTLSFLDGRQGGRLMGVRCNMRYEIYPFYQGDPTLRIISLALAVPAMNRTSPETPVTVFPHPPAGAPPPPAIIPAGPAQEQRGRKSKLWSIGIAVPLLAILLCSITFLWVRRRKKGNVSLQLQDKAGVDSRTEENALVRRIEEKSSEFTLFDLSQLLQATENFSEGNRLGQGGFGPVYKGQLSDGQEIAVKRLASHSGQGFTEFRNEVELIAKLQHTNLVRLVGCCIEGKEKSLVYEYMPNKSLDFFIFDENQSSSLNWSKRRVIIEGIAQGLLYLHKHSRLRVVHRDLKASNILLDQDMNPKISDFGLARIFGSNDTEGNTKRVVGTYGYMSPEYASEGIYSVKSDVFSFGVLLLEILSGKRNSGSHQFGDFLNLLGYTWHLWEEGRWLELVEAPISKEMHALPAEARRYINIALMCVQENADDRPTMSDVVAALNSESVVLPEPKHPAYFNLRVSKAMESATVVERCSLNDVTITQDPEGR >PAN40406 pep chromosome:PHallii_v3.1:7:45477390:45479261:-1 gene:PAHAL_7G312800 transcript:PAN40406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKLSALLVLAVLALSSSPRALAWEKAETECGSCEKGTPPAAGGLPLPPVTVPSVPLPPVTVPSVPLPPVAVPSLPLPPLPKLPVPLPPIPVIGGSPPKTPGGRKACPPPPTPTPAPSSDKCPIDALKLGACVDVLGNEVHIGDANVKCCPLVKGVAGLSAAACLCTALKAKVLDLSVYVPIALEVLLNCGCAVPPGYKCA >PAN38642 pep chromosome:PHallii_v3.1:7:37463574:37469297:-1 gene:PAHAL_7G186500 transcript:PAN38642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHVGMEEGKDPNPGPLSLMRGFQTETFLPDTEVAKAGFEKDGLSVAIRSPQEEGRSLPMTPQFGQKSSPGITLAERMQARAGFRVTKLSVPFSTAVAADSVPGAPSPYLTIPPGLSPATLLDSPVFISNAMGQASPTTGKLFMLGSTNDNDPIRYGGPLLGDGPDSFSFKPLDLKSSHYTAEGKKESLCNNKPPLPSTHVSVKTETKILPVQEANLLGQLNQQNHSGRTNMKSGSQDPNSKLSRLATVTGAGNEHISSPHSQPAEEGDTRGDYPAMATTTPAEDGYSWRKYGQKQVKHSEYPRSYFKCTHPNCQVKKKVERSHEGHITEIIYKGTHNHPKPAQSRRPGVPPVHPFGEGAQADTPDNPGSHTNTAEARQAWHNNAGVKDLHSDGMNATSPPSVPGELCDSSASMQIHDAGGVDVTSAVSDEVDGEDRVTHGSMSQGDADAEGDELESKRRKLESYAIDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPSTATATAAAAARRPEHPSVHDGLMRHLGGCGAPFGLPPPPRDPLAPMVNYPTYASAAGLGGSGPTSLPSLPMPGGPLGPVEGLKLPMLAPSSLHQHPLLRHRQAMQAAGLVPPKADVKVEGSGAPPPSVYQLMRSGLPLGHQM >PVH35444 pep chromosome:PHallii_v3.1:7:37463574:37469298:-1 gene:PAHAL_7G186500 transcript:PVH35444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHVGMEEGKDPNPGPLSLMRGFQTETFLPDTEVAKAGFEKDGLSVAIRSPQEEGRSLPMTPQFGQKSSPGITLAERMQARAGFRVTKLSVPFSTAVAADSVPGAPSPYLTIPPGLSPATLLDSPVFISNAMGQASPTTGKLFMLGSTNDNDPIRYGGPLLGDGPDSFSFKPLDLKSSHYTAEGKKESLCNNKPPLPSTHVSVKTETKILPVQEANLLGQLNQQNHSGRTNMKSGSQDPNSKLSRLATVTGAGNEHISSPHSQPAEEGDTRGDYPAMATTTPAEDGYSWRKYGQKQVKHSEYPRSYFKCTHPNCQVKKKVERSHEGHITEIIYKGTHNHPKPAQSRRPGVPPVHPFGEGAQADTPDNPGSHTNTAEARQAWHNNAGVKDLHSDGMNATSPPSVPGELCDSSASMQIHDAGGVDVTSAVSDEVDGEDRVTHGSMSQGDADAEGDELESKRRKLESYAIDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPSTATATAAAAARRPEHPSVHDGLMRHLGGCGAPFGLPPPPRDPLAPMVNYPTYASAAGLGGSGPTSLPSLPMPGGPLGPVEGLKLPMLAPSSLHQHPLLRHRQAMQAAGLVPPKADVKVEGSGAPPPSVYQLMRSGLPLGHQM >PAN38932 pep chromosome:PHallii_v3.1:7:38716472:38722437:-1 gene:PAHAL_7G207700 transcript:PAN38932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDQPVISLRPGGGGGGPRAGRLFSPAFAAATSGSSDFLRPHGGGASGISKIGDSHFEPRERVRYTRDQLLELREIADVPEGILRIKQEIDTELHGGEDQSWVRNDSIVQVQAQAPAQTQAHNRYAETDNRDWRARSVQPPPANEEKSWDNIREAKEAYASGGRQQEQVNRQDQLSSQFASKAQVGPTPALIKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLMEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPPEEQGGKEITFKRVLLNNCQEAFEGASSLRAEIAKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVMELLGSGPDKKPCPEEEDVEAICHFFNTIGKQLDENPKSRRINDTYFIQMKELTTNPQLAPRLRFMVRNVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGSAAVIRNGRSSPGGPLSPGGFSMNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPRSRSMPRGDPLRNQTPLLNKPSTVHKTSSINSRLLPQGSGAALIGKSALLGTGVPASRPSSFAAGPTPAQTTPSPKPLSAAPAVAPVPDKPASAPKGNSAELQKKTVSLLEEYFGIRILDEAQQCIEELQTPGYYPEIVKEAINLALDKGTNFVDPLVRLLEHLYTKKIFKTEDLEAGCLLYSALLDDIGIDLPKAPTQFGEIIARLSLSGGLRFEAVEEILKKMEDTFYRKAVFNAIMKTMETNPSGQDILGSHAAVIDACKSLLE >PAN39014 pep chromosome:PHallii_v3.1:7:39159900:39166080:1 gene:PAHAL_7G214000 transcript:PAN39014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLLLRRLLSSPAMARASVSSSAAAAKALLPLNRLPSLLPACRLPAPGSGRAFRGASLRCYAAAAAAVAEQGRIKVQNPIVEMDGDEMTRVIWSMIKDKLIFPYLELDVKYYDLGILNRDATNDEVTVESAEATLKYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILSGWKKPICIGRHAFGDQYKATDMIIDGPGKLKMVFVAEGAEAVELDVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEENWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTHKLESACIETVESGKMTKDLALLIHGPTVSREFYLSTEEFIDAVAQQLRGKIQAPAAV >PAN36994 pep chromosome:PHallii_v3.1:7:4420577:4423314:-1 gene:PAHAL_7G022000 transcript:PAN36994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTENLFKMANADTDTAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVNDGESSSHPSSSVPGGTRNQNAVQRSRFGLTRESPRLLIDISEPNEASNRQDAIAGEQEENNYNEGPDLTLEAQEVTSGPLESNDVSSSNQLLCPLCRGTVSGWKIIKEARQYLDEKPRACSREACTFSGNYREIRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDIVSAIRSAMPGAVVFGDYAIEGGEMFSHDRETSGPSEPSGSLLTTFFLFHMLSSSPIRSGDEPRGASRGLRRQRRRYLWGENLLGLQYDDDDEQEDEEEHDLDEEVQRPRSRRRFIRSRSEERA >PAN38467 pep chromosome:PHallii_v3.1:7:36745198:36749993:-1 gene:PAHAL_7G173900 transcript:PAN38467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGAFLLNSGGGMADYGGGLTVPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLEKFFPGLLKRTAHANKDVYCTYNNQALTAFTSSLYAFGMVGTLLASRVTRRLGRQVIMLVGGSLFLAGALVNAAAANVAMLIVGRMLLGLGLGFSGQATPVYLAEVAPPRWRGGFISAFPLFISVGYLVANLINYGTSRIPGWGWRLSLGLAAVPAAVMVAGAAFIPDTPSSLVLRGRHDDARAALQRVRGKGVDIGAEFADILAAAEHARRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGVMNIGGILASGFAMDRYGRKLLFMIGGALMFTCQVTMASIIGSHLGNGSKMPKGYAVAVLIATFVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVALNLGLNFLQAQLFLAMLCCFKYGAFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKEQNKFGDEST >PAN38465 pep chromosome:PHallii_v3.1:7:36745198:36748443:-1 gene:PAHAL_7G173900 transcript:PAN38465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISTKMELETVLDNAGCDRVLLLPLISLAPTKSTCSCVFPSSTTPGGVSEMESFLEKFFPGLLKRTAHANKDVYCTYNNQALTAFTSSLYAFGMVGTLLASRVTRRLGRQVIMLVGGSLFLAGALVNAAAANVAMLIVGRMLLGLGLGFSGQATPVYLAEVAPPRWRGGFISAFPLFISVGYLVANLINYGTSRIPGWGWRLSLGLAAVPAAVMVAGAAFIPDTPSSLVLRGRHDDARAALQRVRGKGVDIGAEFADILAAAEHARRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGVMNIGGILASGFAMDRYGRKLLFMIGGALMFTCQVTMASIIGSHLGNGSKMPKGYAVAVLIATFVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVALNLGLNFLQAQLFLAMLCCFKYGAFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKEQNKFGDEST >PAN38466 pep chromosome:PHallii_v3.1:7:36745198:36748590:-1 gene:PAHAL_7G173900 transcript:PAN38466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLEKFFPGLLKRTAHANKDVYCTYNNQALTAFTSSLYAFGMVGTLLASRVTRRLGRQVIMLVGGSLFLAGALVNAAAANVAMLIVGRMLLGLGLGFSGQATPVYLAEVAPPRWRGGFISAFPLFISVGYLVANLINYGTSRIPGWGWRLSLGLAAVPAAVMVAGAAFIPDTPSSLVLRGRHDDARAALQRVRGKGVDIGAEFADILAAAEHARRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGVMNIGGILASGFAMDRYGRKLLFMIGGALMFTCQVTMASIIGSHLGNGSKMPKGYAVAVLIATFVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVALNLGLNFLQAQLFLAMLCCFKYGAFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKEQNKFGDEST >PAN37178 pep chromosome:PHallii_v3.1:7:20313763:20322941:1 gene:PAHAL_7G067100 transcript:PAN37178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASSALPWWLATAGCSPPPAHGASSVPGRLAFLFLSPCPQRSLAAAVDLLFLLAALALALRARLSRRAARHDGRAREPLLAKSADQAPPPVRRGRSFRHGLALAASAVQAAGAIMLLVLALLRLRGRAALLAVDCAFLAAHAVAHLAATGVVAAEKNQAAEPARVAHHSVHLRLFWLGTAAFAALFSGCAAARYAGGDALLPDDPLAFAWLALSLPLLYFSVTGSTGLAAVDAAANGVSSDGGHGVAAEVTYATASWLSLATFGWINPLITKGSRATLAADQVPPVAPADTAEAAYLLFASNWPAPAPGASKPERPVLTALLRSFWPQFLLTAVLGLSHLSVMYIGPSLVDRFVEFVRRGGEVTEGLQLVAVLLVGKAAETLASHHYEFQGQKLGMRINAALLAAVYRKSLRLSTGARRAHGTGTIVNYMEVDAQEVANVTHQLHNLWLMPLQIAVALALLYTHLGPAVLTAVAAVAVVTVAVAFANKLNIEYQFKFLGKRDERMKAITELLNYIRVIKLQAWEETFGAKIRELREEEMGWLAKSMYFMCANTIVLWSGPLTMTVLVFGTCVLTGVELNAGKVFTATAFFRMLDGPMQSFPEAIAAVTQATVSVGRLDRYLLDAVLDDSAVEHVEDTDIGTGSVVVEVRDGIFAWDMRGNKKNEEGEDRDEDGESDEKNDVEAAPVLETVLKGINMEVRRGDLAAVVGTVGSGKSSLLSCIMGEMEKISGTVRVCGSTAYVAQTAWIQNGTIQENVLFGQPMHAERYKQVIRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAQTGSNIFKDCLRGTLKGKAIILVTHQVDFLHNVDNIFVVRDGMIAQSGKYDELLEAGSDFAALVAAHESSMELVEQSHQVEKAERSQPAAVGRIPSLRSRSIGKGEKVLVAPEIEAATSKIIQEEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAVVWQGSDMASDYWLSYETSGSIPFNPSLFIGVYVAIAVFSMVLQVIKTLLETVLGLRTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDVVLAFFVGLTISMYISVLSTIIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKEKDFFQENLDKINSSLRMYFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAAWKIEEHLPSPNWPTHGDIDIKDLKVRYRPNTPLILKGINISISGGEKIGVVGRTGSGKSTLVQALFRLVEPAEGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWQALERCQLKDVVVSKPEKLDAPVADSGENWSVGQRQLLCLGRVILKQTQILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDAPSRLIEQPSLFGAMVEEYANRSLNL >PVH34927 pep chromosome:PHallii_v3.1:7:20313763:20322941:1 gene:PAHAL_7G067100 transcript:PVH34927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASSALPWWLATAGCSPPPAHGASSVPGRLAFLFLSPCPQRSLAAAVDLLFLLAALALALRARLSRRAARHDGRAREPLLAKSADQAPPPVRRGRSFRHGLALAASAVQAAGAIMLLVLALLRLRGRAALLAVDCAFLAAHAVAHLAATGVVAAEKNQAAEPARVAHHSVHLRLFWLGTAAFAALFSGCAAARYAGGDALLPDDPLAFAWLALSLPLLYFSVTGSTGLAAVDAAANGVSSDGGHGVAAEVTYATASWLSLATFGWINPLITKGSRATLAADQVPPVAPADTAEAAYLLFASNWPAPAPGASKPERPVLTALLRSFWPQFLLTAVLGLSHLSVMYIGPSLVDRFVEFVRRGGEVTEGLQLVAVLLVGKAAETLASHHYEFQGQKLGMRINAALLAAVYRKSLRLSTGARRAHGTGTIVNYMEVDAQEVANVTHQLHNLWLMPLQIAVALALLYTHLGPAVLTAVAAVAVVTVAVAFANKLNIEYQFKFLGKRDERMKAITELLNYIRVIKLQAWEETFGAKIRELREEEMGWLAKSMYFMCANTIVLWSGPLTMTVLVFGTCVLTGVELNAGKVFTATAFFRMLDGPMQSFPEAIAAVTQATVSVGRLDRYLLDAVLDDSAVEHVEDTDIGTGSVVVEVRDGIFAWDMRGNKKNEEGEDRDEDGESDEKNDVEAAPVLETVLKGINMEVRRGDLAAVVGTVGSGKSSLLSCIMGEMEKISGTVRVCGSTAYVAQTAWIQNGTIQENVLFGQPMHAERYKQVIRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAQTGSNIFKDCLRGTLKGKAIILVTHQVDFLHNVDNIFVVRDGMIAQSGKYDELLEAGSDFAALVAAHESSMELVEQSHQVEKAERSQPAAVGRIPSLRSRSIGKGEKVLVAPEIEAATSKIIQEEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAVVWQGSDMASDYWLSYETSGSIPFNPSLFIGVYVAIAVFSMVLQVIKTLLETVLGLRTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDVVLAFFVGLTISMYISVLSTIIVTCQVAWPSVIANRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKEKDFFQENLDKINSSLRMYFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAAWKIEEHLPSPNWPTHGDIDIKDLKVRYRPNTPLILKGINISISGGEKIGVVGRTGSGKSTLVQALFRLVEPAEGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWQALERCQLKDVVVSKPEKLDAPVADSGENWSVGQRQLLCLGRVILKQTQILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDAPSRLIEQPSLFGAMVEEYANRSLNL >PVH35141 pep chromosome:PHallii_v3.1:7:31017650:31019567:-1 gene:PAHAL_7G111900 transcript:PVH35141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGRPTTMRAIQYTGYGGGAASLKYVEIPVPLLGKNEVLVKVEAASINPADWKIQKGMLRPFLPKFPCIPVTDVAGEVAEVGSSVREFKVADKVLCRLKFGKGGGLAEYVVAYESKIAARPAGVSAADAAGLPISGLTALQALMSIGTKFDGTGRGADILVTAASGGVGMYAVQLAKLGNHHVTATCGARNMEVVRALGADEVLDYKTPEGQALTSPSGRKYDYVINTTDASRWSALRPSLSGRGSVVDVTPNPGNYIASVLTMFARKKISMLAQVSLGKEHMRFLLELVAEGKLRTVVDSRHPFEKAAEAWERSMAGHATGKIIVEM >PVH35140 pep chromosome:PHallii_v3.1:7:31016970:31019626:-1 gene:PAHAL_7G111900 transcript:PVH35140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPFLPKFPCIPVTDVAGEVAEVGSSVREFKVADKVLCRLKFGKGGGLAEYVVAYESKIAARPAGVSAADAAGLPISGLTALQALMSIGTKFDGTGRGADILVTAASGGVGMYAVQLAKLGNHHVTATCGARNMEVVRALGADEVLDYKTPEGQALTSPSGRKYDYVINTTDASRWSALRPSLSGRGSVVDVTPNPGNYIASVLTMFARKKISMLAQVSLGKEHMRFLLELVAEGKLRTVVDSRHPFEKAAEAWERSMAGHATGKIIVEM >PAN38425 pep chromosome:PHallii_v3.1:7:36572075:36574186:1 gene:PAHAL_7G171300 transcript:PAN38425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYACISKMVLVSSPTSHLGHLSVIDKHSLKEHNEIVRDFITAGCKLCGSPLYHKNLHGKNSSAIDCPNNPKYLHVPGQIYKPFMIYVDDQSGQVPLLVRNKAAEILFANIIADDVSECYKSHMLETSESGNLSAPGTIIDGVGSKEITKRRKTEQKPNFYQIWLVMIKCLLNQGSNSPFCFQILVNPEKNVEDGRFELVSLTMPIP >PAN38424 pep chromosome:PHallii_v3.1:7:36570753:36574259:1 gene:PAHAL_7G171300 transcript:PAN38424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSWYGPLIDLSAAASHVGGFVQLLAAVRRVLPHQEQNAATGRTYQRTIVEVGDDSRSSFCVSVWSSKQSSGIIAGDVLLMQNIKIVEFRSGLEGRASQISAVQVLFNSEDLTNPEGIVELITSCKVGDATKSKLRRVAEWTLGTKRALGVSHQQLQVISKNWKEAKEKESSDLLCISELFSQRKLCYMKVYACISKMVLVSSPTSHLGHLSVIDKHSLKEHNEIVRDFITAGCKLCGSPLYHKNLHGKNSSAIDCPNNPKYLHVPGQIYKPFMIYVDDQSGQVPLLVRNKAAEILFANIIADDVSECYKSHMLETSESGNLSAPGTIIDGVGSKEITKRRKTEQKPNFYQIWLVMIKCLLNQGSNSPFCFQILVNPEKNVEDGRFELVSLTMPIP >PAN39029 pep chromosome:PHallii_v3.1:7:36570753:36574259:1 gene:PAHAL_7G171300 transcript:PAN39029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSWYGPLIDLSAAASHVGGFVQLLAAVRRVLPHQEQNAATGRTYQRTIVEVGDDSRSSFCVSVWSSKQSSGIIAGDVLLMQNIKIVEFRSGLEGRASQISAVQVLFNSEDLTNPEVELITSCKVGDATKSKLRRVAEWTLGTKRALGVSHQQLQVISKNWKEAKEKESSDLLCISELFSQRKLCYMKVYACISKMVLVSSPTSHLGHLSVIDKHSLKEHNEIVRDFITAGCKLCGSPLYHKNLHGKNSSAIDCPNNPKYLHVPGQIYKPFMIYVDDQSGQVPLLVRNKAAEILFANIIADDVSECYKSHMLETSESGNLSAPGTIIDGVGSKEITKRRKTEQKPNFYQIWLVMIKCLLNQGSNSPFCFQILVNPEKNVEDGRFELVSLTMPIP >PVH35600 pep chromosome:PHallii_v3.1:7:39727164:39727836:-1 gene:PAHAL_7G222200 transcript:PVH35600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVVISIPAILLLIVLALGCYLLGRNRGRAEAAAAASQQFAPPAPPPGLPPK >PAN36989 pep chromosome:PHallii_v3.1:7:4626486:4630420:1 gene:PAHAL_7G022600 transcript:PAN36989 gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24220) UniProtKB/Swiss-Prot;Acc:Q9LRM7] MSHIVMARCVASSLTTTPLLPKPPPPPPSRPRTALLTTHPCKPATLNPIQRLLAAALDVVEDRVLSPLESKRALPWCVDPAVQLAGNFAPVFESPSPVRDLRVTGEIPPALVGGVYIRNGANPLLPPQAGHHLFDGDGMLHAVAFTSSATGGAAPSYAARFTRTNRLVQEAALGRCAFPKAIGELHGHTGLARLMLLGLRAAAGVIDTSRGAGAANAGLVYFDGRLLALSEDDLPYHVHIGPDHGDLTTVGRFDFAGQLRTPMIAHPKVDPVTGELFALSYDVARRPYLRYFHVDPATGEKSPDVAVELRRPTMVHDFAVTESYAVVPDQQVVFDLWRMLRGGSPVVYDNGKASRFGLLPRYDRDGSRMRWFDVPDCFCFHIWNAWEDADAVVIICSCMTPPDALFSDGGGGADSVRATLSEIRLDLVTGQSCRREIAPGLNLEAGTVNRSRLGRRTRYAYLAVAEPWPRCRGVAKVDLGTGELAVREFGAGRFGGEPAFVPAAGASSSEEEEDEGHVVVLVHDEAAGASELVVMDARSMEVAATVALPCRVPYGFHGVFVTREQLAAQRAACLAC >PAN39299 pep chromosome:PHallii_v3.1:7:37829167:37833418:1 gene:PAHAL_7G191900 transcript:PAN39299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETPALHLNAGSASTNHQFTMAASTSPTPLLLALLLLLPALAAAASLPELPLSTASRWVVGADGRRSKLACANWASHLEPAAAEGLARRGVGDIAARVAGMGFNCVRLTWPTYLATNGTLASLPFRWSLERLGMRESVAGVRVNNPALLDLPLIDVFREVISALASKGIMVILDNQMTTPGWCCSRTDSNGFFGDKYFDPNEWLKGLSAMATMFNDTKNVVGMSLRNELRGPNQNVSLWYRYMALGAEAVHAANPNVLVILSGLDFDNTLSFLHSKKAELQFTGKLVYEQHWYGFSDGGNWEIRNQNDACGMVVDSIWAKGLFLLQQGWPLFFSEFGFDMSGTHIGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGILAYDESYGLLSWDWCTARNPSFIKRINSLQTPFQGPGLPNSREPYNVIFHPKLGCASWQRLQSHSSLVHVTNQMPGIIPQHTS >PVH35245 pep chromosome:PHallii_v3.1:7:33725779:33728472:1 gene:PAHAL_7G134500 transcript:PVH35245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHRLRGGPWALLAWATGRASDASKCRSCSSLPCSQGYSSPADLDDVPESSMICSGARMWSRLVSSRIFSIGMDLLPQRPRPRLSFPSWLATDEGYPERSLHC >PVH35244 pep chromosome:PHallii_v3.1:7:33725887:33727280:1 gene:PAHAL_7G134500 transcript:PVH35244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHRLRGGPWALLAWATGRASDASKCRSCSSLPCSQGYSSPADLDDVPVQHGVLDDLFWSSDVEPFSFIEDLQHWHGSAATETEAKAVISFMVGY >PVH35241 pep chromosome:PHallii_v3.1:7:33725779:33728472:1 gene:PAHAL_7G134500 transcript:PVH35241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHRLRGGPWALLAWATGRASDASKCRSCSSLPCSQGYSSPADLDDVPESSMICSGARMWSRLVSSRIFSIGMDLLPQRPRPRLSFPSWLATDEGYPERSLHC >PVH35243 pep chromosome:PHallii_v3.1:7:33725887:33727280:1 gene:PAHAL_7G134500 transcript:PVH35243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHRLRGGPWALLAWATGRASDASKCRSCSSLPCSQGYSSPADLDDVPVQHGVLDDLFWSSDVEPFSFIEDLQHWHGSAATETEAKAVISFMVGY >PVH35246 pep chromosome:PHallii_v3.1:7:33725779:33728451:1 gene:PAHAL_7G134500 transcript:PVH35246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHRLRGGPWALLAWATGRASDASKCRSCSSLPCSQGYSSPADLDDVPESSMICSGARMWSRLVSSRIFSIGMDLLPQRPRPRLSFPSWLATDEGYPERSLHC >PVH35242 pep chromosome:PHallii_v3.1:7:33725779:33738910:1 gene:PAHAL_7G134500 transcript:PVH35242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHRLRGGPWALLAWATGRASDASKCRSCSSLPCSQGYSSPADLDDVPESSMICSGARMWSRLVSSRIFSIGMDLLPQRPRPRLSFPSWLATDEGYPERSLHC >PVH35119 pep chromosome:PHallii_v3.1:7:30600141:30600603:1 gene:PAHAL_7G109000 transcript:PVH35119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVRPRLPPGTHGRSPRLASSVFLPHPQAAAVAVPRPPPSCAAPLPRHLLRSLPRRSPRSSRSQSHQQQLPSAHSRLPGLGTTPPRPSSSQLQRRRKASPSTGGVCAEANPEEPPLEDEERTLCLRALLVDPRGGCWY >PVH35198 pep chromosome:PHallii_v3.1:7:32574675:32575311:1 gene:PAHAL_7G124400 transcript:PVH35198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQTAPSRSRPPKPPPPAGSRTPSPPSEPPHAALGGSPPRPCCPHAACPQPKSPTGNRHRGTGEETKNLAAASPCAGSSSRAPHYPAAGNLT >PAN38779 pep chromosome:PHallii_v3.1:7:38132321:38137208:1 gene:PAHAL_7G197500 transcript:PAN38779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAKARPPKHLVALAVVAVLGLVIVVDFLWASSSSASSSTVWSSRLTLSTSPAVQAPPVAKENKKDKKPVGSMHINATFADLPAPELKWEEMAEAPVVRLDGAAIQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRFIYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEKEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMTWKELPPMPKPDSHIEFAWVNVNNSLIIAGGTTDKHPITKKMVLVGEVFRFNLDTLEWSVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMFRTKLHL >PVH34835 pep chromosome:PHallii_v3.1:7:12013384:12015040:1 gene:PAHAL_7G043700 transcript:PVH34835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLKIDLQASRQRRTAPRSTASAASSASAAASPPPSPPTCPAQLAPRRRRVGLVRHARGLPPPCAAVPSPGDEFVRGASPRRRLRGPRGGASLRRRHHGRWRHSATRGSAASFPDDDRRLFFRLLGIPSQSCMAPQPTCHRSLSPSGSCTAGAKVHRCRATLPPLPAWWRSVQSWAAAVVPGGAYGAYSWRARRSSGEAGGVCWKSKWFVNKNQVVCL >PAN38241 pep chromosome:PHallii_v3.1:7:35592378:35598049:1 gene:PAHAL_7G157100 transcript:PAN38241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGEREPVAMEIPAEEGAAAARGPPRRIRRRLVEGARGGGAPASVEEIEARLRDAELRRQQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLLAAEQKRLSLLAKAQNRLAKLDELRQAAKNDVEMRFEKEREELETRVESRVRQAEENRLRLLHADMQKRAALKERTARSLVQKATSESKYMEQVRSAILQKRTAAEKKRLRLLEAEKTKAQARLLRIQKAAMNVCSQRETERKKLKEQLDNKLQRAKRQRAEYLKQRGSPRNSAHADYVKHAEFLSRKLARCWRIFVKSRKTTLTLAEAYDALGINEKSVKSMPFENLAMSMESPTVLQTTKALLDRLERRLVISHSVASSSVENVDHLLKRVSSPPRRKVSPSREGRTRAVAKRSPKSSEASIRLPRYSLRVVLCAYMILAHPSAVLSGQGEREKQLMESAANFVKEFELLIKIILDGPGRSSDVTGHRKFRAQLANFDKAWCTYLYRFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTVDGQSSNNLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMDSALSDTRSKFFEAKENGGPLAAPVANVSTPLSINSSGKAALEVNENSRTDALGSSSVVRSLFGSSSSSSTSPVKQLTENEQMVNEMLHEDDTAFAVRSDSANTAEKEFQKKVRETMEKAFWDMVTDSIRGDKPDYSRLINLVKEVRDSLHELAPKEWKEEILENIDLEILSQVLGSGSQDAQYLGKILQYSLDMVRKLSAAAKEDEMKKTHDKLLSELAASSEVNDSGINSFVVAVIKGLRFILEEIQELQAEVAKARIQLMQPIIKGSAGVEYLQKAFTDRYGPPDNASASLPLTLQWISTSKGIVEEEWSDHSDCLSIMPPAGQAPALVPVLRAGHGAPVGQPSSSSAAGASGQTECNGEKLDKLIRIGLLQLITSMEGLQLQSTPESLQINLLRLRAVQSQFQQVIAIATSMLVLRQVLMSENSNATPLELENAISELSKALVKILDSSPDAGTEEIVEAMISASALIGSPSEEKIQARRQMITRVFLKSLQPGDVVFKKVSRAVYCAFRGVVLGGSGPRGQKLADVALRRIGAVKLVDRVMKAAEVLIKVATVSEKVHGPWYKALM >PAN38243 pep chromosome:PHallii_v3.1:7:35593380:35598050:1 gene:PAHAL_7G157100 transcript:PAN38243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLLAAEQKRLSLLAKAQNRLAKLDELRQAAKNDVEMRFEKEREELETRVESRVRQAEENRLRLLHADMQKRAALKERTARSLVQKATSESKYMEQVRSAILQKRTAAEKKRLRLLEAEKTKAQARLLRIQKAAMNVCSQRETERKKLKEQLDNKLQRAKRQRAEYLKQRGSPRNSAHADYVKHAEFLSRKLARCWRIFVKSRKTTLTLAEAYDALGINEKSVKSMPFENLAMSMESPTVLQTTKALLDRLERRLVISHSVASSSVENVDHLLKRVSSPPRRKVSPSREGRTRAVAKRSPKSSEASIRLPRYSLRVVLCAYMILAHPSAVLSGQGEREKQLMESAANFVKEFELLIKIILDGPGRSSDVTGHRKFRAQLANFDKAWCTYLYRFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTVDGQSSNNLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMDSALSDTRSKFFEAKENGGPLAAPVANVSTPLSINSSGKAALEVNENSRTDALGSSSVVRSLFGSSSSSSTSPVKQLTENEQMVNEMLHEDDTAFAVRSDSANTAEKEFQKKVRETMEKAFWDMVTDSIRGDKPDYSRLINLVKEVRDSLHELAPKEWKEEILENIDLEILSQVLGSGSQDAQYLGKILQYSLDMVRKLSAAAKEDEMKKTHDKLLSELAASSEVNDSGINSFVVAVIKGLRFILEEIQELQAEVAKARIQLMQPIIKGSAGVEYLQKAFTDRYGPPDNASASLPLTLQWISTSKGIVEEEWSDHSDCLSIMPPAGQAPALVPVLRAGHGAPVGQPSSSSAAGASGQTECNGEKLDKLIRIGLLQLITSMEGLQLQSTPESLQINLLRLRAVQSQFQQVIAIATSMLVLRQVLMSENSNATPLELENAISELSKALVKILDSSPDAGTEEIVEAMISASALIGSPSEEKIQARRQMITRVFLKSLQPGDVVFKKVSRAVYCAFRGVVLGGSGPRGQKLADVALRRIGAVKLVDRVMKAAEVLIKVATVSEKVHGPWYKALM >PAN39322 pep chromosome:PHallii_v3.1:7:40592832:40593892:-1 gene:PAHAL_7G235500 transcript:PAN39322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHLSSHVHATAAAAAEAAAAGGSPDGKKAGGAVLPPAAVVILDVEAGGEPTTNAAAAAVAADPGDGGDGGVDYMARAQWLRAAVLGANDGLVSVASLMIGVGAVNRSRKAMLVSGMAGLVAGACSMAIGEFVSVYAQYDIEVSQLKRDGTDGDEGARDALPSPTQAALASALAFAFGAILPLLSGVFVPSLWARLIAVSAASGVGLAGFGAAGAYLGGSSMRRSVLRVLLGGWFAMLVTYGVLRLFGVVFHIDVSSV >PVH35150 pep chromosome:PHallii_v3.1:7:31021562:31025788:1 gene:PAHAL_7G112000 transcript:PVH35150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAASLLPPARKLLLALVLLLLLAAGSLPRQASADGVRYDYRAYTECKPHPEPALYNGGVLRWASKITDFRTEDEGNYSPAFVLYNMSAAIAYIFSCWVKIDGPASAHVKAKILTLESAASQCIGTALVRNDCWSFLKGGFALNSSSQTSVLYFQTASPNASTISIRSASLQPFSPEQWNQHREDRIQLIRKRFVNVHVSDGNGSRVVGAKVAVRQITRDFPFGSAISRSIIGNKPYQEWFTKRFNAAVFENELKWYATEPSPGKEDYAAADELLRFVQSNDVMARGHNIFWEDPRYTPAWVKNLTGSQLRAAVAGRISSLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATAEFFDTARRADPLATLFLNDFNVVEACDDLSSSADSYTARLRQLADGGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPIWLTEVDISSSFDHKTQAAYLEEVLREGFAHPAVDGIMLWTAMGANASCYQMCLTDANFTNLPAGDVVDRLLEEWQTREVLGATNDRGSFNFSAFLGEYKLSVNYQNLTAEGTFSLARSDDTKHINVRLLSGPA >PAN39794 pep chromosome:PHallii_v3.1:7:42748958:42750019:-1 gene:PAHAL_7G268500 transcript:PAN39794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYNPVSVDAYGDLEAGFSGHSATPLKPAASPRRPGRMFCDPCDDADELHGHHHYLDICFRCRKLLSGNRDIFMYRGDMPFCSEECRQEQIEIDEAREQRLKQTGRAEQQRQRQQKQSPQRIPIWAW >PAN37952 pep chromosome:PHallii_v3.1:7:33827700:33828924:-1 gene:PAHAL_7G135300 transcript:PAN37952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFIHEDYVNKRKEVRREQRRRQLQMLQVGGNPGASREALASRESPRVPDQCLTPTGASPSGVRSPTASALSPAGEAAGWPSEHRRLFDCLKPY >PAN40914 pep chromosome:PHallii_v3.1:7:46613819:46614614:-1 gene:PAHAL_7G328800 transcript:PAN40914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHAAKLPSRGSASSSPATSAHRLSHSIAKEPPRKIRIIHVLAPEVIKTEARHFRELVQRLTGKPSPNGSGAAASTEDASSSPPPQDSCDSSARDESRGAGTAAGIELKVKEELETSSGDEGGGFLRALELDGCNDMFFQGLEDFLFSSCDMESGFNF >PVH34836 pep chromosome:PHallii_v3.1:7:12021873:12022101:-1 gene:PAHAL_7G043800 transcript:PVH34836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWSERMISHVGTETRPRLLWEAAVGNFPQWAKA >PAN40578 pep chromosome:PHallii_v3.1:7:46440971:46442015:-1 gene:PAHAL_7G325800 transcript:PAN40578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCSSKIRYIVWLRQTLRRWRSRAAARAAVAAAGGEAVVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACAGPIALPCDEGLFEHVLRHLSSPSKASRFVTLEDIKSGALSCCCVAAAGDSLPLLHGIAADKAVW >PAN36868 pep chromosome:PHallii_v3.1:7:1396376:1400116:1 gene:PAHAL_7G006800 transcript:PAN36868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEINPPPHTEPQDTTSSPTEANPASSDHRPRTHLSIDIPASSLPDHLPTPTEADITPTPTGSSTTTTTTTSRRSSIPITPVSSSSNSNRSGAAHSNKPLRSPSFMLRQTVKSLLPVGSFKSSVKGYEASFSKFFNSKVMARTSSLPLDDVAGHQAVVDKSSASSTTATEPVLHMCRSQSLPMNMKKFNAKSFKRMDSLGGVYRVVPSTPRAPAASNVVPDIVPSESGVGEDDGEDIPEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKDCAMKWFTIKGTRTCEVCKQDVQNLPVTLLRVQSVQREPNRVGNGGNRSRYDRYRVWHGTPILVIISILAYFCFLEQLLAAHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIIEILRWRVRRMAPPAMPRRDRRARAAQQQAPASDQPSGQFSVAAGGQHNTVAASDVENPAVPQP >PAN36867 pep chromosome:PHallii_v3.1:7:1396376:1400116:1 gene:PAHAL_7G006800 transcript:PAN36867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEINPPPHTEPQDTTSSPTEANPASSDHRPRTHLSIDIPASSLPDHLPTPTEADITPTPTGSSTTTTTTTSRRSSIPITPVSSSSNSNRSGAAHSNKPLRSPSFMLRQTVKSLLPVGSFKSSVKGYEASFSKFFNSKVMARTSSLPLDDVAGHQAVVDKSSASSTTATEPVLHMCRSQSLPMNMKKFNAKSFKRMDSLGGVYRVVPSTPRAPAASNVVPDIVPSESGVGEDDGEDIPEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKDCAMKWFTIKGTRTCEVCKQDVQNLPVTLLRVQSVQREPNRVGNGGNRSRYDRVWHGTPILVIISILAYFCFLEQLLAAHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIIEILRWRVRRMAPPAMPRRDRRARAAQQQAPASDQPSGQFSVAAGGQHNTVAASDVENPAVPQP >PVH35052 pep chromosome:PHallii_v3.1:7:27356226:27357394:1 gene:PAHAL_7G094300 transcript:PVH35052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRSVTAYDNPGHRLQPPLSPTPAPSWPPPPPPLEFAERIVQFQQHADGMVQPLPSFTSIGTEARHHNRKGLPIILEAEPT >PVH35051 pep chromosome:PHallii_v3.1:7:27356226:27356927:1 gene:PAHAL_7G094300 transcript:PVH35051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRSVTAYDNPGHRLQPPLSPTPAPSWPPPPPPLEFAERIVQFQQHADGMVQPLPSFTSIGTAEARHHNRKGLPIILEAEPT >PAN37464 pep chromosome:PHallii_v3.1:7:27356226:27357394:1 gene:PAHAL_7G094300 transcript:PAN37464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRSVTAYDNPGHRLQPPLSPTPAPSWPPPPPPLEFAERIVQFQQHADGMVQPLPSFTSIGTAEARHHNRKGLPIILEAEPT >PAN38161 pep chromosome:PHallii_v3.1:7:32521243:32529451:1 gene:PAHAL_7G123800 transcript:PAN38161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSFFFSILKSWVRTMVSCKVYYWQGHEGALFFLFFFEILGWNPDRLVSKIHVSRGGVSGCWNLHMSTLCWYSIERWLRYYSSQLSNGPPESLSSLGYPHAIYGNQYLSSTSGTSEGSESHQSYSNLSSVTEVSSYSGNKEYNKDGGSLLSIPDLGQTCLEQTTEVYRADNDNSKNKSGLNVALKKIDEQLSLGDDEDDYIYTNQVQPLGFATNIESTDKKDDNQIGLGRNIAPSWEDVLHSSSGLPTPSIYQVEKSQSSFYEDLQAWFAMENRFGEGLGHHACMQQVGPKLLGRNDMRQMIMEKLLLDRNGGRNCTVICINAGSGHGKTSLLHALYNDQVLTDTFDKSIWIQLSAKSDMLMLFKKIVEVAMNDHCSIANLGCLQEMVKEEISDKKFLLFLDDADIEDRQFWSTVLEVFNAGAKGSAVIMATMSDTVSTFRDVATHFLLLNPLSEESNLMLLQQCAAVGTDIQSNPDLLMVAHRIISRFGGNPLYLKAIGGLLCHADSSLEIDKFEGNGMPLQLCHDVLPIHLKKCLAFCSLFPHGYIFHKHHMVPQWISHGCVRPAEGCELEDAGIGYFNELLCRSFFQYSPVHNDRFVMHEIIYKVVESVSLDKYFKSEDPTSSIPENILHLSLVSSQFQTIELMYRTEELKVLQTFLVVQPERQPCKISFPTLKLVGLDDFFLKFTSLETLDLSHTDTEELPGSIVGLRNLQYLSVNSTSIRALPSELCCLSNLQTLEAKDCRFLTELPGDTKKLIKLRHLDVTKDLGYVQLPYGVAQLTELRTLPVFHASSDPSHCCVSELGNLHNLRGCFC >PAN38193 pep chromosome:PHallii_v3.1:7:35344764:35346952:1 gene:PAHAL_7G153100 transcript:PAN38193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHPIRHRYVAAMMNVVAIGLVLSTLAAAGVWSPSPAPPAEPHGDYVVREGRRVVIVEYEREVPLSGEDGGTVKVKETRVLPPDALDGVEGQGVGGVFDGAKGVVSDAAGRVAGAAEEGKERLSDATEGAKGGVLGAVKRCKDRLCGAGRRVEEGAKDAASRVERGAEDAARGAVETVSDAKDSAESKAFDVAQQGKDAVKGAKDKASDAAQQGKETVKSAKDKVSEAAGKAKEKASDVQHGAAEAAKSAKDRVSEVAKHAKDSAKETVRGAKDRVSDMAERAEEYADDAAERAADTAAEAEAAVKAKAGEVRKNLTDIARRARDVASDAAAYLLGGPREAARTATAVMHLLGFATAYGTCVWVTFVSSYVLAAALPRQQLGMLQSKLYPVYFRAMAYGVGLALAAHLLGRERSSAAARAQSFNLLAALALVLANMLLLEPKATKVMFERMKVEKEEGRGRDVADIVDPPTVTVSTTATNAARAARAEAAAAAAPAAAARTSTPVDGASAAAKAAGGDAEMSKSRLVRLSRMLKKLNGYSSLCNVLSLMSLTWHLLHLARRLQTGTAC >PVH35478 pep chromosome:PHallii_v3.1:7:37986519:37987232:-1 gene:PAHAL_7G194700 transcript:PVH35478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGAAPPSVKPTLLRAEDQEREPSVVVLHGPPPGTGETMLRRGEGRRRGYARYLPNQWETGDIRVDLSRTNAIQRLWETQGWTVFHLPSPRLVFETPSTPLAPRAPSAGRRPRRQAAPSSCVLTSAGRSCCCSWTTPKLCTSSPREGAVPAAPSAVLLPGMTMLATPSSFLCPGVASRWALGRPRFCAGAPRRVLLRHQRAEAVRGGPPSQKRARAGCMVVSVLTSPSKSARCSSP >PAN39673 pep chromosome:PHallii_v3.1:7:42245823:42249010:-1 gene:PAHAL_7G260100 transcript:PAN39673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTTGSLSALLRRCAALGALSPGTQLHARALVGGCLPDATLETDLVLLYCRCGALHRARQVFDGMPSPSRHAYNILLAASPPGASLELLARLLAAGHRPDRYAVPAALRACAELRDAVLGAALHGFAVRLGLFPNVVVSGALLDMYAKAGLLDDAVRVFDEMPKRDVVVWNCMVTGYARAGRAAEALDLFRRGQVEAAGMVNDLRAMPSVLNVCAKEGELIKGREIHGKMVRCLAFDSDIAVGNALVDMYAKCGRVDLARAVFAGMKEKNVVSWSTLISCYGVHGMGDEALRIYKEMVPRGVKPNCITFTSILSSCSHSGLVSDGRRIFEAMSKVHGVEPTADHYACMVDLLGRAGAIEEAVGFIRKMPMEPGASPWGALLSACAMHNNVDVGEIAAYRLFELEEGNASNYVTLCSIYDAVGRSDCVAGLRSRMKELDMVKTPGCSWVDVKGRAHAFYQGSIPRYLRRQMLWVLDQLLEDMGASESEDEYLSMN >PVH35738 pep chromosome:PHallii_v3.1:7:42198888:42199188:-1 gene:PAHAL_7G259100 transcript:PVH35738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTSSSNPAANFYGIASSSISNVLSHACYLNSKFKCTVPNPTLNSSKDTAKVCYLTEYTFE >PAN38154 pep chromosome:PHallii_v3.1:7:35208361:35212965:1 gene:PAHAL_7G150900 transcript:PAN38154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQHGGSRSRMPTFARGGGAYGRGPKQFYPPPPPLPAAALPPPPPLNKYEVLLEAGRLAAEYLVAKGVLPPGSLQQRGGAVGAGGWGQLPPPPPLPAGHEAPAYYNARSGRRQVDDECGTRNARSRRSRGGDYSSGNSSNYNGRWKRKFGADNRYSDLGRNRGRSRGYSDSRSYDEDDEDGAPGFKRERRSSGGIDEVGSSVSGVAGEGPSSKVEAMGESELEDTGSKASSNSNVRQDEKADAPHKVEDENEANKMQEDSVVSNSEVVEQTLNCEGNGNNDSSGVVQEAETKHLPVSSGEKVSDGKPEDCGILSEKVEDDKTLLEKAEDDTTSDEVSVMENNLPNDPRNLLNYCSFARVPTRLRSVLANRNARPAQREFPVSGQVSLVTTEEMSQTAMDGEANTNSMTSIQEDSKDEVVRQEHAEQSTTCNHVAESLTFNEKGTLGETEEMEEQKNIPQHYGLEDNKEPNELSPFVSHQNSFSLQVEKGIQIYNLDTPPQDEVLIDPPDKGKTVDSELLPNIKAEAAVTIEEEKLDQSSSFKIRDLNLVGSPEVADMRADPRLGQSSAAGCSVEQQDTQQVDFGTTLGNNLSSTDTFILGNKAVQVIDIEDDPPIEAVACDTSKAKGEMVYSSMENMTNPPTNTDALHGIQDGYSLGISDYLGADMPCYQSIQTDLQAGMDLNGSEGITVMDDPIYSSLSDIGFMEVWDQQPHDYEKFF >PAN38153 pep chromosome:PHallii_v3.1:7:35208430:35212963:1 gene:PAHAL_7G150900 transcript:PAN38153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAHEQGVSLPAPRSELHPGRMQYQHGGSRSRMPTFARGGGAYGRGPKQFYPPPPPLPAAALPPPPPLNKYEVLLEAGRLAAEYLVAKGVLPPGSLQQRGGAVGAGGWGQLPPPPPLPAGHEAPAYYNARSGRRQVDDECGTRNARSRRSRGGDYSSGNSSNYNGRWKRKFGADNRYSDLGRNRGRSRGYSDSRSYDEDDEDGAPGFKRERRSSGGIDEVGSSVSGVAGEGPSSKVEAMGESELEDTGSKASSNSNVRQDEKADAPHKVEDENEANKMQEDSVVSNSEVVEQTLNCEGNGNNDSSGVVQEAETKHLPVSSGEKVSDGKPEDCGILSEKVEDDKTLLEKAEDDTTSDEVSVMENNLPNDPRNLLNYCSFARVPTRLRSVLANRNARPAQREFPVSGQVSLVTTEEMSQTAMDGEANTNSMTSIQEDSKDEVVRQEHAEQSTTCNHVAESLTFNEKGTLGETEEMEEQKNIPQHYGLEDNKEPNELSPFVSHQNSFSLQVEKGIQIYNLDTPPQDEVLIDPPDKGKTVDSELLPNIKAEAAVTIEEEKLDQSSSFKIRDLNLVGSPEVADMRADPRLGQSSAAGCSVEQQDTQQVDFGTTLGNNLSSTDTFILGNKAVQVIDIEDDPPIEAVACDTSKAKGEMVYSSMENMTNPPTNTDALHGIQDGYSLGISDYLGADMPCYQSIQTDLQAGMDLNGSEGITVMDDPIYSSLSDIGFMEVWDQQPHDYEKFF >PAN38219 pep chromosome:PHallii_v3.1:7:35501778:35506726:-1 gene:PAHAL_7G155600 transcript:PAN38219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDVYWLLGIISRSMDPVAFARGRNMPSDSDRILNEDKHVMSCSMPREHQKFFDIPVSSVREHHLHNDEPSTSETSCSRGRTVSEDLFAPRTRSLSPVPKGHTFAMSNGNSRDFGFSPRSPVRMMDGLRSPPHPLPLPPGSAACSPLPPASAGCSPLHPASGACSPLHPAAACSPLPPTHSSCSPLPSSPSSCPPLPSSPTTFSQSQSQWKKGKLLGSGTFGQVYLGFNSESGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSDLTDDALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYSLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDSFSEEGKSFLKLCLKRNPASRASAAQLMDHPFVLDHPAVKAAKSSALRNALSSPADVRLAMSNRELPSRKIITPLRDIGLSARDFTGFSTAVPSPRSSPIPGRTNMSMPVSPCSSPLRQFKQSNRSCMPSPPHPMLSPGAGYNTLSYAQNQTRRSPTAISDPWLDVGQLKLQSPYGSPKRF >PAN38220 pep chromosome:PHallii_v3.1:7:35502183:35507660:-1 gene:PAHAL_7G155600 transcript:PAN38220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWPGKSRSKSKAKPGSGAVSAASSPRKSADLESPSPTPRAREKARSLDSPGAAAAARARWPAGHGLVGYKLPVPAPDPEPPEPVGALFEEEVASSAGESSACSVGSLDEAHDQHGFRSMDPVAFARGRNMPSDSDRILNEDKHVMSCSMPREHQKFFDIPVSSVREHHLHNDEPSTSETSCSRGRTVSEDLFAPRTRSLSPVPKGHTFAMSNGNSRDFGFSPRSPVRMMDGLRSPPHPLPLPPGSAACSPLPPASAGCSPLHPASGACSPLHPAAACSPLPPTHSSCSPLPSSPSSCPPLPSSPTTFSQSQSQWKKGKLLGSGTFGQVYLGFNSESGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSDLTDDALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYSLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDSFSEEGKSFLKLCLKRNPASRASAAQLMDHPFVLDHPAVKAAKSSALRNALSSPADVRLAMSNRELPSRKIITPLRDIGLSARDFTGFSTAVPSPRSSPIPGRTNMSMPVSPCSSPLRQFKQSNRSCMPSPPHPMLSPGAGYNTLSYAQNQTRRSPTAISDPWLDVGQLKLQSPYGSPKRF >PAN38221 pep chromosome:PHallii_v3.1:7:35501778:35507994:-1 gene:PAHAL_7G155600 transcript:PAN38221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWPGKSRSKSKAKPGSGAVSAASSPRKSADLESPSPTPRAREKARSLDSPGAAAAARARWPAGHGLVGYKLPVPAPDPEPPEPVGALFEEEVASSAGESSACSVGSLDEAHDQHGFRSMDPVAFARGRNMPSDSDRILNEDKHVMSCSMPREHQKFFDIPVSSVREHHLHNDEPSTSETSCSRGRTVSEDLFAPRTRSLSPVPKGHTFAMSNGNSRDFGFSPRSPVRMMDGLRSPPHPLPLPPGSAACSPLPPASAGCSPLHPASGACSPLHPAAACSPLPPTHSSCSPLPSSPSSCPPLPSSPTTFSQSQSQWKKGKLLGSGTFGQVYLGFNSESGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSDLTDDALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYSLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDSFSEEGKSFLKLCLKRNPASRASAAQLMDHPFVLDHPAVKAAKSSALRNALSSPADVRLAMSNRELPSRKIITPLRDIGLSARDFTGFSTAVPSPRSPIPGRTNMSMPVSPCSSPLRQFKQSNRSCMPSPPHPMLSPGAGYNTLSYAQNQTRRSPTAISDPWLDVGQLKLQSPYGSPKRF >PVH34748 pep chromosome:PHallii_v3.1:7:4536453:4537382:-1 gene:PAHAL_7G022300 transcript:PVH34748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPMEIAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETVRIITRFMDVQHHYQMLLRHGVSQITGVAQSHYRNADHQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITHRDTIIEFLQAQIHDLILEADDAQVHIEELQQQPILPAIPIMPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PVH35252 pep chromosome:PHallii_v3.1:7:33846682:33848443:-1 gene:PAHAL_7G135500 transcript:PVH35252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGRGRGGILGSGPVLPAGRRARYKARGCPAGLPQFAALGASPARRLPLLRFLPVGVQFLCSGAGKRGGRGLMASNYVDTAAEEGRFHGHGHHSTTPTGAAAASPKHMRRSWSSASSAHGHAHGAAPKCVCAPATHAGSFKCRLHRASSHGHPASPPSPAAAATTSAAPPPAVPPPSSRTVAAQ >PVH35976 pep chromosome:PHallii_v3.1:7:46468113:46468544:-1 gene:PAHAL_7G326000 transcript:PVH35976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKVAITIPSLVCLRRAVRRWRSRAATASSRSGKGAGAAAVPAGHVAVRVEGSGDGCGARRFVVRLAHLSHPAFRDLLRQAEEEYGFPAAPGPIALPCDEDHFLDVLRRVSSSSSSCCGPALRRGRGDSRPLLQGMAVEKLVC >PVH35255 pep chromosome:PHallii_v3.1:7:33955779:33963695:-1 gene:PAHAL_7G136300 transcript:PVH35255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYELVRSDDAPALAVDLEAGGGGPAKRAAGTSPAPAPARQRLVSLDVFRGITVLLMIIVDDAGAFIPAMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGIDLQEMRLMGILQRIAIAYLLTALCEIWLKGDEDVDYGFDLLKRYRYQLFVGAIVAITYMTLLYGTYVPDWEYQTSGPGSAEKSFLVKCGVRGDTSPGCNVVGMIDRKILGFQHLYGRPVYARSKQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHVIVHFQKHKDRIMNWLIPSFSMLILAFAMDFFGMHMNKPLYTLSYTLGTAGAAGLLFAGIYTLVDLYGYRRPTVAMEWMGMHALMIYVLIACNILPIFIHGFYWKEPKNNLLKFIGIGA >PVH34799 pep chromosome:PHallii_v3.1:7:8682089:8683900:1 gene:PAHAL_7G034300 transcript:PVH34799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNEICKMKKSLEFQRSFRSLRTWGFYGVFRVRTCAESLHISGVSGGGVQSLRRILRPTANFGGRGINTPSPPPH >PVH34971 pep chromosome:PHallii_v3.1:7:22929766:22941135:1 gene:PAHAL_7G077400 transcript:PVH34971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRCAAKHALVTPSLPGALLQGRFSPRTPGAGPCRAASYASSPAAGKHLPPLFSVAPMMDWTDNHYRTLARLISRHAWLYTEMVVAETIVHQKDNLDRFLAFPEDQHPIVLQIGGSNLENLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIATNCDVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLNGLSPAENRKVPSLKYEYYFALLRDFPQVKFTLNGGITTIDQVSSSIRHGANGVMVGRAAYNNPWNMLGHVDGAIYGKPTRCISRRQILESYQVYGDSIIGQYGPSRPNVRQLVKPLLHLFHSEPGNSLWKRKADSALRYCKTVKSFLEETLDAIPDSILDKPVTREQSIEERYFADVDSLLPPRYTALTNCCYGSAELVTAST >PVH34972 pep chromosome:PHallii_v3.1:7:22930471:22941135:1 gene:PAHAL_7G077400 transcript:PVH34972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKCVWVELMDSNIYCRNLQDRFLAFPEDQHPIVLQIGGSNLENLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIATNCDVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLNGLSPAENRKVPSLKYEYYFALLRDFPQVKFTLNGGITTIDQVSSSIRHGANGVMVGRAAYNNPWNMLGHVDGAIYGKPTRCISRRQILESYQVYGDSIIGQYGPSRPNVRQLVKPLLHLFHSEPGNSLWKRKADSALRYCKTVKSFLEETLDAIPDSILDKPVTREQSIEERYFADVDSLLPPRYTALTNCCYGSAELVTAST >PAN40331 pep chromosome:PHallii_v3.1:7:45144343:45151387:-1 gene:PAHAL_7G307100 transcript:PAN40331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRCVRDLRSLRSLARIPRPISSETPTCLRSRSNSTKASQKSSTQNTAPGPQEEPSQSGSNVSKLVLGTLVVGAAAMGAHQLGYIDLSSMDKKLPFSFKNPDVAKVYEDLKVPSEQMVDQTQIMSEPNTKIVQASNNEAHTPKDLPNEEVGASETSDGDQLVPAEGKKSEILAHETHPVPDEHGSDTKLPSQDSLAVEIKPVVLDDKETVEVPHEQQTDKTDSTIPPVQSNTTTGSPYNDSPTDVDATKDLSGADLTEQKSLAETYLLQDESDVPKDAAAKETRRDEIVPEKTSKDGKVVLDIIEAIHAAEKKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKNAAAVRELQEKAEQKLRDELQRKDEETKQQIEKAQELAKAELAAAVAKEKATQIEQIAEANLNIDALCMAFYARSEEARQSHSVHKLALGTLALEHALSSGSPIRSEVELLRKSVEGIDKDSLLELALSSLPEDVLDYGSDTRMELKQKFNSLKETIRHFSLIPAGGGGILTHALARVASSIKIKEDNSGDGIESLINRVESLIVDGDLSTAAEALEGGLHGSEAAEIATEWVKQARKRAIAEQTLALLHACASSTTFS >PVH35018 pep chromosome:PHallii_v3.1:7:25929248:25930120:1 gene:PAHAL_7G087700 transcript:PVH35018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITEHPRYYSKEYEHLGTRCCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILRKQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAIAQESLRQIQDRRMQEWTSGGTPVPAIGETQVLIGTPITGWGGLFRTPQAPLEGAERIAAAVAGGAVGQPRENGILEDDEEELLIPLEVHSALEDDSPRE >PAN39579 pep chromosome:PHallii_v3.1:7:41621937:41623472:-1 gene:PAHAL_7G253100 transcript:PAN39579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGRAFAAGALFLLLELALQGAAESPLVPAMFVFGDSLVDVGNNNHLARCNASCRADYPRYGVDHPCHSPTGRFSNGYNLADQLAQLLGFPESPPPLLSLLKARLVPQMSSGGINFASGGAGLLDRTGPRVCGGEVLSMTAQVGNFTSLARRWRRENRTTAADLISRSLFFISVGSNDLFEHIDFPSAPNRNDIRFLQDLVASYTSYIKDLYAAGARKFSVVSPSLVGCCPSQRALAHDPSKGPIGVDKFGCLGAANNLSRQLHPMVADMLQGLSLELPRMNYSLGDAVGMAEFVFNSPSTPAYNFTELERACCGSGEFGEGGCNGSAPLCGNRSSYVFWDRFHPTEAASAVTAKELFVDTGLFVRPINVQQLVAPQP >PAN36933 pep chromosome:PHallii_v3.1:7:2373916:2378143:-1 gene:PAHAL_7G013100 transcript:PAN36933 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2FC [Source:Projected from Arabidopsis thaliana (AT1G47870) UniProtKB/TrEMBL;Acc:A0A178WB46] MDGGSSSLPLPHPPPQVYLRRPVPPPGPPVTAPPRVHLFRPPVPIPIFSSRPRAAARPPIPPAAAAPAPTPAPPPQVAAAPGPPRPPPAAPTSTDMPPPPPDQPQAGLMLPPPAPKAAGEGTPMPNPQPNEQNNGGETAKDKETTSEPVKVIKRVKKLKVSKQSVVTSDGSGAVEGDAGPSLHSINHCRYDSSLSLLTKKFINLLQGAENGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKGLKNMIRWKGFDMSKPKEIECQISSLKEELESLYDEESRLDDEIREAKEKLQALTLDEDKRKSLYLLKEDINKIPHFQGSTLIAINAPHGTCVEVPDPNADLYLYGDLGLQEKHYKIVLRSSMGPIDCYLISDQQEIFNPDQQVAAGKLEPVIATGSSQAVQQMDSDPNQTPEKGQSNAVCTHTSEPSRKHEIMSGILRIVPSDADADSDYWLASDVDVSMTDAWGT >PVH34710 pep chromosome:PHallii_v3.1:7:2373320:2378375:-1 gene:PAHAL_7G013100 transcript:PVH34710 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2FC [Source:Projected from Arabidopsis thaliana (AT1G47870) UniProtKB/TrEMBL;Acc:A0A178WB46] MDGGSSSLPLPHPPPQVYLRRPVPPPGPPVTAPPRVHLFRPPVPIPIFSSRPRAAARPPIPPAAAAPAPTPAPPPQVAAAPGPPRPPPAAPTSTDMPPPPPDQPQAGLMLPPPAPKAAGEGTPMPNPQPNEQNNGGETAKDKETTSEPVKVIKRVKKLKVSKQSVVTSDGSGAVEGDAGPSLHSINHCRYDSSLSLLTKKFINLLQGAENGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKGLKNMIRWKGFDMSKPKEIECQISSLKEELESLYDEESRLDDEIREAKEKLQALTLDEDKRKSLYLLKEDINKIPHFQGSTLIAINAPHGTCVEVPDPNADLYLYGDLGLQEKHYKIVLRSSMGPIDCYLISDQQEIFNPDQQVAAGKLEPVIATGSSQAVQQMDSDPNQTPEKGQSNAVCTHTSEPSRKHEIMSGILRIVPSDADHDRCMGYIEDRSSDLQARWSLR >PVH34709 pep chromosome:PHallii_v3.1:7:2373320:2378375:-1 gene:PAHAL_7G013100 transcript:PVH34709 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2FC [Source:Projected from Arabidopsis thaliana (AT1G47870) UniProtKB/TrEMBL;Acc:A0A178WB46] MDGGSSSLPLPHPPPQVYLRRPVPPPGPPVTAPPRVHLFRPPVPIPIFSSRPRAAARPPIPPAAAAPAPTPAPPPQVAAAPGPPRPPPAAPTSTDMPPPPPDQPQAGLMLPPPAPKAAGEGTPMPNPQPNEQNNGGETAKDKETTSEPVKVIKRVKKLKVSKQSVVTSDGSGAVEDAGPSLHSINHCRYDSSLSLLTKKFINLLQGAENGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKGLKNMIRWKGFDMSKPKEIECQISSLKEELESLYDEESRLDDEIREAKEKLQALTLDEDKRKSLYLLKEDINKIPHFQGSTLIAINAPHGTCVEVPDPNADLYLYGDLGLQEKHYKIVLRSSMGPIDCYLISDQQEIFNPDQQVAAGKLEPVIATGSSQAVQQMDSDPNQTPEKGQSNAVCTHTSEPSRKHEIMSGILRIVPSDADADSDYWLASDVDVSMTDAWGT >PVH35521 pep chromosome:PHallii_v3.1:7:38697508:38700295:1 gene:PAHAL_7G207200 transcript:PVH35521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKASLPRAALVDGPSIRASSSSRPEPDRLLILPAPAPATPQRRPPRRRPAPPRAAPRRPPRRRPPPAPAPPRGAAQLRPAPPHAGPRDAAPRRPSAPAPASSAPAPASASPPRRLCLRSPRRLRLRSPRRLCLRPRAASAPAPPPTGPPLPRRPPPLPRRPPAPRGLRPRAGLLRSRAGLRPRAASAPAPASSAPAPASSAPAPASGPARPPPPPPRRPPPPPPRRLCLRSPRRLRLSSPRRLCLRHHAASASAPCAASAPAPPPAGPPLPRRPPAPRGLRLRAPRRPTPPRPAPA >PAN38926 pep chromosome:PHallii_v3.1:7:38710593:38712315:1 gene:PAHAL_7G207500 transcript:PAN38926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEPQEDGHLRLQEPAAAGCGVIIGKSCVASPCRWLRRLSSQLHWSFVLAVVAVYGACQGVGNAVGSVAAGYYWKDVQRVQPSAAQFYQGVTDAPWVVKPLWGLLTDVLPVAGYRRRPYFVLAGVVGVSSMLMLSLHRGLGIMPALLALTAQSAGAAIADVTVDALVAQNSITHPPLASDMQSLCGFSSSLGALLGFSISGLLVHSMGSQGALGLLSIPSALVLSAGILLKENHVSEFDYKQVHKKFYKAIQSMGATLKCPEVWRPCVYMFVSHNLSLDIQGGMFYWYTDPVVGTGFSEYRDLSVSSTQLVQLVHYLEFCYTKPL >PAN38928 pep chromosome:PHallii_v3.1:7:38710593:38712315:1 gene:PAHAL_7G207500 transcript:PAN38928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEPQEDGHLRLQEPAAAGCGVIIGKSCVASPCRWLRRLSSQLHWSFVLAVVAVYGACQGVGNAVGSVAAGYYWKDVQRVQPSAAQFYQGVTDAPWVVKPLWGLLTDVLPVAGYRRRPYFVLAGVVGVSSMLMLSLHRGLGIMPALLALTAQSAGAAIADVTVDALVAQNSITHPPLASDMQSLCGFSSSLGALLGFSISGLLVHSMGSQGALGLLSIPSALVLSAGILLKENHVSEFDYKQVHKKFYKAIQSMGATLKCPEVWRPCVYMFVSHNLSLDIQGGMFYWYTDPVVGTGFSEYRDLSVSSTQLVQLVHYLEFCYTKPL >PAN38929 pep chromosome:PHallii_v3.1:7:38710204:38713311:1 gene:PAHAL_7G207500 transcript:PAN38929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEPQEDGHLRLQEPAAAGCGVIIGKSCVASPCRWLRRLSSQLHWSFVLAVVAVYGACQGVGNAVGSVAAGYYWKDVQRVQPSAAQFYQGVTDAPWVVKPLWGLLTDVLPVAGYRRRPYFVLAGVVGVSSMLMLSLHRGLGIMPALLALTAQSAGAAIADVTVDALVAQNSITHPPLASDMQSLCGFSSSLGALLGFSISGLLVHSMGSQGALGLLSIPSALVLSAGILLKENHVSEFDYKQVHKKFYKAIQSMGATLKCPEVWRPCVYMFVSHNLSLDIQGGMFYWYTDPVVGTGFSEGFIGLIYAIGSVGSLLGVLLYQTALKDYPFRSILLWGQVLSSLAGMLDLTQMVTTSCALLQALFPRHRRNILRTTHVTTKCRVADVCLVGWLAAAHAECDSNGVQ >PAN38927 pep chromosome:PHallii_v3.1:7:38710204:38713311:1 gene:PAHAL_7G207500 transcript:PAN38927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEPQEDGHLRLQEPAAAGCGVIIGKSCVASPCRWLRRLSSQLHWSFVLAVVAVYGACQGVGNAVGSVAAGYYWKDVQRVQPSAAQFYQGVTDAPWVVKPLWGLLTDVLPVAGYRRRPYFVLAGVVGVSSMLMLSLHRGLGIMPALLALTAQSAGAAIADVTVDALVAQNSITHPPLASDMQSLCGFSSSLGALLGFSISGLLVHSMGSQGALGLLSIPSALVLSAGILLKENHVSEFDYKQVHKKFYKAIQSMGATLKCPEVWRPCVYMFVSHNLSLDIQGGMFYWYTDPVVGTGFSEGFIGLIYAIGSVGSLLGVLLYQTALKDYPFRSILLWGQVLSSLAGMLDLVLVTRLNLKIGIPDYFFAMIDNSISQMVGRLKWLPLLVLCSKLCSPGIEGTFYALLMSLQNAGLLMSAWWGGLLLHMLSVTRMEFSNLWIAVLIRNISRLVPLTLLFLVPQSDQNSTLLPAEMLEGDTAEAVRAGSVEFSVLVQEDSGCISPNMVAEDERTKMLDAEIEDVESIPLVSKSGPAIDS >PAN39087 pep chromosome:PHallii_v3.1:7:39601779:39604770:-1 gene:PAHAL_7G220300 transcript:PAN39087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVVDLRSDTVTKPSEAMRAAMAAAEVDDDVVGVDPTAQRFQAEMAALMGKEAALFVPSGTMGNLISVLVHCDVRGSEAILGDNSHIHVYENGGISTIGGVHPKTVRNNPDGTMDVDKIVAAIRHPDLHYPTTRLICLENTHGNSGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSKDFIEKAKILRKTLGGGMRQVGVLCAAAHVAIRDTVGKLADDHRKARALAEGLKKIKHITVDPASVETNMVFFDIVDPRISPDNLCQVLEQHNVLAMPASSKSIRFVLHYQISDSDVEFALTCVEKAFEELLKGGAQLEPLANGTTRSSYGH >PAN40921 pep chromosome:PHallii_v3.1:7:47970232:47974847:1 gene:PAHAL_7G351300 transcript:PAN40921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MVPLPLFLFPLLLVLVPAALPLPRASADICIVGAGISGASTAFFLTNYTSLHGAQLRVFERRHRVGGRLATVAVAGDRFEAGGAIIHPRNLHARRFADLLGLAVKAGGDDDWLGIWDGKSFVFQTLRPLPPGSSWWRRKLHGLLNSLLLLRRYGLSLLKMDKFVQEMLQRFVLFYNGFESRPVFATVEEMLKWTGLYGLTRRTLEEELLDAGLNSRTIAELVTVITRINYGQSTRISGLAGAVSLAGSESGLWAVKGGNWQLAAGLLKTSNATLHLQEGIDSITDAGDCYVLRSNTGSEYNCTVTVVATPLDEVNITFSPPISIPPRKMQHTHATFVRGLLNPGYFGLNSASDIPELIGTLELPDIPFSCISVLKRYSKDDMTYKMFSRAKLDDGLLDQIFSARKETIRINWAAYPHYEAPEEFAPILLDGKQLYYVNTFESAASAMETGAVSAENVARLIISRLSLPKRGVEPPTPHIKSFTEEEEEGSWRRHVDL >PAN40639 pep chromosome:PHallii_v3.1:7:46682753:46687742:1 gene:PAHAL_7G330000 transcript:PAN40639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MAMLLRKVWGSVLARAAPGPGPAAPGSPRGRRAQPPAEQYCASLSLGALDAVPTDVIAQILRLLGPVDAARSSAVCRAWRILASDNGLWAFFLRLGPEPWDLVVFAETHLAYGPDSHPWLCFDNSPQLSFKQIYGLRAVVPGTLIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFSTIYTRMQVKPSTQPVIVVLPLCHTDDTESAWASRKQYKETIYSVLFDMNVPAVCAVDQAVLSLYASKRTSGIVVHIGFNTTSVVPIFEGRVMYEIGVETVGQGALKLTGFLKELMHQRNIFCESLYTVRTIKEKLCYVAADYEAELRKDTQASCEVDGERLFTLSTERFKTAEILFQPHMGGMRAMGLHKAVALCMDHCYNSEVVGDDSWYKTIVLAGGSSCLPGLPERLEKELHQLLPPYISEGIRVVPPPFGTDSAWFGAKMISNVSTFTEAWCVKKKQFRQKMRRNGPLFVNSW >PVH34802 pep chromosome:PHallii_v3.1:7:8707416:8708667:-1 gene:PAHAL_7G034700 transcript:PVH34802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKLGYGSRDYMYYKQRSADDPAAATLNDIDYDVDALRMIDSNEAERELRLLLSKNPVADRCVAITPIKSKRVCSDHYQEEEVEESELDAYKDWLAYMHTRNQAMEFEDIYREDTIKTYKEWLGVEGKLDDINAFLDSSSHIHSSQDSNPTPPVQPPSHARRWRNRNGSENGKKRGRGPLKGLKAISKRFKAGNQKMKVEFSRLGGPVGENYRTFTDEIVMFTRKRAPLIGVRSWKDIHQDARWDIEDNDNSRTIIWGIAKERYKGWRSTFSATAKAYNSYHER >PAN37997 pep chromosome:PHallii_v3.1:7:34184260:34185707:1 gene:PAHAL_7G139200 transcript:PAN37997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDVIEAVPEAVEVEQQPAKACDSGSGLPAAATTTEGSRPMLQEDQHHDDDTVPDADRHEAVGPPEQAAAEVPAPEEEPAAEPQQEPPVAAEEEVEPEAAAAAAADDQEMSTRERLKRHRREMAGRVWVPEMWGQEKLLKDWVDCAVFDRPLVPTGLLTARRALIAECCTTRRPDRTSPASSAGSSPLRVPNGCS >PAN40524 pep chromosome:PHallii_v3.1:7:46208618:46211834:1 gene:PAHAL_7G322000 transcript:PAN40524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLREEAWPVAAPQQRQQPPVPRPQQQQQQNGRIDLKELKAQLEKRVGLDCSRRYFGYLNGYLSERLSRQDFEKLCLQTLGRENLQLHNHLIRSVLYNAYQAKCPPPPSDVGRPVGASVKKVSKAAEVLNACNGDARLLQVQGSRPIGIVQDHTLKNRMNNMGPNCRVIAAVNHNQVAHGVSGSLENGTPSPLELKRSVHFQQCEPAEPLAKHPRVEQLPPNNLLLQRSMSSTAEQSAEILKSPVRAPLGIPFCSASLGGARKFPPPPTGASEGRFNSCFDHGGLSNTELLHRRMEKTAETLGLAGVTMDSAELLNNALDKYMKNLIRSSVQLIGGSVQRNARKGTPSYKQQAYGKQINGVLLPNHVDMQSGSGPSEASNEIRSNHLISINDFKVAMQLSPQQLGEDWPVLLEKICLCSSEEND >PAN39658 pep chromosome:PHallii_v3.1:7:42131304:42135010:-1 gene:PAHAL_7G258400 transcript:PAN39658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYLQLASLRLATTIPLSRKLYTANLLVTSEAMDSMAYIALCAAALAVLAALLRWAYRWSHPKSKGRLPPGSMGIPLLGETMQFFAPNQTCDVSPFVKERVKRYGSIFKTSIVGRQVVVSADPDMNYFVFQQEGKLFESWYPDTFTEIFGRENVGSLHGFMYKYLKTLVLRLYGQENLKAALVAETDAACRGSLAAWAAQPSVELKEGLSTMIFDLTAKKLIGYEPSKSSESLRKNFVAFIRGLISFPVNIPGTAYHECMEGRKNAMKVLRSMMKERMADPERRCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTLGVKLLTENPKIVDALREEHDAIARNRKDPDAPVTWAEYRSMTFTNQVIMEMVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPDIYEDPLAFNPWRWQNKPEITGGTKHFMAFGGGLRFCVGTDFSRVLMATFIHSLVTKYSWRTVKGGNIVRTPGLGFPDGFHIQLVPRN >PVH35110 pep chromosome:PHallii_v3.1:7:30141936:30149234:1 gene:PAHAL_7G106900 transcript:PVH35110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADTASSSSSGGAGMDILEAARSGVSSELEGMAHRDPAVLLETTPEGNTCLHIASMEGHRQFCETVLSINGSLLAAVNKDGETPLLAAVRNGHDSLASYLLRWCRYLQLSGAVLKQDKDGWSALHHAIRGGHAKLALELMEAEPALSQAVNKDNESPMFIAVKNDCVEVFEKLAKTSNKYFNKRGGYGHNALHASVSVRKANSATTDGQGMDTIQLMKAATTGDVEAMRRMDPAVLSERTPAGNTCLHIASIHGHQAFCQAVLDVDSHFLHLLAAVNADGETPLLVAVTSGHISLASFFLPLYKGPGLSDALTKQDKHECNALHHAIRSGHNKELALRLIAAAPSLSRAANKYGESPMFIAAMRDYQDDVLDRLLEIPDSADGGAGGNNALHAAVRNGNSGIAKKIIQARPQLAREEDVHNETPVHLAVLRGHVDVLRVLLEHDQTLGYLVCSDGTPLLNIAALRGYVSAAREILDHCPDTPYGKQNGSTCLHVAVQSDEMEFVNFVLTSQQLRKLVNMRDQNGMTALHHSVRKCMPKMLAALLRHPDIDVTVLTNKGSPATWLFDDAIKSAKSLQWNEVSMMLLNADPKRATNIYNLHKEIKDKITDESRKIVKSLTETYTRNTSIVAILMASITFTAALTLSGWYRSDAAGSQGLPIMAKKFAFQAFLISDTLAMCSSLSVAFICVIARWEDFEFLLYYRSMTNKIMWFAYMATSTAFATGLYTVLAPRLLPLAIAICCLPVLLPILTMLLGRWPVLRLQFRLGRSFKSDLLDMV >PVH35093 pep chromosome:PHallii_v3.1:7:29927493:29928258:-1 gene:PAHAL_7G105100 transcript:PVH35093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLTPNKASSKEKCAFEIGPPAWVKEINTSTMENVFSLPLAFCEALGLREPCTITLKTSMSSTTSWQARVVPYKYCNHLGGSGWKRFCQENRIKEGDVCTFNIVGTKLWHVVVARQ >PAN38006 pep chromosome:PHallii_v3.1:7:34200317:34202588:1 gene:PAHAL_7G139500 transcript:PAN38006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVGNAAFLLLLAACCCVATLACDPNGAKFGYVGSVGPDHWGGLSPNFTECAVGTNQSPIDIATARAVYNPALQPLHRDYTVANGTLVDNVVNLALRFDAGGGNVTVDGKQYRLKQMHWHSPSEHTINGQRFPVELHMVHASDDGNVTVVAMLYRFGRPDPFLAQLQDKLAALYAEGCDAEKGAPVPAGVVSLWPLRLRSHTYYRYVGSFTTPPCTENVVWSILAQVREMSVDQAAALTAPLEQDYRRNNRPTQPMNGRVVQVYRTAVP >PVH35277 pep chromosome:PHallii_v3.1:7:34200313:34202758:1 gene:PAHAL_7G139500 transcript:PVH35277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVGNAAFLLLLAACCCVATLACDPNGAKFGYVGSVGPDHWGGLSPNFTECAVGTNQSPIDIATARAVYNPALQPLHRDYTVANGTLVDNVVNLALRFDAGGGNVTVDGKQYRLKQMHWHSPSEHTINGQRFPVELHMVHASDDGNVTVVAMLYRFGRPDPFLAQLQDKLAALYAEGCDAEKGAPVPAGVVSLWPLRLRSHTYYRYVGSFTTPPCTENVVWSILAQVREMSVDQAAALTAPLEQDYRRNNRPTQPMNGRVVQVYRTAVP >PAN39982 pep chromosome:PHallii_v3.1:7:42289134:42290462:1 gene:PAHAL_7G261000 transcript:PAN39982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDSPLSLTALLALPLALLLALYVASRRSGACPSRKYPPVAGTILHQLLNYHRLMEYHTEHSRWHRTFRVLTPACNDVYTVDPANVEYVLKANFANYGKGAMMHDVMEDLLGDGIFNVDGARWRHQRKVASHEFSTRVLRDYSSAVFRDTAAELATIVAAAAGEEKVDVHDLLMRSTLESIFQVGFGVSLGAVFGSSSEEGLAFAKAFDDASEQVLYRFIDPLWKAKRLLNVLSEADMKRSVRTINNFVYAVIDRKIEQMGRDQKEFAKKEDILSRFLLETEQDPGCFDNKYLRDIILNFVIAGRDTTAGTLAWFLYVLCRNEAVQDRVAEEVRAATTGDRDVGAQEFAASLTEDAISKMQYLHAALTETLRLYPAIPVVSCQCHDAIGGRRISIPWCLLTAVAGCQVLLV >PAN39685 pep chromosome:PHallii_v3.1:7:42289134:42291360:1 gene:PAHAL_7G261000 transcript:PAN39685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDSPLSLTALLALPLALLLALYVASRRSGACPSRKYPPVAGTILHQLLNYHRLMEYHTEHSRWHRTFRVLTPACNDVYTVDPANVEYVLKANFANYGKGAMMHDVMEDLLGDGIFNVDGARWRHQRKVASHEFSTRVLRDYSSAVFRDTAAELATIVAAAAGEEKVDVHDLLMRSTLESIFQVGFGVSLGAVFGSSSEEGLAFAKAFDDASEQVLYRFIDPLWKAKRLLNVLSEADMKRSVRTINNFVYAVIDRKIEQMGRDQKEFAKKEDILSRFLLETEQDPGCFDNKYLRDIILNFVIAGRDTTAGTLAWFLYVLCRNEAVQDRVAEEVRAATTGDRDVGAQEFAASLTEDAISKMQYLHAALTETLRLYPAIPVDAKCCLSDDTLPDGYAVKKGDMVNYQPYAMGRMDFLWGADAEEFRPERWLDKDGVFVPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWDAKATVGYRPMLTLKMDGPLYVRASLRR >PAN39018 pep chromosome:PHallii_v3.1:7:39175045:39177552:-1 gene:PAHAL_7G214300 transcript:PAN39018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAPLGWGRQEVDGWRKGPWTSQEDKLLVEHVRQRGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNSRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRQEMQSQQQQLLQLAGQVAKDHENQEGGGARTGTTADDEDRGSAVVDDACVAPTVAAAEAAGGHHHDEDLIMHDAMDFMCPMSCALLLHGAVHQGGGTGSCCGSTASDEYGSGEEDGATWGSLWNLDGVVVDDAAAGACCTPW >PAN39017 pep chromosome:PHallii_v3.1:7:39175008:39177552:-1 gene:PAHAL_7G214300 transcript:PAN39017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAPLGWGRQEVDGWRKGPWTSQEDKLLVEHVRQRGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRQEMQSQQQQLLQLAGQVAKDHENQEGGGARTGTTADDEDRGSAVVDDACVAPTVAAAEAAGGHHHDEDLIMHDAMDFMCPMSCALLLHGAVHQGGGTGSCCGSTASDEYGSGEEDGATWGSLWNLDGVVVDDAAAGACCTPW >PVH34662 pep chromosome:PHallii_v3.1:7:374007:375685:-1 gene:PAHAL_7G001000 transcript:PVH34662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPRDRDKLLGYGQYPSEYNEDALVPPALPVLFYRCYPHNIPAEVNCRHCYFFHWIDGLDKLDPRIRLFSYKEWKTKPYNEFRRWVPPPPKPPPMTEEEKQDAIIMRVKNPPLCHCGVHAKLQRPNIGVPPKFTPFFRCSLKAHFCCDGWPLCDFNEYIYGPRSYWPMEEQEGRTCNWEWFEGRRDLMLDLGKYSEPWKSRKTADIRNEIRREYDVPLPIDSFLFGPVCKDLRHEYGKEKLEANKRTKMEEMEKLAREAQMEAMQALVADLPDQVPNLEKGVASNERELFGVRATQMEAMQTLIGHLPRKDGHDDCKGKGVDIPNWLGNEDDDDWGDDELLYDGDSG >PVH34864 pep chromosome:PHallii_v3.1:7:13655788:13656533:1 gene:PAHAL_7G048400 transcript:PVH34864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRRINSQPNETLGPRRFSRLLRSANTLAPPLPVVLLQRHPVARFPCLLLRLASSSAPCSSRPAPCSSAFLCPRHALCSSDSQPREGGRALGELRLQAGRGAGGCVLILLTSSGRPHFRHQRRPLLLLQSSGGKASGLGGAGFSMARCRLRRARRCLRGSGATCSSPSFVHVAGDYAIEPA >PVH35701 pep chromosome:PHallii_v3.1:7:41448340:41451107:1 gene:PAHAL_7G249700 transcript:PVH35701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSISLFGSSQQPALKFYRKCLPNNPHFALTVHSVAKFMGKAMIMKRARMKPHATDIKRNPQAHELYKLVYRLPENLSWLLAPPEIPKRPASKNIKQKDEMVTGKFGVILEWEGVVVEDDDPDLEPWVWYVLSLEEAKSFPPDALLKEIEGMRTDQAISEVLCWSEDPEEIKRLAARKEVIYQTLRGGYYQLRPGVLDFLNTLADFEIPIAIASLRSQKSLEEGIKTVGLQGYLDAIIALEDFCLGKPDGEMFEVAAEKLGLDPDVCVVFGNSNLTTESAHTAGMKCVAVAGRHPAYELQAANHVVRWLDQLSIVDLQRLVNGEVIGRRGRESDMDMEIVIEE >PAN39052 pep chromosome:PHallii_v3.1:7:39392773:39394946:-1 gene:PAHAL_7G217500 transcript:PAN39052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIDWNAVNTRELCKLFAEQVCRGNHPNTYLNSVGYAEVEKGMKDRLGIEVSKLQIKNKWDKLKEDFKAWKKLLLRQTGTGWCPIKGTIVMDDEWWKKARADIPGCGKFRKQGLQNEEELQVCFGSIISIGTDHWSPHIASAEE >PAN40874 pep chromosome:PHallii_v3.1:7:47682613:47684755:1 gene:PAHAL_7G346700 transcript:PAN40874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGDLLPVVGMVSFQVVFAGLNIFYKLAVSDGMDLRVLVAYRYMFASAFIAPLAYFIERKNRTELTRRVLVLSFMCGLTGGSVAQNLYIAGMKLTSATFATATTNLLPAVTFILALLFRYERLAIRSLSGQAKVAGTFLGIGGAMLLTFYKGVDITPWHSHVNLVAAHQQQTPAATNYAMGSLLCTLSCFFYALWLIIQAKLGREYPFPYSSTALMCLMTTLQSSAFALCFDRDVAQWRLRFDVRLLSAVYSGVLASGVMLVVLFWCVERRGPLFASVFNPLMLLVVAVLSSLILGERLHLGTALGAVLIVAGLYTVLWGKGREAKVATEADAKVGDLLPTITSRSFDAPAAANSSATR >PAN38106 pep chromosome:PHallii_v3.1:7:34948840:34954890:-1 gene:PAHAL_7G147600 transcript:PAN38106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREEDEELQMALRMSLQGSPPAQPEPKRSKPPPPAAESPEAEARRKQRELMAAAAEKRLRAAGSPAAVPVARSSPQPPPQLAVVEPPPASEAAKEEKAEPEPTGVSMEEAKEVEVEEGEEEGEELPPDVAEKLWAMVFGEGVSKAVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDDLSNPEVSNPLYTLGQRRFYQSSFAAGDDFSSLTNDRKTRALVHAMVEILFLCGTGKRAVVTSIARVNRGKTEAVLEGLSVESAMDLQKVLRTSTFTSRKDAFDILLANIPLFESRLGAMLFLISALLSRGLEYIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNNVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESRIRRAFDAQDQSGGGGFISVEGFQQVLRDTHINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIFHFNGIAKSVLNGNASAGGSVPIQRPRLCKLNVTVPPRWTQDEYLADVVSASTSGSKDDSILSLAPPVQTSQHAPLVDCIRTRWPRAVCSWAGDVPSIV >PAN39289 pep chromosome:PHallii_v3.1:7:40453503:40457044:1 gene:PAHAL_7G233500 transcript:PAN39289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAAKAPRQLLARGGLAAAAPCPAVNSGGGVVVFFAALVAGALVSACWMSASARLQVAPITPAATPTIARNAATGPEPAPGPPRFAGGGTSTNQTPSAAAPANFAPPPRPRELPPAPSPAEAAAPAARECPAYFRWIHEDLRPWRDAGVTLDAVERARRYAAKFRVTVVAGRLYVARYGRCFQTRDVFTQWGILQLLRRYPGGVPDLDLMFDCEDRPVVGAADRYHAQPPPLFRYCGSEATLDIPFPDWSFWGWPELNIKPWEALRREIEEGNAMANWTERAPYAYWKGNPNVGAGRRFLLRCNASGKRDWNARIYAQDWGKELQRGFRESDLSKQCTHRYKIYVEGRGWSVSEKYILACDSVALMVRPRFHDFFSRGLAPLRHYWPVRGRGVAMCRSIKFAVDWGNAHPDKAREIGRNASRFVREDLAMGRVYDYMLHLLAEYARLLRYRPAVPRGAAEVTVESIARGRRGLERQFMMETAVADDGAGGEGPCRLPPPFSAQELQALRRERADVVRQVEAWEDH >PVH34767 pep chromosome:PHallii_v3.1:7:5236702:5242673:1 gene:PAHAL_7G025100 transcript:PVH34767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEEVLSDRDSEDEVDDDVADFEDRRMLDDFINVTKDEKCIMHMWNSFVSRQRVIADSHMPWACKAFSQLHGQLLARNPSLLQCWRFFMIKLWNHNLLDGRAMNSCNMIIDDVKNKKLGSQVKPMR >PVH34766 pep chromosome:PHallii_v3.1:7:5226016:5242883:1 gene:PAHAL_7G025100 transcript:PVH34766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQVLPDGAAMNTGCIYGSSSSSDQIYHSQPRDDLSPDSAEKSRALYCKHVILCDILQKRANKKPSFLQRNLCYKIHAKQKKRIEITISLSGSSNPEVQAQNIFPLYALFAKPISSVSHEGHSPVYQFSRACLLTCFDESGRNNHTEATFIFRYLKTLANIILVSCGQVGQTPDENNFSKSHMENPSLEKLGGQCFWGKIPNGLLSSSLENCVDLSLGRTKQFALPITMNPGFIEPKFLKQNSCLTFCSRKLNAVCPYQLQVSICAQEVGARDMFKSPYNYYLYNDVPPSSLPHIIRLRVGNVLFKYGNNICETEVTEDFCCSFCLVKCGSFMGLKYHLISLHDQFNFEFWISQKEQGVNVSLKRNTWTNEDFPAGVDPRQRTFSYFSKYKKRPRLVVANEAIVPSKVAEVIIPLEVTEGTVLPKATETIVLPKATEIIRHGHLLGTSVSDTSVDPAYSLHGGHLSPPRVLQFGKTRKLSVNQINPRNQQLLQKRQFFHSHTGQPMAFEEVLSDRDSEDEVDDDVADFEDRRMLDDFINVTKDEKCIMHMWNSFVSRQRVIADSHMPWACKAFSQLHGQLLARNPSLLQCWRFFMIKLWNHNLLDGRAMNSCNMIIDDVKNKKLGSQVKPMR >PVH34756 pep chromosome:PHallii_v3.1:7:4986348:4990532:1 gene:PAHAL_7G024100 transcript:PVH34756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWSSSDSDAKHFRANIRFFNGHFSLTSLYCHLDHMTTDMRNGGVYTFRAHGQIYHNIRSFGLDGTEPKHLELYFYDDDPSLEHRYRKCREKCLEKDKEVVERLVSILHDNPYSEHLRSMRQVEHLEDYHVTLNLDQRLDQRIYNVPITSEVAAVWIEGSKRRGQFQNSVVLQGKDRSIHGIRSYHSCYDPLSYPLFFPRGELGWHNMIPKVGVNMDEVNAARAIRKARAEGNNDDDLGLAGNKCVSFTVDTYIKIESSCLDYIRNNQSQIRADLYQGLVDSLHAGEGRANAVGKRIMLATSFIGGPQYMRRWYMDAMALMPQDRPDLVTRVFKAKLEELKTRLLDYDILGKVRAYVYVRKFKLTCPEQYDLLISAELPNKKKYPELYKMVTKHMMHGPCGLLNPNCPCTMGRASCKNRYPRSFCETTSQGKDSYPIYRRREDRCKETVRGHELDNRWVVPYNPYLLQLLNCHINVEACGSIKSVKYLFKYIYKGHDRAVVAVTEAAKADDKGSMDEIKQYRDARWVTPPEPPVKQLQLHLPDMHMVAFHQRDKIERVINRPGADTSMLTAYFEANRLHEGAHGILYRDFLEFYTWQMDGKFWKPREPRIGGQVGRIISAHPAEGERYYLRILLNHVVGATSYEHLRTVNGVIQPTFREAAEKRGLIKKDNTLDECLTEATLFQMPSLLLRLFATILVFCEPSNVFGLWQKHMILIDIRNMLQSMGKDIKSFPLPDIDSTYDNTSGIPREIFEEASIEINIDDVALLESLNYEQRAAYNEIMTAIDTDHGGLFFVDGPGGTGKIFLYRALLAKVHSQNKLAVAAATSGVAASIMPSGRTAHSRFKIPLTIDEGGCCTFTKQSGTAKLLQTLSLIIWDKASMTKWQAVEALDNSLRDIMDLPELPFGGKTIVFGGDFRQVLPVVRRGSYLWDSMHHLKLVRNMRAHSDPWFADYLLRIGGGTEEVNGDGSVCLPDEICVPYTGDDNNLDTLIECIFPRLNGNMSNKDYITSRAILSTRNDWVDMINMKMIGHFQGGETVYHSFDSAVDDPHNYYPSEFLNTLTPNGLPPHVLKLKIGCLVILLRNIDPANGLCNGTRLVVRGFQRNTIDAEIVLGQHAGERVFLPRIPLCPSDDEMFPFYFAMTINKAQGQTIPNVGVYLPEPVFSHGQLYVALSRATSRSNIRILTLPPNAEENRKYSNKKEKKKPNKKVKKKIPATDGTYTKNIVYKEVLTP >PVH35487 pep chromosome:PHallii_v3.1:7:38102162:38109709:1 gene:PAHAL_7G196900 transcript:PVH35487 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MPPAGALAGRVLQISPQIPRFPLSRLQRRTDEHRPHAPPPMYSPPHAGDSDSEGSLLSGVSASPPRGRSPPPPPPPKPRPSPKHTATAKPKPKPKPKAKPKPVASSCAAAAPPPPLRSAALSDPHGLASRIAAAPALVAATSTVSSSSFRRLVQSRNPSFDPVAAFSAPAPAPAPAPNPAPTPTPTEIPTAAAAQCPAPDAPPRARPKRVHPNSVSEAPPAGAEAEQPKRPRGGGEGNFVRLNINGYGRKRTFRNAQARRPTKYRSWRRQRAGGVKPQGCGDEEGDFVAEALMEREKKGAVGDIGVFKAVEAAREDPSEQNLESLLRLAYGYDSFRQGQLEAIQKVVAGESTMLVLPTGAGKSLCYQIPAMILSGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHETLQRLRAGDIKVLFVSPERFLNEEFLLIFKDALPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIVNALEIPSDNLIKTSQIRDNLQLSISTSDNRLKDLMLLLKFSPFVDMRSIIVYCKFQGETDYVSKYLCDNNISAKSYHSGLPMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYVQETGRAGRDGRLSHCHLLLDSTTFYKIRSLSHSDGVDEYAISKFLYQVFSCDNPMGSICSLIKELTSRKFDIKEEVLLTVLTQLEIGGQQYLCLLPQFNVTCTLYFHKTSPQLLADKDVLVRSVLNKSETKGGNFVFDMTKIANDLNITVHEVIDHLQQLKFSGEISFELKDPAYCYVILKKPDDLNTLSANITKWLSEVESSKIMKLDAMFALANFAVKGCQRTDGCSGSQHTQCIQKKITEYFSNNEATSDNDYRVQPHKSSPFLQADIKVFLQSNSFAKFTPRAVARIMHGISSPGFPSATWSKNHFWGRYVEVDFPVVMEAAKTELVKFIGKGE >PVH35486 pep chromosome:PHallii_v3.1:7:38102162:38110033:1 gene:PAHAL_7G196900 transcript:PVH35486 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MPPAGALAGRVLQISPQIPRFPLSRLQRRTDEHRPHAPPPMYSPPHAGDSDSEGSLLSGVSASPPRGRSPPPPPPPKPRPSPKHTATAKPKPKPKPKAKPKPVASSCAAAAPPPPLRSAALSDPHGLASRIAAAPALVAATSTVSSSSFRRLVQSRNPSFDPVAAFSAPAPAPAPAPNPAPTPTPTEIPTAAAAQCPAPDAPPRARPKRVHPNSVSEAPPAGAEAEQPKRPRGGGEGNFVRLNINGYGRKRTFRNAQARRPTKYRSWRRQRAGGVKPQGCGDEEGDFVAEALMEREKKGAVGDIGVFKAVEAAREDPSEQNLESLLRLAYGYDSFRQGQLEAIQKVVAGESTMLVLPTGAGKSLCYQIPAMILSGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHETLQRLRAGDIKVLFVSPERFLNEEFLLIFKDALPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIVNALEIPSDNLIKTSQIRDNLQLSISTSDNRLKDLMLLLKFSPFVDMRSIIVYCKFQGETDYVSKYLCDNNISAKSYHSGLPMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYVQETGRAGRDGRLSHCHLLLDSTTFYKIRSLSHSDGVDEYAISKFLYQVFSCDNPMGSICSLIKELTSRKFDIKEEVLLTVLTQLEIGGQQYLCLLPQFNVTCTLYFHKTSPQLLADKDVLVRSVLNKSETKGGNFVFDMTKIANDLNITVHEVIDHLQQLKFSGEISFELKDPAYCYVILKKPDDLNTLSANITKWLSEVESSKIMKLDAMFALANFAVKGCQRTDGCSGSQHTQCIQKKITEYFSNNEATSDNDYRVQPHKSSPFLQADIKVFLQSNSFAKFTPRAVARIMHGISSPGFPSATWSKNHFWGRYVEVDFPVVMEAAKTELVKFIGKGE >PAN39454 pep chromosome:PHallii_v3.1:7:41150217:41151465:-1 gene:PAHAL_7G243900 transcript:PAN39454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MASSSNNLVAHLRRSVSPASLRAYCAEFISTFLFVFTAVGSAISARMATPKGTAPDAASLVATAVAQAFGLFAAVLIAADVSGGHANPAVTFALALGGHVAAASAVFYWASQMLGGTFACLVLHYISAGQAVPTTRIDVQMTGFGAAIIEGVLTFMLVYTVHVAGDLRAGGSGKRRGFAATALGALAVGLVTGACVLSAGSLTGASMNPARSFGPAVVSGDYKNQAVYWAGPMIGAAVAALAHQVLACPPASAAAAAGSSRHGNVETVVV >PAN39446 pep chromosome:PHallii_v3.1:7:41095834:41097218:-1 gene:PAHAL_7G243200 transcript:PAN39446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEAHKCSVALRILVIEATVAVVPICQAASTLSEEVWPLTVLLGNRAMPMRWSVDLILQNQLVLSSETANCPAAPPQGLPDLLRTVPVPAGSLRHTAILLLLWIIWKSRNRMVFDGVNQGAPAMCATLSEHAGLWVARAPRRLNTEPLEIWCNPLLDVA >PVH35436 pep chromosome:PHallii_v3.1:7:37315563:37316965:-1 gene:PAHAL_7G184000 transcript:PVH35436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDSDKGRSKALKVAASVSGVESVTVAGRGKDLLLVIGDGIDAGKLTRKLKEEVGEAEILELRTLRGGTHDAPPRGAPSKDTVVTLSPYQRHPTPGRSVAGGGRIECPVAAAAPRWLGEHGRQAVSYYHRTPSLGYYQHYAPSPMAAQGGYGCGHGHGYAGGSSLYAREVARSHPVNCSPMIARHDLGADGRPPPRAASGDGREHGGGDPNCCSIL >PVH35743 pep chromosome:PHallii_v3.1:7:42271391:42271645:1 gene:PAHAL_7G260700 transcript:PVH35743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGTIDDCFKFSATLQFSVMPANGDAKLFAEYLGTRSFWFAATFFNKGFTATL >PAN39312 pep chromosome:PHallii_v3.1:7:40555430:40558697:1 gene:PAHAL_7G235000 transcript:PAN39312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRPFRAAGAVLAAVVAGLVCAAGVAEAGTGTFIYAGCSPSKYQPGTPFEASLESLLTSFANAAPNAGYSTFTAGANGTGGAAAYGLYQCRGDLDGGDCAACVRDAVGQLGQVCPAASAASLQLEGCYVRYDGSNFVGAPDTAMVYRKCSTSTSSDGGFLGSRDAVLGDLQQGAGGYKVASSGSVRGVAQCLGDLAAADCTACLAQAVGQLKGTCGTALAADVYLAQCYVRYWADGYYFRPAQDSQDDVGRTLAIVVGILAGLALFVVFVSFLRKTCN >PVH35204 pep chromosome:PHallii_v3.1:7:32749682:32752038:-1 gene:PAHAL_7G126500 transcript:PVH35204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGRDGRRVPCGLGTSSSTTSGTGSTGYTPTSSQQSWISIMEAARQIQEMEMEDLEFVPSPKETASHKNMKRIKILAKEFFSAPSSAKFSIEGAELSVLERWFRELGVAWVIHVADGGPAGELEHALDASSWIPALNEIRHTFCLVLWFLPGHGSAEKDEPATESDEEQNMAEEQLQLASFTQQAMLKMLTFVDFIVAPNLTCDVFVADGVPMPAPYDKLLTLLHVREPLSKALFWIRLPFDSSSYAEVARIHGEIVDVLSAKEDKVGEAIWSTLEEIRTRLLESPEEGQGSSGTQTPQGSSDIDKATRSVMMYVTFLQHNYWLLTPVVLEADSLGKYVPRFGAVQPLTSLAMEMISFLEEKLANKSEASPDQGLRFLFLLNNSSFIADQLHDTPYFPKSYKVDLAGKAEDYIKMYIQKMNTTQKLWKVPDPKLRNRLRRAIIDEVIPVYTRYLLAVDYGNAPLKFSPSYLQEMLQELFEG >PAN37834 pep chromosome:PHallii_v3.1:7:32750198:32751748:-1 gene:PAHAL_7G126500 transcript:PAN37834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGRDGRRVPCGLGTSSSTTSGTGSTGYTPTSSQQSWISIMEAARQIQEMEMEDLEFVPSPKETASHKNMKRIKILAKEFFSAPSSAKFSIEGAELSVLERWFRELGVAWVIHVADGGPAGELEHALDASSWIPALNEIRHTFCLVLWFLPGHGSAEKDEPATESDEEQNMAEEQLQLASFTQQAMLKMLTFVDFIVAPNLTCDVFVADGVPMPAPYDKLLTLLHVREPLSKALFWIRLPFDSSSYAEVARIHGEIVDVLSAKEDKVGEAIWSTLEEIRTRLLESPEEGQGSSGTQTPQGSSDIDKATRSVMMYVTFLQHNYWLLTPVVLEADSLGKYVPRFGAVQPLTSLAMEMISFLEEKLANKSEASPDQGLRFLFLLNNSSFIADQLHDTPYFPKSYKVDLAGKAEDYIKMYIQVSWAPVLSCLINPTPSCLGKNYSPLPKFESEFQKMNTTQKLWKVPDPKLRNRLRRAIIDEVIPVYTRYLLAVDYGNAPLKFSPSYLQEMLQELFEG >PAN38105 pep chromosome:PHallii_v3.1:7:34945311:34948106:1 gene:PAHAL_7G147500 transcript:PAN38105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSPILCRILLPLYILSCCAAKGTISPGQALVGGEKLVSSNGKFALGFFQTGSKSSQTTLNWYLGIWFNRVPKLTPLWVANIDNPLTDHTSSELTISDDGNLVILNQATSSMAWSTQANTTTNKTVAVLLNSGNLILQNSTNSSDVLWQSFDYPTDTLLPGAKLGWDKVTGLNRCLVSRKNSIDLAPGRYSFFLDPNGANQYMFTSLSFSIPYWFSGLWNGQYFSSVPEMQGPFLFNFTFVDNDQEKYFTYDLLDERTVLYLVLDVSGRTKTFIWLERSQEWLLSYAQPKAQCDVYAVCGPFTVCYDNALLFCNCMKGFSIRSPKDWELDDRTSGCMRNTPLDCVSNKSTGSSTDKFHSMPCVRLPPNAQNIEAATSSADECGAACLNICSCTAYSYGNGGCLVWHDELFNVKQQQCNDITGTSGGTLHLRLSAKEVKGQKSGARKMAIAIGLTASSAILFSLALALMIWWNKRTRYSFTLNNAQGGNGIVPFRYTDLQRATKNFSEKLGEGGFGSVFKGSLHDSTTIAVKRLDGAYQGEKQFRSEVSSIGLIQHINLIKLIGFCCSSESKLLVYEYMPHRSLDIHLFPGDAQTLSWSTRYQIALGIARGLAYLHESCRDCIIHCDVKPQNILLDESFIPKIADFGMAKLLGRDFSRALTTIRGTIGYLAPEWISGGAITPKVDVYSYGMLLFEIVSGRRNSHREHTTISDDDTFFPVQAASKLLVGDVGSLVDHRLHGDFNLKEAERSCKIASWCVQDNELDRPTMGEVVQILEGLVELDIPPMPRLLQAIAGGSHSAGVQP >PAN39320 pep chromosome:PHallii_v3.1:7:40580938:40585551:-1 gene:PAHAL_7G235300 transcript:PAN39320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] MAAEAPVRAPAAARSARRPAAAAVVSASSASRPVLGHRPFLAQRFAAGRAAVAGPAAGLRPRPRRPRLSVVAMAASDRQVPLQDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAKFEYQDIPADLQEMAQDYRVQMLETIIELDDDVMEKYLEGTEPDEETVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPAMKGTDPEDPEVILERHPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVTGDIVALAGLKDTITGETLCEEGKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGSVPKEYVPGVMKGLEESLPNGVLAGYPVVDFRAVLVDGSYHDVDSSVLAFQIAARGAFREGMRKAGPRLLEPIMRVEVVTPEDHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKTEEAAA >PAN39319 pep chromosome:PHallii_v3.1:7:40580938:40585620:-1 gene:PAHAL_7G235300 transcript:PAN39319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] MAAEAPVRAPAAARSARRPAAAAVVSASSASRPVLGHRPFLAQRFAAGRAAVAGPAAGLRPRPRRPRLSVVAMAASDRQVPLQDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAKFEYQDIPADLQEMAQDYRVQMLETIIELDDDVMEKYLEGTEPDEETVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPAMKGTDPEDPEVILERHPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVTGDIVALAGLKDTITGETLCEEGKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGSVPKEYVPGVMKGLEESLPNGVLAGYPVVDFRAVLVDGSYHDVDSSVLAFQIAARGAFREGMRKAGPRLLEPIMRVEVVTPEDHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKTEEAAA >PAN37939 pep chromosome:PHallii_v3.1:7:33669747:33675950:1 gene:PAHAL_7G134000 transcript:PAN37939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAQENSRNPTDRSNGSNQSQPRRPHFLFPQTERRARRRLVPAPPPHPAEDSSPGPIRGERGPSPSLHSTAAARDSGPDCRELAGVPLFAVCRRRCWLEMTHMFPREGASSSSTSMSSQRSETDDDRMIAMVLSEEYAKLDGAMAKRLTNLTSIPHVPRINTYFPTYSDATMDHYRLLDRLNAYGLFEVRVAGDGNCQFRALSDQLYRSPDYHRHVRKEIVKQLKECNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQTPQREIWLSFWSEVHYNSLYDARDIPSKYKPRKKHWLLF >PAN37941 pep chromosome:PHallii_v3.1:7:33669747:33676626:1 gene:PAHAL_7G134000 transcript:PAN37941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAQENSRNPTDRSNGSNQSQPRRPHFLFPQTERRARRRLVPAPPPHPAEDSSPGPIRGERGPSPSLHSTAAARDSGPDCRELAGVPLFAVCRRRCWLEMTHMFPREGASSSSTSMSSQRSETDDDRMIAMVLSEEYAKLDGAMAKRLTNLTSIPHVPRINTYFPTYSDATMDHYRLLDRLNAYGLFEVRVAGDGNCQFRALSDQLYRSPDYHRHVRKEIVKQLKECNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQTPQREIWLSFWSEVHYNSLYDARDIPSKYKPRKKHWLLF >PAN37940 pep chromosome:PHallii_v3.1:7:33669747:33675950:1 gene:PAHAL_7G134000 transcript:PAN37940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAQENSRNPTDRSNGSNQSQPRRPHFLFPQTERRARRRLVPAPPPHPAEDSSPGPIRGERGPSPSLHSTAAARDSGPDCRELAGVPLFAVCRRRCWLEMTHMFPREGASSSSTSMSSQRSETDDDRMIAMVLSEEYAKLDGAMAKRLTNLTSIPHVPRINTYFPTYSDATMDHYRLLDRLNAYGLFEVRVAGDGNCQFRALSDQLYRSPDYHRHVRKEIVKQLKECNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQTPQREIWLSFWSEVHYNSLYDARDIPSKYKPRKKHWLLF >PVH35029 pep chromosome:PHallii_v3.1:7:26182040:26182912:-1 gene:PAHAL_7G089000 transcript:PVH35029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGIIEHPRYYSREYEHLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLEWRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELVVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTSGGTPVPAIGETQVLIGRPITGWGGLFRTPQAPLEGAERIAAAVAGGADNEEELLIRLEVHSAPEDDSPRE >PVH35217 pep chromosome:PHallii_v3.1:7:33084230:33085154:1 gene:PAHAL_7G129400 transcript:PVH35217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKISGFFRVYNKRDCNPTASYSHLFAFLSRLKMGKILILGSILPLIQLEGDNWIAAGAKNPDGLFCEE >PAN38651 pep chromosome:PHallii_v3.1:7:37517774:37519267:-1 gene:PAHAL_7G187100 transcript:PAN38651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFRRWADLPPDLLCRIGDRLDLKWYASARGACTAWRCALAPPAPALLVVADDARWCPHAASLPTRRSFELTAIVSGSRCVGSSNGWLALSVALFTGQTVFVLLNPIAAVEILLPPLIYESRWVSKVVFTPRPAKDDFAAAAICDIDRIAYVTAGARRWAVMEPVRLTSGDQLTDVVYTDKGKVYCLTKCGDVHVLRLPERRRRKPANADEAGPSEPEFSVLQPPAERTINFRPLRCQQQRNFRMMRYEQGRICNQLEPIPLRLTLCAQAFIPYKRVPPESQGPHLNAPATVEPLLSEANLPFNPATVFAPPYDTVSAFTSAKNLVFCEGNLYQVWRNASCTVTLQLPAGGQRRVAENEILVLRYYPRRQPCWDVVKDLGGYSLFVGRNNAVSMYAEGVPGLRGNCVYWIGGRGRDQGMVFDMESGRSAPCRAPQVGFLPGHPHSTICWYFLSDVVSNNSSCISSSSSSSTSTNGGRKVYQTRARARADLAQDVED >PAN40663 pep chromosome:PHallii_v3.1:7:46770800:46778001:1 gene:PAHAL_7G332300 transcript:PAN40663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKIPLPQGLSFLRSVGWLEDRKVDSAAKQQLSPTLKLQTDKEVYRPGDSVTVTIEIHSPASLKDDAGQTVSGEDASSLLLDVLSFELKGIEKLDSQWFSVPKPLPGSKQRRGEHTLLDCSAPSLVSKVIIASGQTKTYIVRVELPKILPPSYRGISIRYFYYVRSALFGRSVVLGNGDQNKNPVNTSIQLEARVPLQIRVSQKSSNLLNEEGTWPITGDQLGIFWREKDEDSEWVKANDNADLEEGYDSSKDEVSSVSSYNPSKANPEFSLRNSLSMQSLSSRLSTSEPFYSQAEQPNFPSYSPIPRLSVSEISDDHDGGLVSPQRKLNLLLPDHPPNGQRFSPDSDRLKDDVGLPLTPKNVDPAGSEGFTRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCREVSITLETSETINPRALHPSRRGSPTITKLHSEHHEVVADLHQTSFLFSIPIDGPMSFSTSKVTLQWSLRFEFFTTPEGTDPTRYEHPLLVEKREKGEWVLPITVYAPPLRRRPTHGRNDRSVLPGNIFKS >PAN40664 pep chromosome:PHallii_v3.1:7:46773509:46778001:1 gene:PAHAL_7G332300 transcript:PAN40664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHMDRSHIRAKYAIYVRPNKCLPSKEARVPLQIRVSQKSSNLLNEEGTWPITGDQLGIFWREKDEDSEWVKANDNADLEEGYDSSKDEVSSVSSYNPSKANPEFSLRNSLSMQSLSSRLSTSEPFYSQAEQPNFPSYSPIPRLSVSEISDDHDGGLVSPQRKLNLLLPDHPPNGQRFSPDSDRLKDDVGLPLTPKNVDPAGSEGFTRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCREVSITLETSETINPRALHPSRRGSPTITKLHSEHHEVVADLHQTSFLFSIPIDGPMSFSTSKVTLQWSLRFEFFTTPEGTDPTRYEHPLLVEKREKGEWVLPITVYAPPLRRRPTHGRNDRSVLPGNIFKS >PVH35819 pep chromosome:PHallii_v3.1:7:43947825:43948371:-1 gene:PAHAL_7G286100 transcript:PVH35819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPWTSVETHRTQRNEESYIIKRSRGFDFYTQVGRRGPGASCHRRGREPRTGGGNGGSGIRPCRPWTTTGRFLERSAEGEGGGGGGDLNLMEGVGRIWPGNGIGSARSVLHEEEGGKEEGEEGE >PVH35481 pep chromosome:PHallii_v3.1:7:38023523:38028503:-1 gene:PAHAL_7G195400 transcript:PVH35481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCPSYLQIYLYGGYFKEVSSDKEKGTVHADMWSLDPRTWEWNKVKKAGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKESMNDVEANVDNEGDEVMEDLEEAIKGQPEVHGVSNQLIKSLSITKAGSSKSSDVLSDSTTQEAPPEAVKPSGRINACMAVGKDMLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPASESEWLEISEDEDDDDDDDADDNENDSEGDASQTDDDEESDEDAAKNVSSAVSLLKGESKTMRRKEKRARIEQIRVILGLSDSQRTPVPGESLRDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRFKELKPILDELAVLEAEQKAEEEASGSTSSKRDTKKGKHKSAGR >PAN39396 pep chromosome:PHallii_v3.1:7:40857939:40866717:-1 gene:PAHAL_7G239700 transcript:PAN39396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MPRPKPAPASSGGWFAGWGWDLMFGSIAAFYAVMAPYTKVEESFNVQAMHDILYHTYHIEKYDHLEFPGVVPRTFIGAFVISILSSPAVLVMRLLHVPKFYSLLTVRLLLSFVTLMSLRLLRVQVKKKFGHQSEVFFVVLTAIQFHLLFYSSRPLPNIFALALVNLAYSLWFKGSTICTLQALIVAAVVFRCDMILLLGPIGLALLLSRSVSLLEAVKYCVSTALVCIGFTMLIDSIMWRRILWPEFEVLWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCMVGALLDRRIVPYMLPVFLFVVLYSKLPHKELRFIIGSIPMFNVSASLAASRLYNNRKKAGWNLLYILMLGAFLVSLGYSAVTFMASYNNYPGGYALKALHEADSSVKEKMVHIDAFTAMSGVSRFCENEYPWRYSKEEEISIEEFQERNFTYLLNEHQSISGYKCLFAVDGFSRVKLQPRIPPLSLVKEPKVFAHGNLRDPDILSLNWPGCP >PVH35947 pep chromosome:PHallii_v3.1:7:45668466:45669085:-1 gene:PAHAL_7G315500 transcript:PVH35947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSANKAVKLIESWGQHGTICTQPLPENWLHQSSRQKTSLRTRGGREKRKERKDEEAPSKKWGKKILCTGQSLLRSRRRTMVQPYSTVSPKI >PAN39121 pep chromosome:PHallii_v3.1:7:39744499:39750011:1 gene:PAHAL_7G222600 transcript:PAN39121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSILSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFTHKYSAPVLGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTLRNILGILVAIFGMALYSYFSVRESKKKSINDALPVSQMPEKEAEPLLATKDSNDTKKANGLSHDC >PVH35188 pep chromosome:PHallii_v3.1:7:32425801:32426142:1 gene:PAHAL_7G122000 transcript:PVH35188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAWPAACLISRPADAVGLVSRRRRRARRWPGPSNMRWRIGAPPLDDRHGDRCLCGSRVQHETSAAAGCATAPAPYQGRPPNYWMNLTDLESCVIGLHCTGCHIRFFLRA >PAN38286 pep chromosome:PHallii_v3.1:7:35970559:35974474:-1 gene:PAHAL_7G161000 transcript:PAN38286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGGVEVEEGVTRVLLVDDSPVDRRVAQLLLSSASCAGSFHVIAVDSAKKAMEFLGLKDGKEQAIDMVLTDYCMPEMTGYDLLKAVKALNPLKPIPVIVMSSEDEPQRISRCLSAGAEDFIVKPLQSKDVQRLRNCSTGRPNKGSPPCECDAAAKRKPLVLPPSAADASPSGRRANFAGVAMVLHSSRVELSQYFPLLLKLVVLVYAVLCVGELLHRWSSRGGCSLALWCA >PVH35354 pep chromosome:PHallii_v3.1:7:35970559:35972499:-1 gene:PAHAL_7G161000 transcript:PVH35354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTDYCMPEMTGYDLLKAVKALNPLKPIPVIVMSSEDEPQRISRCLSAGAEDFIVKPLQSKDVQRLRNCSTGRPNKGSPPCECDAAAKRKPLVLPPSAADASPSGRRANFAGVAMVLHSSRVELSQYFPLLLKLVVLVYAVLCVGELLHRWSSRGGCSLALWCA >PAN38380 pep chromosome:PHallii_v3.1:7:36382808:36386656:-1 gene:PAHAL_7G168200 transcript:PAN38380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPRNRKLAAQHLRSASHAVPYDYKKTKKLDKKNELQSTSEENDWKNATCSICLERPHDAVLILCSSHSKGCRPYMCGTNYKHSNCLELFKNAYSREKPACKVSTVVAPTNQKPKTQLLACPICRGEVKGWTVVKPARRFLNRKRRACMHEDCSFVGTYKGLKRHVRSKHRLSKPRDVDPVRLAKWKKFENEKERQDAISIVSALNPGSMIMGDYIIDPDSDSDSYIDDPYFDDVSDRDTSLDGDEGSYHRGFVHRYGSHRRNGERAS >PAN38379 pep chromosome:PHallii_v3.1:7:36383146:36386656:-1 gene:PAHAL_7G168200 transcript:PAN38379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPRNRKLAAQHLRSASHAVPYDYKKTKKLDKKNELQSTSEENDWKNATCSICLERPHDAVLILCSSHSKGCRPYMCGTNYKHSNCLELFKNAYSREKPACKVSTVVAPTNQKPKTQLLACPICRGEVKGWTVVKPARRFLNRKRRACMHEDCSFVGTYKGLKRHVRSKHRLSKPRDVDPVRLAKWKKFENEKERQDAISIVSALNPGSMIMGDYIIDPDSDSDSYIDDPYFDDVSDRDTSLDGDEGSYHRGFVHRYGSHRRNGERAS >PVH35282 pep chromosome:PHallii_v3.1:7:34289088:34289572:-1 gene:PAHAL_7G140600 transcript:PVH35282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHEFEHHRENRMLEEWSCREGIPVQLAHDGLRIFIDL >PVH35946 pep chromosome:PHallii_v3.1:7:45658452:45663681:1 gene:PAHAL_7G315300 transcript:PVH35946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 2 [Source:Projected from Arabidopsis thaliana (AT4G36920) UniProtKB/Swiss-Prot;Acc:P47927] MWDLNDSPAAEATPPSPSADDSGASSSSAAALVEIPDDADEDSAAAGADAVVTRQFFPAPAVAAAGARAGWLRLSAAAPPPAAGANGATAAGPAGATVSSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYDDDMKQQMGNLSKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAEEIEPAAAKGGGDEHNLDLSLGSSAGSKRGSLDGGDDETSDQRVPMAFDIDWQTAAARSTKAKFDASSKQPQMPPPPPAFQAAHHLPFSPRHHQQFLSNGGDPGTAGGLSLAIGGAGGGGGHWPPHLHQQQQRLLHGWGNGGGTSWPLPPHPPPPTNAAAATAAAASSRFPPYVTTQGPPSWVQKNGFHSLARPT >PVH35945 pep chromosome:PHallii_v3.1:7:45658452:45663681:1 gene:PAHAL_7G315300 transcript:PVH35945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 2 [Source:Projected from Arabidopsis thaliana (AT4G36920) UniProtKB/Swiss-Prot;Acc:P47927] MWDLNDSPAAEATPPSPSADDSGASSSSAAALVEIPDDADEDSAAAGADAVVTRQFFPAPAVAAAGARAGWLRLSAAAPPPAAGANGATAAGPAGATVSSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYDDDMKQMGNLSKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAEEIEPAAAKGGGDEHNLDLSLGSSAGSKRGSLDGGDDETSDQRVPMAFDIDWQTAAARSTKAKFDASSKQPQMPPPPPAFQAAHHLPFSPRHHQQFLSNGGDPGTAGGLSLAIGGAGGGGGHWPPHLHQQQQRLLHGWGNGGGTSWPLPPHPPPPTNAAAATAAAASSRFPPYVTTQGPPSWVQKNGFHSLARPT >PAN39590 pep chromosome:PHallii_v3.1:7:41728708:41730923:1 gene:PAHAL_7G254200 transcript:PAN39590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDSKGGGGFLAGFLIGGAIFGTLGYVFAPQISRALDSLLDENGQDSESDETGLRRVPGPRRGQYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVPGNVENEAVIDETEIEISSLNDNEHVVENLNEHGFVQGESAT >PVH35797 pep chromosome:PHallii_v3.1:7:43702969:43704794:1 gene:PAHAL_7G283000 transcript:PVH35797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVLALPAIEAEGAASDGQWAANWTSSCSSKRRLKFRPPLIPCSSSPIGQGSTCRSSKKISRQPYDLQCSIFSNYNAHSVLKHGIYQFRTDE >PVH34752 pep chromosome:PHallii_v3.1:7:4677549:4677990:1 gene:PAHAL_7G023200 transcript:PVH34752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKFCHTDNTCRNKCTDSITCEETNEKPQRKNQSQQLISEREMHTLRTIAKKVSKARDVLRNMNTKETSSPKHFWQEILR >PAN40811 pep chromosome:PHallii_v3.1:7:47472437:47477589:1 gene:PAHAL_7G342800 transcript:PAN40811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRWAAAAAPPSLLQVLLALHCGVVFLQCSAASAMSGDVSALMAFKRAIIEDPHSVLSDWTDADGNACDWHGVICSAPQGSVISLKLSNSSLKGFIAPELGRLNFLQELYLDQNLFFGTIPRQIGSLRNLRVLDLSVNRLTGPVPSELGELSSVSVINFHSNGLTGKIPSELGKLQNLVELRLDRNRLKGSIPGSNTGSFSPTSNIGSTAYNGLCPSHRLYVGDFSYNFLVGKIPPCLKYLPRSSFQGNCFQDEYSTQQRALQICISGSTGQRGGINGSKHPVHKHERMQQPTWLLVLEIATGILLVVFVITGIVTASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSHHELFYQNKVIDVARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFSKHEKAPSHFNSKGSFPGHGDSAEDKHADIQGNTYAFGVILLEIISGRLPYCKDKGYLVDWATKFLQQPEEIGKLVDPELSNVRTEDLAVLCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >PAN40812 pep chromosome:PHallii_v3.1:7:47473395:47477590:1 gene:PAHAL_7G342800 transcript:PAN40812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKQLKRCSAICRKLSNSSLKGFIAPELGRLNFLQELYLDQNLFFGTIPRQIGSLRNLRVLDLSVNRLTGPVPSELGELSSVSVINFHSNGLTGKIPSELGKLQNLVELRLDRNRLKGSIPGSNTGSFSPTSNIGSTAYNGLCPSHRLYVGDFSYNFLVGKIPPCLKYLPRSSFQGNCFQDEYSTQQRALQICISGSTGQRGGINGSKHPVHKHERMQQPTWLLVLEIATGILLVVFVITGIVTASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSHHELFYQNKVIDVARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFSKHEKAPSHFNSKGSFPGHGDSAEDKHADIQGNTYAFGVILLEIISGRLPYCKDKGYLVDWATKFLQQPEEIGKLVDPELSNVRTEDLAVLCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >PAN37393 pep chromosome:PHallii_v3.1:7:26224502:26227511:1 gene:PAHAL_7G089100 transcript:PAN37393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRDRRTLFFACRNCEHQEVSDNNCVYRNEVHHTAGERTQVLQDVASDPTLPRTKTVRCALCGHGEAVFFQATARGEEGMTLFFVCCSPDCGHRWRE >PAN38199 pep chromosome:PHallii_v3.1:7:35374146:35375702:1 gene:PAHAL_7G153700 transcript:PAN38199 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28605) UniProtKB/Swiss-Prot;Acc:Q8VY52] MSLVCPRCGPPLALAGRHRRRPHWARAVAPKCEASPSHPPVLTRRAVSAATLLLATLPFPASSPQLPVASASEAAAAAAAAEAQGESGVPEGLELERYTDQEQGFTLLKPASWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLTEFGTPQFVADRLLQAEKKKESTKSAEVISAGERSGHGGLTVYEIEYALDSTRGGMKRIFSAAFVASRKLYLLNIAYSDAKEKPLDSQTRTVLEQVLHSFDSV >PAN40379 pep chromosome:PHallii_v3.1:7:45334000:45337578:1 gene:PAHAL_7G310500 transcript:PAN40379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSSIGIMDGAYFVGRGEILHWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLRIVKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNNGIMNENYNPVERRSKGCKERGSKGSNKSSKSLQANRLSGGDSADGGPGVGKVCNTFAEEHYIEQIQQLSEKIADLKVSVDSMEKERDFYFSKLRDIEILCQRPELEHLPMTKAVRKILYAADAKDSPLPDANDIISKSPGLFSDEAE >PVH35870 pep chromosome:PHallii_v3.1:7:44568402:44568779:-1 gene:PAHAL_7G296900 transcript:PVH35870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARSPSRARTLLLAVAVASTGSKKRAIRSETEASRDPVLAARHGGSATCTVRSHEPCRRPGTAGHITTLRSSCSTEPGGGGGLARRLGTQERIPTDMPAELTKLQSCAAVPSIAVRPAQPCSRG >PVH35090 pep chromosome:PHallii_v3.1:7:29792574:29792971:-1 gene:PAHAL_7G104300 transcript:PVH35090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >PVH34970 pep chromosome:PHallii_v3.1:7:22829134:22829720:1 gene:PAHAL_7G077200 transcript:PVH34970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLTRYPKFCKSYSNKELGAAIGRGSILNYDHLCCKYFLLPWFTGKNFMLYVLDHVEKKLIMIDLSRVSEWCEDVPYRKYGETITHFYKKYTTAMNVNSPRWDQNIYKWSFTHEKGIVDDEEEGYSTGYLVLQYMSWWKST >PAN39876 pep chromosome:PHallii_v3.1:7:43230640:43233400:-1 gene:PAHAL_7G276100 transcript:PAN39876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASSKGRAIAGSFVSRVLAGKAASPRRAVHASAYDKNLEDQVRPAFVPDDVIGGAANPDKYWGPHPKTGVFGPAAVDAQLAAGAPDAAANGPGTVLDQKVWFRPLEDVEKPPPVA >PVH35950 pep chromosome:PHallii_v3.1:7:45725473:45729619:1 gene:PAHAL_7G316100 transcript:PVH35950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVSLNRLMFKHKERRRRRRVRNGLITSVSQENESLCQEIDQSQSGEMSRYSGPDLPEDIWCHIHSLLPLRDSARSACVSYTFLHSWRRYPKLTFTEEALGLKQMEGQKTGVDFTNRVDHILKNHSGTGVKILKLAVPLYRNVSSCHLTSWLQNAITPGIEEVNLTLHSEYMEEYNFPCSILHNGCGNSILYLRLTYCAFRPTSGSDCLRSLTKLDLYKVSITGDELGCLISNTFALEKLMLGQCNELICLKIPFWLERLSFVYLTWCRMLQVIESAAPNLSTFKLIGDPVQMSFGISSQVKNLNVGFSFKPNILSYAITKLPSVFPHLETLILSSMSEMIDTPMVADKFLHLKHLKIFLSILYDSWSPAYDFLSLVSFLDASPQLETFLLSIRHLGDMEHDSGDDAHMRQIPKYKHCRLRKVRINGFFSAKGMVELTCHILEVATSLESLTVDTVYNEEEDDKISRCAVKKSGECWSISRDWILEAHKAVGVIKRYILERVPSTVKINVGEPCRRCHSIDVIASNI >PAN39464 pep chromosome:PHallii_v3.1:7:41199017:41200142:1 gene:PAHAL_7G245000 transcript:PAN39464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVALPIAAFAALPFLSLLASPIIPCYGSPGPMSPRNYTATSRYTTSVPAAGWSSGGATWYGSPYGAGSDGGACGYQGTVSRRPFSSMIAAGGPPLFKNGRGCGACYQIKCTGNKACSGRPVTVTITDSCPGGVCLAEAAHFDMSGTAFGAMASRGMADRLRAAGVLKIQYKRVPCNYNGMGIAFRVDAGSNPYYLAVLIQYQSGDGDLAAVDIMQPGGAWAPMQHSWGATWRANSNTGRPLRAPFSLRLTSGAGKVLVVRNAIPAGWRAGKTYRSTVNYAT >PAN38794 pep chromosome:PHallii_v3.1:7:38199946:38202508:1 gene:PAHAL_7G198700 transcript:PAN38794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRPSVHPSSHLRPRQPIRKTLEHNLFPPLQPQIYHSSPTMSRDPLVVGHVVGDILDPFIKSASLRVLYNNKELTNGSELKPSQVANEPRVEIAGRDMRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNASYGNEIVSYENPKPTAGIHRLVFVLFRQSVQQTVYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >PAN38258 pep chromosome:PHallii_v3.1:7:35671766:35684197:1 gene:PAHAL_7G158400 transcript:PAN38258 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MDLNHELPRATLNAIKFDLMTSTDMEKLSSISIIEVSDVTSPKLGLPNGSPQCETCGSQSERDCDGHFGVTKLAATVHNPYFIDEVVHFLNQICPGCLSPRESIDLKRLESEPVRATCKYCLKDGSKLYPSVIFKTLSSPRVLLSKSKLHRSPSVMERISIVAEATDRVSNKSKGKGSLEGLPQDYWDFVPSENQQLQSTMTKIILSPYQVFHMLKKSDPELIKQFVSRRELLFLSCLPVTPNCHRVVEIGYGLSDGRLTFDDRTKAYKRMVDVSRRIDDYRQHPQFSVLASSLVSSRVSECLKSSKLYSRKTDGETTTDTYGMKWLKDAVLSKRSDNAFRSIMVGDPKIRLWEIGIPEGLASNLVVSEHVSSYNLENMNFKCNLHLLAKEELFIRRSGKLMFIRKANQLEVGDIAYRPLQDGDLILVNRPPSVHQHSLIALSTKILPIQSVVSINPLCCTPFLGDFDGDCLHGYIPQSIRSRIELGELVSLHQQLLNMQDGRSLVSLTHDSLAAAYLLTSTDVFLKKSELQQLQMLCLSVSKTPVPAVVKSMDFQGSLWTGKQFFSMLLPSGMNFSCDRKLQIIDSEVLTCSLGSSWLQNSTSGLFSIMFKQYGHKALEFLSSAQEVLCEFLTMRGLSVSLSDLYMFSDHYSRRKLTEGVKLALDEAEEAFRIKQILLDPINIPILKCHDETEDVIYRQSDNIQSNLSVVRSSIMAFKDVFSDLLKMVQQHVSNDNSMVVMINAGSKGSMLKYAQQTACVGLQLPASKFPFRIPSKLSCISWNRQKSLNCEAEGTNGHVGGQNLYAVIRNSFIEGLNPLECLLHAISGRANFFSEHADVPGTLTRKLMYHLRDLHVAYDGTVRSSYGQHILQFSYDTADDMYCNRDRVDEIGAPVGSWAACSISEAAYGALDHPVNGLEDSPLMNLQEVFKCHKASNSGDHVGFLFLSKNLKKHRFGLEYASLEVKNHLERVNFSDLVETVMIIYDGCDTTRKGGPWTTHFHISKEMMKKKRLGLGFVVEELTKEYDATRNQLNNEIPSVRISKIKRSVGDECVQNSTCCITVVAQAESNSMSQLDTIKKRVIPIILDTLLKGFLEFKDVEIQCRHDGELLVKVGMSEHCKAGRFWATLQNACIPVMELIDWEQSQPKNVYDIFCSYGIDSAWKCFVESLKSVTADIGRNVRREHLLVVADSLSVTGQFHSLSSQGLKQQRTRLSISSPFSEACFSRPAQSFINAAKQCSVDNLCGSLDAIAWGKEPFNGTSGPFEIMHVGKPHKPEENESIYGFLCNPEVQNFEKNCLDSCRHSTENASRCRLACKSKGSATINGGNITIDHGFLHAKVGIWDNIIDMRTSLQNMLREYPLNGYVMEPYKSQLVEALKFHPKGAEKIGVGVKEIKIGLHPSHPDTRCFILLRNDDTTEDFSYKKCVQGAANSISPQLGSYFEKKLYRRG >PAN39474 pep chromosome:PHallii_v3.1:7:41254105:41257907:1 gene:PAHAL_7G245900 transcript:PAN39474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19800) UniProtKB/Swiss-Prot;Acc:Q8L4R0] MSPAAALLLRPTSATTHPLLHLSSPKSVVLRLHPSRRRLPVPRLSLTPTTSSNSNNSPTPPSPPAPSPEPAAPPSLFANWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVALLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVVPRVLACVLALPVLTLISFALGLASSAFLADSIFGVSVSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGVFIADFALSCLFFQGAGDSLKYAMG >PAN39476 pep chromosome:PHallii_v3.1:7:41254110:41257907:1 gene:PAHAL_7G245900 transcript:PAN39476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19800) UniProtKB/Swiss-Prot;Acc:Q8L4R0] MSPAAALLLRPTSATTHPLLHLSSPKSVVLRLHPSRRRLPVPRLSLTPTTSSNSNNSPTPPSPPAPSPEPAAPPSLFANWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVALLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVVPRVLACVLALPVLTLISFALGLASSAFLADSIFGVSVSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGVFIADFALSCLFFQGAGDSLKYAMG >PAN39475 pep chromosome:PHallii_v3.1:7:41254103:41257910:1 gene:PAHAL_7G245900 transcript:PAN39475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19800) UniProtKB/Swiss-Prot;Acc:Q8L4R0] MSPAAALLLRPTSATTHPLLHLSSPKSVVLRLHPSRRRLPVPRLSLTPTTSSNSNNSPTPPSPPAPSPEPAAPPSLFANWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVALLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVVPRVLACVLALPVLTLISFALGLASSAFLADSIFGVSVSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGVFIADFALSCLFFQGAGDSLKYAMG >PVH34733 pep chromosome:PHallii_v3.1:7:3879677:3881732:-1 gene:PAHAL_7G019100 transcript:PVH34733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRIFVSLHSSQGQLGQSLVAPSSYLTQTPRPRSSHYQAGPPAMAAGRVDAASMVAVGLVWGATNALMRRGALVWDRRARASPSSSVLRRWAALLVTWQYSAPFAANLCASAAFFALLGAAPISVAVPVTNAVTFAATAVAAAILGERVRPAPAALGTALIVLGVWICIS >PVH35485 pep chromosome:PHallii_v3.1:7:38101657:38102007:1 gene:PAHAL_7G196800 transcript:PVH35485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDSGRAFFRDELVPRSRVSRASGTRSERTDSLRDRGVAGGGRAAVAAAQRTGGGGAAAEPRWMGAAATLAWQTGAEGLCGSGSLADRGRRMGSGRWQEASA >PAN38405 pep chromosome:PHallii_v3.1:7:36474872:36476796:-1 gene:PAHAL_7G169700 transcript:PAN38405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSQLAGRSRSSAVRATTVLLTSAIVAAVLLMAAVLFGARWTPSSDAGTWVSAGVRVVMNAVSDQGAVPLATVPDPSDRLLGGLLSPDFDDRSCFSRYRAARYRRASLHALSPHLVFALRRYESLHRLCGPGTHAYARAVERLRAPPKASSGDDVAPSCSYLVWTPNAGLGNRILSITAGFLYALLTDRVLLLDGSRGDLDDLFCEPFPGSTWILPRGDLPARVKLTIDTPESLGNTLGRGEAPGGGAPWLYVHLVHNYNAQDKLFFCDDVQAQLRRVPWLVFQADNYFVPGLFVMPRFERELARMFPRRDAVFHHLGRYLFHPSNTVWDMVARYHGAYFAKADERVGIQVRTFKWAPISTDEFYAQILKCTQRENILPAVVAPAANASTGGSGGQAAAKRKAVVVVSLHGEYSEMLRNLYHEHGTAAGEAVSVFQPTHLGEQHIGDKQQNQKALAEMVLLSFSDVVVTSGVSTFGYVSQGLAGLRPWVLMRPNHGKAPNTPCRLAPTIEPCFHRPPNYDCRAKARCDTGRMVQHIRHCEDFPEGVQLLES >PAN37858 pep chromosome:PHallii_v3.1:7:32990069:32998441:-1 gene:PAHAL_7G128200 transcript:PAN37858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESSSPALDADRIEAPSPKEENNSTNSEAATDTEDIEISDDDDDDRNHKHRRREARPQSDENTEEQHPGISLKKRSRVSGNEQPFDGAGSQGEAQKDFVPKFKRFPGAGAHSRAPRVSQSFRSDSSASGAARPPMTRGRGRNGAPWAQHDPRFNNLDMMDFASQMASQGPPAHPSLFMGTALPSGPYGFMPGMPHGILDPIHPLGMQGPIQPAVSPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSVPNAQGLGIQNEGGSGSVNLSSLGGSKSVPAKDVKAGVASDAVKPNGSTSSVVADADVYDPDQPLWNNEHPEASCAGFVHNDAGMWNPESSGYEMRQEHSNHAVATDGSQSLKSSVWGRIASKRKSGGSNTAKITPTSNTGNQKGDYDEMAPSTAQVKSAAAKDTNGQSNSRICADVGRQSNRAAHKASRTLYVHGIPQESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIRLWWANRDRIPDEVEGRIPAKSSQSSTTLANSAPQPSYPNRVKENAQSTTPRPSSGSSAEPLSSGTGSKMLSASSIKPIPHAPKRHESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGSANSVKHAEASGKEGSSNDASKVKDARSISVRAEGSQEVSGSSEKKSSGELASCSQKSTAISTQKSAVVTKQTTLLALPQNRFKLDNRTTSFRILPPLPSDIANESILADHFSSFGELSSVVLEDTEAHNPDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHALRFMWLTASPGSNNHSRPQNTSVPTRASSISGHTQSMSSESPSPVGKISSTATSYTAAIPHNKKSTSTAESGKTSPVGISKASGSSSSLSSNDECPPQHGSTRNVISDSALPQ >PVH35210 pep chromosome:PHallii_v3.1:7:32990091:32998401:-1 gene:PAHAL_7G128200 transcript:PVH35210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESSSPALDADRIEAPSPKEENNSTNSEAATDTEDIEISDDDDDDRNHKHRRREARPQSDENTEEQHPGISLKKRSRVSGNEQPFDGAGSQGEAQKDFVPKFKRFPGAGAHSRAPRVSQSFRSDSSASGAARPPMTRGRGRNGAPWAQHDPRFNNLDMMDFASQMASQGPPAHPSLFMGTALPSGPYGFMPGMPHGILDPIHPLGMQGPIQPAVSPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSVPNAQGLGIQNEGGSGSVNLSSLGGSKSVPAKDVKAGVASDAVKPNGSTSSVVADADVYDPDQPLWNNEHPEASCAGFVHNDAGMWNPESSGYEMRQEHSNHAVATDGSQSLKSSVWGRIASKRKSGGSNTAKITPTSNTGNQKGDYDEMAPSTAQVKSAAAKDTNGQSNSRICADVGRQSNRAAHKASRTLYVHGIPQESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIRLWWANRDRIPDEVEGRIPAKSSQSSTTLANSAPQPSYPNRVKENAQSTTPRPSSGSSAEPLSSGTGSKMLSASSIKPIPHAPKRHESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGSANSVKHAEASGKEGSSNDASKVKDARSISVRAEGSQEVSGSSEKKSSGELASCSQKSTAISTQKSAVVTKQTTLLALPQNRFKLDNRTTSFRILPPLPSDIANESILADHFSSFGELSSVVLEDTEAHNPDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHALRFMWLTASPGSNNHSRPQNTSVPTRASSISGHTQSMSSESPSPVGKISSTATSYTAAIPHNKKSTSTAESGKTSPVGISKASGSSSSLSSNDECPPQHGSTRNVISDSALPQ >PVH35211 pep chromosome:PHallii_v3.1:7:32992487:32996689:-1 gene:PAHAL_7G128200 transcript:PVH35211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESSSPALDADRIEAPSPKEENNSTNSEAATDTEDIEISDDDDDDRNHKHRRREARPQSDENTEEQHPGISLKKRSRVSGNEQPFDGAGSQGEAQKDFVPKFKRFPGAGAHSRAPRVSQSFRSDSSASGAARPPMTRGRGRNGAPWAQHDPRFNNLDMMDFASQMASQGPPAHPSLFMGTALPSGPYGFMPGMPHGILDPIHPLGMQGPIQPAVSPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSVPNAQGLGIQNEGGSGSVNLSSLGGSKSVPAKDVKAGVASDAVKPNGSTSSVVADADVYDPDQPLWNNEHPEASCAGFVHNDAGMWNPESSGYEMRQEHSNHAVATDGSQSLKSSVWGRIASKRKSGGSNTAKITPTSNTGNQKGDYDEMAPSTAQVKSAAAKDTNGQSNSRICADVGRQSNRAAHKASRTLYVHGIPQESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIRLWWANRDRIPDEVEGRIPAKSSQSSTTLANSAPQPSYPNRVKENAQSTTPRPSSGSSAEPLSSGTGSKMLSASSIKPIPHAPKRHESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGSANSVKHAEASGKEGSSNDASKVKDARSISVRAEGSQEVSGSSEKKSSGELASCSQKSTAISTQKSAVVTKQTTLLALPQNRFKLDNRTTSFRILPPLPSDIANESILADHFSSFGELSSVVLEDTEAHNPDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHALRFMWLTASPGSNNHSRPQNTSVPTRASSISGHTQSMSSESPSPVGKISSTATSYTAAIPHNKKSTSTAESGKTSPVGISKASGSSSSLSSNDECPPQHGSTRNVISDSALPQ >PVH35988 pep chromosome:PHallii_v3.1:7:46596059:46596484:1 gene:PAHAL_7G328300 transcript:PVH35988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALHIPTPSLVQDTDAFSLVSICFPQPPPAAEEDQAPSRRVTRKMELPTAEKDGAPRRAPLRRGGRSSPPPTSRSRSCPAPRARSGGARSSSLRAPPPSSSPPHTLVRGQAGARWAAILITRGGVRCRAEQGRKEEGNEE >PVH35288 pep chromosome:PHallii_v3.1:7:34373161:34373721:-1 gene:PAHAL_7G142100 transcript:PVH35288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMAAYSQPILGLKRYGGDNDDDGSSSSGELRALAGPPARAPPPPQRRRSYGDVLPLGAAAAAPCAKPRRLRSGGERAGGTPLLASSPPRYVSFEDVIGAAEAPFPDGGGQPERRAVISDPLVRAASRLYAREAAALRPQQNRRRQRSPGPLGTRRGSAMHGLVKKYVQPFFGFVAGIFCCAIPS >PVH34755 pep chromosome:PHallii_v3.1:7:4857937:4858808:-1 gene:PAHAL_7G023800 transcript:PVH34755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTHGVCQEEPGFPRLLINSLERLGITERPRYYSREYEHLGTRRCRVVLSIARSTRHPDIEPWRVTATGFRHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEEQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPVPAIGETRVLIGTPITRWGGLFRTPQAPPEGTERTAAAMEGGTVEQPRENGILEDDEEELLIPLEVHSAPKDDSPRE >PAN37433 pep chromosome:PHallii_v3.1:7:27040038:27053016:-1 gene:PAHAL_7G092700 transcript:PAN37433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MAAGSSRQAAEKVDKWMAFPSGADGGGSFTFPPPRSWSGGVKEIVEELASSSPGAAAGGSSSNRQPSFQRGRDSGVGSTKSSLDGARASGESSLPRVSQELKDALSNLQQTFVVSDATRPDCPIIYASAGFYTMTGYSPKEVIGRNCRFLQGPDTDMNEVAKIRDAVKAGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDDRQKETAMSSITEVVQTVKDPRARSQGEEEPMEPPPPVTPARAGAAATASPMVGPGTASGGASHKLPLWDLKKEDSLSRKLSGRGSLMGFKMGKRSSVGSREQALPAAEVEAPAPPAPPEAATEKERKNSWEKEGRERDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDMSTVDKIREAIREQKEITVQLINYTKSGKKFWNLFHLQPMWDQKGELQYFIGVQLDGSDHVEPLTSRLSENTELQSAKLVKATAENVDEAVRELPDPNLRPEDLWAIHSQPVSPKPHKRYNSSWIAIEKITKSGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYSLLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFALLDRQPMNLFREDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQEDGHIVLTDFDLSFLTSSKPHVIKHSTSRRRRSKEFLPPSFVSDPATPSNSFVGTEEYIAPEVITGAPHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSSRLGSSAGANDIKQHPFFEDIYWPLIRCMEPPELDVPLKLIRKESEVKVKAEEDSLTLPY >PVH35137 pep chromosome:PHallii_v3.1:7:30988976:30993057:-1 gene:PAHAL_7G111800 transcript:PVH35137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAAPPSLAFSRRRLPPTQARGLQPWPPSRATSAAPDRGAGARATEQNQVTLLAHSAAAAARRLFDGTPGQSAVSWNAVIAGHARRGSVLDALDAAARMHCAGLSLTEATFASVLGACARGRRLSAGAQVHGQVIKSGFQNFPIVGASLLDFYSSCSDLRATRALFESLHQKNELLWSPMVVAFVRFGLLGEALDLLERMPAPRDVFAWTAVISGFAKGTTKCCIRALDLFVRFLADDGVIPNEYTYDSALRACVKLQELDFGRSVHGCLIRSGFQSEQLITSALVDLYCSSDALDDALLVYNDLEMPSLITSNTLIAGLISMGRTEDAKMVFSQMPEHDSGSYNLMIKVYAIDGRLEDCRRLFEKMPRRNMVSLNSMMSVLLQNGRLEEGLKLFEQIKDERDTITWNSMISGYIQNDQPSEALKLFVVMCRLSIGRSPSTFSALLHACAAIGMLEQGKMVHAYLCKTSFDSNGHVGTALTDMYFKCGCVSDAQSAFGYITSANVASWTSLINGLAQNGHWLEALVQFGRMLRHHVNPNEITFLGLLIASARAGLVNKGMKIFHSMENYGLVPTMEHYTCAVDLLGRTGRTREAEKFICEIPVAADGVVWGALLTACWYSMDLEMGEKVARRLFCMGTKHRSAYVTMSNIYAKLEKWEDVVKVVFVPL >PVH35139 pep chromosome:PHallii_v3.1:7:30990820:30993018:-1 gene:PAHAL_7G111800 transcript:PVH35139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAAPPSLAFSRRRLPPTQARGLQPWPPSRATSAAPDRGAGARATEQNQVTLLAHSAAAAARRLFDGTPGQSAVSWNAVIAGHARRGSVLDALDAAARMHCAGLSLTEATFASVLGACARGRRLSAGAQVHGQVIKSGFQNFPIVGASLLDFYSSCSDLRATRALFESLHQKNELLWSPMVVAFVRFGLLGEALDLLERMPAPRDVFAWTAVISGFAKGTTKCCIRALDLFVRFLADDGVIPNEYTYDSALRACVKLQELDFGRSVHGCLIRSGFQSEQLITSALVDLYCSSDALDDALLVYNDLEMPSLITSNTLIAGLISMGRTEDAKMVFSQMPEHDSGSYNLMIKVYAIDGRLEDCRRLFEKMPRRNMVSLNSMMSVLLQNGRLEEGLKLFEQIKDERDTITWNSMISGYIQNDQPSEALKLFVVMCRLSIGRSPSTFSALLHACAAIGMLEQGKMVHAYLCKTSFDSNGHVGTALTDMYFKCGCVSDAQSAFGYITSANVASWTSLINGLAQNGHWLEALVQFGRMLRHHVNPNEITFLGLLIASARAGLVNKGMKIFHSMENYGLVPTMEHYTCAVDLLGRTGRTREAEKFICEIPVAADGVVWGALLTACWYSMDLEMGEKVARRLFCMGTKHRSAYVTMSNIYAKLEKWEDVVKVRTRLRSLNAKKEPGCSWIEIKDIVHVFLVDDQNHPERDKICLMLEDLVSHILSYSEPDDLVIHSLNY >PVH35138 pep chromosome:PHallii_v3.1:7:30988976:30993057:-1 gene:PAHAL_7G111800 transcript:PVH35138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAAPPSLAFSRRRLPPTQARGLQPWPPSRATSAAPDRGAGARATEQNQVTLLAHSAAAAARRLFDGTPGQSAVSWNAVIAGHARRGSVLDALDAAARMHCAGLSLTEATFASVLGACARGRRLSAGAQVHGQVIKSGFQNFPIVGASLLDFYSSCSDLRATRALFESLHQKNELLWSPMVVAFVRFGLLGEALDLLERMPAPRDVFAWTAVISGFAKGTTKCCIRALDLFVRFLADDGVIPNEYTYDSALRACVKLQELDFGRSVHGCLIRSGFQSEQLITSALVDLYCSSDALDDALLVYNDLEMPSLITSNTLIAGLISMGRTEDAKMVFSQMPEHDSGSYNLMIKVYAIDGRLEDCRRLFEKMPRRNMVSLNSMMSVLLQNGRLEEGLKLFEQIKDERDTITWNSMISGYIQNDQPSEALKLFVVMCRLSIGRSPSTFSALLHACAAIGMLEQGKMVHAYLCKTSFDSNGHVGTALTDMYFKCGCVSDAQSAFGYITSANVASWTSLINGLAQNGHWLEALVQFGRMLRHHVNPNEITFLGLLIASARAGLVNKGMKIFHSMENYGLVPTMEHYTCAVDLLGRTGRTREAEKFICEIPVAADGVVWGALLTACWYSMDLEMGEKVARRLFCMGTKHRSAYVTMSNIYAKLEKWEDVVKVVFVPL >PAN39047 pep chromosome:PHallii_v3.1:7:39348887:39354244:-1 gene:PAHAL_7G216700 transcript:PAN39047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPGPLTEWPWQWMGNFKYLVLAPAALHTAHRVATKGWGDLDPAYATMLPALLLRMIHNQIWITFSRYQMARRKNVIVDRSIEFEQVDRERSWDDQIIFNGLEFYLAYAMIPNVRLLPVWRTDGAIITVLLHMGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFMLFSIPTLTPIFMGCGSVLGVVLYIAYIDFMNNMGHCNFELVPKWIFKAFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYMYNTIDKSSDVLYEKSLKVTDETPDLVHLTHMTTLQSTYHLRIGIASIASKPSDNPVWYSWMIWPVAWLSMILAWVYGSSAFVIERLRMKKFKMQTWTIPRYNFQYGMNWERESINSLIEKAILDADERGVKVLSLGLLNQAKTLNRSGELFIQKYPKLRVRLVDGSGLATAVVLKSIPLGTKKVFLSGSTSKVAHATAIVLCEKGVQVIMNQKKEYDMLRSRLPEGRTVYLKFSNKDIPQIWIGDRIDDKQQQRAPKGTIFVPTSQFPLKKIRKDCSYLSAPAMKIPETMENVHTCENWLPRRVMSAWRIAGILHALEGWDTHECGDDMMDVEKTWSAAIKHGFAPLTKG >PVH35239 pep chromosome:PHallii_v3.1:7:33696519:33697819:-1 gene:PAHAL_7G134300 transcript:PVH35239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRRAARHEVGEDRLTACRRAAVAGRPARRAHLRGRTVEQRSRAPRRLERPARCSAFGAFTFAQNGAAAGDRPGANQSASSYGQAAYGDGAAASSMWEAGGHYRAVLYH >PVH35618 pep chromosome:PHallii_v3.1:7:39951505:39952974:-1 gene:PAHAL_7G225800 transcript:PVH35618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRATKPHFVLFPWTGTISHIIPMTDLGCLLASHGAEVTIVTTPVNAAVAQGRVDRAGAAITVTAVPFPAADAGLPEGCERMDLLRSQAEVPRFFEANRGHGEAVARYCLREAPRRPSCVISGMCQTWALGLARDLDVPCYVFHGFGAFALLCIEYLCEHRPHEAAASADELFEVPTLPPFQCRLSSRQLPPHFLPPASVGGKALQGMREFEVAADGVVVNTFEELERGSAALLAEATGKKVVAVGSVSLCRSPNLDPHSMSGDARRCMAWLDAKEPKSVVYVSFGSGGRMPPAQLMQLGMALVSCPSPVLWLIKGADSLPGDVKKWLCENTDADGEANSKCLVVRGWAPQVAILTHPAVGGFMTHCGWGSTLEAVAAGVPMATWPFFAEQFINERLIVDVLGIGVSVGVTKPTENVLTAGDAGGSDTEAEAEVGTEQVKKAVEMLMDQGPEGEERRKKAHELKLKAKGALEKGGSSYNNLETLIQSFV >PAN36932 pep chromosome:PHallii_v3.1:7:2292738:2297136:-1 gene:PAHAL_7G012800 transcript:PAN36932 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MATTHLLTPPRLHHPSPSPSPSPAARLRATASLAHPLLPSRPRLSALHSHSPSPRHHRLRRTMSVRSSLIDPDGGALVELVAPPDRLPALRAEADALPRVRLAPVDLQWAHVLAEGWASPLRGFMREAEYLQSLHFNCIRLPDGGLVNMSLPIVLAIGDAEKERIGDKPDVALEGPDGGVVAILRRVEIYPHNKEERIARIWGTTAPGLPYVDEAIASAGNWLIGGDLEVLEPIKYNDGLDHYRLSPRQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPSRSQDFLFISGTKMRTFAKNGENPPDGFMCPGGWKVLVDYYNSLQAEEVTPVPV >PAN37411 pep chromosome:PHallii_v3.1:7:26673638:26675558:1 gene:PAHAL_7G091000 transcript:PAN37411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATCTFSPRPSSLRPLRAGAKLQLHLLPLHRLHAASRGSRLERAAAGDAPVKVAPRAVAAASSAPPPGMRPSRPLPKELEAEPTPAAKNLGCSGSRGLPPAMALRKKARGGAMSSSPTGPVAASRSRHGRSDGLGKKRRKGMTCRAHTSAVAPIQLTKKIKVHHQRIKPMTLAVAGAGLRLSPTIHDSYVRVRGTPRGGDSLLMVQLFSF >PAN37414 pep chromosome:PHallii_v3.1:7:26673638:26675558:1 gene:PAHAL_7G091000 transcript:PAN37414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATCTFSPRPSSLRPLRAGAKLQLHLLPLHRLHAASRGSRLERAAAGDAPVKVAPRAVAAASSAPPPGMRPSRPLPKELEAEPTPAAKNLGCSGSRGLPPAMALRKKARGGAMSSSPTGPVAASRSRHGRSDGLGKKRRKGMTCRAHTSAVAPIQLTKKIKVHHQRIKPMTLAVAGAGLRLSPTIHGKWLTSLATMQIPMCGCEARHVAETAC >PAN37593 pep chromosome:PHallii_v3.1:7:26673886:26675572:1 gene:PAHAL_7G091000 transcript:PAN37593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATCTFSPRPSSLRPLRAGAKLQLHLLPLHRLHAASRGSRLERAAAGDAPVKVAPRAVAAASSAPPPGMRPSRPLPKELEAEPTPAAKNLGCSGSRGLPPAMALRKKARGGAMSSSPTGPVAASRSRHGRSDGLGKKRRKGMTCRAHTSAVAPIQLTKKIKVHHQRIKPMTLAVAGAGLRLSPTIHDSYVRVRGTPRGGDSLLMMQGPNN >PAN37410 pep chromosome:PHallii_v3.1:7:26673886:26675554:1 gene:PAHAL_7G091000 transcript:PAN37410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATCTFSPRPSSLRPLRAGAKLQLHLLPLHRLHAASRGSRLERAAAGDAPVKVAPRAVAAASSAPPPGMRPSRPLPKELEAEPTPAAKNLGCSGSRGLPPAMALRKKARGGAMSSSPTGPVAASRSRHGRSDGLGKKRRKGMTCRAHTSAVAPIQLTKKIKVHHQRIKPMTLAVAGAGLRLSPTIHDSYVRVRGTPRGGDSLLM >PAN37412 pep chromosome:PHallii_v3.1:7:26673886:26675554:1 gene:PAHAL_7G091000 transcript:PAN37412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATCTFSPRPSSLRPLRAGAKLQLHLLPLHRLHAASRGSRLERAAAGDAPVKVAPRAVAAASSAPPPGMRPSRPLPKELEAEPTPAAKNLGCSGSRGLPPAMALRKKARGGAMSSSPTGPVAASRSRHGRSDGLGKKRRKGMTCRAHTSAVAPIQLTKKIKVHHQRIKPMTLAVAGAGLRLSPTIHGKWLTSLATMQIPMCGCEARHVAETAC >PAN38372 pep chromosome:PHallii_v3.1:7:36322825:36324098:1 gene:PAHAL_7G167400 transcript:PAN38372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGTAAAAVPETEVALRSGGARPMPAVGVGTASPDPVAHEATKSAVLAAIEVGFRHLDTACMYGTERPLGEAVAEAVRRGLVRSREELFVTSKLWCTQCHPDLVLPALRQTVENLQMEYVDLYLIHWPVCMKPGPIAWPTRREDAVPFDFEGVWRAMEGCQRLGLARAIGVSNFTTRHLDRVLAATIPPAVNQRTLRAYCAGKGVHVAAYSPLGGQDWSREGAGSAVLGSEVLAEIARARGKTVAQVSLRWIYEQGVTWIVKSYNKERLKKNLDIFGWELTEEDRQKISKIPQRKFLTATALFSPEGEFTSVDLSETDIVEE >PAN38863 pep chromosome:PHallii_v3.1:7:38465128:38466433:-1 gene:PAHAL_7G202900 transcript:PAN38863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPVTTLTAALALGLALLLCAAAGPAAAQNCGCQPGYCCSKFGYCGHGDLYCGEGCRSGPCNSGGGGGSGGGTSGSGADVGSVVTDAFFNGIKSQAGGGCEGSSFYSRGAFLNAAGAFSGFAHGGSADDGKREIAAFFAHVTHETGHFCYISEINKGNSYCDPSNTQWPCAAGKKYYGRGPLQISWNYNYGPAGRDIGFDGLGNPDAVAQDPVIAFKTALWFWMNNVHGVMPQGFGATTRAINGALECNGNNPAQMNARVGYYRQYCQQLGVDPGSNLTC >PVH35616 pep chromosome:PHallii_v3.1:7:39902311:39905783:1 gene:PAHAL_7G225300 transcript:PVH35616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVYLYAAIVALLLCSSANFIQSPSDVFGPVALLEPTPSAARDFGAVVSEAPVAVMRPGSAADIARLLGALSSAGPGSRARAAAAAVAARGAGHSLHGQAQARGGIVVETRALPRAVQVADGGAYADVGAGALWVEVLEECLRAGLAPRSWTDYLYLTVGGTLSNGGISGQAFKHGPQISNVLELEVVTGTGEVVTCSPTRSPELFFAVLGGLGQFGIITRARIPLQVAPPKVRWVRAFYDSFESFTRDQELLVSMPELVDYVEGFMVLNEHSLLSSSVAFPVEVNFAPDFGSDGGGGGKKVYYCLEFAVHDFRQQDSAADHVVELVSGKLSFLRPHAYSVEVAYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPRHGAARFKDLLMDTVITRGDFEGAVLVYPLLTDRACV >PVH35615 pep chromosome:PHallii_v3.1:7:39902311:39904879:1 gene:PAHAL_7G225300 transcript:PVH35615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVYLYAAIVALLLCSSANFIQSPSDVFGPVALLEPTPSAARDFGAVVSEAPVAVMRPGSAADIARLLGALSSAGPGSRARAAAAAVAARGAGHSLHGQAQARGGIVVETRALPRAVQVADGGAYADVGAGALWVEVLEECLRAGLAPRSWTDYLYLTVGGTLSNGGISGQAFKHGPQISNVLELEVVTGTGEVVTCSPTRSPELFFAVLGGLGQFGIITRARIPLQVAPPKVRWVRAFYDSFESFTRDQELLVSMPELVDYVEGFMVLNEHSLLSSSVAFPVEVNFAPDFGSDGGGGGKKVYYCLEFAVHDFRQQDSAADHVVELVSGKLSFLRPHAYSVEVAYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPRHGAARFKDLLMDTVITRGDFEGAVLVYPLLTDRCGPYPLKKIPASSMLN >PAN39171 pep chromosome:PHallii_v3.1:7:39902311:39907244:1 gene:PAHAL_7G225300 transcript:PAN39171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVYLYAAIVALLLCSSANFIQSPSDVFGPVALLEPTPSAARDFGAVVSEAPVAVMRPGSAADIARLLGALSSAGPGSRARAAAAAVAARGAGHSLHGQAQARGGIVVETRALPRAVQVADGGAYADVGAGALWVEVLEECLRAGLAPRSWTDYLYLTVGGTLSNGGISGQAFKHGPQISNVLELEVVTGTGEVVTCSPTRSPELFFAVLGGLGQFGIITRARIPLQVAPPKVRWVRAFYDSFESFTRDQELLVSMPELVDYVEGFMVLNEHSLLSSSVAFPVEVNFAPDFGSDGGGGGKKVYYCLEFAVHDFRQQDSAADHVVELVSGKLSFLRPHAYSVEVAYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPRHGAARFKDLLMDTVITRGDFEGAVLVYPLLTDRWDGNTSAVVPAAPDGVAYVFSVLRSTDPARCGGACVDGILEEHRRVADEACRRLGAKQYLTRQRSRAHWRDHFGAGWDRFVARKARFDPMHVLGPGQGIFPRTDSTAASLSSM >PAN38759 pep chromosome:PHallii_v3.1:7:38038135:38044787:1 gene:PAHAL_7G195500 transcript:PAN38759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDDADGSLDSWAQFCSLSNELLGGDGDLSVGPRLAPVVADLCSRGLATLVRDYFLHSLEETFRNNAVKKFWQHFHPYCSASAVDRIKFFVKEHWPDDILSRALEDICLEKSYQEKCVLVLVHALQLYEDRTAKRKLKAVDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNVIMAGSYESDQHGNHEPFESSNASDWHCGMDIDGQEVSESSCLVKNIGKIVRDLRCLGFTSVTEDAYSSAIIWLLKSKVYELAGDDYRVPVLGCVKKWIQAVPLQFLHALLTYLGDSVDYDSGSSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNASGTGNAGDNLLEELNRDAENQENADYDNHANIDEKQAWLNSESWEPDPVEADPLKGSRNRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLSKSDFEIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLKTSQTVPGQEEAEISHDVLDATIISSNFWPPIQTEDLVVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELEFEGRSMQFTVAPVHAAIIMRFQEKSRGS >PAN38758 pep chromosome:PHallii_v3.1:7:38038135:38044787:1 gene:PAHAL_7G195500 transcript:PAN38758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDDADGSLDSWAQFCSLSNELLGGDGDLSVGPRLAPVVADLCSRGLATLVRDYFLHSLEETFRNNAVKKFWQHFHPYCSASAVDRIKFFVKEHWPDDILSRALEDICLEKSYQEKCVLVLVHALQLYEDRTAKRKLKAVDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNVIMAGSYESDQHGNHEPFESSNASDWHCGMDIDGQEVSESSCLVKNIGKIVRDLRCLGFTSVTEDAYSSAIIWLLKSKVYELAGDDYRVPVLGCVKKWIQAVPLQFLHALLTYLGDSVDYDSGSSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNASGTGNAGDNLLEELNRDAENQENADYDNHANIDEKQAWLNSESWEPDPVEADPLKGSRNRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLSKSDFEIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLKTSQTVPGQEEAEISHDVLDATIISSNFWPPIQTEDLVVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELEFEGRSMQFTVAPVHAAIIMRFQEKSSWTSKTLATEIGIPMDSLNRRISFWTSKGILTESAGPDADDHTFTVVDSMSGVNKNSIVNERLAEYQMTEEEGESSVASVEEQLKKEMAVYEKYIIGMLTNFGSVSLDRIHNTLKMFCIAEPSYDKSLQQLQSFLSGLVADEKLETRDGLYLLKR >PAN37937 pep chromosome:PHallii_v3.1:7:33656757:33660140:-1 gene:PAHAL_7G133800 transcript:PAN37937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGRALLLLLVSALLVQIRASDPLLYESFDEDFEGRWVVSKKDEYQGVWKRAKSDGHEDYGLLVSEKARKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQESGWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKSPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPALIPPKTIPDPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDVEATKPEGWLDDEPEEIDDPEASKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAAADGLSEFQKKVFDILYKIADVPFLAPYKTKIIDVIEKGEKQPNITLGILVSVVVVFVTVLFRILFGGKKPAAPVKPAVEVKKPKATETDGAGSSGDKVEKEDEKEETAAPRRRSRRET >PAN37370 pep chromosome:PHallii_v3.1:7:25371839:25403518:-1 gene:PAHAL_7G086200 transcript:PAN37370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATYRRLLLLQRIPHPHLQPAAATHCLSPSAAAAASLVSPSRPSAAERVETPLNNLRSGFTTDSNFKHSLLRKLGSSVGAILIGQAALFLGLSNGYAFAQEDSVSQAASSEQAEGNATGLQLIEDGSVVSNEHTVKWRIFTDNGREFFQKRQLDEAEKLFQAALLEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFQSDDIRVGTALHSLGICYHLQHKFAQAQTCYERALKIEGRVMGVGHPEYASTMYLLAKVLSLQGKRRDAESLTEESIRILEEAGLGESPTCIQRMTYLSTELIKSKRLGEAEIWQRKILHTLELSKGWDSLHTVHAAEVLSLTLQALEKLKESEELFERCLAARKKVLPEGHFLVAVSLVYLARLILHKFASDLKNIDSDVATRCFARAKQHSNDSIRITEGILNSSSKDQNKLDSTSATDRDKIAATAILLQALEVVGLIDIAGKHVLGQGDQDYRSIEGAVNKCISLYRKPGTRRLVTKIVKQDYMRCLRLLINIVEDHLLMQQTMELQELLGEARLIIEELVEES >PAN37371 pep chromosome:PHallii_v3.1:7:25372568:25402236:-1 gene:PAHAL_7G086200 transcript:PAN37371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQWSQMNTRSNGEFSRIMGGNFSRKQRQLDEAEKLFQAALLEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFQSDDIRVGTALHSLGICYHLQHKFAQAQTCYERALKIEGRVMGVGHPEYASTMYLLAKVLSLQGKRRDAESLTEESIRILEEAGLGESPTCIQRMTYLSTELIKSKRLGEAEIWQRKILHTLELSKGWDSLHTVHAAEVLSLTLQALEKLKESEELFERCLAARKKVLPEGHFLVAVSLVYLARLILHKFASDLKNIDSDVATRCFARAKQHSNDSIRITEGILNSSSKDQNKLDSTSATDRDKIAATAILLQALEVVGLIDIAGKHVLGQGDQDYRSIEGAVNKCISLYRKPGTRRLVTKIVKQDYMRCLRLLINIVEDHLLMQQTMELQELLGEARLIIEELVEES >PVH35342 pep chromosome:PHallii_v3.1:7:35636898:35645799:1 gene:PAHAL_7G157800 transcript:PVH35342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAAPKRARAGGGEAPDRFSALPDELLRRVLSFLPSRQAVQTTVLSERWIDLWRLMPAIDLNIREFKCRSPAPGQWPCIPTAYAAGTFTSRLRTLRLSGLSLTSNFADDLAAGFPVLEDMFDELVLMAPSLVSLRVLGDAPPITLQCLTPSVVEATLMYRAGDLGVLRSLRNARSLKLFGFSTTALLDDREPGGFPMFGNLRTLLLDGCDVGAGCHVLRRFLGSAPGLETLTLRSCLCNGAFSGGGAPTSRSGKRKASAKRKRPDDQRAPAAYPCTNLKLIELEFEEDHALFELAGALRDISKEVVRPIEGSVQDGRRTVKIKYT >PAN38480 pep chromosome:PHallii_v3.1:7:36820804:36825209:-1 gene:PAHAL_7G175000 transcript:PAN38480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGGEERDRSQPVVLVTGCSDGGIGHAMARAFAAAGCAVVATARSRGSMRDLQGDPRFLLLELDVRSEESARAAVADALREHGRIDVLVNNAGVHLVAPLAEVPMDSFHQVFDTNVYGAMRMIQAVMPHMMETRKGTIVNVGSITALAPGPWAGVYSASKAALHALSDSLRVELRSFGINVMIVAPGGTKSNLGDKSAAKYDQMHEWKYYKKYEESLRARTDVSQGPGSTPAEELAKKVVALALKKNPPALFAYGQFTSILSMLYYAPLWFRDYFYRLVMKC >PAN36824 pep chromosome:PHallii_v3.1:7:914417:918407:1 gene:PAHAL_7G003800 transcript:PAN36824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNRTWFGGLFNSSGKRRQVSAEKIVLDLTPLQEQRLQKLKERLNVPYDESRPEHQESLRALWNASFPDTELNSLVSAQWKDMGWQGVNPATDFRGCGFISLENLLFFARTYPAPFKRLMLKQQGMRAVWEYPFAVAGINISYMLIQLLELNSARPKSLPGINFIKVLTEHEDAFDVLYCIAFEMMDAQWLAMRASYMQFKEVLEATKQQLERELSLEDLNSIHDLPAYNLLCK >PAN39466 pep chromosome:PHallii_v3.1:7:41206300:41207947:1 gene:PAHAL_7G245200 transcript:PAN39466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPSAFRYALASVILSLLVSTISCDDGAPEESRVEHPQRQNDTTGGSRRGLWAPARGYGWSYGGATWYGSPYGAGSDGGACGYQGAVSQRPFRSMIAAGGPSLFKNGKGCGACYQNKCTGNRACSGRPVTVTITDSCPGGACLAESAHFDMSGTAFGAMANRGMADRLRSAGILKIQYKRVPCKYNGMAINFKVDAGSNPYYLAVLVMYVSGDGDVAKVDVMQAGCNSWTPMQQSWGAVWRVNSNDGKPLRAPFSVRITSGSGKVLVARNAIPAGWGAGATYRSTVNYGY >PAN40560 pep chromosome:PHallii_v3.1:7:46371264:46372554:1 gene:PAHAL_7G324700 transcript:PAN40560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G16510) UniProtKB/TrEMBL;Acc:W8Q2T5] MSSVIQDSEVDIVIAALQPNLTTFFEAWRPFFSRFHIIVVKDPDMKEDLQIPTGFDLKVYTKSDIDGVLGATSINFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDTAGSTVDAVAQHMANLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMLPVSGINVAFNREALGPAIFPGLRLRNEGKHRWDTLEDVWNGLCAKVVCDSLGYGVKTGLPYVMRSDAEAGKALESLKEWEGVKIMDDVLPFFQSLKLSRTAITVEDCVKELASIVREKLGPKNAIFTKAADAMVEWNNLWKSHAARDA >PVH35289 pep chromosome:PHallii_v3.1:7:34375904:34377274:1 gene:PAHAL_7G142300 transcript:PVH35289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPKMRGLLVIAVVVAACLCLADGKGECGATPPDKVAQKLAPCASAGKNPSAAPSSGCCSAVHTIGKQSPECLCAVMLSKTARKSGIRPEVAITIPKRCNLADRPVGYKCGDYTLP >PVH35323 pep chromosome:PHallii_v3.1:7:35243719:35248838:1 gene:PAHAL_7G151500 transcript:PVH35323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Serine/threonine-protein kinase SAPK7 [Source: Projected from Oryza sativa (Os04g0432000)] MEKYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKSADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKELLSRIFVANSAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEKARTPPPSSTPVAGFGWAEEDEQEDSKKPEEKAEEEEDGEDEYDKQVKQVHASGEFQIS >PAN40668 pep chromosome:PHallii_v3.1:7:46778771:46781525:-1 gene:PAHAL_7G332400 transcript:PAN40668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAIAFSVFRAMGDQLEGSEEEHMKYRAMVVDYIVKHREDFEPFIEDEVPFEQYCDSMLKDGTWAGHMELQAASLLTRRNICIHMLNSPRWYINNFSGREAANMIHLSYHHGEHYNSVRLREDPCQGPAMQVIIKTDANISCANNYAQTKAKDPKKSSHRSTYDQTSVKLIMAGTGCSDAAIAEHVLEEMDGDVDAAIEYMIVDRLAMGTDDMEGDPYLDYACKDEFSKLHDENRSIEHKDQASCSSKDETVEKPKNSHSTHSKEKSKTKDCSCGSAKKHKASCSLATATTSREPPRAKGGQGKGQKGKKQKRKEQTEAAPAKVKQSAVVPDLGALCI >PAN40665 pep chromosome:PHallii_v3.1:7:46778266:46782092:-1 gene:PAHAL_7G332400 transcript:PAN40665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRAMVVDYIVKHREDFEPFIEDEVPFEQYCDSMLKDGTWAGHMELQAASLLTRRNICIHMLNSPRWYINNFSGREAANMIHLSYHHGEHYNSVRLREDPCQGPAMQVIIKTDANISCANNYAQTKAKDPKKSSHRSTYDQTSVKLIMAGTGCSDAAIAEHVLEEMDGDVDAAIEYMIVDRLAMGTDDMEGDPYLDYAYEFSKLHDENRSIEHKDQASCSSKDETVEKPKNSHSTHSKEKSKTKDCSCGSAKKHKASCSLATATTSREPPRAKGGQGKGQKGKKQKRKEQTEAAPAKVKQSAVVPDLGALCI >PAN40667 pep chromosome:PHallii_v3.1:7:46778266:46782092:-1 gene:PAHAL_7G332400 transcript:PAN40667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAIAFSVFRAMGDQLEGSEEEHMKYRAMVVDYIVKHREDFEPFIEDEVPFEQYCDSMLKDGTWAGHMELQAASLLTRRNICIHMLNSPRWYINNFSGREAANMIHLSYHHGEHYNSVRLREDPCQGPAMQVIIKTDANISCANNYAQTKAKDPKKSSHRSTYDQTSVKLIMAGTGCSDAAIAEHVLEEMDGDVDAAIEYMIVDRLAMGTDDMEGDPYLDYAYEFSKLHDENRSIEHKDQASCSSKDETVEKPKNSHSTHSKEKSKTKDCSCGSAKKHKASCSLATATTSREPPRAKGGQGKGQKGKKQKRKEQTEAAPAKVKQSAVVPDLGALCI >PAN40670 pep chromosome:PHallii_v3.1:7:46778771:46781775:-1 gene:PAHAL_7G332400 transcript:PAN40670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKKKKAAAPAKLRKPPKRDAEKKLGKKADMTEFRAQLDSLGLKIVEVNADGNCFFRAMGDQLEGSEEEHMKYRAMVVDYIVKHREDFEPFIEDEVPFEQYCDSMLKDGTWAGHMELQAASLLTRRNICIHMLNSPRWYINNFSGREAANMIHLSYHHGEHYNSVRLREDPCQGPAMQVIIKTDANISCANNYAQTKAKDPKKSSHRSTYDQTSVKLIMAGTGCSDAAIAEHVLEEMDGDVDAAIEYMIVDRLAMGTDDMEGDPYLDYACKDEFSKLHDENRSIEHKDQASCSSKDETVEKPKNSHSTHSKEKSKTKDCSCGSAKKHKASCSLATATTSREPPRAKGGQGKGQKGKKQKRKEQTEAAPAKVKQSAVVPDLGALCI >PAN40666 pep chromosome:PHallii_v3.1:7:46778771:46781322:-1 gene:PAHAL_7G332400 transcript:PAN40666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRAMVVDYIVKHREDFEPFIEDEVPFEQYCDSMLKDGTWAGHMELQAASLLTRRNICIHMLNSPRWYINNFSGREAANMIHLSYHHGEHYNSVRLREDPCQGPAMQVIIKTDANISCANNYAQTKAKDPKKSSHRSTYDQTSVKLIMAGTGCSDAAIAEHVLEEMDGDVDAAIEYMIVDRLAMGTDDMEGDPYLDYACKDEFSKLHDENRSIEHKDQASCSSKDETVEKPKNSHSTHSKEKSKTKDCSCGSAKKHKASCSLATATTSREPPRAKGGQGKGQKGKKQKRKEQTEAAPAKVKQSAVVPDLGALCI >PAN40669 pep chromosome:PHallii_v3.1:7:46778266:46782092:-1 gene:PAHAL_7G332400 transcript:PAN40669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKKKKAAAPAKLRKPPKRDAEKKLGKKADMTEFRAQLDSLGLKIVEVNADGNCFFRAMGDQLEGSEEEHMKYRAMVVDYIVKHREDFEPFIEDEVPFEQYCDSMLKDGTWAGHMELQAASLLTRRNICIHMLNSPRWYINNFSGREAANMIHLSYHHGEHYNSVRLREDPCQGPAMQVIIKTDANISCANNYAQTKAKDPKKSSHRSTYDQTSVKLIMAGTGCSDAAIAEHVLEEMDGDVDAAIEYMIVDRLAMGTDDMEGDPYLDYAYEFSKLHDENRSIEHKDQASCSSKDETVEKPKNSHSTHSKEKSKTKDCSCGSAKKHKASCSLATATTSREPPRAKGGQGKGQKGKKQKRKEQTEAAPAKVKQSAVVPDLGALCI >PAN37500 pep chromosome:PHallii_v3.1:7:30776198:30779519:-1 gene:PAHAL_7G110700 transcript:PAN37500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEHFFPGPGDFPSGLGAAPFFGASYSYAPPPGLDAAAAMQGFGLAWADLQLPDSSGTAHFDSALSSLVSSPAHDGSGCGYGGDDVAIGDLIGRLGSICGGAAASASNSCYSTPLSSPPRAAAPSPAFRGCCGYPGGAATAALEASGAGGRLSRVASSKSLGAGAGTPASGSPEAEASRPEMVPMGSGSTGTRDRDPAPPAKKRKASGKGKATSSAKGGEGKDGDDADTAAVKEPAKDYIHVRARRGQATDSHSLAERVRRERISERMKMLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPELDADARYQAAAALLAPPPTAFPSSYDPSSFVATAHPAQLADSCAGAFRPGWAAQLDDLRSVAGAARHDHSPAAAAAHGHGLHAPPSPPARDSFLHGK >PVH35130 pep chromosome:PHallii_v3.1:7:30778458:30779519:-1 gene:PAHAL_7G110700 transcript:PVH35130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEHFFPGPGDFPSGLGAAPFFGASYSYAPPPGLDAAAAMQGFGLAWADLQLPDSSGTAHFDSALSSLVSSPAHDGSGCGYGGDDVAIGDLIGRLGSICGGAAASASNSCYSTPLSSPPRAAAPSPAFRGCCGYPGGAATAALEASGAGGRLSRVASSKSLGAGAGTPASGSPEAEASRPEMVPMGSGSTGTRDRDPAPPAKKRKASGKGKATSSAKGGEGKDGDDADTAAVKEPAKDYIHVRARRGQATDSHSLAERVRRERISERMKMLQSLVPGCNKVLCPVPVQTRITFDSLLTLLKHYEHSEPSSVTVCMDTS >PAN38273 pep chromosome:PHallii_v3.1:7:35866037:35870370:1 gene:PAHAL_7G159900 transcript:PAN38273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGDVDCELRRPCPAAADDVTLVLVGKVGSGKSATANSTLGFNAFASEYSCTSVTETCQVRSTTLSLGEAAAPRTVHVIDTPRLYDINVKTKETHKEIAKCLDMSRDGIHAMLMVFSAATRFTPEDADTIKSIKMFFGDKIVDHMILVSHMGIKTSRQFLLFDNKSYDELKLHRQLVELFNAVDSVIAHNRGKPFTNQMFAQIQEVYATKEDIRLEAEQMLKSQKEIYDGHIMLITKMVEEKLNTTIESLQEQLREEQKARQEAEKKVREAMLRSEEETQRLREDLEKSRQDRECKFCEKFRWMECTIM >PAN37830 pep chromosome:PHallii_v3.1:7:32733541:32738373:1 gene:PAHAL_7G126100 transcript:PAN37830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAEITGPLLAASGGGAEAEPEAVPPWREQVTARGLAVSAVLGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALERLGVVSKPFTKQENTVIQTCVVACYGLAFSGGFGSYILAMDQKTYELIGPDYPGNRAVDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELADKQVRCLGKYLSISFIWNCFKWFFSGVGNSCGFDNFPSLGLEAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGNWYPANLGSNDFKGLYGYKVFISVAVILGDGLYNLIKIIYATSKEIMNARAKQGRLPLVQVQDDDEGSKLSAEKKHLNETFIKDSIPPWLAGSGYVGLAAISTATVPMIFPQIKWYLVLSAYVVAPLLAFCNSYGAGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVATASDLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWSAFDIGNPDGAFKAPYAVIFREMSILGVEGLSALPQHCLAICSFFFIASLVINLLRDVTPKNVSRFIPIPMAMAIPFYIGAYFAIDMFVGTVILFVWERVNRKECDDFAGAVASGLICGDGIWTVPSAILSILRIDPPICMYFKPSLTS >PAN40357 pep chromosome:PHallii_v3.1:7:45249441:45253021:-1 gene:PAHAL_7G309100 transcript:PAN40357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGIRPRPGAWAGLEKPRGGGAYSARVPAVRLTAEKLPPRLALGTDPRRSADPVLRAAKLKASCCKKPAGTEKVHYSADEALILQQKAQDVLPYLDGRCVYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMKKGLTVWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTSLFEQRMDSYANADARVSLEHIALKQGHNDVTILTPSTIAIEALLKMESFLTEKAMVRN >PAN38147 pep chromosome:PHallii_v3.1:7:35181516:35184091:1 gene:PAHAL_7G150400 transcript:PAN38147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQQAPPPHRHAKTDSEVTSSMAPSSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGRASRDSSSSRFSASAKGPSSRAGAGAPGGAAAPGGGGRGRRGGGGAWMKEAAIEEEGLLGMDDDGDDGYGGGRGGGGWSGIPKRVRYGILFVGAFFGLFFFFALILWGASRNQRPVVTLHSATFHRFVVQAGTDASLVPTEMASLNATVRLTFRNTGTFFGVHVSAEPVTLYYTQLQLASGNIKYFYQARKSQRSLTVSVVGDKVPLYGGGSGLSSTPTTLPPPKKKMPPVVVPPPPVPLELTVRLRSRAFVLGRLVKPKFHSEARCKVTMDQTKLGKPVSLNKACTYTH >PVH35410 pep chromosome:PHallii_v3.1:7:36894676:36895671:1 gene:PAHAL_7G176400 transcript:PVH35410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCKLAPAAQNDTSFFGPVAGRVAQRIARGRFVLDGKVYHLNINDGRNTLQGGGRGFHKVIWTVKEFVGAGDYPYITLYYRSFDGEQGFPGNLDVHVTYRVAGPYALGVHMNATALDKATPVNLLQHTYWNLGGHGAGDVLGHTLCLSASRYTPLDGEMLPSSPGRVAPVAGTPFDFRAPAPIGARVRQVTGGRAVGYDANYVVDGEPDRMRPVAAVRDGASGRALELWGNQPCVQLYTANWLNRTRGKAGEVYDRYAGLCLETQGYPDAVNHPEFPSQTLRRGQVYRHDMVFKLSS >PAN38497 pep chromosome:PHallii_v3.1:7:36893214:36895834:1 gene:PAHAL_7G176400 transcript:PAN38497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGELFLLALLCVVAGSALAADARKTVGVYELKKGDFSVKVTNWGATIMSVILPDSRGNFADVVLGLDTVAEYVNDTSFFGPVAGRVAQRIARGRFVLDGKVYHLNINDGRNTLQGGGRGFHKVIWTVKEFVGAGDYPYITLYYRSFDGEQGFPGNLDVHVTYRVAGPYALGVHMNATALDKATPVNLLQHTYWNLGGHGAGDVLGHTLCLSASRYTPLDGEMLPSSPGRVAPVAGTPFDFRAPAPIGARVRQVTGGRAVGYDANYVVDGEPDRMRPVAAVRDGASGRALELWGNQPCVQLYTANWLNRTRGKAGEVYDRYAGLCLETQGYPDAVNHPEFPSQTLRRGQVYRHDMVFKLSS >PVH35409 pep chromosome:PHallii_v3.1:7:36893317:36895671:1 gene:PAHAL_7G176400 transcript:PVH35409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCKLAPAAQNDTSFFGPVAGRVAQRIARGRFVLDGKVYHLNINDGRNTLQGGGRGFHKVIWTVKEFVGAGDYPYITLYYRSFDGEQGFPGNLDVHVTYRVAGPYALGVHMNATALDKATPVNLLQHTYWNLGGHGAGDVLGHTLCLSASRYTPLDGEMLPSSPGRVAPVAGTPFDFRAPAPIGARVRQVTGGRAVGYDANYVVDGEPDRMRPVAAVRDGASGRALELWGNQPCVQLYTANWLNRTRGKAGEVYDRYAGLCLETQGYPDAVNHPEFPSQTLRRGQVYRHDMVFKLSS >PAN40212 pep chromosome:PHallii_v3.1:7:43476870:43480234:1 gene:PAHAL_7G280500 transcript:PAN40212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTLEDDSAPRSRREQDGHRRKGDSQMEADADEWSAQASWVICKTGRRSDTGGLVSGQPLAVDPGTHPPPAASWALALVCFSLDVLRGGPDDQPWSWTRVDDPAPFASSLRARAGLEAPPPARRVVVPTTKVPNTRASGRAPSPSTPRRYGGRTVHRRVAAAVPGASLLRPRAGRAGRDLPLRGRARRLCCCDVQPVAGDARLEARRAGTCSSTRTPTATPAPCSCNTWATAGSACSTAERARTTTRATRAAASAWWR >PVH34954 pep chromosome:PHallii_v3.1:7:22379032:22380633:-1 gene:PAHAL_7G075100 transcript:PVH34954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRSSRRPSCDRPAKRLGITVRSRSPPPSPSAVRGRLSHRLPAARRSRARAGSPALRRLLAPLASAGTSGIPGAPPSARASLPQHTSRGSTRGDDPGAQGITAARTVPQGFGLSSLIAEGGDGE >PVH35364 pep chromosome:PHallii_v3.1:7:36069299:36071250:-1 gene:PAHAL_7G162900 transcript:PVH35364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIALLSPCEIGRFRFSHRIVLAPLTRSRSYGNLPQSHAILYYSQRATEGGLLIAEATGVPSDAQGMSLIPHTPGIWTKEQVEAWKPVVDAVHAKGGIFFCQIWHAGRVSDMEKEPISSTDKPVEKNEDDHVDCPIPRCLAVEEIPNVVNHFRVAARNALDAGFDGVEIHGAHGFLLEQFMKDSVNDHTDKYGGSLQKPLLVCP >PVH35868 pep chromosome:PHallii_v3.1:7:44551967:44557157:1 gene:PAHAL_7G296500 transcript:PVH35868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIATNVCDYKELAKQKLPRMVYDYYALGAEDQRTVRENKEAFSRIQFRPRVLIDVSHIYMSTSVLGYSISMPIMVAPTALHKMAHPEGELASARAAASVGTIMTLSSWSSYSIEVINSTGPGTRFFQLSVYKDRNLVQQVIGRAEKAGYKAIVLTVDAPWLGRREADVKNRFTLPPNVVLKNFEGVDFGNIDKVTDSGFAAYYDACQVDPSLSWKDIKWLQTITSLPILMKGILTAEDTRIAIESGAAGIIVSNHGGRQLDHAPATISCLEEVVGEAKGRVPVFLDGGIRRGTDVFKALALGALGVFIGRPVLFALAVDGEAGVRKALQMLKDELEVAMALSGCASLKEITRGHVTTEGDRIRRSML >PAN39800 pep chromosome:PHallii_v3.1:7:42794026:42795557:1 gene:PAHAL_7G269200 transcript:PAN39800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASLPRLTLLVLAVSLAVAASADENSTVGGQIRVNCGASASATDSDGRTWDRDAPSVGGVAAGASYEDPSLPSAVPYATARVFGSSYTYSFPVRPGRVFLRLFFYPAAYGARGAGGALFGVTAGGVALLRDFNASQTALALNVACIVGEFSLNVSAGSSSLDVTFAPSSRPNASSGAGSPDDDYYAFVNGIEVVPVPADLVTKHAPTFANGGRADSAYIYGAAFGVSYPKDGNVTIRYPPSVPPSVAPESVYASARSMGPSAQVNLNYNLTWILPVDAGFYYVLRFHFCEIQYPITKPNQRAFYIYINNQTAREGMDVIARSGGIGRPVYADYLVVTAPGDDKTDLWVALYPDVKTSPEYYDAILNGLEVFKLQRYDTNSLAGPNPPLPENAAAADVNGVRPKKKSGAFVAGWAAAGCGLVAVLVGCLCAWAICKRKTKAASAAIVDLPKKPIRETPAPGLHGPNETYVFSSFF >PVH35261 pep chromosome:PHallii_v3.1:7:33985786:33992680:-1 gene:PAHAL_7G136700 transcript:PVH35261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVPNPLPSNSPILRPRCITAAARSPSVQPLPDELQLVAEVRSPHNHIRVADVSPRAAGHPLAGARLLLLDGPGNIHSLSFPRRAHHCPLTATYFDAFATLPPLLPRSSLAVLGFGAGSAARALLHFYPDLSVHGWELDSAVLAVARDFFGLTELEKDHAARLFVHVGDALEAEAPPGGFGGALVDLFANGSVLPELQEVDTWQRLSGMVALEGRMMVNCGGGCVEAEEEGRDGEAVKDATLRAMAMAFGKGMVSVMDMDESWVAMTGPPVTAPEEAAAWKARLPPELRNFVDVWRPYNNKSGE >PAN38580 pep chromosome:PHallii_v3.1:7:37222497:37228003:1 gene:PAHAL_7G182400 transcript:PAN38580 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) UniProtKB/Swiss-Prot;Acc:O82504] MSTLVAAAAAPAPGATATVRVSNIPPSAIAAELLAFFDSAVATGAAFACEIAAAHRGWLSRGHGSVQFESAAAATRAIDLASSGGLPPFLGSHLSVSPAHVDLLPRAPDFALRALGSSLVIGNRVAERELEVAYTWDGVRAEVIPGKRRVDLYLKHDSRSYKLEVLFEDIRECFGCSFDGAGAILLLLTYAPRIHTTISGSTIKSRFTDERFHACKEDAKFAWVRALDFTPNSSFGECSTLVLKLSKAAPVSDILESLPFSGELGELTISSMDMFGSSSKVVPLVDCPSGFSVPYEVLFRLNSLVHMGKLVARHVNADLFKVLEDLPIDTLRRIFEKMSKLNSTCFEPLEFIRHEAHSMKISKKTLLSKKGEGEGKLMRCYRIHITPSKIYCLGPEEEVSNYVVKYHSEYASDFARVTFVDEDWSKLSPNALSARIEQGFFSTPLKTGLYHRILSILKEGFRIGPKKYEFLAFSASQLRGNSVWMFASNNSLSAESIRRWMGHFKDIRSVSKCAARMGQLFSSSRQTFEVSSYDVEVIPDIEITTDGTKHIFSDGIGKISSRFARQIAKTIGLDPNNPPSAFQIRYGGYKGVIAVDPTSFFNLSLRPSMKKFESKSTMLNITNWSKSQPCYVNREIISLLSTLGIKDEAFLTMQQDDMHESDEMLTNKEVALSVLGKISGADTKTAAEMLLQGYEPSLEPYLSMILKAHRANRLTDIRTRCKIHVQKGRVLIGCLDETGKLDYGQVYIRITKNRKEQKYSEQPFFCNDDGKTAVIVGKVAISKNPCLHPGDVRVLEAIYDPGLDARGLIDCVVFPQRGERPHPNECSGGDLDGDLFFITWDDKLIPEKVDAPMDYTATRPRIMDHVVTLEEIQKHFVSYMINDALGVISTAHLIHADRDPLKARSPECLQLAALHSMAVDFAKSGAPAEMPRALRPREFPDFLERWEKPMYISNGVLGKLYRAALRHAENAEALLPEAPPSCAYDPDLECPGFHDFLDAAEEHYEAYAERLGTLMTYYSAEREDEILTGNIRIKLVYLRRDNKRYFEMKDRIIAAVDALHAEVRGWLRAHRDEEASKLASAWYHVTYHPGRRGEKRFWSFPWIACDTLLAIKAARRCRKRVEDAAAVPMDCDA >PAN37409 pep chromosome:PHallii_v3.1:7:26550166:26551846:-1 gene:PAHAL_7G090600 transcript:PAN37409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADLLSLGFDFYCQSPPRFFDDQPPYDPDYLAVADSIMYAATEAESVTGLYPSYREASSSPDGANSCSAQVAPPASPGPAGAATKNMVMERDRRRRLNEKLYALRSVVPNITKMDKASIVRDAIAYIEQLQEEERRVLAEISALESSSGVAAAAEFKAEDADSYPWPRKRTRTAAGGSTHASPPLQILEVQVTVAGEKVAVVSVRCSRGRDAVAKVCRALEPLRLRVVTASIAAAGDAVVHTMFVEPA >PAN37408 pep chromosome:PHallii_v3.1:7:26548446:26551846:-1 gene:PAHAL_7G090600 transcript:PAN37408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADLLSLGFDFYCQSPPRFFDDQPPYDPDYLAVADSIMYAATEAESVTGLYPSYREASSSPDGANSCSAQVAPPASPGPAGAATKNMVMERDRRRRLNEKLYALRSVVPNITKMDKASIVRDAIAYIEQLQEEERRVLAEISALESSSGVAAAAEFKAEDADSYPWPRKRTRTAAGGSTHASPPLQILEVQVTVAGEKVAVVSVRCSRGRDAVAKVCRALEPLRLRVVTASIAAAGDAVVHTMFVEIEDKMSGAQLKERIEAALAQLDVTNRCPLKTTRYWED >PVH35463 pep chromosome:PHallii_v3.1:7:37811056:37814049:1 gene:PAHAL_7G191500 transcript:PVH35463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCNLSGPICPSFSRLRSLAVVDLSNNNQGYSDDGSVIALSGPIPEFFADFRHLTVLQLSNNNFNGSLPRSIFQLPRLRVLNVSSNSDLAGSLPELPAGSSLEVLNLKETKFSGQIPSSVGNLKHLKTLDISETNGSGGIPGSIGDLASLSFLDLSSSGFQIGELPAAIGRLQSLSTLRLIGCGISGEIPSSFANLTRLTELDLSRNNISGPITFFSKESFLNLKRLQLCCNSLSGPVPSFIFSLPQLEFVSLMSNNLAGPLPEFSSPSPFLQSIYLDYNQLNGSIPLSFFELTGLQTLDLSRNSLTGTVKLSSFWKLTNLSNLCLSANKLTVIVDDEHVSSSSASLPQINALGLACCNMTKIPSILRYVLVGDLDLSCNQIGGSIPKWIWGGQVENVDVFKFNLSRNEFTRIDLPLANASIYYLDLSFNKIQGPIPIPVSPQFLDYSNNLFSSIPQYLMERVSSPFFLNLANNTLRGGIPPMLCNASSLQFLDISYNYFSGHVPSCLVDGHLIILKMRQNRLEGRLPDDIRGSCVSQTIDFNGNQIEGELPRSLSNCSNLEVFDVGNNNFRGHFPGWIMKLPKLRVLVLRSNGFSGAVGKIPVESDQNRTDFLSLQIIDLASNNFSGALDPRWFEKLRAMMASSTNKNDAPLALENNLSGKFYRDTVVVTYKGTSRTVSKILVAFAVIDLSSNAFAGAIPASIGRLASLRGLNLSGNALAGTIPPELGGLRQLESLDLSSNRLEGRIPEALASLTSLAWLNLSCNRLEGSVPQGGQLLTFTNASFLGNAGLCGKPLSRRCDGGGSDAGAPASEHGRSSADTIVMFCLAGSGYGLGFAVAILFQVACRGKRWSVC >PAN37620 pep chromosome:PHallii_v3.1:7:21250193:21253798:-1 gene:PAHAL_7G070800 transcript:PAN37620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHRQARRRRQAQHVPRRQIPADLRGKCFNCLSASHRAAVCRRPTRCFRCMEPGHRISRCQVEAQARRSVWERLIPPDVSSRPRLPVWLRVKLPSRGRLPVWQRISGVSVQKDKRKPGNEPGRVPVWRRIDNQPATQESAANPSPDGVRAWVEEEGSSARHGRKRRRRSRRKRPAASRDQAHQPSGSGDVQEDNPSQAPPVAPSAAVTACRPGLPKCVLDFSPVLAREEVALRCALFVTIVGTRPVVLADEVSSEIARSFELPLQSLKIHQSWPED >PAN37744 pep chromosome:PHallii_v3.1:7:31907000:31908526:-1 gene:PAHAL_7G118500 transcript:PAN37744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALVAPREHFVQSQACISARMQLKFSAEQNLPSSMMIQKQDDSYSVLIPGLPEDLAKICLALVPRSHFAVMSSVSKSWMSFIGSREFIAVRKEIGKIEEWIYVLTAGTGGQGSRWEVLGSLDQKKRILPPMPGPNKAGFGVVVLDGKLFVMAGYAADHGKEFVSDEVYRYDAFLNRWTALAKMNVARRDFACAEVNGVIYSAGGFGPDGDSLCSVEAYNPQQNKWILIQSLRRPRWGCFACGLNDKLYIMGGRSSFTIGNSRSVDVYDPDRQAWEEIKRGCVMVTSHAILEKRLFCIEWKNQRSLATFNPADNSWQRIPVPLTGSSSTRFCLGVLGMKVLLFSLEEEPGYQTLMYDPAAPTGCEWQTSQLKPSGSCICCVTIEV >PAN40509 pep chromosome:PHallii_v3.1:7:46096681:46098349:-1 gene:PAHAL_7G321000 transcript:PAN40509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRKHESGFQKRKKKQRIEKLVQSQKGDMDRFVFKEPQVSSVIESANQPADLEPATATNNIGDNVVEDVPIDSTNIPASVDVNVNMSPDGDVSESFQPDIFDPRYWDSLNPRQIDILAEKGSRRDLLIQKGPKDKFFRRFSALFYNRVLSNGELCDRDWLVYSKELNRVFCFGCKLFTKEHRKGLLANQGYNDWLHLANRLKEHETSADHDHWRKVLFRLVCIVNFLAKHNLAFRGTNSKLYEDSNGNFLGLVEMLAEFDPIMQEHVRRITNNETQSYYLNFKIQNELIPMLALAIKSEIIKKIKKAKYFSIILDCTPDAGHQEQMSLIVRYVDLSLDHVCIEESFLGFLDVNDTTGQGLFDKKLLDVNLRAFYSACSCHSLNLTLFDMAQTCGKAKDFFGIIQRIYTIFAKSTKKWQIHKDNITGLTLKSVSATRWESRVESVKAIRFQCGDIREALLQVADIDNDPKTSSEASGLANNELGEYSL >PVH35161 pep chromosome:PHallii_v3.1:7:31382394:31384850:1 gene:PAHAL_7G113900 transcript:PVH35161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAEPPAAEVPGRMQAVQYDAYSGGAHVEVPVPKPKKKELLLKLEAASINPVDWKMQKGMLRPLLPRRLPYIPVTDVAGVVVEVGSGVKRFKVGDEVVAMLNPFSGGGLAEYAVASVKLAASGVSATDGAGLPIAAGTALQSLRSIGARFDGAGSKPLNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNAELVRGLGADEVLDYGTPEGAGMRSPSGRLYDGVVHCTVGVGWPAFEPLLSAAGKVVDITASFSAVLTSARHRVTFARKRLVPLLLWPNRADMEFLVGLVKDGKLETVVDSRSPLRDVSEAWLKSIGGHATGKIVVGTEG >PVH35182 pep chromosome:PHallii_v3.1:7:32337377:32337915:-1 gene:PAHAL_7G121100 transcript:PVH35182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVAWPGGGGQCQCRQRRFPSCPPWSPTSPSPAWWRWPHPEAQLCPTGAFHLTGFPAHHAGQMKLVGATRVMLPLPSKQYTAVEHVKIDHALAYSVLN >PAN37994 pep chromosome:PHallii_v3.1:7:34163647:34165529:-1 gene:PAHAL_7G138900 transcript:PAN37994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVLEPLVMGKVIGEVIDNFNPTVKMTVTYSSNKQVFNGHEFFPSGVVSTPRVEVQGDDMRSSFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGRELVMYESPKPYIGIHRFVFVLFKQKSRQGVRAPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >PVH34694 pep chromosome:PHallii_v3.1:7:1887088:1892928:-1 gene:PAHAL_7G010000 transcript:PVH34694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGWRRALCTSVQWDDGGDGHRDAKNKKRRPQHTPTAGAGGGFFSAGGGFFSAVKSAATGGGSSSSSNPSTPTLRCRTKPLQQPAEPASVTPPSAPAPMGKHRMPLLQALSAPASRRSPSRFALLKASLLPSKGTSEDVFSFEDDSVEEGEELEKPKAVKRVAENAFKTLPCDREAKITMPYGQKPGFGDGSGVQCR >PVH35055 pep chromosome:PHallii_v3.1:7:27444421:27444639:1 gene:PAHAL_7G094800 transcript:PVH35055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGQPVVMSTKPDLPFGVESDNDEAEKEEEAVPNTNCPGTKPLDTAESLQPQGDKPAEASYFSVRSMPYRFI >PAN37417 pep chromosome:PHallii_v3.1:7:26717648:26718314:1 gene:PAHAL_7G091100 transcript:PAN37417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAIPAQACTWRELHPARHHGERDVRITASQLPSTTCCQRHYANVDDATWRVMRTPKRTAMIERLIRSYPCTRKQASHVLRFLALFLPLCVTLSNALY >PAN38317 pep chromosome:PHallii_v3.1:7:36117334:36119104:1 gene:PAHAL_7G163500 transcript:PAN38317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALDFKKAHAKGRASTTGPGAPALRSLMFLSPRNEPPEDIQQLIVNNTGPGRGPPPAPSSRDMESGRGGGASSSRTPASDQRVVANREEAEPSSHGGGFWGRYSSVSFLLLAGVTASLVILPLVLPPLPPPPSMLMLVPVAMLALLLVLAFMPTTSTAGRGAAGQTYL >PAN37641 pep chromosome:PHallii_v3.1:7:28688958:28691014:-1 gene:PAHAL_7G099100 transcript:PAN37641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPPAEGEGRRRQPPPHAVMIPYPAQGHVTPMLQLAKLLHARGFHVTFVNNEFNHRRHLHARGPAALDGAPGFRFASIDDGLPRCDADATQDIPALCRSTMTTCLPRFKDLVARLDAEADRDGRPRVTCVVADSTMTFALRAARELGLRAATLWTASACGFITYYHYRHLVDRGLVPLRDEAQLADGYLDTVVDWVLGAPADLRLRDFPSFVRTTDPDDVMLNFFIHETAGMSQASAVVINTFDELDAALLDAMAKLLPPVYTVGPLPLTVRNNVPADSPVAAIGSNLWKEQDAPLRWLDARPPRSVVYVNFGSITVMSNEQLAEFAWGLANTGYTFLWNVRPDLVKGNSAGLPPEFAEATEGRSMLSTWCPQAAVLEHEAVGVFLTHSGWNSTLESICGGVPMVCWPFFAEQQTNCRYKCTEWGIGMEIGGDVKRAEVEALIREAMEGEKGREMRRRAAELRESAVAAARPGGRSMRNVDRLIDEVLLA >PVH35467 pep chromosome:PHallii_v3.1:7:37862071:37864751:-1 gene:PAHAL_7G192700 transcript:PVH35467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFVNIDCDIIPLVLFAYFTDDYILTEEDKEALDFITHSYQYAVVVDIAGILLTVKFLQPHVKDGWLLDAVIDAYAYIANVEDSFTSVITTTQSQDLSEDRGDFDPKQERTWICRIGHRCATRQMVCIFPS >PVH35610 pep chromosome:PHallii_v3.1:7:39843432:39844124:1 gene:PAHAL_7G224300 transcript:PVH35610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTSRRAAAPVLFFFLLLLVASEMGTTRVAEARHCVSQSHKFVGACMRKSNCQHVCQTEGFPSGECRHHGAILRKCFCTKSC >PVH35883 pep chromosome:PHallii_v3.1:7:44792920:44794760:-1 gene:PAHAL_7G300400 transcript:PVH35883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTCFAVEPLVPRLMKEDAVVMSRQWSCNTTRPFTKSRTHGASHIHTVVSSQSLLLARSNGATLVDLLEEVYAAALLQVLGQQLPVGAHGHLAADVERVPVPVAPERQPRADPRPHPHRHLHPAVALRRVLGHVLAGRHLHALELQRRRGLGRLVQRRRRQGAVPGHVGAAVLHRQVEPVEEVGDVPVRVADGELPLKHHRPDAGRDAGRREGRHVEPRPVRADGEVGDEDDHAGDEEEREERRAQQLGAPRQVRPLHRQRPDAAGGEARRRGRFRRHGGYWPFCCGFGRFLFHVVIP >PVH34897 pep chromosome:PHallii_v3.1:7:17659802:17661448:1 gene:PAHAL_7G058400 transcript:PVH34897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSIIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATIPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYLSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN38516 pep chromosome:PHallii_v3.1:7:36949993:36951885:1 gene:PAHAL_7G177500 transcript:PAN38516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKKVLKIQKTKEKTTSK >PAN39900 pep chromosome:PHallii_v3.1:7:43337391:43340267:-1 gene:PAHAL_7G277900 transcript:PAN39900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAPSPRPPAGEGAQQEERSGRGPRSALRGALGVAFPIAASFLFSFLVGLAGLALGGLSSTASVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHVFYPSSKRRFRCHDDYYWASIFQVEYTEYFSGQVSYAVAETPKEALPHNCRPDFGAAWSTTLKFKVNESYSCRYTLGGNKADIHSDKLFNCTAEEPSTREILKRILTLFSESYVSEDFSSKRMLGYVAAGVLLGMLSSMFITVLFRGCYGLLLAAARWTVRKHSMRVFAGRLKRACLLVAYVSAVGWITLQYSKFIGLKELLSDSELMERFF >PAN38754 pep chromosome:PHallii_v3.1:7:38014050:38014959:1 gene:PAHAL_7G195100 transcript:PAN38754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRRNPVRILYPIAAISMALVIMFATISSSCAGKDSWYCSALENWQVRPCIIKCRREYGDDVMNSKCMKRHRPHKCCCELREHAPPPPPPNRRRPPVM >PVH34940 pep chromosome:PHallii_v3.1:7:20956538:20958184:-1 gene:PAHAL_7G070300 transcript:PVH34940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKSDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSFEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSCPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPRALVTHVQGPKLVWVPKTQK >PAN39790 pep chromosome:PHallii_v3.1:7:42728114:42729579:1 gene:PAHAL_7G268100 transcript:PAN39790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFMSYVDLKKAAMKDLEAGGDGIELPESGVTDERLRGFFQEAEAAKAEMAAIRDALDRLRAANEEGKALHQADDLRAHRGRVNADIVAVLRRARGIRARLESLDRANAAQRRLSAGCREGTPLDRTRTAVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELLGAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVEMQGEKLDDIESHVANASHYVQGGNKELGKAREYQRSSRKWLCIGIIILLLLILLVTVPIATSFRKS >PAN39314 pep chromosome:PHallii_v3.1:7:40568084:40571902:1 gene:PAHAL_7G235200 transcript:PAN39314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFAAGRFEEAARHFGDAIALAPDNHVLYSNRSAAYASLGRYSEALADAERTVALRPDWAKGYSRLGAAHLGLGDAPRAVESYDRGLALEPSNEALKSGLAQARHAAETRRPGGSGADAFGKVFQGPELWTKIAADPTTRAYLDQPDFVQMLREVQRNPGSLNTYLSDPRMVQVLTLMLNIKLQPQSNGASQPAAAQPAPQTPKQQPEAKAREPEPEPEPEPMEVTEEEKERKERKAAAQKEKEAGNAAYKKKDFDTAIQHYTKAMELDDEDISYITNRAAVYLEMGKYDECIKDCDKAVERGRELHADFKMISRALTRKGTALAKLAKTSKDYDVAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKISDEEREKGNEFFKQQKYPEAVKHYTEALRRNPKDPKVYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPKNQELLDGVRRCVEQINKASRGELSEEEMKERQNKAMQDPEIQNILTDPIMRQVLADLQENPQAAQAHLKNPGVMQKIQKLVSAGIVQMK >PVH34934 pep chromosome:PHallii_v3.1:7:20773791:20774907:-1 gene:PAHAL_7G069100 transcript:PVH34934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLSFYRQRSAIQDWMEHSRSNAPPTLDEDSDISDVPLPNPMLTSLAEDNENLEQWAGENVGDTHLGKRKTKVFRPQRPEKKGKMIRSPPEEELASNETTPEPSGGGDEGNTDDDDDDDDDNDDGGSGYPVSSQAGGRSDRSMSPIRFTGETDFTHATQDQDHGQPMSQRRTTSNRRRYDPREGDSSSSVSSTFSYPRPPSYPYPYPQPYPYPYPEPYSHPPPYPSHFIQLQVHLGMSTSGQIGELQEY >PAN37916 pep chromosome:PHallii_v3.1:7:33494863:33501062:-1 gene:PAHAL_7G132900 transcript:PAN37916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSGAIQYRFLVDGVWRCDESKPIMRDEYGLISNEVLVENNAQQVVQPEPSPIRGINMDEGTILTTMPPEPSSQNPGMQIAVFRHRISGILLHNTIYDVVPLSSKLAILDTQLPVKQAFKIMHDEGLALVPLWDDHQGTITGMLTASDFVLMLRKLQRNIRALGNEELEMHPISAWKEAKLQFYGGPDGAAMQRRPLIHVKDSDNLVDVALTIIRNEISSVPIFKSLPDSSGMPLLNLATLQGILKFLFSKLQEQAEGFSLLHNQLLNIPIGTWSPHTGRTSNRLLRTLRLSSPLNSCLDLLLQDRVSSIPIVDDNGSLRDVYSLSDIMALAKNDVYARIELEQVTVQNALDVQYQVQGRRQCHTCLQTSTLLEVLEELCIPGVRRVVVIEQSTRFVEGIISLRDIFTFLLG >PAN37917 pep chromosome:PHallii_v3.1:7:33494863:33503013:-1 gene:PAHAL_7G132900 transcript:PAN37917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRFSWPYGGRHAAFCGSFTGWREYPMALVGTEFQVVFDLPPGVYQYRFLVDGVWRCDESKPIMRDEYGLISNEVLVENNAQQVVQPEPSPIRGINMDEGTILTTMPPEPSSQNPGMQIAVFRHRISGILLHNTIYDVVPLSSKLAILDTQLPVKQAFKIMHDEGLALVPLWDDHQGTITGMLTASDFVLMLRKLQRNIRALGNEELEMHPISAWKEAKLQFYGGPDGAAMQRRPLIHVKDSDNLVDVALTIIRNEISSVPIFKSLPDSSGMPLLNLATLQGILKFLFSKLQEQAEGFSLLHNQLLNIPIGTWSPHTGRTSNRLLRTLRLSSPLNSCLDLLLQDRVSSIPIVDDNGSLRDVYSLSDIMALAKNDVYARIELEQVTVQNALDVQYQVQGRRQCHTCLQTSTLLEVLEELCIPGVRRVVVIEQSTRFVEGIISLRDIFTFLLG >PVH34769 pep chromosome:PHallii_v3.1:7:5587839:5588075:-1 gene:PAHAL_7G026000 transcript:PVH34769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTGQMDAPRSGVPSPSGGLMDGHPAAAVPAAPQAPAREPAVVAPPAARQRRQGLGIPHRGGRQTWTLRGRQTRRGA >PAN40575 pep chromosome:PHallii_v3.1:7:46430370:46434222:1 gene:PAHAL_7G325500 transcript:PAN40575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNSYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPTAAGS >PVH35417 pep chromosome:PHallii_v3.1:7:37025960:37026293:-1 gene:PAHAL_7G178500 transcript:PVH35417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERPYQHWLRHHAPSGKEGTSATEGIMHPLERREHWPQKLSRQVSCHQASLV >PVH36008 pep chromosome:PHallii_v3.1:7:47136067:47139725:-1 gene:PAHAL_7G337400 transcript:PVH36008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLPLTPKNRGARPTLPALHSNPPSLSQPRAVKPKYPRGKHVVPPAGRSPPPPSLPEAPDLFPSPQSRSLPKTNSALLLSRRAAAPFPSSPQNLETLPAGFLRGLACLGFTPQTPLRPGAMAVAEASSSGGGGEEGYGAWTREQEKAFENAIATMAEEADGDARWEKIAEAVEGKTADEVRRHYELLVEDVEGIDAGRVPLPTYAADGGAEEGGGGGGGGGGGKKGSGGGGSHGEKGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDASAAQGPITGQTNGQAANRGKPSKQSPQPANTPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAPPHMAYAMHAPVPGAVVPGAPVNIAPMPYPMPPPSSHG >PAN40138 pep chromosome:PHallii_v3.1:7:44413816:44422963:-1 gene:PAHAL_7G294300 transcript:PAN40138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEASRLPSDSAPRLAKSTPPIQRESGPALPSLASRLANSTPPSARLRSRRRPAPSARRHPSSPLAALDVTPATTPPNRAAHRPVVPLWDPASMASGAGRSVLARRRGVVGECGGLGRGECAEPDADPLDEVADLGGPLAPRAAPDALVLRRLPAAARHRRGLLLLIPRRLHPRLPGLSERRFLDQAHGFTRLYEDMALGFKFHAKCYEGGIAFQHDRLRFQVFQGKWSVEEQEGGDSYETTLSYLVELEPKPLVLVRLVDGRICSEIKYNLVSI >PAN37427 pep chromosome:PHallii_v3.1:7:26954241:26956224:1 gene:PAHAL_7G092200 transcript:PAN37427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAARMAFTSCARLLPSSPSTLALVKARAGAVSFLAPAPSPSAAARSSRNLALFCSSSTPSQTDAGVAPPPPQAAVEETKPPAPGGDEKAEPTVEELAGLLDIRVGRVVKAWRHPEADTLYVEEVDVGEAEPRTICSGLVNFLPIEELQDSSVIVLANLKPRNMRGIKSNGMLMAASDASHENVELLNPPEGSVPGERVWFGSEEEKDRQSDPASPNQVQKKKIWESVQPHLKTTDNCIAVLGEHTMRTSAGPVLCKSLKGARVS >PVH35371 pep chromosome:PHallii_v3.1:7:36152107:36155776:-1 gene:PAHAL_7G164200 transcript:PVH35371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDKRKIALRYIKGSFALDILGCFPWDFIYKATGRTEMVRCLVWLRLYRARKIMAFFKKMEKDIRISYLFTRIVKLITVELYFTHTAACVFYYLATTLPPAREGGTWIGGLILGDTRYISFREIDLLTRYVTSLYVAIVTMATVGYGDIHAVNPREMTFTVAYISFSILLSAYLIGNMTALIVKGSKTERFRDKMTDLIRYMNRNKLGADIRSQVKDHLLLQYESSYTKDRVVDDIPVAVRSKLSQTLYLDMVSKVRLFKGCSEDFLSQIVVKLHEEFFLPGEVILEQGTVVDQIYIVVHGCLEEVAAGEGGSEEIISELLPYDIVGDVAVVCNVPQPHTVRVCELCSVLRIDKQSLTSILQIYFKDSRQILSNLLKWRKTKSRGKQLESDITYLISRQEAELVLGVNNAAYHGDLFRLKGLISAGADPSKPDYDGRTALHVAALRGYEDIVRFLIQRGANVNSIDKFGNSTLLLALRSGHDRITSLLVKHGAALNLEDAGGYLCRVVTDGRIDLLNRLLRFGVDPNCKNYDQKTPLHVAAAEGLHLVAGMLIGFGADVQAKDRWGNTPLDEGRRCSCKPLVRILEQATTAAVAQ >PVH35222 pep chromosome:PHallii_v3.1:7:33232956:33233672:1 gene:PAHAL_7G130900 transcript:PVH35222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGYHDGDHNPKLPRRHDGSCAGDHAELLCALPDEILIAILARVGDSRAAVSTSTLSRRWRRLDPARWIPAFGFSAGDDLPPEHAGTLHRYRSARSGGGGGDDARWELARQVATCEDRAMEAYVRGLARFLRSPERYPAARSLRLEFFLAAPPPEDHDGAAAVAAGRAMAECLASAFRWPGLERMAVYAPRPPSSPRTYSRTRTPTAWRRAAAATGCCSPP >PVH35748 pep chromosome:PHallii_v3.1:7:42464955:42465331:-1 gene:PAHAL_7G263800 transcript:PVH35748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKTVQVQTASPSCPTIQKIRRFTLLSTNVTHQTRTPQNSRITTTRCHCRNQN >PVH35392 pep chromosome:PHallii_v3.1:7:36420417:36424059:-1 gene:PAHAL_7G168900 transcript:PVH35392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPRGSASPPPLPRKKNRTPPPRSPLSPSPALASGDRGPTRGALSPPHAIVALPPPPPPPPPSPSPALTAAAAVPASDAALDPASLPRRTCSPTACRQSHERRSTHPLRHRSTPTAPLSSLLVHGPLRLIPASRAADEQGDAPLSPNPRAAPGSPASSQPRAASSPNARSSLALRPRKVPLAQPAAVPHSSASRGASPPTCSQPSRGASPPTCGRLRAPWHRRRCCSRPSRRGRGWRRQNKSSLWYARESPTLLCFRPMPSIPPLSCSATTSMTRLGVWDRALMATAPNNHGHGSEESLEQVQAGSHEVIHLTTRLIYFSKNGLGKGLSCCHPRGQAVGCGSWSSTRRRTWPRGSPTAHY >PAN38711 pep chromosome:PHallii_v3.1:7:37806202:37809799:1 gene:PAHAL_7G191400 transcript:PAN38711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKAPAAAAAAEVVEETCVSSPQGSAHGSEGGGAKEGAFLLGQPTWEDAGGGRWRCAETGHELPEREKESYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCNITGDTVNKSEEHIWKHINGKRFLNKLEKLEEQMASGEMVNGEAAKSKEMEKKSKSRKKDKKDKKGKKKTNVDSPSMPREPKPEMDDSDDPDFWVPPVGSRWDDDDGKDRWESSLGKNDSAKNEGGSDDDGGGDNDDGNDDDMSDKDDSESRELASSRTKRMSLEAVGPSSFASRKKKPKKEQ >PVH35461 pep chromosome:PHallii_v3.1:7:37806202:37809799:1 gene:PAHAL_7G191400 transcript:PVH35461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKAPAAAAAAEVVEETCVSSPQGSAHGSEGGGAKEGAFLLGQPTWEDAGGGRWRCAETGHELPEREKESYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCNITGDTVNKSEEHIWKHINGKRFLNKLEKLEEQMASGEMVNGEAAKSKEMEKKSKSRKKDKKDKKGKKKTNVDSPSMPREPKPEMDDSDDPDFWVPPVGSRWDDDDGKDRWESSLGKNDSAKNEGGSDDDGGGDNDDGNDDDMSDKDDSESRELASRTKRMSLEAVGPSSFASRKKKPKKEQ >PVH35462 pep chromosome:PHallii_v3.1:7:37806202:37809799:1 gene:PAHAL_7G191400 transcript:PVH35462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKAPAAAAAAEVVEETCVSSPQGSAHGSEGGGAKEGAFLLGQPTWEDAGGGRWRCAETGHELPEREKESYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCNITGDTVNKSEEHIWKHINGKRFLNKLEKLEEQMASGEMVNGEAAKSKEMEKKSKSRKKDKKDKKDFWVPPVGSRWDDDDGKDRWESSLGKNDSAKNEGGSDDDGGGDNDDGNDDDMSDKDDSESRELASRTKRMSLEAVGPSSFASRKKKPKKEQ >PAN38712 pep chromosome:PHallii_v3.1:7:37806202:37809799:1 gene:PAHAL_7G191400 transcript:PAN38712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKAPAAAAAAEVVEETCVSSPQGSAHGSEGGGAKEGAFLLGQPTWEDAGGGRWRCAETGHELPEREKESYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCNITGDTVNKSEEHIWKHINGKRFLNKLEKLEEQMASGEMVNGEAAKSKEMEKKSKSRKKDKKDKKDFWVPPVGSRWDDDDGKDRWESSLGKNDSAKNEGGSDDDGGGDNDDGNDDDMSDKDDSESRELASSRTKRMSLEAVGPSSFASRKKKPKKEQ >PVH35424 pep chromosome:PHallii_v3.1:7:37096772:37100016:1 gene:PAHAL_7G180100 transcript:PVH35424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHLHSHRLPHLALPLLRLLASRLGRDSPQRLLTQLLSAVSPDDPAPLVWELARAYADEGLLPDACSLILLALRSGVRVPVPAWSGIMSRLHTAPEAYAFYLQLLDAGVPPEAKLFNVLMRDMIRSGELASARNLFDEMLSRGVRPTVVSFNTLISGICKAADLNGANALRGLMAKAGVAPDVYTYGALMQGLCRAGRIPDAVEMFEEMCERGVNPNTKLFTTLIDAHCKEGNVAAGLELYREMAARGVQTDLVVYNALVNGFCRARDLKAAHDIVEEMRNTGLKPDKVTYTTLIDGCFKEGELDMAMEMKREMLNEGVALDEVTYTAFISGLSKAGCAVEAERVLREMMEVGLEPDNTTYTMVIDAFCKNSDVKTGFKLLKEMQNKGRKPGVVTYNVVMNGLCRLGQMKNADMLLNAMLNIGVPPDDITYNILLDGHCKYGKVRDAEELKSAKGMVSDFGAYTSLINEVLKKKPSKSYHDNR >PAN38470 pep chromosome:PHallii_v3.1:7:36767741:36771288:-1 gene:PAHAL_7G174200 transcript:PAN38470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVPVRKPHTSTADLLTWSAAGPDAAAGASPAASSRPSLKPAAGITPAMFGAPVSEQEAEDLSKSERKFCSGSKLKEMSGSGIFAEKSENGDSEASNHANKTSVRMYQQTVTGISQISFSADGSVSPKKPSSIPEVAKQRELSGTLEDADAKINKQLSEAKTKELSGSDIFGPPPEIPARPLAARNMELQGNVDFSLPQRSVHTSVKVSHPAGGPSNISFSEEPVVKTAKKIHNQKFQELTGNNIFKEEAPASAEKSLSSAKLKEMSGSDIFADGTPAPREYLGGVRKPPGGESSIALI >PAN37618 pep chromosome:PHallii_v3.1:7:29119474:29119950:1 gene:PAHAL_7G101200 transcript:PAN37618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTCLQDSSTIAPQNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKAYGVHIGFMIL >PAN39701 pep chromosome:PHallii_v3.1:7:42386687:42391056:1 gene:PAHAL_7G262300 transcript:PAN39701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRSLFLLLMLALASALAQPPPAPPVARTAAVRAEIDALLAFRRGVRDPYGAMSGWDAASPSAPCSWRGVACAPGGGGRVVELQLPRLRLSGPISPELGSLQYLERLSLRSNDLSGAIPATLARVTSLRAVFLQSNSLSGPIPQSFLANLTNLDTFDVSGNLLSGPVPASFPPTLKYLDLSSNAFSGTIPASISASAPSLQFLNLSFNRLRGTVPASLGALQNLHYLWLDGNLLEGTIPAALANCSALLHLSLQGNSLRGILPSAVAAIPTLQILSVSRNQLTGAIPAAAFGGQGNSSLRIVQLGGNEFSQVDVPGGLASDLQVVDLGGNKLGGPFPTWLTGAWGLTLLDLSGNALTGELPPVVGQLTALLELRLGGNAFTGAVPAEIGRCGALQVLDLEGNHFSGEMPSALGGLPRLREVYLGGNTFSGQIPTSLGNLSWLEALSIPRNKLTGGLSGELFQLGNLTFLDLSENNLTGEIPPAMGNLSALQTLNLSGNAFSGRIPSTIGNLQNLRVLDLSGQKNLSGNVPAELFGLPQLQYVSFADNSFSGDVPEGFSSLWSLRHLNLSSNSFTGSIPATYGYLPSLQVLSASHNRISGELPAELANCSNLTVLELGGNQLTGSIPSDLSRLGELEELDLSYNQLSGKIPPEISNCSSLALLKLDDNHIGGDIPASLANLSKLQTLDLSSNNLTGSIPASLAQIPGLVSFNVSHNELTGEIPAMLGSRFGSPSAYASNSDLCGPPLESECGEYRRRRRRQKVQRLALLIGVVAAAVLLLGLFCCCCVFSLLRWRRRFIESRDGVKKRRRSPGRGSGSSGTSTENGVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYSDGTVLAILRLPSTSADGAVIIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSAATPVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEEEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTASDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >PVH34952 pep chromosome:PHallii_v3.1:7:22255999:22256604:-1 gene:PAHAL_7G074500 transcript:PVH34952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGHVIAYASRQLRKHKQNYPTHDLELAAGVHALKIWRHYLLGHRCQIYTNHKSLKYIFTQNDLNMRQQRWLELIKDYDLEIHYHPGKANVVADTLSHKSYVNATMVNQMPRELYKEFEQLKLGFIAHTEGITIEVEPTLEQDIWKGQLEDAKIQEIKEMTEAGKALDFTEDEQGTVWFRKRICVPDVDHLREKNCVGGL >PVH35341 pep chromosome:PHallii_v3.1:7:35626895:35632751:-1 gene:PAHAL_7G157600 transcript:PVH35341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKDPAHRTKVVLRRLPPAIAQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKRPEDVVEFAEAFNGHVFVNEKGAQFKALVEYAPSQQVPKSNIKKDGREGTIMKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEAPVVTALMMFVRQQRAAKSMAQRPGSRVSRKVSGVVTSSSSTSKRSSEKRRSSAYVVRDSAKEKPTYIMVPKREEHTQREKAIAGSSDVTSGGTSGPGQAVEAKRDKIVILKGRGRVDSNIPDAATQQSSTPVKNVPPLSSRQDQRLEASGRIIKTILSNKETRSSNTSQHEQEGHMLNTERDKRPPRVLNPRTIVKDQIVENAERSHFDEKANHLHGSAPVGEKIERHARNRDRPDRGVWAPRRYDKSTSGGGSHASSSEFPQMQSHPGDSFSQLADGHGDRKTDTRSHGGGRGGPVENGHRRRGPPRGPKETEISANMPDGKNSKRSSASYGAHERQVWVQKSSSGS >PAN38251 pep chromosome:PHallii_v3.1:7:35627524:35632369:-1 gene:PAHAL_7G157600 transcript:PAN38251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKDPAHRTKVVLRRLPPAIAQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKRPEDVVEFAEAFNGHVFVNEKGAQFKALVEYAPSQQVPKSNIKKDGREGTIMKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEAPVVTALMMFVRQQRAAKSMAQRPGSRVSRKVSGVVTSSSSTSKRSSEKRRSSAYVVRDSAKEKPTYIMVPKREEHTQREKAIAGSSDVTSGGTSGPGQAVEAKRDKIVILKGRGRVDSNIPDAATQQSSTPVKNVPPLSSRQDQRLEASGRIIKTILSNKETRSSNTSQHEQEGHMLNTERDKRPPRVLNPRTIVKDQIVENAERSHFDEKANHLHGSAPVGEKIERHARNRDRPDRGVWAPRRYDKSTSGGGSHASSSEFPQMQSHPGDSFSQLADGHGDRKTDTRSHGGGRGGPVENGHRRRGPPRGPKETEISANMPDGKNSKRSSASYGAHERQVWVQKSSSGS >PAN38250 pep chromosome:PHallii_v3.1:7:35626051:35632751:-1 gene:PAHAL_7G157600 transcript:PAN38250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKDPAHRTKVVLRRLPPAIAQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKRPEDVVEFAEAFNGHVFVNEKGAQFKALVEYAPSQQVPKSNIKKDGREGTIMKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEAPVVTALMMFVRQQRAAKSMAQRPGSRVSRKVSGVVTSSSSTSKRSSEKRRSSAYVVRDSAKEKPTYIMVPKREEHTQREKAIAGSSDVTSGGTSGPGQAVEAKRDKIVILKGRGRVDSNIPDAATQQSSTPVKNVPPLSSRQDQRLEASGRIIKTILSNKETRSSNTSQHEQEGHMLNTERDKRPPRVLNPRTIVKDQIVENAERSHFDEKANHLHGSAPVGEKIERHARNRDRPDRGVWAPRRYDKSTSGGGSHASSSEFPQMQSHPGDSFSQLADGHGDRKTDTRSHGGGRGGPVENGHRRRGPPRGPKETEISANMPDGKNSKRSSASYGAHERQVWVQKSSSGS >PVH36103 pep chromosome:PHallii_v3.1:7:47978548:47978778:-1 gene:PAHAL_7G351500 transcript:PVH36103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSSYRLAVRVGAYVKISAQLQEGQGREGTNQPQKENLKGEPHDAQDADSLDIWQKHAINMYMILMPHHLLLQC >PAN40681 pep chromosome:PHallii_v3.1:7:46825904:46829726:-1 gene:PAHAL_7G333200 transcript:PAN40681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671200)] MDPNSLKTGGLLLPTIERRCTSPPSVIVIGGGISGVAAARALSNSSFKVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLRLYRTSDDNSVLYDHDLESYALFDKDGNQVPKDTVDKVGETFERILEETVKVRDEQEHDMPLLQAISIVFERHPHLKLEGLSDQVLQWCVCRLEAWFAADADEISLKNWDQERVLTGGHGLMVNGYYPVIEALAQGLDIRLNQRVTKIARQYNGVKVTTEDGTNYFADACIITVPLGVLKANIIKFEPELPPWKCSAIADLGVGIENKIAMHFDRVFWPNVEVLGIVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVVSHLKKMLPDATEPTQYLVSRWGSDPNTLGSYSCDLVGKPADVCARFSAPVENLYFAGEAASAEHSGSVHGAYSSGLAAAEECRKRLLTQKGVPDLVQVAAWEVVAGVVAPLQICRT >PVH35721 pep chromosome:PHallii_v3.1:7:41892603:41893502:1 gene:PAHAL_7G255900 transcript:PVH35721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDGYLRIVCDLMAEQVRKGNRPNTHLNTLGYNEVSDRFFQVIGIELTKTQIKNKWDKLQTGTGWDSGKGVIVMDNEWWRKTKNDIPGCGKFKKKLLQCEEQLREMFGDISSDETDHWNPMSSNPIVPEKNVEPFTVDGINDVPNEEDHEDIIHDWAYQEEEEEEAEVSIKEVMQHVLECGADYGSNEHDIATRLFVKKDQREIFLTLPTTKIRFDWLTRRYNDKYGSV >PVH34859 pep chromosome:PHallii_v3.1:7:13142474:13143409:-1 gene:PAHAL_7G047300 transcript:PVH34859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVSWDHAGHLHTNALHWEGFPRLLWESLRLFFYTEPPQYDGWQPIKVDVVGYHLLDTIETAALEAIHLFCNQHPMEVAGHPISLFPAIDSSDPEWNFRTAHYGHMLGDLAEEILRGTIRFMNVQHHYQILLRRGMGQLTGIAQGHYRNVDRQVTQIEELQALVTEKKEIITAQEETILHREDQINESNAIITQHNMIIEFLQEQIHDLILEVDDAHAHIDELQQQPVPPAVPVVPEGAEEDPEEIEGVSDLNSEHGDLEPNPQPDHSSSSTQSSVDDLDDF >PVH35184 pep chromosome:PHallii_v3.1:7:32372520:32373131:-1 gene:PAHAL_7G121500 transcript:PVH35184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRHCSILIVPRGRGHRRRRVQHEEERNRAPRGTAPSGMARGQPVEVTMTRSRRGQLAARRSRWRRGGTPWRSRASSGRSDHDRFHAVRSSWLWRRRGSPATCGCMHVDDERAEIVIAAGRRSAPRQRLQRRARRKGKKNRKTSGELGAFHG >PAN37374 pep chromosome:PHallii_v3.1:7:25455910:25456468:1 gene:PAHAL_7G086300 transcript:PAN37374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSQSQRLSNWPPAARLLQHRRHPAGVRGRPTSLWQKAGHATGGCVGGALIYQSILNLKQSCDHEVTQRDNSTTTSSVHVKSYYGGNNSARH >PAN37375 pep chromosome:PHallii_v3.1:7:25455533:25456987:1 gene:PAHAL_7G086300 transcript:PAN37375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSQSQRLSNWPPAARLLQHRRHPAGVRGRPTSLWQKAGHATGGCVGGALIYQSILNLKQSCDHEVTQRDNSTTTSSVHVKSYYDTKPEVGDCH >PAN37373 pep chromosome:PHallii_v3.1:7:25455608:25456987:1 gene:PAHAL_7G086300 transcript:PAN37373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSQSQRLSNWPPAARLLQHRRHPAGVRGRPTSLWQKAGHATGGCVGGALIYQIPNLKLGIAIEVEE >PAN37936 pep chromosome:PHallii_v3.1:7:31109261:31112473:-1 gene:PAHAL_7G112600 transcript:PAN37936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEVELNNSGQSITHNNGNETVPLHRTDQEEYDINALPGAEDHVNTNQDKDDKEQSSENGKRFTAEQIQELESLFQQCDYPDDEMHHDLAAKVGLEARQVKFWFQNRRSQMKVKAVGDENKDIRQENDKLLAQNKELQQMLQQSCGRCHDAVDEKWRLLSENARLNHTYQRAQENLINLIHDANLPPSATMEHLASASLNLVPFTYNGKTTDQAAVLSYSRHALKEFMMLALNDEPMWLPTMNDKMLNDQEYKHHTFPGILGSCPQGFVTEATEEATLVRARAYGIAGILTDVSRWSMMFPGIIQGARSSKVVSGSISTSFDGLIQEITADLSVPSPRPPNRRVKFLRISKQIENKWAVVDVSIDGIRGILPGGKRIGYTTCRLLPSGCLLQDMGNGLCKVIWIVRAEYDDTAVPPLFRQFFQSGQALGAIRWLASLQKQCEYMAIFRSSHVASSIISGAPMSTPGRRSILALARQMISSFHTTVSNPVTQKSDNVVEWDWHGSWGTATEMSKATVRMIISSCSPMGEPPAGQVLSATMTVWLPGTPPQRVHEYLCNQHRRVEWDTFANTGAVQELSSVITCPHLRGNVVSVLHPIDVADQTNSNMLILQEATSDVSCSLVVYSFIETNLIYAVMDGAENTSVFLLPSGFAILPDGHGRAHHAAAASSSSAPTSHNGTAGSLHTAAYQAVLPGNASDIAVGTFDNAGYRAYNAVK >PAN40558 pep chromosome:PHallii_v3.1:7:46372574:46385747:-1 gene:PAHAL_7G324800 transcript:PAN40558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQHNAQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDAMQRPDAKTLLMHQWLQNSRRALPASLRQPTPLRNIDGDDEGSVHNSAGFCTPGDSQTPITSNVEQENGRKEQILESAAQNKTDELHDGNLKPTEGSSSNNLAVMKDNIVPNKDPTLVLHEKLPVEASSGDADLNGKVTAHEVQVGLPSKMEPESKESSSLEDGDVFSFQAGRQNIDYQKVVEPSVEGPKELSRFSDKPGDASLEDLFPPIDKQGNYGAEASTSTTGHELPYNGVSNDLAKVLNARVAEKQKGNDSESTNGGKLIEIADRLQDIDAQGFGDNIAGESLFPWQEYSKIVAQLKPGESEDVILSACQKLLLYFSHRPGQKQIYVTQNGFLPLMELLELPKNRILSSVLQLINNIVKDNTGFLENACLVGLIPVVMNFAEPNRPKDVRVQASLFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKYRDMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPSFIENSKARLDHHHSSGSLQSLQADADKHHILLDSSSSPRFSDKTGFGNLERNENDLIRPPRLSVSAGRTSTDRSPKHIELVSNGHNNGQNDQVRPLLSLLEKEPPSRHVSGQLDYVHHLSGLGRHETILPLLHASTERKTNGELDLIMAEFAEVSRHGRENGHIDSNVKDSNRVQSMKYAPSAGVSNEGASTSGAASQTASDVAREYLEKVADLLLEFAQADTVVKSLMASQSLLARIFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >PAN40559 pep chromosome:PHallii_v3.1:7:46373051:46385484:-1 gene:PAHAL_7G324800 transcript:PAN40559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQHNAQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDAMQRPDAKTLLMHQWLQNSRRALPASLRQPTPLRNIDGDDEGSVHNSAGFCTPGDSQTPITSNVEQENGRKEQILESAAQNKTDELHDGNLKPTEGSSSNNLAVMKDNIVPNKDPTLVLHEKLPVEASSGDADLNGKVTAHEVQVGLPSKMEPESKESSSLEDGDVFSFQAGRQNIDYQKVVEPSVEGPKELSRFSDKPGDASLEDLFPPIDKQGNYGAEASTSTTGHELPYNGVSNDLAKVLNARVAEKQKGNDSESTNGGKLIEIADRLQDIDAQGFGDNIAGESLFPWQEYSKIVAQLKPGESEDVILSACQKLLLYFSHRPGQKQIYVTQNGFLPLMELLELPKNRILSSVLQLINNIVKDNTGFLENACLVGLIPVVMNFAEPNRPKDVRVQASLFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKYRDMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPSFIENSKARLDHHHSSGSLQSLQADADKHHILLDSSSSPRFSDKTGFGNLERNENDLIRPPRLSVSAGRTSTDRSPKHIELVSNGHNNGQNDQVRPLLSLLEKEPPSRHVSGQLDYVHHLSGLGRHETILPLLHASTERKTNGELDLIMAEFAEVSRHGRENGHIDSNVKDSNRVQSMKYAPSAGVSNEGASTSGAASQTASGVLSGSGVLNLRPGSTTSSGPLAQMFSSMSADVAREYLEKVADLLLEFAQADTVVKSLMASQSLLARIFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >PVH34975 pep chromosome:PHallii_v3.1:7:22960386:22966768:1 gene:PAHAL_7G077600 transcript:PVH34975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGKGKGKISQKGLKSYFGTGSSGSSRQPSTRGSGIAQQEVEDGQDHFALVTTGVEDEFAQATTGVEDEVAQAELQEGITEFNPDYIISDPGLRISIDRFAPNIRDEVRRAFIAKGPFQPMDHKFPTSNDSRSFQKKWFKQYNWLEYSVEKNKAYCFYCYLFRHDRIEERFGHDAFTKASFSQWKNGYLALPKHVGGPSSIHNFAATSYHDFDNQRSSLRNKVSTHTKDALVKYETRVEASLSIVAYLALQGEPFRGHDETCTSLNKGNFLEMLDWYKERNEEVKRAFDELCPQNAKMTSDTIQKDLANSCAQAITKAIKEEMGGCLFSILIDESRDISIKEQMAIVVRFVNKKGEVIERFLGIKHVKDTTSESLKKALLEVLNDHGLVVANIRGQGYDGASNMRGEFNGLQKLIRDENPCAFYIHCFAHQLQLVVVAVSKCASSIEDFFEYVTLIVSSTSTSCKRKDLLLDRHRLNLLSKLESGEISSGRGKQQETSLARPGDTRWGSHYKTLLRIESMWDSVIEVLEIVNQDERNPSRAGGLVQIMESFSFVFITKMMLQILRITNELSLILQRKDQNVVQAMSLIIDVTTRLNNLRSEGWEPLFEETKAFCLAKCIPIPNMSDQVSRFGRSRKDIYYDDFSFDDRKTIKDQLQTFIIHVRRLEEFKVCYDLASLSKTMVRLERHIVFPLVYRLIELALILPVATATVERAFSAMKIIKTELRNKMTDGWLNDLMLCYIEREIFKGLDLQQIKKAFQKKKDRKNAIS >PAN38746 pep chromosome:PHallii_v3.1:7:37976055:37978458:1 gene:PAHAL_7G194500 transcript:PAN38746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASTIYTKWPLALRPHLTPAFQHHTHCWPLLSIAAGAILLSSTVSLASEMIKLRYSKKLFRRSSSKSSTSSDGGDAGSGRGEIEWEVRPGGMLVQKRDGRGHVEVITVRVATGFSWHDVSIGATCTFGELKVVLSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDLKLRAALAAQAVQSPYQPFIKV >PAN38451 pep chromosome:PHallii_v3.1:7:36657894:36662528:-1 gene:PAHAL_7G172900 transcript:PAN38451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREQLLEYYANNKNPGDAEENLLTCLADLFMQISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSPEKAPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >PAN39045 pep chromosome:PHallii_v3.1:7:36657388:36663038:-1 gene:PAHAL_7G172900 transcript:PAN39045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSPEKAPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >PAN38450 pep chromosome:PHallii_v3.1:7:36657894:36659271:-1 gene:PAHAL_7G172900 transcript:PAN38450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSPEKAPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >PVH35328 pep chromosome:PHallii_v3.1:7:35368220:35372632:-1 gene:PAHAL_7G153500 transcript:PVH35328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLATAAATLRASAAAGAPAASRAARAGRAFFSNSSASPARARVALRAAPSRLPQKARAVRCAAAAAAAAAASDAAQLKAAREDIRELLRTTHCHPILVRLGWHDSGTYDKNIEEWPQRGGANGSLRFDVELKHGANAGLINALKLIQPIEGKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPSSPADHLREVFYRMGLDDKDIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPAFKVYAEKYAADQDAFFKDYAEAHAKLSNLGAKFNPPQGISLDD >PAN39946 pep chromosome:PHallii_v3.1:7:43488689:43490096:-1 gene:PAHAL_7G280700 transcript:PAN39946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARARLLACLPALTMMAAAVSWVAAGGGRITDQVDILWGPTQLINGSDGDQTIGLSLDRVMGSGFRSKSSYLFARIDIDIKLVAGNSAGTVTTVYLISEGQWKIHDEIDLEFLGNVTGEPYTLHTNIFANGSGGREVQYRLWFDPTQDFHTYSIIWNSEQILILVDNMAIRQFKNHWDAGVPFPVYQPMRLNGVLWDADDWATQGGRVKTDWSQAPFTAYFRNYRASGCQPSGVAWECGQDPSGGDWFDGGAAGLDDVRQQQQLREAQERYTIYDYCTDSARFPDGGFPKECGLP >PAN38834 pep chromosome:PHallii_v3.1:7:38355586:38356631:1 gene:PAHAL_7G201300 transcript:PAN38834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPIASMAMLWLVAASAAHAGHPAPAYAPAPAPHYHHHHRHAAAPSPHNHRGHHAPAPAPGPAQLPRTPSPKGAGHIPTPGPYSHDAAAPAPVHWRHHPHPRHHATAPAPVFGNQPASAPSQYNHGGHIAAAPAPVHGTQPASAPSPQHHHGGHDAPAPAPVHANRPAGASTPHHHARHNAPAPAPVHANQQTGAPTPYNHVGHRAPAPAPVVHAKQPASAPAPAPSPHHHGSAQATVVPAPHHEEATTPAPVPAPARVGEEAPPPSDLARPPASDYYPSSAPAPAPGISAASVAFAGGSSGLLGAIALYLCAAVLFL >PVH35524 pep chromosome:PHallii_v3.1:7:38714165:38715967:-1 gene:PAHAL_7G207600 transcript:PVH35524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHTIILMQPSLNRATRTFMDFDSVNHALDGICGLYERKIRNINPMVRNLTYDISDLYNFIDGLTDISALVILALAGTTIPFTPSYHMTASG >PAN38930 pep chromosome:PHallii_v3.1:7:38713813:38716349:-1 gene:PAHAL_7G207600 transcript:PAN38930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHTIILMQPSLNRATRTFMDFDSVNHALDGICGLYERKIRNINPMVRNLTYDISDLYNFIDGLTDISALVYDDSLHAFLPYDRQWIKQKLFQHLKRLAEQ >PAN37666 pep chromosome:PHallii_v3.1:7:28042877:28043552:-1 gene:PAHAL_7G097200 transcript:PAN37666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATTGSGSSIFMALIGVMVVVAQGNDGGKAAADSRLWPVGDSAGWSFGVLGWPNYKPFKAGDILLFSYKHGAHNVVQVNTVAQYSMCEVPRNATVWSSGNDRITLARGMSFFVCGIPGHCQKGMKIVVTAR >PVH35995 pep chromosome:PHallii_v3.1:7:46691532:46694146:1 gene:PAHAL_7G330200 transcript:PVH35995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVASRCFLHLRPGLELCLRSRPLTPRSSWVKVMARFRREPPRRNLLSCRQGAFEEGNAAMDKAMRSTDEQLSHCKDGNGTGLDPVGKNFVNEFAQLSLEEEASDDVVCGISESVVRDVGKAAIELLAARAFTVSELRKKLQSKKYPVDAVDTVVADFKSRGLLNDGFYAESFSRSRWISSTWGPRRIKQALRQKGVPEAEVDQATRRVFQDGHGHGKEATYGISEASMDHLFAQASKQWKRGQNLTLENRRGRIVRWLQYRGFNWAVTNAIVRKLEAQHPP >PAN37668 pep chromosome:PHallii_v3.1:7:28020831:28026158:-1 gene:PAHAL_7G097100 transcript:PAN37668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGWAAMNESGKAEPFIFKRRENGVDDVTIKVQYCGMCHTDLHFMKNDWGITMYPVVPGHEVTGVVTEVGANVSGFKVGDRVGVGCIVEACLDCDLCHRSEENYCDKLVLTYNGILSDGSVTYGGYSETLVVHSKFVARIPDSLPLDAAAPLLCAGITVFSPMKQHGMLQSGGSLGVVGLGGLGHIAVKFGKAFGLRVTVISTSPAKEKEARESLKADDFIVSTDQKQMQAKTRSLDYIIDTVPAKHSLGPLLDLLKVKGVLALVAAPDQPIELPSFPLIFGRRTVSGSISGSMKETQEMLDLCGEHNITCDIELVSTDGINEALARLARNDVRYRFVIDIGGDSN >PAN38399 pep chromosome:PHallii_v3.1:7:36457312:36462274:1 gene:PAHAL_7G169400 transcript:PAN38399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSESSRNALLPGFLYAAPATASPFAAAGGVGGSAVAAPSAGGPAVWPRAPSEPGRRIEMYTPAFYAACTAGGVASCGLTHMTVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGAKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAELIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECSKNLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAQGATVGDAVKKIGLVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPTPAAAKA >PVH35691 pep chromosome:PHallii_v3.1:7:41407612:41409750:1 gene:PAHAL_7G248600 transcript:PVH35691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSATSVYDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPLYKFLKSSKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >PAN40473 pep chromosome:PHallii_v3.1:7:45835807:45838437:1 gene:PAHAL_7G318100 transcript:PAN40473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSASNAAAAAPWADLLPELCGLVVDRLDPVSILRFPAACRGWAAACEESPRLQSGAPALLTSGLDPEGIEVEHDVDAGAFGLHDVSAGGRSFLGEAAGLKGRTWIGGKDDWLVTTDYACSIELLNLVTGDRVPLPPFGTTQGAKLELPGYLHVRTGDRWHKILKVTLCQTPAHPSGGYLAVALFSDGLLAFTAAGDKCWTALKNPAAALRLDLSYMDAIVLKGKLFAVNERGRIYSWDMSSGITEPAAVVQGPDIEIGRHCRRGFYLATSSRGQLLLICIYGDTELLFKDSRICSRLVFNDMWSFYELGMSLHELDAGSGSWRRVTDLGGDRALFLGANYPFYITAPPGSEDLKASCVYVADTPSSYDVGIFDLKKKKKGEDGFVERLACSLMADTLQMPMWFRPTSHPRLVKDQGRS >PVH34724 pep chromosome:PHallii_v3.1:7:3371608:3372818:1 gene:PAHAL_7G016500 transcript:PVH34724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVSRSGGAVGEARATRRLGTSAGTVRHEQGVSGRGTASARRGEGRGGECHGVRTWGGGWAAGGATSRPSGRRAARAAVQRVGLHRETGGGENGRQRRAARPRARENARVNGRARVCAGGATRRGPGGRGVATGGAARRTATRGACARAGVTPSLAHARGRTAAMAHTPPPCPPALAAPRPLCRLAARASPVLHTAARPPPRARPRPAASHGRH >PAN37956 pep chromosome:PHallii_v3.1:7:33997718:33999784:-1 gene:PAHAL_7G136900 transcript:PAN37956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAADATAAAEAVVAVADQDGTVYCSEHPYPPGATAAAGAGAGGICAFCLQEKLGMLVSSSKSSPFHPPPPPASASASSTPPPSNRASSEAPLPLYPPAAASRKVMPAQGAGAGLKRSKSVAPRPEEPLPPPAPSAITADSPRKKSFWSFLHLSSSSGSHKSASSAASSANGGGGGAAVARRNSVSVASASSAALGARLEAIVEPESPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKAAGALGHLGGAHGDDEHDQHHRIKCAGFFGGGLGAAPPPSSSYWLSAPDGGTGSTGSGGSTRGNGTRSHRSWAWALASPMRALRPTSSSSSKSIMAAPTAA >PAN39580 pep chromosome:PHallii_v3.1:7:41626591:41629806:-1 gene:PAHAL_7G253200 transcript:PAN39580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKPEALFFLLVCLLVVSVSAEGPYRSYDWMVTYGDINPLGTPQQAILINGQFPGPKIECQTNDNLIINVHNNLPEPFLLSWNGLQQRKNSWQDGVSGTNCPIPPGQNFTYHMQAKDQIGSFFYFPSLAFHKAAGGFGAIHIRTRPLIPVPFPPPADEYTLLIGDWYTMNHKDLRGRLDSGRELPFPDGILINGKRSPDGADFTVEQGKTYRLRISNVGLQNTLNLMMQDHSMTLVEVEGTHTVQNSYASLDVHVGQSLSVLFDADRPARDYHVVVSTRFTNTTLRSTAVVRYAGSSGRAFGSLPLPAGPGDVEFSLNQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLASSSPSLLAGGERRYAVNGVSFVEADTPLKLADYYNISGVFRLGGIPDAPPPAAAGAAEEVRSETAVMDLDHRSFVEVVLENGEDGVQSWHLDGHSVFVVGLDLGTWSEESRDSYNLVDAISRCTVQVYPRGWTAVLIALDNVGMWNMRSEVWGRRYLGQQFYLRVYTPTHSLRDELPIPDNALLCGRAAANTSRRLPVPPALLSR >PVH34963 pep chromosome:PHallii_v3.1:7:22799683:22801055:1 gene:PAHAL_7G076800 transcript:PVH34963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVCGQHTMMLNSSVVEGESYDFLRVGFAPTYNHPLRHIFHLCLNYYVFISPYTLISNPQRTIWIPSFPRTLHDFEEVYRQAMDIFADVTCLIVYVSKIQDREDIRRRPSRHVVLMNERKKFIFIHILDRHLLQNIWEWRLAASHTVAALHVKVNWITDGVTTTDYSQIVFSPYYSEMYEFKGLRNQLHNQRHEIRKLAREGLKERMDTS >PAN38146 pep chromosome:PHallii_v3.1:7:32473111:32474303:1 gene:PAHAL_7G122900 transcript:PAN38146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPVLSLVLNPFRVSPLGMGIGAWFRQEGQPGVAPFAVAHGRNMWETAARKPTFNALVNDAMAADSRFLMRIVLRECAEVFHGISSLVDVAGGLGGAATSIAKAFPELRCTVLDLPHVVANAPSGGNVQFVEGDMFQSIPPADAVFLKWILHDWGDDQCVKILKNCKQAIPSRDKGGKVIIIDMVVGSGSSDAKQLETQVLYDLLIMGINGVERDEQEWKKIFLEAGFKDYKIMPILGVRSIIELYP >PVH35455 pep chromosome:PHallii_v3.1:7:37655039:37660420:1 gene:PAHAL_7G189800 transcript:PVH35455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYVYVLEARGLPVPRPRLHGGVLFYAKVTAGKQRFRTRAVEAAEPGPGGEDLAAAAPAWNEEFVFAVGAEEAEGEEFEVAVARRRRRGGRGREVVGAVRLPVPAATAASPGERRSVPPTWFTLQPVGDRRKGGGDDGEASAADCGKILLSFSLYRENNDNVVIHMSPSSSSRSDTDAEIERLTDMEHSGSNGAVVDSPRSRDTGRTSLDNSDRWMQTDSNSVTEDDDLAEDIAATANGSSTEQMAPDASFEEAMETMKSRSTPDTPEDLDGGIIFEHTYLVESKELNHLLFRPESQFFKELRELQGTTDYEEQPWTWKSKDPPSLTRTCQYTKGASKFMKAVKTSEEQTYLKADGKSFVVMARVRTPEVPFGNCFAVVLLYKIIQSTGLSGGEESAHLTVSYNVEFLQSTMMRSMIEGSVRDGLKENFEGFAEVMSRHVKLADSVGMDKEQLLAPLQAEHQSDIRLAYKYFCNFTAITTVLFALYIVVHILLSKPGPLMGLEFNGLDLPDSFGELITAGVLVLQFERLLNMISRFVEARVQRGSDHGVKANGEGWLLTVALLEATSLPPVSCGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPAVLDVEVFNFDGPFDLAISLGHAEINFLKHTSVELADIWVPLEGKLAQTCQSRLHLRVFLENTKGPETSMREYLSKMEKEVGKKLHVRSPHRNSTFQKLFSLPQEEFLIADYVCSLKRKLPLQGRLFVSARIVGFYANLFGHKTKFFFLWEDVEEIEVLQPSFTTVGTPSLLFTLKSGRGLDAKSGAKSQDKEGRLKFQFHSFASFSKASRTIIGLWKTKSSAIEQRAKMEEDQEEDFSSVDLDDVHAVLSIGDVPLSKAYTLEHPIDAELLMGVFDGGALETRTMSRVGCLDYSATPWEAARLGVLERHVSYKFNRYMSIFGGEVVSTQLKFPAEDGGGGWTIHEVVTLHNVPFGDYFRVHLRYNVQGVTSEAPSSRCEILVGIEWLKSSKFQKRIARNICEKLAHRAKEVLEVAGKEIASAVSG >PAN38412 pep chromosome:PHallii_v3.1:7:36523569:36524822:1 gene:PAHAL_7G170200 transcript:PAN38412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAEAAAGDRDDDEVVHDFAPLLVVYKSGRLERPIAMPPVPPGLDAVTGVASRDVALSPHSFARLYLPPAATDENSRKLPVVVYFHGGGFVIGSAASAAYHRCLNDLAAACPAVAVSVDYRLAPEHPLPAAYEDSLAALEWALSASDPWLAAHGDPSRMFLAGDSAGANICHHLAMHLGIRGAGLRGVVLIHPWFWGREPVGGEPWGPAKMQKGLWEFVCPGAVGGEDDPRMNPMAPGAPGLEGLACGKVMVCVAEGDVLRWRGRLYAEAAARARGAEPRVELFESEGVGHVFYLLEPAAEKARELLDRIAAFVSTE >PAN40644 pep chromosome:PHallii_v3.1:7:46699709:46703614:-1 gene:PAHAL_7G330500 transcript:PAN40644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MAWGVPPRPPSSKVQALYELCKRTFPSPSAAAGASSSPPPADAVRSISSLMDTITPADVGLRDDNVEDDRGHGFFESNFLKSSARVTRWAQPITYLHIYECDAFSIGIFCLPTSSVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPTVLASSQPAKLAKLHTDDVRTAPCPTSVLYAQSGGNLHCFTSVSSCAVLDVLAPPYCEDVGRICTYFHDYPFSSLSAAGLAKVAGNPDTYAWLEAINTPVNIYMRSGMYTGPPVQT >PAN40642 pep chromosome:PHallii_v3.1:7:46700594:46703447:-1 gene:PAHAL_7G330500 transcript:PAN40642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MAWGVPPRPPSSKVQALYELCKRTFPSPSAAAGASSSPPPADAVRSISSLMDTITPADVGLRDDNVEDDRGHGFFESNFLKSSARVTRWAQPITYLHIYECDAFSIGIFCLPTSSVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPTVLASSQPAKLAKLHTDDVRTAPCPTSVLYAQSGGNLHCFTSVSSCAVLDVLAPPYCEDVGRICTYFHDYPFSSL >PAN40645 pep chromosome:PHallii_v3.1:7:46699710:46703614:-1 gene:PAHAL_7G330500 transcript:PAN40645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MAWGVPPRPPSSKVQALYELCKRTFPSPSAAAGASSSPPPADAVRSISSLMDTITPADVGLRDDNVEDDRGHGFFESNFLKSSARVTRWAQPITYLHIYECDAFSIGIFCLPTSSVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPTVLASSQPAKLAKLHTDDVRTAPCPTSVLYAQSGGNLHCFTSVSSCAVLDVLAPPYCEDVGRICTYFHDYPFSSLSAAGLAKVAGNPDTYAWLEAINTPVNIYMRSGMYTGPPVQELQT >PAN40643 pep chromosome:PHallii_v3.1:7:46700594:46703447:-1 gene:PAHAL_7G330500 transcript:PAN40643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MAWGVPPRPPSSKVQALYELCKRTFPSPSAAAGASSSPPPADAVRSISSLMDTITPADVGLRDDNVEDDRGHGFFESNFLKSSARVTRWAQPITYLHIYECDAFSIGIFCLPTSSVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPTVLASSQPAKLAKLHTDDVRTAPCPTSVLYAQSGGNLHCFTSVSSCAVLDVLAPPYCEDVGRICTYFHDYPFSSL >PAN39870 pep chromosome:PHallii_v3.1:7:43193416:43197440:-1 gene:PAHAL_7G275600 transcript:PAN39870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHDPNPFDEGSADDSPFSNGGGGGSKQQYGFRPTEPVGFGGAGRGDAVVDVPLYNMGESKGKARELSSWESDLKRREADIKRREEALKNAGVPMEEKNWPPFFPIIHHDIANEIPANVQRLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHIIIGIFYFVGFGLFCLETLLSIAVLQRVYMYFRGNK >PVH35862 pep chromosome:PHallii_v3.1:7:44485201:44490614:1 gene:PAHAL_7G295600 transcript:PVH35862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDPHVEDAVLWLAQTILEILFAGKMEAWIRQVGLADDTERLKSEIERVEAVVAAVKGRAAENRPLARSLGRLKELIYDADDMVDELDYYRLQHQVQGGTIALDNQSQGKDLNGGAQLVDGSRDNSGIPNRNDRKKRSKAWEEFSITEEDADGKPVKAECIHCHTVVRCETTKGTSVLHNHLKSENCKRKRAAIEQAPIPSSADLGAQNGASIAPHDSDRRKRMRSDEVLEHNIAENTLLWDKAEICNRIQQATHQLEKAISEVQKLHGSGSVSSWNLCQNTAADPCRRTSSLVQRKMYGRVDEKNSIIQHMRGDKPDSVIVLPIVGIGGIGKTALAQIIYNEPTVKCLFDYRIWIWVSSNFDEVRLTMEMLDFVSQEKCVGISSLAKLQEILLTHVTSKRTLLIMDDVWDGIDDSRWNKLLAPLRSDNAKGNVIIVTTRKLSIAKRIGTVQPIELGSLQNDDFWLLFETCAFGDENYKEHPSLTTIGQEIAERLQGNPLAAETTGMLLREQLTIDHWSNILKNEKWKSLQLNGGIMHALKLSYDELPYCLQQCFSYCSIFPNNHQFLSNQLVCIWISQGFVKCSHWTKRLEEIGQNYLTDLLNSGFFKQVETLDPTLGDQTFYVMPPLMHDFARLVSGTECAAIDDLACREVLPTIRHLSILTDSAYHEDQHGNILRNERFEEKSRRVVNSMRKLRTLVLIGKYDYFFLESLQGIFQKAQNLRVLQISATYANFGYSACNLVNSTHVRYLKLRTKEDNEVLPEALSKFYHLQVLDIGLDRYSTLPNSMNNLISLCHLVASKAVYSSINSIGKMTTLQELHDFKVDNCTSFGIAQLQSMSELAQLGVSQLEKVVTREEAYGANLREKSRLEKLHLSWGGPLSLGEYFLNLISGPCFQVVQIGTYKEVLEGLEPHQNLKHLQICGYGSTTSPDWLVSSVSVTCLQTLHLEDCRELQVLPSLERLPLLTKLKLRNMWKVRQVTVPSLEELVLTEMPELEGCSCNSVRDLNSSLRVLTIESCSALKVFPLFESCAKIIIEQKSWLSGLRELTIHVCPNLTISHALPPSSRVCRLSIEGVSTLPEMKGSTNGELTIRGYGNRGWDIFSGSHDKPTKLDDNFSSFHHLRAITSLLLVSCLDLFSPDVLPVHAHEDMADANFNALPSLKHLETHSCGITGRWLSMMLRHAPALEELILVDCNQISWLLMEETESRSLKHTSTPRASSTSSTPEGLLRIPSNLIPFLKKLIISLCGELTFQGDKDGFSRFTSLEELRITGCPKLIPSLVHKYENNDQRNGRWLLPLSLVKLKINNSPETLQPCFLEDGNCFKKLKIDWSPNLELLQLRSCTALEELVVDGCESLAALEGTLMCLQKLELWGNISLKSLQLYSCTALEGLTIEDCGSLTTLEGNFTCLRKLVLHSNSGLESLQLYSCTALERLTIGDCGSLTTLEGNFTYLKELVLFYNSGLELLQLYSCTALEGLTIEDCGSLTTVEGNFTCLRKLKLWDNPRLKSVRLRFCTALEELLIEECESLAALEGLSLRGLRYLRVFGCPSLSHYLEGLSSQGRDQLCAELEIG >PVH36015 pep chromosome:PHallii_v3.1:7:47270337:47272075:1 gene:PAHAL_7G339500 transcript:PVH36015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCIIFIQKDLHITEVQQEVLVGCLSFISLLGSLAAGRTSDVIGRKWTIGLAAAVFQAGAAIMTFAPSFAVLMTGRLLAGIGIGIGIMVAPVYISEISPATLRGTLASFPEIFISFGILLGYVSNLVFAGLPDHINWRVMLGAGILPSISIAFVLLVIPESPRWLVMQSRAGDARAVLAKVSDSEEEAQERLAEIEEAARVTASDKAAWRELLRPSPVVRRMLITGLGVQFFQQATGIDALVYYSPTIFRDSGITTESQLLAATVAVGFSKVAFIVIAIVLVDHVGRKPLLYISTIGITVCLAVLAASLSLLVRGALPSGVAIGLAILTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVGIGFALNRMASGGVAMSFLSICRAATVAGAFAAFAVISALSVVFVHLFVPETSGKTLEQIESLFGGGVVTSGEVELGDAERLEHKRLVTH >PAN38042 pep chromosome:PHallii_v3.1:7:34382772:34383250:1 gene:PAHAL_7G142500 transcript:PAN38042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLFLPIALVVLLAGAAAAPRGADGECGEARPDHLALKLAPCASAVEDPGSAPPASCCAAVRDVGRRHSPGCLCALLLSDTVRHSGVDLGAVITIPKRCNLGSRPVGYKCGEYTLPGLHE >PVH35088 pep chromosome:PHallii_v3.1:7:29680836:29683660:1 gene:PAHAL_7G103700 transcript:PVH35088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKRALLPKFALLALLVLQTREVRADSRVESFVHDELQFGQGLGLASIRSFHGDSAQDMLNGVDDVVQAWYMDDTTEEEDQRLPHRRQPDVPVPLSKLLDLGIFAMRLDADNHENDENLTMIRSQRGYLHMDIVTLTPEKMANYEAMIKRFFEEHLHADEEVRYCLEGSGYFDVRDEEDRWVRVSVRKGGLIVVPAGIYHRFTLDTNNYIKEARTGQHIIVLIMTISQQGNSIWQHCIKGGRSIGKRMEHNEIRKAN >PAN37590 pep chromosome:PHallii_v3.1:7:29680837:29683660:1 gene:PAHAL_7G103700 transcript:PAN37590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKRALLPKFALLALLVLQTREVRADSRVESFVHDELQFGQGLGLASIRSFHGDSAQDMLNGVDDVVQAWYMDDTTEEEDQRLPHRRQPDVPVPLSKLLDLGIFAMRLDADNHENDENLTMIRSQRGYLHMDIVTLTPEKMANYEAMIKRFFEEHLHADEEVRYCLEGSGYFDVRDEEDRWVRVSVRKGGLIVVPAGIYHRFTLDTNNYIKAMRLFSGGPDWTAYNRPHHDHLPARKQYLAALHKRREEHR >PAN40703 pep chromosome:PHallii_v3.1:7:46930915:46932407:1 gene:PAHAL_7G334500 transcript:PAN40703 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os04g0673300)] MAAAAPSLAPAPSPSPATAPKAGDRKVVPLTAADEVELEEKHVLAVDDSSVDRAVIAKILRSSKYRVTTVDSATRALELLALGLLPDVNMIITDYWMPGMTGYELLKHVKESSKLKEIPVVIMSSENVPNRISRCLEEGAEDFLLKPVRPSDVSRLCSRIR >PAN39702 pep chromosome:PHallii_v3.1:7:42396129:42402026:1 gene:PAHAL_7G262400 transcript:PAN39702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGGYAYQGNTFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRTSHSGVLEFVAEEGMIIMPYWMMQNMLLQEGDTIRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQPTVPASKATAEGGDTVVEDEPKFKPFTGSGKRLDGKASKLQASEVPSSARSVPSDSNKRSNEQTSAPATSGASNSTRQETGKLVFGSSASNNKEPQKAPAKEEEPPKKDEPKFQAFSGKSYSLKR >PAN37103 pep chromosome:PHallii_v3.1:7:19048972:19052581:-1 gene:PAHAL_7G062400 transcript:PAN37103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSCGRPWAERDQLQQANANASNQIVKLNEELRLVRAWIAYYQEELRSSEAKHALLKMEYMAERTQLIGHLCAASGEVELLKSEHAAGIPQLKEEHAAENAQLKQELEADNAQLREEHAAEVAQHKKESYTMGLRTMRQLALHMYPNAIDPSELRTELLLE >PVH34985 pep chromosome:PHallii_v3.1:7:23986871:23994381:1 gene:PAHAL_7G081400 transcript:PVH34985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPSGQSAPSLQPLPSSAAVQSTRIDVREIKSKIFKRIGPERARKYFQQLERFLSSRLSKNEFEKLCLVALGRENLPLHNHLIRSILHNASRACGPPVINDPKLVRGATSSGHAFVSPVWDNSGALNQNFKENKPSSRRENALSQKSSLNHYETIIQENGIHHLSDLKRCPQLQKGDHLEPLIKRPCVEKEPFSLHSLHSNGSALPSGENLGREIIHQSHGPVQAPLGIQLRPDCFSGAQKCLSLASFSSKDTSDTCIDFGELCDTLSVKKRMDKIAESEGLEGVSIECANLLNNGIDAFIKQLIGSCVELVTAGSQLGKLRNQALKQQLRRKLINGVSLQNHIPGQGSIVPSETNSISLQDLKAVMELNPCLLGVNASLLLEKINSYD >PAN37326 pep chromosome:PHallii_v3.1:7:23986868:23994381:1 gene:PAHAL_7G081400 transcript:PAN37326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPSGQSAPSLQPLPSSAAVQSTRIDVREIKSKIFKRIGPERARKYFQQLERFLSSRLSKNEFEKLCLVALGRENLPLHNHLIRSILHNASRACGPPVINDPKLVRGATSSGHAFVSPVWDNSGALNQNFKENKPSSRRENALSQKSSLNHYETIIQENGIHHLSDLKRCPQLQKGDHLEPLIKRPCVEKEPFSLHSLHSNGSALPSGENLGREIIHQSHGPVQAPLGIQLRPDCFSGAQKCLSLASFSSKDTSDTCIDFGELCDTLSVKKRMDKIAESEGLEGVSIECANLLNNGIDAFIKQLIGSCVELVTAGSQLGKLRNQALKQQLRRKLINGVSLQNHIPGQGSIVPSETNSISLQDLKAVMELNPCLLGVNASLLLEKINSYD >PVH34984 pep chromosome:PHallii_v3.1:7:23986879:23994381:1 gene:PAHAL_7G081400 transcript:PVH34984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPSGQSAPSLQPLPSSAAVQSTRIDVREIKSKIFKRIGPERARKYFQQLERFLSSRLSKNEFEKLCLVALGRENLPLHNHLIRSILHNASRACGPPVINDPKLVRGATSSGHAFVSPVWDNSGALNQNFKENKPSSRRENALSQKSSLNHYETIIQENGIHHLSDLKRCPQLQKGDHLEPLIKRPCVEKEPFSLHSLHSNGSALPSGENLGREIIHQSHGPVQAPLGIQLRPDCFSGAQKCLSLASFSSKDTSDTCIDFGELCDTLSVKKRMDKIAESEGLEGVSIECANLLNNGIDAFIKQLIGSCVELVTAGSQLGKLRNQALKQQLRRKLINGVSLQNHIPGQGSIVPSETNSISLQDLKAVMELNPCLLGVNASLLLEKINSYD >PVH34987 pep chromosome:PHallii_v3.1:7:23986871:23991128:1 gene:PAHAL_7G081400 transcript:PVH34987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPSGQSAPSLQPLPSSAAVQSTRIDVREIKSKIFKRIGPERARKYFQQLERFLSSRLSKNEFEKLCLVALGRENLPLHNHLIRSILHNASRACGPPVINDPKLVRGATSSGHAFVSPVWDNSGALNQNFKENKPSSRRENALSQKSSLNHYETIIQENGIHHLSDLKRCPQLQKGDHLEPLIKRPCVEKEPFSLHSLHSNGSALPSGENLGREIIHQSHGPVQAPLGIQLRPDCFSGAQKCLSLASFSSKDTSDTCIDFGELCDTLSVKKRMDKIAESEGLEGVSIECANLLNNGIDAFIKQLIGSCVELVTAGSQLGKLRNQALKQQLRRKLINGVSLQNHIPGQGSIVPSETNSISLQDLKAVMELNPCLLGVNASLLLEKINSYD >PVH34983 pep chromosome:PHallii_v3.1:7:23986879:23993528:1 gene:PAHAL_7G081400 transcript:PVH34983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPSGQSAPSLQPLPSSAAVQSTRIDVREIKSKIFKRIGPERARKYFQQLERFLSSRLSKNEFEKLCLVALGRENLPLHNHLIRSILHNASRACGPPVINDPKLVRGATSSGHAFVSPVWDNSGALNQNFKENKPSSRRENALSQKSSLNHYETIIQENGIHHLSDLKRCPQLQKGDHLEPLIKRPCVEKEPFSLHSLHSNGSALPSGENLGREIIHQSHGPVQAPLGIQLRPDCFSGAQKCLSLASFSSKDTSDTCIDFGELCDTLSVKKRMDKIAESEGLEGVSIECANLLNNGIDAFIKQLIGSCVELVTAGSQLGKLRNQALKQQLRRKLINGVSLQNHIPGQGSIVPSETNSISLQDLKAVMELNPCLLGVNASLLLEKINSYD >PVH34986 pep chromosome:PHallii_v3.1:7:23986866:23994381:1 gene:PAHAL_7G081400 transcript:PVH34986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPSGQSAPSLQPLPSSAAVQSTRIDVREIKSKIFKRIGPERARKYFQQLERFLSSRLSKNEFEKLCLVALGRENLPLHNHLIRSILHNASRACGPPVINDPKLVRGATSSGHAFVSPVWDNSGALNQNFKENKPSSRRENALSQKSSLNHYETIIQENGIHHLSDLKRCPQLQKGDHLEPLIKRPCVEKEPFSLHSLHSNGSALPSGENLGREIIHQSHGPVQAPLGIQLRPDCFSGAQKCLSLASFSSKDTSDTCIDFGELCDTLSVKKRMDKIAESEGLEGVSIECANLLNNGIDAFIKQLIGSCVELVTAGSQLGKLRNQALKQQLRRKLINGVSLQNHIPGQGSIVPSETNSISLQDLKAVMELNPCLLGVNASLLLEKINSYD >PAN38383 pep chromosome:PHallii_v3.1:7:36380859:36383016:1 gene:PAHAL_7G168100 transcript:PAN38383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHPSTSRNTRAAIETEAATREDRRREPARRNKEHEGKRDRETARPVAMADTPSPTAAAEAGSVSTPLLRRRGSYTRSMSHARDELRSFRSCLRWMCVDHSEASSPAASWLVFAALAVAVPGVARAALPRRAYDTQVQASLTLSAALAYATIYSLVRRRGLRRLLYLDRLRHDSQDVRAGYIVQLAGSFRLLACFVLPCFLADAAYKVFWYCANRPFPLWWSAAACALEMASWIYRTAMFFMACVLFQTICYLQILRMTGFARDFGQCADVAAVLRQHRRIRVQLRRISHRYRRFILYCLILVTVSQFTALLAATRPRAQVNLATAGELALCSMSLVTGLLICLHSAAKITHKTQAITSVAAAWHADATINSLDRDQENPRTPSKAAYLQPHTPTSPFPVASASSGEESDDDESRSEDSVDTSRFASFHVTNISFQKRQALVTYLENNPAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >PVH34696 pep chromosome:PHallii_v3.1:7:2027679:2028894:1 gene:PAHAL_7G010600 transcript:PVH34696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESFRSCAPRRAGDGVGEQLGVAFIGGRGELCQRSAARLQWQGDGGAAACGHGRAMVVHQRRGGKAGEQGCSGCSGVGEDEHGKGTWLGELARGVGAAAPAGAWYSMRAAEEGLCVCAACLRRSTGETMELPWWLRHKEGLGCNQWIDRERLIRWRLGIRWSLDDKGI >PVH35391 pep chromosome:PHallii_v3.1:7:36398942:36401229:1 gene:PAHAL_7G168500 transcript:PVH35391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLVLLLLLFLALPVLPAPVRLELARVDANLTGHDLIRRAVQRSLDRPGVVVARPSGGGGSGAATAADGGRRSAAAAEAPVVAGGGEYLVKLGIGTPQHFFSAAIDTATDLVWMQCQPCVSCYRQLDPVFNPRLSSSYAVVPCRSDTCGQLDEHRCRSEDDDACQYTYRYSGNGVTRGTLAIDKLAVGSDVFHGVIFGCSNSSAGGPPAQASGLVGLGRGPLSLVSQLSVRRFMYCLPPPMSRVPGKLVLGAAVDAVQNVSDRVTITMSSSTRYPSYYYLNLDGLAVGDQTPRTVRTAAPPPTARRGAATGGGSANAYGMIVDIASTISFLEASLYDELADDLEEELRLPRATPSRRLGLDLCFILPEGVGMDRVYVPTVSLSFDGRWLELERDQLFVEDGRTMCLMVGKTSGVSILGNFQQQNMQVLYNLRRGKITFAKASCESMP >PAN39999 pep chromosome:PHallii_v3.1:7:43798193:43798778:-1 gene:PAHAL_7G284400 transcript:PAN39999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPAALSMEDRTPLDLTSRRRGMHDWAPGCMWRCLRDATETPILLTAACDGPVCGEQIDHTTDAAAASGTSIDRSPGANRLQ >PAN39833 pep chromosome:PHallii_v3.1:7:42960722:42963594:1 gene:PAHAL_7G271900 transcript:PAN39833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEALCAPSTSSDVLVYDTFNAAAAACAAASPGTGSFLFGNAPAVEPFPAPAAPPDAEGENRVQQQGRRKRRRRQRTVKNAEDAESQRMTHIAVERNRRRQMNEYLAVLRSLMPESYVHRSDQASIVSGAIDFVKELEQQVQSLEAQKLALQPQRGGTASERDAARAPPRDPVAASSSSASVTGEAAERPPFARFFRYPQYAWRHTPPQEDGAAAVGAEEASRASAVADVEVSVVVDAHASLRVMARRRPGQLLKMVAGMQALGLAVLHLNVTAAPGELALYTLNLKVEEGCGLTTAEDIAAAAHHVLCIIDAEAAQRLLAPGAGQPDL >PAN37405 pep chromosome:PHallii_v3.1:7:26534674:26539045:-1 gene:PAHAL_7G090500 transcript:PAN37405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISASARNIYQVATRVAPLGRLEQENTLQQQGQGAVPNLVRGAPMEADNSMVAMGFGLYWHSPPRFLLEPLDLADAFADSMFAYAPASEAESLSGLCASYAPAESSSSPGGANNSCSAQAAMPPPPPAAVVTRNVVTERGRRRRLNEKLYALRSVVPNITKMDKASIVRDAIAYVEHLQEQERRVLADIAALPSAAATVKTEDAATGGATDDVDSFFPQTKRMRRAPSIAFADDGAATRSITTSSPPVRILEVQVSESGETMAVVSVRCSWGRGAVSMVCRALEPLRLGVVTATIAAAGDTVVHTMFVETGEMGGALLLKEAILAALAQLDVTRSSLKSMSCWED >PVH35156 pep chromosome:PHallii_v3.1:7:31129203:31129951:1 gene:PAHAL_7G112800 transcript:PVH35156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKVCLTLLALLGVLLAPASPVVAGGMKAAFAGSPGSSWSGDAAALGRLMSTRLEDGVAPELALDLEVHRRILATNIGPRVFNPNRPACPGTGSCAGRGNPYTPSRGCLKIYQCRS >PVH35247 pep chromosome:PHallii_v3.1:7:33800954:33802386:1 gene:PAHAL_7G134800 transcript:PVH35247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMLDFALGINMAGVISRHQVKNKEADPSDQPQKEGKGYVTEEQRESEEEEYERRTGKRMRKHEDARDHWHCPFFRYCWDSGMSRLPTTRDCPECEPVKPDAKGVSGENFDEEEDRYHRPQWCPDGLNRSQKRRVQRLRSLEEAEAKYIESLSKARSDLAEQVNHVQKKESRPSRKEWQHKSARADKKISADTHMVFVLPAEFHARAHQESSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVLTSFFVVNSKSTYNVLLGRDWIHTNCCIPSIMHRCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGLRLVLSTGLTE >PVH34964 pep chromosome:PHallii_v3.1:7:22815267:22816139:-1 gene:PAHAL_7G076900 transcript:PVH34964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGVTERPRYYSREYEHLGTLCCRVVLSIARSTRHPDIEPWRVTATGFQHRDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPAIRMNLERRRHQEDLLYHVVAYLISLDKLFDEQARFLREQTHRAEQDELAVRMHQIRVAQAKARTAAAISSEAVAHENLRQIQDRRMQEWTSSGTPVPAVGETQVLIGTPVVGWGGLFGTLQAPPEGAERSAVAVEEGAVEQPRENGILEDDEEEQLIPLEVHSAPEDDSPRE >PAN39262 pep chromosome:PHallii_v3.1:7:40337698:40339628:1 gene:PAHAL_7G231800 transcript:PAN39262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAISSSPSTRVAVVTGGNKGIGLEVCRQLAGNGVTVVLTARDETRGAAAVKKLRELGLSNVIFHQLDITDASSIARLADFVKTRFGRLDILVNNAAFGGVEYARDPAYASVTSDEELRGMDRDQRLEWLWRNSRETYDAAKKGLQTNYYGTKHVIKAFLPLLHASSDGRIVNVSSDFGLLRFFRNEELKQELNDVGNLTEERLDELLDMFLNDFEAGKVDARGWPAAFTAYKVAKAAMNAYSRILAARQPAPRVNCVHPGYIKTDMTAHSGLLTPEEGGRRVVEVALLPEGGVTGAFFEDGEEASFV >PVH34868 pep chromosome:PHallii_v3.1:7:14882972:14884091:-1 gene:PAHAL_7G050800 transcript:PVH34868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDPITYEELSAEYKQRYDKIKAQFEANLISSFKRTRSHGVRWRGFSPEGALDGVDLSTPSEDRTRALWQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPTLGSHKGELPFQTRPPLPYVLVAAESHGAPAYVVYKVGGDPTDHQFFNEPPKEIPHGYMCAYIPDSNNPVHSAQKVAGGVSGADADKQAWLATYATGPSHDSMHSAPGLKTAEQIGAILRDQFGILPKRRAIGYTKPIIQKLQKQGLPTWRRCDRSVEKLWQNLFDASGR >PAN39041 pep chromosome:PHallii_v3.1:7:39326432:39328171:-1 gene:PAHAL_7G216100 transcript:PAN39041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAGTKQSRKADRGAARTEGSKDDGADGAKVAAADMVRSDGGGSDRTGDTKVDAAGGGDKQAGAVYHGMSAAEAKDSQTIVALQSPVTVMRPVRGDLEEHVPKPYLARALAAPDMYHPDGTTDGHRHHHMSVMQQHVAFFDRNDDGIIYPWETYQGCRALGFNVIMSFVIALIVNGTMSYATLPGWLPSPLFPIYVHNIHKSKHGSDSGTYDNEGRFMPVNFENMFSKYARTSPDRLTYRELWSMTEGFREVFDFYGWFAAKLEWTILYVLARDEEGFLSREAMRRVYDGSLFEYVERQRAQHAKMS >PVH36040 pep chromosome:PHallii_v3.1:7:47472126:47472436:-1 gene:PAHAL_7G342700 transcript:PVH36040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGEWSWLGSGSSWSKERRRKPRRGEQGKQQTPAGARGSGRGGREREGSRQRMQRENVV >PAN39227 pep chromosome:PHallii_v3.1:7:40196721:40199229:-1 gene:PAHAL_7G229200 transcript:PAN39227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSKKQQAKRRDGQPSNDAKKSGGRKSGRGADAKKVPQAKASAPALAKKAVARPEEPAADKRTVFVVKAAAAAAAAEVAAAAKGGSEGAEAKRAAPEEEAARPVAVGRAPVRTSSCTKEEVDAILIQCGRLSRSSSASGKAPSGEHGGGHRRYAGSKRSYDFDHERRGGDADECDWGREGAAASRPSPRRRTPERKRSASHDGRTGSGSGSRSRRVSRSPGRRADVAPAAGSLGTGERGARQQPGKMVSVPARDKGRAPSPVKASSSGKRYPSPRSNSPARAAAAGNENAGMQPTHGPSLSRSSSRKAEQSPYRRNPMAELDENALGNNHHHNNGNNSKLQKRSADAAVALPQKTSERAKDQIPSTRAAKEKEIVEEAVASDTKASSARMNATHSVSIVAENVTNPRPGSRSSRRSSRDFDHNGNSYASLLLEDIQNYHQQSTSAAAAPTFALPACVSKACSILEAVADLNSSSSENKSFELERSVNDKESVNGRYGGKGPGTTHVVESEVVVKDDLMEPSIHKYVSVRDIRGVGETEPQESAGSNSFAGNAWTCSWEPNSVDSTDRTRTASQSYNGDEVEQVTEQSWQSKQEPSCRGSASHVQLQRVRGAHRGSGSGCAVSGRSDARGASASSSFV >PAN37874 pep chromosome:PHallii_v3.1:7:33143182:33155136:1 gene:PAHAL_7G129900 transcript:PAN37874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulation of axillary meristem formatio [Source: Projected from Oryza sativa (Os04g0396500)] MVPARNLQLLRDAHAAPCAAAAAAAAAAGIGVTTAPPSHMAQEPIDHHHHEPSKPPPPPPPPQERQADHHEARTSGEAQEPLALRPLLHAHQEAAGTSGSSSGGSSSGNGGAGDWLRLGLAPASHGAGTAGSQLGAFAADRAAGLPLPLPSQPRTTAAEALPGMGVPPGAFLRQAAPGIPQASITLPAPRAGPPWLPPWSPAAAPPPPPPLIPFGHRAFYAPGAGASGLDAIRVVLPPSAVAAAAGVWFVLQAAPHQGREPFLPQIPRSYLRIKDGRVTVRLLIKYLAGKLGLEDESEVEMTCRGRPLHAFLTLQHVRDGIWCQGDAAVSPSVAPPDMPATSHLMVLQYGRRP >PAN38290 pep chromosome:PHallii_v3.1:7:36007219:36008095:-1 gene:PAHAL_7G161600 transcript:PAN38290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAASALLLLLLMLGPAASTSAAAPYQPPTVPELMDRFGLPRALLPETARRYLLHNDGTFELFLDDGCVVEVGGYRVGYDIKLSGTVSHGAVAGLEGVRVRVLFAWLPITGVEVAGGEVTVHIGPITKSFPVVGFKSSPRCIAGLAAAAAVDASLPLVE >PAN40883 pep chromosome:PHallii_v3.1:7:47725641:47727538:1 gene:PAHAL_7G347400 transcript:PAN40883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATMPPTDSSSPAAPLASIGRSIRSLRRDQNQIHSFHAAGDSDLDAADAFQRRAADLLTDLLADGQPDLLSLAWTSRLLDAFLLCLDEFRALLFGPGAAAAARPPLDRLVADFFDRAVKALDLCNAVRDGLDLVRQWRKHLAIAAAVLAAAPLGEAQIRRARKALTDLTILMLDDRDGGGGVVGQRNRSFGRANKDARPHAQAQGHHRRSSSGGSSGSGSGSHLRSLSWSVSRAWSAARQLQAIGGGLPVPRPNDITATGGLASAVYAMGAVLFIVAFALVAAIPCQDRGLQAHFSVPRNFPWSGPVTTLYDRIIEESKKKDRKNSCGLLKEIHQIELCSRHLMDITDTAEFPLPEKRDADVQEATRELVQVCQSLKDGLDPLERQVREMFHRIVRTRTEILDCLSRPHGTE >PAN39865 pep chromosome:PHallii_v3.1:7:43172290:43175325:-1 gene:PAHAL_7G275300 transcript:PAN39865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGLINANPVIHEKKERRIRQAPETTDENAVEPIDQLEIFDHIRDIKDPEHPYSLEQLNVVTEDSIELNDERNYVRVTFTPTVEHCSMATVIGLCIRVKLIRSLPPRYKVDIRVAPGSHSTEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFD >PAN37836 pep chromosome:PHallii_v3.1:7:32794081:32800825:-1 gene:PAHAL_7G126700 transcript:PAN37836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MRRRKLVVHSDSDEDDGEGTPTATPASASASASVSVSVASGGGGGGGSGSVGRPSPSNPSPLPVPLPSLSQSFDPVVISDDDAEEEVDEIVDSDGDSPIVDAVEVISPPPPPAPAPPPTATPFRTPTPTPPPPAPAPAPAPAPPPTTTPFRTPTPTPPPARTPTPTPPPPVPVPAPGPSPITTPFRTPTPTPPLARTPPSAALPHPSPPPPALSGRLRPVYELLRGLGLRLRPEWLESCAAGIPGFDGLGGAAAQARRCFEQFLFADMNACGAGVLPEGVGSMHATVLDGPFVLQVDEIVNMSAPLKERYRDAHAGPKRCLKLSMTDGIQRIFGMEYRPIKDLAVLAPAGLKIIIRNVHIRRGLLMLVPEVIDILGGIVDELEAARDRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPCSTNVTNGGGQGISMPRAVNSSHPTGFGTIRPCSTNVTNGGEQGISMPRAVNSSHPTGLGIRRSTETVVEEHVSPPVVVNTVQEQIQRVQEINMENPSTYDTRKNTETSAHTTHEHNRTHINETSTQTIIEECVDPPIRANNAHEQIQRVQEITMQEQANVFGMTASSVSTPFGYDSRHGSHVNYGTAANDVEAARSPNVDRINHMEYSFILSGENEKPFTYICSLLNEWGTQQDTKAYIQGKIKGLITSVKSFQYRQRTKYELYVYIDDGSFISEAIVDHDIVKNMLGLSPGEVTAALAGKFELASASEVKETMRGFQRFLVKFEGMMLVEYNKDSSIPIVREFNEGCSSSNAWLLLRRLKTFSSQIHMRSLDAMDTTP >PAN39294 pep chromosome:PHallii_v3.1:7:40476930:40479765:-1 gene:PAHAL_7G233900 transcript:PAN39294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIGFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVSMQAQKEAPPDMQCKDKFLVQSVIVAEGTSAKDITGDMFTKGSGNVVNEVKLRVVYVPPPKPPSPVREGSEEGSSPRHSLSDGSNLNYQEVRSANITAWFNSQEMQTRESDDPPSLAAVKAQKDQEGFTSETSALISKLTEERNSAIQQNNKLRQELDLVRRDLSKQNGGFSFAVVAAIALLGILLGFIMKR >PAN39295 pep chromosome:PHallii_v3.1:7:40476613:40480052:-1 gene:PAHAL_7G233900 transcript:PAN39295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIGFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVSMQAQKEAPPDMQCKDKFLVQSVIVAEGTSAKDITGDMFTKGSGNVVNEVKLRVVYVPPPKPPSPVREGSEEGSSPRHSLSDGSNLNYQEMQTRESDDPPSLAAVKAQKDQEGFTSETSALISKLTEERNSAIQQNNKLRQELDLVRRDLSKQNGGFSFAVVAAIALLGILLGFIMKR >PAN37156 pep chromosome:PHallii_v3.1:7:19780659:19788915:1 gene:PAHAL_7G065200 transcript:PAN37156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAIALVVYDVACVFLRDQITSKIFDQHTISEPRPTVVSLADPIDPHNQSCVVMDKKRVAIVGAGVSGLAACKHLLERGCRPVVFEADTVLGGVWARVPDCTALQTPRPLYQYSDFPWPEAVTEVFPDHRQVMAYLDAYARRFGVLGRVRFGRRVVGMEYGGVGEEDVASWEEWAGNGEAFGSGDGEWHLTVADDGGHVEIHKADFVILCIGRFSGVPNIPTFPQGKGPETFDGQVIHSMDYSKMGTKKATEMIKGKRVTIVGYLKSAIDIAAECAVTNGTDHPCTMVVRTKHWIIPDYFAWGIHISLLYLNRFAELLIHKPGEGFLLWIIATLLAPLRWLFSKFAESFYAIPMKKYDMVPDHSLFEALATCLVAIEPKGFYKRLEEGSIVLKKSKSFSFCKEGVLVEGEFSPIKSDIVILGTGFRGDQKIKDMFTSEYFRSIAVGSTSTTVPLYRECIHPKIPQLAVLGYSESIANLYTSELRAKWLAHFLDGGIRLPSVAAMQNDVLEWEKYMKRYAGRYFRRSCIGLLHIWYNDKLCQDMGCNPRRKKGFFADLFGIYGPGDYAELHPKED >PAN40489 pep chromosome:PHallii_v3.1:7:45946128:45951209:1 gene:PAHAL_7G319300 transcript:PAN40489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPQIEMGGFKVNSPQVPNGGLRPTMGGSWNSRGTIERTLRSIKIVIFTSKLNLLMPFGPASIILHFTSRRHGLIFLFSMLGITPLAERLGYATEQLAVYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGIIHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVALSRFSSCIMLVAYASYLYFQLSGRSNIYSPIGSEEVHNEDATEEEEEAEIGMWEAVTWLAVLTLWVSVLSEYLVNTIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGTANYLKGLMLILCYLIVAASFFVHVDPQSSDG >PAN38489 pep chromosome:PHallii_v3.1:7:36859419:36863505:1 gene:PAHAL_7G175500 transcript:PAN38489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSFMNIPHPPPMNDPFVLGCSAPSSTMENMGQSTFCMDGLSPTMANCSHVNGNTQIMNDITARDDGSRLVLGLGPTPNFYSADCQSIGSKQAQRLSGQSSTFTDPGTLRLGLQMDGGEAIQCLQLPNGTLHCFGVVDEASTSATVRNMGGYMPSLLFAPRSNSTVNEAQVETPDSLDLTHSTDNTQHLQHHLQLSPEPSAMTESSFGVSSDVFTATTTSEQRSHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSSAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEHPGCPKAARGKSGRCIKHGGGKRCSVKGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCAKSVHGATEYCVAHGGGKRCSVPGCTKSARGRTDCCVKHGGGKRCKVDNCGKSAQGSTDFCKAHGGGKRCTWSTGCEKFSRGKSGFCAAHGTLMARQREQEAVKNVGSMIGPGLFSGIVVSSATVGSSMTNEHSSSGISTASDCDGTVRSQSMIPPQVLVPRSMMPPWSSEPVDGGREGGHVVPEGRVHGGGLLSLLGGSFRNADVEKL >PVH35518 pep chromosome:PHallii_v3.1:7:38613161:38613466:-1 gene:PAHAL_7G206000 transcript:PVH35518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGPVGRGCAPRLPGPAARGAPCGATAATGGAARLAAAISLLSPMDAPQPAMSGCQRPAAAMKRTEGIKAVLESTPSEHGGQTAAKLGGWGAPVQLAECH >PVH35676 pep chromosome:PHallii_v3.1:7:41085653:41086677:-1 gene:PAHAL_7G242900 transcript:PVH35676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCQKETEVIYPSKQLSLLLQGRGATLVQQARRGGSGSGRPSFAPWPWRSGQRSSCRRAAREIEMLLLDLAIWIIPVTLVFVPCRRLVVLVARLQELEECIMRPRSPPPDAWEWGRVGGLHTMSIMM >PAN37514 pep chromosome:PHallii_v3.1:7:30678321:30684544:1 gene:PAHAL_7G109700 transcript:PAN37514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDKPPEHVQFPTTIEDLEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKMGSAGDPALAEELGEGSTATRALLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKEIQTPNPMATPLALASPGPGVTPRVGMTPSRDGNSFVITPKATPFRDELRINEEVELQDSAKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEERIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEVLRQSLIKGGESRSRSTFVPPTSLEQADDLINEELLRLLEHDNAKYPLDEKTQKEKKKGNKRQANAAAVPEIEDLDEDELKEASSLVQEEIQYLRVAMGHENESFEDFVKAHDACQEDLMYFPTKNSYGLASVAGNADKLSALQNEFEIVKKRMDEEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTAATELECFQELQKQEQLAASYRVRNLTEEVDKQKALERTLQSRYGDLMSVYHRIQEQLEEHKIQLRKQEAIEAENRAREEAAAQNCAAEEENERIRNVEVGKEHMNSVTDEEPAPAGSKQINEDQMDVDNNNVDGEFVGPIPPAPDTQGDIIEASAQENSSNAPSSDGVTTNGEACDMVDASKVESQDNASGSLLVDAGNQEDGKNSIPLVGASEGNAALSSDGAVTNEQNDMVPE >PVH35123 pep chromosome:PHallii_v3.1:7:30679117:30684545:1 gene:PAHAL_7G109700 transcript:PVH35123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDKPPEHVQFPTTIEDLEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKMGSAGDPALAEELGEGSTATRALLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKEIQTPNPMATPLALASPGPGVTPRVGMTPSRDGNSFVITPKATPFRDELRINEEVELQDSAKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEERIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEVLRQSLIKGGESRSRSTFVPPTSLEQADDLINEELLRLLEHDNAKYPLDEKTQKEKKKGNKRQANAAAVPEIEDLDEDELKEASSLVQEEIQYLRVAMGHENESFEDFVKAHDACQEDLMYFPTKNSYGLASVAGNADKLSALQNEFEIVKKRMDEEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTAATELECFQELQKQEQLAASYRVRNLTEEVDKQKALERTLQSRYGDLMSVYHRIQEQLEEHKIQLRKQEAIEAENRAREEAAAQNCAAEEENERIRNVEVGKEHMNSVTDEEPAPAGSKQINEDQMDVDNNNVDGEFVGPIPPAPDTQGDIIEASAQENSSNAPSSDGVTTNGEACDMVDASKVESQDNASGSLLVDAGNQEDGKNSIPLVGASEGNAALSSDGAVTNEQNDMVPE >PAN39686 pep chromosome:PHallii_v3.1:7:42292520:42294941:1 gene:PAHAL_7G261100 transcript:PAN39686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPSQPALLALSLVLLLAALYLARRRRARGKNRKYPPVAGTVLHQLLNFGRLVEYQTELARRFRTFRMLTPTCNYVYTVEPANVEYILKTNFANYGKGSTLHGLAEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLRDYSSAVFRDTAAELAGIVAAAARGAGERLDISDLLTRSTLDSIFKVGFGVSLGVLSESSEEGAAFARAFDDASEQLLHRFLDPFWKAKRFLNLSSEAAMKRSLRTINDFVYAVIDRKIEQMGRDHQEFAKKEDILSRFLLERERDPGCFDNKYLRDIILNFVIAGRDTTAGTLVWFLYVLCRNEAVQDRIVEEVRATATGDRDVGAQELAASLTEDAISKMQYLHAALTETLRLYPAVPVDVKCCFSDDTLPDGYAVNEGDMVHYQPFPMGRMEFLWGADAEEFRPERWLDGAGVFVPESSFKFTAFQAGPRVCLGKEFAYRQMKIFAAVLLYLFRFEMWDANATVGYRAMLTLKMDGPLYVRASLRR >PAN39789 pep chromosome:PHallii_v3.1:7:42718423:42725159:-1 gene:PAHAL_7G267900 transcript:PAN39789 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MAKSSSATASASATKKRRSRGGTLTLDEVKALGRELLSSRAHLNHAPALLALLSPSAPLDLALEALISLQSFFVPLIPSIPSASAAAAAGDAGSDPELVFGAWLRQRFDELVAALVELSVSTHSDDAIRDVALDALMDFVKLGKDGKFQSAIYHKFLHAVVHASDSVDPLLELLGSKYFKYTDVCYFTYTSVDKIANNLGSKTTDSGKDALQNGSDGSENKGAIFVHNIYNLLAHVPVMDYQQDSTFEMWSTVGLSAKGEKDSSKDSSSTTHIKKKIKLKFTKAWLSFLKLPLPLDVYKEVLASIHQNVIPSMSNPAILCDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYEKLYALLTPAVFMAKHRSVFLQLLDTCLKSSYLPAYLAAAFAKRLSRLALSVPPAGALIIIALIHNLLRRHPSVNFLVHWEVDENDSNAKEEASQPKKIGADPFNNDEADPAKSGAMRSSLWEIDTLRHHYTPAVSRFVASLEEDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTSLFQGSDFPGWTFEDQSSSNVGTIVEANETIETVGASDSTPSKRLRAEA >PVH35577 pep chromosome:PHallii_v3.1:7:39338034:39343658:-1 gene:PAHAL_7G216500 transcript:PVH35577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDQILEVPDTPDRMQQSTCPVSSSVVRRDVTMTASNPIPCRRIRFKTSNNSYSLHGSSSQDNACSVLPAPSDTDHIFKQAEVARILALSENLQENFSLQKSDRSEISVENRKRAEKRGLDQSSSISDHISCSVTGGRSPGCRVRDGDGSEQDANHWNVSFLGVGSGLPTIPVGKPQNKTCTSTTNRLKGVAGADICQGSSSGEVKGEVVTNKVIAGPSSRPCGVPQRHVGQKKLVRNGCISPSNIAKKSVKADEKQEMCSPSRHLHHPHPQLDPFDRSNVIDLTDNSPIMTRQRYAMRDKLISGCNLDTRAAKKLRTDRAGKTLIPQSAYHANSSNCSEIGLSGRNKGKEISDNDQIGEANLRRVSLTAAGSSVVNNNSSNMDAKQGWRTTRNHTSKLPISLMGKLTCSSERESESSAPSSQDHGSGATIMASDRMGTKTIMIGRGRRKHASTSSHPGASSSSHDEPGASFVSPQKIIAGRNHTSHRHDIPVITIDDITPEARSGSSGYSNGTSVDRTIQAQLESDELLARQLQEQLYNESPRFAPTEEMDAIVAMSLQHEEDTHQTSSPRGARASRLSSYRNAIRAELATSNMISHLQNRASITSGLRAVLGRYPGAARIEPNIDLNDYDALLALDENNHQHTGASESQINNLPESVVQSNSIEEPCAVCLENPSVGDTIRHLPCFHKFHKECIDEWLRRKKLCPICKSGIR >PAN39044 pep chromosome:PHallii_v3.1:7:39338421:39342408:-1 gene:PAHAL_7G216500 transcript:PAN39044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDQILEVPDTPDRMQQSTCPVSSSVVRRDVTMTASNPIPCRRIRFKTSNNSYSLHGSSSQDNACSVLPAPSDTDHIFKQAEVARILALSENLQENFSLQKSDRSEISVENRKRAEKRGLDQSSSISDHISCSVTGGRSPGCRVRDGDGSEQDANHWNVSFLGVGSGLPTIPVGKPQNKTCTSTTNRLKGVAGADICQGSSSGEVKGEVVTNKVIAGPSSRPCGVPQRHVGQKKLVRNGCISPSNIAKKSVKADEKQEMCSPSRHLHHPHPQLDPFDRSNVIDLTDNSPIMTRQRYAMRDKLISGCNLDTRAAKKLRTDRAGKTLIPQSAYHANSSNCSEIGLSGRNKGKEISDNDQIGEANLRRVSLTAAGSSVVNNNSSNMDAKQGWRTTRNHTSKLPISLMGKLTCSSERESESSAPSSQDHGSGATIMASDRMGTKTIMIGRGRRKHASTSSHPGASSSSHDEPGASFVSPQKIIAGRNHTSHRHDIPVITIDDITPEARSGSSGYSNGTSVDRTIQAQLESDELLARQLQEQLYNESPRFAPTEEMDAIVAMSLQHEEDTHQTSSPVRRFSNNSRGARASRLSSYRNAIRAELATSNMISHLQNRASITSGLRAVLGRYPGAARIEPNIDLNDYDALLALDENNHQHTGASESQINNLPESVVQSNSIEEPCAVCLENPSVGDTIRHLPCFHKFHKECIDEWLRRKKLCPICKSGIR >PAN39235 pep chromosome:PHallii_v3.1:7:40237916:40248048:1 gene:PAHAL_7G229600 transcript:PAN39235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKRNLPNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLIIANTTRSFEDRIDALQRKLGNETDPQRISAMLAEIKRYQDDKSILRQYIESDQVTDGGEVYKVQSEVIPPLADNHQQPMTRPIIRLQEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSKKSLLNVFHDGSCHPGMALVVDDRLRVWDEKDQRRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKDFDEGILPRISEVRYEDEMDGIPFAPDVSNYLISEDENAAISNANKDPHAFDGMADAEVEKRMKEASSGVQVVNPITTDVHVMSVAAKEHFATPAFSSTPIAPPLGMIPSNNEHVPQPPPFSQPVAQSGLVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPAGPPAQVSVPPVQSHGNWFSLEEMNPRNLNKASTEFHLESDSVHYDKKQPQHPSYFPVGDNPISADRYSYKNQRYPPQPLHSEDHQVLHNHASATYRSFSGEDIATRHASSRQRSSQMESGRYFVQYGGITGVLEDIAVKCGFKVEYRSTLCDTTELQFSIEVWIFGEKIGEGIGKTRKEAQCEAADTSLRNLADKFLSWDPDKMTVAKENGFNSYPNSHRYSGSNRDDMLPIASTSDESRYMNDRIDDLRKPGGSVAALKELCTVEGYNLVFQSQPSTDGSAGKEVRAQVEIGGQILGKGVGATWEEAKLQAADEAYGTLKSMLGQLAQRQSASPRSFAPNFNKRFKPDFSQTMQRIPSGRYSRDDSRFP >PAN39232 pep chromosome:PHallii_v3.1:7:40237916:40248048:1 gene:PAHAL_7G229600 transcript:PAN39232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSVVYYGNIPVGEAELWPKGLTTDLSWARAIRVDRLSPPSERCPPLAVLHAVAAGARCLVMESSPTSTADEPPPPLVAMHTACLRDNKTAVFPLGAEEIHLVAMTSKRNLPNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLIIANTTRSFEDRIDALQRKLGNETDPQRISAMLAEIKRYQDDKSILRQYIESDQVTDGGEVYKVQSEVIPPLADNHQQPMTRPIIRLQEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSKKSLLNVFHDGSCHPGMALVVDDRLRVWDEKDQRRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKDFDEGILPRISEVRYEDEMDGIPFAPDVSNYLISEDENAAISNANKDPHAFDGMADAEVEKRMKEASSGVQVVNPITTDVHVMSVAAKEHFATPAFSSTPIAPPLGMIPSNNEHVPQPPPFSQPVAQSGLVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPAGPPAQVSVPPVQSHGNWFSLEEMNPRNLNKASTEFHLESDSVHYDKKQPQHPSYFPVGDNPISADRYSYKNQRYPPQPLHSEDHQVLHNHASATYRSFSGEDIATRHASSRQRSSQMESGRYFVQYGGITGVLEDIAVKCGFKVEYRSTLCDTTELQFSIEVWIFGEKIGEGIGKTRKEAQCEAADTSLRNLADKFLSWDPDKMTVAKENGFNSYPNSHRYSGSNRDDMLPIASTSDESRYMNDRIDDLRKPGGSVAALKELCTVEGYNLVFQSQPSTDGSAGKEVRAQVEIGGQILGKGVGATWEEAKLQAADEAYGTLKSMLGQLAQRQSASPRSFAPNFNKRFKPDFSQTMQRIPSGRYSRDDSRFP >PVH35629 pep chromosome:PHallii_v3.1:7:40239054:40248048:1 gene:PAHAL_7G229600 transcript:PVH35629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALLEFEHINVQGNHLLTAVFPLGAEEIHLVAMTSKRNLPNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLIIANTTRSFEDRIDALQRKLGNETDPQRISAMLAEIKRYQDDKSILRQYIESDQVTDGGEVYKVQSEVIPPLADNHQQPMTRPIIRLQEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSKKSLLNVFHDGSCHPGMALVVDDRLRVWDEKDQRRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKDFDEGILPRISEVRYEDEMDGIPFAPDVSNYLISEDENAAISNANKDPHAFDGMADAEVEKRMKEASSGVQVVNPITTDVHVMSVAAKEHFATPAFSSTPIAPPLGMIPSNNEHVPQPPPFSQPVAQSGLVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPAGPPAQVSVPPVQSHGNWFSLEEMNPRNLNKASTEFHLESDSVHYDKKQPQHPSYFPVGDNPISADRYSYKNQRYPPQPLHSEDHQVLHNHASATYRSFSGEDIATRHASSRQRSSQMESGRYFVQYGGITGVLEDIAVKCGFKVEYRSTLCDTTELQFSIEVWIFGEKIGEGIGKTRKEAQCEAADTSLRNLADKFLSWDPDKMTVAKENGFNSYPNSHRYSGSNRDDMLPIASTSDESRYMNDRIDDLRKPGGSVAALKELCTVEGYNLVFQSQPSTDGSAGRNRWANPRERSWSNMGGS >PVH35630 pep chromosome:PHallii_v3.1:7:40239054:40248048:1 gene:PAHAL_7G229600 transcript:PVH35630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTAVFPLGAEEIHLVAMTSKRNLPNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLIIANTTRSFEDRIDALQRKLGNETDPQRISAMLAEIKRYQDDKSILRQYIESDQVTDGGEVYKVQSEVIPPLADNHQQPMTRPIIRLQEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSKKSLLNVFHDGSCHPGMALVVDDRLRVWDEKDQRRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKDFDEGILPRISEVRYEDEMDGIPFAPDVSNYLISEDENAAISNANKDPHAFDGMADAEVEKRMKEASSGVQVVNPITTDVHVMSVAAKEHFATPAFSSTPIAPPLGMIPSNNEHVPQPPPFSQPVAQSGLVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPAGPPAQVSVPPVQSHGNWFSLEEMNPRNLNKASTEFHLESDSVHYDKKQPQHPSYFPVGDNPISADRYSYKNQRYPPQPLHSEDHQVLHNHASATYRSFSGEDIATRHASSRQRSSQMESGRYFVQYGGITGVLEDIAVKCGFKVEYRSTLCDTTELQFSIEVWIFGEKIGEGIGKTRKEAQCEAADTSLRNLADKFLSWDPDKMTVAKENGFNSYPNSHRYSGSNRDDMLPIASTSDESRYMNDRIDDLRKPGGSVAALKELCTVEGYNLVFQSQPSTDGSAGRNRWANPRERSWSNMGGS >PVH35628 pep chromosome:PHallii_v3.1:7:40237916:40248048:1 gene:PAHAL_7G229600 transcript:PVH35628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSVVYYGNIPVGEAELWPKGLTTDLSWARAIRVDRLSPPSERCPPLAVLHAVAAGARCLVMESSPTSTADEPPPPLVAMHTACLRDNKTAVFPLGAEEIHLVAMTSKRNLPNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLIIANTTRSFEDRIDALQRKLGNETDPQRISAMLAEIKRYQDDKSILRQYIESDQVTDGGEVYKVQSEVIPPLADNHQQPMTRPIIRLQEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSKKSLLNVFHDGSCHPGMALVVDDRLRVWDEKDQRRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKDFDEGILPRISEVRYEDEMDGIPFAPDVSNYLISEDENAAISNANKDPHAFDGMADAEVEKRMKEASSGVQVVNPITTDVHVMSVAAKEHFATPAFSSTPIAPPLGMIPSNNEHVPQPPPFSQPVAQSGLVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPAGPPAQVSVPPVQSHGNWFSLEEMNPRNLNKASTEFHLESDSVHYDKKQPQHPSYFPVGDNPISADRYSYKNQRYPPQPLHSEDHQVLHNHASATYRSFSGEDIATRHASSRQRSSQMESGRYFVQYGGITGVLEDIAVKCGFKVEYRSTLCDTTELQFSIEVWIFGEKIGEGIGKTRKEAQCEAADTSLRNLADKFLSWDPDKMTVAKENGFNSYPNSHRYSGSNRDDMLPIASTSDESRYMNDRIDDLRKPGGSVAALKELCTVEGYNLVFQSQPSTDGSAGRNRWANPRERSWSNMGGS >PAN39231 pep chromosome:PHallii_v3.1:7:40239232:40247472:1 gene:PAHAL_7G229600 transcript:PAN39231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALLEFEHINVQGNHLLTAVFPLGAEEIHLVAMTSKRNLPNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLIIANTTRSFEDRIDALQRKLGNETDPQRISAMLAEIKRYQDDKSILRQYIESDQVTDGGEVYKVQSEVIPPLADNHQQPMTRPIIRLQEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSKKSLLNVFHDGSCHPGMALVVDDRLRVWDEKDQRRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKDFDEGILPRISEVRYEDEMDGIPFAPDVSNYLISEDENAAISNANKDPHAFDGMADAEVEKRMKEASSGVQVVNPITTDVHVMSVAAKEHFATPAFSSTPIAPPLGMIPSNNEHVPQPPPFSQPVAQSGLVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPAGPPAQVSVPPVQSHGNWFSLEEMNPRNLNKASTEFHLESDSVHYDKKQPQHPSYFPVGDNPISADRYSYKNQRYPPQPLHSEDHQVLHNHASATYRSFSGEDIATRHASSRQRSSQMESGRYFVQYGGITGVLEDIAVKCGFKVEYRSTLCDTTELQFSIEVWIFGEKIGEGIGKTRKEAQCEAADTSLRNLADKFLSWDPDKMTVAKENGFNSYPNSHRYSGSNRDDMLPIASTSDESRYMNDRIDDLRKPGGSVAALKELCTVEGYNLVFQSQPSTDGSAGKEVRAQVEIGGQILGKGVGATWEEAKLQAADEAYGTLKSMLGQLAQRQSASPRSFAPNFNKRFKPDFSQTMQRIPSGRYSRDDSRFP >PAN39233 pep chromosome:PHallii_v3.1:7:40239266:40247472:1 gene:PAHAL_7G229600 transcript:PAN39233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTAVFPLGAEEIHLVAMTSKRNLPNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLIIANTTRSFEDRIDALQRKLGNETDPQRISAMLAEIKRYQDDKSILRQYIESDQVTDGGEVYKVQSEVIPPLADNHQQPMTRPIIRLQEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSKKSLLNVFHDGSCHPGMALVVDDRLRVWDEKDQRRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKDFDEGILPRISEVRYEDEMDGIPFAPDVSNYLISEDENAAISNANKDPHAFDGMADAEVEKRMKEASSGVQVVNPITTDVHVMSVAAKEHFATPAFSSTPIAPPLGMIPSNNEHVPQPPPFSQPVAQSGLVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPAGPPAQVSVPPVQSHGNWFSLEEMNPRNLNKASTEFHLESDSVHYDKKQPQHPSYFPVGDNPISADRYSYKNQRYPPQPLHSEDHQVLHNHASATYRSFSGEDIATRHASSRQRSSQMESGRYFVQYGGITGVLEDIAVKCGFKVEYRSTLCDTTELQFSIEVWIFGEKIGEGIGKTRKEAQCEAADTSLRNLADKFLSWDPDKMTVAKENGFNSYPNSHRYSGSNRDDMLPIASTSDESRYMNDRIDDLRKPGGSVAALKELCTVEGYNLVFQSQPSTDGSAGKEVRAQVEIGGQILGKGVGATWEEAKLQAADEAYGTLKSMLGQLAQRQSASPRSFAPNFNKRFKPDFSQTMQRIPSGRYSRDDSRFP >PAN39977 pep chromosome:PHallii_v3.1:7:42260020:42260689:1 gene:PAHAL_7G260400 transcript:PAN39977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSENPGHTTDRPRRHARIQVPRRRGPGRISRTRHANASPPQPQVFGADSTCLFFLPRLRLRLRLPTLRSASINTTLPAGLLPARLLSCPAARQQAAACAIAHLRPAAAVLPPPRICPRPRKSPCASSHIRVSDLCSLALRACHLPADAHQKVLGCRFGASVQAVCRSCLLMFI >PAN40662 pep chromosome:PHallii_v3.1:7:46762584:46765821:-1 gene:PAHAL_7G332200 transcript:PAN40662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLPAAAAAAFLLLFAVAASAAPDMSIISYNDEHGARGLERTEAEARAMYDLWLAEHGRAYNALGEHDRRFRVFWDNLRFVDAHNARAGEHGYSLGMNQFADLTNEEFRAAYLGARLPARTDRVAAERYRHDGVEALPETVDWRNKGAVAPVKNQGQCGSCWAFSAVSTVESINKLVTGEMVTLSEQELVECSTNGGNSGCNGGLMDSAFEFIIKNGGIDTEADYPYKAVDGQCDINRKNAKVVSIDGYEDVPKNDEKSLQKAVAHQPVSVAIEAGGREFQLYKSGVFSGRCTTKLDHGVVAVGYGTENGKDFWIVRNSWGPKWGEAGYIRMERNIKVTSGKCGIAMMASYPTKKGPNPPKPSPTPPTPPPPVAPENVCDENYSCSAGSTCCCAFGFRNVCLVWGCCPIQGATCCKDHTSCCPPNYPVCNIRARTCSASKNSPLSVPALKRTLATLNTA >PAN40060 pep chromosome:PHallii_v3.1:7:42687761:42690754:-1 gene:PAHAL_7G267100 transcript:PAN40060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATPWPPPPPPPPAAAPYMAVTQALADALRSCGARGVLSGARAVHGHLVAVGLASAVFLQNTLLHAYLSCGALPDARRLLQTDITNPNVITHNIMLNGYAKLGRLSDAVELFDRMPARDVASWNTLMSGYFQSQQYFAALETFQSMHQSGDSSPNAFTFSCAMKSCGALGWHGLALQLLGMVQKFDSQYDSEVAASLVDMFVRCGAVDLASRLFVRVENPTIFCRNSMLVGYAKTYGVDCALELFDSMPERDVVSWNMMVSALSQSGRVREALDMVVEMYSKGMRLDSTTYTSSLTACARLSSLEWGKQLHAQVIRNLPRIDPYVASALVELYAKGGCFKEAKGVFNSLRDRNSVAWTVLISGFLQYGCFTESVELFNQMRAELMTLDQFALATLISGCCSRMDLCLGRQLHSLCLKSGQIQAVVVSNSLISMYAKCGNLQSAELIFRFMNERDIVSWTSMITAYSQVGNITKARQFFDGMSTKNVITWNAMLGAYIQHGAEEDGLKMYSAMLSEKDVRPDWVTYVTLFKGCADLGASKLGDQIIGGTVKVGLILDTSVANAVITMYSKCGRILEARKVFDFLNGKDLVSWNAMITGYSQHGMGKQAIEIFDDMLKRGAKPDYISYVAVLSGCSHSGLVQEGKSYFDMMKRVHNISPGLEHFSCMVDLLGRSGHLTEAKDLIDKMPMKPTAEVWGALLSACKIHGNNELAELAAKHLFELDSPDSGSYMLMAKIYADAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVDNKVHVFKADDVSHPQVIAIRNKLDKLMEKIAALGYVRTESPRSEIHHSEKLAVAFGLMVLPDWMPIHIMKNLRICSDCHTVIKLISSVTGREFVIRDAVRFHHFKGGTCSCGDYW >PVH36043 pep chromosome:PHallii_v3.1:7:47508613:47509222:-1 gene:PAHAL_7G343300 transcript:PVH36043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPRLLLLLQRRSPAASRTMDPSTRPRQAARCGMLLHAADTHAKCSTKRRPVAVPITHHVFGGPASLPVLFCCASTVGWPLGSEHLNALGPINFVLSDMTVSFWLACRASCPPLCQHSVRAVGISPSSSKLHTAASSPWRCQINLIDCLSSGQQHPFLEDILHSIRGAG >PVH35874 pep chromosome:PHallii_v3.1:7:44726303:44731119:1 gene:PAHAL_7G299000 transcript:PVH35874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRKAKPPPPPSPPKAAAPSLAEALLLATVCMVGLPVEVQVRDGSAYDGVLHTACVNDGYGVVLKKAKKIANGKGDANLSLGAFVDTLVVHPDDLVQVIAKGLTLPIFGRTPDCNVVVASGSLKPQTSYANDMKMSKTGNISPLEQVEKCTMEVSGNATSVSPSPGHVGPYLSMNGVSGSATMGPKVDVVSSSVIPAPMVTSDVKTSQPANNSATKIVTSSKTNAKEFKLNPCAKVFSPSFASSRQAHAAAPPVNSNYISHCAPEVPTGIPVYEPKSAPGVSSLSNKIHCSNLSPANYAISPQYVQSVVGHNASRLDPARIGTPYHPMQMGASYNSPSPQHVMTGKFSPVVYVHPISQDAIHGTPIGSQGWPRPVMLNSYQASMQKFQGNAPVYLAPQVMATGNLPLEVPSPAPLVQPFQAIHPIMVPAASSMIPGKYM >PAN40201 pep chromosome:PHallii_v3.1:7:44726423:44730926:1 gene:PAHAL_7G299000 transcript:PAN40201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRKAKPPPPPSPPKAAAPSLAEALLLATVCMVGLPVEVQVRDGSAYDGVLHTACVNDGYGVVLKKAKKIANGKGDANLSLGAFVDTLVVHPDDLVQVIAKGLTLPIFGRTPDCNVVVASGSLKPQTSYANDMKMSKTGNISPLEQVEKCTMEGKEKNATVNKNVSGNATSVSPSPGHVGPYLSMNGVSGSATMGPKVDVVSSSVIPAPMVTSDVKTSQPANNSATKIVTSSKTNAKEFKLNPCAKVFSPSFASSRQAHAAAPPVNSNYISHCAPEVPTGIPVYEPKSAPGVSSLSNKIHCSNLSPANYAISPQYVQSVVGHNASRLDPARIGTPYHPMQMGASYNSPSPQHVMTGKFSPVVYVHPISQDAIHGTPIGSQGWPRPVMLNSYQASMQKFQGNAPVYLAPQVMATGNLPLEVPSPAPLVQPFQAIHPIMVPAASSMIPGKYM >PVH35875 pep chromosome:PHallii_v3.1:7:44726303:44731119:1 gene:PAHAL_7G299000 transcript:PVH35875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRKAKPPPPPSPPKAAAPSLAEALLLATVCMVGLPVEVQVRDGSAYDGVLHTACVNDGYGVVLKKAKKIANGKGDANLSLGAFVDTLVVHPDDLVQVIAKGLTLPIFGRTPDCNVVVASGSLKPQTSYANDMKMSKTGNISPLEQVEKCTMEGKEKNATVNKNVSGNATSVSPSPGHVGPYLSMNGVSGSATMGPKVDVVSSSVIPAPMVTSDVKTSQPANNSATKIVTSSKTNAKEFKLNPCAKVFSPSFASSRQAHAAAPPVNSNYISHCAPEVPTGIPVYEPKSAPGVSSLSNKIHCSNLSPANYAISPQYVQSVVGHNASRLDPARIGTPYHPMQMGASYNSPSPQHVMTGKFSPVVYVHPISQDAIHGTPIGSQGWPRPVMLNSYQASMQKFQGNAPVYLAPQVMATGNLPLEVPSPAPLVQPFQAIHPIMVPAASSMIPGKYM >PAN40200 pep chromosome:PHallii_v3.1:7:44726423:44730926:1 gene:PAHAL_7G299000 transcript:PAN40200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRKAKPPPPPSPPKAAAPSLAEALLLATVCMVGLPVEVQVRDGSAYDGVLHTACVNDGYGVVLKKAKKIANGKGDANLSLGAFVDTLVVHPDDLVQVIAKGLTLPIFGRTPDCNVVVASGSLKPQTSYANDMKMSKTGNISPLEQVEKCTMEVSGNATSVSPSPGHVGPYLSMNGVSGSATMGPKVDVVSSSVIPAPMVTSDVKTSQPANNSATKIVTSSKTNAKEFKLNPCAKVFSPSFASSRQAHAAAPPVNSNYISHCAPEVPTGIPVYEPKSAPGVSSLSNKIHCSNLSPANYAISPQYVQSVVGHNASRLDPARIGTPYHPMQMGASYNSPSPQHVMTGKFSPVVYVHPISQDAIHGTPIGSQGWPRPVMLNSYQASMQKFQGNAPVYLAPQVMATGNLPLEVPSPAPLVQPFQAIHPIMVPAASSMIPGKYM >PAN40534 pep chromosome:PHallii_v3.1:7:46229609:46231726:-1 gene:PAHAL_7G322500 transcript:PAN40534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAADASAEAAAIARRLASCNAGARERTVRYLLSDFLPASAPRLSAADLLKLWKGLFFCFWHADKPLYQSSVATRLASAVSAAPSPADGTAFLAAYLTTLRREWAHIDVHRLDKFYLLNRRFLHHAFLLINSNSFAPDVTSQIVSILSDKALLPEADNVAAGTSRGLGYHVAEAFLDELLPVLPVSLQTVDALLAPFFTVLEKSSDRVMISKVKAGIFDRFLENGIQLLEKLKKGEEVEKDSAEEKLGKVGLLFGFSKRFLDIGAKAETVQSNRKVIFGLRDAFVKVEKGLELSGVEIPVPKFEATEVQVVPDADCSMDLCEDKAEKKKKKAKKAALAEGDKEGAKALKREKKVKKEKKVKKERKKKKVDVVEGGDAADQNTDAHAEDQQMGDGTNGITLDGTFLSNLQKQFEKAAAEDGMVDGGGSSSTSPATPVNGKVAKKRKRSKSVDRLSEASDGDDCSEVPEAATFGYTKRKCSKKGSSTRAHKRDPYTIEEG >PAN37189 pep chromosome:PHallii_v3.1:7:22234479:22235610:1 gene:PAHAL_7G074100 transcript:PAN37189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKAPVLAILGCICFFSAVLAARELSDDPAMVARHEQWMAQYSRVYKDDAEKARRFEVFKANVKFIESFNAAGNRKFRLGVNQFADLTNDEFRATKTNKGFNPNVAKVPTRFRYANVSTDALPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKISTGKLISLSEQELVDCDVHGEDQGCNGGEMDDAFKFIIKNGGLATESSYPYTAQDGQCKAGSSSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTVLDHGIAAIGYGMASDGTKYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMQPSYPTE >PAN39597 pep chromosome:PHallii_v3.1:7:41768770:41772646:-1 gene:PAHAL_7G254600 transcript:PAN39597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MDMDAAVSTLTALSVFASTVEHAAFRSVHGYRVIGRKDGKWVRWERWVERQFVLSLSVPPCREVAVPAASPRILLAGWHGRPVFHEGQAVGTWRCIVAFDSVAAVAPSSPPPPVLSPLVNPQLECLPNLYRDLQKVFQFQKVEKVPQLVRRDAKQQPIRSGEQEKTSDEADSSGSDSDGDPQSDKELAPTVQKQPRANRKHIDSITLVEIAQYFHLPIREASKTLKIGVSILKRKCRKYGIPRWPHRKIKSLESLINDLEYVLDDDSGEDAQQELQKIEEEKQAAVIKALTKRKKMLESEKEIIQQKPALDLMTETKQFREDVFKRRYRARRV >PAN40893 pep chromosome:PHallii_v3.1:7:47769239:47774967:1 gene:PAHAL_7G348500 transcript:PAN40893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMTRSDSPVSRRIVLSFLDFLNSVELAPGADPEALEVARDCLESIFSVNSSAAGEGIQPGLLLELFTSLEANGRDKPRPGLVSQSVSNKPSQSASTSNIEEDSNKCTTSNSDSQVEDTFDLDHSGDELFAKVYAALDEINFFKTSPAGAEDPGQLSKATQYFNEAVLSMQKSGRKNASLVDLAESFKSRGNEFMRSNQHLKAVELYTCAIALSRKNAIYYCNRAAAYTLLNMNNEAIVDCLKSIEIDPNYSKAYSRLGSAYFALGNYQDALYKGYLKAAELDPSNENVRQNIEVTKKKLAEQQVPPEEQNTHARQVQGSHPMFTSNGIPFNLFPPGSSPSPEFFADFINHGSDLGQPPSGQSMSINLNNIFGQANVNASGQVSSQTGNSNTPPASFPTGAAVPPFAFSGSGPEQAAEALRTVMQMFGPQISPHEGAPRGPG >PAN40894 pep chromosome:PHallii_v3.1:7:47769239:47774967:1 gene:PAHAL_7G348500 transcript:PAN40894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMTRSDSPVSRRIVLSFLDFLNSVELAPGADPEALEVARDCLESIFSVNSSAAGEGIQPGLLLELFTSLEANGRDKPRPGLVSQSVSNKPSQSASTSNIEEDSNKCTTSNSDSQVEDTFDLDHSGDELFAKVYAALDEINFFKTSPAGAEDPGQLSKATQYFNEAVLSMQKSGRKNASLVDLAESFKSRGNEFMRSNQHLKAVELYTCAIALSRKNAIYYCNRAAAYTLLNMNNEAIVDCLKSIEIDPNYSKAYSRLGSAYFALGNYQDALYKGYLKAAELDPSNENVRQNIEVTKKKLAEQQVPPEEQNTHARQVQGSHPMFTSNGIPFNLFPPGSSPSPEFFADFINHGSDLGQPPSGQSMSINLNNIFGQANVNASGQVSSQTGNSNTPPASFPTGAAVPPFAFSGSGNEGNRAHQASSGHEREHGEPGIHRDDGIHINLTGPEQAAEALRTVMQMFGPQISPHEGAPRGPG >PVH35845 pep chromosome:PHallii_v3.1:7:44193136:44195973:-1 gene:PAHAL_7G290600 transcript:PVH35845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTKRVAIVGAGVSGLAACKHALAKGFRPVVFEADEGLGGVWRHTLASTRLQTPAPSYRFSDFPWPPGVSTEVFPRHDQVVEYLAAYARRFGVLECVRFGSKVLRAEYAGAPEEQVAAWERWAGNGEAFGDGTGEWLLTVQHRGSEATQIYRFDFLILCLGMFSGVANTPTFPPNRGPEVFRGQVLHSMNYSRMAAAAAELIRGKRVAVVGSGKSAYDTVAECADANGARFPCTMVCRSPGWMVNGGFVWGVSIGRLFMSRLAELMVPHKPGEGLALTTLAMLLSPLRWLLSKLTEAYFKAHIPMRKHGMVPDWSFAWTVSACRLGVLPDRFYDRVAEGSVVIKRARSVGFCADGLVLGEDDAGERVEANVVVLATGFRGADKLRGIFASPRFREMVAGGPDNPAPLYSACTRGSRRWRSSATRTTRRAYTSTR >PAN40081 pep chromosome:PHallii_v3.1:7:44193976:44195809:-1 gene:PAHAL_7G290600 transcript:PAN40081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTKRVAIVGAGVSGLAACKHALAKGFRPVVFEADEGLGGVWRHTLASTRLQTPAPSYRFSDFPWPPGVSTEVFPRHDQVVEYLAAYARRFGVLECVRFGSKVLRAEYAGAPEEQVAAWERWAGNGEAFGDGTGEWLLTVQHRGSEATQIYRFDFLILCLGMFSGVANTPTFPPNRGPEVFRGQVLHSMNYSRMAAAAAELIRGKRVAVVGSGKSAYDTVAECADANGARFPCTMVCRSPGWMVNGGFVWGVSIGRLFMSRLAELMVPHKPGEGLALTTLAMLLSPLRWLLSKLTEAYFKAHIPMRKHGMVPDWSFAWTVSACRLGVLPDRFYDRVAEGSVVIKRARSVGFCADGLVLGEDDAGERVEANVVVLATGFRGADKLRGIFASPRFREMVAGGPDNPAPLYRQCVHPRIPQMAVIGYSDNPSSIYVYEMMAKWVAHLLDGAFRLPGVARMERSVAEWGEYVKEARRRRRRGPVHQRRQYLVQRRALSGHGLQSAEEEGDPGRVAATLRTS >PVH35240 pep chromosome:PHallii_v3.1:7:33700169:33700744:-1 gene:PAHAL_7G134400 transcript:PVH35240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLVRLPPKSAHALARRRINPCARRLIYPPERSLQPPAAGSGHCCHIRLPFPRCTATTSPCRVVPLPLPHTSSSARCGGPASVVPSAAVPLTPSSPPSAVAVPLAPRWLWVVGERRGGGGEGGATRSALSQPPRAVMTPPDGRRKGWGGRRERRGRAVGERSEGDAAREEGIGGREKEAGGLCRGRSGA >PVH35038 pep chromosome:PHallii_v3.1:7:26874754:26876242:1 gene:PAHAL_7G092000 transcript:PVH35038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASLPTRGPSLGKIETNPMPPPLLRGPATVLPSLVAAPHPTSPPSSARSPPRAGGRRAPPHLGPPRLAVPPHRLPRLARLPEQAVV >PAN37903 pep chromosome:PHallii_v3.1:7:33399560:33400528:-1 gene:PAHAL_7G132100 transcript:PAN37903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGRVAGKPKVVFVLGATATGKSKLAIALAERFNGDVINADKIQVHDGVPIITNKVTEEEKAGVPHHLLSVVHPDADFTAEEFRREAAGAVARVLSAGRLPVVAGGSNTYIEALVEGDGAAFRLAHDLLFVWVDAEQALLEWYAALRVDDMVARGLVDEARAAFVGDGTDYSRGVRRAIGLPEMHAYLVAEREGAAGAAELAAMLDRAVREIKENTFRLARTQAEKIRRLSSLDGWDVRRVDVTPVFARKADVGAGDDDDTWKKLVWEPCQEMVRAFLEETTPRPAAAGGDAGVVVASAATAPDVAIAAGAGDATANTIII >PAN38974 pep chromosome:PHallii_v3.1:7:38929457:38935025:1 gene:PAHAL_7G210200 transcript:PAN38974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G11160) UniProtKB/Swiss-Prot;Acc:Q9LFP0] MGEQENNKTGVGNNAMAVVDEAPVAAAAKEKDRGAADPRLQGISDSIRVVPHFPKPGIMFNDITPLLLRPAVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGDVFSESYVLEYGTDCLEMHVGAIEPGERAVVVDDLVATGGTLSAAIRLLERAEADVVECACLIGLPKFKDFYKLNGKPVYILVESRK >PAN38975 pep chromosome:PHallii_v3.1:7:38929457:38935025:1 gene:PAHAL_7G210200 transcript:PAN38975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G11160) UniProtKB/Swiss-Prot;Acc:Q9LFP0] MGEQENNKTGVGNNAMAVVDEAPVAAAAKEKDRGAADPRLQGISDSIRVVPHFPKPGIMFNDITPLLLRPAVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGDVFSESYVLEYGTDCLEMHVGAIEPGERAVVVDDLVATGGTLSAAIRLLERAEADVVECACLIGLPKFKDFYKLNGKPVYILVESRK >PAN40875 pep chromosome:PHallii_v3.1:7:47687245:47687856:1 gene:PAHAL_7G346800 transcript:PAN40875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHYGGRVGEAVAVGEAAYIAGAGAGSGVVDVVTRESAAQALGTVVQLHFDKTVEKKRAADAQKQELWRLFLAFFLFLALVLSAVAGSPPARLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGELVPAADVEVPYQEPPESYLAKFKRSWAIHFAFLIATFAFSVAASVAILCF >PVH35065 pep chromosome:PHallii_v3.1:7:28112932:28115845:1 gene:PAHAL_7G097400 transcript:PVH35065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSARLRQLGLPDFIPNGLRIAANSKDNNKTNERNREDADYDPLHDDTDEQDLCDDDIAKVMILASYGFDLHDGADVGAQPVGVNQMTNEGGEVPWNRGTNMGHGLNRLNRSHRAKLPIVIPEGQIRPLVPLIAAKYATEINIAVRNHMPVLTHWKEYKGRAEIEEFLGILRAKFNIDTNDAVVKNGCLEMMRNAMICQKNKDNRGNVLLHQTTGSCSYAVFVENLEDENEDENTERNAFNLFKMCHFSKKKDGYTPAVQSAITQMETQLAAQPTQGEQPKSAVQVVANTKRPRMSAQLEAEKRENAKFRLIVSNQREQMEGLSKQVQETELTRIRDKEEMSKKQAELEAKLELVLGQHGLR >PAN39331 pep chromosome:PHallii_v3.1:7:40620399:40624654:-1 gene:PAHAL_7G236000 transcript:PAN39331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGKPYTRSAAAAAAPEADKVDPDYLFFLQHVRLDGDAYALYIPSEDGVSPPEVIRYEQPLPGSNVGDPVAGSEYGGQRGPPPSEGDSLAARNSPHAAPYGAASPRSSGGKRKAPEPSPRVEVRSGAVPVEEDPAPAAREPAWYDSRPGIDEDYRLFLRHVRVVNEGTAVVKMGNATILMGNEPSVDNSDAEEDEDVSILASGHSGEDSVGTEEEENVDGEKEAGLDSDLQIVNVFDLEVEEVSEEEGEEDTQGKEVGPGSDLQIVNVMEFEMEEDGENLSAPIKGITESQPCNREASSSKGHPATPHNASELQGVIWPPHIIERPNSIFKKELMEILNKPFKQEEYDRYVALATNRSPIVKERRTRNNVVYYPWKHEMGKSYFDSYPDLAEQFRLQDNNYPNRLALLRGLFFWLQNVGREDQFRPWTDDFKRYRVVSFT >PAN39333 pep chromosome:PHallii_v3.1:7:40623285:40624654:-1 gene:PAHAL_7G236000 transcript:PAN39333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGKPYTRSAAAAAAPEADKVDPDYLFFLQHVRLDGDAYALYIPSEDGVSPPEVIRYEQPLPGSNVGDPVAGSEYGGQRGPPPSEGDSLAARNSPHAAPYGAASPRSSGGKRKAPEPSPRVEVRSGAVPVEEDPAPAAREPAWYDSRPGIDEDYRLFLRHVRVVNEGTAVVKMGNATILMGNEPSVDNSDAEEDEDVSILASGHSGEDSVGTEEEENVDGEKEAGLDSDLQIVNVFDLEVKVEEVSEEEGEEDTQGKEVGPGSDLQIVNVMEFEMEEDGENLSAPIKGITESQPCNREASSSKGHPATPHNASVRNYYSRCH >PAN39330 pep chromosome:PHallii_v3.1:7:40620374:40624800:-1 gene:PAHAL_7G236000 transcript:PAN39330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGKPYTRSAAAAAAPEADKVDPDYLFFLQHVRLDGDAYALYIPSEDGVSPPEVIRYEQPLPGSNVGDPVAGSEYGGQRGPPPSEGDSLAARNSPHAAPYGAASPRSSGGKRKAPEPSPRVEVRSGAVPVEEDPAPAAREPAWYDSRPGIDEDYRLFLRHVRVVNEGTAVVKMGNATILMGNEPSVDNSDAEEDEDVSILASGHSGEDSVGTEEEENVDGEKEAGLDSDLQIVNVFDLEVKVEEVSEEEGEEDTQGKEVGPGSDLQIVNVMEFEMEEDGENLSAPIKGITESQPCNREASSSKGHPATPHNASELQGVIWPPHIIERPNSIFKKELMEILNKPFKQEEYDRYVALATNRSPIVKERRTRNNVVYYPWKHEMGKSYFDSYPDLAEQFRLQDNNYPNRLALLRGLFFWLQNVGREDQFRPWTDDFKRYRVVSFT >PAN39170 pep chromosome:PHallii_v3.1:7:39883479:39885321:1 gene:PAHAL_7G225000 transcript:PAN39170 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01918) UniProtKB/Swiss-Prot;Acc:Q2V4B2] MSTIPFHLPAALSETPGAAHGTPHPVENPKQSDAAPRRTRAAMAARLAVQAPSPSLSRAENTSPGPKPPPSNSVRQPQKQKPATTSRRLATTAAAALVASRLLAPAASSAAAGAFDLRITLPEQSSEEAEAVVRTHARNLLRVKRFADAGEWRELQAALRASASNLKQDLYAIIQARPAGQRPDLRRLYSDLFNSVTSLDYAARDKDEVQVQEYYSKIVSAIDEIFSKIM >PAN38933 pep chromosome:PHallii_v3.1:7:38725202:38727458:1 gene:PAHAL_7G207800 transcript:PAN38933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAMVSLAQQFMLELKAPRAWLLLLVPLFLLLVRYSLSTFSAKGGRPRQQASDNRLPPSPPALPILGHLHLVGSLPHVSLRNLARKHGYDDLMLLRLGAMPVLVVSSPRAAEAVLRTHDLVFASRPPSLVAEIVLYGPSDIGFAPYGEYWRQARKLVTTHMLTVKRVQSLRHAREEEVSTVMAQIGEAAAAGAAVDMSDLLGSFINDLVCRAVMGKSFRSQGRNKLLRELIEDTSPLLAGFNVEECFPLLARFGVLSKVVRVKSERLRRRWDELLDSLIEGHQRKYVAVGASDSSEDDDDLIHVVLSVRQEYGLTREQMKAILLDVFFAGIGTASSMLDFTMAELMRRPHLMEKLQAEVNSSVPEGADELVREADLTDMTYLRAVIKESLRLHPVEPLLPHFSIASCSIDGHTVPAGLQVLVNSWAIGRDARYWEHAEEFIPGRFIGDGGAAHVNFKGSDFQFLPFGSGRRMCAGVNFGIACVELILANLVHRFDWELPEGKKGGDIDMSEVFGLVVKRKEKLLLAPKLRV >PAN40859 pep chromosome:PHallii_v3.1:7:47275797:47278762:-1 gene:PAHAL_7G339700 transcript:PAN40859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRTRRRPCLALFTSESLLPALWRCQFLSPFVGRDRISSTYTSEIFLKIPVLHVESHKTTITSEDMSWCCIPRIKKQENPYSNSIGGIYSEKNIRLFSYAELRSATDNFNRTNKVGRGGFGTVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVISNVKHPNLVELIGCCVEGNNRILVYEYLKNSSLDRALLGSNSEPADFTWSIRSAICLGVARGLAYLHEEIASPIVHRDIKASNILLDKNYIPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVLEIVSGTSSSRSILADDKILLEKTWEMYEAKKLKELIDPTLGDYPEEEVIRYIKVALFCIQAAAARRPSMLQVVTMLSKPIRINERELTAPGYIHEYKSNESKATTSSHTKSKHSASEDSNMFSTVVPPTVTEMSPR >PAN40860 pep chromosome:PHallii_v3.1:7:47274863:47279332:-1 gene:PAHAL_7G339700 transcript:PAN40860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCCIPRIKKQENPYSNSIGGIYSEKNIRLFSYAELRSATDNFNRTNKVGRGGFGTVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVISNVKHPNLVELIGCCVEGNNRILVYEYLKNSSLDRALLGSNSEPADFTWSIRSAICLGVARGLAYLHEEIASPIVHRDIKASNILLDKNYIPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVLEIVSGTSSSRSILADDKILLEKTWEMYEAKKLKELIDPTLGDYPEEEVIRYIKVALFCIQAAAARRPSMLQVVTMLSKPIRINERELTAPGYIHEYKSNESKATTSSHTKSKHSASEDSNMFSTVVPPTVTEMSPR >PVH35498 pep chromosome:PHallii_v3.1:7:38264273:38264812:1 gene:PAHAL_7G200000 transcript:PVH35498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDDVNLGQAVAVVAVGSVSMLVVVGVSFWAMEAYVSGRLARGWRWLRVRALGGVTTLRRELSYNCAMCQYSLAAHEEVRTLSCNHVFHCRESDKCRNVIDRWLVQESMICPICRRIPLPVLPWKARPPLSPAPSGSAEPPMPVSSSGLEENPPPISSPGSEEPSPGLEDPLLQSSQ >PAN40372 pep chromosome:PHallii_v3.1:7:45303221:45304901:1 gene:PAHAL_7G310100 transcript:PAN40372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSVEARRDMVWVGAEPRARRSFSLPSVDRQRLRSRAVSVLGTLGLAGSARYSGSYKYATLSVEEMMKGANDRAKDEALPGEGEAKRLAKPRTPTLTPPNEPEVINAWELMAGLEDDAAPTPRAVHQSLSFDESLHGCVVAEPPQPQWMQADMDMAPVALDFDPEILSGFREALEDTPPSQPTVVSSAEDETPRQQKCLDTPVSPATGDMPELSGIVRARINAFQEKIERRRSKGRDAKVSPLWPPGGERKAVVYFTSLRGVRKTFVDCCAVRSILRSYGVRVDERDVSMHAGFKAELAELLGQGFTGATLPRVFVDGQYIGGAEDVQYLHEAGELGSALDGCEAAPQRKLGYMEACATCGDVRFVPCETCYGSCKIFVEDDDTGDMYRDVGEFRRCPDCNENGLVRCAVCCC >PAN38416 pep chromosome:PHallii_v3.1:7:36542295:36543672:1 gene:PAHAL_7G170600 transcript:PAN38416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGEERPAEGRRRPRFLCLHGFRTSAEIMRKQVLGKWPADVTARLDLVFADAPCPAEGKSDVEGIFDPPYYEWFQFDKGFMEYRNFDECLAYIEELMIKDGPFDGLMGFSQGAILSAALPGLQERGLALTRVPKIKYLIIIGGAKFQSPTLAEKAYANKIICPSLHFIGT >PAN38417 pep chromosome:PHallii_v3.1:7:36542295:36544641:1 gene:PAHAL_7G170600 transcript:PAN38417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGEERPAEGRRRPRFLCLHGFRTSAEIMRKQVLGKWPADVTARLDLVFADAPCPAEGKSDVEGIFDPPYYEWFQFDKGFMEYRNFDECLAYIEELMIKDGPFDGLMGFSQGAILSAALPGLQERGLALTRVPKIKYLIIIGGAKFQSPTLAEKAYANKIICPSLHFIGDNDFLKVHGEKLIESCVDPFVIRHPKGHTVPRLDDKSLEVMLRFLEKMEKETSEHASTDVDEKEVCL >PAN37512 pep chromosome:PHallii_v3.1:7:30685415:30691468:-1 gene:PAHAL_7G109800 transcript:PAN37512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTVAAQTMAKPAPAEEDAAALRRRLRRSLAAVAAGGAAADVYDEAAAALEALREAELGTGGRKGGGGGGGEGRRPAAEGGEEKEAVPVPPQFLCPISSMVMSDPVIVASGQTYDRRSIEEWFSAGNQLCPQTQQALLDTTLIPNHLVRSMILQWCTENRFNLPPVENQEENNVTNSDQKTFDEIFKKITSSAKSTEMRQAIKDLRLITKQNSDFRAVLGERPDYISRMIFARSTPGLQNDPQVLEDMVTIILNFSLHESNKKVIGDDPEAVPFLIWALKSGDMGSRGNSAAAIFTLSALDSNKVKIGELGAMGPLVDLLDNGNIIAKKDAASAIFNLCLLHENKSRAQKNGIVDVAMRAIDDQLLVDGSLAILALLSNNHEVVEMITEFDGTACMLRAIRESECSRSKENAVVVLFAICMFNRTKLKEVEADEKVNGSLALLAQSGTSRARRKAVGILEKMKRNMHNRHSSC >PAN38887 pep chromosome:PHallii_v3.1:7:38549729:38554198:-1 gene:PAHAL_7G204700 transcript:PAN38887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARGRGTCNSCALASGGRRVRGSEPIELPSPKSCACRRQLQPEPPAVWLGGRQRPAAQCMYKYLRLSAPNGLPPVGHPRAHGTPPVASLVPCSMDYSSTKESPPAGAWWSRETVAVVTGANRGIGHALAARLAEHGLTVVLTARDGARGEAAAAPLRARGLAVAFRRLDVSDAASVAEFAAWLRDTVGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFHGAKMLTEALLPLFRQSPATSRILNISSQLGLLNKVSDPSLKALLLDEETLTEAAIEAMVSRFLAQVKDGTWGAQGWPKVWTDYSVSKLALNAYSRLLARRLQAHGARVSVNCFCPGFTRTDMTRGWGKRTAEEVADVGARLALLPPAELPTGAFFKWCTPQLYSKL >PVH35381 pep chromosome:PHallii_v3.1:7:36256236:36260725:1 gene:PAHAL_7G166200 transcript:PVH35381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVSRTIHEDQGIYEDNEEEESLSQPPTQSAAINNDDDTEEEVILNDANDDFGSIPDVPDPYDAVYANMNEDTHMLEPVENCPHCNAKKFEFEPPEFCYHSRKIKLSTPDTPPELMRLWSSSYADARHFRANIRYFNGYFSFTSLYCQLNCVTTSTRTAGVYTFRAYGQIYHDIRSFGKDGMEPRHLKLYFYDDDPSLEYRYHKCGEKSLEMDREVIRKLVNIMRGNPYSKHLRSMGQNDNLVDYHVGLNLDQRLDQRTYNITITSEVAAVWIEGSERRGQFEHSFVLHGKDKSIHGIRSYHRCYDALSYPLFFPRGELGWHNMIPKARVTTEEVIRRQRAQGNSDDDLERTIMVTNTMFLYVQGMLEIHVCLYRPGIFNLILHGKRLFQQFAVDTYIKIESSRLDYIRLVDSLHAGEGRGDAVGKRTMLGTSFIRGPRDMRRRYMDAMALVRKYGRHDIFLMMTCNPNWDEIKSELYPGQTPQDRPDLVTRVFKAKLEEMKRRLLKDNILGEVQAYVYVIEFQKRGLPHAHWLLIMHRNFKLTCPEQYDLLISAELPNKKKYLELYKMVTKHMMHGTCGLLNMNCPCTKARGSCKNRYPRPFCEATSQGKDSYPICRQRDDGLKEKMRGHELDNQWVVPYNPYLLWLFNYHINVEACGSIKSMKYLFKYIYKGYDQASVAVREADKANEKGNIDEIKQYRDARWVTPPEVLQRIYDFDLSKVHPPANRLHENARGILYRDFPKYFTWQSNGKFWRWRKYENHSQIGRIVSAHPAESECYYLRVLLNHITGTTSYQRLRMVDSVIQPTFQEAMPSSLRRLFATILVFCEPSDIFGLWQKYLDAILEDYQRNYPSSMAMEQMVLIDLRNMLQSMGKDIKSFPLPDINDTYDTASGIPREIFEQASIKPGADDVALSESLNDKQRAAYDEIMSAFDTDEGDLFFVDGPGGIGNTFLYRALLTEVRSENKLAVATTTSSVAASIMFGGRTAHTHFKIPLTIEDGGCCSFTKQSGTAKLLHTTSLIIWDEATMTKRQVLPFGGKTVVFGGDFKQVLPVVRKGSRAQIVDALLQRSYLWDSMRPLKLMRNMRAQCDPWFADYLLRIGGGIEEVNGDGDILLPDDIRVPYTGDSKDLDRLIECIFPRLNENMASKDYVTSRVILSTRNERVDMISMKMISSFRGDEMVYHNFDSAVDDPYNYYPSEFLNTLTPNGLPPHVLKLKIGCPIILLRNIDLANRLCNGMRLVV >PVH35329 pep chromosome:PHallii_v3.1:7:35372650:35372973:1 gene:PAHAL_7G153600 transcript:PVH35329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARRPLERGGAADSEKSGRFHHGASRLGFEGFWGGWCGGRALGFGGSGSRATAAAGAWVVVRKRALCLSRGGQWAPGAAPVSGLRRRLRGVWWRLVRRRAGAGAR >PVH35509 pep chromosome:PHallii_v3.1:7:38496813:38499660:1 gene:PAHAL_7G203600 transcript:PVH35509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGARSVALLLMMLGMAARAVSAVSDGPLPNGHFEQRPDASQMNGTRVMSPNAIPHWEISGFVEYLGSGQKQDDMILPVPEGEQAVRLGNDATFRQQLNVIRHTYYSVTFGAARTCAQAERLNVSVTPESGILPIQTVYTSSGWDSYSWAFKAKHSTVWLSIHNPGHEEDPACGPLIDAIAIKALRSPHHVKNNMLRNGDFEDGPYIFPNTPWGVLVPPIAEDEHSPLPGWLVMSDTKVVKYVDAAHHAVPRGSHAVELVAGRECALLQEVRTVPGRPYKLSFSVGDAGDGCAGHLAVDAYASAAALKVAYESRGAGGSSRAELEFVADADVTRVVFQSGNHHMKPDGTLCGPVIDDVSLVPEHAHTPAARRLRM >PVH35510 pep chromosome:PHallii_v3.1:7:38496813:38499660:1 gene:PAHAL_7G203600 transcript:PVH35510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGARSVALLLMMLGMAARAVSAVSDGPLPNGHFEQRPDASQMNGTRVMSPNAIPHWEISGFVEYLGSGQKQDDMILPVPEGEQAVRLGNDATFRQQLNVIRHTYYSVTFGAARTCAQAERLNVSVTPESGILPIQTVYTSSGWDSYSWAFKAKHSTVWLSIHNPGHEEDPACGPLIDAIAIKALRSPHHVKTDNMLRNGDFEDGPYIFPNTPWGVLVPPIAEDEHSPLPGWLVMSDTKVVKYVDAAHHAVPRGSHAVELVAGRECALLQEVRTVPGRPYKLSFSVGDAGDGCAGHLAVDAYASAAALKVAYESRGAGGSSRAELEFVADADVTRVVFQSGNHHMKPDGTLCGPVIDDVSLVPEHAHTPAARRLRM >PVH35545 pep chromosome:PHallii_v3.1:7:38951299:38955916:1 gene:PAHAL_7G210900 transcript:PVH35545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQGRLITEAVSIPVIGDADNGYGNSMNVKRTVKGFINAGFAGMILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVPKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFDHYYEEEEKYVVTPAQSSYRTAYGDYTSEPSSSRDSSSTTEKPQEPVIDILPQLYDIGSSSSRGPSTGMWSRTLRLKITGRDGVQKIDARIPAGFLEGMTRIIPGLAGADIMERLRNAPIDADNPQNGQILLDFEDAMGDRIQVFIA >PAN38980 pep chromosome:PHallii_v3.1:7:38951101:38955916:1 gene:PAHAL_7G210900 transcript:PAN38980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYAALALLHPPLDSSLSPCSSSRRAGATRAPPRLQRVAAPSLLASARALARVRISPRCAASAGPPGETPAAALRRVLETPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNSMNVKRTVKGFINAGFAGMILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVPKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFDHYYEEEEKYVVTPAQSSYRTAYGDYTSEPSSSRDSSSTTEKPQEPVIDILPQLYDIGSSSSRGPSTGMWSRTLRLKITGRDGVQKIDARIPAGFLEGMTRIIPGLAGADIMERLRNAPIDADNPQNGQILLDFEDAMGDRIQVFIA >PVH35544 pep chromosome:PHallii_v3.1:7:38951832:38955916:1 gene:PAHAL_7G210900 transcript:PVH35544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVPKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFDHYYEEEEKYVVTPAQSSYRTAYGDYTSEPSSSRDSSSTTEKPQEPVIDILPQLYDIGSSSSRGPSTGMWSRTLRLKITGRDGVQKIDARIPAGFLEGMTRIIPGLAGADIMERLRNAPIDADNPQNGQILLDFEDAMGDRIQVFIA >PAN38629 pep chromosome:PHallii_v3.1:7:37395010:37397953:-1 gene:PAHAL_7G185800 transcript:PAN38629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAQLHGSAATAAAYRRTRAYSNPSSCRGLRSPLVGHPKPLISTNGVGLKPFGFAAKLATNENAQVEELNLRSDQMKEFVQAEGHRQKRSAKIHDFCLGIPFGGLLFSMGLLGYMFSRSTISIVLGVAPGLATLLLGALSLKFWRSGKSSFLFILAQAAISAFLAWKYSHAYFLTNRLLPWGFYASLSTAMACFYGYVLLAGGNPPPKKLAAIPQQ >PAN37225 pep chromosome:PHallii_v3.1:7:8067072:8067799:-1 gene:PAHAL_7G032900 transcript:PAN37225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGVFLIAGLIFINLCTCMPRVMAVDASHGTIVPQREIRKLVAGTDGRNGPPSNDHQCPLGTFPNCQGMSQNTEEVAQDVRGN >PAN37090 pep chromosome:PHallii_v3.1:7:18451080:18451610:1 gene:PAHAL_7G061000 transcript:PAN37090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRDHGRVLKQLPSWTPAGHNFSLAGCFPWPPPQRSLSSSSYTCGYCRREFRSAQALGGHMNVHRRDRARLRQCCPAYPSPLPSSNPQAAPHQHRAPLPNLNYSPPHCAAAPAATAEPVIYSFFSTTTSTTTVAAAAAALQVSLELGIGVYGRGGGAVEEEGLDLELRLGCAWE >PVH34907 pep chromosome:PHallii_v3.1:7:19044472:19045671:1 gene:PAHAL_7G062300 transcript:PVH34907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRFTNYTLLVNYTQGLCVMDGMVTGYWWPVVSNFSSAFVTICVLVEACISREWCARHPRCYTQAKANTHLKGVIRGKLGLIPHRGWVIWRDSPNPFPDWFPFGITPLLAEQACSARLGLAGIMA >PAN40103 pep chromosome:PHallii_v3.1:7:44284667:44287391:-1 gene:PAHAL_7G292000 transcript:PAN40103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASATRRGLTALLLSSSRALPRRLAPLAAAAASAHLAPWALLASRGAKTASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPTEEEMVEAYVKTLTAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFIDGKVIHRPQFRFTERQQVRSRPRPRYDRRRETAQVERRETMQRGPSTQQQRPPFPQEAVQNPQQGYETMPPVVGK >PAN40433 pep chromosome:PHallii_v3.1:7:45640655:45641389:-1 gene:PAHAL_7G315200 transcript:PAN40433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAYRSSSEESAASPAASAAAAAAAAAMAPLAAAAAAAVKMEEQAAAALAAGAQAPAPLQQMQLRRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPDEIPALALAEGDGDPARGGEPDGGTLSAASIRKKAIEVGLRVDALQTGMMVAPPHPRERQRHHHHHHHHHGHGLPQLQLHAEDEHRRQEQKQQQRAAWSGRAKNPDLNRAPSPESSDAE >PVH35781 pep chromosome:PHallii_v3.1:7:43233905:43234868:1 gene:PAHAL_7G276200 transcript:PVH35781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHRPFSHRLHLIDSAYLVGAVAVLVTVPGPASLAWGITASEYAALRCCADQPSSPPHVSPHVPCSCAPGGGRHGSGGHQITD >PVH35724 pep chromosome:PHallii_v3.1:7:41992039:41993095:1 gene:PAHAL_7G256800 transcript:PVH35724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEVHSSQPAGIPRRNAAEEATMGGSPGNGGLWGLSRAWGGAARAATPLNSLGVTV >PAN39928 pep chromosome:PHallii_v3.1:7:43425992:43430524:-1 gene:PAHAL_7G279300 transcript:PAN39928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSAHSLRLPLRPAPLSRARAPAAAAAGSSLAPSPSSRAALLLKPLAAAPYRHQPALLLHQRRRHGPPAVAATATSKPVLKDPKKYQEWDSVTAKFAGAANVPFLLLQLPQIALNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETEAAIVQTLGVISTYAVLVQLAMAESMPVPQFVATSVVVAAGLILNLLNYLGWLPGTLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGIISGSVALAAVAMARMSKLSDAGIKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMIPRAVFIRDLMWFTGSIWASVLQGWGNLACMYCFESISREFFFATTSGLFLWLGFTFWRDTIAYGNSSPLTSLKELVFGK >PAN40649 pep chromosome:PHallii_v3.1:7:46712696:46716783:1 gene:PAHAL_7G330800 transcript:PAN40649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLARLGAALPRARPRAAARVMPPGRWDAAALGASRRAALNVGRCQVHSDVKVAPTSDLKDEDNSSKNWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPDDNQGLDYETAMGRIHAILSDGTIVTDVEAFRRLYEEVGLGWVYAVTKYEPVATMANAVYGVWAKYRMPITGRPPLEEIMASRKAAGECKDDKVCKM >PAN39593 pep chromosome:PHallii_v3.1:7:41731672:41734770:-1 gene:PAHAL_7G254300 transcript:PAN39593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGKAADENRRPVAGKPVPGVREMGNRRALTDIKNLVGAAPYPCAVAKKPMLQKNGRDEKKPALASSRPMTRKFAASLASKGEPELQVTTTDPGLGDDHNKEPIDDGTVYIDVEQYEPVPDVDIDMDETDHKDSVNEDESIMDIDSADSGNPLAATEYVEELYKFYRQNEAKSCVKPDYMSSQQDINAKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYQPSLLAAAAVYTAQCAINRCQHWTKVCESHSRYTGDQLLGCSRMMVDFHQKAGTGKLTGVHRKYSTFKFGCAAKVEPALFLLESGGISPPSSGII >PVH35718 pep chromosome:PHallii_v3.1:7:41731387:41735231:-1 gene:PAHAL_7G254300 transcript:PVH35718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGKAADENRRPVAGKPVPGVREMGNRRALTDIKNLVGAAPYPCAVAKKPMLQKNGRDEKKPALASSRPMTRKFAASLASKGEPELQVTTTDPGLGDDHNKEPIDDGTVYIDVEQYEPVPDVDIDMDETDHKDSVNEDESIMDIDSADSGNPLAATEYVEELYKFYRQNEAKSCVKPDYMSSQQDINAKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYQPSLLAAAAVYTAQCAINRCQHWTKVCESHSRYTGDQLLGCSRMMVDFHQKAGTGKLTGVHRKYSTFKFGCAAKVEPALFLLESGGISPPSSGII >PVH35153 pep chromosome:PHallii_v3.1:7:31083883:31084753:1 gene:PAHAL_7G112400 transcript:PVH35153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRACASLLVLVGVLLALAGPVAGGMKATFAAGAGVGDAAVLRRLMSTRLEDGVAPELTVDLELHRRVLAGAFKPQVFNPDRAACPRSCPAPGRPYVGRGCEDIYQCHH >PAN38020 pep chromosome:PHallii_v3.1:7:31604356:31605754:1 gene:PAHAL_7G115300 transcript:PAN38020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRGKKKPGARRTRNKEASEATAAPAPLLLAPLPDHLVEDIFTRLPPMSVARLISSPAFGRLYHAARAAEAASDPARFVSVPVDPDKHRKLLVTTAHATGPQGPAAVSLRCEDCPRVFCGAGMPCLGMVLAGRLCRGEFFVCNPSTGGVLRLPPRRPPWYFYSAGLGYDESGTAGRHKAVVLEVVRAQPPPAPPRWDIPKLQCNVFTVGDRQCRWRAPRGHGAPVIRGALMSTETDPVFADGRLHWFLSRRASNGNHGDSDGILAFVLGGEFFRRIPLPSFAIGGSKPKRPVYATLAEVDGRLCLVWMLHDIMSGSWSLDRRIDLTGNVNMEFRHLWRVLAGVSVLCYVHGGESPGWRKKKIAIATIDQEKYWYSYRSEQLCTVEDSYPSLQYMQHVLCPESLVQVAGMEHDGQEGIRLEFATDETGAVVVCSEVYEES >PAN38351 pep chromosome:PHallii_v3.1:7:36283048:36287925:1 gene:PAHAL_7G166600 transcript:PAN38351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAAMEMLGRSFLPGPAGAGAVGRERGGGPCLAAVGREGRRRRRGPLRSAAPVGALAERVVVTPAPAERAGAAPPEELPHPQSVAARAVVTVRRRRKEDAKRRVAEQLDAYADRVGRSVLLELVSTETDPRKGGPKKSKRSALVGWFEKKDVKAERVVYTADFTVDASFGEPGAVTVLNRHQREFFIESIVVEGFPSGPAHFTCNSWVQPTRVDRSPRVFFTNKPYLPDETPPGLQELRRQELSDLRGEGAAAGAADSERRLTDRVWEYDVYNDLGNPDKGAEFARPVLGGEQLPYPRRMRTGRPKTVTDDRAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALQDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHIIGQLDGMSVREALEDNRLYMLDYHDIFLPFLDRINAQDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQLSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSAFAYRELWRIDQEGLPADLIRRGMAVEDPTQPHGLRLLIEDYPYATDGLLLWSAITRWCDAYVAMYYPSDESVQVDAELQAWYGEAVRTGHADKRDAPWWPRLSTPADLASLLTTLVWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERTDEAWTADPAALAAAREFADEVRRAEEEIERRNADTGRRNRCGAGVLPYELMAPTSGPGITCRGVPNSVTI >PVH35386 pep chromosome:PHallii_v3.1:7:36283048:36287925:1 gene:PAHAL_7G166600 transcript:PVH35386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAAMEMLGRSFLPGPAGAGAVGRERGGGPCLAAVGREGRRRRRGPLRSAAPVGALAERVVVTPAPAERAGAAPPEELPHPQSVAARAVVTVRRRRKEDAKRRVAEQLDAYADRVGRSVLLELVSTETDPTGKGGPKKSKRSALVGWFEKKDVKAERVVYTADFTVDASFGEPGAVTVLNRHQREFFIESIVVEGFPSGPAHFTCNSWVQPTRVDRSPRVFFTNKPYLPDETPPGLQELRRQELSDLRGEGAAAGAADSERRLTDRVWEYDVYNDLGNPDKGAEFARPVLGGEQLPYPRRMRTGRPKTVTDDRAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALQDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHIIGQLDGMSVREALEDNRLYMLDYHDIFLPFLDRINAQDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQLSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSAFAYRELWRIDQEGLPADLIRRGMAVEDPTQPHGLRLLIEDYPYATDGLLLWSAITRWCDAYVAMYYPSDESVQVDAELQAWYGEAVRTGHADKRDAPWWPRLSTPADLASLLTTLVWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERTDEAWTADPAALAAAREFADEVRRAEEEIERRNADTGRRNRCGAGVLPYELMAPTSGPGITCRGVPNSVTI >PAN39708 pep chromosome:PHallii_v3.1:7:42419697:42421863:1 gene:PAHAL_7G262900 transcript:PAN39708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGAGARKRLGGVAGVVGVGGGFALGCGCRDAKAVAVAASSTSASPYSSATATDTSTATTASWRGARAAPHHPSSASGSTGTLTVPSASSSSFLWEDADGDAGEEVNCKQREGPAATASFSGLLRQLNELEQSVVSWGRKSTSKDCLSPPLPPPPPVPARPVKQRVAHSGGDSKEGQGNFSPPRPPPTSFQLQTTQQHRKAKNLQAQPPPPPPPPPLPLPLEQPRKAKSTDKGGKKVDASVPPTSQAAAPKHRKVKSCDGGGGRLDGTVAVVKQSDDPLSDFRRSMVNMVVENRIATGDELRELLRHFLALNAPHHHDTILRAFTEIWDEAFSAKTAPRGPAARQTPPRPRPKAPTPPRRRHDPPPRVWR >PVH34974 pep chromosome:PHallii_v3.1:7:22963798:22966167:-1 gene:PAHAL_7G077700 transcript:PVH34974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPAMSSGGRSRSSSTVAVASLTILLCVGAVAVLVAAARPDPDAPRAAAANGTCQSRVEPFGYKCEEHTVTTADGYILSLQRIPGGRGQSAAGNVPVLLQHGLFMDGVTWLMNSPAESLGYILADGGYDVWIANSRGTVYSRGHTTLSTGDPAYWDWSWDELASSDLPAVVQYVYAHSGGQRMHYVGHSLGTLIAFAAISEQQQLGMLRSAGLLSPIAYLDKVSSPLARGGADVFLGEALYWLGLNEFDPTGETVHKLVTDVCSQPGINCYNLMSVFTGDNCCLDNSSVQVFLAHEPQASATKNMVHLAQMIRRGTIAKYDYGNAADNTKHYGQAAPPAYDVSAIPDDFPLFLSYGGRDSLSDVQDVKHLVQALKSHDGDKLTVQYLDDYAHADFVMAGNARERVYAPLMAFFKLQEK >PVH34770 pep chromosome:PHallii_v3.1:7:5600918:5603084:1 gene:PAHAL_7G026100 transcript:PVH34770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNHLQEFCVQTYKNLPIYKVEIEGEYHQPKYKCTVKVDGKQFSSTGSFSRKKEAEQDAARVAYEILATIGEGDVKEVFGLINQDAVFCKSILYEFASVVRLEKPFTMFVASVEFNGNTYIGESASSKKDAEQNAARAAIKSILATDNSCMIGIIRSKKQLITAIKSSGSTPTTFTPIKFTRPVAYAAYGGPDHVAPVSQHKSYSLGVQGHSIVPAIGTSANPSAKAVTGSKKRKCRVRGAEANETMVAKGH >PVH34805 pep chromosome:PHallii_v3.1:7:9423468:9424328:1 gene:PAHAL_7G036600 transcript:PVH34805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAVRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEPANLLREQTHRAEQAELAVRIQQIRATHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRVPPENPESSAAADEGDAAMQPVTDGNPEDGEREPLTLSAPEEDTPRK >PAN37736 pep chromosome:PHallii_v3.1:7:31872289:31875956:1 gene:PAHAL_7G118000 transcript:PAN37736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEIPAFGDWETTGNTPYTQKFEDARKNRTTGIPAQANDPRRNVEPPRKSPLHPTAYKTDPQDQGPRNPPHRPRPETDHRRHSDHPTHRESAPRRHANPQREQGVNAAAPRSPYRTAAGSASPMQPNNQSKPKHRSTGMQTPERRASSEGHGQHTPGRGRMKASDRGYEPEEEVVVPPFGEWDDANAESGEKYTGIFNRVRDDRLSPNTSRQPSTIRGAENKVKQKCFCCIL >PVH35863 pep chromosome:PHallii_v3.1:7:44490784:44491281:1 gene:PAHAL_7G295700 transcript:PVH35863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESTGHGDQASPPLPRVPRRARRPIRRLARPPLPRTPDRKRRPSRRPPCPPLARTSGRELRPRCRRPARPPLPRAPAKPPAASPSAPRSRAAQGAPATPQAAGPSAPRSHGGAAKPQVADPSTPPSPVVFLLRGRWLRAVCVACLAIDSWAWRLRIAGMTGGNG >PAN37806 pep chromosome:PHallii_v3.1:7:32554682:32561515:1 gene:PAHAL_7G124100 transcript:PAN37806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFDINVLREEARTRWLKPSEVYYILQNHERFPITHEAPRKPPSGSLFLYNRRVNRYFRRDGHTWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVAEGRYYSSKLSNGPPESLSSLGYPHAIYGNQYLSSTSGTSEGSESHQSYSNLSSVTEVSSYSGNKEYNKDGGSLLSIPELGQTCLEQTTEVYQADNDNSKNKSGLNVALKKIAEQLSLGDDEDDYIYTNQVQPLGFATNIEATDKKDDNQIKQIQPEGAQKGLGRNIAPSWEDVLHSSSGLPTPSIYQSDVQYQQNSEYHPPGSLDSSDLRIQLSAAKRFLLGPEASIDSPSSNFILRNKKNGGTDILSAHESRLESSLNPDWKTKAPLTFQSNSQGSEITELLFDRGQLEPYSRADTRLTLGQIKQFNIREISPEWAFSYEITKVIITGDFLFDSSNLCWAVMFGDSEVPAEIVQPGVLRCHTPLHSSGKLRVCITSGNREVCSEFKEFEFRSKPTSSGSSDLAPSSRSLKSSEELLFLAKFVRMLLLENGSSEVPDSDPQSGQCPKLRMNEELWDRLIHELNLGCENPLSTVDQIMEELLKSRLQRWLSVKLKGFNGTSSSLSKHDQGIIHLISALGYEWALSSVLSAGVGLNFRDSNGWTALHWAAYFGREKMVAALLAAGASATAVTDPTAQDPVGKTAAFLASERGHTGLAGYLSEVSLTSYLASLTIEESDVSKGSAEIEAERAVESISQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAASRLYHQAHASSGQFYDKAAVSIQKKYKGWKGRKHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPMVGAVEEDDEEDDDFDDDEAVKVFRRQKVDQAVKEAVSRVLSMVDSTEARMQYRRMLEEFRQASAELGGSQEVTSIFDSDLEILGINNFML >PAN37805 pep chromosome:PHallii_v3.1:7:32553957:32561486:1 gene:PAHAL_7G124100 transcript:PAN37805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSFDINVLREEARTRWLKPSEVYYILQNHERFPITHEAPRKPPSGSLFLYNRRVNRYFRRDGHTWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVAEGRYYSSKLSNGPPESLSSLGYPHAIYGNQYLSSTSGTSEGSESHQSYSNLSSVTEVSSYSGNKEYNKDGGSLLSIPELGQTCLEQTTEVYQADNDNSKNKSGLNVALKKIAEQLSLGDDEDDYIYTNQVQPLGFATNIEATDKKDDNQIKQIQPEGAQKGLGRNIAPSWEDVLHSSSGLPTPSIYQSDVQYQQNSEYHPPGSLDSSDLRIQLSAAKRFLLGPEASIDSPSSNFILRNKKNGGTDILSAHESRLESSLNPDWKTKAPLTFQSNSQGSEITELLFDRGQLEPYSRADTRLTLGQIKQFNIREISPEWAFSYEITKVIITGDFLFDSSNLCWAVMFGDSEVPAEIVQPGVLRCHTPLHSSGKLRVCITSGNREVCSEFKEFEFRSKPTSSGSSDLAPSSRSLKSSEELLFLAKFVRMLLLENGSSEVPDSDPQSGQCPKLRMNEELWDRLIHELNLGCENPLSTVDQIMEELLKSRLQRWLSVKLKGFNGTSSSLSKHDQGIIHLISALGYEWALSSVLSAGVGLNFRDSNGWTALHWAAYFGREKMVAALLAAGASATAVTDPTAQDPVGKTAAFLASERGHTGLAGYLSEVSLTSYLASLTIEESDVSKGSAEIEAERAVESISQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAASRLYHQAHASSGQFYDKAAVSIQKKYKGWKGRKHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPMVGAVEEDDEEDDDFDDDEAVKVFRRQKVDQAVKEAVSRVLSMVDSTEARMQYRRMLEEFRQASAELGGSQEVTSIFDSDLEILGINNFML >PVH35223 pep chromosome:PHallii_v3.1:7:33290792:33293109:1 gene:PAHAL_7G131200 transcript:PVH35223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVQKLELSPTHARIDRSSPSDGRRRRQIDRQLTKVDPRKHGKRPLPADEEEEEPPPPPPAKHEPLDVEEQYHVSQLQGATTFSGGGGSSSSPVGAGPSPEAYAQFYYSARADHDATAVASALAHVIRASPDQLPPQAFYAAAAAAGATGHQQAAPHHPGGHAGAAAAAEEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPDRHHHHQHQGAVTLAAMPPPHRHQHQTVVPYPDLMQYAQLLQGGRGGGGGDHHAEAAAAHQAAQAQLMMMARGGGGVNLPFGAASFSPSPSPSSAPQILDFSTQQLIRPGPPSPAAAMSSGAAPSTPSTSSTTTASSPGGGAWPYGGEHHRNKKDP >PAN38324 pep chromosome:PHallii_v3.1:7:36160352:36161269:1 gene:PAHAL_7G164300 transcript:PAN38324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNATVELFRLSDERRRHTHGLSAGDSLPSSPASSARPRTTASTAPPASELPAVLMHTAPELDT >PVH34681 pep chromosome:PHallii_v3.1:7:1312265:1312723:-1 gene:PAHAL_7G006100 transcript:PVH34681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVAKCDTWCELQNPANHRVFERKLRPRPSGWGHACLGVTPKDTPNPSLGTDVVFGSPRLMVCGGEETYKDSPSNGERTGSSPA >PAN40934 pep chromosome:PHallii_v3.1:7:47993813:47997232:-1 gene:PAHAL_7G352000 transcript:PAN40934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSSLSQQPEFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASSCC >PAN39699 pep chromosome:PHallii_v3.1:7:42377735:42381838:1 gene:PAHAL_7G262200 transcript:PAN39699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGGPALQPLLCLTWVAAILPIAAAALPIPAAAGGRLLHQLLCAISSRGKTARASSASSSSKARFTVPQKFFLHFYVVGVVVTTTLLLAIWFYAYMKMTPLVPEPSSYSTIASHLVGSSSSFSLASFWSSRPREHKYRVWRTVFVLILMEIQVLRRLYETEHVFHYSPSARMHIMGYLLGLFYYVAAPLSLASSSLPEAIQYLRYQIAEFIVKGRARMPDLVIDPSHLLKPLLKLGWCQWIGAVIFIWGSLHQIRCHAILGSLREHKDSDEYVIPCGDWFSQVSCPHYLAELVIYLGMLIASGGSDISVWFLYLFVIANLSFAAVQTHKWYVQKFEDYPRSRYAIIPFVC >PVH34683 pep chromosome:PHallii_v3.1:7:1386352:1391315:1 gene:PAHAL_7G006600 transcript:PVH34683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPEMRVLHLRIHKGLLYCTYCRGPLRPNDCMRKPWMARSVGSVCKIRLNCSVCESALVDGLFQCSNALSLKSQCRVALCSNCAEKHTMRFGHPTPRILAFDPIIADGIFYCPVDGCDQELSASQYNEHVIMCSHGELSCPLCSQPLALNSLCAHLVSGHEFNDVQLSYGHIIESEISKYEGCIFRSTEEAFIFFILDKTLYLIWIGSLSNPPDFKLMMAVANIETGENFGVVRQGAVPRRSDLFRVMSFKRVPANVFKISIMID >PVH35762 pep chromosome:PHallii_v3.1:7:42851440:42858707:1 gene:PAHAL_7G270200 transcript:PVH35762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEWQELYSPCFWMGTFALIQLIFIMSILVQFLFKKIRWWRQRLKTATPESNKQHQEHKITDIKLGISYEACKVCCLLILATHVVRAVFPQLHERMSDCKYPPFIICEGLQVLSWLILSLAVFSFQKTNSAKVPLIIRSWWIFNFLQSVTIVVIDLRSILTVNKDIGFEEGIDLFMLVVCTYLFAISARGKTGITFTDSNITEPLLSSSVGQQGEAKRPCPYGRASTLGLVTFSWMNPVFATGYKKPLEKNDVPDVDGKDSSEFLSDSFKEIIDDVERSHGLSTSSIYRAMFLFMRRKAMINAGFAVLSASASYVGPSLINDLVKFLGGERQYGLRRGYILAVAFLSAKVVETIAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDIQRITDVVWYTNYIWMLPIQLSLAVYVLHQNLGIGAWAGLAATLVIMACNIPLTRMQKRLQGKIMVAKDNRMKATTEVLRSMKILKLQAWDMKYLQNLEALRGEEYNWLWRSVRLSALTTFIFWGSPAFISSITFGSCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAKYLEEEELKCDAVIEVPRSDTDYDVEIDHGIFSWELETTSPTLTDVELKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLDGTVRVSGSKAYVPQTAWILSGNIRDNILFGNPYNKEKYEKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPDADLILVMQDGKIVQKGKFDELLHQNIGFEAIVGAHSQALESVMNAESSSRILSENKKSADSEDELDTENEMDDQLQGITKQESAHDVSQDISEKGRLTQEEEREKGGIGKKVYWAYLRAVHGGALVPVTIAAQSFFQIFQVASNYWMAWASPPTSATTPMVGLGLLFSVYIALSMGSALCVLARSLLVSLIGLLTSEKFFKNMLHCILRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSIIQILGTIGVMSQVAWPVFAIFVPVTVVCFLCQRYYIPTARELARLSQIQRAPILHHFAESLAGASSIRAYGQKDRFRKANLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERIMQYSRIPSEAPLIVDHYRPPNSWPEAGTINIRSLEVRYAEHLPSVLRNISCTIPARKKVGIVGRTGSGKSTFIQALFRIVEPREGTIEIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDCRVWEILDKCQLGDIVRQGPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIREEFGNCTVLTIAHRIHTIIDSDLILVFSEGRIIEYDTPSKLLENESSEFSRLIKEYSRRSHGFSGTTYN >PAN39812 pep chromosome:PHallii_v3.1:7:42850029:42858707:1 gene:PAHAL_7G270200 transcript:PAN39812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGSLRFPVLARIPEMFIHGGLLDDSSDSIILPYMQEWQELYSPCFWMGTFALIQLIFIMSILVQFLFKKIRWWRQRLKTATPESNKQHQEHKITDIKLGISYEACKVCCLLILATHVVRAVFPQLHERMSDCKYPPFIICEGLQVLSWLILSLAVFSFQKTNSAKVPLIIRSWWIFNFLQSVTIVVIDLRSILTVNKDIGFEEGIDLFMLVVCTYLFAISARGKTGITFTDSNITEPLLSSSVGQQGEAKRPCPYGRASTLGLVTFSWMNPVFATGYKKPLEKNDVPDVDGKDSSEFLSDSFKEIIDDVERSHGLSTSSIYRAMFLFMRRKAMINAGFAVLSASASYVGPSLINDLVKFLGGERQYGLRRGYILAVAFLSAKVVETIAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDIQRITDVVWYTNYIWMLPIQLSLAVYVLHQNLGIGAWAGLAATLVIMACNIPLTRMQKRLQGKIMVAKDNRMKATTEVLRSMKILKLQAWDMKYLQNLEALRGEEYNWLWRSVRLSALTTFIFWGSPAFISSITFGSCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAKYLEEEELKCDAVIEVPRSDTDYDVEIDHGIFSWELETTSPTLTDVELKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLDGTVRVSGSKAYVPQTAWILSGNIRDNILFGNPYNKEKYEKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPDADLILVMQDGKIVQKGKFDELLHQNIGFEAIVGAHSQALESVMNAESSSRILSENKKSADSEDELDTENEMDDQLQGITKQESAHDVSQDISEKGRLTQEEEREKGGIGKKVYWAYLRAVHGGALVPVTIAAQSFFQIFQVASNYWMAWASPPTSATTPMVGLGLLFSVYIALSMGSALCVLARSLLVSLIGLLTSEKFFKNMLHCILRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSIIQILGTIGVMSQVAWPVFAIFVPVTVVCFLCQRYYIPTARELARLSQIQRAPILHHFAESLAGASSIRAYGQKDRFRKANLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERIMQYSRIPSEAPLIVDHYRPPNSWPEAGTINIRSLEVRYAEHLPSVLRNISCTIPARKKVGIVGRTGSGKSTFIQALFRIVEPREGTIEIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDCRVWEILDKCQLGDIVRQGPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIREEFGNCTVLTIAHRIHTIIDSDLILVFSEGRIIEYDTPSKLLENESSEFSRLIKEYSRRSHGFSGTTYN >PAN37631 pep chromosome:PHallii_v3.1:7:28817674:28822257:-1 gene:PAHAL_7G100200 transcript:PAN37631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAAGRRAGAVGGGGRRRAGCGDQSQAQQRLLAVAVAARFAEAGPPQTAEASAGGCCVELLECLLGALGVSVTAVAPAPAQYRWAVRSIRRRRPRGASAEGRRAGAEPPAPGRIAGNGASASAAASLYTMQGKKGVNQDAMVVWENFGSKDDTVFCGVFDGHGPNGHLVAKRVRDLLPVKLSANLGRNGVATGGTTPHMVEDTDASLEKEENDEPPEFFPALRASFLRAFYVMDRDLKLHRNIDCAFSGTTAVTVIKQGQNLIIGNLGDSRAVLGTRDEENHLVAVQLTVDLKPSIPSEAERIRQRRGRIFSLPDEPDVARVWLPTFNSPGLAMARSFGDFCLKNYGIISMPDVSYHCITEKDEFVVLATDGVWDVLSNGEVVSIISKAPSQVSAAQFLVESAQRAWRTRYPTSKTDDCAAVCLFLNTEAASTSSSSGTKDLTNVEASSSKHSLTVKSSTAVPANLVTALVEDEEWSVLDSVSGPVTLPILPKPTSVVKESTKD >PVH35077 pep chromosome:PHallii_v3.1:7:28817674:28822659:-1 gene:PAHAL_7G100200 transcript:PVH35077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDERATPPATLQEQIAGSPESFWTAMVAVAAGRRAGAVGGGGRRRAGCGDQSQAQQRLLAVAVAARFAEAGPPQTAEASAGGCCVELLECLLGALGVSVTAVAPAPAQYRWAVRSIRRRRPRGASAEGRRAGAEPPAPGRIAGNGASASAAASLYTMQGKKGVNQDAMVVWENFGSKDDTVFCGVFDGHGPNGHLVAKRVRDLLPVKLSANLGRNGVATGGTTPHMVEDTDASLEKEENDEPPEFFPALRASFLRAFYVMDRDLKLHRNIDCAFSGTTAVTVIKQGQNLIIGNLGDSRAVLGTRDEENHLVAVQLTVDLKPSIPSEAERIRQRRGRIFSLPDEPDVARVWLPTFNSPGLAMARSFGDFCLKNYGIISMPDVSYHCITEKDEFVVLATDGVWDVLSNGEVVSIISKAPSQVSAAQFLVESAQRAWRTRYPTSKTDDCAAVCLFLNTEAASTSSSSGTKDLTNVEASSSKHSLTVKSSTAVPANLVTALVEDEEWSVLDSVSGPVTLPILPKPTSVVKESTKD >PAN39252 pep chromosome:PHallii_v3.1:7:40295888:40299185:-1 gene:PAHAL_7G230900 transcript:PAN39252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MLFALLCLLALAGGAAPAAAAANARPCASPDSASAYPFCDASLSILARARALVSLLTLDEKIAQLSNTAGGVPRLGVPPYQWWSESLHGLADNGPGVNFSSGPVRAATAFPQVILSTAAFNRSLWRAVAGAVATEALGMHSAGQAGLTYWAPNINIFRDPRWGRGQETSGEDPAVAAAYSLEYVKGFQGEFGEEGRIRLSACCKHYTAYDMEKWEGFSRYTFNAKVTAQDLEDTYQPPFKTCIQEARASCLMCAYNQVNGVPMCARKDLLQKTRDEWGFKGYITSDCDAVAIIHENQTYTTSDEDSIAIVLRAGMDLNCGSFLVRHTKSAIEKGKIQEQDIDRALFNLFSVQLRLGIFDKPKDTELGPNNVCTAEHRELAAEAVRQGAVLLKNDNAFLPLKRSEVRHVAVVGPSANDAYAMGGDYTGVPCNPTTFLKGIQAYVAQTTFAAGCKDVSCNSTNLFGEAIEAAKAADIVVVVAGLNLTEEREDFDRVSLLLPGKQMNLIHTVASVTKKPLVLVLLGGGPVDVSFAKHDPRIASILWLGYPGEVGGQVLPEILFGKYNPGGKLAMTWYPESFTAIPMTDMNMRADSSRGYPGRTYRFYTGDVVYGFGYGLSYSRYSYSILSAPKKISVPHSSVPDIISRKPAYISRDGLDFVKIEDVASCEALVFSVHIAVSNHGDMDGSHAVLLFARSKSSVPGFPIKQLVGFERVHTAAGSATNVELTIDPCKHMSAANPEGQRVLLLGAHVLTVGDEEFKLLIET >PAN39250 pep chromosome:PHallii_v3.1:7:40295583:40300931:-1 gene:PAHAL_7G230900 transcript:PAN39250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MLFALLCLLALAGGAAPAAAAANARPCASPDSASAYPFCDASLSILARARALVSLLTLDEKIAQLSNTAGGVPRLGVPPYQWWSESLHGLADNGPGVNFSSGPVRAATAFPQVILSTAAFNRSLWRAVAGAVATEALGMHSAGQAGLTYWAPNINIFRDPRWGRGQETSGEDPAVAAAYSLEYVKGFQGEFGEEGRIRLSACCKHYTAYDMEKWEGFSRYTFNAKVTAQDLEDTYQPPFKTCIQEARASCLMCAYNQVNGVPMCARKDLLQKTRDEWGFKGYITSDCDAVAIIHENQTYTTSDEDSIAIVLRAGMDLNCGSFLVRHTKSAIEKGKIQEQDIDRALFNLFSVQLRLGIFDKPKDTELGPNNVCTAEHRELAAEAVRQGAVLLKNDNAFLPLKRSEVRHVAVVGPSANDAYAMGGDYTVAGLNLTEEREDFDRVSLLLPGKQMNLIHTVASVTKKPLVLVLLGGGPVDVSFAKHDPRIASILWLGYPGEVGGQVLPEILFGKYNPGGKLAMTWYPESFTAIPMTDMNMRADSSRGYPGRTYRFYTGDVVYGFGYGLSYSRYSYSILSAPKKISVPHSSVPDIISRKPAYISRDGLDFVKIEDVASCEALVFSVHIAVSNHGDMDGSHAVLLFARSKSSVPGFPIKQLVGFERVHTAAGSATNVELTIDPCKHMSAANPEGQRVLLLGAHVLTVGDEEFKLLIET >PAN37113 pep chromosome:PHallii_v3.1:7:12931791:12934588:-1 gene:PAHAL_7G046600 transcript:PAN37113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASAPSPAAAAAYDRVAELRTLDATFAGVRGLVASGATRVPRIFRRVPDHEQPTRQPSAPGQRPPCIPAVDLAAADHEAVVAAVRRAAEEWGLFLVTGHGVPGEVAAAALGAARAFHDADGGAGSEKARLYSRDPAKAVKYNCNFDLYESSVANWRDTLYLRIAPNPPTDGEMPENCRDAFFDYARHTKLLLDTLYGLLSEALGLAPTYLADMECNKGQMILFHYYPPCPEPELAIGTTRHSDSGFLTVLLQDDTGGLQVLHDDQWIDVPPTPGAFIVNIGDLMQMMSNDKFISAEHRVVAKKAGPRVSIACFTSHSDSTRMYGPIKELLSDGNPPLYRETLAKDYITHYYAVGLGRKAAIYDFRL >PAN36919 pep chromosome:PHallii_v3.1:7:2227732:2231429:1 gene:PAHAL_7G012200 transcript:PAN36919 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MALLAIQGMAMSTAALPSHHHGGVSSSVSTSSYALTAAAAFPRARATLAVGAVTSATVTPVLDVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPPRLFAPPAPPRKDQFDDGEIIPIEIDEDILE >PAN39656 pep chromosome:PHallii_v3.1:7:42123141:42124202:-1 gene:PAHAL_7G258300 transcript:PAN39656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALVRVQARARACRAIRSQQVAAHPDPPTPEKYDQAGAPRHGRSGSLKGSSSKTPGSERLGRERSESCGRNWLDRWVEERYMDDEKNAKILEVDNGKPGRYASKRRGGGGNHHQSPCSTMTSDQNSRSYATMPESPSKDSTTAQQSVPSPPSVGMGEALSPLRLPVDIAELCDSPQFFSATSRPGSSRRGPFTPSKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYDKSSSLRKASAAQACLAGPCAPTAATAQQRPAASLHAKFTNKAYPGSGRLDRLGMPVKY >PAN39657 pep chromosome:PHallii_v3.1:7:42123141:42125286:-1 gene:PAHAL_7G258300 transcript:PAN39657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAPRWLRGLLGGGKKAAETKSVKEKRRWGFGKSFREKAPAPVAARPPTPPVQPTATPRRGYAPAPDEADDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAAKREEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQARARACRAIRSQQVAAHPDPPTPEKYDQAGAPRHGRSGSLKGSSSKTPGSERLGRERSESCGRNWLDRWVEERYMDDEKNAKILEVDNGKPGRYASKRRGGGGNHHQSPCSTMTSDQNSRSYATMPESPSKDSTTAQQSVPSPPSVGMGEALSPLRLPVDIAELCDSPQFFSATSRPGSSRRGPFTPSKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYDKSSSLRKASAAQACLAGPCAPTAATAQQRPAASLHAKFTNKAYPGSGRLDRLGMPVKY >PAN39655 pep chromosome:PHallii_v3.1:7:42122755:42125450:-1 gene:PAHAL_7G258300 transcript:PAN39655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAPRWLRGLLGGGKKAAETKSVKEKRRWGFGKSFREKAPAPVAARPPTPPVQPTATPRRGYAPAPDEADDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAAKREEWAAVRIQAAFRGYLDPPTPEKYDQAGAPRHGRSGSLKGSSSKTPGSERLGRERSESCGRNWLDRWVEERYMDDEKNAKILEVDNGKPGRYASKRRGGGGNHHQSPCSTMTSDQNSRSYATMPESPSKDSTTAQQSVPSPPSVGMGEALSPLRLPVDIAELCDSPQFFSATSRPGSSRRGPFTPSKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYDKSSSLRKASAAQACLAGPCAPTAATAQQRPAASLHAKFTNKAYPGSGRLDRLGMPVKY >PVH34761 pep chromosome:PHallii_v3.1:7:5091470:5093631:1 gene:PAHAL_7G024700 transcript:PVH34761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWPTGKQQNRGMLLKQRSLTQIFLLLWHSTHDNLYTPRLHLLHRAAPAPHPPPRRPPLRRSEGAQLCPAAARPSPVSPRCHRLVGVRPPSHSLWSSSARWFLDSIPSDRRVAGLARSDSLPSRIKMELIKQHRLHHIVAYTPVLPVLPVKE >PVH34762 pep chromosome:PHallii_v3.1:7:5091470:5093631:1 gene:PAHAL_7G024700 transcript:PVH34762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWPTGKQQNRGMLLKQRSLTQIFLLLWHSTHDNLYTPRLHLLHRAAPAPHPPPRRPPLRRSEGAQLCPAAARPSPVSPRCHRLVGVRPPSHSLWYTKVLRRIASFRKMGWSEPRRGDDPTKVLAHIEFLMP >PVH35573 pep chromosome:PHallii_v3.1:7:39313890:39318868:1 gene:PAHAL_7G215800 transcript:PVH35573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMEQPEPEVAGHYYALQVGSYFLTGYYNVLTNQPHLASQFYTDNSSVVRLDCETGQWSLGETVEVINDMMMSMNVTKVEVKTANFLESWGAAITLLVTGLVQLKNYPVRKRFVQNIVLAPKKDGYFIFSDIFKLICDEYDDQYHVSDYNCADNLPQVDASYTMAETAGSDYLDGEPQEVVAPAENHVQQQDPSEYKVVNVIYEEAHSEEHMPSFPSSTGVKQEWPLAPHPSSPPTPEEPVEEAPKTYASVLRTKAKATMGNAESPQAQQLAQQVQTVPVHEKSNLDNNRAVSAPDDEEEFISVYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDMSGIQNALNASPIELNGRLVHVEERRPNCGFPSARRRGRGRDQGGGRYDGEYATRSKGTGHQKKGGRQSDSY >PAN38919 pep chromosome:PHallii_v3.1:7:38700377:38704205:1 gene:PAHAL_7G207300 transcript:PAN38919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MRHELRLFAGQSGEVMDTANEGGGGSNGKSASGWRAEEAVAGNRRALQALRELVTYPFLYARESRLLGLKWPRGLLLHGPPGTGKTSLVQAIVRECNAHLIMINTYSVHKANVGEGEKFLREAFSEAYSQASRGKPAVIFIDELDAICPCRNNRRENEARIVGQLLTLMDGNKKSSKMLPHIVVVGSTNRVNAIDPALRRGGRFDSEVEVTVPTVEERLQILKLYTKNLHLDENVDLQMVAAFCNGYVGADLEALCREAAKLAYHRMLDMGENVLKLVMEDWESARSMVSPSITRGVTKEISTVTWDDIGGLKDLKKELQKAVEWPIKRAAAYGRLGIPPVRGVLLHGPPGCSKTTLAKAAAHASQASFFSLSGAELYSKYVGEGEALLRRTFQKARLASPSIIFFDEADAIAPKRTGPGGNSSGGVTVGERLLSTLLTEMDGLELAMGIIVLAATNRPNAIDAALMRPGRFDKVLYVPPPDVEGRYEILRIHTQKMKLGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDISASFIRNTHFQTARSSLRPSLTKAVVDEYSNAAINDPSTRKH >PVH34928 pep chromosome:PHallii_v3.1:7:20323947:20324817:-1 gene:PAHAL_7G067200 transcript:PVH34928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLVASMIVLLLLVVAVIVASPAPVPVPEAGTVADQRRPPSLVPFRPARPTSQQHGGRRQPFFHRRAAGGCMPRGFRVPPSAPSRYVNYHTLDAGVCGRGGGRRKP >PAN38704 pep chromosome:PHallii_v3.1:7:37762408:37767489:1 gene:PAHAL_7G190700 transcript:PAN38704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSAPMEISFSAPPPPPDAACTVVSSSSPSPPPQQQQAAAVVAPSPADDKVLVSVEVLLHATSAARHSDVQAAVERMLEARSLSYVDGPVPIPADNSFLIENVKKIQICDTDEWVENHKVLLFWQVKPVVHVFQLSEDGPGEEPGEDDTLSTFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTERGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELLRVGILTYPQGGSVPCILNYSTLREKQHCPEAAEPHGSFHLSSLLYEAAELCEGLSGRSLRKLPFLAHASVANPSCCDASTFMHTLIQTAKREISESRG >PAN39459 pep chromosome:PHallii_v3.1:7:41171271:41172375:-1 gene:PAHAL_7G244400 transcript:PAN39459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAATVCSMCGDVGFPEKLFRCARCRHRFQHSYCTNYYGDGAPASAGSDTCDWCLSDVAAGKARWSSSAAGKQHAAGSSQESSSTTSSGGGGGRGGKQPGGGDHQHQQDEAPGRRATTTRAAGRRYKLLKDVLC >PAN39839 pep chromosome:PHallii_v3.1:7:43007959:43008330:-1 gene:PAHAL_7G272600 transcript:PAN39839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLCIQMPPAAPEVAGHMADAASDDRRLARALVGGGAAKAAAALALALRRPPGGVFLRGGAPFYAYYGLLVAAALLGALEVAVGFWVAGEPERRRGWGRLALWVSVVPLVFVAGLGGFAVLR >PAN40161 pep chromosome:PHallii_v3.1:7:44529045:44534456:-1 gene:PAHAL_7G296200 transcript:PAN40161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MANNSSYGENVRRKSHTPSAIVIGGGFAGLAAADALRNASFQVILLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTNGHQVPQELVEKIGKVFEAILEETGKLREQTNEDMSIAKAIAIVMDRNPHLRQEGIAHEVFQWYLCRMEGWFATDADSISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHKVVEIVRHRNRVEVTVSSGKTFVADAAVVAVPLGVLKANTIKFEPRLPQWKEEAVRELSVGIENKIVLHFSQVFWPNVEFLGVVSSSTYGCSYFLNLHKATGYPVLVYMPAGRLARDIEKMSDETAAQFAFSQLKKILPNAAEPINYLVSHWGSDENTLGSYTFDGVNKPRDLYEKLRIPVDNLFFAGEATSVKYTGTVHGAFSTGLMAAEECKMRVLERFRELDMLEMCHPAMGEDSPVSVPLLISRL >PAN38047 pep chromosome:PHallii_v3.1:7:34383222:34385656:1 gene:PAHAL_7G142600 transcript:PAN38047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATALLLPSLSAAAPTARQNRHHWSPRPQLQRGSLASGALRPPLPRRRLAVSAVQETKEGEAKTAEEITEKYGLEFGLWKVFSSKEEEGGEGKKKSRTDQAKELLAKYGGAYLATSISLSLVSFTLCYLLISAGVDVQDLLAKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVAGWIGKIRKGGE >PAN40376 pep chromosome:PHallii_v3.1:7:45314709:45315720:-1 gene:PAHAL_7G310300 transcript:PAN40376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRRSRTRRAGSSLSSSSSRSISEDQISELLSKLQALLPESQTRNGAHRGSAARVLQDTCSYIRSLHQEVDNLSETLAELLSSADVTSDQAAIIRSLLM >PVH34721 pep chromosome:PHallii_v3.1:7:3009769:3015605:1 gene:PAHAL_7G015100 transcript:PVH34721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFHAIRSCFMDEFFRRIQHHARMVRLWTVQDQLTAVKILCTFFCSSPENINDTRCVAAFASLMLSPHVTVVCACAGTLPSPSIVPGFAFAVARAYCNLLEVFPPQSSLQICSAVLVLDRLKHISMTMVDHPRFDELAVDVLAALANPNFAVRKKVLNLAVSFLTPGNVGDVLRILMNELDLAATSDIPIEYQKMLEEAITECHSAYRDSIMQIILDPKYLVFIDCIRYIKDIMDCNTLLGPQLLKCLLRVLRHVRSSPVCAAAVWAISVCSDSLLETRGAMVAILPLFKDLMDRRDIEKLIIGGGEVEHEYMLASYCYGVKERDAREQHLQPWLMEMEELLFVHIGLTRQADGSYAIASSSKSSASSEDVASLDHTDNLAFLMQSGDALLADFVEDMLSKLVDEDEE >PVH35017 pep chromosome:PHallii_v3.1:7:25770171:25770521:-1 gene:PAHAL_7G087000 transcript:PVH35017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMCGAIWTERERERGENGALRLGKRRRQVGPSWQREEGREVRALRLAALRELGLGGPCGERREGRGKRWAGVERRKGWWACVGRGRAGCWALPSSSSFPFSLLHSTIQTSLFEFK >PAN38856 pep chromosome:PHallii_v3.1:7:38438778:38440062:-1 gene:PAHAL_7G202600 transcript:PAN38856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAPTTALTVLALGLALLCAAGPAAAQNCGCQQGYCCSKYGYCGMGDPYCGEGCRSGPCYSSGGGSGGGGSGANVAGVVTDAFFNGIRNQAPSSCEGKNFYTRSAFLNAANAYPGFAHGGSEVEGKREIAAFFAHVTHETGHFCYISEINKNYNYCDANNRQWPCAPGKKYYGRGPLQISWNYNYGPAGRDIGFDGLGNPDRVAQDPVIAFKTALWFWMKNVHGVMPQGFGATIRAINGALECNGRNPDQMNARVRYYRQYCQQLGVDPGSNLTC >PAN37920 pep chromosome:PHallii_v3.1:7:33540851:33546183:1 gene:PAHAL_7G133000 transcript:PAN37920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVENPGGGESANRLSLKRHDSLFGDAEKVSGGKYHGSEGSWVRTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKYNDDLLGVLSLIIYTLIIIPMLKYVFVVLYANDNGDGGTFALYSLISRYAKIRLIPNQQAEDAMVSNYSIEAPNSQLRRAQWFKQKLESSMVAKIVLFTLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQTEVVWISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGLYNLVVHDIGVLRAFNPWYIVQYFKRNGKEGWVSLGGIILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVLLCYIGQAAYLRKFPENVGDTFYRSIPGPLFWPTFVVAILAAIIASQAMLSGAFAILSKALSLGCLPRVQVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFSITTHLVTVVMLLIWKKHIIFVLLFYVVFTCTELIYLSSILSKFIQGGYLPFCFALVLMTLMATWHYVHVKRYWYELDHIVPTNQMTTLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSIFLFMSIKHLPIPHVVPAERFLFRQVGPREHRMFRCVARYGYSDSLEEPKEFAGFLVDRLKMFIQEEIAFAQNDAENEDETTSITEVPEAQARPRRSTNSVVHSEEAIEPRVSSNSGRITVHANQTVEEEKQLIDREVERGVVYLMGEANVSAGPKSSILKKVVVNYIYTFLRKNLTEGHKALSIPKDQLLKVGITYEI >PAN37745 pep chromosome:PHallii_v3.1:7:31908532:31910416:-1 gene:PAHAL_7G118600 transcript:PAN37745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDIGAMYVGGTCSSIINKETKAAYSTRTNHPKAFHDGIALYMAKITNSDKYKLKNIISVSFKPMSLTVPIGDGYHEFFCVQHHHA >PAN38027 pep chromosome:PHallii_v3.1:7:34314559:34317384:1 gene:PAHAL_7G141200 transcript:PAN38027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALRGVSRCLASRGSAAATRPMLLAHSRGITYKLFIGGLSHFATEDSLAEAFSRYGQVIEATIVTDKVTNRPKGFGFVKFASEEEANNAREEMNGKVLNGRVIYVDIAKAKPDRDADARPIARGPPKPIGND >PAN37846 pep chromosome:PHallii_v3.1:7:32919057:32919817:1 gene:PAHAL_7G127700 transcript:PAN37846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYGAAAEQAWYMPAVVAPAAAAETAAERVERLASESAVVVFSVSTCCMCHAVKRLFCGMGVHPAVHELDLDPRGRELERALACLLGAAAAPGAAAPPVVPVVFIGGRLVGAMDRVMAAHINGSLVPLLKEAGALWL >PAN39451 pep chromosome:PHallii_v3.1:7:41137780:41139592:1 gene:PAHAL_7G243600 transcript:PAN39451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRPHLFNPLLPSAPLSNPAPSSSHARPLAAPTARRGRLRVSAASAAAPAPSAAAPATAAAAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSFVGLRPVLVHGGGPEINSWLLRVGVEPQFRDGLRVTDALTMEVVEMVLVGKVNKNLVSLINLARGTAVGLCGKDARLITARPSPNAAALGFVGEVARVDATVLHPIIASGHIPVIATVAADENGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >PVH35532 pep chromosome:PHallii_v3.1:7:38813055:38815568:1 gene:PAHAL_7G208700 transcript:PVH35532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDHLKDRLLLPSSRAAAATAASANGPHHRRAPPAAAGGAGAGAGGVSIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLREQDAEEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKVRMEESMLNDEDLHGIGNNHSGFSASLSAPVSPVSTPPASRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLVIHVTSGYILRRLV >PVH35531 pep chromosome:PHallii_v3.1:7:38812857:38816151:1 gene:PAHAL_7G208700 transcript:PVH35531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDHLKDRLLLPSSRAAAATAASANGPHHRRAPPAAAGGAGAGAGGVSIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLREQDAEEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKVRMEESMLNDEDLHGIGNNHSGFSASLSAPVSPVSTPPASRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLVIHVTSGYILRRLV >PAN38950 pep chromosome:PHallii_v3.1:7:38812857:38822065:1 gene:PAHAL_7G208700 transcript:PAN38950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDHLKDRLLLPSSRAAAATAASANGPHHRRAPPAAAGGAGAGAGGVSIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLREQDAEEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKVRMEESMLNDEDLHGIGNNHSGFSASLSAPVSPVSTPPASRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVPLFSVPHAFEWTLAITGVCGAVVFCCFLWYFRKRRFFPL >PVH35530 pep chromosome:PHallii_v3.1:7:38812803:38817072:1 gene:PAHAL_7G208700 transcript:PVH35530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDHLKDRLLLPSSRAAAATAASANGPHHRRAPPAAAGGAGAGAGGVSIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLREQDAEEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKVRMEESMLNDEDLHGIGNNHSGFSASLSAPVSPVSTPPASRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVPLFSVPHAFEWTLAITGVCGAVVFCCFLWYFRKRRFFPL >PVH35529 pep chromosome:PHallii_v3.1:7:38812803:38816864:1 gene:PAHAL_7G208700 transcript:PVH35529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDHLKDRLLLPSSRAAAATAASANGPHHRRAPPAAAGGAGAGAGGVSIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLREQDAEEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKCISLSSSFTSFDSPCISEA >PAN39983 pep chromosome:PHallii_v3.1:7:43560357:43562030:1 gene:PAHAL_7G281300 transcript:PAN39983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPCSPTRHCSLLLLVAGVLLLLLVGSMRPCAATLDEDIELIWGASHTYFFMDGPDTESLALSLDEQQGSCFRSKNTYLYGTISMDIKLVEGNSAGVVATIYTISEGPWSYHDEIDLEFLGNVSGQPITLHTNVFANGVGGREQQFYLWFDPTADYHTYTIEWNPKYILIRVDGKAIRAFKNYEDQGVPYPTWQQQRVYGSLWDADEWATQGGAIKTDWSNAPFVAYYRNYNTTWCRPSPGVSWCGAEPKDSPRFDLDQQTLTDLQWVNANYRIYDYCTDHKRFNESEFPKECYLQRAGL >PAN40655 pep chromosome:PHallii_v3.1:7:45354301:45355205:-1 gene:PAHAL_7G311200 transcript:PAN40655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAASRLDKRDEISSCTTKQTLAMYVSSFVKLVKDAYDKKFNDESIFSLIGAFRGVAAVGRILLQDTVANINYVGYSLPNYSLVLDEDNTSWREFEQKMNNLEEKFRAVSKSTKAYEILRPTMAAAWIHTMLYISTVVGRHEMVLGYVPGTEVRRRASDDGEPGALGRSGSV >PAN38500 pep chromosome:PHallii_v3.1:7:36901099:36908014:-1 gene:PAHAL_7G176700 transcript:PAN38500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAGKAEAGSCSGGGCEAVKKRPEQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRRMTDEVSKYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGETKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDTADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFLPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTHVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQETARNRACPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPRGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYYRNPNKMESKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSSLVAARLATDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVALLILITFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQAHSLRRSQVSGALFGLSQLSLYASEALILWFGAHLVRTRASTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDDPDAEQVESVRGEIDFRHVDFAYPTRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMVDGKDIRRLNLKSLRRRIGLVQQEPVLFATSILENIAYGRDGATEEEVVEAARAANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGDLVSRPDGAYSRLLQLQLHHG >PAN39178 pep chromosome:PHallii_v3.1:7:39954059:39958357:1 gene:PAHAL_7G225900 transcript:PAN39178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPRSGPGKRRGRGPRIPATTLRKQQAVLANVDQITGAKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVAGPLGVTHFLILSNPKSLPHLRFSKSPQGPTFTFQIEEYALAADIANSQKRPRCPPGIFKNSPLVVLSGFSGLGNPFESLVEYFQHMVPAVDPSTVKLAACQRILLLKYDKEKEVIDFRHYSIKLQPVGVSRRIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEADDEAATVSLPSDIDKLNQASRKSAVRLQEIGPRMTMRLVKVESGLCSGDVLYPWPVAKKSVGKKGKGTEEEIEGQEETEDEL >PVH35619 pep chromosome:PHallii_v3.1:7:39954059:39958358:1 gene:PAHAL_7G225900 transcript:PVH35619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPRSGPGKRRGRGPRIPATTLRKQQAVLANVDQITGAKIPKSFVFSRGKLPSTLRHLQQDLRKEKKRNNLKDFVNVAGPLGVTHFLILSNPKSLPHLRFSKSPQGPTFTFQIEEYALAADIANSQKRPRCPPGIFKNSPLVVLSGFSGLGNPFESLVEYFQHMVPAVDPSTVKLAACQRILLLKYDKEKEVIDFRHYSIKLQPVGVSRRIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEADDEAATVSLPSDIDKLNQASRKSAVRLQEIGPRMTMRLVKVESGLCSGDVLYPWPVAKKSVGKKGKGTEEEIEGQEETEDEL >PAN38276 pep chromosome:PHallii_v3.1:7:35881838:35887924:-1 gene:PAHAL_7G160100 transcript:PAN38276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPAAAAAVAAAAQPQGRGPAGRQGGGLGQSIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPMDMWMYLSENEKFNDFANEDALIWHEANIPYAIWGPTSTRTRSLTYYPSEALKHNGSLYAHVYFARSGYPVDPTDPEYEQKSAFGRTHPVVTFLPKSKAGKKKSLLGDSEQPEVQAPPKGKKEPEDKDEGPAEYISYWKPNVTINLVDDFTRYPHNNVPPIVAPYLNVDPATGDYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMVVSLLHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVILNFVCQLIVFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHIEIDRSGMIPMLRFRDRDSYAQNKTKEYDALAMKYLTYVLFLLVIGFSIYSLKYEKHKSWYSWILSSMTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWKYPVDKKRVNEFGFGGEDEPAARETLEGSDSAAAAEQTEAETSMEDKKTK >PVH35761 pep chromosome:PHallii_v3.1:7:42838192:42839907:1 gene:PAHAL_7G270100 transcript:PVH35761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASQPKQMFGEPISLVGPTAADLESTAELEKLLRGAGLYESPEESAVREEVLRDLQGIVDRWVKQLTFQHGYPDAMVEEATALLVPFGSYRLGVHGRGSDIDVLVVGPSFADRDHDFFVVLAGVLAETEAVHLQPVPGAHVPVMKMRFRGVQVDLVYASVNLAVVPRDLDLGDRSLLRGLDHATARSLNGVRVADEILRLVPDAGAFRTTLRCVKHWAKARGVYSNVSGFLGGVAWAVLVARVCQLYPNAAPSMLVPRFFKVLSQWRWPVPVMLRDIEHDAVLGLPVWDGRRNPRDRTHLMPVITPAYPCMNCTYNVSQATQRIIKEQIQAGHTACQEIVACGGRGWGALFQPFPFFRAYKSYLQVDATVAGGEDELREWKGWVESRLRQLVAKVERDTAGELLCHQIPHAYDAEPRGLPCTSSFFVGLSKSTPQQQQQQQQPQPPPPPQGRQQPQFDLRATTEEFLQDVYTYGFWRPGLAVAVKHIRRKDLPPYVMQKIRSPNSDALKRKRSDDGSSSSSPLSSSSSPSSGEDDSGRRSSRRAKLGSCIQHSNSLHGSGFSSPVEGVV >PAN39496 pep chromosome:PHallii_v3.1:7:41323936:41325045:-1 gene:PAHAL_7G247400 transcript:PAN39496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVSSALAPKAKGQPMMVTKHTAAVLLVLLSVVLFASSCEARGLRVVHGKGSSSSSKSSHLPDKDVETTSMNKADNGWLTRQTEEARSTVHTAKDDRVMAQADAKAKAEEGVAMASSTAAGTVVGATPVFRVSQRLSRPEDKGFYLDYAGPRTHTPSHN >PAN40053 pep chromosome:PHallii_v3.1:7:44081800:44082753:1 gene:PAHAL_7G288300 transcript:PAN40053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNHRIVALLLVAATLVAQLAPAAACPSCPTPKPPPPPPTPVPCPPPPSSAPPSTPTGKCPLNTLKLLACVDALNGLVHAVIGAKASEKCCPLLKGVADLDAALCLCTTIKAKALSINLVLPIAIEVLVNECHKNVPASFQCP >PAN40654 pep chromosome:PHallii_v3.1:7:46733197:46735590:1 gene:PAHAL_7G331300 transcript:PAN40654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYQGKGRRVHPAPADAAAAAVALPAAVLALASALTAEEQEVLAYLLSCGGAAGGRPRRRRGPHPPEMGCGCFGCYKSFWARWDASPNRHLIHHIIDAVEEGGGGGSGGPPRRGPSRRRRRGRRGSDEADYEDAADAGEVDANADHHHHPGFDGGRDRQGEYEGDGDEEEEEVASSMDGEEDAASVASEGDCNGGDGCGGSAEKSTVGRLVRFIGEKVWGAWN >PVH36077 pep chromosome:PHallii_v3.1:7:47853579:47857747:1 gene:PAHAL_7G349400 transcript:PVH36077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRFIILCVMACLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYTAEPLNACGPLTIKAVEGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVLKKFSGHADVEVWILPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRTDHPRNPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHASCVDLWLTSWRTFCPVCKRDASSGVSELPATETTPLLSSAVRLPSQPSSSRLSVGASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSYTNSPGISTSRSNADLANMSSPHPRISYLSSTHSLVGSHLSPPINISYSSPHVSRFGHGSPSVNFCSSYMSNSGYGSSSYYYLGASSQHGSYLRRCGESGPSLSTMVPQSPQQSQLGHGESSEANVATGASSAQSLRQSYLRHSGDSDASLSDMTSAQSLPGC >PVH36078 pep chromosome:PHallii_v3.1:7:47853390:47857747:1 gene:PAHAL_7G349400 transcript:PVH36078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRFIILCVMACLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYTAEPLNACGPLTIKAVEGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVLKKFSGHADVEVWILPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRTDHPRNPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHASCVDLWLTSWRTFCPVCKRDASSGVSELPATETTPLLSSAVRLPSQPSSSRLSVGASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSYTNSPGISTSRSNADLANMSSPHPRISYLSSTHSLVGSHLSPPINISYSSPHVSRFGHGSPSVNFCSSYMSNSGYGSSSYYYLGASSQHGSYLRRCGESGPSLSTMVPQSPQQSQLGHGESSEANVATGASSAQSLRQSYLRHSGDSDASLSDMTSAQSLPGC >PAN40916 pep chromosome:PHallii_v3.1:7:47853390:47857747:1 gene:PAHAL_7G349400 transcript:PAN40916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRFIILCVMACLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYTAEPLNACGPLTIKAVEGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVLKKFSGHADVEVWILPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRTDHPRNPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHASCVDLWLTSWRTFCPVCKRDASSGVSELPATETTPLLSSAVRLPSQPSSSRLSVGASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSYTNSPGISTSRSNADLANMSSPHPRISYLSSTHSLVGSHLSPPINISYSSPHVSRFGHGSPSVNFCSSYMSNSGYGSSSYYYLGASSQHGSYLRRCGESGPSLSTMVPQSPQQSQLGHGESSEANVATGASSAQSLRQSYLRHSGDSDASLSDMTSAQSLPGC >PVH36079 pep chromosome:PHallii_v3.1:7:47853390:47857747:1 gene:PAHAL_7G349400 transcript:PVH36079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRFIILCVMACLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYTAEPLNACGPLTIKAVEGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVLKKFSGHADVEVWILPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRTDHPRNPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHASCVDLWLTSWRTFCPVCKRDASSGVSELPATETTPLLSSAVRLPSQPSSSRLSVGASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSYTNSPGISTSRSNADLANMSSPHPRISYLSSTHSLVGSHLSPPINISYSSPHVSRFGHGSPSVNFCSSYMSNSGYGSSSYYYLGASSQHGSYLRRCGESGPSLSTMVPQSPQQSQLGHGESSEANVATGASSAQSLRQSYLRHSGDSDASLSDMTSAQSLPGC >PVH36080 pep chromosome:PHallii_v3.1:7:47853578:47857747:1 gene:PAHAL_7G349400 transcript:PVH36080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRFIILCVMACLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYTAEPLNACGPLTIKAVEGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVLKKFSGHADVEVWILPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRTDHPRNPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHASCVDLWLTSWRTFCPVCKRDASSGVSELPATETTPLLSSAVRLPSQPSSSRLSVGASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSYTNSPGISTSRSNADLANMSSPHPRISYLSSTHSLVGSHLSPPINISYSSPHVSRFGHGSPSVNFCSSYMSNSGYGSSSYYYLGASSQHGSYLRRCGESGPSLSTMVPQSPQQSQLGHGESSEANVATGASSAQSLRQSYLRHSGDSDASLSDMTSAQSLPGC >PVH36076 pep chromosome:PHallii_v3.1:7:47853390:47857748:1 gene:PAHAL_7G349400 transcript:PVH36076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADVPIATATPRALEIAKMKSRFIILCVMACLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYTAEPLNACGPLTIKAVEGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVLKKFSGHADVEVWILPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRTDHPRNPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHASCVDLWLTSWRTFCPVCKRDASSGVSELPATETTPLLSSAVRLPSQPSSSRLSVGASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSYTNSPGISTSRSNADLANMSSPHPRISYLSSTHSLVGSHLSPPINISYSSPHVSRFGHGSPSVNFCSSYMSNSGYGSSSYYYLGASSQHGSYLRRCGESGPSLSTMVPQSPQQSQLGHGESSEANVATGASSAQSLRQSYLRHSGDSDASLSDMTSAQSLPGC >PAN39337 pep chromosome:PHallii_v3.1:7:40627944:40629591:-1 gene:PAHAL_7G236100 transcript:PAN39337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALGSQFDRGGLPAGDVDPDYLYFLQHIRVDGDSYVLELPANGASPPSVLRYEAAPGSTSDGECVSDPSPGRLSTNGRAEERESSASLEARPAWYDSLDDVDEDYRLFLQHTRLVDGQLVLEIGGVVINYDQPVVDGPQREKGKQRVVEAAVPSPGKGGSFGAGSVEVGSGAPATAVPEQYACDWRADPSPGREVKGKDGGDEGLSNAADAGTMKGVHWEASSSDGRRAGRRTNSGEKVEQELGIVWPTHITRRPDSDFKRRLIEALTQPVAQKEYYRLFDMVTLRTPLMKLRQVRNETKFYPTEEMGSSYLDHYPVCYFPVPRLKHLIDDIVEARIYGC >PVH35647 pep chromosome:PHallii_v3.1:7:40627944:40629591:-1 gene:PAHAL_7G236100 transcript:PVH35647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALGSQFDRGGLPAGDVDPDYLYFLQHIRVDGDSYVLELPANGASPPSVLRYEAAPGSTSDGECVSDPSPGRLSTNGRAEERESSASLEARPAWYDSLDDVDEDYRLFLQHTRLVDGQLVLEIGGVVINYDQPVVDGPQREKGKQRVVEAAVPSPGKGGSFGAGSVEVGSGAPATAVPEQYACDWRADPSPGREVKGKDGGDEGLSNAADAGTMKGVHWEASSSDGRRAGRRTNSGEKVEQELGIVWPTHITRRPDSDFKRRLIEALTQPVAQKEYYRLFDMVTLRTPLMKLRQVRNETKFYPTEEMGSSYLDHYPVCYFPVPRLKHLIDDIVEARIYGC >PAN39335 pep chromosome:PHallii_v3.1:7:40626799:40629591:-1 gene:PAHAL_7G236100 transcript:PAN39335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALGSQFDRGGLPAGDVDPDYLYFLQHIRVDGDSYVLELPANGASPPSVLRYEAAPGSTSDGECVSDPSPGRLSTNGRAEERESSASLEARPAWYDSLDDVDEDYRLFLQHTRLVDGQLVLEIGGVVINYDQPVVDGPQREKGKQRVVEAAVPSPGKGGSFGAGSVEVGSGAPATAVPEQYACDWRADPSPGREVKGKDGGDEGLSNAADAGTMKGVHWEASSSDGRRAGRRTNSGEKVEQELGIVWPTHITRRPDSDFKRRLIEALTQPVAQKEYYRLFDMVTLRTPLMKLRQVRNETKFYPTEEMGSSYLDHYPDLAEQIMNSGRRDGLALMRGFLFWLQNNAHDDQFKPWVDDSKDQEVIPVMD >PAN39336 pep chromosome:PHallii_v3.1:7:40626398:40629619:-1 gene:PAHAL_7G236100 transcript:PAN39336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALGSQFDRGGLPAGDVDPDYLYFLQHIRVDGDSYVLELPANGASPPSVLRYEAAPGSTSDGECVSDPSPGRLSTNGRAEERESSASLEARPAWYDSLDDVDEDYRLFLQHTRLVDGQLVLEIGGVVINYDQPVVDGPQREKGKQRVVEAAVPSPGKGGSFGAGSVEVGSGAPATAVPEQYACDWRADPSPGREVKGKDGGDEGLSNAADAGTMKGVHWEASSSDGRRAGRRTNSIWLNKL >PAN38745 pep chromosome:PHallii_v3.1:7:37969339:37972243:-1 gene:PAHAL_7G194300 transcript:PAN38745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVASTSFTYHKPRFAVVCRKNKDGRDRERERDKEKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >PAN40678 pep chromosome:PHallii_v3.1:7:46807077:46812038:1 gene:PAHAL_7G332900 transcript:PAN40678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDPPTAAEKEALVSSFLEIAAGQTPDTATQFLQMTSWHLEEALQLFYIDGESALASHPAAPSAAAAAASAAAAAAGVEEALRFAPPPAAALGDPMLHGLGVGEDDDVRAPLPVKRETLYGDGPVSVLRPNTTVAFRNFEQEARQSAVWDSDPNATSSSHDNLASLYRPPFSLMFNGPFDKAKLEASSLDKWLLINLQSTEEFSSHMLNRDTWGNEAVAQLIRSNFIFWQVYHDTSEGRKVCTYYNLVSIPAVLLIDPITGQKMRGWNGMVHPDRLLEDLLPYLDKGPKEHHAAQPQKRPRKVDQETPMGKQGKTTVEDEDEELARAVAASLEDNKEVAEGSDATDDMAEAEPEEENGTSLNIKLDYPPLPEEPTGSRDLLCRVAIRLPNNRRIQRNFLHTDPIKLLWSFCATQVEDGEKRAFHFVQPIPGASKNLEFASDLTFKEAGLANSMINLSWD >PAN40674 pep chromosome:PHallii_v3.1:7:46795848:46798708:-1 gene:PAHAL_7G332800 transcript:PAN40674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPATKIWPAAAAMKRLAVLAGSILLLLLSLSFFLFRPTSPPILSSLQALEPDRRLRVYVADLPRALNYGLLDRYWSLPAADSRIPTSSDPDHPAPHNHPPYPESPLIKQYSAEYWLLASLHTAATAAVRVVADWREADVVFVPFFATLSAELELGWGTKGAFRKKDGNEDYRRQQEVVDRVTAHPAWRRSGGRDHIFVLTDPVAMWHVRTEIAPAILLVVDFGGWFKLDSKITSRNSSHMIHHTQVSLLKDVIVPYTHLLPTLLLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLLSNEPDIVMEEGFPNATGRDQSIKGMRASEFCLHPAGDTPTSCRLFDAIASLCIPVIVSDEVELPFEGMIDYTEFSVFVSVSNAMKPKWLTNYLRNISKQQKDKFRRNLARVQPTFEYNTSYSSSRGPDGAVNYIWKKIHQKLPMIQEAIIREKRKPDGTSIPLRCHCT >PVH35047 pep chromosome:PHallii_v3.1:7:27274210:27275019:1 gene:PAHAL_7G093700 transcript:PVH35047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYAPYHSSFHPYLSWGWNDPWAHAPSYCRPYHVEYATPREPSCARQPYVENDRFEHKDRSRVQNKKKVVKQVYRVKRDGCKDTSSDLNTIDEKLINVLRTSAIDGKGKGKSSVDIPSDKVDVEAKGAIQLKGKRKSERRFSSMRFAPNHRNYWSLHNPFDLQMPYMPMSWNSSLGMFGYTSHFYFDPWFNYGSLYLGGSLPNCI >PAN40545 pep chromosome:PHallii_v3.1:7:46314661:46318305:-1 gene:PAHAL_7G323700 transcript:PAN40545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSTVIGHPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETKIDCVDGQIVGLFGVFDGHGGAKVAEYVKENLFSHLLRHPKFMSDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAVAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVALTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >PAN40544 pep chromosome:PHallii_v3.1:7:46314661:46318339:-1 gene:PAHAL_7G323700 transcript:PAN40544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFYETKIDCVDGQIVGLFGVFDGHGGAKVAEYVKENLFSHLLRHPKFMSDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAVAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVALTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >PVH35456 pep chromosome:PHallii_v3.1:7:37678522:37680520:-1 gene:PAHAL_7G190300 transcript:PVH35456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTSPAAVAVAARAAPTPAAALALFKSALSADRALCPLAVLPHLAASPSLPHLLLTASAAARPHATSLRLYGRLKSLSVPIPVASLHPLLSSLPSAPAFALFADIYRLRLPLCTTTFNIMLRHLCATGKPVRALELLRQMSRPNAVTYNTVIAGFCARGRVQAALEVMREMRERGGIDPDKYTYATVISGWCKIGRIEDAAKVFDEMLAVGEVKPTAVMYNALIGGYCDKGKLDVALQYRDDMVERGVAMTVATYNLLVHALFMVGRASDAYAVLEEMERNGLSPDVFTYNILINGYCKEGNDKKALEVFEGMSRKGVRATTVTYTSLIYALSRKGQIQETDNLFSEAVRKGIRPDVVMYNALINSHCTGGDMDRAFEIMAEMEKKRIAPDDVTYNTLMRGLCLLGRLDEARRLIDKMTKEGIQPDLVSYNTLISGYSMKGDIKDALRVRDEMMNKGFNPTLMTYNALIQGLCKNGQGDDAEILMKEMVEKGITPDDSTYILLIEGLTTEDERTAAADAAKA >PAN40189 pep chromosome:PHallii_v3.1:7:44686716:44699090:-1 gene:PAHAL_7G298200 transcript:PAN40189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSMASPAVEPKREQNFLVRVGMDAWTQPFAVSHKVRLVHILKNLHTSEVKIYSDASKEFIKLLDGESGGEVLREYVQQSPQLGELVEAWRLHREKPGVAYILSLFATVLGHPDSKLRRHGLVKKSLDGVARMILEDKEKMGDVFLELNSGEFRRQNAALDLLAAIVRRGGGLASEVAERFDFKMAILPQLAGTMKKKGSRDGGKRQKGAESGSTRRSFVGFAMSFLEVGNPRQLRWVLQQKEVYSGVLRGIGNDDAETVMYILSTLRDNVLIEESLVPPGLRSVLFGSATLEQLSLISANRDAGEAADIAHEVLVMVCTDPKNGLMPGSNLRGNEKRLLNLMKKLKATEVAHHKNLLLAIVSERLSLCSAYMNEFPYNIEPRSSPSWFAAISLAADVIASAKCDSIVHTLSSNSHGPVSVDDEEVQVVLKCIMPNVCSRAVINRGLLHSDDLVKHGSLRLVFESVNLLCYIIDALNGMVSRGRAKSEFIGSPKITIKIDDSPVLSCSDAADASLVDEVHQGDEMQVKRWASLREYIQDEVHGAMPDPQVLLKLLSSASQKHQKNAHISEPPQKKRRCNSSSEVDDIIIGGIDAVQDKDTSEEQDLELKNDPTTTLCEIWGLDKQDPNMKDAKVVEDVFHSKLLDVLRLYLRVTPSSFDGSYDFFRIIPPNPLDLSMDEQQSLLSLLLEYSGQSGGCWNLERVPESMYKYLQPLFYIMLNSQNKNICDQAYILVKAAMASSGAFDQDFTEIDAWLAFLPGYVAKWCIRENQRVGAPNKLSHIVIPFLCDAVSVVGNNLYKYQEHTRKLISKSGQFEGTPAFSPLIICVLQKCLRLLDSESGSMKLHEKSTISLYVCNTIHLILQSQVDVQLLSDLIGAVLNERFDKFSSEEMNSSIYFAEWRPLTTMLHFLKRISSQHTYNLFTTVEHSSEFDGNSLCSVSRKVEEMLNQEQTNLPDDVATAFLFSIICAPPKDIISDFPDLLDVVKTHFPSHLAFLSSVLFLQHDYLAKVASCWPDIFFSSIRLFKDDLNFDHVNTVEDKWQNLSVSTESAPLSTFLSVSPFCALLPSVLSLAFSVPDEIREAHKDALLRLLQVKLSECTFSEVTLYLRVILFWSHHLLSSYTIKSSNILEQLCHLCFALVDRVFERIQVLTADRQSKSADLSYPVQHIQDIVDSVLHHPIIALSLSRSLSNCQSLPDGSLEYLEEALAVFSKENMHLLDRFVLNLLGKLYDLLLMVRSFETNYSRDDGMSHESLFAAPNLLLENILLLFKEKFELCMDKVNFGLLLLNFDMVRALSKFFSPVKLLDLANWMFTKLGGCSSSCSPAFVPAALMCLYIIDVAMEMICCYLQKTDQRSESYLLWDLEIHTTIIQQAYHIVLHFATKWNLEFADHCLLKMLGRIHHAERYARWSTDYVVFHIILSTLAINTPIDVLHHCILPTSKVKAKALLLLLEASPMHMNLFGNIFLEILEKDNSVLQVKDSDSNASWAQKDGAILLLPAALSCLKYHSDDNGRCTEFLEPVSIFYSELLLCDKGFSSWKSFVTRSIFEEDFSDFIPTSVKDIMVYFSGTLLGKSVMLLHYYFASKEMSKKQRMEIVSSIFPESSELLDSDVNDINPTSCTGILKVTNELFAKISLIRLLLSPRKLLSSEVTSERESKRLHKAKLNFISILVRTMDKILMNFPSSDNILSHSAKEQKVICFLEYVILKNIIELSSEIQSHLNQLKSIPFLSQFIRSSLLHRFNDPVTIKAIRCILVVLSQGKFSADEILELILGHSNFVSTITCSEVSEYRSACNPTGGMLQPAPSILKLVDSSFMEENKPQLCIAEKRRVEIIRLLRVLYDIKSRQQNNSQSSETRELVFLLLSIYGATLSETDLEILHLMNEIESPECRTITEVDHLWGPAALKFREELKLDFSKLDTHNIENAEITERRRALFRENIPVDSKLCAKTALLFCYKRSSRASAFSLEQLQRENFADSFEVTSQRMGVQIYDPIFILRFSIHTLLMGYIEPAEFARLGLLAVTLVSIASPDQELRMLGYECLGAFKKSLEASQRSKETWQLQLLLTYLQNGISEQWQRIPSIIAVFAAEASLTLLDSSHAQFTAISNFLMNSTSVSMQSIPLFPTLLQSSSVHFKAERLWMLRLLSAGSNLADDAKIYKRGRVLELALAFCSSPVSDSESKVLVLKVLKKCVKLPDLAHHLVKESGLLLWLSSVMSIFSGGSDGTESSCSRVTELTLEVVNDLITSRLITDWLQETALEQLSAISSDLCVLLINNAKLLKGNVPLLTSMLSVITSTMRLSMKRKIYQPHFTFSLHGVFNLCQATGGSSRSAEHKLAMELGIDAILMNGPMPILSEMDKSRISMVVSWATSNIFWLYSNQRSLFETSSKETPINESPLSKLLRLLVASVILGRISSIPHGKSGDLAWSSSSLGTLHSFLNDAYERVETVESCMANEMLAVIILYLQDHGQRNSDSLPSVVMALCLLLLNRSSKQVNKHLANNRGKIEMLCSKIRCPAESNPSWRWHYYQPWKDPAAPRTEMERMEEEQACRSLLILFSNAFTTCRSEFPVLSLDDVEKSGLFQWERESMVKQQHCA >PAN40187 pep chromosome:PHallii_v3.1:7:44686716:44699029:-1 gene:PAHAL_7G298200 transcript:PAN40187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIISSHGHLLHADVIASAKCDSIVHTLSSNSHGPVSVDDEEVQVVLKCIMPNVCSRAVINRGLLHSDDLVKHGSLRLVFESVNLLCYIIDALNGMVSRGRAKSEFIGSPKITIKIDDSPVLSCSDAADASLVDEVHQGDEMQVKRWASLREYIQDEVHGAMPDPQVLLKLLSSASQKHQKNAHISEPPQKKRRCNSSSEVDDIIIGGIDAVQDKDTSEEQDLELKNDPTTTLCEIWGLDKQDPNMKDAKVVEDVFHSKLLDVLRLYLRVTPSSFDGSYDFFRIIPPNPLDLSMDEQQSLLSLLLEYSGQSGGCWNLERVPESMYKYLQPLFYIMLNSQNKNICDQAYILVKAAMASSGAFDQDFTEIDAWLAFLPGYVAKWCIRENQRVGAPNKLSHIVIPFLCDAVSVVGNNLYKYQEHTRKLISKSGQFEGTPAFSPLIICVLQKCLRLLDSESGSMKLHEKSTISLYVCNTIHLILQSQVDVQLLSDLIGAVLNERFDKFSSEEMNSSIYFAEWRPLTTMLHFLKRISSQHTYNLFTTVEHSSEFDGNSLCSVSRKVEEMLNQEQTNLPDDVATAFLFSIICAPPKDIISDFPDLLDVVKTHFPSHLAFLSSVLFLQHDYLAKVASCWPDIFFSSIRLFKDDLNFDHVNTVEDKWQNLSVSTESAPLSTFLSVSPFCALLPSVLSLAFSVPDEIREAHKDALLRLLQVKLSECTFSEVTLYLRVILFWSHHLLSSYTIKSSNILEQLCHLCFALVDRVFERIQVLTADRQSKSADLSYPVQHIQDIVDSVLHHPIIALSLSRSLSNCQSLPDGSLEYLEEALAVFSKENMHLLDRFVLNLLGKLYDLLLMVRSFETNYSRDDGMSHESLFAAPNLLLENILLLFKEKFELCMDKVNFGLLLLNFDMVRALSKFFSPVKLLDLANWMFTKLGGCSSSCSPAFVPAALMCLYIIDVAMEMICCYLQKTDQRSESYLLWDLEIHTTIIQQAYHIVLHFATKWNLEFADHCLLKMLGRIHHAERYARWSTDYVVFHIILSTLAINTPIDVLHHCILPTSKVKAKALLLLLEASPMHMNLFGNIFLEILEKDNSVLQVKDSDSNASWAQKDGAILLLPAALSCLKYHSDDNGRCTEFLEPVSIFYSELLLCDKGFSSWKSFVTRSIFEEDFSDFIPTSVKDIMVYFSGTLLGKSVMLLHYYFASKEMSKKQRMEIVSSIFPESSELLDSDVNDINPTSCTGILKVTNELFAKISLIRLLLSPRKLLSSEVTSERESKRLHKAKLNFISILVRTMDKILMNFPSSDNILSHSAKEQKVICFLEYVILKNIIELSSEIQSHLNQLKSIPFLSQFIRSSLLHRFNDPVTIKAIRCILVVLSQGKFSADEILELILGHSNFVSTITCSEVSEYRSACNPTGGMLQPAPSILKLVDSSFMEENKPQLCIAEKRRVEIIRLLRVLYDIKSRQQNNSQSSETRELVFLLLSIYGATLSETDLEILHLMNEIESPECRTITEVDHLWGPAALKFREELKLDFSKLDTHNIENAEITERRRALFRENIPVDSKLCAKTALLFCYKRSSRASAFSLEQLQRENFADSFEVTSQRMGVQIYDPIFILRFSIHTLLMGYIEPAEFARLGLLAVTLVSIASPDQELRMLGYECLGAFKKSLEASQRSKETWQLQLLLTYLQNGISEQWQRIPSIIAVFAAEASLTLLDSSHAQFTAISNFLMNSTSVSMQSIPLFPTLLQSSSVHFKAERLWMLRLLSAGSNLADDAKIYKRGRVLELALAFCSSPVSDSESKVLVLKVLKKCVKLPDLAHHLVKESGLLLWLSSVMSIFSGGSDGTESSCSRVTELTLEVVNDLITSRLITDWLQETALEQLSAISSDLCVLLINNAKLLKGNVPLLTSMLSVITSTMRLSMKRKIYQPHFTFSLHGVFNLCQATGGSSRSAEHKLAMELGIDAILMNGPMPILSEMDKSRISMVVSWATSNIFWLYSNQRSLFETSSKETPINESPLSKLLRLLVASVILGRISSIPHGKSGDLAWSSSSLGTLHSFLNDAYERVETVESCMANEMLAVIILYLQDHGQRNSDSLPSVVMALCLLLLNRSSKQVNKHLANNRGKIEMLCSKIRCPAESNPSWRWHYYQPWKDPAAPRTEMERMEEEQACRSLLILFSNAFTTCRSEFPVLSLDDVEKSGLFQWERESMVKQQHCA >PAN40186 pep chromosome:PHallii_v3.1:7:44686716:44698468:-1 gene:PAHAL_7G298200 transcript:PAN40186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSMASPAVEPKREQNFLVRVGMDAWTQPFAVSHKVRLVHILKNLHTSEVKIYSDASKEFIKLLDGESGGEVLREYVQQSPQLGELVEAWRLHREKPGVAYILSLFATVLGHPDSKLRRHGLVKKSLDGVARMILEDKEKMGDVFLELNSGEFRRQNAALDLLAAIVRRGGGLASEVAERFDFKMAILPQLAGTMKKKGSRDGGKRQKGAESGSTRRSFVGFAMSFLEVGNPRQLRWVLQQKEVYSGVLRGIGNDDAETVMYILSTLRDNVLIEESLVPPGLRSVLFGSATLEQLSLISANRDAGEAADIAHEVLVMVCTDPKNGLMPGSNLRGNEKRLLNLMKKLKATEVAHHKNLLLAIVSERLSLCSAYMNEFPYNIEPRSSPSWFAAISLAADVIASAKCDSIVHTLSSNSHGPVSVDDEEVQVVLKCIMPNVCSRAVINRGLLHSDDLVKHGSLRLVFESVNLLCYIIDALNGMVSRGRAKSEFIGSPKITIKIDDSPVLSCSDAADASLVDEVHQGDEMQVKRWASLREYIQDEVHGAMPDPQVLLKLLSSASQKHQKNAHISEPPQKKRRCNSSSEVDDIIIGGIDAVQDKDTSEEQDLELKNDPTTTLCEIWGLDKQDPNMKDAKVVEDVFHSKLLDVLRLYLRVTPSSFDGSYDFFRIIPPNPLDLSMDEQQSLLSLLLEYSGQSGGCWNLERVPESMYKYLQPLFYIMLNSQNKNICDQAYILVKAAMASSGAFDQDFTEIDAWLAFLPGYVAKWCIRENQRVGAPNKLSHIVIPFLCDAVSVVGNNLYKYQEHTRKLISKSGQFEGTPAFSPLIICVLQKCLRLLDSESGSMKLHEKSTISLYVCNTIHLILQSQVDVQLLSDLIGAVLNERFDKFSSEEMNSSIYFAEWRPLTTMLHFLKRISSQHTYNLFTTVEHSSEFDGNSLCSVSRKVEEMLNQEQTNLPDDVATAFLFSIICAPPKDIISDFPDLLDVVKTHFPSHLAFLSSVLFLQHDYLAKVASCWPDIFFSSIRLFKDDLNFDHVNTVEDKWQNLSVSTESAPLSTFLSVSPFCALLPSVLSLAFSVPDEIREAHKDALLRLLQVKLSECTFSEVTLYLRVILFWSHHLLSSYTIKSSNILEQLCHLCFALVDRVFERIQVLTADRQSKSADLSYPVQHIQDIVDSVLHHPIIALSLSRSLSNCQSLPDGSLEYLEEALAVFSKENMHLLDRFVLNLLGKLYDLLLMVRSFETNYSRDDGMSHESLFAAPNLLLENILLLFKEKFELCMDKVNFGLLLLNFDMVRALSKFFSPVKLLDLANWMFTKLGGCSSSCSPAFVPAALMCLYIIDVAMEMICCYLQKTDQRSESYLLWDLEIHTTIIQQAYHIVLHFATKWNLEFADHCLLKMLGRIHHAERYARWSTDYVVFHIILSTLAINTPIDVLHHCILPTSKVKAKALLLLLEASPMHMNLFGNIFLEILEKDNSVLQVKDSDSNASWAQKDGAILLLPAALSCLKYHSDDNGRCTEFLEPVSIFYSELLLCDKGFSSWKSFVTRSIFEEDFSDFIPTSVKDIMVYFSGTLLGKSVMLLHYYFASKEMSKKQRMEIVSSIFPESSELLDSDVNDINPTSCTGILKVTNELFAKISLIRLLLSPRKLLSSEVTSERESKRLHKAKLNFISILVRTMDKILMNFPSSDNILSHSAKEQKVICFLEYVILKNIIELSSEIQSHLNQLKSIPFLSQFIRSSLLHRFNDPVTIKAIRCILVVLSQGKFSADEILELILGHSNFVSTITCSEVSEYRSACNPTGGMLQPAPSILKLVDSSFMEENKPQLCIAEKRRVEIIRLLRVLYDIKSRQQNNSQSSETRELVFLLLSIYGATLSETDLEILHLMNEIESPECRTITEVDHLWGPAALKFREELKLDFSKLDTHNIENAEITERRRALFRENIPVDSKLCAKTALLFCYKRSSRASAFSLEQLQRENFADSFEVTSQRMGVQIYDPIFILRFSIHTLLMGYIEPAEFARLGLLAVTLVSIASPDQELRMLGYECLGAFKKSLEASQRSKETWQLQLLLTYLQNGISEQWQRIPSIIAVFAAEASLTLLDSSHAQFTAISNFLMNSTSVSMQSIPLFPTLLQSSSVHFKAERLWMLRLLSAGSNLADDAKIYKRGRVLELALAFCSSPVSDSESKVLVLKVLKKCVKLPDLAHHLVKESGLLLWLSSVMSIFSGGSDGTESSCSRVTELTLEVVNDLITSRLITDWLQETALEQLSAISSDLCVLLINNAKLLKGNVPLLTSMLSVITSTMRLSMKRKIYQPHFTFSLHGVFNLCQATGGSSRSAEHKLAMELGIDAILMNGPMPILSEMDKSRISMVVSWATSNIFWLYSNQRSLFETSSKETPINESPLSKLLRLLVASVILGRISSIPHGKSGDLAWSSSSLGTLHSFLNDAYERVETVESCMANEMLAVIILYLQDHGQRNSDSLPSVVMALCLLLLNRSSKQVNKHLANNRGKIEMLCSKIRCPAESNPSWRWHYYQPWKDPAAPRTEMERMEEEQACRSLLILFSNAFTTCRSEFPVLSLDDVEKSGLFQWERESMVKQQHCA >PAN40188 pep chromosome:PHallii_v3.1:7:44687399:44698316:-1 gene:PAHAL_7G298200 transcript:PAN40188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSMASPAVEPKREQNFLVRVGMDAWTQPFAVSHKVRLVHILKNLHTSEVKIYSDASKEFIKLLDGESGGEVLREYVQQSPQLGELVEAWRLHREKPGVAYILSLFATVLGHPDSKLRRHGLVKKSLDGVARMILEDKEKMGDVFLELNSGEFRRQNAALDLLAAIVRRGGGLASEVAERFDFKMAILPQLAGTMKKKGSRDGGKRQKGAESGSTRRSFVGFAMSFLEVGNPRQLRWVLQQKEVYSGVLRGIGNDDAETVMYILSTLRDNVLIEESLVPPGLRSVLFGSATLEQLSLISANRDAGEAADIAHEVLVMVCTDPKNGLMPGSNLRGNEKRLLNLMKKLKATEVAHHKNLLLAIVSERLSLCSAYMNEFPYNIEPRSSPSWFAAISLAADVIASAKCDSIVHTLSSNSHGPVSVDDEEVQVVLKCIMPNVCSRAVINRGLLHSDDLVKHGSLRLVFESVNLLCYIIDALNGMVSRGRAKSEFIGSPKITIKIDDSPVLSCSDAADASLVDEVHQGDEMQVKRWASLREYIQDEVHGAMPDPQVLLKLLSSASQKHQKNAHISEPPQKKRRCNSSSEVDDIIIGGIDAVQDKDTSEEQDLELKNDPTTTLCEIWGLDKQDPNMKDAKVVEDVFHSKLLDVLRLYLRVTPSSFDGSYDFFRIIPPNPLDLSMDEQQSLLSLLLEYSGQSGGCWNLERVPESMYKYLQPLFYIMLNSQNKNICDQAYILVKAAMASSGAFDQDFTEIDAWLAFLPGYVAKWCIRENQRVGAPNKLSHIVIPFLCDAVSVVGNNLYKYQEHTRKLISKSGQFEGTPAFSPLIICVLQKCLRLLDSESGSMKLHEKSTISLYVCNTIHLILQSQVDVQLLSDLIGAVLNERFDKFSSEEMNSSIYFAEWRPLTTMLHFLKRISSQHTYNLFTTVEHSSEFDGNSLCSVSRKVEEMLNQEQTNLPDDVATAFLFSIICAPPKDIISDFPDLLDVVKTHFPSHLAFLSSVLFLQHDYLAKVASCWPDIFFSSIRLFKDDLNFDHVNTVEDKWQNLSVSTESAPLSTFLSVSPFCALLPSVLSLAFSVPDEIREAHKDALLRLLQVKLSECTFSEVTLYLRVILFWSHHLLSSYTIKSSNILEQLCHLCFALVDRVFERIQVLTADRQSKSADLSYPVQHIQDIVDSVLHHPIIALSLSRSLSNCQSLPDGSLEYLEEALAVFSKENMHLLDRFVLNLLGKLYDLLLMVRSFETNYSRDDGMSHESLFAAPNLLLENILLLFKEKFELCMDKVNFGLLLLNFDMVRALSKFFSPVKLLDLANWMFTKLGGCSSSCSPAFVPAALMCLYIIDVAMEMICCYLQKTDQRSESYLLWDLEIHTTIIQQAYHIVLHFATKWNLEFADHCLLKMLGRIHHAERYARWSTDYVVFHIILSTLAINTPIDVLHHCILPTSKVKAKALLLLLEASPMHMNLFGNIFLEILEKDNSVLQVKDSDSNASWAQKDGAILLLPAALSCLKYHSDDNGRCTEFLEPVSIFYSELLLCDKGFSSWKSFVTRSIFEEDFSDFIPTSVKDIMVYFSGTLLGKSVMLLHYYFASKEMSKKQRMEIVSSIFPESSELLDSDVNDINPTSCTGILKVTNELFAKISLIRLLLSPRKLLSSEVTSERESKRLHKAKLNFISILVRTMDKILMNFPSSDNILSHSAKEQKVICFLEYVILKNIIELSSEIQSHLNQLKSIPFLSQFIRSSLLHRFNDPVTIKAIRCILVVLSQGKFSADEILELILGHSNFVSTITCSEVSEYRSACNPTGGMLQPAPSILKLVDSSFMEENKPQLCIAEKRRVEIIRLLRVLYDIKSRQQNNSQSSETRELVFLLLSIYGATLSETDLEILHLMNEIESPECRTITEVDHLWGPAALKFREELKLDFSKLDTHNIENAEITERRRALFRENIPVDSKLCAKTALLFCYKRSSRASAFSLEQLQRENFADSFEVTSQRMGVQIYDPIFILRFSIHTLLMGYIEPAEFARLGLLAVTLVSIASPDQELRMLGYECLGAFKKSLEASQRSKETWQLQLLLTYLQNGISEQWQRIPSIIAVFAAEASLTLLDSSHAQFTAISNFLMNSTSVSMQSIPLFPTLLQSSSVHFKAERLWMLRLLSAGSNLADDAKIYKRGRVLELALAFCSSPVSDSESKVLVLKVLKKCVKLPDLAHHLVKESGLLLWLSSVMSIFSGGSDGTESSCSRVTELTLEVVNDLITSRLITDWLQETALEQLSAISSDLCVLLINNAKLLKGNVPLLTSMLSVITSTMRLSMKRKIYQPHFTFSLHGVFNLCQATGGSSRSAEHKLAMELGIDAILMNGPMPILSEMDKSRISMVVSWATSNIFWLYSNQRSLFETSSKETPINESPLSKLLRLLVASVILGRISSIPHGKSGDLAWSSSSLGTLHSFLNDAYERVETVESCMANEMLAVIILYLQDHGQRNSDSLPSVVMALCLLLLNRSSKQVNKHLANNRGKIEMLCSKIRCPAESNPSWRWHYYQPWKDPAAPRTEMERMEEEQACRSLLILFSNAFTTCRSEFPVLSLDDVEKSGLFQWERESMVKQQHCA >PAN39226 pep chromosome:PHallii_v3.1:7:40193331:40195971:-1 gene:PAHAL_7G229100 transcript:PAN39226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKAFFTDDGISLLDKRFLPAMDKVGRVCHVFLTPTHAMLLHNLLGATAAGPDGGGPQCVAQFAKDLLFREYNLSSRNGNQIAFSVEVALLQRALRSVLAVHAQPPAAGDAPGGPAIQVRLVNKLPAGSRSATPFLTFETKGARAAVVQDVPISRPLSRSDVERLQAALDAAKDLPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLQVSTSLVTVGSEFRKLRVVGDRANAPIGDQNLTASTRMDMAVERGEALSVQVNMKHLVKSLHCHLAKPDYTFYGIAPGGACLTVVFQYFIPGTRLADKSISFYCRLPVLDSGSS >PVH35295 pep chromosome:PHallii_v3.1:7:34613251:34613823:-1 gene:PAHAL_7G144300 transcript:PVH35295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPPSHSVELTNKKSRKGTSDSGGSIRFKSAGSTNQPEPISIEFPMSRGSNPLRREGKVLKPKIKKQTKEKGKKNDVSFESPAMHTRSKRVDPYSPAMSTRSKRRLNL >PAN37174 pep chromosome:PHallii_v3.1:7:20195245:20209946:1 gene:PAHAL_7G066700 transcript:PAN37174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGRQHEMALPWWLASTACAPPSGSLGGWLAFLILSPCPQRALLGAVDLVFLVASLVLAARRPRSVESGPAAAPEREALLQEPKTSPPLFRYALALAASAVFAAASVVLLALALVLQPGTSWRAVELAFLAVHAVAHGVAAWTVASVVRGAGGDAPAAAAPPAHLRVFWLATAVGAALFSASAAVRSADGSLIFPDDVLAFAGLLVSLPLAYVAVTGFTGRDASAGDGESDRNSAEALAPPYAAASFLSRATFSWINPLISKGYAAKSLAADDVPRVSAGHRAEASYALFMSNWPAQRSRHPVAIALWLSFWPQFVLTAALGLANIAAMYVGPSLIDRFVEFVRRGGTPWEGLRLVLILLVGKAAQTLSAHHYNFQGQLLGMRIRGALQTALYRKSLRLSTGARRAHGTGAIVNYMQVDAGLVSSAMHGLHGLWQMPLQIMVALLLLYTYLGAAVLLTLAVIAAATVITAFANKLSLDYQIKFIGVRDSRIKALTEMLSHMRVIKLQAWEETFGGKVRELRQAEVGWLVKFTLFMCANNVVFSSCPLGMTVLVFGTYLASGGVLDAGKVFTATSFFSMLNAPMRDFPQTMVSSLQAFVSLGRLNKFLSETEIDGTAVDRVESVAAGAVAVKVQGGVFAWDVPASEANNGEPGHGSEHNGHGEEPKMETVLKGIDVEVRKGELVAVVGMVGSGKSSLLSCIMGEMHKLSGKVSIYGSTAFVAQTAWIRNGTIQENILFGKPMHPERYSEIIHACCLEKDLEMMDFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSTIFMECLKGTLKNKTVILVTHQVDFLQNVDTVFVMKDGLVIQSGTYHELIESSSEFSVLVTAHHSSMEMAKEQGCLDQNTETSVDTKSRNESGEMTAIAQNKETNSSKLIQEEERESGQVSWRVYKLYMTEAWGWRGVLVLLAVSLLSEGCSMASNYWLSYETSGVAIFNTSLFLGVYVSIVAATIVFGMISNLVVTFSGLQSAQAFFNKMFDSVLRAPMSFFDTTPSGRILSRASSDQLKIDIVLVFYIGFATSLCISVITSVAVTCQVAWPSVIAVLPLLFLNIWYRNHYIATSRELTRLQGVTEAPVTDHLTETFLGAPTVRCFRKEDEFYQTNLDRINSNLRMSFHNYAANEWLGFRLELIGTLILSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTILISCMVENDMVAVERVHQYSTLPSEAAWEVADCLPSPNWPSRGDIDVKDLKVRYRRNTPLILKGITVSIKSGEKIGVVGRTGSGKSTLVQALFRIVEPAEGRIIIDSVDICTLGLHDLRSRFGVIPQEPVLFEGTVRSNIDPTGRYSEAEIWQALERCQLKDIVASKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDLQTDAAIQRIIREEFAECTVISIAHRIPTVMDSDKVLVLDAGLVREFDAPSKLMGRPSLFGAMVQEHANRSSSMHPVDGM >PAN39158 pep chromosome:PHallii_v3.1:7:39012305:39012699:1 gene:PAHAL_7G211900 transcript:PAN39158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLDKSTARKPRFYLGEELKWAKVPQFLFNHRLLPTNTTFNDKDTPLTNRMVHQISCSLKNGTIYMK >PVH35384 pep chromosome:PHallii_v3.1:7:36271486:36272927:1 gene:PAHAL_7G166500 transcript:PVH35384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAKVVKGCTALAYSGCHLLRVPYTCGGPSSSMDGVVVSGARALVAWVLFYSNCSLLQLTPGKSSQVSKGASRCPSCRCLQL >PVH35385 pep chromosome:PHallii_v3.1:7:36271486:36272927:1 gene:PAHAL_7G166500 transcript:PVH35385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAKVVKGCTALAYSGCHLLRVPYTCGGPSSSMDGVVVSGARALVAWVLFYSNCSLLQLTPGKSSQVSKGASRCPSCRCLQL >PAN39298 pep chromosome:PHallii_v3.1:7:40484244:40485388:1 gene:PAHAL_7G234200 transcript:PAN39298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os04g0534600)] MSAGGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWGAESSRPEFAKRAKSWETASGLSRKAFRSGRFLTGFNNLRRAPPGEFGALAVLANAGEMVYFFFDHFTWLARAGVLEPWLARRSSFISAFGESVGYVFFIAMDLVMIRRGLRQERKLLMREAGAGKGADREKELRRIRVDRVMRLMATAANAADLVICIAEVEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >PAN37882 pep chromosome:PHallii_v3.1:7:33202360:33208603:-1 gene:PAHAL_7G130400 transcript:PAN37882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLVQSAIVPTVYRSSSGRFRVRARARTNATMVRNMPTRTLTLGGFQGLRQTNFLDTRSVVKRDFGAIVASQIARPRGSATRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDESLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAILDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVIVLNGGSGVAEPLEPALST >PAN40595 pep chromosome:PHallii_v3.1:7:46516182:46518243:-1 gene:PAHAL_7G326700 transcript:PAN40595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >PVH35517 pep chromosome:PHallii_v3.1:7:38576640:38577744:-1 gene:PAHAL_7G205200 transcript:PVH35517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTPVPTPPSPCSASVPLLSQTPRPVDTHPASIPSIPPALLQHHGASGLRGCGRRGRTPPARLRQAGSHRRYSLASAHLLSVRTLSSSFSCRLRHGCSGLVGSSHSTGTASRAETVGWGFELPAGTKGAGGEGPEDKGDNDRRARGYFKIIIGNRSLFANIRIAIINHDPNEEATSK >PAN38907 pep chromosome:PHallii_v3.1:7:38640085:38642799:1 gene:PAHAL_7G206400 transcript:PAN38907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRGLIACEEPPPEPTDLLSSAWCSSAIQVLQTGPKECSMALVEHPVMSLGNDRKDLLSKSNRSLVVDNSSFSTAQWKYDDLKSWIWLQKAIHPELDYDLCLKKKWLPRKMAPWNGISLKKWVKERKQKRKEEARLQRAEVHAAVSVAGVAAALAAIAAESAAPPGAAGMRETAVASAAALVAAQCAKVAEAAGATRDQVAAAVDAARASTDASNVITLTAAAATSLRGAATLRGRRSSSGGGGHGQNERGDHAGSARSQDDLDFDFNHARSRAALAKGDELFVAMPDGKWKLHTVSAVTNKRGEIVLRIKKTNLVRAFSHAKESVIRDVRPCAPEKPSPDDGATYPVEVSTSKGKVELRADDYGVYRRWVATLSHMLVMSTAVVSARHDPPRRE >PAN38989 pep chromosome:PHallii_v3.1:7:38972970:38977188:1 gene:PAHAL_7G211300 transcript:PAN38989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETGEALRCCVEQLLLVRDEKERLVIEAANKISSEQKKAQDSQQKFEDANKQFEKVIAENYNLRNTVDSKEKLIRELKESKAHSDQKLTDATARLEFSRKQCTSLKYEVRILREELEIRNKEREYDLRSIHAAQKQQQESVKKIAALEAECQRLRTMVQKRLPGPAALAKMKDDVKRQGASSAENGTRRPRAAIQPQLRARHSVSEGYQVKLQELGEENRHLRQLLAQKESDLQFVQSKYVDEACKLSILQKQHEELPGGSHRLTENNHPERMVRALAKLDHSRSGKLQVSQIRSRGRRITGSDIQLLVDPLEIEKLERTSRPSSAPHQCEDTPDTDSKMVVSETVHRDLIPDDAFSDEYPEWIQDVLKVILHKHQVSKISVASIIDEVTHALRSEISAEGNDVAHLSCNRAEIDKMVATLIERVGSMVERSTKDNVTRFPSFHHEKSELTLRLEHLVHVCRAVLDGTANLEKLTYEVCLILEWIVSQCFLYLDGLDVVDHITNNSYGNESLRTLSIHEKDALQSTNSEMAFGIQQEKQQELIETTEGQIPDVTLENHSQIEFTSNLDEELLAVNHGQGDSCQEQHPVYRETESVASDGSKEKIAGEGEKQKTTSAISAAAKKLAECQETIANLSKQLHALESPASADASDKQKCGTLPPAAASLLAEADPKPEDLGPPTSEEAARTKEHSEPDATERSPEHEDPGTGAKARRSGSSTPIVARPMVPRSPRASGSADARKKKRRASLLSRLVFRKKA >PAN40238 pep chromosome:PHallii_v3.1:7:44857949:44863392:-1 gene:PAHAL_7G301800 transcript:PAN40238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVPPRSSYLKKENAGTARREMGLKVTPRRNVLSAINNGEANGGTSSAPADGGGAEAAPVVEFSGREDVERLLAEKMKGKSKNDYKGRVEQMSDYIKKLRACTRWYMELEDGYLAEQEKLRGTLDAENSRHTELETQLSNAIEELKAANLDLTRRCESLEETLNREKSEKLIAVESYEKEKQERESAESSRDVLTVDLERVTHDAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGETISKLQKEKSAMMESMATLRECNNSMSSQLESSRASQQEAIRVKEELRKEVECLRAELKQVRDDRDHSIAQLNSLNIELANCKEQIGRSSKECESMSTKVSSLEETCNTQQKQIQTFQKQLAAATQKLKLADMTAIEAMTGYEEQKEKIKYLEERLAHAESQIVEGDELRKKLHNTILELKGNIRVFCRVRPLLRFDGDSNGPEGASISFPTSVESTGRAIDLMNQGQKLSFSYDKVFDHNASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGIDQKGIIPRSLEQIFKTSQSLESQGWEYSMQVSMLEIYNETIRDLLAPGRPNSFDMTPSKQYTIKHDSHGNTTVSDLTIIDVFSIADVTTLLDKASHSRSVGKTQMNEQSSRSHFVFTLKILGSNENTGQHVQGVLNLIDLAGSERLAKSGSTGDRLKETQSINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRQTQARSFDSRLSYG >PAN38150 pep chromosome:PHallii_v3.1:7:35193300:35198048:-1 gene:PAHAL_7G150600 transcript:PAN38150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15570) UniProtKB/TrEMBL;Acc:F4IIH6] MAAAAASCPAPPRRLCSAMALLPAPSPAASCRRRAVGYGSSSARRWPCRRWAHRPESAASRIRRPPPSRRAAAVRVSCAYSPGAERITACSWNEYVICSDVPVLIEFWASWCGPCRMVTQIVDEIAQEYAGRIKCYKLDTDDYPQVATAYGIERIPTVLLFKDGEKIHSITGTLPKAVYVKAIEKSISDNDIFD >PAN39962 pep chromosome:PHallii_v3.1:7:43726239:43730924:-1 gene:PAHAL_7G283300 transcript:PAN39962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSNVMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNAPSRNTSFGGAGSNSGPVSNSGGRSNYSGSLSSSVPGAGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDSAASMKMRATSFAHNQAVTNLNTEDGYSIQGSFPKPILWAVILLFVMGFIAGGFILGAVHNAILLIVVVVIFGFVAALLIWNACWGRRGAIGFVNRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTTLFEYRGWDSKAANTEHRRFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPISTGCQWAKCVLPTNIDGLVLRCEDTSNIDVIPV >PAN38692 pep chromosome:PHallii_v3.1:7:37666797:37670941:-1 gene:PAHAL_7G190000 transcript:PAN38692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRPAPAGRGRVWPRIDVRDLVVKVKYGDTLKRFNAFVDGSHFDHDLPALRLKIASAFKFSPDVEFILTYTDEDGDFVMLDDDNDLRDATINQKLNPLRIDVQLKSSNVGATRTKEQSTNPKSLRSISLEDQLAQVKSAIDEALKFVPEQVPAVLAKLSHDLRSRAASSAPSLAELLDRFAKLITRSSNMHPSCGSSDGSHKLGNAKIKLESALTAGSASEPLDGQNSGISEAVLKSVLSEDPTAQIEQAPSCPSVKDSLVFTSSGGMKSDLKRSFDSEIKIKSDACSKGKSVISSVPPVSTTSHGAPAQQSVPVPYTSCGFNGMANVDMLSLFPPPPIDHPPTPMLYPPTPFFTPYNPIFGANGNTTGDLHSVFPPPPNICSPFKLNAPSSVGMCYPNLYSTGSSQRDRMASLFSSCAPNPEGVNSFGSSNRSLSTNYGSILQHTQHRWIQCDGCGVTPIVGPRYKSNAKEDYDLCDACFSHMGNETEYTRLDMPASKSNMKILGKAPAVKTDCRFIKDVTIPDGTPMAPSIPFTKIWRMCNSGSTMWPYGIQLVWVGGDHLKCLSSVGLAISANGGLNPWEETDVTVDFLAPAKPGRYISYWRLALPSGVKFGQQIWVHIQVEQPIQTNGDKQAAAMNLNQLPEANSTRPFTFDVNSAPVEPLRGWPRSCTRGPIQPLFGWPRSSCTGWLARETMKPKESEPVPNDMSSAPAAAEPFQILITEAPASSAEAASDSMPACVPAPEAIPLPNSVPTPDPVSASAPAPAAAPVSIHVPEAAPATVPLPEEIVNHLEEKMMSELEVLGFLQADLNKQVLRQNNYDLEQSVVDLCGFNEWDPLVEDISDLGSDDAEMNEVEVVDNSDEEGFIVTDLVTKAKKDQ >PAN38690 pep chromosome:PHallii_v3.1:7:37666537:37671215:-1 gene:PAHAL_7G190000 transcript:PAN38690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRPAPAGRGRVWPRIDVRDLVVKVKYGDTLKRFNAFVDGSHFDHDLPALRLKIASAFKFSPDVEFILTYTDEDGDFVMLDDDNDLRDATINQKLNPLRIDVQLKSSNVGATRTKEQSTNPKSLRSISLEDQLAQVKSAIDEALKFVPEQVPAVLAKLSHDLRSRAASSAPSLAELLDRFAKLITRSSNMHPSCGSSDGSHKLGNAKIKLESALTAGSASEPLDGQNSGISEAVLKSVLSEDPTAQIEQAPSCPSVKDSLVFTSSGGMKSDLKRSFDSEIKIKSDACSKGKSVISSVPPVSTTSHGAPAQQSVPVPYTSCGFNGMANVDMLSLFPPPPIDHPPTPMLYPPTPFFTPYNPIFGANGNTTGDLHSVFPPPPNICSPFKLNAPSSVGMCYPNLYSTGSSQRDRMASLFSSCAPNPEGVNSFGSSNRSLSTNYGSILQHTQHRWIQCDGCGVTPIVGPRYKSNAKEDYDLCDACFSHMGNETEYTRLDMPASKSNMKILGKAPAVKTDCRFIKDVTIPDGTPMAPSIPFTKIWRMCNSGSTMWPYGIQLVWVGGDHLKCLSSVGLAISANGGLNPWEETDVTVDFLAPAKPGRYISYWRLALPSGVKFGQQIWVHIQVEQPIQTNGDKQAAAMNLNQLPEANSTRPFTFDVNSAPVEPLRGWPRSCTRGPIQPLFGWPRSSCTGWLARETMKPKESEPVPNDMSSAPAAAEPFQILITEAPASSAEAASDSMPACVPAPEAIPLPNSVPTPDPVSASAPAPAAAPVSIHVPEAAPATVPLPEEIVNHLEEKMMSELEVLGFLQADLNKQVLRQNNYDLEQSVVDLCGFNEWDPLVEDISDLR >PAN39704 pep chromosome:PHallii_v3.1:7:42405739:42409305:1 gene:PAHAL_7G262500 transcript:PAN39704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFQMRFGLQISPPRSEEEEDDEGEEDEEEEYDDGMESEGTASPPMSMLRAGRGGGAGGGLVGAVVGALRRSLVMCSAGAVGDEDDDDEDSEGEGGIEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPDVPRRTPSASVSVFGVSPTSLQCSYDQRGNSVPTILLMMQRKLYIRDGLKIEGIFRINAENSEEVNVRDQLNSGVVPDEVDLHCLAGLIKAWFRELPAGVLDALTPEQVMHCNTEEDCALLASMLPPVEAALLDWSINLMADVVEHESHNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKERKEAAGAVRALQSCSGSPNDQDEPQMSEHLEKPSVLSSQKDFDFPMIDKATPVQVLGADKALHHDSQSRPNEPKFGIDMDHKKSHSDVSSLGRDLNNRVNGSGREFGNRNGEGLFDRFRFRKGVERLCRHPVFQLSRSMKKSADVVFDAPREARQAWV >PVH35597 pep chromosome:PHallii_v3.1:7:39674222:39674629:-1 gene:PAHAL_7G221500 transcript:PVH35597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHTWQFDASLSPYRFSDVHNKFTVTGCNTLAYIYADSTGMGYQSGCVSTCQNLTDLADGSCSGLGCCQPAIPKGMGYYVVGFDSGFNTSQIWNFSRCSYAVLMEVEAFNFSTAYISATKFNDTNTGRVPVVLD >PVH35734 pep chromosome:PHallii_v3.1:7:42094421:42094927:1 gene:PAHAL_7G258000 transcript:PVH35734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHGIGTVAVRLGTRAGLKEQRRNRQCRRRALRTTGGVFRRCRSQPTSEAAVPIWRHERRGIAGSTRDLRGRVQLAFPDDPSLHLNRRWRGICPCLSTNDEWDPTSHHAKQGSLCSQLWEHHALSRSFKKKKKYMHCPATAIA >PAN38328 pep chromosome:PHallii_v3.1:7:36173543:36175613:-1 gene:PAHAL_7G164700 transcript:PAN38328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPEEFFAEGLMEPSPPSPSVFLDLTPVPDPNTANKGQPSHDDLVLPYISRMLMEDDIDDKLLCQYSDHPALLQAQQPFTQILSSPSTGTNMDDTGNKDTMDQVNDLLLISSGDESTLSLALSDSEYVVGEFLKGMEVANRLLPGDNSFIKDHQMSQIFIRSKRKHMEEEVGRTSKIMMMTKVPEETGIREMLDNMMMSGHDTLIRDMEKLRIAMDNKEEKKNREGCSKATRDMVDLSTLLIRCAQAVDTNNYLIAGELLNQIKQHASTTGDATQRLAQCFSKGLQARLMGTGRQLWKLLMAERLSAMEVLKAYNLYMSACYFNKVAHIFSALTIAQVMKGKRRLHIVDYGIHCAFQWAGLVRWLAKREGGPPPEVKITAMCCCQASSFPVQWSEEQWYRLSKYASELGLTFVFEAVTTEWEKVCIESLNLDADEVVVVSDLFNLSTLKDESIFFDSPNPRDTVLSNIKKMRPNIFIQSILNFSQGSCFLSRFREMLFYYSALFDMLDVIVPRESESRLVLEQDIFGRCVLNGIACEGADLVQRPEKYRRWQLRNQRAGLRQLPLRPVVMKVLKDKVKKHHHKEFLLSEEGQWLLQGWKGRVLFAHSTWVVEDGSSE >PAN39245 pep chromosome:PHallii_v3.1:7:40277845:40280749:-1 gene:PAHAL_7G230400 transcript:PAN39245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQNGSPRDVRGEGEEEEEARSGSKSVEADREGHSKGSRDRSHRGKSKRREEEEEGSESSGEDSSERRKRRRKEKERRRRRRSRSESSGSSSESESESSYSGSSAESESGSELDSEEERRRRRRKRRKEREEEEERRRRRKEKERRKRKEKEKERERRRKEEKKKRRRRRKEEKKDLGKKGAVTNSWGKYGIIREVDMWSKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEFMEDHNTATFPSKKYYNLDAYHRKAMEKEQKKGLKTLVTERTVFNDEEQRRLELLKERERRKEEEVEALKRSMQAGLAQDMKEQARLREEMNYQYRLGNFQAAAAIQKRLDPDAPLQ >PAN39244 pep chromosome:PHallii_v3.1:7:40277310:40280882:-1 gene:PAHAL_7G230400 transcript:PAN39244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQNGSPRDVRGEGEEEEEARSGSKSVEADREGHSKGSRDRSHRGKSKRREEEEEGSESSGEDSSERRKRRRKEKERRRRRRSRSESSGSSSESESESSYSGSSAESESGSELDSEEERRRRRRKRRKEREEEEERRRRRKEKERRKRKEKEKERERRRKEEKKKRRRRRKEEKKDLGKKGAVTNSWGKYGIIREVDMWSKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEFMEDHNTATFPSKKYYNLDAYHRKAMEKEQKKGLKTLVTERTVFNDEEQRRLELLKERERRKEEEVEALKRSMQAGLAQDMKEQARLREEMNYQYRLGNFQAAAAIQKRLDPDAPLQ >PAN38747 pep chromosome:PHallii_v3.1:7:37980931:37982908:1 gene:PAHAL_7G194600 transcript:PAN38747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRCSKKLFRRSSSKSSTASSSSDGGDVGGSRGEIEWEVRPGGMLVQKRDGRGDVEVITVRVATGFSWHDVSIGATCTFGELKVVLSMATGLEPREQRLLFRGKEREDADHLHMIGVRDKDKVLLLEDPALKDMKLRAARAAQAMQSPYRPFIKV >PAN39823 pep chromosome:PHallii_v3.1:7:42896118:42897673:1 gene:PAHAL_7G271000 transcript:PAN39823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNAGEAGGQSQVHGWSSYPLSLPSQPPDIKNWFSSYEYESPEVPELGADPPIDNGSETQDPLEHPLFKHSFRDDGVALRENCLGDQSEPEVFAGKYLVPVNKSETKPAPKRKQSLRALFGAGFLDKDEEATEIERQNLLPLQRNALEPLSDCIRNLPDTKQSQEVSAEHSNLLVDCDGISSVDTQESNPADQEVECSEQSGDCDDARLTNIDIGEGCEDIIHQVELPLNFNGANLAGTEKNTQDGVEHSIRPVSRNNFSLADTKENSRLEETRRCKLVLDSRPQEIVASDGFIAVKRKEHRPDERKMNKIPRHPMGREKEKGELQENNSTLEQKALVQEQTRRPLADRTNFLEVVAAAPAQEVSKKWKCPRKGKPFVGRPLKQLHLEQWVRRMN >PVH34758 pep chromosome:PHallii_v3.1:7:5030773:5032817:-1 gene:PAHAL_7G024300 transcript:PVH34758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHGGHPLLHGGHQLQPTAGAATLAYAWDYTAGAPFDDHDAAAGGGGQLDSCALSTASELRRALVRALAELDASRAAHQAELRRMESEAARLAALVASAAAERDELRRHCHSLLLLLHHQAQATAAPPAPTPSLHAAGVLGGSGGGAAAVTDEHAPDAAACVDDTELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPAAPADIPPFNPGRQSPLKTDGSNSSSSASAGSSSPESNCSGGPPPAAAHALPSFHMSPFCM >PAN37757 pep chromosome:PHallii_v3.1:7:32024944:32031099:1 gene:PAHAL_7G119500 transcript:PAN37757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPSTAAAAAAALPRLRLRLPLPPRHCPQPLAPLRRAPHHSSPHPRLLPLAAALPPPPPEELPPSQATGLVAASQANFMRVIVDAAAPGLEHHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWADRRGMIEDVFERRSEVADPPVANVDRLVVLFSLDQPRPEPATLTRFLVEAESTGIPFVLVFNKAELVDEQTITYWQDRLKSWGYDPLFLSVDQQSGFSALEEMLEGQTTVVVGPSGVGKSSLINALRHNQNISEEDPIHKLLEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPVAGGGFLADTPGFNQPSLLKVTKQSLAETFPEIRKMLKANEPSKCLFNDCVHLGEHGCVVKGDWERYPYYLQMLDEIKIRETIQLRTFGTKREGDVRYKTGIMGVKQAEPRLELKKHRRVSRKKINQSILDEIEDDIDDLEDDYWFDAKRHSRR >PVH36029 pep chromosome:PHallii_v3.1:7:47361238:47364659:-1 gene:PAHAL_7G341200 transcript:PVH36029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPERGEAPPSNSLCEEDTAAAVASSSSSSEHKEDSSSKQSKASILSGVFTPPFTIFEGQQDSLPACEKKSPKPSSGSYAWSRILRRFVGSGSMWRLLGCTKVLTSSDVWFLGKCYKVSPEESSSNSDSESGHAAFLEDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPPEKPYNPQYIGVLHLFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLIRTNREQADAVGGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGRPGTSTYIAGVQDERALYLDPHEVQMAVNIAPDNLEADTSSYHCSVVRDLALDQIDPSLAIGFYCRDKDDFDDFCSRASELVEKANGAPLFTVVQSIEPSKQMYKQDGGLGCSGSSMANDDDLDDSGEAGEEEWQIL >PAN40792 pep chromosome:PHallii_v3.1:7:47360948:47365547:-1 gene:PAHAL_7G341200 transcript:PAN40792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPERGEAPPSNSLCEEDTAAAVASSSSSSEHKEDSSSKQSKASILSGVFTPPFTIFEGQQDSLPACEKKSPKPSSGSYAWSRILRRFVGSGSMWRLLGCTKVLTSSDVWFLGKCYKVSPEESSSNSDSESGHAAFLEDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPPEKPYNPQYIGVLHLFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLIRTNREQADAVGGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGRPGTSTYIAGVQDERALYLDPHEVQMAVNIAPDNLEADTSSYHCSVVRDLALDQIDPSLAIGFYCRDKDDFDDFCSRASELVEKANGAPLFTVVQSIEPSKQMYKQDGGLGCSGSSMANDDDLDDSGEAGEEEWQIL >PAN38456 pep chromosome:PHallii_v3.1:7:34008769:34011408:1 gene:PAHAL_7G137100 transcript:PAN38456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRERRGAGAGTEGGEEAGSSSTDGNTSSANASTSSSTAASSGARRSGGATEGRGSTPRSAATINLSQEYRLAIHTESYQEIWDKIHVDGDGRREVGGGGGSDEDEDEEEERGEEVLDRITLAGVLRPEEAVVERALGDAPDTELTRLAADYFRSTHHASLLCLSLRRALRRAGALYGPITDLLALIPHSTQLAVPHCDCAFDAFLLFDQMPNPFPAPGAGFQGMRRSFVGLKNHLDLRLLSVRRRRRWLRCAKRGSGICLIACATGAAIAGLVLATHALTALLATAPACAASSSSCCPLATSMKRLQKHMDRLDATARGTYVLNNDVDTIERLVGRLHATVESDKMLVRLGLDCGRGQHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVHHLNAQSDPESPSS >PAN37964 pep chromosome:PHallii_v3.1:7:34008768:34011460:1 gene:PAHAL_7G137100 transcript:PAN37964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRERRGAGAGTEGGEEAGSSSTDGNTSSANASTSSSTAASSGARRSGGATEGRGSTPRSAATINLSQEYRLAIHTESYQEIWDKIHVDGDGRREVGGGGGSDEDEDEEEERGEEVLDRITLAGVLRPEEAVVERALGDAPDTELTRLAADYFRSTHHASLLCLSLRRALRRAGALYGPITDLLALIPHSTQLAVPHCDCAFDAFLLFDQMPNPFPAPGAGFQGMRRSFVGLKNHLDLRLLSVRRRRRWLRCAKRGSGICLIACATGAAIAGLVLATHALTALLATAPACAASSSSCCPLATSMKRLQKHMDRLDATARGTYVLNNDVDTIERLVGRLHATVESDKMLVRLGLDCGRGQHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVHHLNAQSDPESPSS >PVH35322 pep chromosome:PHallii_v3.1:7:35119925:35128190:1 gene:PAHAL_7G149900 transcript:PVH35322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGAGVICLFPPVHACRLQLLQRINTVRLPAKPPNSHPAPASPASFRSPVVSSRLPSPPGAEASPAPSSAKPTLGGAASQELFREARMAATSAPHASAHANGNGTHAGMAAQVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEHDISFLQSVMPMCEGEFFDYLREVDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAFISSYMSLDEIPDKALRSKDGSRVCQDFVSLVQEWLQKIQAADSLGGVFGDTNQSELAAFVSYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRAVEEEFNVPGFGKMVITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYSQAALGCVFKLVEINSKPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFIESKRAYVVPQHVEELLQCYWPGRSDKPRAELPSLDKIRSRCMQQLEKLRPDHTRRLNPTPYKVSVSAKLYDFIHCLWLNEAPVGELQ >PVH35321 pep chromosome:PHallii_v3.1:7:35119925:35128190:1 gene:PAHAL_7G149900 transcript:PVH35321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGAGVICLFPPVHACRLQLLQRINTVRLPAKPPNSHPAPASPASFRSPVVSSRLPSPPGAEASPAPSSAKPTLGGAASQELFREARMAATSAPHASAHANGNGTHAGMAAQVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEHDISFLQSVMPMCEGEFFDYLREVDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAFISSYMSLDEIPDKALRSKDGSRVCQDFVSLVQEWLQKIQAADSLGGVFGDTNQSELAAFVSYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRAVEEEFNVPGFGKMVITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYSQAALGCVFKLVEINSKPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFIESKRAYVVPQHVEELLQCYWPGRSDKPRAELPSLDKIRSRCMQQLEKLRPDHTRRLNPTPYKVSVSAKLYDFIHCLWLNEAPVGELQ >PVH35028 pep chromosome:PHallii_v3.1:7:26152284:26152547:-1 gene:PAHAL_7G088800 transcript:PVH35028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASQAVPHRALWFRTNGAMMQEWQWGRLRWGRPVVLLQQPHMEHIVDAGAGRKLQPNGDLVDEFDDAVRPEETRLQLAAHRLRER >PVH35231 pep chromosome:PHallii_v3.1:7:33491750:33494648:1 gene:PAHAL_7G132800 transcript:PVH35231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MPSAPDVVSYNALVHAYVNAGRVGVARELFEGMPVRDAVSWGTVVSGCAKAGWLEEAVGLFDRMREENFRPDDVTLAAVLSCCAQLGALDKGQEVHEYVRQTRPHPNVFLCTGLVDLYAKCGRVEAAKEVFHACPERNVFTCNALIVGLAMHGHGTVALEYFHQMLADGIQPDGVTFLGVLIACSHTGLVDMARRIFSDMEDMHDVPRELKHYGCMADLLGRAGLIEEAMDMIRKMPMEGDSYVWGGILAGCRMHRNVEAAEVAARHLLQLNPDDSGVYSAMAGIYADAGRWEDVARIRKLMDERISRRNAGCSSITTELKYGAPILS >PVH35232 pep chromosome:PHallii_v3.1:7:33491750:33494648:1 gene:PAHAL_7G132800 transcript:PVH35232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MPSAPDVVSYNALVHAYVNAGRVGVARELFEGMPVRDAVSWGTVVSGCAKAGWLEEAVGLFDRMREENFRPDDVTLAAVLSCCAQLGALDKGQEVHEYVRQTRPHPNVFLCTGLVDLYAKCGRVEAAKEVFHACPERNVFTCNALIVGLAMHGHGTVALEYFHQMLADGIQPDGVTFLGVLIACSHTGLVDMARRIFSDMEDMHDVPRELKHYGCMADLLGRAGLIEEAMDMIRKMPMEGDSYVWGGILAGCRMHRNVEAAEVAARHLLQLNPDDSGVYSAMAGIYADAGRWEDVARIRKLMDERISRRNAGCSSITTELKYGAPILS >PVH35227 pep chromosome:PHallii_v3.1:7:33491750:33494648:1 gene:PAHAL_7G132800 transcript:PVH35227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MPSAPDVVSYNALVHAYVNAGRVGVARELFEGMPVRDAVSWGTVVSGCAKAGWLEEAVGLFDRMREENFRPDDVTLAAVLSCCAQLGALDKGQEVHEYVRQTRPHPNVFLCTGLVDLYAKCGRVEAAKEVFHACPERNVFTCNALIVGLAMHGHGTVALEYFHQMLADGIQPDGVTFLGVLIACSHTGLVDMARRIFSDMEDMHDVPRELKHYGCMADLLGRAGLIEEAMDMIRKMPMEGDSYVWGGILAGCRMHRNVEAAEVAARHLLQLNPDDSGVYSAMAGIYADAGRWEDVARIRKLMDERISRRNAGCSSITTELKYGAPILS >PVH35230 pep chromosome:PHallii_v3.1:7:33491750:33494648:1 gene:PAHAL_7G132800 transcript:PVH35230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MPSAPDVVSYNALVHAYVNAGRVGVARELFEGMPVRDAVSWGTVVSGCAKAGWLEEAVGLFDRMREENFRPDDVTLAAVLSCCAQLGALDKGQEVHEYVRQTRPHPNVFLCTGLVDLYAKCGRVEAAKEVFHACPERNVFTCNALIVGLAMHGHGTVALEYFHQMLADGIQPDGVTFLGVLIACSHTGLVDMARRIFSDMEDMHDVPRELKHYGCMADLLGRAGLIEEAMDMIRKMPMEGDSYVWGGILAGCRMHRNVEAAEVAARHLLQLNPDDSGVYSAMAGIYADAGRWEDVARIRKLMDERISRRNAGCSSITTELKYGAPILS >PVH35226 pep chromosome:PHallii_v3.1:7:33491750:33494648:1 gene:PAHAL_7G132800 transcript:PVH35226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MPSAPDVVSYNALVHAYVNAGRVGVARELFEGMPVRDAVSWGTVVSGCAKAGWLEEAVGLFDRMREENFRPDDVTLAAVLSCCAQLGALDKGQEVHEYVRQTRPHPNVFLCTGLVDLYAKCGRVEAAKEVFHACPERNVFTCNALIVGLAMHGHGTVALEYFHQMLADGIQPDGVTFLGVLIACSHTGLVDMARRIFSDMEDMHDVPRELKHYGCMADLLGRAGLIEEAMDMIRKMPMEGDSYVWGGILAGCRMHRNVEAAEVAARHLLQLNPDDSGVYSAMAGIYADAGRWEDVARIRKLMDERISRRNAGCSSITTELKYGAPILS >PVH35228 pep chromosome:PHallii_v3.1:7:33491750:33495034:1 gene:PAHAL_7G132800 transcript:PVH35228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MPSAPDVVSYNALVHAYVNAGRVGVARELFEGMPVRDAVSWGTVVSGCAKAGWLEEAVGLFDRMREENFRPDDVTLAAVLSCCAQLGALDKGQEVHEYVRQTRPHPNVFLCTGLVDLYAKCGRVEAAKEVFHACPERNVFTCNALIVGLAMHGHGTVALEYFHQMLADGIQPDGVTFLGVLIACSHTGLVDMARRIFSDMEDMHDVPRELKHYGCMADLLGRAGLIEEAMDMIRKMPMEGDSYVWGGILAGCRMHRNVEAAEVAARHLLQLNPDDSGVYSAMAGIYADAGRWEDVARIRKLMDERISRRNAGCSSITTELKYGAPILS >PVH35229 pep chromosome:PHallii_v3.1:7:33491750:33495034:1 gene:PAHAL_7G132800 transcript:PVH35229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MPSAPDVVSYNALVHAYVNAGRVGVARELFEGMPVRDAVSWGTVVSGCAKAGWLEEAVGLFDRMREENFRPDDVTLAAVLSCCAQLGALDKGQEVHEYVRQTRPHPNVFLCTGLVDLYAKCGRVEAAKEVFHACPERNVFTCNALIVGLAMHGHGTVALEYFHQMLADGIQPDGVTFLGVLIACSHTGLVDMARRIFSDMEDMHDVPRELKHYGCMADLLGRAGLIEEAMDMIRKMPMEGDSYVWGGILAGCRMHRNVEAAEVAARHLLQLNPDDSGVYSAMAGIYADAGRWEDVARIRKLMDERISRRNAGCSSITTELKYGAPILS >PAN38645 pep chromosome:PHallii_v3.1:7:37488123:37494349:1 gene:PAHAL_7G186600 transcript:PAN38645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASHVCSVLASLVLLWLGIAAAQEASSWKTLSGKAPAIVAKGGFSGLFPDSSEDAYRFVQYASSPDTILYCDVRLTKDEFGICLPDIKMDNCTNIADIYAQGQKSYLVNGVPTSGWFSVDYNITELGQVTLVRSISSRTPRFDSNSYPILAVEDVQSKFKPPGIWLNVQHDRFYSHFNLSMRNYIISVSKRVVVNYISSPEVTFLTSVHGRVSNKTKLVFRFLDESTLEPSMNKTYGSVLKNLTFVKTFASGILVPKSYIWLTSSDNYLQPYTSVVDDAHKAGLEIYAADFANDFMLSYNHSYDPLAEYLSFIDNGVFSVDGVLSDFPVTPSEAIGCFANLNKSNTDHGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGVLVCMSSVNLMDDTTVARSQFASQTAVIKDIQSVRGVFTFNLTWDDIVKNLRPKISTPFTTYRLDRNPRYRNAGNFMRLSDFLDFTKDKDLSGIMISIEYAAFVAEELGFDMVETVIKALGDAGYNNQTAQKVMIQSTNSSVLEKFKQQMKYDLVYMINEEVRDAAPSSLTDIKKFASAVSVDTGSVFPESHHFTMYKTNLVQTLQNAGLSVYVYTLMNEFASQAYDFFSDATVQINWYVTAAGVDGLITEFPATARRYKSNNCMNMGNSTPVFMDPARAGDLMQTIMMKTAQPPALAPMPLLTDSDVAEPPLPPARSNSSTSPTHSGATRMHAHATHILVLVILPMLFAWCSLV >PVH35008 pep chromosome:PHallii_v3.1:7:24858594:24860099:1 gene:PAHAL_7G084800 transcript:PVH35008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKSKREYCQNLPQHFADFEVLRKIWTQPLLSEINWFWGREYTYLSKQDPLLIHGNRYMSEQRWVVPLRAQGRRPNTGYEGETERPGATGRAGKLVLAARPSFVKLHQLC >PAN39449 pep chromosome:PHallii_v3.1:7:41120829:41121986:1 gene:PAHAL_7G243500 transcript:PAN39449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDDTHEPASPTSASSSSSSSSGPSSSQAPKKRARQDGRRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRGAHLNFPEQAHLLPRPASASPKDVQAAATLAAAADFPAPPPPPSTSRGGANAKSPESSSSDDASREPAPQDAGPDPDETLFDLPDLLMDLRCCGPSSWAVDDDVAGGGAFRLIEEPLLWEY >PAN39313 pep chromosome:PHallii_v3.1:7:40558043:40563419:-1 gene:PAHAL_7G235100 transcript:PAN39313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAWLCGFVSLLAVAAAVADGEWEPLIRMPTEDGGDAAAAAAPAAEDEVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVEEENIVVFMYDDIAHNILNPRPGIIINHPKGENVYTGVPKDYTGDQVTTENFFAVLLGNKSAITGGSKKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAGDFINVLKKKHASNSYSKMVIYVEACESGSIFEGLMPQDLNIYVTTAANPVENSWGTYCPGMDPSPPPEYITCLGDLYSVSWMEDSQTHNLMKETIKDQYEVVKTRTSNSNKYKEGSHVMEYGDKTFKNEKLFLYQGFDPANANIANTLLWPGPKGAVNQRDADLLFMWKRYEQLNGGSEEKLMALREIKETVQHRKHLDSSIDFIGRLIFGFENGPKMLEAVRASGEPLVDDWDCLKRMVRIFESQCGSLTQYGMKSMRAFANICNSGISEAKMRESSISACGGYNPARWSPLAQGHSA >PAN40229 pep chromosome:PHallii_v3.1:7:44816569:44821306:-1 gene:PAHAL_7G300900 transcript:PAN40229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIGASPCDLDREFAPQIAQLLATPPIQSALEYYDELIRSQKHDGVRVSYSGKHGKGVCANRDFAEDDVVLMDQMLVGAQHSLNKIDCVVCSYCFRFIGSIEFQIGRRLYLHSIGASTGSTSDRHCHGSDVGSSTGCSGSTNGNSNAVPQEVIMSLMDGDTSLPFTDQFCLPSIVACPGGCEGELYCSQSCADSDWDSYHSLLCTGSKTEPSRRSALQKFVEHANGTNDIFLVAAKAITFTLLRYKKLQRHPASHESSFPLLMEAWKPLSMGFKKRWWECVALPEDIDSSEEDSFRQQMRDLAFVSLQLLKDAIFDPECAPLFSLDVYGHIIGMFELNNLDLVVASPVEDYFIHIDDLPGSEKEEAEKVTRPFLGALGEDYSVPCEGTAFFPLQSCMNHSCCPNAKAFKRDEDKDGHAVILALKPISKGEEITISYIDEDLSYEERQVQLADYGFTCACSKCQEELLI >PVH35108 pep chromosome:PHallii_v3.1:7:30049049:30050286:1 gene:PAHAL_7G106500 transcript:PVH35108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKTMAPRLALGVAAACCLVLVLLSSWVGTAAAAGKTGRITVYWGQTASEGSLRKACESNLYSTVILSFLTRFGGGRYKLDLTGHPWGAVGPDVKYCQSRNVLVLLAIGGGFGDYSLASKADAKAVADHIWDVYLGGRSKQTRPFGNAVLDGVDFDIEHGGSKHYDDLARYLKAYSNKGKKKVWVTAAPQCPFPDRMLGQALRTGLFDRVHVQFYNNPACSYRAGNKEAFTRAWRTWTSSLPRSSVYLGLPAAPRAAGSGYVPPATLVAKVLPIVRRSRNYGGVMLWSRYWDMQTGYSRAVKHAV >PAN36884 pep chromosome:PHallii_v3.1:7:1750459:1755876:1 gene:PAHAL_7G008500 transcript:PAN36884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAAGTKWIHHIQRLSAAKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKAVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNNYSHASSFARIMEGGYARRLLQVGLRSITKEGRDQGKRFGVEQYEMRTFSKDQEKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >PAN37020 pep chromosome:PHallii_v3.1:7:16304053:16304433:-1 gene:PAHAL_7G054700 transcript:PAN37020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFPAFLALLTTMTLLFSVAIHGCQPSCSNPATPPPPPPAVPTPSGATCPINTADLSVCVDLLGWNYVSSQQCCTLLQGLANTDAALCVCGVIKVLGVVIPVNVDVLLNECGKTCPPGSFTCPL >PAN36850 pep chromosome:PHallii_v3.1:7:1122674:1123375:1 gene:PAHAL_7G005100 transcript:PAN36850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIPPSFPASRRGKSAAAPTITISSGSDVSVRRTPVINVSSSSSDDEVTSRPRSAARRATPPSSVSVVRSPRASSNRGGGGKGDEATSQPRRAARRPSSPASPAVSDRSSDSGGSRSEATSSQPKKKNKWCLDDERLILDTMAFLRMGKGSYNKVPKAPEVLRHLVTLRRRGVDVRQLSDKISQLKVKFKKTVAKAAANGGKLRRRTRHRHKVLYEISQQVWPHLYQDAGL >PAN40042 pep chromosome:PHallii_v3.1:7:44031169:44033918:1 gene:PAHAL_7G287500 transcript:PAN40042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKAVSKQLRKRQKCIVVPIGGLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDTERRYVREYVVDLVVEPGSISCPDSSINGQLLSIVPSPFKTSCTVGSGNYTTPVTAWNQKIADERRNTIISNSQYSVDRCCVVEENSVQVASKEGMLPKIAQNGNCNGISMLDLAAQLKAIDISAENGNKENIPGVTLPKSLSIEPSFAVDWLEISWEELELKERVGAGSFGTVYRADWHGSDVAVKVLMDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAANGEMLDLKRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTSPELAALVESCWDDDPRQRPSFSSIVDTLKKSLKALLGGS >PAN40041 pep chromosome:PHallii_v3.1:7:44029154:44034451:1 gene:PAHAL_7G287500 transcript:PAN40041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRRRALNPTLPPPPPAAAAAFHLAADDSRLPLLAADYALLQSAAAAAATASSFGDAPAAPASAEWSAGSAFTASSDAATTTASSTATAPGSARLTAAEEEAGGRDTWVRRAREGYYLQLSLAIRLTSQAFLAGAPAPPELLLGCGPGDAGDGANDPEAVSYRLWVNGCLSWGDKIAHGFYNIMGIDPHLWAMCSAAEEGRRLPALAALRAVDASESSLEVVLVDKGADSVLLDLERRALDLVRALGVTLDLVRRLAVLVSDHMGGALRSEDGDLYMRWKAVSKQLRKRQKCIVVPIGGLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDTERRYVREYVVDLVVEPGSISCPDSSINGQLLSIVPSPFKTSCTVGSGNYTTPVTAWNQKIADERRNTIISNSQYSVDRCCVVEENSVQVASKEGMLPKIAQNGNCNGISMLDLAAQLKAIDISAENGNKENIPGVTLPKSLSIEPSFAVDWLEISWEELELKERVGAGSFGTVYRADWHGSDVAVKVLMDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAANGEMLDLKRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKIQGEHLHIIQISCWNTRMDGTRISPRRAIQREV >PAN40040 pep chromosome:PHallii_v3.1:7:44029102:44034880:1 gene:PAHAL_7G287500 transcript:PAN40040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRRRALNPTLPPPPPAAAAAFHLAADDSRLPLLAADYALLQSAAAAAATASSFGDAPAAPASAEWSAGSAFTASSDAATTTASSTATAPGSARLTAAEEEAGGRDTWVRRAREGYYLQLSLAIRLTSQAFLAGAPAPPELLLGCGPGDAGDGANDPEAVSYRLWVNGCLSWGDKIAHGFYNIMGIDPHLWAMCSAAEEGRRLPALAALRAVDASESSLEVVLVDKGADSVLLDLERRALDLVRALGVTLDLVRRLAVLVSDHMGGALRSEDGDLYMRWKAVSKQLRKRQKCIVVPIGGLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDTERRYVREYVVDLVVEPGSISCPDSSINGQLLSIVPSPFKTSCTVGSGNYTTPVTAWNQKIADERRNTIISNSQYSVDRCCVVEENSVQVASKEGMLPKIAQNGNCNGISMLDLAAQLKAIDISAENGNKENIPGVTLPKSLSIEPSFAVDWLEISWEELELKERVGAGSFGTVYRADWHGSDVAVKVLMDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAANGEMLDLKRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTSPELAALVESCWDDDPRQRPSFSSIVDTLKKSLKALLGGS >PAN40778 pep chromosome:PHallii_v3.1:7:47224134:47225912:1 gene:PAHAL_7G339000 transcript:PAN40778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGARKTMEWPPPSTSPSLVVRSPRQTVSLIRNRRPHRDWDQSSRSPSFAARDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPVLRSLGITYYPSKYWALAVPSFVIVAVALSMAIYMGLNFVATPPPTSFSTIFDENSRERMTFSPAMEEEMPIEPISDISIDHINDLMFGGG >PAN38445 pep chromosome:PHallii_v3.1:7:36642994:36644104:1 gene:PAHAL_7G172600 transcript:PAN38445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDARSLPAVSVAPVVLDFVGDDARPWSRNGAPPGRAAASVDVPALWSDEGRMKRELVAWAKAVASMAVRESMQG >PVH35178 pep chromosome:PHallii_v3.1:7:32087102:32088240:-1 gene:PAHAL_7G120000 transcript:PVH35178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKASSFFKQMVSTIVAVVKAKSTAVRVKTSALKTRLLIFGILRNKKLLVAAINHKIHAIMGQQQQEADKDPQGAIGGDGNDDGSKKAIVLYTAPSYSFSAELGEHEVEAAREQEDSDDYLTHSLFAEEDEEDELVNAPGSVIDVMRDAREREAAGGGAEFRLEDEIDHVADVFIRRIHRQLKLQKLDSFKRFCEMLERSA >PAN37792 pep chromosome:PHallii_v3.1:7:32460300:32461248:-1 gene:PAHAL_7G122700 transcript:PAN37792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAALKGKSAASSSAEQSGEAAAAAADGPDDHQLQPGILPLLGKPYFACIMCKSHVHPPFQVVVPRSLAPFLPAATAPATLSWRGRSWGMRFTGGRQIQRLEAGWRGFALDAGLRLGDACVLELVDGGPERVVFRAQVLRADIPAAIRGRAGGDTSASPILID >PAN37760 pep chromosome:PHallii_v3.1:7:32041192:32043214:1 gene:PAHAL_7G119600 transcript:PAN37760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHELLQLLHLPPQDGQDHLSVISSGFFDVHGNAHFPSSGCALFGTVAAESGLDDDCSWVEDLMQLGDKLFGDGAGPGCEDNAAGTDEGCQQQPWQCNGGSSDDPPPSMSLDGDGNPHSVEQGAGELASEPHRDDGDDASPVTRKRRDRSKTIVSERKRRVRMKEKLYELRSLVPNITKMDKASIIADAVVYVKNLQAHARKLKEEVAALEARPRSPRQEQQHNRRAGAAAAGRRRQQERDEDSAGSTASGARLAHVGAVQVGEGRFFVTVECERRDGVAAPLCAAVESLACFRVESSSLVRSGPDRLVSTLTLKVNEQVGDAAVCEGSVVKLLVMAALLKEGFRPEATVEMS >PVH35205 pep chromosome:PHallii_v3.1:7:32821497:32824645:1 gene:PAHAL_7G126900 transcript:PVH35205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFPYLQRLEIECFNFGTMNEHGFGEWDPVDDAVLCLKKHLQDVLFKGYCGTVGEVKFARYLVARAEVLISMEINHSVDWSQDEISHQKDLICIRGKASLFAQLYFTKSMVSDDARRKKATFLNSVSMI >PAN39489 pep chromosome:PHallii_v3.1:7:41297131:41297829:1 gene:PAHAL_7G246800 transcript:PAN39489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASIALFLAVNMVVFAMASACGGHCPPSTPSTPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPIDLSLILNHCGKTVPTGFKCL >PVH34746 pep chromosome:PHallii_v3.1:7:4337666:4338803:1 gene:PAHAL_7G021600 transcript:PVH34746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIQTTSPKTSSRIPSCTQSCLWLRPPLELRMAQDSDDSLFVASVVMWVFVVILAIVTFHCPLPRRVVR >PAN38965 pep chromosome:PHallii_v3.1:7:38902676:38908900:-1 gene:PAHAL_7G209800 transcript:PAN38965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACSRKRGQLVHEDDLYSARFSKSGSFKWLLHTLPRSNSADVHRRARGPALGRCPSLVELCVAKVREDMNSYSDFSLLPRDLSQQIFNELVECGGLTEASLGAFRDCDLQDICLGEYPGVTDVWMEVVASQGQSLLSVDISCSDVTDSGLSLLKDCSSMQSLACDYCDQISEHGLKTLSGFLNLTSLSIKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLAHLNLEGCAVTAACLEVVSGLASLVLLNLSRCGICDEGCENLEGLVKLKALNLGFNHITDACLIHLKDLINLECLNLDSCKIGDEGLFHLKGLMQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNLDNRQITDTGLAALTSLTGLTHLDLFGARITDAGTNCFRFFKNLQSLEVCGGMITDAGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTALVSLNVSNSRVSNSGLHHLTPLQNLRSLSLESCRVTAAEIKKLRLAALPNLITVRPE >PAN39910 pep chromosome:PHallii_v3.1:7:43360454:43364666:-1 gene:PAHAL_7G278200 transcript:PAN39910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPFASLAPAADHRPSSLLPFCRAAPLSAVGEADAQQHHHHQHHAMSGGRWAARPAPFTAAQYEELEHQALIYKYLVAGVPVPPDLLLPIRRGFVYHQPALGYGPYFGKKVDPEPGRCRRTDGKKWRCAKEAAPDSKYCERHMHRGRNRSRKPVEAQLVASPHAQQQPPAVTSGFQNQSPYPAVLAGNGVRAGGGGGGVGTGTFGLGSTAQLHMDSAAAYATAAGGGSKDLRHSAYGVRSLSEYEHSQLMPAAMDTSMDNSWRLLPSQTPTFQATSYPLFGTLSGLDESTIASLPKTQREPLSFFGSDFVTVKQESQTLRPFFDEWPKARDSWPELGDDNSLASFSATQLSISIPMATSDFSNTSSRSPSGIPSR >PVH35826 pep chromosome:PHallii_v3.1:7:43994588:43996727:-1 gene:PAHAL_7G286900 transcript:PVH35826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLIHANYSVLQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRISEHAERHGLGVVECFVGHGVGRVFHSEPIIYHQRNNKPGQMVEGQTFTIEPILSMGSIDCDMWDDGWTAVTTDGSVAAQFEHTILITRTGAEILTKC >PVH35827 pep chromosome:PHallii_v3.1:7:43994588:43997722:-1 gene:PAHAL_7G286900 transcript:PVH35827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVPSMELHRPAPLLSGGRGRTCLQKKVFLVQAKRLGGLEKASTRSRESEQPKKRAPLIRGTISPPLPVPGSIPRPPYVGTKDVPEIAKEIQMHDKESIVHMRAACELAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRISEHAERHGLGVVECFVGHGVGRVFHSEPIIYHQRNNKPGQMVEGQTFTIEPILSMGSIDCDMWDDGWTAVTTDGSVAAQFEHTILITRTGAEILTKC >PVH35829 pep chromosome:PHallii_v3.1:7:43994010:43997846:-1 gene:PAHAL_7G286900 transcript:PVH35829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRISEHAERHGLGVVECFVGHGVGRVFHSEPIIYHQRNNKPGQMVEGQTFTIEPILSMGSIDCDMWDDGWTAVTTDGSVAAQFEHTILITRTGAEILTKC >PVH35828 pep chromosome:PHallii_v3.1:7:43994010:43997846:-1 gene:PAHAL_7G286900 transcript:PVH35828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEEGVPCPSKEIGGFGESKYEPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRISEHAERHGLGVVECFVGHGVGRVFHSEPIIYHQRNNKPGQMVEGQTFTIEPILSMGSIDCDMWDDGWTAVTTDGSVAAQFEHTILITRTGAEILTKC >PAN36972 pep chromosome:PHallii_v3.1:7:4967298:4973105:1 gene:PAHAL_7G024000 transcript:PAN36972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAAACRTAGRAGLAAAALLLVLVVVAAGPSGRVLHGLRHRSAAAGGARRWLRDSSWPTAAAATPRADGDDDGPAMAVPGAVEDPEAVVDDVHMSIRNSTARRNLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPRKGTLRYAVIQDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDAVSIFGASHIWVDHCSLANCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTVWKSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGSITSDAGALSCRKGAAC >PAN36970 pep chromosome:PHallii_v3.1:7:4968609:4973105:1 gene:PAHAL_7G024000 transcript:PAN36970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVLAGAFQLHVLLLLVGGSGRLILSISLHLDLCSLSGRADGDDDGPAMAVPGAVEDPEAVVDDVHMSIRNSTARRNLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPRKGTLRYAVIQDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDAVSIFGASHIWVDHCSLANCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTVWKSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGSITSDAGALSCRKGAAC >PVH35073 pep chromosome:PHallii_v3.1:7:28733817:28734986:1 gene:PAHAL_7G099500 transcript:PVH35073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSVFRRVNVKELISNASVYASATESSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGDYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPMAGHVLHPVYASGSTTAADLDAQL >PVH34678 pep chromosome:PHallii_v3.1:7:1269713:1270132:-1 gene:PAHAL_7G005600 transcript:PVH34678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQQRRSTMGPVMGVTRLMGYSPGVPPPVIALVRVSFDLLLLLMDRISQMKLRRLCDEVEVAARTGRKEKPHNHSQMPDLNP >PAN40638 pep chromosome:PHallii_v3.1:7:46680663:46682475:1 gene:PAHAL_7G329900 transcript:PAN40638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKVVLKLDLHDNKDKQRVLKAVSTLHGIDSISVDMKDSKLTVVGLADPVDVVAKLRKVGAAHILSVGPAKEEKKDDKKDGDKKDGDKKDGDKNKVMVCPPPWYAAQYPHYYPHYVVHSAEEDPNSCVIC >PVH34711 pep chromosome:PHallii_v3.1:7:2524771:2526376:-1 gene:PAHAL_7G013300 transcript:PVH34711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVVVTKSSPVLVVPSESETAAAPVIAADTVALSSFDLWMLPFPMKLLLAFDRPIHEPVETIKRGLSRALAHYRPAAGRLDGRGGIACTGEGVAFVGASAGCALDEAVATLPQMDLTARCPGVLCHDADPLLLLQVTEFSCGGFAVGVTWNHVLADGAGIGQFLQAVGELARGVSPPSVVPVRRWDDSVPGLPSSMVAAKKSTVDDNGTQYLVRHDIAVPLTLIGRIKAETGCTSFEAVAAVIWRCRTRAAMSPGETTAPLSFPCNARALVGATAGYYGNCIVGQTVPATSGAVASSSLADLARLIRRAKEKVPDLLSGSSDGEAAAPDGGAEVQQLEWYNGLAVVSWLNLGFEAADFGGGGAARVMWHEERTLLPGCMVCPPCRGDAMNVSSLCVKPEHADAFLGELARLTTAT >PAN39969 pep chromosome:PHallii_v3.1:7:43694061:43694678:-1 gene:PAHAL_7G282800 transcript:PAN39969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAVRALCGAEKERVVGTRKAPGECPRCGGAVVATDVESERRILGLPLCVRSKRKYSCTRCLRRLVTLYS >PVH35356 pep chromosome:PHallii_v3.1:7:35982103:35982578:1 gene:PAHAL_7G161200 transcript:PVH35356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARIVASEFRVADGGTRWRGRRRPARIRPCPSVVTYVRGTARAVAPCARRLGRARSREGGRVVEVESTLKRRRNGRDGAIFTPPGSCAATASDADRRTYERVRQPAAAGPPWGERGEIASESPDHRRRADREKDPAI >PAN40027 pep chromosome:PHallii_v3.1:7:43950975:43965667:-1 gene:PAHAL_7G286200 transcript:PAN40027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSSPSPAAAPVQVRCAGCRGVLAVGPGMTEFICPKCHMAQRLPPQLMPKSTSSSSSSPPPKPPAKPSLPPSTQPRRGAPPAQGVDPTKIQLPCAHCQAVLNVPHGLARFRCPQCGVDLAVDHAKLQNFLASSNSAPPSGLAPASGPTTQVPPVPFLQILQPGVAQPLQLVAGATIPMVLPAAEPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLSHPDPVVETSSLSAVQPPEPTYNLNIMDELDETKALSCLQIETIVYACQRHLHHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGHEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGEGTSFHNFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFYIVEAPLEERMMNMYRKAAEFWAELRLELLSASELIAEEKGNSNQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKFTEIQRKRHSAQDVSFKGRVRKVAKMVDVSDDDTDDYSPSESDHESTESDEEFHMCQICNTEEEKSLLLHCSSCSRHVHPNCLTPPWTGMLTDDWACYTCKIVEDEEIEQDAHVADFSKRYDAAVEKKLKILDIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRALDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQDSFPVVPPGCSDDEASIQAFIDEAKAALISVGIIRDAVVCNGKDGGKFSGRIVDSDMHDVARFLNRLLGLDPNIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKKIEMKESPKTVHTDSLSGALTVLFTFTIDRGRTWESAKAMLEERQKDGAGSSNDGFYESRREWMGRRHFILAFEGSTEGMYKIIRPAIGDALREMPSTELKSKYRKVSSIDKVSKGWQEEYDTSSKQCMHGSKCKIGSYCTIGRRLQEVNILGGLILPVWGAIEKALAKQVRQIHKRIRVVRLVTTNDSQRIVGLLIPLSHNITKLQIDFSHCLSFA >PAN40028 pep chromosome:PHallii_v3.1:7:43949560:43965791:-1 gene:PAHAL_7G286200 transcript:PAN40028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSSPSPAAAPVQVRCAGCRGVLAVGPGMTEFICPKCHMAQRLPPQLMPKSTSSSSSSPPPKPPAKPSLPPSTQPRRGAPPAQGVDPTKIQLPCAHCQAVLNVPHGLARFRCPQCGVDLAVDHAKLQNFLASSNSAPPSGLAPASGPTTQVPPVPFLQILQPGVAQPLQLVAGATIPMVLPAAEPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLSHPDPVVETSSLSAVQPPEPTYNLNIMDELDETKALSCLQIETIVYACQRHLHHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGHEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGEGTSFHNFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFYIVEAPLEERMMNMYRKAAEFWAELRLELLSASELIAEEKGNSNQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKFTEIQRKRHSAQDVSFKGRVRKVAKMVDVSDDDTDDYSPSESDHESTESDEEFHMCQICNTEEEKSLLLHCSSCSRHVHPNCLTPPWTGMLTDDWACYTCKIVEDEEIEQDAHVADFSKRYDAAVEKKLKILDIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRALDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQDSFPVVPPGCSDDEASIQAFIDEAKAALISVGIIRDAVVCNGKDGGKFSGRIVDSDMHDVARFLNRLLGLDPNIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKKIEMKESPKTVHTDSLSGALTVLFTFTIDRGRTWESAKAMLEERQKDGAGSSNDGFYESRREWMGRRHFILAFEGSTEGMYKIIRPAIGDALREMPSTELKSKYRKVSSIDKVSKGWQEEYDTSSKQCMHGSKCKIGSYCTIGRRLQEVNILGGLILPVWGAIEKALAKQVRQIHKRIRVVRLVTTNDSQRIVGLLIPNSAVESVLTGLQWVQDIDD >PAN38895 pep chromosome:PHallii_v3.1:7:38589109:38593305:-1 gene:PAHAL_7G205400 transcript:PAN38895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MAFSSPAPFSPPSGTFRPHPAGRLRAAAPGRVVACSPPPPDVVVTRERGKNAKLIAALEKHNIHSLELPLIKHVEGPDTDRLSDILRDDKFDWIIITSPEAAAVFLQGWKAAGSPKVRVAVVGAGTARVFDEVSQSDDRSLEVAFSPSKAMGKVLASELPKSSENTCKVLYPASAKAGHEIQDGLSERGFDVMRLNTYTTVPVEDVEPLTLNLAISAPVVAVASPSALRAWLKLISKVDNWNNSIACIGETTGSAAKKLGLKSIYYPTTPGLEGWVESILEALRVHGQLKEAPKC >PVH35731 pep chromosome:PHallii_v3.1:7:42055093:42070764:-1 gene:PAHAL_7G257500 transcript:PVH35731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASMLEVYRRDRRRLLGFLLSAGGLGGRALDLSRVDLDAVSADYALECVAAGAQFDASEATRRYFDERRYPIMIGSPSGNSYFLLSGPQLSDSPPKEAAPSIGPQAPPPRENSTSAGQSRDFFRDAINTSGTGYVTKDDNLADIPPQQVKKVDILSLGLPRLSTELSDDDIRETAYEVLLASLFVSGKVHFSEEKREKKRKFLKGLRTKTEGSNSSPQVEDGYAHILDLIRVQMEISESMDALTKRALRHINLKMVKGQLDVPCISLQLLSSVGKLDFPTERLRVQWQKRQANVLEELLLFSTSLEYDMSETLRIVLSKLKDTEDWVVSVPEGRVEVLTIIGRYNAKLSALTKKFDLKDETYHWTHNYHLNFRLYEKLLCSVFDILEDGQLVEEADEILETAKLTWPILGITEKLHGIFYAWVLFQKFAQTGEILLLKHASLQIQKFLLHHDIEEAELYTNSFVCSEDACGGDRALSLVDSALLKINVWCRRQLENYHAHFSKKNYSIFEDTLNLALLLVKTHIEDGCEEDIMLIESPEGSTPESKLVHLLVVRSIHAAYKQALISSDGRSEMEFKHPLTILANELKLVAEKECTVFSPILTKCYPEAQGVALIFLHMLYGKQLELFLERTDHLENSKEILAASNNFELCIAQKLYSVYGEAGSSFSNYLKPYMIGRLSSPLILQWLHAQHENVLEWTKRTIGIEDWMPLSAHEKQATSVVEVFRIVEETVDQFFSASLPLDIVHLRSLLIGITSSLQVYLLHMENQQVSGSTLLPSAPVLTRYSESMNPFAKRKLIEPTVPEEKVTTKLNNLTVPKLCVKLNTLQFIRDQLDVIEEGIKQSWTSVLSAVKLLDYLACIASGRAISENLSSSDESVDELFTLFDDVRMAAVNTTDTILDFIGTRSVFWDMRDSLLFSLYRDSVEGACMQIFIPKIDQVLGQVCDLIVDVLRDQVVLRIFQACMEGFIWVVLDGGPSRAFLEADVDLMQQDLAMLKDLFIAEGQGLPLDVVEREAKQAQQILDLYMLKADTVIEMLINASGQMSQHLEVTSARRRHAHDAHTLLRVLCHKKDKIASTFLRIQYHLPRSSDYDDVPVKDVSSKVPIFSDMLKRGTSFNWSETGQQSFMVLKKKLQEATWQ >PAN39646 pep chromosome:PHallii_v3.1:7:42055106:42070764:-1 gene:PAHAL_7G257500 transcript:PAN39646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASMLEVYRRDRRRLLGFLLSAGGLGGRALDLSRVDLDAVSADYALECVAAGAQFDASEATRRYFDERRYPIMIGSPSGNSYFLLSGPQLSDSPPKEAAPSIGPQAPPPRENSTSAGQSRDFFRDAINTSGTGYVTKDDNLADIPPQQVKKVDILSLGLPRLSTELSDDDIRETAYEVLLASLFVSGKVHFSEEKREKKRKFLKGLRTKTEGSNSSPQVEDGYAHILDLIRVQMEISESMDALTKRALRHINLKMVKGQLDVPCISLQLLSSVGKLDFPTERLRVQWQKRQANVLEELLLFSTSLEYDMSETLRIVLSKLKDTEDWVVSVPEGRVEVLTIIGRYNAKLSALTKKFDLKDETYHWTHNYHLNFRLYEKLLCSVFDILEDGQLVEEADEILETAKLTWPILGITEKLHGIFYAWVLFQKFAQTGEILLLKHASLQIQKFLLHHDIEEAELYTNSFVCSEDACGGDRALSLVDSALLKINVWCRRQLENYHAHFSKKNYSIFEDTLNLALLLVKTHIEDGCEEDIMLIESPEGSTPESKLVHLLVVRSIHAAYKQALISSDGRSEMEFKHPLTILANELKLVAEKECTVFSPILTKCYPEAQGVALIFLHMLYGKQLELFLERTDHLENSKEILAASNNFELCIAQKLYSVYGEAGSSFSNYLKPYMIGRLSSPLILQWLHAQHENVLEWTKRTIGIEDWMPLSAHEKQATSVVEVFRIVEETVDQFFSASLPLDIVHLRSLLIGITSSLQVYLLHMENQQVSGSTLLPSAPVLTRYSESMNPFAKRKLIEPTVPEEKVTTKLNNLTVPKLCVKLNTLQFIRDQLDVIEEGIKQSWTSVLSAVKLLDYLACIASGRAISENLSSSDESVDELFTLFDDVRMAAVNTTDTILDFIGTRSVFWDMRDSLLFSLYRDSVEGACMQIFIPKIDQVLGQVCDLIVDVLRDQVVLRIFQACMEGFIWVVLDGGPSRAFLEADVDLMQQDLAMLKDLFIAEGQGLPLDVVEREAKQAQQILDLYMLKADTVIEMLINASGQMSQHLEVTSARRRHAHDAHTLLRVLCHKKDKIASTFLRIQYHLPRSSDYDDVPVKDVSSKVPIFSDMLKRGTSFNWSETGQQSFMVLKKKLQEATWQ >PVH35990 pep chromosome:PHallii_v3.1:7:46660214:46662473:-1 gene:PAHAL_7G329400 transcript:PVH35990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGALEAASEFFETSPEYKELFASTDIRRPIRYDTSSRDGISKARSFLKHYANPLEDWVQYWPMQPPTYRKKMGDYAVELQRVSMQLMDAILQGLGLEPLYLQEKLENGMQFLALNNYPQFSHQGDKVGLAAHSDYGFLTILLQSSPGLEVMPHDENTWTAVPVVPGALHVHIGDHLEVLSNGQIKSLVHRAVLNPDEARISIASIHGLPMDEKVRCAEELVDEEHPEMYRESSFQDFLDFLPSNINNYKRFVESLKIDRA >PAN38207 pep chromosome:PHallii_v3.1:7:35449342:35454564:1 gene:PAHAL_7G154600 transcript:PAN38207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet-B receptor UVR8 [Source:Projected from Arabidopsis thaliana (AT5G63860) UniProtKB/Swiss-Prot;Acc:Q9FN03] MDSVMAAPDAPPPAVVLVSAGASHSIALLTGNALCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGITSVICGADHTTAYSEEELQVYSWGWGDFGRLGHGNSTDVFTPQPVKALQGLKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLLPEKVSSVEGEKMVLVACGWRHTITVSDSGTMYTYGWSKYGQLGHGDFEDHLVPHKLEALKDSTISQISGGWRHTMALTLEGKLYGWGWNKFGQVGVGNNDDHCSPVQVHFPEEQKISQVACGWRHTLALSEKKNVFSWGRGTSGQLGNGEIVDRNTPVLIDALSPDGSGCKKLESSTAAPFTAKVWVSPSERYAIVPDENVPKSGEGTARGNGADANVPENDVKRMRVQS >PAN37079 pep chromosome:PHallii_v3.1:7:9238306:9243403:1 gene:PAHAL_7G036000 transcript:PAN37079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase C1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G61440) UniProtKB/Swiss-Prot;Acc:Q9S757] MERMLARLMRRRSLLHQGAVAAAPISLAGSSSLFSTQQQAADADPGVLPGLRIRDSASQLIGRTPMVYLNKVTEGCGARIATKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKATELYEKHPSAYMLQQFQNPANVKIHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDVMEKVLEVKSEDAVKMARELAVKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVPVD >PVH35611 pep chromosome:PHallii_v3.1:7:39873540:39878772:1 gene:PAHAL_7G224700 transcript:PVH35611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAEAAEMVASAAAAAASEAKGKEEKERREGPGVLGRIWRALFGGRGEDYEKRLQNLSKEEAAVLARMGRRAHFSRRGVRNLIALSVLGEVGAVVYAIIVTKSEDLDWQMRAIRVLPMFLLPALSSMMYSVLVSFTRMLERKDKDTLERLRAERKAKIDELKDRTNYYLTQELIQKYDLDPAAKAAAASVLASKMGAETGFEVHMADEVKSGSAQARSSEVEVIPPNGLQNRKETKAKGSSYRSTTAAHAQQDTSNEAGGGGMESMPPSKVVEHYQGLGTSDGGWIAKIAALLVGEDPSQSYALICGHCHMHNGLARKEDFSHVTYYCPHCHALNMSNQSIGQRSSSDSGQLSPVAPADGVSTTTHPITETELSSTTEVQELSEEADAWKQVEPAN >PAN40615 pep chromosome:PHallii_v3.1:7:46604780:46605810:1 gene:PAHAL_7G328500 transcript:PAN40615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLPSSISPKISAILQSHVYPRVGRVLRALARFKSLLLDALGETKRGARAKKRHAIRCRSRSSSSRKRSKQIASVFVKPHLAWSGGLSSSPARGAAALDASYHLYPCLESAWNAVVPAPAGVAGGGEDGTAAEYCGYLRWLEEEMSDEVLVVEEEEEEEEEDVVVGGNEIDMLAEKFIARCRANFLLEKQESYDRRCQEIIARSI >PAN38911 pep chromosome:PHallii_v3.1:7:38661090:38661330:1 gene:PAHAL_7G206800 transcript:PAN38911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKKSSSSRSMMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCAKKPS >PAN38267 pep chromosome:PHallii_v3.1:7:35826399:35827884:-1 gene:PAHAL_7G159300 transcript:PAN38267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGVKSVIMCVLILGIILQVEGKSCCKNTQARNCYKICRLSFPRAICAITCDCIIIKGNKCPSGFPQLNLLPNSGEPDAIEYCNLGCVSSMCDSTNNAPEFVGEEMKIDMAGCSEACDRFCKRDARIASVAA >PAN39137 pep chromosome:PHallii_v3.1:7:39804476:39809003:1 gene:PAHAL_7G223600 transcript:PAN39137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAEKSPDGEEEANPCAEAFLEIIGRVPPGEVEAALSACGIGPTAEVAELVLKSRACYSRPKSAVRFFRWAARSVAHTVYAWNLLVDILGKAAMFEPMWDAIRSMNQEGCGGGLVSVATFASVFTSYCARGNFKEAAAAFDVMGRYGIKPDAVALNSLLSAMCRVEGRAQAAQDLFERTKATVPPDADTFAILLEAWEKEGNAERAKSVFGEMVVRVGWDAANQAAYDSLLSTLVRGGQFSEALKFLQVMRSKGCFPGIKFFTNAVDIAIRKGDYANAIAIWHMMGSEGSLAPDFSMYNAMIGLCCNVGNLDYALGMLDEMPLNGVFANSVTYNAILEGFIKHRKAREAESFLKEMSKNEQLPTASNCAAAISLFFKEFNPSAAINVWRCVVEHIITPAEDSARELIAGLLDFDRLTEVKKHADEMIDMRVELSQSTIENMKRAFVKADMRQSYDHIARRLKRW >PVH35489 pep chromosome:PHallii_v3.1:7:38165733:38173668:1 gene:PAHAL_7G198100 transcript:PVH35489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKTLLPTRGPTTPSPPLLLLRRLLPRRRAPSSPPPPPARRRTAAMAPRAGDPAAAAAAAAASFRVGMVRVVSFLVGGLNLAVLLLGLYLVDAVLPSGCRGLLAFAAAPALAGVRVLAMIGAARAQHATADAIARRHLHEDDASVAADAVARHEIRVRYKRWLWWTRFGMAVGALQLVAAIYLMFVIVRDLSKERRSTSCFFGQDEADRNSGRTLIALFLILSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKHGNLPESQTDLMEASHELMQEAAFLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGVLTPWARRSRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVCQSKREAAYFVVVLHDKRTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLINEKTPATTRERVISTFPHYGHGGIVEAARELFMQLNECTGENTSSGRLGFLSTLLGEGSECHGYKVRIVGHSLGGAVATVLGMMLFGKYPDVHVYAYGPLPCVDFVIAEACSHFVTTIICNYEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGTHGPNDDIIEGYSDHRTAGTAVPNETQISHQDPFCNTEPELQIMQNGFVGYSRSSGGLNNDHDVQIIPIDGPDSGLKEHPASYREIPVEPPEMFLPGLIVHMVRQRRSLLPLWQCWNVQETEPPYKAVLAKRENFRDIVVTPSMFTDHLPWRCHLAMQKILEAQTPKRSANSGSPLQHLV >PAN38785 pep chromosome:PHallii_v3.1:7:38165733:38173668:1 gene:PAHAL_7G198100 transcript:PAN38785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKTLLPTRGPTTPSPPLLLLRRLLPRRRAPSSPPPPPARRRTAAMAPRAGDPAAAAAAAAASFRVGMVRVVSFLVGGLNLAVLLLGLYLVDAVLPSGCRGLLAFAAAPALAGVRVLAMIGAARAQHATADAIARRHLHEDDASVAADAVARHEIRVRYKRWLWWTRFGMAVGALQLVAAIYLMFVIVRDLSKERRSTSCFFGQDEADRNSGRTLIALFLILSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKHGNLPESQTDLMEASHELMQEAAFLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGVLTPWARRSRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVCQSKREAAYFVVVLHDKRTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLINSEKTPATTRERVISTFPHYGHGGIVEAARELFMQLNECTGENTSSGRLGFLSTLLGEGSECHGYKVRIVGHSLGGAVATVLGMMLFGKYPDVHVYAYGPLPCVDFVIAEACSHFVTTIICNYEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGTHGPNDDIIEGYSDHRTAGTAVPNETQISHQDPFCNTEPELQIMQNGFVGYSRSSGGLNNDHDVQIIPIDGPDSGLKEHPASYREIPVEPPEMFLPGLIVHMVRQRRSLLPLWQCWNVQETEPPYKAVLAKRENFRDIVVTPSMFTDHLPWRCHLAMQKILEAQTPKRSANSGSPLQHLV >PAN38409 pep chromosome:PHallii_v3.1:7:36501273:36506369:-1 gene:PAHAL_7G170000 transcript:PAN38409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPRGVRRRAGSVALGDLLRREASAERVAAGGGERPTVAAGQAGRAKKGEDLALLKPACERRPGAPSTSFSAFALFDGHNGSAAAVYAKEHLLGNVLGCVPADLSRDEWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTLVIIDGSVVTVASVGDSRCVLEAEGSVYYLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQMKLSNFGGRLIIASDGVWDALTAETAFSCARGLSPEAAADQIVKEAVESKGLRDDTTCIVIDIIPPEKPKSNMESPKTPGKGLGLLKSFFLRKTLSDSLSVADKDNYPEPDLVEEVFEEGCPSLSRRLNSEYPVRNMFKLFACAICQIDLESGQGISIHEGLSKPGKLRPWDGPFLCHSCQEKKEAMEGKRHS >PAN38546 pep chromosome:PHallii_v3.1:7:37065788:37068398:-1 gene:PAHAL_7G179400 transcript:PAN38546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPIPVLCSASPCRVVTRLAPSALRTSTASRPLVLSGGCRGAQRSSGLRRLVARPPVPFAAKRGGGRGEVAAEDGDGTRSLLQAALWGAEAAYILWLFLLPYAPGDPAWAISQATISDLIGLSLNFFFILPLLNSAGVHLLESPVLHPMAEGLFNFVIAWTLMFAPLLFTDSRRDRYKGSLDVLWSFQMFLTNTFLIPYMAIRLNDSDTDQSPTRSQLGSVMVKDAQVVGAVGGAVCILSIVWALFGRADAGFGDIAERWQYVHSYVFSERLAYAFLWDIFLYSIFQPWLIGDNIQNVKADATEFVNVVRFVPVVGLVAYLFCLEKED >PAN38142 pep chromosome:PHallii_v3.1:7:35130126:35134081:1 gene:PAHAL_7G150000 transcript:PAN38142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSELSQGTLVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLASRRRRRRRAVPATPVLHLPVVVPNAQPKHAAKPPKDIQEVPSRGAVAPAAPPKAPLAQVLQAPPADSIQIETGKEHRITFPEQQPPPHHQRSGGPSSRGASGESRGGGGEPGVPEVSHLGWGHWYTLKELEDATCMFADEKVIGEGGYGIVYHGVLEGGVQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDIRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRTLKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGVKAPTQGRSVETPASEPGDSSGNNTPKDAPKGGEPFKWRTQET >PAN40107 pep chromosome:PHallii_v3.1:7:44306229:44307814:-1 gene:PAHAL_7G292400 transcript:PAN40107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVSSVARLLRGEAGKKGGPEIVTMDLLSSCGGGGAAEDEVVDLEVSVPAGFERRLDLLSGRTFLTPRHPSLLDGHQDLNLPPPGAPAAAPPTTSAAVCTLDMVRSALERAAAGRTAASPATSTASSASTSSSSSSAGKRIRSPPTTATPAMRAAACPSCLTYVLIAEEDPRCPRCAARVPPLIRGKSASSAAGDGCGKKPRIDLNAAADETE >PVH35078 pep chromosome:PHallii_v3.1:7:29096565:29097422:1 gene:PAHAL_7G101100 transcript:PVH35078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAVRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRYEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGTLFGNTQAPPENPESSAAAEGDAAAQPSTNGNPEEGEQGSLTLPAPEEGLPRE >PVH34749 pep chromosome:PHallii_v3.1:7:4604067:4604756:1 gene:PAHAL_7G022500 transcript:PVH34749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGQSSSLANKTTMSFALSSFLSVSEERLEVLDDEELALITRRFMRFNDNCKNWRKGNNTCFKCGKPGHFIADCPNKNKLKSGYNYNKDKKKKKKKKKKKKKKKKKKMKKKKKYNREKKEKRKKAKARACIASDSDTDDHDDPSSSEEDNNRKAKKDAKNINGLCFYINEKRGEYCFMALDADEHKDSDSESEAEVMQIREQLLQEVDDLNDCLLNQDKLIKRAARE >PAN39589 pep chromosome:PHallii_v3.1:7:41701762:41706553:-1 gene:PAHAL_7G254000 transcript:PAN39589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRRRHHHHHGPWLVPAVAPAAAAFAAAGLLLVVVAFHCFLSPPLADGGKGGGGRVVRRPNPPFLLNKPAEVARSVIGAVDFTVPSGGSKLGEELWESKAAGNFFGCSNATKQFADAMAVTKSDRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPKLDEESFWNDASDFAEIFDVDSFIYSLSNDVKVIRQLPDMNGKKLSPYKMRIPRKCTPKCYENRVLPALLKKHVIQLTKFDYRVSNRLETDLQKLRCRVNYHALQFTDPILRMGELLVQRMKAKSGRFIALHLRFEPDMLAFSGCYYGGGDIERRELGEIRKRWKTLHASNPDRERRQGKCPLTPEEVGLMLRALGFGKDVHLYVASGDVYGGEETLAPLKALFPNFHSKETLASKEELAPFLPYLSRMAALDFIVCDRSDVFVTNNNGNMARMLAGRRRYFGHRRTIRPNAKKLYSLFLNRTSMSWDTFASKVLTTQKGFMGEPNEIKLGRGEFHEHPMDCICAKAKEKIGQSKPHQIKRAGEAAENHSSDGDLDWRDLDYGEHTPLGRDSSKESESDDIRVGGSDIPELEDMMSD >PAN37948 pep chromosome:PHallii_v3.1:7:33774304:33776065:1 gene:PAHAL_7G134700 transcript:PAN37948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQHLLSHAFLPHHDVLGHPFRALEGAAVGGAGGVFLDELGIAGCAPAAGAGDAVFGGAARSELTCNGGSGGGGEYDDGLLPRKRARLAAGLVECGGQQGGLVLPLAAPPPQGQAFAGDARTRAVGCGPASTSGRAAASGVLSQLFHQGVEIDALVRLETERMRVGLQEARRRHARAVVAAVERAAAGRLRAAETELDRARCRNAELEERLRQLTAEGQAWLGVARGHEAVAAGLRATLDQFLQQPACGADDGDAEDAQSCCFETSPQALVADDAASRGTAPTCRSCGGGGACVLLLPCRHLCLCRACEPAAEVCPVCAATKNASLHVLLS >PAN40158 pep chromosome:PHallii_v3.1:7:44483457:44483819:1 gene:PAHAL_7G295500 transcript:PAN40158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLVVLFNMASLISAACRDAERLPATLVTSGVVQAVAALALVVFRAPGGTFLDHGKAPFYLYYGILIAVMIFGFVEASAGCYVSGCLNDRRAIGMTILWVSILPIVLVAGLGGFVILKW >PAN38099 pep chromosome:PHallii_v3.1:7:34902857:34905587:-1 gene:PAHAL_7G147000 transcript:PAN38099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLVLLLFVLVSSPPRAASARDTISPGETLGGSDELVSSNGKYKLGFFQTGSESPGNASSYWYLGIWINRVPTMTPVWVANGDDPIADLTAAVLTISPDGNLVVLNPVAGSIIWSTQANITTNNTMATLSDGGNLMLQKSLDPSDVLWQSFNHPTSSLLPGAKLGRDKVTGLNRRLVSRKNSVDQAPGAYSLELDPSGAAQFILVELNSGVTYWSSGVWNGRFFNSIPDMGAYSEFVNSSREVCLITPMDDANVVTRLSLEVSGQIKSFIWYEQLQDWVTSAVQPKSLCDVYALCGPHTVCNDNVIPSCNCMKGFSIKSLKDWELEDRTGGCVRNNPLDCSCNKTTGSTDGFYSIPCFRLPQNAQNTTGVLSEGECAQVCLSDCSCTAYSFGDYGCYVWHDELLNVKDQQYSDLTSNKVEILKVRLAAKELIRWENHRREMLVWVVTSATVALFGLVLLLMIWRNQKKQYFCTFNNVQGGNGIVAFRYTDLKHATKCFSIKLGSGGFGSVYKGILADSTAIAVKMLDGFRQGEKQFRAEVSSIGIIQHVNLVKLIGFCCEGDKRLLVYEYLPYHSLDVHLFQRSVTFLNWKTRYQVALGVARGLAYLHESCREYIIHCDIKPQNILLDASFTPKIADFGMAKFMQRDFSRALTTMRGTIGYLAPEWLSGVAITTKIDVYSYGMVLLEIISGRRNTSELCSSCADDNDVYFPLQVANGILKGDVQGLVDPKLRGDVNLEEVERVCKIACWCIQDKDSDRPAMGEVVQILEGLREVDVPPIPKILQAVAESPH >PAN38909 pep chromosome:PHallii_v3.1:7:38650369:38652315:1 gene:PAHAL_7G206600 transcript:PAN38909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGFHHLALLLLLAYGGIAPARAAGSWKFLQNVGVSGMHMQLLHNDRLVLFDRTNVGPSNLTFPAGHPCRINPQDQWFRNRSDCTAHSVEYSVASNTFRGLSIFTDTWCSSGYVAPDGTLVQNGGWRDGTRKIRLMPACSGSDASCDWTEVPAPVVLAADRWYATNQKLPDGRAIIVGGLGQPSYEFYPKTGTNAAFSLPFLGQTNSLYPFVHLNIDGNLFIFASNRAILFDYKTGKIVRNYTTLGSGGDLRTNPNAGSSVLLPLKPNPTEAEVLICGGTPATSNGAVAARQFPPALRTCGRLKITDPNPSWVVEEMPSPRVMGDMILLPNGEVAIINGATDGIAGWESANTFNPTPVIYRPDLPFVTPTNRFEAQAPAGTPRPRMYHASAVLDRDGRVIVGGSNPHQFYVFDKKFPTDLTLEAFSPYYLDGSNDGLRPNIFVPSPKDGPVHVAYGAQLKLQFFARDGIPGAVTMVAPSFTTHSFAQNQRQLFLQVQVKPAQAFQLNGGVATPFPGFYEATVAMPATSVLAPPGYYMLFVVNGRIPSKGIWVHIQ >PAN39189 pep chromosome:PHallii_v3.1:7:40060569:40063829:1 gene:PAHAL_7G226700 transcript:PAN39189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAVAATAPGGSAVHDDADAMELGSNLLRRRHGGGVREGEGEGEGEAGGGGAGNAKEEAVSVEQAFADKPVPSWREQLTVRAFVVGALLSVLFSVIVMKLNLTTGIIPSLNVSASLLSYFLVRLWTKAIESMGLLKQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSGTIAKQATEANDAQNIKDPHLGWMIGFMFLVSFVGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAELAKKQVRTLGKYFSLSFLWAFFQWFYTAGDDCGFSSFPTLGLEAYKNKFYFDFSATYVGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYSDSLPDSSLHGLNGYKVFITIAVILGDGLYNFLKVFIRSICALISVYRNRNANTLPVSEDGTPVTPIEAESFDDKRRVELFLKDQIPKTVAFGGYVALAAITIGCLPLIIPQLKWYHILAAYILAPILAFCNAYGCGLTDWSLASTYGKLAIFIIGAWAGASHGGVLVGLAACGVMMSIVGTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGASGGAYPAPYTIMYRNMAILGVDGLSLLPKNCLTLCYIFFAVSFAINLIKDQVPAKVAKFIPIPMAAAIPFYLGPYFAIDMFLGSVILFFWEWKNKAEADSFGPAVASGLMCGDGLWALPQAVLSLANVNPPICMKFLSRSVNTKVDSFLGN >PVH35949 pep chromosome:PHallii_v3.1:7:45705275:45709425:1 gene:PAHAL_7G316000 transcript:PVH35949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVSLNRLMFKHKERRRRRRVRNGLITSVSQENESLCQEIDQSQSGEMSRYSGPDLPEDIWCHIHSLLPLRDSARSACVSYTFLHSWRRYPKLTFTEEALGLKQMEGQKTGVDFTNRVDHILKNHSGTGVKILKLAVPLYRNVSSCHLTSWLQNAITPGIEEVNLTLHSKYMEEYNFPCSILHNGCGNSILYLRLTYCAFRPTSGSDCLRSLTKLDLYKVSITGDELGCLISNTFALEKLMLGQCNELICLKIPFCLERLSFVDLTWCRMLQVIESAAPNLSTFKLIGDPVQMSFGISSQVKNLIVGFSFKPNILSYAITKLPSVFPHLETLILSSRSEMIDTPMVADKFLHLKHLKIFLSILYDSWSPAYDFLSLVSFLDASPQLETFLLSIRHLGDMEHDSGDDAHMRQIPKYKHCRLRKVRINGFFSAKGMVELTCHILEVATSLESLTVDTVYNEEEDDKISRCAVKKSGECWSISRDWILEAHKAVGVIKRYILERVPSTVKINVGEPCRRCHSIDMIASNI >PVH35636 pep chromosome:PHallii_v3.1:7:40329849:40332476:1 gene:PAHAL_7G231600 transcript:PVH35636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFRLVVILLLAAACSDHAAVLAAEEFAYNGFGGAGLALDGMSVVAPNGLLVLSNGTSQMAGRAFHPAPVRLRDRPGGAVRSFSAAFVFAIVSNFTVLSDNGMAFVVAPSTRLSAFNAGQYLGVLNVTDNGRDSNRVLFVELDTMLNPEFQDMNSNHVGVNVNSMRSLHNHSAGYYDDATGVFNNLSLISRQPMQVWVDYDGATTRLDVTMAPMGVPRPKKPLISAPVNLSAVGTDTAYVGFSAATGVIYTRHYVLGWSFAMDGAAPPLDTSKLPSLPRFGPKPRSRVLEIVLPIATAAFVLALLVGVFLIVRRRVRYAEVREDWEVEFGPHRFSYKELYHATKGFKNKQLLGTGGFGRVYKGVLPKSNFEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKSKPVLDWEQRFQIIKGVASGLLYLHEDWEQVVVHRDIKASNVLLDGDMNGRLGDFGLARLYDHGVDPTTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGCIAPDDQNVLLDWVQEHERKGAALDTVDPRLCGKYDADEARLAIRLGLACAHPLPDTRPGMRQVVQYLEGDAAMPEAAPTYVSYTVLALMQNDGFDSFAMSFQSTATSSASPVSGGFSAVSGLSGGR >PAN37096 pep chromosome:PHallii_v3.1:7:18867481:18868493:-1 gene:PAHAL_7G061900 transcript:PAN37096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTADSKGCDANPKTEWPELVGCTIKEAMEKIKAERPHLNVQAVPVGTIVTQEIDPNRVRLWVDIVAEVPRIG >PVH35170 pep chromosome:PHallii_v3.1:7:31740014:31741029:1 gene:PAHAL_7G116200 transcript:PVH35170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRCCRERSRGGDFVVSVEARGTERKGIDVKRLADQQKQEHWNFLLKNRTPAAAAPRAPASLHPCAGR >PVH36050 pep chromosome:PHallii_v3.1:7:47553750:47555022:-1 gene:PAHAL_7G344400 transcript:PVH36050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPSWSPWITPPHRGTPGSHGRAAGDAGRTTRARRGEVTTPTRVSATPPPGVRGVMGSDEPSYLACMVWTTAPGRGEGMVRPSAVSSCRSPGCGDQNGTSRLAPFLLLDWHAPPN >PVH34759 pep chromosome:PHallii_v3.1:7:5040655:5043275:1 gene:PAHAL_7G024400 transcript:PVH34759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRHESSRKYESAAAVLWLLLPLLVLVALNTNFLPQLARYVAAPIISSKLTCNFTTFRSNTCTMEGNVHIDGKSATVYVIVSSSTYRPENSTIKLHPYARKWEEKAMSLVREVTVRSSPAGASGGISLPPCSVRHDVPAVVFSTGGYNWNIFHVMSDIVIPLYLTARQYNGRVQLLATDYEPSVVARYKAILAALSIYPVLDLDADTTVRCFPLAHVGLESHRELSIDPALSSNGYTMMNFLDFIRSTYSLQRPWVTPVSKSSGQKPPLVMILRRQIRELTNEADAIAAVMDAGFEVVAAGPEDVSDLERFAGVVNSCDVLLGVHGSGLTNMLFLPRNATVAQIIPWGELKWPCRHSYGEQVPDMGLRYAEYEATVQETTLAERYPRDHAVFTDPLSIHRQGYDKVWDIFINGQNVTLDLNRFRGFLQQLYQSIVTE >PAN40449 pep chromosome:PHallii_v3.1:7:45734564:45734896:-1 gene:PAHAL_7G316300 transcript:PAN40449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVLLLAVPAAAGGFLQAFHLAFLLWPFNAALPLARDLPRACIALRGIASFYAAGLHAYASGARRGVQLLQARSHRDAGGGDDSSAGGVVRTREDAVAHAMMAFDDIY >PVH34878 pep chromosome:PHallii_v3.1:7:16059301:16060595:-1 gene:PAHAL_7G054200 transcript:PVH34878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMYLYGLHPSLWTIVAVGVDINANPPHTKEQEHDFFRNAQAVMVFRSSLSSYEYNKIRGLEIAKDIWDTLQLSHEGTDVVKEGKMDVLQGELESFVMKKDESLKEMHDRLKLLVTEIRMLGSKDWDEHKVTKKMLRAYAPKNPMLATMIRDKRKFKHMLPMELFNKLQFHEMNNLDVSKSIEQSEVKTIALKAKPSKKNESKEKTSKSKKKEDSSDNDSTDEETAMMVKNFKKFMKRRGDKKPVHQRRCYECGEKENDKEDNKSKDKYNDKEKKYKEKSKEYKKKHGNAHVGEGWESSDDSDKEEIATLAIQAPTPTQRLFNNYSESDDEFAIFLMAQGTKVLNASAPPSSTPSTSSDIEDNLDEEEVELERNMINEFGKKGYKKIKKLMEKLEKRKETLERQEDLLILERKETLPLRKL >PAN37884 pep chromosome:PHallii_v3.1:7:33216945:33219439:1 gene:PAHAL_7G130600 transcript:PAN37884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGGGVGGQEAFEARVKRLFGSRLFDAVPDSSFPAASWSVASGDVERHRWAKPSEARDAEEEAAGEAGRGDTPCASAFYDANGCLRGRRRRSRQEEFEGGLDDLDEDEEEDGERGRKTAEEDEEEGVRVNIGLDPTLDREEEEDKYDREAFGREDAADRVYMHDIMDDGINMSINSIVPDLLDDSIEDVYRFSKDPRADIRAASERLREDDGLAKDGDSHYAAQAKELPTVGMQIKKAVEDVNVKPILKRKEEQADLKPRKRVRFDASVKDPESDMFEHDEDSPMVPQSMDVVTEKENTSTPSESPGVPDYVRNPSKYTRYTLDVPESNDASNRRALADLHDLLGKSDPNKIHSGTPVEIPSSVTFIPRKKSVDAMAVDEGPRTSDSNSSVIGMVAGASEETDQCEMDEDDSKASSTPQMHTNSKASSRRYRSIRTDGDDE >PVH35346 pep chromosome:PHallii_v3.1:7:35668451:35668663:1 gene:PAHAL_7G158300 transcript:PVH35346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNRANRPAATTKLFLDLCIAEKNQLNFNNKGLTKLGWQHIYRNFREQTGLQYDNKQLQNKLTALKRAV >PVH35466 pep chromosome:PHallii_v3.1:7:37859181:37859657:-1 gene:PAHAL_7G192500 transcript:PVH35466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARQCAEQRTQQQRGADGSLLAEGPPRNELLCPGTLLGYVSGRSAAPSPVVPRRKRSKEKAVPHPDARAHEAGSRSASATGDGYVRLLLWLRGLTSTSSEGCVPSSPRHQGVRPREVDSHGGTGEIHNRGLKLGIPNIRVKMEKCIDNANLSVKTE >PVH34827 pep chromosome:PHallii_v3.1:7:11018150:11019079:1 gene:PAHAL_7G041700 transcript:PVH34827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPRFFWDSAGHAHTNALHWEGFPRLLWESLQLFGYTEPPPYDGVEYDEEGVPRCRVKMTIPPHPTLSLWPPIEVNVVGHRLADTFEAAAIEAIHIFYDQHPEEVAGYPIGLFPAMDSRDPEWTFRVTYCDHLLGTLAGETLRTVVRFMSAQYRYQMLQQHGIYRLTNIAQRYRNQVGRQNTQIEALQATITAKEEEITQREETIQHREEQIVESDALITQRDTVIDFLQEQVHELNLNLGQAIDHINMFHEQPEQPVNNEPEDDEEEDPKEIEGVFEIDSEHGDPVLSPHHSSSGSQSSVGNLDDF >PAN39191 pep chromosome:PHallii_v3.1:7:40070490:40073225:1 gene:PAHAL_7G226900 transcript:PAN39191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYEPAQGDAAGTGGDVDLSEVRQRSSKPQKKPNTEAGDGDEGRSAAVGGGWEVTDSIERVFESEPVPSWREQVTPRALAVSLLLAVVFSLIVMKLSLTTGIIPSLNVSAGLLGFFFLRVWTASIKNPGRPFTRQENTVVQTCVVAAYGIAFSGGFGSYLFGMSETIAAQAAEENNADNVKKPRLGWMIGFLFLVSFVGLFALVPLRKVMFVDYKLTYPSGTATAHLINGFHTPDGSERAKSQVCTLIKCSVASFLWGFFQWFYTAGDGCGFEQFYFDFSTTYIGAGMICPHIVNISVLLGGILSWGVMWPLIAEKRGSWFGAELSDSSLEGMQGYRVFVAIAIILGDGLYKFAMVLLRTVAAIAASTEKKKYFGTLPVNSDERTISGDGAAHATPPSFDDARMTEFFLKDQIPTPVAIGGYVAISITAVPHLIFPKLKWHHVLAVYLMAPVLALCNAYGMGLTDWSLASTYGKLAIFIFGAWVGKSHSGVLVGLAACGIMMNIVSTAGDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVVGPSVFWLFYRAFDGVGTQKSAYPAPYALIYRNMAILGVDGFSKLPRHCLTLCCVFFAGAIALNVAKDLAPRKVARLIPLPMAMAIPFYIGSYFAIDMFLGSVILFAWEWVDKAQADAFSYAVASGLVCGDGVWTLPQAVLSLFNVKPPICMKFLSRGVNYKVDGFIGTLT >PVH35752 pep chromosome:PHallii_v3.1:7:42535803:42540960:1 gene:PAHAL_7G264500 transcript:PVH35752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSSRGKLYEFGSAGVNKTLEKYHNCCYNAQGSNNDFGGEPQSWYQEMSRLKAKLESLQRSQRHMLGEDLGPLSIKELQQLEKQLEYALSQARQRKVHHTQMMMEQVDELRRKERQLGELNKQLKNKLEAEGCSNYRAVVQTSWAPDAAVGSDGGALPAPNAQPPVAAMDCEPTLQIGYHQFVAPDQAAAMPRSSTTEGGEQNGHFMLGWAL >PAN39728 pep chromosome:PHallii_v3.1:7:42535803:42540960:1 gene:PAHAL_7G264500 transcript:PAN39728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSSRGKLYEFGSAGVNKTLEKYHNCCYNAQGSNNDFGGEPQSWYQEMSRLKAKLESLQRSQRHMLGEDLGPLSIKELQQLEKQLEYALSQARQRKTQMMMEQVDELRRKERQLGELNKQLKNKLEAEGCSNYRAVVQTSWAPDAAVGSDGGALPAPNAQPPVAAMDCEPTLQIGYHQFVAPDQAAAMPRSSTTEGGEQNGHFMLGWAL >PAN37761 pep chromosome:PHallii_v3.1:7:32047022:32049411:1 gene:PAHAL_7G119700 transcript:PAN37761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEHQLHQLLHFSPQEHLMASPGFLAAGGGYSAHFPAGGFVEPLGADAPAGIHDGGWVVEDLMQLGDELFGGDFISVVGMGDHQPWQYDDNGGSPDDTPPSVSTDGDGSSHPGEQAGAGELATTEPHREDPDDASPATRKRRDRSKTIVSERKRRVRMKERLYELRSLVPNITKMDKASIIADAVVYVKDLQAHARKLKEEVAALEARPRSPAGYEQQQQHSEHVAAAGRRLQDRGHGARVTRVGAAPVGDGRFVVTVECERRDSVAAPLCAAVESLAGFRVESSSLVRSGPDRLVSTLTLKVSEQVGAATIGEDSVRHWVIAALLQEGFRPEATVEISSRSACRPINWCSDAV >PAN38881 pep chromosome:PHallii_v3.1:7:35862020:35863091:-1 gene:PAHAL_7G159700 transcript:PAN38881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIPVRQAVQTCVLSRRWEHLWCFMPCLNIDQREFDSTASGASEGSRFEEFVNSLLMFHKARSLDVFRFHVTQNYGFKVVNRWFRRGIKYFPAVVEISRSANARFHGLPHLGSSSYRLRRLHLVKIALDKSFPRQLSSGCPVLEDLKLDECLLDPPEITSCTLRNLIVADCMTYGGNVLTITAPTLVSFYLVITVVGWSWDGVLVNEMPALVKATVCLKQDRPSTSSSPKRPCKLLCNLIDVKNLELSGLQTLLILHGESGAFPTFSNLRTLLFDGCDLMMTSRCWDAF >PAN40318 pep chromosome:PHallii_v3.1:7:45104821:45107681:-1 gene:PAHAL_7G305900 transcript:PAN40318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAFDMRKPLRQPQPKKHHHHHHHGPGGCCSHDAPAAAAGSSPQSSKSPDKKSNT >PAN39389 pep chromosome:PHallii_v3.1:7:40826940:40830813:-1 gene:PAHAL_7G239200 transcript:PAN39389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGAAAVPPRAAGARRYKVLVPWRFQRGFVREPLKHAAAASAVAPSRGGGKTVGDPETENCGSGRAPNSGDETAGVRDAKDCRLGGAPSGGKSRAIGGGERHSEGCSPSPSLKNPDVDNGGRPVHENACDLGKSGRDGGAKSARLDETGNNRGTNVGVEAGEDCNLGSYNCDGSVKDAGTLDSRGTGDGAACDPEVARSNVEVEKCFAEGLKESFVDQTGLKSNGSSASNLRSEDPERNVGLGDSACHTATECGMGDGAAKESDASAKGCSTATPDDNGNGIYCRKGQKAVVPWRFQVGYKRSFSKAFGSDNGSPDCPAYGFDDSSTPATRSSVRYYASAHSGVRVSAMRERDFSSVEGENETGSECTKRKTNNNDQDGVMPNNGGVIVRENIMRSLQDFRLIYRELLDEEEENSREEVLNVRPDLQAYRIFRERFSTECDDKKYIGSVPGIYPGDIFHLRVELCVVGLHRPHRVGIDYTKRHDGTSVAISIVSNAQSSDINYNLDVLVYSGSVAVTVNQKIEGTNLALKKSMDTNTPVRVIHGFTTGNGKKKFPTYIYGGLYHVEKYWRVKEREDRYVYMFRLRRMEGQKHIDIKEILQTGKYGSNNSIIIKDLSRGLERVPVSVANNISTECPMPYRYISHLQYPCNYQPTPPAGCGCVGGCSDSKKCACAMKNGGEIPFNDKGRILEAKPLVYECGPSCKCPPTCHNRVGQHGLKFRLQVFKTKSMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRMTDEYLFAIGHNYYDESLWEGLSRSIPSLQKGPGKDDEAGFAVDASVMGNFAKFINHSCTPNLYAQNVLYDHEDISVPHIMFFASDDIRPHQELAYHYNYKIDQVHDANGNIKKKKCLCGSVECDGWLY >PVH34910 pep chromosome:PHallii_v3.1:7:19683016:19684480:-1 gene:PAHAL_7G064400 transcript:PVH34910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNRVVPPIGLDGRMPQDLLSSEEVAICNLSSGSYFTDLLVNDVEESQDLPPPSQTTNGHAPAAAKGSQGRSKNFRDEEDILLVSAWLNVGMDAIQGIDQPLGIYWRRIHEYFNANKTFESDCTQDSLMNRWSIIQHAVNLFCGCLPRIETRNHSGWSVENIIFFMYAHLLRLHFHVHISVLNLKITNACALLKAEDNKQKKFAYMHCWKILKDKPKWLERCKQIGTARTASSKKQKIVANPSPSSAPANATTDTNPSEGGSGRPKGKKKKKQKLWQRSTIEALDYLMSKMKESDVEKDLKKQERCNKAFAL >PVH35836 pep chromosome:PHallii_v3.1:7:44099383:44099688:1 gene:PAHAL_7G288800 transcript:PVH35836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWFSILQGLGLQVLAPQPDECSFDDWWDKVSSKADGAVKKGLNSIVILVAWSLWNHRNRCVFNGLQPSLNGILSSIREELHQWGIAGARGIAHILALLPAS >PAN37437 pep chromosome:PHallii_v3.1:7:27101545:27102940:1 gene:PAHAL_7G093000 transcript:PAN37437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAASPPPSGKMSQDELKRVAAHRAVEFVEPGMTLGLGTGSTAAHALDRLGDLLRAGALPGVAGVPTSLKTELHAARVGIPLLPLDGARIRLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGERFVVIVDESKLVPRLGCTGAVPVEVVPFGAPHTLGLIRKVFDGLPGFHARLRTVADGDLVSAPFVTDNGNYIVEMFFEDGIRGDLQDISDRLLRITGVVEHGMFLGMATTVIVANNDGTVSVLHRKSKLI >PVH35066 pep chromosome:PHallii_v3.1:7:28120562:28121684:1 gene:PAHAL_7G097500 transcript:PVH35066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEFHCSTVLRPQALIAEDPTKHGEGPRALAWVAGAPNRRLATQHENWLGAEGGKRRPGAEGAEARSSGVAEGRGAAAFSLRKENGREETDGVDAFSRGRHGMLFPVDVQSFNAILQDSKISVVFSGRRDLSML >PVH36072 pep chromosome:PHallii_v3.1:7:47850775:47853275:1 gene:PAHAL_7G349300 transcript:PVH36072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRALRSGRGDRRQLRLLRHLRQPHHLPDGAPGPVQRLRRRRRQRLVGDGKPHAPARRIPRRRIPGTGYGMLTLSSTVPALRPSHLRPSSSQPPQLQLQVAFFYVSLYLIALAQGADKPCGLAFAADQFDANHPVERASRASLFNWWFFCMAIGISVAVSVVGYIQDYVGWGVGFGVPCAIVLGAFTVFLLGTPTYRLYQHNSKPQQQQQDKAKAKAAASSALRRLLPIWATSLAYGVVYAQIMTLFNKQGRTLDRRIGFGANWQLPPAALQTLGPASILLFVPVYDRAIVPALRWATGNPSGLTMLQRVGAGMATSLAAVSVAALVEARRLATAREHGLVDQPGATVPMTWAWLVPQYAMMGVADVLAVVGLQELFYDQMPDGLRSLGLALYLSVMGIGGFISSLLISTIDGITSRGGGDSWFDDNLNRAHLDYFYWLLAGLSALELALYLGFARSYVYNHEHRSFNT >PVH36075 pep chromosome:PHallii_v3.1:7:47850728:47853275:1 gene:PAHAL_7G349300 transcript:PVH36075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRALRSGRGDRRQLRLLRHLRQPHHLPDGAPGPVQRLRRRRRQRLVGDGKPHAPARRIPRRRIPGTGYGMLTLSSTVPALRPSHLRPSSSQPPQLQLQVAFFYVSLYLIALAQGADKPCGLAFAADQFDANHPVERASRASLFNWWFFCMAIGISVAVSVVGYIQDYVGWGVGFGVPCAIVLGAFTVFLLGTPTYRLYQHNSKPQQQQQDKAKAKAAASSALRRLLPIWATSLAYGVVYAQIMTLFNKQGRTLDRRIGFGANWQLPPAALQTLGPASILLFVPVYDRAIVPALRWATGNPSGLTMLQRVGAGMATSLAAVSVAALVEARRLATAREHGLVDQPGATVPMTWAWLVPQYAMMGVADVLAVVGLQELFYDQMPDGLRSLGLALYLSVMGIGGFISSLLISTIDGITSRGGGDSWFDDNLNRAHLDYFYWLLAGLSALELALYLGFARSYVYNHEHRSFNT >PVH36073 pep chromosome:PHallii_v3.1:7:47850775:47853275:1 gene:PAHAL_7G349300 transcript:PVH36073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPADRILADPLLLPLSLPAAAKQVLAGVTDYRGRPVTRGTSGGWRSALFVVAVEIAGSFAYFGISANLITYLTGPLGQSNASAAAAVNAWSGTASLMPLLGAFLADAYLGRYRSIILACSLYVLGYGMLTLSSTVPALRPSHLRPSSSQPPQLQLQVAFFYVSLYLIALAQGADKPCGLAFAADQFDANHPVERASRASLFNWWFFCMAIGISVAVSVVGYIQDYVGWGVGFGVPCAIVLGAFTVFLLGTPTYRLYQHNSKPQQQQQDKAKAKAAASSALRRLLPIWATSLAYGVVYAQIMTLFNKQGRTLDRRIGFGANWQLPPAALQTLGPASILLFVPVYDRAIVPALRWATGNPSGLTMLQRVGAGMATSLAAVSVAALVEARRLATAREHGLVDQPGATVPMTWAWLVPQYAMMGVADVLAVVGLQELFYDQMPDGLRSLGLALYLSVMGIGGFISSLLISTIDGITSRGGGDSWFDDNLNRAHLDYFYWLLAGLSALELALYLGFARSYVYNHEHRSFNT >PVH36074 pep chromosome:PHallii_v3.1:7:47850728:47853275:1 gene:PAHAL_7G349300 transcript:PVH36074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPADRILADPLLLPLSLPAAAKQVLAGVTDYRGRPVTRGTSGGWRSALFVVAVEIAGSFAYFGISANLITYLTGPLGQSNASAAAAVNAWSGTASLMPLLGAFLADAYLGRYRSIILACSLYVLGYGMLTLSSTVPALRPSHLRPSSSQPPQLQLQVAFFYVSLYLIALAQGADKPCGLAFAADQFDANHPVERASRASLFNWWFFCMAIGISVAVSVVGYIQDYVGWGVGFGVPCAIVLGAFTVFLLGTPTYRLYQHNSKPQQQQQDKAKAKAAASSALRRLLPIWATSLAYGVVYAQIMTLFNKQGRTLDRRIGFGANWQLPPAALQTLGPASILLFVPVYDRAIVPALRWATGNPSGLTMLQRVGAGMATSLAAVSVAALVEARRLATAREHGLVDQPGATVPMTWAWLVPQYAMMGVADVLAVVGLQELFYDQMPDGLRSLGLALYLSVMGIGGFISSLLISTIDGITSRGGGDSWFDDNLNRAHLDYFYWLLAGLSALELALYLGFARSYVYNHEHRSFNT >PVH35933 pep chromosome:PHallii_v3.1:7:45372359:45373898:-1 gene:PAHAL_7G311700 transcript:PVH35933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGALPVVDLAPFLTGDEGGIARATEAVREACRTHGFFRAVNHGVPAELLARALELSAAFFALPDEEKAKVRLAAGSEAPLPAGYGRQPAHSTDKNEYLVVFDPKLGFNMYPAEPAGFRETVEDCHRKLTELGLLIQEILNECMGLPPGFLRGYNDDRGFDHMTAKRYFPATEEENVGFSEHEDGNCVTFILQDGVGGLERSSRTASGSRRSPSTAASSSTSATSYRC >PVH34809 pep chromosome:PHallii_v3.1:7:10150326:10151830:-1 gene:PAHAL_7G039100 transcript:PVH34809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRFKSRLAGGLGGRTSQPGWVCFTPSPLSTGWQAPHPPSIWPIRRPGPARGDYLSIRGFRCVAHFLPFHHPPTPLPQKTPQPPSFSSPSPPPPPYIRARRTRTRSGGRKQQAAPAPSPFLAADRRRSGPPARGGCRSGPGRRCVQSREAVCSFLQLPATISNGFHHLSNRLLVLYLRFSFFML >PAN38453 pep chromosome:PHallii_v3.1:7:36672906:36676496:1 gene:PAHAL_7G173100 transcript:PAN38453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAIVPSDGPATDYGGSLTLSVFMSCLVAASGGLIFGYDIGISGGVSEMEPFLRRFFPQVLRRMAAAKGNEYCLYDSQTLTAFTSSLYVAGLVASLVASRVTAAMGRQAVMLMGGALFFAGGAMTGAAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPSRWRGALTAGYQFFLALGVLTANLVNYATARAPWGWRVSLGLAGAPAVVIFVGALFLSDTPSSLVMRGRADGARAALLRVRGPDADVDAELRDIAKAVEAARRSEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLAFFAPLVFRTVGFGSNAALMGAVINGAVNLGSLLLSTLVIDRYGRKVLFMVGGIQMVIAQVGMAWIMGAKIGKSGEAAMAHPYAVAVLVFTCLHTAGFGWSWGPLGWVIPSEIFPVDIRSAGQAMNVSIGLCLTFVQTQSFLAMLCRFKYATFAYYAAWVAVMTVFIALFLPETKGIPLESMGTIWVKHWYWKRFVHDGNSAVALT >PAN38145 pep chromosome:PHallii_v3.1:7:35173449:35180564:1 gene:PAHAL_7G150300 transcript:PAN38145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLELVALFAAAALLAARPPQAAIAADCPLDLSWPNYGLIASVCSDQNGHSKCCRYINAVLAVSSAMYANTTGTLGVPDEFSDACIANISDKLVSKGILPTAASFCGLGIKIQVSYQCVGMTTIVQMLQSPNFSDVTRSCATTLSDDITCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFVAFVSQGNISTVDTAGCFFSVQGLSALQANISGPAPDGLLAPDISPSPLTVHVPVVPPKHHHSYKLVLFPAIGALVTGLAILLVIILILLIRRKNKELKKIEGNNPLDAWSFSAVKKRQEGNSTIFGRFSYREMKKATRNFSTVLGGENGTVFRGQLPDGSVVAIRRIDSSPKQSQQEFCKEMEFLGRLHHRHLVGLRGFCLTRFERFQVHEYMENGSLQDHLHSPSKHLLPWKNRIQIAIDVANALEYLHFYCDPPLYHGDVKPSNVFLDKNYLAKLAGCSLGHRCPTSGNTTPSSTPVNVKIQATPGYVDPEYAVTQEVTPKSDVYGYGVLLLELVTGKPVVQGERSLVEWSRELIGTDCRLHELVDPAVAGAFDLDELQVVADVIHWCTHRDGAARPSMKQVLRILHERLDPLSGRFARAVEGEEGYYYYAGGGGGRAKGKRAGGGGGEAAIQFSGEAARSWLPSSSSTSRSHCSRSVLLECSSPEQQLSPPPAYGNGAFPA >PAN38547 pep chromosome:PHallii_v3.1:7:37069212:37071880:-1 gene:PAHAL_7G179500 transcript:PAN38547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSVLPKVVDDATDQSTPRRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGAVALLASWGITLYTLWLLIQLHECVPGVRFDRLRDLGAHALGPRLGPWVVVPQQLIVQLGCDMVYMVTGGTCLQKFAESVCPTCAPLHQSYWICIFGSFQFLLSQFPNLDSITAVSFLAAAMSLSYSTISWAACVARGPVSGVSYAYKAGTAADSTFRVFSALGQVAFAYAGHGVVLEIQATIPSTPTKPSRAPMWKGTVAAYLVTAACYFPVALVGYWAFGRDVGDNVLVALQRPPWLVAAANMMVVIHVLGSYQVYAMPIFETMETILITRFRVPQGWLLRLVARSAYVAFTLFIAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPPRFSASWLANWGCIVVGVLLMLASTIGGLRSIIQDASTFQFYS >PAN40695 pep chromosome:PHallii_v3.1:7:46884728:46891155:-1 gene:PAHAL_7G333800 transcript:PAN40695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRESNSWCLKRKLVEDCLSKECKSRRVKAENGPSFGSSAKRCNCCCIRPNLANDCVNFLKSGVPKHIMYYKQGSWHNFPEQIMKFLIEEFSGNKSSVVSVMDDDPVLIDFLSMTMVNLTSRKQQSVAWIDDTSKCFSPSLFFDEEIDDMVKGDTANVQGSVQGIMLDKAVNSPPEVVKQVVVESSLPASQKPSSADILRKKIISVERGCKDFLFVQDLFLSGMTPFATPNNLLHVYRYSPNDITAQCRLQAFERQIVSTKEKRGDANVRYGWLGSRKNDIVRILINGLGTTGKPAEKSDLSAGVYLSPENRTFTSVGLCDVDEKGVQYILLCQVILGNTEAVEPGSQEFFPSSGIYDSGVDDCLNPKCYVMWPSHLSTHMRLEYLVSFKLSPDVRSYLLHLKGLWFRPSPKEVAANVSTLQPASCEIGEGPTTPWISFKVLFGLVQDNISSIARELLFHHYEELKESKITREEMVRKMMIIVGKKILLEALNKLHYCPSLWYKSSVKAVSSDPATPAAAEQLSLNETSGDTLIGNHVDSHAPNSMAEHSAANSTKGCGALPTDIPKGHDCPTPCAVPKISGSAAAKSPVSQGVESKGNPGQLISPGNSATRFARYQDPIVTRMPPISRDGPLGTTSRISASPGMEVCNSVSPVTGLPGCASPAPTNTSKSPGILAPGFSPIPKGCESIVPTLALGNSIGASSKCINSAPVTPGGQGFLSLSSAPQRPVPHSVKGPDSSTSAAKPPLYAPGRRHSPSVSTGPFDSLMTAKGHDPSASRAETKRHASPTAAITPESHRPQAMDEATKVPNASKLITGEPKDQAAQNKLPGPGLEGSSHAVCATDTPVALSTPQEKGER >PAN40693 pep chromosome:PHallii_v3.1:7:46884687:46891170:-1 gene:PAHAL_7G333800 transcript:PAN40693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRESNSWCLKRKLVEDCLSKECKSRRVKAENGPSFGSSAKRCNCCCIRPNLANDCVNFLKSGVPKHIMYYKQGSWHNFPEQIMKFLIEEFSGNKSSVVSVMDDDPVLIDFLSMTMVNLTSRKQQSVAWIDDTSKCFSPSLFFDEEIDDMVKGDTANVQGSVQGIMLDKAVNSPPEVVKQVVVESSLPASQKPSSADILRKKIISVERGCKDFLFVQDLFLSGMTPFATPNNLLHVYRYSPNDITAQCRLQAFERQIVSTKEKRGDANVRYGWLGSRKNDIVRILINGLGTTGKPAEKSDLSAGVYLSPENRTFTSVGLCDVDEKGVQYILLCQVILGNTEAVEPGSQEFFPSSGIYDSGVDDCLNPKCYVMWPSHLSTHMRLEYLVSFKLSPDVRSYLLHLKGLWFRPSPKEVAANVSTLQPASCEIGEGPTTPWISFKVLFGLVQDNISSIARELLFHHYEELKESKITREEMVRKMMIIVGKKILLEALNKLHYCPSLWYKSSVKAVSSDPATPAAAEQLSLNETSGDTLIGNHVDSHAPNSMAEHSAANSTKGCGALPTDIPKGHDCPTPCAVPKISGSAAAKSPVSQGVESKGNPGQLISPGNSATRFARYQDPIVTRMPPISRDGPLGTTSRISASPGMEVCNSVSPVTGLPGCASPAPTNTSKSPGILAPGFSPIPKGCESIVPTLALGNSIGASSKCINSAPVTPGGQGFLSLSSAPQRPVPHSVKGPDSSTSAAKPPLYAPGRRHSPSVSTGPFDSLMTAKGHDPSASRAETKRHASPTAAITPESHRPQAMDEATKVPNASKLITGEPKDQAAQNKLPGPGLEGSSHAVCATDTPVALSTPQEKGER >PAN40694 pep chromosome:PHallii_v3.1:7:46884696:46891165:-1 gene:PAHAL_7G333800 transcript:PAN40694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRESNSWCLKRKLVEDCLSKECKSRRVKAENGPSFGSSAKRCNCCCIRPNLANDCVNFLKSGVPKHIMYYKQGSWHNFPEQIMKFLIEEFSGNKSSVVSVMDDDPVLIDFLSMTMVNLTSRKQQSVAWIDDTSKCFSPSLFFDEEIDDMVKGDTANVQGSVQGIMLDKAVNSPPEVVKQVVVESSLPASQKPSSADILRKKIISVERGCKDFLFVQDLFLSGMTPFATPNNLLHVYRYSPNDITAQCRLQAFERQIVSTKEKRGDANVRYGWLGSRKNDIVRILINGLGTTGKPAEKSDLSAGVYLSPENRTFTSVGLCDVDEKGVQYILLCQVILGNTEAVEPGSQEFFPSSGIYDSGVDDCLNPKCYVMWPSHLSTHMRLEYLVSFKLSPDVRSYLLHLKGLWFRPSPKEVAANVSTLQPASCEIGEGPTTPWISFKVLFGLVQDNISSIARELLFHHYEELKESKITREEMVRKMMIIVGKKILLEALNKLHYCPSLWYKSSVKAVSSDPATPAAAEQLSLNETSGDTLIGNHVDSHAPNSMAEHSAANSTKGCGALPTDIPKGHDCPTPCAVPKISGSAAAKSPVSQGVESKGNPGQLISPGNSATRFARYQDPIVTRMPPISRDGPLGTTSRISASPGMEVCNSVSPVTGLPGCASPAPTNTSKSPGILAPGFSPIPKGCESIVPTLALGNSIGASSKCINSAPVTPGGQGFLSLSSAPQRPVPHSVKGPDSSTSAAKPPLYAPGRRHSPSVSTGPFDSLMTAKGHDPSASRAETKRHASPTAAITPESHRPQAMDEATKVPNASKLITGEPKDQAAQNKLPGPGLEGSSHAVCATDTPVALSTPQEKGER >PVH36057 pep chromosome:PHallii_v3.1:7:47629334:47630831:-1 gene:PAHAL_7G346100 transcript:PVH36057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDTTTTEAPATVGGYELLERLGGRPPSTVVWRAVSRSTGAPVAVKQVRLAGLPARLRDSLDCEVRFLAAVSHPNIIRLIDVIQTQSCLYLVLELCEGGDLAAFIRRNGRVAERVARNFMKQIGAGLQVLRRHQVVHRDLKPQNILLSSPSSDAILKISDFGLARVLRPGEYADTACGSCLYMAPEVMLFQKYDDKVDMWSIGAILFELLNGYPPFHGRSNVQLLQCINRSTSLPFSKPLLSSLHPDSVEMCTRLLCANPVNRLSFQEFFDHSFFRV >PVH34744 pep chromosome:PHallii_v3.1:7:4261982:4263637:-1 gene:PAHAL_7G021400 transcript:PVH34744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSNSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHNSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEAIDPSLEKLDHETFDKVNASTSCDDLLIDANATNIVPKLAPSREKELMDQVASLKSCVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTSSPEIKTSFIKEVGSYCQHCQVTGYHTRECTLPSRSLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVTKTQK >PAN38685 pep chromosome:PHallii_v3.1:7:37626549:37633580:-1 gene:PAHAL_7G189400 transcript:PAN38685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQEKAAACCRAAPPRVAGGAPPVPVRAIAAPPPGKVVAIAAGGGERVAASAAGAGGAVIEEIAAVQPTTAKASSKGIPIMTRAQRCHPLDPLSAAEIAVAVATVRAAGRSPEERDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRSKGSAVIPSRLPPRRARLVVYNKQSNETSIWIVELSEVHAATRGGHHRGKVISSGVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYGEADAPSRRLGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNTVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVESAGTHNVHNNAFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEVFPGGEFPNQNPRIHEGLATWVQKDRPLEETNIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSSSDAEVKEAESPKAIQNSSLISKL >PVH35106 pep chromosome:PHallii_v3.1:7:30022643:30024463:-1 gene:PAHAL_7G106300 transcript:PVH35106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSPIKALKFLKDHKEYIDFALVVVNMKEMHGFEFLDISREFHKNLQVIMMSDEITWPTMKRSVELGARFLIKKPHDAKTINDLWQHLDTRNRREKIEDIFQGIEGKKDDVFKSDNEFREGANKQKVTQLMWTPFLQQKFLQALELLGEAATPKMIQLIMNVDSIDRNQVSAHLQKHRKKIEKELRNSSAKKCSNGASSSQPNTSQYDPEIQSVDTWDEDMSWDQTESTNDIQGENNMIEAMRRALRLGTVFDESQLPNDPSGKQASKGEVDMMGDGNISETQNADNAKGAMGKCDSDKQVPSGIAQGRVVMLVTYSDLKMVKWSRLRKQ >PAN38418 pep chromosome:PHallii_v3.1:7:36547272:36549012:1 gene:PAHAL_7G170800 transcript:PAN38418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRTPPGRCSRPRQLAASAAAPPASVSLAALALPCRLPTHAAAPSPQRIRRLRRGLRLRDARASSLGGEEEARRPPRLLCLHGFRTSAEIMRRQVVGRWPEEVTSRLDLVFADGPFLAEGASPVADIFDPPYYEWFQFVGKVSGGQDPIECRNLDMCLSYLEELMIREGPFDGLLGFSQGAVVSAVLTGLQEQGLAFNGLAKVKCVMVMSGGKIQAPPAAARAFASKIMCPSLHFIGDNDFTKAHGEELVEAFADPLVIRHPAGHTVPKLDDKGLQIMLTYLDKIEREIWEHSSTDAKIMASNSEG >PVH35639 pep chromosome:PHallii_v3.1:7:40423408:40424616:-1 gene:PAHAL_7G233000 transcript:PVH35639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGAPGTGAPTSTPRSHASAPVALPLPWLYAPAALRSRSAFSCVLFRSRRSAFHALRRPQLQIGGRLAFCHLAASGSSSARADPGAATPSRAPSPRPAPASWSCSCPGARRRPPVGPRRGPRRQGSPAGQQQERRAPASVPGRSH >PAN38096 pep chromosome:PHallii_v3.1:7:34851764:34854637:1 gene:PAHAL_7G146400 transcript:PAN38096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLCCSAPVTHVPFLAVLLLVVLQQPRSLPLAVAARTNLTAGATMAPPDYITSPSGGFAFGFRAFTSDPTKFLLATWFRFGGDDDSSQPQPESVVWFAKQSPSGATPNATAQSVLSITADGQLTLTDGSNQVLWTPTLERGSVLALRDSGNLQFLSDSGSQVLWESFWYPTDTLLPGQSLVYDLARSEGKVFAKRADAEFTTGRFSMGIQSDGNVVLYVDLLKGNDPHNAYWQAYTNSPDGNTTITFDDRGRLNCTLHNGTVSSLIKPVASFAAGEYFQFARMDPDGIVRTYIRPKDGGTGNTSWTVSGAFPDYGCIKWTSGLQGMCGPGSYCLSAPTPSSRDRLNCVCPSGYNYTDEQHRDSGCTPAFEPHSCDGENSSDEFTLVELLNTTWEASIYYKKFSSVTEEQCREYCLSDCFCAAALMIGGSDCAEVAVLTNGWRANGVTTKALIKVRTRNYSQVISRTRNAFAYKVIAISLAFLLIITIGGLVAQHCVTKNRERQRLLSPSVRSFSWKELHEATNGFEKLLGKGSFGEVYKGTIRSPQPHTIAVKKLISSNEYSEQEFTNEVQSIGQIHHRNLVRMIGYCKEGNHRMLVFEFMSGGSLRSFLFNPEKRPPWRWRAEAALAISRGLEYLHDGCIAPIIHCDIKPDNILLDDHGIPKITDFGISKLLGSQQVHTTVTHVRGTRGYIAPEWLHTSSRVDTKADVYSFGVVLLEMICCRKCHEPVTPDLPQRAEEDETVTLFGWAAQLVSARRTELMLHGDADVDTVEDLERVERFARVALWCIEPNPLLRPTMHQVVQMLETSRTRVEALPDLADCYMESSPLIPQLKIE >PVH34734 pep chromosome:PHallii_v3.1:7:3884010:3885026:1 gene:PAHAL_7G019300 transcript:PVH34734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLNGGEQAIANKEDNLPRLIPRNSWLMLFLAATQTSCGADWPCAAAAAGPVPPAFIMPSPSSPPPPSGINTGRFRTSPPLGGCGDIIFMYGCTKVFEALLQNTKCGPIFRK >PAN40254 pep chromosome:PHallii_v3.1:7:44929062:44930208:1 gene:PAHAL_7G303100 transcript:PAN40254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRVTGTVKWFSGTKGFGFITPDDGGQDLFVHQSSIKADGYPNIKDGDTVEFTVGADNDGRAKALDVSAPGGGALAGGERPDGGYGGRGGGDRGYGGGGGGGYGGGGYGGGGGYGSGGDRGYGGGGGYGGGGGGRGCYKCGEEGHMARDCSQNGGGGYGGGGGGRGCYKCGEEGHMARDCSQNGGGGYGGGRGCYNCGEEGHISRECPKRR >PAN39616 pep chromosome:PHallii_v3.1:7:41854641:41869565:-1 gene:PAHAL_7G255700 transcript:PAN39616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICDLDMAMRVENGRPYQVPIMVRKKRTGPGGSGETSGESSGASGQGSSQRPERTQQHGGGRGWVPQQGGRGGGQHQGRGGHYQGRGGPGPHHPGGGPPEYHPRDYQGRGGEYQGRGGDYQGRGGPRPRGGMPQPYYGGHRGGIVGRNVPPGPSRTVPELHQAPYVQYQAPMVSPSPSGPGSSSQPMAEGSSGHVQQQFQQLAIRGQTSTSQEIQVAPASSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMGELVTLYRQSQLGGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEDSLSGGQGGQRRERVFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFMETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYYEDPYAQEFGIRIDERLAAVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGARGPPPGARSSRAAGSVAVRPLPALKENVKRVMFYC >PVH36081 pep chromosome:PHallii_v3.1:7:47881871:47883068:-1 gene:PAHAL_7G349600 transcript:PVH36081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARLRRARAMAPVLLGDRLIPVLPLANPFTIPATGSSCISHHGIVLLFFRDTDLYFAGFRRAVVTVLPDGSLQEDWGNCFIFNDIVKQVPSFMNAVKMGIGSAHPSISNLPGPDAMEDIFYTLAGFEDPVYIHQTTENNVTTREVRGLTEALKHELELAVSSIILIFCEALRLRSLYNADHAALQGPDYVTPLPSRLWILLHSWGKLSEHVLRMRKRRTMTLDSALPQKPEFLAHDFPTMTLDDLIGPAGELLLCKLDVYEIIKPDHLERRSKERGARTNLTEPNL >PAN38255 pep chromosome:PHallii_v3.1:7:35653771:35656481:1 gene:PAHAL_7G158000 transcript:PAN38255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAARSEKPSQHRHPHHARQPRPPSRLHPAAPAHSPPLSLASASSAPPPPPASHGPRKAMRAPLAAIRPCVPRFRFAGLQPRADHAPGRSFV >PAN39649 pep chromosome:PHallii_v3.1:7:42079321:42086179:-1 gene:PAHAL_7G257800 transcript:PAN39649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OCL1 homeobox protein [Source: Projected from Oryza sativa (Os04g0569100)] MSFGDLLGGVGDAAGVPYTPCGVFASSPALSLAVADAGRRRDGSGERAAGGGGNAKDAPEAKNDSRSPMSGHLDVVLAGGGEDDEDGEDGNPRKRKKRYHRHTPHQIHQLEAMFKECPHPDEKQRAELSNLLGLQPRQVKFWFQNRRTQMKNQLERHENALLKQENDKLRAENLSIRGAMRDAACSGCGGPALLGEMSLEEHHLRLENARLRDELTRVCALAAKFIGKPLSPMALPLVQQPHPMPDSSLDLAATCVGSVPPSTMPFSTISELAGSVSSQMGTVISPVVTTPLAMGSADKSMFVQLAMRAMDELVKMAQMNEPLWIPSVSSPSSATMEALNWKEYSKTFLPCVGVKPIGFVSEASREFGIVTIDSAALVEVFMDERRWSDMFSCIVATASTIEEISAGVAGSRDGALLLMQAELQVLSPLVPRREVTFLRFCNQLAEGVWAVVDVSVDGLERDQCLVTNMNCRRLPSGCVVQDTPNGCKVTWVEHTEYHEASVHQLYRPLLRSGLALGAGRWLATLQRQCECLAILMSSVAVPEHDSSAVALEAQGKRSLLRLAQRMMENFCAGVSASSAEWNKLDGLTGSMRKDVRVMVRKSVDEPGVPPGVVLSAATAVWMPVTPERLFNFLRNEGMRAEWDILSNGGPMQQMLRIAKGQLDGNSVTLLRADPTNTHLNSILILQETCTDKSGAMVVYAPVDFPAMQLVMGGGDSTYVALLPSGFAILPGGSSAGGVGHKTSGSLLTVAFQILVNSQPTAKLTLESVDTVYNLISCTIEKIKASLHCEV >PAN40471 pep chromosome:PHallii_v3.1:7:45824798:45828129:1 gene:PAHAL_7G317900 transcript:PAN40471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLFSSTHSAEAKISVLALQLFILTTAVAAAAPVALPGCPETCGNITVPYPFGTRPGCFREDFNLTCEETPGRQPKLLVGDGVEVVGISLPEGTVRIHSKMLGVSLPLNTTSLPFNASWSAGLMATGQRLAVSTKHNRFVAMGCNLLASLVIDSDASYSVSRYVSFCAALCSGDSEVSETSCSGVGCCHTPIALGLPSYMVQLSELAVESSGVGAFGVGLRALFIADQEWFSRKGARLHREYFGNQQRTIDTKVIPTVLEWWLDSNRDRDLFGWGPDGYSIICLSLNSNFIVYDRDGRNFRCNCSNGFEGNPYIANGCQDIDECQHPDLYPCHGTCINLPGTYRCSSKKNISSLPGLITIIAVSAGFGLLFSVLGVAKINNKFKQRRAKKLRQNFFKKNHGLLLQQLISSNKDIAERAKIFSLEELDQATNKFDQNRILGGGGHGTVYKGILSDQHVVAIKKSKIVVQSEIDQFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSYHLHGQNENSLSWKDRLRIALETARAIAYLHCAASRSVFHRDIKSANILLTDILTAKVSDFGASRSISIDETGVHTAIQGTHGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRITPVFSSQSSESMSLASYFVSLIRDNRLSDILDSQIVEEGGTADAEVVAKLAEACLSLKGVDRPTMRQVETTLEDVQNSNVHHNSHITRVTQNVTKDQSYNGSKGSEGTRLYSLEKEFIQSSEIPR >PAN36827 pep chromosome:PHallii_v3.1:7:960655:964285:1 gene:PAHAL_7G004000 transcript:PAN36827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASESTLKSLLGKLGSLLAQEYTLIRGVGRDLQYITDELRTMQSFLRDLGDGEQDHRMKDWMKQIRDMTYDVEDCVDDSGQRIHNPRWLRGDMCCYFLVSNGYEVLTWWPRRDIATRISDLKHRAQQISERRQRYGVNNPEAAKDRKSAAEGFDAAGNQDRSLALVASSDPVGVDEFMGKLENWVTDKTNKAAVLSIVGYGGVGKTSIATALYNKLGDQFGCRAMVTVSQSSNIEAILDSIRTQVKPQSNQHHERRRQGSSEENGHLAAALQGLRGRITRGKSVIKAKCRCLGTSHETDGRGTKRNQLNDELKGHFQKNSYLVVIDDVWSATTLDDIRKAFPQTDKHSEQGRIIVTTRFPAVATARRGQQGDRVHKVVPLSLEKSKELFNHAHSESKPSENVSVPDEVWKTCGGLPLAIVAMAGYVACNAHKHLDWDKIYTKLFPEKKRREELKSGDILSSGSTPAEEGKDTRKGLTQEELGRIVSHCYNDMPAEIITCSLYLSIFPKGSRIGRKRLIRRWIAEGFVSEKDGMSVEDVAETYFGHLVRRKMIRPVEHSSSGKIKQCVVHDMVLEHIVSKASEENFITVVGGHWLMYQPSSKVRRLSLQGSDPKRAKDTEKMNLSHVRSLTMFESLKQLPSNSFKFGTIVQVLDLEGCTDIKEQHVKEICGMLLLKYLSLRRTDTKELPKTIGKLENIETLDIRETKIVNLPKEVCGLERLINILGGDKETRRALKLPEAFVKKQKMKALRILSGIEIAGELADLHHLTDLRKLAIYKLELTGDNANLKLSSSIQYLCGYSLHTLVIHDESSKFLKCLDEMTSPPESLIALELCGMMVQLPVWITQLDAVTKLTLSITALRKDNLSKLSNLKTLFSLTFTLAAEKQDPETMSILAKNKLFSDGHIIFPDGGFENLKLLHLCAPVIPLVSFMENGMAKLERLEVQFKMLEGIYGTENLARLEEVYLRLNDKDGEAMTNQIVEEIKSAVRGNTAKKSIARIILHIATTD >PAN37439 pep chromosome:PHallii_v3.1:7:27127304:27128189:1 gene:PAHAL_7G093200 transcript:PAN37439 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECE1 [Source:Projected from Arabidopsis thaliana (AT4G14870) UniProtKB/TrEMBL;Acc:A0A178UZ24] MAATPTTPFPHLLAPAPPHLAARPSFSSTLRTPSISFSYSRHYRHRLAAASRDTASNKGQEQEQEPAPSAAAEAADGGAAEDTGPGDSPGEKSPEAVAVELKEVLRARKAAEAAKGGGGWWAGVAQEMSEIEWPAPGKVVGTTGVVLGVIAGSTVALLSVNALLAELSDRVFAGRGLQDFFG >PAN37188 pep chromosome:PHallii_v3.1:7:22227035:22228165:1 gene:PAHAL_7G073900 transcript:PAN37188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTAFLLAILGCTFLCSSVLAARELSDAAMVERHERWVAEYGRVYKDSAEKAQRFEVFKDNVAFIESFNAGNTKFWLAVNQFADLTNDEFKVTKVNKGLKPISTRVPNGFKYENMSVNELPTAVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGNLISLSEQELVDCDTHSMDEGCEGGWMDSAFEFVIKNGGLSTESSYPYKAVDGKCKGGSKSAATIKGHEDVPVNNEAALMKAVANQPVSVAVDAGDRTFQFYSGGVMTGSCGTELDHGIAAIGYGVETDGTKYWLLKNSWGTTWGEKGFLRMEKDISDKRGMCGLAMKPSYPTE >PAN38572 pep chromosome:PHallii_v3.1:7:37194411:37196729:1 gene:PAHAL_7G181700 transcript:PAN38572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQPFSRHDGFFASLQRVEDRLASEQHQEQKRDPAPPPPAACQPEPAPFSDTMTTASPLLLLDPAPPSVAASRDSSGPALDFLTALTEQDARVQQDDDDDNGGGGVEEDIARLMALLGLSPPPPDGDGDGGCDAEGGTGGCDCSGADGFLAKVVGVAGPKCDGENRRLDAWIRHYYHRGEGGGCRVREPARLAHLLLARASSDAAAVASPPTVEDFLDRYPK >PAN37571 pep chromosome:PHallii_v3.1:7:29952238:29956075:-1 gene:PAHAL_7G105200 transcript:PAN37571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDHVAAAKHLRVLLPFTCDSLRVPDELAEDIGAGEALVVGPLGSKGKVFWRVEVGRDGDGAFLGRGWPELADACGVDAGWLLVLRHRGRGVLTVKAFDDTRCLSDLGAPAPPPVEAAVSGKATARKPQFIIVLPTDFMEKMLIPAKFVQQHIPKEHRNNHMAIVLGPHGKVYNIKLEADRSDMFFTGGWSQFMVFHDITESNALLVRYEGSMVFTVKVFGSDGFQRYSKQKENKAQQRSTLPHSEKLQKAPSVSIQNRKRKNKTSLQRNSIYKIGPPSWIKKQINTNTLEKHLALAKAFCDAIGLWEPCTITLRVSTTDGTRSWLVHGLPCKTSSYLLVQGWRQFCQENHLKEGDTCTFNVIETTLWNVLITCHEEKMNQFCYQETPKSKKDKSSTDGQKMIQRSMNYLNKGRTKSVFEIGPPAWIKKEINACTIESHLNLPLAFCEAIGLRQRCMITLKTSTSSTKSWQARLNVYQNCCQLVGGWKSFCLDNGIRVGDVCTMQIIETTLWNVTVDRREDSTR >PVH35094 pep chromosome:PHallii_v3.1:7:29952269:29956075:-1 gene:PAHAL_7G105200 transcript:PVH35094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDHVAAAKHLRVLLPFTCDSLRVPDELAEDIGAGEALVVGPLGSKGKVFWRVEVGRDGDGAFLGRGWPELADACGVDAGWLLVLRHRGRGVLTVKAFDDTRCLSDLGAPAPPPVEAAVSGKATARKPQFIIVLPTDFMEKMLIPAKFVQQHIPKEHRNNHMAIVLGPHGKVYNIKLEADRSDMFFTGGWSQFMVFHDITESNALLVRYEGSMVFTVKVFGSDGFQRYSKQKENKAQQRSTLPHSEKLQKAPSVSIQNRKRKNKTSLQRNSIYKIGPPSWIKKQINTNTLEKHLALAKAFCDAIGLWEPCTITLRVSTTDGTRSWLVHGLPCKTSSYLLVQGWRQFCQENHLKEGDTCTFNVIETTLWNVLITCHEEKMNQFCYQETPKSKKDKSSTDGQKMIQRSMNYLNKGRTKSVFEIGPPAWIKKEINACTIEKFATGLLRGDWTSTTLHDHAQNFYQQHQVLAGAPKRVPELLPAGWRLEEFLPR >PVH35031 pep chromosome:PHallii_v3.1:7:26273355:26275497:1 gene:PAHAL_7G089700 transcript:PVH35031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLWVSIRNVRDNVRSIRTYIRSIRVPFHQRLVFISEGINNPVPLQPALSCLVSS >PAN37827 pep chromosome:PHallii_v3.1:7:32717859:32721303:1 gene:PAHAL_7G125900 transcript:PAN37827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKKEESEKPKEAAPAEEKPKDAPPAEEKPKEGAGEEKPKEGAGEEKKEEAPPPPPPPPEEVEMRVYMHCEGCARKVKKILRRFDGVEDVIADSKAHKVVVKGKKAAADPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKKEPEPPKPEEKKEPPVIAVVLKVHMHCEACAEGIRKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVYKRSGKHAAIIKSEPVPPAESAGGDDKAKEEKAAEGGSEEKKEGSKEEKKDGKEGGGEEKKEEKKEKEGGDAAAGDEKDKADKEKDSAAIAAANLYMHYPRFAFPGGYYPPPPLPPPGYVYQPAYPPPPAYAPHHQAMAPQIFSDENPNACYIM >PVH35339 pep chromosome:PHallii_v3.1:7:35582993:35583610:-1 gene:PAHAL_7G156900 transcript:PVH35339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHMETTKHLCLHWTDGLISVPMTGMEIEDWWNLSLQAASAENRSRVAAVLI >PVH35122 pep chromosome:PHallii_v3.1:7:30645089:30646000:1 gene:PAHAL_7G109600 transcript:PVH35122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKISSASSSRHSWNSASFRDEMSVDSSRRTSIFEEDITPAVPRSRVDIRIHILEMIEQIVIRNDYEREALELLKKQSFSHVKIFEPLFLIKTGLKQDMNPVFAYAGWEDFTDITEASSHLLST >PAN37726 pep chromosome:PHallii_v3.1:7:31793893:31795317:1 gene:PAHAL_7G117400 transcript:PAN37726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARRAHVVLFPFMAEGHLAPFRCLAELARRARPGARVTVVVTPGTAGPLRASLAADGLGGDVGVHALPFDPAGHGLPAGAGIASHQLITLFAASESLRPAFRNLVAGLRAADPGADVHVMADMFLGWTLDVAREAGASHSAVLTTGGYGAAVYFSLWNSVPLPADDAFPLPPFPDVTVCRSQLTDHLAAADGKDAWSTFVSKQIAAFAHTDALLVNTTENLDPKGLAMLRQLFDVPIYPVGPLLRVTPPAPALGAKNRGAILGWLDKQPPCSVLYVSFGSQYTISASQMMELAVGLERSAHRFVWVVRPPAGSDVDGEFRPEWLPEGFRERAEAGGRGLVARCWAPQVEILAHAAAGAFLTHCGWNSVQESLGSGVPLLGWPLSAEQFYNAKVLAEEMGVCVEVARGTRAALSGEKLAAAVEAVLGDTAERAGMRRRAAEMREVIAAARESGGEGSSLKVMERFFADVVHC >PAN39659 pep chromosome:PHallii_v3.1:7:42147613:42150044:-1 gene:PAHAL_7G258500 transcript:PAN39659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAYVRLPGGAAFAALVLLMLLLLYAVHRWRNPRCRGRLPPGSMGLPLVGETLQFFSPDASFDVPRFVRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGRDNVGEQQGAMFRYLKNMVLRYFGPESLRESVLRDVECAVSSSLCTWSTLPDVELKEAVSTMVFDLSANKLLSLEPSRSKVLRRSFFDFVQGLISFPLYLPGTAYYSCMKGRQSAMEVLQEALEERKRSVEAPGGAGGDERARRRGDFLDCVVQEITREKPLVTDKMALDLMFVLLFASFHTTSLALTLAVKLLADHPHVLEELTVEHEAILNDRKPGRDSDGITWMEYKSMTFTSQVINETVRLANIAPGIFRKALKEIQFKGYTIPAGWGVMVCPPAVHLNPDIYPDPLTFNPSRFKDKPEINRGSRHFMAFGGGLRFCVGADFSKLQMSIFLHFLVTRYRWKNLGGGKIVRSPGLEFPDGYHVQIRQCD >PAN39660 pep chromosome:PHallii_v3.1:7:42147228:42150148:-1 gene:PAHAL_7G258500 transcript:PAN39660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAYVRLPGGAAFAALVLLMLLLLYAVHRWRNPRCRGRLPPGSMGLPLVGETLQFFSPDASFDVPRFVRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGRDNVGEQQGAMFRYLKNMVLRYFGPESLRESVLRDVECAVSSSLCTWSTLPDVELKEAVSTMVFDLSANKLLSLEPSRSKGRQSAMEVLQEALEERKRSVEAPGGAGGDERARRRGDFLDCVVQEITREKPLVTDKMALDLMFVLLFASFHTTSLALTLAVKLLADHPHVLEELTVEHEAILNDRKPGRDSDGITWMEYKSMTFTSQVINETVRLANIAPGIFRKALKEIQFKGYTIPAGWGVMVCPPAVHLNPDIYPDPLTFNPSRFKDKPEINRGSRHFMAFGGGLRFCVGADFSKLQMSIFLHFLVTRYRWKNLGGGKIVRSPGLEFPDGYHVQIRQCD >PAN40845 pep chromosome:PHallii_v3.1:7:47623503:47627093:1 gene:PAHAL_7G345900 transcript:PAN40845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQATPLKTLAFSRRRAGGAALRPRQMASFRCSAAARSYNITLLPGDGIGPEVVAVAKDVLSLAGAIEGVELRFQEKLMGGSALDATGVPLPDETLAAARDSDAVLLGAIGGYKWDNNEKNLKPETGLLQLRAGLRVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGTNDNGEETGFNTEVYSASEIDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRRRVTALASEFPDIELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVCESDKANPLATILSAAMLLRYGLGEENAAKRIEAAVTETLNQGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQKAVAAIN >PAN40844 pep chromosome:PHallii_v3.1:7:47623503:47627093:1 gene:PAHAL_7G345900 transcript:PAN40844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQATPLKTLAFSRRRAGGAALRPRQMASFRCSAAARSYNITLLPGDGIGPEVVAVAKDVLSLAGAIEGVELRFQEKLMGGSALDATGVPLPDETLAAARDSDAVLLGAIGGYKWDNNEKNLKPETGLLQLRAGLRVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGTNDNGEETGFNTEVYSASEIDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRRRVTALASEFPDIELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVCESGPSLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRIEAAVTETLNQGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQKAVAAIN >PAN38371 pep chromosome:PHallii_v3.1:7:33612591:33614255:-1 gene:PAHAL_7G133600 transcript:PAN38371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPKYMGGLGFRDIEFFNLALLARQAWRLLINLNSLCAQILKAAYFPSGDILRAQNWNVHAYSLKTWHHERNGLFTIRSAYRMLIEAKRRREDYFEGRGSCSDAGKIYKEWKQQWKLKLPSKIKVFCWRLALDSIPTASVLKRKNLAATPECKICGAEDDTWDHSLLHCTMSRCVWALLDEDLSELLASLRIVDPKQWLFFMCSNVPQAESTHILVTCWAIWNARRKFIHEGIMQSPFSIMTMAKRLIEELEFVNRAQSKEISKCQPRLGSQCWRAPESGFSKLKTDAAVSKSGSYGAVAAVCRDNQGAFIACSALVVQNVSDLETLEAMACLEALALAEDCRINKVLVASDCLNVINNINVMPRCVYMMCTSQPSGGS >PAN38019 pep chromosome:PHallii_v3.1:7:34285024:34288636:-1 gene:PAHAL_7G140500 transcript:PAN38019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHITDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >PAN38899 pep chromosome:PHallii_v3.1:7:38600281:38603908:-1 gene:PAHAL_7G205700 transcript:PAN38899 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44050) UniProtKB/Swiss-Prot;Acc:O80575] MAAPPATSPAAAGSPCARLPSAPLRRSPAAVSFPSRPGPAALAALAGPSRRLDVAAAAGHQKLMGSLTSNEGLRFGVVVARFNEVVTNLLLQGALETFERYSVKAENITVVSVPGSFEVPITAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLNAGLSAGIPCVFGVLTCEDMDQALNRAGGKAGNKGAEAALTAIEMASLFRHHLG >PVH34728 pep chromosome:PHallii_v3.1:7:3579440:3580324:-1 gene:PAHAL_7G018100 transcript:PVH34728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPPSRANPSRRGISWEICPRTPPRLQVEYPQDQRPYPRCPKLHHPQILTEFTTTMGRNYFKRFWCQLHQDFYSSVVMRKGKAPIVPCKYVDWEYFERMNDPFFNQAIAKCKEFGLYDIMGFRYDWNEEILAQFHSSLFYDARQIAFFWTTEGVKYGVDYMTFSRLFGLGSEDEKRDPIHVEHQLKPSQLPALFYNPILAEAGNASTLQPFYYTMNQFFRATIDAKDGDATALRYFACNLLARVMPGGRPFSIMDFIWNELRRTMNDP >PAN40919 pep chromosome:PHallii_v3.1:7:47987033:47989004:1 gene:PAHAL_7G351800 transcript:PAN40919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAEIRMAAVFGEARPENGDHDADALPRRPVLFHAHAHFQGPLRVVATDLRSLAWHCSLDLDDLQDLQDDVGIGGSCSDFLDYLYSSFSSAQVKLLFPAAQGANTAELVATKAKGLPRITISLHPVATSALEDVIADFSLALYASYKTKQEHASREQERVSQLMESLASERQKNEVMQKQLEALSFLDRRKATRPKVVSDQVPSVSGAPLSSDQVIVPVQQQTLVASPSKVPPAKATKRVAHVSRRARVRGALLQDADENDDGSS >PAN37724 pep chromosome:PHallii_v3.1:7:31788756:31793189:1 gene:PAHAL_7G117300 transcript:PAN37724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDQISTGRKRSIHERLDGDLPAGAGSGGRARHMASKRQRQIDEKWKHDLYREDDEPASRSIGPRDLRLKLQMRSSELGFTSAKSSGVRDLREKLSGTMHPQPSNADPPKPKPVSEVVKISRREAADEMPARQSKKASKQPSAKKTSQPKAESPLDSFLSSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIILALESRA >PVH36058 pep chromosome:PHallii_v3.1:7:47655142:47660701:-1 gene:PAHAL_7G346200 transcript:PVH36058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDIEEGVGESSWPSAAAADRGGSSGGGGGGYTDIRKEIFDRLMAKGVEEVVSDPSAFREQLHRHFERLPASSYSIDLDVEKPEDVLLHRRILDECANNPNKRPIFHVRFLRCIHGPLDSEDKPQGPSTSENGNSGGSLTSTLREAEFRGSEPCERMMEDLSLERRKFVDDSDASSARRDTGFRRIHEIIFSTIDKTKLLAELSALLSAVGLNIREAHVFSTTDGFCLDVFVVDGWDTEETDGLLQKLKETAAHSHASLLNPTNSAATERILELQEKIGDSNVDRSLLQIREKIASGSSGDLFRGTYHGMDVAIKFLKAEHVNDSSKVEFLQEIMILKSVNHDNVVRFYGACTKQSKYVIVTEYMPGGNLYDFLHKQKNNLDLTMVLRIAIGISKGMDYLHQNNIVHRDLKTTNLLMGSDHVVKIADFGVSRHPSQDGDMTAETGTYRWMAPEVINHKPYDHRADVFSFAVVLWELVTSKIPYENLTPLQAALGVRQGMRLEIPPRVHPQLSKMIQQCWDENPNVRPSFAEITAELEDMLQHLQASKGTNRHSKAKLHKKVQR >PAN40868 pep chromosome:PHallii_v3.1:7:47654817:47661326:-1 gene:PAHAL_7G346200 transcript:PAN40868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDIEEGVGESSWPSAAAADRGGSSGGGGGGYTDIRKEIFDRLMAKGVEEVVSDPSAFREQLHRHFERLPASYSIDLDVEKPEDVLLHRRILDECANNPNKRPIFHVRFLRCIHGPLDSEDKPQGPSTSENGNSGGSLTSTLREAEFRGSEPCERMMEDLSLERRKFVDDSDASSARRDTGFRRIHEIIFSTIDKTKLLAELSALLSAVGLNIREAHVFSTTDGFCLDVFVVDGWDTEETDGLLQKLKETAAHSHASLLNPTNSAATERILELQEKIGDSNVDRSLLQIREKIASGSSGDLFRGTYHGMDVAIKFLKAEHVNDSSKVEFLQEIMILKSVNHDNVVRFYGACTKQSKYVIVTEYMPGGNLYDFLHKQKNNLDLTMVLRIAIGISKGMDYLHQNNIVHRDLKTTNLLMGSDHVVKIADFGVSRHPSQDGDMTAETGTYRWMAPEVINHKPYDHRADVFSFAVVLWELVTSKIPYENLTPLQAALGVRQGMRLEIPPRVHPQLSKMIQQCWDENPNVRPSFAEITAELEDMLQHLQASKGTNRHSKAKLHKKVQR >PAN39303 pep chromosome:PHallii_v3.1:7:40507030:40512130:1 gene:PAHAL_7G234500 transcript:PAN39303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRSALLIFLDRPASPDPNGPLYHKGWYHLFYQWNPDSAVWGNITWGHAVSRDLVHWLHLPLAMVPDHWYDANGVWSGSATKLPDGRIVMLYTGSTTESVQVQNLAEPADPSDPLLREWVKSDSNPILVPPPGIGLKDFRDPTTAWRVPNDTAWRVAIGSKDRSHAGLALVYRTEDFVRYDPAPALMRVVPGTGMWECVDFYPVAAAAGGEENGLETSVPPGPGVKHVVKASLDDDKHDYYAIGTYDAGADAWTPDDAANDVGVGLRYDYGKFYASKTFYDPVLRRRVLWGWVGETDSERADILKGWASVQSIPRTVLLDTKTGSNLLQWPVVEVENLRMSGKSFDGVALDRGSIVPLDVGKATQLDIEAVFEVDAAAVEGVTEADVGFNCSTSAGAAGRGLLGPFGLLVLADDDLSEQTAVYFYLAKGTDGSLKTFFCQDELRASRANDLVKRVYGSSVPVLHGEKLSVRILVDHSIVESFAQGGRTCITSRVYPTRAIYEAARVFLFNNATNAHVTAKSVKVWQLNSAYIRPYSASSL >PAN39304 pep chromosome:PHallii_v3.1:7:40506157:40512127:1 gene:PAHAL_7G234500 transcript:PAN39304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPVADPTMMDGDDARASLLPETAPRRAAPGGGGGWQKRPSSSTVLPAVVSAALLLVLAAVAILASQHADDGQGGVAAAAAGRVVEVAASRGAAEGVSEKSTAPLLGAGGALRDYAWTNAMLAWQRTAFHFQPPKNWMNDPNGPLYHKGWYHLFYQWNPDSAVWGNITWGHAVSRDLVHWLHLPLAMVPDHWYDANGVWSGSATKLPDGRIVMLYTGSTTESVQVQNLAEPADPSDPLLREWVKSDSNPILVPPPGIGLKDFRDPTTAWRVPNDTAWRVAIGSKDRSHAGLALVYRTEDFVRYDPAPALMRVVPGTGMWECVDFYPVAAAAGGEENGLETSVPPGPGVKHVVKASLDDDKHDYYAIGTYDAGADAWTPDDAANDVGVGLRYDYGKFYASKTFYDPVLRRRVLWGWVGETDSERADILKGWASVQSIPRTVLLDTKTGSNLLQWPVVEVENLRMSGKSFDGVALDRGSIVPLDVGKATQLDIEAVFEVDAAAVEGVTEADVGFNCSTSAGAAGRGLLGPFGLLVLADDDLSEQTAVYFYLAKGTDGSLKTFFCQDELRASRANDLVKRVYGSSVPVLHGEKLSVRILVDHSIVESFAQGGRTCITSRVYPTRAIYEAARVFLFNNATNAHVTAKSVKVWQLNSAYIRPYSASSL >PAN39412 pep chromosome:PHallii_v3.1:7:40913082:40916469:-1 gene:PAHAL_7G240800 transcript:PAN39412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSQRYGYGSAGFFEIVQGLHPRGIPKSEARGGAGVAVNKVKKYLAKMDRAVDYDFYDDNDLRYVRFKSPFNRRPLIGRRPPLGKNAGKRTLRLVGSSSPDYLRQCEEAAFGDFDDSDDWEGEV >PAN40616 pep chromosome:PHallii_v3.1:7:46606387:46609361:1 gene:PAHAL_7G328600 transcript:PAN40616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAAARKRSRPETANGAAAGGKRSKEMESFQTGQSSKSKPCTKFFSTIGCPFGEGCHFLHFVPGGYPAVAKMLNLGSPVVSAPARAPADHAAVANSHPASMAKTRMCTKYNTAEGCKFGDKCHFAHSERELGKPAYMSHEAPPMGGRFGGRPEPPPPVAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVSDLIATISASMPPKNPAPAGGRGGAPGGRSNYKTKLCENFVKGTCTFGERCHFAHGEDEQRRGAA >PVH35772 pep chromosome:PHallii_v3.1:7:43028603:43029769:1 gene:PAHAL_7G273100 transcript:PVH35772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSCTCRAQVAQILIAGTSETFPYLPRGQRRQFYTFNSTTICLRDAPAGVIFSKVNSCPMTPNPGQQPHQTFAPTWPTTETIQQQHGICWLLGSAYARPILRRRQEQEPRLALGLLAGTEVDDDGDQFPFLVELRRQGLEVLPMPRLLSLPIYFYGSTYRQWLGPRARSAAEHNQLDL >PAN39297 pep chromosome:PHallii_v3.1:7:40480637:40483289:-1 gene:PAHAL_7G234000 transcript:PAN39297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLCSQIKLSKAFVRRNVAENGQVSLARSLRWNPLQSGHFENLVLRCAKNLSWESSLPYASMEDDASIIKGPNVIEPIDTEEAPEIPIFQSNQDVVEVKNEPSMQLTAFKLPMWLIGPSILLVTGIVPTLWLPLPSVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPENNIFDLKKQVPVSYRFWNLTASIAGFIAPFALFFASCRGTLQPQLPFIPFAVLLGPYLLLLSVQMLTEMLTWRWKSPVWLVAPVVYEGYRVLQLMRGLQLADEISAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLSFTRNSRFGVSDDVNQ >PAN37152 pep chromosome:PHallii_v3.1:7:19726946:19728444:1 gene:PAHAL_7G064700 transcript:PAN37152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTVSQVVPSPAEDAAALLKAFQGWGTDEQAVIAILARRDATQRKQITLTYEQMYNESLLQRLQSELSGDFERAMCHWILDPDERQAVMANAAMKCIQEEYPVIIEIAGTNSPAELIQVKKAYHVLYKCSLEEDVAACTTGNLRSLLLALVSTYRYDGNDVNMGLAKSEAKIVHEAVRNGTIDHEELIRIVGTRSKAQLKATFSCFKDEHSSSITKALLHATDSTCYLRALRTTVRCIADANKYFAKVLRNATREAGTDEDTLTRVVVMHAEKDMEGISDAFQKRSSVTLEQVIAKETSGDYRSFLMALLGS >PVH35633 pep chromosome:PHallii_v3.1:7:40303855:40305807:1 gene:PAHAL_7G231000 transcript:PVH35633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G13250) UniProtKB/Swiss-Prot;Acc:Q0V7R1] MRVLAVVLLAAACAAAAAVASGGGGGELPEFREAPAFRNGAACAGAPTIHIAMTLDATYLRGSLAGVLSVLRHAACPESITFHFVASSTSPARRLTALRRALAAAFPTLPATVHRFDARLVRGKISSSVRRALDQPLNYARIYLADLLPRSVPRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWRHPEYAAVFANRTRVPCYFNTGVMVIDLDRWRAGGYTAKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLRRRRGARDDLLAAVA >PAN38377 pep chromosome:PHallii_v3.1:7:36364707:36367257:1 gene:PAHAL_7G167900 transcript:PAN38377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTHVQELDDAAAASAVVFASRYVQEPLPRYELGEKSISKDAAYQIIHDELLLDSSPRLNLASFVTTWMEPECDRLILEGINKNYADMDEYPVTTELQNRCVNIIARLFNAPVGAGEKAVGVGTVGSSEAIMLAGLAFKRRWQHRRKAAGKTYDRPNIVTGANVQVCWEKFARYFEVELKEVKLREGCYVMDPDEAVAMVDENTICVAAILGSTLTGEFEDVKRLNDLLAARNRRTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEDLIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYRNVMENCMESARILREGLERTGRFTIISKERGVPLVAFTLKGEDTSLAFRLSSELRRFGWIVPAYTMPADLEHMAVLRVVVREDFGRPLAERFLSHVRMALVALDDEARGGPVPRMRVTIELGPAAKGSGEEASARVVKRESVVAVQRSVSLAGGKTKGVC >PAN38370 pep chromosome:PHallii_v3.1:7:36316253:36318707:1 gene:PAHAL_7G167200 transcript:PAN38370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGSAAARSPRIPAFLAGPAGRPVPAVGLGTFSLPLVEDDVRAAALAALGLGYRHLDAAAVYGSERAVGEAVAEAARRGAVASRADVFVTTKVWCTQCHPDLVLPSLRESLRNLQMEYVDLYLVHWPMAVKPGKPHFPMKREDIVPLDLAGVWRAMEECHRLGLAKMIGVSNFTTGKLKELLATAKIPPAVNQVELNPSWQQQKLIEFCKEKGIHVTAYSPLGGQFGSRVLQSKVLHEIAQARGKSVAQISLRWIFEQGASMVVKSWKQERLKENTEIFDWELSDEERLKISQMPQHKVARVSGILCPKGVSSVDIAEVDVLEM >PVH35642 pep chromosome:PHallii_v3.1:7:40465486:40472891:1 gene:PAHAL_7G233700 transcript:PVH35642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARLLGISIFCLLLVRNSESFSDDVSALLAFKRAIYEDPLSKLSDWNSRDKDPCTWSGVGCSAFNSRVVTLELSNSSLQGFLAPEIGSLRYLQKLVLDHNTFLGSIPKDTGKLKNLIELNLSSNQLVGPIPSEIGDMPNIAKIDLHANRLDGAIPPELGKLGSLLELRLSNNRLTGTIPASNDSNMESTNSNDQIGLCQLSQLTDIDLSYNFLVGDIPTCLKQIQRSSLVGNCFQDNDTSNRPLQQCEINQGTGKDNHTDENEQKGLPEPLWLLILEVIAAVSFLCLLTLCTITGLRRCRARSSGSGNSAPWTRAVSWKENTVISIDDDLLVNVPKISRQELAEACEDFSNIIGSSHETVVYKGTLKDGQEIAVVSLSVSVHYWNDYVELYFQKEVIEMARLSHENIAKLVGYCKESEPLSRMLVFQYPPNGTLYEHLHDGEGWQLSWPRRMKLALAIARALRYLHTELQPPFAVAALTSSSIYLTEDYSPKIIDFERWRYLVTKPGLGSVNGGPVNSITDSRHKRFMDVQANTFAFGVILLELISGRASVSKDTGDLVDWARKHLEHPEEFSKLVDERLQRQSVNQESLGIVCNVVNLCIDPEPSRRPSMSMIAAILEEGIETSAATLMRDSSLAWAEAELAIS >PAN40320 pep chromosome:PHallii_v3.1:7:45108103:45110598:-1 gene:PAHAL_7G306100 transcript:PAN40320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEYEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGA >PAN40470 pep chromosome:PHallii_v3.1:7:45819375:45821133:-1 gene:PAHAL_7G317800 transcript:PAN40470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQSLACYTLALLFAAAAVSAQLSTDFYDETCPDALDIVESAVRAAVSKESRMGASLLRLHFHDCFVNGCDGSVLLDDAPGFTGEKTAQPNKNSLRGFEVIDDIKAQLEDACKKTVSCADILAVAARDSVVALGGPTWDVELGRRDGTTASLDDANNDLPAPTLDLADLIKAFSKKGLSATDMIALSGGHTIGQARCVNFRGRLYNETTSLDASLASSLKPRCPSATGNGDDNTSPLDPCTSYVFDNFYYKNLLRNKGLLHSDQQLFSGGSADAQTKAYASDMAGFFDDFRDAMVKMGGIGVVTGSGGQVRVNCRKAN >PAN40702 pep chromosome:PHallii_v3.1:7:46912165:46917776:-1 gene:PAHAL_7G334400 transcript:PAN40702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSSMGFHQGITASLYNHHHMLSFQPNSDVGIGGDATSGGVVMAPRSFSGSSSNAGLFLSPNPGVIGNASGVGPSRNSSGDVFRGTGSPKYKFVTGSPSDWTDRELDILKEGLARYAREPNIMRYIKIAAMLPNRTIRDVALRCWWGTGKDRRKKPDGFYTGKKIRDMKPTQDKMVASVPMANFQMAPTNSVTPFSISMQHPNQQSQVPKEAAAVVDSATQRLLEENNQLLNQIAANIETFKTVDNMDLFLQTSSNIKTILSRMSETPGIMSQMASLPLSINEDQLCSLIQLNRMVASYGTSSMSHHKKQEPRS >PAN40701 pep chromosome:PHallii_v3.1:7:46912165:46917778:-1 gene:PAHAL_7G334400 transcript:PAN40701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSSMGFHQGITASLYNHHHMLSFQPNSDVGIGGDATSGGVVMAPRSFSGSSSNAGLFLSPNPGVIGNASGVGPSRNSSGDVFRGTGSPKYKFVTGSPSDWTDRELDILKEGLARYAREPNIMRYIKIAAMLPNRTIRDVALRCWWGTGKDRRKKPDGFYTGKKIRDMKPTQDKMVASVPMANFQMAPTNSVTPFSISMQHPNQQSQVPKEAAVVDSATQRLLEENNQLLNQIAANIETFKTVDNMDLFLQTSSNIKTILSRMSETPGIMSQMASLPLSINEDQLCSLIQLNRMVASYGTSSMSHHKKQEPRS >PVH34980 pep chromosome:PHallii_v3.1:7:23833023:23834168:1 gene:PAHAL_7G080100 transcript:PVH34980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRHCFEALDRTLRDILSEEKPANAIVPFGGKPVVLGGDFRQILPVVRKGSRSAIVNASITSSKLWQHVSVLKLHTNMRLHNPSLDATQRAEIGSFGKWILSVGDGTIAAEQRGEEREASWITIPDDLLVHTDGDKTAALVAEVFPDFIMNYKNPEYLAARAIVCPNNQDADDINDYIVRLVPGDDVQYLSCDTISKSTEHIPDFDVLYPTEFLNSINTNNFPIHKLVLKKGVIVMLLRNLNQTMGLCNGTRLLVTQLGQRVLCCTILTGCRVGEEVFIPRIALNTTDVKWPFTLQRRQFPVRICYAMTINKSQGQTLSTVGLCLKKPIFTHGQLYVAVSRSTSRSGLRILIENDNGSCGSQTRNVVYREVLDAANTASA >PVH35279 pep chromosome:PHallii_v3.1:7:34268450:34272607:1 gene:PAHAL_7G140200 transcript:PVH35279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALGRVAWAWLLLLQLAGASHVVYENLLEVEAAAAAVPPSIVDPLLRTGYHFQPRKNWINDPNAPLYYKGWYHIFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIPSDKYGCWSGSATTMPDGTPAIMYTGVKRPDVNYQVQNVAYPRNRSDPLLREWAKPSHNPIIVPGGGVNATQFRDPTTAWRAGGHWRLLIGSVTGSARGVAYVYRSRDFKRWTRVPRPLHSAATGMWECPDFYPVEAAGRREGLETSVSGSGRARVKYVLKNSLDLRRYDYYTIGTYDRRAERYVPDDPAGDERHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTSADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRGKSATLKDRVIKPGQHLEVTGLQTAQADVDVSFEVPSLAGAERLDPALDGDAQRLCGARGAAVEGGVGPFGLWVLASADRRERTAVFFRVFSPARGGGKPVVLMCTDPTKSSLNPNLYQPTFAGFVDTDISNGKISLRTLIDRSVVESFGAGGKTCILSRVYPSLAIGKNARLYVFNSGKADVKVSRLMAWEMKKPLMNGA >PAN39929 pep chromosome:PHallii_v3.1:7:40889793:40891187:1 gene:PAHAL_7G240200 transcript:PAN39929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPLFLSLLLVSCAAQSPTSSPSASKVPPVSASAPQASVAPPTTAASAPQASVAPPTMAASAPQVSAAPPTKAVSAPQASAAPPTTAASAPKASAQPPTTVSASAPQASAQPPTTVAVSAPKASAAPPNPAASAPQVSATAPTTAASPPTTAASPPQVSAAPPTTATSPPLASAAPPTTAASPQLAGTSPPVASPPLLAAASPVSASPPATLPPLASPPAATPPTVAAPAPAMPAPVASPPLAMPPASLPPSTLPPAMAPTPLLAAPMMPPTAAPVTAPAPAPVSPAPSVAPTPSPTLAPELAPALAPSLAPFSSVSVSPTLAPGPALAQEDDSAAPSARAGGAAALVALAAAGLVVLF >PAN39409 pep chromosome:PHallii_v3.1:7:40894965:40896220:-1 gene:PAHAL_7G240400 transcript:PAN39409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTQRGLLLAILLVVAGVPASAKDYTVGGSSGWKPGVDYAAWAKGKPFSVGDTLSFQYDAAHSVLEVSEADHGACSASNPLRSHRDQSTTIPLTKPGTRYFICGAAGHCAAGMKLAVTVSGGDSAPATTASSGPSMRSTNATPAAGSTAAATESDSSASGAAAASGALLTTGLLLGAVGLAAMMG >PVH35541 pep chromosome:PHallii_v3.1:7:38936458:38937468:-1 gene:PAHAL_7G210400 transcript:PVH35541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPSNAALHPLLSSVSTPPLHTSRIRERERGIPKDQAISMSSAADENTGGGGGGTAAAVVVVERVVTVEYLQQSMSRELLGKFPDSSAFDFDYSQSGIWSPLNKVPRGSPAPASRAAAACAAEADAAASSTDFLVANPKRRARAGGCWLKDSAAGGKSRWRRRRLRRDGSFLDLHETGRATRLDFSPPAPSPAKEGWRRVLKAAIRKFKARQRRARQAPLLQIMLPML >PAN40540 pep chromosome:PHallii_v3.1:7:46290170:46290938:1 gene:PAHAL_7G323100 transcript:PAN40540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLLCVVLVSSLLLATLAGASSSSLMPSLGRHQAQVLGRKGRDLGQLGYHHQHQTKHMQQHEGMAMEVEKPAETTAGWTVDHGDDAKDGLIYSADYSGVAMHAGSPPTPKPKHRHPKP >PVH35039 pep chromosome:PHallii_v3.1:7:26969137:26969634:1 gene:PAHAL_7G092500 transcript:PVH35039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTIHEKPLCACRLCKEAVPRAPAVPHQSPPHPTPPPLPTARLANKPPLPSLALALSPTKLWRKNSPLLTKITISSPEYVGGPAEERCRQASPPPRPLLPRRRLTRKLFPSFFFLISSTSRINSTRSTPTLSYAKRRPFAHALLIAEELASPLLFRSFIFNQAL >PAN40739 pep chromosome:PHallii_v3.1:7:47088378:47090109:1 gene:PAHAL_7G336900 transcript:PAN40739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MASTPSTTTSTLRSPFSSAPRGTRLPSTLRLSPAQPASAGCRAAPGRHTCPRARVRCAAAVKFIAQSEFAAEVLESELPVLVDFVADWCGPCRLISPVVDWASEEYDGRLKIVKIDHDANPQLIEEYKVFGLPTLILFKNGQEVPGSRREGAITKDKFKQYLEPLLATTVA >PAN40742 pep chromosome:PHallii_v3.1:7:47095253:47099629:1 gene:PAHAL_7G337100 transcript:PAN40742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVARAWRRSLRDVLLRGSAWRGGASTRPASTASASGAATEAAAAPKKVPPPPRKGRLLTGALIGLAIGGGAYVSTADEAKFCGWLFKATELVNPLFAMLDAEFAHSLAVKAAAHGFVPREKRPDPPVLGLDVWGRKFANPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPHPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSTSTSPSTSDIKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTVSRPPPVDTHSLAQETGGLSGKPLFDLSTNILREMYILTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTALAYAGPALIPRIKAELAECLERDGFKSVQEAVGADFR >PVH35171 pep chromosome:PHallii_v3.1:7:31751504:31751932:-1 gene:PAHAL_7G116400 transcript:PVH35171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLILIYLPFSRLLPALWSIAQASVASHLLQFSISILCRGFGVLKRTVDAKLFVQRSSILPVYLWHVANDI >PAN38293 pep chromosome:PHallii_v3.1:7:36019185:36021761:-1 gene:PAHAL_7G161900 transcript:PAN38293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLITYFTNIIGVVTIALISIVSILGLICLCRSVYFQLWIKRRGYQRLSYFNGPWLTRIALMLVAFWWGIGEVLRLTFVNGEGRLISDRAWQVNVCKFYIISNLGFAEPGLFLLLSFLLSAALQKQELGTLNRKWNRKTIRAAFILCSPSLIWEACVIFVGAHISSDDDQPSKIAKYWYSASAIHNGDITCTYPLLSSIFLGAFYSILTIYVMFVGGQMLSLVINKGLRRRIYMLIVATGILLPRATLLGLTVLPWPGEVHEALVFVSFLVLMFAAMVGIVILVYFPVSDTFAIGDQEHIEMQASRDMIL >PAN39495 pep chromosome:PHallii_v3.1:7:41318931:41319813:1 gene:PAHAL_7G247300 transcript:PAN39495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAVLFLALNLLLFTVANACGSCPTPTPPVVPPPPPPSTPSSGKCPVNALKFGVCANVLGLVKGEAGKVPAEPCCSLLGGLADFEAAVCLCTAIKANVLGAAIDIPIKLTALVNYCGKCVPKGYICA >PAN36939 pep chromosome:PHallii_v3.1:7:2527267:2530857:1 gene:PAHAL_7G013400 transcript:PAN36939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNKQRWKAAQEEQGGGGGGGHQVDLDDEGDLEEFRLPMSHRPTENLDTEGLEQASVHTQLTASNVGFRLLQKMGWKTGKGLGKNEQGILEPIRADIRDAKLGVGKQEEDDFFTSEENVQRKKLNIELEETEEHIKKREVIAEREHKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMREMQSSSGSRDDRQKREQQREEKELAKIAQLADAHRKQQQQQQQQKQENSEAPVESVATKNIAGPSNQDQRRTLKFGFSKMAPSKAPVGNASKKPKVATKVSSVFGNDSDEDS >PVH35768 pep chromosome:PHallii_v3.1:7:43006220:43007280:1 gene:PAHAL_7G272500 transcript:PVH35768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNPVPPFTNRPAETPLRPRIRERRSRGPLPSPRPSPSPIPIASGRAASPSPERPPPRGADRARARAMDEWRCRRHPPLPGGGVCPHCLRDRLLRLCPECARARPCACSSSGASSPSSSSSPGAGAAGGRNHSLAERERRVARSRSVVAAHGGADDRGRSRSGVWGWVSFRKPPQPPTPDAAAGCKDVEQEYDDAVALARSRSVSAEPPAAEPKAAGPPKAGGWGRLIPGKIKALRSRKYRGGGGDWRDSAR >PAN38179 pep chromosome:PHallii_v3.1:7:35296544:35298276:1 gene:PAHAL_7G152600 transcript:PAN38179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPSSSSPPPAPAAATRRRRRRRQLLPPPPPSPSSSSSAVPASASSSASSSASSASSGLSFSFPSFSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPARQAAARGKARASAAALPLPLPPSLLSSKVGAADGPFSAAAADGGYLVVPDDAKKARRRGRQRQQRPPALAATLTDWLAVLSLYRSCTRSRDCLAGTPPPRRSPSPAKAV >PAN40232 pep chromosome:PHallii_v3.1:7:44832841:44835954:-1 gene:PAHAL_7G301200 transcript:PAN40232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFCCFGAVRSEFTGHGSTASGKGKGCQGQVKVCYGYNLVRGMTNHPMEDYHVADLVDVKGNELGLFAIFDGHLGDTVPAYLQKNLFSNIMKEEEFWTHTDRAITKAYEKTDQAILSHTPDLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGEPIQMSVDHDPNVERSVIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIKVEDIDHTAELLVLASDGLWKVMNNQEVVDLAKRYKDPYAAARQLTAEALKRQSKDDISCIVVRFKA >PAN36780 pep chromosome:PHallii_v3.1:7:379388:384330:-1 gene:PAHAL_7G001100 transcript:PAN36780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSPRRKEPSRPLAVLPSKSPEAPPEQKKRKTPPPHPPEAEPPKRLFQRTWSPGDEIRILEAIAAHRRAHGGDLPTAVVLLAALDGRLERKVVDARDVNEKQRSLKRRYHRHAMKAAPPADEHERRLYFLSRDVWADDSRPKPPVAQAKSVSGGSPLKPRTTKATKDSKDATQAKSDGEPTKDETKPRTLAEMREQYPYLVDEAMVLVDPPILQKLLPSIEDNEAQALNKKIKKARKQLSKAITESARMKNMETPTIFLYQSTKFQLEKPRLEKKDGEDICAQGRLARVEHEVAELRQLFMSSRNQAIRCENAESGLRSVVAENQISCHMVQKKIKAPNGLPHGKNKEVTSKYKYDGVLPSNVPRDNLKLQIKAPFNTLHGRTRKIDAESQTQRNGAGKEVVLISLGRPRIPVGKAILEHSSQSTIVGGMALGTQCCKVFLREVLQRDAPLFHKYGEMEKMSDALYCSIPWPSVLVNGNSIASKAPLYQLSHQDIINCLLLCETCALQKHHVNFPCNFSVFGVFLLTIYIG >PAN36779 pep chromosome:PHallii_v3.1:7:378567:384330:-1 gene:PAHAL_7G001100 transcript:PAN36779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSPRRKEPSRPLAVLPSKSPEAPPEQKKRKTPPPHPPEAEPPKRLFQRTWSPGDEIRILEAIAAHRRAHGGDLPTAVVLLAALDGRLERKVVDARDVNEKQRSLKRRYHRHAMKAAPPADEHERRLYFLSRDVWADDSRPKPPVAQAKSVSGGSPLKPRTTKATKDSKDATQAKSDGEPTKDETKPRTLAEMREQYPYLVDEAMVLVDPPILQKLLPSIEDNEAQALNKKIKKARKQLSKAITESARMKNMETPTIFLYQSTKFQLEKPRLEKKDGEDICAQGRLARVEHEVAELRQLFMSSRNQAIRCENAESGLRSVVAENQISCHMVQKKIKAPNGLPHGKNKEVTSKYKYDGVLPSNVPRDNLKLQIKAPFNTLHGRTRKIDAESQTQRNGAGKEVVLISLGRPRIPVGKAILEHSSQSTIVGGMALGTQCCKVFLREVLQRDAPLFHKYGEMEKMSDALYCSIPWPSVLVNGH >PAN37879 pep chromosome:PHallii_v3.1:7:33199196:33202238:1 gene:PAHAL_7G130300 transcript:PAN37879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRRGAVAAALVCAAALLLGGGRWVSAQTAAEDKIGGLPGQPPVGFAQYAGYVPVDDAGKRSLFYYFAEAEADPAARPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYQGVGDSITAGDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQHMVEFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSLSTACDRVMSQVTRETSRFVDKYDVTLDVCISSVLMQSQILAPQQGSRELDVCVEDETMSYLNRKDVQQAMHARLSGVQRWTVCSSVLEYKQLDLQIPTINIVGGLVKSGIPVLVYSGDQDSVIPLTGSRTLVSRLASRLRLNTTAPYRAWFQGKQVGGWTRVFGGGALSFATVRGASHEAPFSQPERSLGLFRAFLAGRPLPESFE >PAN40887 pep chromosome:PHallii_v3.1:7:47740382:47741748:-1 gene:PAHAL_7G347900 transcript:PAN40887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNALAMGLLLAAVSAASAAMAPRLPMDGDDLFVDLQLQQDPCPQVEDIVRSAVQAALRRNIQLTAGLLRIFFHDCFPQGCDASVLLAGERDVPPNGGSLQPEALRLIEDIRREVHGKCGGPKVSCADILALATRDAVVVAGLPFFPIIRGRMDSRAPAPDVLRNLPSPSASVDELLDIFRRKGLGDPADLVALSGGHTVGKASCGVIRGNDDFSRGLAANCSASRSRKQSLDVITPDAFDNRYYVALRNRKGVLESDQGLADHPRTRNMVRDYANDQQLFFRQFRASMMKLSQLKPPAGSFEIRRDCSRPNARVDGDAGLAASA >PAN38760 pep chromosome:PHallii_v3.1:7:38044996:38049354:-1 gene:PAHAL_7G195600 transcript:PAN38760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 3 [Source:Projected from Arabidopsis thaliana (AT5G50320) UniProtKB/TrEMBL;Acc:A0A178UGS1] MATAAAAAVAAPEQPRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVDLNALKSAACRKYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFESPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTRQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARREHRSKKLAVISGVGTRHYYRKLGYELEGPYMVKCLA >PVH35484 pep chromosome:PHallii_v3.1:7:38076588:38077744:-1 gene:PAHAL_7G196200 transcript:PVH35484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIQRRYHWLSTKLYSDAQTRQLQRGAQNPVDAAAASQRGIRGERRRQRPEDADDHCPGTQSEAAGKAEACAGRPNQQVCSKGRCPWIPSSARQWRKGGHVSLIGTAAQVLLL >PAN40797 pep chromosome:PHallii_v3.1:7:47377216:47383017:-1 gene:PAHAL_7G341400 transcript:PAN40797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSSPILISDDEKEPAAAPVAAVQGAKDEQAPVAVAEGSNDGDGIETPSWLPDGFEIEGYYERDGTFKATAYICPVSGLKFAMMREVLDYCCSDGMERAIAGKETLQDKTTLQGKYAWLRQKHGWVLEIRAGGENFSKMFKFYTHLSTGVQLASKNEVLRYIDEAELPEYVNGDCDTSSEDNIIAQLEFSINSLPPGWVKETTFRKCSDGIRKDTFYTDPITKKVFRSLKSAEQYFTSGEPVGAHVPIMSVTDMYYFDRCTDMLPCLASRLKMEGTGDQKCEGEGQTSRHGEEDEKGMIYGDVNKEHDEDEWHGDDEKDEVHYGDGKEEEDNEDALNGDDAEEEDEQEALHGDNNKNNEERGTDRYRSITELYKITTQVTGCSLTSTEK >PAN40236 pep chromosome:PHallii_v3.1:7:44852630:44853004:-1 gene:PAHAL_7G301600 transcript:PAN40236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCYVGKATKIFLCLVAALLVAGLVLGFGLARHTWGANRAQTDCRWPDCQQQGPAYGDPLLPATAGAATTPPANPLTQPAVAAFPGVASSSSSSASAAAPPPTGVPYFGPPGPFVVGLGPAAHA >PAN40824 pep chromosome:PHallii_v3.1:7:47528283:47529198:1 gene:PAHAL_7G343700 transcript:PAN40824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEKQGKRSSMSGAAAESSSPASSCVSSDAEDELVVVQAAKPMVVVGCPQCLMYVMLSGEEAQPKCPRCKSPVLLHFLRADADDASNNNKTKLPAAATRR >PVH35025 pep chromosome:PHallii_v3.1:7:26005228:26006214:1 gene:PAHAL_7G088000 transcript:PVH35025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNFIQGIGADLNFYEPVASQDSFLALRRRSQDTDGARGKPDLLCVCNPLTSEVFHIPNRLGKPPHHYALLGTDDVGLDGGMSQSFRLVSIWIKGKFFIYVYYCSKTRAWWRPTSAPELMAGLYLMPSPAAAFHGGIHWLCGSWKTFATTHVATLHVDKEELACVELPPEAKSSKAPLLGSSPDGGILLLLMKGLQMSLWKHKCDTGDAVPNWVLSETIDMTSSLPTRVLMMQPKARIRLEIFRGRSGAVVIWIEGEGLFLFCLGDRSMRKIDNENVTKRFCFCPYEIDWLSCLAVTNLVADGSLDGRRAMVHGRWNTLMAKNINK >PVH34811 pep chromosome:PHallii_v3.1:7:10391358:10393860:1 gene:PAHAL_7G039900 transcript:PVH34811 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKILVAVKRVVDYAVKVRVRPDRTGVETANVKMSMNPFCEIAVEEALRLREAGAAAEVVAATIGPTQSTDTLRTALAMGADRAVHVLHDPDPARPLLPLAVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFSSKVLLDKEKQKVTVEREVDGGIETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEELSVDIRSDMEVIEVNEPPKRKAGVILSSVDELLDELKNEARVL >PAN38245 pep chromosome:PHallii_v3.1:7:35598237:35603600:-1 gene:PAHAL_7G157200 transcript:PAN38245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLKRTTFPLLERPENLDPNEKVFQIRFTKEIFRDYQEYLNRLNLYRQKVWTCEVSGKSNLTYEEALVCEKQAAVKAQQLPKELIAPVLRMIQQYRTLSFTDLLEKVYSSLQLDPFEGLELHAKKDGSEAACKILKVIGSGSTKSCEVGWLDQDNAVVNTSLVKADDLIRKKAPASRNTLKIFIRDSTSKRYPWIVNADLAKKYGIEIEPPEDIMNGEGLYQGMKRFANGEVASKKLKKDEKLVELPVKYPIDDLLVKPATDDPVLSKRPPLSRDFRVPTDSVGDLLMVWDFCLSFGRLLCLSPFSLSDLENAICNKESNLILVVEVHAALFHLLIKDEGEYFTFLLNKKKILKVTLVTWAEYLCNFLEMIGKEEFSSKVSTIRRGHYGLLDTGLKLKVLRELVEEAITTSAVREKLNEWIDQQQALAAAKREDARKNREEQKLNMEGVPENGRNHTDTIQNDKECPRNQRGGKEEKDLNILLSSKTGDGKTFLRRHLETEMEQQSVRSSHLGKDRLYNRYWFFRCEGRLFVESADSKDWGYYSTKEELDALLGSFNIKGLRERALKRQLEKSYDKISNALEKRSKDDKRKTLLEEVDLRRSTRVHAQPKEDDPSMSFLKYINKWKQK >PAN38378 pep chromosome:PHallii_v3.1:7:36372002:36373054:-1 gene:PAHAL_7G168000 transcript:PAN38378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFSGRSKEHRNGGGGGKCQAAAATAARHRSGRCRALCCGASRLSVSSSASCSSADAAPEPLPLPPPHPHPHPQQPRSLSKLAHGMVQARLQSMIDAASEAARPPAPAPAPRRTPDELVAERPRCGLPRAWARGGRYEKSGGGRERAAGGRACVVLLAEDRRTHDPREEFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILQAFHEVCSALFSCKQLG >PAN38857 pep chromosome:PHallii_v3.1:7:38443972:38445307:-1 gene:PAHAL_7G202700 transcript:PAN38857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGAPKIMAVLALGLALLCAAAGPAVAQNCGCQPDYCCSKYGYCGMGDSYCGEGCRSGPCHSGGGGGSGGGGGRGANVASVVTGAFFNGIKNQAGGGCEGKSFYTRSAFLNAANKYSGFAHGGSEVEGKREIAAFFAHVTHETGHFCYISEINKGNSYCDASNTQWPCAAGKKYYGRGPLQISWNFNYGPAGRSIGFDGLGNPDKVAQDPVIAFKTALWFWMNNVHGVMPQGFGATTRAINGALECNGRNPEQMKARVRYYKQYCQQLGVDPGSRLTC >PAN37195 pep chromosome:PHallii_v3.1:7:22296981:22300301:1 gene:PAHAL_7G074700 transcript:PAN37195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDPMGRVYIAMDNGTQINMTSGVVGSMVDYYHRATLDPDGVFRQYAYPKKVSDLRSQAWSMVGLQPPNICEALVAKLGSGICGFNSYCTFDGTNNQTTCMCPEKYSFFDEERKYKGCKPDFQPQSCDLDETAAVMQFQLKTVYNVNWPLADYELYNPITEDQCRRLCLTDCFYAVAVYNDHDHACYKKRLPLSNGNMAGDVHATVLIKVPKNNNAELRPSESSSSSSKWKKDKKYWVLGSSLLLGSSFLVILVLIYILLFGMNYTVTRKTVPYLQSTSNLGLPLKAFTYAELEKATNGFQEILGTGASGIVYKGQLLDDLGTYIAVKKIDKLEQESEKEFTVEVQAIGRTHHKNLVRLLGLCNEGKERLLVYEFMSNGSLSKFLFGDVKLQWNLRVQLAVGVARGLLYLHEECSTQIIHCDIKPQNILLDDNFTAKISDFGLVKLLRTNQIQTNTGIRGTRGYVAPEWFKSIGITAKVDIYSFGVILLELICCRWNVELEAEEEHHKILTYWANDCYRCGRVDFLVEGDDEANCNLKVVERFVAVALWCLQDDPALRPTMLKVTQMLDGVAAIPTPVDPSSFVSSLR >PAN37847 pep chromosome:PHallii_v3.1:7:32933009:32937747:1 gene:PAHAL_7G127800 transcript:PAN37847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFGPGREEELEYYGGNGGAAGWAAASSSAAAAGGGGAEAAVEVPPRAERIPAGVDKSRAKGNAGSKKELAVLKDANGNVISAQTFTFRQLAAATKNFRDECFIGEGGFGRVYKGRLDTTGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVSLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPGKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEGFHAKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPASEQNLVSWARPLFNDRRKLPKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQIYDPSPVNITKKGGGDQRSRVGDSERAVSRNDGTGSSGHRSPSKDRDDSPREHATGTANKGLERERMVAEAKMWGENWREKQRAAQGSLDSPKGGG >PAN38253 pep chromosome:PHallii_v3.1:7:32933522:32937747:1 gene:PAHAL_7G127800 transcript:PAN38253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNVRAGVDKSRAKGNAGSKKELAVLKDANGNVISAQTFTFRQLAAATKNFRDECFIGEGGFGRVYKGRLDTTGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVSLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPGKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEGFHAKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPASEQNLVSWARPLFNDRRKLPKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQIYDPSPVNITKKGGGDQRSRVGDSERAVSRNDGTGSSGHRSPSKDRDDSPREHATGTANKGLERERMVAEAKMWGENWREKQRAAQGSLDSPKGGG >PVH35285 pep chromosome:PHallii_v3.1:7:34317431:34318937:-1 gene:PAHAL_7G141300 transcript:PVH35285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARAAKASRLISIGQSFTSLAPSRRGNRVATRRWGGMATTTIEDLLHADVLGCALRRLDGRSLAAASCATAGLRALAADPETWRALCLAEWPSLALPGARRLLAAIPPRRLFADAFPFPSAVGASGGDGELGLPRELVSAVDVYCGGAPLLSRVVETPASSPWFLGSPFRVEAVERKRPPATEAAAGAAPAELELSWVVADPARGRAVNVSSRRPVSVDRHWYTGETLVRFAVALGGCKFEAIVACAEGSGHVTEVALAVEDADGAAVSGEGTLRLLAAAMEAPRRGGEWEPEEAKRRYLEFVRRKKGRKESKARREALVDLCCSAASAAVVLTCLAAVALR >PAN39565 pep chromosome:PHallii_v3.1:7:41571569:41574948:-1 gene:PAHAL_7G252100 transcript:PAN39565 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MGNCFTNRHEHEIPITVDPPPRRPSSQPQYRPPHEHKTRDVPLSSGSRRPSDARAQTRPRPRPAFPSSGAGSMMGRRASHGGEVGPVLQRPMVDVRTLFHLERKLGSGQFGTTYLCTERATGLKYACKSVSKHKLVRRADVDDMRREITILQHLSGQPNVAEFKGAFEDADAVHLVMELCTGGELFDRITAKGSYSERQAAAVCRDVLTVVHVCHFMGVMHRDLKPENFLLASPADDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLCGSPPFWAETEKGIFDAILVGQLDLSSIPWPSISESAKDLIRKMLNRDPQKRITAAQALEHPWIKDAPDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKEGLTKLGSKISEAEVQKLMEAVDVDKSGSIDYTEFLTAMMNKHKLEKEEDLIRAFQYFDKDNSGYITRDELEQAMAEYGMGDEASIKQVLDEVDKDKDGNIDYEEFVEMMRKGSYT >PAN40661 pep chromosome:PHallii_v3.1:7:46760818:46762897:1 gene:PAHAL_7G332100 transcript:PAN40661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAVPVPVSRHAAVVVVLVLAAAHGALCGSSHVELAAGVVARGGNAPSLRAPRGNVTGADGALAPAPSGSLAGCGCGPRPAPWQFLNQKLEALWPVIQAFKKTITCDPLGVTATWEGPDLCSSYFNGTKYKGFYCDFPPSANTTLTVASIDFNGFGLCAPSLAGFVDQFPDLALFHANSNNFSGDVPDLTHLPFFYELDLSNNNFSGPFPDAVVPLGGLLFLDLRFNRYAGAVPPPVFALTVEALFLNNNGFNGRIPDSFGSTGAKYLVVANNQFTGPIPRSIYNTSATLSEVLFLNNRLSGCLPYEIGLVEGLAVFDAGGNEIAGPIPLSFGCLRDVEELNLAGNQLYGQVPDVVCLLAKTGKLRNLSLSDNFFHSVGHHCMELVRSRVLDVRRNCILGFPDQRPPLECAAFYADPSKHCPFIPHIPCDLPGYHHPPAKAALPAAAAHGHGHSQPQGQGGGN >PAN39668 pep chromosome:PHallii_v3.1:7:42204893:42206517:1 gene:PAHAL_7G259300 transcript:PAN39668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTYSGSGCGYGYYSSSPPAGGGGGGEESSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGRQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRRLRVPPQGAGHDEIRRAAVEAAELFRPQHNAGAPEAAAAAAIAVPGAQASGGLGGDFAYYPADDGLEFEMQGYLDMAQGMLIDPPAANAVQSAWIDDEYDCEVSLWSY >PAN39346 pep chromosome:PHallii_v3.1:7:40668005:40672529:-1 gene:PAHAL_7G236600 transcript:PAN39346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGAMAGAGAEAGGELGETVRELREAYGGGRTRSLAWRQAQLRGLLRLLKEKEAEAFQALHKDLGKHHAEAYRDEVGVLIKSANGALQQLGKWMAPDRVWVPLIAWPATAQVVPEPVGVVLIFSCWNFPLGLSLEPLIGAIAAGNAVALKPSELSPCTARFLADNIGNYMDASAVKVVNGGPEVGEQLMEHRWDKVLFTGSPRIARSVMAAAARHLTPVALELGGKCPCIFDAAAASARDLQVSANRIIAGKWSPCAGQACIAIDYVLVEERFAPVLIKVLKSTLKRFFQDADHMARIVNQRHFERLSSLLKDRAVAPSILHGGSMDAKNLYIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVKAMPKPLAIYAFTRDAALRRRVVEETSSGSVTFNDAVVQYAIDGLPFGGVGQSGFGQYHGRHSFEMFSHKKAVMKRGYLLELTLRYPPWDESKVTLMRYLYRFNYFAFVLAFLGLRR >PVH35294 pep chromosome:PHallii_v3.1:7:34577696:34578001:-1 gene:PAHAL_7G144200 transcript:PVH35294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNVAENTCLVHLLQISSSLKHQYKYDKSHIAFICSDVVPLHNLFLLYHLSAGACNF >PAN39248 pep chromosome:PHallii_v3.1:7:40283867:40285709:-1 gene:PAHAL_7G230600 transcript:PAN39248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRMDQPCMAAINSQPLVADVEAVKKASGDMPAPMGSGCFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLRPGVESTASDNSSSARRQCPVCKATLSPDTLVPLYGRGGNSKKSLNGVAIPRRPMVHRETVEHHNTQSNVDDQHHHQSMETNPPHQPLRHAHYHPSPTGFDFIYPPAPLGRGLIHSTAGGVLGGMAEAVLPLVLRGQLPASLYYTSPYHVAAQNVNPRLRRHQMEIERSLHQIWFFLFVFVVLCLLLF >PAN39978 pep chromosome:PHallii_v3.1:7:43591095:43605612:-1 gene:PAHAL_7G281700 transcript:PAN39978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSGGARARRRSSGGGGGGSSSWGSFSGDGDPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKKEQQKEEIRRKIRAQAHVITAAYRFKEAGRVHVPSKETTVPHADAALGFGIKEDQLTALTRDHNYSALQQHGGISGVANMLKTDTEKGIGGDDADLMARRNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRIMVSIYDLVVGDVVPLKIGDQVPADGILINGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLIVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGVVRIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMGSPDNAQMLSSDVTSLIVEGIAQNTSGSIFEPEQGGQEPEVTGSPTEKAILSWGLKLGMKFNETRSKSSILHVFPFNSEKKRGGVAVHLGGPEVHIHWKGAAEIILDSCTSWLDTDASKHSMTPEKVAELKKFIEDMAAASLRCIAFAYRTYEMDDVPNEDQRDEWQLPEDNLIILGIVGIKDPCRPGVRDSVRLCQAAGIKVRMVTGDNLQTARAIALECGILDDPNVSEPVIIEGKTFRALSDLEREEAAEKLSVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTNHLMERPPVLRREPLITNIMWRNLIIMALFQVSVLLTLNFKGISLLQLKNDDPAHADKVKNTFIFNTFVLCQVFNEFNSRKPDELNIFKGISGNHLFIAIIGITVILQALIVEFLGKFASTVKLSWQLWLVSIGLAFFSWPLAFVGKLIPVPKRPFGEFFACCCKGGKPASDDAATDDKESKSEHRDIV >PAN40680 pep chromosome:PHallii_v3.1:7:46822880:46825903:-1 gene:PAHAL_7G333100 transcript:PAN40680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISRAIRACAAASRRSLAAASAAALPKEAPAAGVRAPAAATGRKGRDREDGRRVQWVFLGCPGVGKGTYASRLSQLLDVPHIATGDLVRDALAAPGPFSMQLAEIVNHGKLVSDEIIINLLSRRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDIEGENGGPRMYMPPLLPPPQCESKLITRADDTEEVVKERLRVYHDLTEPVEEFYRARGKLLEFNLPGGIPESWPKLLEALNIEGPDNERSAAA >PAN40193 pep chromosome:PHallii_v3.1:7:44700916:44702010:1 gene:PAHAL_7G298400 transcript:PAN40193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSARRRRPPDTSSRCRAEKVTGTHDFEVANYSLFDGRIGTGRSIKSAPFSVGGYTWMIEFYPDGQSMEDCCCCMSAASAYVSICDGAVTVNAKYTLSLVDGDGRAAASWFWRRRSATVTYGWPHPRSWGFKIFYLKPLLRLSGCLNDDRLKIRCELTVFTPPRTEDTTPAPAPPPELPGHLERALKDGRGADVTFGVAGREFRAHRVLLAARSPVFDAELLGPMAEKDARRVVQIEDMEPAVFEMLLHFIYTDSPPGSLEGYSTATAQDLLVAADRYGMERLKLMCAEKLCKSIDVSTVMTTLALADQHHCQELKEACIAFMSSPKVLRVLVATDEFKHLMASCPQLVSRGSLEGASVEKN >PAN37339 pep chromosome:PHallii_v3.1:7:22651139:22662136:1 gene:PAHAL_7G076300 transcript:PAN37339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPEVAARLAVVLLLAAAAAGHGGVQPLSRIAIHRARVALDASAAVRASPALLGTKGEDTAWVSVDFVAPHPSGDDWIGIFSPSNFNSSTCPGSHGSGPGPVICSAPIKYQFANYSSDYGKSGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSIAIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAYPFVEWGMKWRPGVRTAAGTVTFDRESICGEPARSVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGNVTWGKFSSFRAPPYPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDISYANGYISQWDQFTQQVEGVTSRVPYMIASGNHERDWPNSGTFFNGTDSGGECGVLAETMYYTPTENRANYWYSTDYGMFRFCIADSEHDWREGTEQYKFIENCLATVDRKKQPWLIFIAHRVLGYSSGFFYGIDGAFAEPTSRQSLQKLWQKYRVDMAFYGHVHNYERTCPVYEEKCTSSEKSHYSGTMNGTIHVVVGGGGSHLSNFTTEVPAWSIYREMDYGFAKLTAFNYSSLLYEYKRSSDGKVYDSFTVNREYRDVLACVKDSCPPTIPMTS >PAN37340 pep chromosome:PHallii_v3.1:7:22652952:22662136:1 gene:PAHAL_7G076300 transcript:PAN37340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNLGEDTAWVSVDFVAPHPSGDDWIGIFSPSNFNSSTCPGSHGSGPGPVICSAPIKYQFANYSSDYGKSGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSIAIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAYPFVEWGMKWRPGVRTAAGTVTFDRESICGEPARSVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGNVTWGKFSSFRAPPYPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDISYANGYISQWDQFTQQVEGVTSRVPYMIASGNHERDWPNSGTFFNGTDSGGECGVLAETMYYTPTENRANYWYSTDYGMFRFCIADSEHDWREGTEQYKFIENCLATVDRKKQPWLIFIAHRVLGYSSGFFYGIDGAFAEPTSRQSLQKLWQKYRVDMAFYGHVHNYERTCPVYEEKCTSSEKSHYSGTMNGTIHVVVGGGGSHLSNFTTEVPAWSIYREMDYGFAKLTAFNYSSLLYEYKRSSDGKVYDSFTVNREYRDVLACVKDSCPPTIPMTS >PAN40745 pep chromosome:PHallii_v3.1:7:47126536:47128861:1 gene:PAHAL_7G337200 transcript:PAN40745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAYASRHRGSGMSTTTVLAAKVAFASAALAAAASFARLAVPQLVSVAGAVLPRAWAVARFWLVPPYLFVTVHLIILVIWKLSDHKHFQQAQAAHQHKDPWPVSQQHTPPAAVTASDVAAPAAVKVKEEFDFSAGYGEPLEREFSPDSGGGESCVTTESDEDATSSPSYVSDVRRSLAPAHQHAVLEREPSLPSQTVDGDGDDDLDATWNAIMQKTRPAAAAAAPAPPPPAQQSPQRPPPRARDPSVGAEEMNRRFDDFIKKNRNSFGRQ >PAN40746 pep chromosome:PHallii_v3.1:7:47126536:47128861:1 gene:PAHAL_7G337200 transcript:PAN40746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAYASRHRGSGMSTTTVLAAKVAFASAALAAAASFARLAVPQLVSVAGAVLPRAWAVARFWLVPPYLFVTVHLIILVIWKLSDHKHFQQAQAAHQHKDPWPVSQQHTPPAAVTASDVAAPAAVKVKEEFDFSAGYGEPLEREFSPDSGGGESCVTTESDEDATSSPSYVSDVRRSLAPAHQHAVLEREPSLPSQTVDGDGDDDLDATWNAIMQKTRPAAAAAAPAPPPPAQQSPQRPPPRARDPSVGAEEMNRRFDDFIKKNRNSFGRQ >PAN36849 pep chromosome:PHallii_v3.1:7:1117606:1121495:1 gene:PAHAL_7G005000 transcript:PAN36849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGEHEPEESSSQRRERLLALRSAANASPAEAPPPAPAGSLLPDPDLAGDQASSPRPRPPQRFDYYTNPAAAFSSSYSGGATNPTWSHKRKSPPSCYNPRPALPPPAYGNYGDNYPPQQHHLAPSPIHSPPLMPRDSQGGSPWRSPMQFQDPMSGYQGAPPGAPPPWGPHSVPPARGSYPNSPRFGFRHPNPGRGGSPMNYGPRGSPNSSYGRGRGPSYYGSAGSRGRGGRGGCGWQDHSYFNKSMVDDPWLDLQPIVGNILIPRGISESRLPKSLREKKEAPAQGQIKSSSGLSLAEYLDLSFNEVSDKQT >PAN40483 pep chromosome:PHallii_v3.1:7:45857293:45859464:1 gene:PAHAL_7G318600 transcript:PAN40483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLAARNMGLRLVQVLLVAVAAGAPAAHAWRKEGHYMVCKIAESFLTIEASAAVTSLLPGWAGGELAATCSWADDERRRYPWSGALHFADTPGDCQFFYDRDCHNTKGEKDMCVVGGINNYTAALMNSSAPLVDLTISLMFLAHFVGDIHQPLHCGNTVDFGGNTIIVRWYNTTTTNLHRVWDLDIIEKAMKDFYNDDLSIMTQVIMQNITEAWSEEEREWEACSSRTKTCADKYAMESAELACDVAYAGVEQGSILGDDYFFSALPVVRKRIAQGGVRLAAILNRIFGESSKPHSS >PAN39261 pep chromosome:PHallii_v3.1:7:40325841:40329370:-1 gene:PAHAL_7G231500 transcript:PAN39261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVLLLFLGVGGLLPAVTTAADERFVFDGFKGANLTLDGMATVTPDGLLLLTNATPQLKGHAFYPAPLRFHRTPNGTAMRSFSTAFVIGIIGAYVDLSSHGMAFVVAKSRNFTSALPGQFLGLVSSATNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDATGGAFRNLSLVSRKAMQVWVDFDGQTMQVNVTMAPLEAPRPKKPLLSTTVNLSSVIEDTAYVGFSSASGILFCRHYVLGWSFSMDGAAPALNISSLPTLPVTTPKPRSKTLEIVLPIASAVLVFAVGAAVFALLRRRRMYAEVKEDWEATFGPHRFSYKDLYHATDGFSDKRLLGIGGFGRVYRGVLASKVEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVKLHGYCRRKGELLLVYDYMPNGSLDRHLHDLSKVPLSWAQRFRVIKGVASGLLYLHEDWEQVVVHRDIKASNVLLDKEMSGRLGDFGLARLYDHGTDPHTTHVVGTMGYMAPELGHTGKASKASDVFAFGAFMLEVACGRKPVVQDARDNRQVLVDWVLDRWRAGAVTDTVDRRLGGDFAEGEASLVLRLGLLCSHPLPGARPGMRQVVQYLDGDVPLPELSPTYQGLSMLALMQDQGFDPYVMAFPMTSTTGASAISDLSGGR >PAN38490 pep chromosome:PHallii_v3.1:7:36864004:36866519:1 gene:PAHAL_7G175700 transcript:PAN38490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALLLPVALLLCLALVGSAGAARKMVGVYELKNKKGDFSIKVTNWGATLMSVIVPDSKGNLADVVLGYDTIAEYVNGSSYFGALVGRVANRVAKGRFVLDGKAYHLYINDGKNAMHGGHRGFSKVIWTVEEYVPDCDSPYITFYYHSFDGEQGFPGDLDVYVTYQLSSPYELSLRMNATALSKATPVNLVNHAYWNLGGHGSGDVLGHLVQVLASQYTPVDQSMIPTGEIAGVAGTPYDLRRPTPLGSRVGLVSGGGAAGYDVNYAVDGQGFAPVARVRDPASGRAMELWADQPGVQLYTSNWLKDEKGKAGKVYQKHGALCLETQAFPDAVNHHNFPSAIVRPGGVYRHDMLFKFSSW >PAN38707 pep chromosome:PHallii_v3.1:7:37783351:37786687:1 gene:PAHAL_7G191100 transcript:PAN38707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAWGGVGAWALDAERAEEEEHERAAALPAPEPAGGAASFPSLAEAAAAGGGKSKKKNKGTTLSLSEFTTYGAVAAQRRAAPVEPRGLTPEEMMMLPSGPRERSAEELDRSRGFRSYGGGPGGGERRGFDDEGRRGPGRSSDLDMPSRADEADDWGATKKFTPAATDSGRRDRFGGPSPLGRADDIDDWSRDKKPLPSRYPSLGSGGGGGGFRSSSGFRDSFRDSSASSDSDRWVRGATLTPHNGEGAGERPRIVLDPPKRDPAATSTPPAEVARDRPSPFGAARPREDVLTEKGVDWRKFESGIEQKTGQPTSSHSSKENGAHSSRPRSPGSQVSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRAVNRPQSDEERTLKEEINLMKVDLKGIEGKISDGSDQASVDAKKLSEKISQLESKLEQLTRELDDKIRFGQRPRSGAGRVTALPPTSLAEEPEATVVDRPRSPVVVWNHTKGWKKDGDFKEAEKGPLLVQAEVQIGQ >PVH35460 pep chromosome:PHallii_v3.1:7:37783271:37787090:1 gene:PAHAL_7G191100 transcript:PVH35460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAWGGVGAWALDAERAEEEEHERAAALPAPEPAGGAASFPSLAEAAAAGGGKSKKKNKGTTLSLSEFTTYGAVAAQRRAAPVEPRGLTPEEMMMLPSGPRERSAEELDRSRGFRSYGGGPGGGERRGFDDEGRRGPGRSSDLDMPSRADEADDWGATKKFTPAATDSGRRDRFGGPSPLGRADDIDDWSRDKKPLPSRYPSLGSGGGGGGFRSSSGFRDSFRDSSASSDSDRWVRGATLTPHNGEGAGERPRIVLDPPKRDPAATSTPPAEVARDRPSPFGAARPREDVLTEKGVDWRKFESGIEQKTGQPTSSHSSKENGAHSSRPRSPGSQVSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRAVNRPQSDEERTLKEEINLMKVDLKGIEGKISDGSDQASVDAKKLSEKISQLESKLEQLTRELDDKIRFGQRPRSGAGRVTALPPTSLAEEPEATVVDRPRSPVVVWNHTKGWKKDGDFKEAEKGPLLVQAEVQIVMRQRW >PAN40630 pep chromosome:PHallii_v3.1:7:46652669:46654505:1 gene:PAHAL_7G329300 transcript:PAN40630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSRTVPQEQLPSEDLHPLQMPVINLGHLSLDSATRSHVVDDIAKASRDLGYFQVINHGISQSVMDSALEAASEFFKLPSETKEKFASEELRRPVRYDTSSKDSISMSRAFLKHYAHPISEWIQYWPQEPPIYREYMGKYAAEVRRVALHLMEAILEGLGLGKEYLNEKFHEGSQLLSVNCYPKASQSATSIGLAPHSDYGFLTILLTSCQGLEVVDPSTNIWKRVQQLPHALHVHIGDHMEVLSNGRMKTVLHRALLNSEEARISIASIHGFALHEKVTCAKELVDEENPPRYKESSFSDFLDHLTANMDNKHRNFLESLRM >PVH34685 pep chromosome:PHallii_v3.1:7:1419394:1420359:1 gene:PAHAL_7G007100 transcript:PVH34685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSSVVRLLLMVQLLLAMAATDEALDTGYYAATCPDAEAIVRNAMERLHYTDPTLAPALIRLLFHDSFVHGCDASVLIVPTPRYSSERAAVPNHTLRGFGAVDAVKSALEVACPAAVSCADALALMARDAVALLGGNRYDVALGRRDGTQSNPWEVDLPAPFTRLDDVLAYFAARGFSAEETVVLFGAHTVGGAHCSSFRYRLTRPDGAMDETLRCDMLDTCGAADLPLDTDPATFFDPDTPFTVDNNYYAQLMSNRTLLQVDQEAATHPDTAPHVAYYAASPDAFMQRFSEAMAKLSNVGVLEGDAGEVRKVCSRYNN >PVH35388 pep chromosome:PHallii_v3.1:7:36320878:36321383:-1 gene:PAHAL_7G167300 transcript:PVH35388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPPPWALTGGRDGISACVLNGCPPTPRRAARRWRRRAGAFISCRGAPVRCQRRRLAGAMEPVSGEGKRRARSRGRSIERSGWDFERVRPPTHETDGERTSPPQSVLLRDNHSHRLLPSCRQVTPIICSSADGAQFYIVIIVSLQVGWVVAVML >PAN39410 pep chromosome:PHallii_v3.1:7:40897298:40898906:-1 gene:PAHAL_7G240500 transcript:PAN39410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPVLVHVESMQTAVPARDAGSGRSLPIAVSGPPLAAAELQRHFRAVLYYRGAGAGGELEATARERAAWVKESLSAALVDHPEMAGRLGRGGGDGCLWEVKLRDTGVRLVQASVEATMAAFLEARGADRERQEAALALWTDVDAHEPDICAPFFVQLTRFQDGGYAVGASCSLLLADPLSLVRFLKSWARKLAELQAQGELVANPVIQYTRYIRSTGAAAKHVKSVPLDTATATDNNTTTVLFRAAAAGTPDHRALAAACVATASERLGARAPPRFTVVARDGSEGLKVQTCAADGDQKPCPAPRAALWRDEPGLGLGLQDLALEGRKPVHVSYCVMPCADEGLVVVMPAGGGELLISATVPNYM >PAN38503 pep chromosome:PHallii_v3.1:7:36922764:36924267:1 gene:PAHAL_7G177000 transcript:PAN38503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVHSSKAVRPDYGAGRAPFAAAGAVPLTVFDRASFDQYISGISFFRPPAPPTAVLEAGLARALAEYREWAGRLGADARGGRAILLDDAGARFVEATADVALTSVMPALAEPAPAAAGLHPSAPGAGGELLMVQVTRFACGSIAVGHTMSHVVADGRAACNFLLAWGQATRGVPVDPVPAHDRASLFVPRDPPRFEFEHRGVEFGPPHPRPHGGEARDAGDAVVVHRAHFSRELVFELRSRASARAPRPYGTALCLVAHLWRCITRARGLDGGAVTALRVAVDGRARMTGPPRGVPEGYTGNAVLWARPAAAARELVDGPLWHAAELVARAVARVDDAYFRSFVDFACSGAAEREGLVPTADAAEKVLSPDVEVDSLLHAAFCDLDFGGGRPFFFMPGYLPDEGSVFLVRSFSGDGGVSAYVPLFSRAMESFKRCCYSLGSVDARL >PAN37816 pep chromosome:PHallii_v3.1:7:32602195:32607369:1 gene:PAHAL_7G124800 transcript:PAN37816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEYNMDEALKAKGVAENKFRDHDIRGARKYAIKAQTLCPSLEGISQMVSTLEVHLAAESKIDGECDWYRILSLGAFADEEEVKKQYRRLALLLHPDKNKSIGAEEAFKLISEAWGVLSDNGRKMLYDEKRRNHSVVNVTNGIYTYDKKANKRARKNAAAAAAAAAASAAAAAEATTRPVGADTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQQNHNSTKHSYGSTSRTSSIPGTGNGGYQQDNTYDSYNNQSFQWNHYSKTAPAAGTNVYSTQASEKQRRKNDESYSYNYSASGNTYVHEKTASRRGRFSKRRRHNYDGYTAMDYGGDNKETVAASTEPTAAFTDVGRVNGTSVERFRSAVSGRRANILGEIAQIDTRGLLLEKAKAALRVKLQELNISSSSRFAEKRRSEGKLHPCDNNIKLNGVLSDKPGKGVKLCNSRNVDIQVPGTDDTNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYVMVQKVLSMRPFRIRMSFLNSKSNIELAPINWVSSGFQKTCGDFRVGRYQVTETVNIFSHKVNWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTEEQGLTVIPLLKVAGFKAVFHRHVDPKEVRRIPKEELFRFSHRVPSRLLTGDEGNNAPKGCHELDPAATPVDLLKVITEVKEDAASQTAK >PVH35494 pep chromosome:PHallii_v3.1:7:38233723:38234585:-1 gene:PAHAL_7G199200 transcript:PVH35494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESKSAAGQTVWTASQSTFVHTFLANFIEEGLKTSTGFKKVHLNKCAEALNEKFKLNRTGDHIGNHLKTLRRRYVKINQLRSLSGALWDEEQYIISLDHEHYRNHFENPKNKGDDEYINKPLPYYGNLATIFGNSVATGQFAKSSNEPLGVDADCTAENDDNGAATVMTNGQAQSDVNDANGASCSAATRPYKKAKVVEAANESLAAVLERSTQTLANAIKEAAVANRALPEGLFSIVDNCKHLGP >PVH36020 pep chromosome:PHallii_v3.1:7:47327318:47329048:-1 gene:PAHAL_7G340500 transcript:PVH36020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGDWTPPCGSCCTKKYASLVQIPWRVFCKKGCNTDGDTWEECIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGYRVGLRSQQRRLKRSSPTGRPSRRLLK >PAN40782 pep chromosome:PHallii_v3.1:7:47326998:47329336:-1 gene:PAHAL_7G340500 transcript:PAN40782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGDWTPPCGSCCTKKYASLVQIPWRVFCKKGCNTDGDTWEECIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGYRFEIPTEKVEEIKPNRPSKPPPPEVKPTTGPDSAAGSREDVPCTSA >PVH35928 pep chromosome:PHallii_v3.1:7:45294145:45294642:1 gene:PAHAL_7G309900 transcript:PVH35928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLSSHRLQLEEEEQCSMHLCLAFTSDAPRSKGTYTANTPPSIAPKSFS >PVH35567 pep chromosome:PHallii_v3.1:7:39227112:39231559:1 gene:PAHAL_7G214700 transcript:PVH35567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRGAAVLFLLVVSGPIIAPPVHRHHRKRHHASPPPSSASSERQDCSGTTCSAPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEVAAGTFLKQSQVRIMGAGSSLQDPEKTTVTIDLVPLGQKFDRTSALLISNRFLQKKVPINSSIFGDYVAAYVHYPGLPSLVPIPGSLGPVSSNEDPFGANIHNRSHHKINSKVVAIIALSAVVFVLMCFAIGILWRFKGLKNSHATGPISSSSITRKGGMRSSFSGTSSSAASFASTIGTCPSTVKTFTITELEKATENFSFSKIIGEGGYGRVYRGIIEDGVEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRIKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASDGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTTREGLQRLVDPSLPAGYDFEKLAKAAAIASMCVHVEASQRPFMGEVVQALKLIHSGGGGAGDETCSGSFGGGATEESPWNDGSRSTWNDDPATPGPALPRATARTPPAARTSGGRGRLRAPCWTRSSPWPCTTGPARCAPRGGSASTG >PVH35566 pep chromosome:PHallii_v3.1:7:39227112:39231558:1 gene:PAHAL_7G214700 transcript:PVH35566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRGAAVLFLLVVSVQLGTSSSTSVTAYLFGFLSRTHQHRFPALAPGPAPFSQPQGPIIAPPVHRHHRKRHHASPPPSSASSERQDCSGTTCSAPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEVAAGTFLKQSQVRIMGAGSSLQDPEKTTVTIDLVPLGQKFDRTSALLISNRFLQKKVPINSSIFGDYVAAYVHYPGLPSLVPIPGSLGPVSSNEDPFGANIHNRSHHKINSKVVAIIALSAVVFVLMCFAIGILWRFKGLKNSHATGPISSSSITRKGGMRSSFSGTSSSAASFASTIGTCPSTVKTFTITELEKATENFSFSKIIGEGGYGRVYRGIIEDGVEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRIKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASDGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTTREGLQRLVDPSLPAGYDFEKLAKAAAIASMCVHVEASQRPFMGEVVQALKLIHSGGGGAGDETCSGSFGGGATEESPWNDGSRSTWNDDPATPGPALPRATARTPPAARTSGGRGRLRAPCWTRSSPWPCTTGPARCAPRGGSASTG >PVH35565 pep chromosome:PHallii_v3.1:7:39227112:39231558:1 gene:PAHAL_7G214700 transcript:PVH35565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRGAAVLFLLVVSVQLGTSSSTSVTAYLFGFLSRTHQHRFPALAPGPAPFSQPQGPIIAPPVHRHHRKRHHASPPPSSASSERQDCSGTTCSAPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEVAAGQKFDRTSALLISNRFLQKKVPINSSIFGDYVAAYVHYPGLPSLVPIPGSLGPVSSNEDPFGANIHNRSHHKINSKVVAIIALSAVVFVLMCFAIGILWRFKGLKNSHATGPISSSSITRKGGMRSSFSGTSSSAASFASTIGTCPSTVKTFTITELEKATENFSFSKIIGEGGYGRVYRGIIEDGVEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRIKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASDGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTTREGLQRLVDPSLPAGYDFEKLAKAAAIASMCVHVEASQRPFMGEVVQALKLIHSGGGGAGDETCSGSFGGGATEESPWNDGSRSTWNDDPATPGPALPRATARTPPAARTSGGRGRLRAPCWTRSSPWPCTTGPARCAPRGGSASTG >PVH35980 pep chromosome:PHallii_v3.1:7:46539410:46541593:-1 gene:PAHAL_7G327200 transcript:PVH35980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNPNPPKSFSAPAPLRVATQDAKIGSETPAADGAARKRPGGGDAPAPAPADKRRRPEPPSSSGSRHRHHQARRPPPAEEKVRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALRDQIVSGERKFEDIAAENSDCNSAKRGGDLGSFGRGKMQKAFEKAAFALKVGEMSDVVDTESGVHIIKRTG >PAN39662 pep chromosome:PHallii_v3.1:7:42161339:42164982:1 gene:PAHAL_7G258700 transcript:PAN39662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRHWTGGEAAISHHKAKLWTAPPHSSLGRLRLRQIQSDLCTVQRSLGTLLRAKELASMYMRRNTTGKKDAAVCYMNAPLPYAIEENHGGCFFDDDDDLAQVLQDQEILYQLFQGNNGSSSSRTHSIPSSSYGHNRASNERKPSGVANYDYELQLAVDEALARELQEMEGQLAKTALNDNNGRKPTSSSASDRGNNSASRPPQVVEEDGIDPDNMTYEELQQLGEAIGTQSKGLPESVIALLPTSTYKIRIFSRKEKNDECVICCMAYKNRDRLTQLPCGHQYHQACVSKWLQINKVCPVCNKEVFGS >PAN40221 pep chromosome:PHallii_v3.1:7:43517352:43518998:-1 gene:PAHAL_7G281000 transcript:PAN40221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEESKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDGELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPNLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASPREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN38419 pep chromosome:PHallii_v3.1:7:36548194:36552130:1 gene:PAHAL_7G170900 transcript:PAN38419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLPRAAAAARAKRPGGSGIPLRRSVLAGHGPFSSEAAATPAPAAAVDDRAVAAGGVEDGDDLRSRIFRLGLAKRSATAALDKWSGEGRAAPAAELRRIARDLSRVRRYKHALEVADWMKTHHESDLSESDYGMRIDLITRVFGANAAEDFFEKLPPEAKSLEAYTALLHSYARSKMTDKAERLFGRMKDANLSMDVLVYNEMMTLYISVGELDKVHVIAEELRRQNVSPDLFTYNLRVSAAAASMDLEGFKGILDEMSKDPNSKEGWTLYRKLAAVYVDASQLVGSGNSLVEAEAKISQREWITYDFLVLLHAGLGNLERIKDIWKSMLMTSQRMTSRNYICVISSYLLCERVKDAGEIVDQWQRSKAPEFDISACNRLLDALLSAGLADTAESFRELMLQKSCILTSRATVAE >PAN37160 pep chromosome:PHallii_v3.1:7:19939273:19939931:-1 gene:PAHAL_7G065800 transcript:PAN37160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKMQGSATKALVVEDIKVDCVILLRMLQKLNCKTTVAHNGKEAVDLFLEGKTFDIVFFDKDMPLMTGPEAVTKIRSMGATEVKMVGVSADFGGMEAFMHAGADMFVPKPMKLETLDSMLQEVISKKNMSV >PVH35854 pep chromosome:PHallii_v3.1:7:44362729:44364209:1 gene:PAHAL_7G293400 transcript:PVH35854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGVPRRSTPPTRRSRSAEFHNFSERRRRDKINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPAQMPPLRPSGQQPRPFQITQANSQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQRGGLASTSHNGGWIPERSSSYNFME >PAN40125 pep chromosome:PHallii_v3.1:7:44362486:44364209:1 gene:PAHAL_7G293400 transcript:PAN40125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGVPRRSTPPTRRSRSAEFHNFSERRRRDKINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPAQMPPLRPSGQQPRPFQITQANSQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQRGGLASTSHNGGWIPERSSSYNFME >PAN38625 pep chromosome:PHallii_v3.1:7:37369161:37374139:-1 gene:PAHAL_7G185400 transcript:PAN38625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGELALAALAILLASLLALVLSHFLPLLLKPKAPRGSFGWPLVGETLRFLAPHASNTLGGFLEDHCARYGRVFKSHLFCTPTVVSCDQDLNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGSWRQQAAEGSKEDSGGGRVVAFCEEARKFAFSVIVKQVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISSTVKGIIEERRSPGSCKKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSADDLDLVKREHDSIRSNKGKEESLTSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAHHFQPCRWEGSSQGTSKRFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPVEIEPICPET >PAN38623 pep chromosome:PHallii_v3.1:7:37366698:37374138:-1 gene:PAHAL_7G185400 transcript:PAN38623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGELALAALAILLASLLALVLSHFLPLLLKPKAPRGSFGWPLVGETLRFLAPHASNTLGGFLEDHCARYGRVFKSHLFCTPTVVSCDQDLNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGSWRQQAAEGSKEDSGGGRVVAFCEEARKFAFSVIVKQVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISSTVKGIIEERRSPGSCKKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSADDLDLVKREHDSIRSNKGKEESLTSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAHHFQPCRWEGSSQGTSKRFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYSG >PVH35440 pep chromosome:PHallii_v3.1:7:37367290:37373870:-1 gene:PAHAL_7G185400 transcript:PVH35440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGELALAALAILLASLLALVLSHFLPLLLKPKAPRGSFGWPLVGETLRFLAPHASNTLGGFLEDHCARYGRVFKSHLFCTPTVVSCDQDLNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGSWRQQAAEGSKEDSGGGRVVAFCEEARKFAFSVIVKQVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISSTVKGIIEERRSPGSCKKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSADDLDLVKREHDSIRSNKGKEESLTSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAHHFQPCRWEGSSQGTSKRFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYSG >PAN38624 pep chromosome:PHallii_v3.1:7:37370825:37373870:-1 gene:PAHAL_7G185400 transcript:PAN38624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGELALAALAILLASLLALVLSHFLPLLLKPKAPRGSFGWPLVGETLRFLAPHASNTLGGFLEDHCARYGRVFKSHLFCTPTVVSCDQDLNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGSWRQQAAEGSKEDSGGGRVVAFCEEARKFAFSVIVKQVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISSTVKGIIEERRSPGSCKKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSADDLDLVKREHDSIRSNKGKEESLTSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAHHFQPCRWEGSSQGTSKRFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYR >PVH35777 pep chromosome:PHallii_v3.1:7:43108058:43109704:-1 gene:PAHAL_7G274500 transcript:PVH35777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSENSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH34739 pep chromosome:PHallii_v3.1:7:4121143:4127075:1 gene:PAHAL_7G020400 transcript:PVH34739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSAAEGPHPWSQDLDPLLCPQVLEKIVRTLAQVYHGQFDSTEDLNRVAARFENKVFHEANSKGDYLRRVSYRLVTLELKQKQKLLQRAPSIHHHQVQMGSHMRPVNSVHAINGGNSSITAQVPSISTHGRQSSQLQSLPMTSLVSGLVPNQNMAYPLAPNTHANVKQEHIEVTRKPGQTLNSRQASTTPSAPSVQLSQQMVQPVASEKLKQLALQMQPSDFSGCNSISITQPQGQPLVQPNVQQNPFGKNGMLPKELMMVNQKGLGFNQQRTDREKYQMRVAQQANITKVHNGHPGARNSQPGATVGLRSASRMREQGALNEHIEMEPQPMALPQQLITASQQNILFSTMGSAGEVDWREEIFQKIKPLKDAYLSELMELDKVIFVPKLSQKQFELLPNDRAEQYRYRVHLKQKTKLILKFLLVEKSSIPDNYRGQLSTFLKSIQDLLGFYRRSKNLTMDARNKYQISNGQPKIINLIGDQAPSSGGTSHRNQQEKLVNSQLTKDIIITTPAAYHEINSNSLLGVGSSCFPEKTRGSLQPLAIDKLQECCTQTLSHVIKSGIVKVSSPSASLVCTSPSPITTPSAAKAKASSSVCVKSTLSSPVAKPGVVKVASSCASVNSMLPSSFAESASIQAVSPCASAKSTFPSSPFAMSGVIEATSSVTNSGSDPVSLPCPSVHPTSSDNFERFYALLLQDISATTSAQTVVGGIATNAENCIKQVTTTKPIMPASPLLAETVDHQAEDNEHPGNEIPVAKKPIDRLLHAVRTSSPAMLCSAANSVCSVFKMNDWVQQSEMDTFEDWAFFSEQCGSSTANKMKRVLESTSLYSESAPFDCTGSEAEYSAECGARRRKTQNAKDTLLDEINSANSMLLDTFISIASDNGTDGISSSSGGTLIKLSYTATSLASDLVSLVATPGMCIVMPVKLLVPADYPRSSPMLVCDQGDEQMRKSFSDISGTVDVAFRQALYGLPEPMSVLDIARAWDASVRRAVVEFAQRHGGGTFSSSYGEWARC >PAN37141 pep chromosome:PHallii_v3.1:7:4121143:4127328:1 gene:PAHAL_7G020400 transcript:PAN37141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSAAEGPHPWSQDLDPLLCPQVLEKIVRTLAQVYHGQFDSTEDLNRVAARFENKVFHEANSKGDYLRRVSYRLVTLELKQKQKLLQRAPSIHHHQVQMGSHMRPVNSVHAINGGNSSITAQVPSISTHGRQSSQLQSLPMTSLVSGLVPNQNMAYPLAPNTHANVKQEHIEVTRKPGQTLNSRQASTTPSAPSVQLSQQMVQPVASEKLKQLALQMQPSDFSGCNSISITQPQGQPLVQPNVQQNPFGKNGMLPKELMMVNQKGLGFNQQRTDREKYQMRVAQQANITKVHNGHPGARNSQPGATVGLRSASRMREQGALNEHIEMEPQPMALPQQLITASQQNILFSTMGSAGEVDWREEIFQKIKPLKDAYLSELMELDKVIFVPKLSQKQFELLPNDRAEQYRYRVHLKQKTKLILKFLLVEKSSIPDNYRGQLSTFLKSIQDLLGFYRRSKNLTMDARNKYQISNGQPKIINLIGDQAPSSGGTSHRNQQEKLVNSQLTKDIIITTPAAYHEINSNSLLGVGSSCFPEKTRGSLQPLAIDKLQECCTQTLSHVIKSGIVKVSSPSASLVCTSPSPITTPSAAKAKASSSVCVKSTLSSPVAKPVHPTSSDNFERFYALLLQDISATTSAQTVVGGIATNAENCIKQVTTTKPIMPASPLLAETVDHQAEDNEHPGNEIPVAKKPIDRLLHAVRTSSPAMLCSAANSVCSVFKMNDWVQQSEMDTFEDWAFFSEQCGSSTANKMKRVLESTSLYSESAPFDCTGSEAEYSAECGARRRKTQNAKDTLLDEINSANSMLLDTFISIASDNGTDGISSSSGGTLIKLSYTATSLASDLVSLVATPGMCIVMPVKLLVPADYPRSSPMLVCDQGDEQMRKSFSDISGTVDVAFRQALYGLPEPMSVLDIARAWDASVRRAVVEFAQRHGGGTFSSSYGEWARC >PVH34740 pep chromosome:PHallii_v3.1:7:4121143:4127075:1 gene:PAHAL_7G020400 transcript:PVH34740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSAAEGPHPWSQDLDPLLCPQVLEKIVRTLAQVYHGQFDSTEDLNRVAARFENKVFHEANSKGDYLRRVSYRLVTLELKQKQKLLQRAPSIHHHQVQMGSHMRPVNSVHAINGGNSSITAQVPSISTHGRQSSQLQSLPMTSLVSGLVPNQNMAYPLAPNTHANVKQEHIEVTRKPGQTLNSRQASTTPSAPSVQLSQQMVQPVASEKLKQLALQMQPSDFSGCNSISITQPQGQPLVQPNVQQNPFGKNGMLPKELMMVNQKGLGFNQQRTDREKYQMRVAQQANITKVHNGHPGARNSQPGATVGLRSASRMREQGALNEHIEMEPQPMALPQQLITASQQNILFSTMGSAGEVDWREEIFQKIKPLKDAYLSELMELDKVIFVPKLSQKQFELLPNDRAEQYRYRVHLKQKTKLILKFLLVEKSSIPDNYRGQLSTFLKSIQDLLGFYRRSKNLTMDARNKYQISNGQPKIINLIGDQAPSSGGTSHRNQQEKLVNSQLTKDIIITTPAAYHEINSNSLLGVGSSCFPEKTRGSLQPLAIDKLQECCTQTLSHVIKSGIVKVSSPSASLVCTSPSPITTPSAAKAKASSSVCVKSTLSSPVAKPVHPTSSDNFERFYALLLQDISATTSAQTVVGGIATNAENCIKQVTTTKPIMPASPLLAETVDHQAEDNEHPGNEIPVAKKPIDRLLHAVRTSSPAMLCSAANSVCSVFKMNDWVQQSEMDTFEDWAFFSEQCGSSTANKMKRVLESTSLYSESAPFDCTGSEAEYSAECGARRRKTQNAKDTLLDEINSANSMLLDTFISIASDNGTDGISSSSGGTLIKLSYTATSLASDLVSLVATPGMCIVMPVKLLVPADYPRSSPMLVCDQGDEQMRKSFSDISGTVDVAFRQALYGLPEPMSVLDIARAWDASVRRAVVEFAQRHGGGTFSSSYGEWARC >PAN38601 pep chromosome:PHallii_v3.1:7:37318711:37320148:-1 gene:PAHAL_7G184100 transcript:PAN38601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIIRLQTSSEKGHCKAIKVAAAISGVESVTIAGEDKNLLLVIGVGIDSNRITEKLRRKVGHAEVVELRTVDAADELGGGLVVAGDHAYRYHPSPSPYKHAPAARDHYYAAAGRDHRYYTGGGSAYAPQTTMAPRVDYYYGGGGGYPAQYQQPHDYFYTPAAANTHTVVHHEYASDPNGCSVM >PAN38551 pep chromosome:PHallii_v3.1:7:37086974:37089880:-1 gene:PAHAL_7G179900 transcript:PAN38551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRAAAVPVAPLLLLVLALAAGAARAADDPASDAAALQAFLAPFGSATVSWDASRPACSWTGVVCTGGRVTEIHLPGDGLRGELPVGALGGLTKLAVLSLRYNALSGSLPPDIASCVELRVINLQSNLLSGELPAAVLVLPALTQLNLAGNRFSGRIPPSIAENGRLQLLYLDGNRLTGELPDVNMPSLTALNVSFNNLTGEVPKSLSGMPATSFLGMPLCGKPLPSCRAPSSSEPPSQPPALTPDAPASSDSRGRGRHRLAGGAIAGIVIGCAFGFLLIAAVLVLVCGALRREPRSTYRSHDAVAAELALHSKEAMSPNGYTPRVSDARPPPSVPPPVAAASVGKKKLFFFGRIPRPYDLEDLLRASAEVLGKGTYGTTYKAAIESGPVMAVKRLKETSLPEREFRDKVAAIGGIDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLNWESRRRIALASARGLEYIHATGSMVTHGNIKSSNILLSRSVDARVADHGLAHLVGPAGAPATRVAGYRAPEVVADPRRVSQKADVYSFGVLMLELLTGKAPTHAVLHDEGVDLPRWARSVVKEEWTSEVFDTELLRHPGAEEEMVEMLRLAMDCTVPAPDQRPAMPEIAARIQEIGATGSASTARSGRSASMDEADDRPLRPTGSIHQS >PVH35553 pep chromosome:PHallii_v3.1:7:39044977:39048064:-1 gene:PAHAL_7G212400 transcript:PVH35553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATTFGTRKLHEARNSWHSGCWILKSLVYVLSIGIPFIIPNIFIQLYGEIARLGAGIFLLLQLISMLHFISWCNKRWMPDPGSNQCGLFGLFLSTICYIASFSGIGVLYFLYVPNSSCAFNIFTITWTAILVKIMMALSLHSKVNEGLLSSGIMSAYIVFLCWSALHSEPQTGKCHSHMKIAKDGDWATIVSFIIAICSIVMATFSTGIDTKSFQFRNDEVQLEDDTPYSYEIFHIVFAMGAMYFAMLFISWELNHPTRKWSIDVGWASTWVKIINEWFAASIYIWRLISPIVLRNQFVNDEGFVPHRPTV >PAN39149 pep chromosome:PHallii_v3.1:7:39044977:39048986:-1 gene:PAHAL_7G212400 transcript:PAN39149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTKAGGAEPCGGGGGYEALRRQSLRARYAYGFVFFATNLLAWFVRDYGARALRGLHHVPVCGAGDSKCFESGGVLRVSLGCFIFFWLMFATTFGTRKLHEARNSWHSGCWILKSLVYVLSIGIPFIIPNIFIQLYGEIARLGAGIFLLLQLISMLHFISWCNKRWMPDPGSNQCGLFGLFLSTICYIASFSGIGVLYFLYVPNSSCAFNIFTITWTAILVKIMMALSLHSKVNEGLLSSGIMSAYIVFLCWSALHSEPQTGKCHSHMKIAKDGDWATIVSFIIAICSIVMATFSTGIDTKSFQFRNDEVQLEDDTPYSYEIFHIVFAMGAMYFAMLFISWELNHPTRKWSIDVGWASTWVKIINEWFAASIYIWRLISPIVLRNQFVNDEGFVPHRPTV >PVH35552 pep chromosome:PHallii_v3.1:7:39044977:39047380:-1 gene:PAHAL_7G212400 transcript:PVH35552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPRIFLLLQLISMLHFISWCNKRWMPDPGSNQCGLFGLFLSTICYIASFSGIGVLYFLYVPNSSCAFNIFTITWTAILVKIMMALSLHSKVNEGLLSSGIMSAYIVFLCWSALHSEPQTGKCHSHMKIAKDGDWATIVSFIIAICSIVMATFSTGIDTKSFQFRNDEVQLEDDTPYSYEIFHIVFAMGAMYFAMLFISWELNHPTRKWSIDVGWASTWVKIINEWFAASIYIWRLISPIVLRNQFVNDEGFVPHRPTV >PAN37692 pep chromosome:PHallii_v3.1:7:31521184:31522573:1 gene:PAHAL_7G114800 transcript:PAN37692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTVHDVLAFHRVDRAAYEHLLSLGAGRRPARDAVALLMWLHRRAGVDAVSRVPVLVRTPAAAARLVGEARAALLHGAASCGAGALPTPPLLSLLCGEADEDDDPCVRRRLLASCGPAPAAAEATRRGVAEVLGGVGALVFDDRLHATLRRHEEGGGTGALPAELAAPYRVHGASVRAAPAPEEEEDGRSLFITFSKGFPLTRVEVEEFFTERWGDDCVAKVMMEKTPAGEAPTYGRVVFRRAATAAAVLGGRPLVKLMVNGRHLWARKYVPRPPQH >PAN39933 pep chromosome:PHallii_v3.1:7:43437995:43441771:-1 gene:PAHAL_7G279600 transcript:PAN39933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSGYTRPRPLAEDAAPPPPSAVLYVANCGPAVGVTDADVRAAFGAFGEVAGVQAADNSGARVIVRFHEPAAAEAAIAALHGRPCDRLAGRVLHIRYSVPVKPKALPGGSLPVALAASELGIPGIYMVEEFVTAAEEQELLAAVDSRSWKSLAKRRVQHYGYEFLYETRNVDSKQFLGELPAFISIVLEKIASFPGLKKCTTRLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSVVNGADEHISQEPECIRKAIFLPSRSMLLMSGEGRYAWHHYIPHHKIDDVGGRVIKRNSRRVSFTFRKVRMGPCDCEYKQFCDSHSKRC >PVH35644 pep chromosome:PHallii_v3.1:7:40498572:40500703:-1 gene:PAHAL_7G234400 transcript:PVH35644 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MRQTFCFGGSRVARRMSERAPMADGCVFCDIARRAPTSTTALLYSDDRVVAFRDINPSAFRHFLVIPKDHIPTVNSLRRTKDDHQLVSHMVKVGKDLLNQDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFIEAEKLLERIKPEAEVYS >PVH35645 pep chromosome:PHallii_v3.1:7:40497244:40500947:-1 gene:PAHAL_7G234400 transcript:PVH35645 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MRQTFCFGGSRVARRMSERAPMADGHFLVIPKDHIPTVNSLRRTKDDHQLVSHMVKVGKDLLNQDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFIEAEKLLERIKPEAEVYS >PAN40920 pep chromosome:PHallii_v3.1:7:47979349:47982608:-1 gene:PAHAL_7G351600 transcript:PAN40920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSAASASGGGRWYTGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAASSGVRAGVGGYSYLYEPLWWVGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHVMLREKLHIFGILGCVLCVVGSTTIVLHAPPEREIESVTEVWDLAMEPAFMCYAAVVIAIVAILVYRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLIYPQTCAFTLVVISCILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGGLSTSSSFRLPTSSSVRFSKQTDNDSEGIPLRSSESFRSPH >PAN40371 pep chromosome:PHallii_v3.1:7:45298216:45301137:1 gene:PAHAL_7G310000 transcript:PAN40371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKIAVASVIAAVGIVAVIGTIAAVTASKKGGDKDGGMSAGVKLSTVCASTLYPEKCEQSLKPVVNDTSNPEDVLRAALNVALDEVAAAFERSEHIGKDAKDNLTRNAMDVCKKLLDDATEDLRDMARIKPVEVLDHVKDLRIWLSGVMTYVYTCADGFEMPELKEAMDKVLQNSTELSSNALAIITRLGELLPEEAKTVNATAAAGHGRRLLGWQMGEAEEKTSGRGLLAVDDKLNVIADVTDASRQLLADTLDEIEALSDDASRRQLGLMFAKLYSRGTKGDLLWRRRHLLSMSLTVMANEEDERRMIHMSNHLDTIANMSTEINRHLLAEELPDDLDGRRQLLSRRLMEINEVADEAKRQLEAMDQPDRILSANAHGDPEEHAADPYHRVLATDLVGTFDGIEDGRSGLKSSDLPKSAPANQRRLMQLPGGQKPNRVLTTDLVGTPDEIEDARSGLKSSDLPKWVPGDLRRLLQVQKPNAVVAQDGSGGFKTITAAINAVPKTYKGRYVIYVKAGTYKEYVTVPKNMANVFMYGDGPTRTVVTGDKSNTGGFATIATRTFSAEGNGFICKNMGFVNTAGPDGHQAVALHVQGDMSVFFNCRFEGYQDTLYVHANRQFFRNCEVLGTVDFIFGNSAALFQNCLVTVRKPGISQSNMVTAHGRTDPNMPTGIVLQSCRIVPEQALFPDRLKVASYLGRPWKEYARTVIMESTIGDLIKPEGWSEWMGDFGLKTLYYAEYGNSGPGAGTSKRINWPGYRGIIGQAEATQFTPGVFIDAMTWLKATGTPNVMGFTK >PVH35215 pep chromosome:PHallii_v3.1:7:33071177:33071552:1 gene:PAHAL_7G129200 transcript:PVH35215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLRSGLLWHLTLRKQVNSVATEWNIHADIMSNESLQTLVRMLWSIPYAFKTCSAQQSY >PAN38545 pep chromosome:PHallii_v3.1:7:37065276:37066348:1 gene:PAHAL_7G179300 transcript:PAN38545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPALCVALLLLVSVAGVHAQAGSSTATCSTDLFRLLPCLPYIEGTVAAPADTCCANLGSMVHDEPQCLCQALSNPSTSPVAVNMTRVMALPRLCRLDLPSAAGACAVAGLLPHGTTPPPPAIVPRPSANSTAASTLTPATRTPATPSITPSSWVSSQMPRYSRGPKVIVDGFSVALGFVALVSVLAF >PAN40856 pep chromosome:PHallii_v3.1:7:47266166:47268765:1 gene:PAHAL_7G339400 transcript:PAN40856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPSAELSASNGGGANGLPTLPDFMGGKSRYMRMDDVLPPEQEGEEDGGVRVRERQSSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAIGRKWTIGLAAVVFQAGAAVMTFAPSFRVLMIGRLLAGIGIGFGIMIAPVYIAEISPAASRGSLTSFPEIFINLGILLGYISNYAFSGLSDHINWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEARAVLLKVTDSEDEAKERLAEIEAAAAATSAGKYGDKTVWQELSTPSPVIARMLVTGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLAATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTIGMSVCLAVLSAALFLLAHGWVSRGAGIAVAVLTVCGDVAFFSVGIGPICWVVSSEIFPLRLRAQAAALGAVSNRVTSGAVAMSFLSICRAISVAGAFSAFAIISALSVVFVHKFVPETSGKTLEQIELLFGGGDGEGRGDLELDDVEQLVQKG >PAN38060 pep chromosome:PHallii_v3.1:7:34452477:34459199:-1 gene:PAHAL_7G143600 transcript:PAN38060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSESDSDDIFFDAFEDVQSPREPSSPEDCSTSDDVSVPRKCEYEIWANEPMSVQERRQRFLKGMGLDELVSTRMDSFQCHGEITAVESFTDMEERTLSGHSSLDSSVCDNELEFDGSCCIRDMDSGKRYTVHSAAHNSITDMLKEVGSDKVMSLLEFESLLGLSRSVQKLLRRGCGNSPARETKGAKKKDVKSLWNTFMTKRSFSGICKYDVHVKNCTTGVPTRTRVQHRKKIFLEFSAVYMDQEIRAHKGSIRVMKFSPSGWYLASGGEDCVVRIWQIIEVEASPKLYKGEDPYEKVEKVQVFKANIGKGQNHALAVIPKKAFRISETPLHEFHGHTSDILDMTWSKSDYLLTSSKDKTVRLWKPGCDGCLAVFKHKDYVTCVQFNPLDERYFISGSLDGKVRIWDVLDGRVTDWADTRNIVTALSYQPDGKGFIVGTIAGACRFYNQSVESIQLEKEVFVQGKKKSAASRINSLKLCTSDSNRIIIASADSKIRVADGDTIKKFEGPWKSKALSSPSLTSDGKYLISAGKDSNVYIWNFANSGDAKSVQSCELFFSKDVTTAVPWPGVHQDGRTKPPCLTEKSSSAPALCRHGESRSPGPWPFADGTKGSATWPEEKLPSAAKPEDGPQLGDCLSAISAAWSTVIVTASRDGVIRSFPNYGLPVRL >PVH34929 pep chromosome:PHallii_v3.1:7:20362785:20367413:-1 gene:PAHAL_7G067300 transcript:PVH34929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAPPYSPGRWRPVWLSAPLVLYDAHLLPDPAAKDEIPSHVKHADLDAAAADVSRILAAHDGPFRCAYLTCSNMDGDRTRVACWLQLLAIKGVEELFLINRPPLQIDRHVPATFFSMAALSRLYLCFLRFPITAGFPRGAAFPRLRELGLCSVAMEGHGDMEFILARSPTLETLCFEGHMFPPLRLRLISRSLWCVQIHHSKVKSITMVDAPRLVRLIIMNSPLKCEGPCRIEISNAPSLRLFGYFNPVMHCQVGNTDIKAWTLVNERAMVPAMKILALEFHFRLRNDAKMLPSFLRCFPNVERLYIHSEKVNEPTGKLNFKFWQEAGAIECVESRVKLLVFHDFRGENSELAFLKFFVESARALERLTIVCASGCFSSVDEARSKVKKALFAGRKGSERCALLVLECAPEGEGTPWTYERGFDFSRADPFAFVVPT >PAN38144 pep chromosome:PHallii_v3.1:7:35140996:35145072:-1 gene:PAHAL_7G150200 transcript:PAN38144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRALPAPAALVRLASVGFLLMLCSSPAAVGVVSARKVGQTCALDRNCAAGLHCETCVANGNVRPRCTRVAPVDPQTKARDLPFNRYAWLTTHNSFARLGQRSQTGVAIATPWSQQDTVTEQLNNGVRGLMLDMYDFRNDIWLCHSYGGICQNFTAFQPAVNVLREVERFLSRNRAEVVTIFVEDYVESPMGLTRVLNASGLARYMLPVWRMPKTGGDWPLLSDMVRDDHRLLVFTSKAAKEAAEGIAYEWRYVVENQYGTKGMVRGTCHNRAESAAMNDLSRSLVLVNYFRDLPAFPEACKDNSAPLMDALAACHAKSGDRWANYIAVDFYKRSDRGGAAEATDKANGGLVCGCGSISACNANGTCTPRHGRTPKGIFNASSDAAAWRPPSSLQWQRLVLLPALLAVLRL >PAN38007 pep chromosome:PHallii_v3.1:7:34204179:34205036:1 gene:PAHAL_7G139600 transcript:PAN38007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLDLDHIFCVGARGHGDSDSAGAAQRGGDASASARADDGDAPAESVLIPVRGGTGLAELLCTALRRDGSTKGRSNPKAAAEAEAASRKQGAPRADSRRLAAGSKTAVAIGILPAAGKVVANSKERRAPGRVVARAWRRPAAGARVFASEAVGPEPVSPKVSCFGAVLPESRAAAGPPGNKQGEEEERGGCWSPGNKQREEEERGGCWSSVAAELRGLCCSSNNSPREGEPVASESSPQATTAPEPQTVPFLSSPRAVAGLGDVKRLASRRWPETMAAEGRGSV >PAN40323 pep chromosome:PHallii_v3.1:7:45117430:45120980:-1 gene:PAHAL_7G306400 transcript:PAN40323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDGEAAQPPPKRSRRDPEAEEDTAKPPPPPPRVELNPADCDLDFDVGGGGLQGSALHDGGFAYCWSGARATVGARGGGRYCFGCRIVSEQTVEMDLAAPEERHLCRIGVSRGDDPVGALGESDHSFGFGGTGKFSHQRRFANYGVRFGVGDTVVCAVDLDSKPMASIGFARNGEWLGIAKHFDAGEKGLGLVDAPVRPMQWESALFPHVLLKNIVVEMLFSREDGLEPVDGYEPWASAFADGNAVFGPLFEQGECEVMMMVGLPASGKSTWAEKWVKEHPEKRFILLGTNLALEQMKVPGLLRKNNYGERFDRLMECATWIFNKLLTRAANTRRNFIIDQTNVYKNARIRKLRPFANYRKTAVVVFPPPSELKSRAAKQFDEMGKEVPAEAVNEMTANFILPLSRDMPDSKEPFNKVIFTELSRDEAQRNLLEMQRVLPRTGTPSCGNSSNQNANSTYAATAAPVDPRARSSMANIHPPMANSYGSYSGTVPGSAATFSTGVHTMGTTTQQQAPSSVQRFQSPTGNQHEFHSGYPSAPNQYQMPSSYLSNSNQYQLHGSYQSTPLPAYGQSTCGSHRNPSPYNPNPYSPEMHQCIQAPMTNRNLYQAPGSAEAYGVPGYAAANLIGRPHQVPPPTLPAYSSQPVAQWLPNQYSSSSWSSDSCRPYGQQSDVHYPPCAAPAAPPTPWLPHCSAPNHMNQWRSQN >PAN38787 pep chromosome:PHallii_v3.1:7:38183818:38186532:1 gene:PAHAL_7G198400 transcript:PAN38787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METELVAIPDDALADACRRGASPPHVNDSIDSWSVMDHCNGLILCDIEWRSRLCVCNPATQRWTLLPLRTEVGLLGYAGAHFMFDPAVSPHYEVVLIPAVPKKPLRADQWKVKKKKKRRGPLPRQHETFQPAMESAALTLPYVDEDEDEDKEPDDPHRLMEWPPSTWQLNVFSSRTGQWEVRSFIREGESVGTVEDMRLDKVWGMRRLCTVYQNGALYVHCRGSFIMRLSLSSDKYQVINTPANIRNVKPYLGRLEKEVCFGIVHECQLQVWTLKESYGKMEWALRYQDDLSCYAHFLASLYNNGRWKVGPWILKERNISVHNSNHTAETLSEEGFEWDSDNDDIISVTAGVVFLPRPFAVVAYHMNTSKAQYLGNSRPYCYYHNYSNGIYESFVYTPCMTGELQEDNIDRNAS >PAN39679 pep chromosome:PHallii_v3.1:7:42263074:42267792:1 gene:PAHAL_7G260500 transcript:PAN39679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKKLMVDQVEEWKGYYINYKLMKKMLKQYVEQTQHGGKDCERVLKEFSRILDDQIERIVLFLLQQQGHLAGRIEELGEQSTALLEQYDISQVSQLRDAYREVGFDLIKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHEGSFVSIYDHPAVTLKDPVIDQVNHAVQKLTHATNFLQFLGQHSLIVQEDAQSGSEDLVDDQNYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFLGNLLYALAYDLNSLIVLLIGRLLCGLGSARAVNRRYISDCVPLKMRLQASAGFVSASALGMACGPALAGFLQTKFKIFSLNFNQSTLPGWVMCIAWLIYLLWLWLTFKEPEHFTKTVVSEQPSESSHQGNANLEEGLAQPLLIGTERRQDENSEDNDDNEVASQNSHEPATSIASAYRLLTPSVKAQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSAVAIFLAILGLTVLPVNAIVGSYITNLFEDRQILLASEVMVLIGIIMSFRFTPHYSIPQYVISALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADATITAAGYLGTDLLLNVTLLPPLVICILSIAATLYTYNTLY >PAN37134 pep chromosome:PHallii_v3.1:7:4026008:4030380:-1 gene:PAHAL_7G020100 transcript:PAN37134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNLDYDTRHSELDQLFYRYGRIERIDMKSGFAFVYFEDEHDGDDAIRALDGYPFGPGRRRLSVEWSRGDRAARRDGDKPAANTKPTKTLFVINFDPINTRVSDIERHFAPFGNISNVRIRRNFAFVQFGTLEEARKALEATHATTLLDRVISVEYAFRDDGERSDRYDSPRRGGGYGRRGDSPYRRSISPVYRSRPSPDYGRPPSPVYGSYGRSRSPVRDRYRRSPGYRSRSPPAKRRAYD >PAN39411 pep chromosome:PHallii_v3.1:7:40900487:40903437:1 gene:PAHAL_7G240600 transcript:PAN39411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYEAGKALADEMGIPFMETSAKSATNVEQAFMAMAASIKTRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >PAN37356 pep chromosome:PHallii_v3.1:7:24867584:24868147:-1 gene:PAHAL_7G084900 transcript:PAN37356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFLSFVAIISLALAPLSPQASAAGVAENGSSTAGRPTIGNYQRQFLQAHNEARAAAGLPPLTWNMTLQLDSKRYANELRIRCSTRPLYAWGTDGIYGRNLYKGTDKRRTPAEAVAAWVSEGHWYDLKNNRCTAPEDQSCDEYKQVVWRATTQVGCGRHFCRASFNTTVAVCEYYPPGNRNGQRPY >PVH34793 pep chromosome:PHallii_v3.1:7:8498391:8499053:-1 gene:PAHAL_7G033600 transcript:PVH34793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGRLLMSRRVVRRGRRGAVRGAVPAQEQGSDAARGGAECWRRAARHTRGSARARARAARRGAGRGRGASDRRHGAAHGHELRVRLRRGTAGQGGSCAARQGLARGAGRGWRAAGVSAWGCSGVRVCAARVRLTGSRRVRAREGGGAAWPGSWRPGGAREQGKGEEKGGEGRRKRKKGKRGKKGKKREKKWEREKGKEKGESKREKEGEGGSRRRRSRR >PVH35208 pep chromosome:PHallii_v3.1:7:32909715:32910834:-1 gene:PAHAL_7G127600 transcript:PVH35208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRVTLFFPSSYLTPSSVVIPPLDNLSRVTVPHRRSPKSTALPIAPRPTPFPSPRRRVTPPPQRRAAAAKHAADPLSPRRDSCRGAAAADPRPPRPDSRSGCGATAATANARAPPCRGAAAA >PAN38633 pep chromosome:PHallii_v3.1:7:37402061:37404218:-1 gene:PAHAL_7G186000 transcript:PAN38633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDSLEVLDCDDDGRRPRRAGTAWTCAAHVITAVIGSGVLSLAWSVAQLGWVVGPACMFCFALVTYVSAALLADCYRRGDPEKGPRNRSYMDAVRAYLGKKHTWACGSLQYVSLYGCGVAYTITTATSIRAILKANCYHKHGHDAPCSYDGSYDMLVFGAAQLFLSFIPDFHDMAWLSVVAAVMSFSYAFIGLGLGVATTISNGTIKGSITGVPMRTPVQKIWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFTDRFFAERFPDSGFVNDFHTVRVPCLPPWRVNLLRLCFRTLYVASTTAVAMVFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSARWVVLQTFSVVCLLVSAFALVGSIEGLVTQKLG >PAN38632 pep chromosome:PHallii_v3.1:7:37401283:37409655:-1 gene:PAHAL_7G186000 transcript:PAN38632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGEGGNNGAAAPLIADSGKHAGGIVRSGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPAAMLVFAGVTALQSTLFADCYRSPDPEHGPHRNRTYAGAVDRNLGSSSSWVCMLLQHTALFGYGIAYTITASISCRAILKANCYHKHGHDAPCSYDGSYDMLVFGAAQLFLSFIPDFHDMAWLSVVAAVMSFSYAFIGLGLGVATTISNGTIKGSITGVPMRTPVQKIWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFTDRFFAERFPDSGFVNDFHTVRVPCLPPWRVNLLRLCFRTLYVASTTAVAMVFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSARWVVLQTFSVVCLLVSAFALVGSIEGLVTQKLG >PAN37975 pep chromosome:PHallii_v3.1:7:34069339:34079968:-1 gene:PAHAL_7G138000 transcript:PAN37975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAVSGARLGVVRPGGGAARSGGERRSGVDLPPVLFRRKDSFSRTVLSCAGAPGKVLVPGGGNDDLLSSAEPAVDTPVQSEELEVPDEAELVVEEPVSSSVAEASSAVEVEEKPEPSEVIEGTSETETDGVAVETKAPLVEEKPRVIPPPGDGQRIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSDEGITYREWAPGAHSAALVGDFNNWNPNADTMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGARGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPQIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVIPGNNNSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEEKYEFMTSDHQYVSRKHEEDKVIIFERGNLVFVFNFHWSNSYFDYRVGCFKPGKYKIVLDSDDGLFGGFSRLDHEAEYFTADWPHDNRPCSFSVYAPSRTAVVYALAEDAE >PAN39932 pep chromosome:PHallii_v3.1:7:43435908:43438945:1 gene:PAHAL_7G279500 transcript:PAN39932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MSPPPRCAVSLPLAPTNASATSTGGGGGGGVGVGGKKAQTHPTASQVRRLCKQGQLDRARLLLLDALPRPPPMLLCNAVLIAYVARALPDHALRLYALLNHAARPAPRSDHYTYSCALTACARTRRLRLGKSVHAHLLRRARALPDTAVLRNSLLNLYASCVRYRGDGGVDVVRRLFDGMPKRNAVSWNTLSGWYVKTGRPQEALELFARMLEDVVRPTPVSFVNVFPAAASDDPSWSLALYGLLLKHGLEYVNDLFVVSSAIVMFSELGDVQSAWKVFDHTAKKNTEVWNTMITGYVQNGKFAEAMDLFIRLLGSKEVPLDVVTFLSALTAASQSQDGRLGQQLHGYLIKGMHGALPVILGNALVVMYSRCGNVQTAFELFDRLPEMDVVSWNTMVTAFVQNDFDLEGLLLVYQMQKSGFVADSVTMTAVLSAASNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSGRIEMAQRVFDGCGDFKRDEVTWNAMIAGYTQSGQPEQAILAFGAMLEAGLEPNSVTLASVLPACDPLGGGISAGKQIHCFAVRQCLDSNVFVGTALVDMYSKCGEISAAEHVFASMSEKSTVSYTTMISGLGQHGFGERAVSLFYSMREKGLKPDAVTFLAVISACNYSGLVEEGLTLYRSMEAYGVAPTPQHHCCVVDLLAKAGRVDEAYEFVEGLGEEGNFVSIWGSLLASCKAQGKQELADLVTERLLRVEKKYGHAGYNVLLSHIFAAESNWSSADSLRKEMRLRGLRKVAGSSWIKVQDATLQNYPKNGHVYSMLHGVDYDRNEII >PAN40458 pep chromosome:PHallii_v3.1:7:45762745:45766622:-1 gene:PAHAL_7G316900 transcript:PAN40458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD21B [Source:Projected from Arabidopsis thaliana (AT5G43060) UniProtKB/Swiss-Prot;Acc:Q9FMH8] MGTSTTASLAAAALLLLVSLAAAADMSIVSYGERSEEETRRMYAEWMATHGRTYNAIGEEERRYQVFRDNLRYIDAHNAAADAGVHSFRLGLNRFADLTNEEYRATYLGVRAKPQRERKLSARYQAADNEDLPESVDWRAKGAVVEVKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDTEEDYPYKGTDNRCDVNRKNAKVVTIDSYEDVPVNSEKSLQKAVANQPVSVAIEASGRAFQLYSSGIFTGSCGTALDHGVTAVGYGTENGKDYWIVKNSWGSSWGEAGYVRMERNIKASSGKCGIAVEPSYPLKKGANPPNPGPTPPSPTPPPTVCDNYYSCPDSTTCCCIYDYGKYCFAWGCCPLEGATCCDDHYSCCPHDYPICNVKQGTCLMGKDSPMSVKALKRTLAKPHWSFSGNAADGMKSSA >PAN39798 pep chromosome:PHallii_v3.1:7:42785584:42786733:-1 gene:PAHAL_7G269000 transcript:PAN39798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVACAFFFDAEPLGEPGRHALDACALCSKPLARNSDIFMYKGDTPFCSEECRYEQMHHDAAYARQAGRRKQQQRSSGRREGGGASVSAKADVSVASY >PAN39296 pep chromosome:PHallii_v3.1:7:40482508:40482967:-1 gene:PAHAL_7G234100 transcript:PAN39296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKITEGKGCLGSWMIFQGFCFTVHCPMNEYAALMVICPQKQNPLCCPVYMRVQVVGFRRSDLLLSIMVMKF >PVH35695 pep chromosome:PHallii_v3.1:7:41429391:41432565:-1 gene:PAHAL_7G249200 transcript:PVH35695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLSLLLFTANKALSPAYFTAPVLLAIQLEVRTAAIGQFSSDFQSIKCAGWLLPIGQIVARAEYFRDVVTFFRHGRLGAHFASMNGSVPNAASYIPCHSSSLCTPEQHTKTMVAKGSGVESVAVVAVPFPAQGHLNQLLHLSLRLASRGLPVHYAAPAAQVRQARARVQGWDEAALGSVEFHELGISEYACPPPDPAAPSPFPSHLMPLFEAYTAGARAPLAALLARLSASHRRVVVVHDRINAYAGEEAARLPNGEAFGLHCLAASTLAGKMDAGLRLLRERGLVFLAADAWAPREFVEYVFKRARPSKEISPGAGILVNTCRPLEGEFTDIVVDHLATDGKKCFAVGPLNPLLHADARRQSKPRHESLDWLDKQPPASVLYVSFGTTPTLRMEQIAELAAALRDSNQRFIWVLRDADRGDESADHDEKQSRHAELLPMFTKQTQGRGLVITGWAPQLDILAHDATAAFMSHCGWNSTVESLSHGKPILAWPMHGDQPWDAELVCNYLKAGILVRPWEKHGEVTPAEAIRQVVEAAMLSDEGMAVRQRAKVLGEAVRASLADSGSSRKHLDDFVAHITK >PAN40696 pep chromosome:PHallii_v3.1:7:46891881:46895255:-1 gene:PAHAL_7G333900 transcript:PAN40696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTASVVLDIEGLPQPPDKCCSGSPKMTRALSRKGSTRMERRGADEQEQEDLAKKLIIKVVPSQLEQPLVQQNKALVAPHCTPCTPVLIDSGEGRIKRFNRFTAINPRKILLFFATLSSVGTTILIYFTLGINSKAEA >PAN38628 pep chromosome:PHallii_v3.1:7:37392370:37394715:-1 gene:PAHAL_7G185700 transcript:PAN38628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTTGKPLGRGRGRGRGRGRGRGPR >PVH35668 pep chromosome:PHallii_v3.1:7:40824889:40825883:1 gene:PAHAL_7G239100 transcript:PVH35668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPRGPAPGRGRTAPHGWAGDSGSRQGSGPDGSWSYGWRWASGPGGGWGYGHGSAQGPRGAADGAAYGGFGYDSGGGGGGSGRGGFGFSFSGPGGAGGHAGGFSWGTGGGASGTGGDHAAHGGWSARGGFGGGGRQRTPRGRCRGSN >PAN37504 pep chromosome:PHallii_v3.1:7:30737992:30745212:-1 gene:PAHAL_7G110500 transcript:PAN37504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRDSIPMVSLAVSAAALYARAVSSRLRPGFPRLAALLPVVAFLAAAPLAFSSSATTRGMAAFFLAWLGVFKVALLAAGLGPLDPALPVLPFLFTAALPVKLMTNRGGSGSGTRPAGEAAAASKRPAPAFLVSCAAKVAVVAALLRAYRFKDRLPLYARLAMYGVHMYCFFDLLLPCIAAAAGALGMEAEPQFDLPYLASSLRDFWGRRWNLVVPAVLRPSVYGPVRARAGRAAGVLATFLVSGLMHEVMVCYLTLRPPTGEMAAFFLIHGACCVAEGWCAARGWPPTPPRPVATLLVVAFVAGTAFWLFFPPINRDGGDEVLLEEWTAVAAFVGDAGRKLFQ >PAN40816 pep chromosome:PHallii_v3.1:7:47491490:47494141:1 gene:PAHAL_7G343100 transcript:PAN40816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 8 [Source:Projected from Arabidopsis thaliana (AT1G63460) UniProtKB/Swiss-Prot;Acc:Q8LBU2] MGAAESSSKLASSVHDFVVKDSRGNDVELSRYKGKVLLIVNVASQCGLTNSNYTELGSLHKKYGDKGLEILAFPCNQFAGQEPGTNEQIAELACTRFKAEYPIFGKVDVNGSNAAPLYKFLKSEKGGLFGERVKWNFTKFLVDKDGHVVGRFAPISSPSSIENDIEKLLEA >PAN38031 pep chromosome:PHallii_v3.1:7:34328169:34339043:1 gene:PAHAL_7G141600 transcript:PAN38031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MTPAAGRPSPTVATLLGRSRTARCVAQVQSLIVLLGLHNHQSLVARFAATYNDLASPSPITAAASRPSPAVATLLGRCRSTRCLAQLHARIIRLGLHNHHALLARFAAACDALECPSVAASFITVLPESHTAPLRLRNAVLASLARHAPLHAALAEFNLLLQRGVRPDSFSFPCLLRACARASCIPAGRALHAAAIRLGVHANLFVRTALIQFYGRCGAACAARALFDQIDIPSDVSWTAIIVAYVNNGDIVAARELFDRMPLRNMVHWNVMVDGYVKCGDLEGARRLFDEMPERTTTACTSLIGGYAKSGNLEVARSLFDKLEDRDVFSWSAMISGYAQNGYPGEALRIFDEFQEKGICPDELVVVGLMSACSQLGNVRLARWIEDYIAKYSIDVNNAHVLAGLVNMNAKCGNLERATVLFESMPVRDVFSYCSLMQGHCLHGSANKAVELFSRMLLEGLSPDNAVFTVVLTACSHAGLVEEGKKFFDMMKNVYLIVPSGEHYACLVSLLGRCGMLKEAYELVMSMPGKPHPGAWGALLGGCKLYGNIELGKIAAKKLFEIEPDNAGNYVSLSNMYANIDRWGNVSELRAEMTEKRITKIAGRTLVLQ >PVH35286 pep chromosome:PHallii_v3.1:7:34328165:34339398:1 gene:PAHAL_7G141600 transcript:PVH35286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MTPAAGRPSPTVATLLGRSRTARCVAQVQSLIVLLGLHNHQSLVARFAATYNDLASPSPITAAASRPSPAVATLLGRCRSTRCLAQLHARIIRLGLHNHHALLARFAAACDALECPSVAASFITVLPESHTAPLRLRNAVLASLARHAPLHAALAEFNLLLQRGVRPDSFSFPCLLRACARASCIPAGRALHAAAIRLGVHANLFVRTALIQFYGRCGAACAARALFDQIDIPSDVSWTAIIVAYVNNGDIVAARELFDRMPLRNMVHWNVMVDGYVKCGDLEGARRLFDEMPERTTTACTSLIGGYAKSGNLEVARSLFDKLEDRDVFSWSAMISGYAQNGYPGEALRIFDEFQEKGICPDELVVVGLMSACSQLGNVRLARWIEDYIAKYSIDVNNAHVLAGLVNMNAKCGNLERATVLFESMPVRDVFSYCSLMQGHCLHGSANKAVELFSRMLLEGLSPDNAVFTVVLTACSHAGLVEEGKKFFDMMKNVYLIVPSGEHYACLVSLLGRCGMLKEAYELVMSMPGKPHPGAWGALLGGCKLYGNIELGKIAAKKLFEIEPDNAGNYVSLSNMYANIDRWGNVSELRAEMTEKRITKIAGRTLVLQ >PAN40890 pep chromosome:PHallii_v3.1:7:47751045:47753090:1 gene:PAHAL_7G348200 transcript:PAN40890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAKTDSEVTSLAPSSPPRSPPRTRPVYYVQSPSRDSHDGEKTATSVHSTPALSPMASPRHSHSSVGRDSSSSRFSGHPKRSKGDKAAGRKGAPQGKGWQEIGVIEEEGLLDDEEHTRIVPKKCYYFLVFVLGFVALFSFFALVLWGASRSQKPQIVMKSIKFENFIIQAGTDASLVPTDMATTNATVKFTYRNKGTFFGIHVTADPFQLSYSQLTLANGDLNKFYQARSSRRTVSVSVLGNKVPLYGGGPTLTAAPGGGGKQASSSSSSVAPVPMVLRTTLHSRAYVLGALVKPKFTLGVECRVVMNPNKLNKPISLEKSCHYS >PAN39736 pep chromosome:PHallii_v3.1:7:42567115:42569630:1 gene:PAHAL_7G265000 transcript:PAN39736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVRDRPPPPMLAPPLPPPGLRLLLIVLLALAASAAQGADTGGCGGADRCGDLVLPFPFHLNSSACGGAGANSSLFRLSCSGNATLTLPLGSATFRVLAFLPSGSLLLDYAPASPAPCDAAYAPFSRPTSPAAALDAAPFLAVTPANVLRLYACEDSSLCRAGCDDVTTCGGKSGCCYPLSDGSVWKPGNGLGVFADYGCRGFSSWVRNRTASAGAAGGVVRGIEVEWAVLRGSAMAKCADGAALVNATALHDGVRCACAAGLVGDGFAQGTGCSKGTSCRNGGQASDGRACCQGRFCSKKAVVLAGFFVSLFFLAAAVSFWLFLRQPSKDSRWDLDPACIPNILRSVCDTKQFTYEQLEEATKRFDSEKAVDTVDGTVHAGVLDDGSLVAVQRIGYETQAKLRLVLDRIELLSEISHPNIARVVGFCLDSSNALLLVHEHFAGGTLEEHLRQMKGRVLSWYHRVNIAIELASALTYLQAHETAPTFLHDLKSSEIFLDTDFTAKIAGYKLTRPATYYSASHDQDVVCNFGHLLIELLTGLRQQIPFDLVAPKVREGRLHEVIDPTLLSGKQLPASHDEVRKMFELAVRCLSGAENGLCMLAVAKELMHILRDNNGSSSKIEISLEETFSSSSLLQMISMSPDTLHHHLP >PAN40731 pep chromosome:PHallii_v3.1:7:47042375:47045366:-1 gene:PAHAL_7G336200 transcript:PAN40731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLGANPANSCPLTPLGFLERSATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISRGDIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLRHSGSKLVFVDPASLQLIRDALKLLPPGHPAPRVIPVEDPHEEEFPAAPPGTLTYERLLEKGDPEFAWIRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLVTIDSLVEWAVPPQPTYLWTLPMFHANGWSLPWGMAAVGGTNVCLRRVDAAEVYATIARRGVNHLCGAPVVLNMLANAPEGVRRPLPGKVRILTAGAPPPAAVLHRTESIGFEVTHGYGLTETAGLVVRCAWKGEWNKLPASERARLKARQGVRTPGMAEVDIIDGETGSSVPRDGSTMGEIVLRGGCIMLGYLNDDKATKAAIRENGWFYTGDVGVMHPDGYMEIRDRSKDVIISGGENISSVEVESVLYNHPAVNEAAVVARPDEFWGETPCAFLSLKEGSAGAVTAASVVAWCRERMPRYMVPKTVVFRDELPKTSTGKIQKYVLRNLAKEMGPARKGPSRM >PVH34861 pep chromosome:PHallii_v3.1:7:13300527:13302064:-1 gene:PAHAL_7G047700 transcript:PVH34861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKREEEQNKRFGKKKTHDKKTKLFPKKKGHTKRSFLVDKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEEFDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPTLEKVVHEKINASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNACDYGKRGLGSFPEPNMATTTSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN39582 pep chromosome:PHallii_v3.1:7:41640430:41643037:-1 gene:PAHAL_7G253400 transcript:PAN39582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) UniProtKB/Swiss-Prot;Acc:Q84WE9] MSSGKEAIIEVESAPPTPPPPVSAPPSRVHSPAAPGGRSPLRAMATPLASPVRKAVATVRGCLEEVGHITRLADPRDAWLPITESRSGNAYYAAFHNLSSGIGFQALVLPAAFASLGWTWAITCLTLAFAWQLYTLWLLVRLHEPVAGGVRYSRYMHLATTVFGERWAKILALLPVMYLSAGICTALIIVGGGSMKMLFGIACGEACLAQPLTTVEWYLVFICAAVLLSQLPNLNSIAGVSLVGATAAAAYCTMIWVASVAKGRVPGVSYDPVKAPNDVDAALGIVNGLGIIAFAFRGHNVVLEIQGTMPSTLKHPSHVPMWKGVKVAYAIVALCFYPLAIGGFWAYGNQIPPNGILSALYKFHSRDVSRLVLGITTLLVIVNCLTTFQIYAMPVYDNMEAGYVHRKNRPCPWWLRSGFRAFFGAVNLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIKKPRKGTATWNVNWALGILGMSISFVLIVGNLWGLVEKGLRVKFFKPADFQ >PVH34893 pep chromosome:PHallii_v3.1:7:17261453:17261821:1 gene:PAHAL_7G057100 transcript:PVH34893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDYKVSLFLLLHSDFWYNSRGSTCTFHYFLVGTNVSQSASPSKLNCQFIGRPTLLHWEDHNSSIHSVIEVNEHLMESLLDKLSNRSCPTSISRRKSSRLAVAVSARSYFIIMGLLFILGP >PVH34825 pep chromosome:PHallii_v3.1:7:11002293:11002535:-1 gene:PAHAL_7G041400 transcript:PVH34825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASTKFFAIILVFGMVAHGLVSSGMGMIENKGAGESKGVKPTLGVGGGATVDNHHAIPRDQYCSHGGRDDGGSGGDTNN >PVH35012 pep chromosome:PHallii_v3.1:7:24995050:24995415:1 gene:PAHAL_7G085200 transcript:PVH35012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPGGLVPAEGGGGRNQAPQEAGAQGPRHPGPRRSSARRGRPGCEGHNGGGGRAPRARTPTRGGTAHRLPLEHAETAWARGPQQRRRLSSSSSRPSTRSSDAAPPTSAR >PVH35871 pep chromosome:PHallii_v3.1:7:44595368:44596606:-1 gene:PAHAL_7G297100 transcript:PVH35871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVALQSPYVEIACKISFKCNRAMFKVYDVVCSCPERCTSVIIINYLFNHAILEDPVDSVKEVEEYHCRDCHLYDQWQEDRGATRCITRCVMPLHVSGSGPTSLKKDVNHLDHRQIIFFPILQKLVEAYEQSGHYFLIVLNLRNKSFELLDSIRSFEDEKVAACCNGFLAAIKSLWKDHYKDCGFHMIMHAQYWDGRLVSQFNENDMSNIHKMLTYKWLKYEENDAVWETILI >PVH35922 pep chromosome:PHallii_v3.1:7:45179378:45179961:1 gene:PAHAL_7G307600 transcript:PVH35922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRHAPAGRAHRALLALAAVSLLAAVSDGIRPGPGKWATARYGVQILANGATTATMPIPAMQLGGGGDKQDLLLREEVRATGSSLPDCSHACGACSPCSRVMVSFQCSAAEPLPCPMVYRCMCRGKCYPVPSS >PVH34977 pep chromosome:PHallii_v3.1:7:23166129:23168315:-1 gene:PAHAL_7G078500 transcript:PVH34977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDDMEAAHKFYMEYAHSLAFSVRIGQQKLDANGVVIWKRFLCAREGYKREKEEGASGSSSKGRRSRESRCGCPAYIYVKRTLEGKYVIAALYEEYNHAFVTPSKRHLLRSNRSVNEKAKTTLFNCHKASIGTSQAFRLLQIGAGGFEYVGCTKKDLQNYYSDFRHKIKDADAQMFIENLHTLNKLDHNFFFEYEVKDGRLFRVFWADTTSRKNYVHFGDVLSFDTTYSTNQYDMKFAPFTGVNHHMHSIFFGAAFLADEKIESYVWLFNTFLRAMGGKAPSFIVTDEDASIKAAIAIVLPDTIHRLCMWHIMKKLPEKIDTNLLKDDEFRKMINLCVWGSETIEEFESRWQAWIAKYHFENNEWLVGRYKIRKSWIPAYFREIWLGGILRTTSRSESANSFFSRFIGRKLALVEFWIRFDTALKYQRQDELIDDNTSMHTNPKLLTSWELERHGGAVFTHEVFRRFQDELLAAREHCDVQTTTEMEDRTIIRVVDNSNRPREVIFVNADQVHKCSCMLFESIGIPCRHIIQMLRSARISELPMHYITKRWTKNCKREAAFDSEGNLLIEKSITSMEDSTRRKMAAAHNKFEDIFQMAKTSEEGLDILIQNLERLSLLFEPTSRARQEEQESFIGMSIPENVQVHPPSDIRSKGRCKRIVGHADKHKRTQSSGPRKCTSCKGVGHDRRNCPNKDAAPP >PAN40834 pep chromosome:PHallii_v3.1:7:47567459:47573619:-1 gene:PAHAL_7G344800 transcript:PAN40834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAQRAALLRESLQKSQQVTDAVVSILGSFDSRLSALDSAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEGEFQNQLSQRSKPMEPDRLFDCLPSTLRPSSESQPEGGKNPSSENQQNSEAAVYSPPALIEPKFVPLLSKLAQQLVQAGCQQQCSEIYSEARASALESSLKNLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAGERQLCDQVFECSQSLRDKCFAAITKNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQTEIDTIFVGESCSQMRDSALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKKEDGTGSELAAVTMKIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERFRSFNVLFEEIYQKQCSWSVPDTELRESLRLAVAEILLPAYRSFIKRFGPLIENSKAPGKYVKHTPEQLELLLGNLFEGKQERA >PVH35515 pep chromosome:PHallii_v3.1:7:38559851:38567222:-1 gene:PAHAL_7G204900 transcript:PVH35515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRSRLGSSSGAPGAADAPEDGMTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGELEADKDKMMREYRAQLDAERALKLAHGRNHSRSDSKSSSSKKERKDKDAKKRSKKKRKHRSSSEFSSSSSSESSSSDDEDRGSRKSRSRSRSKRTKKDSSSESSSSDDEDRGSRKSRSRSRSKRTKKEKKQRSRSKHAGSDSEGEGPVRLSKFFGK >PAN39382 pep chromosome:PHallii_v3.1:7:40801477:40804554:-1 gene:PAHAL_7G238600 transcript:PAN39382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVMSNAAVARSFTDYLASTFGVTEPNAWRIVVAGIAEGYNALDVPAVALIVIITVCLCYSTKESSMLNMVLTVFHLLFFAFIIVAGLWNGSARNLVRPHGLAPYGVRGILDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSVALCVMLPYTEVTTHICKRCLPIDLTTVLLVLPGSPCDALVQITETAPFSSAFREKVGWRWASSVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPSWLAKVHPSTGTPMNATIFLALFTELQIVFEMISIGTLLVFYLVANALIYHRYVKVGVNRPLHVLLFLLLLTLSSLGFSLLWKIDGWCIWGMALFGAISIAITTIFHCTARQDIAGPPSEWSVPLMPWPAAASVFLNVFLITTLKMRSYQRFGIWSLVIIVFYVCYGVHSTYSAEENDVNAMIHHSNLDIS >PAN39381 pep chromosome:PHallii_v3.1:7:40801477:40804638:-1 gene:PAHAL_7G238600 transcript:PAN39381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRDVLLSIAQTPHRLRRRALVTWTPAQELNEVRDRSGARMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFSVRVPVAGGAFSYLRVTFGEFVGFFGGANILMEYVMSNAAVARSFTDYLASTFGVTEPNAWRIVVAGIAEGYNALDVPAVALIVIITVCLCYSTKESSMLNMVLTVFHLLFFAFIIVAGLWNGSARNLVRPHGLAPYGVRGILDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSVALCVMLPYTEVTTHICKRCLPIDLTTVLLVLPGSPCDALVQITETAPFSSAFREKVGWRWASSVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPSWLAKVHPSTGTPMNATIFLALFTELQIVFEMISIGTLLVFYLVANALIYHRYVKVGVNRPLHVLLFLLLLTLSSLGFSLLWKIDGWCIWGMALFGAISIAITTIFHCTARQDIAGPPSEWSVPLMPWPAAASVFLNVFLITTLKMRSYQRFGIWSLVIIVFYVCYGVHSTYSAEENDVNAMIHHSNLDIS >PAN36941 pep chromosome:PHallii_v3.1:7:2533915:2538154:1 gene:PAHAL_7G013500 transcript:PAN36941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATLLLPSSTASKADAGDHSDSRRHDHHHGSKRKKKPPPSPQPSLSSAPRTPPGARSQRGMAVAASSKKSPKVAAAAAAKNRPQYQQHRAQSTKKAAATSSSSSSSSSWEQVKSLLSCRSATAAARVHDPAAPSALARLRGSGAGACGASLCAMRDVVDAASSAASSAAASASASADRDTAPLNRRRAHRAGSSSSSAAGAGHHSSLRGLSGCYECRAINVEPMSRRYPRPRELCACPQCGEVFTKADSLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICHIDRILKVHNAPRTVARFEAYRDAVRSRCRATAARAAADGNELLRFHSAPLACALGLSGATSLCAGAAADSSSRSNTADTAASSSSSSAAGPSTAATCCGVCTAIRHGFAPWVGAHPLGVRTTASSGRAHDCGSSAMSSAQAANADDNGGCRAMLVCRVIAGRVRRDGDACTSAAGEEGPFDSVAGEDAASSSVYGNLEELFVANPRAILPCFVVIYRVLES >PAN37153 pep chromosome:PHallii_v3.1:7:3049165:3051635:-1 gene:PAHAL_7G015300 transcript:PAN37153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTLCAVSLATVVLLFIWFHKPGVSVTKKRLPPGPWTLPIIGSIHHVIGGLGHRTMAELSRRHGPLMFLRFGEVPTLVVSSAEAAELVMRTHDLAFCSRPTTSVTIDIVGCKGKGIGFAPYGDRWRQMKKIVVMELLSAAQVKRIESLRAEEVGRLLRSVAAAGVGAGGVVNVSEEVKALAPDLVARAIFGGTCAEKSDFVIQYDEVSKLVSGFFPEDLFPSSRLVRCLSTGERRLVRSYGRIQQIIANAIESRRADKNGGACRPDQEDLLGVLLRLQEEDSLTFPLTSEIIGAVMFDIFGGATTTIGSTLEWAMSELIKKPEAMQRAQQEVREVLGGSRGVVTNTDLVGLGYLRMVIKEVLRLHPPNPLLVPRESREDCELLGYHIPKGTKVLVNAFAISRDPRYWNDPEAFNPERFENSNVDYKGTHFEFTPFGSGRRQCPAIMFGTSTLEIALANLLYHFDWVLPDGLSPELVDMSEKYGMGVSKKMDLHLKAIPYVNSSAA >PVH35998 pep chromosome:PHallii_v3.1:7:46744310:46745987:-1 gene:PAHAL_7G331600 transcript:PVH35998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERMPAAGAGSGRARRCGFVVWLHGLGDCGRANEFIAEHFSAAAFRDTRWAFPTAPTAAVTCNRGALMPSWFDIHGAPITSKSVRDEEDVLRAVQIVHTMIDREIAAGTNPEDVFVFGLSQGGALSIASVMTYPRTLGGCAVFSGFLPFDSSSFAARVTDDAKKTPVLWIHGEADSLIPIQEGRDGVKFLRGLGMICEFKAYDRLGHTLAPHELEYCERWASENILSEHREEEGLKLKKGGLPGSKFFGGVFSCFSK >PVH35562 pep chromosome:PHallii_v3.1:7:39172359:39173474:-1 gene:PAHAL_7G214200 transcript:PVH35562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAASSSAPSAGFREEVTDDGWVLLGAGGSSDTAAGGRPLRPPRRGGNRPPAVGQPPFDFDPATDDLVGRYLPARRALRCGDLPPQIHDADVYGAHPAFLAKVHPPANCARPEWLFFVCRGRGLGGKRRAGPGAYRLTGEAKPSGGWYCHSFRYYEDSAEASDARETEWRMEEYGDRCPGGAGALDVVVCKVYPARGGALDRRLRLDGAARRAGADVRPQVLTQLYLASLSAGDPLRCRMHRAADMCAAHPAVLTAVLPPANDQFEWFFVVRRPRTEDHGDDDGTARPRRAGPGQYVPAARYWDVKDGEGRELGYWRLFRYREDDEQVRRTSRTVWSMEEYGFGPDFPYGEHGGDEELVVYKVYLRMARQ >PAN38708 pep chromosome:PHallii_v3.1:7:37795157:37799438:1 gene:PAHAL_7G191200 transcript:PAN38708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPWGGVGAWALDAERAEEEERERAAAVPAPEPAGGAASFPSLREAAAAGGGKSKKKNKGTTLSLSEFTTYGAAAAQRRAAPVEPRGLTPQEMMMLPTGPRERSAEELDRSRGFRSYGGGGFGGPGEGERRGFDDEGRRGPGRSSDLDMPSRADEVDDWGASKKFTPAPTDSGRRDRFGGPSPLGRADDIDDWSRDKKPLPSRYPSLGSGGGGGGFRSSSGFRDSPGFRDSPASSDSDRWVRGATPMPHNGEGAGERPRIVLNPPKRDPAATSTPPAEVARNRPSPFGAARPREDVLAEKGVDWRKFESEIEQKTSRPTSSHSSRPNSAHSSRPGSPGSQVSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWKKIDLELEHRAVNRPESDEERNLKEEINLRKVDLKEIEGKISDGSDQASVDAKNLSEKISQLESQLEQLTRELDDKIRFGQRPRSGAGRVTALPPTSLAEEPQATVVDRPRSRGGMEPPHSRQEERWGFQGSRERGSFGGSRSSDRPVTRQRW >PAN38208 pep chromosome:PHallii_v3.1:7:35457715:35461161:-1 gene:PAHAL_7G154800 transcript:PAN38208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVRRSLEPTASAEEITGSTPARLHFYDPFVLSGVSIESAEHGRLLCSFVVAPRHASPAGYLRSGVTATLADQLGSAVFFCSGLRSSGVSVEISVSFVDAATVGEEIEVEGKLLRAGKSVGVVSVDFRKKMTGKLMAQARHTKYLAVSSKL >PAN40110 pep chromosome:PHallii_v3.1:7:44317746:44319157:-1 gene:PAHAL_7G292600 transcript:PAN40110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALAALLAVVACAGLASVPRRVLATDPTQLQDFCVADNNNQAVLVNGLVCKNPKVVTANDFFFKIMPAAPNAQGSGVAGVAVDVLPGLNTLGISLARIDFVPGGQNPPHTHPRGSEILTVMQGTLLVGFVTSNQLLNNTLFTKQLVAGDVFVFPQGLIHFQLNNGKAPAVAIAALSSQNPGTVTIANAVFGSKPPISDLILAKAFMLEKDTVDWVQQAFGAAPVAGGGGGMPGGGGYPGGGYPGNGTGGGYPGVPGYP >PAN37606 pep chromosome:PHallii_v3.1:7:29442255:29444930:1 gene:PAHAL_7G102100 transcript:PAN37606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVSVPRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHHAVAAGVTFLDTSDVYGPHTNEILLGKALQGGVREKVELATKFGISFADGKREIRGDPAYVRAACEGSLKRLGVDCVDLYYQHRIDKRVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAIHPITAVQLEWSLWSRDAEEDLIPTCRELGIGIVAYSPLGRGFLSSGAKLADSLSEQDFRKYLPRFQPGNLDKNAQIFERVNEMAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLTPDEMAELESYAAEGEVVGDRYPQMANTWKDSETPPLSSWTSE >PAN39415 pep chromosome:PHallii_v3.1:7:40916842:40922186:1 gene:PAHAL_7G240900 transcript:PAN39415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVRLKWVKNRGLDHLIERTTSIRASCLLLDHLARLPTSSPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAEQPHPRFPTLPSFSLTPASHTLLARLADASARDAHVRLARLLLLTRSRSLPLASVLPLRFDLGLPFNFAAAFPASHPGVFAVANNRISLLSASGLPEDIAVSSLQRRHAAAIDSATYRALSRPPSSSSAPLAFPMRFPRGYGGMKKVKAWMDEFHRLPYISPYDDASGIDPESDIYEKRNIGLLHELLGLSVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGKLVEQHPLAAVRDKVYYVMRTGVLYRGKGLSKLVLDEDVAEEEGELDGEEFQGEGMDEDADVECFGMDIVDDDGPADDEDDEGDSDG >PAN37026 pep chromosome:PHallii_v3.1:7:17387517:17400654:1 gene:PAHAL_7G057300 transcript:PAN37026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDAHAEAPPHAVLPPEDATVDDWAREDAEPMPVDSAANAPPEPAAEGVKEIESSLQSLELKANVTAQEDAHEVEDEVEETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETEHTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLVVVINKMDDPTVKWSKERYDEIEAKMVPFLKSSGYNVKKDVQFLPISGLLGSNMKTRMDKSICNWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKMESGTIREGDSLLVMPNKSHVKVIGISLDESKVRRAGPAENVRVKLSGIEEEDIMAGFVLSSVANPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKKKKEADPKRKKPKRKPLFVKNGAVVVCCIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVVEVPPAGSPTF >PAN39797 pep chromosome:PHallii_v3.1:7:42782494:42783440:-1 gene:PAHAL_7G268900 transcript:PAN39797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAACAAFFFDAEPLGEPGVPALDACALCAKRLGRGSDVFMYRGDTPFCSEECRGEQMQLDAARARRSAGRRQQQYSSGTGSPRRGQDSRKVSVAS >PAN40775 pep chromosome:PHallii_v3.1:7:47210321:47214943:1 gene:PAHAL_7G338800 transcript:PAN40775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAAARPPGPDPAGEDAEQEEEFYESLDRILSSSCSSTSASDDDADHRRRRRSHRHLQPPPPHAPAAVPSAYDVWISEPTSVEERRRLLLQRLGLFSDPTQPPSPRRSPRSPSPPAASPPASPPPRPEAAAEEPRSAGLGKPPLARNPSSSGGEQCRIRNLDDGTEFEVGEVHEEVVREVGTGRQLTLEEFELCVGRSPIVHELMKRTTTAASSSASDHAAPASKPRRKPGGGWLRGIRQLAGSVAYGRRSTEEGDKEKEREARRLSSATDDSLDGSGSRNAGRVRVRQYGKACKELTGLFMTQELAAHSGSVWCINFSLDGRYLATAGEDRVIHVWEVSEGERRGELLGEASVTKENGGGCSPFLAVVGNDSPEIAALSLTGADGGYVEKKRRPRKQSNRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLISSSMDKTVKLWDITSSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVRDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHIFDTSEKKLQYKSHIDLRIRKKKSGQKKITGFQFAPGSSLEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVICASEDSHVYVWRHDNSSHPSRSRSTVDVTNSYEHFHCHGVTVAITWPGAEARGSFGSRSSRHSDSDGAGNSGRDVPVENTEHNSDAADNRDNESPVCEGAASRCASKHPGDGASTSWPDEKLPSAKSSPGQCSSDLCIGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >PAN39096 pep chromosome:PHallii_v3.1:7:39627975:39630634:-1 gene:PAHAL_7G220700 transcript:PAN39096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKMGLKKGPWTPEEDKVLVAHIQRFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDSIIRLHDQLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPTKEEAHEAPAGGGGKKRRPAAGPATKRGGARRAPAAAALPAAAAAPVSPERSASSSVTESSVTEQGNTGSSPGFPKEESFTSSCPDAEEFQFDESFWSETLSMPLESFDVPMEPCDAFGAAATSAPAGAADDMDYWLRVFMESGDVQQELPQI >PVH35514 pep chromosome:PHallii_v3.1:7:38546227:38547975:1 gene:PAHAL_7G204600 transcript:PVH35514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHSLLVQKMAKNTRQPRKDGGARSSGRRSPWYQRAVEVLLLVWKQPAPAPATKAAAASGVTAASGKGGVAPGGPGPGRLRKSSSLNVAASFTRVCLCAPISSYNSESLYCFQADAAPRRSYSYPRASSASASASGCGVSPLVAPPPAAEQAASAGGRGRPGAGKGGGGEGGARRVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAASKRRRLGPSPLRRMALAESESEAEEEPKAAPAAPVHAAGRGTDNSVAAAVA >PAN39935 pep chromosome:PHallii_v3.1:7:43447897:43448025:-1 gene:PAHAL_7G279800 transcript:PAN39935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDSSGGCSAAPAEAVIVAIGGGGGGGRGTARRAGDLPERQ >PVH35454 pep chromosome:PHallii_v3.1:7:37649218:37654453:1 gene:PAHAL_7G189700 transcript:PVH35454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLQSDPYSRSSLQPYRDASVSFENNNTVLDKHEVVSPRVGMTFETVDLAYQFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKFNASENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMVRSFSQSNYSIEGAGKAGKLRFAEGDVEALLVFFDKMQAQNSNFFYNWDMDDEGRLKNVCWVDARSRVAYQHFCDVICFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFAWLFKKWLKCMNDKAPEAIITTHSRPVVKAVSEVFPNTRHRYNLWHIMKELPEMSGRVEDKEAISLRMKKVVYDTITSADFEREWVEMINQYNLHDNRWLTTLFEERGKWVPAYVKDTFWAGISTVRRSERLEAFFDGYITPETTIKTFIEQFDTAMKLRSDREAYDDFRSFQQRPQVLSGLLFEEQFANVYTINMFQKFQDQLKQLMNVNCTEVSRSGSIVTYTVTVIGKERKFDYRVMYNSAEKEVWCICRSFQFKGILCSHALAVLRQELVMLIPPKYILDRWRKDYKCPEEPKETPISPNAAKATGKGTKPENVREDKVDNLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSAGKKGAKSSKPSTEDVGNGTSTLAPTTAAVTTVTVVSSAPMAAAPTMMAMAPASAAVAPGMFLVPMHPHPMVFPPFAPAVPPSVASVAPPAAPATNVGDNASNTSKKRKKRKGKN >PAN40385 pep chromosome:PHallii_v3.1:7:45352588:45353925:1 gene:PAHAL_7G311100 transcript:PAN40385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERDFYFSKLRDIEILCQRPELEHLPMTKAVRKILYAADAKDSPLPDANDIISKSPGLFSDEAE >PAN38776 pep chromosome:PHallii_v3.1:7:38114063:38117262:-1 gene:PAHAL_7G197100 transcript:PAN38776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:Projected from Arabidopsis thaliana (AT5G03860) UniProtKB/Swiss-Prot;Acc:Q9LZC3] MAATASTAAAAAPCYDTPEGVDVRGRYDPAFAPVLTRGALAFVAGLQREFRGAVRHAMERRREAQRRYDAGEPPRFDPATRFVREGEWRCAPVPPAVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMNGQVNLRDAVAGTITFRDAASGRDYRLNDQTAKLFVRPRGWHLPEAHILIDGEPAIGCLVDFGLYFFHNHAAFRAGQGAGLGPFFYLPKMEHSREARVWNGVFERAEQTAGIERGSIRATVLVETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMGQHFMRSYSHLLIRTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREARAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIGDDATAGQEAVTAEDLIQPPRGARTVDGLRLNARVGVQYLAAWLAGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALDAGGVEVRATPELLARVMEEEMARIQAEVGPKRFRQGRYAEAGRIFSRQCTAPELDDFLTLDAYNLIVVYHPGGASPCKL >PAN38777 pep chromosome:PHallii_v3.1:7:38114058:38117262:-1 gene:PAHAL_7G197100 transcript:PAN38777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:Projected from Arabidopsis thaliana (AT5G03860) UniProtKB/Swiss-Prot;Acc:Q9LZC3] MAATASTAAAAAPCYDTPEGVDVRGRYDPAFAPVLTRGALAFVAGLQREFRGAVRHAMERRREAQRRYDAGEPPRFDPATRFVREGEWRCAPVPPAVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMNGQVNLRDAVAGTITFRDAASGRDYRLNDQTAKLFVRPRGWHLPEAHILIDGEPAIGCLVDFGLYFFHNHAAFRAGQGAGLGPFFYLPKMEHSREARVWNGVFERAEQTAGIERGSIRATVLVETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMGQHFMRSYSHLLIRTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREARAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIGDDATAGQEAVTAEDLIQPPRGARTVDGLRLNARVGVQYLAAWLAGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALDAGGVEVRATPELLARVMEEEMARIQAEVGPKRFRQGRYAEAGRIFSRQCTAPELDDFLTLDAYNLIVVYHPGATDKAE >PAN40548 pep chromosome:PHallii_v3.1:7:46337086:46339639:1 gene:PAHAL_7G324000 transcript:PAN40548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHGAHSLELAAAPELDDDGHAPRTGNLWTCFAHIITAVIGCGVLALSWSVAQLGWVGGPVAMVCFAFVTYISALLLSHCYRSPDLEKRQRNYTYMDAVRTHLGEKRTWLCGFLQYLNLYGTSIAYTITTATCLRAIKRANCYHNEGHDAPCGAHGEHFYMLLFGAAQLVLSFIPNFHNMAWLSVVAAIMSFTYATIGLGLGLAKTIENGTIKGSIAGVPMSTPAQKVWRVAQAIGDIAFAYPYTLVLLEIQDTLKSPPPESKTMQKGNVIAVLVTTFFYLGVGCFGYAAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCLAARFPDSAFVNRFYAVRVPGVAASYKLNLQRVCFRTAYVASTTGLALLFPYFNEVLGVLGALIFWPLVIYLPVEMYCVQRGITPWTRGWVALQSFSAACFVVGTFAFVGSVEGVVRKRLG >PAN37953 pep chromosome:PHallii_v3.1:7:33829216:33832940:-1 gene:PAHAL_7G135400 transcript:PAN37953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEELFYKDTSDHSISSEEEDMLVRSCSNLNVSFGYHCDSYTSFPSENDHENGISPRNIFGTNTMMGSRNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDSFSNSQGSPESSIFEISKNTWRSSAPTAVSSNFLTNTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLENRIKQQNGLYDSAESSLSDVKSELTLAMRMAENEDIKFSETFRAGVLNCLSTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGKDLCILNLGDSRAVLASMPYAEKGTLKATQLTETHSLENPLEYQKLIADHPNDSSVVRGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRDLSSPPYVYTNPHTLSHKVTDDDLFVVLGSDGLFDFFSNNEVVQLVYQFMHDSPMGDPAKYLIEQLILKAAREAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >PAN37212 pep chromosome:PHallii_v3.1:7:20740250:20740975:-1 gene:PAHAL_7G068700 transcript:PAN37212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVVCAVLMLLVITNCTAEMPPMMAAQMEHAAEHAGQAKAFAKCDKRYDPCSPGNRNPTYPRN >PAN37213 pep chromosome:PHallii_v3.1:7:20740346:20743373:-1 gene:PAHAL_7G068700 transcript:PAN37213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVVCAVLMLLVITNCTAEMPPMMAAQMEHAAEHAGQAKAFAKCDKRYDPCSPGNRNPTYPRN >PVH35760 pep chromosome:PHallii_v3.1:7:42776467:42776775:-1 gene:PAHAL_7G268800 transcript:PVH35760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAACAGFFFDAEPLGPTTLPALDVCALCARPLGRENDIFMYRGDTPFCSEECCHEQMPPARRQQHYTSGADTPRGNHKGSWKVSVAS >PAN39810 pep chromosome:PHallii_v3.1:7:40248372:40250573:1 gene:PAHAL_7G229700 transcript:PAN39810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRFFPNDMPGYVEEEAAPAPAAELSSSSSLHTLLSLPYPALAARFLHAALQLKQKATDCMPPLPRLHAATLEKQRRAAGDFTLYTGALGTALLLFRAYSVTGHRADLATCAEIVAACDAASALAGEEFVTFICGRAGHAGDEATVARYLNSFKQLLYGKAGYLWTCSFLNKHLGENTIQPTTTLVDNSPNLNFTGHGWIMHVLLDMDLTENDKEHVKGTLRYMIQNRFPSGNYPCTEGDNYDCLVHWCHGAPGVSLTLAKASQMFPEEQFLEAAADAAEVVWNQGLLKRVGICHGISGNGYTFLSLYRLTKKKEYLYRAKALACIMHGGDEPYSLFEGQAGMAYLFLDMINPLESGFPAYEL >PVH34992 pep chromosome:PHallii_v3.1:7:24240870:24242464:-1 gene:PAHAL_7G082200 transcript:PVH34992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRKAGIQQKRREFPARGKTSISILADDNKIKLEAMSCNSDGKDSASEKKINELTNQLQEKMDLMDFIDSSNQILVFKERKSNDELQEIQTELVNGLLEHGGAQAHIGIKRMGELDPKAFSNACKQILPLEKAKVNAAILCSTLEAKIKNKIRMAPF >PAN39595 pep chromosome:PHallii_v3.1:7:41763823:41766448:-1 gene:PAHAL_7G254500 transcript:PAN39595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLGSEEPELEPVKSAGRHGQPQAAPARALPMAPPEPDPSMSMSSSGHPSSRSPGSSMSSVATTRSGSSSSSLAAAYPEGRILEAPNLRVFTFAELRSATRNFKPDTVLGEGGFGRVYKGWVDEKTMNPTRSGIGMVVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCVEDRELLLVYEFMPKGSLENHLFRKGGSFEPIPWNLRLRIAIGAARGLAFLHTSEKQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTGGDSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTGRPAQQHNLVDWAKPYLADRRKLARLVDPRLEGQYPSKAALQAAQLTLRCLEGDPRSRPSMAEVVAALEEIEQIRARPKGGTTREERRRERDDAATAHGHHRSSRPRSGSGRPGGSSSHHQSPSTAR >PAN39596 pep chromosome:PHallii_v3.1:7:41763400:41766966:-1 gene:PAHAL_7G254500 transcript:PAN39596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLGSEEPELEPVKSAGRHGQPQAPARALPMAPPEPDPSMSMSSSGHPSSRSPGSSMSSVATTRSGSSSSSLAAAYPEGRILEAPNLRVFTFAELRSATRNFKPDTVLGEGGFGRVYKGWVDEKTMNPTRSGIGMVVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCVEDRELLLVYEFMPKGSLENHLFRKGGSFEPIPWNLRLRIAIGAARGLAFLHTSEKQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTGGDSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTGRPAQQHNLVDWAKPYLADRRKLARLVDPRLEGQYPSKAALQAAQLTLRCLEGDPRSRPSMAEVVAALEEIEQIRARPKGGTTREERRRERDDAATAHGHHRSSRPRSGSGRPGGSSSHHQSPSTAR >PVH35067 pep chromosome:PHallii_v3.1:7:28312002:28313567:-1 gene:PAHAL_7G097800 transcript:PVH35067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEEEGRGADEEEYVANVDGDGGSGEGDGCEDREECEDGDDGDAEEEEEEDEEEDTAAQTVFRFRGNNVMTPAATNPANRRLIRPHGDWQWDDICWEGKNRLRPVNATLGTLCRFHYPGMVTVGGVLQPALKWEHYKLQSDDQGVTTAARVWNDFWERYRLPEEEEQCLQDRARSVFDKAATKVVRDMMSNARIQCVCLYYKKIKLQHMNKKLGASEIYLREDEYLEADISGLPWLRKCPDAWRALCAYWASPSFVEKSRMKRANRQAGPRVTQRYGADGHLRLARRMVRVYYSICLLCAYLQYHNFFFIRRHKVGWHQAILKHTFEATTAQILHSQICFAVTMPRRLWRDMAMKWCHVMGRSMIGGRVMWMSVHYTQAGEGRNMAGLAC >PVH35068 pep chromosome:PHallii_v3.1:7:28311101:28313743:-1 gene:PAHAL_7G097800 transcript:PVH35068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEEEGRGADEEEYVANVDGDGGSGEGDGCEDREECEDGDDGDAEEEEEEDEEEDTAAQTVFRFRGNNVMTPAATNPANRRLIRPHGDWQWDDICWEGKNRLRPVNATLGTLCRFHYPGMVTVGGVLQPALKWEHYKLQSDDQGVTTAARVWNDFWERYRLPEEEEQCLQDRARSVFDKAATKVVRDMMSNARIQCVCLYYKKIKLQHMNKKLGASEIYLREDEYLEADISGLPWLRKCPDAWRALCAYWASPSFVEKSRMKRANRQAGPRVTQRYGADGHLRLARRMEAQSGVAPSYIETYIRGHHGPDPTQPDLLCSDNATQTLARYGNEMVSRHGEEYDWRTSDVDVGALYSSGGGKKHGRFSMLNGVIDTSGALSEARCSQSTQNSRGYQQQSQRETVMQEKIRQHEEAMQRQEEWARQQHEYMQKKFRSASTDSGNASGYSWLTI >PAN39630 pep chromosome:PHallii_v3.1:7:41967345:41973473:1 gene:PAHAL_7G256500 transcript:PAN39630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALGALCRAGGWSYAAVWRFHPHDPRLLTLGESYCEDEAKTLVEKMLNQVHIVGEGIIGEALGSGECRWIYDTTCHALNQTSHADNQDLLEDYTWWQHQFLNGIKTIAVLPLRLQGLVQFGSVQKVPRNSDFLNQVRNIFDQMKNTSRDGSMEYTRSNSLACVQQPILTSSRSANDILVHNKVNPLKSEKLEENIERTESIRSSICSPSNSQRSLNDFTPHGTGNSSMDTHILAMPVNSKSIYELEGFDKVTNFFHQNANVRTQVQVNSSKMPDSIIASIMSAYQSSNNLHSITKESSGQNMPEYPQYLYTTTNSPNSGLDELCYSSAGFSSSLTIVSEKSTNYLQNESDKLLYKSVSSSSNPCVSEIQGNCLTPHHVPVHKQSLIPGPGECGRLLSPEESFIVQSDSMQVKDTTNSTCQTNSTCPELPNRPHEEATAGTSDINMKECSGNNSLLESMMLDPSTNSFVQDWWDDSVLLAGNLPNLGNIRSDSAIELASKHPFSSGERGLPSICAVEQFFGVGAPQPAGHGPLGAEANPLSGYVSDYQLPQFPFRDCITAYNAQVPSLASSSHTSGNVQNGSSKETSVPPGNISVDDTCSFNTANSKGSQSNNPEGVKVAKKRAKAGESTRPRPKDRQLIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTRYAEKIKQADEPKLIDKESGVVLKDNPDGGKNGGATWAYEVAGKTMVCPIIIEDLSPPGQMLIEMLCEERGLFLEIADNIRGFGLTILKGLMELRDGKIWARFLVEANREVTRMDIFLSLVQLLEQNSLVQSTEQMAKVMNNGVPSFTDHQLSPLPIPVGIAERLQ >PVH35097 pep chromosome:PHallii_v3.1:7:29971291:29972677:-1 gene:PAHAL_7G105600 transcript:PVH35097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSANHGGGAAKQLRVLLPFSCEDRLRIPDELAEDIGAGKALVVGPCGVKSRAVWPVGLGRDGGGAFLGRGSPPRTASAPAGISPSATAAAACSPSRRSTTAAASGTSALNNLQPQLIPAKFVQYYITKRELNNHMAVVFSPLGKVNSIKLEMDQSDVFFAGGWPQFLAFHGITESNALLLRYESNMVFTVKVFEPYGCQRKPKHKDNIMQQSEQEISKIMNFNIFAMYLNVC >PAN39453 pep chromosome:PHallii_v3.1:7:41142582:41146687:1 gene:PAHAL_7G243700 transcript:PAN39453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHTIAAMHAVVHHHRAPPPHRCSRGGVRAVRASAATVPTTSAGAAAATDSPSAAFWDYNLLFRSQRAECRDPVPLRVAEGAIPPDFPAGTYYLAGPGMFADDHGSTVHPLDGHGYLRAFRFGGGGARYSARYVETAAKREEHDAGGASWRFTHRGPFSVLQGGARVGNVKVMKNVANTSVLRWGGRVLCLWEGGEPYELHPRTLETLGPFDILGDGAAPADGSGGDAAVHHRRRRPWLQEAGIDVAARLLRPVLSGVFRMPARRLLAHYKIDPERNRLLMVACNAEDMLLPRSNFTFYEFDADFALVQKREFVVPDHLMIHDWTFTDSHYVLLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPEAEAGGRDWSVPVEAPPQMWSMHVGNAFEEPDARGGTTVRLHMSGCSYQWFHFHRMFGYNWMNKKLDPAFMNVAKGREWLPRLVQVSMDLDKGGACRGCSVRRLSDQWTRPADFPAINPSFANRRNRFVYAGAASGSRRFLPFFPFDSVVKVDVSDGSARLWSAAGRKFVGEPVFVPTGGREEDDGYVLLVEYAVSDHRCHLVVLDARKIGERNAVVAKLEVPKHLTFPMGFHGFWADE >PAN39739 pep chromosome:PHallii_v3.1:7:42600005:42600797:1 gene:PAHAL_7G265300 transcript:PAN39739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVAIVAELLEEYTALVARVLEQLLADAPFPRRMRFLMLRSLPFVPPPLPPPPPPHALRFTTRAA >PAN40314 pep chromosome:PHallii_v3.1:7:45093127:45093815:-1 gene:PAHAL_7G305500 transcript:PAN40314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKASWMVAMSVGAVETLKDQAGLCRWNYALRSVHQAAKANVPSFAQAKKLAPAAERRRADNAEEGMRTVMYLSCWGPN >PAN37909 pep chromosome:PHallii_v3.1:7:33481725:33483442:-1 gene:PAHAL_7G132600 transcript:PAN37909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVAITLDLSCCRCRSKIQKILCCIQERCGFVFEKVVYEKEKVVVTGPFDAIELCCKLRCKAGCFVAKIEIVPPPPPPPRPPQPPLLPPPPIDDDTDKCKKKPKKKPAPAPCDKLIPYPCPYPYPCPYPCPQPACLSSCPTPPRPCQCHSCKPPPPPPPCSPPRPICPPPPVCCPVPPPPCPCPPWTPCHCRGYRRDYFCCEDNQPDGPCAVM >PAN40609 pep chromosome:PHallii_v3.1:7:46586204:46590404:1 gene:PAHAL_7G328200 transcript:PAN40609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIASCVESLLRGSAGGPGEASLTAVLQQAEAKLGTDLSHKAQFIRDQMDLFFGPRLQPQPPPKPQAAPPPQVIPTAASVPQPHAQVLQQAQAQAPPPAQQIQTQPQQHQQLPALQPQLIFQAMPQLPAVATVPAVSSPPAVPAMAFYPPPPLAFRYTTGLGGAATGGTVSFQQPAPGVGGTAPHTAAAQVAGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIRDVKRMKAPTETPQPGPLINQPSVVISDALAKFIGTDGTFPQEDALKYLWDYIKANQLEDVINGSILCDSKLQELFGCESIPMSGLSEMLGHHFIKKT >PAN40884 pep chromosome:PHallii_v3.1:7:47729081:47731134:-1 gene:PAHAL_7G347600 transcript:PAN40884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRAAAALVLAISFAAALISSATVTVNEPIADGLSWSFYDASCPSVEGTVRWHVTEALRRDIGIAAGLIRIFFHDCFPQGCDASVLLSGSNSEQLEVPNQTLRPEALKLIDDIRAALHRACGPKVSCADITTLATRDAVVASGGPYFDVPLGRRDGFAPASSDLVGTLPAPTFDVPTLIEAFKNRSLDTADLVALSGAHTVGRGHCASFSDRLPPNVDDTIDPAFRQKLTAKCAKDPNASQVLDVRTPNAFDSKYYSDLLAKQGLFKSDQGLMDHPATKRMATRFSLNQGAFFDQFARSMVKMSQMDVLTGNKGEIRRLSCAVRNARAAASSSSAAGLVHTAADEGLAAEA >PVH35363 pep chromosome:PHallii_v3.1:7:36061630:36063105:-1 gene:PAHAL_7G162700 transcript:PVH35363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDNGEAGKDATIPVLTPYNMGRCQLSHRVVLAPLTRCRSFGCVPQPHAAVYYAQRATNGGLLIAEATGVSRSALTEMLAFPRDQGPELRYPDSPGIWNREHVEAWKPVVDAVHAKGGVFFCQLWHTGRASKCQFQPNGQVPVSSTDRPIAPRQSEDGLSVTTYPVPRRLDAEEIPAVVDGFRIAARNAIEAGFDGVEIHGAHGYLIDQFLKDGVNDRADAYGGSLANRCRFALEVVAAVAREVGAGRVGVRLSPYTEYMDAADSDPDVLGLHMARALGDMGVLYLHAVEPRMLRPLERGETKHSLRRMRDAFRGTFIVAGAYTREDGNHAVANEYADLVAYGRLFLANPDLPRRFELDAPLNGYDRKTFYTPDPVIGYTDYPFLDDLPKR >PVH35443 pep chromosome:PHallii_v3.1:7:37450962:37451486:1 gene:PAHAL_7G186400 transcript:PVH35443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSRISAGCHEQHAHQVRKEKQRRSRAHNQREKYEKSQLQKRDPVRRCQSSGGERRRRGERRARTRKKAADSGRGSQEQPKKSHSKKSEEQRTTREFSALTFLHTRSPGRAREGASIPPADPRSEQTRRMVRSSELCGGGRLDFWLGELGADGEGGGRRRRREARKRGRNGGC >PVH35216 pep chromosome:PHallii_v3.1:7:33074443:33076085:-1 gene:PAHAL_7G129300 transcript:PVH35216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKEWMTWRERERGDDDDDDGACRSLAAVERRMDVLYRIRRGSRSGIDHSYRLRIHTSYSTCHYCVAHHYQQAPDVTGPLLLLCFPSSPAPACLCLCPSLSLSLSLSLSITARSLFLPSSCSLSPSPLSRSRCYLSALSQSPLPPCAAGPCRCGGQGRTGRRSSAPARRLGARPLSSGAGVRAGPHWRGGKRRTPPLPRPGLRRGSPPSALDLLGFGGMDQGLRGGWSPSPAHFV >PVH34741 pep chromosome:PHallii_v3.1:7:4196849:4197481:1 gene:PAHAL_7G020900 transcript:PVH34741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN38880 pep chromosome:PHallii_v3.1:7:38515020:38519404:-1 gene:PAHAL_7G204200 transcript:PAN38880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPPVDPAASCSASTSSSASAAAPGDVAAMLPDSPPRRGAGHRRAQSEILLGGAALPDDLTFDADLGVVGEACGAGDEDEDDDYEDEDGGAGAGGSRMFEMFLQNGGTLPGPPEPSAHPHPAATPPPRPRHQHSMSMDGSTSLLGSATAGTPGRAGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVHNLQSEATTLSAQLAMLQRDTTGLTSENSDLKIRVQTMEQQLRLQDALNDRLRDEIQQLKIATGQVNANIGKIGNFGLSSFGGNPQSFQRSHIQSLLAAQQLQQLQIHSQHQQQQQTHLQQQQHLSTALQHQLLQEALPFPGDLKMKGLAMPSHGQNAGASDSHAVKSEP >PAN39240 pep chromosome:PHallii_v3.1:7:40264706:40269050:1 gene:PAHAL_7G230100 transcript:PAN39240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSLALFLLCILAPAPPVPAALLFGGGKSAKAAGGLDMEWRPATATWYGDAEGDGSDGGACGYGTLVDVVPMKARVGSVSPVLFMDGEGCGACYKVKCLDRGICSRRAVTVIVTDECPGGLCAFGHTHFDLSGAAFSRMAVAGAGGRLRDRGQLNVVYRRTACKYGGKNIAFRVNEGSTSFWLSLLVEFEDGEGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLTTLSAKKTLTARDVIPRNWAPKATYTSRLNFEPSL >PVH35056 pep chromosome:PHallii_v3.1:7:27450368:27450685:1 gene:PAHAL_7G094900 transcript:PVH35056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHPRSDLCLCSLPELQCLLTMAKKIKFSPIMSMLAHWQKMIAGKSSIDITSLVTRIATHIGALHNAQVTYLPLMEVYQYRVGLEHFVQGHMMREGLGNSLIMC >PVH35911 pep chromosome:PHallii_v3.1:7:45004797:45009037:1 gene:PAHAL_7G303900 transcript:PVH35911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTPGGGEVTRHTCWLLPMLRGAAQLAGMLLAACIYAVAPFLPCSRPAASIGSFPSLQFALRWSIESKTWAPHPHCRGTSRLLDGRDAPSSRRGSRERTVGEGGLVLGPSERAPPRRRP >PVH35909 pep chromosome:PHallii_v3.1:7:45004797:45006266:1 gene:PAHAL_7G303900 transcript:PVH35909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTPGGGEVTRHTCWLLPMLRGAAQLAGMLLAACIYAVAPFLPCSRPAASIGSFPSLQFALRWSIESKTWAPHPHCRGTSRLLDGRDAPSSRRGSRERTVGEGGLVLGPSERAPPRRRP >PVH35910 pep chromosome:PHallii_v3.1:7:45004797:45007670:1 gene:PAHAL_7G303900 transcript:PVH35910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTPGGGEVTRHTCWLLPMLRGAAQLAGMLLAACIYAVAPFLPCSRPAASIGSFPSLQFALRWSIESKTWAPHPHCRGTSRLLDGRDAPSSRRGSRERTVGEGGLVLGPSERAPPRRRP >PVH35908 pep chromosome:PHallii_v3.1:7:45004797:45009037:1 gene:PAHAL_7G303900 transcript:PVH35908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTPGGGEVTRHTCWLLPMLRGAAQLAGMLLAACIYAVAPFLPCSRPAASIGSFPSLQFALRWSIESKTWAPHPHCRGTSRLLDGRDAPSSRRGSRERTVGEGGLVLGPSERAPPRRRP >PVH35325 pep chromosome:PHallii_v3.1:7:35256573:35257340:-1 gene:PAHAL_7G151900 transcript:PVH35325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDSLTLGACTLRSFRDEHGAQLCYHIVDYCCREVQMFYACQVLLFLQPRALDTFRSQTRTGGMNQPFLFLTFPFALPTLCALVVMSYHTICTSYWTTVTRDSRQENTYSRSPSEVAKGPLFLERRTVTGGPHRWLIACLIDSSVTVSQMPFLLLLPVMYAPHTLWPKRVVHSPSLRRIFLPAPFPLPFQPPLSTIFTGSMSRHKVRSTERRLHGP >PAN37197 pep chromosome:PHallii_v3.1:7:22311462:22312869:-1 gene:PAHAL_7G074900 transcript:PAN37197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEVMYNCARPALHLRRLQPPLEASFNMRDGRAVAIPVHATHATSSFHFRATHPFHTRSYDAQQQRSPMGALVALMLVRSHPLFRH >PVH35987 pep chromosome:PHallii_v3.1:7:46571127:46574638:-1 gene:PAHAL_7G327900 transcript:PVH35987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIRHSSAKQEISQREVTRPLRSRSPATMAGLPLAAVAFHLCLLASSSAALRLAPETTSEGRHVGRTAYHFQPAKNWQNDPNGPLYYNGMYHFFYQYNPHGALWDIGNLSWGHSVSGDLVNWAPLDTALDPTAPFDINGCWSGSATILPGGTPAILYTGIDASNEEVQNVAFPKNPADPLLREWVKPSYNPVIALPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVSGVASTLVYRSADFLRWERNAAPLHSSRAAGMVECPDLFPVKQHGKDGLDTSANGPGVSHVLKLSVMDTLQDYYMVGRYEDAADTFVPAEPGRGDDVRNWRRFDYGHVYASKSFFDARKNRRVLWSWANESDSQADDVARGWSGVQTVPRKLWLDKDGKQLRQWPIEEIETLRRKRVGLRRDTMLNAGAMNEIVGVAGSQADVEVAFKIPSLEGAEALDPNWLLDPQKLCGEKGASVPGGVGPFGLIVMASGDLQEHTAVFFRVFRHHDDYKLLMCTDLTKSSTRAGVYKPPYGGFVDIDIKEHKVIKLRTLIDHSVVESYGAEGRACITARVYPEHAQTSNSHLFVFNNGTGTVKVTKLEAWELATATVNVGDEGFIVSESKDETESY >PAN40350 pep chromosome:PHallii_v3.1:7:45212669:45213456:1 gene:PAHAL_7G308400 transcript:PAN40350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPAVASGTDHRYSTTNHSKQAGQFITELVQVLARREQAARSSSMGNFAAQLKDKLLGLVDRVVSCGGRGAGANKDVPEAPAKLPNVQPIAIKPRDPNVSEGSKAGVN >PAN38664 pep chromosome:PHallii_v3.1:7:37562491:37567221:-1 gene:PAHAL_7G187900 transcript:PAN38664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTFGRPCMSLLIGGCQGSRAVNKLDPLSVVTHTTMAVSRLLLPFLLLAVAFGAYDGAGQPPISRRSFPEGFVFGTSSASYQYEGGVMEGGRGPSIWDTFTHQHPDKIIDRSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLSGGVNREGVRYYNNLIDELLLKGVQPFVTLFHWDSPQALEDKYGGFLSPNMINDYRDYAEVCFKEFGDRVKHWITFNEPGGFCSAGYASGVLAPGRCSPWEQGKCSAGDSGTEPYTVCHHQLLAHAETVRLYKEKYQAVQGGKIGISLVSLWFLPLSPSKSNNNAVRRALDFTFGWFMDPLVGGDYPLNMKGLAGNRLPRFTKEQSEMLKGAFDFIGLNYYTTYYAANLPPANGLNVSYNTDFRANLSGVRNGVPIGPQAASPWLYMYPRGFSELLLYIKENYGNPTIYITENGFDEANNMSLPLQEALKDDTRIVYHHGHLLALLSAIRDGANVKGYFAWSLLDNFEWVNGYTIRFGLNFVDYNDGLKRYPKNSAHWFKEFLQK >PVH34831 pep chromosome:PHallii_v3.1:7:11940544:11941259:-1 gene:PAHAL_7G043100 transcript:PVH34831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLRRPGRGGGTAEGAGRGNALMQVGEEIAHYLRVEIQNTKELLLTTSQNADKLRDIIKRTSEMNYRLFLSRLPPVQGNNAHGHGLTNELESTGSGNQAMNIGGTAPENTRRSIICRLCYSRGACMLMLPCRHICACKSCEVILTHCPICISPKASAAAVKFV >PVH35583 pep chromosome:PHallii_v3.1:7:39387734:39389548:-1 gene:PAHAL_7G217300 transcript:PVH35583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGEARLVEDLRDFLDDFAFRAKRLAAPLLRPFGPSAEPGAVDDGELDKLRAKLRRIRATLRAAEDRVVADDFVALWLRELRDLEHAAEDVLEELEFEALRAARLEGFKAQLLRSCAGGGKRKREISLMYSSSPDRLSRKIAKIMERYNEVARDRDALRLRSGDGERRQEVSPMTPTSCLMKCRLHGRERDMRRVTEMLLSDEASCCDVYSVVPIVGPAGVGKTSVAQHIYNNESISSKFDIKMWVWVCQEFDVLKLSRKLAEEATESPCDFADINQMHRVITDRLKGKQFLLVLDDLWDESRDRWASLQVPLKCAARGSKILVTTRSTKVAKMVALKIAPIRLPV >PAN39172 pep chromosome:PHallii_v3.1:7:39907364:39908829:-1 gene:PAHAL_7G225400 transcript:PAN39172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQCPKPHFVVIPWHQPHNPIVDVDVACLLAAHGAPVTVITTPASAQLVQGRVERAGQGSSAGITVTAIPFPAAEAGLPDGCERLDHVPSADLVPRFFDAATLFGVAVAEHCRCLVAPHRPNAIVAGICNWAHGVAHELGAPCLIFHGFGAFALLCSEYLSTHRPQEAVASPDEPFDLPVLPPFECKFARRQLPVRFQPSRNVNDDIFLEFRQFEMAVDGIVVNSFEELEHDSAASLAAATGKAVFAVGPVSLCGAPGFLDSRAESDDARRCMAWLDAKKAKSVLYVSFGSTGRMPPAQLMQLGLALVSCPWHVLWVIKGADSLPGDVNEWLQHSTDADGLPGSQCLAVRGWAPHVPILEHQAVGGFLTHCGWGSTLESVAAGVPMATWPFFAEQFLNEKLIVDVLGVGVTKPTKSLLNGVGDEAEAEVGAEQVKRALNKLMDGGADGEDRRSKAQELKAKAKAALENGGSSYTNLEKLIHFAA >PAN39236 pep chromosome:PHallii_v3.1:7:37585856:37589682:-1 gene:PAHAL_7G188500 transcript:PAN39236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPACSPFRLPLTRGGARRRSAAAATITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRGALRDDPAASSAAAVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIQKEHWYKPRLLWYVDLVTVLASKDLRSEVDKACSYLKREQLEPDTEGFNLLLKALLNAGFTQLTLDCFRLMKLWDSDPDRITYTTLIKGLESLGKMELSAGIRLEAENDYGCLDFLDEVEIEEACTSSS >PAN39803 pep chromosome:PHallii_v3.1:7:42806856:42807432:1 gene:PAHAL_7G269400 transcript:PAN39803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQALCPLVFLLACSTSNASVLRDACKSSAATHPDIGYGYCVKFFQADKGSAAADKRGLAAIAVKIVGAAAKGAARHIAALRASEKDRKRLECLRSCAELYSDAVSEIGDAADGSATGTARGRGDAVTALSAVLDAPRTCEQGFKELHAPSPLAAEDAEFGKKASVALSVTAAL >PAN38767 pep chromosome:PHallii_v3.1:7:38077227:38080261:1 gene:PAHAL_7G196300 transcript:PAN38767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVSSPPPHCYSSRRATLLDARHVFDRVPQRRLPPLAAGLRAQTAAAAVRRPVRRSAVSTLAPACRAAASVPGLFRTFLQIPTASSTPCARLTPSTFSPSRRNFEGYIPRSCSGSSLKIYSRSSPLTLQPSSALMVSSQLTSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRAELWNEKVFGPVDIKLADTARSAMDWGTNHESVAIEQYTSITGKFVGTLGFAVHTEANSGWLGASPDGILGCEPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMDRDWVELYCWTPNGSSLFRVPRDRAYWELIHEVLRDFWWGNVMPARELVILGKDAEARSFEPQPKHRLTNLVLFRSRKLASEAKLLCMDVGGHVEFFQ >PVH35483 pep chromosome:PHallii_v3.1:7:38077227:38080255:1 gene:PAHAL_7G196300 transcript:PVH35483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVSSPPPHCYSSRRATLLDARHVFDRVPQRRLPPLAAGLRAQTAAAAVRRPVRRSAVSTLAPACRAAASGTRTVPGLFRTFLQIPTASSTPCARLTPSTFSPSRRNFEGYIPRSCSGSSLKIYSRSSPLTLQPSSALMVSSQLTSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRAELWNEKVFGPVDIKLADTARSAMDWGTNHESVAIEQYTSITGKFVGTLGFAVHTEANSGWLGASPDGILGCEPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMDRDWVELYCWTPNGSSLFRVPRDRAYWELIHEVLRDFWWGNVMPARELVILGKDAEARSFEPQPKHRLTNLVLFRSRKLASEAKLLCMDVGGHVEFFQ >PVH35220 pep chromosome:PHallii_v3.1:7:33170358:33171816:-1 gene:PAHAL_7G130200 transcript:PVH35220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPHVAVVAFPFSSHAPKLLAVARALATAAPSATFSFVSTAGSLERLRGAAVPGNLRFVEVPTGGGEGDDDETPLFVEVAEAGGLKQSLEAAGAAATGAARVSCVVGDAFMSMAADAGVPWVAVWTGGPCALLAHLRGDALREDIVGHAASRGDELLSSHPGLGSFRVRDLPFGGANASGDMHRVMSRLLHRVAQRLPRAATAVALNAFPGLFPPEVSAALADALPDSLAIGPYHLLPGAVAPAGDPHGCLAWLDRRPERAVVYVSFGTVAAPPPDELRELATGLEASGAPFLWSLREESWPLLPLGFLDRAGAKGNGLLVPWAPQAAVLRHPAVGAFVAHSGWGSVVEGVSGGVPMACRPFFGDQQMDARAVAELWRVGTSFDDDSGPMTRAGVAAAVASLLSGEDGAPMRARARELQAEVDEAFQPDGGSMINSRKFVEIVCARE >PAN39074 pep chromosome:PHallii_v3.1:7:39526474:39531808:-1 gene:PAHAL_7G219300 transcript:PAN39074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MSIAAATYAPSPPPPSWVYHIRVAAAQGHFRDAVSLFLRMRASAAPRSSVLASLPAALKSCAALGLGALGASLHGLAIRSGAFADRFTANALLNFYCKLPDSYLHPSGVTTVDGTGSPTALESVRKVFDEMLERDVVSWNTLMMGCAEEGRHQEALGLLRKMWRDGFRPDSFTLSSVLPIFAECADVKRGMEVHGFAIRNGFDKDVFVGSSLIDMYANCTRTDYSVKVFDNLPVRDPILWNSMLAGCAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPACGNLALLRFGKQLHAYVIQSGFEDNLFISSSLIDMYCKCGEISIARRIFDRMPSPDIVSWTAMIMGYAVHGPAREALVLFERMELGNVKPNHITFLAVLTACSHAGLVDKGWKYFNSISDHYGIVPTLEHCAALADILGRAGELDESYNFISKMQIKPTASVWSTLLRACRVHKNTSLAEEVAKKIMELEPTCIGSHVVLSNVYSASGRWNEAARLRKSMRNKGMKKDPACSWIEVKNKLHVFVAHDRSHPWYDRIIDTLNVFSEKMARQGYVPNTEDVFQDIEEEQKRYVLCGHSEKLAVVFGIISTPPGTTIRVMKNLRACIDCHTVTKYISKLAEREIVVRDANRFHHFKDGNCSCGDFW >PVH35357 pep chromosome:PHallii_v3.1:7:35984510:35985499:-1 gene:PAHAL_7G161300 transcript:PVH35357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAKAAVLFEDIGLTHILVTWLCRRSQRDLPLLHWNPDEARVHARAYSWTPPRSPQGTLRKAFSIMFCFLLPCSSRPVLASCVERKLDCAVSASPVCPFVFLSVPSRLVLQNLEIIEQCCICCKEPIPQPSTEWIFVVHGTV >PAN37533 pep chromosome:PHallii_v3.1:7:30577934:30580840:1 gene:PAHAL_7G108700 transcript:PAN37533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVAGGVACCCPPPPPGGGGRRTRCRPPRAVASGAAAVVEEGEGKVRLGESGVAVTKLGIGAWSWGDTTYWNDSEWDDRRLKEAQEAFDASIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQQKEQVEVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGIWGNEAYLDGLADAYEQGLVKAVGVSNYSEKRLRDAHARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLTGKYTPENPPTGPRANTYTPEFLTKLQPLMSRIKEIGASYGKSPTQVSLNWLTCQGNVVPIPGAKNASQAKEFAGALGWSLTCDEVEELRTLAREIKGIKMPIEES >PAN38017 pep chromosome:PHallii_v3.1:7:34230528:34233439:-1 gene:PAHAL_7G140100 transcript:PAN38017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALVVIGVACAWLLLLQLAGASHVVYKDVETEADAANVPPSIVDPLLRTGFHFQPPKNWINDPNAPMYYEGWYHFFYQYNPKGAVWGNIVWAHSVSRDLINWEAIETAIEPSIPADQYGCWSGSATTLPDGTPVIMYTGINRPDVNYQVQNVAYPRNRSDPMLREWVKPEHNPIIVPESDINATQFRDPTTAWLASDGQWRLLIGSAAGGGARGAAFVYRSRDFRQWRRVPRPLHSAATGMWECPDFYPAAPGRTVGLDASSAPGRPQVKYVLKNSLDLRRYDYYTVGTYDEKAERYVPDDPAGDEHHLRYDYGNFYASKTFYDPAKKRRVLWGWANESDTRADDVAKGWAGIQAIPRTVWLDFGGKQVIQWPIEEVEALRHQPVTLRDTVIKRGEHVEVTGIQTAQADVEVSFEVSSLLAGAEPLDPALAHDAERLCGARGADVEGGVGPFGLWVLASANLEERTAVFFRVFKAAGSDKPVVLMCTDPTKSSLNPDLYLPTFAGFVDTDLSDGKISLRSLIDRSVVESFGAGGKTCILSRVYPSLAVDKNAHLYVFNNGKADVKVTLLTAWQMKKPLMNGA >PAN37722 pep chromosome:PHallii_v3.1:7:31765609:31773651:1 gene:PAHAL_7G116800 transcript:PAN37722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGATPRRKSVPDWLNSPIWSAPPSSPRHRSPPRAAPSPPQPPPPPLPPPQPPRDPVPTPPPNAPRDSDGDGSDDDGEGAGAAGRSRAHLVAEFRVALDRKVVDLAELRRLACQGVPDAAGLRPVVWKLLLGYLPTDRALWPYELEKKRSQYSAFKDELLVNPSEVTRRMEEMTVSKRKKHDAEGTGVLPRAEIVRDEHPLSLGKTSVWNQYFQESEIIEQIDRDVKRTHPEMQFFNGDASDSLSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLFYVFKNDSDENHAASAEADAFFCFVELLSGFRDNFCKQLDNSVVGIRSTITRLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFSDCLHLWDTLLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPLPY >PAN38411 pep chromosome:PHallii_v3.1:7:36506798:36513303:-1 gene:PAHAL_7G170100 transcript:PAN38411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKAWVAANYAEPMASMQHSLRVAYVVFSFCAAFFLGGIKAMVVGPVAAALMILGNVGVILVLFPAHVWWTIYSLIKTDRINAGLKLAVLIALPVLFGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICFHSYPVYLKELRESSQDREPHSIRLLDVPSCIVVALLGLVVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFHRGVSYVVAMVAEFDEYTNDWLYLREGTILPKPSYRKRKSSNSTEFSVRTNASVKGAEYPSGSGEAPAMLVPTLAPARSVREAIQEVKMVQIWENMMKACEQRGRDLLNVNVITAVGLTEWLRAKEGGHETISLGLPSYSLLCTVLQSIKAGAGGLLLGNVEVNQHNRPQDRLLDWFFHPVLVLKEQIQVLKMTEEEVRFLEKLTLFVGNAASAGGWDNGAEVPKDPVRLAQIQAISRRLVGIVRSLSKFPTYRRRYRHVVKLLIAYSIERDGSCRSSASSHSVSFFEITQLDV >PAN38410 pep chromosome:PHallii_v3.1:7:36508385:36513303:-1 gene:PAHAL_7G170100 transcript:PAN38410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKAWVAANYAEPMASMQHSLRVAYVVFSFCAAFFLGGIKAMVVGPVAAALMILGNVGVILVLFPAHVWWTIYSLIKTDRINAGLKLAVLIALPVLFGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICFHSYPVYLKELRESSQDREPHSIRLLDVPSCIVVALLGLVVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFHRGVSYVVAMVAEFDEYTNDWLYLREGTILPKPSYRKRKSSNSTEFSVRTNASVKGAEYPSGSGEAPAMLVPTLAPARSVREAIQEVKMVQIWENMMKACEQRGRDLLNVNVITAVGLTEWLRAKEGGHETISLGLPSYSLLCTVLQSIKAGAGGLLLGNVEVNQHNRPQDRLLDWFFHPVLVLKEQIQVLKMTEEEVRFLEKLTLFVGNAASAGGWDNGAEVPKDPVRLAQIQAISRRLVGIVRSLSKFPTYRRRYRHVVKLLIAYSIERDGSCRSSASSHSVSFFEITQLDV >PAN39611 pep chromosome:PHallii_v3.1:7:41819932:41826917:1 gene:PAHAL_7G255500 transcript:PAN39611 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MPRSFEEISDDEWSLHTFEPSRVLKRSNKPPLQPPPPIDSFRYTPKSSSAAGTSTSTATVVLSDDDDDFDLGADGRSKSQRGLKRPHHGPPSRPPPSTGSFRHNPKPYKAAAALGFSDSEDDDFDITDHDLDLPASPSRTSRLRCKGKSQRVLKRPQHRPHSQAPSIGSFRHNPKPSKAAATTGLSDTDDDDFDLTDHELDLAAPPSRTSRPRRAAGRRLLTAAIDISEEDEDLDLADDDFDYQDLMPFQQRPSGRRFVIGDDDDSDVPVADGAVDVEEDDVVNWSELENEDKDEKYNGGRNVHVEEREGDVVGMALRKCSRISADLRQELFGSSARNIESYAETDASTCRIVTQEDVNAACTSENSGFDPVLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGCPAPFNVLLVGYTLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVKFVIMDTEQSKAYKYSIDEYRSACQARSTKSSVNITNNVVGLIPKRQISNYFTQFRKIANHPLLIRRFYSDKDVDRIARLLYPKGAFGFECSLERAIQELKNYNDFDIHQLLISYGDAGTKGALTDEHVFASAKCQALAELLPSLANNGHRVLIFSQWTTMLDILEWALEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTVYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELDNSTDVPEKTMGEILASLLLA >PAN39610 pep chromosome:PHallii_v3.1:7:41819932:41826917:1 gene:PAHAL_7G255500 transcript:PAN39610 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MPRSFEEISDDEWSLHTFEPSRVLKRSNKPPLQPPPPIDSFRYTPKSSSAAGTSTSTATVVLSDDDDDFDLGADGRSKSQRGLKRPHHGPPSRPPPSTGSFRHNPKPYKAAAALGFSDSEDDDFDITDHDLDLPASPSRTSRLRCKGKSQRVLKRPQHRPHSQAPSIGSFRHNPKPSKAAATTGLSDTDDDDFDLTDHELDLAAPPSRTSRPRRAAGRRLLTAAIDISEEDEDLDLADDDFDYQDLMPFQQRPSGRRFVIGDDDDSDVPVADGAVDVEEDDVVNWSELENEDKDEKYNGGRNVHVEEREGDVVGMALRKCSRISADLRQELFGSSARNIESYAETDASTCRIVTQEDVNAACTSENSGFDPVLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGCPAPFNVLLVGYTLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVKFVIMDTEQSKAYKYSIDEYRSACQARSTKSSVNITNNVVGLIPKRQISNYFTQFRKIANHPLLIRRFYSDKDVDRIARLLYPKGAFGFECSLERAIQELKNYNDFDIHQLLISYGDAGTKGALTDEHVFASAKCQDTCNRKADYSGHFQQ >PVH34810 pep chromosome:PHallii_v3.1:7:10247588:10248361:1 gene:PAHAL_7G039500 transcript:PVH34810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKIEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISARWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKGRHVQQLSVAEMRILCWFCGHTRRDTVRNEEIRDRFGVAPIDEKLIQHRLRWFGHVQRRPPEAPVCSGVLKRGDNVKRGRGRPRLTWDETVKRDLKKWNIAKELAMDRSAWRLAINVPEP >PAN39285 pep chromosome:PHallii_v3.1:7:40434226:40436823:-1 gene:PAHAL_7G233200 transcript:PAN39285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLGVKAAPFTYAAHALAAAAAVLVLVWCVHFRGGLALEAQNKNLIFNVHPVLMLIGYIILGSEAIMIYKVFPKLNHDTAKLTHLILHAIATVLGAFGIYCAFKFHNDSGIANLYSLHSWLGIGTISLYGIQWVFGFVTFFFPGKAPSVRRRALPWHALFGLFVYVLALATAELGFLEKLTFLESGGLDKYGAEAFLVNFTALVVVLFGASVVITAVTPAHDEAPQGYEQIPVN >PAN40913 pep chromosome:PHallii_v3.1:7:46609362:46613547:1 gene:PAHAL_7G328700 transcript:PAN40913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVALTPASPALLPSFRGRRDGRVRLSARRPRYSGSCRAMAQTFQGGPAASYAREMERLSAKESLLLAFKDAGGFEALVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGAFAARLLFERSPTTVAHFMGLDVLIKDGYTKISSNLKFLNTIQSKFLLTTQLSVEGPVRMKEEYVEGLIEIPKISEETLPEQLKSLLGQTAGALQQLPSPIRDAVSEGLKLPLSGTFQRLFMISYLDEEILIIRDAAGAPDVLTRLEGPQPNPIDGAADAVISEYES >PAN39066 pep chromosome:PHallii_v3.1:7:39493712:39496551:1 gene:PAHAL_7G218600 transcript:PAN39066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAAGAATAFPFVSSFHRPRLRPCPRRAAAFLPARAGGSSPSWEEREEARWLREEQRWQREEQRWLREESRWRAEREALLAEVAALRLRLRALEGTHPHLADAVDAVATPAPPAAVPAPQPRPALVEEVEVEVRKEVVVVEEKKAAAKAEAGSGAGAGKSRRTLRTGAEGEDVRAMQEALQKLGFYSGEEDMEYSSFSSGTERAVRTWQATVGTSEDGVMTSELLERLFSGKTGDDGKTKDGTNGAAVPTVTGIAEVRQTVVTGNGVSGVGVSENRVFLLGENRWEDPSRLTQKKKPGSTDTAASAKTCISCRGEGRLMCLECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSILCDVCDGKKVMAN >PVH34941 pep chromosome:PHallii_v3.1:7:21240104:21240781:1 gene:PAHAL_7G070700 transcript:PVH34941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMAIVTVTGGVLAPVFVLLSRIQPVVDFFCRLCDCLRHPERRPARPVRAPWNKQPAAAEE >PVH35175 pep chromosome:PHallii_v3.1:7:31780610:31783766:-1 gene:PAHAL_7G117200 transcript:PVH35175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLAPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFRCTIQAGHVEVGIRGNPPYLNHDLTHPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGILDPYAADQEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRTFMGGIRP >PAN39913 pep chromosome:PHallii_v3.1:7:43383156:43383636:-1 gene:PAHAL_7G278300 transcript:PAN39913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRKRLPAAIFLLLLLVVATATCKHQDSAETGAPVQAGDCLVFNEKWCGPCLKWRECADACLRRNEGFTGGRCRGFLPPYCFCVKPC >PVH35847 pep chromosome:PHallii_v3.1:7:44217581:44219096:-1 gene:PAHAL_7G290900 transcript:PVH35847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQPSAYPPPGTAYPPPGQQAYPPPAYGAPPPMAGYPPPPPPPQESKGSNDGFMKGCLAAICCCCLLDMCF >PAN40448 pep chromosome:PHallii_v3.1:7:45735787:45736044:-1 gene:PAHAL_7G316400 transcript:PAN40448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHLLAFVAARGLMQVFNLSAPHDLRLPLARHLPEACAVLYGLLAEHAAWLHQALARGAVRSAHSGRGGGVDDYVLHAMLSISD >PVH35473 pep chromosome:PHallii_v3.1:7:37931519:37932504:1 gene:PAHAL_7G193600 transcript:PVH35473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYADLDALRASAADVRIVTSDGQTIAAHSYVLASASPVLERMIDVARRGGRGGGACTVRILGAPSDAVLAFLHLLYAARAEEAVVAAHGPQLLALAHAYRVGWLKRAAEASVSARLAPGDAVDMLKLAGLCDAPRLRAACARLAARDRAAVEASDGWRFARRHDPTLEQELLQLLEDAGRRRERWARGRASREAYRQLAEAMDSLDRIFAAADDDDACSAGGEPCARKGGGGGGATCELGLRLLMRHFAACARKAAPGGCARCKRLLQLFRLHASVCDRTEQDQPCRVPLCR >PAN38737 pep chromosome:PHallii_v3.1:7:37931220:37933361:1 gene:PAHAL_7G193600 transcript:PAN38737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYADLDALRASAADVRIVTSDGQTIAAHSYVLASASPVLERMIDVARRGGRGGGACTVRILGAPSDAVLAFLHLLYAARAEEAVVAAHGPQLLALAHAYRVGWLKRAAEASVSARLAPGDAVDMLKLAGLCDAPRLRAACARLAARDRAAVEASDGWRFARRHDPTLEQELLQLLEDAGRRRERWARGRASREAYRQLAEAMDSLDRIFAAADDDDACSAGGEPCARKGGGGGGATCELGLRLLMRHFAACARKAAPGGCARCKRLLQLFRLHASVCDRTEQDQPCRVPLCSHFAARMREEKADKTWRLLVKKVTTARAMARLAVGRRVPEVVAVSWARYLSSSKWAKLR >PVH35474 pep chromosome:PHallii_v3.1:7:37931220:37933361:1 gene:PAHAL_7G193600 transcript:PVH35474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYADLDALRASAADVRIVTSDGQTIAAHSYVLASASPVLERMIDVARRGGRGGGACTVRILGAPSDAVLAFLHLLYAARAEEAVVAAHGPQLLALAHAYRVGWLKRAAEASVSARLAPGDAVDMLKLAGLCDAPRLRAACARLAARDRAAVEASDGWRFARRHDPTLEQELLQLLEDAGRRRERWARGRASREAYRQLAEAMDSLDRIFAAADDDDACSAGGEPCARKGGGGGGATCELGLRLLMRHFAACARKAAPGGCARCKRLLQLFRLHASVCDRTEQDQPCRVPLCR >PAN38262 pep chromosome:PHallii_v3.1:7:35709992:35710897:-1 gene:PAHAL_7G158700 transcript:PAN38262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGLKSVIICVLILAGIILEVEGKSCCKSTLARNCYNVCRLRGARSVCATTCGCKIIKGTKCPSGFPKLNLLPNSGEPDTVEYCKLGCVSSMCDTMNNAPEFVGKEMKSDMESCSDACDRFCNGDAHIASIAA >PVH35157 pep chromosome:PHallii_v3.1:7:31155663:31159740:-1 gene:PAHAL_7G112900 transcript:PVH35157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHRLAAQLPWLGIALAVTLSAVGALAPPSSNCQRKCGDVDIPYPFGIGPDDSPDHCSLPGFNLTCMDGRPYLIDVEVLGISLQQGFARMRMDMSPYCYNTSTKEMNGQDGWKLNLRGTPYRFSETGNMFTVVGCRTLAYIADENDVGRYMSGCVSMCRRGDVRTLTDGSCSGMGCCQTAIPKGLQYYQVWFDEGFNTLEIYNTSRCSYAALVEASNFTFSKSYATSSAFYDTYSGQPPLIVDWAIGNETCDVAQKKTESYACISSNSQCFNSDNGKGYICNCTQGFQGNPYLVDGCKDVDECNNLEKYPCSVKGTCKNIRDGFECICPPHYPKGNAYNGTCEKDQSIPLKVTIPIGVFACVVVGLLLFLGLEWVKHKRRIIRQEYVRKMNECFQLNGGQLLMDMMKVEGNITFKLYNREEIELATNNFDKSSIIGEGGQGTVYIGHNLDMENNPVAIKICKGFDESRRTEFGKELLILSRVKHENIVQILGCSLQFEAPVLVYEYVPNRTLHYLIHTQDDASIRTLPIRLKIAAEIAAALAYLHSLSRPVFHGDVKSVNILLGHDLSARVSDFGCSMIRSADENVQVVKGTMGYLDPEYLLNFELTDKSDVYSFGVVLLELITRRTALSKTKESLVSVFTEAVKESKLRELIDREIASDENMDSLLHVAEIARQCLVMSGQQRPMMRQVAEELQRLAGPIPQGTRVFHGVITPLLSLGPSSNNASGDHTIEDSTGYYTLQKKASLSIEFAR >PAN38314 pep chromosome:PHallii_v3.1:7:36107795:36108286:1 gene:PAHAL_7G163300 transcript:PAN38314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVFGSCIPGMEAAADRDEEGLELSLSLHPSPSSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAASAPPAPPPQPPPSAADQGNSRASGSLSAPAPRSRAEPAGDAWGEGRHRRQHHLHQGLAGGAEASSGARGDGDDAEEIIDLSLKL >PVH35858 pep chromosome:PHallii_v3.1:7:44456502:44457631:-1 gene:PAHAL_7G294900 transcript:PVH35858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFKSKLFEMQLQPLMVGTGLDEDTSGAGEAGRPPVHHLGSEPNTSPDLEGKTSRREEDQSFLQQKGITSCQMRHHLFPSLEMEANSSQMILQKELPSAAVYKATGTYQPDYQLSLSLIREGGSTCLCYVAVPVNLACEEQQLPWLRCYCCKYRYASPGHKTGHG >PAN40736 pep chromosome:PHallii_v3.1:7:47074792:47079616:-1 gene:PAHAL_7G336600 transcript:PAN40736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G34130) UniProtKB/TrEMBL;Acc:W8PVA0] MATTALDFLPAPLRSLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLFLSENGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAIVPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLIPLYVLVLLVTGRYSQRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDARLFKSFLRITLTCVITVGALALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQATSGKTTGSKAAAKGAVDQSLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >PAN37485 pep chromosome:PHallii_v3.1:7:27702232:27703536:1 gene:PAHAL_7G096200 transcript:PAN37485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVGPLAAACLLAVVAAAALVAPAAAQSGCTTTLISLYPCLNYISGNVSTPPSSCCSQLASVVQSNPQCLCAALSGDSSSLGGVTIDKTRALALPQACNVKTPPASKCNSAGGGNAPGASTPTTPSTGVPASAGTGGGSKATPTAPYLTSGTSIQGAVSLALAFAAVAVYAVSAV >PVH35855 pep chromosome:PHallii_v3.1:7:44378678:44378964:-1 gene:PAHAL_7G293700 transcript:PVH35855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPFSSLLLPPERLVQCSPPRTPWINVAVDPMFVAWSSDKVTSFRLAYTVTRAHLGVLFSNSS >PAN39494 pep chromosome:PHallii_v3.1:7:41312656:41313411:1 gene:PAHAL_7G247200 transcript:PAN39494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASVALILAVNMVVFAVASACGGNCPTPSTPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPIDLSLILNYCGKTVPTGFKCL >PAN38209 pep chromosome:PHallii_v3.1:7:35455637:35456909:1 gene:PAHAL_7G154700 transcript:PAN38209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAFPATTTAAAATTTAPEEIPFPRFAAALPPRLPPSPPAAAADAMAEEGPRAPEMKAGAGAGGDDAASAAAAAEVEDRMDLLWEDFNEELALARRRARGRGGSWRERGEGLLVLEAGPWSEPSSPSDAGSEPAGRVGCAPVLRPSSRAAGGARHYRRRAGSWVLLMRIFRRLFVIDKTISVARQRSTTRAR >PAN39403 pep chromosome:PHallii_v3.1:7:40877406:40881107:-1 gene:PAHAL_7G240000 transcript:PAN39403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNAGSFVAVRRLSGAGAVHHHRHHSSPAEVVGVSTAWIGRGLSCVCAQRRDSEARLSFDLTAIQEECLHRLQNRIEVQYDSSNLEHQKALKALWRASFPGTELLGLVSDQWKDMGWQGKDPSTDFRGGGYISLENLLYFSKNFPKSFQELLGKKNGDRALWEYPFAVAGVNITFMLIQMLELQAAKPKSLIGAVFLNLLKENDRAFDILYCITFKLMDQKWLDMHASYMDFNSVIKSTRRQLERELLLEDIQRIEDMPSYRFLAR >PAN40789 pep chromosome:PHallii_v3.1:7:47346376:47351909:-1 gene:PAHAL_7G340900 transcript:PAN40789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPLGAIIGRYPSAAAGGDDELGGGGAPGAGIIRHNRRCRDIAFLVIFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGDKHGDPNLHELDVRYWMNPNQVYQSGLKNSKVNLADAKAICLMECPNPAVDGLNFICDYPEGDIRLSVDDWINRDYDYFESLTPDMRNSSLQLQGPCYPIIFPTVNVYWSCQFIARASNVSLKHWQQMGGVSIDENILIDKTVHKAIDSRSAVLKRYIADIGKSWPVFIVCGGILPVFLSVIWLFMIRYFVAAMTWITVVLFNALVISVTMFCYIKAGWIGNDPLTVVIGESDPYVHISGREISHLHTVTVFMTAVMIIAFLSSIAIVRRILIATPVLKVAAKVIGEVQALIVFPLVPFFILAIFYMFWFAATLHLFSSGQIVRNDCNIDCCSYDLKLGKVNCDNCCGYSIHYTPHISIAILFHLFGCYWATQFFLACSSTVIAGSVASYYWARGEISHDIPFLTVVSSLKRLMRYSLGSVALGSLVVSVVEWVRFILECLRRKLKFVGSARESCFGKAASSSSECCLGCIDWTLKSVNRNAYIMIAITGKGFHKASVLATGLIMKNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLIPVLVTWALGYVAAKLFFAVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSDLQRLTQGP >PAN40915 pep chromosome:PHallii_v3.1:7:47861157:47871810:1 gene:PAHAL_7G349500 transcript:PAN40915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALWQPQEQGLREICTLLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSIEVRQAAGLLLKNNLRATFSSMPLPSQQYIKSELLPCIGATNRAIRSTVGTVISVLFQIVRVAGWVELFQALHKCLDSNDLDHMEGAMDAIYKICEDVPEELDIDVPGLPERPINVFMPRILQFFQSPHASLRKLALGCINQYIVVMPSALYMSMDQYVQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEPHQKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMVYADDDESLADAEEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDSILPTLMPLIEQNLARTDDDSWKERETAVLSLGAIAEGCIAGLYPYLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLDHPNGREQFDKILMGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPHLEVILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLQNSDKDLFPLLECFTSIAQALGPGFAQFAEPVFQRCINLIQSQQLAKIDPTAAGALYDREFIVCSLDLLSGLAEGLGAGIESLVAQSNLRDLLLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLTVAAKQLSPQSVKDAVSVANNACWAIGELAIKIGKEIEPVVISVVSCLVPILKSPEGLNKSLIENSAITLGRLSWVCPDIMAPHMDHFMQAWCSALCMIRDDFEKEDAFHGLCAMVAANPSGAVGSLAYICQACASWTEIKSEGLHSEVCQILNGYKQLLGNSGWEQCMATLEPAVVQRLARYGV >PAN39467 pep chromosome:PHallii_v3.1:7:41210569:41213034:-1 gene:PAHAL_7G245300 transcript:PAN39467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILVDVLRIRGELALFVAIPLSPLSLNMAAADLKLAACLCLLAVASLPMLAIAECECTASGDEDSDKGRALTLKIIAIFSILVASSVGCAIPSLGRRFPALRPESDLFIAVKAFAAGVILATAFVHILPDAFEKLGSPCLVDGPWQKFPFAGLVAMLAAIATLVVDTIATGYFQRAHAKSTAAVGDLETPDHAHHGHGHSHGMPALIASSSSTSNTDEGAKLIIRHRVISQVLELGIIVHSVIIGMSLGASESPSTIRPLLAALTFHQFFEGIGLGGCIVQARFRLRSVVTMALFFSLTTPVGVAIGIGISSAYNENSPSALITEGVLTAAAAGILNYMALVDLLAEDFMNPRVQNNWKLQVILSVALLLGTALMSMLAIWA >PVH34886 pep chromosome:PHallii_v3.1:7:16574945:16576439:-1 gene:PAHAL_7G055800 transcript:PVH34886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLRKSRSSSGVAGLGAQSGTDGVASQSNPAAGILPSFPAFGVPSGHGFRPAYAAAGVPPAGGFIPTYPTGPSSTAPSAGEAPTASCGGRNRRPPVAPSSIGVGMDNDMELTDASMAFWSDERTRIVCDIFAEEVLIGNRSSTHLIKAGYNSAQTVLGWDGNGNIIMTDEWWKKMSKEIKGSGRFKTRGLQNEEKLEIMFENLHNTGEDHWCASSGVPPSQSYQPSGEEEEEEEEEDNSEPDPGTPTSGAKRRNRLSENSRGKQPKTSKGSWLLGEVERMVEMNERTTRSYESIARSVKEKVQSICSIQEVMALVKDCGAVPGTNEHFIATTIFTKKVEREMFMTLEN >PVH34887 pep chromosome:PHallii_v3.1:7:16574945:16578029:-1 gene:PAHAL_7G055800 transcript:PVH34887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLRKSRSSSGVAGLGAQSGTDGVASQSNPAAGILPSFPAFGVPSGHGFRPAYAAAGVPPAGGFIPTYPTGPSSTAPSAGEAPTASCGGRNRRPPVAPSSIGVGMDNDMELTDASMAFWSDERTRIVCDIFAEEVLIGNRSSTHLIKAGYNSAQTVLGWDGNGNIIMTDEWWKKMSKEIKGSGRFKTRGLQNEEKLEIMFENLHNTGEDHWCASSGVPPSQSYQPSGEEEEEEEEEDNSEPDPGTPTSGAKRRNRLSENSRGKQPKTSKGSWLLGEVERMVEMNERTTRSYESIARSVKEKVQSICSIQEVMALVKDCGAVPGTNEHFIATTIFTKKVEREMFMTLEN >PVH35332 pep chromosome:PHallii_v3.1:7:35398860:35399108:1 gene:PAHAL_7G154100 transcript:PVH35332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISQALRKGKENLKALGIGGIRKTLNQISTSNVRHGIEIMLSFMFLSLALLDTFLPFPGSPSRPQLELRPILLSSRTHTYI >PAN39473 pep chromosome:PHallii_v3.1:7:41257556:41258594:-1 gene:PAHAL_7G246000 transcript:PAN39473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQIMGALVRQPTGLSPRLRAPDGRSFSSGGAHGHHRVVVRTGRRARLGRVGAAHVDARPRYCRLRGVAAVAARDTSRELLDQHAHPGKYDTASNQLATAMSKDKFFEIEMEVRDDEVDEYGVVNNAIYASYLHSGRDVMLEQLGISVGYWTSTGNAMALSELNLKYFAPLRSGDMFVVKVKPVLIKGVRIMVEHMIETLPDRKLVLEGRATAVCLNKDFRPTRVFPELSASLMEAFSCKVA >PAN36893 pep chromosome:PHallii_v3.1:7:1825465:1828750:1 gene:PAHAL_7G009600 transcript:PAN36893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate/hydroxypyruvate reductase HPR3 [Source:Projected from Arabidopsis thaliana (AT1G12550) UniProtKB/Swiss-Prot;Acc:Q9LE33] MPPPATTASADDKPLVLLAQPLFVDFAAALEGRYRFAVLEDADEATAAEARVLLVPGLKEVTAELIGRLPALELVVATSVGLDHVDLDACRRRGLAVTNAGCAFSADSADYAVGLVIAVLRRIAAAEAYLRCGRWATDGEYPLASKVSGKRVGIVGLGRIGSLVARRLAAFGCPVAYHSRSPKPSSPYRFFPTVRALAADSDVLVLSCALTEETRRVVDREVMEALGKGGVLVNIGRGGLVDEPELVRCLREGVIGGAGLDVFENEPDVPAELFAMDNVVLSNHRAVLTPESIRGVLDIVSGNLEAFFAGRPLLSAVTL >PAN39306 pep chromosome:PHallii_v3.1:7:40517492:40519904:-1 gene:PAHAL_7G234600 transcript:PAN39306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor with zinc finger domain and helix-loop-helix domain (YABBY domain), Leaf developmen [Source: Projected from Oryza sativa (Os04g0536300)] MMSSVPVPETFALDQHLAPQQPAEQEQLCYVHCKCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPTAAAANNQLPFGGGGGQQQALLSPTSPHGLLDEMTMPLQAPSLPSAEPASACMSTLTSMNNSCGGGNNASSVMSMAAPPPPPVKPAPQEPQQLPKSVASGNKQKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGMKKTSIQSQEGGECMLFKDGLYVTAAAAAAAAAASTMGIAPF >PVH34661 pep chromosome:PHallii_v3.1:7:322004:322339:-1 gene:PAHAL_7G000900 transcript:PVH34661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQHIHAQPPPPPSPQPRDRRADFLRGHPPTFSHATDPLQADDWLRSVERQLVVALCDD >PAN39482 pep chromosome:PHallii_v3.1:7:41280976:41283311:-1 gene:PAHAL_7G246400 transcript:PAN39482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 68 [Source:Projected from Arabidopsis thaliana (AT5G47500) UniProtKB/Swiss-Prot;Acc:Q8LPF3] MARVLSLVLLLATVASILPPSASQAATRCEYPRHAGHGYKHPVGVRKVVVDAGGAGDFTSIQQAVDSVPVNNTVRVIMQINAGTYREKVLVPATKPYITFHGAGRDVTVVEWHDRASDRGPDGQPLRTYNTASVTVLSNFFTAKNISFKNTAPAPMPGTQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNARSLYKDCELRSTAQRYGSVAAHGRRDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSNKSMTAFFGMYRNWGPGVDAVHGVPWARELDYFAARPFLGKSFVNGYHWLTPDV >PAN38961 pep chromosome:PHallii_v3.1:7:38880043:38882512:-1 gene:PAHAL_7G209500 transcript:PAN38961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLCPKKAARGNKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAVKKAFTAA >PVH34727 pep chromosome:PHallii_v3.1:7:3471947:3474012:1 gene:PAHAL_7G017200 transcript:PVH34727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRELELTLLSARDLKNVNLITRMDVYAVVTISGDPLTRQCTAPDPSGGRNPCWNATLRFAVPPTAAAAVGGCLHVLLRAERVLGDRDVGEVIIPLADLLAGAPTSGPQQPQLASYQVRKVHRWEPRGMLNVSYRLGPVVAPVAEPQEKPPVVMAYPVGVPSSQPPRPPADAYPPPPPPRAPAPAPAPAPGQPPRSGQSNRLGPEGPTQVCVGPHTQIILGAHTGPPPTGTTTMSPARSNNSQRKLDGARPKEHPHHDDTAAYAPTQPIISPRMEDRSRPRVFSPHHTQVGSFREAEVTSQRVAVPPSKEPLTSPHPPSRHPPASAFSSRSSSSSSSSPYASGYPFALSPCSSAHSSAPSPYSSTHRSTPSPHSPPVQQVARDEFGARAISHTNASPRSPSQPAARDALGDRAIRHTNSYSASTPRVSS >PVH36019 pep chromosome:PHallii_v3.1:7:47301492:47302496:1 gene:PAHAL_7G340200 transcript:PVH36019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDEYNAIVSELMAEQVRKGNRPNTHLNTLGYTEVMGRFYQMTGIELSKIQVKNKWDKLKNDWSIWQKLVRKQTGTGWDSTRGVISMDNEWWKKMKKEIPGCGKFKKKALQNQDFLREMFGDISNDETDHWNPMSDNPIIPNDPIVPNSQQEFENIDEDGEQQGGEEEGWEDMVHDWGYMEDNDTEAQEVSPVVGNQKRRPRVVLEIPKKQKTSTALVIQEQITKIADSASSFTSRKQAEVGIKEVMDLVLDCGADYGSNEHDIATQLFVKRDQREMFLTLPTREIRFNWLTRRYNDKYGN >PAN38614 pep chromosome:PHallii_v3.1:7:37342971:37344644:-1 gene:PAHAL_7G184800 transcript:PAN38614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRIVIKVQMSGDKSRSKALGLVAKTHGVQSVAIEGRERNHLVVVGDGLDAVSLTSHLRKKVGGAQIVRVEVLSGGADRTKPPASTPTIAAGPQHQWQPRYSGYYYSRPAAVHPYPYAGQYSSYDDDTHPDAASSCAIM >PAN39488 pep chromosome:PHallii_v3.1:7:41295090:41295860:-1 gene:PAHAL_7G246700 transcript:PAN39488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPPATQGRRANTVVERKLDELCACLDDALSSRRRRGPDAVGRLVAEIKAKTDFLRSLLAAEAECQGGARTEHLAEAEARFAVLEATFNQWARCAAAAPKAEVQEVVGEPEKEADGCESSGSTCSCTDSCQEAVAGDDVEARNEATADGIAGKGHDAGREAAGTRGETASGAVAKKREAMGTLDDVKPKDAAGKKRDAGSEAAAETRRRTVQRRWWRRGAAWCGAAGVVAVVAVGLSVELAAVAHDNVSVYVVPT >PVH35684 pep chromosome:PHallii_v3.1:7:41267381:41267749:-1 gene:PAHAL_7G246100 transcript:PVH35684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPQQRRKSCASIATPRQPPPPSRAHPWRAASTQANSGITRASSASAARSSSIPAGLRHRRAPPRGSRRPATWMLIVAVVRGDGGRDHVRVLDGWPRRRRDLAIAIPFFFQNTPEYFRMVP >PVH36070 pep chromosome:PHallii_v3.1:7:47779431:47779818:-1 gene:PAHAL_7G348700 transcript:PVH36070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVGCPACLPACLVTALLNSQLSARCRTRNGVVCLKRGKGRLAARSRRSDPAANFIPNSNRQQA >PVH34881 pep chromosome:PHallii_v3.1:7:16546623:16547117:1 gene:PAHAL_7G055600 transcript:PVH34881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQYRFQVLKKKCMDDMVNLTQDFHRSLTLKDDQIHSLGQGIAGRDTTIGHLEVQILEGDAQILQRNTVIDSLQEQVHDLNQELCDALGHIEMLQEQQMPPLVPNELDEEEKDSEEEPEEIEGVSEIDSEHGDPEPNPQLNHSSSGSHSSSGSQSSVGNLDDF >PVH35778 pep chromosome:PHallii_v3.1:7:43143379:43145092:-1 gene:PAHAL_7G275000 transcript:PVH35778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIEEPTIDVMLFVDKEKRRVLFAEADEDFVDVLFGWLTLPLGTIVRLMNKQSQMGCLDQVYSSVEDLSTDYFQTKACKGMLLAPLNAASGHCCQLKINIDDTKKGALYICRDTSCSASVGDCRFSSVRGAVCKCGKDMQYTGEWSDNHGEDAAPAGEDADSGVFVIGCFKFIITDDLIVAPASTSLMMSLFQRFGVGDPATLEKTVIQLNSQKITSLLGRSLTSKQSLTGIYFDTPIDYDAVDLFVLSEKLYPDRQNDADQKLSNVKIRVLQTRNSSLLYAEASDDFVDLLFGWLSIPLGSMIKTYCHRSLKGCVDNLYWSIYGSAKGYVRPECQSLLLDPKAVPFSGCRTTKILQVEELAPSKLQIKGCVKSWSY >PAN38693 pep chromosome:PHallii_v3.1:7:37672903:37675381:1 gene:PAHAL_7G190100 transcript:PAN38693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMKLGARPDTFFTSGPVRSVYTEVATDLQILVDHCLFRLHKFPLLSKCLLLQALCADSDAVELPGFPGGSEAFEACAKFCYGIAVTVGAHNVVPLRCAAAHLGMTEAADRGNLGAKLDAFLGSCLLRRWRDALAVLRATARHAAACEELGVTSRCVEAVAILITDPGSSASEAGCSSSPWWAPDVSELGVDLFWRVMVAVKAAGTVKGRAVGDALKVYARRWLPNVAKSGYLVEQTDGSTGSADVAATNHRFLVEKMASLLPAERNAVSCSFLLKLLKAANVLCASAATKAELTRRAALQLEDASVSDLLIPSCASETLYDVDAVMGILEELALRQAAAAGIPEASPPHARGHRRSRSAESSEFEGARRSTSAAASHGAMVWIGRLVDGFLMEVAKDPNLPLNKLVAIAEAVPDCARPEHDDLYRAVDTYLRVHPEMDKSSRKKLCRVLNCRKLSETASMHAAQNELLPLRIVVQVLFFENARAAALSGPGASRVAGVAGGVKALLAKTREAGGEEATMDEQRLRGLAGGTPGDNDWSVEGLQRAASRISTLRMKLEEEEDDDDADDGAFVHRARPGLVRSASSRVRALCAMPAGKPKRMLSRLWPSSRSIAGSERH >PAN37526 pep chromosome:PHallii_v3.1:7:30593656:30599958:1 gene:PAHAL_7G108900 transcript:PAN37526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPQTPITPWGSKPRRDMPPSLLSPSFSWKPFQATGGDQDADAASEHPCVTLFEWWLDRVEGDDQKVAVAGIFERNQTVLEFAPATIAKRHEACVLETEDGIVLLIYGSLNLSRMRANGYSSEVCEKFMLGFPYWWRNCNQLYPKAAQTGLDAKQFYLEKFQQGQQFHSYGSSLLSELRNSVKNSSHNDAGFQKSSHLPNGAPRFEEYNTDGDIAINENAAASNDGGKRHEAARNEAYNVEADLIACRALRERDDGDIDTNASLVLIVECANDASNEEADNATPTNTCDQGKAQHVALSKKAEVNENVPTLDCLDVQNSSDLPNGTPRFQEYTCDGDIATNENAAASNDDGEKDEDVYNEVNNVEINLTASRTLSERDDGDVDINASLVLEWECANDASNEEADNLPSTRKKRDPVVPLSKKAAVDEEILTSVCLDVQNSSDLSNGTPRFEKNTCIGDIPTKEDAAASNGNSERCTAVSEEVNSVETCLTVGSTIKGRGHDDIATNVSSAPTVECTNDAVNKGVDNTSLLGCKKTPVASLKSQGCQEKRQHVPSNGKPSGPPKKQRSALEKLQGATRSPFTSPVPYARESPLTRGRATSLSMSTPEALKLRKTRSGRVVVPTLDAGCQRIVYDMDGRITGVIGLDSPSPKGSKLKTYARRKREAEPPSKLKTYARKKSRAQ >PAN37527 pep chromosome:PHallii_v3.1:7:30593675:30599958:1 gene:PAHAL_7G108900 transcript:PAN37527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPQTPITPWGSKPRRDMPPSLLSPSFSWKPFQATGGDQDADAASEHPCVTLFEWWLDRVEGDDQKVAVAGIFERNQTVLEFAPATIAKRHEACVLETEDGIVLLIYGSLNLSRMRANGYSSEVCEKFMLGFPYWWRNCNQLYPKAAQTGLDAKQFYLEKFQQGQQFHSYGSSLLSELRNSVKNSSHNDAGFQKSSHLPNGAPRFEEYNTDGDIAINENAAASNDGGKRHEAARNEAYNVEADLIACRALRERDDGDIDTNASLVLIVECANDASNEEADNATPTNTCDQGKAQHVALSKKAEVNENVPTLDCLDVQNSSDLPNGTPRFQEYTCDGDIATNENAAASNDDGEKDEDVYNEVNNVEINLTASRTLSERDDGDVDINASLVLEWECANDASNEEADNLPSTRKKRDPVVPLSKKAAVDEEILTSVCLDVQNSSDLSNGTPRFEKNTCIGDIPTKEDAAASNGNSERCTAVSEEVNSVETCLTVGSTIKGRGHDDIATNVSSAPTVECTNDAVNKGVDNTSLLGCKKTPVASLKSQGCQEKRQHVPSNGKLIDLRLGKQPSGPPKKQRSALEKLQGATRSPFTSPVPYARESPLTRGRATSLSMSTPEALKLRKTRSGRVVVPTLDAGCQRIVYDMDGRITGVIGLDSPSPKGSKLKTYARRKREAEPPSKLKTYARKKSRAQ >PAN40346 pep chromosome:PHallii_v3.1:7:45193195:45194586:-1 gene:PAHAL_7G308000 transcript:PAN40346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHGEKEAEDKFQDSAGQRQDDDAAAAAAEGHAEESVGPRAGYKAEESAGLLIKEVVSSGEASPALFAHPCSLLLLLLRACAGCLGLHGYCGSSDRHPKPAAVAAPDAAAAADPSQEGEGGGEKANFLFMQEEVVTRVWAVRRPQPPGRRPREGSGGNGGVHH >PAN40858 pep chromosome:PHallii_v3.1:7:47272664:47275577:1 gene:PAHAL_7G339600 transcript:PAN40858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFADDGADELPRTTSHPFDADDFGAADPAAAGGDDAGGYGGYASFADGGVEEVEEEITVESDGVPIRHVSGGYSPSPFSPDLEPNGGDGPILPPPTEMGREEGFLLREWRRQNAIELEKKEQKEKELRAQIIAEAEEFKIAFYEKRIQNCETNKVHNREREKIFVAGQEKFHASADKQYWKSISDLIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMLQPPPAPGAKEGAKDGAKEGAKDGAKEGAAAPANGTKQPAESKEAPANGPSEAEKEQPASSE >PAN38050 pep chromosome:PHallii_v3.1:7:34405766:34406662:1 gene:PAHAL_7G143000 transcript:PAN38050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAAAQGAPTWSPPSGCAIASESEPDSPSSDGTSSPPAPATGGRSSIASSSTPPPAARARAPATPGSGGGSPPPGCAACRHKRQRCPPGCALAPYFPADDPDRFRSVLRVFGVKNLLRTLREVPRPRWDACVRTIVHESRMRLADPVRGCVGAIEDLEAQLVDTAVELEVLRRRQEAYQQARRRGGLRLQPPNPRGRADADAALPSGVTNLGVMQPQGSYGGATWPRAMTGLVATQPQLSAMAPQFYGTQPQTAMRRQPTPGVTAMVHDGFGNAWANDDERGDPDDMRRRRRDEES >PAN39801 pep chromosome:PHallii_v3.1:7:40209620:40212438:-1 gene:PAHAL_7G229400 transcript:PAN39801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSASGESGGGGGAGGGRGGRRWKGKGVTPIQPRGQLAPVMEDASAASLRPLKKIGRGPDRFQRSASSLSTSSSAPPSPCASAASTAAAASPPSARRIFPFAYETSALAGGAAPRLQLLPWQHSSASQPQRAPPQSQQMISFGAPPQYQAQFLLPEGSPQQQHLLRYWSEALNLSPRGGQAAAVLPSLYQHLLRAPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEAAAMAYDREAFKLRGENARLNFPDRFFGKGQAGGSGRTSASSTAAQTAGAGSGSSSSSSPPQTPDEASGQQTPPPHAEGSTDKQPQPPVDTSSSQQGGSPGDTTMPSVSAEMIHAPVAHGSEWGPADEAWFNVWGPGSSFWDYDMDNTPGLFLHGRFAGDEAGMEQSSAQGTAATATGTDMSCDHVPVTPASSSPLHSQSPHSPSFMD >PAN39228 pep chromosome:PHallii_v3.1:7:40202381:40203417:-1 gene:PAHAL_7G229300 transcript:PAN39228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPTRPPTSPCPMATTAVASPSTSTPSTPLLPRRRSLPPASTPARCRLLPAPPRWRARLDIARRVAVGSDVSSYPDVAAEEAAAARKVGKRVRVTAPVRVHHVAKAPGLDLRGMEGVVKQYVGVWKGKRVTANLPFKVEFELKLDGQEKPVRFIAHLREQEFDIVGDE >PVH35370 pep chromosome:PHallii_v3.1:7:36133383:36134780:-1 gene:PAHAL_7G163800 transcript:PVH35370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAKHRGHSSQHQQQHVDEAVMGGVANGHGHRPAAPRPAPRRAKLKILLVVIATNLVSVYLFSGASLSVHLPASAPRIHLWDSAALLRDLNATRAALAGARAELAALRAQCNASSHLLESVLAGLGAVHGDAPEARDFGGWPEEPTGELKLAIEPHRLPLGFHANFGTDELFPGLGFACRNFQEELAQYMAYDAGAECPDDDALALQLTLKGCEPLPRRRCRARSPAGYVEPAPLPRSLWSVPPDTTVRWAPYTCKNYTCLVQRARTRGGAYFCKDCFDLEGKERRRWQADNGGPGFSIDSVLRSRAPGTVRVGLDIGGGTGTFAARMRERNVTVVTTTLDLDAPFNRFVASRGLVPLQITLMQRLPFADGVLDIVHSMNALSNWVPDAVLEAALFDIYRVLRPGGVFWLDHFFCLGPQLNATYVPIFDRVGFRRLRWKAGRKLDLGAERNEWYVSALLEKPMT >PVH35054 pep chromosome:PHallii_v3.1:7:27443007:27444406:1 gene:PAHAL_7G094700 transcript:PVH35054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPTCPSSPPRNALALPSSDVLHLALPRRPPPRLPPTPSSSAGAPPPLRLLLRRDAGALPLFSDSSSAQILRRHQPRPLLHCTARAQGAGGSSRWSPSASIAATKPAPLLRADPPPPPTAGLPSPRPPPRPPPTPSTSPFPLCDAGQDRRVRRGTHCSMPRADGPAGGFPGRVLAVLPQRRHAYDKAVDRLPPPAAPMTPQ >PVH35894 pep chromosome:PHallii_v3.1:7:44854263:44857948:-1 gene:PAHAL_7G301700 transcript:PVH35894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CXXS1 [Source:Projected from Arabidopsis thaliana (AT1G11530) UniProtKB/Swiss-Prot;Acc:Q8LDI5] MSNSFSFRAAIGIWEEETKKQNREGEEACVLRPYGDPAAPGVGNSKVVKVQSEEAWELFTNQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLYVDVDDIQSVSSKYGVKAMPTFFLIKNKEVVRKIVGANPDELKKMVDASADPFETQIVVE >PVH35895 pep chromosome:PHallii_v3.1:7:44854264:44855883:-1 gene:PAHAL_7G301700 transcript:PVH35895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CXXS1 [Source:Projected from Arabidopsis thaliana (AT1G11530) UniProtKB/Swiss-Prot;Acc:Q8LDI5] MNYKFEELAQTHPEILFLYVDVDDIQSVSSKYGVKAMPTFFLIKNKEVVRKIVGANPDELKKMVDASADPFETQIVVE >PAN37171 pep chromosome:PHallii_v3.1:7:20161297:20167158:-1 gene:PAHAL_7G066500 transcript:PAN37171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MKPIRHDVRVQMSNVNVGAGSYEGDGATSHGEHLDSSSTRDSNKPTKPLSGSSYLQSIGAVLLLCALAAGFFFKGQSSAVVSMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQKALVLLGSVAALSLMTIVSVIIGRVFQSVPAQFQTTLPIGEYAAVALLAFFGFKSIKDALALPDSANGNIEGNSESGELAEAEELVKEKVSKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGASQSPLGVASGAVAGHLIATALAILGGAFLGNYLSEKLVGLLGGVLFLLFACATLFGVF >PAN37170 pep chromosome:PHallii_v3.1:7:20161581:20166998:-1 gene:PAHAL_7G066500 transcript:PAN37170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MVVVAAVATAARWPGGTTALPPAARATCRVAAEPEHKAATARFVKYRLSSWMKPIRHDVRVQMSNVNVGAGSYEGDGATSHGEHLDSSSTRDSNKPTKPLSGSSYLQSIGAVLLLCALAAGFFFKGQSSAVVSMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQKALVLLGSVAALSLMTIVSVIIGRVFQSVPAQFQTTLPIGEYAAVALLAFFGFKSIKDALALPDSANGNIEGNSESGELAEAEELVKEKVSKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGASQSPLGVASGAVAGHLIATALAILGGAFLGNYLSEKLVGLLGGVLFLLFACATLFGVF >PAN38539 pep chromosome:PHallii_v3.1:7:37056520:37057771:-1 gene:PAHAL_7G179100 transcript:PAN38539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSAPPAGAWSSGLCGCFDDVGGCCLTFFCPCVTFGRIAGIVDQGPPSCCASGALYLLLSAAGLGCLYSCCYRSKLRARYELAETPCADCCVHLCCEPCALCQEYRELKARGFDMSLGWEGNMERMGKAGAAATAPPHMNPGMSR >PVH34813 pep chromosome:PHallii_v3.1:7:10548017:10549685:1 gene:PAHAL_7G040400 transcript:PVH34813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRMLNVVTSATYETRRVRKQITVLQALDVAKTQLYHFTTIVIAGMGFFTDAYDLFSISLITDLLGSIYYSDGKLPTNAAVAVNGIAFVGTVLGQIFFGWLGDRMGRKRIYGITLKLMVLCSLASGLSFNHKPKDVIATLCFFRFWLGIGIGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGLGNLAAGTVVLIISERFKNSPAYTTDPFGQADYVWRIVLMFGAVPALLTYYWRMKMPETARYTALIAKNLKQAAADMTSVLDIEIPAGKEEMDALDRQDEFGLFSMEFFHRYGRELLGTTMCWLVLDIGFYSLNLFMKQFFASVGWFKDESNMGPLEQTYKFARTQAIITVSGTLPGYFFTVIFIDKLGRIRIQLVGFTMMTIFMLGLAGPYYFWSTNESTRIGFAIMYAIIFFFANFGPNSTTFILSIEIFPTRLRSTCHGVSGAVGKIGAIIGVVWFLLYGHAAYQNTLLMFAGCNLVGVMFTLALPETKGMSLEDITGEIEEMEEQPIGSPPIDGAEFIHSVIL >PAN38702 pep chromosome:PHallii_v3.1:7:37721385:37725191:-1 gene:PAHAL_7G190600 transcript:PAN38702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAGHYGYKKTDGICDGVCGEPVSKAALTMSRLKCALRGFDLRALMALLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMENLCELHGWKVRDTPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGMKKPLHFKENRHRFGFAESRLTYGMIGGRFVRGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPDILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPDRIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPSYLLKNVERFRYLLPGNCRRESG >PVH34679 pep chromosome:PHallii_v3.1:7:1276987:1280225:-1 gene:PAHAL_7G005800 transcript:PVH34679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLTRKVYFRVCTRVYHGPSILDPTAGVLRGWVTDRRNPRNLAPVSSRPPPIPSPAAAHAQRRNNKMATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHVLAGRWLDMASLMLASADLLLTSPSRVPDKDLECVLSVICSLVTKAGSEDQALQITDIICAKLTQQPDDKPALRLKVLFSLYNLLPSPYGKAFVYKKALELATAGKAAEYIIPSFKNIDSFVSEWGIGNLEQRELYLAITRILKDHKGMTKDYFKFLNKYLATFKGSDDDSATIGDAKEEAVAAIIEFVKSSNLFQCDLLNMSAGAQLEKDEKYQLVYELLKIFLTKRLDSYLEFQTANSALLKDYGLVHEECITKMRLMSLLDLSSHCSGEIPYSAITESLQINDDEVEQWIVKAIAFKILDCKVDQLNQTIIVSRHTERIFGMPQWQGLRTKLGVWRGNIAGAINTIQANKVTEEGTQAMHGLMIR >PVH35348 pep chromosome:PHallii_v3.1:7:35703116:35704292:-1 gene:PAHAL_7G158600 transcript:PVH35348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATKRARADGGSICRDRLSTLPDDLLRHVLSFLPAQQAVQTTVLSKRWADLWRSVPGINLDLMHFRRSPYEPWTETSVRMERFVSKLLVLHDAPCLDAFRLAASSAGHDSRRHIDAWVRRAIRGNPFVLEVRNMSSDGHDLYQLPHLGSSNRWRRLKRLKLIGVSLDHSFAKLLHYWWPHLEDLVLVQCQIGFCSIESDRLNNLAIQYCTNPPADVFVIRASGLAALSLALHNNSYRNGVSLHVGNSLVRASVTLKRDEFSPRNEAMILGSLFNVASLAMKDFQAMAILDTELFDKLPTFNNLRTLTLNPFSLNSTVCDVHNFKALGRFLQKTPNMEMLTLENFWVGTHL >PVH35398 pep chromosome:PHallii_v3.1:7:36612636:36617796:1 gene:PAHAL_7G172000 transcript:PVH35398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLELERVQRVLSLMSSLGLCDAGSGGGGAAADRFLAQFLLFMVQPFDSLSMEKKFLLVSELLGKATPDTLEEVRHLSRLEADQDISSGALLQPNKKFKMQDDKSTIQAAPMVGFDAMTRAKSTLEDFCRSYFMFHGLDVNNPQFVFKYLPILSFTESYIYQLDASNEDSLDLVSDDSSSSKALERKKEAFDETSLSQMIEPLNGLFRSQGLMTDRLRTELESGIQYWSLERKLCQALSRNEKISIDDVMKAIHLKSFDYRVLNLLMYQLTGQQVNELHMDFLSISEFLVEISDDLYDYEDDVMNNTFNILRMYAAIYGPSEAPNMLAKCIGEAEEKYESFSKKLDPSLSGSYWRRCEEATKEGGKISGHAYGTWNIRPVISDEESFRRERSSKHDASVAII >PAN38979 pep chromosome:PHallii_v3.1:7:38945688:38947593:-1 gene:PAHAL_7G210800 transcript:PAN38979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLDSPCHLHAASRRRLVAVLAPLLLFLIAALTFPSALRLPTLFLLAAHPNDRRSTPPRSPLPPPPLPPPPPPRVAVCLVGGARRFELTGSSIARHVLAALPAGATDVFLHSPLDADAYKFSLLARAAPPGVALAAVRVFRPEPIDETPERAAVLTAANSPNGIQGLLQYFRLVEGCLDLIRDRESQGNFTYAWILRTRVDGFWTAPLVPGDAFHPGAYVVPEGSSFGGLNDRLGAGGRAASDAALSRLSLLPRLAAAGYSELNSEAAFQAQLEEAGVPALERRLPFCVLSERAYSSRPDPGYGVPVASLASPGPLSGAKCRPCRPACRGWCAAWHVSRLDRGWGWTEWRNGTLELCDASGAWEDGWEALFDEAAGAEAAEYRRRVARMGPVECAVEMEALRARAERWDAPSPAEICQVGLRERSVEAASASGSLSRADSTTVATVTTQT >PVH35336 pep chromosome:PHallii_v3.1:7:35525125:35525568:-1 gene:PAHAL_7G155900 transcript:PVH35336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPPAIPSYPHPPPPSYTPPPKVSPPAPAPSPEVPPPYHPHPPPVPAPPPPSTKNIVIIAVVVPFAGLLLLGLLVGLLLLAWRKQRAEEDAVVEEDVDVVVSEHVRVQEHVVLGPSGQLLKVIDIDDEVDVKEHVIRHEHNEVTEK >PAN40298 pep chromosome:PHallii_v3.1:7:45020026:45023691:-1 gene:PAHAL_7G304200 transcript:PAN40298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWHLASSIIMGAPKTDRFPNHLAMIFSVLLLFLRASAAAIASDTLNNGGNITDGETLVSAAGSFTLGFFSPTGVPAKRYLGIWFTASPDAVCWVANRDTPLSNNTSGVLVIASTGILRLLDGSGQTAWSSNTTRSAPAVAQLLDTGNLVVREQSSGGEVQWQSFDHPSNTLLAGMRLGMDPQTGAEWSLTSWRAPNDPTPGDCRRVMDTRGLPDCVSWQGNVKKYRTGPWNGLWFSGVPEMASYSELFSNQVVVRPDEIAYIFNATADAPFSRLVLNEVGTLLRLAWDPASRVWNTFAQAPRDVCDDYAMCGAFGLCNVNTASTLFCSCVMGFSPVNPSQWSMRESGGGCRRNVPLECGNGTTTDGFMVVRGVKLPDTDNTTVDMSATLDQCRARCFANCSCVAYAAADIRGGGAGSGCVMWTNYIVDVRYVDKGQDLYVRLAKSEFAKEKRMDAARIVLPVLASVLALTAACLYLVWICRLRGQRRNNNVQKKEILGDENLELPFVSFGDIVTATNNFSEANMLGQGGFGKVYKGMLDKNKEVAIKRLGQGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEYLPNKSLDSFIFDAASKKVLDWPTRFQIIKGISRGLLYLHQDSRLTIIHRDLKPSNILLDADMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGVFSVKSDTYSFGVILLEIISGLKISLTHITNFPNLLAYAWSLWKEGKAMNLVDSSLLGSCSPNEALRCIHIGLLCVQDNPNGRPLMSSVVFMLENETTALSIPKQPVYFSQRYSEAQEIRENTSSSMSNMDLTVLEGR >PVH35082 pep chromosome:PHallii_v3.1:7:29516189:29516836:-1 gene:PAHAL_7G102800 transcript:PVH35082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIDVFTWLADVVNSLGGTPPQVQTMERQPFKKLIISFEIPAREDLETMIPIVASGKESPIEMTYERSAMETYLTEFERHGYLIPDLSRFKIRALEPNEQDIVSLCERLAQENQNDMDIFGNTFTAMRHPVLGKACTRLLLRFNLPHLLLWNQYLQSTTKHFLVDVNQRTVHLFML >PVH35218 pep chromosome:PHallii_v3.1:7:33106948:33108573:1 gene:PAHAL_7G129600 transcript:PVH35218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRAQAFIVVSCVMCCGYLPPPSAAASSPGPGDGDGDGDGFLRCLSAAMPSRLVFPRGSPSFAAALASSIRNPKFMAPGTARPLAVAAPAEASHVQAAVRCGSRHGVRLRVRSGGHDLEGLSYRSARAEGGEAFAVVDLASLRGIRVDGQASTAWVDSGATVGELYYAVGRASGRLAFPAGLCPTIGVGGHLSGGGFGTLLRKYGVAADHVLDAVLVDSRGRLLDRDAMGSDVFWAIRGGGGASFGIVLSWQVRLVPVPPTVTAFKLPVSVGEGAVDVVTRWQTVAPALPDDLFIRVLVQGQVAEFQSLYLGTCGALLPVMRRRFPELGVNRSHCREMTWLESVPYIYLGSGAAVEDILNRTTSLAAASKATSDYVREPIARAAWAEIFSSWLARPGAGLMILDPYGAAIGAAPEDATPFPHRAGVLYNIQYMNFWAAAGGDAAAGIRWIRDLHAFMEPHVSKGPREAYFNYRDLDLGENVVVGNVSSYEAGKVWGEKYFKGNFRRLAMAKAQIDPDDYFRNEQSIPPLIDAEQPVVINE >PVH35389 pep chromosome:PHallii_v3.1:7:36324606:36326515:1 gene:PAHAL_7G167500 transcript:PVH35389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGSVGNGCPEISEFPAGATAGRPVPAVGLGTASFPFVEEDVRAAILAALELGYRHLDTASLYRSERAVGEAVAEAARRGVVASREEVFVTTKMWCSQCHPDLVLPSLRESLQNLQMEYVDLYLLKGEDIVPMDLRGVWRAMEECHRLGLARMIGVSNFTTTKLQELLAIAEIPPAVNQVEMNPIWQQKQLIEFCKDKGIHVTAYSPLGGQSMSNAVLQSEVLEEISKARGKSVAQISLRWIYEQGASMVAKSLKRERLKENMEIFDWELGDEDRFKISQIPQHKRVRVTGILSPEDASGVDLAELDIVEM >PVH35983 pep chromosome:PHallii_v3.1:7:46560011:46560631:1 gene:PAHAL_7G327500 transcript:PVH35983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQVPDGYTGNVVLWARPTATAGELVAKPLQHAVGLINREVARINDGYFKSFIDFASSAAVEKERLVAAADAAEMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFVGDGSVDAYVPLFSRDMNTFKDCCYAME >PAN38613 pep chromosome:PHallii_v3.1:7:37341371:37342770:-1 gene:PAHAL_7G184700 transcript:PAN38613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIVIKVTMPNAKSRARAMELAAKASGVGSIGITGDLKDRLEVVGEGIDITCLVHCLRRKLCCHAEILQVEEVKDKKPEPEKKTEEPKPCPCPGQCRCAAVGYCHAPLPMVLCEDDPPAGSCCVM >PAN38612 pep chromosome:PHallii_v3.1:7:37341824:37342334:-1 gene:PAHAL_7G184700 transcript:PAN38612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKIVIKVTMPNAKSRARAMELAAKASGVGSIGITGDLKDRLEVVGEGIDITCLVHCLRRKLCCHAEILQVEEVKDKKPEPEKKTEEPKPCPCPGQCRCAAVGYCHAPLPMVLCEDDPPAGSCCVM >PAN38300 pep chromosome:PHallii_v3.1:7:36031509:36034070:1 gene:PAHAL_7G162100 transcript:PAN38300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDIESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAESRAVETAVAQAYADEIGIPFLETSAKESINVEEAFLAMSAAIKKSKVGSQAALDRKPSNLVQMKGQPLQQQQQQQKSRCCST >PAN37966 pep chromosome:PHallii_v3.1:7:34017026:34019682:-1 gene:PAHAL_7G137300 transcript:PAN37966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLAPPHGERGGRQRQETAAATGPSAAARQRSASFHGRGVEQRHQLQKQRPKTLPDLLAGVRRASFRSGSPPPRAGGETGRRTPSKVLVSVAVQRSLWPLHVMASAEWTVADLVAAAVALYVKEGRRPLLPSADPAAFGLHYSQFSLESLDPKAKVMELGSRSFFLCPKSSAAGQAASSSSSNGASKVSTVASGNAPALLSYMQFWPMM >PVH35853 pep chromosome:PHallii_v3.1:7:44357149:44360736:-1 gene:PAHAL_7G293300 transcript:PVH35853 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSDPDKLMTKADKLTKLSFTRWNADWKSATSLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAAALAKELGRWNEVSDFFRRASELYRECGRAQPASDALAKGASALEEKSPEEAIKMYDEACTILEEDGKEQMAFDLYRAAAALYIKMEKYSDAAAFFLRLGSAADKCNAMNSQCKAYLSVIIIYLYAHDFQQAQKCYNDCSDVKDFFSLFFPFPPYCRVQAFLNSDQNRCAMKLLSAYEEGDAEEIKRIGQSSAFNHLDHVVIRLARKLPTGDLQAIKKAADDGEGSLDEDDLT >PVH35852 pep chromosome:PHallii_v3.1:7:44356853:44360869:-1 gene:PAHAL_7G293300 transcript:PVH35852 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSDPDKLMTKADKLTKLSFTRWNADWKSATSLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAAALAKELGRWNEVSDFFRRASELYRECGRAQPASDALAKGASALEEKSPEEAIKMYDEACTILEEDGKEQMAFDLYRAAAALYIKMEKYSDAAAFFLRLGSAADKCNAMNSQCKAYLSVIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEEIKRIGQSSAFNHLDHVVIRLARKLPTGDLQAIKKAADDGEGSLDEDDLT >PVH34906 pep chromosome:PHallii_v3.1:7:19044072:19044230:-1 gene:PAHAL_7G062200 transcript:PVH34906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKRGNAIISSVQVINNVHISHLWSTKMTSNVTVYSSSFNDMGRVLPLHV >PAN37770 pep chromosome:PHallii_v3.1:7:32210122:32213459:-1 gene:PAHAL_7G120700 transcript:PAN37770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGACYSYHAAAADGPEAHRRKRRRTAAAEGSPAAPGAPAGCGGGLGDIFDELPDDLVVSILRDVAASAGSPADLAGAMLTCKRFRELGQSKVVLARASPRCLAVRAKAWSDDAHRFLQRCADAGNLEACYLLGMIRFYCLGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLIQANARELAAAVAASAAAPPPRAGSGNNSGGGKAASRRHSCLLSDFGCRAAAAAAAGEAHVANRFLVDWFATRPLVQAAGAAGAGAGTAAVSPEDDGALRLCSQELCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKMAHKAECTPMERWLDAAAVAHLDADADAAAAAVAPAP >PVH35669 pep chromosome:PHallii_v3.1:7:40834787:40835746:-1 gene:PAHAL_7G239300 transcript:PVH35669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRWPVRAARLDPGNGGRRPTADFLYADAAAPPPPPRRRQQQHAASRRVLQVNPRARLHEDAV >PAN38680 pep chromosome:PHallii_v3.1:7:37618154:37620092:1 gene:PAHAL_7G189100 transcript:PAN38680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPMRELAPVLPSDSAAAAAASAATVLSILRGADPDRLLPAAGIAPTPTILQHLRPALPTLPDLAIPALARWAGAATAVSLLASRGLFAAAWRFLLLQSPSSPPPPLAAFASLLRRYARLGRTTAAVRAFRFLRCNPDRYTVNNDGNGDDGSSSAAEVSPLILAVDALCKEGHPRAAAQLIEQLRREDPGWAPDVRIYNVLLNGWSRLRRLDKVEKLWVAMRHAGVRPTVVTYGTLIDAHCVMRRPDQAMGLLDQMREEGVEANLLTCNPIVYALAQAGRFGDAHKVLEKFPLYRVAPNISTFNSLVLGYCKHGDLAGASRVLKAMLGRGISPTARTYNYFFMVFARNCSIELGMNLYSKMVSNGYAPDRLTYHLLIKMLCEANRLELTLQMLQEMRNSGFEPDIATSTMLIHLLCRCHQFEEAFAEFEHMFERGIVPQYITYRMLMKELKRLGLVKLVQKLTDLMRSVPHSTKLPGSYRDKEGDDAIEKKKTILQKAQAVSDVLKERKDPKKQHELKNPEETDVQVADRIVANIRRRVYGDVSRMGPSVS >PAN39448 pep chromosome:PHallii_v3.1:7:41112471:41113466:1 gene:PAHAL_7G243400 transcript:PAN39448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEQVLPQVSSEACTCSDNSSSITSVNAASPSSSDDSGSAGGNKGTKRPRRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFENPEMAARAHDAAAVAIKGRAAHLNFPELAHELPRAASAAPKDVQAAAALAAATLVAAASPVVPSYNNADAADADTAADQAVEQYDYEQAAAPDFGIENAAPSCGGMGLDLAFLDVPDAPLDFGYMLSPLPLPPSYCGSPWDDIADELCFEEPLLLWEH >PAN38035 pep chromosome:PHallii_v3.1:7:34367923:34369996:1 gene:PAHAL_7G142000 transcript:PAN38035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELLTKFLTLLFGYAMPALECFKAIEQRPGRADQLRFWCEYWIILVLLVMFDEIAGVLISKVPMYYEVRLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPSIEARLQYLRANAGDILVFYLKNFTERGYDLFLRVMDYVRSQASRGSRTRSFFSFRGDRAERPSFTDDYAIGGERRDGGRHRRPRSGY >PAN38037 pep chromosome:PHallii_v3.1:7:34368041:34369996:1 gene:PAHAL_7G142000 transcript:PAN38037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALECFKAIEQRPGRADQLRFWCEYWIILVLLVMFDEIAGVLISKVPMYYEVRLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPSIEARLQYLRANAGDILVFYLKNFTERGYDLFLRVMDYVRSQASRGSRTRSFFSFRGDRAERPSFTDDYAIGGERRDGGRHRRPRSGY >PAN38036 pep chromosome:PHallii_v3.1:7:34368168:34369996:1 gene:PAHAL_7G142000 transcript:PAN38036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYEVRLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPSIEARLQYLRANAGDILVFYLKNFTERGYDLFLRVMDYVRSQASRGSRTRSFFSFRGDRAERPSFTDDYAIGGERRDGGRHRRPRSGY >PAN40335 pep chromosome:PHallii_v3.1:7:45163185:45164861:-1 gene:PAHAL_7G307400 transcript:PAN40335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKRKADPAESAAAAAAAAEPAAGNHRQEPAPSENKPRGTIYFPITDDPEPTASAEEDEDEVAVEDEDEAEDIGKLLEPLSREQLVSLLRTAAEASPVTMAAVRRAAEADPASRKLFVHGLGWGAGVDDLRSAFSRFGDLEDCRVISDKQSGRSKGYGFVLFRSRRAALRALRRPQLQIGGRLAFCHLAASGPAPPASQSQNPSSNANTNANSNSGTTSNASGSSSSQPDNMPRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKNTGKPKGFALFVYKSVESARRALEEPVRNFDGKMLNVQKAIDGRTKGSSGTNANANSNVTTASVAAAAQMTAPASAAISPYDASAYGTTAVTDLGFAQQAAMLGLGAQQQAFAQPNAMLAMIAAMQNPAALGMTPAMLAAMNPAFAAAALGAGGQQAHTAGLTGFGAQGFGTQAFGTGGATFPNAAGVQAAAAAYQGGGAPPGFQGPPGFQVGQATTQTSTAAAAASAAGYQAGAAGQGQVPGAQIGGTGFQGGY >PVH35085 pep chromosome:PHallii_v3.1:7:29562097:29563671:-1 gene:PAHAL_7G103100 transcript:PVH35085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAPEDRLSDLPDGVLGHVLSFLDAKQAGRAAVLSRRYRHAFAGVHTLSFLQEETSASSSTGASRCDRSYKLDEDEKRRNAEFVALVDAAVSCRRRCGAGPGLRAFCVVFDAFHHSLTGHVDRWLSAAARNGGAAAAVEEITVDACQQERGVCGRERPDWYFKGGPFGEPRPLKDESDGEDSDDFRDHNARHSAYRMPRWLYSSGAGAGAALRTLRLGSCFLDLPRHATLRFPSVETLALTCIPDSGRDIQRLVSSCGRLADLTLDSCRRVRAVAVLDKRLRRLALLCCHGARLAVDASDLRALEYKGPVPDESVLSFAGAPPAILSCDIEFCCKKACSEAELADLPGFLARFTAARWLRLGSSCLGASIDRTDPSGLTPLPCLRRLELKGGAARGCSAFGAVTRILEQTPNLEVLTLLILPNVEEIPRYRPEVTCDPMAGLDVPEVLPVIPCLRDRVREMNVVHYQGLVWQRRLLKLLLRVAGALEELYVVFPPGKFEVQSALMAEIESWVMHRPVKVTFG >PVH34723 pep chromosome:PHallii_v3.1:7:3351607:3352341:1 gene:PAHAL_7G016400 transcript:PVH34723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKLTQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN38403 pep chromosome:PHallii_v3.1:7:36472102:36474871:1 gene:PAHAL_7G169600 transcript:PAN38403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNNAEAGGGRRGTLAKVSLSSVSAAMAEASTYPLDALKTRLQLHRSPGGAGGGGVIRVAAELARDGGVYRGFSPAVLRHLMYTPLRIVGYEHLRSTLASGGREAGVAEKALAGGLSGVSAQVVASPADLIKVRMQADSRLLSQGIQPRYSGITDAFTKIIRAEGFLGLWKGVVPNAQRAFLVNIGELTCYDQAKHFIIRKQICDDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKEGKALYRNSYDCLVKTVRHEGITALWKGFLPTWARLGPWQFVFWVSYEKLRRASGISSF >PAN37600 pep chromosome:PHallii_v3.1:7:29476976:29478647:-1 gene:PAHAL_7G102500 transcript:PAN37600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAPASVPRMKLGSQGLEVSAQGLGCMGMSMAYGERKPEADMVALLRHAVAAGVTFLDTSDVYGPHTNEVLIGTALQGGVREKVQLATKFGITPDLMEVRGEPAYVRAACEGSLRRLGVDCIDLYYQHRIDIRVPVEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQVEWSLWSRDVEQDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVKELPDDDFRKNLPRFQPENLEKNALIFERVSELAARKGCTSSQLALAWVHHQGSDVCPIPGTTKINNFNQNLGALSVKLTPEEMAELESYAAMDDVQGDRYHSTFLNTWKDSDTPPLSSWKGN >PAN39650 pep chromosome:PHallii_v3.1:7:39497436:39500226:-1 gene:PAHAL_7G218700 transcript:PAN39650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKCGQVANARRVFDGIACPDVICWTSMIAGYHRVGRYQQALALFSRMVKMGSALDQVTCATIISTLASMGRLEDARTLLKKIDMPSTVAWNAVISSYVQGGLENEVFGLYKDMRRHGLRPTRSTFASVLSAAANMAAFDEGQQVHAAAVRHGLDANVFVGSSLINLYLKHGCISDAKKVFEFSTGKNNVMWNAMLYGFIQNELQEETIKMFQYMRRDDLEADDFTFVSVLGACINLDSLDLGRQVHCITIKNCMDADLFVANATLHMYSKLGAIDVAKELFSLIPYKDSVSWNALIVGLAQNEGEEEAVYMLKRMKLCGIAPDEVSLATAINACSNIRATETGKQIHCASIKYNVCSNHAVGSSLIDLYSKRGDIESSRKVLAQVDASSIVPRNAFITGLVQNNREDEAIELFHQVLKDGFRPSSFTFTSILSGCTGLINSIIGKQVHCYTLKSGLLNQDTSLGISLVGIYLKCKLLEDANRLLKEVSDHKNLVEWTAIISGYAQNGYSDQSLVMFWRMRGYDVRSDEATFASVLKACSEIAALADGKEIHGLIIKSGFVSYETAASALIDMYAKCGDVVSSFEIFKELKNKQDIMPWNSMIVGFAKNGYANEALLLFQKMRESQLKPDEVTFLGVLIACCHAGLISEGRNFFDSMSQDYGLMPRVDHYACLIDLLGRGGHLQEAQEVIDHLPFRADGVIWATYLAACRIHKDEKRGKVAAEKLVELEPQRSSTYVFLSSLHAAAGNWVEAKVARETMREKGVTKFPGCSWITVGNKTSLFVVQDTHHPDTLSIYEMLGNLTGMIKDDIIEEYDQLNLSGMLA >PAN40048 pep chromosome:PHallii_v3.1:7:44056659:44060884:1 gene:PAHAL_7G288000 transcript:PAN40048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVKLLAAAGSLPLKACLLGSAGQRLGSLPCGGTTTMLTQRSRASRPGVNNGVAQQVHKTLEVEERANSPAENFSRGISTQHNKGTSSDTTMREQLQQVDVLQNMGISRHFSGDIKRILERTYSCWLQRDEEIVRDVETCAMAFRILRMNGYNVSADELYHVAEASGFHPSLEGYLNDTRSLLELHKASKVSISEDESILDSIGSWSGCLLKEQLRSGGLQGTPLFREVEHALEFPFYTTLDRLDHRRNIENFDVTRDQMLKASYLSCYTNDDILALVIRDFSTCQVTYQEELRHLDSWVKESKLDQLSFARQKLAYFYLSAAGTIFTPELSDARILWAKNGVLTTVVDDFFDVGGSIEELENLVTLVEMWDEHHKVEFYSEQVEIVFSAIYTSVNELGEKASLLQDRDVTKHLVEIWLDLLRSMMTEVEWRINKYVPTAEEYITNAALTFALGPIVLPALYFVGPKIPESAVKNPEYNELFRLMSTCGRLLNDVQTYEREYSEGKVNSVSLLVLQSGGSMSIAEARREMQKPIDTCRRDLLRLVLRKEGAVPRRCKELFWKMCKVCYFFYSRGDAFSSPEAKAREVDAVVNLPLQLKGSNSAWLPVLWEKSNQHA >PVH35914 pep chromosome:PHallii_v3.1:7:45035995:45039538:1 gene:PAHAL_7G304400 transcript:PVH35914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTCSHLLGLLLISFFLVPPRAFAADGVADTFSKGRNITDNETLVSANGAFTMGFFSPGVSTKRYLGIWFSVSRDAVCWVANRERPLNDNSGVLMVSDTGSLLLLDGSGRIAWSSNSSSTSPVEAQLLDNGNLVVRNPGSATVLWHSFHHPSNVMLSGMKVGKDLSSGDEWYLTSWRSADDPSPGAFRRVLDTSGRPDNIVWQGSAKTFRTGPWNGVRFGGIPEVLTYQQDLFEYQMVISPREVTYGYNVKPGATFTYVVLTDNGEVKRLVWDATSRAWQTSYQGPRDVCDAYGKCGAFNLCNVSAASASFCGCIRGFRLASPWRIAGRCRRNVALSCAAGSTTDGFVPVPGVKLPDTHNASVDTGITVEECRARCLANCSCLAYAAADISAGGDGSGCIMWTDDLLDMRYVDRGQDLYLRLAESELQPPPPALPPSLPSRSRAPTGPVIGAVGSLVGILLVAFLLLVVIRRRRRRRPSNTASIILPTAPSTPDGFIQRTTPAPTVPSSELSSLKKATGDFSESNIIGRGGFGIVYEGHLPDGRKVAVKRLIMQSSLTDEGANAFMREVGVMSKLRHGNLLQLLSYCQDGKERILVYEYMKNRSLNIYIFGGDPRLRALLNWDRRLEIVRGVAKGVAYLHGLSEEVIHRDLKSSNILLDDHWRPKIADFGTAKLFVVDETDPTLIKSAGYTAPEYLSSERHLTLKCDVYSFGIILMEIISGKRNTATPALLSDAWESWSQGTISDLLDPAVAQPEPELLFELERCVQIGLLSVQQSPDDRPAMSAVVAMLNSNSLQIRAPKRPVLGSRTETPLHEAADRSTQEASGTSRSSYSVYLT >PAN38749 pep chromosome:PHallii_v3.1:7:38000326:38004923:1 gene:PAHAL_7G194800 transcript:PAN38749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFRPSLLSFLKFLPYFCGLLILGFIKGVLLCPWACLIMAVGLSALILGLWPMHLIWTYYCIIRTKLVGPVVKFLLLIAATAILIIWLIIGIPGSVFAGLVYGFLAPIMATFGAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDVKDLLFHSYFSIMDDLRLQKPPDGKPYEIRLLDIPGALIAAACGLLLDGVMFTLIAFYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAYGAVVAYQESSFIMGLAYVASSVSIFDEYTNDVLDMAAGSCFPRFKYRKSKDESSHGHNVPISRPSSFNKEKQEGKRPPSRVTSFKNSIDEFNPFKLLDHLFAECRHQGEELVNKGVITMKDIEETKSGKVGSGVLNVGLPAYVILNALLRSAKANSVGLILSDDSEITSDNRPRHTLFDWFFDPLMVIKEQIKAENFTEEEEEYLKMRVLLAGDPNRLKGALPEVPSLNERKKAEIDAFARRLQGITKSISRYPTAKRRFDVLVKALLSELERTMGGSLSTNGSQAQRLRNSVARMLSQKSMGKTANIRDKDLEAQITSSSRTP >PVH35291 pep chromosome:PHallii_v3.1:7:34401077:34404487:1 gene:PAHAL_7G142900 transcript:PVH35291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPAGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSPLLGGHGHGHHHYYPPSLSNALVAAFKRAQAHQRRGSVDSQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQVKANVEQAVCSTATTAATATPNSQNPNPSSTARTSPAHEAKAKLPVHQVRDDDVAAILDCLASRSKRKVVVVAESAAAAEAMAHAAVNKIKRAEAEAKHDALRGAQVVSLRVSSFRDVPREEAERRLGELRCLVKGRRQVLLVVEDLKWAAEFWAGHVQSGRRGYYCSVEHVVTELRALACGGEHPAGGLCWLLGFGTYQTYTKCRAGQPSLESLWGLQTLTVPAGSLALSLTCAFDDSALGTVNQSMKAGSDTDGNGPASCWPLLGGSQLISRCCGDCSAARIDAKAPLPRPFVSPSSTLPSWLQHCRDQEATHLTDLGKTWSSICSKPSQRMTLHFSAPVSPASSISSYEHGGDHHLQPRRSWLLGGLDATHPWKPKREASGKPARSHDSGASNGSVEVECRARFKELSAENLKLLCAALEKEVPWQKEIVPEVASTVLQCRSGIAKRRDRSRSADAKEETWMLFLGGDAEGKERVARGIANLVFGSRNNVVSIRPGASSSPSASGSSEEHRSKRPRTPPAGEPAAYLERLYEAISENPHRVIFMEDVEQAGRDCQLGIKEAIESGVVRNHAGDEVSVGDAVIILSCESIDDARSRACSPPSKKVKVELDEAKEERTGDHEHKEDGTSSSSPSCIDLNVNVESSDQAGEHGSGDLCLLTAVDRTLFFRRQE >PVH35971 pep chromosome:PHallii_v3.1:7:46414116:46418848:1 gene:PAHAL_7G325300 transcript:PVH35971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis protein CNX1 [Source:Projected from Arabidopsis thaliana (AT5G20990) UniProtKB/Swiss-Prot;Acc:Q39054] MGGCCLLREHREPSPSHETRKWPNSGDSATATSLILTSEAGLALLPRLRLAAAAASPHRSRHATRGNSLIIFFKKKQGKLAEWLSEHQPIQIALARRRSQKSRGKLKRGEKRREMLQVEEALAAVLSAAAPARAAPRDVPLHEALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGNDALGVVVAPGTVAYVTTGGPIPDGADAVVQVEDTEQVPAGADGSKRVRILVRAAEGQDIRNVGCDIEKDSIVLMSGEHIGPAEIGLLATVGVTTVKVYPRPTIAVFSTGDELVQPATATLSRGQIRDSNRAMLLAAAVQQKCKVVDLGIAQDTEESLKEHMDAALRSDADIILTSGGVSMGDRDLVKPCLAKMGKIHFEKIRMKPGKPLTFAEIITQDTSKPSKTVLAFGLPGNPVSCMVCFNLFVSPAIRLLSGWSNPHLQRVHVRLSHPLREDPHRTEFHRAVIRWVLDDGSGRPGYIAESTGHQASSRLLSMKSANALLEVPSTGQILAAGTSIQAILISDIISYPSDKPPAASDTRPSHFAPSSKSISMDVPQFAASQDAEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGATVVATAVVPDEVDKIKGILVQWSDVDHVNLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTFVMLQESLKITPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHTPHAAAAPVDQWERSYRAASAGSGRGCSCEP >PAN38177 pep chromosome:PHallii_v3.1:7:35285484:35290797:1 gene:PAHAL_7G152500 transcript:PAN38177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADQINHAREANPNERRFPFPSQMESLASQARPAAVLWLAGFLQAARLHRVVSFCASSRALSIRIAQCFLLNGLIFLGSLLTLKSVVIPTLLWILPEQCNQMGGQHLCDHKAAIAIYSFLRSGLVEIFYVFWFYPLYVFSFILSTLWYNDIAKHALDVVKRKSLDSTRALNAHNITEPGDQPEGFDRVALGIGEQVYSILLLTIFFVEVSVIGYVPYFGKAMNFLLLSLMYAYYCFEYKWNFFAVSLNNRLEFFESNWAFFAGFGAPCVLPIFFFSPLTSYGLMAILYPLFVLTAAGTQAEQVIDELKPTHEGKLQRIPVFFVAKRLTTQVLQLFPAVQKEE >PAN38858 pep chromosome:PHallii_v3.1:7:38447273:38448544:-1 gene:PAHAL_7G202800 transcript:PAN38858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPRIMAVLALGLALLCAAAGPAAAQNCGCQQGYCCSKYGYCGQGDPYCGEGCRSGPCYSGGGGGGGGRGANVATVVTDAFFNGIKNRAGGGCEGKNFYTRGAFLNAANKYSGFAHGGSEVEGKREIAAFFAHVTHETGHFCYISEINKGNSYCDASNRQWPCAAGKKYYGRGPLQISWNYNYGPAGRDNGFDGLGNPDAVAQDPVIAFKTALWFWMNNVHRVMPQGFGATTRAINGALECNGKNQAQMNARVGYYRQYCQQLGVDPGSNLTC >PVH35609 pep chromosome:PHallii_v3.1:7:39840444:39841704:-1 gene:PAHAL_7G224200 transcript:PVH35609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARLQQEWAIIIYRIKKASGCGVHSQEVVQGLTFYARLLTPPELTTALYIGVNDDALRGMKAEFGLGSGDPLIQIDEFRRFIFFSLVFGLRERGERNYYLVYDAVAASLSMIPYLPPECSVAYTVAPLQMIRGGVCELAILACRPGALTQDQMERQMERRKEDVVCVWPQAAAAWKVLGRNFPEQSIWNLFNADVMFSYGRKAFWVDLEQGLVHCDWPPADGAAVDFGFIGLPPGCPPDERWTRPTFEMSDMFSMTRDRTTAYVNGSIRFVWIDRSCPLGNVNISVWDLDPATKQWTKYKNFLAKVLWRLWSFKVGNLPDMELRFPILMADGSLCLLIHNMCKRREDPLEDYICNVELPNLKVCWWGRLNQYHASEHPVILPFDFFKLLNPLTPPQRNLRGIFTQ >PVH35716 pep chromosome:PHallii_v3.1:7:41687376:41687594:-1 gene:PAHAL_7G253800 transcript:PVH35716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLPVDGRFFQGLYALCPYCLCLYLSKATSLHSFGVDMIVDPCNYCFGQILIAPLQFTHLLLSYKYSIGSM >PVH34860 pep chromosome:PHallii_v3.1:7:13150786:13157129:-1 gene:PAHAL_7G047400 transcript:PVH34860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNLLSQINPTRHNWRIKVRITRMWQVSGTSKGKDFSSLELVLIDEEKDLNKFSKSVVEGHRYYIRNFQVSKQERKFKAIPSTYTNFFTSWTIIEEICSEVSVNLPRYIFNFVDYDDLDHRARHGQGLIDIIGQLTAVHPVVHSSSLNGPSARRELELRDLSDRLLSVTLWGEHATSFGPIQNTTSTAKEIQTTIQDVGYYAPCGPNLSKVLCSLHLQVPDLGVSSPKLTTWGIPLGAPRCQSNPATKWYINIDIPEVNAFRASLQGRGSEVLLLPGDGDAAAGGVDEENANRKTVSELLSLNPYDSNDVRFTCHASIKEIDVTNGWWYKGCSICKKGLKPTLQGFECTNCNETEPVVVVPRYKLNLVIEDATGRTKIFMFGGVAEQVVRRTAVELVEESSSNQILLPGALRALVGRSYVFQVVISVQTFRTGQLCFQARRVFMPPRIQRDDDGRSPSLTANSIDPGDESTPPPNSQSPTPEKKSSTKGKEAVSPGTREEQGNVLGKRSRTARKELLYSKKEKAREE >PAN39471 pep chromosome:PHallii_v3.1:7:41242986:41244065:-1 gene:PAHAL_7G245800 transcript:PAN39471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAAAAVRNHTAEGPAGLKRRRVAVGSTEQYEETGAFGTVVKARHRDTDRIVVIKRLGAAHGGPGAALREARFLEEACGGGANPFVVGFHGVVRAPGTLDLCLVMDCVGPSLHDVLRQLPRRAPPLPEATVRAAMWQLLTAAKQMHASRIVHRDVKPQNILVGEGRSVVKICDFGLAMSADERPPYQPAGTLWYMAPEMLLEKPDYDTQVDIWSLGCVMAELISNGRPLFQGFHGQGQLSAIFEVLGAPDDSTWPWFSSTAFATAVMPQLDVQRENHLRELFPETKLSKEGFEVLSGLLTCNPDKRLTAAAALEHPWFAKIDALALPNKVVVVSRLPKRRRIHHIHAVCVT >PVH35710 pep chromosome:PHallii_v3.1:7:41576181:41577349:1 gene:PAHAL_7G252200 transcript:PVH35710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPVYSITRAEIDKFWRRTEVEAEERRLAAEKEAARIMAKALKMEDYVLFEQMMGEILKEGNTGDGATAAMVPAAGGGTEARIIGIKHWWTRSTYAYLNAPALSMDEKGGSKHAITYVPQERCTMFFSSTPCQPYSTAFAIF >PVH34664 pep chromosome:PHallii_v3.1:7:687214:695904:1 gene:PAHAL_7G002400 transcript:PVH34664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSATKHAFKSILTSLPKPGGGEYGKFFSLPALNDPRIDRLPYSIRVLLESAIRHCDNFQVTESDVEKIIDWENTSPKQAEIPFKPARCILMDNTGVPAVVDLAAMRDMMPKLGCDPYKINPLIPVDAVIDHAVRVDVARTCDALERNQELEFDRNKERFAFLKWASTAFHNMQVFPPGSGTVHQVNLEYLARVVFNEDGIMYFDSVVGTDSHTTMVNSLGVAGWGVGGIEAVVAMLGQPMGMVLPGVVGFKLTGKLQDGVTTTDLVLTMTEMLRKHGAIGKFVEFYGVGVDELSLPARATIANMSPEYGATMGFFPVDQVTLDYLKLTGRSNETVSMVEAYLRANKMFVEHHETETNRVYSSYLELDLCKVEPCVAGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGYAVPKEEQGKVVKFDFHGQPAEIKHGSVVLAAICSSTNTSNPSVMIGAGLVAKKACELGLEVKPWVKTSLTPGSVVTSEYLKHSGLQEYLNQQGFHLAAHGCATCVGNSGDLDESVSAAITENDIVAAAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDKEAIGIGKDGKEVFLRNIWPSNQEVEQAIQSSVKTHLFRQVYESILKRNPRWNVLQVPEAALYPWDPNSTYIRKPPYFKGMSMSPPGPPTVKEAFCLLNLGDCITTDHISYSGKIPDGTPAARYLHECGVDPKNFSSYGGRRGNNEIVMRGAFANMRIVNKLLDGQAGPWTIHVPTGEKLYVFDAAMKYKSEGHDLVIIAGAEYGSGSSRDSAAKGPMLLGVKSVIAKSFERIHRSNLVGMGVIPLCFKEGKDADSLGLTGRERYTIHLPTNIAEISPGQDVTVTTDDGRSFACTLRLDTQLEVTYFNHGGILPYMVRNLAAGQGK >PAN38387 pep chromosome:PHallii_v3.1:7:36401653:36403291:-1 gene:PAHAL_7G168600 transcript:PAN38387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPTWVFGYGSLIWNPGFAYDARVVGFVRDHRRVFYQGSTDHRGTPQFPGRTVTLEHQPGATCWGIAYKIREEDKQTALEYLEVREKQYDEKIHLDLYTDSSPKVPAVENVTVYLATTNKESNKNYLGPAPLEEMARQIYLAEGPSGPNKEYVFKLEDALNKLGVVDPHVQELANAVREYSDAKLSK >PAN40146 pep chromosome:PHallii_v3.1:7:44437711:44449089:-1 gene:PAHAL_7G294700 transcript:PAN40146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDPLDWYCQPVKHGAWSHVVENAFGAYTPCGIDTLVVCISFLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQPGLAPFEIISLIIESAAWCCMLVMILLETRIYIYEFRWYIRFVVIYVLIGEAAMFNLVLSVRQYYSSSSIFYLYCSEIVCQFLFGILMVVYLPSLDPYPGYTPIRNEALVDNTDYEPLPGGEQICPERHVNIFSRIFFSWMTPLMQQGYKRPITDKDIWKLDSWDETETLYSRFQKCWNDELRKPKPWLLRALHSSLWGRFWLGGFFKIGNDASQFVGPLILNLLLESMQNGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNDSRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMVLLYAQLGPAALVGALMLVLLFPVQTVIISKMQKLTKEGLQRTDKRISLMNEVLAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPELPAISIKNGYFSWESQAERPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVVLRGSVAYVPQVSWIFNATVRDNILFGSPFQAPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQVEEKQDESKSQDVAKQTENGDIVIVDGGSKKSQDDSNKTKPGKSVLIKQEERETGVVSGKVLSRYKNALGGMWVVSILFFCYALTEVLRISSSTWLSIWTDQGSLKIHGAGYYNLIYGILSFGQVLVTLSNSYWLIISSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAVFVNMFMAQISQLLSTFVLIGFVSTMSLWAIMPLLILFYAAYLYYQATSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGRSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDHRPPPGWPSSGVIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGQVLEFDSPENLLSNEESAFSKMVQSTGPSNAEYLKSLVFGSVEERSRREEIKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLALEAAEGNNILRRTKDAVITLQSVLEGKHNTEIDESLNQYQVPADRWWSSLYKVIEGLAMMSRLGRNRLQQPSYNFENNGSIDWDQM >PAN40145 pep chromosome:PHallii_v3.1:7:44437387:44450399:-1 gene:PAHAL_7G294700 transcript:PAN40145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDPLDWYCQPVKHGAWSHVVENAFGAYTPCGIDTLVVCISFLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQPGLAPFEIISLIIESAAWCCMLVMILLETRIYIYEFRWYIRFVVIYVLIGEAAMFNLVLSVRQYYSSSSIFYLYCSEIVCQFLFGILMVVYLPSLDPYPGYTPIRNEALVDNTDYEPLPGGEQICPERHVNIFSRIFFSWMTPLMQQGYKRPITDKDIWKLDSWDETETLYSRFQKCWNDELRKPKPWLLRALHSSLWGRFWLGGFFKIGNDASQFVGPLILNLLLESMQNGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNDSRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMVLLYAQLGPAALVGALMLVLLFPVQTVIISKMQKLTKEGLQRTDKRISLMNEVLAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPELPAISIKNGYFSWESQAERPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVVLRGSVAYVPQVSWIFNATVRDNILFGSPFQAPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQVEEKQDESKSQDVAKQTENGDIVIVDGGSKKSQDDSNKTKPGKSVLIKQEERETGVVSGKVLSRYKNALGGMWVVSILFFCYALTEVLRISSSTWLSIWTDQGSLKIHGAGYYNLIYGILSFGQVLVTLSNSYWLIISSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAVFVNMFMAQISQLLSTFVLIGFVSTMSLWAIMPLLILFYAAYLYYQATSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGRSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDHRPPPGWPSSGVIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGQVLEFDSPENLLSNEESAFSKMVQSTGPSNAEYLKSLVFGSVEERSRREEIKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLALEAAEGNNILRRTKDAVITLQSVLEGKHNTEIDESLNQYQVPADRWWSSLYKVIEGLAMMSRLGRNRLQQPSYNFENNGSIDWDQM >PAN40144 pep chromosome:PHallii_v3.1:7:44437387:44451080:-1 gene:PAHAL_7G294700 transcript:PAN40144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDPLDWYCQPVKHGAWSHVVENAFGAYTPCGIDTLVVCISFLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQPGLAPFEIISLIIESAAWCCMLVMILLETRIYIYEFRWYIRFVVIYVLIGEAAMFNLVLSVRQYYSSSSIFYLYCSEIVCQFLFGILMVVYLPSLDPYPGYTPIRNEALVDNTDYEPLPGGEQICPERHVNIFSRIFFSWMTPLMQQGYKRPITDKDIWKLDSWDETETLYSRFQKCWNDELRKPKPWLLRALHSSLWGRFWLGGFFKIGNDASQFVGPLILNLLLESMQNGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNDSRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMVLLYAQLGPAALVGALMLVLLFPVQTVIISKMQKLTKEGLQRTDKRISLMNEVLAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPELPAISIKNGYFSWESQAERPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVVLRGSVAYVPQVSWIFNATVRDNILFGSPFQAPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQVEEKQDESKSQDVAKQTENGDIVIVDGGSKKSQDDSNKTKPGKSVLIKQEERETGVVSGKVLSRYKNALGGMWVVSILFFCYALTEVLRISSSTWLSIWTDQGSLKIHGAGYYNLIYGILSFGQVLVTLSNSYWLIISSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAVFVNMFMAQISQLLSTFVLIGFVSTMSLWAIMPLLILFYAAYLYYQATSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGRSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDHRPPPGWPSSGVIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGQVLEFDSPENLLSNEESAFSKMVQSTGPSNAEYLKSLVFGSVEERSRREEIKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLALEAAEGNNILRRTKDAVITLQSVLEGKHNTEIDESLNQYQVPADRWWSSLYKVIEGLAMMSRLGRNRLQQPSYNFENNGSIDWDQM >PAN40147 pep chromosome:PHallii_v3.1:7:44437375:44449698:-1 gene:PAHAL_7G294700 transcript:PAN40147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDPLDWYCQPVKHGAWSHVVENAFGAYTPCGIDTLVVCISFLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQPGLAPFEIISLIIESAAWCCMLVMILLETRIYIYEFRWYIRFVVIYVLIGEAAMFNLVLSVRQYYSSSSIFYLYCSEIVCQFLFGILMVVYLPSLDPYPGYTPIRNEALVDNTDYEPLPGGEQICPERHVNIFSRIFFSWMTPLMQQGYKRPITDKDIWKLDSWDETETLYSRFQKCWNDELRKPKPWLLRALHSSLWGRFWLGGFFKIGNDASQFVGPLILNLLLESMQNGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNDSRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMVLLYAQLGPAALVGALMLVLLFPVQTVIISKMQKLTKEGLQRTDKRISLMNEVLAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPELPAISIKNGYFSWESQAERPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVVLRGSVAYVPQVSWIFNATVRDNILFGSPFQAPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQVEEKQDESKSQDVAKQTENGDIVIVDGGSKKSQDDSNKTKPGKSVLIKQEERETGVVSGKVLSRYKNALGGMWVVSILFFCYALTEVLRISSSTWLSIWTDQGSLKIHGAGYYNLIYGILSFGQVLVTLSNSYWLIISSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAVFVNMFMAQISQLLSTFVLIGFVSTMSLWAIMPLLILFYAAYLYYQATSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGRSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDHRPPPGWPSSGVIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGQVLEFDSPENLLSNEESAFSKMVQSTGPSNAEYLKSLVFGSVEERSRREEIKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLALEAAEGNNILRRTKDAVITLQSVLEGKHNTEIDESLNQYQVPADRWWSSLYKVIEGLAMMSRLGRNRLQQPSYNFENNGSIDWDQM >PVH35587 pep chromosome:PHallii_v3.1:7:39521210:39526296:-1 gene:PAHAL_7G219200 transcript:PVH35587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVVGGKFKLGKKIGSGSFGELYLAVNVQNGEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCGRKFSLKTVLMLADQMISRVEYMHTKGFLHRDIKPDNFLMGLGRKANQVFVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPTEFTAYFHYCRSLRFEDKPDYSYLRRLFRDLFIREGYQLDYVFDWTIMKYPQFRDKSKLQSSGKTSGLAGPSAERTERTAAEALFRRTGSGSGHNREHTKHRSLLESLIPSKAVDSDRTRPSSSSRNGSTSRRAVLSSSKPSSSGDPSDPNRTGRSRPSTTAQRAHHLGGAEIRSSSLSKIGRSSHDDAVRNFELLSIGADRRK >PAN39073 pep chromosome:PHallii_v3.1:7:39521505:39525792:-1 gene:PAHAL_7G219200 transcript:PAN39073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVVGGKFKLGKKIGSGSFGELYLAVNVQNGEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCGRKFSLKTVLMLADQMISRVEYMHTKGFLHRDIKPDNFLMGLGRKANQVFVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPTEFTAYFHYCRSLRFEDKPDYSYLRRLFRDLFIREGYQLDYVFDWTIMKYPQFRDKSKLQSSGKTSGLAGPSAERTERTAAEALFRRTGSGSGHNREHTKHRSLLESLIPSKVAVDSDRTRPSSSSRNGSTSRRAVLSSSKPSSSGDPSDPNRTGRSRPSTTAQRAHHLGGAEIRSSSLSKIGRSSHDDAVRNFELLSIGADRRK >PAN38103 pep chromosome:PHallii_v3.1:7:34934870:34937820:1 gene:PAHAL_7G147300 transcript:PAN38103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLLGLVLFWLLFSQQHTPSCSIATDTLSPGRSFASDERLVSSNGKFALGFFQTGTSNVLNWYLSIWFNKIPKLTPVWTANRDHPISGSASPELIIASDGNLVVSAQGAIIWSTEANITANGTTAAVLLDSGNLVLRSSSNSSRIFWESFDYPTDTMLPGVKVGRNKVTGLNRRLVSRKNSIDQGSGVFSTEMGLDGIGRISWNSSVIYWSSGEWNGKFFNSEPEMSAGSSSSNYTFVNNSQEVYFTYNMVNENIIVLSMLDVNGQRKLRVWTGQDWISISNQPKYHCDVYAVCGPFTVCTSDADPFCNCMKGFSVRSPEDWGIEDRTGGCIRNTPLNCGRGGGNKTAMTDKFYSMPGIRLPQNGTIIPSASSVNQCAQVCLSNCSCTAYSYGKDGCSIWHDELLNVATDDNGEMLYLRLAAQELQNRKRNKSGMIIGTAIGASIPTLAGFVFLVVIWRRRNKKWSIHKMDDDQGGVGIIAFRYVDLESATKKFSEKLGTGGFGSVFKGWLSDSVAIAVKRLDGVQQGEKQFRAEVNSIGIIQHINVVKLIGFCCEGDRRLLVYEHMPNGSLDSHLFQSHGAILDWNVRYQIALGVARGLGYLHHGCRDCIIHCDIKPQNILLDTSFVPKIADFGMAKFLGRDFSRVVTTMRGTFGYLAPEWISGTPITPKVDVYSYGMVLLEIISGKRNSPKQSCSDDRADYYPVQVAHKLLHGDILSLVDANLHGDVNMEEVERVCKVACWCIQDNEFDRPTMAEVVQFLEGTCEPEMPPVPRLLHAIAGGSHSTGL >PVH35617 pep chromosome:PHallii_v3.1:7:39949464:39950327:-1 gene:PAHAL_7G225700 transcript:PVH35617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRVAAPIGFSVHRQAKTRTGATSDEPSYWLWRQLPRVHTVSPPSIRARGDDGGENGWETRSVGKARAINAAGRRARRPSR >PVH35596 pep chromosome:PHallii_v3.1:7:39667116:39669567:-1 gene:PAHAL_7G221300 transcript:PVH35596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDIRSHDARLSPYRFSDVHNKFTVIGCNTLTYIKDRHGTAYQSGCVSTCQNLTDLTNGSCSGMGCCQTAIPKGMHYYEVGFDSGFNTSQIWNFSRCSYAVLMEAESFNFSTTYISTTKFNDTHTGRVPLVMDWAIRNGTMSLSCEEAKLNKTGTYACLSSNSGCVNPRNGPGYLCNCTKGYEGNPYLPDGCHDTDECKKSPCPSGGVCHNTIGGYRCSCRVGLKFSEQNNSCDLDTSLIIGVTLSSAGGILFLAAIVAVLTRRWQRIVQKRLRKIYFRKNKGILLEQLVSLDHNASDGTKIFSLNELEKATNNFDQTRVVGRGGHGTVYKGILSDQRVVAIKRSKVKESIEIEQFINEVAILSQINHRNVVKLHGCCLETEVPLLVYEFISNGTLYDLLQCEQNGILLPLSWEERLRIAVEVAGALAYLHSAASMAILHRDIKCMNILLNDSYTAKVSDFGASKSIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILLELLTRKKPIIENGNGERQNLSSFFFEELGKRPLDEVVDREILEEASEEAIMSMARLAEECLSLTREERPTMKDVEMRLQMLRARQGVASRKRRDDEVTRRREAVGANMINGAIPVAAASNHGSRWYSLEQEFVLSCVPR >PVH34904 pep chromosome:PHallii_v3.1:7:18863555:18864359:-1 gene:PAHAL_7G061800 transcript:PVH34904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNVHGPSSTFPINRLTFKISTASIHWTQKKKRYCIRAYICVLLEVLLSCCVKMSSPDDSKSCDAPKTEWPELVGCTIKDAKERIKADRPDLNVVITPVGTMVDQMIDPNRVRLWVDIVAKVPKIG >PAN40802 pep chromosome:PHallii_v3.1:7:47408353:47419426:-1 gene:PAHAL_7G342000 transcript:PAN40802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium efflux antiporter, Chloroplast development, Drought toleranc [Source: Projected from Oryza sativa (Os04g0682800)] MDLSTFASGVGPSRAAPRPGLQIGAAGNAFRNCSLRRARHRSGGGGGGNGNLMVASALRGGGGGLFYLAPMHGSPLVFRIRGRPAPPRCQGNDSLAYVDGPLEGTKGSGEVNDDEATSSVSDDDEKGGPSSGREVDVDGLREMLQRLRKELEVARLNSTMFEEKAQRISESAIALKDRADSAQRDVSAAVATVQEIISKEADAKEAVQKSTMALSMAEARLQLAAEELEVKRGSVGPMEVSFEGVEEESLASAQEEIKDCRVVMWKCEEELRHIQEKKMELQKEVDRLTELAEKALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALHKAEKAISSVDTVVELPSPAEEQKSAEEDSVSEGYEYSSDGMDDVSVRDEVSNIERLMVGDLAVEGIEQLEPSREISDEASSDKMLVEPQKEAEPDVDKSKQGKKQETERKEYTKEPLSAPKALLKRSSRFFSASFFSSKVDGEFTPTSVFRGFMTSVQKQAPKLVVGILLLGAGAFVLNRAEKSQLFQQQGITTSIGEVTSTTKPIVREIRQIPQRVKKLIELLPHQEVNEEEASLFDVLYLLLASVIFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGVIAHRFAALPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAVAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIFGKTLLVTFVGRLFGVSTIAAIRVGLMLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALNKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIQETINEFRNRHLSELTELCATSGSSLGYGFSRVMPMSKSKSYTSDDESETVDGALAI >PAN37609 pep chromosome:PHallii_v3.1:7:29420603:29423039:1 gene:PAHAL_7G101900 transcript:PAN37609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALVAVPRMKLGSQGLEVSAQGLGCMGMSAYYGPPKPEPDMIALIRHSVAAGVTLLDTADSYGPHTNELLLGKALQGGVREKVDLATKFGVSFVDGGKPDFRGDPAYVRAACEGSLRRLGVECIDLYYQHRIDTRVPIEVTIGELKKLVGEGKIKYIGLSEASASTIRRAHAVHPITAVELEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKLMDSLSEQDVRKNWPRFQHENLDKNLQIFERVNEMAKRKACTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVGALSVKLTPDEMSELESYAAADNVQGDRHPQAAYTWKNSETPPLSS >PVH35154 pep chromosome:PHallii_v3.1:7:31094007:31097304:-1 gene:PAHAL_7G112500 transcript:PVH35154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDWPLNNNDGQGNSLNPGNETGHLNWLNNLEEYDMDALMGAADHVNTDQDDEDDEEHHLQGGQSSKSGKRFTAVQIQGLESLYQRYAHPDDSMRKELGARIGLDARQIKFWFQNRRTKIKVKAVGDENKDIQQENAQLQAENMELQQKLLCGTCRDPNEKWRLLNENAKLKDTKRRAQEYLIKLIHVARIPHSETLENLESASLNLVPFTDDCSTNQDTLLSYTERALYEFVMLAGKGELMWLPTTDGKILNDQEYRCHTFPGLLGPCPQGFVMEGTKATTLFRGTAFDLVGLLTDVSRWSKIFPGIIAGVRASNNVSSGNFTSLDGLIQEMNVDLWVKSPRAPNRNVKFLRVSKQIQNSQWVVVDVSMDGIRGIEPDGSRIGYLSCRLLPSGCLLRDMSNGLCELGASRWLASLQRQCEYMAILHSGHSSGAAMSALGRKSVLELSQRMMASFYTAVSKPVALDPSNIVNEWCGICNIGTNMFQATVRMVIWNYSTVGQPSTLVLSATTTVWLPGTPPQRIHEYLCNGQCRGEWDRFAYDGAVQGLSSIVTSRQLRGNVVSVLHPNDVLHQMNSNMLIVQEATSDLSCSLVVYSFIEKNMMRAIMDGGDNTTAFLLPSGFAILPDGHVNHAAADAASSSNVPTSQNGPAGSLLTAAYQALLSSSAANHAAWTMDDAGNRVCQAISKILAAVGANIAIPA >PVH35124 pep chromosome:PHallii_v3.1:7:30695714:30697894:1 gene:PAHAL_7G109900 transcript:PVH35124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERAKLCPANGLSVIVVDEDKHHANSTRSMLCTLNYHATAYTSPIKALEFLEGHAQEVDLALVAVHMEELHGFQFLDIVSDAHKNVQVIMMSNETTMDTMKRCIKLGARFLVNKPIDAHTINNLWQHLDLKDYSRMDYIKNLLQGNGEAHDLSYLKENTKTKKGYICWNEYLHRKFLRALEILGEGAASPRNIEILMNVEGVNRKHIASHLQCRHRASTSKSAKRQEGPNTSLNSLDIQQEEMTADEDMPHAKTGSFSDNNNAHAAMQRSIQFGTIYDESEYFYYSSGDEATEDGVDMMEDDGTSASSFTAQVSTAETFSAEGTKDILNNNSSKKQDRHGDKVGKAIKLVDYSESEDDEI >PAN39284 pep chromosome:PHallii_v3.1:7:40425122:40429102:-1 gene:PAHAL_7G233100 transcript:PAN39284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEAKKVEVEVAQEPEAAPAAEPAKEAKEDVAEEKAVIPASEPPVAEEKPADDSKALAIVEKVADEPAAEKPAAEKQGSSNDRDLALARMETEKRNSLIKAWEENEKTKAENKATKKISSILSWENTKKANIEAELKKIEEQLEKKKAEYAEKMKNKIAIIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >PAN37269 pep chromosome:PHallii_v3.1:7:13771062:13786286:1 gene:PAHAL_7G048600 transcript:PAN37269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGLAAAASKVLPLLPNRQRSSAGTTFPSASSRPSTRRKSRTRSLRDGGDGVSDAKKHNQSVRQGLAGIIDLPNEATSEVDISHGSEDPRGPAESYQMNGIINETHNGRHASVSKVVEFCAALGGKAPIHSILVANNGMAAAKFMRSIRTWANDTFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERVGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMNALGDKVGSALIAQAAGVPTLSWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKALEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAGQVAVGMGIPLWQIPEIRRFYGMDYGGGYDIWRKTAALATPFNFDEVDSQWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGLSRSAAITNMVLALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANAATVSEYVSYLTKGQIPPKHISLVNSTVNLNIEGSKYTIETVRTGHGSYRLRMNDSAIEANVQSLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCLLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVMMSEGQALQAGDLIARLDLDDPSAVKRAEPFDGMFPQMGLPVAASNQVHKRYAASLNAARMVLAGYEHNINEVVQDLVCCLDDPELPFLQWDELMSVLATRLPRNLKSELEDKYKEYKLNFYRGENKDFPSKLLRDIIEANLAYGSEKEKAANERLVEPLMSLLKSYDGGRESHAHFVVKSLFEEYLAVEELFSDGIQSDVIETLRHQHSKDLLKVVDIVLSHQGVRNKAKLVTALMEKLVYPNPAAYRDLLVRFSSLNHKRYYKLALKASELLEQTKLSELCASIARSLSDLGMHKGEMTIKDSMEDLVSAPLPVEDALISLFDYSDPTVQQKVIETYISRLYQPLLVKDSIQMKFKESGAFALWEFSGGHVDTKNGQGAVLDRKRWGAMVVLKSLESVRTDIVAALKDSAQHDSSEGNMMHIALLSAENENNISSDDQAQHRMEKLTKILKDTSIANDLRAAGLKVISCIVQRDEARMPMRHSFLWSDEKSCYDEEQILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQPNAGNKFTSAQIGDTEVGGPTESLSFTSNSILRSLMTAIEELELHAIRTGHSHMYLCILKEQKLLDLIPFSGSAIVDVGQDEATACSLLKSMALKIHELVGAQMHHLSVCQWEVKLKLDCDGPASGTWRVVTTNVTSHTCTVDIYREVEDTESQKLVYHSASSPAGPLHGSALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETALQKSWQSNGSSVSKGSENSKSYVKATELVFAEKHGSWGTPIIPMERPAGLNDIGMVAWILEMSTPEFPNGRQIIVVANDITFRAGSFGPREDAFFEAVTNLACERKLPLIYLAANSGARIGIADEVKSCFRVGWSDEGSPERGFQYIYLTEEDYARISSSVIAHKLQLDSGEIRWIIDSVVGKEDGLGVENINGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVYNILRWLSYVPANIGGPLPITKPLDPPDRPVAYIPENTCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLVPADPGQLDSHERSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAGELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELMNLKAELQGAKLGNGSLPDIESLQKSIEARTKQLLPIYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRGIAGDHFTHQSAVELIKEWYLASQAKIGSTEWDDDDAFVAWKDNPENYKGYIQNLRAQKVSQSLSDLADSSLDLEAFSQGLSTLLNKMDPSQRAKLVQEVNKVLG >PAN37270 pep chromosome:PHallii_v3.1:7:13771062:13786286:1 gene:PAHAL_7G048600 transcript:PAN37270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGLAAAASKVLPLLPNRQRSSAGTTFPSASSRPSTRRKSRTRSLRDGGDGVSDAKKHNQSVRQGLAGIIDLPNEATSEVDISHGSEDPRGPAESYQMNGIINETHNGRHASVSKVVEFCAALGGKAPIHSILVANNGMAAAKFMRSIRTWANDTFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERVGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMNALGDKVGSALIAQAAGVPTLSWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKALEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAGQVAVGMGIPLWQIPEIRRFYGMDYGGGYDIWRKTAALATPFNFDEVDSQWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGLSRSAAITNMVLALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANAATVSEYVSYLTKGQIPPKHISLVNSTVNLNIEGSKYTIETVRTGHGSYRLRMNDSAIEANVQSLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCLLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVMMSEGQALQAGDLIARLDLDDPSAVKRAEPFDGMFPQMGLPVAASNQVHKRYAASLNAARMVLAGYEHNINEVVQDLVCCLDDPELPFLQWDELMSVLATRLPRNLKSELEDKYKEYKLNFYRGENKDFPSKLLRDIIEANLAYGSEKEKAANERLVEPLMSLLKSYDGGRESHAHFVVKSLFEEYLAVEELFSDGIQSDVIETLRHQHSKDLLKVVDIVLSHQGVRNKAKLVTALMEKLVYPNPAAYRDLLVRFSSLNHKRYYKLALKASELLEQTKLSELCASIARSLSDLGMHKGEMTIKDSMEDLVSAPLPVEDALISLFDYSDPTVQQKVIETYISRLYQPLLVKDSIQMKFKESGAFALWEFSGGHVDTKNGQGAVLDRKRWGAMVVLKSLESVRTDIVAALKDSAQHDSSEGNMMHIALLSAENENNISDDQAQHRMEKLTKILKDTSIANDLRAAGLKVISCIVQRDEARMPMRHSFLWSDEKSCYDEEQILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQPNAGNKFTSAQIGDTEVGGPTESLSFTSNSILRSLMTAIEELELHAIRTGHSHMYLCILKEQKLLDLIPFSGSAIVDVGQDEATACSLLKSMALKIHELVGAQMHHLSVCQWEVKLKLDCDGPASGTWRVVTTNVTSHTCTVDIYREVEDTESQKLVYHSASSPAGPLHGSALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETALQKSWQSNGSSVSKGSENSKSYVKATELVFAEKHGSWGTPIIPMERPAGLNDIGMVAWILEMSTPEFPNGRQIIVVANDITFRAGSFGPREDAFFEAVTNLACERKLPLIYLAANSGARIGIADEVKSCFRVGWSDEGSPERGFQYIYLTEEDYARISSSVIAHKLQLDSGEIRWIIDSVVGKEDGLGVENINGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVYNILRWLSYVPANIGGPLPITKPLDPPDRPVAYIPENTCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLVPADPGQLDSHERSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAGELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELMNLKAELQGAKLGNGSLPDIESLQKSIEARTKQLLPIYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRGIAGDHFTHQSAVELIKEWYLASQAKIGSTEWDDDDAFVAWKDNPENYKGYIQNLRAQKVSQSLSDLADSSLDLEAFSQGLSTLLNKMDPSQRAKLVQEVNKVLG >PVH35961 pep chromosome:PHallii_v3.1:7:46221748:46223454:-1 gene:PAHAL_7G322300 transcript:PVH35961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPMAPPPPARQDVRSPPPLPPPLTVDVAVIAGVITGALLALFLFLIYAKHCKHRGHGGGRPGLGIGFAPSSCDRCRSGLSGSAVGALPAVRFGDAGGAGVGAGRATECAVCLGAFDAAELLRVLPGCRHAFHAECVDTWLLAHSTCPVCRRRVGRGDVDVDVSIAIVPEPEPAARREGPGDLAPVAGMVVPGQRSAADAELQPAVHHRPSDQRWSTDGLVDRVAYLEAARHRRDLGILVVSSSSSHGSRGSPSAVTPTPRSR >PAN38761 pep chromosome:PHallii_v3.1:7:38049424:38052205:1 gene:PAHAL_7G195700 transcript:PAN38761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAGEDGKQEPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDDMAALYESLAADGVLEMDAALLAEMRARIDEEIRKFDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFTYDTFIFYTVLTSVISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFIGFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >PAN37235 pep chromosome:PHallii_v3.1:7:9576556:9577239:-1 gene:PAHAL_7G037600 transcript:PAN37235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSATVVLLILMNLMFTIPYFGHATNGIKPEETTLLPNSYGRDGGTSIAGGMTLGRKLIAETSLNSASVSTDSSRVVSVNEYNNFISHIRRP >PAN40562 pep chromosome:PHallii_v3.1:7:46388387:46389754:-1 gene:PAHAL_7G324900 transcript:PAN40562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTVLKVDTSCAKCKRKVLQAVSGLKGVDKIEVDSEKGTMTVTGTVDPVDVIVQARKAGRRASVVTIGPPPKPAEEKKPEQQNKGDGKKPEQHKTDEKKLAGDAEKKAPEQPATVFVHHVPSWPPCPRYQERVVYEQDPPPCSIM >PAN39520 pep chromosome:PHallii_v3.1:7:41415493:41419211:-1 gene:PAHAL_7G248900 transcript:PAN39520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAVVAVPFPAQGHLNQLLHLSLQLAARGLPVHYAAPAEHVRQARARVHGWGDDALRRVQFHELAISEYASPPPDPAAGSPFPSHLMPLWEAFTASARAPVAALLREASASHRRVVVLYDLMNGFVAEEAARLPNGEGYALHGTAVSSIIGRVEDGSRLLRERGLEYLPIDHYVTEEFMEYLLKRMRAEQSMVASAGVIANSCRALEGEFIDFLAQQMAAGGKKLFTIGPLNPLLDASAPEQSSRSQHGCLQWLDKQPPASVLYVSFGSMSSLRGEQIEELAAALRGSNQRFIWVLRDADRGNVFADDGESRHAKFLSEFTKQTQGTGLLITDWAPQLEILAHPATAAFVSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCGYLEAGFLVRPCEKHAEVIPAATIQQVVERMMASDEGRAVRQRAADVGEAVRASLAAGGSSQKDFEDFVAHITR >PAN39973 pep chromosome:PHallii_v3.1:7:43640029:43640657:-1 gene:PAHAL_7G281900 transcript:PAN39973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAVRALCGAEKERVVGTHKASGACPRCGGAVVATDVESERRILGLPLCLKNKRKYSCTRCLRRLVTLYS >PAN40026 pep chromosome:PHallii_v3.1:7:43947054:43947359:-1 gene:PAHAL_7G286000 transcript:PAN40026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISAVMTCFAVMEYALARTNSCLLEFACLRKGTRLDAKIICCFLGLAGKRLHFFMPSLLSERDYAPILLSSWFFFMQPQKLGKRYMEIKRGFLIRLLVRG >PAN40109 pep chromosome:PHallii_v3.1:7:44313474:44317174:1 gene:PAHAL_7G292500 transcript:PAN40109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRFVSTSPARLSYTQTSPVPKSLGRDCGRVPAFPARPRGLSRRLRSSPVMAAAAGVGGNGSPAAPGDSTGSSRIGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLQSLGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLDIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEACFKAFARALRQATEYDLRRHGTVPSSKGVLSRS >PVH35856 pep chromosome:PHallii_v3.1:7:44432832:44437181:1 gene:PAHAL_7G294600 transcript:PVH35856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVMHRSSSDGGSSSGWSDAAAAVSAAAEDRAGWEVRPSGMVVQAREDGAPPRPPPPEIRVRVKYGGARHEVPVSPIATFWQLKKLLASRTGLQPADQQLTYKGRARGNTEYLDACGVKNKSKLVLAEDPASLERRYIERQKNAKIESANRAIGAIALEVDKLADQVTSIEKSISRGNKVAEVQITTLIELLMRHAVKLESIPAVGDSSSQRNIQAKRVQKCVETLDVLKVSNARLQAVVVTTKWETFEAPATTQWELFD >PVH34926 pep chromosome:PHallii_v3.1:7:20273219:20281556:1 gene:PAHAL_7G067000 transcript:PVH34926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGPSLVDRFVKFIRRGGDVMEGLQLVAILLAGKTAETLTSHHYEFQGQKLGMRIHSALIAAVYRKSLRLSTGARRAHGTGAIVNYMEVDAEEVSDATHQLHNLWLMPLQIVVALALLYTHLGPAVLTAIAAIVVVTVVVAFANKLNIEYQSKFLGKRDERMKAITELLNYIRVIKLQAWEETFGAKIRDLREEELGWLAKSMYFMCANTIVLWSGPLAMTVLVFGTCVLTGVKLDAGKVFTATAFFHMLDGPMQSFPEAIAALTQATVSLGRLDRFLLEAELDDAAVERVDDTGIGPGEVVVAVRDGVFAWDMRGKKESEEEEGDDDDHESEDEEEEGEDDDDDDEEEEKDVEPTPVLETVLKDIIMEVRRGELVAVVGTVGSGKSSLLSCIMGEMEKVSGMVRVCGSTAYVAQTAWIQSGTIQENILFGQQMHPERYKEIIRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAAYQNCSIYLLDDVFSAVDAHTGSSIFKECIRGMLKGKTIVLVTHQVDFLRNVDNIFVMRDGMIAQSGKYDELIEAGSDFASFVAAHDSSMELMEQSQPVEKTEHSLRSTSIGKGEKAVVAPDIEAGTSKIIQEEERESGQVSWRVYELYMTEAWGWWGVVGMIGLALVWQGSDLASDYWLSYKLSGSTPFDPSMFFGVYVAVALFAMVLEVIKTLLETVFGLKTAQIFFKKMFDSILRAPMSFFDTTPSGRILSRASADQTTIDDVLAFFVGLTISMYISVLSTVIVTCQVAWPSVIAVIPLLLLNIWYKNRYLETSRELTRLEGVTNAPVIDHFSETVLGATTIRCFKKEKEFFQKSLLGINSGLRMSFHSCAATEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSHGLALNSLVYFAISTSCMLENDMIAVERVNQFSNLPSEAPWKIEGNLPSPNWPTHGDIDIKDLKVRYRPNTPLILKGINVSISGGEKIGIVGRTGSGKSTLIQALFRLIEPTEGKMIIDGIDICTLGLQDLRSRFGIIPQEPVLFEGTIRNNIDPIGQYSDAEIWQALKRCQLKNVVASKPEKLDAPVADGGENWSVGQRQLLCLGRVILKRTRILFMDEATASVDSQTDATIQKITRREFKSCTIISIAHRIPTVMDCDRVLVLDEGLVKEFDAPSRLMEQPSLFGAMVQEYGNRSSDL >PVH35900 pep chromosome:PHallii_v3.1:7:44915121:44917309:1 gene:PAHAL_7G302800 transcript:PVH35900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEERKKTACVTGGTGRALILNCVPEDKEANSHLEDLKKLGTLEVFRADLGEEGSYDEAVAGCDYAFLLAAPKELIELGVQGTLNVMRSCVKAGTVKRVILTSSTAAVSSRSLEGDGHVLDEESFSDVEYLLAKRTGLWAYSVSKVLLEKAASRFAAEHGISLVTLCPSVTVGEAPDRQAELNVLRGIERASGSVPLVHVRDVCRDEIFAAEAEAAAGRYICNGLDTTILEISRFLAGKYPQYDVNTDISGDLLAKPVALLPSTKLEKEGVRVRVQDAGAHLQRHGGVRQGPGDPAQLIPCVLGSAIYQYPRKISPCACFLSRQNAK >PVH35194 pep chromosome:PHallii_v3.1:7:32497204:32507137:1 gene:PAHAL_7G123400 transcript:PVH35194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEELTFQLLREITDGFSKERKVGEGAFGAVYRGVTKNGEDVAVKRLRDGNQDIEYRHAQFRNEFYNLTKVKHKNIVQFLGYCYEIEHTNIECDGKIVIVEKIHRALCFEYLHNGSLQNHLSDESCGLDWRTRYKIIKGTCEGLKYIHKDLEESLLHLDIKPDNILLDKNMVPKIADFGLSRIFGDELTRTTQSPLGTLGYQPPEYIDRGEISEKFDIFSLGVVIIRIVSGLEGYSKHLDMPSDEFIDLVNRNWRKRWQATCSGGFLVEACCHQVETCTKMALNCLEKDSQNRPDIFMIINKLNEIETGINELPQKRRKTGYGMTMHNHLKLTKEHKVITDQHQDSKSMISSRSNLITSGNTKEISLDVREELIVGREMKKINMATLLGSMPEKIIILPIYGIAGIGKTTFAKLIYNDTNFKYYSQAWVYVSPTFDLDKIGKSIVSQLSEKENQVDEIQHISSCLTKLLSGKKIMIVLDDLWENNTFQLEDLKAILNPGDSVKIIVLVTTRSAHIAQKICCNIEPYKIESLTDKMCWDIIKRKGDFEARHDKEKLVRIGKEIARKCGGVALAAQTLGSMLQSMKYDQWVIVKDSDIWNETISKDASLPNHVLASLKLSYISMDDCLKSCFTYCAIFPKGHKIVKYDLIYQWISLGFIKPTKIFSTSQLCEKYIAHLMGLSFLQHSVSPTNKQANTAGSSCCYALLADCSKPLEWCTSSPARLSALHFLDCRRTELHGAAFEPAESLRVLDLSKCSIQKLPDSIGRLKQLRYLNAPRIRDRMVPERITKLSNLRYLSLRGSCAILALPESIGEMESLVHLDLSGCLGIERLPESFGNLKTLEHMDFTNCKNVTGVSQCLARLTKLQYLNLSNCKHIGRLPRELASLTELQYLNLSDSSYLSGNELDEAEYLGSLTKLKYLNLSSSNQPCINRLPEALGRLTELKYLNLSHHFTMKKLPASFGNLYNLVHLDLSGCSLLQGVTAALNGLTKLQYLDLYAYFSFHPKKGKKVLCNLSELRHLNLGCPIRSMSPSDQDKINGLLEWICTLTNLEYLNLCYNDDISSIPETIVNLRKLHTLDLTYCHRLQRLPASITEIESLKFLHTKGCRQLVLDKSTLPQHTSSSENSPYFVVHNYVGESSRHPFRVEYGNHGRLKISRLESMRSAKEAQTIKLVEKTNITRLALHWTRDAIRIVDDAEVLRELEPPYSVSEFCLEGYNSVIFPSWVMRVGAYLPGLASIDFSDLPSCNNLPPLGQLPNLERLKMRRMDSIKKIDADLYGGTGAFPRLEHFRIDGMKCLEEWNTAYSSDEDGFVFPCLNFVEIRHCPRLRFKPRLPPSIHDLRVDSSDEVMLSGGDAVAMGASTATRLYVECCVVPLHRWSLLRHLPCLERLTIINCSDLTCSSTEFPQGLNSLKILTVDGCKGVASLAESLGDLTSLTELGVLNCRDIRTLPDTMSKLTCLQTLIVQGCESITSLPEWLEDLTSLMELEIGNCRGIKTLPGTIQKLTHLQRLEVFGCPELIRWCESGENEMKLAHIQEKNFLPGLLSDEESGTYE >PAN40073 pep chromosome:PHallii_v3.1:7:44150896:44156427:-1 gene:PAHAL_7G290000 transcript:PAN40073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSQGEVTSRGSMWELDQNLDQPMDEEAGRLKNMYREKKFSSALLLRLAFQSLGVVFGDLGTSPLYVFYNIFPRGVDNDEDVVGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRQTYEENSVSAKIKRWLEAHAYKRNILLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNQNMSTDVVVLVAVVILIGLFSMQHYGTDKVGWLFAPIVLLWFVLIGSVGAVNIHKYDKSVLKAYNPVYIYWFFRRRWNSDIWTSLGGVMLSITGTEALFADLCHFPVLAIQIAFTLIVFPCLLLAYTGQAAYISSHKKHVADAFYRSIPDAIYWPAFVIATAAAIVASQATISATYSIIKQALALGCFPRVKIVHTSKKFLGQIYIPDINWVLLVLCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWVLVVTFIVLSLMVEVPYFVACILKIDQGGWVPLVIATAFFLIMYVWHYCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFLVRRIGPKNFHMFRCVARYGYKDLHKRDEDFEKMLFDCVLFFVRLESMMEGYSDSDEFSVPELGTGGGGGPLTSSASAFLGEKTCSTMCSNGELSFSSQDSIVPAQSPPSLRGMTGSSLLTRCSEGQASTVGDELEFLNRCKDAGVVHILGNTIVRARRDSGVVKKLAVDYMYAFMRRMCRENSVIFNVPHESLLNVGQIYYI >PAN40179 pep chromosome:PHallii_v3.1:7:44635709:44643905:-1 gene:PAHAL_7G297600 transcript:PAN40179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMALRAQSPLCSRGRPVLVVRPAAAATSLAQSTIGRSRFTGGRLVRCMVSSSDYPKKNPRRTSTPMPKGTASRGYASRPTAESSTKKIEQSSTFEGDLGKSNGALHGEATEQASTAEESSEIDLPGNDASSAEKDEAETKEEAGQNQSSASSSTSLDDESIDRKLDEYRGKISALVSSKPEPSSFAGVRGQDKPLVGTHDQEKSITGPEEHDSSTVDEPRKGRPFAEAIVGYPVKDAEEEPPVSEDGEPRIIKDQKQYEPDIQAPVEDGVDPEVLKRRLQELADENYSVGNKCFVFPDVVKSDSMIDLYLNRSMSALASEPDVLIKGAFNGWRWNSFTENLHKSELRGDWWCCKLYIPKQAYRLDFVFFNGGTVYENNNHNDFFLEIESDIDEHSFEDFLVEEKQKELERLAAEEAERKREAEEERRREEERAAMEADLAQAKSEVEMKKEKLHQMLSSASRYADNLWYIEPNTYKGGDRVRLYYNRSSRPLMHNNEIWMHGGYNNWIDGLSISERLVKSHEKDGDWWYAEITLPERALVLDWVFADGPPGTARNYDNNGRQDFHAIVPNNTLEDMFWVEEEHRIFRRLQQERREREDADRLKAERSAKMKAEMKEKTMRAFLISQKHIVYTEPLEVRAGTTVDVFYNPSNTVLNGKPEVWFRGSFNRWTHPSGPLPPQKMVKAENGSHLRATVRVPLDAYKMDFVFSESEDGGIYDNRNGMDYHIPVSDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYQQSFAWGGTEIKVWFGKVEDLPVYFLEPQNGMFWVGCVYGKNDESRFGFFCHSALEFLLQKGSAPDIIHCHDWSSAPVAWLYKEQYALNGLGNGRVVFTIHNLEFGAHHIGKAMAHCDKATTVSDTYSKEVAGHGAIAPHYFKFHGIRNGIDPDIWDPYTDNFIPVHYTSENVVEGKSAAKKALQQMLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDPRIQGDFTNLASQLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAQAQGLEPNGFSFEGADSSGVDYALDRAISTFYDARDWFNSLCKRVMEQDWSWNRPALDYMELYHSARKN >PAN38117 pep chromosome:PHallii_v3.1:7:35005504:35006358:-1 gene:PAHAL_7G148600 transcript:PAN38117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKHHHHLFHHRKEEESSGEVDYEKKEKHHKHLEQLGGLGAIAAGAYALHEKHKAKKDPENEHGHRIKEEVAAVAAVGSAGFAFHEHHEKKDAKKHGHN >PAN39714 pep chromosome:PHallii_v3.1:7:42453023:42453570:1 gene:PAHAL_7G263400 transcript:PAN39714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGMSSGGGRSSLGYLFEPEETNPYHTTAKSNQETEKTTDTNRSSAKDENKMIGAEADQESPQLPPPKREVSNPILSSSRPPCNIYHTSQLSYNNSGFLISDRPSTRVRCAPGGPSSLGFLFGEEHEK >PVH35746 pep chromosome:PHallii_v3.1:7:42452873:42453968:1 gene:PAHAL_7G263400 transcript:PVH35746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGMSSGGGRSSLGYLFEPEETNPYHTTAKSNQETEKTTDTNRSSAKDENKMIGAEADQESPQLPPPKREVSNPILSSSRPPCNIYHTSQTDHRRGYAVHQEDRRRLGFCSERSMRNDCLDLVRANLQESMAACVCTDFLGFHHSIGKTI >PAN40120 pep chromosome:PHallii_v3.1:7:44344621:44346472:1 gene:PAHAL_7G293100 transcript:PAN40120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRLTDEGQRLYNLGDGEFEDQFLKKGFGGTTVFLHKKMCTCFMVIYRT >PAN40332 pep chromosome:PHallii_v3.1:7:45151388:45154744:-1 gene:PAHAL_7G307200 transcript:PAN40332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAFSEDILADKLAKLNNTQQCIETLSHWCIYHRKNAEQIVQTWDKQFHSSSKEQQVPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDDRGKKVASRLVDIWQERRVFGSRAGGIKDVMLGAAPLPVLDMTKKRSHISAIKIVKRDSRSIKLRLGVGGTAEKIVSALHTVHSEQADEDADLEKCKTSMRCVGKLEKDVDSACSKAEDPRREVLCAELKDEEANMKKCIEKLKVVEANRAAVVSELKEALQEQESELEKVRTQLQLAEAMVHEASNMQRRLKNEPTIPLAHAASSAEPGKALPNGQAKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRSASDKRQKTDQTLQVPSVANAAAFVPMPQVVATTAQQQPQAMLVQQAPMQSQAPAPHPQYNMYQAPSQQFVQQPGGVMMGMPYNMSTMTPPPPPPPTQMMNLGRPSLTAPQPQMGIMPQTQPPAPPMLQQQMPMSLAPQMQFALQQPGVPPFRPMQPPPPGMQYFHPQSQ >PVH35822 pep chromosome:PHallii_v3.1:7:43975119:43976258:1 gene:PAHAL_7G286400 transcript:PVH35822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHRRSDSVKISNVSLAPEGRVAPSSPHPKSHPLSNYFDCSSRSCCSLPSPPRHPSRARYLLFQHPPAPAHAPRALHQWDGPGRREGQRRRPTVTPSRCGEAGARPTRAALALPSDSDEKGGDGSSRVEEKGAPSSTHARHAWLSSLRRLGTPRSAMWRAPPRRARLAASSARAAAREGIRASSGAAAHEAASKL >PAN38912 pep chromosome:PHallii_v3.1:7:38661417:38662974:1 gene:PAHAL_7G206900 transcript:PAN38912 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase, Polyamine biosynthesis, Salt and drought stresses, Abiotic stres [Source: Projected from Oryza sativa (Os04g0498600)] MAVLSAADAPPVSAIGFEGYEKRLEITFSEAPVFVDPHGRGLRTLSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYPLKIVIKTCGTTKLLLTIPRILELAEELSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAALNRYFGGLKSGGNAYVIGDPARPGQKWHIYYATEYPEQPMVNLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTQLSGISEVIPEMEICDFDFEPCGYSMNAIHDSAFSTIHVTPEDGFSYASYEVMGFDATALAYGDLVKRVLRCFGPSEFSVAVTIFGGRGQAATWGKKLDADVYDCNNMVEQELPGGGLLIYQSFSAAEYAVVGSPKSVLHCFERENMENAAPVKDGKLANLLCWEEEDTMEEKDGVLVE >PVH36009 pep chromosome:PHallii_v3.1:7:47148667:47150764:-1 gene:PAHAL_7G337700 transcript:PVH36009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSWWPPKPPWSRQPTASSGAQRGADRRRGALPHDPHRPPSRRINLLAMCSRCGGVRHSADAISWNTRVAERELFFSVVGG >PVH35049 pep chromosome:PHallii_v3.1:7:27330374:27335042:1 gene:PAHAL_7G093900 transcript:PVH35049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRRVSLPLLAIIGCCACLVGCRAQLPVPARTDGFVYGGKPPAWGETVVVEAFFDPVCPDSRDAWPALKKAVEHYGSRVSVVVHLFPLPYHSYAFIACRSIHTVNKLNPLFVYPLLEKFFKYQEGYYNQPTYTKSRATVVDEITKNLVVSIIGESNLSAYKAGFNDSQSDQAARISFKNGCARGVAGTPYFFVNGIPLSYSGSPLEYKYWISILDPLVGKM >PVH35305 pep chromosome:PHallii_v3.1:7:34978517:34980796:1 gene:PAHAL_7G147900 transcript:PVH35305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09820) UniProtKB/Swiss-Prot;Acc:Q5M755] MAATVLVPPAHCHRSTAATTSGRGGAGVISHCTLPPARRRRGSRAVRAAAPPEQSGVSLAGASGHGGDVKAALYRALEGVDRGIFGMTSAQRSEVHRLVELLESRNPTPEPTAELREKVDGCWKLIYSTISILGKKRTKLGLRDFISLGDFLQIIDVEEEKAVNVIKFSARALKILSGKLTIEASYSVTSKTRVDIKLESSTITPEQLMNIFQKNYDMLLAIFNPEGWLEITYVDESLRIGRDDKENIFVLERADPSEV >PAN39811 pep chromosome:PHallii_v3.1:7:40253768:40257317:-1 gene:PAHAL_7G229900 transcript:PAN39811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyol transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G20780) UniProtKB/Swiss-Prot;Acc:Q0WUU6] MADAGAAANVGRNKYAVLDRSEELDGRRRPPAPESERRRTERFVYACAVFASLNAILLGYDVGVMSGCIIYIQKDLHITEFQQEILVGCLSVVSLLGSLSGGRTSDVIGRKWTMGLGAIVFQLGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSGVYIAEISPAGARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLVMEKRVSEARAVLLQISESEAEVEERLAEIEEAAGLMKSMKSEEKEVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFKDAGIKSDQELLAATVAVGFTKTAFILVAIFLIDKVGRKPLLYVSTIGMTVCLFLLGVALTLQKHDAGLMSPRIGIDLAIFAVCGNVAFFSIGIGPICWVLSSEVFPLRLRAQGSALGQVGGRVSSGLVSMSFLSMARAISVAGMFFVFAAISVVSVLFVYFCVPETKGKTLEEIEMMFESGDEWRGGEIELEDTQHLIPSTKKSVPLG >PAN39604 pep chromosome:PHallii_v3.1:7:41791978:41801135:-1 gene:PAHAL_7G255000 transcript:PAN39604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKKLVEKASKKQNFGSISSLRAEDVSPRLAFHYGVPADASLLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPLPSRFLQFAEGQGVLLNVNTQNQIEVWGIDTKKLCYVHPFEKGITAFSVLQKSFYIYVGDSSGNVFLLKLDLAQRCLADMPYWIPCAESYGSSASVGNDVEVIFVSPQPMSESNRVLIIFRDGVMSLWDIKASKVVSTSGKTMQQQSHQEAKTVASACWVCAKGSKIAMGYDNGDLYIWAIPEVIINAQNSSSMGNQNLPIQRLNLGYKLDKLPIVSLKWISSDGKAGRLYINGFNDHGHLFQVLILNEESESRIVKMVLPLTESCQGMELITGLSDPNKNRQSALVLLLKSGQMCLYDDSEIERYLLHSQSRSPPTLPNCSSVKLPYGDSGISVAKIYTSNPAALASVDEEYFSLASKYPWLFSMKDKGQTLTSFTNIHKTRNLYITGHLDGTISFWDASCPLLLQIFMIKQQNEDNTSSGNPITSLQFDMPSSILISGDTSGMVRIITFKKDSADNIFSFLNAKQGDNYNVRSIKLKGAVTTTSSISKSKHFAAGTEKGIVSVINVEDATILYQKQFECRLSGGIASLQFEIYGHNGYDKDILIIAMEDSSIFILEEETGKLLNPNPVQTDKPSKALLLQMLELSPNDASVSDNHDTVSKESLLLLCTDNAIRLFSLSHAIQGTKKIINKKKFSSSCCFTSLIHSSSDEIGLILVFSNGRIEIRSLPDLSLLKDASLRGFVYSRNLNSTSSIACSSDGETILIKGEETYFFSTLCQDDIYRHLDSISTVYRKDTSPREESSLMVKSPKEKKKGLFGMIMKDNKGSKSKESDANGSEQCIATTSEELASIFSSANFAPPSERRNSSLKDEENVELDIDDIDIDDIPQKQRGPHFPGLSKETISKGLQTLRGKLKPKTEEKTSSGNKKTEDEAPVSQVDQIKMKYGYANAANDDSTSVPKMIGNKLQENMKKLEGINLRAADMATGAQSFSAMAKELLRTTKNEKGTS >PAN39603 pep chromosome:PHallii_v3.1:7:41791773:41801802:-1 gene:PAHAL_7G255000 transcript:PAN39603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKKLVEKASKKQNFGSISSLRAEDVSPRLAFHYGVPADASLLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPLPSRFLQFAEGQGVLLNVNTQNQIEVWGIDTKKLCYVHPFEKGITAFSVLQKSFYIYVGDSSGNVFLLKLDLAQRCLADMPYWIPCAESYGSSASVGNDVEVIFVSPQPMSESNRVLIIFRDGVMSLWDIKASKVVSTSGKTMQQQSHQEAKTVASACWVCAKGSKIAMGYDNGDLYIWAIPEVIINAQNSSSMGNQNLPIQRLNLGYKLDKLPIVSLKWISSDGKAGRLYINGFNDHGHLFQVLILNEESESRIVKMVLPLTESCQGMELITGLSDPNKNRQSALVLLLKSGQMCLYDDSEIERYLLHSQSRSPPTLPNCSSVKLPYGDSGISVAKIYTSNPAALASVDEEYFSLASKYPWLFSMKDKGQTLTSFTNIHKTRNLYITGHLDGTISFWDASCPLLLQIFMIKQQNEDNTSSGNPITSLQFDMPSSILISGDTSGMVRIITFKKDSADNIFSFLNAKQGDNYNVRSIKLKGAVTTTSSISKSKHFAAGTEKGIVSVINVEDATILYQKQFECRLSGGIASLQFEIYGHNGYDKDILIIAMEDSSIFILEEETGKLLNPNPVQTDKPSKALLLQMLVSDNHDTVSKESLLLLCTDNAIRLFSLSHAIQGTKKIINKKKFSSSCCFTSLIHSSSDEIGLILVFSNGRIEIRSLPDLSLLKDASLRGFVYSRNLNSTSSIACSSDGETILIKGEETYFFSTLCQDDIYRHLDSISTVYRKDTSPREESSLMVKSPKEKKKGLFGMIMKDNKGSKSKESDANGSEQCIATTSEELASIFSSANFAPPSERRNSSLKDEENVELDIDDIDIDDIPQKQRGPHFPGLSKETISKGLQTLRGKLKPKTEEKTSSGNKKTEDEAPVSQVDQIKMKYGYANAANDDSTSVPKMIGNKLQENMKKLEGINLRAADMATGAQSFSAMAKELLRTTKNEKGTS >PAN39192 pep chromosome:PHallii_v3.1:7:40073456:40075380:-1 gene:PAHAL_7G227000 transcript:PAN39192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARACNHVLRLPLNPVTTPLPGGRSRGARCRTLAVYAQLPTEDDAYPTEPLKKAQVAQSARRSRRRGAGGARQSLVSVGTARGGGDQWSSDFDLTMRQLRLDDLIEDGQRDADVLVHLLVQQHTQFGMSIKGRVLTSFRKICDSCSSPYCTNIDEKFNLTVLSSSRRDQSGLPYLGDSDPSVIYVRPGEEVDLDSVIQETVRLTASAKSSCSEACEKSTVVWQYGSNQRKKSSSQRWSKLLDLKKTLDKAPK >PVH35519 pep chromosome:PHallii_v3.1:7:38652690:38654850:-1 gene:PAHAL_7G206700 transcript:PVH35519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIELKTAPADFRFPTTNQTRHCFARYIEYHRCVNDKGDETADCEKFAKYYRSLCPGEWVEKWNEQRENGTFAGPL >PVH35843 pep chromosome:PHallii_v3.1:7:44175550:44180902:1 gene:PAHAL_7G290400 transcript:PVH35843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIARRLLRSNASAQASSLVKYVTSTATLQGQAECLSDASIRHFSSAPSAQSDSTEENGFKGHGMLAPFTAGWQSNDLHPLIIERSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTRPSLDLAQEIISMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIADEVITAFGRLGTMFGSDYYNIKPDLVSLAKALSNAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERDIPGHVRQIAPKFQDGIRAFADSPIIGEIRGIGMIMGTEFTNNKSPTDLFPAEWGVGAIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGEVDELVSIYGEALKATEARVAELKSKRN >PVH35844 pep chromosome:PHallii_v3.1:7:44175966:44180902:1 gene:PAHAL_7G290400 transcript:PVH35844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPFTAGWQSNDLHPLIIERSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTRPSLDLAQEIISMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIADEVITAFGRLGTMFGSDYYNIKPDLVSLAKALSNAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERDIPGHVRQIAPKFQDGIRAFADSPIIGEIRGIGMIMGTEFTNNKSPTDLFPAEWGVGAIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGEVDELVSIYGEALKATEARVAELKSKRN >PAN40079 pep chromosome:PHallii_v3.1:7:44175623:44180897:1 gene:PAHAL_7G290400 transcript:PAN40079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIARRLLRSNASAQASSLVKYVTSTATLQGQAECLSDASIRHFSSAPSAQSDSTEENGFKGHGMLAPFTAGWQSNDLHPLIIERSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTRPSLDLAQEIISMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIADEVITAFGRLGTMFGSDYYNIKPDLVSLAKALSNAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERDIPGHVRQIAPKFQDGIRAFADSPIIGEIRGIGMIMGTEFTNNKSPTDLFPAEWGVGAIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGEVDELVSIYGEALKATEARVAELKSKRN >PAN39711 pep chromosome:PHallii_v3.1:7:42439348:42442432:-1 gene:PAHAL_7G263200 transcript:PAN39711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSAELLSRIAAGDGHGENSSYFDGWKAYDINPFDLHRNPDGVIQMGLAENQLSLDLIEEWSINHPEASICTAQGASQFRRIANFQDYHGLPEFREAMAKFMGQVRGGKVTFDPDRIVMSGGATGAQDTLAFCLADPGDAYLVPTPYYPAFDRDCCWRSGIKLLPIECHSSNNFALTREALVAAYDGARSQGIRVKGILVTNPSNPLGTIMGRGTLAMLAEFATEHRVHLICDEIYAGSVFAKPDFVSIAEVVERDVPGCDMDLIHIVYSLSKDFGLPGFRVGIIYSYNDAVVACARKMSSFGLVSSQTQYFLARMLSDSEFMARFLAESARRLAARHERFTSGLRDVGISCLPGNAGLFSWMDLRSMLRDKTPEAELELWRVIIHKVKLNVSPGTSFHCGEPGWFRVCHANMDDETMEVALDRIRSFVQQEHKAKAKRWAARGPLRLSLPRRGGATASHLALSSPLALMSPQSPMVHAS >PAN39712 pep chromosome:PHallii_v3.1:7:42439591:42442373:-1 gene:PAHAL_7G263200 transcript:PAN39712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSAELLSRIAAGDGHGENSSYFDGWKAYDINPFDLHRNPDGVIQMGLAENQLSLDLIEEWSINHPEASICTAQGASQFRRIANFQDYHGLPEFREAMAKFMGQVRGGKVTFDPDRIVMSGGATGAQDTLAFCLADPGDAYLVPTPYYPAFDRDCCWRSGIKLLPIECHSSNNFALTREALVAAYDGARSQGIRVKGILVTNPSNPLGTIMGRGTLAMLAEFATEHRVHLICDEIYAGSVFAKPDFVSIAEVVERDVPGCDMDLIHIVYSLSKDFGLPGFRVGIIYSYNDAVVACARKMSSFGLVSSQTQYFLARMLSDSEFMARFLAESARRLAARHERFTSGLRDVGISCLPGNAGLFSWMDLRSMLRDKTPEAELELWRVIIHKVKLNVSPGTSFHCGEPGWFRVCHANMDDETMEVALDRIRSFVQQEHKAKAKRWAARGPLRLSLPRRGGATASHLALSSPLALMSPQSPMVHAS >PAN38619 pep chromosome:PHallii_v3.1:7:37355412:37360072:-1 gene:PAHAL_7G185100 transcript:PAN38619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g63370, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63370) UniProtKB/Swiss-Prot;Acc:Q9M1V3] MSVRLPGASKPATAMATAALSLPPLPHRTPPPAAPASSPPHSTSASLRRLCKEGDLRQALRLLTARAPPPREHYGWVLDLVAARRAAAEGRQVHAHALATGSLEEDDDGFLATKLVFMYGRCGRVDDARRLFDGMPERTVFSWNALVGAYLSSGSAVEAVRVFRVMRASAAPGSAPDGCTLASVLKACGVEGDGRCGHEVHGLAVKSGLDKSTLVVNALIGMYAKCGMLDSALQVFEWLHDGRDVASWNSVITGCVQNGRTLEALELFRGMQSSGCGMNSYTAVGVLQVCAELALLNLGRELHAALLKCGSEFNIQFNALLVMYAKCGRVDSALRVFRQIDEKDYISWNSMLSCYVQNGLYAEAIGFFGEMLHHGFQPDHACVVSLSSTLGHLGWLNNGREVHAYAIKQRLHTDLQVGNTLMDMYIKCDSIECSAKVFESMSIRDHISWTTILACFAQSSRHFEALEMFREVQKQGIKVDSMMIGSILEICRGLKSLSLLKQVHSYALRNGLLDLILKNRLIDIYGDCREVCNSLNIFQTIEKKDIVTWTSMINCCANNGLLNEAVSLFTEMQKANIEPDSVSLVSILVAIAGLSSLTKGKQVHGFLIRRNFPIEGPIVSSLVDMYSGCGSLNYASKVFYGIKCKDVVLWTAMINATGMHGHGKQAIDIFERMLHTGLTPDHVCFLALLHACSHSKLVDEGKYYLDMMLSKYRLKPWQEHYACVVDILGRSGRTEEAYRFIESMPMEPTSVVWCALLGACRVHKNHDLAVVAANKLLELEPDNPGNYILVSNVFAAMGKWNDVKEVRTRMAELGLRKDPACSWIEIGNNIHTFTARDHSHRDSEAINLKLAEITEKLRKKGYTEDTRFVLHDVSEEEKIDMLHKHSERLAIAFGLISTHPGTPLRIAKNLRVCGDCHEFTKLVSKLFERDIMVRDANRFHHFSGGSCSCGDFW >PAN37860 pep chromosome:PHallii_v3.1:7:33000951:33003388:-1 gene:PAHAL_7G128400 transcript:PAN37860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKSKVKKEVNAPLGPPPPKRGLKFAPKVPVKKAAKLVPKKEPVEDSKDETINKELLMKLKASQITDPFARRIKTEEKPKSRTQVAFGQGNSSYARSFLIPKSSSDEAKLPKEYAEPWVRKDQITNEDCFLFRSYPLVSFFLLQDYTHTDYPVSLPLRRPYSGNPEILDEEEFGESSASRAQDAELTAAEELGLMDRSDESQLLLVQLPCSLPLPMQPQSVSEPNKGSKETREGMKLKELPAGYMGKILVYRSGKVKMKIGDILFDVSSGSDCKFVQEVAAINTREKHCCTVGEISKRAVITPNIGCLLGSANKMEE >PAN37859 pep chromosome:PHallii_v3.1:7:32999956:33004009:-1 gene:PAHAL_7G128400 transcript:PAN37859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKSKVKKEVNAPLGPPPPKRGLKFAPKVPVKKAAKLVPKKEPVEDSKDETINKELLMKLKASQITDPFARRIKTEEKPKSRTQVAFGQGNSSYARSFLIPKSSSDEAKLPKEYAEPWDYTHTDYPVSLPLRRPYSGNPEILDEEEFGESSASRAQDAELTAAEELGLMDRSDESQLLLVQLPCSLPLPMQPQSVSEPNKGSKETREGMKLKELPAGYMGKILVYRSGKVKMKIGDILFDVSSGSDCKFVQEVAAINTREKHCCTVGEISKRAVITPNIGCLLGSANKMEE >PAN40487 pep chromosome:PHallii_v3.1:7:45939550:45941985:-1 gene:PAHAL_7G319100 transcript:PAN40487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEARPVPTADSNQEAPVLPPEVITEILARLPAKSVGRFRCVSRAWCAMLSTAYFVDLHLRCANRPDHPRLLLTAVGSGYDGHLHSWRPGGAVEKLKPDDFSDGVIVPVTKPCRGLILVRGAGYCGYFVCNPSTGDVLALPDTEAPMKTTQRTKLFQPHPPPLFFDVSYGLGYCTVRKEFKVVRLFCDPEIETGLAIATNCEVFVLDRPAYWRPTAEEPPLRWVVENKPAVFLNGYLHFLCHDGSIVTFRISDETFGLLPPPSCFENAASVMTELDGCLCLCYGEPDSEDLYHVSFLRGYNEARWETLCSIDRTTWLETERMLLDSLWMAPLGIYFSDGGQKIMFGTGACKVFAVDVDGSAPQILFTPDDTIIGSCEDDNLPVLGLYDESLVPVGRTIEEIISSSPRAEAWFDILKWLPVRSVLELSLVCREWRAMIMTDHFIQSHVIHANLNKSPRIMFIMDPRFGMYMDLEKFTDGHGPHLISDLVCSQPVHGLNVGSCAFWDFVCNPAIGYCEHISFDDNDGTFFAGRIGLGYNSEINKHVVVHITYKEKNLETRYYEVQCKMRYVNQEQWRSLDPPPRPVAAVPPTFVNGKIFWMVEPNLGPVSATCEIVSFDVQTLEFEILQGPPCSHDCGHMTILQLHGALCVACSDRRVNTIDVWMTEDHGFWLMKYHIELEKFLPDYLLENTTPIAVDPKDGRILLNAGWSLGYYDPKTLEIETIYTENIPDHGIKFCPIICHESLVWPFSPS >PVH34948 pep chromosome:PHallii_v3.1:7:22201771:22203572:1 gene:PAHAL_7G073500 transcript:PVH34948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHAQAPPITLDRARSIACSYGKDPQELEPQFGFVLAHIHHALPAPPAAAAAHLSALPDAGDGVDRVSTLPDALLRDIVARLPVKDAARTAALSRRWRPLWCAAPLVLLDNHLLPDGGARDEIPNIYLEHADSRAVAAAISCERGQVARWLQHLAVKGVQELFLINRPWPLAVDLPVPAAFFSMAALTRLYLGFWRFPDTAGLPRGAAFPRLRDLGLYDVVIEDHDMDFVLARSPVLEILCFQSHMLPPLRLRLLSRSLRCVQMHASNVESITVVDAPRLERLFLRMVPTEAPCCRIKIGFAPALRLLGRACIAFALSVLYSCAIGHHAQIIYDNAGTVVNPRTMVPTVKILDVNVRFVVRSDAKMQPSFLRCFPNIETLHIHSQKTDESSGRLSTKFWQESGPIDCIQAHITVLVFHDFRGEWSELAFLKFFIESAQMLKRLLIVFGKGCFSSMATSKVKALFAGKRANRDSSLLVCESAVAEGSCLWDFQRGSDFSCTDPFAPIEQSVS >PAN37815 pep chromosome:PHallii_v3.1:7:32596161:32600474:1 gene:PAHAL_7G124700 transcript:PAN37815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFGDRLRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQLAALGVAKDLGDCVGFFAGSLSAVLPSWAMLLIGAAQNFLGYGWLWLIVTRQVPALPLWMMCVLIFVGTNGETYFNTTALVTCIQNFPKSRGPTVGIMKGFAGLCSAILTQLYAVMHTPDHATLVFMVAVGPSLVAIGLMFIIRPVGGHRQVRPSDKNSFLFIYTICLLLASYLVGVMLVQDFMQLSDNMVNFLTVILLILLILPIAIPVTLTLSPKAQHPTEEALLSEPSKGETSTSQEKEDQPEVILSEVEEEKPKDIDSLPPSERRKRIAELQTKLVQAAARGGVRIRRQPHRGENFTLMQAWVKADFWLIWFSLLLGSGSGLTVIDNLGQMSQSVGFKDPHIFVSLTSIWNFLGRVGGGYFSEIIVREHTYPRHVALAIAQILMAAGHFLFAMAWPGTMYIGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPAGSLVFSGLIASNLYDSEAEKQAQQHHMAAPRLLHNMGLLVDGPLKCEGSVCFFVSSLIMSAFCVVGAGLSLIIVQRTKRVYAHLYRSVRT >PAN37837 pep chromosome:PHallii_v3.1:7:32816214:32819212:1 gene:PAHAL_7G126800 transcript:PAN37837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMAVAAARMDDHDEYAKLVRGMNPPRVVIDNDASDDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGSWFMDVFNVTDRDGNKVVDAPTISYIQKTLEADDWYYPEARNTVGIVPSEEYTSIELTGTDRPGLLSEVCAVLAVMGCAVQSAELWTHNTRVAAVVHVTDAESGGAIEDAGRIADISARLGNLLRGQSDVRAGGGAGSLAQHKERRLHQMMFDDRGGHAAPDADAAGPARTEVSVTACAERGYTAVVVRCRDRPKLLFDTVCTITDMEYVVHHGTVSAEPGGGAYQEYYIRHVDGHAVRCEAERQRLVRCLEAAIERRTAEGLELEVRTGDRAGLLSDITRIFRENGLTIRRAEISSSGGEAVDTFYLSDPQGHPVEAKTIEAIRAQVGEATLRVKNNPFAAGDDAARKDAGVAGAGTTAFIFGNLFKFYRPFQSFSLVKLYS >PVH35273 pep chromosome:PHallii_v3.1:7:34095218:34097919:-1 gene:PAHAL_7G138400 transcript:PVH35273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRRPEQETAKGMAATGCSEIVELVDEPKGACPGGVTHLRVRVKPVGQEHGARSCAVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPVSSSEIVESVSLKQALRKMCISQASEMAAMKRLSKPSGVSTPPDSGAIKKLYGSAAVQAKEEKDEKNKVAKVSVLPEKAAGSLSGKPVETSTEQSKSSTKKSSRSASPTTVKIHKTRIQDVISNKSSEAVDDPPAGTTLAKQRKGKSAKASSPRAVPVGGSRLVKPLFRNKTSTKKKVKPEPAAVATAHKHYEAKGSNSPTGKQEALQDDPRTPAPTNKKAAISSTCVEGADFGTKSCGVGAIHGSKVGELSRSKEKGECSQSSKSSIGDYSTSTSISEDSYGSFSANGSRPHMSKDVRWGAIRRMAIQQGSLGLENFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSHFTTDNLSCLVMEFCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPMLVRTSSVGRDEPTRPSGPCAQSCIDPLCIQPSWNNSSCFTPRLVSSTPSRTWKPRAEPLKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFRGTGNEETLSNVISQGLKFPDNPAVSFHARDLIRGLLIKEPEYRLGSSRGAAEIKRHPFFEGLNWALIRWTAPPETPKGFDAAVATLATTRKKKEGKCLEFRLNGDDIEFELF >PVH35272 pep chromosome:PHallii_v3.1:7:34094665:34098967:-1 gene:PAHAL_7G138400 transcript:PVH35272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRRPEQETAKGMAATGCSEIVELVDEPKGACPGGVTHLRVRVKPVGQEHGARSCAVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPVSSSEIVESVSLKQALRKMCISQASEMAAMKRLSKPSGVSTPPDSGAIKKLYGSAAVQAKEEKDEKNKVAKVSVLPEKAAGSLSGKPVETSTEQSKSSTKKSSRSASPTTVKIHKTRIQDVISNKSSEAVDDPPAGTTLAKQRKGKSAKASSPRAVPVGGSRLVKPLFRNKTSTKKKVKPEPAAVATAHKHYEAKGSNSPTGKQEALQDDPRTPAPTNKKAAISSTCVEGADFGTKSCGVGAIHGSKVGELSRSKEKGECSQSSKSSIGDYSTSTSISEDSYGSFSANGSRPHMSKDVRWGAIRRMAIQQGSLGLENFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSHFTTDNLSCLVMEFCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPMLVRTSSVGRDEPTRPSGPCAQSCIDPLCIQPSWNNSSCFTPRLVSSTPSRTWKPRAEPLKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFRGTGNEETLSNVISQGLKFPDNPAVSFHARDLIRGLLIKEPEYRLGSSRGAAEIKRHPFFEGLNWALIRWTAPPETPKGFDAAVATLATTRKKKEGKCLEFRLNGDDIEFELF >PVH34911 pep chromosome:PHallii_v3.1:7:19745550:19746207:-1 gene:PAHAL_7G064800 transcript:PVH34911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLSLSTVARTMKTSALYISPDVGVVIGLLKCFPCVETLYFESHSPRNINNTPRSDQLECLDHHLKKLVVTSYAGTESEVNFIKFFILNAKVLEFMKIVTHGSGPYSTQELHLEARASRGAEIVFESDIESCSMVHINHIHDMAMDDPFDLSLCRCENDPIWDMV >PAN38565 pep chromosome:PHallii_v3.1:7:37150247:37153986:1 gene:PAHAL_7G181100 transcript:PAN38565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSNGGKKPATGGGRGGPTIRTLADINRGPAGFPGAGGSGSDSDEPQEYYTGGEKSGMLVQDPTRRNDVDSIFEQARQTGAIQAPPPFLDNQSSSSRSFTGTGRLLSGETAPSAAPAAAPASQEPVHILHVIHLWNNGFSVDDGPLRAYDDPENAEFIESLKMSRCPQELEPADRSTPVHVNVMKRLEDYQEPIRPRSAFQGVGRTLGGGLSTDESSAPAPASAPPAASRSTSFVVDDSQPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGAARPYQLQTGFPPKQLTDPTQTVEQAGLANSVIMQKI >PAN39391 pep chromosome:PHallii_v3.1:7:40840592:40842897:-1 gene:PAHAL_7G239500 transcript:PAN39391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLVTSSSPLTTARPSTQRRPCVSAAAVTVTIRCAASSSSTPSSSASEAAAAGQQVAKVHSYGTVDYERRAPLRWGTLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIAKELRKFRRFNLALQVYDWMAERRDRFPLSTSDMAIQLDLIAKVHGVSHAEKYFEDLPDTMKDKRTYGSLLNVYAQAMMKEKTEDTFEKMRKKGFASDTLPCNVLMNFYVDVGEPEKVSAIIDEMKERNVSFDVCTYNIWIKSCAAKQDPDEMERVFCQMNADESVIANWTTYTTLASMYIKLGNSEKAEECLKEAEKRTTGREKKCFHYLITLYSHLGKKEEVYRIWSWYKATFPTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSFDPKTMNILLAWYAREGLIIKGEQILNRFVEKGGNPKPNTWEILATAYLKDNKISEALSCMEKATAVKSASKWKPRPTNVESLLASFKEKNDTEGADRLVSVLTSRGCAEDEEYKSLIDTYAFAGT >PAN38335 pep chromosome:PHallii_v3.1:7:36188013:36192002:-1 gene:PAHAL_7G165300 transcript:PAN38335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPAHTLGLRLPPPSSSARRRARPRPAVRAAAAATQAAPRRETDPRKRVVITGMGLVSVFGNDVGAFYDRLLQGESGVGPIDRFDAGSFPTRFAAQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALENAGLAKGSDAHAKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGYRKISPFFIPYAITNMGSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADIIIAGGTEAAIIPIGLGGFVACRALSQRNDDPTTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITKSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFNNTSEIKINSTKSMIGHCLGAAGGLEAIATVEAITTGWVHPTINQFNPEPEVEFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >PAN40488 pep chromosome:PHallii_v3.1:7:45942115:45945007:-1 gene:PAHAL_7G319200 transcript:PAN40488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAMALALATTPLAHLALAPPPISGSQSSLLLLPRRPSPASVSLSLRSRLTAAVATKEPELGGGGSEGGDGAGGSGGGGGDSDPREGVPEGEGEGEEEKMGQGLSMSQKLTLAYAALVGAGGVMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMVGGYFHGILRSSHA >PAN40363 pep chromosome:PHallii_v3.1:7:45279666:45279797:1 gene:PAHAL_7G309600 transcript:PAN40363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDRADTSGFVSGGIHYMNIHVYFHFVYTMCFLSGEVVKLGS >PVH34982 pep chromosome:PHallii_v3.1:7:23974217:23978484:-1 gene:PAHAL_7G081200 transcript:PVH34982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPPPSVFGKSGNLTVSVTPSPDTPSSPESESPGSEFSTPPTSPRSEDSPESPPSTPSALAQRAPPPPLDGSVPTPPLVRTVPPLLPTPTSPRAEYSHESPPSTPPAPAPAPRAPPSPPVDSVPTPPLVRMVSPLLLGPTVLRAEYSHESPPSTPPAPAQRSPPPPPVDSVPTPPLVGTVSPLLLARTSRRAEYSHESPPSTPPASAQTASPPPPVDSVPTPLVRTVSPLLHAEELSRTLPVQVPPLQVLEFSSPPPPVQVPPPQFEKTSRGSGGSVLVLFWDAVARMQEAHASLDEHISRWFGLDQSKYQWALNEYYESTGQEKDSGKAGNPKEHSCKTQEV >PVH35103 pep chromosome:PHallii_v3.1:7:29987136:29989024:-1 gene:PAHAL_7G106000 transcript:PVH35103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFAGGWSQFLEFHGISKGDVLLLRYEGNMVFKVKAFGLNGHQKDFKNQDAGILLNVEMQQESLSPIRKRKNNDEKSSSKENKRPKSSVTYLNTKLPLKKPDYQIGPSSWIRKEINTYALECLLPLSIKFCRGIGFQNTCTITLKTEIDSTRSWKVHGATYKTYGCILGYGWKSFCQENRIKTGDLCTFNIMETTLWHVTIKRSPASADTKKQKESPCSSNKEHETK >PVH35102 pep chromosome:PHallii_v3.1:7:29986634:29989024:-1 gene:PAHAL_7G106000 transcript:PVH35102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFAGGWSQFLEFHGISKGDVLLLRYEGNMVFKVKAFGLNGHQKDFKNQDAGILLNVEMQQESLSPIRKRKNNDEKSSSKENKRPKSSVTYLNTKLPLKKPDYQIGPSSWIRKEINTYALECLLPLSIKFCRGIGFQNTCTITLKTEIDSTRSWKVHGATYKTYGCILGYGWKSFCQENRIKTGDLCTFNIMETTLWHVTIKRSPASADTKKQKESPCSSNKEHETK >PAN38647 pep chromosome:PHallii_v3.1:7:37496278:37497549:-1 gene:PAHAL_7G186800 transcript:PAN38647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQHIRIFCREDINLSVAVRGSTVVLARTNPADESQHWVQEFSGSGMVTDDEGQRAFALVNKATGLALVNKNLITPSDNVVHVQLAPYFGDVRVDLSMLWTLGAADLGGGFREVRVLRDTTQTLNGLGGNVRDGTVVGIFPSHQQSPNAVWNLAPVHVSDAE >PAN38114 pep chromosome:PHallii_v3.1:7:34991501:34993839:1 gene:PAHAL_7G148300 transcript:PAN38114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGDAAAAAVKKDVEAEVVIVGGGIAGLATALALRRAGVACGRGGGILVLERHAGLRATGAALTIFPNGWFALRALGVAHKLTSRYDAYETSEVTNLESGATQVFRFAGNKSRGEEVRVRALGRKALLEALAEELPPGTVRFSSKLVSIDTERAAGDSSETVVLRLDDGTVIRAKVLIGCDGVHSVVARWLGLPEPASSGRSCVRGLSIFPNGHGIKRELRQFLSQGLRAGMVPISDTDVYWFLVNDTVPAEKEAAGDPAKTLREVTENLAGHMPAEYLNVVRHSDHGNLSWAPLLYRNPVSLLTGAAARGAVTVAGDAFHPMTPDMAQGGCSALEDAVVLARALARAATPAEGVAAYVAQRRWRAAWLVAGAFLSGWVQQGGTNVRGVRGRLVRMFRDWVFYPFVFPRLADTMWFDCGDLAPPCTDGKSHGE >PAN38906 pep chromosome:PHallii_v3.1:7:38635753:38637864:1 gene:PAHAL_7G206300 transcript:PAN38906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGAAPTLLGLAPPRPRCSGLRFLPPTASPFRPRPASFAPPFPKPRPSAAAVHASAPASDSSFPSSPTPPARPPGPPEPPSTVAHAGRSKKKKNPQGGGGGGGRIEGSGDMRREAKAKARVRSRRMGENAFYRRKRRAAAAAASGQADVFTDAELEMIGMGYDRAVRFMDGPDDPRLRHPHDWYKYGRYGPYHWRGIVVGPPIRGRFSDDRVSLMEEVHDHEEWDRIEQFDMCNQYSHRLNELADGPGFRHYWVFVRHPRWRPDEKPWEQWTLSAEVAVQAGKEERLDKWSLMGRFGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQEDFFKKLRPLVDPATEGNFLFDLELDGQVIRTTYFGGLCRIVKANPKAYVDDVVNAYSRLSEADQSRCLEFLLKNHPMELLHPYTKEWKVKLEEMELGCDAPDESDDDVGDDDENDIVDWIKDDEADDVLDGGDSDYEDEDVVDTNEDLEADEVENSEDSEKYWDEQWKKALRSSDKMEKLVRTSIEASNEHIKQQMELEKEMEWKMDRANAMVMEQEQTEKDEEEQHSTKSRSAEDRSQSDANTGLFLRAAVRPFTYRNLVKEIVLLRHYIIDGEIV >PAN38406 pep chromosome:PHallii_v3.1:7:36479949:36482060:-1 gene:PAHAL_7G169800 transcript:PAN38406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNKAIRSHLSPCRGLEARRRWYRAAARPTVLVVTASVAAALLMAAVLFGVRLTPSGGNSTWVSAGVRDVLKAVSNQSGQGTAGPLATVPDPGDRLLGGLLAAEFDENSCVSRYRAALYRRPSVHAISSHLVSALRRYESRHRRCGPGTPAYARAVERLRAAPNASAAPSSSAAGCSYLVWNPIEGLGNRILTITSGFLYALLTDRVLLLHSSGGALDDLFCEPFPGSTWILEEDEKFPILGMGHLTAGHHESLRSVLRRGEDPSAAPWLYVHLRHDYSKVHQDQQFFCDDVQAQLRRVPWLVFQSDNYFVPGLFLIPGLERELVRMFPRRDLVFHHLGRYLFHPSNTVWGMVTRYHDEYFAKADERVGIQVRKFRWAPISTDELYGQILNCSQREGILPVHGAAATGGFNDGQPAKQKAVLVVSLHDEYSEKLRDLYPKNGSAGREAVSVYQPTHLGAQRSGEQQHNQKALAEMVLLSFSDAVVTTAVSTFGYVGQGLAGLRPWVLMTPVDGKAPDTPCRHAATMEPCFHAPPTYDCRARANGDVGRIVRHIRHCEDFPQGVQLVE >PVH35664 pep chromosome:PHallii_v3.1:7:40780103:40785823:1 gene:PAHAL_7G238300 transcript:PVH35664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSIPPTSRVYMDSCELFPRTPSPPTQQQCKNQEEIKDRVHTNQFDTTYKYPSTKPKISVQIPASYTPNLTPPQFMLPMPGRSMHVAFQQKQPQVPIEFRGAGFQMQSIGCVSSSLPVRMTIPVGNAPHIQPMFVHGTQPRALHQQTFIHQGQGFGCAPPANCHLPQFGNARFAQELSQQNPRSGNEQKRTVKITHPETHEELMLDRRGYSFMGIPISSQMPLHNINQLPQPVQTFFLVQKVYYPRLDTYNPAPIYLPNTTSVPLATRQISSKMQPLIHSFDSTNSNQPITSMKPPMPISWLDASSRAVTNLHTASEVSSFKGLLSSSLSTPVHVELKPPITFPVENKEVPLKCSGESVMSNQQSNHKIGLAISPKAANLVSAEGNLKAPDITSDISCNSISQKVPTKQIQSQQALDDHVIPIVGPQTISTGNQPLTSATSCIFSVKAKPSEMEELSMITTRSSSQLNLESSCTEASSRSDSVVCIQTSLISNIDVTSPTNKNSKYQGNSILGKPPIIYTQEMVPSKFPGSTTLTKGLRKEKVNLVSFLETNPELNGSVPLQNQDFLIKEHDVTKKEMCSVSKAEQVDETTPGIAFGSEERIGVAKSGRFHACDESVDLHQFISIDMQTSNNNQQPLLDAQIVTSQPKNKQNSSIDVKSSAPISNSSSSLRKIGHDNVDSKISNLCSTAAPSLVQTKKIALESTKAKNINGQQKKRKEMLLKASGQKYYDLNTAANSLNENVEGFNNPHDVQSFDLKRSCSLDAKQVCSTCKTDNQNQTDLFDWEDTTGNSTEKLKEFGYMYSINGAEVNNNKCEFEQKRYPQDFLLTLAQSCIELPAGFKIGFDICEVVMSVHVGAPFIDTIELNPNHARIKDQGSATSRANRHMASKFDDDKWRKQFSSHVSGRNSFLDNVYQPTSSSWDAIQRVGYGYTRNLSQYQPFISQYSGEVLSRVVKEVVSQRSMSRGSAEEKWQHRTNAQSILSPSQVSMLIMHKAEKKYEVGKVSDEEEAKQRQLKGILNKLTPQNFEKLFAQVKELNIDNIVTLTGVISQIFDKVLTEPTFCEMYASFCFRLAGDLPNFVKDDEKITFKRLLLNKCQEEFERGERERAEANKVAEEGGMKQSEEEREEKRIRARRRMLGNIRLVGELYKKKMLTERIMHECINKLLGEYQNPDEEDLEALCKLMSTIGEMIDHPRSKVHMDIYFDLIQKLSANSKLSSRIRFILEDVIDLRKNKWRLRRKVEGPKKIDEVRKDAVKHKFGQSNRFSFSPNFNSSVACISSGLRPGPPENSTRGSSMLSSRGPSQVPLPVPHYQRRSDKSIHIGPQGDLGKGMLLCGKPSISNDILPEVHLNSYHGQSLENSREGLVTRAARNRTNFKSSNTSWGTSDYASPQLSTVGQTHTSSTVRKEMCAEAQTFPKEVLQEKSILTIKEFYSAKDEQEVVLCMKEFNAPSFYPSLVSLWINDSFERKDLERELLAKLLVFLCKSQEKLLSQQQLLHGFQHVISTLEDAVTDAPKATKFLGEIFAKVIMEDVISLTDVGGLLHEPDGREKPAEHHALDDSLASEILGTMLESIRVERGDSAADHTKSSL >PAN37876 pep chromosome:PHallii_v3.1:7:33164244:33166288:1 gene:PAHAL_7G130000 transcript:PAN37876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVVEIARTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >PVH35133 pep chromosome:PHallii_v3.1:7:30942319:30942897:1 gene:PAHAL_7G111500 transcript:PVH35133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDAMEGESSPSRSSSSSGCQSGWTLYLEHSNGARCDPAAQQRWMVLQAEHADEEEDSMASDASSGPRPRPREPEADAARQDFELRHGFVVVDQRSYYHYPTTSCAGHRGSGSGSGRSLGSSTCSRSSQGGAKGGVARRAAAVVFQGGDATATRQYREVIVIDDDDDDELDDTASSSAVFSCPMAMVHAR >PAN38657 pep chromosome:PHallii_v3.1:7:37537455:37539725:-1 gene:PAHAL_7G187500 transcript:PAN38657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKLSLGIKKASRSHSYHRRGLWAIKAKHGGTFPKAEKPAAAAEPKFYPADDVKPRKPSTRKPKPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGMLLVTGPFKINGVPIRRVNQTYVIATSTKVDVSGVNVDKFDDSYFAREKKTKAKKTEGELFETEKATEDLPQFKKDDQKALDAALIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >PAN39727 pep chromosome:PHallii_v3.1:7:42528354:42529391:-1 gene:PAHAL_7G264400 transcript:PAN39727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFTRPTKMSPCCPCLTLPLLFPAAMTTSVLRREAFLLLLLLQLLAATVALAVPQQQELQLQDTVLLDDVVQEAAEEWYHGRHRRTGVAYPLALPGSLSGVEATVVRFRAGSLRRYGVRRFGEFTVPPGLAVRGRAAHLIAVRVNLGNLSSVYDEYAVGAGYRLASPVLGLMFYGLARRNGTAALEIDLTGAAIRVNFSVAVPALQPGAAALCMAVGLNGSITVTDVEEGTNICHASDQGHFALVVGGVSDGGGGGEADIGEVSKWKLALFGAALGAGGTVLLGMVAVAVVSIQRRKSEVAEMEQRAYEEEALRVSMVGHVRAPSAAGSRTTPDELETEYCATL >PAN37883 pep chromosome:PHallii_v3.1:7:33210281:33216679:-1 gene:PAHAL_7G130500 transcript:PAN37883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTLVQSAIVSTLLHRSSSGRSHVRARATMLRSMPTRTLTLGGFQGLRQTNFLDTRSAIKRDFGSIVASQISRPRGSASRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGASGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQNQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETTQILRGLRERYELHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNGAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEDTLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLAAYYFGSEEAMIRLDMSEFMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYEEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDINLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVVVLNGGSGVAEPLEPALSI >PAN38722 pep chromosome:PHallii_v3.1:7:37853387:37856915:-1 gene:PAHAL_7G192400 transcript:PAN38722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLAAAFASPARCLSLSPSSAALPRRFLRGLLGAPAPARLSRPPPPPTILLRRCIPFHRMAQYWTQASLDKNKALVDYLKQYGAVRTEKVAEVMETIDRALFVPEGTPYIDSPMPIGFNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPEIVASAIENVQKSAAAPLLKDGLLSFHVADGRLGFTDAAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGNYFQDLQVVDKNADGSISIRNDASVRYVPLTSRSAQLQDP >PVH35349 pep chromosome:PHallii_v3.1:7:35846372:35847373:-1 gene:PAHAL_7G159600 transcript:PVH35349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGLKSVIMCVLILGIIFQVEGKSCCKSTLARNCYNICRLRLPRPVCARTCGCKIIKGTKCPPGFPKLNLLPNSGEPDAIEYCNLGCVSSMCDTMSNAPEFVGEETKIDMERCSDACDRFCNGDSRIASVAA >PAN39527 pep chromosome:PHallii_v3.1:7:41443517:41447014:-1 gene:PAHAL_7G249600 transcript:PAN39527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPATIPAHPPPPSESTTTSSPEQQQQPPPPPAPPSGVESEAPPKRRKVEEVGFQRSPYYTIRETVANLRGCVLQVCQGTESQKKDAAVEILKEMKDVMELSKKARLGLSSAAKPVKLFEKPAAKAPEDKPAGNVPSAEKSQVPPTSLAGNFVHSIRGDLPIKPDNSDTAGHMLVVETKKGLKPSKTTDHTKQQGGLPRGSYVIGGSPIGWNFLMWPGCKAVYYGLTKAEWLVRRSAR >PVH34851 pep chromosome:PHallii_v3.1:7:12056976:12058254:1 gene:PAHAL_7G044000 transcript:PVH34851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCVVLFPIAVTFFITWWFIQFVDGFFSPLYAKLGFDIFGLGFLTSLVFILLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQVLVHAYLQAVDGF >PVH34852 pep chromosome:PHallii_v3.1:7:12056976:12060986:1 gene:PAHAL_7G044000 transcript:PVH34852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCVVLFPIAVTFFITWWFIQFVDGFFSPLYAKLGFDIFGLGFLTSLVFILLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQTRRRLKTETTS >PVH35542 pep chromosome:PHallii_v3.1:7:38941817:38942143:-1 gene:PAHAL_7G210600 transcript:PVH35542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVASFNPWTVLDSNDPDNVIGKAKSQEDAAVAKKPAAGGPWAPASRAMEASTPAKKKKDKKKKPAAAAASQANGNGRGPAGKQNGAGKQGGKNGAYGGAKKQQQR >PVH35475 pep chromosome:PHallii_v3.1:7:37947496:37949339:-1 gene:PAHAL_7G194000 transcript:PVH35475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFLCDCWPLAFLSGEQGLICVLMSDHDRPLSKAGRADAISVSNKLQQMGWIPELILCSDAMRTKETLKILQEHVQGLSQAVVHFIPSFYSISAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLETCNAALLEAAGKSWVEAFSLAGLGGWKLHGIVKP >PVH35534 pep chromosome:PHallii_v3.1:7:38854973:38857058:-1 gene:PAHAL_7G209000 transcript:PVH35534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGRVRFNVGGQVFETTTTTLANAGRDSMLGALLDSSWNVSSSGGGGVAEYFIDRNPACFAVLLDLLRTGSLHVPPHLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRAAPDGGCCVAHGGAVRVYNWMLDERRPVSLDHSQVNDAAYLDAGTLLIAARERLGKCDGGMAAFSAVSGELRHRFRVAHDRQVKSFTAGALAFDQDSSLFASCKGRLNEYGIGVWDRATGEQADFFYEPPGCALGDADKLQWLDATNALMVATLFPKADNCFIGLLDFRAKNVAWSWSEAGAAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSGAGGLRWSSRSKLMNRKVPGEESCYPKLATHGGQLFSSMNDSISVFSGPEYVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >PAN37426 pep chromosome:PHallii_v3.1:7:26949281:26952502:-1 gene:PAHAL_7G092100 transcript:PAN37426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNLLLFLLLVTASPLITGQPFDYPTANFSMPWTNNNNSLEHSVTYNDGSVVRAIVLRSPKTLYGPSFAAGFFCPTAPCDTGTFLFAVFIVYTNSGGRITLPNNGLPQVVWSANRLHPVKENATLELSGDGNLILRDADGVLVWSSRTAGRTIAGMAITELGNLVLFDQRNATVWQSFDHPTDALVPGQSLREGMRLTANTSATNWTQNQLYMTVVPNGWYAYVESTPPQPYFSKLVDKNKTGNHPTQVTFTNGSLSIFVESTPPNPDSGITLPAAKSAQYMRFESDGHLRLYEWSDTEAKWTVMSDVINIFPDSYNCAFPTFCGEYGVCTGGQCSCPFQSNSSSSYFKLIDGWKPNVGCVPLIPISCQEMQHHEILTLTDVSYFDTSHTIVNARNRDACKQACLKNCSCKAVMFRYGNNESDGDCQWVTKVFSMQSIQPQIVHYNSSAYLKVQLSPSPSASTANRKKVITGTTIASIIAIVLIVVGITLYVRRMGKYQEIEEEFDFDQFPGMPMRFSYEKLRECTEDFSKKLGEGGFGSVFEGKLDEERVAVKRLESARQGKKEFLAEVETIGSIEHINLVRLVGFCAEKSHRLLVYEYVPRGSLDRWIYYRHNNAPLDWGTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLNENFNAKLADFGLSKLIDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVVMEVVCGRKNIDHSQPEESIQLINLLREKAQNNQLIDMIDKQSDDMVVHQEEVIQMMKLAMWCLQNDSSRRPLMSTVVKVLEGTMTVEACIDYSFFSADPVLSIEGNHSIYSAPPPASVLSGPR >PAN39229 pep chromosome:PHallii_v3.1:7:37550253:37554650:-1 gene:PAHAL_7G187700 transcript:PAN39229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMGMDAYRFSISWTRILPNGSLSGGVNREGVRYYNNLIDELLLKGVQPFVTLFHWDSPQALEDKYGGFLSPNIINDYKDYAEVCFREFGDRVKHWITFNEPWTFCSVGYASGTFPPARCSSWEEGKCSVGDSGREPYTACHYQLLAHAEAVRLYKEKYQGVQKGKIGITLVSHWFTPLSRSKSDVAAARRQVDFMLGWSMDPLIRGDYPLSMRRLVGNRLPRFTKEQSELVKGAFDFIGLNYYTGYYTKDVPPSLNKSYNTDSQANITGVRNGLLIGRQAASPSLYIYPQGFRELLLYVKETYGNPTVYITENGVDEATNNSLPLQEALKDDIRIEYYHKHLLALLSAIRDGANVKGYFAWSLLDNFEWRDAFTVRFGINFVDYNDGLKRYPKNSARWFRKFLQK >PAN37893 pep chromosome:PHallii_v3.1:7:33345579:33348558:1 gene:PAHAL_7G131500 transcript:PAN37893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTGWFPVALLLLAASILSPRVVAAAAAGGEAEHAVQQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTNAGLPLPFKSPRVMRSAIQYISNKWPFWNRTDGADHFFVVPHDFAACFHYQEEKAIERGILPLLRRATLVQTFGQENHVCLKEGSIIIPPYAPPQKMQAHLISPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKSNPLFDISTDHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPKGTYLQPGDKRLNWTAGPVGDLKPW >PAN40656 pep chromosome:PHallii_v3.1:7:45349620:45356902:-1 gene:PAHAL_7G310900 transcript:PAN40656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALARGGRASAARRRLLFALSRCSAGKGEEAPRSSATGWRAGRLPACATVTQPVLAKAPPIGPSA >PVH35411 pep chromosome:PHallii_v3.1:7:36933153:36939051:1 gene:PAHAL_7G177300 transcript:PVH35411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYTTPCGLECERPLSLHPQPRNSASSPLPINCSCSSCATHGHTPPLETQASLGCGACPAPRPPPRRPRAPLPGVSAAGGLSSRAPPRQPTVARKRLRGHRGKHVILMKCQAKPYCLCQRGSLSPCQPFVLAYIRQALALGEPSVKIEDVTPKISPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNITGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSKNPKLGEVVARVTILAAEGIQKSFEGKLVGADRAKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVPASSAAAKAGLAPTGRGFAGNIVLGDVIIAVDGKPVKGKSDLLRVLDDYGVGDQVTLTVRRGAETLEVILPLEEANV >PVH35414 pep chromosome:PHallii_v3.1:7:36933202:36938792:1 gene:PAHAL_7G177300 transcript:PVH35414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKATLPPSPTAQFRILPSPDQLQLQLMRHTRTHTPSGNPGLPRMRCLPCAASTTAPAARAAARSVSRRRVVVEGAASATHGGEEAPPRSSRETCDLDEMSSKTLLLMSKRKLIALSAFCFSLHSSRYLSALALGEPSVKIEDVTPKISPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNITGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSKNPKLGEVVARVTILAAEGIQKSFEGKLVGADRAKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVPASSAAAKAGLAPTGRGFAGNIVLGDVIIAVDGKPVKGKSDLLRVLDDYGVGDQVTLTVRRGAETLEVILPLEEANV >PVH35412 pep chromosome:PHallii_v3.1:7:36933153:36939051:1 gene:PAHAL_7G177300 transcript:PVH35412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYTTPCGLECERPLSLHPQPRNSASSPLPINCSCSSCATHGHTPPLETQASLGCGACPAPRPPPRRPRAPLPGVSAAGGLSSRAPPRQPTVARKRLRGHRGKHVILMKCQAKPYCLCQRGSLSPCQPFVLAYIRQALALGEPSVKIEDVTPKISPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNITGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSKNPKLGEVVARVTILAAEGIQKSFEGKLVGADRAKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNLEFAPDPIAYQLNVRNGALILKVPASSAAAKAGLAPTGRGFAGNIVLGDVIIAVDGKPVKGKSDLLRVLDDYGVGDQVTLTVRRGAETLEVILPLEEANV >PVH35413 pep chromosome:PHallii_v3.1:7:36933202:36938792:1 gene:PAHAL_7G177300 transcript:PVH35413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKATLPPSPTAQFRILPSPDQLQLQLMRHTRTHTPSGNPGLPRMRCLPCAASTTAPAARAAARSVSRRRVVVEGAASATHGGEEAPPRSSRETCDLDEMSSKTLLLMSKRKLIALSAFCFSLHSSRYLSALALGEPSVKIEDVTPKISPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNITGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSKNPKLGEVVARVTILAAEGIQKSFEGKLVGADRAKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNLEFAPDPIAYQLNVRNGALILKVPASSAAAKAGLAPTGRGFAGNIVLGDVIIAVDGKPVKGKSDLLRVLDDYGVGDQVTLTVRRGAETLEVILPLEEANV >PVH35415 pep chromosome:PHallii_v3.1:7:36939388:36945669:1 gene:PAHAL_7G177400 transcript:PVH35415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLSLVPLAVLVLAALLSSGPAIVRGVRVPPAVLAAGRQTGEYRGALPPEQRRPRRTAAAASGASARLDASRKAAGPLPPSTVFDPDRMSKRRVRRGSDPIHNKC >PAN38515 pep chromosome:PHallii_v3.1:7:36939388:36945669:1 gene:PAHAL_7G177400 transcript:PAN38515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLSLVPLAVLVLAALLSSGPAIVRGVRVPPAVLAAGRQTGEYRGALPPEQRRPRRTAAAASGASARLDASRKAAGPLPPSTVFDPDRMSKRRVRRGSDPIHNKC >PAN39792 pep chromosome:PHallii_v3.1:7:42732983:42735176:-1 gene:PAHAL_7G268300 transcript:PAN39792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGLGGAAGRAGSGGGGARGMSGSGGSRDSPFAIGGGGASAAAWTRLVSSGVEDELVAASGGGGRSGAGAGGLPLGHFLEACFLCRKPLPSNRDIFMYRGDIPFCTEECRREQIEMDEEMERKESSTPKKVAARAPVESPPRPPKARAGSILAG >PVH36005 pep chromosome:PHallii_v3.1:7:46980944:46982378:1 gene:PAHAL_7G335500 transcript:PVH36005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPFTVRLKYPSIRDPDQRQLDLSSLIRKQQLCHHHQDPATTCLTNLAIVHYSTGPKNASEKPRQHHYVAAGPTRLLPDIVHWSSVTVCPLSGNVIGIIM >PAN38654 pep chromosome:PHallii_v3.1:7:37528745:37531537:1 gene:PAHAL_7G187300 transcript:PAN38654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESRDGRRAALADLSSGVGGGGFFIRRVGSPGALAARGIRKPLARRYISPSRNKENLLPVWALRATPKQRRSPLPEWYPRTPLRDITAIAKAIQRSRLRIAAAQQQSQRPEQSPQSVNLTTTPAQAEQNTPHSADASLAVASGSGSTERETVACPATALAGDNVRVCSSPVESSLETPSKPMDPAVAGIVEKKLSSSIEKIEKLVRRNLKRTPKAAQASRRATQRRNLMSMR >PAN38655 pep chromosome:PHallii_v3.1:7:37528589:37531537:1 gene:PAHAL_7G187300 transcript:PAN38655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESRDGRRAALADLSSGVGGGGFFIRRVGSPGALAARGIRKPLARRYISPSRNKENLLPVWALRATPKQRRSPLPEWYPRTPLRDITAIAKAIQRSRLRIAAAQQQSQRPEQSPQSVNLTTTPAQAEQNTPHSADASLAVASGSGSTERETVACPATALAGDNVRVCSSPVESSLETPSKPMDPAVAGIVEKKLSSSIEKIEKLVRRNLKRTPKAAQASRRATQRRNLMSMR >PVH35613 pep chromosome:PHallii_v3.1:7:39881632:39883153:1 gene:PAHAL_7G224900 transcript:PVH35613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEMELKGCFRRIKNCAIELFSTMEEDLEMDNEDSWDLVGRDIRLKATFLYIDLSRVIACCEGEEHKKALTVLTNRFFYSMDELGDAVESRSLPLTQVRCSDTAGALREVVAVLAPSLHLGPCDPEE >PAN39134 pep chromosome:PHallii_v3.1:7:39793407:39793817:1 gene:PAHAL_7G223400 transcript:PAN39134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARIESSRGSQQPHMPPTPRGLVPSRPHRARAVRPRTSRQPASSLLPCREPRRVGKKSRRGGHELSGMGAVSATTRRWVGSGRIGREVASSSPAVLCAAAAAGQQQGGWAPARGLRDRIQLQRRGKRRRATPSRD >PVH35985 pep chromosome:PHallii_v3.1:7:46564510:46567148:-1 gene:PAHAL_7G327700 transcript:PVH35985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPLVVSAATVIFCLLLPSSSSSPICAARTQDHVRTAYHFQPAKNWQNGFVDPTGPVYYNGMYHLFYQYNPHGALWDVGNLSWGHSVSGDLVNWADLGTALDPTAPFDANGCASGSVTILPDGQPVILYSGIDADRRQIQNVAFPKNPRDPLLREWTKPGYNPVVPLPADVSADNFRDPTTAWLGRDGLWRFAISAVANGVGTTLVYRSADFLRWERNAAPLHASRDAVMAECPDLFPVAARGAEGLDTSAHGAGVKHVLKVSMPDTLQDYYTIGRYDDEADTFTPDEDARGGGDDYRSWRRMDHGRLYASKTFFDARKNRRVLWAWVNESDSEADDVARGWSGLQSFPRALWLDPAGRQLVQWPVEEIETLRRGRAAMLGAEVESGGLREIGGIASTQADVDVVFEIPSLERAEGLDANQLLDADAVCEEMGASVQGGVGPFGLLVMASGDDLREHTAVFFRVFRLLRGEYTVLMCTDLTRSSTKAGVYRPTHGGFVDVDIEKDKSISLRTLIDHSIIESFGGGGLTCMTARVYPEHVARGSSSHLYAFNNGSDAVKVRKLEAWELATASVNVAVEDHGLATSGDMCTSSAY >PVH35003 pep chromosome:PHallii_v3.1:7:24694598:24695161:1 gene:PAHAL_7G083700 transcript:PVH35003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPSPSTRPVPCRAPPLPPALWPCPNSPHDNPLSLPIDKCSTSAACVEPHPTRQDGCFSHHMSTCRIVFHDDIVPARVRRWAQSTMPSTCLLFCFSVGG >PAN40132 pep chromosome:PHallii_v3.1:7:44397197:44400484:1 gene:PAHAL_7G294000 transcript:PAN40132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQDCNSTSLVALPGSLVLHLFRLFGQQDQSSWQKYILAYFLLVRDEYFSGESKTHSDVFCDISELDVFPYATDLGSEELELNEQKPILKAQSGGDSSGNRSNDCYFPGLHDDLSQDCLAWSSRSDYPSLSCLNKRFNLLINSGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPNRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTRSWSRCTPMNLPRCLFASGSSGEIAIVAGGCDKNGQVLRSAELYNSEIGRWETIPDMNLARRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRILDMYPGGTSASQSPPLVAVVHNQLYAADQSKNVVKKYDKANNTWNILKPLPVRADSSNGWGLAFKACGDRLLVIGGHRGPRGEVILLHSWCPEGREDGADWEVLSVKERAGVFVYNCAIMGC >PAN38118 pep chromosome:PHallii_v3.1:7:35008786:35010708:-1 gene:PAHAL_7G148700 transcript:PAN38118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEYGRSGYGRSGAGDDYESGGYNKSGTDDYGRGEGGYNKSGTDGGYGGGYNKSGGGEGYGRSGGDGYNRSGNDDYGRGGSDGYNRSGTDDYGSGYKKSGTDDYSGGGGGAYNKSSGGGDEYTGGGGYKKSSGNDGYDSKSGGGDDAEYGSSQNDSEKYRKEAKEHKHKEHLGEMGALAAGAFAMYERHEAKKDPEHAQRHKIEEGVAAVAALGSGGFAFHEHHGKKEAKDAAEDAEEEEEAGRGEGKKKHHFFG >PVH35116 pep chromosome:PHallii_v3.1:7:30542952:30544280:1 gene:PAHAL_7G108400 transcript:PVH35116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNVDLVPREQMDLPSRLSASNASFRSVAPRLLLIQKVPQSVRGGLEERYFVPDVVSIGPYHHRARPHLVDMEEVKEAVVHEFCNSVPGGGGAGRFLDAVRPLLVEVKHCYADNFDNLFNDSEFANMMVVDGCFLLAVMAILTRKYPEGLEHRSWTHGRMLRIIKDVLLFENQIPWVVLRALMALHPVPVDSLVDWILAYFEFDVHREEQPGTRWDWNSLNPFHLLDLVHQRHLGRSGSARDVRNSIHDYARPFPHFTSAVELAEAGIQLHGSGTCRVRDVQVEPSLPAAAMLRLRIGRLVLPRLSLSWLPRCWLINMVALECVSDRSDNNGVSSYLAILGSLIRAERDVEELRSRRILFSTMSDRRTVEFFEGILDPVPRQELYLKTLEGIVQLRTRRSTRSGIHAVIYRNRRIILALAPLLSLLVAIVGIVVNNSIKHK >PVH35375 pep chromosome:PHallii_v3.1:7:36185222:36187157:-1 gene:PAHAL_7G165200 transcript:PVH35375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDDVSPDASPSPASSPGAPSAALPVADPVTVASAPPGGAYAVALPIHRTAASLYATAGGGGGGGREDAWSEGATSALIDAWGERFVALGRGSLRHPQWQEVADAVSSRDGYSKAPKSDVQCKNRIDTLKKKYKIERAKPVSSWQFFDRLDFLLAPTYGSKPGSGSGGGGGHNSNSRSPLPAALRMGFPQRSRTPLMPSAAAAAKRRAPSPEPSVSSESSDGFPPVPALPAANGKRRRTDEWRADGGSGGDRTQGLRDLAQAIRRLGEAYERVEATKLEQAADMERRRIDFARELESQRVQFFLNAQMELTQSKNHASPAAAAIPAGATTVGGSSMRMSMATDAGGSSNHHSRYRASHRDRHHHAPRSHYQQYHDNNHAAAAAPASEGEQSEEEEDDEEEEESQ >PVH35585 pep chromosome:PHallii_v3.1:7:39515219:39515971:-1 gene:PAHAL_7G219000 transcript:PVH35585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSNTAAVPVDGTPWNPSSRRHERRGRCPCTFATKASSLVAVPYVVSGDGPAAGRRAGVRGLRPNRGVATARGGPGRGRDLAAGVPQGPGEGAGARWTTTACSAPPGSGRRGEGGERGSRRTSASRREAAAGGRALRLDGGRRRRRRGKRERSSRQTADFGGGDGDGSLVLANVLLLLHP >PVH35640 pep chromosome:PHallii_v3.1:7:40449019:40451232:1 gene:PAHAL_7G233300 transcript:PVH35640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRAGLALLAAGTHGPTLRQLLTFLGSEDTRHLDEASARLLANGIFVDRSLHLTPKFASSAASAHQAAARSVDFENRPARATAGRLRNVLPGDAVGRTTKIVLDNGLLFKATWARRFDPSDTVRRDFLRHDGRPPVRVPFLSDAGRQYAESFDAPGLGFKVLQCFFKMVGRDGRLDFGAPCFSMLVFLPHRRDGLADLLRLAVTQPDFVMRCVPRSEQLVCPCMVPKFRFSFKFDVRNALRQLGLIAPFNKDVADLSGMVSNMPPEGLYVSAVRQTSAVEVDEEGTTAVAAIFVADHPFMFAIVEYEKAEVLFLGHVTDPSKED >PAN39434 pep chromosome:PHallii_v3.1:7:41063976:41066997:-1 gene:PAHAL_7G242500 transcript:PAN39434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAVINAVLFPALAVVLALAVFYFVRRRRRRRGGRSVLPSHGGGGGARADRLQAPGGSGGYVAGGEEALVRFPGGEALTVAAILEAPGEVVAKSAHSTLYRAGLSAGEAVALLRFVRPACAAGAEEAAAAARVLGAARHPNLVPIRALYVGPRGEKLLVHPFYAAGSLRRFLQEGINDSQRWEIICKLSIGIVKGLDHLHTASQKPIIHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQEMLETSAIQGYKAPELIKMRDATRESDIYSLGVIMLEMLAQKEIVNDKLPNARDIHLPASFKDLVLERKISEAFSSELIRQSKNSGKEENLNAYFELATACCNPSPSLRPDTKKILKRLEDIAR >PAN40928 pep chromosome:PHallii_v3.1:7:47953730:47955746:1 gene:PAHAL_7G350900 transcript:PAN40928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MHARFHHLKLSSMAARGGALCFSSSSAAAVTSSRRAGGCRPEPPRFLVVTCDARTADVYSSLAAKLLGPPTTFNAAKLKVEFAGEELLRGKKQPFPRAYTLTHCDFTANLTLAVTGPMTSEQLQSWQSTLQRDDVVAEWKEAAAGAGEMTLHVHCFVSGANLLQELAAGFRYYVFSKELPLVLKAVVHGDAALFSERPELMEAKVWVHFHSSSRKYNRIECWGPLREATKRNLLDGRLDELQSAITRRRRKWASPETIFNALVALLL >PAN39570 pep chromosome:PHallii_v3.1:7:41585070:41589232:-1 gene:PAHAL_7G252500 transcript:PAN39570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQRKGSLRSYGSNASPRSKSGSFDFDHDQDKERGSQHNDGNRREVVVKIDAEPQSPVSLHAAGGASGHNSAVSTPRAGAAVSMLGSASGSSASTSPNVGGDTGRSGDSFSFKNRPPQSPGESSEDPPSRLIGSFLRKQAAAGGEVSIDPDFDVDEMRRPPRAPTSVSASRELRVSFQNPHKRFSPSTSSASSSSYDGVDNRNQSGTDADAAEVLRCTSTSTASLLARSKTRSRLMDPPPPSSAPAGEPDPRKSFVSKGLPPKSGQLRSGLIGKSGLIGKSGGFDDEDDDPFVDEGMTSDFKRDTMDCLLIMEWVSLVVIVAALICSITIPSLSRKKLSGLHLWKWELLVFVLICGRLVSGWVIRIAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGIALVSWHLLFDKDAKREPRTLVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDESRMMAEVQRLQSAGASIPSELEATAMPSKSAPAPKSGRLTTAASKRGTSKQLQRQKTERHLDDSIPIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQLKHAGEDELATEIHSEYEAKVAAKRIFQNVAKPGSKHIYLSDLMRFMRQEEALKAMDLFEGAQEQNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVLALWLLILGIATSKFFVLLSSQLLVAVFMFGNTLRTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAQLPIMNYYRSPDMGDAVDFTVHVATPVEKLTLMKERLMHYLDNKKEHWYPGSMVVLRDVDDTNKLKVSIWCRHTINFHDMGMRFERRELLLQEMIKILRDLEIEYRMLPLDINVRNAPTIQSARMPSTWTFSY >PVH35955 pep chromosome:PHallii_v3.1:7:46021511:46022149:-1 gene:PAHAL_7G319800 transcript:PVH35955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELPASVVQERIELDATEQRAGREGESNCRCRVRARRAAEPLSTARSRGRGREPERRRCHCRCQCPRSEERREGEQRGRIGGERVRGRQAARQGSDGAVATAASFLCAPGAGRTRARGPSRPTRPPGSRCTPSTGSGRGQFPSLDASDRGGRIARSARSLAIARHHHATARPCPPLGWPMIAALNLAGRRRRRRSVRAPMNAPGPAGRRS >PAN37172 pep chromosome:PHallii_v3.1:7:20182199:20193372:1 gene:PAHAL_7G066600 transcript:PAN37172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPWWLATTACAAPQSGSLADALAFLFLSPCPQRALLGAVDLVFLAACLVLLARRLRRGGSAAAAPPEREALLRKPDRPSPPPFRYVVALGASAVFAAASVVLLVLALLLLPSTPWRAAEAAFLAVHAVAHGAAAWTVAASRPAGAAPGAHHAHLRVFWLATALGALLFSASAVVRGADGSLLFPDDAVAFAGLLVSLPLAYLAATGFTDHGTCAGDVEPDHDGEEAPASPYVAASFLSRATFSWIISLINKAYAAESITANDVPPVPPSHRAEAAHALFMSNWPESPASRHPVGVALWLSFWRQLVLTAFLGLVRLAAMYVGPSLIDWFVEYIRRGGTPWEGLRLVLILLVGKAAQTLASHHYNFQGQLLGMRIRGALQTALYRKSLRLTAGARRAHGAGSIVNYMQVDAGIVSFAMHGLHGLWLMPLQIVVALLLLYTYLGPAVLMTLAVITLVTVITAFANKLNLAYQLKFLGVRDSRVKAITEMLNHMRVIKLQAWEEKFGGKVRDIRKEELGWLAKIMLFMCANTVVFSSGPLAMTVLVFGTYIASGGQLDAGKVFTATAFFRMLEGPMQNFPQTIVMSMQAFVSLGRLNKFLTDAEIDTTAVERVESGGAEEPVAVKVQGGVFAWDVPAGEVTRDNVKSQARHGAVETNGQGNGAELVTVLRGIDVEVKKGELTAVVGTVGSGKSSLLSCIMGEMHKVSGKVSIFGSTAYVAQTAWIRNGTIQENILFGKPMYPERYLEIIHACCLEKDLEMMDFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSTIFMECLKGILKNKTVLLVTHQVDFLQNVDTIIVMKDGLVIQSGIYRELLASCSDFSDLVAAHHSSMETTGEQGCHVQNTESTDASTGSLDVPSVNSKSNNENGETVGTATNKEAGSSKLIQEEEKESGRVSWRVYKLYMTQAWGWWAVVVILVVTLLSEGSSMASNYWLSYETSGGPVFDTSIFLGVYVSIVATTIILEMITTIIVTFLGLQSAQAFFNKMFDSILRAPMSFFDTTPSGRILSRASSDQSKIDTSLVFYVGFATSMCISVVTNIAVTCQVAWPSVIAVLPLLLLNIWYRNRYIATSRELTRLQGVTRAPIIDHFTETFLGAPTVRCFRKEDEFYQTNLDRINSNLRMSFHNYAANEWLGFRLELIGTLILSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISISCMIENDMVAVERVHQYSALPSEAAWEVADCLPSPNWPDQGDIDVKDLKVRYRQNTPLILKGITVSIKGGEKIGVVGRTGSGKSTLVQALFRIVEPAEGRIIIDGVDICTLGLHDLRSRFGVIPQEPVLFEGTVRSNIDPTGRYSEAEIWQALERCQLKDIVASKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFAECTVISIAHRIPTVMDSDRVLVLDAGLVAEFDAPSKLMGRPSLFGAMVQEYANRSSSLQGTDE >PAN37519 pep chromosome:PHallii_v3.1:7:30620073:30623710:-1 gene:PAHAL_7G109400 transcript:PAN37519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATEPTAAAAGRKRKKPDGPSKTLAKKPSGPKSTSGKKKKEKHKHTTHKEKKPQPTGPPAKQPAEPDQAAAGGGAAGGGVLLSAAMPPARQLEFLLRSFERAGKMRLSPLELDSYSERCMVPLAEGRAQDVESFGDHVKGAFGRSWKEELCEGQVVEGEIGVGSPALLVISSAALRSLELLRGLKMFTKECRPVKLFAKHLKVEEQVAMLNARVNIACGTPSRIKKLIDIEALSLSRLKLVVLDMQKDAKSFNLFTLPQVSKEFWDLYKGYLDPKVREGNTRICFYGAVSERDITKALPLAE >PAN39256 pep chromosome:PHallii_v3.1:7:40312150:40314949:1 gene:PAHAL_7G231200 transcript:PAN39256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGTLEVLLVGAKGLENTDYLSNMDPYAVLKCRSQEQKSSVASGKGSDPEWNETFVFTVSDSTTELFIKLLDSDGGTDDDFVGEATVPLEAVFTEGSIPPTVYNVVKDEEYRGEIKIGLTFTPEDSRDQGF >PAN37885 pep chromosome:PHallii_v3.1:7:33219234:33222502:-1 gene:PAHAL_7G130700 transcript:PAN37885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAGEARGVGTGRGSRLPRWTRQEILVLIEGKRVVERSGRGRGRGRGPARVGGDGAGGAEPTKWAAVAEYCRRHGVDRGPVQCRKRWSNLAGDYKKIREWERGFAARKEASFWAMRNDARRERRLPGFFDREVYDILEGRAVGNAAASAASAARPAVVDVESKEEVTAALDCGRGADGAGLFSSSEDEDYQEDDAATPSPTPAPALAPEPVALPISEKTTDVPRQESSEQGTSKRKQPEQMTEDSPAQCGQKRQRSDDNASGRAAMTNLQGQLVEILDRSSQMVAAQLEAQNINSRLDREQRKEQVSSLLGVLGKVADALYRIADKL >PVH35221 pep chromosome:PHallii_v3.1:7:33219789:33221950:-1 gene:PAHAL_7G130700 transcript:PVH35221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAGEARGVGTGRGSRLPRWTRQEILVLIEGKRVVERSGRGRGRGRGPARVGGDGAGGAEPTKWAAVAEYCRRHGVDRGPVQCRKRWSNLAGDYKKIREWERGFAARKEASFWAMRNDARRERRLPGFFDREVYDILEGRAVGNAAASAASAARPAVVDVESKEEVTAALDCGRGADGAGLFSSSEDEDYQEDDAATPSPTPAPALAPEPVALPISEKTTDVPRQESSEQAGTSKRKQPEQMTEDSPAQCGQKRQRSDDNASGRAAMTNLQGQLVEILDRSSQMVAAQLEAQNINSRLDREQRKEQVSSLLGVLGKVADALYRIADKL >PAN39877 pep chromosome:PHallii_v3.1:7:43245141:43246797:1 gene:PAHAL_7G276300 transcript:PAN39877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARTMRPRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >PVH35048 pep chromosome:PHallii_v3.1:7:27335043:27335738:1 gene:PAHAL_7G094000 transcript:PVH35048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRRNPPELSPLPSTPRSPLPSMSSHLLRAVAVTSSSSEPVLQIEPEMLSSPLPSPPTSPHPQYRPRRIPSSPNNSGLPGPCGHLLHAGLPGAHPPDPSPADEQVHDPNALRQARPPQAPRTSAR >PAN40493 pep chromosome:PHallii_v3.1:7:46013854:46018688:1 gene:PAHAL_7G319700 transcript:PAN40493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNPHINIPSPRCPCALTSLSQHPPLSPSVCERERLALVCGAAVSSPSSILSPSASPFFTSREIPSPSSASTARFLTFPPRSIGKINSSRDPGVTVVDSSRSDRGPGVMDTSQHYPWLNFSLAHHCDLEEEERGAAAELAAIAGAAPPPKLEDFLGGGINGGGAGAGGPVSVAETAAAEMYDSDLKFIAAAGFLQSGAVGAAAPSPVSSLEQADPKLALPAAAAPVPEQRKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPSTTTNFPVAEYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEISRYNVESIISSNLPIGSMSASSGRSAKALESTPSNSSDAMPVEASTAPLFAALPVKYDQQDYLSMLALQHHQQGNLQGLGFGLYSSGVNLDFANSHGTTSSMANCYTNGTASHEQHQHHQQLQDQQQNQTHQNSSSCSSLPFATPIAFSGSYESSMTPGPFGYSYPNVAAFQTPIYGME >PAN39023 pep chromosome:PHallii_v3.1:7:39212886:39216705:-1 gene:PAHAL_7G214600 transcript:PAN39023 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MYGVGGGGGGFNAPSTTSGRRRNPGDEEEDEEEEGGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAAAATARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIKDGISHRLTDIGGSPESQIKALMGKLECSGDSSLQNALELVHGYLDQVPSYGHKEVLILYSALNTCDPGDIMETIEKCKKSKIRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGPEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVSSVPNRIQRGVQNCFGCQQNLFNPDGQISLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESQCGFSS >PAN39022 pep chromosome:PHallii_v3.1:7:39212405:39216813:-1 gene:PAHAL_7G214600 transcript:PAN39022 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIKDGISHRLTDIGGSPESQIKALMGKLECSGDSSLQNALELVHGYLDQVPSYGHKEVLILYSALNTCDPGDIMETIEKCKKSKIRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGPEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVSSVPNRIQRGVQNCFGCQQNLFNPDGQISLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESQCGFSS >PVH34957 pep chromosome:PHallii_v3.1:7:22592734:22619616:1 gene:PAHAL_7G075800 transcript:PVH34957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVGERLTDPLLRSPNGFLGREVWEFDPDGGTLEERAEVERLRQEYTRNRFTQRECGDLLLRMQYAKQNLHNTNLPLVKIDERSEVTEETMLIVLRRALSQYSLLQGPDGHWPGGYSGILFILPLMIFALHVTQSLNDVLSAEHIREICRYIYNIQNEDGGWGTHTLGPSSMFGTCVNYATLRLLGEVLDGENVALSRGRAWIISHGSATAAPQWAKIYLSVIGAYDWSGNHAIIPELWMLPHFLPIHPGRFWCFCRMVYMPMAYIYGKKFVGPITPTILAIRNEIYNVPYNEINWSKARTSCAKEDLIYRPSWLQNIAMTYLNKFVEPMSNLWPMTLLRERALKNLMEHIHYEDENSNYVGLCPINKALNMICCWIENPNSKEFKQHLPRIHDFLWLAEDGMKAKVYVGCHSWETALIVQSFCATGLTEEFCSTLQKAHEFLKNAQVTQNLPNYKSYYRERTKGSWTLTNGENFWAIADTTAESLKAILLLSKINPGLVGDPIKEERLYDAVDCLLSYVNKDGTLSSAECKRTTSWVEFINPSESFRNIIVDYPYPECTSSLIQALILFREICPMYRHEEIEKIIKNGASFIEKTQRKDGSWYGSWAVCFTYATFFAIKGLVAAGRTYQNSLSIRKACDFLLSKQLITGGWGENYLSCQIEEYVDSGSPHAVNTAMAMLALLYAGQIEHDPTPLYRAAKELINMQMETGEFPQQEIVGNFNSSLFFNYTNYRNTFPIWALGEFRRRLLAKRG >PAN40396 pep chromosome:PHallii_v3.1:7:44112585:44115865:1 gene:PAHAL_7G289100 transcript:PAN40396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPARRRGAAALPLALLGALLLALAAGATAWSHDGAVGLGATGAGFAGAGAGRAAGGERRYRDLAQRRMESVRSSFGARRDLATASASARVYHVTDYGADPTGATDATAAINRAIADAFRPASNATMTGGIPDLGGAEVHLDGGTYLIKGPLTLPASGGGNFRIHSGSLRASDDFPTDRYLIELSAGKGSGRTYDYEYATLRDLMLDCSYRGGGVAVVNSLRVGIDNCYVVHFGTDGIAVSGGHETLIRSSFLGQHMTAGNDPGERGFTGTGIRLDGNDNSITDVVIFSAATGIMVTRPANSISGVHCYNKATGFGGTGIYLKIPGLTQTWITNSYMDYTNIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQVVGNIFSGLDKGVDIVRLDGKFATVEQVYVQQNSATGMTVKSTAARGSAEGNGSSWTVDFADVLLFPDRIGHVQYSLVAGDGFPGHTLRNVSGNQVVVATDKAVSATVHVLVDQNTN >PAN40064 pep chromosome:PHallii_v3.1:7:44112372:44115865:1 gene:PAHAL_7G289100 transcript:PAN40064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPARRRGAAALPLALLGALLLALAAGATAWSHDGAVGLGATGAGFAGAGAGRAAGGERRYRDLAQRRMESVRSSFGARRDLATASASARVYHVTDYGADPTGATDATAAINRAIADAFRPASNATMTGGIPDLGGAEVHLDGGTYLIKGPLTLPASGGGNFRIHSGSLRASDDFPTDRYLIELSAGKGSGRTYDYEYATLRDLMLDCSYRGGGVAVVNSLRVGIDNCYVVHFGTDGIAVSGGHETLIRSSFLGQHMTAGNDPGERGFTGTGIRLDGNDNSITDVVIFSAATGIMVTRPANSISGVHCYNKATGFGGTGIYLKIPGLTQTWITNSYMDYTNIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQVVGNIFSGLDKGVDIVRLDGKFATVEQVYVQQNSATGMTVKSTAARGSAEGNGSSWTVDFADVLLFPDRIGHVQYSLVAGDGFPGHTLRNVSGNQVVVATDKAVSATVHVLVDQNTN >PAN40586 pep chromosome:PHallii_v3.1:7:46488638:46496858:1 gene:PAHAL_7G326400 transcript:PAN40586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAEPEKDAAAAAAAAEGDEDAEAKGSGSGWELLYCGGTSFDTMGRKVVGGAQGNLVSPTRLRPLMGVDIRFVGSGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLLRNLPTVVSQLSKYRIIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIESSPVSCIVAEATNAVCGAEFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKDSSVKLTYDPQPRPRAIATLSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEIFQKHNVLPPNAIVSAGSASSACTAGGGQLYMWGKMKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVTSVGCGYGLSLIVVDRANIGDRLDKLEIYDGDTTEVEEKVEVQATKKASASTNSRANKRKKTKDDSESEEEDDEDESGDDENGEIEEAKGRRGRKPSNRGRGRGAKKAAPETKPSGRGRGRPKKTESPAQKAGNSGGRGGKRGGKRGRPRK >PAN39075 pep chromosome:PHallii_v3.1:7:39532699:39533184:1 gene:PAHAL_7G219400 transcript:PAN39075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWDSPRHDAYSPALTAAHGFVQPAGGGAPSLSPEFNFTLYAANDRLTAGSCFSHGRVAVSYGGVAVGEKGACRAGAPGRGSTAEVGAAARGVGVRLPDGLRRRLETELRWGAAEFAVEARLFRDRDEETHSPVLLWCKAAGPQLPLQPLRCRAFTGSEV >PAN40014 pep chromosome:PHallii_v3.1:7:43829475:43835306:-1 gene:PAHAL_7G284800 transcript:PAN40014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVATVSSASGLLAMLQEPAPELKLHALASLNSVVHLFWPEISTSVPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSDDSDYAHALLAKALDEYASIKTRASKATEEEEDIDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLEEAIVRCDNIQGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPNPDYLSICQCLMFLGEPETVASILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLTSPTPVPSDPDTGSALQDDQTASAGTGTETAGDVQMRDDTTAPNGNAHTVDPNAIAHADRLAKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSTVKLPTAILSTYAKAKSRAKKDAESKANQEKATEEASGSTSGKTAKSQEKDADAMQVDNTAEKKAPEPEATFQHLTNPARVIPAQEKFIRFIEGSRYVPVKPAPSGFILLRDLQPTEAEDLALTDAPSTVTATTGNTAAAAGQQGSGSSAMAVDEEPQPPQPFEYTS >PAN39059 pep chromosome:PHallii_v3.1:7:39458352:39467541:-1 gene:PAHAL_7G218100 transcript:PAN39059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSFSKYPGHIKTTSIAYGCKLRARHTEGPVGIGGGAVRRRRRWQEERRGSEMGGKLVALLALVLAHGLLPCASAVDRGQFPDNFLFGTSTSAYQIEGAYLGGNKGLSNWDVFVHKQGAIVDGSNGDTADDHYRRYMEDIELMHSLGVNSYRFSIAWTRILPRGRFGHINPEGIAFYNALIDALIEKGIQPFVTISHYDNPHELDKIYGGWLSPEIQKDFGYFAEVCFKVFGDRVKFWTTLNGPNLLTKFSYMDGWYPPAHCSQPFGNCAFGNSSVEPYIAGHNMILSHANAVSIYRNKFQGKQGGHIGITVSSRWYEPFQNTTADILAVERALSFGGPWFLDPIILGDYPSEMRRILGPSLPEFTLKQKKKLQATKLDFIGLNHYTTLYMKDCIFSPCEVDPIDGDARVVSSATGHDGVLIGEATGSPHFYSVPYGMEQVVMYYKQRYNYTPIYITENGYAQASNSSMSAEDFTNDTRRVDYICGYLSFLASAIRKGADVRGYFVWSLLDNFEWTSGYTQRFGLYHVDFKTLKRTPKMSAKWYRKFLKGSILRTRLRNQNSQLQ >PAN40780 pep chromosome:PHallii_v3.1:7:47309626:47317874:1 gene:PAHAL_7G340300 transcript:PAN40780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVMVVARNFMDMVAALPASKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVLAPVTAAAMEEWVLNEYAAKHRVAIDKLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPLSVTGRLPASADLEAYALDQWECFLLQLINSSQVEKGTSFSSSMMKTFQRGLLSSRDGEAPKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHKLGAAYSLNTLTDVQRIAIRDLAELGLVKLQQGRKDSWFIPTQLATNLSASLSDSSSSKEGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESIYGAFENGITAEQIISFLKQNAHPRVADKIPTVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKEWFDQCCDHARDHGYLLWEDPRRMRLIVRGEFHPEMREFLRRQR >PVH35330 pep chromosome:PHallii_v3.1:7:35394169:35394354:1 gene:PAHAL_7G153900 transcript:PVH35330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGPRSHLRHPADSERERRTRRCWLRLDTGEAHGGRHRVSPLLAADRRRRGDSVSGPLPS >PAN37226 pep chromosome:PHallii_v3.1:7:7902345:7902596:-1 gene:PAHAL_7G032400 transcript:PAN37226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIVLVVIGIVVINSCKCIANKLEGETMYKDMHGKEVRKLINTDGRAAPTGDAIDHVCPLGSYPCRSMIQTSQDTTHDLGGH >PVH34854 pep chromosome:PHallii_v3.1:7:12871050:12876674:-1 gene:PAHAL_7G046200 transcript:PVH34854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSSLLLLLLAVAIHSAAFALGQTVQVWSSCLPVNFTPGGPYDTNLRGMLKDLVTVAVSYGGYGNDTAGGGSDQSYGLAICYADAPPEVCRLCLGIAAGNVTLACPCSTGAAMKYNNCLLRYANASFLARPDMVQRFSFYNNLTRAGDAAIYAAALRRLMDRLPPAAAASLRFFAFGRTNITGDQSLYGFAQCVADLSPDDCHRCLQRIAASLPIWTSGGRAYSQTCYTRFEVVPFYTPPNTQTIVVVPVPPPEPSPGAPTAVEFRVKFLHDFTRNGEEFINEKDISR >PAN40565 pep chromosome:PHallii_v3.1:7:44998779:45001658:1 gene:PAHAL_7G303800 transcript:PAN40565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLVQGQEVSSAMEAATIKFLHLLALIFYVLLLPSAGSTAPDTLNNGGNITDGETLVSAGGSFTLGFSPADALSSKRYLGIWFTASPDAVCWVANRDSPFSNTSGVLVISSTGVLRLLDGVSGRTAWSSNTTGASASAVAQLSDSGNLVVREQSSGAVLWQSFDHPSNTLLAGMRFGKNPQTGEEWSLTSWRASNDPATGDYRQVLDTKGLPESVLWQDSVKKFSTGPWNGLRFSGIPEIASYAGNFSVQVAVRPDEVAYVFNATAGAPFSRLAWDPAGRTWIVWMQSPRGVCDNYAKCGAFVLCNEDGAATLFCSCVVGFSPASPSQWSMRETSGGCRRDVPLECGNGTTTDGFRVLRGVKLPDTENATVDMSATVEQCRARCLANCSCVAYAPADIRGSGSGCVMWKDGIVDVRDLEDGQELYVRLAKSELGKHTAKEKRRAMERKRIVLPVTLLAPSACMYLVWICSLRENACLLSASDELGDENLDLPFVCFGDIVSATNNFSEDNMLGQGGFGKVYKGMMEDNKEVAIKRLGKGSRQGAEEFRNEVVLIAKLQHRNLVRLLGYCIHGDEKLLVYEYLSNKSLDSFIFDAADKRVLDWATRFKIIKGISRGLLYLHQDSRLTIIHRDLKSSNIYLWQDGKAMDPVDSSLLETCSPTEALRCIHIGLLCVQDNPNRRPLMSSHPEAQGTGENTGSSMNDMSVTVLNGR >PVH35331 pep chromosome:PHallii_v3.1:7:35395785:35395985:1 gene:PAHAL_7G154000 transcript:PVH35331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQASLAECSCLCKWYGRGLFPLFHHDKDRHPKKLMNYLACEFTYLLETFDPSFVKQILFYIRCK >PAN39000 pep chromosome:PHallii_v3.1:7:39099100:39112158:-1 gene:PAHAL_7G213000 transcript:PAN39000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVLVSSLVAATPRWLPLADSILRRRRPRCSPLPMLLFDRRTLSKPRKVSRGISMASRKATKQGEYCDEGMLSHILWWKEKMERCRKPSSIQLTQRLVYSNILGLDTALRNGSLKDGTLNMEILQFKSKFPREVLLCRVGDFYEAIGFDACILVEHAGLNPFGGLRSDSIPKAGCPVVNLRQTLDDLTRCGYSVCIVEEIQGPAQARTRKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISHSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNDSSGTSRWGEFGEGGLLWGECRGKSFEWFDGSPIEELLCKVREIYGLDEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKMVLPSNCGGLPSMYIRDLLLNPPSFDVAAAVQEACRLMGSITCSIPEFTCISAAKLVKLLESKEVNHIEFCRIKNVLDEIMLMSRNAELSAILNKLLVPASVATGLKVEADMLMNECSLISQRIAEVISLGVENDQAITSFEHIPKEFFNDMESSWKGRVKRIHAEEEFANVDRAAEALSTAVIEDFMPIISRVKSVMSSNGSPKGEICYAKDHEAVWFKGKRFTPNVWANTPGEQQIKQLKPAIDSKGRKVGEEWFTTVKVENALNRYHETCDSAKNKVLELLRGLSSELQDKINILVFCSTVLIVAKALFGHVSEARRRGWMIPTISPLSKDCIVEESSSEMELVGLFPYWLDVNQGNAILNDVHMHSLFVLTGPNGGGKSSMLRSVCAAALLGICGLMVPSSSAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRALVSRATARSLVLIDEICRGTETAKGTCIAGSIIERLDTVGCLGIISTHLHGIFNLPLSLSNTEFKAMGTEVIDGCVNPTWRLMDGICRESLAFQTARGEGMPDLIIRRAEELYLTMTANNKQATSMVHNEPSNVSSSVNGLVEKPDSLRNRQEILPGAFEPRRREVESAVTMICKKKLVDLYNKRSIIELVDVVCVAVGAREQPPPSTVGRSSIYVIIRSDSKLYVGQTDDLVGRLHAHRLKEGMQDATILYIVVPGKSVACQLETLLINQLPLKGFKLINKADGKHRNFGMSRISEEAIASH >PVH36010 pep chromosome:PHallii_v3.1:7:47154366:47156014:1 gene:PAHAL_7G337800 transcript:PVH36010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALSPSLGGLEFLEALVIRDMGRIGGAIPAALSRLTRLRALYLEGNMLAGAIPGSLGKLGSLQYLSLAGNRLEGQLPPELGAISGLEQINVARNRLSGAVPPSYKGLSRLAYLDLSNNLFSGAVPGFIGLFKNLALLDLSNNSFSGEIPASLCTLHSLTDLSLSHNKLGGQIPPQMGSLQSLNSLAMDGNMLVGSIPASLLGLQKLWYMNLSRNGFTGPLPTGIIRNALPSLVSMDLSHNHLTGDVDQFFRSLSAASHDANHSNKPSQIVLPQKLEHLDLSGNRITGALPEFTRGAGLNWLDISSNAIGGQIPSSISKLSSLERLDISRNRVRGVIPASMAEMVSLEWLDLSSNALVGRIPDNFTRLTSVRHASFRGNKLCGRIPQAKPFNLFRAAAYAHNLCLCGKPLPPCRKI >PVH35719 pep chromosome:PHallii_v3.1:7:41775800:41778757:1 gene:PAHAL_7G254700 transcript:PVH35719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAAWAWECHPAHRLLLLFNRDEYLSRPTQPARWWAAGEDSKEILGGRDELGGGTWMGCTRDGKLAFLTNVREPDSIIGAKTRGQLPVRFLQGSHSPLEYATEIAKEADQYNGFNLILADVNSGTMVYISNRPGGDPVIQTVAPGLHVLSNGAIDSPWPKMVEELMMDTIKPDRSMVPDTGVDPEWEYKLSSIFIDTAKGQARYGTRSMVALASKLDGEVTFYERYLENSSWKENMIQFQMENAQQDLRGTSE >PAN39599 pep chromosome:PHallii_v3.1:7:41775801:41778757:1 gene:PAHAL_7G254700 transcript:PAN39599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAAWAWECHPAHRLLLLFNRDEYLSRPTQPARWWAAGEDSKEILGGRDELGGGTWMGCTRDGKLAFLTNVREPDSIIGAKTRGQLPVRFLQGSHSPLEYATEIAKEADQYNGFNLILADVNSGTMVYISNRPGGDPVIQTVAPGLHVLSNGAIDSPWPKALRLGQSFKKYLETNDDSEASLKQMVEELMMDTIKPDRSMVPDTGVDPEWEYKLSSIFIDTAKGQARYGTRSMVALASKLDGEVTFYERYLENSSWKENMIQFQMENAQQDLRGTSE >PAN37223 pep chromosome:PHallii_v3.1:7:8000850:8001631:-1 gene:PAHAL_7G032600 transcript:PAN37223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIIVPAILSVFLMTTTSSDALTRKLLSGDGEQQAGQVQEETAIRVDGRPSTGYGDHVCPRSLFPNCSKRLDQPSSNNLG >PAN38523 pep chromosome:PHallii_v3.1:7:36986719:36987574:-1 gene:PAHAL_7G177900 transcript:PAN38523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDIPDRRFPSLKTPPAAFRTLHKLTPCPLITTEAVDFAALTPLLLPHPSLISSTVRTTRKQHPSKGRSSDRERGAEARSGGGGGMDRNLSGLLIGCVGAAVTLLAYNQTVVTSTQCIAAGFVILLFALGVKEGIISL >PVH34788 pep chromosome:PHallii_v3.1:7:7157815:7158675:1 gene:PAHAL_7G030400 transcript:PVH34788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLETPVIGWGSLFGSTRAPPENPENSAAADEGDAAMQPLTDGNPDDGEREPLTLSVPEEDTPRK >PAN37808 pep chromosome:PHallii_v3.1:7:32567593:32572540:1 gene:PAHAL_7G124300 transcript:PAN37808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLAERLRTFSRNRWLVLVAAMWVQSMAGIGYLFGAISPVLKATLGYDQRQLAALAVAKNLGGYVGVVAGTLSAALPAWAMLLMGAAQNLLGYGWLWLIVDDRAPALPISMMCVLIFVGTNSATYFNTASLVTCIKNFPASRGPMVGILKGFLGLTSAILTQIYAVMHTADQAKLVLMVAVGPALVAIAMMFVVRPVGGHKQARPSDKKSFMFVYTVCLLLASYLAVVKLAQDFLRLTDNVVKVLTVILFVLLVSPIAIPVALTMTSKSELSMEEALLSEPLTAESSSSQEEGGHRQSILTDVEEKSKDTDSLPQSERRNRRRLHLGENFTMMQALVKADFWLIWVSFLLGSGPGLTVIDNLGQMSQAVGFRDVHIFVSLTSIWNFLGRVGGGYFSEIIVREYGYPRHTALAIAQILIASAQFLFAMAWPGTMYIGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLSLANPTGSLIFSGLITSTLYDYEAEKQAHQHQVTGLLSPRLLQSTGFLTGGSLTCEGAVCFFVSSLIMSGLCIVGAGLSLIVVHRTRRVYADLYRPVRT >PAN38157 pep chromosome:PHallii_v3.1:7:35215667:35221132:1 gene:PAHAL_7G151100 transcript:PAN38157 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MASSDISVDIRPDFNSLDHFPSMRYIATDRPWLKLYGIRVQPMPPFRSLSCKPDPALIHHCLPDELLLEIFTRMNPYTLGRAACVCRKWKYTARNPALWRAACLKTWQRSGTEANYMMVWSLYDSSWRRMWLQRPRIRIDGLYVSRNTYIHTGVTEWQFKKTVNVVCYYRYLRFFPSGKFLYKISPDKIKDAVKCMHFRASKTDCVFKGDYILSEDGQIEMALLYPGHRYTLVRMRLRLRGTTIGANNRLDVLKILTTGVNATELKNWTGSILELVEGWEEDETHDPDVPAVSHSRGLSPFVFVPFEEADTSELNLPVEKMDYYVPG >PVH35570 pep chromosome:PHallii_v3.1:7:39287193:39287667:1 gene:PAHAL_7G215200 transcript:PVH35570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSAADLACPDRVWVADRCDPTASGLRTSLLVPFGDPLFTLSIVAVARRGGFNFTANVSTEDEIEIN >PAN40230 pep chromosome:PHallii_v3.1:7:44821582:44822748:1 gene:PAHAL_7G301000 transcript:PAN40230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPASPFGRGVSASRRLQLQSFYPTPLQLRAPLPSIACARMSALAKALVALVAMAAVAELAAAKNHTIRWSASGNYGDWSASNAVSVGDSVVFTYGPPHTVDELPSEADYTACSFAGAVSSDSTGSTAFTFDRPGTRYFACATGSHCAGGQKVAITVSGASSQSPATPKGNSAAPMAGIAAKLALGLGVGAALLAAFN >PAN40876 pep chromosome:PHallii_v3.1:7:47688276:47692699:-1 gene:PAHAL_7G346900 transcript:PAN40876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGARKASRNYERYVVRQKRAEGKKALKDYLLYGKSSPHLQDGSTGSFANSHEIPRFKTFRKGPQSHWSSKAQQGVHNHRKSKKDKARFCNFFHEDHYVHPDEIFEAIFGTHHGFTWSHVSWEDFRFRDRSFRFRWSGGESHRERIPSDSEDESEDSRERISVGSHAHRVILGLPPWGPLTLEDVKTAFRASALRWHPDRHLGSSQAVAEEKFKLCVNAYNSLCSVLKVA >PAN40877 pep chromosome:PHallii_v3.1:7:47688276:47693540:-1 gene:PAHAL_7G346900 transcript:PAN40877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAPRTMKTLPVLRNHLCDPTRLAGAGAPSSFHSTPASFAKWKNKWDCPKSEKGARKASRNYERYVVRQKRAEGKKALKDYLLYGKSSPHLQDGSTGSFANSHEIPRFKTFRKGPQSHWSSKAQQGVHNHRKSKKDKARFCNFFHEDHYVHPDEIFEAIFGTHHGFTWSHVSWEDFRFRDRSFRFRWSGGESHRERIPSDSEDESEDSRERISVGSHAHRVILGLPPWGPLTLEDVKTAFRASALRWHPDRHLGSSQAVAEEKFKLCVNAYNSLCSVLKVA >PVH35446 pep chromosome:PHallii_v3.1:7:37556701:37560717:-1 gene:PAHAL_7G187800 transcript:PVH35446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRLLLQLLLLAAASDAYDGGAGQPPISRRSFPEGFIFGTASAAYQMALLCSHAVRGRCNGRGQRAEHLGHLYSSAPRNAYTSIISLDKIADRSNGDVAVDSYHLYKHITETSDLPKNAGRCFSISWSRILPNGSLSGGVNREGVRYYNNLIDELLLRGVQPFVTLFHWDTPQALEDKYGAFLSPNIINDYKDYAEVCFKEFGDRVKHWITFNEPWSFCTTGYASGMFAPGRCSPWEQGKCSAGDSGKEPYTVCHHQILAHAETVRLYKEKYQAAQKGNIGITLVSNWFVPFSRSKSNDDAARRAIDFMLGWFMDPLTRGDYPLSMRTIVGNRLPQFTKEQSELVKGAFDFIGLNHYTTNYADNYPPSNGLNVTYDTDARANLTGIRNGVPIGPQAASSWLFVYPQGFRELLLYVKENYGNPTVYITENGIDEDNNQSLSLQEALKDDARIEFHRKHLLALQSAVSDGANVKGYFAWSLLDNFEWASGYTVRFAINFVDYNDGLKRHPKSSSHWFTEFLKK >PAN39827 pep chromosome:PHallii_v3.1:7:42909547:42911296:-1 gene:PAHAL_7G271400 transcript:PAN39827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTAATRYVHQLHHLHPDLQLQHNYASKQPEPSDDDPNGSGGGNSNNGGPYGDHDGGSSSSGPAGDAPGGGSGGNGEMVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFESVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPTGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAQAAPPGLQMQPPGGGADGAGGMGGGPFPPDPSAAGLPFFNLPLNNMAGGGSQLPPGADGHGWAGARPPF >PAN36890 pep chromosome:PHallii_v3.1:7:1773858:1777120:-1 gene:PAHAL_7G008900 transcript:PAN36890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKQSSSSFWSSRRRAASAPATPRPEPASAADPPIGCMSMVHYLIFAPGAGCVGRPPASSNAVVVTPHGFLSPRRHSDGNGKSGFEAPRNSLDLDLDADNPNDIQIGVQIEPVFDALARTNMRRPKPTAPSSEAETPRTPSLVARLMGIDGLPDSQQPSPFAAHHRKQPAGRHSSKKHAADNKENHCCSAPASAGNGAKAEKKKRVIPESMNREPLRSLSCNVGGGDARSLPDTPRASTSARASWDGPRLSLQALKESVLDRAAQYMSMPSSPTSSSAGKKKNKDAACSRRRRQDERERERVAKEHAREILRQAKENVASRNKSSSKSKSKSSSPAAEKMHSIFNNKENVPTSPAAAPAVEDKLVVVQAGKPMVAAAKAQQGTTEHPPSQSPRVPLAPRQPPPPQRAKPSRPPPPPPPLDPPTRARKPDGCERFATRIKKPAAGGGQPPAPSASPVALPPAPASSSGSALGHQQRRHGGAAVPLEEDPEYSYLRSVLERGGFMRSPPPRRPFKGHSVSSPVDPIVFHLLELELPADEARLGPLRHRWNRKLLFHLAQEVLADLLLGLDEPPPPSGPPLLGKVWRKVRSFPAADCRVVGDIDALVAADLEAARVRRLARHPAVAEEAGDVAEEVAELVLEALLGECVAEESGSLALSLPPPAPAAAPDTRPPPSRAQAM >PAN39857 pep chromosome:PHallii_v3.1:7:43135805:43136172:1 gene:PAHAL_7G274800 transcript:PAN39857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQLSISAMPKLLPEEAGGGGNDDDVEAKPEKAPAPRSSGKERSVHFIPLVIVLCFLLLFLCSHDPSPTDMSSFGEKAGSKNAKSL >PVH35202 pep chromosome:PHallii_v3.1:7:32716659:32718010:-1 gene:PAHAL_7G125800 transcript:PVH35202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPFASRSCSSLPFATRFVLPPLWPVAFAFMLLQWFCSKTFTVSFYFLCSRLHQTWSVPRYGFQVRAAREGRGQHQQPAAEGRAGGLGEGSSGSTLDRWMVEPLFCLEQRRPRESAP >PAN40352 pep chromosome:PHallii_v3.1:7:45226104:45226713:1 gene:PAHAL_7G308600 transcript:PAN40352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFASQLKGMFFALVERITGYGAGTGGEEQVRRRTEDGAPVAHTHEIRPRGAGDPSVPGGSEIQVN >PAN40441 pep chromosome:PHallii_v3.1:7:45676041:45677375:-1 gene:PAHAL_7G315600 transcript:PAN40441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHHFHVAAYLEKAASTSSSPPSISSPSLSSSAALPLGALQCLRPLAPKISFPEARKMVVLPEFARVRNASRLLNCTVPASGTTRWNPSPDQIKVLEMLYRGGMRTPNSFQIEQITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTTSSLPEAETKDGLGKKEEASSSEDASIRKRRCRAWGDVHGDVTTTAGAGAEVVADCADDVTLELFPLRPQGKAN >PAN40309 pep chromosome:PHallii_v3.1:7:45068820:45069558:-1 gene:PAHAL_7G305000 transcript:PAN40309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYASRVVLRAAHAVRARQPAAPRTMAGGVKPGTAPAAQRPQGVGGGRSSVPVEKAVAVEKLRRRKAQKAENVMHLVCWGPN >PAN40324 pep chromosome:PHallii_v3.1:7:45121594:45124330:1 gene:PAHAL_7G306500 transcript:PAN40324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRPFLEQRRPSFKRRWQQRPWWVRLALSLLLALACVLLLAVLLGSPDPGASPSISTASSGSEATSSPLLRQRSYLGGITDVLNMTDEMLSARSFSRQLMDQIYLAKTYVVVAKEANNLQFAAELSAQMRRAQSILAHAAAHGGTVLEQEAEKAIRDMSVLLFQAQQLRYDSGITIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKNSELQRKFSDRSPAVQNNLRDNSLYHFCVFSDNILAVSVVVNSTAINSKHPEKIVFHLVTDELNYAPMRAWFAMSDYRGVTVEIQKVEDFTWLNASYVPVLKQLQNTATQKFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELQKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKSFWDNYVDYSHPLIQQCFMR >PAN38427 pep chromosome:PHallii_v3.1:7:36586862:36589037:1 gene:PAHAL_7G171400 transcript:PAN38427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALMSSSVTPGGLAPHTSKVSTRRRWNPVISSASCHHRRGLIGLTMNSSGMNNAFPFKGTTTRIQAVGPGPANPSGGNLPIPNMPPWAKWVVGAVIVAIPIYRRFRTLEDKIEKTAEVAIEVVDTVAEATEKVAGEIADAFPGNENLKEAASRIQTVTDAIEGDAEKAEALIHKVDEIKKEVDSIVDPIIDKVVKEEQERNN >PVH35795 pep chromosome:PHallii_v3.1:7:43666294:43671210:1 gene:PAHAL_7G282400 transcript:PVH35795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFGRGTSTVAFACAGADPPQQERWNASVPACAWTGVRCDAANATVVELRLPGIGLIGSVPPGTLGGLRGLQVLSLRDNRLLGDIPSDLFGLPRLRSLYLQGNLLTGAIPAEVGRLASLEHLALSRNSLTGAVPSALNNLTRLRSLRLDGNRLSGSLPSLSFRQLEVFNVSYNDLNGSIPSSLARFSPESFAGNVGLCGPPLVDQPCQPSPPGVPVKSSKKKRKLSGAAVVAIAVGAGAAALLALVLLALCAVHHHRRAATGEEEVKTTPPTRGLTPSTASGEMTGGDFTSSSKDISVAAAAAAAGAAERSRLVFVGKQGPRQHHYSFDLEDLLRASAEVLGKGSLGTSYKAVLEEGTTVVVKRLRDVAMARREFAACVEAAAEHRNLVPLRGYYYSKDEKLLVVDYLPGGSLSARLHGSRGTGRTPMDWEARMRTALCAACGVAHLHTAHSLAHGNVKSSNLLLRPDPDAAALSDYCLHQIFPPAPARPGGSGGGYRAPELVDARRPTFRSDVYSLGVLFLELLTGKSPAHHASLEGGDGAVDLPRWVQSVVREEWTAEMFDAELVRMGGSAEEEMVALLQVAMACVSTAPDARPDAPDVVRMIEEIGGGHGRTTTEESEGTRGASEEERSRGPTP >PVH35788 pep chromosome:PHallii_v3.1:7:43457768:43458034:-1 gene:PAHAL_7G280100 transcript:PVH35788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWKLGKDLLCDRDSSTHAGAKLVYMGDSRFCLVACRKAKDYASNPSLRAMDMASFVIKYNKDGDLRTAKHWAHGSMSTVVLVPDGA >PAN37763 pep chromosome:PHallii_v3.1:7:32065272:32069940:-1 gene:PAHAL_7G119900 transcript:PAN37763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDSPRSPEAEIGHRLEDLWEVAEPQLSPSEKLNSCFEEIPVASFPRTHPSQVIEIPSDASLAETVEILSKNKILSAPIRNVEAPEDASWMDKYIGIVEFAGIAMWLLSQSDAAANGTAGSGIGSPVSNLVSRLGSFTFRRTSSGRVETATDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVMHMLAECVGLPWFESWGTKKLSELGLPIMKPSKLVKVNEDKPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPNIYKEHRTITTKDFLTAVRQHLQEQHETSPFLHDVITCKKDDTIKDIILKLDSEKIHRIYVVDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV >PAN39757 pep chromosome:PHallii_v3.1:7:42662216:42663101:1 gene:PAHAL_7G266600 transcript:PAN39757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73530) UniProtKB/Swiss-Prot;Acc:Q9FX45] MASAASIAPAVVASRSFSFTAAAYPSASRTRSPAALSCGVRNQQRPSTASACLQPPTASHGAATRLYVRGISFRTTEQSLRSALEKFGQLTEVHLVMDRVAKRPRGFAFVSYADEEEAKSAIEGMHGKFLDGRVIFVEVAKRRPGLSASEGSCPGAKRSVIQFP >PAN38783 pep chromosome:PHallii_v3.1:7:38148413:38153695:-1 gene:PAHAL_7G197900 transcript:PAN38783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLEVDGDFIAGGNWKLHGALCNQLYTVVHEVLDVIPSLETTRPRCSSRLLALSSLSIAAEKAKNLLQYCSECSKLYLAATAESVLIKFENSRQALLESLHQVEETIPEAIGSKITQIAQELDKAVFALDQSEKQIGDEVNHLIQNESKFNGFLDENELEFFRQTAFRAGIASSTAALTERRALRKLLERAHAEEDLEKESIAAYLLHLMRKYRNIFKSEITDSTNSQCSSPSCSSSSLSSSIGLHRSLSSSVDLHGNCQALDRQLPRVGSFSLKRIKGLSGSMPLPAEELRCPISLQLMYDPVVIASGQTYERACIEKWFDSGNTTCPKTRKQLPHLSMTPNYCIKGLIASWCEQNGVLVPSAPPESPKLKYLRISSLKSSTCLVTNGVNTVLFEDTSAKDDAKSDSAVIVEKFSRQNSSEATSKIRVDEVSPEKWFHQNSGEATSEICEIEDSLMECPDQNSKETVSEICEEWLHVLSKNNSDCMNEQHKLVEQIRLLLKNDDELRDYAGANGITEPLIHFLKMAIFREDVQSQEVCTMALFNLAVSNDRNKRQLLSAGVIPLMEQMIQKPETCEAAIAMYLNLSCISEAQSIIGSSDAIPVLIEGLREDGSRSDTCRLDALLTLYNLSLHAPNVPLLMASGIIESLRSVLAPSSSWTDKALAVLLNLALTRVGKMEIAANAAIMGAIVLILDNGEPVEMEKAVSCLYVICSGDEGSSQTVLQEGVIPALVSVTANGTARARDKAQRLLRLFREQRQRELEEMQPRVQLHEVASQAAAAQQQQAEEEEEMVLAATPAAAGKASSSKRPRLRRSGSRRFTKAFTCLLKKWSFR >PAN38309 pep chromosome:PHallii_v3.1:7:36076922:36078673:-1 gene:PAHAL_7G163100 transcript:PAN38309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKVGFEKFDLEVIREVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDAQGGTSEDGWVVCRVFKKKCFFKIGGGEGSTSQGADAGGHLAVSPPLGGHHDQARAALASHYMHPHQYYHHASSYYSQMQAPAPHAAYSHHVQVQDLLTNHRPGDDAAGAGYDFSGLPVEHHPGGLDVGSSDGVAADGAQLGEGRDQASGAAAEQWQAMDGFSNGGGAAVQQMAGAMSSGAQRGGEMDLWGYGR >PAN39356 pep chromosome:PHallii_v3.1:7:40721116:40721872:1 gene:PAHAL_7G237200 transcript:PAN39356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCVVQSLGKNLGGSLATCMNKIRVIQNSFGRGREAGALHRQHSATIQTRKIEIPTALSPRPNPKQLTHFRPDHPKLNRGRGFCDRRRSAGKRDAGPAPERRARRGVDTRSLELLILHARDADARPHRPATRPARDADPGPARATRPPPCVPRGTAGGRRPPGPAAARNPSSRRPPPPPPPAPSWSRPRGPIRHRYASFLPCINPPRLSCTPRPPPSRSRARARSSPRD >PVH35549 pep chromosome:PHallii_v3.1:7:38997253:38997910:-1 gene:PAHAL_7G211600 transcript:PVH35549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPHSLEFFVTNALMFLLQLCPAYHDRVRAKKRTQLCCVCWLDQVTRAIAQSLHSSSLPSSVRGKMLVGWLCLAESSSSSCTQHLRRDICLPRDIFCKRMQWSFR >PAN40804 pep chromosome:PHallii_v3.1:7:47429694:47433504:-1 gene:PAHAL_7G342200 transcript:PAN40804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G25550) UniProtKB/Swiss-Prot;Acc:Q8GXS3] MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >PVH35127 pep chromosome:PHallii_v3.1:7:30705211:30710021:-1 gene:PAHAL_7G110100 transcript:PVH35127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPFPPALPPSHPPPPPPPDLTSALARLRSLLSAASSALAALPSPLQLHQASLHTSIPSPEPTTTAKPPLPPPTTAITLPLPAAPAPYADCPAVVRTTPTPIPAVSTLPAPIAAECADFSSCGAGQSPSPPPRILPSELSLLRRELDSWGAGGYHPPGSCSYAATRVAAALRLGVTPRWEAELRQWVLGSSPRYGVKVGMMEADHICVLLWLCLKVTAAEAGCSLEAMHYGDGNESVGFDPRAKRFECPRLVEGVSWLGTQLGVLYGESNGRLFALAAVKEAVLQMAYCLAVGVGDGAVGGGEGEVGASGGAGEIGSNAGDVVAGRVFLSQVAAAIMALYERFSLEKTKALQAQRPSKHQLLLEYSQALERGDLERSNRPNYRAVLEYDGILSRRVENQESARAKTREELLAEERDYKRRRASYRGKKVNRNPTEVLRDIIDEHMEEIKQAGGIGCLVEAPSDIAENVLKSNSHGGTYQGSYEFSRISYHDKAALGSRSPSCDKSPRADSLGRFSSRSRDTRDSYKTSRYETHGNRYQYISENENRLIVGSESEVDQSYPYRQENHRRQRSSNDNINYGNKYKKGVPDHRSESSDCAAWSARSQRSSVTEYAHMSGEGCSDRNRASQKQHRSLSVTQDQFSDRYDPQSTYSDGDPPTDMLSDAAEGKREIYQAEVHHRRHHERKHDHHR >PVH35126 pep chromosome:PHallii_v3.1:7:30706039:30710084:-1 gene:PAHAL_7G110100 transcript:PVH35126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPFPPALPPSHPPPPPPPDLTSALARLRSLLSAASSALAALPSPLQLHQASLHTSIPSPEPTTTAKPPLPPPTTAITLPLPAAPAPYADCPAVVRTTPTPIPAVSTLPAPIAAECADFSSCGAGQSPSPPPRILPSELSLLRRELDSWGAGGYHPPGSCSYAATRVAAALRLGVTPRWEAELRQWVLGSSPRYGVKVGMMEADHICVLLWLCLKVTAAEAGCSLEAMHYGDGNESVGFDPRAKRFECPRLVEGVSWLGTQLGVLYGESNGRLFALAAVKEAVLQMAYCLAVGVGDGAVGGGEGEVGASGGAGEIGSNAGDVVAGRVFLSQVAAAIMALYERFSLEKTKALQAQRPSKHQLLLEYSQALERGDLERSNRPNYRAVLEYDGILSRRVENQESARAKTREELLAEERDYKRRRASYRGKKVNRNPTEVLRDIIDEHMEEIKQAGGIGCLVEAPSDIAENVLKSNSHGGTYQGSYEFSRISYHDKAALGSRSPSCDKSPRADSLGRFSSRSRDTRDSYKTSRYETHGNRYQYISENENRLIVGSESEVDQSYPYRQENHRRQRSSNDNINYGNKYKKGVPDHRSESSDCAAWSARSQRSSVTEYAHMSGEGCSDRNRASQKQHRSLSVTQDQFSDRYDPQSTYSDGDPPTDMLSDAAEGKREIYQAEVHHRRHHERKHDHHR >PVH35238 pep chromosome:PHallii_v3.1:7:33662001:33667724:-1 gene:PAHAL_7G133900 transcript:PVH35238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGWIMGKVKAVPSGDTLVIMGSAKAEMLPPEKSITLSSIIAPRLASRHGTDEPFAWDSREFLRKLCIGQDVKFKVDYIIAASGREFGRVYLAGDQNIAGLVVAQGFAKVKEQRQKGETSPYVTELLRLEEIARNQGSGCWTKEPGAAEASVRILSSSTIGEANPSGTKGFVAEMKGKALEAIVEQVRDGSTIRVYLIPSFSFVQVYVAGVQAPSMGRRLPSAVAPKEVANNIDVNGKASREAQAPPILGTLQKPVASAVTYSEIPPDRFGKEAKHFTETKVLNREVRIILEGTDSFNNMFASVYYSDGNTAKDLALELVENGFAKYMEWSANMLGAETKRKLKNAELQAKKEQLRIWTGYQLPVTNTTPIHNQKFTGKVIEVVNGYCIIVADDILPAGSPLAERRVNLSSIRPSKSVDSLGESKTIEHFARAAKEFLRTRLIGKQVHVSMEYSRRINISNGQVAADKTNLVDTRTLDYGSVFLPSQVGSSGNLLGANVAELLLSRGFADITRHRDYEERSHHYDALLEAYSRAEKVKKGYHAKKNYPVTHMNDLTTVPAKKARDFFHLLQRNRRHSAVVEYIFSGHRFKLTIPNETSTIAFSFSCVRCPGKNEPYSDDAIALMRRTILQRDVEIEIEAVDRTGTFLGSLWESKNNMASVLLEAGLAKLSSFGIDRISDAQTLIRAEKYAQQKKLKVWENYNEAEGIPQRSLTGQNGKQTFKVIVTEVIGGGKFYAQIVGDRRLDNIRQELASMKFNDASETLNAKGSSDTLSDTLEVQDQPMHTPSDTSEVGVEPKNHVVPLCSTWSSLFKDKVDTLKDEILVGTSEAEDLSANVPSDVVPFNPTKGDVVLAQFTLDNSWNRAMILSEHQGPTEREFEVFYIDYGNQEIVTYSCLRPAPANQSTSLIPPLAKLFRLAFITVPNLTDDLGEQAARYLSMVLLDNEKEFKATVEERGSVGARQEGQGTGEVLIVTLLDEDAESSVNAAMLENGLAQMERKRSNSWDRRGAVKNLEQFQEHAKKERRGIWRLRGTDVPGKSAEDGEQCDTIDEDASSSSAS >PAN38367 pep chromosome:PHallii_v3.1:7:36306601:36308245:1 gene:PAHAL_7G167000 transcript:PAN38367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHGSSSPGLPLFVLSLLLLSPPGTLAGGDGRPPSKPIVTPISKDGSTSLYTIPVKGGAPLVLDLAGPLVWSPCQPGHRTVPCKSSVCTAANRNHPANCAYTGTGQPGSPDPTCACTAYPYNPASGQCGSGDLTIAPLSSNATDGKNPLFPVSFSAYAACAPDGLLASLPSGAAGVAGLSRQPLSLPSQVASRLKVAKQFALCLPGGGQTGAAIFGGGPFELQAAPPMELAEDLRKNALPLLVNPKNGAYYFRVHGIAVNQAQVPVPGGAFDLDRRQGTGGVVFSTVTRFTTLRSDIFSPLINAFDAATSGIPRRKPMPPYDLCYEASAFSSTRVGPGVANIDLMLDGGRTWTLPGASSLVQVDERTLCFAFQSMGSESTVANSPAVIIGTHQMENNLVLFDLEKGTVGISGLLLGRRTTCGNFNFAMGSS >PVH35007 pep chromosome:PHallii_v3.1:7:24856031:24856769:1 gene:PAHAL_7G084700 transcript:PVH35007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWRSLRATTAALARPQQRTCCSCFFPVNQGQAPPAPCARRHASCSSCPQAGRGGGRGR >PAN38175 pep chromosome:PHallii_v3.1:7:35279998:35283254:-1 gene:PAHAL_7G152300 transcript:PAN38175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVLPPALLPLLLLLLLPLSARATAAAGEEFPRDGRVIDLDESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVAPDVSILESDAAIKNFVENAGTSFPMFLGFGVNESLIAEYGRKYKKRAWFAVAKDFSEDMMVAYDFDKVPALVAIHPKYKEQSLFYGPFEGNFLEDFVRQSLLPLVVPINTETLKMLNDDERKVVLTILEDDSDENSTQLVRVLRSAANANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRNEEYELVDGSERLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFLNSLVSMNSLYILIFVIALLVIMVYFAGQDDTPQPRRVHEE >PAN39194 pep chromosome:PHallii_v3.1:7:40088107:40091453:1 gene:PAHAL_7G227200 transcript:PAN39194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPGPTRLTLPTTSPFQPRLVAAAPKPNPLNPSAAAAMLRRLAAVAPRAFFSSSSPHAAPLAASGYTSRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPITDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGARGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTGQAIDRIEQCMERDMFMDPEEAHDWGLIDEVIEHRPVSLVSDAVGSDPPNGGNGANKGTEEPSSA >PAN37658 pep chromosome:PHallii_v3.1:7:28367916:28370280:1 gene:PAHAL_7G098000 transcript:PAN37658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTPEKRQPHAVCLPFPAQGHVTPMLQLAKLLHAQGFHITFVNTEFNHRRLLRSRGPDALDGVPSFHFATIPDGLPPSDADATQDPPSLCYSTMTTCLPHLLALLADLNAPISGTPPVSCLVVDGIMSFGYDAASEIGVPVAALWTASACGFMGYRNYRQLIDRGLVPFMDEEDLADVAGGHLATVVADARGMCDGVQLRDFPSFIRTTDRGDILLNFLLREAERLSLPDAVMINTFDDLEATTLDAMRAILPPVHAVGPLLLRERQVIPAESSLAGLGSNLWKEQAGLMEWLAGRAPRSVVYVNYGSVTVMTNSQLLEFAWGLASSGYAFVWNIRPDLVKGASAVLPPEFAAAVEGRAMLTTWCPQEAVLRHEAVGLFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGIGMEIGGEVRRDELTDIIREAMDGEKGLEMRRHAVEWKQKAVKVTLPGGSAEANLNKVINEVLLAKKGKAVSA >PAN36809 pep chromosome:PHallii_v3.1:7:870159:873280:-1 gene:PAHAL_7G003400 transcript:PAN36809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQQPRSMVADPVTAVLALIAAVTAVALHHLISSWRLQARLPPGPTSLPVIGHLHLLRPPVHRTFQELASRVGPLMHIRLGSTHCVVASTAEVAGELIRSHEGSISERPLTAVARQFAYDSAGFAFAPYNAHWRFMKRLCMSELLGPRTVEQLRPIRRAGAVSLLRAALAASARGEAVDLTRELIRLANTSVIRMVASTVPGSVTDEAQELVKAVAELVGAFNVDDYIALCRGWDIQGLRRRAADVHRRFDALLEEILRHKEESREARRLLTVDHRKTKEAAATTHKDLLDILMDKAEDKTAEVKLTRDNIKAFIIDVVTAGSDTSAAMVEWMLAELMNHREALRKVVAEIDAVVGGDRIAGEADLPQLPYLMAAYKETLRLHPAAPIAHRQSSEEMVVRGFTVPPQTAVFINIWAIGRDPALWEEPLAFRPERFMPGGAAESLEPRGQQFQFMPFGSGRRGCPGMGLALQSVPAVLAALVQCFDWATGAGGDDGAAVKQQQLIDMDESDGLVCARKKPLLLRPTPRLNPFPAVV >PAN37057 pep chromosome:PHallii_v3.1:7:8696145:8701300:-1 gene:PAHAL_7G034600 transcript:PAN37057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEKEVREGTTPRGADWEVVTLTASAYEAAPGPAGTEPKPVNEERSSSNALLMSDHFVFPPSEHENLPIQTSFDDIQPEKDVQEASTSVEDYSIKNDAGSERVQFYDERRNLSVDDAEMRDDVPGYGSSHTEDDGDGFVAHDDDNEAGDGSDEKSGQPLKPADRKSHDAGASCKCWLKKHMTCLYHQAKETNAIWSVVVAAALVGIVILGRWHKDKLHFDHLKWRSGSAVRG >PAN39840 pep chromosome:PHallii_v3.1:7:43009487:43009879:-1 gene:PAHAL_7G272700 transcript:PAN39840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLDVEAGGSTISADAVTAADVAEATLDVAKLRRVLVAGGVGQAAAALYMMLFRAPAGVFLLSGSLVRAYYFVLAAIALFGVAEAWTGLWLSHDDPAPPRRRAVGVAVLWASTLPLLLLAGVGGVAVLK >PVH35791 pep chromosome:PHallii_v3.1:7:43546998:43548644:-1 gene:PAHAL_7G281200 transcript:PVH35791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEESKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDGELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPNLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASPREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN37839 pep chromosome:PHallii_v3.1:7:32836449:32837415:1 gene:PAHAL_7G127100 transcript:PAN37839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARAAAELLRFLFLLALAAGAAAAIADAPAPAAAAEGSAATTKPPAGCRRGDLVVRQRATGRTVEGKPEYAVEVRNACRCAQSRVVLRCYGLSSVEAVDPRAIRAVDGERCLLRGGRALAPRGGAVRFTYAWMTPQDFPLVSSQAHC >PAN40686 pep chromosome:PHallii_v3.1:7:46844292:46847966:-1 gene:PAHAL_7G333400 transcript:PAN40686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKVLLLCGDYMEDYEVMVPFQALQAYGVSVAAVCPGKKAGDVCRTAVHQGIGHQTYSETRGHNFALNASFDEITANGYDGLVIPGGRAPEYLAMDEKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRTCTAYPAVKPVLVAAGAKWDEPDTMAKCTVDGNLITAATYDSHPEFISLFVKALGGSVAGSDKKILFLCGDYMEDYEVMVPFQSLQALGCHVNAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFTLTASFESVDASSYDALVIPGGRAPEYLALNDKVISLVKDFSDKGKPIASICHGQQILSAAGVLKGKKCTAYPAVKLNVVLGGGTWLEPDPIHRCFTDGNLVTGAAWPGHPEFVSQLMALLGIKVSF >PVH35936 pep chromosome:PHallii_v3.1:7:45452529:45454464:1 gene:PAHAL_7G312300 transcript:PVH35936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSASVCSSSFGGKTTHGVGVRRRRARQQRGATPRRAACVSALRLRQRVVAALGAALRRAPMSSRLHRPTLKQLSSLSSFPSSHPPTAMATDAPVGKDTRRGWHKLGDRDSRDGGTLTMEHGPLTISGISSTESCKEAAAQTTIFA >PAN38700 pep chromosome:PHallii_v3.1:7:37710142:37715307:-1 gene:PAHAL_7G190500 transcript:PAN38700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSWIMTGVGLVKRIRNATQLISLRLGELVAEPYIKCPNCECGIDTSNVSLVWPELPAGVKFDPSDLELLQHLQGKSSLQNSNSRALIDEFIPTIAEMEGICYTHPKNLPGIKMDGSSLHFFHKVSNAYGCGHRKRRKITGNNDSVCDEHIRWHKTGASKPIYDENGFKIGWKKILVLYRGSKRGGSKIDRDNWVMHQYHLGADEDEANGELVVSKVFYQLLSKKNDKSEMDDVELESEPSAAKIDPRTPNSEPSAAKIDPRTPKTDPPQPCLPSNSPCDTEQYTPIQVDQEEEECGTSIRRVKVEAAECSAWFAELPPAVVVADLPASDEPRQLMDAPRVGPEPEAPIPVDGSNTDLFNGLPDLDTTFQCLGTPSDSISLTDIHFGSQDSFGGWPDSFKFY >PAN38917 pep chromosome:PHallii_v3.1:7:38693549:38697286:-1 gene:PAHAL_7G207100 transcript:PAN38917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLGGAVVCSMLVLPALLALAASQPVSPALSPFQSAPRPPPAPAARASSPAPAPRQSLPPVPAAKPSSPPPTAQAGKPSPSPRPAPVPPPPASPVPLPAAPRPGPKPSPPAAPAPSHSQLPATQPPPPAPRPAPTPPPPSSSPAPRPSPSPTTPAPQPPPAPKQSPSPASPPPPAPPQPSSNSTPSTSSTLGQLSPSFYAQSCPGVELAVRDVVRSASTLDPSIPGKLLRLVFHDCFVEGCDASVLIQGNGTERTDPANLSLGGFNVIDAAKRLLEVVCPATVSCSDIIVLAARDAVVFTGGPAVPVPLGRRDGLVSLASNVRRNIIDTGFSVDAMAASFTAKGLTLDDLVTLSGGHTIGSAHCNTFRERFQVANGSMTPVDASMNTDYANELIRACSANGAASAAVAVDCDSGSASAFDNRYFANLLEGRGLLRTDAVLVQNATTRAKVEEFARSQEGFFASWAGSYARLTSLGVKTGADGEIRRTCSSVNG >PAN37755 pep chromosome:PHallii_v3.1:7:32008208:32008872:1 gene:PAHAL_7G119400 transcript:PAN37755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTAALTILVLLVVSLVFADRVKCQQLDGSQGQELVGDDSGGGGGVGVSPKNDCNEEAMYHGPCVEVLCAAACLLQMNRGGHCKGGFFGACMCFVCS >PAN37327 pep chromosome:PHallii_v3.1:7:23994885:23997680:-1 gene:PAHAL_7G081500 transcript:PAN37327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKKDPPNPSRGGMSPNLRPSSSESSGYGYGARRARSVPSSPDRKFGTSASSVAASGSPDVQRPSLSSAGRSRTMGSSIRGSRTQPFPVAVSKPTLSRAKSDKVSTSSQRPPALAIPPSNSFKDMIKTAPKVSPSTLLRSKTSPRPIADSCKAGASPKPSSQRVASPGAARVDRVQPVSIARSPGAAAKKRLDTVSGATASSKAKSVSQKTMGPSASRKEKDKDRSMQFKETESINTPSIDEHLHEELPDPVDLKSMDVTVPDQHEPPSNQPEQVKDAEESKGHSYEEEVDAGANEMHNGGQDANGSVKTIYECGLVEKAVADRSVDQALPWTEVAQAWRKDDPKGNDMIEETKSKLLEERKSRVKALVGAFETVLSFKE >PAN37328 pep chromosome:PHallii_v3.1:7:23995039:23997620:-1 gene:PAHAL_7G081500 transcript:PAN37328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKKDPPNPSRGGMSPNLRPSSSESSGYGYGARRARSVPSSPDRKFGTSASSVAASGSPDVQRPSLSSAGRSRTMGSSIRGSRTQPFPVAVSKPTLSRAKSDKVSTSSQRPPALAIPPSNSFKDMIKTAPKVSPSTLLRSKTSPRPIADSCKAGASPKPSSQRVASPGAARVDRVQPVSIARSPGAAAKKRLDTVSGATASSKAKSVSQKTMGPSASRKEKDKDRSMQFKETESINTPSIDEHLHEELPDPVDLKSMDVTVPDQHEPPSNQPEQVKDAEESKGHSYEEEVDAGANEMHNGGQDANGSVKTIYECGLVEKAVADRSVDQALPWTEVAQAWRKDDPKGNDMIEETKSKLLEERKSRVKALVGAFETVLSFKE >PAN40417 pep chromosome:PHallii_v3.1:7:45528686:45529321:-1 gene:PAHAL_7G313600 transcript:PAN40417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRSPRVVREAAAAGCADANTTFVQADPATFRALVQKLTGAPGGTTAAPAEKQQQQQEEAVTTVAQQQQQQAPPPPRRPKLQERRRAAPARLELARPHPSASSFYFYHHRGHGLMHSPVSPMDAYVLATSSSSPSPLSSSSSMTLSPHSSPSCGGVVISKEEEEREEKAIASKGFYLHASPRGAAGDAERPKLLPLFPVHSPRSAYYAS >PAN40420 pep chromosome:PHallii_v3.1:7:45586201:45590211:-1 gene:PAHAL_7G314400 transcript:PAN40420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLKYRSGLCLIVAVVLIWVISAEVTQGIFTKYKHPFAITYLGASLMVIYLPLSFLKDFIYNSMRQHSGNTSASKVTSKSSFGGSAPLKNGEFQKMLEMESQKTIVIPVVEETKPLIYGITEINDGILNEKQLSSKEIATYGLYLCPLWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINPAKIIAVFISMAGVVMTTMGQTWASDESEVGKSGDTQRTLLGDMFGLMSAISYGLFTVLLKKFCGEEGEKVDVQKLFGYLGLFSLVALWWLVWPLTALGIEPKFSMPHSAKVDEVVVANGLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSAVYILGSVQVFSGFVIANLADRFSRSLGL >PAN36811 pep chromosome:PHallii_v3.1:7:880990:882892:1 gene:PAHAL_7G003500 transcript:PAN36811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCVRLLHFQEEKYDVLRYRGLCGAVCSHTSYFSFVVYCISMLLKYFTCLYLVASASLQGQLVGCGTLIGHCNGEGGPKQGKLKGKEILVSDGSGGSSSGAGGIMISRTGVWIKLEGPSEYSQSSKLLENTIGIHLVG >PVH34960 pep chromosome:PHallii_v3.1:7:22637054:22639478:1 gene:PAHAL_7G076200 transcript:PVH34960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMPMPKTRKPNAQWDANATKIFNEICVEQVLANNKPQGCLNNKGYANLISQFNERTGRNYTRVQMKNRWDALKADFTTWKTLLLSASGLGIDPKTGTIAASDDWWEEKINVMPLCKKFRFAPLDNDEDVEIMFSGASYTNTNAVAPGAREGSAGNGNGNGNGNCNDKDNGSDDVQEVYPSPAEKQPVKRGAAYKSPKKGKKNFRDM >PAN40690 pep chromosome:PHallii_v3.1:7:46855085:46861829:-1 gene:PAHAL_7G333600 transcript:PAN40690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulator for phosphate homeostasi [Source: Projected from Oryza sativa (Os04g0671900)] MSSSSAASIGQPPPAAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVAALHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSNDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQYPQEQCNLNPLLQQQIMQQASQQQLVSPDSQNIQSVLSPSAIQQQLHQLQQMQHAHNDQKQKIQSDQPYQVPSSAVLPSPTSLPSHLREKFGFSDPNVNSSSYISSSSNENMLESNFLQGSSKCVDLSRFNQPVVSEQQQQQQQQAWKQKFMGSQSMSFGGSGSLNSPTSKDGSVDSKVGRDVQNQSLFTTQVDNSSLLYNMVPNLTSNVADNNISAIPSGSTYLQSPMYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >PAN40689 pep chromosome:PHallii_v3.1:7:46853593:46862328:-1 gene:PAHAL_7G333600 transcript:PAN40689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulator for phosphate homeostasi [Source: Projected from Oryza sativa (Os04g0671900)] MSSSSAASIGQPPPAAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVAALHGSWGQQRLHPSLLSNDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQYPQEQCNLNPLLQQQIMQQASQQQLVSPDSQNIQSVLSPSAIQQQLHQLQQMQHAHNDQKQKIQSDQPYQVPSSAVLPSPTSLPSHLREKFGFSDPNVNSSSYISSSSNENMLESNFLQGSSKCVDLSRFNQPVVSEQQQQQQQQAWKQKFMGSQSMSFGGSGSLNSPTSKDGSVDSKVGRDVQNQSLFTTQVDNSSLLYNMVPNLTSNVADNNISAIPSGSTYLQSPMYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >PAN38065 pep chromosome:PHallii_v3.1:7:34466583:34468184:-1 gene:PAHAL_7G143700 transcript:PAN38065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCWQRSGRGRLLPQLLRWSLLLLLLVLGLPTSCEASRGVVQPFEGRPLEGAAPSSFLGFLPRRPAPPSGPSRQHNSVGLESQRQKKP >PAN39452 pep chromosome:PHallii_v3.1:7:41145768:41148245:-1 gene:PAHAL_7G243800 transcript:PAN39452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYVVHTRRGRGRGRAGENLVRLATTSAAAPAFPSSAIAGRSLSRAGGLPLRATSPPPPCSIRGSAASWVSSRSLRRDGDDWEEVVAAGGRAAAPGSRGEATEEQDAVFGAPPTDDEVRAAVASIKQVFEKPSAVDSAGPELALALPISGHTSSGIFENHFAIDSDASEVGSDEWSESAMPVHNSSALLTKEHQSVLDAFRLLNEDPSLQKIVMALSTDKAVWQAVTNNEVVQEFKRSFQDAKETVLKESSTAPPGFMMWVLENTQEKIKEFLEKILGLVNMLFQAGDKDYDVSDDVVRMSFMLSVFVFIVVTIARIH >PAN37662 pep chromosome:PHallii_v3.1:7:28122329:28128421:1 gene:PAHAL_7G097600 transcript:PAN37662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MASPASVTNLGSKGRPGPLPAAVARRSHLVTRISFTGFDGIRRWHYEPGRLCRCMVITNLIEEKGVQFSSRGTVSVKADDDNDLLLKPPQKPVPPQKPVRPNGPPEGMKTASPPDRKAAGPTLDDREKVRESLDAVLEKAEKLEASSSGNGDGGNAMPRQNDVSMRNGPGATAVEEAGNSRKTKTLKSVWRKGNPVPTVQKVIREQPRTESRNQSVPAAKPPVSSPSKPVPPLLSKPSVAPSPRRPVKSDTSKDKKGPILIDKFASKRPTVDPVVPEELLDPLKPVRGPPAKVRVDRRKKPETQAGSRRRMPNDDGLVDEDTADVPISGVPVRKGRRWSKAKRRAARLEAMQAEEPVRVEILEVGEEGMLIEDLAYELAVSESEILRFLSVRGVMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMARKKEFLDEEDLDKLEVRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGDPQACIFLDTPGHEAFGAMRARGARVTDICIIVVAADDGVQPQTSEAIAHARAAGVPIIIAINKIDKEGANPDRVMQELSQIGLMPEMWGGDTPMIQISALTGDNVDELLETIMLVAELQELKANPQRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADIIVCGEAFGKIRAMYDDRGQLVDKAGPSNAVQVIGLNNVPLAGDEFEVVDNLDFARERANVRAETLRIERISAKAGEGKVTLSSIAASVSSAKQAGIDTHELNVILKVDFQGSIEAIRQAIQALPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVRAPGSVKNYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEEEVPIGTAKVRAVFSSGSGKVAGCMITTGKVVQDCNVRVLRKGREIYVGSLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVIEAFNTVKKARTLEEASATVTAALKDAGVQL >PAN39437 pep chromosome:PHallii_v3.1:7:41078470:41080741:-1 gene:PAHAL_7G242800 transcript:PAN39437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERAEDLGLSLSLSSSLAAAPRTHHVAAMLLRSPEKRFLEMPLLPAKRSEVTAEDGLRGGSDEEDGGCGIDGSRKKLRLSKDQAAVLEDSFREHPTLNPRQKAALAQQLGLRPRQVEVWFQNRRARTKLKQTELDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMHMSPPTTLTMCPSCERVSSSASSAAAASTDRRAAGAVISTAAAEGAAVCHRPIAVRAQQS >PVH36016 pep chromosome:PHallii_v3.1:7:47287748:47288680:-1 gene:PAHAL_7G339900 transcript:PVH36016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRAHPDLALIPSLWSTCCDLGSVLEAVDSFLGGAHCLVVPLRERWRRGERCLCWLTVEDVARFFLSSIGLFSPTASRSVSDLGVVRPATLTVAAGDTALSALPLLRAAMASHTAVAVVPSLFPRRRLVGEISPSALCSCGASAAAAIAALPAGDLLGFIGWGGAPPEAALHAVRSRLRRRSLLGMLDLLYGVDPSSSSSSSASSSSSSSSDDDDDERRSCVASPCSRRGKRGSFSGARAAGRRAAEAAIVCRRGSSLVAVMVQATAHRSTHVWVVEEQEGEERELVGVVGLLDVLRVLRHHLQQPPPI >PVH35408 pep chromosome:PHallii_v3.1:7:36885457:36888666:1 gene:PAHAL_7G176200 transcript:PVH35408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPRATGLAVHARNRRQPEDNLTGRTGSGGLAGLIDGHGEIPDNGQLPVYVRIRAGRAEKKIDQVPLLACCFQILSITKITQEYRKSELKKQRQIQSRPEFQTVRARRVLSHAHPSLYIGRSLVAAASPALPPQATPRRIVDWPAMARPSRRQLLLATLCVAASLLGAQATGADARTAAGVYELRVGDFSVKVTNWGARLVSVVLPDSKGNLADVVLGKDTIAEYVNDTSYFGPITGRVGQRISRGRFVLDGEVYHLERNDGRNTIHGGGTAFSRSAWTVKEYVGGGDSPRITFFYRSFDGEQGFPGSLDAYVTYRLSSPYTLGVHMNATALDRATPVNLLLHAYWNLGGHGAGDVLGHTLRLFASRHAVLDEELLPSSGRVEPVAGTPLDFRAPTPIGARIRQVTGGRVVGYDANYVIDGEPEGMRPVAHVQDCASGRAVELWANQATVQLYTGNWLNNTKGKDGKVYNQYAGFTLETMGYVDAVNHPEFPSQTLLPGQEYKHDMVFKFSF >PAN36888 pep chromosome:PHallii_v3.1:7:1764389:1766136:1 gene:PAHAL_7G008600 transcript:PAN36888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAASGEAEAPVLPALLLFRRRLDAAFHAALRRRYRLLDFFASGEPLPAFLAGAAASPDPPRAAVVVGGGAVRVDAAFLDAIPSLGFVFSTGAGVDHIDLHECARRGVAVANSGTVYSTDVADHAVGMLIDVLRRVSAAERFVRSGLWPVQGDYPLGNKLGGKRVGIIGLGNIGSLIAKRLQAFGCVIYYNSRRPRDSVPYKYFLNVHDLASESDVLVVACALNKDTRHIVNKDVLEALGKDGIIINIGRGANVDEMELVRALKEGRIAGAGLDVFENEPKVPAEFFSMDNVVMTHHVAVFTSESRSDLRDTTIGNLEAFFSGKPLLTPVLPW >PAN38131 pep chromosome:PHallii_v3.1:7:35061348:35062670:-1 gene:PAHAL_7G149400 transcript:PAN38131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIGAVVAPTTTPMVPSEHALAAENAAIVSALTHVVASGRGPPLPPPAIVVPWQHAGDGCSGAAGQGQEQLAGAAGRGAAPPARKYRGVRRRPWGKWAAEIRDPQKAARVWLGTFATAEDAARAYDAAAVRFRGSRARLNFPEDAAARRARDAEAASAAASAGPPAALLESQAAGAGAGSGDDMADYLEYSRILEGGEPSGIMDGLFGGDGNGRFLGSWSIGTSPPSSGSGAAASSPLFRHSDGGKQSSNNSAAYGD >PAN38955 pep chromosome:PHallii_v3.1:7:38864894:38867311:1 gene:PAHAL_7G209200 transcript:PAN38955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGRRATTAAALGRWCLVILAVASALGVSGPAFYWRYKKGFSASPSSPAAVSSSPACPSCSCDCPAPLSLKSIAPGLANFSITDCGKNDPELAKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEAREQSEAAISKEKKLTALWEQRARQLGWQESRASSM >PAN37474 pep chromosome:PHallii_v3.1:7:27495940:27498186:-1 gene:PAHAL_7G095300 transcript:PAN37474 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62940) UniProtKB/Swiss-Prot;Acc:Q9LQ12] MTQPNLTCTPLALTPHKNCVTAASRMPSPSSRHHPSPRPPLLLSTNAKAAPFLLLATALATNTREPTSSSLQLRMGDAAIAAVREEEEEEHIFRSRFPPVAVPDDVTVPEFVLAGAEAYADKVALVEAAPGGRSYTYGEVCRDVARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRAVAAEIRKQVEDSEAKLVVANEVAYDKVKGAGVPVIGIGDVECMPGAISWDELLAAADRTGAPVVALDPVQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVGQELLGQVVTLGLMPFFHIYGITGICCSTLRHKGTVVVMDRFDLRTFLGALVTHRVMFAPLVPPVMLAMVKSPVADEFDLSGLALRSVMTAAAPLAPDLLAAFQNKFPGVQVEEAYGLTEHSCITLTHAGGGDPQKGPVQIAKKNSVGFILPNLEVKFIDPDTGRSLPKNTPGEVCVRSQAVMQGYYRQKEETERTIDDKGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGLPDEEAGEVPVSCVVRRRGAAESEADMMAYVAARVASYKKLRLLRFVDAIPKSVSGKILRRQLRDEFVKNNAKTATA >PVH34962 pep chromosome:PHallii_v3.1:7:22789051:22793841:1 gene:PAHAL_7G076700 transcript:PVH34962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEDEVDVEINLDNEVNSIPDVLDPYDKFETPGFCCRSGKIHLSTPETPPELMRLWTVSDADARHFRSNIRFFNGHFSFTSLCCHLDRMTMNMRRGGMYTFRAHGQIYHNKRSFGKEESIEPRHLELYFYDDDPSLKLRYRRCREECLKKDKEVIDRLVAIMQGNPYSEHLRTMGAIDDLEDYHMTLNLDQSECQGQYEHSVVLQGKDRSIHDIRSYHGCYDALSYPLFFPKGELGWHNCIPNVGVTAAQVNAAREVQKARAGGEDLGSARNLCVSLHDYYCYKFQMCPGIFNPIFFGKRLFQQFAGLVDSLHAGECRADAIGRCTVLSTSFIGGPRHMRRRYMDAMALVRKYGKPDIFLTMTCNPNWDEIKNELFPGQSAQDRLDLVTYVFRTKLEVMKKMLLKKDILGKVRAYVYVVEFQKRSLPHAHFLLITKRKWKLTCPEQYDQLICAELPNKKKYRELYKWFDWASVAVRESGQTDDKGNVDEIKAYRDARWVTPPEAMWRIYGFDLSKNYPSVQQLQLHLPDMHMVSFHNRQKIQHIVNKSGADESIILYRDFLEFFTWQSDGKFWRPREQANAGQVGRIVSAHPAEDLKTVDGVIQPSFRKAAEKRGLIEEDSSLDECLSEAAMYQMAFNVFALWQKQLDAMSEDYCCNNPSDAIIEQMALVDIRNMLQSLGKDIKSFPLPKIDNTYDDACGVPREIFEESNIESTEDDVALSESLNDEQKAVYDEILSAIDTNDGGLFFVDGPGGTGKTFLYRSLLAKIRSQNKIDVATATSRVVASIMPGGRIAHSCFKLPLTIDSGGCCSFTKQSGTVTLLRTTSLIIWDEASMIKKQAVEALDNSTRDIMDRPDLPFGGKTVVFGGDFTAQIVDASLCRSYLWDYMRHLKLVRNMTAQSDPWFAEYLLRIGNGIEEANADGEVRLPDEICVPYTGDGNDLDRLIQCIFPNLNENMADKDYITSRAILSARNDWVDRISMDMIGSFQGGEVEYHSFDSTVDDPHNYYSSKFFNTLTPNGLPPHVLKLKVGCPIILLRNIDPTNGLCNGKRVFLSRIPLCPSDDEMFHF >PAN38224 pep chromosome:PHallii_v3.1:7:35528881:35531134:-1 gene:PAHAL_7G156100 transcript:PAN38224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGGVLHGRLPIRSLRFPLVLRSLPSPTVAVSAGAALPGCPCPPIVRWNAPAVVPFAKKKRKGYRDDPPDEEATDDFVDELEEGEEVEVDGEEEDFDDDDEGDIMDEEEDDEEDYDFEDDFESDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEQVSASLDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESAGKIPQNISLEVSSPGVERVVRIPEELERFKERAMYVRYTTTSDEAATPQEGDGVLRLISYDMDLRECTWGIADVKINRQQTGKGRPLSKKQREWRLQTPFESLKLVRLYSEC >PAN38225 pep chromosome:PHallii_v3.1:7:35528471:35531263:-1 gene:PAHAL_7G156100 transcript:PAN38225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGGVLHGRLPIRSLRFPLVLRSLPSPTVAVSAGAALPGCPCPPIVRWNAPAVVPFAKKKRKGYRDDPPDEEATDDFVDELEEGEEVEVDGEEEDFDDDDEDIMDEEEDDEEDYDFEDDFESDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEQVSASLDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESAGKIPQNISLEVSSPGVERVVRIPEELERFKERAMYVRYTTTSDEAATPQEGDGVLRLISYDMDLRECTWGIADVKINRQQTGKGRPLSKKQREWRLQTPFESLKLVRLYSEC >PAN39740 pep chromosome:PHallii_v3.1:7:42601811:42603103:-1 gene:PAHAL_7G265400 transcript:PAN39740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMQIVLSGRKIEAQYVEMKVPLYSYGCEKKIKKALAHLKGIHSVQVDYHQQKVTVWGICNREDVLAAVRKKRRAARFWDGDELGPGEHVPAPGDAPKQYLAAFTAYRLRKSWKKLFPLIRL >PAN36903 pep chromosome:PHallii_v3.1:7:5248213:5250922:1 gene:PAHAL_7G025200 transcript:PAN36903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVQEEEAGTPPAAAMVVPPVLVKGKRSKRQRVHAPPVVLSASAAPEWSSSAASATAPAEEESGTSRSDDAASSGGCLTEEDEDMALCLMLLARGVPAAVAAAKEEEEVVVAKEARFRSRRPADGAAAGEYVYECKTCNKCFPSFQALGGHRTSHKKPRLLPPAPPPLAPEDTKAAAAAEPSPSLPPTPPPPVEATADATVLAIPVTVPVAPKQEQDAVAVAATAAAFIAASSSSSKHPRVHECSICGAEFGSGQALGGHMRRHRPLVPAAARDDAPRKEKSLLELDLNMPAPCDEAETSPAVTSPRFAFAAAERPPAPLLFPASAASALVDCHY >PAN36807 pep chromosome:PHallii_v3.1:7:858006:862380:1 gene:PAHAL_7G003300 transcript:PAN36807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPRSKFRWLLSACLISLLLQLVGAIRTSLFWPPASLPSLAIPRQDEPVELLWLSCYLNLRIPQDVRNKSHYSLLFSTIGNSYRINNKKSSPQKISIEEAITASLLPAEVANTFLDCLNKHNSPFSEHGHQKQQEETRNLFLNSNSINPPFAMKKRLLLEGASAIAPTLPSEKQARRSVLSFKAEDRPLVTSIKKGSKAEPKGKSKDNSGTVIAGLSVACIALVALIGLCCCACRGSNDSASSYDDKPLLSLSDLSGSSRKSCSTPIDVSRLGALSINSSETEHKEFVLPKKAAAREMSMKSEFERRSNAQAMKLSSYEISTIAGQPVASTNSQDVKAAAPIPSSNASESTCEHAAGPAPPPPPPPPLLKVPPPPSAPPAPTAPSAPSAPPAPPAPSALPPPKMPAAPGSSNPPPPGPPPPPAPRPAAGPGPPPPPSRPGAGPPPPAMPGPKARGPPPLKKAGNVAGPSAADSNKTKLKPFFWDKVTANPDQAMVWDQIKAGSFQFNEEMIESLFGCHAVDKKSGDGKKDAAKDVPQFVRILDAKKAQNLAISLKALSVSAEEVRNAVMEGHELPTDLIQTLIRWTPTSDEELRLRLYTGELTQLGPAEQFLRTIIDIPYLYQRLDVLLFMSSLPEEAANAEQSFKTLEVACHEVRNSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRSEGVRAVRAAKEQSNSSMSSVTSDDLTEDVSDDTEHYKQLGLGVVSSLSDDLQNVRKAACLDSDALTISVASLGHKLVKANEFLNTAMKSLDEDSGFHRKLTQFIEQSQVRVTHLLEEEKKLRALVRTTVDYFHGSTGKDEGLRLFVIVRDFLGMLDKVCREVKEAAAKAASNKKPSAAGSGSGSKGRQPSQSSTSFRDPRQQLVPAIQDRRSAAARSSSSSSDSDD >PVH35722 pep chromosome:PHallii_v3.1:7:41930711:41931496:1 gene:PAHAL_7G256200 transcript:PVH35722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGWRRKKTTWRGIVPAHVLQSVGGCDVSRTNITHVFFIQGKKIQKHYRLPW >PAN40861 pep chromosome:PHallii_v3.1:7:47284002:47285502:-1 gene:PAHAL_7G339800 transcript:PAN40861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFQKQVAHAPQELNSPRSGAAKPRSPDEILRDFHAAHPADAFSTSFGGGAALACVGARACPAASGGHQRMFCGLDDIYCVFLGRLDNLSGLIRQYGLCNKSTNEALLVIEAYRTLRDRGPYPADQVVKDLAGSFAFVVFDNKSGAVFAALSADGGVPLYWGIAADGSVVICEDREIVKRGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKALPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAAWDEGI >PAN39895 pep chromosome:PHallii_v3.1:7:43324571:43326947:-1 gene:PAHAL_7G277600 transcript:PAN39895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os04g0600200)] MSSRMAGSILLRHAGTRLFAAAAVSPAAAARPALLAGADGVPAVMVRLMSTSSSSPTAAAAATPQKAKEEAAKDGGDKKAVVINSYWGIEQTNKLMREDGTEWRWTCFRPWETYTADTSIDLTRHHEPKTMLDKIAYWTVKSLRFPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVIAVQGVFFNAYFLGYLLSPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIDNVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDIHCQGMQLKESPAPIGYH >PAN38723 pep chromosome:PHallii_v3.1:7:37852810:37854567:1 gene:PAHAL_7G192300 transcript:PAN38723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTAPQPLRPRPRALWPAPRCSSSNDAQPSPLPSSTAAAGGIRRLVLPPEGRAKLDPRPDRDFYAFPRLVTHVDDGFIAALTGLYRERLRAGWDVLDLMSSWVSHLPPEVQFRRVVGHGLNAQELARNPRLESFFVKDLNEEQQLALEGGSFDAVLCTVSVQYLQYPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWREGTAYSRVQLVMQYFQCVEGFTQPEVVRELPAAGGSSASPLDAVMRLFGMASSDPFYAVISYRNFKPM >PAN39755 pep chromosome:PHallii_v3.1:7:42652552:42653233:-1 gene:PAHAL_7G266400 transcript:PAN39755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PAN40184 pep chromosome:PHallii_v3.1:7:44662160:44666597:1 gene:PAHAL_7G297900 transcript:PAN40184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTAPSFSLGFDSDDDDPPPPAGSGPREQPRGYAAPDAPSFSLGIDFVDDVEEEPRPRAGGRREEQARGSAVPDPPSFSLGFDDDDDDLAAKQRHEQARPQVAPQAPPSAGAEDEDDDFVLAGSKQPPPETNRFKRLRKGPAPAHPAPTPQVRRCEAPDAPSFSLGISDDDEEFLADGQHHKQSRPPAVPRAPSSFSFEDEADFFIAGDQRAEPARIEATPLKRLQKGPVLPYLAPAPPPLKVPGPPTAEVSPVMSENGALGAVGTGSLEDEIEDCTTDEDRPMRDAPPSVGSCSTSSNSKFSLLNRGVLMTQSATKAKTSKFTQTSNSSASKSLEESCTKKLLPKITISPMRKIHLLDSDTDVDDEQNQNKAKKPVSPVKKRQDSMHKYMQEKPTLQQNSKPQGSTTVQKSEATMNDNWATPAFDEFCNEYFKSTNDAGSSQQKEGNSFRCSKVSQPKYTVGEMEGHFQQQSTSSGDVLDDNLDGHPPAMHYFFHHDPRVRDLVRDRLHHFFPIGAGSTRVNEQSRGESLSYRRRFSSSTAANNDWVTPNGRIPVPTDVGKRRVHASGTQSGSGHWFTSDNGKKVYVSKNGQELTGRGAYRQYKKESGRGFNRYRKKGSSGTKQGAAKVKVETAAKQGSSRGRGKRKR >PAN40776 pep chromosome:PHallii_v3.1:7:47214996:47216286:-1 gene:PAHAL_7G338900 transcript:PAN40776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAGASTLSATSAGAADASKRPAASDAEPIGSPVLHHQASHGATEDGDEAARKAGLGQQHPRQQQQLECPRCQSTNTKFCYYNNYSTAQPRHFCRACRRYWTHGGTLRDVPVGGASRRGAKRRRVSAEPSPSSASSPPQTTARAEDAYRMIPDLSAFPFLSDGSFLPQLDLGAAPAATFSSWQQSVVSDFYDGFAPWDDGTAGAAGVTGAWGDIAGLELSWPPPGN >PAN40657 pep chromosome:PHallii_v3.1:7:46747008:46751255:-1 gene:PAHAL_7G331700 transcript:PAN40657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQAPPHGRPTGPRTRQSHSARPMAASLRSPPPVPAAFRRSGASSSPSSSSAPKARFVARRSESVSVQQLASPLAEYVSFPASQYSVRKGGLVCPVPLRPWRGEGSRGRRRYGGRRATGRAEEPMSPPAAAPPAQPTGASAGKAVVPDDEFSLAKVSFGVIGLGVGISLLSYGFGSYFNLLPGSEWSALLLTYGFPLTIIGMALKYAELKPVPCTTYADAFALREKCATPILKQVRSDVTRYRYGDEQHLDEALQRIFQYGLGGGVPRRNAPILQNIREEVTEDGKYSLVLVFEAKALELSDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >PVH35263 pep chromosome:PHallii_v3.1:7:34021119:34026600:-1 gene:PAHAL_7G137400 transcript:PVH35263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAWRGGARGIAGRGRARRSVSQDGSLARGDLHDGRWWPCERLTPLGFSHSASPDSAAAPGTRRDGRAPVVPTRTPPDALYAQLPSVRPRTETPAWCPEDSDAGEPRIHLQPFPYGPIELLADLGRSRTMAIFSSAHLALRRSLAVAPRAASLGESLQQASDGYFTRRLLHGQLLPRCFTSDAFGPNKSSPPFGSEIAAELKPHQNFNRFNSMRQDLHSRTQVNFNNADNGGTTLKTAGGEKPTLGGRFPFPTSRMLNEREQYSQKKRDFIHVLLKKNKTFVTVTDASGNKKTGASAGCLEDRKGRSRLSRYAAEATAEHVGRSARKMGLRSVVMKVKGASFFKKKKKVILGFREGFRGERVRDQSPIMYIHDVTQLPHNGCRLPKQRRV >PAN38569 pep chromosome:PHallii_v3.1:7:37174965:37175899:-1 gene:PAHAL_7G181400 transcript:PAN38569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASIMPHHVMRGASAASPPPATSKMQSCCPRAPRALGRHDCHTAPQESPPPTSKRKRPEKL >PVH35225 pep chromosome:PHallii_v3.1:7:33353366:33357000:1 gene:PAHAL_7G131800 transcript:PVH35225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >PVH35185 pep chromosome:PHallii_v3.1:7:32369764:32372519:1 gene:PAHAL_7G121400 transcript:PVH35185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQHQSKPAAMESFELSLLRIVIPIVLLASIVAEELPAGDDELQTFIVHVQPAENQVFGTADDQAAWYRSFLPEDGRLLHAYHHVASGFAARLTRRELDAMSAAPGFLAAEPNEIYQLLTTHTPRFLGLDVPQGVSARNHSVSGFGDGVIIGVLDTGVFPYHPSYSGEGVPPPPARWKGRCDFNGSACNNKLIGARSFESNPSPLDEDGHGTHTSSTAAGAVVPGAQVLGQGLGTASGIAPRAHVAMYKVCGDECTAADMLAGIDAAVGDGCDVLSISLAAGGPAVPFYKTSLVIGTFGAVEKGVFVSIGAGNSGPNASTLFNDAPWMLTVAASTVDRSIGAQVRLGNGLSFDGESLYQPDISAAVFYPLVYAGASFAPDARFCGNGSLDGFDFKGKIVFCDRGNGVGRIEKGSEVKRVGGVGMIMANEFPDGYSTLADAHVLPASHVSYAAGVAIKKYINSTANPVAQIVFQGTVLGTSPAPAIISFSSRGPSLHNPGILKPDITGPGVSVLAAWPFQVGPSAATADLGATFNFVSGTSMSTPHLSGVAALIKSKHPNWSPAAIRSAIVTTADPIDRSGNPILNEQHLPADFFATGAGHVNPDRAVDPGLVYDIDPAEYVGFLCSIYASQDVSVIARRAVDCSAVTVIPDHALNYPSISVSFPPAWNSTAGPAVVVRRTARNVGEAPAVYYPYVDLPAGLVHVAPSSLRFTEVNQEQSFTVSVWRGKSGTAKVVQGALRWVSDKHTVRSPISITFE >PVH35555 pep chromosome:PHallii_v3.1:7:39057096:39057447:-1 gene:PAHAL_7G212600 transcript:PVH35555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLEVLATAWHAINTKSMFTAYYNPNLGRAPQVIIPYDQYMESLKNNYSIGMRFRMRFEGEEAPEQR >PAN40224 pep chromosome:PHallii_v3.1:7:44786453:44792900:1 gene:PAHAL_7G300300 transcript:PAN40224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRFASLHSMVFRLLFGFVLPLFLQSSSVLSNPLVLGLQSTSSGLPNPSGLRVGFYRYTCPNAEAIVRDEMTKTISQVPSLAGPLLRMHFHDCFVNGCDGSILLNSLGGLPSEKEAIPNLSLRGFGTIDRVKAKLERACPGVVSCADILALVARDVVVLTKGPHWDVPTGRRDGRRSVKQDALDNLPPPFFDAGRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSEFADRLHNFNGTMMPDPSLDRRYVPRLKSKCRSPGDTTTLVEMDPGSFRTFDASYYRHVARGRSLFTSDQTLMNDPSARAYVRRQAAVADAGAYPAEFFADFAASMVRMGGVQVLTGAQGEVRRHCAFVN >PAN38670 pep chromosome:PHallii_v3.1:7:37589919:37591879:-1 gene:PAHAL_7G188600 transcript:PAN38670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEIPVIDLRLAGAAPEESARLRAACERMGCFRVTGHGVPGGLLAEMKAAVRALFDLPDDAKRRNADVIPGSGYVAPSAANPLYEAFGLLDAAAPADVDAFCARLDAPPHLRQTVKAYAEKMHDVIVDVAGELASSLGLEEHSFEDWPCQFRINRYNYTQDTVGSSGVQTHTDSGFLTVLQEDECVGGLEVLDPGTGEYVPVDPVAGSFLINIGDVGTAWSNGRLHNVKHRVRCVAPVPRISIAMFLLAPKDDRVSAPEAFVDADHPRRYRDFNYDDYRRLRLSTGERAGEALARLAA >PVH34925 pep chromosome:PHallii_v3.1:7:20234046:20234780:1 gene:PAHAL_7G066800 transcript:PVH34925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGVCHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDTMLFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERSGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRAATAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN38593 pep chromosome:PHallii_v3.1:7:37286997:37288541:-1 gene:PAHAL_7G183400 transcript:PAN38593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYDAGGRLTAASASPHAEEAINAVRDDACARLHTPSDEEEDCDDLYGDVNVGFLPLLPLSPSPAPTSPPKTPSPGCSILSPSPSPSPPPPPHRAPAPEPQPQPQREHEARPEPATPRHQPPRPPPPPPGPPGHHVPPQPPRGGGASASYSSPPRYTALYISDLHWWTTDAEVEAALELAPHGAAAALCGLHFYSEKFTGKSRGICRAEFLNAAAAASAAATLQGRAFHGRHCVASPARPAALHRLGDHSDSCAEAAPAPNPTRGLGGRGASHATTGRGNVGPVLGDRPTMALLPLSVFPRPSPGSPFGGIMRGVGGYGGFQSIGQYNMGMGCRMMPSPMPPHVNPSFLAAGGMAMRGPGVWHDQGMAGGLWGAQQEWNFRGCQMPWRQLAPPAQHHQVQQHYGNGDYGKGRGMRRERPGSRGEDRGIGTVSYPDRRQSDRDGVDWYKEHDREEKGQHRERVLEKERERERHWNERDRHGGDKRRRQEYIEHADFDRRSRTGEVKEPIKGWWW >PAN38558 pep chromosome:PHallii_v3.1:7:37124541:37127686:1 gene:PAHAL_7G180500 transcript:PAN38558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRARWVMKYEKGLVDILHENNNSHYRTQNGWRTEGWRKIVRDFNMRYPEAKFSKMQIQEHETQLKKDYKLIKLILQRDGVSWDQSASMIRATDEIWDEIIEDMPKARKYQSKSFPLLDSLELLFDGPIPEGGQNSPQNIVGNVDEGGNNMSTTPDMSGRPSGTINANFDETWNNISLLQRTALGPQGIDDLDVLHNHTEEVLGQQQHGADGRPQRADEQAQSSSCVEPQRDRRKKRKVSDIQQIMETYLNFRMKQARVKEQKAKEADQFTISSCIKAMNTMADVSDEVKVLASDVFKDTENREIFLSYEPRLRTLWLKREVGRLLT >PAN36889 pep chromosome:PHallii_v3.1:7:1767448:1768966:1 gene:PAHAL_7G008700 transcript:PAN36889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTMSGAPDSPRDGILLLLPAPDAAGEKAHRAASQPGGARGKKPAAGLVRVWSEADEVRILEGLAAYAAAHGAPPGRSQLHAALEGRGLDKAVFTVTEIYEKVRRLRTKYCNLRAAGGPPVPEGGADDGDEVRRYKLSTAIWGDQPANVAKKGRSTSADAMPLKAGGASTRVRRGFEELQGLFPSLASEVEKITKDETLVPVLKRAFELIDDQKAGELNAKVKKQKVREVKTRMNQDALRVEVLKMLIRSMD >PVH35063 pep chromosome:PHallii_v3.1:7:27890415:27893275:1 gene:PAHAL_7G096800 transcript:PVH35063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVPVKSLCRAKRVSRRRRGLISHPDHRGRLSQTLAGFIHSTSNGSWRFTNLWEAQRPALVCCPFSFMPGYEDVTIVDSCNGLLLCRASKAELSSPGALATPCCHVVCNPATRTWHVLPDSISGCFDSYNELRPARLGFDPTVSPHFHVFEFVSNEDGDWEEETHIFKGSLSVFFNGVLHLVTIDFTVVAVNVEVESWWVVLLPDDELQNWDCYEAWDPCFLGRYKGNLCYINECYFDTDIAIWFLEDYAADEWVLKHRVSIQLMTENIATPARSGCYNLITIHPHCNWILYVTGCDKTLMAYDMERDEVHVIQNLGSGCILPCIPYVPFYGSH >PAN37006 pep chromosome:PHallii_v3.1:7:3398514:3399664:-1 gene:PAHAL_7G017000 transcript:PAN37006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKVPTRSISPELSSLNLERSGKTAEGAIQQEQGVEHRDGKFAEHRENQQLRRSLMRSSTLLILEDKAGIRWSFPVPSPL >PAN37601 pep chromosome:PHallii_v3.1:7:29470201:29473670:1 gene:PAHAL_7G102400 transcript:PAN37601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVTVPRMKLGSQGLEVPALGLGCMGMSAYYGPPKPEPDMIALIHHAVAAGVTLLDTSDVYGPHTNEILLGKALQGGVREKVQLATKFGIRLDDGTREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDTRVPIEITVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQMEWSLWSRDVEAEIIPTCRELGIGIVAYSPLGRGFLSSGPNLVNTLSDQDFRKDLPRFQPENLEKNALIFEKVNAMAVRKGCTPSQLALAWVHHQGPDVCPIPGTTKIENFNNNVAALSVKLTPEDMAELESYASADVQGDRYHDFLNTWKDSETPPLSSWKAE >PAN40734 pep chromosome:PHallii_v3.1:7:47050466:47071282:1 gene:PAHAL_7G336400 transcript:PAN40734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGGGGAMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGAARVRLCLDRRSHGTASLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVAQTWKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGAYLPNVSAANPGKRIDYVSSSAIMMYNDQLSPYRAFGCDMKASFQGTLFRFPLRSTEQASSSRLSRQSYTEDDILSLFAQLYQEAVYNLLFLKNVLSLEMYVWESGMTEPKIVYSCSLGSKDENLSWHRQALIRFSGSIAESSKHKVDLFSMGFISEAFLGKEFEKKSSTYFIVQGMAPALSKIGIFATAAAKEYDLHLLPWASVAACISEAGLEDTVLRQGHAFCFLPLPVRTGLPVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNRLLLEDVVAPLFRELLLELRMLLDPTISYYSLWPTGLYEEPWSILVEQIYKVIYTSPVLHTEIEGGTWISPADALLHDERFSGCNNLNEALVLIGMPIVRLPKAIIDMFSKFYTQSMLKIISPAIVRNFLKDYGKLATLGKSHKLVLLEYCLTDVNSADIGKCMNGLPLIPLANMQYGIFSDSSQEDYYYVCDDIEYELLSEVGDRIVDRSIPTVLLSKLYQIASGSQANIKLIDGPIFRQLLPRIFPPGWKGRDQVPWNPGLGGSWPPAAWFKLFWKYIGERSYELYLFSDWPILPSTSGHLHRAHTGSKLIKTESLSSMMNELLAKLGCKILDTKYLSEHKQLSYYVYDSDATGVIQSIFGVVSLEGVDLQSLFQRITPGERNELYQFLLDPKWYLGVCLSNISISNCKRLPIFRVFDGGSPSSYGFSDLYSSIKYLPPLGVPNHLLNADFIFCICPSDEDIIMRYYGVERMPKSNFYQRYVLNRLDKLQTDLRDSVLLTILQDLPQLSLEDPMFKEALKVLRFVPTVSGTLKSPQSLYDPRVEELYVLLQESDCFPHGLFQNPDVLDMLLCLGLRTSVSTDTIIQSARQIDSLVNIDQQKAHSRGKVLLSYLEVHAHKWYVNKLSDGRKKVNMLAKVTTALRPRDKSWEFDLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMVAPPKQVRMQEDMWIVSASSRILDGECTSSALSFSLGWLSPPSGSIIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLTSLIGSDEMDIVKVVLEGCRWIWVGDGFAKADEVVLSGHLHLAPYIRVVPIDLAVFKDLFLELGIKEHLHPVDYASILSRMAIRKASASLEAEELRTAILVVQHLAEFRFQDQQTQIYLPDSSSRLCLSSELVFNDAPWLLDFGHDISGSASSMALSSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTQYGTSSILSPEMAEWQGPALYCFNDSVFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHACYLPGISPSHPGLRIKFVGRRILDQFPDQFTPFLHFGCNLQEPFPGTLFRFPLRNEAAASRSQIKREQYAPQDVEMLFSSFSEVVSEAILFLRNVKKVTLYVKENNSQEMRLVHCVSKHNSSQIAKEPHALNTMLAFVHGNQPSGMDRNQFFSKLNRTKDSDLPWSCQKVAILEQNPSANWEHSWILAECIGGGHARKLSTASGSKSHFFVPWASVAAYLHSVSVDDTKELSSVAEVNHDDSVSTNSDLGPSQVRKNFEGRAFCFLPLPINTSIPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVVAPAYGHLLAAMAEELGPSDLFLSFWPSAVGVEPWSSMIRKLYVSIAELGLHVLHTKARGGHWVSTRQAIFPDFSFPKAMELVEILSQAGLPVVSVSKPIIDSFVNACPSIHLLNPHLLRNLLIRRKRGFRSREEAILVLEYCLSDMEDPSFYDKLQGLSLLPVANGSFTTFNKRGEGDRVFFTSQIEFGLLKDSIPHLVIDNSLPDGVLKKLYDIAYSARMNLYLFTCNFLLELLPRILPPEWQHAKQLSWFPEQQGQPSVEWMMSLWNFLRHSCEDISIFAKWPILPLLDGKVVQLGDASNVVRDDGWSENMYSLLQKLGCFFLRSDMQIEHPQLANFVQESTAAGVLNAMQSVASNLQDIKELFTGISLAEAHELRSFIFQSKWFSGNQITSSHMSIIRNLPIFESYKPRELVNLTNPRKWLKPEGVHEDLLSASFIRTESAKERSILVSYFDIKEPQKVDFYKDHVLPRMSEFVSQPAVVSAVIRDVKLLIDDDNSARAALRETPFVLAANGEWVQPSRLYDPRVPELQKLLNKETFFPSEKFTMNEIIELLASFGLKRNFGFSTLLDMARSVSLAQGSGQEDAFVCGQKVLTYLNVLESKTSNMEDSKTSLKDENLEASEISETLEVETNGDGCDLSDQTSASLFLNFDHDMPEDLFWSELKNISWCPVHVAPLLKGLPWFLSEDSVAPPVITRPKSQMWLVSSKMRILSADSCSMYLQRKLGWCDPPNVNILSSQLVELSKSYDELKMSSADADIDAILQKEVQVIYSKLQDIIGTTNAIILKEYLDGFPWVYIGDRFVTPQALAFDSPVKYHPYLYTVPSELSEFKKLLLELGVRQTFDAMDYLNVLRRLQGDVKGEPLSTEQLSFVHCVLEAFVDCYPDSQAPDMLLNSLVIPDSFGVLAPARNLVYNDAPWMNADSTSKNFVHLSIGNDLANRLGVRSLRGSSLLDDELMRNLPCIEYAKISELLALYGESDFLLFDLIELADYCNAKKVHLIYDKREHPKQSLLQQSLGDLQGSSLTVVFEGTMMNREEVCSLQLPPPWKLRGNMLNYGLGLLSSYFVCDTLTILSGGYFYIFDPLGLTGGATSTATSSARYFSLLGNDLVERFHDQFLPMRVTQDTSLSTANSTIIRMPLSSKCLKELEAGSNRVKQIFDRFTQNPSSTLLFLRSIIQVSLSTWEDGASQPTLNYSVLVDPSVASLRNPFSEKKWRKFQISRIFSSTSAAIKMQAIDVHVIESGCSYIDKWFVSLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVSTNIHPSSCILSPLPLSGFLSMPVTTLGHFIVRHSGGRYIFGSTHDAPLPELKLDRDRLVEAWNKELMLCVRDSYVEMALEFQKLKKDPLSSTIELRSAESMSAILQTYGDRVYSFWPRSKQYPTSCTGHGSTVISSDSPRASKADWQSLIEQVIRPFYLRLADLPVWQLYRGNLVKVDEGMFLAHSGNGDNDNLPSPSVCSFIKEHYPVFSVPWELVSEIQAVGVTIREIRPKMVRDLLKASSSILLRSIETYIDVLEYCLSDMDPYRFSDLCIPDESRVNSQHVGTMHSPSSHSMPSSSSSLAYNSSTQLPGTSGGDALEIMTYFGKALYDFGRGVVEDISKTSGPAPHRSQATENSVLSSIIAELKGVPFPTSRKCLTRLGVSELWIANEEQQLLMSPLLDHFIHYKCLEKPFLALLLSTQVIHGPLKLRSFSPQLLAGYLKHILDERWVQFALKNKSSWIPWDNNAESSTAWPTPKWIRSFWTIFSSLNGDLSLLSDWPLIPAYLDMPVLCRVKECHLIFVPPIDDSNTVTGPVDDVSGHLDISDPPRDDAREVEQKNAIDTTFESMNSKFPWLSALLNQLNVPIFDSSFPECGAICNLFPSNSRTLGQTIVSKLVAAKNDAHLPSPLSLSSGDCDRLFGLFVSEFRLASNHLYQREELDVLRTLPIYKTVTGTYTSLLGSDHCILSPSAFFHPSDDRCLSCSSDATSFLQALGVEQLSDHEILVKFALPGFGNKTAQEQDDILTYLYANWKDLQLNSAVVETLKKTNFVASANEFCKEFFKPKELLDPSDALLTSVFSGERNKFPAERFMSDAWLGILRKAGLRTSTEADMIVQCATKIETMGHDVMSSLEDPDDFVADFSDSKNEIPFELWSLAESVVNVILANFATLYDSSFCEKIGKIAFVPAEKGFPSIGGKRGGRRVLASYNEAILSKDWPLAWSSAPILTKQTIVPPEYSWGAFRLRSPPSFSTVFRHLQIVGRGNGEDTLAHWPTSSGIMTVEDAFQQILQYLDKIWGTISFTEKKELEKLAFIPVANGTRLVPVKSLFARLTINMSPFAFELPSRYLPFVSLLREIGMQESLTDSYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGINQNTTDRLDGILDSVIPDDGCRLVTASSCVYVDPYGSHLLSNINTSRLRFSHPDLPQNICKALGIKKLSDVIVEELDEKEEIKLVNSIHSVTLDRITEKLQSKSLQDALRIVMISIANHFPSFEALTLVQIECILEDISKNLQLVQRLHTRFLLLPSLQDVTKTVEHPSIPEWSSNGMHRSICFINKSTGCILVAEPPSFLTVYDVIAIVVSHRLGASVILPIASLFACPDGSEKEVLKILNLGTDIGVSKREGRYNASLGAELLSQDARQVQFLPLRPFYTGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETAPGETRMLLSSQVYSFKSVSMADLSSAPFQVDGDRVAQGGLEDLLSINTSTEVAEDLAHALEYGKVSSTELVQAVHDMLSAAGVRMDAEKETLLQATLSLQDQLKESQVALLVEQEKAEAAIREADVAKAAWSCRICLNAEVNMTIVPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >PAN38635 pep chromosome:PHallii_v3.1:7:37437658:37440547:-1 gene:PAHAL_7G186200 transcript:PAN38635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRPVFFLGGHPFLPRHRFVLSSTFPLFRGAQFLRRLTEFSRGVHKYPRSRRRRRPRSSLSFPIITRHHRRGVPVPGTFLATGDAFSNYSYRHRTMLMNYDGDRSEDLQSEEVQSAYRRDSDIGEEMVISEEQTDDNDWSWVNQGKDGDPLAESVSSLHTTQQVLESEIQKLSELGKELEAEESTSGNKDQDVIVLPSAEVDMLELNEKMEHLEQKLKEASNTIREKDLRLSKLQKLISTADSPAPEEEEATASVDQLVAELERHLLEKLEAEVQCLVMLKARQSWQVRAEDRAALEEQEASPAGATMLLKLRETEGRIVTLKEQVDRLEVREKELHRATEALRVQSRTFKVSLFGLVQLVMLCFSLKVFFARVPVPFDEVVPT >PVH35442 pep chromosome:PHallii_v3.1:7:37437286:37440586:-1 gene:PAHAL_7G186200 transcript:PVH35442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNYDGDRSEDLQSEEVQSAYRRDSDIGEEMVISEEQTDDNDWSWVNQGKDGDPLAESVSSLHTTQQVLESEIQKLSELGKELEAEESTSGNKDQDVIVLPSAEVDMLELNEKMEHLEQKLKEASNTIREKDLRLSKLQKLISTADSPAPEEEEATASVDQLVAELERHLLEKLEAEVQCLVMLKARQSWQVRAEDRAALEEQEASPAGATMLLKLRETEGRIVTLKEQVDRLEVREKELHRATEALRVQSRTFKVSLFGLVQLVMLCFSLKVFFARVPVPFDEVVPT >PVH34716 pep chromosome:PHallii_v3.1:7:2976444:2977701:-1 gene:PAHAL_7G014700 transcript:PVH34716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKIQTTSQKTSSRVSSCTQSCLWLGPPLEFRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH34698 pep chromosome:PHallii_v3.1:7:2054640:2057955:-1 gene:PAHAL_7G010800 transcript:PVH34698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPLGGLTSCILIAVVQCWVAAIPPASGSDGPSEVISVSFPPFNDAQLHYPNTLKFSWDAGILFGALHLTTDDVYQPPVQYAPDPKRSSGYVILSLPLSFNSKSSDELLLKDASFSTTFTMSVIRSLHNTAVEPDDDSGLLLEVLPYNRGTNLDRAIYFSLAGKTSSNISVEIGKLEYYYEQGTFAFYVSISPPAPTPNAPPAKYTVWIDYDAKRHNISVYVDDHGNPKPGQAMLHAPLNVSDIIASHSSGFSFGLFASKNRLLPSCQPVVYSWNLNLDSVHFTPTTGIRHQIGWYFVRVLPVVLAAAAAAMAFAVACCCLASRYRALTMKLKLSKAMLRLPGIPREFRYADVKKATRSFHESMRLGSGGFGAVYKGAMIATCDGDDGRQRLQYVEVAVKKFTRKEDRSYEDFLAEVAVINRLRHKNIVPLLGWCYENGELLLIYQYMPNGSLDQHLFHNNRRRQHLHWETRYNIIKDVAAGLHYVHHEYERAVLHRDIKASNIMLDAGFHGRLGDFGLARVVAFDKNSFTDLGVAGTWGFIAPEYAVSHKATRQTDVYAFGVLILEVVTEKRSLGMADSTFPLLLDWVWWLHGEGRLLEAMDDEVAGTGEFDSNDATRLLLLGLACCNPNPSDRPSMVEVVQVISKSMPPPAMPLTKPAFVWPPEGYQLPLSDDSGDDEFVEADHRDSDRTNWEESECYDGLTTTGGIRSSEITKRKSRNVNERNITRDIENGV >PAN38823 pep chromosome:PHallii_v3.1:7:38304155:38306747:-1 gene:PAHAL_7G200700 transcript:PAN38823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVRASPTFLPSTSSSSPSSAQAPTPSASFGRGSHRRGGLVSFAAAASPHRGAARRPVMAAAGAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLSVDEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEEGILKELELAGFQYLGGPTDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLQTLQSPDNSIQPDFYTNKISDFLTLKAATV >PVH35037 pep chromosome:PHallii_v3.1:7:26863649:26864002:1 gene:PAHAL_7G091800 transcript:PVH35037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTIKETDMLATKLDLLLKWLDGRSQDKAPMHITLQALDAHMTCDVCGNTEHLGNDRPEIHEDMVYMNNNNNGFHPQEIKGGTSCAPTTKGITLVILYGKLSSEEPSSRLPLTVQPL >PVH35939 pep chromosome:PHallii_v3.1:7:45481188:45481765:-1 gene:PAHAL_7G313000 transcript:PVH35939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSCVARTAVGWHRCRHSRHMRASRHRHPCPRFAASMKPPAPHMSSRTAPVVVAHLAAVRVRPAT >PAN38498 pep chromosome:PHallii_v3.1:7:36895895:36897018:-1 gene:PAHAL_7G176500 transcript:PAN38498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIERQDTFGSVDCYLSGGLLEAPTLSSKEIKHAREQALKILNTKSPEEAFKIFTEGSEAEAGHLLGEKVEAATMPPNTTDAKPNDAAVQPSPKN >PAN39329 pep chromosome:PHallii_v3.1:7:40618109:40619058:1 gene:PAHAL_7G235800 transcript:PAN39329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGSDEEPGAAGPALPLVRLNHVSFQCASVEESAAFYQRVLGFQLVTRPASLDFGGAWLHRYGMGIHLLQRGSDPDAPPVARPPAAINPKGNHISFQCADMGLMKARLGDMRLEFVAARVRDGETVVEQLFFHDPDGNMIEICDCEKLPVVPLADAASAGLPDLVVPMPRDVHG >PVH35359 pep chromosome:PHallii_v3.1:7:36033549:36036374:-1 gene:PAHAL_7G162200 transcript:PVH35359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVVSPHGRIYDLATNGNMQGLIERYRRTYSEMHGESSEQNKTQAIQQEVLALTREIDLFQKGLRYIHGEKDINHMNLGELQALENNLEMWVHNIRSQKMQIMSREIEMLRNKEGILQAANDILQERIIEQNGILNFSGTVMIPQAPFQLTMESNCYL >PAN40519 pep chromosome:PHallii_v3.1:7:46170454:46175996:-1 gene:PAHAL_7G321600 transcript:PAN40519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAASIASHVPSGLSLVALASPGEIIRRASRLEDELKELLRVHDGRAGAEQGAGGQQNKERFLRAYERLKTELLNDRAFNFDFTEETRQWVAKMLDYNVPGGKLNRGLSVIDSYMLLREGTEVDDEDFYLACVLGWCVEWLHASALVLDDITDNAYTRRDNLCWYKLPTVGLSAINDGVLLKCHVQAIIKRYFKEKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGAKLSDYIELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSDPQCVAAVKNVYKELDLQDIFLEYESRVYKHLVSTIDAEPDRAIREILKIFLKKIYRRKK >PVH35965 pep chromosome:PHallii_v3.1:7:46300288:46300793:1 gene:PAHAL_7G323400 transcript:PVH35965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFTMCLFLFSFLLCFFVKFILRFNLSQSFLFELGPWRTMMETTKARSPNLIWHLSLEYIPLFLSLASLVKGICWTAYALIKFDLYITGSNYNQQVKFQSLFTSVRSTVSLERQH >PVH35383 pep chromosome:PHallii_v3.1:7:36268960:36271196:1 gene:PAHAL_7G166400 transcript:PVH35383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPPPPTACALLLPFSPSPYTSGQPHHPAPHRLRVRGSKPSPPGLPKPSTGARPPPRRLHEADRRLSSLVHRGDLDAALRLVRASPRPPDVPLANRLVRDLCRRGRPADAARVVEACGPEATAATYGALVDGYCRAGLLEDARRVVGGMPAAVRATSAYAYNPLIHALCERGRVADALGVLDGMLCRGCAPDVVTYNILLEAACKARGYRQAMELVDLMRAEGCEPNNVTYNVIIDAMCREGDVDKAREFLDSLPSRGCQPNTINYNTALKGFCSAERWEDADELLDEMVRENCPPSEATLNVIINALCRKGLLQKVTRYLEKMSKHGCAANVVTYNAVINGICEQGHVDSALELLSNMQSYGCKPDIVTYNTLLKGLCSAERWEDAEELMAKMTQNDCLPDNMTFNTIINFLCQKGLIVQALEVFKQMPEKGCNPNSITYSTIICGLAKAGKLEQALELINEMASKGFNADKMYHLLTEYLNKEDKIEEVVQAVHKLQDAGISPHTVLYNTLLLGLCRNGKTDYAIDMFADMVSCGCMPDELTYIILIEGLAYEGYLKEARELLSKLCSRDVLSNSLIKNVALLLGQNIHSS >PAN37366 pep chromosome:PHallii_v3.1:7:25231560:25237726:1 gene:PAHAL_7G085700 transcript:PAN37366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MQEQFLIMVLGRVHCYSDKIVKNPSASELENSKAAVAGRPPLRPPSSEMSSPQSVLLLLPSCLPPPPSLARVAPRPPSSPPLTALRFPRLAANLSPPPLAASPPPPGGFRGCEDTGGSDGGGGGEGGGGTDPPDPGDGWWRRWLQALHPEFLLLFLLLQSGAASALAEALGATGDDAGAVWEVRGGARTRLVPDPTWTSYLIAGDDGSKREEGDAKGGGSSVDVAALRRQLERSWRRCTDVAVQLLLPDGYPHSVSNDYLNYSLWRAVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKITLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFVGIGLGIVLANQIGSSVPLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGKVPSVKEVNNEEPLFFNLSLGGSHKGCKILSAEAKDAADRICWRLQLGSKLSEIIETKEDACALFDLYKNEQYLLTDYKGKFCIVLKEGSSPEDMLKSLFHVSYLYWLERYMGFKPSKIASECRPGGRLEVSLDYAQREFSHVKHDGSVGGWVMDGLIARPLPVRIRVGYVTP >PAN38229 pep chromosome:PHallii_v3.1:7:35531419:35535633:1 gene:PAHAL_7G156200 transcript:PAN38229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGHGGHHHAIHRRGRLLPLAATAAALLLLALLALRPAAPPAGGPASLLRAAVAAHPSPAAYGRPCADHLALSLRRLRAALASLEVGDVSAALHLASASLQCQYDCSHLLSLPTFRSHPLTSRFLNSLAPPSLTAAPKPFSASAAPAFPVRIRPDATVCKPNPGAKPCAYSTVQAAVDAAPNYTAGHFVIAVAADTYKENVIIPYEKTNIMLVGEGVGATVITASRSVGIDGLGTFDTATVSVIGDGFRARDITFENNAGAGAHQAVVFRSDSDRSVLENVEFRGHQDTLYARTMRQLYRRCHIIGTVDFIFGNAAAVFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFRNCTVDGNKEFVELFHTKPQSYRLYLGRPWKEYARTLYISCYFGAVIRPEGWLPWRGDFALRTLYYGEFDSRGPGANRMARVECSNQTPEKHVKLYSVENFIQGHQWIAY >PAN39602 pep chromosome:PHallii_v3.1:7:41786017:41791756:1 gene:PAHAL_7G254900 transcript:PAN39602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPPAIPREAWEGCSVLLDINDGDRLAFFRLTPAATVKIGNKTCSLQPLAGRPFGSLFSVGADGLVPCAAADAPSRDDSMQDGADGQAQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGATGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARIGFMRVDTLSLLLSMANIGPYSDVLVVDMVGGLIVGAVAERLGGTGYVCSTYLGTVPSSIDIIRMYNLSSDMVSRIVQVPLSELCSMQSSGNTPLVLNGSTEGEVVEPVVVQDEDAQASLAQAVDTADEKAQLSTEQTTEMEVSKPSLDVQDENSSFECKGGDGDSIASKSKPGKAPSPEKMKYWNEHGFSSLIVAAPGHEVESFVADLLPLLSYSAPFAIYHQYLEPLAKCMHTLQVSKRAIGLQLSEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIQSEQVSHQ >PVH35278 pep chromosome:PHallii_v3.1:7:34225363:34225953:-1 gene:PAHAL_7G140000 transcript:PVH35278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPRTLRAQRPSVRPPFPHGAPAAPPEPLLIHRRASPPPPCPSHSRAPRPPRLIARLRRRRRPRHLHMADDAVAGAAGPCARPAPPSSRRQQHLDDDAGCGGHRQHDVIMLRRTRSGRAFPPPISVIGKGGRPWLSLRAHREGGRLVLREMRLPSQELLQPCKEDGRFKLLIHPEAGGRAAVAPQERQGKDKSCS >PAN40419 pep chromosome:PHallii_v3.1:7:45550239:45550904:1 gene:PAHAL_7G314000 transcript:PAN40419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLHVAVAGRAMAVVERDGTHDPATGRVLTGSWLWDSSLVLASHLADDDSARLRLRGATVLELGAGGTGLPGIAAVACLGAARCVLTDVRALLPGLRANAEANGLTSAQADVRELRWGDQLEHQLRVDVVLMSDVFYDPVDMPAMAATLRGLWRDGAGGGTVGWAASEVRDSVQDCMDVLREHGFEVAEVDRVTRPLLRYPDQTAAFAVYRVSLRQQEGS >PAN36820 pep chromosome:PHallii_v3.1:7:1015117:1019562:1 gene:PAHAL_7G004300 transcript:PAN36820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGTALYPLHRCKTIYLVRHAQGIHNVAGEKDFKAYMSHDLFDAQLTPLGWSQVDGLREHVKKSGLAKKIELVITSPLLRTMQTAVGVFGGENYTDGVSAAPLMVENAGHSGRPAVSSLNCPPFLAVETCREHLGVHPCDKRRSITEYRPLFPAIDFSLIENDEDVLWEPDVREANESVALRGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSKECHPTIRDEVGKHFANCELRSMVLVDRSMLGSDPPGFNYPGKIPAGLDLPSDVADEKRVEEAQKN >PAN36832 pep chromosome:PHallii_v3.1:7:1014270:1019562:1 gene:PAHAL_7G004300 transcript:PAN36832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGTALYPLHRCKTIYLVRHAQGIHNVAGEKDFKAYMSHDLFDAQLTPLGWSQVDGLREHVKKSGLAKKIELVITSPLLRTMQTAVGVFGGENYTDGVSAAPLMVENAGHSGRPAVSSLNCPPFLAVETCREHLGVHPCDKRRSITEYRPLFPAIDFSLIENDEDVLWEPDVREANESVALRGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSKECHPTIRDEVGKHFANCELRSMVLVDRSMLGSDPPGFNYPGKIPAGLDLPSDVADEKRVEEAQKN >PAN36831 pep chromosome:PHallii_v3.1:7:1014270:1019562:1 gene:PAHAL_7G004300 transcript:PAN36831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVYGTTSYGGGIILSSPATIQSSPTAAAAAAAAASARARARVRVRVPVGLLPGRRAKPLPLRCAPSGMEPGTALYPLHRCKTIYLVRHAQGIHNVAGEKDFKAYMSHDLFDAQLTPLGWSQVDGLREHVKKSGLAKKIELVITSPLLRTMQTAVGVFGGENYTDGVSAAPLMVENAGHSGRPAVSSLNCPPFLAVETCREHLGVHPCDKRRSITEYRPLFPAIDFSLIENDEDVLWEPDVREANESVALRGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSKECHPTIRDEVGKHFANCELRSMVLVDRSMLGSDPPGFNYPGKIPAGLDLPSDVADEKRVEEAQKN >PAN37058 pep chromosome:PHallii_v3.1:7:8711520:8714461:1 gene:PAHAL_7G034800 transcript:PAN37058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPDTGKKEHDGKEETKQHNHSHHNHHNHREEHVGGRKIDLVNYVVGLGAMATPGAFLEKRYLALTGRKYDKMEGLIGPEQIAKERKQEEEGGEKNTGVIYEF >PAN39844 pep chromosome:PHallii_v3.1:7:43032265:43035764:1 gene:PAHAL_7G273200 transcript:PAN39844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSKTKSGSAEAKSNGKPEKEKKGGAGTPPTPKDSKPRKPAVPKASAAHGTPRAADKSPGSADRKAPTPKASSRLATPPEKQGKPAKPAQEQQAVKSPQELQGQLAAVQEELVKAKEQLVEKEKEKGKVLEELERAKKVADEANAKLQEALDAQRKAAEASEAEKFPAGQSEQTSIESVQRKLGSMQSQQEADAAALRSTVEQLEKARYELADAIDAKNEALSQVDDAIRASAAKAEEVELLTAEVKRLKELVDSKLDGKAKKTANRIQKLETENSALKLELEKAKPAEEKAVQLERVVEELKIDIADAKKAGSKSGELADEWQKKAQLLEVRLEEADQSNILKGESLNSAMEELDAASSMLREKESKVAALQDKVRFLEDEVTRQKGDIDDSGKRLTAAEKEAADLWAEVEALRLKLRATEEEKMDALNSDKNASSEIETLTEQKNQLAEELEASKDEVEKVKKAMEGLASALQEMSAESREAQEKYLLKQDEIERAQAQVEELNMSLKNTKENYEVMLDEANYEKVCLTKSIERLEAEAKNAHEEWQSKELSFVNSIKNAEEEIVAIRVQMDRTMEEVKDKENENAELLEKMQHLEAQLTEANRIKEEAKAETIQWKEKLLDKENELQNIKQENDDLQAKESASSEKIKELSSQLANAKDGTINGSTKEEDNEKGGSEEDDEPVVVVAKMWENSKYTDYDSSKEKENDGDSQIDLESNKGDAALDSNGLHSTKENSGSTSPTKQQQQQKKKPLLKRFGGLLKKKSEN >PVH34800 pep chromosome:PHallii_v3.1:7:8684559:8685098:1 gene:PAHAL_7G034400 transcript:PVH34800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHYPIIQKYLLQEHEQHHELLVTMSQNMYCSITVYLLARQCTHRDLRHRHGLRLRAAAKATEGRRPRHVDAALLDDHGELRAVHGALYGQRCLYLSDAAEVCATAPPRCHPAARSPPAQLPRRMSRRHLPDTTEVSSSGSWGRRNKGGGARHGLWAGALDLESWRAASLGSGWEISRK >PVH35771 pep chromosome:PHallii_v3.1:7:43021398:43022067:-1 gene:PAHAL_7G273000 transcript:PVH35771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDARAMLQVVVFALVFTMLAKHQVWGETDCHHEKVSVMLKCKNSIKIGGPYVRPRPGDKCGTTVQASDMSCVCRVLTEHDEKTVDPKRLVKVAGRCGKPVRVGSDCGSWTVEQPPSSSRGHP >PAN38940 pep chromosome:PHallii_v3.1:7:38783210:38784366:-1 gene:PAHAL_7G208200 transcript:PAN38940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASTPSTPTSRLRREDAVRAVAVLLRWLQKHPTPAPEPIYLIVALKRAPVRRFEHQLRLPHSPLPSISLVSDRLPSDLPDDVEPLPSSALRSLPAAARRGLVLVDRRLRIPGGGGKAVGAKRGVTVPVDLSDPAWAESAREAARCVELRVESGTCRAVRVGHGAMSREEAVENLVAAVEAAAACVPRKWKNVRALHVKSPESVALPLYSAPGTSSDQDGDDNPEAAKRECAAAVEEQGRVKRRKKSGMGCN >PAN37747 pep chromosome:PHallii_v3.1:7:31936503:31947959:-1 gene:PAHAL_7G118800 transcript:PAN37747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAAEAEAAPGTVGQAVIPLVNRLQDIIARLDGDAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPNICTRRPLVLQLVRHSASEEWGEFLHAPGRRFHDFEQIKREIQLETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRTMIMQYIKHPSCIILAVSPANADLANSDALQLARLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGLVNRSQEDINFNRSVKDALAFEEKFFSTLPAYHGLAHCCGVPQLAKKLNMILLKHITDMLPGLKTRINAQLVAVAKEHAAYGDTVESAAGHGVKLLNILRKYCEAFSSMVEGKNRVSTDKLSGGARIHYIFQSIFVKSLEEVDPCKSITDEDICTTIQNSGGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAQFIYDELIKISHGCLTSELQKFPILKKRMSEVVSSFLRDGLRPAETMITHIIEMEMDYINTSHPSFVGGTKVVELAKHEVLPPKTSASLSVHKDGTIVGSEIQLTAERGQKSRAVFARDANRRATSEQGDMDAGTSLSGGSQMGNSQLVGSPSSKLHSIIQLKEPPITLKPSETEQDATEVAIVKLLIKSYYDIVRKSIEDAIPKAVMHFLVNHTKRDLHNFLIRKLYRENLLNELMRETDEVLIRRQHIQETLEVLEQAHRTLEEFPLEAEKIEKGYDLSGHATVLPKIRGLSEDGPNGIYTSSPNRYGAHQASHLVI >PAN39638 pep chromosome:PHallii_v3.1:7:42021298:42025268:1 gene:PAHAL_7G257000 transcript:PAN39638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYQLLVGCRMEMEMEEETFFDSREELTASPALSPGPALPWSGSLDSVGQRRERFMKSMGLECSPSPRQADAVATVGDIEKEVVVLQEFGRLWSQSDENNCSMSSWSTEDTRSYEDGASDDNSVSGSSRDDASSKVGRSFSSLSFIQRLMSRSCKLSGVPKAIERRRNGWLRRLGLRSSVLDHGGDEASTSSSESEQNRCGRYERVKVRCYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQFLASGGEDGVIRVWGVTQSEDCKIPMDDPSCVYLKAHHNSGLAPADADNEKKCKVKGVKQSADSACVVIPTMVFQISEEPLQEFRGHSGDVLDLSWSNNKHLLSASTDKTVRLWELGSENCVTVFPHSNFVTCVQFNPANENRFISGSIDGKIRVWDIPRCSVVDWVDIRDIVTAICYRPDGKGAVVGTITGNCRFYDASDNLLRFETQIALNSKKKSSLKRITTFEFCPSNPSKLMVTSADSKIKILDGTIVTQNYSGLRSGSCQSFATFTPDGQHIVSASEDSNVYVWNHEDQEEASLKHAKTIWSSERFHSNNAAIAIPWNGQKPRNPVSLASQILPPQGDNFWCMSKAVKCSSSCSEDSAINNFVSRFAPGIFNLNQEFSTESTCRSSATWPEEILPSQSIRAILDESQYKFLRNCFQSTSNSWGQVIVTAGWDGRIRSFQNYGLPAHQ >PAN39607 pep chromosome:PHallii_v3.1:7:41808173:41810368:-1 gene:PAHAL_7G255300 transcript:PAN39607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDNAPAGRGRSTTPPSTGMWESPPRQMQAHAPFPSWSPYAGTFVAGAGEAVGAHLSPPPAAADSPRLPSEHVPDHVWNQSAHRENFLSLLGARNVVPEMFEDVPAACDYLAGPVGAAASAAAGNTGGGAAAYGLGSADRLYSGNSAAHGSSVGCAPRYDHEVKPADCSLQQGFGAPTASSFLQQMIPTLVEIQTALGYSGMGSGRPTESSSFGVGCLPPDAGSFGDHRPASEFMSSNSSRHEQDIRPGMGSSSSGTGAASVATRRRSEERVGVGGNAKKSKQEASRKASPPKAQAPIVKLGEKITALQQIVSPFGKTDTSSVLFETIKYIKFLHEQLQLFSEPYMTKSAHEGHVPFGGEEKEKTGTGHESGLRGRGLCLVPVSLTSQAYHDDTLPDCWTPAYRSCLYR >PAN39608 pep chromosome:PHallii_v3.1:7:41807778:41810520:-1 gene:PAHAL_7G255300 transcript:PAN39608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDNAPAGRGRSTTPPSTGMWESPPRQMQAHAPFPSWSPYAGTFVAGAGEAVGAHLSPPPAAADSPRLPSEHVPDHVWNQSAQENFLSLLGARNVVPEMFEDVPAACDYLAGPVGAAASAAAGNTGGGAAAYGLGSADRLYSGNSAAHGSSVGCAPRYDHEVKPADCSLQQGFGAPTASSFLQQMIPTLVEIQTALGYSGMGSGRPTESSSFGVGCLPPDAGSFGDHRPASEFMSSNSSRHEQDIRPGMGSSSSGTGAASVATRRRSEERVGVGGNAKKSKQEASRKASPPKAQAPIVKLGEKITALQQIVSPFGKTDTSSVLFETIKYIKFLHEQLQLFSEPYMTKSAHEGHVPFGGEEKEKTGTGHESGLRGRGLCLVPVSLTSQAYHDDTLPDCWTPAYRSCLYR >PAN40829 pep chromosome:PHallii_v3.1:7:47548411:47550495:1 gene:PAHAL_7G344200 transcript:PAN40829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPIDGKYTVFGAEHEINQVLPSLESQGVRQLYPKGPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFQNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLGESLLVLDGSQTN >PAN39963 pep chromosome:PHallii_v3.1:7:43710905:43720085:-1 gene:PAHAL_7G283200 transcript:PAN39963 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MAAKVRFGLLVAMFQAMSGDKRSAKKRARLRAFLDRAYVPSGGRDDYFSALRLVLPGLDRERGTYGLKETALAAVLVDALGIAKDSPDAVRLINWRRGGGGRNAGNFALVAAEVLQRRQGITSGGLTIKEVNDALDRLAATENRSEKATILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFDEFHPDAQDLFNVTCDLKFVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVRDASAAWKKLHGKQVVAECKFDGDRIQIHKKGEEIHFFSRSFLDHSEYAPGMSKIIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIAKAAREGLETDRQLCYVAFDILYAGDTSVIHQSLTERQEILQKVVKPLKGHLEILIPTGGLNVRRPSDEPCWSIIAHNLEDVEKFFKDTIDNRDEGIILKDLASKWEPGDRNGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEERDALVTKLKPYFRKNEYPKKPPKFYEVTNNSKERPDVWIESPDKSVIISVTSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQAFVDIVHSSNGTTQKAADDNSLENDNTKRSRTNKKGEKKKSASIIPSHLMKTDVSGLKGETLIFANMIFYFVNIPSSYNLEYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAAIRQGRIIHYDWILDCCKEKRPLHLQPKYILFLSDFARHKFPEEIDSYADYYYWDIDIADLKQIFSNIDKVADDSNMVSQYRKKHCIDERFCFFLGCCVYFHNTPLVNEDYNVISGIALERMKQDLTMHGGQVCNSIASATHLVLVSVLHTYNFDILYKSFPPAERRYLHDKRLHVVSNKWLEDSVEKQMKLSETAYNLKPDTLEELEIVRSEENVRPLGHKFEEHKEVERANVKYAPRKRSRAASSSRAAKAAPRPVRRTRARRGNQQAKIDDDVESEESVPDECQGDQNMDTDYISNEIGKGISNKDQRLSRAASRPVPRTRARRGNQHAKIDDGESEESGPCETGKEDQKLNVDYISKTEADNSEKDQGPPPGAQFLTLGEQEPEGVKSKAMEEKPSSPFQRTSAGEVTSSVPCEKIEQMVDPLHAMLLDMIPTLSTTSPEDANRVPPTKIEKEPPEVGSYTSKSSDIPVPDAGTSGVPAPDPNAAPPKKKKVSYKDVASELLKDW >PVH35435 pep chromosome:PHallii_v3.1:7:37250403:37254564:1 gene:PAHAL_7G182900 transcript:PVH35435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agmatine deiminase [Source:Projected from Arabidopsis thaliana (AT5G08170) UniProtKB/Swiss-Prot;Acc:Q8GWW7] MMAKILEGRPAKMGFRMPAEWEPHEQCWMGWPERPDNWRENAGPAQKTFARAAIAISKFEPVTICASAKQYPNVHKLMEHQTNIRVVEMSMNDSWFRDMGPTFITRKVESGIEKQTIAGIDWQFNAWGGIYDDWSLDSDIAKKIVEIERIPRFLHKMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVTKVIWIPRGLYGDEDTNGHVDNLCCFIKPGMILLSWTDDEKDPQYERSVEALSVLTQSVDAKGRQLEVVKIHVPGPLYMTEEEADGVLSTGHAVAREPGTRLAASYVNFYVANGGVVAPAFGDDKWDKEAYAVLQKAFPDHEVVMVEGGREIVLGGGNVHCITQQQPVRPS >PAN38329 pep chromosome:PHallii_v3.1:7:36177000:36177891:-1 gene:PAHAL_7G164800 transcript:PAN38329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMVATPVQLRTNTGTGRLRFSSSPNAAARRRFAAVRASAEAMATEKLGIRVERNPPESRLSELGVRQWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPEGHGEEFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYNFE >PAN39321 pep chromosome:PHallii_v3.1:7:40588161:40589466:-1 gene:PAHAL_7G235400 transcript:PAN39321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWTRNGMLSFSQPSASSSPFGFYDPGHGASSSRGRSHGCRSQDKEQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTEADRKVAAMLEELDRTDKYMQDILSSSSSPQQK >PVH35401 pep chromosome:PHallii_v3.1:7:36684167:36688005:1 gene:PAHAL_7G173200 transcript:PVH35401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGGRARDYSGGVTFSVAVTGLMAASCGLIFGYDIGVSGGVTQMESFLERFFPEVLRGMRSARRDAYCKYDNELLTAFTSSMYIAGMVASLVASGVTRRVGRRAVMLVGGTMFLAGSVINAGAVNIAMLIVGRILLGFGVGFTAQAAPLYLAETSPTRWRGAFTTAYHFFLVAGTLAANVANYFTNRIPGWGWRVSLGLAAVPATVIVTGALFVSDTPSSLMLRGEPDRARASLQRIRGADADVEPELKDIARAVEEARRNEEGAFKRLRGEGYRHYLVMMVAIPAFFDLTGMVVISVFSPVLFRTVGFSSQKAILGAVIISLVSLSGVVLSTFVVDRCGRRFLFLAGGTTMLIFQVLHERTSTHTC >PAN38454 pep chromosome:PHallii_v3.1:7:36684003:36688944:1 gene:PAHAL_7G173200 transcript:PAN38454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGGRARDYSGGVTFSVAVTGLMAASCGLIFGYDIGVSGGVTQMESFLERFFPEVLRGMRSARRDAYCKYDNELLTAFTSSMYIAGMVASLVASGVTRRVGRRAVMLVGGTMFLAGSVINAGAVNIAMLIVGRILLGFGVGFTAQAAPLYLAETSPTRWRGAFTTAYHFFLVAGTLAANVANYFTNRIPGWGWRVSLGLAAVPATVIVTGALFVSDTPSSLMLRGEPDRARASLQRIRGADADVEPELKDIARAVEEARRNEEGAFKRLRGEGYRHYLVMMVAIPAFFDLTGMVVISVFSPVLFRTVGFSSQKAILGAVIISLVSLSGVVLSTFVVDRCGRRFLFLAGGTTMLIFQVAVSWIMADHLGKHGAAAMPRSYAVGVVVLMCLYTFSFSLSWGPLKWVVPSEIYPVDIRSAGQAVTLSVALTLSFTQTQVFVSMLCAMKYAIFLFYSGWVLAMTVFIAALLPETKGVPLEAMRSVWAGHWFWRRFVALDAKQEVQLNRM >PAN39492 pep chromosome:PHallii_v3.1:7:41303761:41304533:1 gene:PAHAL_7G247000 transcript:PAN39492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASVALFLAVILVVFAMASACGGNCPSPTPSTPSTPTPTPASFGKCPRDALKLGVCANVLNLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPIDLSLILNYCGKTVPTGFKCL >PAN37387 pep chromosome:PHallii_v3.1:7:26143219:26144176:-1 gene:PAHAL_7G088500 transcript:PAN37387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVHPCPPASRRHHRGVSSVVIPSGHRALAHIARVPLSGIAKPIHGLGQTLSPRRPPSPRASHSLLLTTRTPTCSDPSIRRCVASSLGASTSSCPQSHHPTTSSHGRWPVALFLKWKADLGSTLSKLRHWRLIKAADNTAAAVLISNVGLIADCCIGEVVLAAADNL >PAN37942 pep chromosome:PHallii_v3.1:7:33678670:33681252:-1 gene:PAHAL_7G134100 transcript:PAN37942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQARHPSHAFFFPHDFHAFRAMEDAAAAGTAFFDEYGWCAPAAALGDATVPSDFPRGELACNYGFGPRKRPRVAAAAGFLEDHCAVLPPAVAAQELVPVTGGNGQGRAVGSGTASTSGSVANGAGASQSQGLLSRLYHHQGLEIDALVTLESERMRAGLEEVRRRHARALLAAVERTASGRLRAAEAELERALRRNAEVEEKARQAGAECQAWMGVAQSHEAVAAGLRGTLEQLLQSPRAAASVGEAEDARSCCFEAPAAGAAPSCRSCGGGGACVLLLPCRHLCLCLSCEAGVDACPVCGAAKNASLHVLLS >PAN40891 pep chromosome:PHallii_v3.1:7:47758236:47760152:1 gene:PAHAL_7G348300 transcript:PAN40891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKLKLSLLPLLCFFFFLLLSGGADSTRVFTIINQCKAVIWPAVTPGESFGGGGFALRPGQSMVFTAPVGWSGRIWGRTDCSFDASGNGSCATGSCGSSLRCGASGAPPASLAEFTLAAVDYYDVSLVDGFNLPMVIKPVNGQGNCSAAGCDGDLRQTCPSELAVKANGRTVACRSACDVFNTDQYCCRGQFGNPATCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYIITFCSNTKQSACSYHNNRLVCSSGSSSSRRTMVSSTLMLLPSVVFYLALLLVQF >PAN37244 pep chromosome:PHallii_v3.1:7:17602046:17603475:1 gene:PAHAL_7G058100 transcript:PAN37244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGREHRCGVPRPPPLSLFRGWEEEEVVKASRRTPSPLVQPTTTAAAASNTNNKRLTKQLSMKETTREVKWEKRRRQIQRQRSTKGLHETDDMACPADGEASSPERVAKRLTDGDLDELKGSMDLGFRFDEEKGGQDLCDTLPALDLYFAINRQLSEPKMRWSTSSVPSLAATKSSPNLCGTPTPGSPTAQSNPMDSWKICSPGDNPQLVKTRLRHWAQLVACSVKHSS >PAN38847 pep chromosome:PHallii_v3.1:7:38415272:38416708:-1 gene:PAHAL_7G202100 transcript:PAN38847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQCDACEGAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLGGTPGDVPRCDVCQEKPAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCGAGAGADVPPPPRGCSKPAAAAKAPASKPAQPQEVPSSPFLPPSGWAVEDLLQLSNYESSDKKDSPLEFKELEWFADIDLFHGHAPAKATTAEVPELLASPQPASSAGFYKTSGARQSKKPRVELPDDEDFFIVPDLG >PAN40791 pep chromosome:PHallii_v3.1:7:47355360:47358262:-1 gene:PAHAL_7G341000 transcript:PAN40791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDWQELGQAAAIGLLFAFLVAKLISTVIAFKEDNLRITRSPPASPTAAASRSPARPDTPAPAAPPKPSHGGDVSSDGGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWNKLGAMPTEEAMQEYITIVDELFPNWAAGSSMKRKDEDSMASASGSKGPMGPVFSSLMYEEDEGNEAELGDIHVSAREGATDDILKHLSTGVDVNVRDTEGRTPLHWAVDRGHLSAVEILAKANADLNAKDNEGQTALHYAVVCEREDIAELLVKHHADLQIKDEDGNTARDLCPSSWSFMNQAN >PAN39606 pep chromosome:PHallii_v3.1:7:41803184:41806508:-1 gene:PAHAL_7G255200 transcript:PAN39606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASPKEKSTACPAPTPDSPPTSATSCHRPCCASATKRESARRELPQFPISPDASGPRRHAPLPPAGCRSIRPIQRAVAMGFDKEASSSSSRLDAAAPLLPQHGGAGGHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGTLLLLAVAALTFHCMMLLVAARRRIADEHPKIASFGDLGHAIYGAPGRHAVDAMLVLSQASFCIGYLIFISNTMAHLYPIGADSPASPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDASIWLANKPPVFAFAGPAEILYGLGVAVYAFEGIGMVLPLEAEAADKRRFGATLALSMAFIAIMYGLFGVMGYLAFGAATRDIITTNLGTGWLSVLVQLGLCINLFFTMPVMMNPVYEVAERLLCGKRYAWWLRWILVVVVGLLAMLVPNFADFLSLVGSSVCVVLGFVLPAAFHLKVFGAEIGWAALVADVAVIAIGVALAVSGTWTSLVQIFSSSSNV >PAN38206 pep chromosome:PHallii_v3.1:7:35437519:35439714:-1 gene:PAHAL_7G154500 transcript:PAN38206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVDFFRMNPSAKLPVFQNGAHVIYRAIDIIQYIDRLAVHLSGEIPPVNTVVHQWMQKVDAWNPKMFTLTHTPVKYRAFVSKFIRRVLIARMAEAPDLASMYHVKLRAAYETDDKVKDPEIMRQSEEELSKLLDDVEDQLSKTKYLAGDDFSPADSMFIPILARITLLDLDEEYINCRPKILEYYNLVKHRPSYKVAIGKYFNGWKKYRTLFKTSFFLCVRTLFRRY >PVH35117 pep chromosome:PHallii_v3.1:7:30552022:30553344:-1 gene:PAHAL_7G108500 transcript:PVH35117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGGLLCSVLLLQVCVAQLSLISGSHLTGVRIGRDLLCCQDPIRRARLMSRHCHDEFDGHSADSIFDDEGADTSDEYASIDGAGNEEEEGLPSLPPDGYDGGGGSGGGAKDSSGSGGGGDVECPECGKFFKNDKSMFGHLRSHPNRGYKGSTPPVNKLKLSPDAAAVASSSSSSPPGTVRPPAPHSGRDPRLTPLEILCAYAILALRYRGNSQVAQVPPPPSFEKLDAVGAQGGAGGSATGNAAAEFKCNNAGAEAGNHLGNRHEHGDSFVKIPKKRRNKPTQVIREAHRKKAKLVPSPKEKRPYHCKHCKAAFATNQALGGHVAGHHREKKVPRLSDSSGMAAGSLNGKLKVEDDDDDDKDLPLPRGVLSEKFSMGFDVPWQSGQQASGRQVRQHSERRNDGLSPEAAAATPTPAPTDGGGGSRLWSIDLNVEAPEQE >PVH35602 pep chromosome:PHallii_v3.1:7:39776755:39780613:-1 gene:PAHAL_7G223000 transcript:PVH35602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLHLSLNQTQRVRLEAALHELQSLAPAAASAAAVTVADTIPVNQEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRGFQHDGSLHLQARSEKYGKLERGRLLTVPPYLVKRKKQHFHHLAQYDVDLILGCNGFIWVGEHVVVGKKTKTTEDQQKSSDDAENFTPLETRKHICRLANAVRVLSALGFTLTVELIIETAEASASSNVEVNNMLGAEFYVQTAEREAKRRADLLRKKNGAR >PAN38520 pep chromosome:PHallii_v3.1:7:36978608:36980108:1 gene:PAHAL_7G177700 transcript:PAN38520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRSICPPEHVVPVGHDEPLLGRYDAARPAEKAAADGGGGNASFVQTCLNGLNALSGVGLLSVPYALSEGGWLSLALLAAVAAICWYTGLLLQRCMAADPADPAVRTYPDIGERAFGRGGRLLVSAFMYAELYLVAIGFLILDGDNLAKLLPGASVSLGPATLAGRRLFVVLVALAVAPTTWLRSLGVLAYVSATGVFASALIVLSVLWAAAVDGVGFSAPGTTALRPTGLPTALGLYTFCYCGHAVFPTLYTSMKEKSRFPKMLAICFVLCTLNYGSMAVLGYLMYGDGVQSQVTLNLPAARLSSRVAIFTTLINPLSKYALVVTPIATAVEDRIGLSGPAVPVAVRTLLVFSTVAVALAVPFFAYLMALVGSLLSVVACMLLPCVCYVRVLGPPRRAAEAAAIVAILALGSLVVVTGTYSSVVQIAHELF >PVH34715 pep chromosome:PHallii_v3.1:7:2733662:2734418:-1 gene:PAHAL_7G014000 transcript:PVH34715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQAEPLNLKEATVTCIPRLRGGGGGRRSRRGAAATQVSMLDRVRDVVLRLAMVSAASAATTTAKQGAPGTLRRTATTASASATATPSRAAARVSPAASVAYTDSYRSEAVDDCIEFLKRSAAGGAPATAEVEASTAASPLHATAACAM >PAN40869 pep chromosome:PHallii_v3.1:7:47665471:47668471:-1 gene:PAHAL_7G346300 transcript:PAN40869 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MAAGSGSGSDPAADSQPPPPIHHLPPDALHNVLLRLQLRDAVVCRPVSRLFHDTLSAQFLALLPTLRLLLLRHPRPEGGGCLHAFDPARRHWLRLPFAHFLPYHSFSPVASSTSLLYLWVETSTSTSLPPPLPSTSSSTSPAHPPKSLAVCNPFAGTYRLLPPLGSAWARHGTVLAGPAGTVLVLTELAALSYTPSGSGKWMKHPLSLPSKPRSPILASAAAAVFALCDVGTPWRNQWKLFSCPLAMLTGGWAPVERAAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAMDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAASQGNAMPTAAAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDETGGSGGKWDWVDGVPGYGDGVYRGFVFDGGFTAIP >PAN39934 pep chromosome:PHallii_v3.1:7:43441772:43447884:-1 gene:PAHAL_7G279700 transcript:PAN39934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGGRQEDAAPPWVPGEATAFRRFAAATASGRPPEATPSVSGNGAASRVSSLHGVRRKPFVARLTAGIIQTYQQCDPKFKYSDENIPKRFLTSPSIPAHNDGLDNANWDLILYVNLELVNKMSNRRFIVKEMLGQGTFGQVVKCWDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRTLNQKFDPDDKHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNQLRGLKVKYVRAFSKQILDAMVVMRDAGIIHCDLKPENILLAPSVATAAAVKVIDFGSACLEGKTVYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLHRMMMILGGQPPDDLLREAKNTGRFFKHVGSIFPGSEAPDGIPSAYRFLSEEEVEARESKRPKVGKWYFPRLKLDRLIYSYPWNNSELTETEKTDRLALVDFLKGLLEFDPNKRWSPVQALFHPFITGEPFTGPYEPVPETARIPVAHAAAIDHNPGGGHWLHSGLSPQVGSVNRCVPLNNAYPPKMPFSYGSSYGSFGSHGSYTGNAGFANSYGSIGDVNTGNMYYSPLGSSGFTQIGTSPDIRLRPRLPHDRGIRLSPGSLGPMSLGASPSQFTPPNYQMQIPVNSTGKHGSGSPASGGIHGSPLGKAAVAGPYNMRRNVPMPPHDYVSQHGQGRYGDGVSFSHSDGYVRGHTGHSQNAGPSSGHSSWRPQIGSRSGFSLEASSSHGHSHALHSQALSHSFDFSPNTSAPSALDPADWDPNYSDESLLQEDSSLSADLSSSLHLGDATRQASGSIRSANFQGHIFATSNPVPTNRRDQLFHASYQGESSHSSVPINYGGYNPPSYPQQNLRPRPGQPILQPRYNQATSGPMRPTGSHHSGQHVWSSTYGMGDGVPWGGTGGHSFTASGLPSSHARKDYGSIF >PVH36052 pep chromosome:PHallii_v3.1:7:47574766:47575542:-1 gene:PAHAL_7G344900 transcript:PVH36052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAAAPSPLPPAVLPVVFVDGERTVDLGTVTVQPSLGVKKLQAVVADRVGVAPHQISAALARPRRARRVPLEEGADLAAAVAREGSGCYVLASLRRARRERRGGRARRDKHKGSAADAAPPPEKTILKRLPPTDLASLVAAAPPAAMFGGWDYEAQLRELQRQRDWYLMSTAAAAADPCLLPLEDPPLWSPRPACPECEAAAAAMRQPPFHWCVRDAVVTAGFRSHVGPIERPAKKSASPPPSPGRLPGLLGMPVY >PAN39542 pep chromosome:PHallii_v3.1:7:41475337:41477756:-1 gene:PAHAL_7G250000 transcript:PAN39542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPQATPPSRALSKAVRRRAAAVAEKLAARGPAFVWREKLDRDLSRGVLPGIVSVRSERRRCLARRKEADGVKASAAARRASPPPTVAPAASLARAVEEAAYLLEQSRRRAGIRFAEGRPRRIDMLVARRSRHGDGPFWEASKVLCDAKILKAAGADGRGGGYLHSEVAADVMTVVEGKDLEELEVMQQTIAVRMTGGESKIGDQLQEVIRLIRVEKAKKFLAQIYSSGDDAPPSYDDCKTDAKPEREDRIVDADEEVVRGYTFVLHYPELAAGAKPPPCAVEEDGGGSGGTCIVRFHAGRPYEDVAFRIVNREWERSRKGGFHVKRFFYRR >PVH35174 pep chromosome:PHallii_v3.1:7:31769869:31770162:-1 gene:PAHAL_7G117000 transcript:PVH35174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMSSLGTLSYAFSRSIKTMCKFFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PVH34714 pep chromosome:PHallii_v3.1:7:2666416:2667309:-1 gene:PAHAL_7G013900 transcript:PVH34714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSNVFWDSEGHLHTNALHWEGFPRLLWESLQIFGYDAPPLYDGYEFVEAGVPRCRVKMTIPQHPSRYLWQPVTICTAGHRLVDTFESAALEAIHIFCDKHPEEVAAYPIGLFPATDPRDSEWTFRISYYSHLLGDLSHETLQALVRFMNVQHQYQLLQRRSINQLSNLAQAHHGTIIQQLDELNELHTVTNAQVDLLAQRDMIINNQENQIQEREIVIAQRNTIIEFLQDQVQDLTIELDDAVNHINDLHEQPAPPVMSDESESEEEEEEEPEEIEGESGLDSEHGDPTPKSPI >PAN40758 pep chromosome:PHallii_v3.1:7:47162726:47167115:-1 gene:PAHAL_7G338100 transcript:PAN40758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDMAAILADLDRGAADARVPKTKLVCTLGPASRTVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIKLTKGQEITVTTDYDIKGDENMIAMSYKKLPVDVKPGNVILCADGTISLTVLSCDPDAGTVRCKCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQVLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICIEAESSLDHEAVFKAMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLIPLLAEGSAKATDSESTEVILEAALKSAVQKQLCKPGDSVVALHRIGVASVIKICIVK >PAN39559 pep chromosome:PHallii_v3.1:7:41545482:41547608:-1 gene:PAHAL_7G251500 transcript:PAN39559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGWWAAAAAAAVAVYMAWFWRMSRGLSGPRVWPLVGSLPGLVRHAENMHEWIAANLRRAGGTYQTCIFAVPGVARGGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHGRLLPILGDAAADGAAVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDRATEATLNRFIFPECVWRCKKWLGLGMETTLARSVHHVDRYLSAVIKARKLELTAGRKGDDASATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKVVRELCAVLAASRGVDDSSLWLAAPLDFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGTRFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLGPFVDELRGAGAEYDAAARATAACA >PAN39429 pep chromosome:PHallii_v3.1:7:41035347:41036723:1 gene:PAHAL_7G242100 transcript:PAN39429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGASDDEVVFEVAHRIRIFRSGRVERYCGSDPFPASTDASTSVASKDHAISPDVAVRLYLPPAARDGGSRLPVLVYFHGGGFCLLSAFNAIIHGYLNSLAARARAVVVSVEYRLAPEHPLPAAYEDSWRALGWVASHGSGAGEEAWLADHADFSRLSLAGESAGANIAHHMAMRAGAEGLPGGTRINGVVLVHPYFLSDAKVPSEESNPAMADNLVRMWRVVSPGTSGLDDPWINPLVGGAPALGALACGRVLVCLAEEDVLRDRGSAYCEGLRASGWAGELEVVEAAGQGHCFHLSDFTSGDAVRQDEAIAMFLNL >PAN40637 pep chromosome:PHallii_v3.1:7:46672192:46674043:1 gene:PAHAL_7G329800 transcript:PAN40637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAKTVVLLLGLHENDAKEKRKVLKAVSTFPGLDLIAIDMKECKLTVVGLVDPIELVTKLRKLWHADILSVGPAKDDKAAAAAAAAAWNHRDGGGGVKEEGDKGHQVQEVTPAKQVERPADDMRSWPHAVVYPPRDPPPYPHPHQYVAGGHGAQENYRHNGAYYVAGGRGAPENHPHNGAYVAGGRGAREHLPNSYPYVARGYGAQENPPNACAIC >PAN39033 pep chromosome:PHallii_v3.1:7:39289274:39292387:1 gene:PAHAL_7G215300 transcript:PAN39033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCAADLAPLLGPAAANATGYLCSQFADTASAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHLPKTGFDYDFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPIVSHWFWSTDGWAAASRTSGPLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFNTILKSYGPAGTVHGQWSAVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAVICGFVSAWVLIGANALAARLKFDDPLEAAQLHGGCGAWGILFTALFAKQKYVEEIYGAGRPYGLFMGGGGRLLAAHIIQILVIAGWVSCTMGPLFYALKKLDLLRISADDEMAGMDLTRHGGFAYVYHDEDPGDKAGVGGFMLRSAQNRIEPAAAAAAATTGNQV >PAN40740 pep chromosome:PHallii_v3.1:7:47090283:47092533:-1 gene:PAHAL_7G337000 transcript:PAN40740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRAPPAVPRLPSPAFVEPRRFHAATHHHHHHQEPVPVPAYTYATLLQRSAVTANPHLAAALHAALLKPGLLSSDLFLCNHLLIAYFKSRFHRHGLRLLDEMPRRNAVSWSVAIAGLTQGDKPSEALVLFRRMRLAGCPPNEFALVSALNASSFVGAAGTGSARQLYALAVRLGFEFNVFLMNAFLSAMVRHRQLADAVQLFDDARVRDIVSWNTLLAGFAHHWCVQGWILWRRMVREAVGSDGFSFSTVLSGLAASASLASGLQVHAQLVKSGFGGDVYVGNSLVEMYMKSKCLVDGTRAFTEIHCKDVVSWTEMAAGCLHCGEPAKAIGILSHMMLDGVMPNSYTFATVANVCASLTDLNEGRKVHGYVIKLGDDSDVGVNNALIDMYAKCGSVNCAYKVFRSMQQRPVVSWTAMIMGFAQNGLAREAVEVFDDMLLKGVAPNYVTLICVLYACSQGGFVDEGWIYFNAMEDKFGVQPGEDHYACMVDLLGKAGRIEEAEELISRMPFRPGVLVWQALLGACRLHGNEAAGRRAAEYALALEKDDPSTYMLLSNILADRYNWDSAGMVRGLMEDSDIMKVPGSSWFQSTPDRSRACIM >PAN38991 pep chromosome:PHallii_v3.1:7:38982986:38989826:-1 gene:PAHAL_7G211500 transcript:PAN38991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSANDVFLGLKEVPTPIWMEEHPLCNVEDWKAFQKERPDGRHDWFYSHREYTRMFRSKPQVEAFLKALSEGANMFEGRKLHKKRTVDSDDAESGGSTTRSIRGRGMGRGRGRGRGGGRARGRGRGSSPVAYRPMLHEMDKLPPGFI >PVH35351 pep chromosome:PHallii_v3.1:7:35950114:35952456:-1 gene:PAHAL_7G160500 transcript:PVH35351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLRRLALLLGLLALAAAAATRAGAQPACEPSNLATQITLFCMPDMPTAPCCEPVVASVDLGGGVPCLCRVAAQPQLVLARLNASHLLALYTACGGLRTGGAHLAAACQGPSPPATVPVIAPPPPAPRHKLPAHGEAPPPPPTSEKPSPPPQPQQPGGTAAHGKAISASPAAASSPLAPAAAPTPPTSGSDKRRRHSSLVFFLFVAIIIALD >PAN37297 pep chromosome:PHallii_v3.1:7:16486786:16492264:-1 gene:PAHAL_7G055400 transcript:PAN37297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFSFSRSSTHQHRRQGARSSFTRADNSTSFAAPVLVGRRGLYDMSWQSSVSWQPDTSWAQPHGLGAAIGPWAPVGSESASRRGPALFRRTARDYYLSTRSRRIYRDRSSVARQSRACGGKRLELQSVVTDASRAIVVAPNTSFASNDDIVSTTTTVRTAAGLDSGDKGMVKHSGTYNNTMSREVSFSRDNHDKLYVPPRRDAPSFGYDVSIASYSRSQRYDDDDGYGYDGNDDGDCEIEVRIGKPVSITGLFKYSTPLDILLLVLGCVGATINGGSLPWYSYLFGNFINKVINTDKAQMMTDVKQISFYMVFLAAVVVIGAYLEIACWRIIGERSALRIRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQDVMGEKMAGFVHHVFTFIFGYAAGFTKSWKIALAVFAVTPLMMSCGIAYKAIYGGLTAKDEASYQRAGSVAQQAISSIRTVLSFVMEDRLADKYSEWLNKAAPISIKMGFAKGAGMGMIYLVTYSQWALALWYGSQLVAKGEIQGGDAIACFFGVMVGGRGLALSLSYYAQFAQGAVAAGRVFEIIDRVPEIDAYGSGGRILSTVRGRIEFKDVEFTYPSRPEAIILYNLNLTIPASKMLALVGVSGGGKSTMFALMERFYDPTRGTITLDGQDLPSLNLSWLRSLIGLVGQEPILFATSIIENVMMGKENATRQEAIAACTKANVHTFVLGLPDGYDTQVGDRGTQLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEALVQQSIDHLSAGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHADLMARGGPYAALVKLASDSGRSDASEPSKPATATTEMYNSFTDESGYEMSMMSKSRYRVQMIHGEASQKDADAKFSVSEIWKLQRREGPLLILGFLMGINAGAVFSVFPLLLGQAVEVYFDADTSKMKRQVGYLAVAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAIMKQEPAWFDEEDNAMGVIVTRLARDAIAFRSMFGDRYAVLLMAVGSAGVGLGICFALDWRLTLVAMGCTPLTLGASYLNLLINVGPKSDDGTYARASSVVAGAVSNVRTVAALCAQGNIVRTFNRALDAPVSKARRRSQIMGIILGLSQGAMYGAYTVTLWAGALFIKRDESKFGNVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIAGILSILKRRPTINEDATKRRTINDGRPIDVELKNVTFAYPSRPDVTVLNGFSMRVKAGSTIAVVGASGSGKSTVVWLVQRFYDPVDGKVMVGSIDVRELDLKWLRGECAMVGQEPALFTGSIRENIGFGNPKASWAEIEDAAKEANIHKFIAGLPDGYDTQVGESGVQLSGGQKQRIAIARAIVKQSRILLLDEASSALDLESEKHVQEALRKVSRRATTIIVAHRLSTVREADRIAVVSNGRVIEFGSHDDLLANHPDGLYAAMVKAEVEAQAFA >PVH35036 pep chromosome:PHallii_v3.1:7:26745784:26746013:-1 gene:PAHAL_7G091600 transcript:PVH35036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSWRPIHPGGGSLTPPSFPTSLDLRWDQGGSENSRDGSSPIR >PVH34922 pep chromosome:PHallii_v3.1:7:19797738:19799005:1 gene:PAHAL_7G065400 transcript:PVH34922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRKIRKEAGPSSIDQEAPIVNQADIVEISSGEEPARQENPTPEAPEDPLMMDLILKTSENLAMPADTLVGLQDSQEPIITSSAVSPSLWEPIVTSLAVSPSPERVRLQEPIATSSAITPPSERVRLQEPFVTSSAIIPSPEQGLNLSELLSFDPASVGSAILEADDHQPQPTGVASQLLRIKGLLSAPIDALVQDSNAVRQILEEIKPQFLEVLQIKLWPTGHLPFFRAKIELTELSILSWQVVSGEDKDDEAVTAEADRVRLQVVAAIDDFLQ >PVH35373 pep chromosome:PHallii_v3.1:7:36165946:36176339:1 gene:PAHAL_7G164500 transcript:PVH35373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKNFPTSPRIFPPHQHPWRKAKLTVLGSSIAPCNKSSLPSVFPLLLVLLLSSKEGGENLGKGLLFLEMSRVIRVLIQELIINVILGSSTPRNTDRPGMVPSSRLVAKMTIGDSDVW >PAN38174 pep chromosome:PHallii_v3.1:7:35278403:35279578:1 gene:PAHAL_7G152200 transcript:PAN38174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRAAADASTNTATPAAGPRTYTRRRRRHRRPAAQGTSAGSFADWWLASVSASLGFSGHGLSRRYMHASARTFRRMAPAPRGRCPYHRGTGTSARISIQCVCSFVHSFGALHPLARLDRDPGTHRSRSRRV >PAN37681 pep chromosome:PHallii_v3.1:7:31417449:31422527:-1 gene:PAHAL_7G114200 transcript:PAN37681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPPAGAAAPGSPPPAQVVGNAFVNQYYNILHQSPELVHRFYQDASRLGRPAGAGADGMDTVTTMDAISDKIVSMGITRAEIKAVDAQESLCGGVTVLVMGHLTGRTGVGRDFVQSFFLAPQEKGYFVLNDILRYVGDGEGEEGAGHPPPPQQPALVAIADAEAAPAAVLANGTVGGDNETVPREQDFFVDASPQPELHAAEPAPPVQEEEDLNGEEVYNPPIEVEKPVVKETLVAEVINEVPNNVAVAAPSSSPPVPTEEAPKKSYASIVKVMKEYRPPAPAVPSRPAPQKPEKQAPPAPALVADAPAFSPNTQGGSFQDPEVDAHAIYVRNLPLNATPQQLEEEFKRFGTIKYEGIQVRSNKIQGFCYGFVEFDDASAVQTAIEASPVMIGERQCYVEEKRTTGSRGSSRGGRFAPGRGGNFRGEGLRGRGTYNGGRGYGRGEFNYRSDYGGRGGGRGGSSRGEVGYQRVDHSGTAGGRGARAPSAATAAAK >PVH35207 pep chromosome:PHallii_v3.1:7:32846114:32853257:1 gene:PAHAL_7G127200 transcript:PVH35207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGSLGLLVPMTTPSRTHERLHHGGLLHNSSNSTVLQYLREWPELYSPCFWMGAFALMQLVFIISISSQFLFNKIRRCIQTLKSAAPERNEHSYQDQKNTVIKLGVSYQAGKVCCLLMLAVHILRVFLLQFDERLCNCLYPSCVLSEGFQVLSCMILSIAVFSFQETKCTKLPLIIRSWWIFYFLQSVTMVVLDLRSILLDHEHIGYEEWTNLFNLGVCAYLLAVSARGTTGIRITFIDSSITEPLLNSSIGQQTEAERPCPYGRAGILQLITFSWMNPIIATGYRKPLDKNDVPDLDWKDSAEFLSDSFKKIIDDVEHRHGLSASSIYTAMFLFVRRKAMINAGFAVLSASASYVGPSLINDLVKFLAGDRQYGHKRGYLLALALLSAKVVETIAKSQWWFGAQQLGMRLRAALISHVYQKGLQLSFSSRQKHNSGEIINYMDVDIQRISDFLWYTNYIWMLPIQLFLAVFVLYQNVGAGAWAGLAATLAVMACNIPLTRMQKKLQAKIMTAKDERMKATTEVLRSMKILKLQAWDMHYLQKIEALRSEEYKWLWRSVRLSALATLVFWGAPAFISSVTFGSCILMEIPLTAGSVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAKYLQEEELKCDAVTQVPISNTCYAVEIDQGTFSWELDTTSPTLNDVELRVKRGMKVAICGMVGSGKSSLLSCILGEMPKQEGTVRISGSKAYVPQTAWILSGNIRDNILFGNPYDKEKYERIIQACALTKDIEMFANGDFTEIGERGINMSGGQKQRIQIARSMYEDADIYLFDDPFSAVDAHTGSHIFKDCVMGILKDKTVLYVTHQVEFLPAADLILVMQGGKIVQKGKFDELLEGNIGFEAIVGAHSQALESVISAESSSRISSDNQKSAYTEDELAAENGTNDQLQGITKQESARDVSHETNVKGRLTQDEEREKGGIGKKVYWAYLRIVHGGALVPITIAAQLLFQIFQVASNYWMAWASPPSSATNPTVGLGLLFSVYIALSMGSALCIFARSILTSLIGLLTSEKLFKNMTHCVLRAPMSFFDSTPTGRILNRASNDQSVLDLDIANKLSWSVLSVIQILGTIGVMSQVAWPVFAIFIPVMVVCVLYQRYQIPAARELARLYKIQRAPILHHFAESLSGASSIRAYGQKDRFRKANLGLFHNHSRPWFHNLGSMEWLSLRLTMLSTLVFAVCLILLVSLPEGLLNPSIAGLAVTYALNLNYQLTSMIWNISRIENKMISVERILQYSRIPSEAPLVVDYCRPPKSWPQDGAISIRCLEVRYAEHLPSILRNISCTIPGRKKVGIVGRTGSGKSTFIQALFRIVEPREGTIKIDNVDICKIGLYDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQRVWEVLDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNILVLDEATASVDSSTDAIIQQTIRQEFGDCTVLTVAHRIHTVVDSDLILVFSEGRIVEYDTPSKLLKNENSEFSRLVKEYSMRSHPPLHQWTRN >PVH35131 pep chromosome:PHallii_v3.1:7:30818244:30819011:1 gene:PAHAL_7G110900 transcript:PVH35131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVAVTPTAHGLHHFFPPLAGTHNRRRRSQVVPPPPLLQLHHHNRRRYNQQRRILVGVFAAPSLCRPVGCHESREPRNAHPGGCTPAVRRIAAASHRRPRPLAEWLPCYPVRLIGARGLIRHGQ >PVH35745 pep chromosome:PHallii_v3.1:7:42341926:42346086:1 gene:PAHAL_7G261800 transcript:PVH35745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASCVQEDEMINRVKRLTCEPRDASRLRIVLLTSGGHFAGCVFDGNSVLAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALRKEVQELIVSWKSYFDTCVCAFIYAPSKNRQMLFDGDKTQSVIQACDIRSVPLTVHRPTLKEAKRVYSNLTQLHYEMECSTTDETLPHGESVTSVEESEGKKKEVAVDSKESISNLSVSLELLNNNEEVKNEEVIIPSSKKETTPLHEAAKSGNAQLTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAANVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEENEKEKEKAKAQPSQSKTSMGQMANRTTSVPGLKPKHQTPQQFLIEKEEERQRKLAEEREKRAAAAERRLAALAAQSAGTSGAAAAANSVQKAATDDNSCSCCFTSLAGKVPFHRYNYKYCSTTCMHLHSEMLQDD >PAN39694 pep chromosome:PHallii_v3.1:7:42341926:42346086:1 gene:PAHAL_7G261800 transcript:PAN39694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTEKRPPRSLFDLPSEFFDSTVLLRAHPSTAPFAVEPSEPSRPPPTTQQQQQPSEAVGFRWTCNTCAAEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEEDLDKADSDSLFDDLEISSVSGSEDELENGPASERGLSVKGKEEFRKKLYFRCRSGDTISIWRCILLKEHEEPFIDCKSGQMESASCVQEDEMINRVKRLTCEPRDASRLRIVLLTSGGHFAGCVFDGNSVLAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALRKEVQELIVSWKSYFDTCVCAFIYAPSKNRQMLFDGDKTQSVIQACDIRSVPLTVHRPTLKEAKRVYSNLTQLHYEMECSTTDETLPHGESVTSVEESEGKKKEVAVDSKESISNLSVSLELLNNNEEVKNEEVIIPSSKKETTPLHEAAKSGNAQLTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAANVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEENEKEKEKAKAQPSQSKTSMGQMANRTTSVPGLKPKHQTPQQFLIEKEEERQRKLAEEREKRAAAAERRLAALAAQSAGTSGAAAAANSVQKAATDDNSCSCCFTSLAGKVPFHRYNYKYCSTTCMHLHSEMLQDD >PAN40460 pep chromosome:PHallii_v3.1:7:45777826:45781677:-1 gene:PAHAL_7G317100 transcript:PAN40460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPTPAPAPHVLVVPFPAQGHALPLLDFVALLATRGLRLSVVTTPANLQLLSPLLAAHPAAVRAVTFLFPSHPALPPGLENTKGCGPGQFPTFIHAFAKLREPILAWARAQPDPVVAVVADFFCGWTQPLAREIGAAGIVFSPSGALGTAVMHSIFRRLVRRPGDSDDGFTVAFPAIPGEPSFQWRELLMMYANYMAGKLEEQVGESVRQNFLWNLQESWGFVSNTFKALEGRYLDQPLEDLALKRVWAVGPVAPDTDAAGARGGEAAVGAADLMAWLDAFPEGSVVYVCFGSQAVLTPAVAAALAEALERSAVPFVWAVGGGVVPDGFEARVAGRGLVVRGWAPQVAALRHAAVGWFMTHCGWNSTLEAVAAGVPMLAWPMTGDQFFDARLLVDEARVAVRACAGGIGFVPDAGELASVLADATGEKGRDMRARAKELAAEAARAVKEGGSSYADLEGLVQEIRRLR >PVH35764 pep chromosome:PHallii_v3.1:7:42876936:42877508:-1 gene:PAHAL_7G270700 transcript:PVH35764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPMPKATPSASPSSPPAASPPESPSNPPVASPPEESPSAPPASSPSESPSTPPTSTPSESPAAPLAPSAPESQSTPPAASPSESPSTSPVATPPESSSTPPAATPPESPSAPPAESPSTPAPESPSTPSPESPSTPAPESPSAPAAAPSESVCAPPPESPSTPAAASPESPSTPPPASMATPPGESP >PAN40250 pep chromosome:PHallii_v3.1:7:44919363:44922281:1 gene:PAHAL_7G302900 transcript:PAN40250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGGVRTACVTGGNGYIASALVKMLLQRGYAVKTTVRNPDDREKNAHLMELQALGPLEILRADLDDEGSFEDAVAGCDYAFLVAAPVNLTSEDPERDQIEPSVRGTLNVMRSCARAGTVRRVVLTSAASSVCIRPLEGDGHVLDEESWSDLEWVAAEKPPSWGYVLSKVLSEKAALRFAQEHGMSLAIACPVLTVGASPVPKVYTSVPASLSLISGDEAALAMLKGIEKSFGGVPVVDLDDLCRAEVFLAEAEAASGRYICSSFDTTVVEIAQFLADKYPQYSVNIGSGELLEKPRVRLSSAKLVKQGFEFRCKTLDEVYQGVVEYGKALGILPY >PAN39858 pep chromosome:PHallii_v3.1:7:43136925:43140350:-1 gene:PAHAL_7G274900 transcript:PAN39858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRRVLRSFYIDPAHWTRPFHFPFPDSSQRFRVRDLRHRVAAAMAASMFSTVKVSNVSLKAAQRDIKEFFSFSGDIVHVEMQSGDELSQVAYITFKDNQGAETAMLLTGATIVDMAVIVTPATDYELPAEVVAALEPKDTKPSALQKAEDIVGTMLAKGFILGRDALDRAKALDEKHQLTSTATARVSSFDKRIGLSEKFSVGTSAVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAAEQEPKNVEGESAAQSNISEGPVTHRDLDGEFAKIHVSETPEDIPVSTAATVPAITDEEPSKVSPPTDAPKKPESAQGLIL >PVH34747 pep chromosome:PHallii_v3.1:7:4395385:4396684:-1 gene:PAHAL_7G021700 transcript:PVH34747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATGLGRDPMTGCIMADDKWWKDQNDAMVGCICFKDAPLEHEEQMRIMFEAVSVTNERSFVPSNGEGGGQEDDGGQNSCELGREGQVPTPPNVTPTLGKRTAPLSPKGKKKKTFRDQCMKRLVEAYEKKAESSNNSATSNVVDSVREEIGNMLDQVIKDGAEEGSDEHYYATQLLIKKEYRDVFITLKTSNGRLNWLRRAWEDSKKH >PAN40011 pep chromosome:PHallii_v3.1:7:43817653:43818668:1 gene:PAHAL_7G284600 transcript:PAN40011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSGAKKSNKITEIVRMQQMLKKWRKLSVAPKHPGSPTAGAGADGNGGGGGNAGESKAKKFLKRTLSFTESPPSGSPPPPPKGHLAVCVGPAMQRFVIPMEYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPVFETILKAVEKNKDAAFCYCSVEYAADEVARGTPNNPLCR >PAN38671 pep chromosome:PHallii_v3.1:7:37593720:37595542:-1 gene:PAHAL_7G188700 transcript:PAN38671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGSLDVLERGGVSAACLTTPAIYTAAAGERARRVHESRKSRPRQRAGMAAEVPVIDLRLAGAAPEESARLRAACERLGCFRVTGHGVPRGLLAEMKAAVRALFDLPDDAKRRNTDVIPGSGYVAPSATNPLYEAFGLLDAATPADVDAFCERLDAPPHVRETVKAYAEKMHDVIVDVAGKLASSLGLEEHSFEDWPCQFRINRYNYTQDTVGSSGVQTHTDSGFLTVLQEDECVGGLEVSDPATGDFVPVDPVAGSFLINIGDIGTAWSNGRLHNVKHRVRCVAPVPRISIAMFLLGPKDGSVSAPEPFVDADHPRRYKEFSYGDYRGLRLSTGEHAGEALARLEA >PVH35993 pep chromosome:PHallii_v3.1:7:46669395:46672104:-1 gene:PAHAL_7G329700 transcript:PVH35993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSSPAASAPASAGERWPPLESSPEVFNQFMWSLGVPEEEAEFHDVYGLDADALDMVPHPVLAVTLCFPDPPQDASYPSDLLLSYGEKETWDQVYFIKQIESLGNACGTIALIHAVGNSSSEINLVENSCLDVFFKSTASMDPYERALFLEKDDAMAKAHSLAASAGVTEFCDVVEEHYICFVAVNGTLTELDGMKDGPIKHGSSSSKSLLQDAVPVIEGIMRNIPDSISFNVMVLSRKQNNAGTTS >PVH35112 pep chromosome:PHallii_v3.1:7:30157151:30158398:-1 gene:PAHAL_7G107100 transcript:PVH35112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHTPPAKRWQLAASVAAAIPEDILVSEVLARLPVRSLLRFRSVCRSWRAAIDGDPRLVRHHLELSRGQAPPSVLDVPCEPRLEDHWGEARSKEMEFRRIRHGAAVDAELMLAAASPANRFTAVIDPVHCDGMVLVPTASGELFVCNPATRELVALPPGSRSVMRGAVAFGFDPSSNTYKAARIFYRGVELVEADDDEGGEGVEEYDVGHEVLTLGADSSWEPTDDPPYYVAPIRPICTRGAFYWTAAVGRADDPRPTELLRFCLRDKTFAVVPNPPCFFQSVGGLGLIGNRDTLTELGGRLCCAHARTATGVDIWLADDDGPRLEWSMAHRIELLRPVAHSVLPLAADGDELLLSVDRRVLYKYSAASKAVEEVLDMQRVPDLYGGRPPLPLRLVHHAVPYVESLVSITRHNY >PAN38564 pep chromosome:PHallii_v3.1:7:37146292:37149306:-1 gene:PAHAL_7G181000 transcript:PAN38564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVSAGGIVGGHDAGGNGPDDDGLVVVDYMGDPVDKSRTGGWLAAALIAGTELAERVGVMGVSTNMVTYLVGALHLSNAQSANIVTNFMGTLNLLAFLGGFVADAKLGRYRTIAASATVATIGLALLAASTAVPGMRPPPCAQADGGAAGGCAPASGRQMALLYLALYTIAVGAGGLKANVSGLGTDQFDGRDPREGRAEVFFFSRFYFLVSLGSLFATTVLVYVQDNVGRTWGYGVSAVVMALATAVFVAGSRRYRYRRPQGSPLTVIGRVLWAAWRNRKLPCPTDASELRGFSRAKVPHTDRLSFLDKAAILDVDLASEHPAVAAGPTLTEVEEVKMVVKLLPIWSTCILFWTVYSQMNTFTIEQASRMNRRVGGAGFVVPAGSMAVFLYLAILLFTSLNERLLVPLARRFTGRREGLASLQRVGTGLVLSTIAMVAAALVEKKRRDASGGAPISAFWLVPQFFLVGASEAFGYVGQLEFFIREAPERMKSMSTGLFLTTLSMGFYLSSALVAAVGAATGGAWVRDDLDDGRLDLFYWMLAVLGVFNFLGFLCFASRHEYKREAPAAAATAITRAVELTKQPEEEEDAVKVAVAVNMIDV >PVH35612 pep chromosome:PHallii_v3.1:7:39880099:39880542:-1 gene:PAHAL_7G224800 transcript:PVH35612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLQDRKEEQRKMQRTTPRIVLRTESNNRSKILRAKLARIEGEQHFSTM >PAN39920 pep chromosome:PHallii_v3.1:7:43403754:43405265:1 gene:PAHAL_7G278700 transcript:PAN39920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFRLGWEECFDDLYGSFEDNTSVRSMRYTEGTIPRYACCEDVLQIFSVRVTETKDGLEWPLHVYGWVATRDSVDQNRNLLFNRTRDNCQILTQEVYIHLYVCVLLSLFSDFLAASTVQLLLCLHVFVLTGGVTVSSSYGLVSICAINFVISLYLVLLISI >PVH34930 pep chromosome:PHallii_v3.1:7:20620409:20620864:-1 gene:PAHAL_7G068000 transcript:PVH34930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRVSILTTGIKAVLSCSLNISCSSPSHASLCPQPGAGTPAVPTHPSSTAQTGSSSSEAASSPRSSPPLARHVRRTVSTLGRLERAAPVGGRARRGRGMRASGGRDRCDGGEGVKAGGGGTGSSKGGSGSSGGGGCSACGGSGAQGSMRQ >PVH34682 pep chromosome:PHallii_v3.1:7:1365321:1365779:-1 gene:PAHAL_7G006500 transcript:PVH34682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVAKCDTWCELQNPANHRVFERKLRPRPSDTPNPSLGTDVVFGFPRLMVWWAEVVAAGIPCRAPACGPIHDRSALVLGPGGEETYKDSPSNGERTGSSPA >PVH34772 pep chromosome:PHallii_v3.1:7:5605847:5614798:-1 gene:PAHAL_7G026200 transcript:PVH34772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRKPPPLKENVIFVRLCSCPIRTDFSVFACLIETPLSKPLSLDHPIPPPEAMAAAPAVRFPVFGIVRLLGLAAAAGILFWAVHYRGGMALSTNEESKLPIFNVHPVLMLIGLVALNGEGHFKLGPFSIQAGRIQPEWAIPGKPNGPLYTLHSWLGLTFIIFFSLQWATGFWTFWYPGGSRSGRASPLPWHVFFGIFIYVLAIVTSVSGLLQKSIFMQSAKMIGRFSTEAMFMNSLGMLLLVLGALVILAIVSPGPGKIDTYRGSSE >PAN39672 pep chromosome:PHallii_v3.1:7:42225780:42227194:1 gene:PAHAL_7G259600 transcript:PAN39672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHRRPDRNKLATGWAALCCCYPWSIGGAPRPQQRSSRRQDSTYQASRSTRQLQTGGNWAGHQDIAGGAAEGIGKKGGKDVPVAPS >PVH35897 pep chromosome:PHallii_v3.1:7:44874229:44876584:1 gene:PAHAL_7G302100 transcript:PVH35897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGDKKKTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMEKNSHFRGLRELGPLTVLRADLDEEGSFDDAVAGCDYAFLVAAPVNMAAEDPEKEQIEPSVRGTLNVMRSCAKAGTVRRVILTSSAGGAYIRPELRGGGHVLSEESWSDVEYLTANKPPTWGYCVSKVLLEKEACRFAEAHGISLVTLCPVLTVGAAPATRVRTSVIDSLSLLSGDEAGLGVLKGIEKTSGSVQLVHVDDLCRAELFVAEEDAAAGRYICCALNTTVVELARFLERKYPQYGVKTNFTDDDQLLEKPRVRLSSSKLVREGFEFKHNTFDEIYDGVVEYGKALGILPY >PAN40941 pep chromosome:PHallii_v3.1:7:48022865:48024652:1 gene:PAHAL_7G352300 transcript:PAN40941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGTLKSRRGSAGDDEEASGGGEYSSFPLTPRRVYWWSSGLLKAVVALVILMAGVLIGLAGSANVSRYYYYSSMGSSSLSLKAEAGAEAANNNNNNGAVVVVPGATRSISRGGGGNSRKKKKMRMEDDDEAAAAGLPPPPVLLEFRGFVDPGPPWGHSMSDQELFWRASMAPRLEEYPFQRVPKVAFLFLTRGPLPFAPLWERFFRGHEGLYSVYVHAVPGYAGRYRRSSPFHGRQIPSREVSWGSITLVDAEKRLLANALLDWSNQRFVLVSESCVPVFNFRTVYEYLVNSAESYVESYNIDVPQCAGRYNPRMAPEILEEQWRKGSEWFELSRELAVDVVADQRYYALFRRHCTPSCYPDEHYIPTYLHLWHGARNANRTVTWVDWSRGGPHPARFGKAATTAAFVAAIRNNGTSCLYNGRPTTVCYLFARKFAPSALGTLLNLSTTLLDF >PVH35864 pep chromosome:PHallii_v3.1:7:44516367:44521888:1 gene:PAHAL_7G296000 transcript:PVH35864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDPHVVAAVLWLAQTILEVLFAGEMEAWIRQVGVADDTERLKSEIERVEAVIAAVKGRAAENRPLARSLGRLKELLYDADDMVDELDYYRLQHQVQGGTIALDNQSQGTDATGGSQLVDGSRDNPGVPNRNDRKKRSKAWEEFSITEDDADGKPLKAQCTYCHTVVRCETTKGTSVLHNHLKSENCKRKRAAIEQAPIPSSADLGAQNGASISSHDSDRRKRMRSDEVLEHNIAENTLLWDKAEICNRIQQATHQLEKAISEVQKLHGSGSVSSWNLCQNTAADPCRRTSSLVQRKMYGRVDEKNSIIQHMRGDKPDSVIVLPIVGIGGIGKTALAQIIYNEPTVKSLFDYRIWIWVSSNFDEVRLTMEMLDFVSHEKCVGISSLAKLQEILVTHVTSKRTLLILDDVWDDIVDSQWNKLLAPLSSDNAKGNVIIVTTRKLSIAKRIGTVQPIELGSLQNDDFWLLFETCAFGDENYKEHPSLTTIGQEIAERLQGNPLAAETTGMLLREQLTIDHWSNILKNEKWKSLQLNGGIMHSLKLSYDELPYCLQQCFSYCSIFPNNHQFLSDQLVCIWISQGFVKCSHWTKRLEEIGQNYLTDLLNSGFFKQVETLDPTLGDQTFYVMPPLMHDFARLVSGTECAAIDDLACREVLPTIRHLSILTDSAYHEDQHGNILRNERFEEKSRRVVNSMRKLRTLVLIGKYDDFFLESLQGIFQNAQNLRVLQISATYANFGYSACNLVNSTHVRYLKLRTKEDNEVLPEALSKFYHLQVLDIGLDRYSTVPNSMNNLISLRHLVASKAVYSSINSIGKMTTLQELHDFKVDNCTSFGIAQLQSMSELAQLGVSQLEKVITREEAYGANLREKSRLEKLHLSWGTLSLDEYLINMTSEPSFQVVQKGTHKEVLEGLEPHQNLKHLQICGYGSTTSPEWLVRSVSVTCLQTLHLEDCRELQVLPSLERLPLLTKLKLRNMRKVRQVTVPSLEELVLTEMPELEGCSCNSVRDLNSSLRVLTIARCGALKVFPLFESCAKIIIEQKSWLSGLSELTIRLCPNLTVSHALPPSSRVCRLSIAGVSTLPEMNGSTNGELIIRGYGYCGWDIFSGSHDKPTKLDDNFSSFHHLRAITSLLLVSCLDLFSPDVLSEHAREDMADANFDALPSLKHLQIRLCGVTGKWLSVMLQHAPALEELILVDCNQISWLLMEETESRSLKHTSTPRASSTSSTPEGLLRIPSNLIPSLKKLIISLCGELTFQGDKDGFSRFTSLEELRITGCPKLIPSLVHKYENNDQRNGRWLLPLSLVKLEIDNSPETLQPCFLEDGNCFKKLKIDWSPNLELLQLRSCAALEELVVDRCQSLAALEGNFTCLKELVLHYNSGLESLQLYSCTALEGLTIQYCGSLTALEGNFTCLKKLVLSDNSGLESLQLYSCTALEGLTIQYCGSHTALEGNFTCLKKLVLSDNSGLESLQLYSCTALEDLTIQDCGSLTTLEGNFTSLRKLKLQGNPRLKSVRLRSCTALEELVIENCESLAAAALEDLSLRGLRYLRVFGCPSLSHYLEGLSSQGRDQLCAELEIG >PVH35107 pep chromosome:PHallii_v3.1:7:30043097:30044133:-1 gene:PAHAL_7G106400 transcript:PVH35107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDRKRAYQSYERKEYRGDAGWKERDNYRQDPNKAGMHKRSVGERESCNLSGHFRKDCNNPPSCYCCKKSHHRAPACPEKKGLRLCGFGIPGQGYHSMHIPTDREKKKNEEIPKWSIKKLSTENQYMITFPNQSIREQLTRFKGFDFIASIVKANVVATEMSSEADGNLEVVWVRAFNLPPNAKSAEVVMELAYLVGDPEEVDTASLKRLGPVRIKLACRSAKEIKGETQIFFNRESYRIRWEVESLAKSNQKESTSKFDRQRER >PVH35213 pep chromosome:PHallii_v3.1:7:33061134:33061856:-1 gene:PAHAL_7G128900 transcript:PVH35213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATERVDDEADRRRRARELLDATTPGRSIAVRRCTLALETFRGGGGGGGGLAEARALLRDALDCSNVHHPTIYRAWISMEEQAGSDAVGIRKLFGGWHCWYRKKREGGGSQNEEETRSQDEGGFWCHYIDFELRRGTAASARGVGERAVAACPRDPAVHAKYAKAELRLGCPDRARAVLLSALDAFAADAETRERLEREVTAYGDTMRRGSWRRLRGFLPFCSRRWTRPAQGYDLLAVA >PAN37107 pep chromosome:PHallii_v3.1:7:19229799:19233807:-1 gene:PAHAL_7G062700 transcript:PAN37107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPAHRDYPPHYAAPRHSDSYVASSAPPSAATLGLCLLRRFSPSRRPPPLQLLRRRAAGARAAHALGTPSAAASMHTLCPKQRADLSTATPQVHVAILTEPRRPPCRRHQVQSSTRRSVHHLHACCVRNDACTSSLRRLGLTSSCPTERPPPRSRPYHVRITPRARRRELTCPLLPCQFTDDSGSERSLSLIPEHGRLRPHPASPLRVATAGLAGTGARGRLRPPLRGAGASPDCSPSIQKLFIAQHWQLMQPVHHM >PVH35991 pep chromosome:PHallii_v3.1:7:46662982:46663976:1 gene:PAHAL_7G329500 transcript:PVH35991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGPQIMLCFCRGAAAVDAESTASWGAGPVWGWRGFRFRLLYLYADKRALLGEGHPSTQPRLFVRGGRAQRNYFCGLRDWRSFWALRHAPLVARVSWQLGIIFFIRKEELGIFGAVADDQRAFL >PAN39462 pep chromosome:PHallii_v3.1:7:41178917:41184408:1 gene:PAHAL_7G244700 transcript:PAN39462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITPDIFGERQSGQDVRTQNVMACGAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVKNKIHPTSIISGYRLAMREACKYVEEKLSVKVDRLGKDSLINCAKTSMSSKLINSDSDFFATMVVDAVQAVKTTNAKGEVKYPIKSINILKAHGKSQKDSYLLNGYALNTGRAAQGMPTKVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMITTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTSQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEEGNEEE >PAN36954 pep chromosome:PHallii_v3.1:7:3016623:3017821:-1 gene:PAHAL_7G015200 transcript:PAN36954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSASPLGAPLRAAARRRHHRGPVAPRAVALATTAPCATRALAQLTAAPCAAPRSPSRCHGGGGAVGEGRGAGGGEQWRRRGGEKRRGEEQRWGGEGRQGGEQCWGRGGSPGRGRRAGEGRVLGEVRGGGI >PVH34695 pep chromosome:PHallii_v3.1:7:1960327:1963606:-1 gene:PAHAL_7G010200 transcript:PVH34695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVGCMMVGQVMECLRESGWSTHKLLLIIYFPCLLAVVWQSIHVTSVRIVLVKSRLIWSVTYAILVSCRIMRGGMSMGSHRSMSHTT >PVH34858 pep chromosome:PHallii_v3.1:7:13071059:13072125:-1 gene:PAHAL_7G047100 transcript:PVH34858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAFSIRNITLCTLIRESSNYKKMTPEEVLGKIINHEMMESEAKYVKSLSKGTSTSKGQDIALKANKKEKSKKVVQESSSSDNDSDSSSLDDDDMALLMKNFSKLMRNHNYKGNKGHESSKRRTKRNCYNCGKSGHFIANCPYEKKEDKEEKRKDNKEKNYFTKDKKFFKKKQSGEAHLGKEWDSDDESSNSDEEEAATLAFNKTSLFPNLKHGKNITHTCLMARGGKRKVKAIPCSSPKYTTSDDESTSSSSNENDNDIDMVAMFKNLDKNAIAKFNELIEELNEKNDLLDKQEDLLILEKKRNLELKEIITKQEEKCKALDKELP >PVH36066 pep chromosome:PHallii_v3.1:7:47732732:47734541:-1 gene:PAHAL_7G347700 transcript:PVH36066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPLISCRASVLAVGLLLAAAVSASARPLTLTTLGSGASTNATSGGGLSVYYHLGSCPQLETIVRSHVDAALRQNVRLTAGLLRVFFHDCFPQGCDASILLDNGERNLPPNVGLQQEVLQLIEDIRAKVHAQCGATVSCADITVLATRDAVNLAGGPAFTVPLGRLDSLAPASDNDVFKLPPPTATVDELLTAFKNAGLSDPADLVSLSGAHTVGKARCSSFGAVSGPAGDDITRCITETCSAAGSGDRLRDLDFLTPNVFDNLYFIELTLKKNQGVMLPSDQALVSDSRTSWLVQGFADNHWWFFDQFKTSMVKMSQLKGPQGNVGEVRRNCFRRNAAASLQDAGDEGLAASA >PAN37791 pep chromosome:PHallii_v3.1:7:32458601:32460013:1 gene:PAHAL_7G122600 transcript:PAN37791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALIPLLLLKLSSRGGSGGGRRRLPPGPSRLPVIGSLHHFLFVRSPLAHRTMADLARRHGAPPLMYLRLGKVGLAVASSPAAAREVMRAHDAAFASRPWIPSMRPAMERGAVGLVFAPHGALWRQLRRDSVLELLSARRVRSSPPGRAVNVGVRLAALTADVTMRAVVDDRFDRREEFLRAIEEGSRLVTGFSLGDLFPSSRLVRFFSGTAGRVMDLHRKMFQLMDCAIRQHEERRAAMASTPDGAVKEEDEDILGLLLRIHREGGLEVPLTMDIVKSLILDLFSGGSDTSASTLEWAMSELMRNPGAMEKAQAEVRSKLQGKPSVTEDDLHHLKYLKLVIKETLRLHPVLPLLLPRECTEDRKVMGYDVPQGTVVLVNAWAISRDSGYWDDADAFKPERFEDGKIDFKSTDFEFIPFGAGRINGDYYYKRRL >PAN40849 pep chromosome:PHallii_v3.1:7:47260386:47262020:1 gene:PAHAL_7G339200 transcript:PAN40849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAALLPSTLSVPKKAGNLAAVVKDTAFLTVPQKKLQVPSLSVRAQAVATAPVATPGASTSTKDAKKTLRQGVVVITGASSGLGLAAAKALSETGKWHVVMACRDFLKAAKAAKGAGMAEGSYTIMHLDLASLDSVRQFVDNFRRAGMPLDSLVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLARLLLDDLQKSDYPSRRLIILGSITGNTNTLAGNIPPKAGLGDLRGLAGGLRGQNGSAMIDGSESFDGAKAYKDSKICNMLTMQELHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWEISEKLVGLA >PAN36864 pep chromosome:PHallii_v3.1:7:1321067:1326191:-1 gene:PAHAL_7G006200 transcript:PAN36864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPGGRSLARAVLPPPPPRRRCSSSSSSTSAASATRPTMSSFPIQSQETSVFELRINQMLMTCTYCCLPLRPDMVEGRTFTCAGGHIFCHDCTSRHEKACISYCRLLDGIIAQMKFKCNYCDSGDEYIPYPKFVEHQCNTRVDPRLMERQIEFVFESGDCILKTSLLVCSECELPLRPPIFRHLSLGVPICSACYRGDIANYVH >PVH35920 pep chromosome:PHallii_v3.1:7:45128778:45134260:-1 gene:PAHAL_7G306700 transcript:PVH35920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKELDSQQMIVRFAHVLSSNGPLATLLCNCFDRIQSNLNNAARIFIEDLKADLNCSCENPVVIIYDLLEPNSWMALESFFALEGNHPYDPIDIFCGGIHLMIPESTLIGRIVLANLFSTMSDAFCDGRSWNGEWGLPDVLVNRKLEVEIARPYCERTTINTIQNDLTRGATNVISKYVFDGKLPVFFMHLDSSLRVFSSKYSMTKLREFCNFIASHPALKSALANLALIDGIYSVNQSVSWRAKKLLESVINVPNPFSDWRKIFMDERYLVDNSVVPPVLTSVWEHAKKKMQDISENNPSEVAAGIHKDNEPCFGENIAGEGNPEDKSMLPFDGTAGDGLKIQRHSRYHGTKASMDEWGNQQLGSLSELLLINSHFLGDVLPVIMERISLSLDDFHEEYDDETYDELLRWVHEREGCIC >PAN38900 pep chromosome:PHallii_v3.1:7:38604365:38606153:-1 gene:PAHAL_7G205800 transcript:PAN38900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAPALVPACDAEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDEGRVREIVADAVDIEREFVCDALPVALVGMNGGLMSQYIEFVADRLLMALGHKKMYNVANPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGGAAANHVFSIDEDF >PAN37705 pep chromosome:PHallii_v3.1:7:31638330:31640618:-1 gene:PAHAL_7G115800 transcript:PAN37705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAVLDQLAGDLPAAKEFLLLVLPLLLFALLQFFITGPWRGRGRKSLPPSPPALPLVGHVHLVGALPHVSLRRLAARHGGEDLMMLRLGAVPTLVASSMRAARAVLRAHDQALASRPRSVCGDVLTYGPSDIAMAPYGERWRLAKKLATTHLLSAKKVQSYRAAREEEVELVISKIGAAAATRAAVDMSELLSKFTNDMVCRAVAGKSFRAEGRDRVFRELIDQGMALLAGFNLENFYPGLATAAGGVLVRSARRKAERVRDGWDRLLDKLIDEHASEKAGAPAARHEDGGGSNLQECDFIHVLLSVQEEYGLTRDGIKGILVNMFAAGTDTAYLVLEFAMAELMLHPEAMAKLQHEVRSGIPKGRNATNEDDLTGMTYLKAVVKETLRLHPPSPLLLPHLSLEDCDVEGFRVPAGTTVLVNVWAIGRDPKLWDAAEEFMPERFVQNGEAKGVDFRGKDFQLLPFGSGRRMCPGMNFATASIEIMLANLVYHFDWDLPKGVDKVDMAEVSGLTVGRKEKLLLIPTTPEIVHLFKGC >PVH35756 pep chromosome:PHallii_v3.1:7:42616276:42618326:1 gene:PAHAL_7G265800 transcript:PVH35756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFVFSTTRRKRRADHGGAVEVEEGEASAHHEGPDLISLLPDCVLGEIVSLLDTEEGARTAVLSRRWRYIWRSAPRNLDDRLRFIYPDRERLQVISQIIDAHPGPVRRLAVRSFISSYVRRYNDWFPLPMFDALQDLVLHFPYTPDRLHRMPATALRFSCLRVLDIDNCTFSAIGFLPAFPCLTYLSLSAASASPRSSSMA >PAN39725 pep chromosome:PHallii_v3.1:7:42520944:42525108:-1 gene:PAHAL_7G264300 transcript:PAN39725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGVARGRLTEERKTWRKTHPHGFVAKPATLPDGSVNLMVWKCVVPGKEGTDWEGGYFPVTLHFTENYPSNPPTCKFPRKFLHVNVYDSGDVCLSILGDAWKPSITMRQILIGIQDLLDNPNPASPAQDLAYDLFTKNMLEYRKRVRQQAKRYPSLV >PAN39222 pep chromosome:PHallii_v3.1:7:40182539:40183333:1 gene:PAHAL_7G228900 transcript:PAN39222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGVVLVLLLAIATASCKGSLAAAAAADAHESSASIPAILGRELRGFIARAGNIFRSAGAAGWRAAAANATASAAAEAKNLRGVAARRRRPATRKSAAGCVSAAACRKRRVICAKRCYRASRAAGLTHVPSRCVVKCRKCVPTC >PAN38029 pep chromosome:PHallii_v3.1:7:34323276:34323614:1 gene:PAHAL_7G141400 transcript:PAN38029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACAMSLKGIRKPRGQAMERPGGSHLIVRSSSSLPCVRACSLIQCWAEPARAPYLPWRVAGDGSAAPPVGGRPCACAARSWSSSRRERAEAGQRGAGERRKTLVERRWLRA >PVH34732 pep chromosome:PHallii_v3.1:7:3880248:3880448:1 gene:PAHAL_7G019200 transcript:PVH34732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVTEFIGKHHCISYKHKNYRSTSCTAFPTDHLKKKGT >PAN36921 pep chromosome:PHallii_v3.1:7:2233962:2234994:1 gene:PAHAL_7G012300 transcript:PAN36921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRRRNPDVHVKALEGIVSANTFFTVAVFIGITGTITPSATIPPACVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLAADDFYGDGEQKPPPSDDCEEMPAWRAAGPRERRRAVLRFAQPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCGIPLAVGATFALSGLVVGGLLFYGSTVAYALTHYLP >PAN39282 pep chromosome:PHallii_v3.1:7:40417060:40418969:1 gene:PAHAL_7G232900 transcript:PAN39282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPVVVAGLQQQPAARENPSHLWPPGSSSAYKSVGIYGHGSSSASLLVVPVVAADATEGRERESDRRSVAAAAAAMGRTPCCDSKGIKKGPWAPEEDKLLVDFVQANGPGNWRMLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTTEEHNSILQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRQEALAGADAAAQQLAAAGAASSCPAARHMTQWETARLEAEARLSLLSSSATTTVASATAASSSSSTAAAEHEAAPDIFLRLWNSAVGESFRCSAQGQGAAAAHGPAAASPAAAGTPAALRPAAPSGGGDDSSAASTNGTEAADDYQAFLDMAVEELALLHGRLGVSFSAFPTADVLAEASCLFAPFE >PAN38059 pep chromosome:PHallii_v3.1:7:34449149:34451094:1 gene:PAHAL_7G143500 transcript:PAN38059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHSRSRPDSRSPFLHGLPPPQHAAAAAGTEELPRDPRMDPPSSSGRGPATPRRQLQGPRPPRLNVRMESHAIRKPSASGGLAPAAPAQGAQPRRDDQQQQQPPPRAPVIIYDASPKVIHAKPSEFMAIVQSLTGPGSGAPQQRQERRRQADDDDDDDVLLLDQAATAFLPPELLLSPSAAMSPAARLATIERSVRPAPDYDVGVLSGAGGGAGRDGDDGTLAAMLGPPARHPSILSPLPSALPPAAASGLFSPLPFDPSGISWLNELSPILRAASSAGPGASSSAFAAAAATNGGSRPPPPAYYSDPFVPSPRNLLATPTVPSPTAVAEFFGSLPDL >PAN39979 pep chromosome:PHallii_v3.1:7:43578119:43582268:-1 gene:PAHAL_7G281600 transcript:PAN39979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDMGEKRRHGGGHHVHGVGFGAGGVGHAEHDEKRREPKKLDMSGMSMDTIPHLSMPLGNITTLDLSNNNLQSIPESIIARLLNVVVLDVRSNQLTSLPNSIGCLSKLKVLNVSGNLLRDLPATIEECRALEELNANFNQLTKLPDTLGFELHGLRRLSVNSNKLAYLPSSTSHMTALRSLDARLNCLRALPDGLENLGSLETLNVSQNFQYLRELPYGIGLLVSLRELDVSYNSIAALPDSMGCLTKLARFSAAGNPLVCPPMDVVEQSLDAMRAYLSARMNGTDKDRRRKKSWVPKLVKYSTFSARMMTPGRATKVHGNAEQGLLMSDYRTLDGGIASPGFLSMLSPRRLFSPRRNSPKHH >PAN37138 pep chromosome:PHallii_v3.1:7:4075431:4076713:1 gene:PAHAL_7G020300 transcript:PAN37138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLMIVMSEGLFQQLAEWRDCKLNSELRWGRVAAAQASQVAACKNGERVETSMHLDWKSMHGSDIGGSKKLLQ >PAN38307 pep chromosome:PHallii_v3.1:7:36073660:36074141:-1 gene:PAHAL_7G163000 transcript:PAN38307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHRHPMCAEIIEAFQKCQMDHPFKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKEQLQAYKREMAEENKES >PAN39888 pep chromosome:PHallii_v3.1:7:43280685:43285743:1 gene:PAHAL_7G276900 transcript:PAN39888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLSRLLSHAAAAGRTSAVARSTSIHVSGGSHGFASGGWEGSPAVPREWLRKLWREELRKQKEAARALGAFARSYESVEAAGAATEAPSRSYQYDDRDLDPVEAKLAPLLARANLVIARDIEWANIMLAFEQESRYIIMDPLFPQSPVGFIREKSNIIFRQLLRTRRPFVAEITDAMGNEIFKVRRPFWLINSSIYAEVDGKEIGVVHKRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFSLVDEDDKLLAQIDRNWRGIGFELFTDAGQYAVRFGDEGLSHKFALAADVEELHVARQLSLPERAVALALAISLDSDYFSRRGGWGLPFLIATE >PAN39709 pep chromosome:PHallii_v3.1:7:42422679:42425623:-1 gene:PAHAL_7G263000 transcript:PAN39709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALTTTSTTLSATLPSIPRPPPRAPVARLRLRPRRRALLRCDAVSELAPAAAAAYGVLLLGGGAFAYARSGSKGSIYGGLAGSALMGITYYLMQSPETKAAGDAVGFGSAFLFACVFGIRLYNSRKLVPSGLLLALSLGALGTFYSAYLQDKV >PAN39291 pep chromosome:PHallii_v3.1:7:40458005:40465314:1 gene:PAHAL_7G233600 transcript:PAN39291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGCQHRATLAGALPRTSAAFLFLSVVAVAAVVSARWITSTAVLQGRLTRLPTTAAIPAAAAAALHPEAEHPQYPRPSAAAPSPPPTSRPPPSALYTISCPALNLSHSHPTGPPKTSQTLARALSSSSTCPSSPGPPPPASAATPSSNRSCPSYFRFIHEDLRPWRAAGGVTRAMLGRARLTATFRLVVLGGRAYIQRLRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDTVDWPVVRTHLYRGKYAGVMPPLFRYCGDDSTLDIVFPDWSFWGWPEINIKPWHALQEDLKDGNNRVRWMDREPYAYWKGNPSVSATRQELVKCNVSSTQDWNARIYAQDWFKESKAGYKDSDLGSQCSHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQLAQRIGKQASNFIQEELSMDQVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSESLACQAEGLEKKFLFDSMVKSAHGAGPCDLPPPFSSRELKMLKQRGQNSVKQIEMWEQRASRA >PAN38796 pep chromosome:PHallii_v3.1:7:38203075:38209802:-1 gene:PAHAL_7G198800 transcript:PAN38796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSKALLSKKGPLGTVWVAAFCGEAALTRDQVARTDIVASVDEILSDIQGPHRILAQLLFGIVRIYSKKVYYLYHDCEEIRSLQLRQCVEPSASTGGLTHGVLKQVNKAIRAGRSVAGHKKTSKVNEPVKAVRTTEVSSPVSSEGLSVRVETEVIVRTSVVIRKARVPDDLPTFTIPKRFELDSFDLGIAEDTDDEGEDYHQSARQDILLEDERHHAPYLYESYQMASCSYDVDSTCFMPEYISVPLDVMPAISEANNIPDLSTEADKPGRENQNADSAWFTPVKDVLPPDMMDMVAEANYPSDKSKTGDDSIREVNMDENNGGSACSMTRIPLQESHEEQNSENILENMTCGSLGANNPTIEASASDSLLGKSNSSLPAAGFPEHDPGQHESLEAPVLSCETRAENELSPSTPEPLPEGVPGPSSSSRFGVRTPAKTEKSQATRKRRRALYNKEDYIPTEREGRRQVRRRLTWSLYDEGTILSNEMLREAIKDATDLVRKRRKAPHTHLDTWKVAKVGSLPYTFLDPMIPYKTSISLARVSAPEAPENSCEESIRARRRLSYEHTESFHSCKDTGSTERETILDASRRRKLDEWTDIEAPVGCHTESRPVQDGVCECDEDTAKEKGTQVEGDEPSSEIPPKKGLRESESRPVQDGVCECNEALNDQTDFEAYVSCRTESEPVQGGVCECHEDTAEEKGTQIKGDEPSSEIPPKKGLHESENQILLHNEALNAALDNIDEDISIYDEHTRDEGLLNSTRTRKIASCLHQLFLDKKSKEGTNSLSLNQVLEGTKRRTSATFFYETLILKSRGLVQVNQEQPYEDIILSATPQLEAELQRCEN >PVH35337 pep chromosome:PHallii_v3.1:7:35527624:35528097:-1 gene:PAHAL_7G156000 transcript:PVH35337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPYVTPPPPLPPTGYHPPPPPPTGYDYDTPPHSPSPTTHVTVVVVVVPIVGVVCLGLLAALLCVLFIRRRRRRRCPDLEEAAKVDEVEDVGVKVTEHVRIVEGVVGEAGDAGCGCGDGGVVEEAGAAGIVVVEDDVKVEEHVVRFTEASKRERE >PAN38544 pep chromosome:PHallii_v3.1:7:37058992:37062550:-1 gene:PAHAL_7G179200 transcript:PAN38544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAMPSPTRRLLLWLFLIACGAGLVFSITGNGGRTKEAGNGEAKFRVLRGLDTLGPRPKQRHAHGRGVSPAPAPARGSLPLLHKDARLPVPGKVGHDHKRGNNATAPSQKSPPHGEGGDGERGSKKKSMQLVVVAAAAALSGAALVLLAVLVVFLTCRKFQGKRGGADLNAGTNKVSFEPAPGMFYLDAIKPYLDDAGRDGGGKAAPEMAGPKDEEPKCEDDGGEACSDDGADSVHSSCCFHSSHFSYSELTKGGQADGVSPSPSVRSKRRGTAPATPSDKSKVASPYSPLGPRTPRSEDRGRRAHSPSSSASVLTSQSLNDSELHGTAQSVRSLKFQSGSARHAKEAEAEADTASSNAASRKSVPPPPPPPPLPPVVVKPQQNVRTSCGGPAVPPPPPPPPPLIVPQRQNVQTSCGGAAVPPPPPPPPALLVPQQQNGQRNGGTGPALPPPPAPSGLFRQSAPVVGNNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEQMIESLFGYNAARCSAKHEEAQSRSPSLGHHVLDPKRLQNITILMKAVNATAEQIYAALMQGNGLSVQQLEALIKMAPTKEEVDKLEGYDGDVGSLVAAERLVKVVLTIPCAFARVEAMLYRETFADEVSHIRRSFEMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMIRSQKPAARAAEAAPDIVTGLAAELTNVRKTATVDLDVLTTSVSGLSHGLSRIRALVGTDLAGDERGRCFVALMAPFVAQAEGVIRELEDGERRVLAHVRDITEYYHGDVARDEASPLRIFVIVRDFLAMLERVCKEVRGTGRGCHGSNGAPSNV >PAN39862 pep chromosome:PHallii_v3.1:7:43158970:43162149:1 gene:PAHAL_7G275100 transcript:PAN39862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEHHHPGGRVAAVGDDDDVALVEQVRLTVPTTDDPTLPVWTFRMWTIGVVSCALLSFFNQFFAYRSEPIIISQITVQVAALPVGHFMARVLPDRKFSAFGREWSMNPGPFNVKEHVLICVFANAGAAFGNGGAYAVGIVTIIKAFYRRNISFFTGLLLIITTQVLGYGWAGLMRKYVIEPAQMWWPQSLVQVSLLRALHEKEQGRGMTRGKFFLIALICSLAWYTVPGYIFPTITAVSWVCWVFPRSVTMQQIGSGLNGLGVGAFTLDWSVVAAFLGSPLVSPFFAIVNVYVGFVLLVYIIVPACYWALDLYDAGTFPIYSTDLFTGAGQLYNITAIVNDKFEIDMGAYAQQGKIHLSLFFAISYGLGFASIAATLSHVALFYGKEIYQRFRESYNGKPDVHTRMMRRYDDIPNWWFYLLLAVTMAVALVLCTVFKDEVQLPWWGLLFACAIAFIFTLPISVITATTNTTPGLNVITEYCMGLIMPGRPIANVCFKVYGYMSMNQSVSFLNDFKLGHYMKVPPRSMFLVQLIGTVVAGTVNTMVAWWLLTTVPHICEKALLPEGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWCFLGGLLCPVLVWLLARALPGHAWWISLVNLPVVLGATANMPPASPLNYTAWCFVGTVFNFFVFRYRKGWWKRYNYVLSAAMDAGVAIMGVVIYFALSGHPLDWWGSRGEHCDLATCPTARGVQVDGCPVF >PVH35402 pep chromosome:PHallii_v3.1:7:36716872:36719375:1 gene:PAHAL_7G173400 transcript:PVH35402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDSALFLAGSVINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPAKWRGAFTSAYNAFVVIGILSATVTNYFTNRIPDWRWRVSLDLAAVPGAAIVLGAFFVSDTPISLAMRGQPDGARATLQRIRGADADVDAEFKDIVRAVDAARQNDEGAFRRLFSKEYRHYLAIGVAIPVFYEFTGMIVISIFSPVLFRTVGFSSQRAILGSVINSARNLASTLLSSAVMDRTGRRFLFIVGGLGMMLCEVTISWIMAEHLGKHGGVTMPRSYATGVLVLICTCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQALSISITLCISFVELQVLIALLCAMKHAVFLFYAAWLLAMTAFVVAFLPETKGVPLEAMRSVWARHTVTSIGQPDTVGCELAAVLLNLSTTGNQMVVDDWSPNSCHVTSVRLSSISRMAGL >PAN37678 pep chromosome:PHallii_v3.1:7:31413381:31416858:1 gene:PAHAL_7G114100 transcript:PAN37678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATKVPATMRAVQYDACGRGAAGLKLVEVPVPAAKKNELLLKLEAASINPVDWKVQKGMLRPFLPRKLPFIPVTDVAGVVVDVGPGVNGFQAGDQVVAMLNSFYGGGLAEYAVASENLTVKRPPQVSAAEGAGLPIAAGTALQALRTIGAKFDGTGRPLNVLITAASGGVGLYAVQLAKLAGLHVTATCGARNVELVKSLGADEVLDYRTAEGASLQSPSGKKYDGVVHCTVGISWLTFEPVLANTGRVIDITPNFTAILKSALHKVTFASKRLVPLLLSPNKADLEFLVGLLKDGKMKTLIDSRFPLSEASKAWGKSIEGHATGKIIVQMEG >PVH35560 pep chromosome:PHallii_v3.1:7:39152663:39155625:-1 gene:PAHAL_7G213800 transcript:PVH35560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MRLLGQAALVSPFRPRRQSPILSSPRTVALLTDRSLAPIAASQAMPGWRKAWLSVLDRAGGGGSSGSLQAHLQGHHSPSSSSSSLASYKRGGKYGGGHVSGKAVVGCFAAALALAFFYVSVAGGPAADGSFPSPAASSSGILMSWLSSNSSTAAPRKSLLPRPPVPPAVTAGGGAEQSDARNATAASRRVHQSGAVGDSPASEVGSGQATSASGQTTESAGNATLGSDAEPAGNGTRQEEAQVETATPILRWRRKDGARSSYNAIVGASGQTARSTDIATGNPTDTGTLSREEVTENAAIDSVQTSAPQAALASRPERKEDRHRHRRAVRHRHPRRRKEIVLPSQDLLADQSDGDMAGVTAAMAVGPGNDMAGVNTSVVVGPGNGMAAGANASMGVAGAGNNRIAWTSGVQDLVSFAKCDVFNGRWVRDEGYGFYPPKSCALIDDDFNCHKNGRPDSDYLKWRWQPHGCDIPRLNATEFLERLRGQRIIFVGDSLNRNMWESLVCILRHAVRDKRGVYEASGKNQFKTRGYYSFKFREYNCSVDFIRSIFLVKEMIGEGTNGTEDAKLKLDELDATTPAYRTADIVVFNTGHWWTHYKTSRGLNYYQEGNHVYRSLEVLDAYKRALTTWARWVDKNIDSRRTQVVFRGYSLSHFRGGQWNSGGRCHKETEPIFNQTYLSEYPEKMVILEQVLRQMKTPVIYLNISTLTDYRKDGHPSAYRRWYNTEEERIAAVKKQDCSHWCLPGVPDTWNELLYASLLQAGKGFWKL >PAN39578 pep chromosome:PHallii_v3.1:7:41611067:41612733:-1 gene:PAHAL_7G253000 transcript:PAN39578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSGAFSLVALCLLLEVVLRGTAETLVPAVFVFGDSTVDIGNNNFIEKCDIGCKANYPPFGVDYLNHTPTGRFSNGYNLADQLAQLLHFDESPPPFLSLSNASLKTRMSTGISFASGGSGLLDATGNGLMCTQVFSMTEQVRNFTKLARKWGRADLISKSLIFISTGSNDLFEYTDFPDHNSNRNDTVFLESLVASYTSFLKELYGAGAKKFTVASPSLVGCCPSQRAIAHDPKNPRDIDEYGCFAAPNNLSRQLYPMIATMLHDLSLELPGMNYTLVDSIKILEWVFYNTVIPSSNFTVLDTACCGGGPFGADGCNSSAPLCQDRSNHLFWDEYHPTAAATGAAAKLIFDDTTGLFTHPINLQQLVEL >PVH35774 pep chromosome:PHallii_v3.1:7:43088370:43089081:-1 gene:PAHAL_7G274200 transcript:PVH35774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTCSQMAPVLAVLAAFAVAAIAAAVDPPKPRGQQVHLFEATVRVPDRGGDDLEEYNYRLLAEVLGSVEAARSAMYETELGEFSAFLTNNQARRLSKVPGVLKVTRREDPTPLPETDGHL >PAN38421 pep chromosome:PHallii_v3.1:7:36553024:36555087:1 gene:PAHAL_7G171000 transcript:PAN38421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTRELLLVLCLLGAGMAVGDAQPPPSPPPAPPPPPQPTPFGRTMSTFITVAISVFFFLLFVCAYVNQCRLADPGAHGEAAAAAAAGAGGPSRRGKRGLDPAVVATFPIVSYREVVAHKIGKGVLECAVCLTEFEDDDDLRLLPHCSHAFHPECIDPWLQSRVTCPLCRANLEKPAPVPATPPAPPSPQEQRQPSPPTEAVAIPVLDEGSEEEDSDEDDRKEEAIELEMLRSARRAARMPRSHSTGHSLFAAAAAAAEEGDHERFTLRLPEHVREQVLRSRRLRHATSLINLSDMSSEGSSRGGRSLGGAGGGGSFGNGGGGSSHGGRRWQSFLARTVSWARGGGDGSVRKGWDGSTRRGRDDGESSRKGSATPPPAGRP >PAN37579 pep chromosome:PHallii_v3.1:7:29912802:29913855:1 gene:PAHAL_7G105000 transcript:PAN37579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLAAAHAGRPKGGLLVAAVHDAADISRVDLRLVDVASGAVVGRLDGQQATGSVMAAGGLICLAPTGRNKAAAPVRVIDPATGAATDIPAATAAGGRERASPSTYVFGHLPTTREYKVLRISAAAGHAEPSTQSCEILTLAGGRSHRWGPAPSPPVLVGSSVSRHKAVTQGFAHFLTTSHVADYDGIASFDLAKEETAINCCHSSLSLVELNGCLVFVHHDYRSCCIDMWVLADLENGRWLRIQSLALGSVLRGWEDDTSQQPAPLIPVHRRREIFAQPLMELDDGRIAFWVAVPNGVVRVYDPKTRKCKDVVGMGSSCSIVGLYRGSQLGCTCSR >PAN39818 pep chromosome:PHallii_v3.1:7:42864035:42866153:-1 gene:PAHAL_7G270500 transcript:PAN39818 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g17670 [Source:Projected from Arabidopsis thaliana (AT3G17668) UniProtKB/TrEMBL;Acc:Q9LUN0] MPAAPGTGSGCLGAPAQAPSGSLAGVQGAPRLLGVSKPSWIVRTESNVRRERPKRPDPPCTICKGTGRIDCRNCFGRGRTNHAELVMLPKGEWPHWCRICGGSGLDYCFRCHGTGEYREPMGFHFTVKSK >PAN37659 pep chromosome:PHallii_v3.1:7:28324360:28325180:-1 gene:PAHAL_7G097900 transcript:PAN37659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASVRVRSLTVLVQALVIVCVVIMCSCSYTCEGRRSLLPFFREEKPPIALKPAPCFIPPCPKN >PAN38536 pep chromosome:PHallii_v3.1:7:37039369:37041201:1 gene:PAHAL_7G178700 transcript:PAN38536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKARELAILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKEEEQLVANPSTELKVCL >PAN40659 pep chromosome:PHallii_v3.1:7:46751499:46754476:-1 gene:PAHAL_7G331800 transcript:PAN40659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAEQGFRPLDEASLVAYIKATPALASHLGGGGGSVEIKEVGDGNLNFVYIVKSSSGTIVVKQALPYVRCVGDSWPMTRERAYFEASTLREHGRLCPEHTPEVYHFDRAMSLMGMRYIEPPHIILRKGLIAGVEYPLLADHMSNYMAKTLFFTSLLYNNTTDHKKGVAEYCANVEMCRLTEQVVFSDPYRVSKFNRWTSPYLDKDAEAVREDDELKLEIAELKSMFIERAQALIHGDLHTGSIMVTPDSTQVIDPEFGFYGPMGYDIGAFLGNLILAYYAQNGHADQSNDRKAYKKWILKTIEESWNLFQTKFVGLWNKHKEGNGEAYLPDVYNNSNLLSLAQKKYMTNLFHDSLGFGSAKMIRRIVGIAHVEDLESIKDASKRAECERAALNCAKTILKGRRQFETIEQVIEHIKSFDRD >PVH35674 pep chromosome:PHallii_v3.1:7:40945858:40947579:1 gene:PAHAL_7G241300 transcript:PVH35674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTPNGITLGAQNSQTRHLITHGFFHLGELHGATAPSRARPDPQLRVAPPSCPRSYRVPSGGAFTGMRAPPPAVAFASPVARHRASATPGVLAVPAPRLCWRVRRGTPHCLRRAPGGWRDMRDPVGALDDQLLDARRHGRRLGRRGGEPWLGRPVELGRRGHQSHGHRRGRGLASLPALRRAARRARGRGGRGEGRVRGRRGLGRRPLVPAGGGRGGGGGRDLRADAEREVEERAGAAHAVGGAVVGERGVLGGVVGAEPHARAVLGRVPDLRRELAPRPLPHAPVVLAPRHARRGPLRLGRRGAAARRHRLRVRVREAEAVVGVGVGVRGRERLGLDPREGAVGEPAVGEGVPQRPEHDHVVGVVGAERQRAAPLVRHEAPEALAPPVRGPRLRRLLQEVLPDGVQHQRLRRLLQQVLPDGVQQHRALLIRPCSLCSGLVATGDEVSLFGL >PAN38435 pep chromosome:PHallii_v3.1:7:36600600:36603178:1 gene:PAHAL_7G171800 transcript:PAN38435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PVH35074 pep chromosome:PHallii_v3.1:7:28740545:28742648:1 gene:PAHAL_7G099700 transcript:PVH35074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVLGMHGLALRRPCLMRALATTCSVKCLLGANTAGALAAEWLVASKMAFSSVFRRVNVKELISNASVYASATESSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGDYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPMAGHVLHPVYASGSTTAADLDAQL >PVH34832 pep chromosome:PHallii_v3.1:7:11953707:11956592:-1 gene:PAHAL_7G043300 transcript:PVH34832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADAATPSKKLKTSATSSGTPQKLRMAGPVADEGYGAAAEQIWTPEKPEERPRARARSVAFSVKEVSRTALGLRRPEMGATAADELESVVRELGVGAGACRSPVKQKADLKLPESSTRLLRMKGSKATFANICASIQHLSESSNNKKAIVINKILLRDDTCCIKPDLQVHLVAGAVQSIKKQKGETAYSALRRIFRQRLVDFLRDHPEEWKSCCPRIVSEPTTPMESSDSFKRRFSQRSPISSATASATSPLANVLLPLSRNSFFSSNVSGSKEARPEEDGKVVVSMSGVSEGTPAKYASTPVRLMVTTPDLKTPKRPISAAGYGTPPLKMAKRSARAKLFATPTKVASSMDGENQNAAISAVKQKEQRAMEEKETGFADQVKRQKLISSLPSIFDVIFLIYQSRQRYVMTKQELLHKIISSSTKTVDRSEVEEQLTLLKELVPEWISEKTARSGDVLCCVDATLSQADIRQRLYAAEYEVIVE >PAN39748 pep chromosome:PHallii_v3.1:7:40038609:40041905:1 gene:PAHAL_7G226400 transcript:PAN39748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGVRDKSVHWEAPGRPFAISASSNMSLFVVGCGVKASLFVGDTDAEVGNCSVACVEDEIMERLPRGPCVGLGCCRIGIAVNLRAFTLNIPRAGQPGRLLRRVNTFVPGVDSYLFRPSDLECDLTASGNYLASLSWAIPYHPDYKGATQDGASYACVSNHNKHYEAPIGGYVCDCTEGFYGNPYVVDGCVREAKQRSAPVSLKANCLTSCGNVSFAFPFGTEEGCYANIQLCLTCDPGPSPPILELSDGSVVTDISIDEGVLHFVSYPSHSIVQDEGNGSLYSFSREQGVVKWAVGSMSCKDAMASEEGCLCVSHSDCVDVTDDITLRQVGYRCQCSPGFEGNPYLKDGCTDIHECLQPEKYICNGLRQNTFGNYTCTGCPRGTDFNQVTRKCKPSTVILGVTVGLSSGGGILFLAAAFAVLTRRWKRSAQKRLRKKHFRKNKGILLEQLISSDENASDGTKIFSLEELEKATNKFDHARVVGRGGHGTVYKGILTDQRVVAIKRSKLEASTEIEQFINEVSILSQINHQNVVKLHGCCLEAEVPLLVYEFISNGTLYDLLHSLHCKRNDTLSPLSWEERLRIAVEVAGALTYLHSAASVSILHRDVKCMNILLNDSYTAKVSDFGASRSIPIDQTHLEIVDAQILGEASEEEIAGTARLAQECLSLTRGERPTMKDVEMRLQMLRARNAAADARCEAAKANGISGAVPVPAGHHGTRQYSLEQEFVSSARVPR >PVH35872 pep chromosome:PHallii_v3.1:7:44614862:44615415:-1 gene:PAHAL_7G297200 transcript:PVH35872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKGWVRANITSSRRLCTRQSSSYHRMSIILFLFLLFSSLLVCVRATYS >PAN40097 pep chromosome:PHallii_v3.1:7:44259081:44266626:-1 gene:PAHAL_7G291700 transcript:PAN40097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKWSSGVRGLVLSMLLSLLVASAGAQQASPRSTDPVEVNALNAVFEKLGLKLWPAWISGDPCVGAATNGTNIDIYQDVNPGIRCDCSDHDNTVCHIVQLKIFDLNVTGPIPEALRNLARLTNLNLQQNYLTGPIPSFLGELTKLQYLNLCGNALSGSVPKELGNLVNLINLCLGTNNLNGSLPSELGNLVKLEGLYIDSAGLSGPIPSSFSKLTSMKRLVASDNEFTGRLPDYIGRWSNLQVLRFQGNSFQGPIPTTLSNLVNLTDIRIGDILSGSSSLAFISNMTSLNTLILRNCRISDSLASVNFSRFATLNLLDLSFNNITGQVPQALLNLNSLNFLFLGNNSLSGSLPSSKGPNLKYLDFSYNQLSGSFPSWASQNLQLNLVANNFVINNSSHSVLPSGLGCLQRNTSCLLGPSLSTSFAVDCGSNRSISGSDNSMYQPDVANVGPASYYVTEARTWGVSNVGKFMDASNSSYIIHSSSKFLNTSDPELFQTARMSPSSLRYYGVGLENGNYAVTLQFAEFAFEDSKTWTSLGRRVFDIYVQGELKKQDFDIRKEAGGRSYSVVKVQCTVPVTRNFLEVHLFWAGKGTCCVPSQGHYGPAISALSATLITTPEKNIKTGVIVGAVVVAVVFGLVVLAGLYVCRHKRRKVTSEQQELYSIVGKPNIFSYSYSELRSAADNFSSSNLLGEGGYGSVYKGKLTNGSVVAVKQLSETSRQGKKQFIAEIETISQVQHRNLVKLYGCCLEGDKPLLVYEYLENGSLDKALFGSGGLNLDWPTRYEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLCDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVMLEIITGRPNYDDRLDEDMAYLLEWVWQLYEEDHPLDAADPRLTEFNSDEVLRAIRIALLCIQSSPRQRPAMSRVASMLAGDVELAEAITKPSYVIEWQMNSSRGQSSSTSRGRETTKSSSPFLSSGTDDERR >PAN37320 pep chromosome:PHallii_v3.1:7:23846301:23851757:1 gene:PAHAL_7G080300 transcript:PAN37320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRTDFFRDESPNTCETAFAHREAAEKGESALQLLQDADPALFLAPSTDLAAAARAASQRIYSSLAPLSPAQPPPLPTLLVDAAFDAEQIWSQIELLARPILPHLRRQLRHLEQQPPSQPKAALPVETPADAEEEQPEDRQDSEMDGLKELEEIDDNDDLGDDDDLDDGEEEEEEEERIRGNLKGLEDQFLKIDEMAEFLVKGDEEEYGGGANQGEKKATKNWMEESDDEGEDRDEDDDEDEDDDEGQLDLEDFEDDDEEGEGEDSGGIMYKDFFMKGHNQQVNQRVGSTKKVQFKDEAHETELGGSEIDDGNEEQGLSTHEKERLKMHAKIEQMEKANLEPGTWTMHGEVTASSRPKNSALEVDLDFEHNVRPAPVITEEITTYLEEMIKKRIAEGHFDDVEKPSLIPSKAPKEHKELDESKSKKGLAELYEDDYAQKAGITPAPLSISDELKKEANTLFKRICLKLDALSHFHFAPKPVIEDMSVQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDIKEEGELTQAERKRRRANKKRRYAGSH >PAN37947 pep chromosome:PHallii_v3.1:7:33747726:33750430:-1 gene:PAHAL_7G134600 transcript:PAN37947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQARHHPHDFTPVGGSLFLDEYAAGRVPPTAPAGIADTTVLGDFPGGELTSNCGFAPRKRARVADAGGFFLEDQRVVLAPAAMQGLAPLPETAGDDERSRAVGSGAASTSGRAVGNGAGATLSLCHHGGEIDALIRLESERMRAGLEEARRRHARALLAAAGRAAAGRARAAEAELERALRRNAELEEKARQMGAECQAWMGVARSHEAVAAGLRATLDQLLLRPPSCAAAAAVGDGGEAEDARSCCFEAPPAAGDWAAAPLSCRSCGGGEACVLLLPCRHLCLCRACEAGADACPVCAAAKNASLLVLVS >PAN39825 pep chromosome:PHallii_v3.1:7:42899513:42904065:-1 gene:PAHAL_7G271200 transcript:PAN39825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRDHHQHQSQQQQAQAQAQAAPRVGSPPQPGPGGGGGVMMQHATAFGAAATPGMPPGPGNVMHGMPLAFNPMASPGASSPMKPADVPPGAMYRPDSGAPGMQQQQPQQHPGAGAGELVKKKRGRPRKYGPDGTIGLGQKPAAATGAEAGGQSGGGGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKPKEEPQPKREPASVPLHAPGFGAASTASPPSDGTSSEHSDDPGSPMGPNGSTFANTGHPMHSTFAPVGWSLSGNQGRYDPDLKMMTD >PAN36862 pep chromosome:PHallii_v3.1:7:1280944:1284440:-1 gene:PAHAL_7G005900 transcript:PAN36862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESQPLQEPTATAPAAGEEAAGAPPAVVPGKEFTRTCKGLVVVLIGGYVLLQLLPSSLNYLAIIPSKTIPYVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAIGLYYVTGKESFLVTPLSGFHGCLAGFLVALKQLLPNLELPMCFFWKIKAKWMPFFVVCFSSIMAFIVPDSINFLPTLVSGMYVSWLYLRYFQRNPLTGLRGDPSDDFSFPSLFPAAMRPVTDPVANLFDRMLCARSRPSEVALPVSDPTKASRRRERGERVLEERLASDHAADTEAPAHGHGTAED >PAN39545 pep chromosome:PHallii_v3.1:7:41489185:41492000:1 gene:PAHAL_7G250400 transcript:PAN39545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPIHKRCTTLRGLVILVAAAAVLLLSPAAVTPVASHNDHGVHNNYLVLVRSAYEYDKKVHQNVSSWHASLLASVCDSAKETLAADPSAMTRLIYSYRNVVNGFAARMTPEELEKMSKMDWFDRSLPEQTYHLLTTHTPEMLGLTGDPHRGGLWNTSNMGEGVIIGILDDGIYGGHPSFDGAGMKPPPAKWKGRCDFNKTICNNKLIGARSYFESAKWKWKGLQDPVLPISEGQHGTHTSSTAAGAFVPNASVFGNGLGTAAGMAPRAHIAFYQVCYEQKGCDRDDILAAVDDAIEDGVDILSLSLGHEDAIDFSDDPVSLGGYTAILNGVFICAAAGNTGPSPATLVNEAPWLLTVGASTSDRRFVASVKLGDKVEVDGQSLNDPNTTMGDPRPLVHDADGMCANENVLMAQNITGKIIICDAGGVVSTEKAKMAKRAGASGMIVVIPEVFGPVVIPRAHAIPTVQVAYAEGQKIKEFMQTSRDATATFVFKGSMFKTPQSPMVAPFSSRGPNRRSRGILKPDLIGPGVNILAGVPSIEDVELAPDAVVPRFDIKSGTSMAAPHLSGIAALIKHAHPTWSPAAIKSALMTTAEPTDNLRKPIADVDGKPATFLAIGAGHVNPQKAIDPGLVYNMTAVGYVPYLCGLNYTDQKVSTIIYPEPPVSCANLSRLEQDDLNYPSITAILDQPPFTATANRSVTNVGAASSTYVVEVEVPASVKVEVNPTKLTFKAVDEVLNYSVTITSADDRAPASPVEGQLKWVCGKYVVRSPLLVVAGARQA >PAN37877 pep chromosome:PHallii_v3.1:7:33167451:33169093:-1 gene:PAHAL_7G130100 transcript:PAN37877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASNKIVHRDEITDDTFTNDSGQIPEKYIRTDEVCAGAIVGEDEAYELPAVDMARLLDPESSASETAKLGSACRDWGFFQLTNHGVDEAAIQRMKDSTVQFFGLPLDGKNTVAVRGDGFEGYGHHYSRLSKLDWAESVILITQPVQDRNMELWPTSPPMFRHALEEYSAEVTKLMRQLLGSMAADLGVGREALLGAFTGKRQSMAIHHYPPCRHPDKVIGNTAHTDGLALTVLLPVDDTPGLQVLRGGRWFPVRPRPGALVVVTNGTYRSVEHRVVVSAGLGRTTAVVFQDASVGGLVAPLPELLRGGGEARYRSIPRFEYLKVRFSALAKRTGFLESLKL >PAN38444 pep chromosome:PHallii_v3.1:7:36640859:36641787:1 gene:PAHAL_7G172500 transcript:PAN38444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDAEALRAAEAAGAGLGCGAGTAVPWSSGDGGGASPAAAPAGLPPAVLWSDDDRRMKQELVAWARAVASMVVRDYSIRC >PVH35741 pep chromosome:PHallii_v3.1:7:42227835:42230207:1 gene:PAHAL_7G259700 transcript:PVH35741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSLIVGLFLWSPVGVFLSVHALFPLCLRACSVSPPHCAGVWIPVDKRCSFPFLFILPPLEPPLPVLFPSARPYLICRWRQRPPHCRRSGAAAWSASAAWGAWSPASLMRSAPGGAAAGGRRVGTRRSSGDGMTSSEDHRDWDECQLNSTQRDSRRRPEMVVTLVSCLHFRSP >PVH35626 pep chromosome:PHallii_v3.1:7:40186443:40192104:1 gene:PAHAL_7G229000 transcript:PVH35626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFLLYNSCRASCLSTASTGTVSPVQTLASLASSLPDFPALPLPPSSPIPAQAAMRPGGGGDPQGGDGATRRTAAAGQAMVELQANASAAAGGAAMVVGLSPLSETLWRDSKALPPGAAPAALIGDVSARLTWKDLCVTVALGPGKTQTVLDELTGFAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQAAQACEFFASAGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLIASYSRSQYYYAARERVNDISRIKGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYLDVGTKYTSILARAACSAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISATPFLILICFLSGTICYFMVRLHPGFEHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPFWRYPMQYISFHYWALQGQCQNDMKGLIFDNQYPDQPKIPGDFILKYIFQINVDRSKWIDLSVIFSMIFIYRILFFLMIKINEDVLPWIRGHIARKRMQNKAPSSTFGKTPSLRGYVVDPELGSNEG >PAN40587 pep chromosome:PHallii_v3.1:7:46503059:46508731:1 gene:PAHAL_7G326500 transcript:PAN40587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSKNGCLKILVCAGSGSDPSAGSDADADDHPDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKADITPSNNVYTSTYSYASEKPLQQDKPDEKILHEEKPEEKPLHQEMSDEKLMEKPEEKPLHQEMSDEKLMEKSIGKPVDKLMEEPVDQIIEKSIEQPAEKTTETETEEPAPKITDAPTEVPAEKTSEAASEDPTERIMENPIEETTEREVEELIEKPTESISVASTEPEQEETASLVEGSSADREEDHLQSAATDLQPDSGTSIAGQELLNQKDLVKLQAIVRGRLVRKQAAESLHCLLAILKIQGLIRARQAQQSGEKVQETIVHSSGEKLLRNGFALKLMDSMPTPKSIHIKCDPSESDVTWKWMERWTSLIPPITGEQLPEHRENGELMGENVKEDAQHDDEIVPLGSDLSFPKLVPEDVKESLWTSDSSALEAPASIPDETSEVEIRRDPESELIENIDIYAEQVTDQKTENAVDEFLMSSDQQSTQPDASSEPSPLPEKPESSNEDSGDAYSSEQTLEMEGKRSVVRKSCNPAFAAAQLKFEELSTNSTVSRSSSSSYLDGASKSRAHTPRSQEDYSSKQDNDTGLPESSVGHDAKMVIAASECGTEISISSTLDSPDRSEGDGGEIVLEIGALENRNYVSDKANKDVSIVHSEVKNAPEVDAELQKEEQQNGHVADPEVEAQPQEELVQEPHVEPEKSDLHDHAEKPVESYATPEGTPMSRATVPESHGTPSSEVSVNTQKNRSKKPKSHASKRSLASPSSDSVGRSSTENFSKESRRAKRENSSNAAKSDATDQEPRISNSNPVPSYMQFTESARAKASASASPKMSPDVQDSNPRKRHSLPMTNGKHDSSPRMQRSSSQAQQNVKSNGAVPHNSSDKRWHI >PAN40700 pep chromosome:PHallii_v3.1:7:46909386:46912112:1 gene:PAHAL_7G334300 transcript:PAN40700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGDAPAAAPSVVTASPRSPMPPETPATLKRRQRGLVSRVWKGIFGGREDVEKLLQALSKEEEVVRARLRRRTRASRNSAHNVLALAAALEIVAVGYAIMTTRSPDLSWQMRAVRVLPMFLVPALAGLIYSTITRLTKMLDIRDQHTLEKLRTERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESSRDAALGKSNNNNPGQTTGLRQRKPAHLNNGTGRTHSPEPFDGSNAYDGNGEGSPGTPNQRTVEHFRGPAGNDGGWLARVAALLVGEDPTQCYALICGHCHMHNGLARKEDFAFITYYCPHCNALNSSRQNEDQDLVPNSGKESPSSQSDIIIGQAGASLASSGAVSPVTSSLPTVEELSAEDSREESSDQPAS >PVH35306 pep chromosome:PHallii_v3.1:7:34985073:34986758:-1 gene:PAHAL_7G148100 transcript:PVH35306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWDPSFETIDDQGSKTTKDQSTKIAKDVTELIGKTPLVYLNKVVAGCEARIAAKLEIMAPCSSVKDRIGYSMIADAEEKGLITPGKVREINERAIAISSSRAYFVLTMTSMRIDLQSVLIEPTGGNTGIGLAFMAAAKGYKLIVAMPSSVSTERRAVLRAFGAEVVLTDPLLTMDGVVRKAEEIAARTPGAYVLQQFANPANPRVHYETTGPEIWSATAGKVDVLVAGIGTGGTITGAGRYLKEKNPAIKIYGVEPSESAVLSGGKPGPHKIQGLGAGFVPGVLDVGLLDEVFQVSNEEAASMAKQIALNEGLLVGISSGAAAVAAVRVARRAENRGKLIVVSCLRHRRPVLDSVTRCDVMLVGCHIAIFASFGERYLSSFMYESLRNEAESMAFEP >PVH35837 pep chromosome:PHallii_v3.1:7:44131477:44134387:1 gene:PAHAL_7G289500 transcript:PVH35837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSTKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVVKVIPAGSTGGSGGKKAFTAA >PAN37965 pep chromosome:PHallii_v3.1:7:34012859:34016618:1 gene:PAHAL_7G137200 transcript:PAN37965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFGLLGDDAHQPAAPPPQPATAAQQPAPPPPPVQAFCFADAAVAAGAGAGAGSFSQAQEESNHHAERGKAAHHAKRARERPDEFSSDGGEYCSYINSGGSGGGGKKGRSGGASSGASDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAGAVTDGQGKTTGGKSVEQCKNKIDNLKKRYKVECQRLASSGAGAVSHWPWFKKMEQIVGNSASPASSKPLAVAEDEKPRQQQQQHGSKRYPLSSTGPPTVVGSSRTNPLSNPRWKRVLLKIGGTALAGAAPQNVDPKIIMLIAREVQVACHHGVEVAIVVGGRNIFCGDNWVASTGTDRASTYPIGMMASVMNSVLLQASLEKIGVETRVQTALTIQEVAEPYVRRRAIRHLEKGRVVIFGGIGAGIGNPLFTTDTAAALRASEINADVVLKGIVGDDEYGCPPRGNSNTPFEHISFRELAARGFSRMDMTAVTCCEENNIPVVIFNMLEPGNISRAICGDQIGTLVDQSGRIT >PAN38668 pep chromosome:PHallii_v3.1:7:37580170:37582106:-1 gene:PAHAL_7G188300 transcript:PAN38668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKPRAQARLAAPAPAPTWAQRAEALTHILTHPSHSPSLHSQLFLASRVPCPPSGPGSTASYPPFLCPGASLLRWALTSVFLPRAARLCLPPSSWRSRCPFQLPPPLVPSAAIEPAPERWGDAELSAYARRRRARRGPLTARPPMSVAGVVLTTVPCVVIVVAFIRELFWVRSNRI >PAN38388 pep chromosome:PHallii_v3.1:7:36405915:36406481:-1 gene:PAHAL_7G168700 transcript:PAN38388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKRGIEMLDLTLIEYDMRVKTGQEGKDDLQLIDGASIIGSSGKWNEPYTIRIPGDYGAVDITLSRLHYAVEATVEVLISEVQSSFDLSLSCLTSGLNKGIWLFDGAIVEACSLKRSVVAVLMDSLIHLKFKIGVLPSSSDQCCSFKAKSHGDKTREIKTDLALILVKVTWSTLPSELGLITLLIL >PAN39891 pep chromosome:PHallii_v3.1:7:43310135:43313062:1 gene:PAHAL_7G277200 transcript:PAN39891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSNSISSQKSGSRRAAAQRPPFQEAGSRPYMPPLSTGSRNPSAKCYGDRFIPERSAMDMDLAHYLLTEPRKGKENPAAVASPAKEAYRKLLAEKLLNNRTRILAFRNKPPEPENMLTDLRSDAVQAKPAKQRRHIPQSSERTLDAPELADDYYLNLLDWGSSNVLSIALGSTVYLWDASSGSTSELVTVDDDYGPVTSVSWAPDGRHIAVGLNSSDVQLWDTTSNRLLRTLRGVHELRVGSLAWNNSILTTGGMDGKIVNNDVRIRNNVVQTYHGHEQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMSSSVQSAGRTQWLHRLQDHLAAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKKEKELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLFMAQSPDGCTVASAAADETLRFWTVFGTPEAAPKAAAKASHTGIFNSFNHIR >PAN39852 pep chromosome:PHallii_v3.1:7:43068855:43070770:-1 gene:PAHAL_7G273800 transcript:PAN39852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAHPLNVLATLDRARTQRYHFTAAAIAGTGFLAGAYDLFSIIFVARLIGRVYYADETASPGEPGGQLPHDAAVALNGVAFSGTFVGQLAFGWLGDRIGRRRAYGWTLALMAVSSAASGLSFGREAKAVLATLCFFRFWLGVGVGGSYPLSAAIIAEYANKRTRGAFVAAVHAMQGVGILLGCTVALGVCSVVPEADHVWRVILVAGAVPAALSFYLRTKLPETARYTALVAREPKRAAADMSQVLRARIQEQELDVSVGRIDDEWGLFSVQFLKSHGLHLLATSSACFFLSVTYYSQNILQKDLLGKLGWVSPSPAASMGAVQEVARLARAQALIALCGASPGYILSVVLIDVLGRRRLQLAGFTVMTLSMLALAISYDHWTSHAAGFFALYNVTFFFASVGPNTTTFVAPAELFPARLRCTCHGVAMAAGRAGAVLGAFGFLSKGADSKYASGIGTRNELFVLAGTNFLGMLMSLFVPETRGASLEVLSKEVVYESIDMFMDSNGE >PVH34890 pep chromosome:PHallii_v3.1:7:16840477:16840760:1 gene:PAHAL_7G056400 transcript:PVH34890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRPGGWWPALESTAGSTQPHGSSPAADCTTTDRNRRRDFSLGTMVQYKYK >PVH35823 pep chromosome:PHallii_v3.1:7:43990717:43992034:1 gene:PAHAL_7G286800 transcript:PVH35823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSTVPATHGDTGTRQTEALSQRGAAARPPPPPSLWAPCPRPRLWVKPPPPAGSSSPPASPINTPPTCLSSPHSSASAQRTNTTTSTATARTLGAHSPAVAARSLAPPAGSTMAPKNSALPPASAATDGMMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDAAALHFRGPKAKTNFPVSFAAGAHPLPPPPKMLAVSPSSSTVESSSRDSPAASPALPAPSLDLSLGMPPMVAAQPFLFLDPRLAVTVAVPAPVPCRPAVVAGASKATCREDEQSDTGSSSSVVDASPAVDVGFDLNMPPPAEVA >PAN38202 pep chromosome:PHallii_v3.1:7:35399582:35400331:1 gene:PAHAL_7G154200 transcript:PAN38202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLDNLKLLLHKASLVRNPWTCRYRWRLPRPLTGGTSFAPVETRSASKGSILFMLVTWLIWKEWPHFQQEKPTPTQLLNRTKEEAELWCQAGAKALSTLGVCSFQDQARSLTVTN >PVH35890 pep chromosome:PHallii_v3.1:7:44831066:44832401:-1 gene:PAHAL_7G301100 transcript:PVH35890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGITTNHRFTPGGAIVQINCDLQVHHITSPAVNFQMMPDVQAFWPRHFHPGSLAPACEVIGSCRHCSHPAVIMALKMSMAATREANQRKKVSLILARELSTRNTSSCSPSIENVEQDLSEEGMSVTLHKHQRMAQNWMLLRENNLRRFQAPSEAFPGGS >PVH35891 pep chromosome:PHallii_v3.1:7:44831606:44832198:-1 gene:PAHAL_7G301100 transcript:PVH35891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGITTNHRFTPGGAIVQINCDLQVHHITSPAVNFQMMPDVQAFWPRHFHPGSLAPACEVIGSCRHCSHPAVIMALKMSMAATREANQRKKVSLILARELSTRNTSSCSPSIENVEQDLSEEGMSVTLHKHQVSNLHLLTTSFLLNEMHYRSLNFCKPDP >PVH35452 pep chromosome:PHallii_v3.1:7:37620888:37622528:1 gene:PAHAL_7G189200 transcript:PVH35452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAQPSASLLPFSLGLVLLYFTAGITVRVAEAQKTWCIAKPSASNEILAQNLDYACSQVSCAVIQKGGPCYYPDSLVSRAAVAMNLYYAYSGRHAWNCYFNNSALVVQSDPSYGSCTYY >PAN38683 pep chromosome:PHallii_v3.1:7:37620888:37622528:1 gene:PAHAL_7G189200 transcript:PAN38683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAQPSASLLPFSLGLVLLYFTAGITVRVAEAQQKTWCIAKPSASNEILAQNLDYACSQVSCAVIQKGGPCYYPDSLVSRAAVAMNLYYAYSGRHAWNCYFNNSALVVQSDPSYGSCTYY >PAN39763 pep chromosome:PHallii_v3.1:7:42676498:42681042:1 gene:PAHAL_7G266900 transcript:PAN39763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSSLLRDLLVADGFKNRRRPPEGSAPAASRASSMPLQQRRPGKTARSQSDVLTRSRLREMNGDPDGTGRDAYGDERRAATATRRSSASQTSVRSYSNKGASDGGGAGDRRSSVSASAAAVPALDESALTALISLAAGGMKRFVKDEAFRASLRAGCASCLGDSNHRAVLDLRVHGQTVERAAREGLDPRDLKRASLKLHEVASLDAGDADAVTASGVPYPRLAACAHLYMSAVSKLQRRDHSAAVHALEAFCLAPREARTLLLPALWDRLFRSGLSHLRTWRDRESAAASSDERAKEVEKTFVDVVDDGTRVLACYYRDWLLGRTDAMALPDVPAPPSTVHASAPRCSASTSYDISSDVVFSSGGSSPVKFVYDGAMQKSEEIEEEDRVHAMAAHAESVFHECEAGEATSYPPALQVEENVLTPNNIVKQTSEPQVEDEPIKESDASTSYRPISDISAIDLLTLEFCEGLLQNDTDGNPFSVFATVPSDFLCPLTRQIFRNPVTIETGQTFERHAIVQWLDRGFRMCPVTGQELLSSSIPDTNRVLRRLIDSWKSERCKNLVSGSTGPEEKLTVTVIDKVFDSAGDMSEKLDKARHLMEIGGIDFLLHRFQEGGGDEQQRVAEHLLFCISAEGSCRNYVAIKIDGSSVLRLLHNEVLSARGTAVCLLTELICLRRREMFELLLRGLGTESVVQTMDVLLEHLRSLPVREQAPVAVLLLHLEALVQANKDSNYREEAAKIITQSLRCCMSDDNAVAGTRKALLLLAGHFSFSGHLLAEDWMLKQAGFVDASRAGPINSDAVVQDKEAAGNEAWPRYVTAALLGSSGVRRPFLEALSRCLGSPDADLVGACLTTAGWLSRSLAASLDGDAADAGTSLAAFSALVPRLKQCLAPARPARHRVLAAVSLHNFSKIPDCRELLVLLADGLRDHLADLAQLTGTAGQLSAELHERH >PVH34869 pep chromosome:PHallii_v3.1:7:14894943:14896579:-1 gene:PAHAL_7G050900 transcript:PVH34869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKKQAPGRAPMPPGKEPAGQALMPPGKEAPGRRGYANRGEMPDIPPPTTNSNPAHSTLTLGEMATKNPPGRTTAARPRQPASFSEYEKERAQHIMRNNQIFQRLGIGQLASLLKNVPQKSGSEYSPHDNEGLEDDDEVISKSVKVSSQGTRGSKRVTPPRLQLERRVTRQNSAATISLTASTEEALATVQTENLNPTADEDELVEVTEQVRRGRSMGKDLDRITRGLGSKICIHVSEGKRRPAVPLQAAKLASEAGIVLRQHEQFNMDTDNKTMKDACIVLLKGGQRQRRYNLKLKYFNGLSQDQVPRTSPVAYMSDAQWLELVAMWSKEEHKVLL >PAN38891 pep chromosome:PHallii_v3.1:7:38567789:38573938:-1 gene:PAHAL_7G205000 transcript:PAN38891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIIRRRKDILRHTNVPILSSLSTSTTGQGKFGCEVDQRSVYLFSEDSLAYSDHAKVQYTLSNRNLCGLSSGFTCRPTCGVSLSAYGSRAQNFVFPLGVRWFLQSVRTTSNTAGQPQVNIMGKQNEDDKEKQQTKEASPEECDQAVEGLSTAKAKAKAKLEEVQKTDQSIMQKFWAKLLGIGPALRLVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLASGKSLTRRERQQLTRTTADLFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIQPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEYELRQACRERGHLGLLSTEEMRLELRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKRQEKEERAKLEEPKAIEEDLALKEMTEPTAREEEEVKKAKTHDKKEKLCNISEALAVLASASSVTKERQEFLSLVNKEIELYNNMLAKVGTDGEEEARRAYRAAREESDQAAEAAVGEKVSSALIDRVDAMLQKLEKEIDDVDARIGNRWQLLDRDRDGKVTPEEVAAAANYLKDTIGTEGVQELISNLSKDKEGNILVEDIVKLASQTEENNGHKEVPR >PAN38446 pep chromosome:PHallii_v3.1:7:36645722:36649543:-1 gene:PAHAL_7G172700 transcript:PAN38446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFCCFGAGFSEFTGHGSTASGKGKGRQGQVKVCYGYSLVRGKTNHPMEDYHVANFVEAKGSELGLFAIFDGHLGDTVPAYLQKNLFSNILNEEEFWTHPDRAITKAYEKTDQAILSHTPDLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGEPIQMSIDHDPNVERSVIENRGGFVSNMPGDCPRVCGQLAVSRAFGDRNLKALLRSEPDIKVENIDHTAELLVLASDGLWKVMNNQEVVDVAKRFKDPYAAAKQLIAEALKRDSKDDISCIVVRFKV >PAN40413 pep chromosome:PHallii_v3.1:7:45492817:45498602:1 gene:PAHAL_7G313300 transcript:PAN40413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPDIPGPTGQCHVDDYLSRVCPSVVSLLNPASPASATSHQLASVTRLGCEWRAAAEAMAPRDPKDGGGAGGGGGGAAEPEADIEAPLLASSGSSFFLDPAGEDGDEEQRRRRRRFLLGSHTQSNTTSQVALVGSDVCPIQSLDYELIENDVFKQDWRARGRGHILRYVALKWVLCFLVGALAAAAGFVANLGVENVAGAKFVVTSNLMLDGKHGSAFAVFLASNFALTMLAAVLTVFVAPAAAGSGIPEVKAYLNGVDAPNIFSLKTLIVKVVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSRKYHMTCKWLRYFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALETVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSGKCGLFGKGGLIMFDVTADYVTYHLVDLPSVITLGVFGGILGSLYNFFLDKVLRLYNLINEKGKTYKLLLAATVTVCTSCCLFGLPWIASCKPCPTDTEEACPSIGRSGNFKKFQCGMNEYNDLASLFFNTNDDTIRNLYSAGNDDEFHISSILVFFAASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVAMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKVVADAFNANVYDLLVRLKGFPHLEGYAEPYMRQLSVSDVVTGPLQTFSGIEKVGQIVHVLKTTGHNGFPVVDEPPFSDSPTLYGLILRDHLLVLLRKKDFIGSCTASTLNASKHFTHDEFAKRGSGKQDRIENIELSAEELEMFVDLHPFTNTSPYTVLETMSLAKALILFREVGLRHLLVLPKSSKGAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRLGKLNVTNIL >PAN38024 pep chromosome:PHallii_v3.1:7:34299566:34302671:1 gene:PAHAL_7G140800 transcript:PAN38024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHRTRRSPAGERFMGMFSSPSTPSSSPTEPSFVAGDELHEDDFLFSSPDAAAPAPAPGSPGRGAPQQGHLGLLAALAMHEGDRRLLVRGAAGGGATAAAAAVSAGTLLRRKATIAAAAASVSASAAATGSGSALSPAQSPNSAARAIPATARPKNVTPAPPYHQSAPVRVPVRPPRKPDIGQWDEFVDDDDDFRRRDAAMLPPHEMVARASAGGAGPAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >PVH35369 pep chromosome:PHallii_v3.1:7:36123882:36128666:-1 gene:PAHAL_7G163600 transcript:PVH35369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEATLEQTPTWIVASVCSVIVLISLVFERALHHLGKALERRRLTLYEALLKLKEELMLLGFVSLLLVVFQDLIQKICIDESLMEHWRPCRGGNEASAAAHYGAASTFAGGGRRMLKGGETFGHCSSKGKVPLLSRHALEQVHIFIFVLAITHVVLSAVTVLLGLLQMRKWKHWENSIQEEGSTAPKMIKRVQKIKFIQDRCKGREKVAWVIIWMRSFFKQFYGSVSNDDYVAMRLGFVMEHFRGHHKFNFYDYMIKALEKDFKRVVGIKWYYWIFVMIFLLVNITGWHSYFWISLVPLSLLLLIGAKLEHIINKLAYEVASKHAAGQGEGGIVVRPSDELFWFHSPRLVLVLIHFILFQNAFEFAYFFWTLATFGVNSCIMDRPGYSVSRIVICVVVQVLCSYSTLPLYAIVSHMGSSFKSAVFADDVADHLRGWADGARRRMRRSATAGDAAGCLGAERSLEGRSRPDQLRSISWSAGRRPCDAGRRRPGARQAAVPGSSPARAFIAAEQRRLLTCPVLQQPANGGGSGGDRAVGAVGVSFHDHGWTVRPPLGSREPSDRRRAAGSERRKARWCVGLKAQ >PAN39584 pep chromosome:PHallii_v3.1:7:41659974:41664599:1 gene:PAHAL_7G253600 transcript:PAN39584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKGSKLDDQEAVALCRGRAVLLAAAVRHRYALAESHAALADSIESVAAPLHRLLRLQQAEPPGLALPSERKGGATTRLPRSLDAPAGAPPGRLSHLQFGASSGSEPASAPGSPPRGVPEQLTQPQPQSQYAYGYGYAPLPAYAYPPPGSLQFYYARSRPPPPSVAVTQRAPEPPQRVRYGSFDAAGGYPLHYAYGAQQPPPVAAPQRPPPPAAPPSPPKASSWDFLNVFENYDSYDYDNYYYDSRAAATAAATPYTPSRSSQEVREEEGIPDLEDDEEEDGQVAKEVAGEYPGPGSGGARSRRSSLGGASSIAELDDPGNVIAHNDVIGEVRRRPPAHGNVFVHAPAPPARRVVDNANVAGEIKGQLVRTAEAARQLAPLLEVGRPSYQERSSVYHSSSKMISAISVSGLGCKDMDLLDVGVVGKVVDSRSLSSALEKLYFWERKLYSEVKAEEKMRLLIAKNSKRLKLLDQKGAEPQKIDATRNLLRKLSTKIRIAVRVIAKISRKINKLRDEELWPQLNALIQGFLLMWQDKLDSYHSQCQVISEAKNLISVVSGGNGQDLALELEVELVKWIISFSSWVNAQRNFVKALNGWLALCLNYEPEDNATGLPSYSPASIGAPLVFVICNKWSQAMDRISEKDVVNAMQALVSSVHHLWEQQHLEQSEQTIAIREREKWVKILERKTEEINKEADELNKKLAQVPSRQRLHVPRTVQLYEAHCVEASNLHVNLRLVLEALENFSASSLQAFQEVLICAGEARLPRESRDNVRREHRSSNRSSNYKTSS >PAN38888 pep chromosome:PHallii_v3.1:7:38557764:38559442:-1 gene:PAHAL_7G204800 transcript:PAN38888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSVIAPPARDTASPQHRRARRAFLVSNYLILGCASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPDPPRGRCYTAHMSATVFVSILQGAAAVLAFSRTADFLSDGLKSYVREEDGAVILRMVGGLGVAIFCLEWVALALAFVLRYYAYVDRECGGNPLRRSAKVGGEDGAGTWPWPFQV >PAN40105 pep chromosome:PHallii_v3.1:7:44295194:44295869:1 gene:PAHAL_7G292200 transcript:PAN40105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWKRKSCGGSGRDRSEEMRERLIQQEGGGGGGCVPRGCVAVVVGGEEEPEERVVVEVRALAQPCVRALLEMAEREFGYAQKGVLRIPCAADEFRRAVAADSHRCTTRRR >PAN39564 pep chromosome:PHallii_v3.1:7:41567612:41573627:1 gene:PAHAL_7G252000 transcript:PAN39564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGCSALVAGGRLPPPAIPRRLRRRRGSSVRAEVSPGGESQRKKVAVAGAGWAGLAAAHHLVKQGYDVTLLAAENGPSEEVGLRGFWYPYRNIFALVDELGISPFTGWNKAAYYSPEGLAVEFPIFHNQPRLPAPFGVFAYPEFPNLPLIDRLTSIPVIAAVIVFDNTDTAWRKFDSMTARELFKMYGCSQRLYKEVFEPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGDVEEKIFSPWLQSLEMEGLKFVPNKVPSSLTIDTDSGCISAIVCGDEIYEADAFVSAMGLSSLQSIVKNSPFLRSHREFTNLLHLSTVDMISIKLWLDKKITIPNVANVCPGFDDSSGWTFFDLTSIYDDYYEEPMTVVEVEFYNASRLVTLSDDDIVSEASLHLIKCIQDFEGATVIQKSVRRSPKSVINFLPGSYKYTPRGSTSFPNLFIAGDWIVSRHGSFSKEKAYVTGLEAANRVVDYFGTGDFAKIIAVEGDEPHIETLRSLSRRANELKSQIPLSEFFLQ >PAN39874 pep chromosome:PHallii_v3.1:7:43220405:43224070:1 gene:PAHAL_7G275900 transcript:PAN39874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDAMERGQRSPRLPESRNPKIEDETLTVPLIQDKKTGSKAPAIVLGFQCLESTAFNGIGTNLVVYLETVLHGTNLASASNVTSWFGTSYLTPVFGAIVADTFWGNYNTILVSLVVYLLGMMLVTFSAFLPTTDLCGVGSSCHPLLGAKNVAFLGLYLVAFGSGGVRAALLPFGAEQYDDDNAVDRERKMSFFSWFYICVDFGMIVSGVFIVWVQQNISWGLGFGIATACVALAFAGFVLATPMYKRSMPTGTPLKSLGQVVVAAFRKVSLRVPADAGLLYEVHDKVDQPKIAHTDEFAFLDKAAVVAGSDLEGVVTDDAAGSWRLCTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGGAMDMRVMSLTIPAASMVSFEVLCVLAWVLIYGSVIVPALRGVSPARGEPSQLQRMGAGRLLMACAMAVAALVETKRLGAAGRGEATSIAWQMPQYFVLAGAEVLCYIAQLEFFYSEAPDAMKSLCTSLALLTVALGSYMSSLIYAAVDALTARGGRPGWIADDLDEGHLDYFFWTMAALCTLNFVVYSAFARNYKVKTVVS >PAN36949 pep chromosome:PHallii_v3.1:7:2984406:2985385:1 gene:PAHAL_7G014800 transcript:PAN36949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLQAKSFRRKASHSHNKAPRRWPSRLVDGFRRMLVGLFSFPPRPPKVTFSVDEHRAAGTGAGNAAAGGGGGGGDASKRSSWSGSNLHPLNAHYDEAIADCVEFFNKSARVDLRSRPPHF >PAN40497 pep chromosome:PHallii_v3.1:7:46031580:46035919:1 gene:PAHAL_7G320000 transcript:PAN40497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTSSASIARQTWELENNIPAAATDPDAMDAIYRYDDAAQARAQQEKPWANDPHHFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDSIIVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFTEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRFGGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSSKASTSVPDSSGPEPMVEA >PVH35423 pep chromosome:PHallii_v3.1:7:37099306:37101388:-1 gene:PAHAL_7G180200 transcript:PVH35423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGASRGQPMLEKRTSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAQSEADHYKREMKREQEEIITVPDTEAAEIGEIMSQYGLEPQEYGPVVDGLRRNPQAWLDFMMRFELGLEKPDPKRALQSACTIALSYVIGGLVPLLPYMFISTAQNAMLTSVGVTLVALLFFGYIKGRFTGNRPFTSAVQTAIIGALASAAAYGMAKAVQAR >PAN39058 pep chromosome:PHallii_v3.1:7:39450958:39455219:-1 gene:PAHAL_7G218000 transcript:PAN39058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSCLLLIALFVSSVAGLRRSEFPPSFLFGAGTSSYQIEGAYLEDNKGPSNWDVFTHIQGKIVDGSNGDVAVDHYHRYLVDTEMMHSLGLDSYRFSLSWSRILPKGRFGGVNPAGIKFYNNLINSLLQKGIQPFVTINHFDVPRELEERYGSWLSPEMQEDFTYFADLCFKMFGDRVKHWTTFNEPNLMVKLGYFSGKYPPNHCSKPFGKCASGNSSTEPYIAAHNIILAHAKTVNIYRKNYQAKQGGSVGITIYMRWYEPLRNITEDHIAVSRAQSFEAPWFLDPLFFGDYPHDMHQILGSNLPKFTEGEKQLLKKQIDFVGINHYKTLYVKDCIFSPCDLDNYIGDALVSESAERNGIPIGKPTPVENNYVVPSSMEKLVMYLNQRYQNIPLYITENGYAQIGNSSTTVEELFNDTERLSYIRDYLTYLSFAIRKGANVKGYFVWSLMDNFEWLSGYTIKYGLCHVNFRSLKRTPRLSARWYSKFIKGSEQIEMASEESPKHVAS >PVH35717 pep chromosome:PHallii_v3.1:7:41692969:41694197:-1 gene:PAHAL_7G253900 transcript:PVH35717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRNNLPPHLSQGTAKPPNYGSLDPSAMADPQFVAFLQATYAAQVAQAAQPAYFSAPVYIDLESSSASWPPRAASLAALHAQLRPPIGISAMPPTPNFDNSPTKVKSRTSNFTIAEDKAICFAFINVSKDPIVGVNQSSEAYWDRVQKFLYSNTPVERQRPAQSIRKRWGTIQKDTARFYGYKGEQDRKNQSGKTEEDRIEDAKKQYHALVGKPFAFMHCWESLRGQRKWLDLVGAKGKDADNNGEESTPDLVDLGFPEEDANDSRPIGRDFAKKRRSSELQSSSTASAYVEVLQKMTDHKGKQIVAEVEWANAFNDREDRKLTLEEKKREDGIMKMDLSALDPYQRRYFRWEIKAILARTRADDDEQEMDDDFGA >PAN40099 pep chromosome:PHallii_v3.1:7:44268191:44277678:-1 gene:PAHAL_7G291800 transcript:PAN40099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRRSRRVEGFVCVCSGGGPPRHVPILACLDQVSIYLARPRKGRPIQARAEMRRPASSCSCRHGAALPKLLLLLLVLTASAQAQQARTRTDPVEAAAVNAVFAKLGQKASPAWNISGDPCTGAATDGTVIDDNNNFNPAIKCECSGQNITVCHVTKLKIYALNAVGQIPEELRNLTRLTNLDLRQNYLTGPLPPFLGELTAMQYMSLGINALSGSVPKELGNLTNLVSLGFGSNNLNGSLPSELGNLAKLEQLYIDSAGLSGPLPSSLSKLTRMKTLWASDNDFTGQIPEYIGRWANLTDLRFQGNSFQGPLPTTLSNLIQLTSLRIGDIVNGSSSLAFISNMASLNTLVLRNCRISDNLASVNFSQFATLNLLDLSFNNITGEVPQALLNLGFLSFLFLGNNSLSGSLPSSIGPSLINLDFSYNQLSGNYPSWARPNMQLNLVANNFVINNSNNGVPSGLECLQRDTPCFLDSPHSSSFAVDSGSRRSMSGSDNSMYQPDDANLGPASYYVTGAQTWGVSNVGRFMDAPNGSYIISSSRQFQNTLDSELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDFEDMQTWKSLGKRVFDIYVQGERKERNFDIRKAAGGKSYTAVKKQYIVPVTRNFLEIHLFWAGKGTCCIPSQGYYGPTISALSATPNFTPTVRNAAQKKSSSKTGVIVGVVVGAAVLGLVALAGLCMWRQKQRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNLLGEGGYGSVYKGNLTDGRVVAVKQLSETSNQGKTQFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDKALFGSGRLNLDWSTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVVLETLAGRSNFDNTLEEDKIYILEWVWQLYEENHPLDMVDPKLANFNSNEVLRAIHVALLCTQGSPHQRPSMSRAVSMLAGDVEMGEVVNKPSYITEWQIKGGNTSSFMSSNVSGQSSMAPRAASSHTSSPFMSSVIEEGR >PVH34900 pep chromosome:PHallii_v3.1:7:18438410:18443530:1 gene:PAHAL_7G060800 transcript:PVH34900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDILIGALMVGDCSDCLCVRASRIWEFNDLHDETKLLHTDLVLLDEEEGRVYNLSFFQVKKANRLYKPVQNDIMISFTRWTTVEEVVEIPPAFPEFTYSLTPIEKLPSVLDNKEYFTDVLGAVTKISDALPLRPKSQQVDTMKRTVTVCDESGASLDVTLWGERATSFPAEQLHKDGQHSPQIVIFVGTLVRGYAGAGTISLSGGSSCKWYINPDVPEAKNLIASCTRSTEHKKVSDIKYLHPFKNKKVEWLVTVKIMKIDKSWWYESCKKCFRTTRPHGNTYKCSNPTCSTIGAPSPRYKLVIIAGDETGDTEFVMFGRIALRIIKKTVDALIANNPSGFIPDEITSLLEKVFIFNVCFTENTISSGNVSFQVNTIVAEIGDRNPVPLTPAMQDKDSRLPSEGPVTESPIVPTYSTPEAQQSTTKKRI >PAN36777 pep chromosome:PHallii_v3.1:7:142196:147039:1 gene:PAHAL_7G000500 transcript:PAN36777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAAMADELEATRQKKRRKKHRERAEVAAASSESPRPPATPDSMPESPAPIAPETLTSTAVAACKGGKRKKQEVAAASPLVEAAVRKEERKKHKRSRYQEEAATPSPSAPATAAQILEMAAARKEQRRGKVEQGQSCQALLPVDVHPQGRGVAAAGGGVSVSKSVKRCSGGKIPVLSDREILRIRRIELRKQQPMPESFVPAMANPNPIYQDSKYSSPFGAFFDQFCYKPDRQEGLNAPSLPKTPDRPARPLPRDHPPSLSTQLTANETFMAAKTTASNTKQPHSASVLGPQEVKVKEKERSDKKSSETKKSRKKSPLLSAAEKRSDKYRRLPLNQLVRPPRSQYNLLQEKYASDPWKVIVICMLLNLTKGDQVKKILKGFFKRYPDAQTAYTADPEMMAKYLAPLGLQRVKANRIQRFSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPEDHMLVVYWKFVCKLSLTQAWQNEQEAAGAD >PAN40461 pep chromosome:PHallii_v3.1:7:45783098:45784947:-1 gene:PAHAL_7G317200 transcript:PAN40461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAPTPAPHVLVIPFPAQGHARPLLDFAALLAARGLRLTVVTTPANLPLLSPLLAAQPAAVRPLTLPFPSHPSLPPGLENTKGCAPEAFPIFMHALASLREPILAWARSQPDPVVAVIADFFCGWAQPLARELGAAGVVFTPSGVLGTAFPHSLFRRLVRRPAGCGDEFNVTFPAIPGEPAFQWREISMMYKWFLECGIEDKVRESVRQNFLWNLQESWVFVSNTLRALEGRYLDAPLEDMGFKPIFAVGPVAPETDPAGTRGGEAAVAAANLSAWLDAFPEGSVVYVCFGSQALLTPAVGAALAEALERSAVPFVWVVSAGNSGGVPEGFEARAAAARRGLVVRGWAPQVATLRHAAVGWFMTHCGWNSVLEAVAAGVPMLAWPMTADQFANARLLVDEVRVALRACAGGFGVAPDPGELAAVLADAIGEKGGDVRGRAKELAAEAARAVKEGGSSYADLEGLVQEIRKLC >PAN39113 pep chromosome:PHallii_v3.1:7:39717867:39720599:-1 gene:PAHAL_7G222100 transcript:PAN39113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECENGHVAASGNGVCLPVPPRADPLNWGKAAEDLAGSHLEAVKRMVEEYRRPLVKIEGASLTVAQVAAVAAAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGDDGHVLPASATRAAMLVRINTLLQGYSGIRFEILETIAALLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTPDGRKVDAAEAFKVAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEYILDGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAIDLRHLEENLKSAVKGCVMTVAKKTLSTSATGALHSARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKMRSVLVEHALANGEAERDPDTSVFAKVTAFEEELRAALPREVDAARAAVESGTAAIPNRIAECRSYPLYRFVREELGTEYLTGEKTRSPGEEVNKVFVAMNAGKHIDAVLECLKGWNGEPLPIC >PAN37906 pep chromosome:PHallii_v3.1:7:33455971:33457838:-1 gene:PAHAL_7G132400 transcript:PAN37906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVISVDLQCCRCRAKITRILDCLKEEFCIEKVEFEDKLNKVIVRGKFSGEKLSKKIYCKAGRIVKEIAIVEVWPPPKPEEPKPKPPPPKPEDPKPKPPPPKPEVKVVPYPYPVPYPVSSQSWPWCPCPPQQACQCSKPKPQPQPLPWPPRPPPCSCSTDDDKHHGCSCGGDRPTPPTRPCQCGGTPPWPPCSCGPKPPMCWPPPPPPAVACPPWWCDMVTEDNPGCSIM >PVH35964 pep chromosome:PHallii_v3.1:7:46291505:46295935:-1 gene:PAHAL_7G323200 transcript:PVH35964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGGGFSAAFRFPRGYEVGGVMCRFASLKVFEWEGRAAGCSGMAQAVLPAMQCQVGAKAAVRARPAASAAGRVWGVRRSGRGTPGFKAMALSTASTGVVPRLEQLLNMDTKPYTDKIIAEYIWVGGSGIDIRSKSRTIEKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRHRAAQIFSDPKVVEQVPWFGIEQEYTLMQRDVNWPLGWPVGGYPGPQGPYYCAVGAEKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYLLERITEQAGVVLTLDPKPIPGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDTFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYIVTGLLAETTILWEPTLEAEALAAKKLALNV >PAN37777 pep chromosome:PHallii_v3.1:7:32337939:32339010:1 gene:PAHAL_7G121200 transcript:PAN37777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAIAVASAGLGMLAGVAMASRSPSSSSEGAAQWRPGPAALRWGGGGAPRCEACGGSGKEECRLCARWSDAGARGSRRSGCGACAGTRRAPCRSCGGSGTGRRAPVRVATSARAAPTARAAR >PAN39124 pep chromosome:PHallii_v3.1:7:39758827:39762214:1 gene:PAHAL_7G222800 transcript:PAN39124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAACAPTRLGMLTFADRMAPPPMEEEPPAAAAGEGCVNAQLWLACAGSMCTVPPVGAAVYYFPQGHAEQASAAVDMSAARGVPPFVPCRVVAVRYMAEPHTDEVFARIRLVPLRPGEPVADVGDAAAAGEGDAGGDHQQQPKPASFAKTLTQSDANNGGGFSVPRFCAETIFPELDYRAEPPVQSVYARDVHGVEWTFRHIYRGTPRRHLLTTGWSNFVNKKKLLAGDSIVFLRGENGRVHVGLRRAKRGFGVGGGDDGSPSLAGWDPYGNLVRGNAGGPGGGARSPSGKVPPEDVVAAARLAAAGQPFEVVHYPRASTPEFCVRATAVRASMQVPWCPGMRFKMAFETEDSSRISWFMGTISGVHAADSARWPQSPWRLLQVTWDEPELLQNVKRVCPWLVEQVSSMPNLHLPNFSPPPRKKQRIPEFPFEGQPLVDPPFPPAHPLPLLAPHPHPHPHHDQSHHHGLIPFFPFPDGSAAAGIQGARHAQLAPFFSDLHIGNLQQSLLFCGVRPADHHAPTAPRISTDLAIGNPPPRHDAPRSPPAGAKKADDVKPVGIMLFGRAILTEEQMKSNSPTSPGATRKGSPDRSGSGVTEGSPTKNSLLDAGLEPGHGQCKVFVESDAVGRNLDLSALGSFEELCARLSRMFRIHDADLRSHVLYRTAAGEVKHVGDEPFNAFVRSARRITILGDAGSDSTGSQ >PAN40459 pep chromosome:PHallii_v3.1:7:45771383:45774811:-1 gene:PAHAL_7G317000 transcript:PAN40459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPLLRPLWPGLAPAAGPPDAAPEPAKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPPINPAAHLVSLVSPPVMLAGGNATATITTTTTTTTTVTTTTTTVAAEIGAHPHHHHGPVFVGRHPIRVRSWPHPDPNELLKAHRILAAVQNAQRSSKRRGAEPPRPVIAVTPTTTSALQVPSLTSLAHTLRLVDAQLMWIVVEPGHRTDAVAAVLSRSNLDFLHITGPGDSTASLRMHALREIRAKRMDGIVVFADENSILRTELFDEAQKVSTVGAVPVGILGEDDGTSESFLQAPSCDAAGKLVGYHVSEETVLPANRRDMLLSSRLEWAGFVVNARALWEDAKERPEWVRDLGAIDDADARAASPLALVTNPGRVEPLASCAQAALAWSLRSDSLHEVKFPHEWKFDPPQLSTGSNQQSVKPETTQTTLASTEDQH >PVH35072 pep chromosome:PHallii_v3.1:7:28682467:28683718:1 gene:PAHAL_7G099000 transcript:PVH35072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAVRAASRCCYCASCGVYLLRRQRRPLVRRAASWTMVPVPAGGRLPAAGAPGAPAAGDAAGAAARALPAAGAGSPWLVLVARPDRKHLLRHALFCCAGWWVHVGQTLWRH >PAN40302 pep chromosome:PHallii_v3.1:7:43777627:43778603:-1 gene:PAHAL_7G284100 transcript:PAN40302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTCRPHHTKAKQPHGRGEAKEEKEEEGVPVALEPTKYTGRLLLGRHALFGRERDAAKDDLPAPGIWGVSSGLLRLKELAGKSVLGYT >PVH35621 pep chromosome:PHallii_v3.1:7:40097933:40099114:-1 gene:PAHAL_7G227400 transcript:PVH35621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAATYMVYETRRRDPDPRRAALLVIDVQGHFASLAAPIMPAIASTVSLCRAAGMPVVYTRHVDPVPRRRPLGEWWPSDRIDAGTPAAELLPGAGRAEGDLVVEKGTYSAFAGTGLEEALRRAGAEEVVVAGVMTNLCCETTARDAFVRGFRVFFSADATATATRDLHEATLANMAFGVAYIVDCQRLEAALGKPAR >PAN39691 pep chromosome:PHallii_v3.1:7:42327618:42329861:1 gene:PAHAL_7G261600 transcript:PAN39691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPEHANPAARRPRPVVLLMLAFFALQLLIFLSFRAVRPPPAPASSAPAADTAAAVPVLASAPARRDGNGSSCGGGLVYVYDLPAAFNEDLLRMCDKLAPMYSLCPYLANDGLGLPAGGTNLSSLLPRELLGSWYASDQFALEHIVRRRLLSHRCRTADPARAAAFFVPFYAGLAVGRHLWAPNATGADRDRDCAALLSWLHAQPWYRRSRGWDHFIALGRITWDFRRTSDDAWGGSFLTMPGVANITRLVIERDPWDDMDVGVPYPTGFHPRAAADVRAWQRHVARRPRPMLFAFAGAPRSAIRGDFRALLLGECQAAGAAACGALDCAEGRCIRNNALVMELFMGARFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRSAYRQYGWYLPVGDGREAEWSVFIDREKLRAGNLTVRGVLAAIPEPRVRLMRERVVEMIPRLVYAAADGGEGLGGGMEDAVDVMVDGMLRRVAEQRPRWRPT >PVH35992 pep chromosome:PHallii_v3.1:7:46665831:46668514:-1 gene:PAHAL_7G329600 transcript:PVH35992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLVIQEIALACREQGCFQVVNHGISKSVMKGALKAASEFFKLSKEHKEKFVSADVQQPIRYDMSSRDGISTARSLLKHYANPLEDWVQFWPINPPTYRKKMGDYAAEIQGVSMQLMEAIFEGLGDKVGLAFHSDYGFITILLQSSAGLEVMHHEDDTWIAVPAIPGALHVHIGDNLEVLSNGQLESLVHRAILNPDESRISIASIHGLSMDEKVHCAKELVDEEHPEMYKESSFQESLDFLPSNMNNYKRFVESLKIDRDE >PAN38978 pep chromosome:PHallii_v3.1:7:38938653:38939330:-1 gene:PAHAL_7G210500 transcript:PAN38978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDAATRRFRLWLRGLRSLRGDLRSARWSNDPAQLDTLVGRFVAHMEAYGAARAELDPVLALSAPWASAAERGAAYWLAGWRPTTLVHLLYTESGRRLEAQLPDLLLGVRPGNLGDLGPAQLARVDALHRRAVAEENALSREMARVQEGHGGVVTAAGLALDAGALVARVGAVLRGADALRLRTMRQAVEILQPAQAAELLIAAADLEIGFREFGLKYGSGRDE >PAN40597 pep chromosome:PHallii_v3.1:7:46531900:46534923:-1 gene:PAHAL_7G327000 transcript:PAN40597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTANASPEAHERREQETLASAALALPLLRAAFSRAATTASALPDALAPPRASFRLPGSLPPPPPHFHDLLARLGPAAASLFFTDGAAAGAEGDAGWVPFLRGFNRCCARVSASRSLALLLRVYAAAGAPCGVQFQPDEGGDEGGKVVGELAPEEIAVFLWMCWVMAWSGSAPKVAAGDGGEKSEPVVVLLPDVTHLVLAALVSAGAVADDESVWGWEISSGGKGVKVQEFTSWVLSTASGLGNCLSRYVQERLRSLAADSTEQENSVSTDNTTFDTSDVYLLTRGRAWAIALSLRNKLSEKFLPGSVIGMDTEDLLYRSSVHGKGLSRFWSGVEGYNGPMLILLSAFSKGGVENVDSGRRWVIGVLTEEGFESKDTFYGSSGFLCAVHPIFHMLPPSGKEKNFVYSHLHPQIRVYEANPKPVGLAFGGTVGNERIFLDEDFSKVVVRHHAVDKTYQHGSLIPSQGYLPVEASVLDVEVWGLGGEATRRQQNVYKKRENIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNRVRREDR >PVH35979 pep chromosome:PHallii_v3.1:7:46531576:46537305:-1 gene:PAHAL_7G327000 transcript:PVH35979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTANASPEAHERREQETLASAALALPLLRAAFSRAATTASALPDALAPPRASFRLPGSLPPPPPHFHDLLARLGPAAASLFFTDGAAAGAEGDAGWVPFLRGFNRCCARVSASRSLALLLRVYAAAGAPCGVQFQPDEGGDEGGKVVGELAPEEIAVFLWMCWVMAWSGSAPKVAAGDGGEKSEPVVVLLPDVTHLVLAALVSAGAVADDESVWGWEISSGGKGVKVQEFTSWVLSTASGLGNCLSRYVQERLRSLAADSTEENSVSTDNTTFDTSDVYLLTRGRAWAIALSLRNKLSEKFLPGSVIGMDTEDLLYRSSVHGKGLSRFWSGVEGYNGPMLILLSAFSKGGVENVDSGRRWVIGVLTEEGFESKDTFYGSSGFLCAVHPIFHMLPPSGKEKNFVYSHLHPQIRVYEANPKPVGLAFGGTVGNERIFLDEDFSKVVVRHHAVDKTYQHGSLIPSQGYLPVEASVLDVEVWGLGGEATRRQQNVYKKRENIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNRVRREDR >PAN40322 pep chromosome:PHallii_v3.1:7:45112065:45117409:1 gene:PAHAL_7G306300 transcript:PAN40322 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair exonuclease family protein [Source: Projected from Oryza sativa (Os04g0635900)] MSEAAQTMEGGGDINMLRVLVATDCHLGYMEKDEIRRFDSFQAFEEICSLADQNKVDFILLGGDLFHENKPSRSTLVRTIEILRRYCLNDQPVKFQVVSDQTVNFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLIKKGITSVALYGLGNIRDERLNRMFQTPHSVQWMRPGTQDGDSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKIPLRSVRPFEYAEVVLKDEADVDPNDQASVLEHLDKIVRNLIEKSSQPTASRSESKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTAGDHIDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKMAFYSCLQRNLEETRSKLSSEADQSKIEEEDIIVKISECMQDRVKERSLRSKEGSRFTSSSQNLDTGGKSVAALSSLNSFTDDEDTREMLLGARSTDVGRKSSGFTRPSKARTDVTKRGAPKRGRGRSTSSMKQTTLSFSQPRSSTVIRSEEVASSSEEEADANEVVENSEEESAQQVGRKRAAPRGRGRGRGSTAKRGRKTDIASIQSMMSKDDDDSEDEPPKKAPRPARNYGAVKRR >PAN40093 pep chromosome:PHallii_v3.1:7:44243577:44246355:-1 gene:PAHAL_7G291500 transcript:PAN40093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGAAEVERAHELYRGGRHREALELYSAALAAARGPAQRIALHSNRAACHLKLHDFHKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEAYRNLQARLKTQLSLAPIPECEEESLYLEEEKEELPPKGHQKIETSITKPDKPETELVLENKPSNGPILDRKPATEPQKVNVAPILPSKPQGWEAIPKPKGHSGLDYSKWDRVEDDSSEDEDDEEEEELPQYKFKVRTVGVRSVK >PAN38908 pep chromosome:PHallii_v3.1:7:38644485:38646196:1 gene:PAHAL_7G206500 transcript:PAN38908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGFRLLLVLAIGVLLACGGGIAPASAAGGRWELLQDSVGVSAMHMQLLHNDRVILFDRTNFGPSNLTFPPATPAADQWFRGEADCTAHSVEYDVASNTFRALSIFTDTWCSSGYVAPDGTLVQTGGSDDGIRKVRLMPACTGGADDTACDWSEKPTDPDVLAADRWYATNQKLPDGSAIIVGGRGQPNYEFYPKADPASGTTLPLQFLSGDNSMYLYPFVHLNVDGNLFIFENNRAILFDYNSGSVVRTYPTLGDGAPRNNPTAGSSVLLPLKPNATEAEVLICGGAPASSISAVNDNGQFPPALRTCGRIKITDPEPAAAWVLEDMPSPRVMGDMILLPNGEVLIINGATDGIAGWDSANTFNPTPVVYRPDRPLGDRFEAQAPAAGTPRPRMYHASAVLLRDGRVLLGGSNPHERYVFGNAKFPTELSLEAFSPDYLDASNDDRRPSIVNPSLTSAPVSVKYDDQLVVAFRVPVRDPVVSVTMVAPSFTTHSFAQNQRLLFLQAQLVTKAPQLPGIGGAILPTDVHVASVTMPTKVLAPPGYYLLFVVNGRIPSKGIWVHIQ >PAN37398 pep chromosome:PHallii_v3.1:7:26267654:26273533:-1 gene:PAHAL_7G089500 transcript:PAN37398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MRRPLAAAAALRLLSSSSSASRARLLSPSAFLPSRRDGDDGREGPSSSPPSPLPPPAASAFSARLLLTSASGAAGLLGLRGRWRRGPGLPPAASRPTGAVADAPPVRLTIFRSYSLRVAKPKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWISTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWSSQPPENIHWAALVIGGSFLIEGASLLVAIKAVRKGAEAEGMSFWDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDALFDCKSEVIGPGFFRFKAEIEFNGDVLVQNYLERTGRGTWAKQFREAATSKDDKELLRVMANYGEDVVQALGYEVDRLESEIQKLVPGIKHVDIEAHNPEELSLRAGVFESTYIDSID >PAN37712 pep chromosome:PHallii_v3.1:7:31741908:31747037:-1 gene:PAHAL_7G116300 transcript:PAN37712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:Projected from Arabidopsis thaliana (AT1G10840) UniProtKB/Swiss-Prot;Acc:Q9C5Z2] MANPAAPGGARSFLQAVSKVTEEAPTPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPIREEDDEADADGANYQLEMMRCLREVNVDNNTIGWYQSCLLGSFQTVELIETFMNYQESIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVTQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNLSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALHED >PAN38160 pep chromosome:PHallii_v3.1:7:35222778:35227062:1 gene:PAHAL_7G151300 transcript:PAN38160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILGPSPLPSPCPRLRCGGRRRGQHRGEAWVHVAVGRSPEKTLGLLRWALRRFGKCRIVLLHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKEETDRVLLAYLAFCRRAQVQAKLLLTENDHIHDGILDLVNQYRIANLVMGSTPDSCFKLKYGKESLMASNAPAFCQIWFVWRGRHIWTREASAATDNTAPVHYQDDVMTAERIRFSSYSNNAGTILDEGHATGKALMTANLSPGIVSDYDGYEALGEHEANHFYSMNIANWQDAESAALNSTFCSDSSVHKNTLPSHSKEVLDTNLKQVMMVADGSRKEAFVELLKRKETESKVASAFARAKDSDSAKKHEIEMRGELEVLLVATRKQHEDLLKNKERAVAALESSMKRLAILDARAAKIKLQMDEFSVELEVIQSSIESLRQKKLKLPKLEDRHTDQARGLTYSHATLSKCMSNAFGDDLYSFEEFTLLDMQSATCKFSESFKIRSHSHGCVYKGEIMNRTVMIYKLHSHSIESVEQFQQEVYILSKARHPHLLTLVGACPEALCLIYEYLPSESLHGRLFSRCNSHWLPWKIRARIVTEISGALLFLHSCKPQMIIHGNLKLENILLDTECHCKIADFGISQLFTDDMKDCPSFACGSELKGSFPHADTEYKRSKILASKSDIYYFGMVILQLLTGKQELVGLAGEVRHAMSCGKLSSILDPTAGQWPLEVAGKLAELGLRYSETSSQDRLELTLETVRDLEQLYFTREGRAPSSFLCPILQEIMHDPQVCADGLTYEGRAIREWMDSGREMSPVTSLKLEHRNLTPNHALRFAIQDWLRHSHSPMKL >PAN39756 pep chromosome:PHallii_v3.1:7:42656027:42662020:1 gene:PAHAL_7G266500 transcript:PAN39756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFDGRTPTRGVEQAIVALKKGAHLLKCGKRGKPKFCAFRLSSDETTLVWYSKGREKRLSLSSVSAVVLGQKTIKFLRQRCPEKESQSLSLIYRNGERSLDLICSDKDQAEYWYLGLRALLPAPCSPCSSIGSRSSRQIESCTNTPRSYIQLKSRLPSVHSTPRHIQVHPSHRNSKTQGIFSGGSVDYSEALFYPRQRTLSDIDTYLEKLTRKVPNPDIHGLKNIMVGNKEKDHKIAQTPKLKTFEGPRSALRLDSLKDIFFWGDVLGSMLDCDDMSKSLPRLVDSTNMLDVQSIACGETQVAIITKQGEVYSWGNDGSGRIGHQVNIKVSRPELVESLASLHVKAVAYGSKHTCAVTVSGELFEWGERTHMGLLNDCYAGNQWFPHKLFSPLDGISVAKIACGPWHTAIVTSSGQLYTYGDGTFGVLGHGDTQGIAWPKEVESLKGLKVKCVACGPWHTAAIVEVTSDFKSNMPSSKLFTWGDADRGKLGHADKKMKLVPTCVDSLADYDFIQVSCGMALTVVLSLTGVVFTIGSSMHGQLGNSQADGKSVCIVEGLLKSEFVRNISSGSSHVAVLTTNGKVFTWGKGKEGQLGLGDYLSRSSPTLVEALEGRHVESISCGYNYTAAICLHKAISRKDLSVCSGCKMSFGFTRKKHNCYHCGSMFCNSCSSNKVTNAALAPDKSRRYRVCDVCFGQLLKVMDSGNIKSELKTTNGEMSRTEILRAYTPKLSRIFKDVNLPVEKVGLVHSPNQRNEVPATPVQAKSRRWGQVQCPAQFLSKQDSFRYQHTCGSSISQRMHGPAVLKCGSSLQQSTDGQRKGLTSTETLLMEEVKQLRSQVTLLAEKYQQRSLQVQLYKQKLDETWLIVRDEAAKCKAAKDIIKVLTDQCKAMSEKLVVGQQPEDPKMTSDINQGQPLRADLQHYASEKFPTEKFIQLKNTQNHHQTSSLGDEEYAPPSNPDVPVDGPCSHQNGTRTFGINGYITEADAPVAPVMSNGVIEQIERGVYVTFAVSPGGKKDIRRVRFSRKHFGEKEAQQWWEQNKSKVYANYGTEQTQHQLAVTVECTTTRLTTNRSTIY >PAN40191 pep chromosome:PHallii_v3.1:7:44682942:44687613:1 gene:PAHAL_7G298100 transcript:PAN40191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASTSVQRRRWLPWARRQGAAYLAAAGGGGGDGLVASAVSAARVVACFLAMMVTTAVWAVVMLLLLPWPCERIRQSNVYGHVTGRMLLWILGNPIKVEGLEHLRARGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAVAIESMKEVAREVVKNNLSLILFPEGTRSRDGRLLPFKKGFVHAALQTRLPIVPIVVTGTHQAWRNNSVRVRPVPLTVKVLPPIRTDGWEEGQISEHLETVRSLYVRNLPDSQKPPDALAARKAN >PAN40793 pep chromosome:PHallii_v3.1:7:47358391:47360541:-1 gene:PAHAL_7G341100 transcript:PAN40793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPTVVLVQILLPILLLLSAVRGADAGGIGVNYGTRGTTLPPPADVARFLARDTLVDRVRLFDADPAVLQAFAGTGLAVDVTVPNGVVPRLVSLAFARRWVGENVAPYARATNISRLLVGNEVTTEANRTLLLALVPAMQNLHTALVAVSLHGRIKVSTTHSLGVLTTTEQPSAGRFRDGYDTAIVKPLLRFLRATGAPFMVNAYPFYGLTNDTLDFALFRVNAGVMDEGSGVVYSNMLDAQLDAVHSAIRRLGFGDVDIAVSETGWPSAGEDWEVGVGAELARDYNKKAIRHLGSGVGTPLMPNRTFEVSIFSLFDENLKPGPVSERNFGLFRGDMTPVYDAGIFTDPETVVPVSTKLTPAAGQGAAAAAGRRQWCVPKPAADEMMLQENIDFACGQEGVDCAAIRPGGVCYEPDTLQGHAAYAMNLYFQSNGQHAFDCDFGQTGVLTTADPSFGGCKFT >PAN39150 pep chromosome:PHallii_v3.1:7:37157066:37158588:-1 gene:PAHAL_7G181300 transcript:PAN39150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIDIARLHPDLDQPAAAAVNERGLGGKAGMASELAGLAVVSVAAAGALSYARLATARLSPGIPRLAALLPVLLLLPALPFAFASIHLRTISAFFLVWLCGFKLLLLAAGHGPLHPALPLVRFVACAALPVKVRDEKQQQQPSRALPSGSLLSYAAKAALFAALVSARCYRERMPPYAVPVFDGTHVYLMLELFLASAAALARALLGAELEPQFDRPYLASSFGDFWGRRWNLMVPGVLRPCVYRPVRARLGAAAGVLAAFLVSGAMHEVMFYYITLEAGTGEVTAFFALHGACVVAERRWRGSGLWRPPRPVATALTLAFVTGTGSWLFFAPVIRSGLDKAIIAECEGMLALLERAGRNLAATAHLA >PAN39208 pep chromosome:PHallii_v3.1:7:40130432:40132032:-1 gene:PAHAL_7G228000 transcript:PAN39208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAALRYQSAIPYTLRHRPPVLLLPFFSATQARPAPSSLLFFFSAELALAAMRKSSSSGGHVIGVPVTSKAFGIEEAASRDPSFRKGDGDHLAVSLTHPSPYASFGYKHSSKGQVIHWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSADKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPITVASPKGDTARVTYKVVVPLRRVGEVRPSENADRPEEKYIRVATVDGFEFWFMGFVSFQRSWRCVQQAVEELQ >PAN40552 pep chromosome:PHallii_v3.1:7:46352034:46355888:-1 gene:PAHAL_7G324300 transcript:PAN40552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRTARPPPPPPPNPNPNRRAVASTAAAPAPASGTASPSKRMLAFHFLRALARIHSATPVPRRTRTIRRAAYSSMARAANPRRAWTQALLRQVRVRRAMRSRRAVLLRRRVSAAPPAPPLGAARSIVSAAGETATEAMTLARGGPPPRQAGEPARADALRRLVPGGSEMEYCSLLDETADYLRCLRAQVQLMQNLVDLFSGQ >PAN36877 pep chromosome:PHallii_v3.1:7:1520659:1522726:1 gene:PAHAL_7G007900 transcript:PAN36877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNALNGLGGGGQVDHTAADNANTALYACFAVFGVLGGAIHNLLGPRTTLFLGSLTYPLYVAAFLNYNHRPGSQVFPVIAGALLGVGAGFLWAAQGAIMTSYPPPNLRGTYISLFWCLFSLGSVLGGLLPLSLNYRRGSKVASVNDGTYIAFMTMMLVGVALTLLFLPPHKIVRDDGSRATGVTYSSAATEAGEVLRLFADWKMLLVLVPAWGSNFFYTYQFNNVNGLLFTLRTKGLNNVVYWGAQMLGSVGLGYLLDFGFASRRKRGLVGVAVFAVLGTAIWGGGFVNQLRYTDGKWPDLIDFKDGRRYAGPFLLYCSYGLLDAMFQSLIYWIIGALTNDSQVLSRYAGFYKGVQSAGAAVSWQVDFHKTPLMAQLIVNWGLITVSYPLLALLVFLAVKDDEDSSVYPRSRTTNTTDRRKLSAPTTFH >PAN36878 pep chromosome:PHallii_v3.1:7:1520659:1522747:1 gene:PAHAL_7G007900 transcript:PAN36878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQQPQVDVEGQSSSPAPAKPGLLRFNSPLAQVCLIGLVCFCLPGMYNALNGLGGGGQVDHTAADNANTALYACFAVFGVLGGAIHNLLGPRTTLFLGSLTYPLYVAAFLNYNHRPGSQVFPVIAGALLGVGAGFLWAAQGAIMTSYPPPNLRGTYISLFWCLFSLGSVLGGLLPLSLNYRRGSKVASVNDGTYIAFMTMMLVGVALTLLFLPPHKIVRDDGSRATGVTYSSAATEAGEVLRLFADWKMLLVLVPAWGSNFFYTYQFNNVNGLLFTLRTKGLNNVVYWGAQMLGSVGLGYLLDFGFASRRKRGLVGVAVFAVLGTAIWGGGFVNQLRYTDGKWPDLIDFKDGRRYAGPFLLYCSYGLLDAMFQSLIYWIIGALTNDSQVLSRYAGFYKGVQSAGAAVSWQVDFHKTPLMAQLIVNWGLITVSYPLLALLVFLAVKDDEDSSVYPRSRTTNTTDRRKLSAPTTFH >PAN38247 pep chromosome:PHallii_v3.1:7:35609410:35612436:-1 gene:PAHAL_7G157300 transcript:PAN38247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPPRLLLLSILALLALFPPFLLASSSFPLPTVAIAAVRANATASPHHLACALVPCGNGTDYQISCASVTNSSAQPRNYSYGGAGGERTPFSAVVAGDGYLCSAGPTVSRSRPMSMRWWDLKDSEAPSKRVYWGEALSAVSGGGEYVCGIFKQRIQCWRWPLGTVPDNVRFSAVAVGGGFVCGLVAGTGEVKCYGGGDAVSRKPNGSHAMIAAGERHACAVKVDRGEVVCWGEAAAVAAASPSPRIAGRSVSSLAVGDAVTCVLWGNWTVACWPPEEAALPRSVAQQQFVALEARGKVVCGVLMSDYSLVCWGPGVAAGAPGGVSKVFDRVLPGPCAPWASCQCGVWSGSGPLCGGAGGAAVCYPCGFSPPMMALAPTSNSSASNSHSSGKRRPSDLAIALVSAGIGSGVLAAIAAVVVVYCLRRRRSGSSQDSGRIHAEPNGPAPRVERRLSALLSKGPNTTVEQFPLVALRAATGGFSPPHRIGSGGFGTVYRASLPDGREVAIKRAERRDPSGASSSTAARRASNHEAAFVSELALLSRVNHKNLVRLLGFCADGGERILVYEFMPNGTLHDHLHKRPAPLSPPLASWPARLRLALGAARGIEYMHTYAVPPIIHRDIKSPNILLDAAWTAKVSDFGLSLLNDLSSSSDGDGNAGDVVADDEPCVTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKVIQRFEGSGTPKNVVDVTVPHIEADRVHRVLDARLPLPTPGEMEAVAYVGYLAADCVRPAGRDRPTMSEVVGVLERAVAACEENDDGGGGEAVLSRSCTDGSTTT >PAN40023 pep chromosome:PHallii_v3.1:7:43869728:43871605:1 gene:PAHAL_7G285400 transcript:PAN40023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEAIKEHGGHEVAVTVAPALPVQGCRLALSNLDLLLPPLDVSLFFCYPHPAPTVAALKEALAKTLVAYYPLAGEVVPNADGEPELLCNGRGVDFTVATAAGVELREVQLGAVDEGVEKLVPAKKAGGVVAVKVTKFGCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARTGSPAAPAPSFRRSLVAPRDPPLRAPSTDALIDRLFCPRSAAPPPPAVAASSVNRIYRVSAADIAALKASAGPGRTKLEAFTAHLWRLCSRAASPRQSQCCMGVVVDGRTRMSPDGAMRVYFGNVLTIPYGVTGSEDLRRMSLADVAGDVHRWVAEAATGEHFRGLVDWVEALRPKPAAARAYLGGTGGNEAMACIVSSGMSFPVGKADFGTGLPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVAPELAKVMEEEPTVFQALENSYVFQ >PAN39354 pep chromosome:PHallii_v3.1:7:40714320:40719922:1 gene:PAHAL_7G237000 transcript:PAN39354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTELENPTSRSLSQKSSRRSSSRRSQKSAGQHSSPSTQEKRSKTRSSRQKHLAIDDKDAKKGKNHDHKIDVADERSNFLGYEVYAGKLIFDKKNKSTSDNNQLPANGKADAVDARLTSKALIWGSSVLLLEDVVSVSYNSGAKHFTVHAYPTQKSLFGKTRRVQKDFCFIASTLDEAILWVTCFAEQSIYVNLLPHPGASSINQDSDNPLCESLFDQPPIKCKPPQRVLVILNPRSGHGRSSKVFHEKAEPIFKLAGFRMEVVKTTHAGHAKSLISTFDFSTCPNGVVCVGGDGIVNEVLNGLLLRSDRTEAVSIPVGIIPAGSDNSLVWTVLGVRDPISASLLIVKGGCTPLDVLAVEWIQSGQLHFGSTVSYYGFVSDVLELSEKYQKKFGPLRYFVAGVLKFLCLPSYFYELEYLPLSKDIAANGKGSDQEKTNLSNVYGDVKHSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRLSLGRSNIVSEPEEVLRPQPHHGSFWPRTRSKARTERNSVGVTCTNDTRLSWAAPSMHDKEDISSTISDPGPIWDSEPKWDTGPKWDSELTWEPDHPIELPGPPEDREFGTPMELVPNLDEKWVVRKGHFLGVLVCNHSCKTVQSLSSQVVAPKATHDDNSLDLLLVGGKGRWKLLRFFIFLQFGRHLSLPYVEYVKVKSVKLKPGPNTHNGCGIDGELCRVKGQVLCSLLPEQCRLIGRQCRQSI >PAN39353 pep chromosome:PHallii_v3.1:7:40713040:40719922:1 gene:PAHAL_7G237000 transcript:PAN39353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTELENPTSRSLSQKSSRRSSSRRSQKSAGQHSSPSTQEKRSKTRSSRQKHLAIDDKDAKKGKNHDHKIDVADERSNFLGYEVYAGKLIFDKKNKSTSDNNQLPANGKADAVDARLTSKALIWGSSVLLLEDVVSVSYNSGAKHFTVHAYPTQKSLFGKTRRVQKDFCFIASTLDEAILWVTCFAEQSIYVNLLPHPGASSINQDSDNPLCESLFDQPPIKCKPPQRVLVILNPRSGHGRSSKVFHEKAEPIFKLAGFRMEVVKTTHAGHAKSLISTFDFSTCPNGVVCVGGDGIVNEVLNGLLLRSDRTEAVSIPVGIIPAGSDNSLVWTVLGVRDPISASLLIVKGGCTPLDVLAVEWIQSGQLHFGSTVSYYGFVSDVLELSEKYQKKFGPLRYFVAGVLKFLCLPSYFYELEYLPLSKDIAANGKGSDQEKTNLSNVYGDVKHSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRLSLGRSNIVSEPEEVLRPQPHHGSFWPRTRSKARTERNSVGVTCTNDTRLSWAAPSMHDKEDISSTISDPGPIWDSEPKWDTGPKWDSELTWEPDHPIELPGPPEDREFGTPMELVPNLDEKWVVRKGHFLGVLVCNHSCKTVQSLSSQVVAPKATHDDNSLDLLLVGGKGRWKLLRFFIFLQFGRHLSLPYVEYVKVKSVKLKPGPNTHNGCGIDGELCRVKGQVLCSLLPEQCRLIGRQCRQSI >PAN39355 pep chromosome:PHallii_v3.1:7:40713091:40719922:1 gene:PAHAL_7G237000 transcript:PAN39355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTELENPTSRSLSQKSSRRSSSRRSQKSAGQHSSPSTQEKRSKTRSSRQKHLAIDDKDAKKGKNHDHKIDVADERSNFLGYEVYAGKLIFDKKNKSTSDNNQLPANGKADAVDARLTSKALIWGSSVLLLEDVVSVSYNSGAKHFTVHAYPTQKSLFGKTRRVQKDFCFIASTLDEAILWVTCFAEQSIYVNLLPHPGASSINQDSDNPLCESLFDQPPIKCKPPQRVLVILNPRSGHGRSSKVFHEKAEPIFKLAGFRMEVVKTTHAGHAKSLISTFDFSTCPNGVVCVGGDGIVNEVLNGLLLRSDRTEAVSIPVGIIPAGSDNSLVWTVLGVRDPISASLLIVKGGCTPLDVLAVEWIQSGQLHFGSTVSYYGFVSDVLELSEKYQKKFGPLRYFVAGVLKFLCLPSYFYELEYLPLSKDIAANGKGSDQEKTNLSNVYGDVKHSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRLSLGRSNIVSEPEEVLRPQPHHGSFWPRTRSKARTERNSVGVTCTNDTRLSWAAPSMHDKEDISSTISDPGPIWDSEPKWDTGPKWDSELTWEPDHPIELPGPPEDREFGTPMELVPNLDEKWVVRKGHFLGVLVCNHSCKTVQSLSSQVVAPKATHDDNSLDLLLVGGKGRWKLLRFFIFLQFGRHLSLPYVEYVKVKSVKLKPGPNTHNGCGIDGELCRVKGQVLCSLLPEQCRLIGRQCRQSI >PAN40902 pep chromosome:PHallii_v3.1:7:47920988:47923905:1 gene:PAHAL_7G350500 transcript:PAN40902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATPVPPGLCKQQLVLVHATKPPFPLLARRAVSVRAAPPRQRQHQQRPPPRSKRVDPPPRPARRPPRAPLDYDDDDDEEEEEEEEGRFAGGTRAASMPKPPAGFVLDDKGRCIAAASKRIVTIIDDANNRPLECIIRRVFRSSQDHDCMLLCPVDMPVQVLKSTNFSGWIAVDDHQLKQIIPSVAYALARVHMHFVESGFCYTARGGFCFPEEAIQEFHDSSDGGEGVPFEGVEICCFNLDGAHYMIYTPVDPLLFVAVKDKDGVLHIAEDDLMGDPAVVDAIDEETEFTALVEEEEALLETVLGER >PVH35496 pep chromosome:PHallii_v3.1:7:38255583:38256215:1 gene:PAHAL_7G199700 transcript:PVH35496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGPMFAGLCIVVAIVVVVVIYVFYVVVSVALWVSMVSDMLVVGYRSLPRGLRVSTLGGVTTLERNLSDLCGMCRGTMEAGEKVRTLSCNQVFHCGDSEKYQGNIDKWFLVAPRMVCPICDRIPHPVLPWRAPPPSSGLGGDATAAVVVGTGEGTAAGVVVRVGGDSTTAVVVGVEGATTAVIAVDIRDRALME >PAN39433 pep chromosome:PHallii_v3.1:7:41048177:41062963:1 gene:PAHAL_7G242400 transcript:PAN39433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIASQLQAIKSALGAAPEAARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLASVDERFARYSNTLFRETSLEVNREQLTPKENDKLNKSISTYLRLLAGYLHLPSALKTLEYLIRRYLVHVYNLDELLLSALPYHDTHAFVRIVQLVNLGNSKWAFLDGVKSSGAPPPRSVLVQQCIRDKAVLETLCNYVTPTREFSHSRTVVCFCTAVIVECLGAVPKLDTDIVQRVLGFVFDSLNPEITGDQDYKAGALMIVGVLATRASLAPKLVQNLIFFVARNAQHDAFESVDLPWLRVTVMAIISLVQSQSVHDFPKKALMILKDIRDFSGVLSVLSSEYNIERFVRLYVESLVDYSTSDDSCHTHLIETIETLPMENSVERIVSKVLGSCSKVSRTTENPDIKGIWAKKILSTIERKYPLELHDAIRKFLEKSEINSTGGDSLSEVFGLVFDESKKIPTEIADSNIWFSLDHPKAMVRQSALSKIATSGIFNNSALNPQRLINMQDAILRSMYDDDLSVVQAALSIEGLAAAVACPDSLLKAYDDVLTKCIKIINKGGSKASKASDVAVSCLEKMVIEYQSHHVEHAKDIAAVVFRLLIIHPKTLRVNLKALELAKKIQWEFYTSSSLVCDEVTVQKMKENMSADSIASINMKNIKAFAETFLANPNKHVEWLANSGNGTRFSRTLFLLVVLETLVVPSEALDKQVSLCKACLPALKDEWHHIQHEDNGVCDEISIDKLEKCSLELVKHIFNSDTEALNARILSCIFWGLLKVQSSYIKKNFMIGSDENAMLDDLFLFFVTSPGKNVFQKHLEYLIINCTGAPFQFISKYFLDEDSSDRVQVESLHMLASICSKCASSESSSLDESIWMQLLLGFPSVILPLAHENRDIRSSAIKCVEGFSLVWQRLSTSVPRNGNTIKLPQCMSSPTFGVFLESLVNQKTMISSDAKFFPAYISSMLSPRQDMIIPENLHERFDQPTKDALLHFILHSALKLTPYGKFMVLSILKGVGGILFKAEDVKSLFFDLLDCRDQYQNQRDSKQSLSTHEIQILCLLLEVLFSLPDCANIGFNMSEPLVRALKVDALSPDDPVVVMPCLTVLRTLQPVFFDNLETDTKEKVFGCLISLFRTENIEIRNATRDALLRINVDASAAVKFIELIVALGDARGRSKRTKRKDDLSRDAFSSFEELFGESPIASILVSLLDILFLKKDVNQRMCLLQPLFQILSKLLSDQWISEIVCQYTNQHDTSSETPDISNSMKEAQHLILLVLKDIADTLHSHSHHQDAMFRSSDVDLLINYIRSVKDVATRNHGFSLIASLGKACPQLLSDSIVDLFLVIGDAIKQDDSHSQCVLEDLLSVLVPCWLSRNTSIEKLLQIFIKALADIPGHRRLTLLVYLLRTLGNESHLSTVIMHLLQSLVKRISLSLSEHQGRRWSALSQEWEYGLAVNVTDQYSYKLWFPCFSMLLKEIRVHGRQGHLMLHLAMKLVLSKLQDTELIFELESDEAANFIQGSLGALMEEVVLCTVCTKDKKREFSGDIIKELRESANMILKTITGWMSASTYFRGITQLLDYSDNLVKRKTLGILSETARGNSLVQNKQRKARKLKHSSVITVIKVDKSSGPYFSNLCLKILELIDRVVDLDTSVKIAAISSLETLAKEYPSDYPVYSKCLSTIVNHIGSADAAASSGLIHTVGSLINVLGSKALSELPLVVKNIMLIAHQISCCPSGSYAHGSTRTATRLSNQDTAILLSSLSTIEVIVERLGEFVNPYLKEILDLVVLHPECCAHMDAKLDAKAADVRKLLTEKVPVRLILPPLLDLYSVATNCGETSLSLAFQMLASLVSTMDRLAVGTYHVKIYEHCLAALDIRRQHPDSLKNINMVEQSIIHAIITLTLKLTEGTFRPLFLRTLEWADSEVDQSSSKKSIDRAIVFYKLINKLAEQHRSLFTPYFKYLLEGSVQYLLEDDPLVGSKQKKKKKAKLDVQVEQKDNLFGLKLWNLRALILKSLHKCFLYDNEQKILDSSNFQVLLKPIVSQFVVEPPESIESLVDAPSVEEVDEALVLCLGQMAVTARSDVLWKPLNHEVLMQTRSDKVRPKLLGLKVVRYMVQHLKEEYVGLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGENLRQYL >PVH35253 pep chromosome:PHallii_v3.1:7:33851646:33851900:1 gene:PAHAL_7G135600 transcript:PVH35253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGAVALSSSPLTLTSRRRGIAAAYAMNGFPRISPIFQVRSLCTGSRSSRRVRLLVSLVSLRARSRCTHLDKSVLKINEVSLN >PAN40798 pep chromosome:PHallii_v3.1:7:47383913:47386815:1 gene:PAHAL_7G341500 transcript:PAN40798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARNAGVLALFDVDGTLTLPRKVVTPEMLEFMKQLRQHVTVGVVGGSDLVKITEQLGRTVITDYDYVFSENGLVAHKNGELIGTQSLKSFLGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESERTVGHTVTSPDDTAQQCRSLFMSK >PAN37701 pep chromosome:PHallii_v3.1:7:31607418:31609466:-1 gene:PAHAL_7G115400 transcript:PAN37701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRGKNKAELQAQLKELKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >PVH35206 pep chromosome:PHallii_v3.1:7:32829994:32832267:-1 gene:PAHAL_7G127000 transcript:PVH35206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHLSSDNDVQQEVSDEDDFIAEEVEGDSANQLTEKQILLQPTKGMLFDSKDDAINFYKNYAKKTRFGVTKRGCKKNEDGKVRYFTLTCNRQGKAQMQCPAKVNFYLQGEEFCISSVTLDHNHAVSPNKARFLRCHKKLDVHAKRRLELNDQAEIRLNKSFGSLVMQAGGYENLEFGKKECRNYLQYLQDVRKLNLGAGDAYAINQYFLRMQSKNPNFFYVMDVGPDCRLRNILWVDTRSRATYESFSDVVTFDTTYLTNKYHMPFAPFVGVNHHGESVMLGCGLLSNKDTDTFVWLFKAWLSCMLNKAPNAIITDQCKAMHRWCLWHIMKKVPEKLGGYTKYDDIRITLSNVVYDSLSKDDFDKGWINMIDGFGLHDNEWLGGLYNDRHLWAPAFFDGYVNSTTTLKQFVEQYDNALRDKVEKENRSDCKSFQEVIPCITHYEFEKQFQATYTNAKFKEFQDELRGKIYCYPNCLEKEGSNCTFKVREDRKVGEKMIVSEFIVLFNKEEGDMRHILSTLPLVGTIEVPPKYILQRWRKDFKRKHTFIKCSYDDQLDTPVMKRYVNLCKHFSEVSENGSGSDTLYNLVIHGLNELKIKISAYQDSQEIQEQQQQPKSKNEEVISKQREVVLNPIAVKRRGHPPVLRKQSKFDIKRTRMKKQQKTGTGGQIVPNIL >PAN37969 pep chromosome:PHallii_v3.1:7:34034110:34035900:1 gene:PAHAL_7G137500 transcript:PAN37969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASMIRAPVGQNPRLAPGRGGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAALGAHSVNGHVAPPAPAPPQNGAVLDLVPVSSINGGAKNLPQPLRIADLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPECDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKECLTRVISHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPVVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTISSSDSS >PAN37611 pep chromosome:PHallii_v3.1:7:29346325:29348418:1 gene:PAHAL_7G101700 transcript:PAN37611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRLGSPSLRHAVDEDPRREAPLRHPHRASPTTSHPPSPPVGLPGSPPCRRRRSVPGGAAGTHHAGIRVLLLNLSAHAASCCGRLDFYFVLFSRGLYFSI >PAN38648 pep chromosome:PHallii_v3.1:7:37505926:37512885:1 gene:PAHAL_7G186900 transcript:PAN38648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREEGRTFKANFTGEGVKMLQDRVKEKLRELMGDYSDDTLAEYVVVLLRNGRRKDEAAKELQVFLGDDNDAFVSWLWDHLSSNLHLYVQPKGATSSDEPKSTRSAGKGLPVHSLTSSVQINCEPKAETPKTTRTHQRRDWGRIIREQSEAVPLRSVVANVSHAEENDFHESHADERDFHKSHAGRRTRSPDMHNHRKRSRDADARSTKRASHPVIGAPRRLLQFAVRDAVRTVQPVTPRSESASKRLRSVVSTLASDSTLDITHIRLQRTNSDVRIPGATAALRAAAEAAEDAIKGSFAGSVFNRLGRMPTINHTETLRDQDPEGEEYENIDNIRAENQVEVYERNQYGGSDAYMHDQESEEATGSAPNIDEYDRYNGLGSRRSTFPSSGGKESLVLGYVRGAAEVRSRRLIAQGTHARSGPRPSEKNLNISPNTSMRKLPSHETRDAVVFDPQVPMEKKVADARKSNVKIAHVNDTAMTDKSKDFVHSGSMLEAQKASSPAVGSNTTGQPEGGPDSRTVFVNNVHFGASKDALTRHFNKFGAVLKTLMVTDGITGQPTGSAYIEFLHKESAEQALTLNGTSFMSRILKVVRKSSTEATQLPGLPRASRGSPFASRLIRTAYPRPTFPGATRGRLPLRGGARSLQWKRGASDSVDAGKHSQTTPAAPGNQLVTPTTRSFTYTRTEPKPNDGAMA >PVH35737 pep chromosome:PHallii_v3.1:7:42191862:42195387:1 gene:PAHAL_7G259000 transcript:PVH35737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGLNLVSAALGFGMTAAFVAFVCARFLCCRARGDDSGPPPSLDFSADLDGPVEHTRSGLEPLVIAAIPTMKYNCEAFCSKDDAQCSICLGEYKEKDILRIIPTCRHNFHLACLDLWLQKQTTCPICRVSLKELQAAMSSACSIQQLPTVPENSVNPTPQCLLPVRQDQRVQRNSQERNESVEVVIEIRQ >PAN40139 pep chromosome:PHallii_v3.1:7:44425620:44427442:1 gene:PAHAL_7G294500 transcript:PAN40139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRLLVALCVVALLAVRSESHGLEDFTGGSAEATPAMQTFFKPEAAKLPEALDASMPAATTMAAKPEASAIPTTTTAGAAATTSGTATSAASAPPRRSVSVAAGVACGVAAVAVVGIAAAVAYVVRGRRGTEVQLGSSP >PVH35172 pep chromosome:PHallii_v3.1:7:31757362:31758597:-1 gene:PAHAL_7G116600 transcript:PVH35172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSATLLRPRGTLQLATSPRRPKPGLPAAAPPAATRPSTATATVAAMWRQVQGSGDWAGLLQPLHPVLRDEVARYGGLVGACYDALDMDRSSAGYMRCKHRRERILEEAGGGTAGAAPGYEVTRYIYAAPDVAVPVAVAQPAGAWVGYVAVSTDEMTRRLGRRDVLVSFRGTVTPAEWTANLMSLLRPACLDARDPRPDVNVESGFLNLYTSAVAAPGGGTGSCREQLLREVSRLIASCPGGEDVSVTLAGHSMGGALALLLGYDLTELGLNRRAARGSPSPSSPSAGPASATPPSRRAATRSASRCCASPTSATRSPTSRAPSSTSAPGGCSAAGPAGVCYVHVGAELALDFASLHDLGSVHDLGAYVSCLERDGARPRRARRRPGRRAAAAAPLQPCGLVIGYSSRL >PVH35832 pep chromosome:PHallii_v3.1:7:44038454:44042114:-1 gene:PAHAL_7G287600 transcript:PVH35832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQRFIGIIAPSPDEYPFLGLNLLRLLAENRIAEFHTELELLPLEALNHPCIKYAVELEQSFMEGAYNRLINAHQAVPHETYVYLMGLLAEAVRDEVAECSVEAYDYLPISVAKKMLMFTSDQELLEYISEEQPEWEIKDCSVHFDMAKPKSHMDLPSFKVIKHALSYARELEHIM >PVH34979 pep chromosome:PHallii_v3.1:7:23758429:23759234:1 gene:PAHAL_7G080000 transcript:PVH34979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKNGRTPLANEIYERMVAEKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIRAEFEATLQAEREEAARKREELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLRLQKD >PVH35155 pep chromosome:PHallii_v3.1:7:31116966:31118325:1 gene:PAHAL_7G112700 transcript:PVH35155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIQKGFFRPFLPKFPFIPGTDVAGEIVEVGSAVREFKPGDTVVSKLNFWKAGGLAEYVAASEGNTVALPTGVSAADAAGFPMAGLTALQGVKTIGTKFDGRDTGANILITAASSGVGTYAVQLAKLGNHHVTATCGARNLELVSSLGADEVLDYMTPEGAALMNSLGKKYDYVINIAKASRWSVLKTTLSGQGRVVDVAPNLGNIVASFTTLFARRKLSLLNQSLGKEDLRFLLELMEEGKLRTVVDSRHPFEKAAEAWEKVFSCHATGKVIVDM >PAN38015 pep chromosome:PHallii_v3.1:7:34218145:34222549:-1 gene:PAHAL_7G139900 transcript:PAN38015 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MAPPLSALVSRTLTLNPHLSRPRSRGGVAPLIPAPAAASAFRLRATAITDSSPPLPPPPPYPLAEVFPYIAAEWETIAKGWACAAAAVYCLSRAVPAAGRLPRVLAAAGGGVGAGAVVDVAARGGLALAAFASARAAAAYAQQALLWEAALRAAGRLRERAFELLLERDLAFFEGREGVAAGDVAHRIADEADDVADAVFSVLNTIVPTSLQLITMGIQMVTIDPMLSFLAATVIPCMCVVIASLGKRLRQMSKEAHLSLAMLTAYLNDVVPSMLTVKANNGELKEMLRFQKLARDDLKNNLDKKKMKTLIPQAVRATYIGGLLLLCAGSIVVSGTSFHAEGFLSFLTALALVVEPIQDLGKAYNEYKQGEPALERIFDLMRFNPEVNDKPSATHLQRVNGDIKFHDVTFRYVDGMPPVVDGVNLHIRPGESIAFVGPSGGGKTTLAKLLLRLYHPQSGYMLLDNHDVQDIQLQCLRTHIAFVSQDAMLFSGTIAENIAYRDPLGDINMARVEYAAKIANADEFIKMLPEGYSSNVGQRGSSLSGGQKQRLSIARAVYQNSSILIMDEATSALDIRSELLLKEALSNLMTNHTVTVLIIAHRQEMVLMADRIVSLEGGKLREITKSAFLSQNGHSGVLKITSPN >PAN38010 pep chromosome:PHallii_v3.1:7:34217127:34222729:-1 gene:PAHAL_7G139900 transcript:PAN38010 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MAPPLSALVSRTLTLNPHLSRPRSRGGVAPLIPAPAAASAFRLRATAITDSSPPLPPPPPYPLAEVFPYIAAEWETIAKGWACAAAAVYCLSRAVPAAGRLPRVLAAAGGGVGAGAVVDVAARGGLALAAFASARAAAAYAQQALLWEAALRAAGRLRERAFELLLERDLAFFEGREGVAAGDVAHRIADEADDVADAVFSVLNTIVPTSLQLITMGIQMVTIDPMLSFLAATVIPCMCVVIASLGKRLRQMSKEAHLSLAMLTAYLNDVVPSMLTVKANNGELKEMLRFQKLARDDLKNNLDKKKMKTLIPQAVRATYIGGLLLLCAGSIVVSGTSFHAEGFLSFLTALALVVEPIQDLGKAYNEYKQGEPALERIFDLMRFNPEVNDKPSATHLQRVNGDIKFHDVTFRYVDGMPPVVDGVNLHIRPGESIAFVGPSGGGKTTLAKLLLRLYHPQSGYMLLDNHDVQDIQLQCLRTHIAFVSQDARPSGRY >PAN38694 pep chromosome:PHallii_v3.1:7:37676312:37677312:-1 gene:PAHAL_7G190200 transcript:PAN38694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESKMGAPVTDVEKQQPLLAVAAAGEKEPSSGDACGCGAFPRASPTATRTLALVVLVAGAAFAAQLAAREEYVLLAVFASQLASFCVFTSLLALCALPEGGGVPGRRARWAARAGAQVLQWSLAMAVPTSMACWVLQSAPALVGAALVGLALAVVLACYAELVRALWPPVQGPR >PAN39376 pep chromosome:PHallii_v3.1:7:40772998:40773944:1 gene:PAHAL_7G238200 transcript:PAN39376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPPRRRVHPPRPASPAPPPLLLMRRRALALHGAHGTVHLRVPHRLLQPLDHLPGVLGGAAVGERGHLPGASRRAPPLEPRVNDLAEPPASGGVPPHPVQELLLVPGPVALDGAPRCEDLVQHQRRISRRRSWWSGAPAVWT >PVH35572 pep chromosome:PHallii_v3.1:7:39299405:39300857:-1 gene:PAHAL_7G215500 transcript:PVH35572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARFEAHNSISLSARKQRRALHPVFQVSGYLPWRHCSIAPLDLLSAPASARARTPWRHRSAAPRGPRPQTPLPAAAGAPIGHRPRLRPFSPRLGVGWSAMANGVFCDVWPAGCGEEEAY >PVH35287 pep chromosome:PHallii_v3.1:7:34364546:34365890:-1 gene:PAHAL_7G141900 transcript:PVH35287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGESAGVVARAGTGGGCWGRPLGRGRAAGKATRARTVGGGRGSRRSPPRQERSDSGAAVVGEAARAGTGGGEGRPFGDERRVVGDGARLPLAGIGRIPGGVRRWSGTKWSWRGSAGGDGGGGGGNGVGATGEMGEENWCVRVDGRLE >PVH35723 pep chromosome:PHallii_v3.1:7:41944985:41947173:-1 gene:PAHAL_7G256400 transcript:PVH35723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMATAKGTRDGPSAPEWLVTVPEGASVAVEHEAARGARAAWLASRALAFRDMVLGFGGRVWRIGADDPRRAVHGLKVGLALALVSVFYYTRPLYDGVGGAAMWTVLTVVVVFEYTVGGCVYKGLNRAIATASAAVVALGVHWIASKSGDKFEPFIRSGSVFLLAAMATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVGALAAMAQQRVGTISIGVSICFATCALICPVWAGQELHRATARNMERLAGAVEACIEDYFAAAAEASGVKQPSSKVEGYRCVLNSKASEDSQANLARWEPPHGRFGFRHPYGQYRNVGAAMRHCAYCVEALVGCVRSAETQAAAPGHARRHLADACTRVAGLCARVLREASGSVSAMTTSTILDLAVADMNAAVQKLQADMRALPSTLLLAEAGSAEPAASTAPLIMDAAQLFTVTSLLIEVSARIEGVVDAVNTLASLASFKSADEEKACTVRD >PAN39521 pep chromosome:PHallii_v3.1:7:41422286:41424416:-1 gene:PAHAL_7G249000 transcript:PAN39521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPVAVAVVPFPAQGHLNQLLHLALHLASRGLPVHFAAPAEHVRQAKARLQGWGAGALRGIEFHELAISEYESPPPDPAASMPYPSHLLPLFEAFVADAPAAVADLLRGISASHRRVVVLYDIITAFAAEEAARLHNGEGFAFHCTAASILARGLDEGLQLRMSDAHGFADIPPSGFVTDKHLEFVGKARSHQTIPSSAGIIMNTSRALEGEFIDFVTELLGAAGKKVFSIGPLNPVMDANAPEPVAERHGCLDWLDKQPAASVLYVSFGSVSSLRGEQIEELAAALRDSKQRFIWVLRDADRGNVFAGSGESRQTKFLSEFTKQTQGTGLLITDWAPQLEILAHPATAAFLSHCGWNSTMESMSYGKPILAWPMHSDQAWDAELVCKYLKTGFLVRPCEKHAEVIPAAAIREVIEKMMVSDEGLEVRQRAVALGEAVRASAAAGGSSQKDLEDFIAHITR >PAN40451 pep chromosome:PHallii_v3.1:7:45741698:45746636:-1 gene:PAHAL_7G316600 transcript:PAN40451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRHHRGLPLPTLLLLLLLLLATTASAAGVAAPGAASHSQPTLLPPPVQAAAAPPAAPASKPPAPSRSQPTLPSPVHAASPPSAALASRSPAPAALLAAFLAKADPSSHLRVPPAPSPCSRPGITCTASGQIIRLVLESVGLNGTFAPDTLSRLAELRVLSLKSNALHGPVPDLSPLANLKALYLAGNRFSGPFPASLATLRRLRSIDLSGNRLSGELPPGIEVAFPHLTFLRLDANHFNGSLPAWNQSSLKVLNVSYNNFSGPVPVTPVMTQAGAAAFAGNPELCGEVVRRECRGSHLLFFHGGGSNGTAAPPVQSAAASDSDPQRESLSMPDSSAPHAKKVRRRTMLAVAVAVGTVLAALLLCAMIAMKRSNKRRRPSSASYASPNPKKNAPASEVSRDNADIGYVECVPDEETAAIMVPEEKARRLERSGCLTFCAGEAASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAALEAEAFEQNMDAVGRLRHPNLVPLRAFFQAKEERLLVYDYQPNGSLYSLVHGSRSSRAKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDAAYRAPENMKSNRMLTPKSDIYAFGVLLLELLSGKPPLQHSILVASNLQTYVQSAREDEGVDSDRISMIVDIAAACVRSSPESRPAAWQVLKMIQEVKEADTTGDNDNDSDLTSNS >PAN36982 pep chromosome:PHallii_v3.1:7:4667527:4671879:1 gene:PAHAL_7G023000 transcript:PAN36982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLPLPSPSPMRRRHLLLPLPLLLLHLLLAASSPSAAAAGSSEVAFLTSWLNTTAARPPDWSPAAASPCNWSHISCDGAGAGGGVTSVSFQSEHLAVTVPAGLCAALPGLVSFVVSDANLTGGVPDNLWRCRRLAVLDISGNALTGPIPPSLGNATAMETLALNSNQLSGPIPPELAGLAPTLKNLHLFDNRLSGELPPSLGELRLLESLRAGGNHDLAGPIPDSFSKLSNLVVLGLADTKISGPLPASLGQLQSLETLSIYTTALSGAIPPELGNCSNLTNIYLYENSLSGPLPPSLGALPQLQKLLLWQNALTGPIPESFGNLTSLVSLDLSINSISGTIPASLGRLPELQDLMLSDNNITGTIPPSLANATSLVQLQVDTNEISGLIPPELGRLSGLQVFFAWQNQLEGAIPATLASLANLQALDLSHNHLTGIIPPGLFLLRNLTKLLLLSNDLSGPLPPEVGKAASLVRLRLGGNRIAGSIPVSVSGMKSINFLDLGSNRLAGPVPAELGNCSQLQMLDLSNNSLSGQLPESLAAVHGLQELDVSHNRLTGAVPGAFGNLETLSRLVLCGNSLSGPIPPVLGQCRNLELLDLSDNDLTGNIPDELCGIDGLDIALNLSRNGLTGPIPAKISALSKLSVLDLSYNALDGSLAPLAGLDNLVTLNVSNNNFSGYLPDTKLFRQLSASSLAGNAGLCTKGGDVCFVSIDANGHPVMNTAEEAQRVHRLKLAIALLVTATVAMVLGMIGILRARRMSFSGGKSGGGGGGSDSESGGELSWPWQFTPFQKLSFSVEQVVRNLVDANIIGKGCSGVVYRVSLDTGEVIAVKKLWPASTHAAACKDDGTSGRVRDSFSAEVRTLGTIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRGGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRRSRDRAGVLDPALQGRSTAEVEEMLQVMGVALLCVSPTPDDRPTMKDVAAMLKEIRLEREDVANVDVLLKGGSSPPSHHAAAAATAAKLASSSTSSTPPYRQGPSNSNSCSSSSFSAIYSSSNKDKSPFG >PAN36980 pep chromosome:PHallii_v3.1:7:4672116:4679612:-1 gene:PAHAL_7G023100 transcript:PAN36980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWELTEVPGNLTTSIQDSTVDVVAAKIESKLANTLIRQLSHICPLENLRHVKRVRRRTECGKSELSIILCLSTGYETCSKQIPDDVQKIVDTYHLNPFAAKVSKRPATSKEEWEEQCKLWPTSYHPAHDLDIVRGFREEELPSIFNCMKTAIQLSKVGNTAVIVDPSSTQIIAKATDQTHQHDTSEEGNKFSEVRADDTCSLSEPTDNDGNLLLPRFFLSKPNSLNMEVSCINPWGWTKQRTMEQKPLPSKGCFAWHPLRHAAMVAIENAAERDRMMFPSSTSITKPDSNGNLENYNEPAKRLKTDTKDKEQSADESCCSDLSETSRPYLCTGFDIYLVWEPCTMCAMALVHHRFKRVFYALPNPVNGALGGVYRLHGEKSLNHHYNVFRVSVPEAYLNGLNDGSKQC >PAN39084 pep chromosome:PHallii_v3.1:7:39584827:39589378:1 gene:PAHAL_7G220100 transcript:PAN39084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVVDLRADTVTKPTEAMRAAMAAADVGDDVRDGDPTVRRFEAEMAALMGKEAALFVPSGTMANLVSVLTHCDVRGSEVILGDDSHIHLYENGGIATIGGVHPRPVRSNPDGTMDIDRIVAAIRSPDGVIYYPTTRLICLENTHVNSGGRCLSVEYTDKVGEIARSRGLKLHLDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSKAFIDKAKFLRKTLGGGMRQVGVLCAAAHVAVRDTVGKLADDHRRAKSLAEGLNKIEQFTVDSASVETNMVFFDIVDSRISSYKLCQILEKRNVLANPRSLKSVRVVLHYHISDSDVQYALTCFEKAVEELLMGDTESEHLADGATKNSYGY >PVH35326 pep chromosome:PHallii_v3.1:7:35331504:35331978:1 gene:PAHAL_7G152900 transcript:PVH35326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKCWRPDAAADQTHAVAAPPTGHSGQQNMPLEDPWTCGQHRRWWCGAAAA >PAN38894 pep chromosome:PHallii_v3.1:7:38581325:38585479:-1 gene:PAHAL_7G205300 transcript:PAN38894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSANHWGGSFEIADGAAEDDHSRNMDLDRGALSARQHHELDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKVLWWAFWCVVGAFVLIGLPIIIAKAIPHKKPGPPPPDQYTEALHKALRFFNAQKSGRLPKNNGVPWRGNSGLSDGSDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKVYAQVGAAKINGSEPDDHYCWNRPEDMSYPRPTIAVSSAPDLGGEIAAALAAASIVFRDNAAYSKKLTQGAATVYKFARQAGHRTPYSLRQPDIQYYYNSTSYWDEYMWSAAWMYYATGNTSYISFATDTRLPRNAKAFLNILDFSVFSWDNKLPGAQLLLSRLRMFLNPGYPYEESLIGYHNATSLNMCMYFPRFAAFNFTKGGMALFNHGKGQPLQYVVANSFLASLYADYMEAVNVPGWYCGPNFMSANDLRDFAKSQLNYILGDNPRKMSYVVGFGKKYPRHLHHRGASTPHNGVKYSCTGGYKWRDTKKADPNVLTGAMVGGPDRNDRFKDSRNSYGQNEPTLVGNAGLVAALVAITNSGRGVGVTAVDKNTMFSAVPPMFPAAPPPPSSWKP >PAN38964 pep chromosome:PHallii_v3.1:7:38897915:38902140:1 gene:PAHAL_7G209700 transcript:PAN38964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMASLPRAARLLRSAVGRLRSPPPPPARPFSSAAGTGTGTGAGTGREAAIVAAAVALAGSGLGLWLKPPSLADSGEAVGGQILVAGATEAREEKGRFLFADSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNPEGEVYMLPADLMRAVVPVFPPSESTAVREGRLRGERSPGELHCAPSEFFMMFDTNSDGLISFAEYIFFVTLLSIPESNFSAAFKMFDVDHSGVIDREEFKKIMALMRSFNRQGATHKDGLRIGLKVGQPVENGGVVEFFFGNDGNGPLHYDKFTKFLKDLHDEIILLEFSHYDVKSSKTIPAKDFAFSMVASADMNHISMLLDRVDDLVNKPDLKDIRISFEEFKAFAYLRRRLEPLSMAIFAYGKVNGLLTKQDLKRAAQHVCGVDLTDRVLDIIFHVFDTNQDGNLSSEEFLRALQRRETDIRQPTIPGPLGFLSCWFNGRKCSSLRQMVF >PAN37011 pep chromosome:PHallii_v3.1:7:3474925:3477998:-1 gene:PAHAL_7G017300 transcript:PAN37011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAGGEGETVRASHILIKHEGSRRKASWKDPDGRVISATTRADAAARLLDLRNQIIAGQANFADLAARHSDCSSARRGGDLGSFGRRQMQKPFEDATFALKVGELSDLVDTDSGVHIILRTA >PAN37720 pep chromosome:PHallii_v3.1:7:31760647:31764103:-1 gene:PAHAL_7G116700 transcript:PAN37720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFRLAGDMTHLISVLVLLLKIHTIKSCAGVSLKTQELYALVFSTRYLDIFTDFISLYNTVMKLIFLGSSFSIVWYMRHHKLVQRSYDKDHDTFRHQFLVLPCLILALLIHEQFTFKEVMWTFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRSLYILNWIYRYFTEPHYVHWITWISGFVQTLLYADFFYYYINSWKNNVKLTLPA >PAN40022 pep chromosome:PHallii_v3.1:7:43862448:43865771:1 gene:PAHAL_7G285300 transcript:PAN40022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEGTTGGGAVTITAVTTVAPALPVQEHRLPLSNLDLILPPIDVGVFFCYAAPGGVAAAVLKAALAKTLVAYYPLAGEVVANAAGEPELLCSGRGVDVAEASADGGAVMRDLRLGRPDESVEQLVPKKKAGVMSVQVTKFRCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARTGSPAPPAPSFRRSFLAPSNPAPPCAGTLADRLFVPISRAPAPPDAAAANRIYRVAAADVAALQAAAGPGRTKLEAFTAHLWRLHALAASRRGIGSCCMGVVVDGRARLRGDGAMRGYFGNVLTIPYGVLSAGALRGAALADVARDVHRWVAEAATGDHFRELVDWVEARRPEATVARAYLGRGEGGEGATACVVSSGTRLPLGEVDFGWGRPAFASYHFPWQGGAGYVMPMPSARGDGDWVVYVHAAPEVVEAMEEEPTVFRALDSGYMFG >PAN38295 pep chromosome:PHallii_v3.1:7:36028614:36031508:1 gene:PAHAL_7G162000 transcript:PAN38295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFAHPARHPSLGPLPPRCAPSRARARARLPNRARTRTRARARPPSAGAAETETASTSGGGGSVLSFLCPLLKLLGGGDPSQERNDVVEVTTSSISSLARLPWGSKVATTSGENTGSATSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSRRHREMVKKIGGKEQFPLLVDASTGVTMYESGDIVNYLFRQYGQGRSPSSGLLESTIFTGWVPTLLRAGRGMTLWNKAGVVPSEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKMDALLRISGSKQVPYLIDLNTGFQSGDYKKILSYLFQQYSIGS >PAN36983 pep chromosome:PHallii_v3.1:7:4647724:4657222:1 gene:PAHAL_7G022900 transcript:PAN36983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGAAARMDPEAATELVRKGSTLLLLDVPQRTLFGIDTQVFSVGPKFKGMKMVPPGPHFVYCCSSSRSGNEFAPTVGFFLTTQPSEVIVRKWDAQEERLIKLSEEEEIRYSEAVRRFEFDDQLGPYNLDSYGDWKQLSSYLSQSAIERLEPIGGQITIALESSWMDKAPQSDMERRLMEQLRETKFAKNAPVQAERRGCYYTSIPASVKHKDVSGGDLTALNLDKTSLLESVLAKNYQGQEDLLLGELQFAFIAFMMGQSLEAFMQWKALVSLLLSCSEAPLHTRTNLFVKFLRTFYYQLKHGFQRTQDSRSEDVGSSLFLDEAWFSRDIFLYRLSKDFFTVVFEAPVVDGDLLSWARKLKSLLETTFGWDLEDNAVNLIDEDDEFAPVVVEMDGS >PAN38132 pep chromosome:PHallii_v3.1:7:35066096:35070902:1 gene:PAHAL_7G149500 transcript:PAN38132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEHIEAPSYIHIESNDFSYRRHKRQKEEDIVVCECQYDILDPESPCGDRCLNFLTNTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLVADENIMAGQFVIEYCGEVISWKEAKRRSQAYETQGLKDAYIIYLNTDESIDATRKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDISYGTELSYDYNFEWFGGVMVRCLCGAASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSINKEILLGNGGSIAQYGNSDTVQNTENPGTANTNEFAPMIVEQFAASSNELATMTVEPLAASSNEFTPMTIEPLNAIPMVARFAENGSTEHNAQDSHVTPQNSVPEAANHQNQTESQNKALVPVKPIPKRRGRKPKRVVRKQLDIPDICDQLVSSVACEEILYCEEVKNQAASEIDALYDEIRPAIEEHERDSQDSVSTSLAEKWIEASCCKYKADFDLYAAIIKNIASTPLRSKDDVAPKEQNGLKYLENGS >PVH34924 pep chromosome:PHallii_v3.1:7:20086140:20088018:1 gene:PAHAL_7G066100 transcript:PVH34924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVGEVVLELLLLDGSNYESLSPCVLTIFRTMGPQIERIIDVSISPPNVDWSNLTKEEEKCLQLNAQATNVLIHTLSKDVLDSIMDDDYDDDDILEDAHLIWTTLKERYGNSKCDDVEELTLEKSFEEFSTSSIINEKPQVISSNGQDDVSTSTSSPRHESFQGNAMVSRINDHVCHTSTTSCVCRTKILKEEEVCDCYHPKKKNPTRVNDFLLESHERQEELLIEKIKELKTLTKEHEKHKDSHASLVKKASCELKAQLEDLTSKHVALQEKYDDLSCSHEKLLDSHTMLDITHEVMLTSVKSYLPHIHKCTCPEIHIDLSCANPCFSQANIFRSTISDLDSVDENEENKGHGIEVNSNKNTSNKRKCKKQIQNRIKTPLTCFNCKKEGHHVRDCSLKKEDKDMSKSKGKNKWMAHIKCFKCSNMGHCASICSNKQHICYTCRRNKNCLMGKIAKPKSSIDHFLLRKAKNGTCVSKVTRSSYGSTKAIWVPKYLMTNLQGHNMVWVPSSA >PAN37350 pep chromosome:PHallii_v3.1:7:24740025:24743035:-1 gene:PAHAL_7G084000 transcript:PAN37350 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Positive regulator in defense response, Negative regulator in GA signalin [Source: Projected from Oryza sativa (Os04g0287400)] MMTLDLMGRYGRLDEKVAIQEAAAAGLRGMEHLISQLSRAGTGERSSSPPGAAPAQNQQHPEQSHLQQRLQEVDCREITDMTVSKFKKVISILNRTGHARFRRGPVVAQSPGPAAACSEPAALPAWSAPAPRPVTLDFTKSVSGYSRDSGFSVSGASSSFLSSVTTGDGSVSNGRGGGGSSSLMLPPAVGAASCGKPPLSSSGAGQKRRCHEHAHSENVAGGKYGAAGGRCHCSKRRKHRVKRTIRVPAISPKVADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGDPSMLIVTYEGEHRHSPAEQDPPAAPPPLAPLPELPNH >PAN37951 pep chromosome:PHallii_v3.1:7:33824103:33826809:1 gene:PAHAL_7G135200 transcript:PAN37951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPLHFRSPALASPPLSPRRCPPAVAAAASVRSAPAPTAASPFSRLRTRCRFAASDVREEYSSTPIDIVADVKTEKIVVLGGSGFVGSAICKAAVSKGIEVVSLSRSGRPSYSDPWVDQVNWLAGDVFYARWYEVLVGATAVVSTLGGFGNEEQMKRINGEANVIAVNAAKENGVPKFILISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPASGVVLRPGFIYGKRKVNGFEIPLDAVGEPLEKLLSSVENFTKPLSSLPASDLVLAPPVSVDDVAYAAINAVVDDSFFGVFTIEQIKEAAANVRV >PAN40504 pep chromosome:PHallii_v3.1:7:46077631:46079220:-1 gene:PAHAL_7G320600 transcript:PAN40504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGGVLAVTLVGTITAGIVAIASVVAIYKCAKVAVKMYLQGDRGSLHRVASAVTREPGSTGAAAGESDDAEMGSMSYFIEDLQRERPVRFSSQQLRAFTRNYAHKVGSGGFGVVYKGRFPNGAPVAVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDAAVKALVYEYMENGSLDGYLFDPPPERKVAFDKLHEIAVGTAKALRYLHEECAQRIIHYDIKPENVLLGAGLAPKVSDFGLARLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGLHGRESQEWYPRWVWHRFEAGDVDAVLARAVATGDKKERETAERVCKVALWCVQYRPEDRPSMGSVVRMLEGEDQIATPLNPFAHLAAYNSGAALPSGDSTTTSGSYGSSGHALSAR >PAN40185 pep chromosome:PHallii_v3.1:7:44671631:44675313:-1 gene:PAHAL_7G298000 transcript:PAN40185 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MALHHLRLAPHALLRVAGLQPRASSRLAAHHHRLLFFAPPARPWRLLSPAARPRALTTAAAEADGAVDGFFAEESTSWGSLGVSDRLASALRGAGLARPSLVQAACIPHVLTANDVIVAAETGSGKTHGYLVPLIEKLCSKSSNTEDDNSQDTAPGAHDIVLVLCPNVMLCEQVVRMASSLLDESGEPLKSAAAVCGPKGWPAVHPDILVATPAALLNYLFDYDPEKRRRERFMHNVKFVVFDEADMLLCGSFENQVIRLIHMLRFHEKQLSRAQDAGKEVSPGSDDEYHHEGSDSEDSEFNGLDEENEGNLVQDRPGKVENSPAGARKDWRRVRKMYKRSKQYVFVAATLPQSGKRTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWIEVTADTQVDALLDAVKYGLKRKDHDAPKRTMVFTNTVDAANSVSDILQRVGIPCILYHRESSVEERANNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLFTEANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQALQRREASLA >PVH36001 pep chromosome:PHallii_v3.1:7:46818383:46820626:-1 gene:PAHAL_7G333000 transcript:PVH36001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANTVAKATAAPMSGSSDPSPSSSAAASPLALLRPHPHAHAHAGHLTPPSPASGGPAPPPPSPASAPRDYRKGNWTLHETLILITAKRLDDDRRAGAGGAAHGHGHGSPTTPRSAEQRWKWVENYCWNHGCLRSQNQCNDKWDNLLRDYKKVRDYESRTAAAATATAAATGGGGGTGALPSYWSMERHERKDRNLPTNLAPEVFDALTDVLSRRAARRGGAAIAAAPPPQLALPPPPPPPPPPPAPPSPPKPLVAQPRAPPPPPLPLPTAVAPPATSVSAEELTGSSESGEDEDGSEEEEGEEPEPKRRRLNRLSSSVVRSATVLARTLVACEEKRERRHREVLELEERRLRLEEQRTEVRRQGFAGLISAVNSLSTAINALVSDHRSGDSASR >PAN39493 pep chromosome:PHallii_v3.1:7:41310043:41310732:1 gene:PAHAL_7G247100 transcript:PAN39493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASIALFLAVNMVVFAMASACGGNCPTPTPSTPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPIDLSLILNHCGKTVPTGFKCL >PAN37752 pep chromosome:PHallii_v3.1:7:31985467:31990885:1 gene:PAHAL_7G119100 transcript:PAN37752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTKRSASRHALAFASIPIPIIQRPPMTTATLAAPPFLPRSPPARAPRMRRRAARPFLRAACAYALQEGQSRRFHRLPCGLDLEVIAQPPPAPGGRRPPLVFVHGSFHAAWCWAEHWLPYFSRAGFPCYALSLRAQGESSVPQEAVAGTLETHTGDIADFIQKEIPSPPVLIGHSFGGLIVQQYISCLQGSESHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAFKVTLSLAAKAYANSLSLCKETFFSPQMDDELVLRYQALMKESSKLPMFDLRKLNAALPVPSVPDNTTEVLVMGANNDFIVDSEGLSETSRFYGVQPVCVEGVAHDMMLDCSWEKGAEIILTWLEKMTP >PAN38799 pep chromosome:PHallii_v3.1:7:38213167:38216673:-1 gene:PAHAL_7G199000 transcript:PAN38799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRDYEARERELDAEASRRSKEQHHHLGGRHRDADRRRDGGRSRGGRDFANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGVPNSNREGEAGAASAVAGAVVSSPSKKRKFSPIIWDRDSPKPPHSDATSGKKAVESEPAELPPPPPLPPKDHVPVILAVEKSPMDVEPTVGTERAEHLPEHEENRVAEEEEEYPTMRNISTSRWAGANDDEEDVAAPMKKKSASPADSLPGQWKRASPELGEVVVSDISGGRTMSRSSDSGRMGNDEKEDFEVDKDDYMDVDRGQASDSDAQNRMSDTDSEDEARRPETPEPVKAPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTNEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >PAN38798 pep chromosome:PHallii_v3.1:7:38213707:38216388:-1 gene:PAHAL_7G199000 transcript:PAN38798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRDYEARERELDAEASRRSKEQHHHLGGRHRDADRRRDGGRSRGGRDFANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGVPNSNREGEAGAASAVAGAVVSSPSKKRKFSPIIWDRDSPKPPHSDATSGKKAVESEPAELPPPPPLPPKDHVPVILAVEKSPMDVEPTVGTERAEHLPEHEENRVAEEEEEYPTMRNISTSRWAGANDDEEDVAAPMKKKSASPADSLPGQWKRASPELGEVVVSDISGGRTMSRSSDSGRMGNDEKEDFEVDKDDYMDVDRGQASDSDAQNRMSDTDSEDEARRPETPEPVKAPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTNEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >PAN39042 pep chromosome:PHallii_v3.1:7:39328662:39332491:1 gene:PAHAL_7G216200 transcript:PAN39042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHYESLYPHDMTLQSSPASTGAPCSASIIASSSSTFSTVMTHGRFPNLLPSIVHPLNAEILYSNPISHSGVSEQPLGGNYPGLPAETTHSADHLGGLLNNNGIDSVDKQPDSGSVRDPNESDERDEWLTCLAGLDDFITADMTSNYPQMAESAHVSSPLNSETWQHEHIVHQLVSVPTIPGHLYPTVSPPATTNVHSPQRTKIRRRWTTEMHDRFIDAVNQLGGCENAKPKAILDIMNVEGLTRDQVKSHLQKYKLAQVRHHSSEVGGTSVETTTSNEAIPSDVQIRIQKFALQVQMELQKKLHEMVERTRHDLLEIHRSILENHVMSLHELEERQNLNTDGRTVHLLPSPPAAAPSVALSRMPGEGSGTAASDEDGQASEAGLLGNNAGA >PVH35575 pep chromosome:PHallii_v3.1:7:39328662:39332491:1 gene:PAHAL_7G216200 transcript:PVH35575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHYESLYPHDMTLQSSPASTGAPCSASIIASSSSTFSTVMTHGRFPNLLPSIVHPLNAEILYSNPISHSGVSEQPLGGNYPGLPAETTHSADHLGGLLNNNGIDSVDKQPDSGSVRDPNESDERDEWLTCLAGLDDFITADMTSNYPQMAESAHVSSPLNSETWQHEHIVHQLVSVPTIPGHLYPTVSPPATTNVHSPQRTKIRRRWTTEMHDRFIDAVNQLGGCENAKPKAILDIMNVEGLTRDQVKSHLQKYKLAQVRHHSSEVGGTSVETTTSNEAIPSDVQIRIQKFALQVQMELQKKLHEMVERTRHDLLEIHRSILENHVMSLHELEERQNLNTDGRTVHLLPSPPAAAPSVALSRMPGEGSGTAASDEDGQASEAGLLGNNAGA >PVH35574 pep chromosome:PHallii_v3.1:7:39328662:39332491:1 gene:PAHAL_7G216200 transcript:PVH35574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHYESLYPHDMTLQSSPASTGAPCSASIIASSSSTFSTVMTHGRFPNLLPSIVHPLNAEILYSNPISHSGVSEQPLGGNYPGLPAETTHSADHLGGLLNNNGIDSVDKQPDSGSVRDPNESDERDEWLTCLAGLDDFITADMTSNYPQMAESAHVSSPLNSETWQHEHIVHQLVSVPTIPGHLYPTVSPPATTNVHSPQRTKIRRRWTTEMHDRFIDAVNQLGGCENAKPKAILDIMNVEGLTRDQVKSHLQKYKLAQVRHHSSEVGGTSVETTTSNEAIPSDVQMCRWNFRRNFTRW >PAN38196 pep chromosome:PHallii_v3.1:7:35356276:35367387:-1 gene:PAHAL_7G153400 transcript:PAN38196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRSTRSSISPFRSRRSAPPAAAAAPPPPARTSSGGRPSTPSSTASARPTTPSSTSGGRPATPSAAFARPTTPSSSARPATPSSTASARPTTPSSVSSRAPGRAPLVDPANAKENIMVTVRFRPLSPREMNKGDEVAWYADGDNMVHNEYNLSIAYAFDKVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGESDASEEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIIDEKSLIKKYQKEISCLKEELQQLRRGMTGNGCILPTDQEDLVNLKLQLEAGQVKLQSRLEQEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKTNLRRRHSFGEDELVYLPDRKREYFVDDDDISLDSELSLEGKLDANNPDESAKFDRRNRKRGMLGWFKLKKSDQLSGLSSSVDGDSNASGSPSCSKSSQQKNLLLDLKDGRRKSMTRKGDDPTHADSFLERTQAGDLFSAASRARHPQPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDVQIQEQIEKLKDEITEKKSHIHLLEQRMVQSLETTEDPATKTELSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVTENSELQQTVAQLRQEISSLKAAKSEDSFASVQSSEPSTASTDTRDNTNELSNHANMSPRTNEGNESGLISQVVKQASEIESLKQENLRLAEEKDGLEIHSQKLAEESSYAKELASAAAVELKNLAEEVTRLSYENAKLSADLAAAKEQIASVSRSNINNDTKRRDHENGILVEELQKELVASCQREAVLEDTLSQKDRRESELLKIIDDAKCREHELENELASMWVLVSKIKKESTQDDVFEFKAKQNGFHSSKSDSGRLASEMQSSDNGSWDGLSTVEEARAAYNFERRRCKELESVVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKMASQAL >PAN39180 pep chromosome:PHallii_v3.1:7:40024736:40025947:1 gene:PAHAL_7G226100 transcript:PAN39180 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os04g0524300)] MAAGRKGLGGEGSASPPPHVLAVDDSSVDRAVIASILRSSQFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENVPTRINRCLEEGAEDFLLKPVRPADVSRLCSRVLR >PAN38223 pep chromosome:PHallii_v3.1:7:35520536:35522462:-1 gene:PAHAL_7G155800 transcript:PAN38223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGSGDVPARDVSGGGDEESRHHDRISYLPDSLLLQILMLLPLVEAVRTCVLSRRWRVAWTRLPRLAFDDDAAPRVSRFGNLVDGVLRGYADDVDMPDVLISVRRLSSAGDAVRLATSAARLAAGRVTARFYLYLSYAAVNLYNDVEDENAAATTLQLPCFPRATEFALTFMGVDLRMPNAGTFAKLTKMFIRGVRFTDDGEGISTAVSRRCPCLEVLLLHRVRGVKMLALLAQSLLFLRVSLVMQLQRLQVVAGSLREMQVHRCFSRTTAPTSMLLYVPVLEELHWEDYPYPHDDAGINISLCGLSSCLQKLVIVDLQHFHRADTLRLEIPIALADDAELKVELPYHSELELIVYTNQHKIGPTIVNFLKKSSCLRKLTLQIYHGEIYYTPCMSDCNCRRPSNGRRQNISLVSLKWVVINGFGGTRDEESLLCSIMKNAKGLSKVSIASSTGVNPSEKLLRKLRGLSTASCTFDY >PVH34908 pep chromosome:PHallii_v3.1:7:19447562:19448833:1 gene:PAHAL_7G063400 transcript:PVH34908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKTLSSHQPSLFEDLTDDEDQDPIMCLMAKNSKVISPNSSDDEIDDDDEVASLIKPYGKSAATRIMKLIMKLDDLDETLESQEELFRLEREKFETLEKHLTYERKENKRLGESLKAKDNMLLEVEESFTSEKRKVDDLTKELLLVEDTHANLKRDNDKLQESLTSLQAMNTALEVKVSTLLVSSSNTCKASKSSSPSTSNGCARCFNVDIQTCVTNHAEVQAMRKEISRLTQLVQEEAPYHKKVLKINPSPKVGEFEKHTKGFGSRYLSKYGFEKGKGLGKNEDGTSQTIPYVKNNKKAALGARGGLVNMTTPIHRRSSEKHESSQIKFIKRGTTCDEGAKIVASSLKQDKFQALKTPKTLSQMSFYADYVLTRNHHGKVVARFVGHHSWNTKVKSHVWVPKVLLTNTQGPKYCWVPKRKE >PAN39974 pep chromosome:PHallii_v3.1:7:43628348:43630782:1 gene:PAHAL_7G281800 transcript:PAN39974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEAAKVAVPESVLRKRKREEQWAADKKEKALAEKKKSIETRKLIFTRAKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >PAN38901 pep chromosome:PHallii_v3.1:7:38606703:38612406:-1 gene:PAHAL_7G205900 transcript:PAN38901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAAAAAPLDEPKARNVLRQVEFYFSDSNLPRDGFLRRTVEESEDGLVSLALICSFSRMRSHLGLEGEVKPDTVPEETVLAVAEVLRRSSSLRVSEDGKKVGRAKELLKPDEVIEQVDSRTIAASPLPHNVKLEDVESFFAQCGKVNSVRLPRHVSDKRHFCGTALVEFSEEDEAKGVYEKTLVFAGIDLEIRPKKEFDAEREAKKEAYEKAQANKNSGEGYPKGLILAFKLKKIPADGGAEQNGGDKVDDTEGADKDGSANTTEKSSIGHEDKAPEDKGIVSKEQSDGVEEMEGVAAAETAQSVDKDDKNPSDNDEDTILREDIKEEFTKFGTVRYVDFSKGDDSGFIRFEEPTAAEKARAFAAIADEGGLVMKGHIVSLEPVSGQAEKDYWSAIRGGQDKYKDSRSNRGRDWKNNRGGRHFGGGKRGRFDSRERASNKAQKV >PAN38234 pep chromosome:PHallii_v3.1:7:35555735:35560048:-1 gene:PAHAL_7G156700 transcript:PAN38234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAEIPNPSPDATNPTAVPAPAPAPGAEASSSPPLPPRKRRLSPSPSPRRSASRSRSPRSRSPRGRRSRSRSRSRSRSRSRSPQYQPDGKRRRHNDLNIEVCRDFLRDRCTRSDLECRYAHPHHSVSVDRENKVTACADSLRNNCFRGRTCRYYHPPPHIQEQLLRSIGVEDPKLKTICRDFARGKCSRSANECRFMHHSSVEELTIVCQDFLRGQCNRKSCRYSHVVAHPVPPMSHVPMPYPEMLYMPPPPPPLGVPMMGPPPSPPRPFSDNKNRVEVCRDFLKNMCNRESCRFLHPDTHTVATSENVEICRDFKRGECNRPACRFFHPYTS >PAN37843 pep chromosome:PHallii_v3.1:7:32860865:32863586:1 gene:PAHAL_7G127400 transcript:PAN37843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQQQQQQRGALPPTQAFIGAGMPPPPLPPQGAGGLGSMAAAQAKAEAAARARAAEQMALEDAWKALNPDFRTPFASVEDAVSRLLPYHVFADYEEDDDGVDATRGSSSVATATENSSSQKWEDDMVATVEGFLDDFEKQVLTFNVMNQQRAAGLNRAEEQLILDRALYEDERWQVERMRAALVQHQQREHQREQQEAARARMALAQAQAQAAGAWPAAQPATSWQALAAAVNGEGGSRGQAQAQAPAMMRHQQQPQPETMMTAGAWQALAAAAARGEGGSSGQALIQAVMMQHVQRQRQQEEMMAAASHGKGVPGGQALPPAALMQLMMQQQQQHQQQQRQHEEMMAAASRSDGVPGGWQTLSPAPVMQQQQRQQEEMMAAASRGEAGPGEQALASAALIRQLQLLQQQQQQQHQEMMAAAGGWQQLGGRQLYAAPRGDGSSSSQAALPPAVLQQPGQGQTSSAAAGMALPWRGGAEGREQ >PVH35132 pep chromosome:PHallii_v3.1:7:30922839:30923048:-1 gene:PAHAL_7G111200 transcript:PVH35132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAALLLLLVVQVLMSALAAAARPLAGEGMMHGLLEDGIGMVTQILGAAKSGPNPPSHCCNKPYVKHI >PAN37150 pep chromosome:PHallii_v3.1:7:19692940:19693547:-1 gene:PAHAL_7G064500 transcript:PAN37150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMGYFRAPRRLYGRKPEREQHRESLSAALLVDEGEAAAAAGAVPRGYFAVYVGAEARRFVVPTSYLSQPVFRELMERAAEEFGFDQAGGLRIPCREEDFEATIAALEESRRRGGGRARGAGAGPTRWARCS >PAN39665 pep chromosome:PHallii_v3.1:7:42184527:42186636:1 gene:PAHAL_7G258900 transcript:PAN39665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCRDGAPPHGDAARALVSPLLAKEGQVLITVPADEVPVLTSKPPGRLAAAAKEAVSLSLGFAFPMTPLVSSSDARGEARSILALALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNFSLLGITMQRTVLLLIAAAVPIGGLWMHMRPLLLLCGQEASIAAAAETYILASLPDLALQAFIHPVRIYLRTQSINLPLTVCAALAIAIHLPINYVLVTVLGLGIKGVAFASVLANLNLLLFLLAYILFKGVHKRTGGFALSGESFRGWGELVSLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGRPEEASRAATVGLMLGFAFGGFASAFAFLVRNVWASMFTADPAIIALTASVLPVLGLCELGNCPQTTGCGVLRGSARPKDAARINLRSFYIVGTPVALLLAFWFHYDFKGLWFGLLAAQATCMVRMMLVIGQTDWASEAKRSRQLTGGDAKAAAAGGDEKSRCLLLDTDIERANDRSDRC >PAN37782 pep chromosome:PHallii_v3.1:7:32387958:32388533:-1 gene:PAHAL_7G121800 transcript:PAN37782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQGQREIQLQLLLLPAPAGRALCDVPAVPPSAADHPQLDLDLSMSIGPRHPAAAPAPPPRASPLANENRRASGVMMTASAAAGARQQQKHQQHAAADARAVKQQAAEQARMACAERAYAERVRELARRELELAEREFARARAIWERAREEVERVERMKQIAARRLVGSAASSAAALEITCHACMQRFHP >PAN36805 pep chromosome:PHallii_v3.1:7:782719:783890:-1 gene:PAHAL_7G003000 transcript:PAN36805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKATLRLARQELEDLYLGVPDDSVDLTFKDLASSSLPAPATTATAAASADGAGQDDELDNKQQPSLARSSTNIFTYRPLEDHYDAAAAGGGGGGGGALLQLPPSPAAPPTARFPHHHDDDDDYDDQQHHYAAAAAVAGTTTGEGRRSRRSHVADDAAGGRHHRRAAHNSSNYNRPGIPHSNICALCNNYVYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCVDCLGRRYSHRYIHRAGDTGCGFCFWGYYPNAKAVTAQELIWAEKGPAPRRRPRPPGSSVSTSYGGGSGYYSSTINSASMSMTMNSDSSIAMMKMNGGGHASSVMPASASSSFVAPFPHNPHALPL >PAN37743 pep chromosome:PHallii_v3.1:7:31903174:31906192:1 gene:PAHAL_7G118400 transcript:PAN37743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGIAGRRAASDDADPRAATSAAAAADGFQSDPFARDADGAGAMTAAGAGGPADHHRDGTETGSKDESFFEARPWLDSDTEDDFYSVRGDFTPSRSSTPDHPRPITSFSGRMPVDISKPSLVQKKQRLLELLQEKQHYDDDDDSVNDGSSDLDNGDGAVHAEEHVKSSRKGKKSKKSSRSGCFPSLIWKHSFTSRRKERKEHKDKVN >PAN37146 pep chromosome:PHallii_v3.1:7:19613853:19622158:1 gene:PAHAL_7G064200 transcript:PAN37146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLTAAELNFLVFRYLQESGFIHAAFTLGYEAGIHKGGIDGNAVPPGALITIVQKGLQYIELEANTEENDEEIEKDFALLEPLEIITKDVEELQELVKKRKRERSQTDREKDRGKEKERNEEHERRPGGERERDRHDKEKEQVREKDKSEKDREHEKEKEKEKQHTERIDKVKHEEDSLAGGGPTPMDVSVTAQEISSTDVTVLEGHSSEVFACAWSPTGSLLASGSGDSTARIWTIPDGPCGSSMQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELKQTLFKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDSMIYVCKIGEQRPVKSFIGHQSEVNAIKWDPTGSFLASCSDDWTAKIWSMKQDKCVFDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTYRGTGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >PAN39799 pep chromosome:PHallii_v3.1:7:42789299:42792768:1 gene:PAHAL_7G269100 transcript:PAN39799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVKKVPKTLLLGLAHLLCVATLSHATSLSFSYNFSTPGALTSPDLKYLSNATAGGDRVDLTKNTSWSTGRVAYGRPVQLWDDTGKVASFTSNFTFVIKSRNHSAQGDGMAFFVGTYPPDLPQDSNGGFLGLVNNPFNPANTYFPATVAVEFDAFRNDWDPKDTMSHVGVDVNNISSVAYAALPDGCFNGAMSAWVRYDANASTLSATLRFDDQPGLGIYNVSAPVDLRAEELPRQAAVGFSAATGDYVESHQILSWSFESTLTNVAVINKTARTASKSTKTTNIGLIAGLASAGIVILLIIAAWLCYREYQKRKGTKQGQASPRDMDEEFKKGTGPRRFTYRQLSRATRRFSDDAKLGEGGFGSVYRGFLQDQGLHVAIKRVSKASKQGRREYISEVTIISRLRHRNLVQLVGWCHEGNNLLLVYELMTNGSLDTHLYSTTNVLTWPIRYNIILGMGSALLYLHQEWEQCVVHRDIKPSNVMLDSSFNAKLGDFGLARLVSHARDAHTTMVAGTRGYIDPECAVTCRATTRSDVYSFGVLLLEIACGRKPVVPEEDESKVLLVRWVWELYGRGELVDVADARLLDGGESGTLEVERTLVVGLWCVHPDSASRPSIRQAMNVLQFEAPLPELPPEMPVATYGPPVPVAVGGGYQSSNTTSTSGTGSASSRTARESNSVSSGMTEQFQSVSCPS >PAN40513 pep chromosome:PHallii_v3.1:7:46149534:46153446:1 gene:PAHAL_7G321300 transcript:PAN40513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLSAAANACDEFPYVASNPAPPSLLPIMEQESSIQREQLGYNLEASSLALLPPSNAAAAAAGGHHHATIAGHSPHDILQFYPSSHYLAASGNPYSHFSGGTFQSYYPQAAQAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDADAGDKKRAHWNKAAAAKDKAGSSSKNMDIGDGLGTQILGSALLSEDQDQAMDLGEVVKEAVDPKGKASMPQHHGIHHQQNHHGFPFHSSSAGSCFPQTQAMSGDTTSNIAQVQEPSLAFHHQHHQHSNILQLGQAMFDLDFEH >PAN38592 pep chromosome:PHallii_v3.1:7:37271397:37272864:-1 gene:PAHAL_7G183300 transcript:PAN38592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVYLSPHPPLREILFLRNFLGSRAPPRSHPRLAGPRCSRRFSRSFLSGRRSREEKENCGGVFPHKGNNQHIYQRQLLRHG >PVH35439 pep chromosome:PHallii_v3.1:7:37332624:37333728:-1 gene:PAHAL_7G184500 transcript:PVH35439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKMVIKVSMPCARSRSRAMALAARADGVISMGIAGDSRDKLEVVGDGVDAACLVSCLRRKLGHAEILQVEEVKDKPEEVETTKGPDNTMTAQPDVVEPPPDCHHGYGYYCCHLHPPPPPMVVCDEPGSCTIM >PAN40723 pep chromosome:PHallii_v3.1:7:46982379:46982800:1 gene:PAHAL_7G335600 transcript:PAN40723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAETVPSDLRGVERKGDLLTWGDCAVCADGEGIEIYCRPRSLRSLHFLGSRLPLSAQSAGCGCRPPVDAVLSL >PAN40521 pep chromosome:PHallii_v3.1:7:46180296:46180995:1 gene:PAHAL_7G321800 transcript:PAN40521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAAQMAAPVLGIIAAAAVTFYTVSFMQLRDKSFEELDEKYSEFDESGGRQRRARRRAERERNRRND >PAN39094 pep chromosome:PHallii_v3.1:7:39615450:39618158:1 gene:PAHAL_7G220600 transcript:PAN39094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAPRRPLALAWFILICSSFWAANGMYGRVRMAAAEEGEGRRMRLHTDGSRGDAHAWPGYLYTRAVGRCTPQFWSSGAEPWPNIAPQEAAVSKVFGSRSVERYGPRLTLLEATMRTDDIGGSAFVKLVKQGSAALLNAYTRRGFPFDSWEVKALLLEALVSEEAAAVQAERFEQANQSCI >PVH35739 pep chromosome:PHallii_v3.1:7:42199863:42201454:1 gene:PAHAL_7G259200 transcript:PVH35739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLPASFAASLNSRVPPRASWQPARPPPPLSHCTRADSSCYVRSVIGCGGAAAALTRSGSMSDYGGPASPRTGKHPYYRGIRSRSGKWVSEIREPRKTRRIWLGTFPTAEMAAVAYDVAARALRGPDTALNFPDLAASRPAPVSTSADDIRAAAAEAAAALQEPDRRPARGIAPAAASGEAQQQQPAGGSGAAAAQQEGGGSGAGSQYFLDDEALFETPQYLRNMAAGMMMSPPRLGRNSDDDSPDPSEAGDSLWSYRDP >PAN37627 pep chromosome:PHallii_v3.1:7:28990452:28991554:-1 gene:PAHAL_7G100700 transcript:PAN37627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKVHEHETDVPASDLWAIYGTLRAAELLPELLPHVLAKVEVISGDGGVGTILQLTFPPGIPGLESYKEKFTKVDNENYIKEAQAIGGDILKLGFLSYMVRFEVIAKGPDLSVIRSTVEYEIDDAHPELEAMVSTAPLAATAEKFSEHAKEKKVIITQAAS >PVH35200 pep chromosome:PHallii_v3.1:7:32630977:32637634:1 gene:PAHAL_7G125100 transcript:PVH35200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRAASGLARVALRRNLARAAASPFAGGAAPGAAPARYFHSTRPRRFAAPAPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATTSPGLSGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGLEERPVPDVLDPAFYGFSEEDLDREFFLGVWRMAGFLSDNRPVQTLRSVLERLEQAYCGTVGYEYMHIADRDKCNWLRDKIETVNPREYTYDRRQVMLDRLIWSTQFENFLATKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDRDRTKNLGVLLHGDGSFSGQGVVYETLHLSALENYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQNKLLESGKISKDDIDRLNKKVSTILNEEFKNSKDYVPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFDLRRQMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGERYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGESKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKTERTDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMKALGRGGIEDIKYVGRAPSAATATGFYTVHVQEQTELVQKALQRDPINYPF >PVH34754 pep chromosome:PHallii_v3.1:7:4765659:4769763:-1 gene:PAHAL_7G023500 transcript:PVH34754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHSLSSVRTTPLATLSAYEQLHRDPNVLFAGYKLPHPLLYKIITRIHTTSQSSPTQAYTQATKDLDKELEYLMQAFEDEKNRCEPRL >PAN39036 pep chromosome:PHallii_v3.1:7:39301627:39303926:-1 gene:PAHAL_7G215600 transcript:PAN39036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSMLRVAVWLWPIYMVSSSRHGSCEGCGRKAAATGFCSYSVARHATSTASVGRHQREVCLTHLQVLLLLHQRMRVNVLAQLQSLRALYKSIHSSAEKKESEFVYKSHLHCSV >PAN38284 pep chromosome:PHallii_v3.1:7:35959890:35963593:1 gene:PAHAL_7G160800 transcript:PAN38284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQKIPNSGWAAFDRKWRNADGRGDEGGADSFPALSDFGAPDPASSSIRGNNGPKPKPFASVVRPSVDYAAVSDGDRSKHSADHVGIVNHGASTASDNKIKLLKDAHSWADSNLIEDILAAVNNDVTQASDLLKTMVSPDLQTGEDRTCGQLAAEMNKTHSLPSEDSRADNRKPDSSHLLPLPMNLSSIPLEPELEELDDDYLNYRKDALKMMRAATKHSQSASNAFLRGDHAAAKELSLRAQEEWVAAEKLNNKAAEEIFRLRNSSNDIWKIDMHGLHASEAVAVLARHLYMIEFQQPGNNSTSTEDLAKLEAAYSKSTTGSNVELTAEKVVLRRPKQAILHVITGIGKHSKGQASLPVAVRSFLIENGYRFDELRPGVFAVRPKFRRR >PAN40759 pep chromosome:PHallii_v3.1:7:47168852:47169193:1 gene:PAHAL_7G338200 transcript:PAN40759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVRRSGKRRGPMDLVRAVNRINAMMLTSGKSPLLVASTRVDDPAPAPAVVAEPAADPTAEPAADPAAFANPVADPSVAERQHRSMAEDEHAAAPPVQAAAVMPVLPANEEK >PAN39696 pep chromosome:PHallii_v3.1:7:42350757:42354031:-1 gene:PAHAL_7G262000 transcript:PAN39696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPMANPGARLVGWKEAPALGMGPASRLAPPRRAAVAPHRTGRGKFPVAAIILDDYLPMQSTEVKNRTSTGDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGRTVNCFGSRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTEGLISHFEAVLPMGPTIIYNVPSRSGHDITPEIIEAISRYSNLAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPGLMRSLMYEGENAVLNEKLLPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPVPLAKRIEFVRIVEAIGRENFVGQQEARVLDDDDFVLISRY >PAN39897 pep chromosome:PHallii_v3.1:7:43331252:43333232:-1 gene:PAHAL_7G277700 transcript:PAN39897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRMAGAALLRHLGPRLFAAEPVSGLAGRGLVPAAARILPARMSSTAAEAAAREAAAPEQHGGGSTEKPKPADGQEKKGIVSYWGIESPKLVKEDGTEWRWFCFRPWDTYRADTSIDMKKHHEPRALPDKLAYWLVKSLVVPKQLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFLEVAQPKWWERALVLAAQGVYFNAYFVAYLASPKFAHRFVGYLEEEAVHSYTEYLKDLEAGVIENTPAPAIAIDYWRLPADARLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPLGYH >PAN40128 pep chromosome:PHallii_v3.1:7:44383153:44386001:1 gene:PAHAL_7G293800 transcript:PAN40128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISMMEARLPPGFRFHPRDDELVLDYLSRKLSGGGGGAYGGIAMVDVDLNKREPWELPDEACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRPISISGRRAGAAAVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVEGPAVAVAGRPCSPLKEDWVLCRVFYKSRTTTARPASTDEAASLSSELISLPLPHMPPADAYLAFDHGAAAAIDGYYQQQGDAGLPALHHQPTLLPLDKGLSSFGDLLSSMVEGSDGGGSIAKAELHQDWTEAAYAQQQQQQGGVPAHGPQAWNPFLSSG >PAN37831 pep chromosome:PHallii_v3.1:7:32740526:32741169:1 gene:PAHAL_7G126200 transcript:PAN37831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKSAKPTALSIMVILLVGIVAVDVQGNAEAEFTLGRIKTNNPTTFGDNIPNSSDKEKLKQLTTALGATTYTDHHTLPPEEYCKRFKCP >PAN39209 pep chromosome:PHallii_v3.1:7:40135126:40136538:-1 gene:PAHAL_7G228100 transcript:PAN39209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARREHVIGIPVSNRAFGIEEPDFPSKAKGDAYHGGARNPAATAARAGKFGRNGDRVAQGLKAHVTLGPKLYETVKGKLSLGARILQAGGVEKVFRRWFPIEKGEKLIKASQCYLSTTAGPIAGMLFISTEKIAFRSDRALALTSPSGDTARVPYKVTIPLRRVKTAKPSENRHRPEQKYVQVVTDDGFEFWFMGFVRYQVSLQELEKAIAQSQ >PVH35711 pep chromosome:PHallii_v3.1:7:41581044:41581750:1 gene:PAHAL_7G252300 transcript:PVH35711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISIAGFHPGTLFPLFVCAHQIENPSLKKKKKPRSRTSHGRAPPAPALLLGRAPSPRPKGDLGNAMSAAVVVVVVGTTTQRRRASYDAPPGSVAAQTSSGSRRRGLTVAGGRPRAG >PAN39216 pep chromosome:PHallii_v3.1:7:40161269:40163999:1 gene:PAHAL_7G228400 transcript:PAN39216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGQSLSAPPAEELTPPAAEPSSPSPASASSSLEALAAEAMSFDEGDTEESIDEKVQKALECPCVADLKNGPCGGSFVDAFSCFLRSTEEEKGSDCVNPFIALQDCIKANPEAFSKEILEEEENDEEAEDSNLKVRAPAWSREPKPKA >PAN39896 pep chromosome:PHallii_v3.1:7:43322541:43324570:-1 gene:PAHAL_7G277500 transcript:PAN39896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALHLQHPAVTLRPSALRARVIPPSKPPKLPRRRFPPPTPLGAESRFARAPRASASESPPLDPQGEPGPKGGFWTKWMVQSAEARARVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPVIDKGLKGIQEKLNLPTQMYAFALVVGSVAAVCFTVVGILVLSKWGK >PAN36958 pep chromosome:PHallii_v3.1:7:5085066:5088073:-1 gene:PAHAL_7G024500 transcript:PAN36958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMWEAMERENHVAERGLVPVNGGSSGNVQVQDERGGAKVDGFVRRDQSCRYASSDIPSDLLVKVGGVNFHLHKHPMISRSARLGRLVDEASALHGPDATTVVELPDLPGGHGAFELAAKFCYGVVVDITAANVAVLRCAAEYLEMTEELEEGNLAFRAEAFLTYVVASSWRDSVAVLRSCEGLSPWAEDLQLVRRCSESVAAKACTNPRVVRWGYAGRTSPAKMTARAGTSSDTGQHQPVSPPADWWVADICVLRIDHFVRVITAVQAKGMRGDLIGTAITRYSSKWLSAGLSKESPGLRGSELWPQAGVLQMVVAGEGDTQTETAASEQRRVVESLISIIPPQKDCVSCNFLLRLLRLAVMLKAAPALVTEVEKLVGMQLDQAALPDILVPSYPYARSDAAYDVDLVQRLVEQFVMQEQPLSSSSTRGKVEKQEQEKHQNTRAQCVACLLDSYLSEVSRDRNLPLGKFQALAESLPEPARACHDGLYRAVDSYLKAHPAVTEHERKRLCRAVDCGKLSREVRLHAAQNERLPLRVVVQVLLSEQAKMAGTLGRAGRKEEDVSALRMEVESVSAKYMELQREVELLQRQVERMLPPSSATGKQQGVSGWTSGWKKLGRLGRIQVEQPVVRAGPDEIVSREPRRRRNSAS >PVH35192 pep chromosome:PHallii_v3.1:7:32480238:32480987:1 gene:PAHAL_7G123100 transcript:PVH35192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSTPYLAGSRPLPSHSFPRPLPSHSVPIFSLVTGGDHGPHWWRDRGLLARFGPPLCGSSVPSVVCRRGYRIQ >PVH34814 pep chromosome:PHallii_v3.1:7:10565188:10567311:1 gene:PAHAL_7G040500 transcript:PVH34814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGQLRVLHALDVARTQLYHFMAIVIAGMGFFTDAYDFFAISLVTDLIGYQYYQGHTPRGVSAAINGIALCGAVPGQLVFGWLGDKMGRKRIYGVTLVLMVVTSLASGLSFSKRVGKNVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANQRRRGAFIAAVFAMQGFGNLAAGIVGMVVSAAFLNSDTSNADFVWRIVLMFGAVPAALTYCWRMKMPETARYTALVGKDAKKAASDMSSVLNMDIPEDEAVKQLARQDQYGLFSAEFVRRHGIHLLGTSVCWLALDVTFYSLNLFMKDIFSNVGLIGTRDGDNPFKRMINVTALHTVIALCGTLPGYFFTVAFVDRFGRVRIQLLGFTMMSVFIVCLAVPYDQYWTKHKYGFAVMYGMTTFFANFGPNTTTFIIPAEIFPAGLRSTCHGISGAFGKIGAIIGVLLFGFLHAEKHVRSMLFVLVGCNLVGLVFTLLLPESKGMVLEQITGEMEEQQPQQDAAAVAAAECIQAVPV >PVH36047 pep chromosome:PHallii_v3.1:7:47547228:47547748:1 gene:PAHAL_7G344100 transcript:PVH36047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKHLIFLHRGSFCSVQSPLPSLVLTSPPPCSHLCAILLFPRGEQAVFPPPPPLPPLPPTPPSTAAPRPPLPARGAGGLDAGRRRPSLLFPRGEQAASPPPPLPPLPPTPTPARFPPPAASRPPVQIDAPVLARFASSKGQHK >PAN39716 pep chromosome:PHallii_v3.1:7:42462255:42463986:1 gene:PAHAL_7G263600 transcript:PAN39716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGARFLVQSATRHIKPVDGLARTMTVTAPQKHGKENNSSESVITKDENVEPLVAFGRPPRLPPVLGPLFVLSFFEMSSGDEENK >PAN40472 pep chromosome:PHallii_v3.1:7:45828130:45833451:-1 gene:PAHAL_7G318000 transcript:PAN40472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.3 [Source:Projected from Arabidopsis thaliana (AT1G23090) UniProtKB/Swiss-Prot;Acc:Q9SXS2] MVGMRGAYGGGGHSNGNESRPLGGAAAAAPMTTTSTEIASMAVHKVAPPPPRSTASKMKARVKETFFPDDPFRGFKGQPLGTQWLMAVKYLFPILDWLPGYSLSLFKSDLISGLTIASLAIPQGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLVMGSMLRDAVSPSGEPLLFLQLAFTSTLFAGVVQASLGILRLGFIIDFLSRATLVGFMAGAAIIVSLQQLKALLGITHFTTQMGLVPVMASVFHHTMEWSWQTILMGVCFLLFLLAARHVSMRWPKLFWVSACAPLASVIISTLLVFLFKAQNHGISIIGQLKCGLNRPSWDKLLFDPTYLGLTMKTGLITGILSLTEGIAVGRTFASLKDYQVDGNKEMMAIGLMNVVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVVMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDFPAVYQIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGLSVFRVLMQVTRPKMTVQGKIMGTDIYRDLHQYKEAQRVPGILILAIEAPINFANSNYLNERIKRWIEEENSTQNKQTELHFVILDLSAVPAIDTSGIAFLLDIKKSIEKRDLELVLVNPTGEVMEKIQRANVPQNHFRSDCLYLTTGEAVASLSALAKMTKP >PAN39583 pep chromosome:PHallii_v3.1:7:41650095:41651840:1 gene:PAHAL_7G253500 transcript:PAN39583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRRTISFPAPKPAADAFLALSAASDKLAAYRVRSASLPCRFHPLLLQLDDDVAALRLVTGQSPPCPYAPTASAVSAAASQVGRVLVSLSELLHHPQAQEPLRPLARFPLADRLLDDFLRLADAHGSFRQALVALASLQAETRAALRRGDPTRLASASRAQRRAARDLPRLAAAVRAVACRAPAPLPEDLPADTAALAAAVADATVAVASGSAAVFSGLSFLSNSAASARVEVASTPCWATAPAKLAGSSDAPRTSHHRIWWVADLVRWMSRAKRRSAEKQNGGGDENESSTAHLRSEARMKPEERARKAALERHENLERCIASVDASGEKVFRALVNTRVSLLNILSPSF >PVH35069 pep chromosome:PHallii_v3.1:7:28389077:28393361:1 gene:PAHAL_7G098100 transcript:PVH35069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPLAPADKPTSPRRIAEGDTVVVYERHDAMRAVAVRAGGVLQNRFGVFRHDDWIGLPFGSKVFSTAGGGGAGRGGGGKGGGSGKAGGGFVHLLVPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVHTFDFHEQRAASAREDFERNGLSNLITVNVRDIQGEGFPEEHRAASDAVFLDLPQPWLAIPSVSLMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEIRDGALKSGTADEEATVGPLPQKRRKFTASGEKMGYAQSNSSILVRPCSTAKGHTGYLTFARLRVSDSQTES >PAN38630 pep chromosome:PHallii_v3.1:7:37398374:37399672:-1 gene:PAHAL_7G185900 transcript:PAN38630 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB80 [Source:Projected from Arabidopsis thaliana (AT5G56110) UniProtKB/TrEMBL;Acc:A0A178UM75] MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAVPDMPMAAGAGAGMGAAAALAAPCGFPAPPPQPDDTIERIKLGLSRAIMSEPGAATDKQQQQQPPPWVPADMPEGLAGMFAAYNPAAHGQEEFRTSMWSHQSLYSGSSGTEPAARSAPPLPEKGNDSVGSSGGDEEADGGKGGSDMSSLFGSDCVLWDLPDELTNHMV >PAN37822 pep chromosome:PHallii_v3.1:7:32702868:32705970:1 gene:PAHAL_7G125700 transcript:PAN37822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEARKMVGKPKKAAAEKPKDREEKKEKTLPPPPPEEVEMRVYMHCKGCAKKVKKILRRFDGVEDVIADSISRKVVVKGKKAAANPMKVVEYVQKKTGHKVELISPIPPPLEEKKEEEKKEETEPPKPEEEPLVITVVLKMHIHCKACAQWIMKRILKMKGVQSAELDLKASQVTVKGVLEEAKLAQYVYKRTGKHVAIIKSELVAPLESAGGDNIGNKEKKVEGGDEKEGSGNNNGEGMDGEDRENDTTSIAAPNMYMYYPQFGFPVGYYSPPPPPGYFYQAAYPPPSYAVYAPHHQMMAPQIFSDENPNACSIM >PAN37824 pep chromosome:PHallii_v3.1:7:32702868:32705970:1 gene:PAHAL_7G125700 transcript:PAN37824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSEEARKMVGKPKKAAAEKPKDREEKKEKTLPPPPPEEVEMRVYMHCKGCAKKVKKILRRFDGVEDVIADSISRKVVVKGKKAAANPMKVVEYVQKKTGHKVELISPIPPPLEEKKEEEKKEETEPPKPEEEPLVITVVLKMHIHCKACAQWIMKRILKMKGVQSAELDLKASQVTVKGVLEEAKLAQYVYKRTGKHVAIIKSELVAPLESAGGDNIGNKEKKVEGGDEKEGSGNNNGEGMDGEDRENDTTSIAAPNMYMYYPQFGFPVGYYSPPPPPGYFYQAAYPPPSYAVYAPHHQMMAPQIFSDENPNACSIM >PVH34909 pep chromosome:PHallii_v3.1:7:19610382:19612786:1 gene:PAHAL_7G064100 transcript:PVH34909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMPPPPPPPPEATQEQEEERVIEEIVNPTPPPPPPPLPPSAPPTYDVSRLPNDPGERQPIASFHANDHDAIRRAYILRGPFQPYAHEFQKGGLEIENVISIFVKKDAVFCFVCYLFKNKESKGKGTDAFTVKGWKNWNIGENALLKHARSKAHKAAQEKYFGFLNPDAAIDDKIEKWSAEDRYLYKKRLTYSLRCLKFLLHQGLAFRGHDESAESSNRGNFIELLKFVAAHSEEVNKYVLNNAPSNCTLTSPMIQKQIIQCCAIETRKKIIGDLGEEPFAILADECSDISHKEHIALCLRYVDASGRPCEHFLGVVHIDDTTSLSLKDAIEALLVSHGLTLTRIRGQGYDGASNMRGDIKGLKTLIMQESPSAYYIHCFAHQLQLVLVAVAKGNNDCVWFFDQVSLLLNIVGVSCKRHGMLRDARIENLMRALDCGELETGSGLNQEMGLARPGDTRWSSHYKAVYNIITMYPIIREVLFTLGEDTTVRADWTKIHTMLGAFESFDFIFYLHLMFTILGYTNDLSECLQRREQDILNAITLVKAAKKRMEHLRNHGWDQFLDRVILFCNKHGVQVPAMESNYVPFGRSVRFVHDQNNDDHFRRAIYIGVIDQISIELASRFDEVNMELLSCMAAFDPSNSFASFDAQKVRRLAEFYPNDISSTDLLKLDFQLDNFIDVLREDDDFKDLHNLVDLSVKLVEKKRHKVYDVVYLLLKLVLLLPVATASVERAFSALTFVKSKLRNKMGDSLLDDCLVTYIEKDFFFEVDEEDIIQTFMDLRKRRPNKKT >PAN39308 pep chromosome:PHallii_v3.1:7:40539295:40540424:1 gene:PAHAL_7G234800 transcript:PAN39308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSHGGFRIGRKLLGLWRWALCGRRRRRGGYIRLRQRGGGGGGSCCGERAARKLAPVLRWGRSLARRLSLGWRAGGHRALDDGGGEPAVTTPKGQVAVYVGGGEASLRYVVPVVYFNHPMFGELLREAEEEFGFHHPGGITIPCPAARFEQAAALAAAGKKGFGRW >PAN36974 pep chromosome:PHallii_v3.1:7:4760727:4762886:-1 gene:PAHAL_7G023400 transcript:PAN36974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSALASVVKAAVVPVPPPRPAAAAVAVPRRAPVPTVLRAAGGHARRGAALVAASALDDLRPAIDEYPEGVLSGEWPENFSLLSYADLRAYLESQITTTGEMSPTARLVDVMSRPVQVATPGQRLAEVDAFFAAQQYSGLPVVDEESRCVGVVSKKDKAKALNGIESTTVGEVMSSPAITLTLEKTVLEAAALMLKEKVHRLPVVNEQQIVIGIITRTDVFQALEANNRA >PVH34988 pep chromosome:PHallii_v3.1:7:24088188:24088535:1 gene:PAHAL_7G081600 transcript:PVH34988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSMRTAQAKMHLILTSTRKRKCRRPRRRKSGANAPSRITITLLTEILLRLRTLPHSPVPPSSPARCGTAWPPHVTFLPISCLPRLATAARLLLPRLRLQQAVALLPPCKEPH >PVH35507 pep chromosome:PHallii_v3.1:7:38408468:38409778:1 gene:PAHAL_7G201900 transcript:PVH35507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGKALLVSHHHHQPARHLMHCRAHTVPAMPPSSPLVKLPLLPRGLLSYIPTSILPSGRESAATPTTCSSPSKPQPAPPCPKKMSSSSVQQQTGSGSGKQADQAELARVFELFDKNGDGRITREELEDSLGKLGMSVPGDELASMIARIDANGDGCVDVEEFGELYRAIMDGGAGAGEEGGSAGEEADDDMREAFRVFDANGDGYITVDELGAVLSSLGLKQGRTAEECRRMIGRVDRDGDGRVDFHEFRQMMRAGGLATLG >PVH34671 pep chromosome:PHallii_v3.1:7:1025438:1030295:1 gene:PAHAL_7G004500 transcript:PVH34671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPDASMPSSASLSGMKPSASTAMYPLHRCKTIHLVRHAQGVHNVEGEKDRSAYTSPAFFDAPITPLGWRQDDYLREHVKNCGLATKIELVICSPLLRTMQTAVGVFGGESYTGGVGAPPLMVENTGHSERLAISSLNCPPFLAVETCRERLQGGHPCDKRRSITEYRTMFPAIDFSLETNDEDVLWVPDVKEAHKSVAARGMKFMDWLWTREEKEIAIVTHSVLLQDTLRMYSKECHPTIRHEMSKRFKNCELRSMVLVDRSMIGSGSPV >PAN39669 pep chromosome:PHallii_v3.1:7:42208286:42211723:1 gene:PAHAL_7G259400 transcript:PAN39669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAELEERPGPQALMLKEWLELESSAELSRDGFGCYPRHLAAELRNASGRRRNGDVIARFSAAVRAALALPRVPAGREGEAAAALPRTRSLSRRLRVGFWKKRRGEEEETDRPVGSCSATAASSGRNAPPSPAMSPRRMSWEGRQARGDGAGHLSGGRRSHEKMVAGCECETTCRLDEAREREREQRLSPVSVMDFLSQDGDDGHDDDCNDRGGGSPTFERSLASIRRASQQLLQRVRRFEQLEELDTSDVDDAATTAEDTESSCHVEELDPTEEDDEAGSPRAAHCFKKLLKDFFREGLSSSPCHDGRPDDPEEVERSLLETARAWVDGRHRAPVPDGKAEVEEIERLGRWRRFGEEGRELLGCDVEGGIFWSLVEELVDDLC >PAN40888 pep chromosome:PHallii_v3.1:7:47742587:47744687:-1 gene:PAHAL_7G348000 transcript:PAN40888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTRQHLLGAAAIITLAAIRLASGAALVGGSCTASSSGGCGAGLRCTSCVPPPGTGPAACARTTPIDPKAHGAALPFNRYSWLTTHNSFAIVGTRSPLGSAIISPPNQEDSVTSQLRNGVRGLMLDAYDFNNAVWLCHSFSGKCFAFTAYVPALGVLKEVEAFLAANPSEVVTIFLEDYAAPGSLSNVFNAAGLTKYWFPVERMPNKPGAEWPLLKDMIAENHRLVVFTSKRGKQGSEGLAYQWDYVVENQYGSQGLVDGTCPSRAESKPMDSTTQSLVLMNFFTTNPSQSWACGNNSAPLVSRLRTCYDAASKRWPNFIAVDFYMRSTGGGAPLATDVANGRLQCGCDSIAYCKSGTCAMPSPPPPAAAAAPSPGPVPGPGPGPAAAPAPTPSIIFLSSSSPGPAAYAK >PAN37308 pep chromosome:PHallii_v3.1:7:16835224:16839994:-1 gene:PAHAL_7G056300 transcript:PAN37308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSTSLLRLIFLALGAALVLLVFRSAFHLPRGIDTPTTSLFDAAAAAGSSCTRFAPWGCRQADQTKPKPKPPSHENDVPRHPLDPLTVGEINRARELLRAHPPFASSPSSLFVHSLALDEPEKAVVLGWRKGADPLPPRRAVAVVRFRGEAFVLAVDLAGGAVTPLPVPASGYPTMTMDEQVSLCFAPFADPAFNATIRRRGVRLSDVACLPISLGWYGPTEENRRLIKVQCFSAEGTANFYMRPIEGLTVLVDMDIRQVIHISDRGTGIPIPAAANTDYRYARHMQEEGNRASNGAGLGFQKVRTPSIEPAPSGPGVELVDGHTVRWSGWELHLKADARAGMVVSRARVQDPGTGAHREVLYKGMASELFVPYMDPSEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDGVFVAADGRPYVRENMICVFERYAGDVAWRHSESPITGMDIRESRPKVTLVARMVASVANYDYIMDWEFQMDGLIRIKVGLSGILMVKGTAYSHLRQARENEDMHGTLLSENVIGVIHDHFVTFRLDMDVDGADNSFVRVEMARQETGPGESPRKSYLKATRRVARTEKDAQVRLKLYEPAEFHVINPAKKTRVGNPVGYKVVPAGTAASLLDPEDPPQMRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWADRDRPIENRDLVLWYTLGFHHIPCQEDFPIMPTVSSGFDLKPFNFFESNPILKQRPTKDDDLPVCAAATTA >PVH35360 pep chromosome:PHallii_v3.1:7:36050886:36055151:-1 gene:PAHAL_7G162500 transcript:PVH35360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSVTSPATTRAVPDPDVLALGPFLSPAIFGKRADQSSPVRPHLGSIKRQGRDANWGRGRDGDGGEKMSNDGADAVPLLSPHKMGRFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGVSDTAQGYKDTPGIWTKEQVQAWKPIVDGVHAKGGIFFCQIWHVGRVSNSTFQPNGQAPVSSTDKPVNSVHVAKFTPPRRLETGEIPLIINDFRIAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEIVEAVVDEIGPEKVGIRLSPFANYSGAADSNPEALGLYMAHELNKLGILYCHVVEPRKVKLGENFENPYNLGPMRDAFKGTIIVAGNYNRKDGNNAISSGYADLIAYGRLFLSNPDLPRRFEIDASLNKYNSETFYIPDPVIGYTDYPFLSSDI >PAN39425 pep chromosome:PHallii_v3.1:7:40986532:40989071:1 gene:PAHAL_7G241700 transcript:PAN39425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLLDTAAAAASLCPAAGGRRRAGTTAGFLSCSCSSRDCRVSASYSHSISRMLSGVRSAARRKLFRADPADLLGVANWPEAGGAGHQQQHWWAALEHNFVLESTDDEYGGVVVDADRLPDDKAAFARSLAASLSYWKSVGKKGVWLKLPVDRAEFVPLAVKEGFKYHHAEESYLMMTYWIPDEPNMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGVWKLPTGFILASEEIYTGASREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSSEIKIDETEIQAAKWMPLEEFVKQPFIREDHMFQKIMDICIQRLRKCYCGLTPHHVVSRFDDRTSTLYYNVAEPEDVNCSAT >PAN39661 pep chromosome:PHallii_v3.1:7:42152782:42156692:-1 gene:PAHAL_7G258600 transcript:PAN39661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGAAAAKLHLSSAAAAARRPSLLHLAAVAVLCSLSYLLGIWHHGGFSAAPAAGAGAAVSIATAVSCASPTPTVSAGSSSSPSSSAGPLDFAAHHTAEGMEAEAAPRQRAYEACPAKYSEYTPCEDVERSLRFSRDRLVYRERHCPASDAERLRCLVPAPRGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPRGAGAYIDDIGRIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWQLYDGLYLIEIDRVLRPGGYWILSGPPINWRKHWKGWARTREDLNAEQQAIEKVARSLCWKKVKEENDIAIWQKPTNHIHCKTIRKVIKSPPFCSSQNPDAAWYDKMEACITPLPEVSDLKEVAGGELKKWPERLTAVPPRIASGSIEGVTEEMYVEDTELWKRRVGHYKSVISQFGQKGRYRNLLDMNAKFGGFAAALVDDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYNGRCDMENILLEMDRILRPEGTVIVRDDVDLLVKIKSIADGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGDNKQ >PAN37151 pep chromosome:PHallii_v3.1:7:19710294:19710910:-1 gene:PAHAL_7G064600 transcript:PAN37151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMGYFWAPRRLYGRKCSDRELNVNGESLHAGLFMDPAPDEVPKGYFAVYVGAAARRFVVPTSYLRQPAFRELMERAAEEFGFNQAGGLRIPCREEDFQATVAALEVRRRWRRPRSGGKMNAAVKAW >PAN40090 pep chromosome:PHallii_v3.1:7:44224564:44226368:1 gene:PAHAL_7G291100 transcript:PAN40090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISTRQLSALIGSQSEPVSPASSCAVVIIAMAREEELKRIDLKVNVSCCEGCRRKVMKAMSLKGVLRTEIQPSHDRVTIVGDVDARVLVKKLSKVGKIAEVLPPPPPPPPSENGKRREKGGTMDGGDRSAPAEEEKSSMGKDDGKGTGGEKAAACKEEECKKCTQKAARACDADGGRGDHAASGKAAAASKDAAANAMGGEEGRDADGFGGKAVAPALQVQMQQHYHRAEPAMVVPVHVPAAAYYPPAPAPYYGGYYAMPPPPMVMPVPMGARRQLRPQPSRFDEDYFNDDNTVGCRVM >PVH35334 pep chromosome:PHallii_v3.1:7:35436821:35437189:-1 gene:PAHAL_7G154400 transcript:PVH35334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVCTLFSLHLSRVHYRPIYTSEAGSVQRFKVLAWEHMHAVGLESKSGRGRKRGPKSSGNGNIEESPTCLFPPPATEVEANLGSVFPNASHSYCSLALLPFPFGLLFNWFSRLNQTMHLFG >PVH35186 pep chromosome:PHallii_v3.1:7:32382276:32384152:1 gene:PAHAL_7G121700 transcript:PVH35186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGWLHVVRLSEFEHRSVAVVACIAHACIPTLLAGIWFGTKLSSHMHHLTCGWSPAGPDPRIAMFIVMPFRSHQQRLLQLFLLFLLVWTRRSHDLISLPLTTLATR >PVH35779 pep chromosome:PHallii_v3.1:7:43206629:43209430:1 gene:PAHAL_7G275700 transcript:PVH35779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKPLLPRGVQMPSVGVLAPRVLPSPLSPRAIRPYPALAGDMVKLGITAGQVAGCAIDAAVVGMQMSSPRAAGGIKRRKNQARKVVCIPAPTAAGGRPTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRNHHGKNSGSGSSGSKSSQNERQQQPNVKEEPKDPATTTTTSTITTTTSTSPAAAVKEETLAGSSEALGRAMDAAVGDHSMELMDQVFSESYKPMIPEAGQSDDFFSDLAELESDPMSLIFSKEYMEAKPAASGGDRAQEKAITKDLDPFDMLDWSTTTSSASGSSFEQGKRG >PAN40707 pep chromosome:PHallii_v3.1:7:46940411:46941941:-1 gene:PAHAL_7G334700 transcript:PAN40707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVSLGLLLALLGALCRSADATAFEVGGDDGWVVPPASDGGMYNQWASKNRFLVGDSVHFKYKEDSVLVVTEDDYNNCRATHPIFFSNNDDTEVELDRPGLFYFISGATGHCERGQRMAVKVLGQGAPPPSPLAPPAPPTPPSPSAAAPGTSALAGAIAAVAMSLPVIMLTV >PAN39465 pep chromosome:PHallii_v3.1:7:41202633:41204193:1 gene:PAHAL_7G245100 transcript:PAN39465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESQLLFFVVVAAIASLLHPCDSIEFHRKLSGWSSDGGATWYGGATGAGSDGGACGYQGAVDQAPFSSMIAAGSPSIYKSGMGCGSCFQVKCTGNDACSGNPVTVVITDECPGGGACTNEPVHFDLSGTAFGAMAKSGQADKLRAAGVLKVQYTRVPCSWPGVQLTFVVDAGSNPNYFAVLVKYQNGDGDLSGVELMQTGPGAAWAPMQQSWGAVWKFNAGSALQAPLSIRLTSSSGKQLVASNVIPVGWKPGAAYQSAANY >PAN40836 pep chromosome:PHallii_v3.1:7:47581954:47586213:-1 gene:PAHAL_7G345100 transcript:PAN40836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSADAASILPILLLPLLLLAAPAAAATHPADLAVLKDFRKSLTNPDALGWPDNDDACGPPAWPHVSCDHSGRVDNLDLKNAGLAGTLPASISSLAALQGLSLQGNRLSGPLPSFRGMSALRRAFLNDNAFDAIPADFFDGLTDLLEISLGNNPRLNASNGGWALPDGLAASAQQLQVLSLDNCSLSGAVPPFLGTMNSLQNLTLSYNNLSGPIPDTFNASGIQRLWLNNQLGETKLSGTLDVIATMASLQELWLHGNQFAGPIPDAIAACKNLYTVRLNNNQLLGLVPPGLATLPELQELKLDNNNLLGPVPPVKAPNFTFSGNEFCASKPGDTCAPVVMALLQFLADVQYPTGLADTWSGNDPCAAGWTGVTCVQGKVTVLNLPDKGLNGTISPSLGNITTLLDVILGGNHLTGRVPDSLTNLDSLRKLDLSMNDLSGPLPTFKPSVQVDVSGNLNFNSMAAAPDAQPNNSPHSPATPNGAPGSHGSNAAIPGNVKKTSSSVLLGTTIPVAVSVVALVSVGAAFFCKKRASTPPQAASVVVHPRDSSDPDNLAKIVVATNDGSSGTSQGNTHSGSSSLAGDVHMIEAGNFVIAVQVLRGATKNFAKENVLGRGGFGVVYKGELHDGTMIAVKRMEAVAVSNKALDEFQAEIAVLTKVRHRNLVSILGYAIEGNERLLVYEYMPNGALSRHLFQWKQLGLEPLSLKKRLNIALDVARGMEYLHNLGHHRFIHRDLKSANILLGDDFRAKVSDFGLMKDAPDGNFSVATRLAGTFGYLAPEYAVTGKISTKADVFSFGVVLLELITGTTAIDDSRVGEGEETRHLAYWFCQIRKDEEKLRAAIDPTLDVTDDEIFDSISVIAELAGHCTAREPSQRPDMGHAVNVLVPMVEKWKPVKDEAEDYLGIDLHLPLLQMVKSWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGR >PVH35599 pep chromosome:PHallii_v3.1:7:39681858:39683245:1 gene:PAHAL_7G221700 transcript:PVH35599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGKIPVFAGEDYAYWKVRMRAFLQSMGAEVWEITKNQAYEVLAVRTTPLQVSEHEANAKAVNALFAGVSRAEFSRVQGFQEAHKVWTCLENYHEGTPQYTEHEKALKLLYALDRSVWDLKVNTIIESAGYETLTVNELFSKLKATEVDNQTRAKLNGAPLSKSIALVTGPGGTSSNANSALGFSLASLPSVTDEQLEMLGDDDLCLLISKFQRIYHNRQRKKNPGCYNCGDLNHFIADCPKKSGGGQNNHFDNYCHRDRDEGGSNKERRRHKHRSRDRGGRFDKESLKKRFQYKAKKQEKAFLAQLSDLDKSSDTDRSSSPTSDDDDKKKRDKEATSFIGLCLAAGRRKGFCTMAGDVDGAQEAA >PAN36870 pep chromosome:PHallii_v3.1:7:1409356:1410970:1 gene:PAHAL_7G007000 transcript:PAN36870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNLKNLVVALLVPLPSIFFYLSFVRPGGDADAGPLTSWCAEHPLLLANILFFFNVNVLFWLVGLLLSNHWLIDLYWTVIPVMLLHYYRGHPASVADAARSTVAVALTWVWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIYSSNQAWGIWDVMATATCIAGIVIAHFADTQLHKFVTMNDKLKQLGEPTVPTLEDGLWRYSRHPNYFGEQLWWWGLYLFSWNLGQQWMFLGPLINSMCLGYVTVLVERRMLKQEHRAEAYKLYQRRTSVWIPWFRKSLPELKQKET >PAN40940 pep chromosome:PHallii_v3.1:7:46738421:46741310:-1 gene:PAHAL_7G331400 transcript:PAN40940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVRFLFTLAAAVGAAALLAASLRRRAPPSGLPAQFVPSSHMVGRNRSFVLWLHGLGDSGPANEPIRNFFSAPEFRLTKWSFPSAPRSPVSCNNGFVMPSWFDIHELPMSAGSPQDESGVLKAVENVHAMIDKEVANGIHPDNIFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFGSSVTERISPEARKTPILWSHGMADRTVLFEAGQAGPPFLQSVGVTCEFKAYPDLGHSLSKEELLYLESWIKSRLNASAEKDS >PAN40307 pep chromosome:PHallii_v3.1:7:45060644:45065564:1 gene:PAHAL_7G304800 transcript:PAN40307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHRNGTNGSCSSPCGPLTDYYIPDYILKPDSEQIILDNAPCCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYCNFEKLKSNGDLLAIQIQKSLRLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPATDQAAVKSFLAQVKGAREMNIDSWHIIMRMRIPQDGPCDPIAPLDLPHSLHAFHRVSDCDSLNVEGYHTFRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFAASLTHPSSRNIAQLAKVKIMKRPGGQWEELMIPRSIRSIICLNLPSFSGGFNPWGTPGTRKVQDRDLTAPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKEDDTVVVEISHLRQVAMLANDPCKSKSVNDPSSPSCHSHDDDDSNSLEDEDEWEDGRKKFGAAATFKIPDEVEIAHLS >PAN38097 pep chromosome:PHallii_v3.1:7:34895230:34896051:1 gene:PAHAL_7G146800 transcript:PAN38097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PAN39469 pep chromosome:PHallii_v3.1:7:41228939:41230785:1 gene:PAHAL_7G245600 transcript:PAN39469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCESSSLSLMPPSPSPPRLPGQPPEFKHFCRVCNKGFTCGSALGGHMRAHGASDVDGFGADDDSLGDEASAARCRGSDDQWDAVGTSSSATHAYALRANPNRLIRSCQVCKNCGKEFTSWELFLQHGKCNSEDEADEVGGGSGSLRSSSPPSDADGEEDPAVAAAWSKGKRSRRVKLMIGGEDHSSMASSRQETSGEEEDLANCLVMLSSSNVGQTTVVHTDKQERRASTAKEGDGSGPALQLQPISFFVPPPEPIVALPSAVAPPPQYITPSSRNVFECKACKKVFTSHQALGGHRASHKKVKGCFAAKFESNASEPTRHSALGDSNNITGKGAIVDEVNTGVNTEAKTSIYAATSDLDTKARTSEVAASLSMSLAPVGHNSPVAALTVAAPCKKNSKMHECSVCHRLFTSGQALGGHKRCHWLTSSTADPCNSVANMIPPLTEDIIGVVRHQLTLRQMVDAPEPALDLTIAANPAALAASVARPEAGSSSFHLEPLAPVHLQPLAVAVPSTGSHRKKTTATSSHHGADKVAEDDEADSTTAKRAKLSDLKDVVSMDGEPTGPWLQVGIGSSSAGGDDKSRESAIN >PAN39157 pep chromosome:PHallii_v3.1:7:39013636:39015443:-1 gene:PAHAL_7G212000 transcript:PAN39157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTPSYLSKSSTNSPPRRSRSLSPMAPPPQPRATVPVQVAAGGSGSSSSSSSCLFAPPARDYTQDLPDEILALVFASLSPADRSACSLACARWKEVDAATRHRLSLDARAGLGHAAPALFARFAAVTKLALRSARGSGADSLADDAAAAVAAALPSDRLARLKLRGLRQLSDTGLSSLAAAAPALRKLSVASCTFGPKAFVAVLQACPLLEDLSVKRLRGLPDTAGAASAITEEIEFPQALSLRSVCLKDLYSALCFVPLVASSPNLRTLKILRCSGAWDLPLEVITARASGLVELHLEKLQVGDRGLAALSACRNLEVLFLVKTPECTDSGIISVAEKCYKLRKLHIDGWRTNRIGDFGLMAVARGCPDLQELVLIGVNPTVLSLRMLGEHCRALERLALCGCETVGDAEIICLAERCAALKKLCIKGCPVSDRGMEALNGGCPSLVKVKLKRCRGVSYECVESLKVTRGGSFSITLDIVLEHDAGSASENGVQETGQAQITELTDQMAGMDLPTNAAGAQSSTHSRMRSVWSAVRRRFGNPQPQ >PVH35794 pep chromosome:PHallii_v3.1:7:43660124:43662714:1 gene:PAHAL_7G282200 transcript:PVH35794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIATGLEREELEAELQGKKRFDMDPPVGPFGTKEEPAVIESYYNKRIVGCPGGAGEDEHDVVWFWLEKDKPRVSSLLAVLCAQGHWRWR >PAN39508 pep chromosome:PHallii_v3.1:7:41381406:41384532:-1 gene:PAHAL_7G248200 transcript:PAN39508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRQREARKRFREANPDLFPPQPAPSADGSKKKIKKNKKSMFKKANKGGTRRSKHPLRVPGMRPGECCFICKSTEHVAKACPEKVLWDKNKICLLCRERGHSLKNCPEKNEGNLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKDCPENKHGIYPKGGCCKICGEVTHLAKHCPSKGKQDLMSSRDDDVNMEEHYQEGPVVHHGGDDLEDDFIQEEEPKPAKSKKVKQSGSKSAGNDENANTKAKAKQAPKVVKFFG >PVH35931 pep chromosome:PHallii_v3.1:7:45348080:45349467:-1 gene:PAHAL_7G310800 transcript:PVH35931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFREEIEKICEYWKKMTSWNTCIFDMEATSLSLHLYMVATKGVKLASRIMDSAALRLDKQDEISLHTTKQTLAMYVSVFVKLAEDTYHTKFNDESLFSLLGAFKGVAAIGHILVKDALESVNYVEYGSSNYSLLVQDTGNIWDEYEQNINNLEDKFRAALTDNFKIYELVRPTMEKAMTHTILFVSQMVTRHERVLSYIPGIKGRHAGRATGEGEPDSGSPVHESSGS >PAN38571 pep chromosome:PHallii_v3.1:7:37188434:37194148:1 gene:PAHAL_7G181600 transcript:PAN38571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSASSRHQVTITLGRSGQVVKRRDISDVINDDEVPLSGKKRSLRERLGSNVTDSDFYGSQHRNKRQQTESDSSHGDDGSDRQVGKDDLRLKLMRKGLLQRCNGGAEQNGVDLREKLSRNQKNLSRYDARGHAPESRARYDMRDKPPELRSRYSSREGVLVSRPSAVVSRIPSARSVDEMDSSRKPYSSWATDGLRHSSPERLTSFRGDASPPRAYDQIRSMPSLRSVGSSRPQSFITRDAHDTSRAQPYSGKSTISVDAVQRANGITPSGAAMPTAPVVKEVPQTVTELLSSLGLEKYLVLFQAEEVDMAALRQMGESDLKDMGVPMGPRKKILLAVGPQSKQRQR >PAN39875 pep chromosome:PHallii_v3.1:7:43224654:43229232:1 gene:PAHAL_7G276000 transcript:PAN39875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLALPRRDLQALCKRNGIRANMTNAAMAEALAALPTSQIDGIDEYVKQRVAVPEPAIKVVAEEEEPRLEKQGSPLPRGRRVTAKSSGPIKPNDAKEEDATREPNKEDAPALGVGHRGASRRARPAPVVPKPTSKASAEEEKQGNQLASARRVEDGEAEEDLKREANMENAPAPIVGRRGASRRARPALAAESAGAGAGEEDLKQEASTDDAPALGMGRRGPSRRARPTPALAVPPGKVVAEEELTALIPRGRHAKAKSTETIKPNDGEEGEKEGTKPEKEQEDVPELGVGRRGASRHARRAPAAAAPAGKVAAEEEPRAPIPRGHGVDVKSPEVIRLDDSEEEEKEDAKPGEKDEGAPAIGVGRRGASRRAPAPVEAPATSRRASTCTTEAGDVAVEAMPIRATRQRKPTMKAAAAAEEKVPRKATRRAVKKTVSQQEKQEKSQGVVSDAEPVPAPVSEVECDNPEDSEEASGPQNRERKQEDEDVVIIEDEILMEETPAQELLVTNHEFMDYSTLQEQQVDVEKCPAPLASQEDSPILGLVTEQTAEKDEAANFQDSEGSSEEALDKRVFEEIHDAGEEMEMVSIIQGLQAALTEEHIEEVVTDDANHESEMGNFNEVLHGTEETREVNTEDDLVSQQKEGINIDELQADLADGSVLVDCSGNINLFPEEETDNVNTEDGLCCQDKRDVAVDATLPDTVADAIHSGCSSDISCDEVEKPGDITIEMPESPVALDEDPEETNFYPDKLSDVVSQVTMTDSEVVQEEKIVVTTEEMPQSTAAMHDDVEEDQFQTVFVHAGQVVATDSVLEVKMTDCEAEEKAALIIDEQQQITVIMDEDAVDIHSKTDVVHADEQKEVVTIEEVPELTGKDVEVAEEDKAVVITEEVPQSTDTMDENIEEDQFQPFFVHADQVVTSDSIPDLKITDCEVVEQEKTPLIIDEKQQSTVTTDEDVVGDHFETDAVHADELKEVAATDEMPQLTGTEDEVLVEDKVVVITEEVPHSTSTMDECIQKIHFEIGFAQDDKLDNAVVTDNISQVTGTDGAAKNTFTCDIPQELNIAEGSNDHITQALIDNVAESICKNIISVETSVSVSEGTSVCNNSSERNTAEPVAMQEEKGVKVVKESVDLNKFSLGQLRAKLKKKLIGKKNKEAKRVALARVDENVCRSHSEVQQQNLNLQQH >PVH36082 pep chromosome:PHallii_v3.1:7:47888638:47889018:-1 gene:PAHAL_7G349800 transcript:PVH36082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSRSFNVLCNLLRLHLATLDVWPACSNRNICTWLWLGLAISINSTF >PVH34757 pep chromosome:PHallii_v3.1:7:5002425:5005340:1 gene:PAHAL_7G024200 transcript:PVH34757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEVCTLKVVSRYNKPKKVHTNKVVSQDYICTKEDKDIIEKIKSQPLKKVLVHINGAFLTRELLECLFHKTAHVDGDVISAYINLIRAEEHLRNRKGGKVFLENTFISSILKRDGGIDVEQIDTKQDTIQKRVDNYLKHYMIFIPINIKMPHWYLAVVNAQEREIHVLDSLSGAMHREDLKKILIGLQRQISIVAGLKELKNHISSCGLFMINYMEYWTGTELSDSLTQDDMKNFRLKLIAILWDSELNTRKGCLDSEHDNSERGSSDEIEIIDRPAKICKRNKSDVLYTSSTTMNEEELIAMLQNYIKSMTDANTLEKEWVLSPKPYPIALTLKKIQETLKKDKSMDPDCFNLAVRHLACQELHMLKATNKIVSKHYMDLKFCDACAFSRGLMYRVKVDAQQLAKLNRVVSIYDPAPIDPGFKQHPLRKYTPKIQRLSSVLNMVMNVACTGWNENVYLLDHVIPNGVQVNTNSEMSGYYVLLFMSAWDGERIQTLFCMDAYELRKRFLVHLLTYKENQYEHNIPEVL >PVH34936 pep chromosome:PHallii_v3.1:7:20824840:20826272:1 gene:PAHAL_7G069600 transcript:PVH34936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEGYDRSTRQQLDFALGINMAGLTSHRQTKNKEVDPSDRPQKEEKGHITEEQVRHVRHQRPTSSDLLRKYEYQYQQHLRQESKEEEHKRRTRKRLKKHEDARDHWHCPFFRYCWDSGMGRLPTTRDYLECGPRRPDAEGVSLFQRLGLFPTQQGQVRSPQRKMDFDEEGDKYHQPRWCPDGLNRSQKFRVQRLHSLEEAEAKYIETLRKARPDLLDLGPRPVIYEKPQAKSYKHLKALYLKGYINGQPINKMLVDTGAVVNIMPYSVLRRLGRSTGDLIQTNVTLSDFNGQTSEAQGILSVDLTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDELEVVHADDSIKISYDAMSIWDAEDQEPISGMSLEGCDHIEATKNGVRLVLSTILT >PVH35163 pep chromosome:PHallii_v3.1:7:31510046:31510780:1 gene:PAHAL_7G114600 transcript:PVH35163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGIYHVEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAAPLRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGRKEEDLEDTVSHLSIYLTGLDALYHEQAAQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENKMDRFLPIKKRSIRTEEESP >PVH34953 pep chromosome:PHallii_v3.1:7:22307630:22310471:1 gene:PAHAL_7G074800 transcript:PVH34953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLLPILLLLSSPYVQAQQIITLGSSLTPQGPNSFWLSPSGDFAFGFRPIEGNTSSYLLAVWFNKISDKTVAWYAKTTDPDPALAQVSSSSCLRLTSNGALSLQDPIGTEVWSPEVVGAAYAAVLDTGNFVLAAADGSTKWGTFDNPADTILPSQVLTPGTKLHSRIIATDHSNGQFILNLQNNGLFFYSVAVPSGHQHELNWSMPGNTTNLVFSATGVLYITWDDGRQTKITSGAISSMADYYHRATLDSDGVFRQYLYPKKFSNLYNQAWSVLDFKAPNIYIPRRLAAETNSGSGTCGFNSYNNLAVTNSQTTCVCLPQYSFIDQQSKDKGCKPDFQPPSCDLDEAGATKLYTLKMMSHVDWPGGDYQQLSNISKSLCQQLCLTDCFCYVAVFRDSDNTCWTKKMPLTNGVVGDSVQRTVFIKVPTNNSPQSELLGSNKWKKHKKYWILGSSLFLGSSVLVNILLISIILFGTYCTITKNEVLSLQSPNNIGLPLKAFTYVELEKATNGFQEILGTGASGIVYKGQLQDDLGTYIAVKKIDRLEHETEREFTIEVQTIGQTHHRNLVRLLGFCNEGKERLLVYEFMVNGSLNRFLFGDVRLQWDLRAQLALEVARGLLYLHEECSTQIIHCDIKPQNILLDGNLTAKISDFGLAKLLRTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSYGVILLELICCRRNVESVVAEEDQKILTYWANDCYRCGRVDLLVEGDDEAIFDLKKVERYVVVALWCLQEDPTMRPTMLKVTQMLDGAVAIPTPPDSSSFVQSLP >PAN38709 pep chromosome:PHallii_v3.1:7:37801537:37806048:1 gene:PAHAL_7G191300 transcript:PAN38709 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MSPPAAGAAATSSDGPPSSPRELYTIPASSGWFRWDGIHETERRALPEFFGGAGGSGFGTATRNPRIYREYRDFIIAKYREDPARRLTFTEVRRALVGDVTLLRKVFAFLDASGLINFSASSSSSGPASRQQEVGVVVEAPVGLQVTPRPPASYFAEEKRGGPGGEKENGFRLPPLTSYGDVFGEWAPGKAPICGFCGEECNDEKVETLKDGFKVCSKCSKSNNDNKEEANKCPGDKKESVDNHASSPWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTINVKSVSRLHMNQATDGKMNQHLTKDSSSNSTEKVDGMQIDGNEDSADKSVEEHPTKRRRLFSSMDATTSLMEQLALLTTSTSPDVVAAAADAAIKALGNENSQARKAFRLSEREFKTKAFASNHVQQIDRKVGNKDVEMHGQTGSDKKQEKKFIASAYQVRAAVATAIGVAAARAKMLADQEEREMELLMASIIETQLRKMQYKIKHFEELESVMEQEYTSMQQMQGSLMNEWLKALEQAFRAGVSLPRDELLIKLFLNKPSP >PAN38710 pep chromosome:PHallii_v3.1:7:37801798:37804109:1 gene:PAHAL_7G191300 transcript:PAN38710 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MSPPAAGAAATSSDGPPSSPRELYTIPASSGWFRWDGIHETERRALPEFFGGAGGSGFGTATRNPRIYREYRDFIIAKYREDPARRLTFTEVRRALVGDVTLLRKVFAFLDASGLINFSASSSSSGPASRQQEVGVVVEAPVGLQVTPRPPASYFAEEKRGGPGGEKENGFRLPPLTSYGDVFGEWAPGKAPICGFCGEECNDEKVETLKDGFKVCSKCSKSNNDNKEEANKCPGDKKESVDNHASSPWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTINVKSVSRLHMNQATDGKMNQHLTKDSSSNSTEKVDGMQIDGNEDSADKSVEEHPTKRRRLFSSMDATTSLMEQLALLTTSTSPDVVAAAADAAIKALGNENSQARKAFRLSEREFKTKAFASNHVQQM >PAN40563 pep chromosome:PHallii_v3.1:7:46395026:46401157:-1 gene:PAHAL_7G325100 transcript:PAN40563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRGKKRTAAQAAATDSSKPKAAAGDSTKPEAAAAAKEPAARGRGKRAKAPPKPKAETEYFPEKRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKTLEEGGELYGKTVYLFGSTEPQLLDVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKTREAFENIKFYKFYPVKTPDTPDVSNVKAKYINRYYRNAHYLM >PAN40299 pep chromosome:PHallii_v3.1:7:45026275:45033072:-1 gene:PAHAL_7G304300 transcript:PAN40299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSILLVLLSLLLLAVTALAASASSDTLTKGRNITDGDKLVSAGGSFTLGFFSAGVPKKRYLGVWFSISEDAICWVANRDRPLADTSGSGALVITDAGSLLLVDGSGQVVWSSNTTGAAAPASARLLESGNLVVLSDPSSSAVLWQSFNHPSNTLLPGMKIGKNLWTGAEWYLSSWRSAGDPSPGRYRYTIDTRGVPGNVLWDGDVERYRTGLWNGLWFSGVPEMTTYSDMFRYDLTVSPGEITYGYVAKPGAPFSHLLLTDDGAVQRLVWDAKTRAWNSFFQGPRDVCDAYGKCGAFGVCDAGAASTPAFPAEWRMREASSRCRRNMALDCAENGTAAYGFLRLRAVKLPDTNNVFVATDVTLEECGASGCIMRTDGLVDLRSVDGGQDIYLRSAKSELDEVKPRRRPFPTPMVIGASIASLVVILLVILTIFFVIRRCLRQRISAAHSIQPIPGPTVPSVELSSMKAATKDFHKNNIIGRGGFGIVYEKVAIKRLIIRSSHADDEDEKAFDREVELVSKLRHGNLVQLLAYCKDGSERLLVYEYMKNRSLNFYIHGLNKGVIHRDVKPSNILLDDDWRPKIADFGAAKTFIEDQTNPTLFKTPGYTAPEYELQGYLTLKCDAYSFGVVLLEIISGPRDRTMPPLLSDAWESWNQNRIKDLLDSAVIEPKPELFLKLERCVQIGLLCAQQLPDARPTMSAVVTMLNSGSSEIYLPKMPMFDSRTGSALRDADFSNQEASSSGTHSITVDLT >PVH35893 pep chromosome:PHallii_v3.1:7:44845583:44852096:-1 gene:PAHAL_7G301500 transcript:PVH35893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSMDIIDLSSDSEEGIIDLSSDSEDNNEFHSCREDDTDYDDPVSPYHLPLVPLSTAPDQNSVEQLTSLEYDDWHEIAKKEYDDWFSSRNASSSYSPVDNRSTTEMSSGVSNDISRDLPLSITYGSAAKSEHFYVPSVEHQLPQSFTDGSFSPQSFAPNHSSLDDNRIKEEPAMKFIGFQRFTANGNGMSSSTMPTDDVFIYGGSRSHRIFPPPMPSRTSVNDATIDNDVEKRLFGLDERAVYEEALKHISQEKGEEDLPEGVMSVSLLKHQKMALAWMLSKENSSHCLGGILADDQGLGKTISMIALIQKERVKQSRFMTSGSYCTTSVLSIDDDDVVIVMDKKQLKAEPLNKLHDSTQLHVANSLKLSDSQSGAVTDCVEPRKKTRVKPSASTLGPKIRHAAGTLVVCPASVLRQWANELSVKVMEGAKLSVLVYHGGSRTRDPNELAKYDVVVTTYMTVANEVPKANSDDDTKDIEMSGTCPELCVGGKRKQPKKKQSKAKKKNKPSNSYGGPLARVRWFRVVLDEAQTIKNYRTQVSRACCGLRAQRRWCLSGTPIQNKIDDLYSYFCFLKYEPYSKFSSFKYMIKHPISRDSVRGYKKLQAILRIVLLRRTKETLIDGEPILKLPAKTIMLSKIDFTPEERAFYLTLEEGSRQKFKAYDAAGTIKENYANILVLLLRLRQACDHPLLLKGQESDLIDNNSIEMAKQLPKETVTDLLEKLERGPAICSICSDPPEDAVVATCGHVFCYQCVHDTLTGDAHVCPYTLCGKKLSVRSVFTPAVLKLSILPKLEIDKKTSCSTVDDKASSICDSSYISSKICEAVGILKSIIKTRAVTMGDTTESIPSEEAPVKAIVFSQWTGMLDLMGLSLNSNNIQFRRLDGAMCLNLREKGVNEFQTDPEVRVMLMSLKAGNLGLNMVAASHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVSRFTVKETVEDRILALQEKKRTMVQSAFGEDGSSGNATRLTVEDLRYLFMV >PAN40725 pep chromosome:PHallii_v3.1:7:46994434:46998090:-1 gene:PAHAL_7G335700 transcript:PAN40725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRREDEDEDEDEALESVERVFEGRAVPGWREQVTLRALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLDKAGVASVRPFTRQENTVVQTCVVACSGIAFSGGFGSYMFGMSERISEQSGETLDEHNIKNPALGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFAGSFTWGFFQWFYTAGEGCGFMAFPTMGLEAYRQKFFFDFSATYVGVGMICPYLVNASVLLGGVVSWGIMWPLIEQKKGDWYPANLKPSSLRGIVGYRVFVSIALILGDGLYNFLKVMTKTVSALVVQVRRMMSEPTLPISGGDDMAFQTAEETFDDKRRTELFLKDQIPNTLAVSAYVVIAVVSIATVPHIFHQLKWYHVAVSYVIAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWAGASEGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYNAFRDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCIAFFVAAIAINLARDLAGPRAAAYIPLPMAMAIPFYLGPYFGIDMCIGSLVRLAWDRVDPARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRSANVEVDAFLHGMKH >PVH35203 pep chromosome:PHallii_v3.1:7:32728610:32729614:1 gene:PAHAL_7G126000 transcript:PVH35203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIFWNCNGFKDPKKYRFVTDLTKELDLNFIALSETVKSEFSDSFLKNLCAGRDFIWHCKEPRGRSGGILMGIDLSVFDIGAIDEGDFYVKFLLRNKSDGFKWTLVCVYGPAQENLKEQFLAELVNMASRVTEPLLIGGDFNLLRKSSEKNKNNFNPRWPFLFNAVIDGLNLRELELTGRQFTWANSRANPTYERLDRILMSTEWELHFPKSTVVAHSRDISDHTPLMLNTGEAQPNVGQPNFKFELGWLMREGFREKVSQIWSQEYGGSSALERWQNKIRRVRQFLRGWAKNTSGSNKKEKKEILQKLDILDRKAESNELSSQEIDKELSPE >PVH35547 pep chromosome:PHallii_v3.1:7:38967706:38968108:1 gene:PAHAL_7G211100 transcript:PVH35547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLFLVVVAERSILFGTFSPTPTCLLASRVPVYTTTDYYFFLKQTTTEFADHVCWTSHHGDLPPGVSH >PAN39981 pep chromosome:PHallii_v3.1:7:43570217:43572064:1 gene:PAHAL_7G281400 transcript:PAN39981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLMGCYAPRRANDQLAIQEAAAAGLRSLELLVSSLSSQAAAPHKAAQQLQQQQQQQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVESSAPAAAPVVAAPAPPPAPLPHVAPVSVAQPVPAPQPQSLTLDFTKPNLTMSGATSVTSTSFFSSVTAGEGSVSKGRSLMSAGKPPLSGHKRKPCAGAHSEATANGSRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGAAGPSPLATASPVAAPVASVSAGNGHV >PAN38784 pep chromosome:PHallii_v3.1:7:38153996:38159625:-1 gene:PAHAL_7G198000 transcript:PAN38784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPQRGRSRYGGGGSGQQPQQQFPFVVDPTEAPGAAAVRAFFPAPGGEPPSSSGDRAPVQQQQRYGHGEISLGHGHGQGMHHRYHQFGVEGKRDGGPPAAPLPRHSSSPPEFFSSPVVDNGFPNARAGVGVGGEVHHAMSSYHKKMKAPMNLAGQGTLSHISEDGIPDLTSNVHGIGHSEENITANGVARSFSSGFSIGPWEDSNSIVFSNPASKAGIHNNDDIIASLSNYELQFGVTKEAAGMDKYLQMQQDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTADMLDLAVDHIRGLQSELQALKEDQEKCTCRRNHPSGR >PAN37397 pep chromosome:PHallii_v3.1:7:26268411:26268758:1 gene:PAHAL_7G089600 transcript:PAN37397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWELGVVLVLFVEGVVKEGYKTKAHSHMPGNCHMIKLGHTLLLDIILSQIIETIYMQSPCNYFPIHLKRMK >PAN40551 pep chromosome:PHallii_v3.1:7:46349754:46350083:1 gene:PAHAL_7G324200 transcript:PAN40551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLASVVRWCGGWRERRARRQKLLRRRQHSGGTVWLGRRRSCRLAVSRLVRWRLVAAELLRPIRKALMEMAAGAAAGAGSGSAGRRQLVTLPQLNFPFVGTLTLPAVA >PVH35608 pep chromosome:PHallii_v3.1:7:39830761:39835606:1 gene:PAHAL_7G224100 transcript:PVH35608 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MASLLLLLVVLFLSHASAAEYGEELLRRAWGEREWMVGARRRIHAHPELAFREHRTSALVREELERLGIRNRAVAGTGVVADVGSGAPPFVALRADMDALPLQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSQRKDQLKGTVRLLFQPAEEGGAGASHMIKEGALDGVEAIFAMHVDYRIPTGVIAAHPGPTQAAVCFFEAKIEGKTGMAETPHLSVDPIVAASFAILSLQQLISREDDPLHSQVVSVTYVKAGNALDATPAIVEFGGTLRSITTEGLCRLQKRVKEVVEGQAVVHRCKGAVKIKGEDYPMYPAVVNDEKLHRHVEEVGRRLLGPDKVKPGEKIMAGEDFAFYQQLAPGVMFGIGIRNEEAGSIHPAHNSHFFVDEDVIPIGAALHAAIAELYISEASALNGGGDLQSH >PAN40647 pep chromosome:PHallii_v3.1:7:46704191:46707662:1 gene:PAHAL_7G330600 transcript:PAN40647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAPARAARPTSPSRPPKAIRSTKPRGLDEDTAAPPAFPKCKSPSSSAAGGGAGAGAAASLLHHHADVPMDASVWAGLPDDLLLEVLARVPPFLLFRLRPVSRRWEAILHDPAFLAAHAAVPSHGPCLLTFSRGGGSHSPPHCSVLSVPLHARYKLPFGFLPPWDLWLVGSSGGLVCFSGFDGATFRTVVCNPLTQAWRVLPDMHCNQQRQLVLTVDKSRRSFKVIAASDVYGDKMLPTEVYDSKEDKWSVHQMMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGRWEHIPAKFPRSLLDGYLVAGACTRLFLVGRIGLYSTIQSMRIWELDHGRTVWVEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQVCFYEPRFDTSIY >PVH35128 pep chromosome:PHallii_v3.1:7:30734575:30736099:-1 gene:PAHAL_7G110400 transcript:PVH35128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTNVIRVPHVGRKLLGVVPVLLIFSLGFVLGMTSNARFPNFYLPFVPPLPATATAPPPSPPPPVTPPPPPPPLPLQPPPSPPATNRQMGLMGLVEPSDLMKSNMTDEELLWWASMAPKVRSTPYRHRVTKVAFLFLARGELPLRPLWEKFFEGHEGRYSIYVHADPSYTGSPPKDSVFYGRMIPSQKTFWGDVSLVAAERRLVASALLDHGNERFALLSESCIPLYNFTTVHAVLTGSGTSFVDSFVNHDSEVRYDPFFGRRPAGGSNVTLEQWRKGAQWFEMGRDLALELVSDGAYFPAFVEFCARRRFCFAEEHYLPTLLSVLGWRRNANRTLTYANWRRGGSHPRTHGARDVTEALIGEIRGGGGGGGGRNCSGYHDGASGVCYVFARKFAPDTLEPLLRLAPKAMGFG >PVH35696 pep chromosome:PHallii_v3.1:7:41437028:41439063:-1 gene:PAHAL_7G249400 transcript:PVH35696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVERPSGGGDDKEDNYTLGFRFKPTLRELVDFYLLPKLLDKPTVPNDAVIEADAYECDPEILTKRYEERGADDNWYFLSPRSRRYPGGDRPTRRTADNRGRWKPSTGQSKPGKKGAAGHSKANKGPRKNLSVGAVKFTENTLAYYAGEPKNETKTKWLMHELTVPEPGKERALKSATREKPRDHMLLNKYVMCRIYKSPLKKWRELEDEEGSNSSAPACDEEAPTSSQPGPAPESVAETSSPRATSSKSAGKRPAVVQPSRHANAPNKRVSSRQNLPPGPPRPTKHANGGGGMRAPPAGVGAAGYYHGVPGLPPLMQWPPPPMYTSMQGPVQLQRPPLTNGPHGQGPPVLRLCPPHRAAAATVPNSLGRTAMMRPPNLAARQPPPFSRPPPGQLQLQPQQQMEDMKARK >PAN38414 pep chromosome:PHallii_v3.1:7:36529710:36534372:1 gene:PAHAL_7G170400 transcript:PAN38414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAATTTDSQAVVPPHHPHAHPHAPPQHGPPHHHMPQPRWVVIPYPPPPPMVAAPPPPPQFAKHFAAGPPPPPQAAAGRRTPTPPAAGSSGNGCEENKTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFFSHASAEKALQNFTGHVMPNTDRAFKLNWASYSMGEKRSEVASDHSIFVGDLAADVTDEMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMSEMNGVYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSSNRTVYVGGLDPNVSEDELRKAFAKYGDLASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRGDSGNRRNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPFYGGNQQLVS >PAN39273 pep chromosome:PHallii_v3.1:7:40370338:40372854:1 gene:PAHAL_7G232200 transcript:PAN39273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAISSPASTRRVAVVTGGNKGIGLEVCRQLAGAGVTVVLTARDEARGAAAAERLKALGLPDVLFHQLDITDAPSIARLADFLKTRFGKLDILVNNAALGAVEYVHETDYGSVTSKEKEKLSGMDMDQRLGWLWENSRETYDTAKKGLQTNYYGTKQVIEACLPLLKASSDGRIVNVSSHFGQLRLFRNEELKRELNDIDDLTQERLDELLDTFLEDFEADAVDSRGWPKYFSAYKVAKAAMNAYSRILAREHPELRVNCVHPGYVRTDMTIHSGLLTPQEGGSRVATAALLPKGGVTGAFFEDFKESSFV >PAN38493 pep chromosome:PHallii_v3.1:7:36883270:36885373:1 gene:PAHAL_7G176100 transcript:PAN38493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARREMLLGLALLCLAASAHPAASAGAGARKMVGVYELRKGDFSVKVTNFGARLMSVVFPDSKGNLADVVLGKDTIAEYVDDDFYFGPITGRIAQRVAQGRFVLDGKVYHMYRNDGNNTIHGGGRGFSKAIWTVKEHVAGGESPHITLYYRSFDGEQGLPGNVDAYVTYRLSAPYTLGVHMNGTALDRATPVNFLLHVYWNLGGHGAGDVLGHTLRLFASRHAVLDEELLPSSGRVEPVAGTPLDFRAPAAIGARIRRVVGGRAVGYDANYIVDGGEGEMRPVARARDGASGRALELWANQPTMQLYTGNNFNRTRGKGGRVYERYAGFCLETMGYVDAVNHPEFPSQILRPGQVYHHDMVFEFSF >PAN39966 pep chromosome:PHallii_v3.1:7:43703803:43709598:-1 gene:PAHAL_7G283100 transcript:PAN39966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISKLEDDINRLQDQLRKAGVHVDENPISNTRKDLVEIDPVNNERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLVDSLDTLHIMGLKDEFQKARDWVAESLDFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAKDITDRLLPAWETTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKHYRQMWETSMEGLISLTKKTTPSNYHYICEKNGGSLSDKMDELACFAPGMLALGASGYGPEKSEQIMNLAKELARTCYNFYQTTPTKLAGENYFFHAGQDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPIHDNKGMGTPARPFGRKQGKPE >PAN39050 pep chromosome:PHallii_v3.1:7:39368021:39369402:-1 gene:PAHAL_7G217000 transcript:PAN39050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSEKLEAARVALGKRKERERELQAAQAQAQAPVPAKAEPGKPALAGPAGGNKLLAGHLAHEFLAHGTLLGRRIEPSHARPAPAARAEPDPKRRYAEVSWLLMTSGAHIPGVVNPAQLGRWLQIKD >PAN37735 pep chromosome:PHallii_v3.1:7:31870478:31871482:-1 gene:PAHAL_7G117900 transcript:PAN37735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYKDYLHKIQALPSSVRISNSSILPPAYPEHLDLSAPHHCKQNNNFHFTLSCSTPASSESFIPFYRLRMVTTTPPTFRVPFCWRHTS >PAN38289 pep chromosome:PHallii_v3.1:7:35994424:36002095:-1 gene:PAHAL_7G161500 transcript:PAN38289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHHLPPSHQSPPAQPERRGSFNYDIESTDAAWRGAHTASEALLRYDDDGPREPLLRKRTTNTTSQIAIVGANVCPIESLDYEIVENDLIKQDWRSRKKKQIFQYIVLKWSLVLLIGLCTGLVGFFNNLAVENIAGFKLLLTGDLMLKKRYFTAFLAYGGCNLVLAASAAAICAYIAPAAAGSGIPEVKAYLNGIDAYAILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIGFCRSGKCGLFGQGGLIMFDLSATIPTYSTQDIIAIIVLGIIGGVFGGLFNFLLDRILRAYSFINEKGAPYKILLTITISIITSACSYGLPWLAPCTPCPADAMEQCPTIGRSGNYKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLISKTIADSFNKGVYDQIIVMKGMPFLEAHAEPYMRHLVAGDVVSGPLISFSGVERVGNIVQALRITGHNGFPVVDEPPLSEAPELVGLVLRSHLLVLLKGKGFMKDKVKTSGSFVLKKFGAFDFAKAGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMPEHIHGVFPNLHKSH >PVH35358 pep chromosome:PHallii_v3.1:7:35994374:36002120:-1 gene:PAHAL_7G161500 transcript:PVH35358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHHLPPSHQSPPAQPERRGSFNYDIESTDAAWRGAHTASEALLRYDDDGPREPLLRKRTTNTTSQIAIVGANVCPIESLDYEIVENDLIKQDWRSRKKKQIFQYIVLKWSLVLLIGLCTGLVGFFNNLAVENIAGFKLLLTGDLMLKKRYFTAFLAYGGCNLVLAASAAAICAYIAPAAAGSGIPEVKAYLNGIDAYAILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIGFCRSGKCGLFGQGGLIMFDLSATIPTYSTQDIIAIIVLGIIGGVFGGLFNFLLDRILRAYSFINEKGAPYKILLTITISIITSACSYGLPWLAPCTPCPADAMEQCPTIGRSGNYKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLISKTIADSFNKGVYDQIIVMKGMPFLEAHAEPYMRHLVAGDVVSGPLISFSGVERVGNIVQALRITGHNGFPVVDEPPLSEAPELVGLVLRSHLLVLLKGKGFMKDKVKTSGSFVLKKFGAFDFAKAGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMPEHIHGVFPNLHKSH >PVH36065 pep chromosome:PHallii_v3.1:7:47727561:47728390:1 gene:PAHAL_7G347500 transcript:PVH36065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNLIVSWIFMLFLYHFFVKWCRVSEHLVPYFVVFHTLYLCAYYIELFFKKKKLSVLEMSVRQFQWQHGLFRWSCDLWVYIFARLLHFITWYLDHPLAESTLHKFSWSPSVMIYTSLMWIFFLSSQGF >PAN39832 pep chromosome:PHallii_v3.1:7:42954033:42955850:-1 gene:PAHAL_7G271800 transcript:PAN39832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINSNGEWGAYEIKMVKSLITRYNANNSYVGDMNKKHNDIVNEVQAMFPLKAKHQVICLYVDLVVEMTQSSTGNDTCYSAEVSRNVVNNNYEISVKDASMNTMRVAQDVPCGQPAHLMKRSLNGFWTMEEHRNEVSRDIVDNNFAIPVKDLTMDNMRVAQDVPRCFFLVCKYGRGNRKNISKYFVTTRTPMQVSGHAQKYFLKQENTTRRQRYSINDIGLYDVEPGVQNNTSGWEGYAFDGGAYNPNNYGASDQHTTMNNLAQVQWPILYHASQANIDSSQTPARASNQKMGATGSSAAPIVEGARGSQAAWASDHLEDFFLDEMLNMDMF >PAN40846 pep chromosome:PHallii_v3.1:7:47626651:47629102:-1 gene:PAHAL_7G346000 transcript:PAN40846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKMIADLLRESAKSSTLRGGIQLHAALTKLGFGSDTMLSNNLIDMYAKCGKLTMAAKVFDGMSGRNVVSWTALMVGFLQHGEARECLRLLGEMRVSSEATPNEYTLSASLKACCVAGDTSAGVRIHGVCVRTGYQEHHVVANSLVLMYSKGDRIGDARRVFDGTAFRNLVTCNAMISGYAHAGHGRDALLVFREMQHGEEPDEFTFASLLKACSGLGAARQGAQVHAAMTARGFSTASNAILAGALVDLYAKCRCLPVAMQVFESLERKNAIQWTTVVVGHAQEGQVRGAMELFQRFWSSGVRADAHVLSGVVGVLADFALVEQGRQVHCYTVKNPAGLDVSLGNSMVDLYLKCGLIDEAERLFHEMPARNVVSWTAMINGLGKHGLGREAIDMFEQMRADGVEPDEVTYLALLSACSHAGLVEECRLYFSRILQERRVVRPKAEHYACMVDLLGRAGELREARDIILTMPMEPTVGIWQTLLSACRVHKDVAVGREAGDVLLAIDGDNPVNYVMLSNIFAEAGEWRECHKVRDAMRRKGLKKQGGCSWVELDKEVHFFYGGGDDTHPEAGDIRRVLRDVERRMREQLGYSADAQFALHDVDEESRVESLRVHSERLAVGLWLLRNGDGGGQEKVIRVYKNLRVCGDCHDFFKGLSAVLKRVLVMRDANRFHRFEQGACSCRDYW >PAN40788 pep chromosome:PHallii_v3.1:7:47341303:47346851:1 gene:PAHAL_7G340800 transcript:PAN40788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEGAAAAAVTGEGEMRSLALTPTWSVATVLTLLVAGSLIVERSIHRLSNWLKKTHRNPLYKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSRFSPCTKEEVKESIDAEHAVAHARKRLIEVILHHSLRRNLKVRYHNHQGCPEGHESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKIHKWRKWEDEAFRDNHESFSQIAYESATRRQPALTKSYSFRSWSQNSVVMWLVCFIAQFGQSVVRADYLILRKGFIMTHKLSPTYDFHTYMVRSMEEEFERIVGVSGVLWGFVVAFMLFNVDGSNLYFWIAILPVTLVLLVGAKLQHVIATLTAEGAKMTTYGPRIQPRDDLFWFKKPEFLLWLIHFVLFQNAFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETIHGWGKATRKKRRRRRSTWDDSTVRTETSTVCSLTDEDEDDLDDHHGPFEETPRAARGPPFLKIELQPQHGSGRGPSPGTPCFHAAAMPGSSSTHGGGSGHPVLLRQSSSASAPSSPSHRGGNVTRSASMPGFACLRTGTGAPTRMSHDEST >PVH35839 pep chromosome:PHallii_v3.1:7:44165816:44169254:1 gene:PAHAL_7G290200 transcript:PVH35839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFRADVLKGNAALVTGGGSGIGFEIAAQLARHGAQVAIMGRRREVLDKAVAALRSQGLRAVGFDGDVRKQEDAARVLTATVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGLIINISATLHYTATWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQGTPGLRKLAPEEMSKGHREMMPLFKFGEKRDIAMAALYLASDAGKYVNGTTLVVDGGLWLSHPRHIPKEEVKELSKLVEKKVRTSGVGMPSSKL >PAN40075 pep chromosome:PHallii_v3.1:7:44162306:44165815:1 gene:PAHAL_7G290100 transcript:PAN40075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFRPDVLRDKAALVTGGGSGIGFEIATQLARHGAQVALMGRRREVLDKAVAALRSEGLRAVGFDGDVRKQEDAARVLAATVEHFSKLDILVNGAAGNFLASPEDLKPKGFRTVLDIDTVGTYTMCYEAMKYLKKGGPGRGPSSGGLIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGADYDIRVNGIAPGPIQDTPGMRKLAPEEMSKGRREMTPLFKLGEKWDIAMAALYLASDAGKYVNGATIIVDGGLWLSRPRHIPKEEVKALSKVVEKKELAAGSGIRGKTNRAGGKDQPGNSYDNNMSVSRVCWMRNGSTCRLLCSEL >PVH35653 pep chromosome:PHallii_v3.1:7:40714046:40714431:-1 gene:PAHAL_7G237100 transcript:PVH35653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQKSNAAELLSGESSAYTNVLGRGTHVIKHKWYSISMIASTHKTQGLEC >PVH35927 pep chromosome:PHallii_v3.1:7:45253359:45253700:1 gene:PAHAL_7G309200 transcript:PVH35927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTKPQGERGPLARRGGRFRQVQTEMGPSGLVGLGIRDVLPALSLAPGGGSNPTTTAPRPRPTPRRMRPGGFGGRWRRRAGVVRDRDVRAFLRQTLLSDPVLDQASVLTWR >PAN38535 pep chromosome:PHallii_v3.1:7:37028907:37030619:1 gene:PAHAL_7G178600 transcript:PAN38535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMAVHPATTPALAPRARLAPPRTSTSLAAASSSSCSRIVRFETSRLPLRSLRSIAAAAADADAVEAEEEEVQLAGGEEALYEEEPEEYKVAVPQRQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >PVH34888 pep chromosome:PHallii_v3.1:7:16584326:16585251:1 gene:PAHAL_7G055900 transcript:PVH34888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDHAGHLHTNALHWEGFPHLLWEVCSSTPSLPNMTEWSIGKKPIEVDVVGYCLVDTIETAALEAIHLFYNQHPMEVAGYPIGLFPAIDSSDPEWNFRTAYYGHLLGDAAEETLRGLIRFMNILLCHGVGQLIGIAQGHYRNADRQVTQIVELQALVTEEEIIAARDETILHREDQINESDAMITQHNTIIEFLQEQIHDLILEVDDAHAHINELQEQLVPPAVLVAPEGGEEDPEEIEGVSDLPSEHGDPEPNPQPDHSSSSSQSSVGNLDDF >PVH35030 pep chromosome:PHallii_v3.1:7:26254783:26267155:1 gene:PAHAL_7G089400 transcript:PVH35030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLDDEHVQELNSLRQAAVSCAAASCSCANPLLLIGIAALLVIIPGVQLLLSFRKSRASARQLVRLRLNSPLQFAGVVFNGCMGLVYLGLGLWMVAVDNIHQDASAYLPHWWLVALLQGFSLILTGFAFGVRPCFLGVAFVRFWSVFLAVCAAFIFYSSIAHIDVADKAITMKACFDEDGYGGTDNDLREPLNIEISSDTDHSDSKVTPFAKAGFFSTMSFLWLNPLMKMGYEKPLEEKDLPLLDPTDWAHNQYLMLLEKLNSKELQRNGTPSIFWTIISCHKSGIMVSGVFALVKVLALSSGPLLLKAYVNVSLGKGTFTYEAYVLAAALLFCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNAAKMKHSSAEIMSYVTVDAYRIGEFPYRFHQTWTASLQLCISLSILYNAVGPAMIASLVVIIITVLCNAPVAKLQHKFQSELRKAQDVRLKAMSESLTHMKVLKLYAWEKHFKMVVEGLREIEYKWLSAYQLSRAYSRVLFWASPVFVSAATFFTCYLLKIPLDASNVFTFVATLSLVQDPIRQIPDVIGVVIQAKVAFSRIARFLDAPELSGQVRTKHCIGEFPIVINSGSFSWDENPFKSTLKNINLVVKNGAKVAICGEVGSGKSALLAAVLGEVLKTEGMIQVCGKIAYVSQYAWIQTGTVRDNILFVSPMDKHRYQETLSRCCLVKNLEMLPFGDHTQIGERGVTLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNGALSDKTVLLVTHQVDFLPVFDSILLMSNGEIIRSAPYQDLMLSCQEFQNLVKAHENTIRVSDLNKVLSHKAKEISTKEKNDTHGNIFLGSVKPSPANQLIKTEEREIGDTGLKPYILYLRQNKGFLNASLCAISYIVLLAGQISQNSWMAANVQNPSVNTLKLILVYIVIGGCMMFFLLSRSLFIVVLGVQTSRSLFSQLLVSLFRAPVSFYDSTPLGRVLSRVSSDLSIADLDVPFTFMFSVSASLNAYSNLGVLVIVTWQILFIAVPMIVLATRLQRYYLASAKELMRINGTTKSSLANRLGEAISGATTIRAFNQEDNFFAKYLELVDKNAGAYFYNFAAIEWLILRLETMSSVIVSFCACAMALLPPGTLSPGFVGLALSYALSLNVSFVFSIQNQCSLANQIVAVERVNQFMEIPSEAAAVVEEHRPAQDWPQVGRVEIRDLKIRYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGKILVDFIDITTIGLYDLRSRLGIIPQDPTLFQGTIRYNLDPMGKFSDEEIWEVLHKCQLLASVQEKVQGLDSPVVEDGSNMSMGERQLICLGRILLRRCRILVLDEATASIDNATDAILQKIIRTEFKDCTVITVAHRIPTVMECGMVLSMSDGKVVEYDKPIKLMEAEGSLFHGLLKEYWSYKSN >PAN37094 pep chromosome:PHallii_v3.1:7:9455845:9459331:-1 gene:PAHAL_7G037000 transcript:PAN37094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRIPTTSPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN37821 pep chromosome:PHallii_v3.1:7:32640777:32646855:1 gene:PAHAL_7G125300 transcript:PAN37821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRAASGLARVALRRNLARAAASPFAGGAAPGAAPARYFHSTRPRRFAAPAPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATTSPGLSGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGLEERPIPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSDNRPVQTLRSVLERLEQAYCGTIGYEYMHIPDHDKCNWLRDKIETVNTREYTYDRRQVMLDRLIWSTQFENFLATKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRGKQYYSSDRDRTKNLGVMLHGDGSFSGQGVVYEILHLSALENYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDVAKALDAPIFHVNSDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRNHPNALEIYQNKLLESGKISKEDIDRLNKKVSTILNEEFKNSKDYVPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFDLRRQMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGERYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKTERTDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMKALGRGSIEDIKYVGRAPSAATATGFYSAHVQEQTELVQKALQRDPISYPF >PAN37587 pep chromosome:PHallii_v3.1:7:29786821:29787900:-1 gene:PAHAL_7G104000 transcript:PAN37587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADGGGGDKPSRLQCLNVTRYVVAAVMTVLVVGVTFSTVNLLIVNRRFHRDPLYLSVVRGTVYVRRAPATHSPAALAFYIGVRVSSARAINYFHVNGTAYLFEGNAPRSYAAIVVSSDSDRGRPAKAVQQEAQYYFLMEVKATRGTMNASYFDALYGGGGGDGSLNILTSLRLEGKFVTELRSGGNTTYPWSTYNCWPLIVTEDSNEAARYNDVRCIQKESLEYS >PVH34669 pep chromosome:PHallii_v3.1:7:781255:782264:-1 gene:PAHAL_7G002900 transcript:PVH34669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLRKRSVLREGANGRLTPRSASRRRLRRNIQFYPVAVLSIPAIETDEQFDRILNMLTESKEGIDELKQAMQEKHSAKDEFDAWKPEVDKTVSNLQIAVDNLGYRDEQLIADSTPTLKCDGSPIDHPGPVLGKSYPLQASATAHLVASSSKEAASGPQGHRVENQNWGTGFGTVYTISSPPPVTGANKFPNKPSIPFSLEPPSHRDQSSMPNWHHAFSQLNFPEFDGTNPKLWIRRCETFFDVYSVAKHLWVSLATMNFIGSAAFWLQSIQSRLATISWEELGAAMCARFDRDEQNHLACVRFLRSKV >PAN40353 pep chromosome:PHallii_v3.1:7:45228078:45228958:1 gene:PAHAL_7G308700 transcript:PAN40353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFAAQLKDMFFGLVERITGYGWSEGQDGSSGAQEPSKLTSEEVSPAEEEVTVVQNIQIRARSSADPIVSGGTKPQVN >PAN38872 pep chromosome:PHallii_v3.1:7:38493583:38495736:1 gene:PAHAL_7G203500 transcript:PAN38872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGTRSRRVLLLLLLIGVAAPAASGVVTDGLLPNGDFEQGPDASQMNGTRVMSPNSIPHWEISGFVEYIVSGQKQDDMILPVPEGARAVRLGNDATIRQLLSVTRKKTYSITFSAARTCAQAEKLNVSVGPESGVLPIQTVYTSSGWDSYSYAFRARHSTVWLTIHNPGVEEDPACGPLIDFIAINTLNPPRREKGNILRNGDFEEGPFIFPDTAWGALVPPLDEDDVSPLPGWMVMSDTKVVKYVDAAHHAVPQGARAVELVAGREAALLQEVPTTPGRWYRLSFSVGDAGNGCAAPLAVEAYAARATARVQYESRGMGGSRRASLEFAAAANPTRVVFQSYNHHMKPDGTLCGPVLDDVALVSVRRRGRRLLL >PVH34815 pep chromosome:PHallii_v3.1:7:10640367:10642845:1 gene:PAHAL_7G040700 transcript:PVH34815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPPVRSPTANHPPPPPPAGSPVPRPPSHAGSRTASPSRPPPPPSPSCPPPPNRRPPDAVRAVRAVPSSYSEPARLTVASSSSEPPASRRRPRCPVLLLRAGASRRSLAWRLFQGVEYGGPSNQERIWPDALLRIAS >PAN40892 pep chromosome:PHallii_v3.1:7:47765790:47769028:1 gene:PAHAL_7G348400 transcript:PAN40892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAAGRSAAAREAKKTTTSAGAAAAMKKKLVMSKKPQKAEKEGSGSLRTKKQSSHRQCREPESPSYRLALKSIFSCRNSSGQQHPASASAPESGKKLGCKLKDSESSSSQQRVAEETAGEACKRRASVSGSSERCVKKPLSELPRPNNSKQQLQRGGSSSSSSGGSFRAGMQLRRLSGCYECHMVVDPVSGSSSMRATVCPCPDCGEIFVRQESLQLHQSVRHAVCELGADDTSRNIIEIIFQSSWLKKQSPVCKVERILKVHNTARTLARFEEYRDAVKAKANKALQAKTTKHPRCSADGNELLRFHCATLACALGLNGATHLCDAGGCGACAIIRDGFMIRNASGGGGVRTMATSGRAHDDAVADLDLEERRRAMLVCRVIAGRVKRPPILKEEEAEEGSAAAAEADELPHDGDDELEFDSVAGSAGVYSNLEELQVFNPRAILPCFVVVYKAA >PAN38443 pep chromosome:PHallii_v3.1:7:36636342:36638733:1 gene:PAHAL_7G172400 transcript:PAN38443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAADAGADRPHVVCVPFPAQGHVTPMLKLAKVLHCRGFHVTFVNSEFNRRRLLRSRGAGALDGLPGFRFAAIPEGLPPSDVDATQDVPSLCRATMENCLPHFRSLLAELNASPDAPPVTCVLGDDVMSFTLEAAREIGVPCALFWTASVCGYMGYRYYRSLMDRGIFPLKDAEQLTNGFLDTPVDWAPGMSKHTRLKDLPSFLRSTDPDEFMVHFALKVTEQIAGADAVIINSFDELEQEALDAMRAMIPPSASIHAIGPLALLAEQIVPPGGQLDALGSNLWKEDVSCFDWLDGREPGSVVYVNYGSITVMTNEELVEFAWGLANSGHDFLWVIRPDLVNGDAAVLPPEFLEAIEGRGHLATWCPQEVVLRHEAVGVFLTHSGWNSTLESLCARVPMLCWPFFAEQQTNCRYKCAEWGVAMEIGHDVRREAVEEKIREAMGGEKGKEMRRRAAEWREAAVRATLPGGRSHASLEKLVADVLLSGGKSSS >PAN40198 pep chromosome:PHallii_v3.1:7:44719700:44723734:1 gene:PAHAL_7G298800 transcript:PAN40198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPPPQQQPGMAPPPPAGGQPPQWGGIPPPMAQQYGAPPPQQTPAMWAQQPPQAHYGQAPPPQPYYAAPPAPAPAAPAAADEVRTLWIGDLQYWMDENYIYGCFASTGEVQSVKLIRDKNSGQLQGYGFVEFTSRAAAERVLQTYNGQMMPNVELTFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAASRKTTGVQERVPNSQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQFVTRPSAEQALLMLQGTLIGGQNVRLSWGRSLSNKQPQQDSNQWGGAAASAAAGGYYAGYGQGYEAYGGGYAQPQDPNMYGYGAYAGYPNYQQQPAAQQPQQQQ >PVH34731 pep chromosome:PHallii_v3.1:7:3833425:3835228:1 gene:PAHAL_7G018900 transcript:PVH34731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFLPNGHRDWWSVLDHCRGLVLCNIKHGSQLCVCNPATKRWAPVAPPRWDVGARWRYYASAYLTFDPAMSTHYEVLLIPTELERPQPETPGCDKVRNERRAMEVRLHGVDDAPFCLDDWLQFSSDVVNEEEEEQQQQIPSADEIGDDPCRLIAWPPTPWTLEVFSSRAGRWEKRAFVRQGEPVATVQDMRLDQPEPTWRGPRQRYAAYWQGALYVHCRGSFIARISLLNGNYQVIKTPGDIKKNTSYKPYLGILEKGMYFGIVQKCQLRVWILNESCGQMEWILKYEDDLTHLAYHVRYGKQMDAPWIVHDVHDTDNASEAMQESLEWDSDSDDIFTITAGSTDEEYYGAGFDILGFHPYKGVIFLVDYFRVVAYHLNTSKAQYLGSSRPKSYYHNYTNDIYESFVYTPCMIGELNKGSTMKEN >PAN40567 pep chromosome:PHallii_v3.1:7:46411014:46414055:1 gene:PAHAL_7G325200 transcript:PAN40567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRWSQKSPGLKILWIWTLATAAIVVGGVVRMRVKDVQKVLREEEEAAAAAAAAATSASRERVLKDEE >PAN39275 pep chromosome:PHallii_v3.1:7:40374101:40376616:1 gene:PAHAL_7G232300 transcript:PAN39275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAIPHPPNARIAVVTGGNRGIGLEVCRQLAGAGVTVVLTARDETRGAAAVEKLGEAGLSGVIFHQLEITDARSIARLAGFLKARFGKLDILVNNAAVSGVEYLLDPFDSSLNNEEKFSSMDKGQRIEWLCNGVRGTYDAAREGVETNYYGTKHVIEALLPLLQASSDGRIVNVSSEWGLLRLINNEELKQELNDVEKLTEERLDEVLDTFLNDFKAGELGARGWPEHFSAYKVSKVTMNAYSRILARRHPELRVNCAHPGYVSTDMTIHSGPLTPEEGAANVVKVALLPEAGVTGAFFEDGEEASFV >PVH35880 pep chromosome:PHallii_v3.1:7:44770807:44774269:-1 gene:PAHAL_7G299700 transcript:PVH35880 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 1 [Source:Projected from Arabidopsis thaliana (AT1G63270) UniProtKB/Swiss-Prot;Acc:Q9C8T1] MPPLRPPPPRLLLNNVSCMRNAQTVLRDINLSVHDGTALVLTGANGSGKTTLLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKDGSRSGPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGTRLLEYIIAEHRKKGGIVFVATHLPIEIEDSMSLRLPQRFPRRKTLVDLVH >PAN36950 pep chromosome:PHallii_v3.1:7:2985499:2988786:-1 gene:PAHAL_7G014900 transcript:PAN36950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGGGAGGRAEIDTRAPFRSVKEAVALFGEKVLAGELYAGLRLPAAAVNENRATATRPNHHAVSVITGAVTTVTPRPVPPAAELAVAKQELEKEREEKQKMAGCIQSLQEELNHAMRELKRLKAREDEEAGANAKVIDLEIDEGRLSFTEAEKQLAPPRGSADGVAAAGGELQKKRYVTFADPPTAAAACRAPPLPDVVIELHRAPSSHAPQPHYYREPRFQRQMSAGHEAAKAMAEEGRKKKKKPLIPLVGALFMRRKKSSSSCHDDPF >PAN37954 pep chromosome:PHallii_v3.1:7:33980624:33981600:-1 gene:PAHAL_7G136600 transcript:PAN37954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSDQVPAAERKLEGKVALITGGASGIGECTARLFVRHGARVVVADIQDERGGRLCAELGAGAASYVHCDVTVEADVAAAVDHAVARFGGLDVMFNNAGIGGAACHSIRESTKEDFERVLSVNLVGPFLGTKHAARVMVPAGRGGCIIGTSSLASAVAGAASHAYTCAKRALVALTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEQAMEAVANLKGVRLRVADIAAAVLYLASDDARYISGHNLLLDGGFSIVNPSFGIFKD >PAN36881 pep chromosome:PHallii_v3.1:7:1654198:1656232:-1 gene:PAHAL_7G008300 transcript:PAN36881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHHHHHHLVLLACLLVLAAAAASPAKPKPSSSSAVAPAIAVDFVRRSCRSTRYPRVCESTLIPCAPAVGRSPRRLARAALVVGADRARNCSAYIRAAGGAAGSSKTGKGAMKDCAELARDAEERLRQSAAEMERMGRAGTPRFAWSLGNVQTWASAALTDTDTCLDSLAQARGKVDGDAVKRRVVAVAEATSNALALVNRLDPAPHRLR >PAN38941 pep chromosome:PHallii_v3.1:7:38785866:38787023:1 gene:PAHAL_7G208300 transcript:PAN38941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSTAAMLFCCVALSMVAVGFAVPEEKTKFISMDALDCSNNITETPSTSRKLGQGGCPVRFDPSPSMDAVVSSCRGVPSAPRCCGAFKTYACPYSDVINDAENGCASAMFFEIIVRGRLRPGLFSQLCPEGSFGLKC >PAN40313 pep chromosome:PHallii_v3.1:7:45091310:45092057:-1 gene:PAHAL_7G305400 transcript:PAN40313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSVHRAAKANVPSFAPAKKLAPAAAAAERRRADKAEEEGMRTVMYLSCWGPN >PAN40069 pep chromosome:PHallii_v3.1:7:44134443:44138892:-1 gene:PAHAL_7G289600 transcript:PAN40069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEMVVGLSAPGPVGRWGAAPPQALLERMKDYGQEGAFALWDDLSPEDRELLVRDIESVDLSRIDRIIRRSLGSQGMPLPAVEPVPESNVSKVEDRSAEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQKLAAQSSESPSNTVPIHWYIMTSPFTDAATRKFFETRRYFGLDADQVTFFQQGTLPCVSADGRFIMETPYRVAKAPDGNGGVYAALKSKKLMEDMSAQGVKYVDCYGVDNALVRIADPTFLGYFIEKGVSSAAKVVRKAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGYTTGLKLEQFIFDAFTYSPSTALFEVMREEEFAPVKNANGATYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >PAN38768 pep chromosome:PHallii_v3.1:7:38081414:38085335:-1 gene:PAHAL_7G196400 transcript:PAN38768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNCSSRSLLATDDCAGMMHGCGCRSEEASPLSSCGVNSLWWDDLELELGEEEVVESDPVDLLPTDPFGMNLETSFTVAIASCIEDLTVMSGAGHFGNGGDDDLFADLSYYLNQAFVFDPEPWIGGYTGGYTGVFEGSFGSGGLSGSGGVDQFSRLPLNASCSEPTGSMEDPSSSCEATLAYCDTVDAAPVQEGNDAHEGMVYVLGYLGLRDILSVEMVCKSMRSAVRNEPFLWKCLHIDTDLGKKLSDADLLCLTQKSLGSLQCLSLEGCMNITDQGLKAVLESNLQLSKLGIFGAFRITYQGLLDNLRSFNMVADTGIKKLRVANRFTASEVQYEELLSLLQIDKGQALHKQEPRIFHADCYLPDLQGGYVPDCFIPDLHDEYALDIEKCPRCPNYKLVFDCPSEECKNRGSACRGCAVCIKRCMQCGRCVHNEFHETFLLENICRICQPIFPPHEDSLPSEK >PAN39850 pep chromosome:PHallii_v3.1:7:43052080:43057248:-1 gene:PAHAL_7G273500 transcript:PAN39850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MASTQALKKNYRCDRSLQQFYTGGPFAVGRPPAGEGEGEGEAEAEPFLACACGGEVRVVSAADASAIGEPVECDSEAITALTLSPDSRLLFAAGHSRLIRVWDLATGTCIRSWKGHDGPIMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFLRGHTGVVTTIMFHKDPKRLLLFSGSEDGTVRVWNLETKKCVAVLKEHFSTVTSLTLSDDGQTLLSAGRDKVVTVWDVRKYSLKKTIPTYEMIEAVSFIGSGSEVLACLGIDLANMKGKTDGYFLTVGERGVVRIWCLESGHCIFEQQSSDVTVNSENEETRRGFTSAVMLPNDQGLLCVTADQQFLFYCPQKTDEGTFQLSLYRRLVGYNDEILDLKFVGDEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCIDTCVSSSGKTLIVTGSKDNTVRLWDAERRSCIGTGKGHLGAVGSVAFSKKSKNFFVSGSSDRTIKIWTWDDTLGDVDGEVPLRAKAVVAAHDKDINSLAVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVMTSSGDRTIKIWSVADGSCLKTFEGHTSSVLRASFLSRGTQVISCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGRKTEMLATGGTDAILNLWYDCTMEDKQEDFRKKEEEVLRGQELENAVSDSDYTKAIQLAFELRRPHRLLELFSQLARRADSEDPIEKALLGLPKDGLRLLLEYIREWNTKPKFCHVAQFVLFRVLRCLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLMRMSVVDPDVDAGTTKDDMNGSSVENDETAEAWPASPVTEKSSKKRKLGKSGKKGKEKKVKVTSKGVSVEA >PVH36069 pep chromosome:PHallii_v3.1:7:47775311:47778546:1 gene:PAHAL_7G348600 transcript:PVH36069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRSRIRGRDPPSGGGGGGGGGRYRRRSPPPPSPRHQRRPPQPQRRSRERPPPPPLRYGDNPLPDIAAADRRSRADILLEAGRLAAHYLVEQGVLPVRVLRAREDPNHKPAPHHEPPAPSPATYGRKLDDQDDPRSQRNAGDWGRGREEHDRQPRRSNWDRRSQSFDGRRKYNDAGDVERGGRRTREYEEPKRPPMSRSYSHNDRRPSADSRVDRRRRSRSRSRSRSRTRSYHAGSRRDSDCRAGSRDFNRTKVPDSGIVPAADGDGDGDEMTRQQRVPSSVAVAEADGRANRAMAMEGGEFAQDISEDEEGEFAQDISEDEDGEFAAAPLNDEYGVGMDVTLPELSDVDVHLHPSESVEELVCSQSQLSNVVVEMEAASAPMDACLIEPLGEDNCCSEVRDEMEAPPPQSEVETSVGDLNRDEEQELPAWYKIFDLSNVEAPDGCEITEIPGDPPTDHVSDSAPYSAGQIHQQPNNDASDTQGEDEHAGDNRVLGDGHGFNKYDLNNEADEHAQDDTSGIQGQDEHAGDNHTLKDGHDFNRYDLNNEAVEDAQDNHLLDNEKLLLNHVMGAHDTDRFHLSNGQLLLNQNEDEQECDDHRMENYPVSGEQLLLSHGADGHHVNNHQMESKVMLLPTVVRDLHGYDLNSEQMLLHDGVEKHALDSCHLMDGQMLFDQSADGQARVHNMGNGQTIPVINLEDDYEEQSDTRGVF >PAN37452 pep chromosome:PHallii_v3.1:7:27277041:27284262:1 gene:PAHAL_7G093800 transcript:PAN37452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDALLSLLLLAIASTGSTIVQASGIVRSQGGVRTQASTTTLKGCQTSCGNLSFGYPFGMGSGCFRDHDFNLMCNGTKQLPRLFLLDGTTEVVNNIDVIDHESFYNYVKYFSVRFSHIITMRPGVDNYSMSWKAPGRSFGLEYAQLNITGCDLDIYMVNQYIDYTKPLCNMTCPNKEITDSMARNNCNGTGCCSIFIEMYTHEFELKFVRHPKSKLEAFSDKSSLWDKINITTNEARISWSIMDQPSCASAAENKSSYACISRNSRCDDSYTRMNFGYLCWCSAGYGGNPYILDGCSRDKGYSPALQGVNCSRLCGNISVPFPFGLEEGCFGRKEFYLNCTNMTSSILLFEKYYQVTSIDVENGTIKYILPFEEAGSIDDFLTDEASLFVNSGESVSLQWAVANLTCQEAQRNNSGYACVSNHSMCVIVNSTNGYIGYRCICSHGFVGNPYIQNGCTDVNECIVHPNICNGTCHNIIGSYECTECPRKTEYDPVKMKCTSVKQQSLLLGVMIGLSSGCGILLIGICGIIFIRRWKRDVQKKLRKRYFQKNKGLLLEQLLSSDENASDKTKIFTLEELEKATNNFDPTRILGRGGHGMVYKGILSDQRVVAIKRSKVIEDDEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLFGILHSGSDNSFSLSWDDSLRIAAEAAGALYYLHSAASVSVFHRDVKSSNILLDSNYTAKVSDFGASRLVPINQTHVVTNVQGTFGYLDPEYYHTGQLNDKSDVYSFGVVLVELLLRREPIFTNETGSKQNLSNYFLWELKAKPVKEIVATQVCEEATEEEIKSVASLAEMCLRLNSGERPTMKQVEMHLQFLRTKRSTSCHVVQDNSESEEMQPLLFTRAESRYETFDISFGQSSSSQSRYSERFNSSEHDFGSSFGVSR >PAN40123 pep chromosome:PHallii_v3.1:7:44352094:44355050:1 gene:PAHAL_7G293200 transcript:PAN40123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHGKETNQSMSNLLLPSSHPHPTVGNPGDDQSMSNLLLPSSHPHPTVGNPGDDQSMSDPLWTSSHPHSTHGGPEDDQFMGVDDWYGMSIDIPSPSEILMEGIPSPGLAADAAAVAEIGEPASGSLGENSLLTSAAVANKLPPARHRQHRGEKHYMELYDRTFFDVSTSTARAMRITAALDDDGIHGSHPAQGLLQPEQVKTRVQILALIKKKYKMGIRYEEEAELIRLMTIQFKKEKSISNDRIDYLADPFEPPTSKQCYFTRFMAMLFDNKKADDDKKDKKADDDKKDEKKAGYWKEKETKAIRDPSASRNIIGMKRTLEFMNGGKRTRWLADEYVALEPWGHDAVHILGDIAVRRVYEEGKETAPPSKCSKTGAHSSGESYIWQHMTRVYAGSTEAPSLLYGICHECDKALKCPPNFGNGNLNKHLARVHDIHPPCKNQCVMTNEKGVGRRAVRV >PVH36104 pep chromosome:PHallii_v3.1:7:47985832:47986937:-1 gene:PAHAL_7G351700 transcript:PVH36104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSHDKKLETARRLLEETSPAEAEQLPSLPSGFYDAFVLKGIRVVQALQPGTLLCHFTVPSRLLNSGGFLHGGATASLVDLVASAAFTTAGLRTRGSPLEMNISYLDAAFADEEIDIEAKVLRAGKAVGVAVVELKKKSGKIIAQARYSKYLGAASSKL >PVH35212 pep chromosome:PHallii_v3.1:7:33058508:33060515:1 gene:PAHAL_7G128800 transcript:PVH35212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLTWIGQLHVMFCIIYYKVMYIHTYIYYLPVSIRCSSQIVAAGILSQTTWIIRMDESDPHARTHVVLAKSTTHSDEEMKNNTHKSHGSTANKLNRFTCLELHYTRAQGHRTAATRAAIAYRVFGLMNGVILLVHHLYSESSHFCFV >PAN38524 pep chromosome:PHallii_v3.1:7:36988351:36989763:1 gene:PAHAL_7G178000 transcript:PAN38524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDVVVEHGESSKAPLVAPVASGVGRAASVADVFLRFLAIVGTIASAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHIVRPRARYSRLVLVFFDAAMLTLLTAGASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVLLIMLIFLSAFALARRH >PVH35504 pep chromosome:PHallii_v3.1:7:38329058:38331900:-1 gene:PAHAL_7G201000 transcript:PVH35504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFAVLFMLRSCPSSSNFSVVAYFQGTLHLDIVTSSTVIGYLVGTVLISAALMNFISDAYIKRTTAIFVFGPCVVLGYMLLALQAHFPSLHPETCEIKKELNNCKPAKGWNLILLYMSLLLFAVGEGCMRSCIPSLGGDQFSNDDPKKSQLKRLLVAASGMPFYRMQKPIGSPLTRTLEVLVISSKKRQAIVDVMELQETGRADCIQKSGITQVDETKAITRMLPIFISCLVIYLPFTLLMTLTIQVGSTMDRGVGTIQIPSASLIAIPTAFHVLMQPCYSQILTPLLRTTIGHDCSITPLQRIGAGSVCGTTAACIATLVEVKRMTIVEQHGLTLTGTAVPMSVFWLVIQFFLLSIMDAASFGGLVEFIKSEAPPTMKPIAPAVQSFLAGLAAWSCCAFIQLVNRATRHGDGGRGWLDGTSFNRTRLDHFFLLLAIFELVALINYTFWARRYTRKLQRVRTVGLDEDNSRN >PAN37248 pep chromosome:PHallii_v3.1:7:17693308:17693514:-1 gene:PAHAL_7G058600 transcript:PAN37248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIVALLIASLFIDKADSELSFLPRITCIHALQIISLEFATSSIANPTLSRQSHKPLIHSRSIAVGE >PVH34745 pep chromosome:PHallii_v3.1:7:4277174:4277619:1 gene:PAHAL_7G021500 transcript:PVH34745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGSSIRKHTGRHRTCSGWKLSVCRCLFCILVEALF >PAN37182 pep chromosome:PHallii_v3.1:7:12936254:12939635:1 gene:PAHAL_7G046700 transcript:PAN37182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFISFVGRVLFASLFLLSAYQEFIEFGNDGGPAAKALKPKFNLFIKQVSKNTGLGVPHIDIKSVIAATMFLKGFGGLLFIFSSSFGAFLLLIYLAFITPVMYDFYNYEMESAQFVQLFFKFSQNLAFIGALLFFLGMKNSIPRRRSKGRTTKTKTN >PVH34808 pep chromosome:PHallii_v3.1:7:10145047:10150284:-1 gene:PAHAL_7G039000 transcript:PVH34808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNRITMLSSSETCQLGSSSSNSAIDQQNLLPNNPTADEQILLPNALESESYPHYLLNSHEVEMPVESLNGQQNTSLSLWESAGSSSMGCLVDHGNFFHAKREHLAPSLSIGGPLSIDRRRHEATSSLPSHNLNIDLNVNQADQFGSDDVDLVHSNGQSGTNTVSAHRGSSLTERILHHGVSDAIGSSSRNADCFEGASGQEVHLLDSHHPTFKRKYIDGCHAESSANGSSRNRHLNNNTLLESSTMPTATNFTVPYPPVEQLNQSTNIASSSNLSDRYSLYSDPHENEFMRNTRMRISPSDYDQSLPNLLPEGSFRCSAYQPTQSSFIPVQPRKLSSSAGSHSRPHVPAITQFSQNLHRPSSNVSLGSRIGSSSSSAGTGSSSRSAIQISASQDPSTSLMGSDYPEPLLLGSSLFNADSTNFLSASGSRTNQQNSGSSSGSMLRAAVNVGSQQVHGFNASQSSATLRGSADMSRRSLISAGVSHSRSSSIALQHRGNSSTSHEVRSHQPVSSSRSLQHYSRAGHPSIDRQNPGYLDLQSFMQTIAASREGGRPISELRNVFDQIRQGRNARLEDLLLIDRSLIMRRANLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEEKIMSSLKQWKYAIRALDDPPTCVEPCCICQEDYVEDEDLGRLDCGHDFHTACIKQWLVIKNLCPICKKTALGT >PVH35994 pep chromosome:PHallii_v3.1:7:46694147:46694838:1 gene:PAHAL_7G330300 transcript:PVH35994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRCWDLRTGWSRLARLREYRLGWTLMLMPTRLAPPSSTRRSWHPRRRAPRPQRRGGSQ >PAN38508 pep chromosome:PHallii_v3.1:7:36928674:36933150:-1 gene:PAHAL_7G177200 transcript:PAN38508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAAALLRRSTTSPHRRLILPLLSHLHHPSPQPPFPWLPPQHRFFSSADTAGDPNPKPPPLDPKKLWSELSAWDPDAGSSRLPKATWDAVVALVRGFAKSPAMCDQALALYVPSSTFPTYVRQFRAFLLPRLSRDSAAHLLSLPAEEAHALLLPAFAEFCIANFADELKQHRSVMTAADLTAPHTWYPFARAMRRRIVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCTLRTGQEVKEVPFANHVACTIEMVSTEELYEVAVVDEIQMMADPIRGFAWTRAVLGLKADEIHLCGDPSVLKIVQKICADTGDDLQVHQYQRFKPLVVEAKTLLGDLKNVRSGDCVVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLAKYNGDRMVPVPASQVKQIAGRAGRRGSVYPDGLTTTFLKDDLDYLIQCLQQPFEEAQKVGLFPCFEQVEMFASQFPDLTFNDLLDKFRDNCRIDKTYFMCQQDSIKKVANMLERVQGLSLKDRYNFIFAPVNIRDPKAMYHLLRYATHYSQSRRVSIAMGVPRDSARNDTELLDLETKHQVLSIYLWLSHHFEEDKFPQVQQAEQMAISIADLLGKSLAKACWKPESRQQPRQQRESNNECNEEQASNDSAENISKDGYERPRALAKTIVRKWQDKFNQNSPPPLNFAA >PVH35753 pep chromosome:PHallii_v3.1:7:42542303:42543991:-1 gene:PAHAL_7G264600 transcript:PVH35753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPLVCYGDAVPRPVAALFKLLHAVALMFVLILCFLGLYEFPYNPEDHAPLINGRRRPPRDAPAPEVVKERPARRVPGAEPRVAAADGGGFFVVAAAAGGAADVPGVPGGAGGDGRGAAAG >PAN39692 pep chromosome:PHallii_v3.1:7:42333273:42335126:-1 gene:PAHAL_7G261700 transcript:PAN39692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPHNHRGHLVLLVLSLAAAFAAAADSSSHNITALLDGRSEYTLYNSYLSETKVCDEINSEHTVTVLVLTNGAMSSLVANLSLADIKNALRMLTLLDYFDEKKLHSLDAGSELTTSLYQKTGQAAGNMGHVNITDLRGGKVGFASAAPGAKFQSTYTKRVDTEPSTLSVLEVSDPITFPGLFGSPSASSVNLTDILDKAGCKQFAQLIVSSGVVKMYQAAMDKALTLLAPNDDAFKAKGLPDLSKLSSADLVTLLQYHALPQYAPKASLKVAKGDIPTLASTGAGKYDLSVVASGDDVFLDTGLGKSRVASTVLDDTPTVILKVDSLLLPSEQFGGAPSPAPAPEPAADVPASAPAPETSAPAPSPKAAGKKKKAKSPSHSPPAPPADSPDLAPADAPEGDAADKVDSKKNGGASAAVSFAATVACIALPVAAFL >PVH35365 pep chromosome:PHallii_v3.1:7:36098338:36099339:1 gene:PAHAL_7G163200 transcript:PVH35365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPEDDVDAGSGGPPVASWTAASPAGGDVVLSGAVLLFLALAFAFVVYHYLTVSRRCGGGGGRDGVGVGVSSSAHQRGTVRGGDAAVVTRGGVDTALLRALPLTVYRAKDWGSEALECAVCLAELADGEAARFLPRCGHGFHAECVDLWLRGHPTCPLCRVDVDKTGAPPPAPSSLALPPALPEPANYPTNLPTNVLFWGSQDTVTTAAAAAGPTSSSRGPPAALVIEVRDRETAPTPREGDAGKAQDLAMLSSLRRLWNRGRHDAAAASSSSCRQGTAGDGTEQAPARPLDIWNLT >PVH35525 pep chromosome:PHallii_v3.1:7:38803048:38808559:1 gene:PAHAL_7G208500 transcript:PVH35525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADRILSLPLSSLSCEDHQGRISSSTMARSVPVTAMATESGENGRLCYAVSAMQGYRDTMEDAHRVVLDLDAATATSFFGVYDGHGGPAVSKYCAKHLHNELRKHADFGRDPIAALERTFLRMDEKMKTRKAAKELCEYGGNEYWENYKKAIHSSRFLPICGEKPPYDGPTSDGCTACVVLIRGNQIIVANAGDSRCVLSRNSQPMALSTDFKPNVPSERIRIENAGRTVTVTEARGNIPRIDEGIAISRSLGDMTYKDIEGLSPLQQPMTALPEVRTEDITHDSQFLIIACDGIW >PVH35526 pep chromosome:PHallii_v3.1:7:38803270:38808494:1 gene:PAHAL_7G208500 transcript:PVH35526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVPVTAMATESGENGRLCYAVSAMQGYRDTMEDAHRVVLDLDAATATSFFGVYDGHGGPAVSKYCAKHLHNELRKHADFGRDPIAALERTFLRMDEKMKTRKAAKELCEYGGNEYWENYKKAIHSSRFLPICGEKPPYDGPTSDGCTACVVLIRGNQIIVANAGDSRCVLSRNSQPMALSTDFKPNVPSERIRIENAGRTVTVTEARGNIPRIDEGIAISRSLGDMTYKDIEGLSPLQQPMTALPEVRTEDITHDSQFLIIACDGIWDCMTNRQAIDFVRIYLESNVSLAAICEAMLTHCLATPRGRDNMTVMLVRFKTTLPPPSPPPNIPPAGGHSDEAPPAATTAEVASCSHS >PVH36071 pep chromosome:PHallii_v3.1:7:47847890:47848750:-1 gene:PAHAL_7G349200 transcript:PVH36071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSKIADTVAPVKLDRFSKIADTVAPVKLHRYPLSRAGKWRRADASRTEEGGDRRPPSLSPLPRAREETAGAGGGRREEGRGRRAEEGRRGRRGGGATGRGRRGTGHGAREGAVGRARRGRQGTGGRGGAREAREAGHGRARWGARGAGAAAAGRGGAAAGAEERRRRGGGGRAAEEGGRAEEEEEGRAEEEGGRAAEGRGRRRRRGGGRRRKGGGGRRRRRGGRRRRGGGGAAEEGGRRRRRAGGGGGGGGAGGGGGGRAAEGRGRRRGGRRQRGGWRRRRGG >PAN37886 pep chromosome:PHallii_v3.1:7:30604418:30608548:1 gene:PAHAL_7G109200 transcript:PAN37886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARGQKTPPSRGPRRSSSPPCPAPPPPPLPEMASEPPPGSTGGEQRTPPEPSAPAAPRVSYEQRCVVLVDWWLERVEREEGKVRVAGTPHAPQMRKGASSSKANRKVAGRVFRSAAIAKRHDDTAIETEDGCLIRIGRVLNIPRTLDNGFPQKVCECFEFGFPLQWLKFLNPNMEQQNEQSQSESTADAPRHSVEYYMEKLLRDTSTNSMRYAFTENDFYSSTGYSSNTDGPAIQSLSNLTDGNASNMAASWGLYGGTRSMPEKPLTPPGETCKTGQESDQHERTQIDASEQGIVNRSVSSVSVNLSTGSICPNSKVDESILVPSKIVPVEKEGYRSRVDCCQAEEAADIPENRNLQSCSSEHEMVTLPIDSAIVNENLNSTSSDLGEPETPKCGKASVNLGTTDALELTTEGMNPQFGAVRGSEDSTVRRLRSGKVFGTPSGGPVKRRYKKKNIQHEASSKMMAPNEGGTSTTDLTSHENDSSAAGIVAEDKEETHDSHRKGRGSQMKNTKRKREYKRLFSNFL >PAN40838 pep chromosome:PHallii_v3.1:7:47592080:47596173:1 gene:PAHAL_7G345200 transcript:PAN40838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDHLATLLRSSGGRRHPQSVHGAAIKLGCIASTFLCNNILLAYLLHPVPVDARRLFDEMPRRNLVSWSVLISGSARLGALAEAFALFSDLLRGAGRGSWDRPDSFVLGGLAAGCAHARDIAAGTQVHACAVQFGVDEDESVTAALVDMYAKCGWVDSSWRAFTLAPYRSVVSWTSMIACLVNHGSSEYHDTALVLFKKMLALKIWPTNVTFSCILKVFDVPELLPVGMQVHGCLLKMGTEVDTALGSALMTMYGRCGGVDEIARLACRIRHDSFSRTSLLGAYARNGCNVEAVGVFREMIKENIAIDQSAMTGLLQVCSSFGQLRMAREVHCYSLKTFFKLDTVLLNATITVYSRCGDITSAETVFKLMEKKDTISWTALLTCYAQNGLAQEVLMFFREMLRRGLGSPVFCITGVLGACSTTSNLAAGLQIHSRALKLGIDDDTSVENALVTLYASCGSVQIAWKIFNSMSNRGIISWNALLTSFSQHGNELAAVQLFDMMQEAGVCPDDFTFAGLLSSCSRMGHVAQGCVYFKQMKEKYNLEPKMVHYTCMVDLFARAGRFCDAIDFIDAMPCEPDQIVWEALLASCRVHGNVELGRIAARKILEIRPDDPSPYIILSSIHASVDMWDEKAWNRTVFDTQRARKDMGSSWIDAQELSENIFDVLRVGVM >PAN39560 pep chromosome:PHallii_v3.1:7:41557335:41559638:1 gene:PAHAL_7G251700 transcript:PAN39560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAANGKQDAKRTGLEGMGLPLQGGSHGNLHSAGNDQQLRQMLDSLKSSKSPAVINYGASWCRVCSQILPSFCKFSNEFKNLKFIYADIDECPETTQSIRYTPTFHFYRDGERVDEMLGTGEERLHDRLWLHS >PAN37418 pep chromosome:PHallii_v3.1:7:26732707:26735051:-1 gene:PAHAL_7G091300 transcript:PAN37418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASHAGAYKLAGERRASCSVPRPSQRQKNRAHRRRRRLTRLELGSIMDAEMGDSYWEETQRYLEYEELSIYLEAQEDAMSCYDSSSPDGSNSHSSSAPAGDGRGPGGGAGRAAEGANKNIIMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIQHLQAEERRVLQELEAAGGAQDERYEYDEGVLLQAERAKKLKRARSVPSIARGAAPPPPPPVEVLELRVSEVGERVLVVSLTCSKRRDAMARVCRAIEELRLRVITASITSVAGCLMHTVFVEVDQMDGIQMKKMVETALAQLNATGSPPSSMSY >PVH34725 pep chromosome:PHallii_v3.1:7:3376274:3379133:-1 gene:PAHAL_7G016700 transcript:PVH34725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTPGPYSGVSTLALVARASAFGVGVVYGSIKLSILKKMKPKKEEAHAHH >PVH34828 pep chromosome:PHallii_v3.1:7:11047326:11048060:1 gene:PAHAL_7G041800 transcript:PVH34828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPEYVYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTVGFCFPDTYRKAARKALRRLRMLYRRHLQRTPMGFFPPAEGRGCTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN37753 pep chromosome:PHallii_v3.1:7:31991253:31993501:-1 gene:PAHAL_7G119200 transcript:PAN37753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >PVH35297 pep chromosome:PHallii_v3.1:7:34737802:34738536:1 gene:PAHAL_7G144700 transcript:PVH35297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGRVNGVCHAEPGLPKLLLLSLERVGVMEQPEYAYREYISGGTLRCDIMVFVERSNRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRMLYKHHLQRTPMGFFPPAKGRGRTWIARMRGLGREEEDLEDTFSHLSIYLTGLDALYREQAAQLRQLIRGIERITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH35399 pep chromosome:PHallii_v3.1:7:36622225:36623489:1 gene:PAHAL_7G172100 transcript:PVH35399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVMRLVLVPSPTPQTTTRDVVKYYANYCAKLIVTLSDGVSFVALTSDIWSGNAKEDYFSVVAHFINSDWQLEKRIIGLRLIDVSHSGENSAERLLHVLEEYALADKVFAVTLDNASANSNAVERLTPRLSAYVGELFLHQHCACHIINLIVKCGLKRLKPYLEAFITAISFLNSSNQRIAAYKSYCISTGAQLRKFGLDMEVRWNSTYLMLKHVIPYKSTFSVFLQTHYPRGQGDPIFLTEAHWYVGEKILEFLELFYDSTVALSDVYYSTSPLILERYLGP >PVH35480 pep chromosome:PHallii_v3.1:7:38015569:38018303:-1 gene:PAHAL_7G195200 transcript:PVH35480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAACAHARPGWALAALAALGLLLAARAAARLALWLYAAFLRPARPLRRRYGAWAVVTGATDGIGRALAFRLAAAGLGLVLVGRSPERLAAISAEVEKRHPGAEVRTFVLDFAADGLAARVGALGELVRGLDVGVLVNNAGACYPYARYLHEVDEALLRNLVRLNVEAVTRVTHAVLPGMVERGRGAVVNMGSGASAILPCDPLYTVYAATKAYIDQFSRCLYVEYRSKGIDVQCQVPLLVATKMASIRNPSFFAPSPETYARAAVRCIGYEPRCTPYWPHALLWLLISLVPEPVADRMILNVALDVRAKGRAKDTRRKKA >PAN39266 pep chromosome:PHallii_v3.1:7:40344666:40346156:1 gene:PAHAL_7G232000 transcript:PAN39266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALIWKPNFVVIPWPATSHITPIVDVGCLLAAHGAPVTIITTPASVQLVKGRVNPSESGLPDGCERMDHLLSSDLVPNFFDAATRFGEAVAQHCRLMAPRRPSCIVAGVCNKWAHGVARDLSVPCFVFHGFSAFALLCCEYLHTYRPHESAAASMDDRIHIPVLPPYECKLAMRQLPVQFLPSCTIPDGRRRETREFEMATDGIVVNSFKELDHGSAARLAAATGKTVLAVGPVSLLGAPSLLDLQADSVESRRCMAWLDAKKAKSVLYVSFGSAGRLPPAQLMQLARTLVSCPWPVLWVIKGVDSLPGGVKEWLQRNTDADGVADSQCLAVRGLPSWRLEHPAVGGFVTHCGWGSTLQSIAAGVPMATWPLSAEQFLNEKLVVDMLGVGVSVGVTKPTVGLLTSGKGGDGEVKAEVGSEQVKTALDKLMGGGVHAEERRRKAQELKTKAKAALEHGGSSYLNLEMWFLSYS >PAN37092 pep chromosome:PHallii_v3.1:7:18580740:18587323:1 gene:PAHAL_7G061400 transcript:PAN37092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVAAVLAILSKLQSLGFCADLRIPDAAAASDPSEAFDAVLAAFLREAYLGGRDARPIPAALGDGRCVDLLRLFLSVRAAGGYAGVTSSPGGWAAAAESAGVDATLAAPVKLLYAKYLGALDRWIQRLEEAHGPFLVGDRTKRQELFSGANGMDEEEDALLGCDKREQRHVMLKRKRGDMVGMLGWVREIAQNAGDGGTVVAGSADEYFSMALAVRKVVTRKKVRRASMLNGSHFQEVFPMACDCCMSSTSVGACAKAKLLNSSLMLIEQENNLTGQGKYDSMIQHNSSNGSRFTSQQKNEVPVGPDYQAEVPQWTCEVPVNYDDPETLKWLGTKVWPPVNENSKALVCRDPIGKGREVVCGCNHPGSVECVRFHVAERRFKLKRELGVAFYAWGFDRMGEEIALSWTDEEEASFKAVAQNNAQSSGRNFWNRLHLFFQLKGRKELVSYYFNCFLLRRRCYQNRITPKNIDSDDEEETEFRFLGNRLGHGAAKYHNTKHTICIENTHSMDLDD >PAN40692 pep chromosome:PHallii_v3.1:7:46873286:46877279:-1 gene:PAHAL_7G333700 transcript:PAN40692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFRWLSHFLLVAVLLHVHGGLSLNQTCQPTDLQALLDFSNGLDSKAAGLVGWGPGDAACCSWTGVACDLGRVVGLDLSNKSLRGDISSSVASLDGLVTLNLSRNSLRGAPPAVLGRLARLRVLDLSANGLSGPFPASDSGFPAIEVVNISFNSFDGPHPAFPAAANLTSLDISGNNFSGGINSSALCPGPVEVLRFSGNGFFGEIPSGLSRCKALAELSLDGNDLSGNIPGDLYTLPRLTRLSLQENQLTGNLGNDLGNLSQLVQLDLSYNRFSGSIPDVFGGMRRLECLNLASNRFDGELPASLSSCQMLRVISLRNNSLSGEIAINFKLLQKLNTFDTGTNNLSGTIPSGIALCAELRTLNLARNKLVGEIPENFKDLRSLSYLSLTGNDFMNLSSSLQVLQHLPNLTSLVLTRNFRGGETMPVDGINGFKSMQVLVLANCLLTGIIPPWLQGLESLNVLDISWNKLNGNIPPWLGKLNNLFYVDLSNNSFTGELPMTFTQMRSLISSNGSSEQSPTEDLPLFIKKNSTGKGLQYNQVSCFPPSLILSNNLLVGSIWSSFGRLVKLHVLDLSWNNFSGPIPDELSNMSSLEMLNLGHNNLNGTIPSSLTKLNFLSKFDVSYNNLTGDIPTGGQFSTFTNEDFEGNSALCLLRNSSCSKKAPSVEAAHSKKSKGALAALGLGTAVGVVSFLFCAYVIVSRIVHSRMQERNPKAVANAEDSESSNSCLVLLFQNNKEISIEDILKSTNNFDQAYIVGCGGFGLVYKSILPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHDNLVLLQGYCKVGNDRLLIYSYMENGSLDYWLHERADSGMLLDWRKRLRIAQGSARGLAYLHMSCDPHILHRDIKSSNILLDENFEAHLADFGLARLICAYETHVTTDVVGTLGYIPPEYGQSPVATYKGDIYSFGIVLLELLTGRRPVDMCRPKGTRDVVSWVLQMKEEGRETEVFHPSIHHKENESQLMRVLEIACLCVTAAPKSRPTSQQLVAWLDDIAEDSGLEQHEVSGGFNLLA >PAN39556 pep chromosome:PHallii_v3.1:7:41530763:41533478:1 gene:PAHAL_7G251300 transcript:PAN39556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFSERQPIGTAAQGTDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYISILTVMGVSKSQSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNRDHAWDDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >PAN39968 pep chromosome:PHallii_v3.1:7:43697658:43698585:-1 gene:PAHAL_7G282900 transcript:PAN39968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARVLCGAEEERVVGTHKAPGACPRCGGAVVATDVESERRILCLPLCLKSKRKYSCTRCLRRLVTLYS >PAN36897 pep chromosome:PHallii_v3.1:7:1974460:1976710:1 gene:PAHAL_7G010300 transcript:PAN36897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAGNSKPSGSMSAIVADAARGYHVLKIDGYSRTTATPTGEFIRSRSFTVGGHRWCIKYYPNGETSVSEGYISLFLFLDQDVTKAVKAQHRFCFIDDVEEQTPSLAAQSVRSFDSNSGWGRATFIKREDLEKSKHLKDDSFIVRCDIAVHNELRTEEMAEAPTTTFVSVPASDLHQHLGDLLQTGNGADVVFKVSSETFMAHRCMLAARSPVFSAELFGQMKESDIAGVVNIDDMEAHVFKALLFFIYTDSLPEMNKEEEGAMSQHLLVAADRYNLERLKLICEHKLCKCIEATTLATILALAEQHHCHGLKKACFNFLSSPVNLTAVLATDGIEYLNTSCPSVIKELIAKLGT >PVH35214 pep chromosome:PHallii_v3.1:7:33065063:33068564:1 gene:PAHAL_7G129100 transcript:PVH35214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTASLLLPAPPFVSISDVRRLQFLPRGRRRPLLCWSGAEWGSVPVRTQMLSSFVGSRRSSRRSVICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGIDEVPPSTNYRPTTTNNNEQPAADPNVKPETVPYTSEELMKVTEEQIAASAAAAWNTQPAPSQEQEAAPPTQSTNTAISGGNDGPAAPAPATESGPSEANQSEKAESERSKSV >PVH35395 pep chromosome:PHallii_v3.1:7:36546050:36546953:1 gene:PAHAL_7G170700 transcript:PVH35395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQSQSFMQLVRALSRSRQRTDVIMKGVAVSGMSGAPILSRKGVSTMLTGGAPGFTVSITTEGIKAVLEMFLTDRELIYTPDASHHALEMSS >PAN38413 pep chromosome:PHallii_v3.1:7:36525339:36526315:1 gene:PAHAL_7G170300 transcript:PAN38413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAAVAVGSDGDDEVVHDFSPLLVVYRSGRLERPLIVPPVPPGRDAATGVESKDVPLSPSSFVRLYLPPAADSAGDKKANKLPVVVYFHGGGFVIGSAASCVYHRFLNDLAAASPAVAVSVDYRVAPEHALPAAYEDSLAALEWVLSAADPWLAAHGDLARVFLAGDSSGGSICHHLAMHPDVTRRGRLKGVVLLLLLIHPWFWGKEPISGEEEHRRRPRKRGELVCPGAADGVDDPRMNPTAPGAPALEGLACERAMVCVAEGNHLRWRGVAYAQDGQRWRCSSRRGFGHVFYLLDPEMEEAKRLLGRIAAFVRAK >PAN37114 pep chromosome:PHallii_v3.1:7:12897222:12900012:-1 gene:PAHAL_7G046500 transcript:PAN37114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQALAQSGLHEQPGTASSSQVPPLVVPLLVICPLLLLLARFATTAKPATPREKLLAELPSPPSRFPVIGHLHLVGSLPHVSLRDLAAKHGRDGLMLLRLGSVPTLVVSSPRAAQAVLRTHDHVFASWPGSAVTDILFYGSSDIAFSPYGEHWRNIRKIVTTQLLTVKKVRSYRFIREHEVRLVMEKIGETSTIGKAVDLSGLLPFFTNDIMCTIVSGKLFKEEGRNKLFRELTDANSKLLGGFNLEDYFPSMARLGVVRRVVCAKAEKVIKRWGNFLDTLIAGHVSKSLVNHGDGKISDYIDVLLSVEQEYGLTRDNIKAILVDMFQAGTDTSSIVLDFAMAKLIQKPWLMTKLQAEVRRTVPSGNHMVTEDDQRDAPATPTPCAFGASSLLGRL >PAN37507 pep chromosome:PHallii_v3.1:7:30712747:30715081:-1 gene:PAHAL_7G110200 transcript:PAN37507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAAEGQEGEAAAEETKKSNHVQRKLEKRQQGRTLDPHIEEQFGSGRLLACIASRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >PAN40761 pep chromosome:PHallii_v3.1:7:47181599:47182393:1 gene:PAHAL_7G338400 transcript:PAN40761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSCCIAASLLLALAVAASATSPAASPFEARQQDKRSIPSDAHLEEATRLLAAEGATLAAIWAEKDTLARPLEEQPGGGVATQGDDQSSSGSGEHGKEEGSSKEGEKQSKSCLTKEECHKKKLLCGKGCTLSAHSKCAAKCTKSCVPTC >PVH34735 pep chromosome:PHallii_v3.1:7:3886856:3888681:-1 gene:PAHAL_7G019400 transcript:PVH34735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQARKEAAAAARDVLKSARVRKAGAAPMRKGAPMEKVSGGARAPPVPEVSSLSRAAHVPEQRSLGCGAGDFFNGSDDFFGSPEQSSQPWNPQSSDPAMCGEEAPRTEKRIFWSQEEDVRMISSWLLNSTDPTCGADRKNEQYWSDVEVTYNETTPSHRARNAKQIKDRFHKVNRWTDLFHSAWLKARMIYTSGYNDLMWIEKAHVFYIEDNKKLNLGPFVLMEVWNTVKNEAKWITYNNGLKAARKRKGPGNEKEGEAEDHTDVDELDDQPRPMGQKLAKKLKYATIKDVNHIDLEELDKFGKIQDEQNASRLKVLEVQQKLSSEKIEQTKLAHLAAKEQKEVA >PAN38080 pep chromosome:PHallii_v3.1:7:34762126:34763289:-1 gene:PAHAL_7G144900 transcript:PAN38080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSGPPVAASGGLRLAGRQAPFRGGPRGRHHGRLLQPRLLLPDAVASRNLPAASSPPSPSSSTRSPSIAAAARRVPGVGSTRPYSTTASPSTRSRPSASPSARSPASRIAPVLRPFRANQINVDDLRPHLIGCTSSRNCHLIAFYHGKPSKQMRSYLIPYFYCPN >PAN39645 pep chromosome:PHallii_v3.1:7:39462384:39463311:1 gene:PAHAL_7G218200 transcript:PAN39645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQYVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPPLINIEAGPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVSQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWMAVHGGCMPTRVNGKIIGNAPLSLSTLVICLGCRRAIATSRRNTSQMRCTGR >PAN37199 pep chromosome:PHallii_v3.1:7:22417720:22420716:1 gene:PAHAL_7G075300 transcript:PAN37199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFPFLLLLSSPYAQAQQNITLGSSLTPEGPSSFWLSPSGDFAFGFRPTEGNTSSYLLAIWFNKISDKTVAWYAKTTDQDPSRVQVPSGSRLQLTSVGVLSLRDPTGTEVLTAPKMLRSRIMATDYSNGRFLLNLQIDGVSLHSVAMPTKNLYDSYWSTDGNTTNLVFDASGRIYITTDNGTQINLTSRGIGSMGNYYHRATLDPDGALRQYVYPKKVSNPQSQAWSVVGMEPQNICEAMADNGSGACGFNSYCMLNGTTNQSTCMCPAQYSFIDEERKYKGCKPDFQSQSCGSDEAAAIMQFQIISMDNVDWPLSDYETYTPITMDQCRQLCLTDCFCALAVFHDNDSTCWKKKMPLSNGMFDSSVTRTVLIKVPTNNSTQSEHVDSSKWKKDRKYWIIGSALFLGGSVMVIILLISVIIFGAYCTITINEVPNLQSPNNLGLPLKAFTYAELERATSGFQEVLGTGASGVVYKGQLQDDLGTYIAVKKTDRLEHETEKEFTVEVQTIGQTHHRNLVRLLGFCNEGKERLLVYEFMVNGSLNRFLFGDVRLQWNLRAQLALEVARGLLYLHEECSTQIIHCDIKPQNILLDGNFTAKISDFGLAKLLRTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSYGVILLELICCRRNVESVVAEEDQKILTYWANDCYRCGRVDLLVEGDDEAIFDLKKVERYVVVALWCLQEDPTMRPTMLKVTQMLDGAVAIPTPPDSSSFVQ >PAN39424 pep chromosome:PHallii_v3.1:7:40979478:40980509:1 gene:PAHAL_7G241600 transcript:PAN39424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGDADGFALDFIREHLLGGGCGGVAAADSPVVSDDVNVPELPPQPEFEPMSFLPPRQEQHHQGFMGMDDLMTDEYMGGAPAVGEAAAFPEQVPAAPVIIKFGSERSPAVRQPLTIAVPPSSFAWAGAASAATPAAPAAAAVVEDFRMYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRDADFLASPPAPATKAAAATSKRKRKQLENSDDVEVVAVVNKAVKVDAPSSSSTQVSSSTSPSSMSTRETTASSTVTSTTAETGAGAEWLPMTPSSGSCEQYWEALLGGLPPLSPLSPIPTLGFPQLPVS >PVH35755 pep chromosome:PHallii_v3.1:7:42576568:42577555:-1 gene:PAHAL_7G265100 transcript:PVH35755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKLKLHQVHASLLKLNTDRYDLCGVYVFVTVSINIDIWKHIFISSSLLAF >PAN37165 pep chromosome:PHallii_v3.1:7:20136734:20137819:1 gene:PAHAL_7G066200 transcript:PAN37165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSAEEEEEPPEAAMKKELGPTPCGSCIAWPPAVSERYEPLEKLGEGMFGDVYKAWDRVGRRLVAVKRLSGRTDDRFVETSLRYFAREAMSLGACRGHPSVVKLVATHADSARSDGDCFLVTRYAGPLNLRQYMRLRSVQGRPFLEAEVRDAMRQLLSGARRVHDAGVLHRDMVPENVIVDERRDGKKVVYRICGFGVSERAARPDRDGSAPLASPSPYRAPEIFLGSQDYDGRVDTWGLGCIMAELIAGSGKPFFGANLDAKVFEKMQRAVGTQGIVEWSGLQRLARRDLAAELREKGYATYTGCLREVFPEKQLSEAGFEVLSGLLDANPERRLTAKAALRKPWFRRFSFGGCCFVP >PVH35996 pep chromosome:PHallii_v3.1:7:46696283:46696732:-1 gene:PAHAL_7G330400 transcript:PVH35996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALVLVVILLAAVAVAPLAVAASSSSSEAPSESASAPSPSDAPADAPSATSSSDGPSTSDA >PVH35879 pep chromosome:PHallii_v3.1:7:44761397:44761779:-1 gene:PAHAL_7G299600 transcript:PVH35879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLTESALASSMGKKISWQYEVAAAVVEGKKGFWLRQLMCWISSEVVFMSTSIYGRKMTCTVHATFDGANFEVESRMFPRRQVLVRNKNNKLKCSSIGTPFRCIANQ >PAN38951 pep chromosome:PHallii_v3.1:7:38822189:38826327:1 gene:PAHAL_7G208800 transcript:PAN38951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGARGRPSPSSGGAGDSEPRSVGSRTRSVSATRGRKPSPRPGRDVTAPAAAAEEKKPAGVPTLLPSLSAPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPAFGAKKKKTLSQTDYKAVSMLERDGGLASQTDAAGVKRRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLVLSGALAELTWPAILNKRAMFREVFMDFDPALVSKLSEKKIIAPGSPSSSLLSEQKLRGVVENARQILKIVEEFGSFDKYCWGFVNHKPILSRFRYSRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFTECAAASAGAAKPTDGSEASSSDSSHATTEQKMNGTNGQAADIELSRTIDELSIS >PAN38452 pep chromosome:PHallii_v3.1:7:36663812:36672905:1 gene:PAHAL_7G173000 transcript:PAN38452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLTGLRSLEGFRSLVGSTSTAMKAANPKPSSDAGGSSYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEGKLQQAVNENAKLKVKQNEDSKLWQGLDSKISSTKTLCDQLTETLQQLASQTEQAEEDKKFFEEMLGRNSKALDEFNCLLHDLSTKLECAEQKIIAGKQEMLQIKQEKEEMERSHKEQLYSNDTTIKEKDSLIKQLEGSVDENKSRLICLNSRLQCMEQELKQKDGVCISLKENLASSESEKNNLELRNQGCILEIEKLCKDNKDLNELLSGFMAKVTELDKEHASMSSHVSRLLCSFERFYEMAQEEKMLIARSSKDKFEHLQRQYVDLSSENNALKIEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAETSASNISLLETLASELQGRVQKLLEDSTLAENHKQELLEKILKLESDNQELQGQLQSIMEEKSNNDESLQGEISKRDQQVDTLENQINQLRCVLNEKEQLYTCSVEREKTLEDQKLQVEASLAATECQLNEAKKQYDLMLEGKQIELSKHLKELSLKNDQAINEIRKKYELEKIEITNAEKEKAEKLVREMENKCNEKLSENKQDSERYLMRLKEEHGAMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSLLRKEHELQIKSLRMQHEEECQRMQEELELQKSKEEKQRTLLQLQWKVMGENQQVDQEVNSKKEYSVSSIKRRDPYGRKEHELQLGSPETKRKDVNLSGILQSPISNMLRKVEKGSQDIPKHRKVTHHEYEVETANGRITKRRKTRSTVMISEPNTQKSLQNTADKDVTKIRKVPTGSHAHPANIGELFSEGSLNPYADDPYAFD >PVH35535 pep chromosome:PHallii_v3.1:7:38872713:38879386:1 gene:PAHAL_7G209400 transcript:PVH35535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MFRPIHDSDRIVEGSNRAPHCAKRSLRLHLLGTSCPSANHSDLPFPTRSQRGEAGTPSAMEPGLSIESGSAIRVAVLPVGGTIPPPRLREYAALVARHARVDLASLRTYYSEHQKSPFAHQPWETGCLRLKFVLGGCVPSPWEDFQSSRKVLAVIGICHLPSSPDLDRVAADFVDAARTYPSALARRCFAFCPTDAQMAGKKRDDIIMFPPSDQQSLELHMVTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPVDANAHYTTAIELARLTGDVFWHAGALEGSVCALVVDRMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKTSKINHDSSKEPRASSSDSGKVHPQSIASLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRSFYPLITPAGQSGLASSLANSADKLPTGTRCADPCLPFIRLHSFPLHPSQRDIVKRNPRKKEWWTGAGPSGPFIYTPFSKAGSSSGTSKQEVSWIVGEPVQVMVELANPCSFDLVVESIYLSVHSGNFDAFPVSVSLPPNTSKLVLLSGIPTQVGPISIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANIALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSSADLEADGSRSPASSRRIAREGINPFLNIHYAGPAANPENGDISLPPGRRLVVPLNICVVQGMRLVRARLLSMEIPARFTEAHLRPVSGKDDISTGNDTERTNINLLKMDPYKGSWGLRLLELELFNPTDVVFDVDVAVHSDDINVDKRVISEGNAGDAACHKTRIDRDYSARVLIPLENFKLPVLDASFFVKESSSDEPLGSRAAAIAERNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFSFKLAKNGIATNADSSKDSGNPSIHSSEGNVGPSTGNVLRCDDPISAHAMTHMVVQIRNNTKEIIRMNLSISCKDVAGDNCFDENSATVLWAGVLSDIHLEVPPLQEVVHPFSVYFLVPGDYSLQASSVIIDATDVLRARAKAESPDEPILCRGSPFHIRVVGTA >PAN40178 pep chromosome:PHallii_v3.1:7:44629501:44634581:-1 gene:PAHAL_7G297500 transcript:PAN40178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEPKILEKLSHERMYSWYFTREELEKFSPSRKDGITETKESELRHLYCSFIRDVGIRLKLPQMTLATAIMFCHRFYIHQSLAKNGWQTVAAVCVFLASKAEDTPCPLDHVVRVAYETMYRRDTAAAQRIRQKDVFEKQKALILIGERLVLTTIRFDFNIQHPYRPLFDAMRNLGINQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKLHNIKLPLHGAHVWWHQFDVAPKPLEAVIQQMMEHAAVKKLMPARPSPVKQKEVPCEAKLHISNSPDSVLNQSSLLISSSSPDIGEPSDPMQLDSCQYLISSHTIDGRVSGPDSSSLSVRAYINVSREVHDEESLDQASLTKHDDGMMSHSNQTSLDAIAATEGSAECMKQDVSHGTVNGKNLNQASRNWHGGNVHPLPVVISLDAKVGQESTWCVEPLIGSSNHCTDSLIVDSLCTDQRLADAAPGPINDAPSASPVVVEADPLRAELKKVDVARIKDLLSKRKRQREIQERAIGSDDLSEEAWIERELESGIVIKQEAAASDGLSDEAWIERELEAGIVVGPRNKQAITLDGLSEDDWIERELESGIIVEPASASKKQKLESSCC >PVH36048 pep chromosome:PHallii_v3.1:7:47551013:47553366:-1 gene:PAHAL_7G344300 transcript:PVH36048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPWLGYSSPHYRSSLSILRCWAVQWASWAADWAGRRHGGPLDISFLILPKAPILSPTAPPRTGAAMADATPAAAASSCEVDLGNLMVYDPSHHVSAAASREELRQECLQKATELAQAVANSLFALPPSEDRDGPIVHLPPPNIRLPREKHLPKPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIIDAKATDEPGVDPFAQRRQEKKKRELKLIFRKKSRKEDLENVAGMASSATASGGKFDEKLPGEKPPKHPGKHRQFLPVAEGKGMGNLEKQQNDKILNSLLARNSDEQLDVGKAITMYKVKKEKQRRKDRETFPKSDKLKPQKKSLKKSSKKKA >PAN38935 pep chromosome:PHallii_v3.1:7:38749152:38751458:1 gene:PAHAL_7G208000 transcript:PAN38935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIAQQFMLELTAPRAWMLLLLPLFLLLVRCWFRAKRAREIRQQQDDHLPPSPPALPVLGHLHLVGSLPHVSLRSLARKHGYDLMLLRLGAMPVVVVSSPAAAEAVLRTHDHVFASRPLSLVADVVMYGTSDIGCAPYGDYWRRARKLVTTHLLTVKRVQSLRHAREEEVSTVMAQIGEAAGAGAAVDVGDLLGSFTNDLACRAVMGKSSSRNDDRSKLFRQLVVDTSPLLGGFHVEEFFPFLARFGVLSRVVRAKSERLRRRWDELLDRLIDDHESKYKPTMAAAAASDQKDEDDDFIHILLYVRQEYGLTREHMKAILLDVFFGGIDTAAAVLENTVVELMKKPRVMKKLQAEVRSIVPKGQEIVGEADLNGMAYLRAVIKESLRLRTVTPLLAPHFSMASCSVDGVVVPAGVRVLVNAWAIGRDPRYWGEDAEEFVPERFLDGGSAAGVGFKGNDFQFLPFSAGRRQCPGMNFGMAAVEVMLANLVHRFDWEMQAGKEARDIDMSEEFGLVVHRKEKLLLVPKLLHV >PAN39845 pep chromosome:PHallii_v3.1:7:43035849:43039718:-1 gene:PAHAL_7G273300 transcript:PAN39845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGFIRRTAVAAASSPAAGLRQFQTAYHRSNERLSPARDQEVSYGLNLAIAGRGVIVKDKVFHNLETSELQKAGATYLDKQSGIPLHVRGDVTGRVPDVSKAQFAKLLKLVTFHLSSISCLYVQDGAICSSGECDAKVRIISDNPSAVMLLSNILWKIPDRAISHDTSPLTIYATSSISNNIKTILGSGTQYANGFAAADIERASLILCGKAFADSATVKDALTAVTAPILSARGGLPVPGWLLCFGGSIVLLFAPVEIIMSCSEIQNALLSIDCGAVISSKGSTVLFPTKAKREPKLFTKPTTVIIVSSDSTGAIASVSKLSPGQAAYHFLVGYHDGKFIPAYSRGPSPADPLALASSLFTHLKEDDTQTYLINAKHSGKYIDGKGFMELLELALSQNLPDIKTEDFRVGELKGKYRSFLSSKFGKCLPEEFCF >PVH35550 pep chromosome:PHallii_v3.1:7:39002356:39005521:1 gene:PAHAL_7G211800 transcript:PVH35550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSPQYVLFILIQILYIRNTRSNYILTKKIAGQMPLPQLKCKKSSLINNQNQNTNQKARNLLLRLTRPNQQTSNSKVAGRQYGKDQILGQFLKDHDARRSMGRPVVAVGAVEGLHGGEQEQEHSSDDGHDRPRVAKVVVLQAGHPRAPLVLVVPVHLVRQPGEVAVVDVEHHLVAEAVEEVGHLSVAAEPVLDDAAVVQVLDVLRRVDEVVHEDGIGGDVVAARGGDVHVALLERDQVQEQRLGAVVDEDPRDLEHAVVELDVPEPVRVAPPAPDAGLPELRHAVHELLPPLVGHAAQAGPLLEPQPRGGRRHGLQAGAQEAAPEHVEAVEHGVGQRVERRRGLGADGRLQLGPVLAEQRRVGGHERVEEPHGQAGDGAGLLWVAELDPEQEVEHEERDLVLEQDHVVAHGVHRAAHGEDGVVPRVPQVVDEPLPAVQRAAEELQHEGPVQDEALGELIAPHPGGAALVAGAHPVLQRPHGAEVRRDVVARALEHVVQRAPLVAVHLPQAAPAVVERADGDHLRRVGALVAVAEAPGNAVHGEARPGARRLLGARLRQLPADGDHPFRVLRLGAVRRGLRPVLLRLALERRRRRRWRGAVRERQRQAPRPLLLLRRGFLEVGVDGLPELDGDEVPAQHVLVEDGDHRWRRDGEARVGSAGRRGVAVRRLVSSRGRQVWLVLGPGRSERGEGARQGNDVLMVIRMWMCASLCLPLRLF >PAN39765 pep chromosome:PHallii_v3.1:7:42683243:42687760:1 gene:PAHAL_7G267000 transcript:PAN39765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACGGALRSRHLPSFKHAASQRQDSEYSAAAADDSPKKPSSRPRTPPAATTDAHAGHASAPPAPGMRRGAAGAQPDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPDQVFTDVVGSPYYVAPEVLCKSYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPEERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAF >PAN38660 pep chromosome:PHallii_v3.1:7:37543106:37547150:-1 gene:PAHAL_7G187600 transcript:PAN38660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAAMPGLLLLPFLLLAAASGAAYDAASTPPISRKSFPDGFVFGTASSAYQYEGGATEGGRGPSIWDTFTHQHPEKIADRSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWSRILPNGSLSGGINREGVNYYNNLINELLSKGMQPYGTLFHWDSPQALEDKYEGFLSPNIVNDFKDYADVCFKEFGDRVKRWITFNEPWTFCSSGYAVGFAAPGRCSPWDLGRCSVGDSGREPYIVAHHQLLAHAETVRLYREKYQRMQEGTIGITLISHWFLPVSRSKTNAAAARRAIDFMFGWFMDPLIKGDYPASMSGLVGNRLPKITKEQSKLIKGSFDFIGLNYYTTNYAAHLPPSNGLNKTYSTDSRANLTGVRNGVPIGPQGGSSWLYIYPQGFRDLLLYIKKYYGNPTIYITENGVDEVNNKSLTLKEALKDDTRIYYHRAHLLAMLSAIRKGANVKGYFAWSLLDNFEWANGYTVRFGIYFVDFNDGYKRYPKKSAHWFKEFLKK >PVH35190 pep chromosome:PHallii_v3.1:7:32457546:32458268:1 gene:PAHAL_7G122500 transcript:PVH35190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCSTATSALRGHESRGRRRRAPPPARGAGVVEAVHGRLERRLVDLERDWDAYRTGRSGAPRHHHRRSRSATGTPSSAVTVAAPEADGLLLPLYRCSSPRRLVSSLQRTTSADSDRAAKIILRAAGDSPTGPSSVCSVEAGYSMEASSSSCSCPRRCRCAVCCSSYSASSSLTGAAAPPFSSAAGGTAGERARKSDGGRWAAFPTAWIYAIAFVVVVFVAMVILELRVGDGCGEYLAPT >PAN40086 pep chromosome:PHallii_v3.1:7:44213150:44217534:1 gene:PAHAL_7G290800 transcript:PAN40086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT4G19860) UniProtKB/Swiss-Prot;Acc:Q71N54] MTLIEELIRAIELWLRIVKEQVPLVDPTLDPVLLVPGIAGSILEAVDEAGNKERVWVRILAAEHEFREKLWSRFDASTGKTVSVNEKTRITVPEDRYGLYAIDTLDPDMIIGDETVYYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSKKLESVYTASGGKKINLITHSMGGLLVKCFISLHSDVFEKYVKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESKFFISKWCMQQLLLECPSIYELLANPNFQWKDTPLLQIWRENLDNDGKKSALLESYEPAEAIKMIEKALSNNEIIADGMHIPVPLNLDVLKWAKETHDILSSTKLPESVKFYNIYGIDYDTPHTVCYGSERHPVSNLSHLLYAQGKYVYVDGDGSVPVESAKADGLNAVARVGVAADHRGIVCSHHVFRIVQHWLHAGEPDPFYNPLNDYVILPTAYEIEKHHEKRGDLTSVSEDWEIISPSDGKTMRPAEFPPMVGALTASREGKEGTLEEAQATIVVHPENKGRQHVEVRAVGVSHGG >PAN40354 pep chromosome:PHallii_v3.1:7:45232933:45234519:1 gene:PAHAL_7G308800 transcript:PAN40354 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MATAARAIICELAPQKVAAATAPSVPAPPKKRDAGKVVLQPRLCTLRSYGAGSGVVTRRILAGAEEGSGAADSGGSAASPFFASLADYIESSRKSQDFETISGRLAMVAFAAAVAVELTTGSSLFKKLDTMEIEEAAGLCVAVVACAAAFAWASSARTRIGQMFTLGCNAFVDSLIDNIVEALFSESELQDWSDDI >PAN40735 pep chromosome:PHallii_v3.1:7:47071552:47073666:1 gene:PAHAL_7G336500 transcript:PAN40735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MPALLVNTASVFPASPWLPSSRPTATRRASSRHRCRAEASGSGGGGRSTNGDGYKPGGVRGSWVSDYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGATEGHDMAIVLNEVYALLSDPAARLAYDQEQARRSEFAGYTGRPLYSSWRGAEAERRAVFVDEVRCVGCLKCALHASRTFAVESVYGRARVVAQWADDEDKIIDAISTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNAVGARAPNIFNEVAKFQKRFEEMKQKSATRESQESETAKQSRTSAVHTIRSMSNWWYWRPFGSSAPATIVLASRLLPPAAAASPSKAADPAADRLQEAVAARRKREGAATAAAPHARLDDYWTPQLNLPSSASPPSIHQRARDAPQGHSRGRRAAAGEATAGPGRKGASIDLTAPLLLGIISAGFVGYNGEEMAGSGSGGIQEHFGGAVALGIVNSFEMKVMLAGVTWFIIGAAIAGVIQVLGRRGEDIWK >PVH35586 pep chromosome:PHallii_v3.1:7:39516225:39517608:1 gene:PAHAL_7G219100 transcript:PVH35586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNLTPPALLLHACSASELHRNGDGYQYMFPCAPGSTHAVLGCLSGFSWNTDRVRTEGPIVIDRQQPLSCGVNATSYIPKEDRERNKCFSSGARGQSSHFPSLIGQSSICSSRAIFSFSTYILVHTRVFVAQEL >PAN40066 pep chromosome:PHallii_v3.1:7:44123018:44124868:-1 gene:PAHAL_7G289300 transcript:PAN40066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLPKLTTPSCKLPPSPLLKPQLALPGHSGGKIHGSGCGAAQAAAPGHLSLLLLLSASQQAAIPSAKSTPTKNRGKGGGDPQRSDFYLNLGTAVRTLRDDLPDIFVREPNYDIYREDITFIDPLNTFHGIDNYKTIFWALRFHGRLLFREIGLDVSRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRSVAKVGSIADMVVATCPPSPNLTFWDVVGTGDGCSWTKLYEAVVEAVEQEGHNSSGIVIGGLITCS >PAN40348 pep chromosome:PHallii_v3.1:7:45198386:45198920:1 gene:PAHAL_7G308200 transcript:PAN40348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASASPAREKFGDAVIWQGCRSVCTELWRPPAALAPVTGARMEAEGKTGHVASSFCFLFSLWSIAVVD >PAN38717 pep chromosome:PHallii_v3.1:7:37826465:37829166:-1 gene:PAHAL_7G191800 transcript:PAN38717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVHPAAAVPATTAPAPPRPPPVRAALGHVPQLHAALLKSGELTASPKSFHSLLEAAAHGASPAQLSYAVRLFRLGPRPPLSVPCYNVLMRAFLRAGHLEDALHLFVEMLDAASIWPDQHTVACALKLCSRIFSLDAGRGVQAYAVKRGLMVDRFVLSSLIHMYASCGDVAAARLLFDAVEEKGVFMWNAIIAGYFRNGDWKEVVQLFKGMLEVGAPFDEVTLVSVATACGRTCDSNLGKWIGGYVEEKVMLGNRNLVTALVDMYAKCGELGKARSLFDGMQSRDVVAWSAMISGYTQADQCQEALALFSEMQVAEVEPNDVTMVSVLSACAVLGALETGKWVHSHIRKKCLPLTVVLGTALVDFYAKCGCIDSAVEAFESMPVKNSWTWTALIKGMASNGRGREALELFSSMCKASIEPTDVTFIGVLMACSHSGLVEEGRQHFDSMTQDYGIQPRIEHYGCVVDLLGRAGLIDEAYQFIRAMPIEPNTVIWRALLSSCAVQKNVEVGEEAFKKIISLDCSHSGDYTLLSNIYASVGRWKDAAMIRKEMKDMGIQKTPGCSLIELDGVVFEFFAEDSDHPQLREIYEKVEEMIGKIKVAGYVPNTADARLDVDECEKEVSVSHHSEKLAIAFGLMKLRPGATIRLSKNLRVCTDCHSATKLISKVYNREIVVRDRNRFHHFKDGSCSCNDYW >PAN37246 pep chromosome:PHallii_v3.1:7:17730227:17730981:1 gene:PAHAL_7G058700 transcript:PAN37246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFEDGIVRRADSDPPSGAGAGGSRPNKVLVHVPSGEVVTSYDVLERRLRELGWERYLYDPCLLQFHQRSTVHLITVPRDFARLKLVHMYDVVVKTRNVFEVRDAAPPA >PAN40512 pep chromosome:PHallii_v3.1:7:46134575:46136160:1 gene:PAHAL_7G321200 transcript:PAN40512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFAKGKQDGLCKSSVGRWTARRPRLCGAMKTRALTFMGRHENICQMFENGRLLNSSSSKQSLAGPLPSSSYRGLEAQQFCLPVRVLEALFFSSSKKKRSAIFRNKPSGMRISKSVHQFNVARIGSEVLLSASPALACNTTAEPPIAIAAFFV >PVH34989 pep chromosome:PHallii_v3.1:7:24090462:24090977:1 gene:PAHAL_7G081700 transcript:PVH34989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSVSSNSPSSSSMIFVTSPDSNTSREATPEFDLIASYEARAPLHWDAEEWDYNTWSEDDEPLTDDEDPQILLHGDLDEDDDEDSWDDDFLSFSEENAKETSTDDDSIAGGFLRGGSSTSGGDGDTNDDTSDDGRDNSSTGGGDGSSDDDTSTPPPYKRRKTLGTYWW >PVH35040 pep chromosome:PHallii_v3.1:7:27094885:27095601:1 gene:PAHAL_7G092900 transcript:PVH35040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPLINIEAAPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSNDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWSLSYARV >PAN39826 pep chromosome:PHallii_v3.1:7:42905693:42907154:1 gene:PAHAL_7G271300 transcript:PAN39826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKDKTKTKVEVKTAVYKVHVHCGQCARDIQTQFTEFQGVEEVKVDAGAGKVTVKGFGFDVEKLRAKVEKGCRKKVELVVPAKKEEDVVTEVKTKEEELKVITVKVPLHCPDCAVRVKEILLEHKSIYAAKTDLGKNTCTVEGAIDEKKLVEYIYQRTRKKGVVEKIEKKVIVKEEVEVKKDEKKEVAKVVEAVKEKVTEVVAPYFIPCTHPRFVDYSHPCHRRGSYGGDCCSPCGGGYGCGYPYGVSYTHSELTGYHDTAFLHCTHPNEFISEENPYACSVM >PAN39165 pep chromosome:PHallii_v3.1:7:39863027:39866613:-1 gene:PAHAL_7G224600 transcript:PAN39165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFAESGGGGAEPPLVESYLDLLRRGGIAPAEGRAAAAVEERELPLIDLECLMTATGDEARRAACAGAMARAASEWGFFQVTNHGVGGALLERLRAEQARLFRLPFETKSRAGLLNGSYRWGAPTATSLRHLSWSEAFHVPLASISGSACDFGELGSLRGVMQEVADAMSRVAKAVAVALAGSLMQAAEEAEAFPAGCDETTCFLRLNRYPACPFAPDTFGLVPHTDSDFLTVLCQDQVGGLQLMKDARWVAVKPHPDALIVNIGDLFQAWSNNRYKSVEHKVVANAKAERFSAAYFLCPSYHAPVGTCGEPSPYRTFTFGEYRRKVQEDVKRTGRKIGLPNFLKQQPPQPQ >PAN38236 pep chromosome:PHallii_v3.1:7:35569705:35575544:1 gene:PAHAL_7G156800 transcript:PAN38236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVMCGQPCSGKSAAAACLAAALRTSSTDLIVRIIDESSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIIVDSLNNIKGYRYELWCLARASGVRYCVLFCDTEVDHCREWNCNRQEKGEPAYDSNIFEDLVRRFEKPDRHNRWDSPLFELFPSRDEIVELAPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTVRTTEANSLYEMDKATQEVVNAIVEAQSCGLGLAMNKISIGPNLPTINLQRSVGLPQLRSLRRTFIKLAGQYSLSGPPPPTDADSAKRMFVDYLNREVGA >PAN39081 pep chromosome:PHallii_v3.1:7:39550904:39553644:-1 gene:PAHAL_7G219900 transcript:PAN39081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSHHLAAHSCSYTTTYTHCSVGFSPRVRAGARIRAAAEGGDGGGDRRRRGASLAADGPRVVEVTAAPVAGGAAGAAGSAGFRARDAELAMWDKLGAVVRLSYGIGIYGAMALTGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFMLVVTASSIGEELFYRAAIQGALADIFLRSTELMKDARGIASLSGIVPPLVPFAQTFAAVITAALTGSLYYIATAPKDPTYVVTPATRSRSGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGLEWIQTDNILAPMITHGIYSAVVLGHGLWKIHDHRRRLRQRIQQVRSQGKSSGTL >PVH34870 pep chromosome:PHallii_v3.1:7:15022533:15023267:-1 gene:PAHAL_7G051400 transcript:PVH34870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKATPLRRLRMLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEGSP >PAN38563 pep chromosome:PHallii_v3.1:7:37136131:37140912:-1 gene:PAHAL_7G180800 transcript:PAN38563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPTIPRRGLFIGGGWREPALGRRLPVVNPATEATIGDIPAATAEDVEIAVAAARDAFSRDGGRHWSRAPGAVRAKFLRAIAAKINDRKSDLALLETLDSGKPLDETNADMEDVAACFEYYADLAEALDEKQRSPISLPMENFKSHILKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCTAVLKPSELASLTCLELGAICIEVGLPPGVLNIITGLGTEAGAPLSSHPHVDKVAFTGSTETGKRIMTAAAQMVKPVSLELGGKSPLIVFDDVDIDKAVEWAMFGIFANAGQVCSATSRLLLHEKIAKQFLDRLVAWAKNIKISNPLEEGCRLGSVVSEGQYEKIKKFISTARSEGATILYGGARPQHLRRGFFIEPTIITDVTTSMQIWREEVFGPVICVKEFRTESEAVELANDTHYGLAGAVISNDQERCERISKALHSGIVWINCSQPTFVQAPWGGNKRSGFGRELGEWGLSNYLTVKQVTKYCSDEPWGWYQSPSKL >PAN39705 pep chromosome:PHallii_v3.1:7:42409306:42411347:1 gene:PAHAL_7G262600 transcript:PAN39705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRAIYCSSVLIAALLLVVPSSAVVPHAFFIFGDSLVDAGNNDYLVTLSKANAPPYGVDFAFSGGEPTGRFTNGMTIADIMGEALGQKSLAPPYLAPNSSAAVASSGINYGSGSSGIFDDTGSFYIGRIPLRQQIDYFEKTKAQILETMGEEAATDFFKKALFVIAAGSNDILEYVSPSVPFFGREKPDPSSFQNALVSNLTFYLKRLNELGARKFVVSDVGPLGCIPYVRALEFMPAGECSASANRVTEGYNKKLKRMVERMNQETGPESKFVYTNTYDIVMEIIQNYRQYGFDNALDPCCGGSFPPFLCIGIANSSSSLCSDRSKYVFWDAFHPTEAANLIVAGKLLDGDAAAASPINVRELFQYEYK >PAN40382 pep chromosome:PHallii_v3.1:7:45341864:45343126:-1 gene:PAHAL_7G310700 transcript:PAN40382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISVTASPASAFTGGQLTLFGLVGAGGAPPRHGKMEFPAGFMGSKGGRLAVVVGAAAGVQLPGFGLVGAGGAAPRHGKTAFPAGFVASKGGRLAVAAAAAPGESDGGLPSGDCEAAVSAFRLQMELFKLKQRPLAFVGSPKDPLLVTASGIAKDCELMVEFLLAKVPVHPDILKELILAGQAFLILEGQADEGYMKADALANVIEGVKLVTKTFFQGVDLPEYSAPMAPLSAADVDKYSFFKELVVMSAVFYTALYWAARKKYSFGLGGAN >PVH35187 pep chromosome:PHallii_v3.1:7:32416980:32422159:-1 gene:PAHAL_7G121900 transcript:PVH35187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMQVSHHTTRSDTPPPTPSLLDSLQPPPAPPSNRARMAARCPYFAAEETTRVIRPGESPAAALRRILAKPGAHQAPCCFDALAARLIERAGFEIGFMSGFCVSATRLSLPDVGLISYGEMVDQGRLINEAVSIPVLGDGDNGYGNSMGIKRTIKGYINAGFAGIMLEDQVSPKACGHTEGRKVVSREEAVMHIKAAVDARNESGSDLVIVARTDSRQAISLDEALCRVKAFADVGADVLLIDALASVEEMKAFCAVCPGIPKMINIIEGGKTPILSPVELGKIGYSLIVYSVSLLGVSMRAMKDALVAIKDGGVPPPSIMPSFQDIKDTLRFDRYYKEDKLYQVDDCRATNGHI >PAN37420 pep chromosome:PHallii_v3.1:7:26737621:26742696:-1 gene:PAHAL_7G091500 transcript:PAN37420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGDSFEYYWEMQRLFESDELSIYLNGAQDNALSCYDSSSPDGSMSNSSWAPAATADDDKGPGAAAAEGGVGEGANKNIIMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIQQLQAEERRVLQELEAAAGAQQMDRAAYEYEYDEGVLLQAERAKKPKRAPSIAPASAPVEVLELRVSEVGERVLVVSVTCGKGREAVARVCRAVEELRLRVITASITSVAGCLMHTIFVELDQGDDRARMKRTIEAALTQLDAAAGGSPPSVMSY >PVH35390 pep chromosome:PHallii_v3.1:7:36342055:36342489:1 gene:PAHAL_7G167700 transcript:PVH35390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN40222 pep chromosome:PHallii_v3.1:7:44783483:44786439:-1 gene:PAHAL_7G300200 transcript:PAN40222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGRRAGSYICSELCGSALNQRRYSSSRVDWKQLRAMILKRIKSRSKEYPIKRMIPVAEEVVRAREIVTEGVSTLLNVVPVHSCKFCPEVHIGATGHEMKTCHGFKRMTKDRPHKWGPGNLNDILVPTQAFHLKEMFQDEIKHDQRFDFARVPAVLELCHQAGADIPDEILYRSEQLSTAVRGNSQQPAPILPDQLRYIGQRTLDAWESLRFGVMKLLLVYPSKVCEHCSEVHVGPSGHKARMCGVFKFEGWKGMHKWKKAGVDDLVPQKIVWHQRPHDPPVLVDGGRDYYGHAPAVIELCMQVGARVPPKYHCMMKTHGLAPPVQ >PVH35448 pep chromosome:PHallii_v3.1:7:37574410:37574933:-1 gene:PAHAL_7G188100 transcript:PVH35448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLRLKFIDVVESIFETDLHESLTHISGLGLLYLEDSSFLSGPRIPIDK >PVH35650 pep chromosome:PHallii_v3.1:7:40688098:40689592:1 gene:PAHAL_7G236800 transcript:PVH35650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVRTATRLPLAGISGGAVLVKGPAKPLARQAHTASSNKENVPPVGVLRTAPKRRSPLPDWYPRTPLRDITSIVKTLERSRLQDAAARQQIQWTADSSVDPITAVQAESTPTTEEAQAVATPATSLADGKLKTSSPSDCSLQATPSKPNDSALADLTEKKLSGSIKQIEKIVTQLLKETPKATQPSKVAAQRRTLMSMR >PVH35196 pep chromosome:PHallii_v3.1:7:32519524:32521195:1 gene:PAHAL_7G123700 transcript:PVH35196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTADYLTLRAPDQSVMQKLPAAKEAKQRLPPRNHCRPVLKGAPFIVCSGCFKLVQVSADFAVFTKKVHELRCGSCSAVLSYAYRVPARKKPYQKSIDQLRSIADAALLLLEVLGARRRELGRSSNSWLETGTLFGGFHEPKTWTKYLMKNVVTRQGLDTYTWSFTSTLTEEDSFDPHKYSYRQVRVSGIKVSVYLFGSRKNLVH >PAN38139 pep chromosome:PHallii_v3.1:7:35084336:35088506:1 gene:PAHAL_7G149700 transcript:PAN38139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEGANLPHEAERSHDHATAPHESTPTFDGLVIVRSSSNPSSVSKLASEINNAGSKPASPAVLHAGEDNNTESKIASPAVLDAGQGNNAGSKLASPAVLHTGEFNNTESKIAIPAMLHAGEGKDNIDRPKLASPVVLHAGEGNKTREDDNAGSKMDGPAMLHVSVDNNAHAGFKVDSPALLYAGENDDSGSKLASQPVLHAGEDKDINAGSKLSSPVEIHAGKDNKAGSKLAIQAVPAGEDNNAWSKLSTLVLPRAGEGNNIASKLAIQAVIHADEGSNTVSKQAIQAMPHVDEDNNSRSKLVIPAGHHAGEGNNTAFKLAIPAVPHTDDDHNIESKLAKPVVPHAGEGNIAASKLAIQAAIHAGESNYVVSKLAIQAMPHVEEDNNSKSKLVSPPGHHVGEGNNTASKLAIQAVPHVGEDNNTESKLARPAVLHAGEGNNAMSKLAIQSVPQADEDNNSRSKLARPEVLNAGEDNNATSKLAIQAPPHAGEDNNAGSKLANPAAVDDGGNNTKEGKSDVARGEGGENIAATVSNGGALSETSKKSTSDGGASHDAVKDAPAAVAGGGKGKGKGVEATEMDHALHIWTERERRKKMKNMFSTLHALLPQLPEKADKSTIVGEAVTYIKSLEGTVQRLEKLKQERMRAQQAGQQQLAVGAGSSASAPAPPPAQAPATREAVLADMVQSWNAQEALMAELKAAATAVVYGAGTAFDGAAPAPAPAPAPAPAPLPPPPPLPPRAPPALQTWSAPNIVVCVAGDNAFINLCTPRHPGMLTRLFYVLERHRINVVTATVSSSPSHSMFFIQARINTAAPPPPMLPENMTVEERYKLAVAEMLHAVGN >PAN40720 pep chromosome:PHallii_v3.1:7:46969049:46972347:1 gene:PAHAL_7G335300 transcript:PAN40720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAVTDEVALPIRAVGDLAAAADVSREEVAVITQCASLGGKLPFEDGSVGAVLAVIKNVESLREQLVAEINRVLKAGGRVLVQSSAPFSSQKPNTDIERKLLMGGFVELQASASSSQDTVQSVTVKAKKASWSVGSSFPLKKATKALPKIQIDDDSELIDEDSLLTEEDLKKPQLPVVGDCEVGATRKACKNCTCGRAEAEAKVEKLELTAEQINNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKVSLSGNFLAADI >PAN39140 pep chromosome:PHallii_v3.1:7:39814713:39817489:1 gene:PAHAL_7G223700 transcript:PAN39140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIEAAAAPEGGEYTAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDAAASGPDAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTLGLFLARKVSLVRALLYIIAQCLGAICGVGLVKGFQSAYFVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNDKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASAAKLGSSASFSR >PAN40718 pep chromosome:PHallii_v3.1:7:46959437:46963575:-1 gene:PAHAL_7G335200 transcript:PAN40718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELCDLKVHINGHHTLHLHQSVMCAFSGRLRTMVKLEKKKASRKEALSIKLADFPGGAEGFELVARFCYNNGRILLCPSNLPLLHCAAAFLEMTEEVCACNLLAQAEAFVNGLYYWTWADVLTAVKSCEPFSAAADASGLLERLISALFSKITASPETPTAIAAVGTPNRSSSSCSSSPDTVGFGRSSSTKTPESMRPCVGREWWFDDMTSLSPQTIEKVMRVLGCYGIENKNLILTRFLLHYLLAATRRPAMALCKEGTLAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSKECRHKLERLMGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVGSEEEADAPSQRMRKVGRLIDKYLGEISPDHSLKVSKFLAVAESLPDSARDCYDGVYRALDIYLESHPALSLEERTALCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQCKLQISKPAAAAAAKDGRADPSRTPRRVARAGARTRSASVDLDGGSDEKELLRLNLQRMQSRVVELERACKEMKGQMSKMAKGKSSLGAASCHQTGGRGLPRLC >PAN38128 pep chromosome:PHallii_v3.1:7:35050029:35053030:1 gene:PAHAL_7G149200 transcript:PAN38128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAAIYSLFIINKSGGLINYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPIPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAQNMEILLKAIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRLALLGR >PAN37604 pep chromosome:PHallii_v3.1:7:29460463:29463307:1 gene:PAHAL_7G102300 transcript:PAN37604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVTVPRIKLGSQGLEVSAQGLGCMGMSCAYGPPKPEPDMIKLIHHAVAAGVTFLDTSDVYGPHTNEILLGKAMQGAVREKVELATKFGITVSDDGQPQICGDPAYVRAACEGSLKRLGVNCIDLYFQHRVDKKVPIEITIGELKKLVDEGKIKYIGLSEPSSSTIRRAHAVHPITAVQIEWSLWSRDVEEDVIPTCRELGIGIVAYSPLGRGFFSSGAKMVESLSEDDFRKLIPRYQPENLDKNVQIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVGALSVKLTPEEMAELESYAAAGEVHGDRNAEIMAITWKDSDTRPLSSWKPE >PAN40414 pep chromosome:PHallii_v3.1:7:45504556:45510307:1 gene:PAHAL_7G313400 transcript:PAN40414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-5 [Source:Projected from Arabidopsis thaliana (AT1G05500) UniProtKB/Swiss-Prot;Acc:Q8L706] MGFWVGMVLGIAAGVAIIVGFARSENSRAARRRQLAATVASFSKMTIEDSRKLLPSDLYPSWVVFSAQQKLKWLNQELTKIWPFVNEAASELIKTSVEPVLEQYRPIIIASLKFSKLTLGTVAPQFTGISIIENTQESGIVMELEMNWDANPSIILDVKTRLGVALPIQVKDIGFTGIFRLIFKPLVEELPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTINNDLNPIWNEHFEFIVEDASTQTVTVKIYDDDGIQESDLIGCAQVRLQDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKDEAPNPFAPQFSMTSLEKTMTSMENGSGGSSFDMMSSSRKKKEIIMRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLILEVYDHDTFRRDYMGRCILTLTKVMLEEEYEESFNLEGAKSGKLNLHLKWSPQPIMRDTREEDRFR >PVH35554 pep chromosome:PHallii_v3.1:7:39054266:39056169:1 gene:PAHAL_7G212500 transcript:PVH35554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQWSHPSVALPLLVALLLLLTFRCSLRHAQAPSRGANAGGYGVDATLAELAAVDPAASAVLRDAEALLEGNLTRAPPEHRDAAVRGLRGWLGAQRFDPCVMAELVDLIKRPIDRYAGAARERGEGRRPYASCAVVGNSGVLLAREHGALIDGHDLVVRLNNAPAGEEGSWLARHVGARTGLAFLNSNVLSRCAARGGCQHCRAYGDRVPILTYMCSAAHFVEHAVCSAPAAGAAAAAPVIVTDPRLDALCARLVKYYSLRRFVRETGRPAAEWGARHEEGMFHYSSGMQAVVAAAGVCERVSVFGFGKDPGARHHYHTLQRGELDLHDYEAEYEFYRDLEARPEAIPFLRDSGFRLPPVVVYQ >PVH35556 pep chromosome:PHallii_v3.1:7:39078263:39084601:-1 gene:PAHAL_7G212700 transcript:PVH35556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEESKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDGELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPNLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASPREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN38283 pep chromosome:PHallii_v3.1:7:35955422:35959697:1 gene:PAHAL_7G160700 transcript:PAN38283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g08490 [Source:Projected from Arabidopsis thaliana (AT5G08490) UniProtKB/Swiss-Prot;Acc:Q9FNN9] MRAHCSRLNRTSYAAASAHASSGKAARRALHAAAPAPDHRQSGGTPPGLGAPAAQPLGSMFGEVLRPGALELAAAIRSTSALPDGGRALGRCLHGLAVKAGRVASSAAVAKAVMDMYGRSGALADARLLFDEMAHPDAVCWNILIAASSRGGPFDDAFGLFRSMLACGAAQSMPTAVTVAVIVPACAKWRRLQTGRSVHGYVIKTGLESDTLCGNALVSMYAKCGGSRAMDDARRAFSSIRSKDVVSWNSIIAGYIENGLFHEALVLFGQMISQGFLPNYSTVANILPVCSFTEFGRYYGKEVHSFVVRHGLDMDISVCNALMTHYSRVFETKALESVFSSMDVRDIVTWNTIIAGYVMNGYHSRALDLFQGLLSTGIAPDSVSFISLLTACAQLCDVKAGIGVHGYIFQRPVLLQETSLMNALVTFYSQCDRFDDAFRAFTGILNKDLISWNAILSACANSEQHIEEFFGLLCEMCHQWDSVTVLNVIRMSAFSGIKMVREAHGWSLRVGYTGETSVANAILDAYVKCGYSQDASILFRNLAGRNIVTDNTMISCYMKNKCIEDAEVIFNHMAEKDLTSWNLMIQLYAENDMDDQAVSMFNHLQSEGLKPDIVSITGILEACIHLCSVQLVRQCHTYMLRATLEDIHLEGALLDAYSKCGNITNAYNIFQVSPKKDLVTFTAMIGCYAMHGMAEEAVELFSKMLNLDIRPDHVVLTTLLSACSHAGLVDAGIKIFKSIREIHRIEPTAEHYACMVDLLARNGRLQDAYMFALDMPPHAVNANAWGSLLGACKVHGEVELGQLAADHLFAMEAGNIGNYVIMSNIYAADEKWDGVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFIASDVKHQDRSCIYDMLGSLYQQIKDTQTQSMTMVQSM >PAN40321 pep chromosome:PHallii_v3.1:7:45111831:45112041:1 gene:PAHAL_7G306200 transcript:PAN40321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKETALDLAKFVDKGVQVKLTGGRQG >PAN39795 pep chromosome:PHallii_v3.1:7:42756498:42757483:-1 gene:PAHAL_7G268600 transcript:PAN39795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFFFDAEPLCEPSVPALDACALCAKPLGRDSDIFMYRGDTPFCSEECRHEQMQLDAIRARQAARSAARRQQQYSAMAESRHQESRKVSVAT >PVH35024 pep chromosome:PHallii_v3.1:7:25987621:25989677:1 gene:PAHAL_7G087900 transcript:PVH35024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFKNRYMVMEVFIDAGRGKQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSCEDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKLAAGDRVTPEIIQSVQSCFEKIRGLES >PAN40020 pep chromosome:PHallii_v3.1:7:43849573:43854338:-1 gene:PAHAL_7G285200 transcript:PAN40020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPNFAGMIGGIGGHDNGGNFCDMAYYRKLGEGSNMSIDSLNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVVGNYSVGGHSIFRHGRVSHALSDDALAQALMDPRYPTETLKDYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHQNIVKFIGACRKPVVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAVPQDCLPTLGEIMTRCWDPNPDVRPPFTEVVRMLEHAEMEILSTVRKARFRCCISQPMTTD >PAN37714 pep chromosome:PHallii_v3.1:7:31751933:31754905:-1 gene:PAHAL_7G116500 transcript:PAN37714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYGGGGGADAGGSGGGGANGSQISLWDWQAGEHCEADDASHADATKFVWDCLNQDDDELLGLLGNQTPLRDCRDFFADIPDISCKETLDPEESREAKRRRTLEYPPESSQSEVGTHETASPFVASEVTEVSLLCTDEPQSLNCDIQYSSNNIDTISSLSNQASYWQENNHLEHCSYGTPVPCTQESVAYVDDQAGISGSSEIAPVTERFIMQETRKLSTLKVSKGGSSSLVKAKQNITTTVAYPFTLIKPSWKEGDVTLQDINQRLRAPPKKPPEILGTSAFSGKPVIGKTRIKTDGGKGSITILRTKG >PVH35576 pep chromosome:PHallii_v3.1:7:39336999:39337592:-1 gene:PAHAL_7G216400 transcript:PVH35576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARHGLVGAPLSDPGPAALTRARTTLSLSSSLPAAPPPACETDARSRQAGASQRGIPRSSPAANGRAPRSRMASSRGRDREAGGGKARTRRCLFCLCSAPRIARVRRLGLGRPGSHRVASPRRAHPRRIEPPRACVREEAPAINRGAPARPVRRRCHLLRRHRLLVPGGVAEWVGGTSLRGGALKSTAGFGPLLEQ >PAN39695 pep chromosome:PHallii_v3.1:7:42346458:42348829:1 gene:PAHAL_7G261900 transcript:PAN39695 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MAADPSSSSTGQHADDFHAAPPEDARQTAMSGPLSVRGERRPPPMQRAFSRQVSLGSGVTVLGMDRGGRNGGGRGQRALPRSGRSLGVLNHSGALGAAGGDGAARRGDFSMFRTKSTLSKQNSLLPTRIRESDLELPTHVEDQSVGRPAEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGLCLGLGSQAILWGSLAASPAMRFLHVTPMINVALWLLAVAVLVATSVTYALKCVFYFEAIRREYFHPVRVNFFFAPWIAAMFVTIGLPRAYAPERPHPAVWCAFVLPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNETLPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMAIFLYLSLVVRINFFRGFRFSLAWWSYTFPMTTASLATVKYAEAEPCFASRALALSLSLMSTTMVSLLLVSTLLHAFIWRSLFPNDLAIAITKDRQNGAVKPSGKGKRTSKRVHDIKRWAKQAPLSLVSSITKSNSADKEEEEKTE >PVH35548 pep chromosome:PHallii_v3.1:7:38980562:38982325:1 gene:PAHAL_7G211400 transcript:PVH35548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREMAVAGPPRAARGLAFLCFLVSCAALAGASRPSREDLDVSLGGGGGGGGGVGIGIGIGGGGGGQGGSSSSPAPSPSGPRPCDFENERLYRAYLVIQQFRQTVSCDPMDITRSWSGTDLCSSYKGFFCERPPNVTDRTIASVDFNGYMLRSDSLRDFVGSLPDLALFHANSNDFGGAVPALGGLQYFYELDLSNNRLAPAPFPADVLGLTNATFIDIRFNSFYGELPAGVFCRFPRIQAIFVNNNQFSGSLPDNIGQSPVNYLSLANNRFTGEIPKSIARNAGTLLEVLFLNNSLSGCLPYELGLLEKATVIDAGTNRLTGTIPASFACLRKVEQLNLADNLLYGEVPDALCRLAFGRLKNLTLSGNYFTSLGSCCWDLIKEGRLNVDRNCIQWAPNQRSHEECAKFLRQPKSCPVSDYVPCRPKYRGSGEPAGAAAEEEDAAAVEYRYRTYSALHP >PAN40080 pep chromosome:PHallii_v3.1:7:44184676:44194311:1 gene:PAHAL_7G290500 transcript:PAN40080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKEGPDVSAPEMTDLKVPDKTPAQDDEKENLLNGNANLQVKEAHNDEDDGTGSDGFELIDVKENFGSTKMEEETAAPSTKVDTPPVQKESDTQEEKTATHEEQSVAENTRHLDSSMLNQQTEQLGELTRRIEELESEKGKLVKDLTEAENKQSLHYSSLQEAQSSLAMKDKELAEATKSLKEQGSDLESSKKRIQEIEAELDSSADKLRKLEELKDERSLHAAQEAKRASELDKMLEQAQSNMKEMEKHISSLQEDIKGHQDKATDHQKIEESLRSTISELKVVQEALELSKSQVADLEQKLASQDADISKLTEELNLHCSSEESLKEKTIKLENELTTAHEELQAKLLSLQELETKLDEQAKDRQTSEAALEKQNEQIVILQTQLDKLKDENNTIQGSLVDLNSKLSEKDSMLHQAEDNLAKAQLALSETLTQKEELELNLNSLSEQHGESKAFGEHANQKIFELEAQIQAMHAAEEALKSQLKEADASVEAAEKKSSDLEKQLSEIENKLVSSSEEVELMKERIQQEVAVSAEKGMQLEEAVASLEGYKEKINELQSSLDSSVSKNQLLEQQVKELTDKCSEHQEQAHSVRQRSLELEDLLHTSKTDAEGAYSRTQELEQELNSTYEKLKGVDKELEQYRSKASQLSDDLEAYQTKAASLEAVVEEASKKEKELMESLSQITEEKKKIEELTAEYEAKLQANLKEKQSFGESLQSQELKVLDLQQELVKLREEKEHHENTIADLNLQLSTKNDMYSQLESQLNEAGDDHSKTRSLLSEAQLHKEELELNVKSLNDLHTASKTAAESAMQRVSELETQIQELAASEQNLKLQLSELESKLASAEKTGMDLEQDLKAATIECSNCHVKIDELSGELEAYKEKSTNLETSLAQAQQTEAELSEKLAQVNEEKEKFEELSKKATIKHLEAEKQAQTLQGELESAHGKMEEVENELRSLGTRESSVLEKLKSAEEQLEHKGRALEHATSKKIDLETLYQSLLEDSEMKLQQSADNLAQKDTECQQLSEKLKLAEEQAASYQSRATAATEEAESMKVELEAFESEISTHEATIEELKTKVSDAESKAEQALAELAMLSGTNQALKEELDSKRAMLHEVQEQLNSTRAEKEEVAAKLAEHEKTVEHLTEVHSRGIELQSAAESRNAELEAQLREALEAAEQKETEVKNLKEKLVALESEIESLTHVNEALKQEINAKLVMVDELQEKCSSINSEKEEVAEKLAVHERKIEHLTEEHSRVLELRSVAESKNAKIESQLREVLQKVAQKEDEVTDLTQKLALLEAENEKLAITNKTLKEEVDARMAMFDELQERFNSTHAEKEEAAEKLTVHERTISHLTEVHTRSLELHSAAESKNEEIEAQFREALETIAQKEGEVKELSEKLDALEIELGYYEEQATEAAATEENHKVKFDEALQKIKILEEQLEETQSKVEHFLMEKESLAQANSSLNVELEVHQNKLNELQLALAAAVAEKEGASEEIHSLRKTLDGMIERKAELEIQVSSTMEEHDELKSKYQNALEEKQILNDKYETTKKELEEAIAKLEEKMNVDKSEKELHISKLERQITLSEIKYMEEIKTMQVETTEKDEALTARMQEHANLQHEKDELEQQLLEIRKELDGAYRTIANQEEQASVREIKWDAYRKYSEDQLEAEQQRAAELELQVSALKQQLQEAEIHYKQKEEQVSLRAVQWEADKSHSLDELEAQRQYANDLEKQIEALTQKLQSVDAHYKQKVTEERDKLAEVTTEFNKLTQKVSKSVELEKKVQDLEQKLKVAYSKSEEQAKDSVQLRSREFTMDSSTISSKQHDRSLANDTTSPNPSQKEVQEPSGIMAFKFILGVALLSILIGVFLGKRY >PAN39678 pep chromosome:PHallii_v3.1:7:42255126:42256190:1 gene:PAHAL_7G260300 transcript:PAN39678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDTSGGGRRRRAELCAAAVSAADAASWWCAVALVALVLLGALRAETAGGDVDRRFRGPRLGGAAARPCEEVYVVGDGETLHSISDKCGDPFIVERNPHIHDPDDVFPGLVIALSPTKNA >PVH34976 pep chromosome:PHallii_v3.1:7:23115844:23117201:1 gene:PAHAL_7G078100 transcript:PVH34976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHENLVITSIAVHETQHLVASSRINQYFRNRHRVLIFWCSPVEISKIYTNSPPAILFLHRYNIRDPFGIPASPDEASFYHLFDFFLDFFQDFGLHLSYSLLERPKSWLERKSKLHDVSIQPRHLCVIPGKTICIFF >PVH35589 pep chromosome:PHallii_v3.1:7:39545170:39546241:-1 gene:PAHAL_7G219700 transcript:PVH35589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYSLHRYPPSGPVKWRRTSASRTDEGGTGLLHPCRPFRARGRKLPARGGGGRGGGGGARRGRGTEEGAAAEEGAARGGAGAARGGAGARTAERRGAGGRRGRGAEEGAAAEEGAARGGAGARRRGRPRRREEGGRRRGRARAAEREAGRAREAEREAGAAAEEGGEGAAAEEGGGRRGRARAAEREEGAAAEEGGEGAAAEEGGGRREAGAGAGGGAGGGGGRGGGRRGGGRGGGRREAGGGGGRGRRSGRREAGAGGGAGGGGGARRAREAGAARAAERRGAGGRRGRARAEGQGGRRGADGGAEGRGRLRVGKG >PVH35631 pep chromosome:PHallii_v3.1:7:40258119:40261905:-1 gene:PAHAL_7G230000 transcript:PVH35631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVRSGFNFNGVSLRNDGIERLPKANMAPMRNEPAPPKRPDASARSSPTRARAACAHAPDAVLPGCWYSVLPDQYVRAVVLVVQLEMSETHEKNKQIEEEEEVEEDEYVLLELDHCLYSDISPGAPFVLSGLDTLTPTLTVGDGLKMIGEYEETVGTCYLFSESEAEPKPPSDETTPSDVTTDKPASSSKEAPSKEVNHLASVQKILKFRPINAEASTAQSISG >PVH35006 pep chromosome:PHallii_v3.1:7:24844619:24844945:1 gene:PAHAL_7G084600 transcript:PVH35006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWGRVRTFVTRPCRVSSQPCLFVGIDYRCSPSCSLRGLHQVTVIRYIRTNIPMRYVLGGFTEELVISRVTSHLVYDRIMLLWSCKCVRHWWPGLRRQLSFVTP >PAN37124 pep chromosome:PHallii_v3.1:7:15856693:15862178:-1 gene:PAHAL_7G053700 transcript:PAN37124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPTPNTSAAAAPGSGCGSVRLLRSSARSRLPFAAAARPGGRPATVLRARALPAPPELVEQSVNTIRFLAVDAVEKAQSGHPGLPMGCAPLGHVLFDEFLRFNPKNPALFDRDRFVLSAGHGCMLQYALLHLAGYDGVTMDDLKAFRQWRSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKTDLKIVDHYTYVILGDGCQMEGVSNEAASLAGHWGLGKLIAFYDDNHISIDGNTDIAFTEDILARYEALGWHTIWVKNGNTGYDDIRAAIKEAKGAKDKPTLIKVTTTIGFGSPNKANTYSVHGSALGSKEVEATRRNLRWLHEPFHVPGDVKRHWSHHIDEGASLEAQWNAKFAEYEKKYHQEAAELKSIISGELPSGWENALPTYTPESSSDATRNLSQQCLNSLAKVIPGFLGGSADLATSNMTLLKMFGDFQRDTPEQRNIRFGVREHGMGAICNGIAVHSPGLIPYCATFFVFTDYMRAAIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPSDGNEISGAYKIAVLNRKTPSIIALSRQKLPQLKGTSVDAVSKGGYIISDNSSGNKPDLILIGTGSELEIAEKAADELRKEGSTVRVVSLVCWELFEEQPDKYKESVLPSEVTSRISIEAGVTFGWEKYIGQKGKAIGIDHFGVSAPAGKIYKELGLTVENVIAAAKAL >PAN39526 pep chromosome:PHallii_v3.1:7:41439064:41442656:-1 gene:PAHAL_7G249500 transcript:PAN39526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYEYETNGYHRAVEYEYEDEYYDQDEYEEDGSGAGDEYVEEEEPLEGQKEILELRERLKEQIRRKAKAAAASAAGRSSSSHDRVPPTRDNKFGSFFGPSKPVISRRVIEERKSLKELHSTISRDPRPSVVHRDVPSSSKVQNRVNGHQHKPKIVNEVKKKAEALKDNRDYSFLLSDDADLSSSPKEKSAARSSFSQKADREVMHSAAKSKAPTSQPARLSNGYGSKNTLSTQRHAEGRVDSMRREALSNRERVVSRDSERMHSIVRNGSNQASNSKITSQKLPTKGPIANRHLSKDLNDPALRKGSVASRHEIDRPKSSQSQRMQSAGQRPQLSSHGQRPQQSVQQRPQQSLPNRRPQQVSQGQRPQQSLQSQRPQQSSHVQKLQSSQTHRPQMQSNRSQSMQVQRPLSSQGQYLEQRRVQANDRVKQVERQIRPPSKPMPSRPLSSNGIRDDHAKRKQVVKRRFDEDEDEEDPLAMIRNMFGYDPRKYAGRDEDDSDMEADFATIEREEKRSARIARQEDEEQLRLIEEEERREQERKRRKMARGR >PAN36787 pep chromosome:PHallii_v3.1:7:395046:399556:-1 gene:PAHAL_7G001200 transcript:PAN36787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNPDGASPDPYGGGVLHLVCEYCSSADDYSADDAEEGMFTCRRCFAVHATQATAADAHDFLATGSISVRRVATHTPAPYPRTPHAPAPARAPAPAGFDDFLEPSEPRDFAPRVGAWGQPEDLAARVRWRYVRGLQVILQRQLEVLVERHRVGALVCGVAGNIWVRWVAASKVFDDMWARQALADHDKRSGGGDDSIFPQQKDRRRVEFAFLRSLRALLPIYSTLAVCFLACHVAREAILPSDIYRWAMESKIPYLAVFTEVDRLLGSSLYQQRCPLDARQLFRPVQVIGAWQLEAAAGSIAQRIGLRLPSVNFYAIAQCCLKDLALPVDKILPHACRIYEWAMPAELWLSSNPARVPTRVCVMAILVVTLRVLYNINGQGIWEKICEERRNAGGSAPDENSSTFRILDDSNSEEFGMRELLCAIAAAYDKINVVHDYSSDLRSYLKYCKEVIFTGLTCSTEEEHLIEIFRDMFKATEDDNPKDHVKSQSQGVEEMTITDGVKKRSRDGTFIEASCISSSSDDDAMQIIKSEMQDHGFHYMPPRKPRKSDGYLRYRRRRLSGGFVYVAHADYYMLLRAFAKLAEVNVCIMHISVLNLEKRLACIEDRIERSLNTLQNLSSQPKDELRPVSD >PAN36785 pep chromosome:PHallii_v3.1:7:396157:399391:-1 gene:PAHAL_7G001200 transcript:PAN36785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNPDGASPDPYGGGVLHLVCEYCSSADDYSADDAEEGMFTCRRCFAVHATQATAADAHDFLATGSISVRRVATHTPAPYPRTPHAPAPARAPAPAGFDDFLEPSEPRDFAPRVGAWGQPEDLAARVRWRYVRGLQVILQRQLEVLVERHRVGALVCGVAGNIWVRWVAASKVFDDMWARQALADHDKRSGGGDDSIFPQQKDRRRVEFAFLRSLRALLPIYSTLAVCFLACHVAREAILPSDIYRWAMESKIPYLAVFTEVDRLLGSSLYQQRCPLDARQLFRPVQVIGAWQLEAAAGSIAQRIGLRLPSVNFYAIAQCCLKDLALPVDKILPHACRIYEWAMPAELWLSSNPARVPTRVCVMAILVVTLRVLYNINGQGIWEKICEERRNAGGSAPDENSSTFRILDDSNSEEFGMRELLCAIAAAYDKINVVHDYSSDLRSYLKYCKEVIFTGLTCSTEEEHLIEIFRDMFKATEDDNPKDHVKSQSQGVEEMTITDGVKKRSRDGTFIEASCISSSSDDDAMQIIKSEMQDHGFHYMPPRKPRKSDGYLRYRRRRLSGGFVYVAHADYYMLLRAFAKLAEVNVCIMHISVLNLEKRLACIEDRIERSLNTLQNLSSQPKDELRPVSD >PAN36786 pep chromosome:PHallii_v3.1:7:395046:399656:-1 gene:PAHAL_7G001200 transcript:PAN36786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNPDGASPDPYGGGVLHLVCEYCSSADDYSADDAEEGMFTCRRCFAVHATQATAADAHDFLATGSISVRRVATHTPAPYPRTPHAPAPARAPAPAGFDDFLEPSEPRDFAPRVGAWGQPEDLAARVRWRYVRGLQVILQRQLEVLVERHRVGALVCGVAGNIWVRWVAASKVFDDMWARQALADHDKRSGGGDDSIFPQQKDRRRVEFAFLRSLRALLPIYSTLAVCFLACHVAREAILPSDIYRWAMESKIPYLAVFTEVDRLLGSSLYQQRCPLDARQLFRPVQVIGAWQLEAAAGSIAQRIGLRLPSVNFYAIAQCCLKDLALPVDKILPHACRIYEWAMPAELWLSSNPARVPTRVCVMAILVVTLRVLYNINGQGIWEKICEERRNAGGSAPDENSSTFRILDDSNSEEFGMRELLCAIAAAYDKINVVHDYSSDLRSYLKYCKEVIFTGLTCSTEEEHLIEIFRDMFKATEDDNPKDHVKSQSQGVEEMTITDGVKKRSRDGTFIEASCISSSSDDDAMQIIKSEMQDHGFHYMPPRKPRKSDGYLRYRRRRLSGGFVYVAHADYYMLLRAFAKLAEVNVCIMHISVLNLEKRLACIEDRIERSLNTLQNLSSQPKDELRPVSD >PVH34666 pep chromosome:PHallii_v3.1:7:725154:725630:1 gene:PAHAL_7G002600 transcript:PVH34666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGPHPTSARAAPPSPHSSSSSTNSNADLIILSSSDDDDRAAGTRKMRRAPIYQKWRFSDEVDLLTWLAAERRRCGELPSWSELFKALTKKERDAPALKRANLTAPDLKKKVSNLKEKFIKAVGSGGPGDIPRDQILYGLSREVWPDAGPRVVHFD >PAN36883 pep chromosome:PHallii_v3.1:7:1699541:1702026:1 gene:PAHAL_7G008400 transcript:PAN36883 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT(CONSTANS, CONSTANS-LIKE, and TIMING OF CHLOROPHYLL A/B BINDING1) domain protein, Heading date, Long-day repression, Regulator of growth, development, and stress-respons [Source: Projected from Oryza sativa (Os07g0261200)] MPEPTCRVCGAASCCSHLLHAGTDDSRATFSIFPVVHDHHEPGVQQPPGSLHEFQFFGQDDHESVAWLFDDPPPSISDDQSPVESQHHNKRPSIFDPFGQRYLPGNGLTFEVSLGQGEVDARHTETAASATIMSFCGSTFTDASSSRLNEPILIDGQLQRPVDPSMEREAKLMRYKEKRMKRCYEKQIRYASRKAYAETRPRVKGRFAKVPEASAAGKPTPATTCYDSSQLDLGRWFH >PVH35693 pep chromosome:PHallii_v3.1:7:41414055:41414849:-1 gene:PAHAL_7G248800 transcript:PVH35693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAKSFKKAWHAQTTKTSSIESNLKPPWNPSPSWPCRSRRRATSTGCCTCRCSSRRGGSPCTTRLTPATRARTAGARTPSAASGSTSSTSPPRTPPHRPRRRTGRALAVPVPPHPHGRGLRRGRARPGRRAAPEDLRVLLLRGRPC >PAN39543 pep chromosome:PHallii_v3.1:7:41486257:41487888:-1 gene:PAHAL_7G250300 transcript:PAN39543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALGSPGRLPAMEECGEDGEEDADPYSHRARGAMASCWGRFGVAALWRRLWHHLSLARRRRRLGRSSILGAGSLNYDPLSYAQNFDDGCLEEREPDFSARFAPARHAAGSPRLTVGADRDVAAA >PVH35168 pep chromosome:PHallii_v3.1:7:31664231:31665767:1 gene:PAHAL_7G115900 transcript:PVH35168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFFWRLKAEQLKEKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKSKIISGGKEYMCRAEFSLPSITAEEGAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >PVH35193 pep chromosome:PHallii_v3.1:7:32487316:32488442:-1 gene:PAHAL_7G123300 transcript:PVH35193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAYTTQTNSTKSTMDFGFYKRARGIKHTAAATPFYPRKPAQVAGAPTAAPAAQQPAGVRAKQPATTTVWLVPPPPPSTVVAMEVVGNGPAPGGGIASDTDVDRRAALYISRVQERLRRERMTDDWRKHC >PAN40485 pep chromosome:PHallii_v3.1:7:45862963:45866026:1 gene:PAHAL_7G318800 transcript:PAN40485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAPAAAAPKKAKK >PAN38764 pep chromosome:PHallii_v3.1:7:38067447:38067845:1 gene:PAHAL_7G196000 transcript:PAN38764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTARGEPPPGNHAPTATAMGLRGLRGAAWRIRPARHDEARVRARTRRDAAATSYSVSVGGARGLIIRLAGEEMKSTSGGARRTRTRRPPPSMAAVPRRMFSRQRATWIGRGRRTHGREGNSRHRSEPHGDL >PVH35376 pep chromosome:PHallii_v3.1:7:36198433:36199380:1 gene:PAHAL_7G165500 transcript:PVH35376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRCLKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRAQRAPVRDPPAVRRAHHARAVSGVHRTPTRVDGSKCVSPALRRARPDKLQLGLRAGGALHTCDGDEGRGEVGLYARPRRVGAGRRRPRRVVARQRRHAELREAPHRAPQPPPEPEPAARRCARAGAAAAEPDDVDLPAPAAGQAERVRRGGAARPDDDARRLTHSCARLWL >PAN40252 pep chromosome:PHallii_v3.1:7:44922282:44927394:1 gene:PAHAL_7G303000 transcript:PAN40252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G20660) UniProtKB/Swiss-Prot;Acc:Q9LHQ6] MSTEPLLLPGAAGAKAAEQQQRKGRRVGIDEALSAHAGEFGRWQLRHFVLVSAAWALEALHTMVIIFADREPAMVCAAAGGAGCGDRCAGAAAGWEWADGAASSTVAEWGLVCGERYKVGLAQAVFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCVLNAAFGLLTALAPNYWAYAALRLLTGFSTGSVGVLAFVLATEPIGPSRRGAAGMSTFYFFSGGIAALAGVAALFPRSWRTLYVVTSLPSLAFVAAVVPFVSESPRWYLVRRRADDAMRVVRAIAAANGRAVPDDVALKLDDEDEEGKGADGPADASSGSIVDVFRSGTTRVRLVLSVLINLLASVVYYGLSLNVVNLKTNLYVSVVANSLAEMPAYLLTALLLDRFGRKPLAIGTMLLSGVFCTAGSLIAGAGIMRVVRMACGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTAQAAQMGAIVAPLVVVLGERVPFAVFGASGIVGGLLVFYLPETMNKPLYDTMAGLEEGEKTTLLE >PAN40102 pep chromosome:PHallii_v3.1:7:44284005:44284318:-1 gene:PAHAL_7G291900 transcript:PAN40102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKLSLAAVVALLFGLVVAGAVGKHECGFHCVDGSRRFHGCNCACGPSDGRVCVVHNPDGSAVEDCPK >PVH35057 pep chromosome:PHallii_v3.1:7:27477620:27478694:1 gene:PAHAL_7G095000 transcript:PVH35057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQKCKQRRINDIGFFDPTSINEATVGFRSTVDAMCDALIDMQDKKWILLPYNHQAFAKYHKKSKVYRPFWTDFIVCDAKYVLRQPRAIITVGSM >PVH35512 pep chromosome:PHallii_v3.1:7:38509909:38510714:1 gene:PAHAL_7G204000 transcript:PVH35512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRSESEAGEGGLGRCKPADGDCTPDGPRPSRSRRGTRALGNPWGWGRIRRGSAHVRPHLFFTPCTGTVSCFPARNNHLGASLSFPYLSFPFSPRAIILPRSRTPPRAGGAVLPHHRWRSPGRAFCAAPFRCSAAQPRRAPPSPPLLSLSLSPCSTAVGTSDMLARMRWIGLDTDWPWFQIGCGVHSHATASPRPDRDCRCRCQLCLARFYYRVWVDRAAVAGSSNYHLSAMASCWQQSVPAAACHARLRLRASLRRPRVLFMPS >PAN38473 pep chromosome:PHallii_v3.1:7:36792418:36794911:-1 gene:PAHAL_7G174300 transcript:PAN38473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLCGQPSLELGVKVVRVAGLDLADHRLEGGGALFVRYYVPAGDGRRRLRVDTREVPCGGGDPFWGELARFERWGYLPSAAGAAATGSTTPAAPGGGGIAFELRWRPRPSSGLAALLGAGARRRRPSRVLARAELAWPEDAASPERWLTLSPAAGRELGGRKAPRLLVEVSTVRTAVAGGGGKGARKPGGASGCCSAGERCGQCGWVGSEEDMFLAATFISQ >PAN38265 pep chromosome:PHallii_v3.1:7:35785606:35786511:-1 gene:PAHAL_7G159200 transcript:PAN38265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGLKSVIMCVLILGIILQVEGKSCCKSTPARNCYNICLRLRIHRLVCAKTCGCKIINGTKCPPGYPKLNLLPNSGEPDAIEYCNLGCVSSMCDTMNNALEFVGEETKIDMERCSDACDRFCNGDTRIASVDA >PAN39633 pep chromosome:PHallii_v3.1:7:41977553:41981183:1 gene:PAHAL_7G256600 transcript:PAN39633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYILILFFSWILVLSVFGCAPGTAWTLVNLGHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLTAVPVVLYLIASHTTDYQHPMLFLNTLAVTVLVVAKLPNMHKVRIFGINAGS >PAN40171 pep chromosome:PHallii_v3.1:7:44514348:44514707:1 gene:PAHAL_7G295900 transcript:PAN40171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAVLIKVVGLISEACRTVDKLPAALITSGIAQAAAALALAVFKSPSGIFVGHGKAPLYLYYGTLIAVVIFGFVEASAGFYVSGNLTRRRAIGMAILWISILPIVLVAGLGGFVILK >PVH35118 pep chromosome:PHallii_v3.1:7:30571846:30574508:-1 gene:PAHAL_7G108600 transcript:PVH35118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLPLFLGLLLLLLSLHTVPASTAAKDNDTLLPGQALAVGEKLVSSNGKFALGFFQPGAAGNISKSSTSPGWYLAIWFNKIPLLTPVWVANRERPIAGPDLRATQLQISGDGNLVVVVSNQATQSVVWSTDIANNNSSRPSNMTTSAAVLLGSGNLVVQVQDPSPPKSNAVLWQSFDDPTDVALPGAKIGWNKVTGLNRVGVSWRSRTDPGLGAFSVGLETNGTRRVMVSRRVHPSKVYWSWSPVSSPMQIPALKALLRMNPQTRGLVVPEYVDNDEEEYYMYTSPDESSSTFLSLDTSGQTKMNVWSPADQAWHSIYVQPVDPCRPYATCGPFAVCTGSSNQPCECMETFSRTSPPDWELGDRTRGCSRSTPLDCSGNRSSSSASTDVFHPMESVTLPYGPQSVQDAATRSECERACRSNCSCTAYSIQGSKCSVWHGELFSVNKNDGIEISAEDTLYIRLAAGDFPTAASRGTRRPSVAGVAIAASVAGFGLLMLIMLLLLMISRNRFNWCGGASSHATGHGTVGVVAFRYSDLCRATRNFSEKLGAGGFGSVFKGVLSDLTRVAVKRLDGARHQGEKQFRAEVGALGQIQHINLVKLIGFCCQGDSKRLLVYEHMCNGSLDSHLFQSKGRTVLDWRTRFQIAIGVARGLSYLHQSCRECIIHCDIKPENVLLDESFVPKIADFGLASVVGRDFSRVLTTFRGTMGYLAPEWLSGVAITSKVDVYSFGMVLMEIVSGRRNAASADHTSGSYCVAYFPVQAISKLHEGDVQSLVDPELRGDFSLDEAERVCKIACWCIQDSESDRPTMGEVVRVLEGLQELDMPPMPRLLAAITDSSNVDSMYSNS >PAN40896 pep chromosome:PHallii_v3.1:7:47779819:47781252:1 gene:PAHAL_7G348800 transcript:PAN40896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPPAYGHGQGDSPYCCFHPRELVVGVCAHCLKDRLLLLLAATTNNAAADATLRRRRTTTTSSSSSSISLPKVFALGSSFLQRLDSRHRRPDAAADDSDGTTSAAASPDDSFISIKFEDNGKATWDSHKAAAISPGPAPVRSSSSSTAVVVEHVKRGGITRWRKQVVGRLLQLARWKRSAVGLDGKKAAGERSKARGRGWIRSLTRRRAAGDRAWS >PVH35750 pep chromosome:PHallii_v3.1:7:42505512:42508585:-1 gene:PAHAL_7G264100 transcript:PVH35750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGAPWRDPRQGYAYGVGSALQMQLQQRADAAAGGGVLKRSLGELERWQHQQQHHVAAQQALYLRAVRQRTAAAADIAALLGGGPTQPLVLSGSSYGGGLASPSSTLSSLTTASRAAVPLMHPHPQPQPQRQVPLMTSSPQTQAFGLSRAPPPQPAASSELFILQELEKQLLDDDDDEPVAAMSGTGSAVTNSEWEETIQQLNSITAAPSPGLPAAAAPNNNNTNAGMTRSPSNSSSSTASSSASCSPPTPGAASRQLLSEAAVALADGNHEAAATHLAALKRAANQHGDAEQRLIAMMVGALSSRIVPTASALAQHLAELCGAEQRAGSQLLHDISPCFRLALHAASVAIVEAVGDHRAIHLVDFDVSLQQHAALIQYLAERRVPGTSLKVTAVTDPGSPYTQSLSATLTAIGEQLKQLAERAGIEYRFKVVSCRAAELDASRLGCAPGEALAVNLAFALSHVPDESVSPANPRDELLRRVRALGPQVVALVEQELSTNTAPLTARFTDACAHYGAILESLDATLGRESAEKKARAEAALAKKAANAVGREGPDRLERCEVFGKWRARFGMAGFRPVALGPSIADQVAARVGPAPPGISVKAENGVLRLCWMGRVVTVASAWR >PAN39555 pep chromosome:PHallii_v3.1:7:41527096:41527647:1 gene:PAHAL_7G251200 transcript:PAN39555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSAHIQQTMLHLISSLPTAMVNLRSNRAGEEKKRLLLASSITVRICCRGAASQADPVRMMYCYISSSWGHGHGGHRRQASCPRPVAGGSDGTPTNRAPPDDGHCGAAAIATDGLLQRISRDGRKQKKNEKMAA >PVH35361 pep chromosome:PHallii_v3.1:7:36047164:36050885:-1 gene:PAHAL_7G162400 transcript:PVH35361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARGKVQMRRIENPGHRQVTFCKRRMGLLKKANELSVLCDADIGVIVFSPHGKMYELATNGNMQVLIERYKTAYGERQGESSGKKKPQAIPQEVLALAREIELLQKGLRYMYGENDINHMSLDELESLESNLEIWVQNIRSQKMQIMSVEIEMLRNKVGSGCSFLVPVTNSSLHIQGTSSVVPFTNVFQEGILQATNDILQERISEQNGILNASGSVMVPQVPFQLNRGSNCYF >PVH35256 pep chromosome:PHallii_v3.1:7:33973933:33976759:-1 gene:PAHAL_7G136500 transcript:PVH35256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKQKRRPLTVMASSSSSQVAAARGMGMANPLAEWSDRFRSLEAGLRAWLAKQPTHVEAAVSTTLGAVQGAALGGLMGTLAPDGGAALPVPPPPLGADPKALASLKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGTGTSNPVANAITTGVAFAVFQGGFFMIGQKFSQPKSEDTYYSRGRSMLQSLGLQNYEKNFKKGLLTDQTLPLLTDSALRDVKIPPGPRLLILDHIKRDPELTRAN >PAN39447 pep chromosome:PHallii_v3.1:7:41105408:41107132:-1 gene:PAHAL_7G243300 transcript:PAN39447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTTIASVVSIEYSKVRRKGKASGNYSAHRSGSCIPTPSPPSYSSPAIAAGHTGGHHSPSLRSRRRSGRSNSALSWHCPPSPPCAVVVSGQQAASGDASAAGEDGSSADEDGDGDGGASHCTSAAAAAWAAAAWMSAGDVDAGRGSSWARSGKLRCAARPLIASAATSCARAAISAVGKVPSQMRDFLRGSRISDTHLPQLRRRTPRYDGCLYPLRLPDPAPPAPAAAWALLPPLATALSSVLQQLLLSSPWWPVLPLASTSWESGEQARFRLFVVLELLGTSCGAALMRQEEEDVDDEEEEEDVVAAWVAFAFASMSRWAVASMACS >PAN40799 pep chromosome:PHallii_v3.1:7:47387767:47391808:-1 gene:PAHAL_7G341600 transcript:PAN40799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGLIGRLQESDSMSAAAGHEEGSEEEEPYEYEFYGDDDDEPQDVEHCDGTALPEDEEPFKLELCSDEGSGKCGSSHPEPCINLVLDGNNIGRKQLYESKPCHGLMADEDEFVEKKSNYLHPLKQGQSKKELKQVLRHSNSVQKEVSVAKEKETMPFKKRLSVKFAADVSCYTYSTESFAAATIEKRKAQSDDQDKHLCKRQEHSFSSPHDGGKLKEGGGTNLFVGNLPPSVASHKLIELFLPFGRIVRSRVADDCFTGVSKGYGFVEYSDPCYAAEAIKRMNGRMIEGKMLEVRVADASSSGSNPSVHAVSETAHQPTKETDTSKLYVRNLPLLMNKDKLLELFVPYGQVTSAKVAMDYTTGLSKGYGFVKFSDAHDAALAVMQLNGRLVEGKKIEVLVSVMPLRPSSSPVESHAENRTLREIDMSNLYVYNLPSSMSTAKLVELFLPFGKITHARVVEQTNNSSKGYGFVKFSDSHCAAEAVALMNGALIEGETILVRVAGLSPSESSSVSQHPPHSVTNVSPEIKKCRLYVTNLPQSITADKLVRLFIPFGQIDRVVMKVEYSLVLYADTNSATKAVQLMDGYMIEGKRLVVKGSEPCPVNAVDSGCSQSGSKLVKEIDMANLYVGRVPSAVACDQLVQLFCPYGEIVQAKKFDIPGYGMIRYADASAAAAAIQHLDGYQIGGSTLAVRVAGLPAESDAATNAHKEIDMTNLYVCHLPPYVTTEHLIELFLPCGQITRAKVVVDKFTGVSKGFGFVKFADAYSAAVAITHMNGYPLEGYVLSVRIAGVQLGDMVSDMAHFYSYFTSPDLSRMAVGIPASHWPYYYGESAYTPYYYGESSYNTPTVYQGQGTESGTAADQTSQLEGPPGSEPVGSSSVSHTVVSDRSQLEGWICPPGIEPHAVVTKDASVWTGPPGFEPPAIAKKDATVWTGPPGFEPHAVHKKDAPVMNPSQACSKVLLAHSDGSKKESSVV >PAN40065 pep chromosome:PHallii_v3.1:7:44119670:44121433:1 gene:PAHAL_7G289200 transcript:PAN40065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAGRLRAASPNAATAPRAGAAERVERPQGRRGPEVSKAGIERGSSRLPLIGRCTGRTPRQHLYLVVDDWERGYSIHRVGEDDFDPDPNAGLHACPGDHPIARIEAQHPHSWSFAARGSKILAMLPPEYSPGIPLFDTETAGMTVWPNPQSRGDFGSKPWYISMGGRLLAFVYPFVEVLGPQPPPTDRSWSWDSVEPPPPFVSSVVTGYAVHPDGRTVFVSVRDWRPDIPGKIYILKSRRSTFTFDMERLEWAHAGEEAPGRVCCCDVPPAPPAGAGCETMPAWKLGRDVFFGDPGSALGHLGATLLSDSTFCVVEGGIPMDCDGDTRTRVVKMTCFRLKYCNDGDLCTTHHRAYASLSYEVAHERMDRTQNPVAFWM >PAN38686 pep chromosome:PHallii_v3.1:7:37644994:37649118:1 gene:PAHAL_7G189600 transcript:PAN38686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNEGEKSSGIPRLPGRRGAKTQTLRLRPLTSPRALASPVPRPSPSPPLPPRRPQPKQTLRPAAAAAPPAKSEEANRPTPMASWHDGLAAPLRVLISPRPLDASAQGNVLSLRHPRSGDETGYLFINDQLQEINWFKERYGAWFLGDYVCEDGGLYYCTPVDPIFIFLPIFEAARMSNGKDPGKYRQLDEILYIEGYPGYQQLMSVAGHHMELVCEVKEVANMKFFRLDDSKVLSWLCCKVHNLKEVFLKLGKNYAAQAEKERLKEAVQMIREYLKDEPWLTLLCKKLQLDLNEIINDVTTKTSEASFYADSSPAPARPSESKEANGSAKSSRGRPAKKPKTEVGSKNIKDMFRRVTRSGSGS >PVH34935 pep chromosome:PHallii_v3.1:7:20823187:20823882:1 gene:PAHAL_7G069500 transcript:PVH34935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDEPITYKELSAEGKQRYDEIKAQFKADLIGSFERTRHHDIRWKGFSTEGALDGVDLSTPSEDRTRALRQEVNYTVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPTLGSHKWEVPFQTRPPLPYALAAAESHCSPAYVVYKMGGDPVDYQFFSEPPREIPHGYMCAYIPDSSNPVHLAQKAAGGVSEVDADKQAWLAAPSHDSAHSAPGSQTAEQISAILRD >PVH35109 pep chromosome:PHallii_v3.1:7:30128776:30129609:-1 gene:PAHAL_7G106700 transcript:PVH35109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCFFFLLATLTIAAATASAGYDGNTQEPYPVADDNEAKPMPEQPYDSSRPSCGGCRDAKMGVLKARGMCCQEVSRHVECLCPTMNTLIGAGVPLKEVCYDDMACNEDGPYGSKGSVVIDPSKKCSVPTVCNGVVDALLELNKCFSVQQAGEDRSGGSCFVGALRELGFKNPDKVIEKLFRH >PAN39936 pep chromosome:PHallii_v3.1:7:43450188:43453846:1 gene:PAHAL_7G279900 transcript:PAN39936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPLLGTSLGKESPPRARAPHSPSASLGLQIPAVPMSARRQAWQFAAALLFFHGSEYVLAAAFHGPRNVTATSLLISKQYVLAMSFAMLEHLTEILIFPEVKEYCFVSNIGLLMVLIGEIIRKLAVITAGRAFTHVIRIYHEDQHQLITHGVYRFMRHPGYSGFLIWAVGTQVMLCNPVSTVAFTFVLWRFFSKRIPYEEFFLRQFFGSEYEEYAQRVHSGLPFIK >PVH35095 pep chromosome:PHallii_v3.1:7:29958235:29960595:-1 gene:PAHAL_7G105300 transcript:PVH35095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINTQSKQQEYPTISSRMHMSKNKWSRSKRNKRLDGSRSSLNKAAFHRKSFYEIGPPSWIKKVINGHTLEKYLALATDFCDAIGLRESCMITLKTSMDSTGSWQVCGISIKNCRSYLLIKGWRRFCQENSLKKGDICTFNVIETTVWHVIITRYKEQIDLPCYLQEKPSGSSMKRKRVNNRSSNEEQKSSKGSMISWCKASSKTGCIFEIGPPAWIKKEINMWAIRNTLYLPPVFCKAIGIREPCKITLKTSMSSITSWQARVIPYNHSSHHVNGLTRFCQDNGIKVGDVCTFKIVDTTLWHVIIEPR >PAN40388 pep chromosome:PHallii_v3.1:7:45360603:45365554:-1 gene:PAHAL_7G311400 transcript:PAN40388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLQAHRLLISHRRLPTPARRRFTAVSSVQSAPATTLAPGPATSSILSIRESLLSGERSAADITSEYLSRLRRTEPSVRSFIHVADAAAEREAEELDRRIASGEKDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSAFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTSTILSVVAGHDKMDSTSSSQDVPDYASELVSLDLLESKPLNGLRVGIIKETLGEGVDTGVVSSIKDAASHLERLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNEIYGESRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKGALERYDILISPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGAVGLPVGLQMIGSPFSEGNLLRVGHIFEQTLQNFSFVPPLLAER >PAN38375 pep chromosome:PHallii_v3.1:7:36354502:36357218:1 gene:PAHAL_7G167800 transcript:PAN38375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAVPEVALRSGSARPMPAVGMGTASFPPAPGATKDAVLAAIEVGYRHFDTAAMYRTERPLGDAVAEAARCGLLRSREELFVTSKLWCTQCHPDLVLPSLRETLKNLQMEYLDLYLIHWPVALKPGPLTFPKRKEDAVPFDFEGVWRAMEECQRLGLARAIGVSNFTTRHLDRVLAAATIPPAVNQVELNPAWQQRTLRAYCADRGVHVAAYSPLGGQNWDGTGSNAVLESEVLTGIAKARGKSVAQVALRWIYEQGVTSIVKSYNKERLRQNLDIFGWELTDEDRLKISQIPQKKTVTAGGLFSQEGEFTSVDPADLDIVER >PVH34943 pep chromosome:PHallii_v3.1:7:21440749:21441519:-1 gene:PAHAL_7G071300 transcript:PVH34943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMIGETRLLILRPFTVVVVENLMAVIDSSQVPSRQRAGSSRSARRSTSSGDDSAEVVRLHERVRQQELQQQWFQAQLAQQNAILQQIATQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVDPTSNWADQFIGSGGSIQPGDGGGQT >PVH36067 pep chromosome:PHallii_v3.1:7:47735073:47736656:-1 gene:PAHAL_7G347800 transcript:PVH36067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTRVVRGSGVLVAIMAMAMAVATATMMTNDDTAAAWSLAVDFHALSCPDLHGMVHSAVAAARGQDVQVTAGLLRIFFHDCFPQGCDASILLDGWNSEKKMIQNEGLQQRALDLIESIRDTVHKRCGATVSCADILALATGYAVTLAGGPWISMPLGRRDSLEPAPFWAVNNSLPRPDADVNTLIDRFRSKGLGDHADLVALSGAHTVGKARCKNFRDRISRPNDDFTWRLAGFCGNDGNRQQNLDVITPDTFDNRYFVDLRNRQGVLTTDQGIANDGRTSWLIKGFADNQAWFFGQFAQSMVKLSQMRGAGGGEIRGNCFRRNAGGINLHQTQTDAAAAGDDEATTLAASA >PAN39470 pep chromosome:PHallii_v3.1:7:41234594:41236050:1 gene:PAHAL_7G245700 transcript:PAN39470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNTCKLCSRRFASPRALAGHMRAHSIAAAKSQISSASSASTSLAVGGGGGFDDDTDAKKPGPIQGHVLREKPKRRARLAASDFSDRESETTDYYSPDAKRSPGGSGDAEPVSSVSDGDTPVEDVALSLMMLSRDSWPAPPPPAYYSAYRADSDDEGGARPAAAAQKRTRYECPACKKVFRSYQALGGHRASNVRGGRGGCCAPPLSTPPPPAPLQPLLECDDGGEEDPSKAQPHECPYCFRVFPSGQALGGHKRSHLCSAAAADPSIAMKSLGFIDLNLPAPFDDVEHSAVSDPFLSSKPAGS >PVH35111 pep chromosome:PHallii_v3.1:7:30152180:30152665:-1 gene:PAHAL_7G107000 transcript:PVH35111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVGARGTLRTPAPLPRAARARSGALRPSASRTARRGSPRPLRAPVLPPRVAHRTARRGVQEPLPLQALPRPRRGARLPLRRPQQEQRAPSRLLLPRCSPAASLSLWPQRRRSSLRRRKTRESFVLPCDGRFSPLALARSPCRRAGSHHPLALDG >PAN39280 pep chromosome:PHallii_v3.1:7:40401274:40407889:1 gene:PAHAL_7G232800 transcript:PAN39280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRARYPPGIGNGRGGNPNYYGRGPPPPQQQQHHHHQPPPPSQAHHQQYMQRQPQPQPQPSQHLNQQQQQQQQQWHRRNQIAAEAAGASAQRAPPAVDGIDSSSQDWKAQLKLPPPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICMLKDCSMLIMDEADKLLSPEFQPSIEQLIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRTIYCQ >PAN37934 pep chromosome:PHallii_v3.1:7:33609594:33610019:-1 gene:PAHAL_7G133500 transcript:PAN37934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLVMALLVAVAALSMAAAQAPPPPPPAVPPPPPAAAGNGTCPTNALNLRVCANLLNGLVTTSLGLGPLVPGAGDQQCCPLLGGLVGLDAAACLCVAIRNNTIPGIINFFVPLGLGLLLNHCGNTTVPAGVSCPPATA >PVH34804 pep chromosome:PHallii_v3.1:7:9293630:9298094:-1 gene:PAHAL_7G036300 transcript:PVH34804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKDKRKKRCSKSLPETSTDLFSGTESNRRRRQQILSSISQPEPRQTGVDQDVEGERVEPEQAAVGQDVEGERVEPEGEQDAGEQVHGPAANAVDQGHAEDADAGTQQPQGEGENFRFRGSLMLPPAKPLAQRQVIRPSGKTGWLEIGWNGQGHRTKVNSVLGRACRYFYPGLVQINGELVPATKWDHWKLKNYRADKSHSDVVWDTFWEQYKLEEGKSYEDPHLIYVFNSSANKVVKDTMSDARVKAVTIYYKKVEKTHMTNEDAARIHLTAEQYLQSEVDWLTQREDVWPRLCEFWASDRFKAISDRNRANRKSKPGLHRYGADGHIGKTQRMEGVSGVTPSMIEVFMEGHKGSDPDHPEILCDDNATEKLTRYANEMQKRHGPEVDWKKAPVDVDAVYIAGGGTPHGRLAIGDGVLDPRSLSTSRRSFASDELAEQLSSMRQEMTQLKTQQMTQQMWMQQMFSAAMQFQGGRPPFESPPFVPRRTQSGPPGDVAQGGTSAGVQQPPSQVMPWSFPQGSQPPDPLQQGMMGFFPFGFPPWGFGPQGMPYGRPPFAGSSHPFPMEGSTSHHEAPHTPNNATQEVTNQNVNPGGS >PAN37193 pep chromosome:PHallii_v3.1:7:22248935:22250652:-1 gene:PAHAL_7G074400 transcript:PAN37193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKALLLAILGCVCFFSAVLAARELSDDSAMVARHEQWMVQYSRVYKDDVEKARRFEVFKANVKFIESFNAAGNRKFWLGVNQFVDLTNDEFRATKASKGFNPNGVKMPTGFRYENVSIDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKISTGKLISLSEQELVDCDVHGEDQGCNGGEMDDAFKFIIKNGGLTTESSYPYSAQDGQCKAGSSSAATIKGYEDVPTNDEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQDTDGTKYWLMKNSWGTTWGENGFLRMEKDISDKRGMCGLAMQPSYPTE >PVH35071 pep chromosome:PHallii_v3.1:7:28468966:28470495:-1 gene:PAHAL_7G098500 transcript:PVH35071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAAEGPAAAVDTPPHVVCVPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEFNHRRLLHSRGPNALDGVHRFHFAAIPDGLPPSDANATQDVPALCYSTMTNCLPHLLSLLTRLGADSSGSGAPPVTCLVVDGVMSFGYDAAREIGVPCAALWTASACGLAGYRHYHQLVERGLVPFKDEAQLADGVYLDTVVHGARGMCDGVRLRDFPSFIRTTDCDDIMLNFFIHEAGRLSLPDAIMVNTFDDLEGSTLDVIGSMLPPLYTVGPLLLHTRHALTEGGQLDSLGSNLWKEQNGLLEWLDGHAARSVVYVNYGSITVMTNEQLLEFAWGLAGSGYAFIWNIRPDLVKGDTAVLPPEFLSAVEGRAMLTTWCPQEAVLAHEAVGLFLTHSGWNSTLESISAGVPMLSWPFFAEQQTNCRYKCTEWGIGMEIGGEVRRAELTEMIREVMGGEKGREMHRRAAEWKEKAIAATLPGGPAERNLDKVVNEVLLGKRDRSSLHQNTTTNAGN >PVH35951 pep chromosome:PHallii_v3.1:7:45756582:45762442:-1 gene:PAHAL_7G316800 transcript:PVH35951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYEEHDGNTFWGASTQPTNPSAMDFFGAPATIPGFTAAASSPGSRGVPLQRGSARVEVLDLNSLPSDLHLGMPYGERFRSASAGAVGEVDVAGGPRGAVPSRAASAARAATPIRAGSGGAPAGTAARLHRALRPARVAGGPPVGGRGGAGGSAGVAAGGPYDDDGALTQESQADTNRAAWSPEHTQAFCRIYCSQIDNGNCVRGVMNKYGWKEIQSRFYAATGFWHDIEQFGNRYRQLRGLW >PVH35751 pep chromosome:PHallii_v3.1:7:42514819:42518406:-1 gene:PAHAL_7G264200 transcript:PVH35751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGVARGRLAEERKTWRRSHPHGFVAKPETLPDGSVNLMVWKCVVPGKEGTDWEGGYFPLTLNFPEDYPSNPPVCKFPAGFFHVNVYPTGAVCLSILSSAWKASITVSQILISIQELLDHPNPASPAQSACYQLYKKNMRAYKDGVRQQAKQYPSPV >PAN37005 pep chromosome:PHallii_v3.1:7:3380185:3395117:1 gene:PAHAL_7G016800 transcript:PAN37005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATAPATDPSDSSPAVTTDSPPPPRPTAEELVARAVAPVKPAFLRPPPIREVPKEEGKAGGGGAVVTGEKKSKRQLKRERQQEQKSTSHLCIEVGKSGNVDSCKYGTSCRFSHDINAYLAQKPGDLEGTCPFTALGHLCPYGLTCRFLGTHKDNLAPQNHSEGNHERNPLSKDIQKLLWKNKYKFPKASAQIKLLGLKDGNKNKAKAANDDNPHEAHELNGDEKTESLSSIPANVEPDPTLCKETDNSEGEPLVVSSVQCVEPRPLKKSKVEVDETLNDGTGIHDNEAESEDLNLINGIKVSSNNQSSCRVDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHPSEDLFGVQICGPYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITIHGRSRQQRYSKLADWDYIYQCAQKAPDNLHVIGNGDVFSFTDWNKHVSDCSKISTCMIARGALIKPWLFTEIKEQRHWDITSGERLNILKDFVHFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMASDSAADWIRISEMLLGKVPEGFTFAPKHKSNAYDRAENG >PVH35428 pep chromosome:PHallii_v3.1:7:37143751:37144194:1 gene:PAHAL_7G180900 transcript:PVH35428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRRRAATPLPSSAVVLPPPPRLRRAPPLHLRPGVAAAPPLPRLRRAPPLPRPGVAAPTYNGASTSAGSSQLVFLLAYAESVSIRAYYLIPLSYVQTQRN >PVH34665 pep chromosome:PHallii_v3.1:7:700540:700967:1 gene:PAHAL_7G002500 transcript:PVH34665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHNVVTNDVYADFPAMVALLMPGGYTSLLLGVDQEASAARKLHFDEAHKQ >PAN39276 pep chromosome:PHallii_v3.1:7:40377393:40379286:1 gene:PAHAL_7G232400 transcript:PAN39276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAISSPPSERVAVVTGGNKGIGLEVCRQLASNGVTVILTARDEARGAAAVEQLTAAAGLPDVIFHQLEVTDARSIARLAGFLKERFGKLDILVNNAAIGGVQRLPVQDLSAEKFSGMDARQRAEWMWKNCRETCDAAKAGIQTNYYGTKNVTEALLPLLQASSDGRIVNVSSDFGLLSHFINEELKQELNDVEKLTEERLDEVLAMFLNDFEAGEVEARGWPSAFAAYKVAKAAMNAYSRVLARRHPALRVNCAHPGYVKTDMTRHSGLLTPEEGASNVVKVALLPEGGPTGAFFALGQEAPFV >PVH34670 pep chromosome:PHallii_v3.1:7:857520:857925:1 gene:PAHAL_7G003200 transcript:PVH34670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPPKNRCQGWKRRIKSATMAKKLLFFPFPSPPYHAHARAPRLAAAQLFFSVEIGSEPPRVTEARSSNKEQSCVQVLYRIVEFGASIGA >PAN38897 pep chromosome:PHallii_v3.1:7:38594458:38597226:1 gene:PAHAL_7G205500 transcript:PAN38897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSANHWGGSFEIATDGASEDDDSRNMDLDPGAVSVRQHRHELDETQQGWLLGPPAAKKKNRHVDLGCFVVKRKVLWWAFWGLAAGLVLVGLPVIVSKSIPCRIPRPPPPDRYAEALRKALLFFNAQKSGRLPRSNGIPWRGNSGLKDGSDAGDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVVEYSAKYKAVGEYDHVRELIKWGTDYLLRTFNSSASTIGHVYAQVGAAKINGTTPDDHYCWNRPEDMAYPRPSLLVSSAPDLGGEIAAALAAASIAFRDDDAYSKKLVHGAATMYEFATRGASNQQATYSSHRPEIEPFYNSTSYWDEHVWSAAWMYYATGNSSYIAAATAPGLAEHARAFDDILDLSVFSWDNKLPGAGLLLSRLRMFLNPGYPYEESLAGYHRAAGLDMCKCFRRFAAFNFTRGGMALFNHGRGQPLQYVVANAFLAALYADYLEAVNVPGWYCGPNFMPADDLRAFARSQLDYILGDNPMKMSYVVGYGGRYPRHVHHRGASTPRNRVRYSCTGGRRWRDTKKADPNVLMGAMVGGPDRHDRFNDSRMAFGQTEPTLVGNAGLVAALVAVTSSGRGVGVSAVDKNSMFSAVPPIFPATPPPPPAWKP >PAN40466 pep chromosome:PHallii_v3.1:7:45799248:45803058:1 gene:PAHAL_7G317500 transcript:PAN40466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWAIAIHGGAGVDPNLPESRQEEAKRVLARCLQAGVDLLRAGASALDVVEAVVRELETDPFFNSGRGSALTRRGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMENSPHSYLAFEGAEEFAREQGLETVDNSYFITEDNVGMLKLAKEAGSILFDYRIPLAGTDTCSALAGADNHNGMVMNGLPISIYAPETVGCAAVDSSGFTAAATSTGGLMNKMTGRIGDSPLIGSGTYACGACAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQEAVDYCVKERLDEGFAGLIAVSSTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >PVH35614 pep chromosome:PHallii_v3.1:7:39888955:39890279:-1 gene:PAHAL_7G225200 transcript:PVH35614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLSWHERTNRERKGEDEGEPRLEANSGNYCSEAIAAAAGGFPFPVSAEQQAAAPGSGEFHSNRARLLPSISDSFCVCKLLNRHTTSAFGGEARVREGHIRLTGAAGPPLFRLASPRSSHWSAQSWVAPFKEANCTPPPPPPSPPSTPPHHTIQRTPGGGGGRRSRIEPAGARVLRWGSLPAAAREQKVDRIWAGFLEHWAPNSSRLCENAVEPVASF >PVH35101 pep chromosome:PHallii_v3.1:7:29984155:29984547:-1 gene:PAHAL_7G105900 transcript:PVH35101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGNRGAAKAKHLRVLLPFTCDALRIPDEVAVEIGAEEALIVGPASGKVIWPVEVGQDGDGAFLGRGWPEFAGSSSSATAAAASSPPRRSTPPSA >PAN39506 pep chromosome:PHallii_v3.1:7:41359680:41359973:1 gene:PAHAL_7G248000 transcript:PAN39506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PVH36051 pep chromosome:PHallii_v3.1:7:47559136:47560369:-1 gene:PAHAL_7G344600 transcript:PVH36051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASRAVSCLCCPCKCLACGLFSCLCSILISLLVTVGVLALVLYLIFRPHMIAATVDSASLATFSLSPTSSLAYNLTVAMTVRNPNKRVGLYYDNVEALAFYKDQRFGYEPIDAFYQGTEASTQLKPEFHGQQLLQGDVTAAQFRQEQTDGKFAINVGLNAKLRVKVWAFKVRGPKARITCKLFVPAPGATNGATFQPTDCRVWF >PAN38176 pep chromosome:PHallii_v3.1:7:35283301:35285316:-1 gene:PAHAL_7G152400 transcript:PAN38176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALNRGLRSGIRLLAAGAEASKPASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQGLKVTLSVLGAVSIGVGVPVYAVIFQQKKTASG >PAN40214 pep chromosome:PHallii_v3.1:7:44751463:44758050:-1 gene:PAHAL_7G299500 transcript:PAN40214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPARHMPSMIGRSGAAAAYGSSSALSLGQPNLLDNHPQLQQALQQQHLLDQIPATTAESGDNMMRGGRGSDLLGDEFESKSGSENADGVSVDDQDPNQPPSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENSQLRAENEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLHEEIDRISAIAAKYVGKPMVSFPVLSSPLAAARASPLDIGVGAAAAYGGATDIFGGVVAAGAAGELLRGAAQSDADKPMIVELAVAAMEELVRMAQLDEPLWNAPGIDGSSETLNEEEYSRMFSRGLGPKQYGLKSEASRDSSVVIMTHANLVEILMDVNQYATVFSSIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPGSVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVMSFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGIVQEMAHIANGRDHGNCVSLLRVNSTNSNQSNMLILQESCTDSSGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPARGNMQGEGGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQ >PAN40899 pep chromosome:PHallii_v3.1:7:47791454:47799902:-1 gene:PAHAL_7G349100 transcript:PAN40899 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MPETDPAAAPDEPASAPPQEDEEALLARAQNVISRILEREHDPNPNPRLLHTLATMCELHEARYLQLSASNPTPNNTNTRSTYTIGKLANLFRDNDDFYELVFCKFLSDTSYSVAVRAAAARLLLSCHSTWTPQYPHAFEDSIIENIKKWVTEDSEASSECEWKYLGRNKPTDAEMLRTYAIGLLAMALCSGGQLVEDVLNMGVSAKLMHFLRVRVLGDASSSQKDSNHPQDTKHPRGRDDSRSKSRLAQDVSRLDGTRVGCGILTDLTSEKDNEPGVGMRQVHGERSVDDTVALLDNSDAPEADRVNDRSYGTSICDVKSKFGEKHSVIRLVKDEDISENGELLKRKLNRATSRLRVKGKAGESLPETEITPLSPTSGLRIGGRAIRDRNAVRVDDPKKATDVNDRSAGLESFNAISREEYEDRFRDCIIGLKDISDIVLKAVRAAESEARSANAPDDAVKAAGDAAAELVKSAALEVWKSESNGDAVVLAAEKAASAVVEAAVSTSVSRSSNQVGEERAVEEAVQTSKDQDLENFVISDQGQLLQLREKYSIQCLQILGEYVEALGPVLYEKGVDVCLALLQRSMKEHEGHHHFALLPDVLKLICALAAHRKFAALFVDRGGIQKILSVPRINQTYMGLSACLFTFGSLQSTMERVCALPSDTLDSVVELALQLLKCPQDLARKSAAIFFAAAFVFKAVLDLFDARDGMQKLLDILYGCASGRSGGNSGGLGSSHINQGNDQSPAEVLTASEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDISNEAMDAVFRQIQRDRKLGPALVRARWPVLDKFVASNGHMTMLELCKFQAHGDRYLRDLTQYAIGVLHIITLMPHPNVRKPIVHATLSNNRVGMAVLLDAVKSFDYIDHEVICPALNVLVNLVCPPPSISNKPSSTANQQPAAAQALVSESRDRNFEKSVSDRNLLANQGESRERSADVNPSERNNTLHQGTPCTPVVPSGVVGDRRITLGVGVGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTHPVAIDSIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSAQTLGGDSGRWQAELTHVAIELIGVLTNSGKETTLAATDAAAPALRRIERAGIAAATPISYHSRELMQLIHEHLLGSGFTATAAMLQKEAGLAPLPLTAAVLPAHQVSALEASSVQQQWPSGRVQGFLSEKTNISTDQSDQRSDSVLPSSKKKALTFSSSFSQRTLSPHPISGNRASNTLKSPVPIGADTGDAETLHKTPLSLPLKRKFVDVKDQSSASSVKRPATADQIYQPSAFQTPAPTRKGLSVAVDSPTSFNCGRTNFNNISTDNLDNSQGTPGAVTTTAHPGVIDQQSGNLERMTLDSLVVQYLKHQHRQCPAPVTTLPPLSLVHPHVCPEPSRSLSAPANISARMGSREISRQFSGIQIPRRDRHFIYSRFKQCRVCRDEASLLTCMTFLGDASRVAAGNHTGELRIFDCNTANLLETQTCHQHLVTMMESTYSGGNELILTSSLNEVKIWDAFSVSGGPLHTFEDCKAARFSHSGTLFAALSTDTAQREIQLYDVQTYALTQRLPDNTNNSSSGRGYIQPIIHFSPSDTMLLWNGVLWDTREANPVHQFDQFTDYCGGGFHPAGNEVILNSEVWDLRKFKLLRSVPSLDQTVIKFNGTGDVIYAILRRNLDDVTSSINARRVRHPLFPAFRTIDAVTYSDIATVQIDRCVLDLATEPNDSLIGVVAMDDHQELFSSARLFEVGRKRITDDDSDPEDAGDTDDEDDDDNSDDGVVLAPVLEGESDSEDLSNSSDDGGDDDIPSSDEIEDDPEFIDDGDLDGGGGLLDIMGDAEGEDDESDDMMGSFSSGDEDGWIL >PAN37767 pep chromosome:PHallii_v3.1:7:32168798:32174743:1 gene:PAHAL_7G120400 transcript:PAN37767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFEFFEEKAAGRGGGGGGSSAAVPAEIAGRITCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAHASSVLFLQQLKQRNVLVTVGDDDQSSSQSSAICLKVFDLDKVQEEGSSTTTPFCVQILRVFTDHFPQAKISSFMVLEEAPPILLIAIGLHNGFIYCIKGDIARERITRFKLQVEAASDGSTSLPITGLGFRVEGQAHQLFAVTPSSVSLFSLHVQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKRFVGWFRGYLLCIIEDQRTQKGTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYIILIMADKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPTSTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNDFIKDEEGIGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISGLEANQAGLTVKEYGKILVEHRPSETVEILLRLCTDVGDPTTRRGSNSMHLLMIPSPMDFVNIFVHSPQYLMEFLENYIKAVKDSPAQTEIHNTLLELYISNDLSLPSVSQENGFENHNIKETNGKGATNGYKSGTREKAILGKEDTKIAKSIVDRRRKGLALLKSAWTSEMQEPLYDVDLALILCNTHAFKDGLLFLYEKLKLYKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKEDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQEETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEQNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTTVPPENGR >PVH34947 pep chromosome:PHallii_v3.1:7:21939071:21940003:1 gene:PAHAL_7G073100 transcript:PVH34947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQKGHFHTNGLHWEGFPRLLWESLSMFHYTEPPMYDGVEYLEEGVFRCRVKMMIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPIIDPSNSDWDVRTDHYGHLLGDVAEETVRIITRFMDVQYRYQMLLRHGVGQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAAKDETILHREDQIIESDHLITQRDAVIEFLQEHIHDLMLEADDAQAHIEELQQQPILPAIPLVPEEEEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PAN37280 pep chromosome:PHallii_v3.1:7:13604031:13606095:1 gene:PAHAL_7G048200 transcript:PAN37280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFGSKGFLGILYVHILSYDVYFSSARLLKRLDFEELKFSLYFLGYEDVASAPADHIKRTEWTFRQKATLELTHNWGTESDPEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFEHLGVDFVKKPDDGKIKGIAFIKDPDGYWIEIFDHTIGTVTASAS >PAN40908 pep chromosome:PHallii_v3.1:7:47889248:47897425:-1 gene:PAHAL_7G349900 transcript:PAN40908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGSADDMLGFKEDDAMRFIFGEDIMGMEDPGAFDRSLMELQVFKEVFCGAATTIHLPAETDLGFIHTHHPPHDPQLQMPHPHPNLQLQDHAQPHGDDLDAALMQGFTAHWQPGAAKCATHLAGLYDDQHHFFGADAATNILDVHAQGTPQDVGPAAGTCNALVGLAGSSSTSAVDDPMPSYMEALAEISEFQSATTLLSDPFLHQWLQGQQQYPTDACFTYDQGQVDDTTYPLCTGIKELSDRGGAQQHPFYREEAHGTPTLPQQSQFWFSPAQFAELEAICRNGTPDANISSLDEIDARGCSSGHSGSAAAVSKKSFGRDIPDQLEAHAHRLFKDAGWTIKPRKRNDRAKMASYFTAPNREAVHTSLTQAWKFCGNKLYEASADSERGRYPKEWSDVDAFWKDLTDAMAYVDRMLAKQQNALTLLQRWQILDPFVAVVFISRKITALQQHKTLRAVNSSTFVLDGSTDMSSGSKTMHKASDLLASRMIQSTPLITDSDCSTLATESYNGHQSLQSCHDVEDSNNRDMNPKLCCNGSLNYDASDQTKHHIYTGDDGRQTCAQAKAVNSSVNKAKKKSKRMFDTDAAGLDGLCSQSVMQPTMENVFDHGSNVATMGMPGVVIISASVEHGMCSGVDTLHMKAESKSEKLDKDDQSNNCDMFLSSESKQLNTMQSVRTEELSDCNTFSDTHSTVRESQSDATASCPDDKDHEKIISPPGQFSEDPQDGPTGNTVPVQLSHECSATVLKTDPTRDSQTSKTATARMKPKIWEKYMKKRPRELRINDEDLLIAAIVKNKDLVSCHKFAAGFAGAKKFKKLKSHKKCNKLLFKTGKAGSNLLGGKRVCLARKTVICWLIATGFLTVKDVIQYRDPKRNKVVKDGLVTWEGIVCNCCKKTLSVSDFMAHAGCSNPKSSLGLFLESGKSYTLCQVEAWSAEFMSRRNNACGRKVEAIDENDDTCGFCGDGGELLCCDNCPSTYHQACLSSKELPEGSWYCHNCTCQICGRPVTEKEVSTFSAIFKCLQCGDAYHDTCIEQEKVPFEGQISDTWFCGKYCKEIFIGLRSHVGTDNILDNELSWSILRCNSDGQKLHSVQRIAYLAECNTKLAVALTLLEECFIRMVDPRTGVDMIPHVLYNKGSNFARVDYQGFYTVILEKGDEILCVASIRVHGTKAAELPFVATSVDYRRQGMCRILMNIIEKMLRSFNVKMLVLSAIPELVSTWVSGFGFKPIEDAERKQLHNVNLMLFPGTSLLTKRLDGFIMTTKPGEEKDLHEDYGLPNGKSREHFELHDIDLSGKEFKAEVSVGDPFRTLKHDCGSAAWFQSTKLAVGEV >PVH35014 pep chromosome:PHallii_v3.1:7:25118562:25119494:-1 gene:PAHAL_7G085600 transcript:PVH35014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPNIGWYCEGHAHTNALHWEGFPRLLWESLQIFGYDTPPLYDGYEFEEAGVPRCRVKMTIPQHPSRYLWQPVTINTIGHRLVDTFESAALEAIHVFCDKHPEEVAAYPIGLFPATDSRDPEWTFRISCCSHLLGDLSLETLQALIRFMNMQHHYQLLQHQSMNQMSTLAQAHHGTITQQLDELDELHNTMSAQVDLMAQRDVIINNQENHIHERETVIGQRDTIIEFLQDQVQDLTIELNDAINHINNIHEQPVPPAMPEGDESEEEEDPEEIEGVSELDSEHGDPVLSPYHSPSGSQSSVGNFDDF >PVH36002 pep chromosome:PHallii_v3.1:7:46942523:46944077:-1 gene:PAHAL_7G334800 transcript:PVH36002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTEKCSSLLPPHHHHSDAAPPKASRRSRQRQQLLTATKAACFDAALAARLRGLLPGPAPSSPLAALARLADLLALTLAEAAPALAGEGDAAAVAAHLDAGVALLDACNAITARLERLRRRRLLARFALHLLSSSPAGRGRARAALADRDGRSSSSPPPPLPSLPFDQPRGRLSAAARVLVAVSAVSSLAAAAAGAILGEAFLDAAAFPRVSGGDFPWAEPFNAVSGQLSALAAPGASEVDAIDEAVQRLASALDGKGGVDEAAVRAAAQEVERRTEEMTARLDRLSDAVNGVFRAALGLRNAELGSFMVGPGGKTCA >PAN37362 pep chromosome:PHallii_v3.1:7:24996178:24996699:1 gene:PAHAL_7G085300 transcript:PAN37362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTYITILSLLALADTLPSDAASTAVAPPPLRAPRGPATVAQFLSAINAARADAGVLPLSWNTTVAQRAKLQLSWLRASAGCDLSKKDGYPTRLHEAMTFFRGPNSRPTPADAVATWVSERQWYDRGTDACAPGQECGSYKLVVALEWRQLGCALVACPSGGAVAACAYLP >PVH35209 pep chromosome:PHallii_v3.1:7:32980871:32981385:-1 gene:PAHAL_7G128000 transcript:PVH35209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPHMMRLFLIAALLAALRPTSSSWSSSGTSPEPVLTFCSGQPLDSNSSPAVTSGGEFTSFLDAVCAGAYGCRPDVPVSDYAVCLAAASSRLIAIGRTTCAASAVWHDACSSATPTAAQACSARTSTRRPCSTRPAHHCHTGAARRR >PAN40170 pep chromosome:PHallii_v3.1:7:44574118:44578322:-1 gene:PAHAL_7G297000 transcript:PAN40170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLAVEAAGAAASSSVLNGAVDWWRDVNESPLWQDRIFQALAVLYGFVSAVALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSVVFVLRRNVQVLEPEIIQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINGVVYAIQIILWLVLWWKPVRIMVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCLNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >PAN37123 pep chromosome:PHallii_v3.1:7:15603040:15603729:1 gene:PAHAL_7G053100 transcript:PAN37123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGRASALLFLTLLRSTATLPTPTNARHFPHKDGISASAIYVSKFSLPPLPWKSDSSHGSGRGHGFGWTISHNGSDTNIGFGGGVGGGVGTTHAGGSSAGGGVGLGVDIDMGKGGVDVGIGVGGGGAISTKNGSTSVRAGGGEGIGIHFGPDGLTVTHEGGGNVGGGGDGTSSSGSGVGHAGNAVGSGQGSGNASGGTGSGEGSGSGAGQGGYGGGGGGGTGSGRHP >PVH35429 pep chromosome:PHallii_v3.1:7:37155876:37157065:1 gene:PAHAL_7G181200 transcript:PVH35429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPLSHLRRRVLAAAPELLAPLFFRCLSTATAPAARKPTTAVAVLWDLAASSPPTTLPLYDAAVRLHLSATSFGRLRLSVAFVHPTHRLPAPAAPAATTHLCRVCGRRFRARDALLRHFDAIHAREHAKRLARIDSSRGDRRVRLAAALSLKLSKYEKAARELTAGADAAATPADELGRAGIRVALTRTPAASFRERAQQVLDEGSVGCLMLVSGKDELASLLRVARERGVRSVVVGGESGLARWADVGFSWAEVISGKARNAAPSVSGKWRDRDVLKGLEWRYEEADDEEELVFEDSDGDGVEELARNAKGKPWWKLESDDEDSNVGG >PAN39849 pep chromosome:PHallii_v3.1:7:43045934:43048922:-1 gene:PAHAL_7G273400 transcript:PAN39849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREERFPVWEAALGAGVAAAFAAGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGVALVVFAATAGASSCYFLSKMIGKPLVFSLWPDKLSFFQKQVAKRREKLLNYILFLRVTPTLPNTFINLASPIVDVPYHTFLLGTLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKPLEMAVGAS >PAN38268 pep chromosome:PHallii_v3.1:7:35834361:35835256:-1 gene:PAHAL_7G159400 transcript:PAN38268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGLKSVIMCLLILGIILQVEGKSCCKSTLARNCYNICRRLRFPRPVCAKTCGCKFINGTICPPSFPKLNLLPNSGEPDAIEYCNLGCVSSMCDTMNEALEFVGEEEMKIDMERCSDACDRFCNGDTRIASVDA >PAN39080 pep chromosome:PHallii_v3.1:7:39546723:39549634:1 gene:PAHAL_7G219800 transcript:PAN39080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMECGIEKKAAAMAAAEEVGRKEEAAAGDVGLMELSRKLNDFAKARDWEQYHSPRNLLLAMIAEVGELSELFMWKGEVRRGLADWDEAEKEHLGEELADVLLYLVRLSDICGVDLGDAATRKIVKNAAKYPAPSKEGA >PAN39561 pep chromosome:PHallii_v3.1:7:41559769:41563337:-1 gene:PAHAL_7G251800 transcript:PAN39561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLOWERING locus D-like protein [Source:Projected from Arabidopsis thaliana (AT3G10390) UniProtKB/TrEMBL;Acc:A0A1I9LNW6] MSDQAPPYAPLPLVSSLPTDPNSTPPTNPTLVLPTPAFPNKRKRTGFRRKVPSGSPAAPAPSPAAPSQPPNPASAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLCRWRETYNSWLAKEPFATLIPPHCEHLLNAAYSFLVSHSYVNFGVAPSIKERIPKEPTRPTTVVVIGAGLAGLAAARQLVAFGFKVIVLEGRKRCGGRVYTKKMEGSGRSAAGDLGGSVLTGTLGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEITFNKLLDKSSNLRSSMGEVAVDVSLGAALETLRQTDGGISTQEEKNLFNWHLANLEYANAGLLSRLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTVRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGIKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLVEDPRRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLQILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARVAKTKVDRSSSTNTQACATILTDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVELGGQRKKNATEGAKTEQNHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDDMRLHYLCEKIGVKLVGRKGLGPGADAVIASIKAERNRSRAKPGPSKLKKSMKPNVVAS >PAN39601 pep chromosome:PHallii_v3.1:7:41781192:41784197:1 gene:PAHAL_7G254800 transcript:PAN39601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKAGTDTSFKATGAKRKKAGGPKRGLTPFFAFLAEFRPQYLEKHPELKGVKEVSKAAGEKWRSMTDEEKAKYGGGKKQQEDKASKPANKKKESTSSKKAKTDGGEGEEADGSDKSKSEVEDDDEQDGNEDEDEE >PAN38394 pep chromosome:PHallii_v3.1:7:36424818:36425207:1 gene:PAHAL_7G169000 transcript:PAN38394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPMVAAGNGETALPQQQEQAPAEGAASGGGVITKLEEQWRKTKEHAETYPYVWGSYILVYIGLGAYLALRWRKLRRTEDRVRVLQDRLRKLAAAEESQAASGSASTALTPPPPQQLAAGPAKPVPGP >PVH35759 pep chromosome:PHallii_v3.1:7:42746243:42746890:-1 gene:PAHAL_7G268400 transcript:PVH35759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APRARGGGGGHTISTRRGHRGSPATKPSSLGSGARPRLARRCSLFVPQRRGPADMKGSGAMKPSSMFYVHEADVVQIHHFLEECSLCAKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHALSARSKENRHHHHHHPQHHHHHQQPQPRKPGMDANPWVDAGFARAPALRV >PAN38089 pep chromosome:PHallii_v3.1:7:34807378:34810903:-1 gene:PAHAL_7G145400 transcript:PAN38089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLYRYFHFHYRKNTNASLFSCKLKLSSCSITANTQCPNLHCYSSHDLKKKREETQSCSPQWIEGSSSPELAVDAACRGLEPPLLTRPVPAGHAAPGLPLWPAVRPPTAPLEEDKGGGGREEGRG >PAN37582 pep chromosome:PHallii_v3.1:7:29847312:29850163:-1 gene:PAHAL_7G104600 transcript:PAN37582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGKQAVSAAGKGVGEAAPAVTLEDLRKRMADFAKERDWDQFHSPRNLLLAMVGEVGELSEIFQWKGEVPRGLPGWEARETEHLGEELADVLLYLVRLSDMCGVDLGKAALRKIGLNAIKHPIGKRHDTSSKKFARRSGDDDVVGGAGRDDKDGVAREGDKL >PAN39851 pep chromosome:PHallii_v3.1:7:43063177:43064516:-1 gene:PAHAL_7G273700 transcript:PAN39851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCCHDAGVKKGPWTEEEDRALVEHIQRHGGHVGSWRNLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTADEERLIIALHAELGNKWSTIATHLDGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQRLPPDDVLGGALAAAPGLPEALLSAAASLGGLNSVLMQAQALQLLLQAVNGGAASAGLMASFSPAVDNAMPPLLNASSIVPNFQDQQMNLLAHAANYRPADDYLSNLASFAEQDVVRQPNASAPAPAAALVPASPFPQEVAAAADRRPVQGFSDLLSEAIDQVPSMCSLEDEHFWKDLLAESNHLPL >PAN38653 pep chromosome:PHallii_v3.1:7:37524062:37525410:1 gene:PAHAL_7G187200 transcript:PAN38653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGPWVGVPPDLLRFIRGVCTAWRSALPAAAPLLLTVSGPDAPRHRQIQPGQLVSASFLMAGRSFHLSELPTGGEFVGSSNGWIAVDPICPGIFLLNPTSGEGFDLFPLRNDDDEPVLKVVFAPNPRPTTTPPWRSAPRACSPTRDMRWTVMDVAMAEQRDKLADLVYDAGGGKVYCVTAHGDVHVFHVPGCRRRRPRVAPLHANRAGGLFAPPYDTASKLTGAKSIFLSGGSLHQAWRNTTIAFSSMTPGGGRFSMAKDEIVVLKYDPERRPCWDALADLGGRSVFVGKNNPVVLRPEDASGVRPNCVYWIDERSRFQPMVFDMATITSTPHPFDAEAPGPARRPLCWFFLNDKISH >PVH34738 pep chromosome:PHallii_v3.1:7:3972415:3972849:1 gene:PAHAL_7G020000 transcript:PVH34738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSCSEGLVIVDWVRSISIKQVSSHYCLHMLFDPKVRSGIDAIGSLQPIPGRSDEPITARTNLYKYLYLQEFHESHLPDQVQDQVARLATPSQGVRQIAGPLTEGPGPASRPGSLPAPRVACRCSPVGFDRQQYVIVPIYFAI >PAN36995 pep chromosome:PHallii_v3.1:7:4415879:4419062:-1 gene:PAHAL_7G021900 transcript:PAN36995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHHPGASAAPASSVGDDDFHWDDAAEAELQAIEAAYASAKRRRLPDWTSPSPSSRPRYSQTPVSGGYSQIPVSGGSTPSWVLTPPSFQGNVRARHQPISFGGKIVYCRTSSEVEKAAMDILGKIEGIKAPGPVSLGFDLEWRPFAGRGEPPCKVAVMQLCMEKTLCYVLHIAHSGVPPKLKSLLEDNSSIKVGICIVNDARKMLNDYDTCVQPLMDLSILANAKLAGPPKRWSLASLTEMITCKELPKPSNIRMGNWEADVLTKKQLQYAATDAYISWYLYEALQSLPDCNAEAEIESVKVS >PAN38786 pep chromosome:PHallii_v3.1:7:38178255:38180561:1 gene:PAHAL_7G198200 transcript:PAN38786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVAAPPPRQLSLEDLKAVSVLGRGAKGVVFHVVPAPGEPEGEDEGAAAAEVAMALKAVSREAARHRKAASGDGDGHRRIWFERDVLLALRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPAPPQEPDAPAESTKPAPPVAAPSPGRGKPRKPAGAALCFPFRTGGAAKPAADSPSPPSTSRTASSSSSSSTATTASSGASAGARTPAKSNSFVGTEDYVAPEIIAGRGHDFAVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLAKQPELIGEQTPLRDLIARLLEKDPEKRIGARGVKAHPFFRGVDWDRILHVARPPFIPTPPQEEDGDEALDVEKVVREVFASNDAEATKAGEGEGEKASPEADGGRGGDGEGRRRDPSKDGDFSVFF >PVH35407 pep chromosome:PHallii_v3.1:7:36875018:36875518:-1 gene:PAHAL_7G175900 transcript:PVH35407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRGEWRESKRDLTLADAGAGGRGRGRSGAPPAGGRGAGGRGRGRGGAGARAVGGAAGRGSGARAVGGAGVGERGRGRSGAPPVGGRGRGRGGAGGRGRRRSGVGARGSGARARGAGARAPGSGGAAFRGSGARAAGGAGAGGRGRGRRGRGRRRTLGGGGVRRR >PAN39249 pep chromosome:PHallii_v3.1:7:40290982:40293952:-1 gene:PAHAL_7G230800 transcript:PAN39249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGLAVSVPIAAPPLARASASAYTARRVCAIPSSCSSSSSFRSSPLRATTGLPGNRRAARRGASVACAVHGQDTTIEVPEVTKATWQSLVMESELPVLVEFWASWCGPCKMIDPVVGKLSKDYEGKLKCYKLNTDESPDIASQYGVRSIPTMMIFKNGEKKDSVIGAVPESTLTACIEKFVEK >PAN40652 pep chromosome:PHallii_v3.1:7:46725306:46726644:1 gene:PAHAL_7G331100 transcript:PAN40652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWSGKEDWSKIAIYVFAAVLVRGSYRSEPQLRAELEGVVIVHRRRGVEVERRARPDRGGVVAVVHDGGRGGAVAVAVGVGRCRPRLHQPPPNSRLRRRRSSSPGRPVGPAGGLDGGAGAARGRGRRRRDEAAAVVAGGGAGEVGPGERAAQGPRGDVVGACRGLGGVEGAEPDARALRRVADLRREPAPRALPHAPVPRRRHRHGRRAAHHPARSATELPDQKQGRRRAARLFVLSRSARESTARGWLSGEAREEEDAVWSGLAGERRG >PAN38320 pep chromosome:PHallii_v3.1:7:36137072:36142679:1 gene:PAHAL_7G163900 transcript:PAN38320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEREPLLQRHAAGAKGSSSAPAAAPLPSLARTVLKFLMWAVFLTWAAGIFLYPTKPAQAVFKKWVGLTRDSAFGIAGGIFLTFSAPILIIALLAYVYISFFPSDHVEKRKLRSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFIVYVVYSMTYYALESVSLVSKFDIPSKTDSELILHVIGLRLGSVGLFCMLFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIEWSMQGLLIKKMLGWKEVGIANLPGVISLAAGLLMWVTSLHPVRKRFFELFFYTHQLYVIFIMFLALHVGDFIFSISAGAVFLFMLDRFLRFWQSRAKVDIISAACRPCGTVELVFSKPASLRYNALSFIFIQVRELSFLQWHPFSVSSSPMDGRYHMSVLIKVLGTWTEKLRSIITDVQEQNRGDSDLQCGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKQCMPKNVLVLWSVKKSKELSLLSAVDAQTISSSVSDKLRLDIQAFVTQESQAPLEDGIVGDDRKVPGMFVKNGTTMSGLVGTGDNFWAAMYFLASTLGFLLAYALVQVYYVKPHNVVAWWYLGLLFMLCMAAGVALPGGLVVLLWHLSEKRRLEDEKWDATASQSRRAEQTPPAAAGGDGVSLAAQRTTRYGCRPDFEAELATFAERAGDAADVGVLVCGPQGLQTSVARECRARNLRRGGGAGKSGRRAVFHFNSHSFDL >PAN38048 pep chromosome:PHallii_v3.1:7:34394323:34396234:1 gene:PAHAL_7G142800 transcript:PAN38048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVRSMIGVRWSVGSSLMITQQTTSPRPALPPPRPPRLRPPRSLPWCHSPRRSRAGLLLPGKSPGGPGPAASSRALEARRSVPVMDLSAGEAPMTRRQKRKASVQAKRKVKEAQAGGNSAEEARELKMGSIRLARLHAVKQTQPEVPRTRVGALRQA >PAN39837 pep chromosome:PHallii_v3.1:7:42977193:42979446:-1 gene:PAHAL_7G272200 transcript:PAN39837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDDESPPLRVNTRGGAMGGGECGGAENQRWPPWLKPLLRTGFFGQCKLHADAHKSECNMYCLDCMNGALCSQCLAYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGEFRIRKKHAAIKRKKRPQHKVGAAAAASDSEGDSSTSTSGGSDKSSVVQSFTPSTPPATANSFRAGKRRKGVPHRSPFGSLMVEF >PAN37234 pep chromosome:PHallii_v3.1:7:9569235:9570502:-1 gene:PAHAL_7G037500 transcript:PAN37234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKARTILIALSMAVLFSFNAVECGSYMSHAALSRKGLKEERKLGTSGLSPSSASSLPGLATNNTIGVNSNSEGTNTDMSGAGTAYTPMTATTADSHHDLSVDQYRRIIHNNQIKP >PAN38151 pep chromosome:PHallii_v3.1:7:35199473:35204387:1 gene:PAHAL_7G150700 transcript:PAN38151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQHGGSRSRMPPFARGGGGNYGRGPKQFYPPPPPPPPLPAAALPPPPPLNKYEVLLEAGRLAAEYLVAKGVLPPGSLQQRGGAVDAGGWGQLPPPPPLPEAPTYYNARSGRRQVDDECGTRNARSRRNRGGDYSSSNSSNYNGRWKRKFGADNRYSDSGRDRGRSRGYSDARSYDEDDEDGAPGFKRERRSCGGIDEVGSSVSGVAGEGPSSKVEAMGESKLEDTGPKASSNSNVWQKADALHEVEDENEANKMQEDSVVSNSEVVEQTLNCEGNDNNDSSGVLQEAETKHLPVSSGEKVSDGRPEDSGILSEMVEDDKTLHEKAEDDTTSDEVSIMENNLPNDPRNLLNYCSFARVPTRPRSVLANRNTRPAQREFPVSGQVSLVTTEEMSQTTMDGEANSNSMTSIQEDSKDEVVRQEHAEQSTSCNHVAESLTLNEKGTLGETEEMEEQKNIPQHYAVKDNKEPTELSPSFASHQNSFSLQVEKGIQIYNLDTPPQDEVLIDPPDKGKTVDSELLPNIKEEAAATMEEEKRGQSSSFKICDLNLVGCPEVANMRADPRLGQSSTAGCSVEQQDTQQVDFGTTLGDNSSSTDTFLLGNKAVQVIDIQDDPPVEADACDTSKAKGEMVYSSVENMTNPSTDTDALHVIQDGYGLAISDYLGADMPCYQSIQTDLQAGMDLNGSEGITVMDDPIYSSLSDIGFMEVWDQQPQDYEKFF >PVH34873 pep chromosome:PHallii_v3.1:7:15235024:15235960:-1 gene:PAHAL_7G052300 transcript:PVH34873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCMHSDGEVLFLEEFVTLLGLGPPTFTGRQGNDGMAIVGAKVILCPAHDVPFIYHEAARNTLAEAEQAALLMVIHALAAEHHVEIRDVNFPQVQCLRYQVAEKLCVELMSVIRSSENEVMFLEPLTQRFC >PAN40520 pep chromosome:PHallii_v3.1:7:46177248:46180052:1 gene:PAHAL_7G321700 transcript:PAN40520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLDYCFSNDYMVLRPDRAGPVELLHLLFSPKVGRNRAIDCFTSTEVRSFPRRLAIFLNLLLQILLLALAGPLAALGAAVELLLNLVDNVLHGRMEYPDRSSPTYRSVTGLIDRRADLDRSIKPTDSRFDAALCVMASKLAYENEAFIRNVVTSHWQLEFVRFYNCWNEFQNAYTAQAFVFCDKPVDADVIVVAFRGTRPFDAARWCADLDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHIKGKPQKVYAYYTIRDALKELLEANRKARLLLTGHGSGGALAVLFPAILAYHKEKAVLDRLAGVYTFGQPRVGDAMLAMFVERNLDRPKKRHFRITYGDDSLPRLPNESSAIHFLHFGLGLHFDKSYKLKVVREIQIPGEETPSSLLDSVTSRVNSAWELGRGVYLGYRRGAYFREGWLLLLMRALAVALPGLPFHRVQDYVNAVVLGGYIPKDN >PAN37749 pep chromosome:PHallii_v3.1:7:31971155:31973056:-1 gene:PAHAL_7G118900 transcript:PAN37749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDSLVTAHVIGDVLDPFNTSADLMVLFNGAPIISGMELRSAAVSDRPTVEIGGDDYRDAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDNTYGRELVCYEPPSPATGIHRMVLILFRQLGRETVFAPSRRHNFNTRGFARRYNLGAPVAAMYFNCQRQSGSGGPRFTGAYTSRRRAAG >PAN37973 pep chromosome:PHallii_v3.1:7:34061166:34063297:-1 gene:PAHAL_7G137900 transcript:PAN37973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQFADSANNVIIEEVNKGLNPGMVVLLVVASFLLLFFVGNYALYVYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >PVH34753 pep chromosome:PHallii_v3.1:7:4714132:4714912:1 gene:PAHAL_7G023300 transcript:PVH34753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELCCLLRQQLLGAVRAPIRRPRRRGRRGGEHVDAARHANNLAAHLGPPVMLDSCFAEGCILVRCPRHYPSHGWTARVRIKHGGSPAPLEVTTYCSIHEWMSYFHDEGGPSVAAPHAYGITSPRRPPSPTAAGLEPQGTMASRRGVPPYYNNVTSSGAAALVVYSKPELAHPPPPPTPTPTRPNMTAAANSRPGLHRVIPTGLIPTGATLCSTEPRPSRSHEGEA >PAN39914 pep chromosome:PHallii_v3.1:7:43384691:43386159:-1 gene:PAHAL_7G278400 transcript:PAN39914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAWGSLQDKLQGRRWKERQVRKITDKVFDRLTEDAQKREKEALTFEEVYIAVLCVYNDINKYLPGPHHDPPSKEKLKAMIDEFDVNLDGLLDREEFAEFIRKLTADSLCSISLKLVITLVAAPALAMATKRATEGVPGVGKVVRKMPNALYASAITLGVVLVQKSSEGVE >PAN38033 pep chromosome:PHallii_v3.1:7:34361591:34363029:1 gene:PAHAL_7G141800 transcript:PAN38033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLHPPHLHFHKLKRRHHPAAMAKKGLVAILYKLRDVHRPPSSPASPSPLPSTPSAHYAQRCYPPPPSAWPWPSCRHPRTSSFRGPKDDDAAVFRTANTVYDTNSEQFLRRLSIDEAACIDRSPLALPPGEAAAEQVEEEEEKEMQLRETAVVRGMRSERLFFEPAGAEFLPKQETAPARGENEAAAVLCVKNEEQSTATAPHKNESAAEAQAAAVKGGAVVVTVESKDPYGDFRASMAEMVAAHGLRDWEALEELLAWYLKLNAKGVHAAIVGAFIDLLVSMQPQAASPLPSPPSPSPSSSCITFEEYSSATFDEEEGKS >PVH35924 pep chromosome:PHallii_v3.1:7:45202192:45203960:1 gene:PAHAL_7G308300 transcript:PVH35924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGHTKPAPRLTILLSSCLSSMSPVRIVDISYVAVPAKAARPPEDVKLNAMEAQWLVLPLLQYILFFEGEQLPPFDTVVQSLRSSLKATLANFAPLAGKLVHLADTGDVAIRCSASSSDDGVRFVVAESEADVRRLAGDEEHDVQTFERLVPELDMTELPASLLAAQATRLEGGGVALGVTVHHAVADGRSLWRFVEAWAAACRRDTPPPMPPPCFDRLRVRLPGGEELARSVLRKYAPNLPVVTTPSILQEERLRFIRWTFTLDSNHIERLKRRIVRLGEAQGAPSCRPPSSFVAVAAQAWTCFVRCRSVPADEDVFLFFFADVRGRLDPPAGAEYFGACLSGCLATVPARELHGERALAAASAAVQGAIREMTEDPAGGWEFLRIPGAVPMDRLLNVSGSSSFRAYQAADFGWGRPRRTVPVRMNQDGQVELVRARDADGVQVTVSMLRRVHMDAFKAQFLDLLE >PVH34944 pep chromosome:PHallii_v3.1:7:21466124:21466862:-1 gene:PAHAL_7G071500 transcript:PVH34944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKTNTGAVVSLSFSSWKLRSQASVQTNFLSFLSSSVIGLAILENPSMNLR >PAN36836 pep chromosome:PHallii_v3.1:7:1021106:1025079:1 gene:PAHAL_7G004400 transcript:PAN36836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSSATLNSHLLDESGLMEPSAGTAIYPLHRCKTIHLVRHAQGVHNVEGDKDHSAYMKPEFFDARITPLGWNQVDCLREHVKKSGLAEKIELVICSPLLRTMQTAVGVFGGESYTNGISAPPLMVENAADSGRPAISNLNCAPFLAVEACRERLGVHLCDKRRSITEYRTLFPAIDFSLIENDEDVLWVPDVRESLESLGERGMKFFDWLWTREEKEIAIVTHSGLLWHTLRRYSKECHPTVRHEGSKYFANCELRSLVLVDRSMLGSDSPGYNYPGKIPDGVDLPSDVADKKHLEEEVQERTDSV >PAN39594 pep chromosome:PHallii_v3.1:7:41736543:41754266:-1 gene:PAHAL_7G254400 transcript:PAN39594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGGRRRGPAALAAAAIALMQISFLVFPISAQESNSSRVVPAEGYCSMYGICGQRSDGKVLNCANATKAVKPDTLFSSRVQSLCPTITGNVCCTVDQFDTLHQQVQQAVPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQINSTATVDGIDYYVTTNYGEDLYNSCKDVKFGTLNTRAMDFLGGGAKTYKEWLAFLGRQAKPNEPGSPYLITYRSDSSDSSGVKPLNSTIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLALLCAVLLWGLLYRTRGRTAFPLKTKPLRNSDDKSDSNKNGKSPHNSVQVSEAASSTVKPSHPSIVQTYMSIFFRKHGIFVARHPLLVLCVSLLIPVLLCIGLLRFKVETRPEKLWVSPGSRTAGEKNYFDSHLTPFYRIEQLVLATSASGGSAAPSIVNDNNMKLLFQIQKKVDDLRANYSGSTVALADICLKPLSTDCATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTSEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAFISLVKEEILPMVLAQNLTLSFSSESSIQDELNRESTADAITIVISYIVMFAYISFTLGDRPSRWLSLFVSSKVLLGLSGVVLVMLSVLGSMGFFSAIGMKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPDQSNLEERISDALVEVGPSITLASFAEVLAFAVSAINPMPATRVFSMFAALAVFMDFILQVTAFVALIVFDFRRAQDGRIDCVPCARILSSPTTGDGGDGQKLHLLARYMRDIHGPILSYRAVKFVVIAVFVGLAFASIALSTRLQPGLEQKIVLPRNSYLQGYFDDLAKYMKVGPPLYFVVKNFNYSSASVHTNQICSINQCNSNSLLNEIARQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQPPCCQLDQDSGTCSASATCNNCTTCFLHSELDNGRPSTTQFKDKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYESGIIQASAFRTYHTPLNKQTDYVNSMRAARDFSSKMSEDLQMEIFPYSVFYIFFEQYLGVWKTAIMNICVCLGTVFVVCFIVTSSLWASAIILIVLAMIVLDLMGVMAMLGIQLNAISVVNLVMSIGIAVEFCVHITHAFMIGNGDRETRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLIFLPVVLSLCGPPPKSAKPIEQSQPASASTEQT >PVH34855 pep chromosome:PHallii_v3.1:7:12885505:12885791:1 gene:PAHAL_7G046300 transcript:PVH34855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPLHAHTLLSCLSDGTAKVKAASESRVFPRLMALVMHPSVSVLIPALRTVGNIVTGDDLQTKS >PAN37099 pep chromosome:PHallii_v3.1:7:18910276:18913164:-1 gene:PAHAL_7G062100 transcript:PAN37099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEPLVHKVLSMATTSSSSKKVRPAAASAKGGAGAAAEDGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSHAVRALVPGDDARLLALALAEKLDALNRVAAVATRLGRRCTVPALMGFDHVYADLLAGRSDAAAFAVASPSEAASLVRKLDRLAAATAALYAELEALTELEQSARKLPTDEARRALEQRTRWRRHDVRRLRDSSLWNWTYDKAVLLLARAVCAIYDRIRLVFGDPMLGLDLLATTRESGQCDQSRQLSGPVTANSGPLHNNLNGCKSGPISRVDPDTPRSVNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDSSCISTIRSGMLVPFSGEQGASTTPTKSGKIGRRVRFGPKSTVTSLAPPSTIGGSALALHYANIIIIIEKLLRYPHLVGEEARDDLYQMLPSSLKVALRKNLKTYVKNMAIYDAFLAHDWRETLEKTLAWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFANREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCVEWQLQ >PVH34693 pep chromosome:PHallii_v3.1:7:1882147:1885121:1 gene:PAHAL_7G009900 transcript:PVH34693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADVHMAGGAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKASKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGATDVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYIWESQAGGSFTVTLDTTGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEEKDDKKEGDVEVVDDDDKGKDKKKKKVKEVTHEWVQINKQKPIWLRKPEEITREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFFEIADNKEDYAKFYDAFSKNIKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEETEEDKKRREERKKQFEDLCKVIKEILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSAYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDEDATVDEDADMPALDEGAAEESKMEEVD >PAN37781 pep chromosome:PHallii_v3.1:7:32379383:32382233:1 gene:PAHAL_7G121600 transcript:PAN37781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGAHHIRKPAAMGSFKLSLLRILLIPFLLLAPTVAEELIAGDGVPPTFIELPAGDDELRTFIVHVQPAENHVFGTADARTAWYQSFLPEDGRLLHAYHHVASGFAAQLTRREVDAMSAAPGFLWAHPSEVYQLLTTYTPRFLGLDVPHGANPGNHSASGFGDGVIVGVPDSGVFPYHPSYSGDGMPPPPARWKGRCDFSGSACNNKLIGARSFESDPSPIDGTGHGTHTSSTAAGALVPGAQVLGQGRGTASGIAPRAHVAIYKVCGRECSGADILAGIDAAVGDGCDVLSISIAGGGPEVPYYENPLAVATFGAVEKGVLVSIGAGNSGPGASTLYNDAPWMLSVAAGTVDRLIGAQVRLGNGLSFDGESVYQPDISVDAFYPLVYAGASWKFRAQYCGKGSLDGLDVKGKIVLCYRGGGSGRVAKGDVVKQAGGVGMIMANEFSHGYSTIADAHTLPASHVSYAAGEAIKEYISSAVNPVAKIVFQGTVLGTKPAPVMASFSSRGPSLRVPGILKPDVTGPGVSILAAWPFQVDPSSSSSSGPAFNFDSGTSMATPHLSGVAALVKSKHPDWSPAAIRSAIMTTADPNDLSGNPMLDEQHQPASFFATGAGHVNPDRAVDPGLVYDIATADYVGHLCSIYASRFVSVIARRTVDCSAVTVIPDHVLNYPSISVSFPPASNSTATVVVRRTARNVGEALAVYYPYVDLPSGVEVVVAPSSLQFTKANQEQSFTVSVSRGERGMAKVVQGALRWVSDEHTVRSPISITFE >PVH35404 pep chromosome:PHallii_v3.1:7:36818881:36820282:-1 gene:PAHAL_7G174900 transcript:PVH35404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASTSATAPAAVLKTPFLGAQRLLANAASVAARPAPRRALVVAAAAAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQAVEWATPWSRTAENFANFTGEQGYPGGKFFDPLGLAGTVKDGVYIPDTEKLERLKLAEIKHARIAMLAMLTFYFEAGQGKTPLGALGL >PAN39689 pep chromosome:PHallii_v3.1:7:42316113:42318506:1 gene:PAHAL_7G261300 transcript:PAN39689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAQPPGMESPLSRPALLALSLLLLLALYLARRRRAGGNNRKYPPVAGTVLHQLLNFGRLVEYQTELARRYRTFRMLTPTCNYVYTVEPANVEYILKTNFANYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLREYSSAVFRDTAAELAAIVAPAARGDGERVDIADLLMRSTLDSIFNIGFGVNLGSLSGCSKEGAAFARAFDEASEQVLYRFFDLFWKVKRLLSISSEAAMKRSVRTINGFVYAIIDRKIEQMGRNHQEFAKKEDILSRFLLERERDPGCFDNKYLRDIILNFVIAGRDTTAGTLAWFLYVLCRNQHIQEKIAEEVRAATATGDRDVGAQELVACLTEGAIGKMQYLHAALTETLRLYPAVPVDVKCSFSDDTLPDGYAVKKGDMVNYQPYPMGRMEFLWGTDAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLHLFRFEMWDADATVGYRPMLTLKMDGPLYVRASRRRR >PAN39940 pep chromosome:PHallii_v3.1:7:43458997:43462793:-1 gene:PAHAL_7G280200 transcript:PAN39940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHMGRRTVGGLLFTKGGSILLFREDGSRPKAKNCCSRHGCSGRHSVDKAKGKEVPRAAVPSESAPATPGRSQILRKPNRKPPLQQESSASDSISRDAGGSCSETGNRSRDTPGRDLLARLKDRVNASRKRSLNRENSPPSPNEPGASSPSSSRSISRPSHRAASRIRKTDDGANAVTDSAHRNGSRDARRNSERSDDDLLLGEQVARDHVPSDGFLSGFMARYRSGLQGGVSSLEDSVEDSNGYWRFDTGVTEELENYFIFNDRHRGMRMDIDGMSYEELLALGERIGTVNTGLSDDALVKCLNRSIYMPTASGSYEDCDRKCSVCQEEYLAGEELGKMACKHYYHISCIQHWLRQKNWCPICKSVALHTN >PAN39175 pep chromosome:PHallii_v3.1:7:39946756:39948243:-1 gene:PAHAL_7G225600 transcript:PAN39175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTVKPHLVLIPWQGGISHIIPMTDIGCLLTSHGAAVTVITTPANAPLVQSRVDRAAPPGITVAAIPFPAAEAGLPEGCERLDLLRSPADVPRFFAANKRFGEAVARYCRGAGASLLPCRPTCIVSGMSNSWTLGLARELGVPCYVFHGFGAFALLCIEHLFKHRPHEAVASPDELFDIPALPPFECRVSRRQLPPHFLPSTSMGGGPLQEMREFDLAVDGIVVNTFEELEHGSTALLAAVTGKKVLAVGPVSLSRSPSLDPQAMSEDARRCMAWLDTKASKSVVYVSFGSAGCMPAAQLMQLGMALVSCPWPVLWVVKGADTLPDDAKKWLRENTDADGVADTTKCLVVRGWAPQVAILAHPAVGGFLTHCGWGSTLEAAAAGMPMATWPLFAEQFVNERLIVDVLGVGVSVGVTKPTENILTASKTYGSEAEVEAEVGMEQVMEALERLMDQGAEGEERWRKAQELKLKAKGALEKGGSSYVNLENLIHSFV >PAN36872 pep chromosome:PHallii_v3.1:7:1976813:1990174:-1 gene:PAHAL_7G010400 transcript:PAN36872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPILILALAAGSTTYSPAAAAQRDPPKLLILNLEGHDGDKASHVIPNATLLPFGNSYRDLVGGLQNLPSLSLGRNPALSAVHALSGHDAAAADGDGFEALKRGLATMTSGDAHLTQEHLGYIEHWDTMCFELIRANRTGEWSGPFTELLRTRANIHSKDDALAVVGVIINRTFQQLLIAHARSA >PAN38599 pep chromosome:PHallii_v3.1:7:37314203:37314763:1 gene:PAHAL_7G183900 transcript:PAN38599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTTCGKEGDHSAPDCPYRDLLARASRRHGPPRAPNRASPRVCPVCLHRPDPWRRRWDDDSSVRVSNLPRGHPRARPPPPPRPVRHRRARLPLARVAVRRRRARRGRGRGVGDRLARRGRPRRPRPPRRVGGAVRPSSAPDLREVLAGLRDLDPRDQSLGAHQRAGPPQPGLPVWHHQQRPPCRG >PVH34950 pep chromosome:PHallii_v3.1:7:22236937:22238650:-1 gene:PAHAL_7G074200 transcript:PVH34950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSAGTTSSSSSSGAHVLLLPYPGAQGHTNPLLQFGRRLAYLGLRPTLVTSRYVLSTTPAPGEPFRVAAISDGFDDGGAASCPDLNEYWRHLKAVGSETLAELLRSEASAGRPVRVLVYDPHLPWARRVAKAAGVAAAAFLSQPCSVDIVYGEVWAGRLELPVTDGRELFARGLLGVELGPDDVPPFAARPDWCPVFLKASVRQFEGLEEADDVLVNSFHAIEPKVSPATLVILLVHFTVIRLFLLFALLYGFNLFSSSVSCLAWLDKQLPCSVVLVSYGTVSDYDETQLEELGNGLCNSGKPFLWVVRSNEEHKLSVQLRDKCKQHGLIVSWCPQLEVLAHKATGCFFTHCGWNSTLEAIVNGVPMVAIPHWADQPTISKYMESVWGLGVRVRKDEKGLLTRDEVERCIKEVMDGDSKDKYRMNATMWMQKAKEAMQKEGSSDKDIAEFAAKYSSSH >PAN39834 pep chromosome:PHallii_v3.1:7:42964825:42967312:-1 gene:PAHAL_7G272000 transcript:PAN39834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLAISGAQPTWVPYEAIKDCSQGLCSMYCPQWCYFIFPPPPPFDVGGPSPDDSGPVFSPLVIAIIGVLAIAFLLVSYYTFISRYCRTFGSFRGIVFGSSSGGAARGNGGSGGGGGGGSGHGQSRSQESWNVSPSTGLDEALISKITLCKYKRGDALVHTTDCSVCLGEFRDGESLRLLPKCSHAFHQQCIDKWLKSHSNCPLCRSNITFITVGMGMGMGMATPEPDGRGPGEGVGRDNHAAEVVVVMDDLEIMCEEQHSVAGSSDGDDQEANGGPAEGTDESDSKAEIREECPPPPRRGPSPSHPNPDSRMSIADVLQASMEDELMAARESGVLAGGAGTSRRCHGENSKEWGRSSRRASQDAMDSVPTKRLPPAGRSCFSSKSGRGRDPDLPM >PAN38963 pep chromosome:PHallii_v3.1:7:38890803:38893712:1 gene:PAHAL_7G209600 transcript:PAN38963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSATNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQVSSVVLGIYLMVATVLGDTLKLSQAVTYLLFGIMILLLLAPLAIPIKMTLYPNKQTKEKPSTLAPSYSTDSLSGADPENSEPLLGSATATLATGVHESDDSTDLDVLLAEGEGAVNLKKKRGPRRGDDFTFLEALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMSVGANDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLEPSNCYGPDCFRVTFYVCAIVCCCGTLLSVFFIARIKPVYQMLYASGSFRHPRSQQQLH >PAN38962 pep chromosome:PHallii_v3.1:7:38888725:38893712:1 gene:PAHAL_7G209600 transcript:PAN38962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGPGPGKVKAGRRPPWVGLAAAVWVQVAAGSAYVFPLYSHAVKEALGYDQKALTMLGVGNDVGENVGLVPGVLANRLPPWLILVIGSACAFFGFGTLWLAVTKTVAMPYWVLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSATNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQVSSVVLGIYLMVATVLGDTLKLSQAVTYLLFGIMILLLLAPLAIPIKMTLYPNKQTKEKPSTLAPSYSTDSLSGADPENSEPLLGSATATLATGVHESDDSTDLDVLLAEGEGAVNLKKKRGPRRGDDFTFLEALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMSVGANDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLEPSNCYGPDCFRVTFYVCAIVCCCGTLLSVFFIARIKPVYQMLYASGSFRHPRSQQQLH >PVH35437 pep chromosome:PHallii_v3.1:7:37322765:37323246:-1 gene:PAHAL_7G184200 transcript:PVH35437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCDKCRRSALALAGSTYGVQSVGIEGEERDQLVVVGDGVDATSLTSCLRKTVKVGRADIIKVEEVVEEKKAAAAAATGCSNPVAACPPQWYPYHPGYGYYCPRTGVVYPYAAGGHCYVEDSDEGSWCAIM >PVH35084 pep chromosome:PHallii_v3.1:7:29549688:29551949:-1 gene:PAHAL_7G103000 transcript:PVH35084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENELIRTGMTNMLFCADNKAYRSFQSSLVRCASCQRAQVRYEMAEARATKAEEKHRISRKNFKAYRASLFAGIAQLREQVPRLLSPMA >PVH35035 pep chromosome:PHallii_v3.1:7:26736689:26737052:-1 gene:PAHAL_7G091400 transcript:PVH35035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALLGLEQQPLVNIWPSHRMDWISSRRFRGTPIMTTMRSDGLDRRRPSVISRSLWLELLVSSRLPPSSGGAGQRYWREKGRDGWRRGRFDSFFIFLW >PAN38066 pep chromosome:PHallii_v3.1:7:34483806:34485808:1 gene:PAHAL_7G143800 transcript:PAN38066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDETKMLASLNLDIEACNNFQEKEVVLKKFYENRILPALEPRGLIARHSLHDKPGIALHQEFKSIASEPKYITSLELTVELISMPEKFWTRCFDFLCEQRCFITENWSEPLGVSIGIFVFDRTMSFDGSHVYRGECDASYYKGKGQACCAIWNSKNELLSSILVKKFDCHTSCMAEVIAMWILLKEAMRMGLQNSSFQACSDSSQVYKILCGTLLIEIEPNDNDGAAKVNKLLKFMRRYFNNLLPRWESREKLFLVDGIMRKGNVAGNEGNEDAVGQSSVAWKNSLVRRMAYYLTGNPVFKLTRKKSKFIKGLNTQRSNPLDIELEEACYVEVDEEHKIDATWHITSALRPGRLYIIMKDLDSRVDCTDELKHLFGKFVSRYDEEGHSLFTIDLEETRIPTGLINETHKSLMIIFDATIAKEEPEKILGIRDLFTVFLTTESEGYLVSKLQKLSPLSFVSFYKGSSAPKKNEDDKRVHEDHNVDDMPCGPDEESQDS >PAN39571 pep chromosome:PHallii_v3.1:7:41592370:41597396:1 gene:PAHAL_7G252600 transcript:PAN39571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAAADEPLPPLKYPQPRRDDGIVDDYHGVLVPDPYRWMEELDSEEVKEFVDAQSAVSDAVLSTCDHRGRLRGQLTALFDHPRFRAPFRRGGSYFYFHNPGLRPHSALYVQHGLGGDPRVLLDPTAFSEDGTASLGAVGVSDGGDHLAYGTSASGSDWVTIRVMRVRDGEHLPDTLSWVKFSRIAWTRDGLGFFYSRFPAPRDGEALDSGIKTDINLNHEVYYHFLGTDQSQDVLCWRDPDHPKYIYIPEVTEDGKYVILSVSETSDPVNKLYYCDLSALAHGLEGLKGTHGMLPFVKLVDRFEAHYGLIANDGTEFTFLTNKDAPRYKLSRVDVDEPGSWTDVLPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGTVNGISGRRVDSEVFIEFASFLTPGIIYRCDVSTEVPEMNVYREISVPGFDRNEFEAKQVFYPSKDGTKIPMFIVSKKNLNLNGSHPALLFGYGGFGMSVTPQFSVARVVLMRNLGFVACVANIRGGGEYGEGWHRAGSLANKKNCFDDFAAAGEFLVSAGYTNPTRLCIEGGSNGGLLVAACLNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEEFHWLIKYSPLHNVRRPWEEADDPRRGGQYPPTMLLTADHDDRVVPSHTLKFLATMQHVLRAGEEGSPQTNPIIARIERKSGHGCGRSTQKIIDEAADRYAFAAKVMGVSWID >PVH34834 pep chromosome:PHallii_v3.1:7:11998498:12004428:-1 gene:PAHAL_7G043600 transcript:PVH34834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTPAYDDCTAELRELDATLASVRAIVASGATHVPRIFRLRNPEQQLRAQEHPPSATVPVINLGGNHVAVVDVVARIASEWGFFQVTGHGVPEEAMAAAVAAVRAFHEADGGKGSDCCDSDKALLYSREPGKAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPASDPPASDELPVDLERTKILCISGSGWRSSSLSSFIISIIYKLMMLALGTLDALFEYAKQVKNLGDRLFELLSEVLGLKPSYLTDIECNQGQIILSHYYPPCPQPELAIGTSRRSDSGFLTILLQDEVGGLQILHEDQWVDITPTPGAFIINIADLLQLISNDKFSSVEHRVVAKNAKPRVSIACFFSTHFHPASTRTYGPINELLSEKNPPLYRETLVRDYIADYYSVGSDGREKTALSGFRL >PVH34674 pep chromosome:PHallii_v3.1:7:1136048:1136785:1 gene:PAHAL_7G005200 transcript:PVH34674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISGGTLRCNIMVFVERSTCYPDVDPWFISTTGFCFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPTEGKGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQAEQLKQLIRGIEKITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEPMETHWDKGTQTEDELDRFLPIKKHQIRTEEESP >PAN37491 pep chromosome:PHallii_v3.1:7:30933791:30933988:-1 gene:PAHAL_7G111300 transcript:PAN37491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAARTVLLLVLLVHVLAAAAARPLEGRAAAGTTAAGVWLESGIGMVTELLRAAKSGPNPRTHCC >PAN40347 pep chromosome:PHallii_v3.1:7:45195517:45197039:1 gene:PAHAL_7G308100 transcript:PAN40347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDAGAAEEPDRRTRPASLSSSSKGSDHDDAGSEKPTLGQSAPSPARPAGHALEEPPKRKAPARRSRPMRMFQSMCRSLPLLNPRCGRPLQPGACRIAPPARLTPSDSLLSQLMGSSSSAAAASRHRLTGTLFGYRDGRISLSLQDNARCRPTLVVELALPTHALLRELGAHAGARIVLESEKHAEHADAAAAADAGSDGAGAGAGGAGGASFKHHDDEGWVLEEPMWTMFCNGKRVGYAVRREPTEEDIAVLETLWAVTMGGGVLPGRSVVDGPNGEVAYMRGSFEHTVGSRDSESLYMVSPPGGEGPELAIFFVRL >PAN38876 pep chromosome:PHallii_v3.1:7:38505998:38507432:1 gene:PAHAL_7G203900 transcript:PAN38876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPSKRVDAALRRAPAFAAACDDAFDRCLADAQGAFRGVRLYQLADAAARLHASLRASVPLVRRWVPSPPPRARVDAALRAAGLEGEAELSRAQLGEFAAELFREAVLAGAAGAALVRAPAAAAGIVGVGVAARAAPAVVGRVVAVYAAGAAAALYLSLG >PAN39435 pep chromosome:PHallii_v3.1:7:41067886:41069529:-1 gene:PAHAL_7G242600 transcript:PAN39435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAGAATELQQPAAGVAATATGDVVSARLQQVLALLFPSNLAAKAALFALVVALLPLLPTSQAPRIWELPHLLLLGIIISYGVFGQKNADAEVAAAADTKPVDDEASVEAYVSQMMQGSPLVFEHNDRDRDGDDGGGASKVGVQAWSSQYIPDDPLVIVADTNDGDGNLGEKPLLLPMRKLKPAAEDSAALTDDISDGIEDEEEEEEILAPKAGYDGVSERAIPSPSSVLDADLILSPSSPPLLPPPPPPPLPPFLGRGRRSLRNAKARSFNEFGAIGNQSTNERLGLHGVDGKQFRSKSAVQATRNAFAGYDPVVPIGDDDDDAVDAELDEAVAASESSFSSDVVTDGDEDEKVVDEEDGSSCDEELFELATKPGAEVEEEVEDEVDRKADEFIAKFREQIRMQRVEPGTRR >PVH35543 pep chromosome:PHallii_v3.1:7:38943343:38943702:-1 gene:PAHAL_7G210700 transcript:PVH35543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGANHFALLESDDPGDDTRLDNKSQQQPAANLAQELFGRAYPSARRIIRNRERQQSGAGPANARDDGAAAGGARAKNAQQGGARKQGQGGGRQANEAAVDDEAPAPRLYDLAQFPSLE >PAN37338 pep chromosome:PHallii_v3.1:7:22633683:22637053:1 gene:PAHAL_7G076100 transcript:PAN37338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTRARPDAFTLPLLNRAAAALPGFVGAAHCFGIRAGFAGNVYFCNTLLEAYTRHGLVAPARQVFDEMRARDVVSWTTLVSAYAGAWDPLEVSQLVTAMRTNGDCEPSAVTLSVILRACTAMRDATCGRQLHCYAVKSGWAGDFLVLNSMLTHLSQTASLEDAAMLFEQSPRRDMVSWNIIISEYSSEGNISKVTDMYERMRAEEVCPSCETLTSIVAAFAKHRFLLQGKKLHSFAVRSGLMDTVLVGSFVDFYAKCGELMSSVQLFEQFKGGSSCLWSAMLWAFIHHGMFLDAIHLFERMMDSFCFPSADVLRGLVICYTEIGALKFGKATHGYIIRNNCAAESKSCALETSIVRLYAKCGDILLAERCFRRILHKDIVSWSSMIEAFTIHGHGKEALTLFNQMLEEGIKPNGVTFLSLLSACSHSGLVSEARELFDCLTKTFTLAPDLGHYTCMVDVLGRSGNLEEALQVIIDMKVKPDGRIWGTLLASCRMHSNSKLASFAAQKLMELEPDNVGYHVVFSNVHAGGGRWAEVEDIRSSMIGMNMEKSPAWSYVSDIGVH >PVH36038 pep chromosome:PHallii_v3.1:7:47461605:47464082:1 gene:PAHAL_7G342500 transcript:PVH36038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSSPAAPREGTVAPSLWAEAASSSALRHYRSLPKKGKSQGRESTVLAAFLLSTPQEPQSPTVLSMGTGTKCLGASRLSGRWDLVHDAHAEVIARRALLRFLYSKIGRGTSPKWLVASGDGGRWKLRDEHCLHLYITQLPCGFMPVPPSESVLPREQLDGGVNGCSDIGFVQRKPGCGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLTTFTIG >PVH35393 pep chromosome:PHallii_v3.1:7:36445516:36447539:-1 gene:PAHAL_7G169200 transcript:PVH35393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESCSEMSHSIGSTGRRHSPIPYHVGPLEYHPPVNCHCGQKAAMWISWSDDNPGRRYFKCYRAREGGCDFHGWYEGPVDPFVASLLVDLRNTVWTLKRQKEALNMAMGDAAMKMQEQAVMVAQCKKELAQLHNNSTCFSKT >PVH35692 pep chromosome:PHallii_v3.1:7:41413533:41413820:-1 gene:PAHAL_7G248700 transcript:PVH35692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWLRGVRRRHIHCSSSTLSRCRVREGESERSTNSRANSNRPVRPPQLLRRARGGGDPERRGSGGATSMASHGGRHTCCRHPPLPAPVPGRPTP >PVH36099 pep chromosome:PHallii_v3.1:7:47966978:47968211:1 gene:PAHAL_7G351200 transcript:PVH36099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVINYAFQACSSNEERQSLEAATNASVAQQWMQSNPQFTMSQQVPPYFTTTPSPQQTPLQPSVQRFTEEQRPVATASTGKKAYTNLTKCWIGISTDAVVNTGQRKEGFWFRITQAYNAARGIQPQRSQKSLMNHWDYIKEYCMKFADFYTEILRINPSGMSDADKPFSLMHCWKYLKDEPKFHEACAGQSKTINLEDDCYGTPTAGSNQVDTGGDSSTPPSAGNKRPLGWDATKESRKKAASSSSSSEYISKMHDLWADRFSDMKDGRAEKNKYLAQMAALEKEKIDHQVDIEERRLALEARRLDWEERKDESRMLAEEECILSIDLDTCKPALRLFYKAQQEKILAKYSTPPS >PVH35100 pep chromosome:PHallii_v3.1:7:29983006:29983869:-1 gene:PAHAL_7G105800 transcript:PVH35100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMLIPAKFVQQYIAKELLDNRMAIILGPIGKVYNIKLEVGHSGVFFAGGWSQFLRVHDITEANSLLLRYEGNMVFTVKVFEPDGYQREAKHKKNRVLQISTLPHIQEQKETPSASVQKQLKNNLPTVIGEKKPQDSKTSLDLASLK >PAN38522 pep chromosome:PHallii_v3.1:7:36983593:36986675:1 gene:PAHAL_7G177800 transcript:PAN38522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGAASRGAADGDDSAASLQGLEHPLLHAYEARKDDPAAAGDREAQRFGPEADGGASFVRTCFNGLNALSGVGLLSIPYALSEGGWLSLALLLVVAAVCCYTGLLLQRCMDASPAARGYPEIGELAFGRGGRLAASAFLYAELYLVAIGFLILEGDNLDKLFPGTSLGLALGGGRLVVICGKQLFVVLVALVILPTTWLRSLGVLAYVSASGVLASAVVVVCVLWTAVADGVGFRAQGRMINVSGLPTALGLYTFCYCGHAIFPTLCNSMKEKKKFSRVLGICFAACTLNYGSMAILGYLMYGDDVKSQVTLNLPEGRVSSKLAIYTTLINPFSKYALMVTPLATAVEERLLTAGTKSKRSFSVLIRTLLVVSTVVVALAVPFFGHLMALVGSLLSVMASMLLPCVFYLKIFGVARCGGAEVALIVAILVLGSLVAATGTYSSLKKIVHEF >PAN40827 pep chromosome:PHallii_v3.1:7:47541182:47542599:1 gene:PAHAL_7G344000 transcript:PAN40827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLALASLFFNTSETRQCLSSSTSMSATSFSTAASWQWPSCTQAKTLSFRRGSPEIVSMRQDSNKHEGEEYKTTMNPAYAAGCYFDDSLSDSSATLSTAPELVKPAVADEDELIIHGLRSNSRLFFEPESTSSIVKKQRGAAAFDGATALAIESADPYGDFRRSMEEMVLSHGVNDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLLVALASSSAPSPACSSSFRLQSHHLHHQPAKERQTAE >PAN40405 pep chromosome:PHallii_v3.1:7:45473426:45478001:1 gene:PAHAL_7G312700 transcript:PAN40405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEAPKPAADRIRVVGKWVGALEVDLGAWTVPMLRAEVARRVGDGVEPERVGLIFGGRVLKDDPPASLREAGLKANAKVLSSLTSPDRAKEIAAEAAKAKAEEEHAARLVRLWDAAKAMSQRHTDGSFLEDEDYNLDLEDQSGQKVMFGSVDDMKALKMALMLHQKAKTQMKKKMYKEALDVLIMAEEAFSLCDSKLIEKVDNVPMLQLDIVWCYFVLRDISCLEVAGTRLEKARVGFERSHGKDSSRFRVLQSGRQADLAIYIRLELLEGVVAYHNGQTEKARGSLTSAQAKYLQLQVPDEAISMLMDMGYEARSAKRALKMTGYDIQSSVDLLCDEREKKIRRQEEDRERQREILEQMRYGKTPMNKAVDLQKLRGLTNIGFEKYLAAEALRINENDAEKALDLLTNPEENCILQNKIQARRRRATRGSSSSRAARTAPATNNSQAFVSAPPHAVDGNAPPHAADGNPPEGNNADGNLPEGNDVDGNPPEGNSAQLLDSVEAMINEETANQDVVMTDEVAVNDEDTNSHPVPARDVSMESELAHELTGDALDDYDIEVSDEGQAIAEYLSLLESAASS >PVH34872 pep chromosome:PHallii_v3.1:7:15166988:15167763:-1 gene:PAHAL_7G051800 transcript:PVH34872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRGVAKLNFNCGQCGVALSNGTFTCGPSHIVTQESCRHILCYRCARHHEEDFKYAIFPACYLDDIIGCGQDISAPHYAQHQMVCPYRRLRYTMCSQLFTSIALSSHLLRYQFNHCQLEYGALIAGNNISKGGGCVFRGRGEDFVFFIVGPSLYFLWLGTSAATSSQAPASSSAPANIQLMVSLVTAQTLQDSGSYVDPPLPMQKMFLIFLY >PVH35189 pep chromosome:PHallii_v3.1:7:32453826:32455624:1 gene:PAHAL_7G122400 transcript:PVH35189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRITVQSSKAVRPAAGGRAAAAPEVVPLSVFDKANFDTYVSVIYVFRPPTPPNAALEAGLARALAEYREWAGRLGVDPRTGGRAILLSDEGARLVEAAADVLLDAVLPLSPAPEVTRLHPSEDGAEELMLVQLTRFACGGLAVGFTAHHLVSDGRATSNFFVAWSQATRGAALDPAPVHDRAAFFRPRDPPRVEFEHRGVEFKKPAAHQHAKAALGISNGDGHDDEVVVHKAHFSREFISRLKSQASPPGAGRPCSTLRCVVAHLWRCITAARGLDGVCIAVDGRARMSPPVPDGYTGNVVLWARPTAAARDLVARPLRHAVELINRELARVDGAYFGSFVDFAASGAVEEEGLVPAADAAEMVLSPSIEVDSWLRIPFYDLDFGGGRPCFFMPSYLPVEGLLILLPSCHGDGSVDAYVPLFSRNMDAFKSCCYSVDLVDD >PAN38720 pep chromosome:PHallii_v3.1:7:37837014:37841211:-1 gene:PAHAL_7G192100 transcript:PAN38720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRLVMMSSRPPCPLPLPSPSYKISRCCRHRCSRLLRRAMSVASQHLSTVTPTPRRQMMARLEHRSLMVGGRELLARAPRNVTLRPAGVADAEAAPGAAFLGAKAAEPSSRHVFSVGTLASGWRWMSLFRFKIWWMVPATGAGAAAVPAETQMLLLESRDEAGSAAAEGSAVYALMLPVLDGDFRASLQGSPQNELQFCFESGDPEVQTMEAVDAVFINSGDNPFKLMKESIKLLSKIKGTFRHIEDKEIPANLDWFGWCTWDAFYKAVNPTGIEEGLQSLREGGAPPRFLIIDDGWQETVYEFKEVDETLCEQTVFAQRLTDLKENHKFREETCKNLGDLVKKIKEIHGVKFVYMWHALLGYWGGVLATSDAMKKYNPKLAYPVQSPGNVANLRDIAMDSLEKFGVGIIDPDKIYEFYNDQHSYLSSVGVDGVKVDVQNLMETLGHGFGGRVAVTRKYQHALEESIAQNFKRNNLICCMSHNSDSIFSALKSAVARASEDFMPREPTLQTLHIASVAFNSILLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLRKLVLQDGSILRARYAGRPTRDCLFNDPVMDGKSLLKIWNLNNFSGVIGVFNCQGAGQWVWPVKDIAYVPTSINITGHLSPSDVESLEDIAGDNWSGETAVYSFNSCSLSRLQKHQNLEVSLSTMACEIYTISPIKVFSEAVQFAPLGLINMFNSGGALHDVSSTSDSSATTIHVRCRGPGRFGAYSATRPELCRVGQQVEFSHTEDGLLAFDLPHCSSHDGDLWHIEILYKTP >PAN38115 pep chromosome:PHallii_v3.1:7:34994795:34996689:-1 gene:PAHAL_7G148400 transcript:PAN38115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLHERKKLGRSFHMEVSRKERSWVVDVENLLNEKGAADPAAEAAMWRKHFIYRVPAHVRNGKHASPYGPQLVSLGPFHRDNPDLPPMDEPKQRALLHLLRRTGRPVRDLVAALEEVAERLEDAYMDLEDGWLRDRDGFLRVMVMDGCFLLEVMRTADGAAGDYAPNDPIFSRHGDLYMFPYVRRDMLMIENQLPLLVLQRLAAALHGPDAATDDAINNMVLRFVSLTPDPPELHGGGLGLHPIDVCHRSLLHGAPSQVCKGRRDEFVPSATELDQAGIQFARSATRSLHDIHFLRGVLHIPELAVDKDTEHKLLSLMAFERLHPDVGANEVTAYVFFMDNVIKSAADAKLLSSRGVIWNGLGSDKEVAKMFNRLGNRAVLDKGSHLRAVHGEVNAYRATRWNEWRASLIQNHAGNPWAIISLAAAVFLLVLTVVQTVYTVLPYYDEKPQTGWSASAGLLHDEL >PAN38596 pep chromosome:PHallii_v3.1:7:37301104:37305434:-1 gene:PAHAL_7G183700 transcript:PAN38596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAARERKRAREGDAAPSAAAAAGAGKAQYVYLPIADALKAPGARVFLFAAVSEIGAAVRSRGTDFTLTLRIVDQSRPAGISVTFFADNAALLPCVKSSGDVISLHNVVITMHGEFFVSFNKKFSSFALFEGKVSTQCSPYQTSTKYHGTIHDNELLTQMRTWLAYNPPGLKDLELQLRSLKSDTTFDLVCKVLHVYENNGEWIFYVWDGTDTPAAEFQAFLDAEAVESSPLHLEGATLPREVLCTMPCVGTVLRVFTNRYLKEVFHLQKNIYWARFCNITCKQEFGIWKGILVPSSRVRLLSHEDGSVVDRLKMYDSRIANQVYRQPMASVPESSNIADVEYEAAGYTTLMESLTHEQVTHKFKTLVRVVAAYPCRASELRLLLTGSYCLRLTLEDPTARIHAYVHKDDGAKFFGGFLTAEALINKMKKLLGIPEEDEEGAPLTRNPPWIWCCLKSYRLDKNDPWGSRRYRIFGTEIRD >PAN40822 pep chromosome:PHallii_v3.1:7:47519156:47520390:1 gene:PAHAL_7G343500 transcript:PAN40822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSEAAPAPAPAATVIPIDDVARHHGKVPAPPPAASSAAPPAAAPAAAATTAAPRKTGLPFFRRADRGSRCVALIDFVLRIAAFGPTLAAAIATATSDETLSVFTQFFQFRARFDDFPALLFFMVANAIAAGYLVLSLPFSAAVVLRPQAIGLRHLLLVCDLIMVGMLTAAAAAAAAIVDLAHSGNVRANWVPICMQFHGFCQRTSGSVVASFLAVLVFVFLVILAAFAIRRR >PAN39605 pep chromosome:PHallii_v3.1:7:41802893:41803212:1 gene:PAHAL_7G255100 transcript:PAN39605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSLQNVSIPCQQMGPWRLRLVLLVLKDGGRKHEFDGGLLWPDLYEILGWPISKTPTTFHPFGERTLV >PAN40547 pep chromosome:PHallii_v3.1:7:46321516:46322526:1 gene:PAHAL_7G323900 transcript:PAN40547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSAADAGGELHTVSGTHQFTIRAYSQTKGIGSGKSILSRYFTVDGRTWYVRFYPDGYHPNSVFVAFYLQTLYKPHCRAVRAGFTFQLLKPDGTVAYSRRSDRPCSFDRRCNSWGFRVFVTREALEGPELGVLHEDSIKVRCTVDVVNSCWKNRGGGGDDRWASVAGGAMAPQSDFAANAMRFLKSGRAPFDVKFSVGGRVFEAHGLVVAAQSEWFATALYGHGGEERWAEAGLPCVAISGTSPEAFEGVLHYIYHDELPEELIKAHGEAAMTRQLFEAADMFLIERMKQMCAGRLRRFIKDDTVRGIMDLAQAHSCKELEQACRTFLGRRRP >PAN39743 pep chromosome:PHallii_v3.1:7:42606533:42606903:1 gene:PAHAL_7G265600 transcript:PAN39743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHAAGAMLAAPAVGIAVALAILAAAATGVAASDGSGPRSYLTSWGAAGRGGRMASVGSCGCNHVRLHGGHEFNIRGETATLYSKPGCAGTPYQVFEDTRACGDFGWHSIHINC >PVH35026 pep chromosome:PHallii_v3.1:7:26145985:26146176:1 gene:PAHAL_7G088600 transcript:PVH35026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFKNRYMVMEVFIDAGRGEPDPVILTQFNVTKVIRDSIQLNFGECGLAAPLDHCKVLNSKY >PAN38744 pep chromosome:PHallii_v3.1:7:37963735:37968840:-1 gene:PAHAL_7G194200 transcript:PAN38744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGAMSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHPAKKDGVGGKTEQDNHEDVDSLPSQELKKLANGNNKVPGTLDDYKRLVVPIVEEYFSTGDVELAASELRGLGSDQFQHYFVKKLISMAMDRHDKEKEMASVLLSSLYADLLSSYMISEGFMMLLESIEDLTVDIPDAVDVLAVFIARAVVDEILPPVFLARARALLPEFSKGIQVLQVAEKSYLSAPHHAELVERKWGGSTRFTVEEAKKRIQDILREYIESGDIDEAFRCIRELSLPFFHHEVVKRALTLGMESISSQPLILKFLKEAAAGCLISSNQISKGFSRLAESVDDLTLDIPSAKDLFDKLVSTAISEGWLDASFSKSAVSEEEMQNTSAEKVKRFKEESGHIIHEYFLSDDVPELIRSLEELSAPEYNPIFLKKLVTLAMDRKNREKEMASVLLSSLSLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISSRLRPNSSGSQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKITKLLEEFNTGGDLGEACRCIRDLGMPFFNHEVVKKALVTAMEKQNDASILALLQECFGEGLITINQMTKGFTRVKDGLDDLVLDIPNAQEKFGAYVELATGRGWLLPTFASIP >PAN38667 pep chromosome:PHallii_v3.1:7:37578271:37579906:1 gene:PAHAL_7G188200 transcript:PAN38667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACFHVAGGGSRVAKSIGIIAKSMKALSLTKVRAASGGDDQERAPRRQRRRRQHRRRSHDAEEPRDKDAAAASSSSASSSAKIAPAQPHEADAGDHHTDRLHAGEEQREHGGARHEHCDKCCSPLDAGGGGSGGRAGDEEEAAAGVADSDREWAAEPEPGVLMTLVSRGDGTNHLRRIRFSEEYFGDAWAAQSWWADNCDRIVELYSVVVQPEHPSHGDEDDDDPAAPVTPCQSEDDDHQHSDGIGELEYSASASGGSTSNFSGPSSGSGSGSANKVDSPILGLVTEADSFTRAAQTKHSYKTTRQGQ >PAN38808 pep chromosome:PHallii_v3.1:7:38251190:38251708:1 gene:PAHAL_7G199600 transcript:PAN38808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDMSPGTAVVTLVVGISAPLIIAGSICATYAYRDSLRTGWRRLRVTALGGVTTLERKLSYNCAICQESMDALEVVRTLSCNHVFHRGESDKCNEGIDKWLRTQPAMFCPVCRQTPRPVLPWKAPPPASPADQEQTDSSSGLEDTAPPQPSPDLEDPPLPLLEEPLLPPSQ >PVH34692 pep chromosome:PHallii_v3.1:7:1846960:1847714:-1 gene:PAHAL_7G009700 transcript:PVH34692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPLRLQDPSWELDYYTSSIRLDKLHTYKMVDESGFLRDFTRHEVIDMPKDCLRTAPHPSHGAALQLLDRSGFGASGP >PVH35453 pep chromosome:PHallii_v3.1:7:37639054:37639811:-1 gene:PAHAL_7G189500 transcript:PVH35453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAERTHVVRFVENMLFRMAFQSKHLKMS >PAN38532 pep chromosome:PHallii_v3.1:7:37014759:37017563:1 gene:PAHAL_7G178300 transcript:PAN38532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDALGAGGGGAAGAQHARAAAHLSHTAQWESARLEAEARLAREARLRALAASASVSAPRAPPAPSAAAHGLESPTSTLSFSESAALASVLEAHGAAVAAAAAARAAMQPMQAYEEACKEHEQQQQWGDNVVHAADATFAGAGFTGLLLDGALNQQDLRPATSRDDVDADAGLQETEEEKNYWDSILNLVNSSSSVSLPTSVVVPAPETYSSSASLQTSVVVATPETYSSSASLTRSVEVPAPEAYSPSPVPEF >PVH35873 pep chromosome:PHallii_v3.1:7:44710416:44711457:1 gene:PAHAL_7G298600 transcript:PVH35873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASASSANDQSLPETSSSCLTQATTAAHNFEVINFPLLEGMGLGKFVTSRNFSVGGCHWMIELFPDGDKADSKAHVSAYLSPQGGQAGERVKFSLSILGKDGQVAEQQNGQYTFVDSAIHGVGWSNFIEKSSLQPVLRGNNNRFTIRCVLTVIKPPRVEDAVSGAIAVPDCSRLTGASWRPELFGPMKEKAARHIKVDDVEPSTFEALLHFVYTDALPDDCGADGNVPPLQHLLVAADRYGLGRLRLMCEAKMCSSIDAETGATTLALAEQHHCVELKNACIRFISSRGVLGAVMKSEGFDHLAASCPFVLLDILDKLASQGV >PAN38770 pep chromosome:PHallii_v3.1:7:38093486:38095717:1 gene:PAHAL_7G196600 transcript:PAN38770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSGPPAAPTPPLLLPESSGEDSGHDTSSRAAAAAAGSAPKRRAETWVREETLCLIALRREMDAHFNTSKFNKHLWEAISAWMRDQGFDRSPTMCTDKWRNLLKEFKKARSHARSGGAGGNGNAKMAYYKEIDDLLKRRGKASGGGGGGCVGSGSVSGARKSPTSNTKIESYLQFTTDNGFEDANIPFGPVEANGRSILSIDDHLEDDKHPLPLTAADAVATNGVNPWNWRDTSTNGGDNQGTFDGRVILVKWGDYTKE >PAN40325 pep chromosome:PHallii_v3.1:7:45124374:45127544:-1 gene:PAHAL_7G306600 transcript:PAN40325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKYRSRGHTMGNNTTTKKLPPYLLLVLLAIGAAAVSVGILHKMRERRVLAVLLQERDQQLVSFQVLLEKEKEINKEMRKKVDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKESHINQMKENAAASNPDQTPTIKELLQQKEAELDKSENSSDSIPATADKNFNSTTASESSHQDESTVVGANNENATSDTTTLDKPENSGDPMPTSAEEENSSITNASENSKQDEGANNQNATSDAVVPDKSENTNDSVPATAEEQNSYNTTESESNDQDNNSSQEQFLKLTTNMEDGQLQENKDDANEQSDDAPEGNHSDKSELPQGSQNQEDSQEASKEEPDGAKQVENPQGEASNHSRDSKLLENEDGNVVVKEAEKEKNPEGMSSEESLSEANKNKMQVAEPVDNPADISPSTSTNNEERKESSKRHRSRRSRSRRKRRAMVAAGNNDGNHQMEVDATANP >PVH35104 pep chromosome:PHallii_v3.1:7:29989829:29990345:-1 gene:PAHAL_7G106100 transcript:PVH35104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSAVGARAAAKQLKALMPPSFHKLRISDELAGCFDAGGGGGGGAQGPTALVVSPFGKVWRVEVGRDGDGAFLGRGWPEFLAAHGVGVGWFVVLRHAGRGALTFKAFDTSFCIKEFAAPAAGEHAFPYES >PAN38816 pep chromosome:PHallii_v3.1:7:38286599:38290076:-1 gene:PAHAL_7G200300 transcript:PAN38816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLRRGAALAARSFRAAAAASSASTAVHRLPAAGSLAGVAELAPARLFLLDSRRGFAKGKKSKDDRGDTVQAAPDIGPTVKSAATAQMDAAVIALSRELSKLRTGRATPGMLDHIMVETADFKVALNRIAVVSVLDAHTLSVMPYDPSSVKSIEHAIISSPLGINPTPDGNRIIAAIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASSMPKDDAKRLEKEIEELTKKFIKSADDMCKAKEKEISGS >PAN40255 pep chromosome:PHallii_v3.1:7:44937923:44939671:1 gene:PAHAL_7G303200 transcript:PAN40255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G03210) UniProtKB/TrEMBL;Acc:C0SVH2] MALRHGGGALGPAAAFLAVAALLGAVTAGKFDDVVQPSWANDHVVYDGDLLKLRLDANSGGGFVSRNKFLYGKASADLKLVPEDSAGVVTAFYLSSAGDKHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFMVDDTPIRVYENRQNATVRGHHRHANGTTTATGSSPFPGPQPMAVYSSIWNADDWATQGGRVKTDWSHAPFEATFREVRVDGCVWAANATDSDAGEVSRCSGSSWGKEGRYWWKEKEMSELTVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >PVH34895 pep chromosome:PHallii_v3.1:7:17393337:17393486:-1 gene:PAHAL_7G057400 transcript:PVH34895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITYICDYMRVDLFLLRCKHSTMSHECSVFACDVVLDSRRGRQMCLCK >PAN39093 pep chromosome:PHallii_v3.1:7:39612292:39613727:1 gene:PAHAL_7G220500 transcript:PAN39093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAAWKEAKTQAPAVLGYLRGVIRAIRSPTSCNEEEAAGFVFRDIVSLVGIGFYLLFSTQLIFRFSTISGTQFYAACLASACGLAMLLADWIDFPDDDEAGTTRDSADDADDTTQQREGAAGEARLDAELDVRESWQLLWVLIIIAFCFDAFLLHVKLGPRPTELALLALWNFEVLSVGRQVELTPDDGEGAEGSAGSVDGAVDKWRRGAMAVLAASGVKSFVVYLALDFYLAALSFLWLCVMADLLLVEEDSFSEMDESGDDEDREAGLAGIGEDITVGADEGADEARAEHYSITSSSEDEEEAHTSSSEDEEEGCALNEHCDSSEEREQRREEPDGSSHGSTDEDDSWDLVEIDPEMPAKENCGANRKSSRLLFPWKSAA >PAN37723 pep chromosome:PHallii_v3.1:7:31775394:31780344:-1 gene:PAHAL_7G117100 transcript:PAN37723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKSCLLITYSQEIIDGAPLYVSSNCLPIKALKYEPAGHSFHAAAMKLLGLAEQEDTETDDRSVSSDDKSQDFNTASDTFSSKGKKKSSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQAALILAETTEEAKQAKKDEIESHFKSIQEAYEVLIDPTKRRIYDSTDEFDDDVPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDATPIEEVDKFYNFWWYNFKSWREFPDDDEYDLEQAESREHKRWMERQNAKLQEKAKKVEYARVRTLVDNAYKKDPRIQRRKDEEKAEKQRRKEAKYLAKKQQEEEAARAAEEERKRKEEEAKKAAEAALNQKKQKEKEKKLLRKEKTRLRTLAAPVVAESHFGLSDEDVETACASLDMEQLKKLNDSVEGKDAAEKARLLSSALHKESSSKEAKKSDANGVESSASKSNSTGGKATQGSSILNSYEKKERPWGKEEVEMLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAQSIASPLSTRDETVGSSTEGAGTASSKVAAQPASSQTANGKAAADPVPDIAPSMTDPDAWTETQVLALVQALKAFPKDASQRWERVAAAVPGKTVVQCKKKVAAMRENFRSKKSGE >PVH35115 pep chromosome:PHallii_v3.1:7:30517740:30518520:-1 gene:PAHAL_7G108300 transcript:PVH35115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRPPSPALAQALQRGRRAEHPKAAGSGDEGQQRHAAGNGTGPRTRTLPKWQIRAPGGLKRRRRPPDRPKLRNGGIARSTRNPKEAATKSTNSSPLGMAQGNGRASKSSSGTSTRPSQTADNGGRAASCFSRHAPSGTARGLPPRARQPRIRTHHQRLAASATSPAGKKEGKEKGKILKGKGRPRPPSSRPSGLPPASSGGGESEGRSEGALGRGRRQRPGCP >PAN36990 pep chromosome:PHallii_v3.1:7:4579093:4583902:1 gene:PAHAL_7G022400 transcript:PAN36990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARRLSAATSASTSPLLLRRRLSVQIHSTALPPPPPPPPEATESGPGAWARRAAALSLLGLTGAVAASAVSDLSVFLSCSSQAIGKATQNQQIVNAIGKPIVRGPWYSASIAVNHARHSVSCTFPVSAPQGSGLLKFKAVRLGDESWYSFLKPSDWEILIMDAILDIHTEDGKHRTMRVTIPDNTPAPPPADCTACKSHTPPTPSPPLPPPPADK >PAN40596 pep chromosome:PHallii_v3.1:7:46522144:46523323:-1 gene:PAHAL_7G326900 transcript:PAN40596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] MAANVGGKSVGGGAGGGGTGGAVTACRASGSRWTPTPEQIRILKELYYGCGIRSPNSEQIQRITAMLRQHGKIEGKNVFYWFQNHKARERQKRRLTNLDVNVPAAVDASHLGALSLSSPSGAAPPSSPLGLYPSNGGGSTLQLDTSSDWGSATAMATETCFLQDYMGVMRSTGGHHGSSAGAAVSPWACLSSSDSWAAVAPTTTRAPETLPLFPTGDSSHPQRPRHGAPAPTGDAIRGGSSSGYLPTLPFWGAAATAATTTTSVTIQQQHHQLLQLQEQYSFNTTTSQPPSQDASAATASLELSLSSWCSPYTAGTM >PAN38878 pep chromosome:PHallii_v3.1:7:38510384:38514278:1 gene:PAHAL_7G204100 transcript:PAN38878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSGASSSARLVLLSLLGFCVALSRQESSSSTDSCGAAKLAVASLVPFDTAGFRCAANWKQQDFVLRYKNTGPSEWSFVLSAPDKGSYVAVGFSGKGAMVGSSAVAGWASNGRGTVKQYYLGGKSPDEVAPNRGLLKLVRNKSAVVSRSGRLYLAFQLSTDYPQPYLIYAVGPDGNLPPSSTLQLPMHQSMASRAFNYTSGMSSSAGAGDGTFPAERKHGLLAMMGWGVLMPIGMITARYFRQLDPCWFYSHLAIQVSGYAIGIAAVVLGFRLNEDGLRNVGVHKALGIGILAMASLQVLAILARPDKTSKVRRFWNWYHHNIGRAAILVAVGNVFLGLSIAQETNAYIVSYGVFVAVWVVAVAAFEVKRCYADDD >PVH35166 pep chromosome:PHallii_v3.1:7:31578027:31579150:1 gene:PAHAL_7G115200 transcript:PVH35166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDAVTGEAAYIRDGCGWCASSSQLSSHSALTPLEHRTRSRAELVTLRRPLGSSSLRSFFLQSAAVDPGAAMGIVDVVSQYCTLPRTRRHLKKRKQFQTVEMKVRIDCEGCERKIRKALEGMEGVNSVEVTPRQNKVTVAGYVDAGKVIRRVARRTGKRVEPWPYVPYDVVAHPYAPGAYDKKAPPGYVRNVVADPNAAPLARASSTEARYTGAFSDENPNACAVM >PAN39430 pep chromosome:PHallii_v3.1:7:41037125:41043123:1 gene:PAHAL_7G242200 transcript:PAN39430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNGGGAPVVLNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKGCPGFVYRRSVWMGTTDMSRAEFRSFIENLAGKYNGNMYHLISKNCNHFTDDVCRNLTRKSIPGWVNRLARVGSFFNCLLPESIQVSTVRHVPTHPSFSDDDMDSISSSLIGDSDVEELDQHLLPQDVHSIDVPPKLAKDLL >PAN40394 pep chromosome:PHallii_v3.1:7:45375815:45376843:-1 gene:PAHAL_7G311800 transcript:PAN40394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKIREAVEECYAKFTELGLLVQEVLNECMGLPPGFLRDYNGDRGFDFMSAMRYFPATEEENNGLSAHEDGNCITFVIQDGVGGLEVLKDGDWVPAEPVDGSIIVNIGDVIQVLRNNKLKSPTHRVVRKQPVHRHSFAFFFNIHGDKWIEPLPEFTTKIGESPRYRGFVFNEYVQMRMRAKIHPPSRPEDVVPNHITHYAI >PAN38780 pep chromosome:PHallii_v3.1:7:38140612:38143141:1 gene:PAHAL_7G197600 transcript:PAN38780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRLLLLPMLLLLLAPLAAPQPAPGSGAAPQEDDLRCLRGVKSGLRDPDGRLDSWDFRNTSGGAVCNYNGISCWNMQESRVISLSLSGFDLQGALPSSLQYCRAATTLDLSSNELDGQIPPALCDWLPFVVNLDLSFNKLTGPIPAELANCRFLNSLKLAGNQLSGQIPASLARLDRLKSLDLSGNRLDGQIPTQLGSNFPKDSFSGNSGLCGRPVSSRCGRGLGGAGLGIVIAAGVFGAAASLVLAYFFWRCTGKGKGGRRRQRRGGSESGGAAVEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFNTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRIGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKKPGEAPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEFGYVAPEYASNPVGTMKGDAYAFGVILFELVSGQEAAAVVTDVTGEGFKGTLLDWVNQLKASGRIGDVVDRPLRGKGHDKEIEEFLKVAFACTQPHLKERYSMYRAYHSLKTIGQGRDVSEQFDEFPLAYNKEDSDTI >PAN40898 pep chromosome:PHallii_v3.1:7:47789296:47791425:1 gene:PAHAL_7G349000 transcript:PAN40898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os04g0691100)] MDKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQNCRNLLSRIFVANPYKRITMSEIKSHPWFLKNLPRELKEEAQAAYYSRRGGDAAGASGSSNNANANGNAPAATYSAQSVEEIMRIVQEAQTVPRPARPVSGYGWGASDEEEAGQDEEEEDDYDRTVRQVHASGEFDISKLQI >PAN37727 pep chromosome:PHallii_v3.1:7:31795592:31797093:-1 gene:PAHAL_7G117500 transcript:PAN37727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSGDPCGWPQEALGHARKLRLRAADPAKTPTTSGSSSTQQGERKNTEAKEKGTKSKRCTKQNNGSRPAAAAAAGGLSADHLRLLGLANGEEGRRVAALVGLYEDVGWLGFCLLLS >PVH34751 pep chromosome:PHallii_v3.1:7:4644772:4647818:-1 gene:PAHAL_7G022800 transcript:PVH34751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKSWKVEMGSAHLSLQFRPVNPSPPESLRLQPSPIGDPRDKNARASAAGTPPPTTAAGDSLVRRQAMETCNKNTRFPPLRDAPFALRGALGGSSSNLEQARGYTSLPLAALRPNVSAPGSRTLHTSRPMAAPVANRPLSPHLPLKKPQFSATFSISHRIFGVALGVAIISVPLATKFSLMFGV >PAN37014 pep chromosome:PHallii_v3.1:7:16017579:16028631:1 gene:PAHAL_7G054100 transcript:PAN37014 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSFREDVGTVGMPEIFDPPELVQNKIEELAVMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPNASLPFHRAVPSLTHMALVELERAGLLKFIISQNVDSLHLRSGFPREKLSELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMNSAKEHCRSADLVLCLGTSLQITPACNMPLMSIKNGGRVAIVNLQATPKDKKASLVIHGLVDKVIAGVMSKLNLRIPPYIRTDLVQLTLRHSLKKKCVRWTLRVTSIHGLRAPLPFIQSIEVSFPERPDMKPVVLKEQPFSLQRETSMNRSFFMLLKLNFSDGCSCLSSSIGWPVDFQKQKDSFVRDRTLVIRELQCAAEHESCAGQQEILERECLPRAETAIHAIVTNIVSYDMGDDKVPLPRDSGMNCGSSNPAKRNMEGTGCYPAAAPKKLKYFFLKDEKLNC >PVH35686 pep chromosome:PHallii_v3.1:7:41328980:41332841:1 gene:PAHAL_7G247500 transcript:PVH35686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAPFSAEGNGAGTIEALLWPEGKGGGAGGRGGEDLLLVEPTSVLDCRRSPSPPYSTSTLSSSLGGAAGDSSSGVAAVSENSAAAAEATKWAAPGEHGGGGGRKEEWAGGELPPIPGTLDVGFGGEEGWDAMLGDAAAAVGQEQTFLNWIMTAPGEMEPPPPPLQHHHQLLGNAAGFGFPASDPLGFSLQRHPGGGASGALASDLSSPGARSLTSSSGSSSKATSTFGLLPTEAPLQTPTTTTMPFHDGADIKPPLLGVPSASASLLLNQHQPSPAASFFMPLPSFPDHQHQPHLPQPPKRHNSMPDNLFLLQNQLQPPPQQGLPFPPLHTTAPFQLQPSLQPPGGAMKTTAAAQQQQQLLDELAAAAKAAEVGNSIGAREILARLNQQLPPIGKPFLRSASYFKEALLLALTDGHHDSTRLTSPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIACTTASCIRVIDFDLGVGGQWASFLQELAHRRGTGNVSLPMLKLTAFVSTASHHPLELHLTRDNLSQFAADLGIPFEFNAINLDAFDPAELIAPTADELVAVCLPVGCSARTPPLPMLLQLVKQLSPKVVVAIDHGSDRSDLSFSQHFMNCLQSCMFLLDSLDAAGTDADAASKIERFLIQPRVEDAVLGRRRAEKPMAWRAVFTSAGFAPIPLSNLAEAQADCLLKRVQVRGFHVEKCGMGLALYWQRGELVSVSAWRC >PAN40359 pep chromosome:PHallii_v3.1:7:45260644:45266995:-1 gene:PAHAL_7G309300 transcript:PAN40359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAPPPFPASAALAVATLLLLCLSGGCNAQTPVFACDASNATLAAYGFCNRSATAAARAADLVSRLTLAEKVGFLVDKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSPLVPGATSFPQPILTAASFNATLFRAIGEVVSNEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLTSKYAVGYVTGLQDAGSGAGDSLKVAACCKHYTAYDVDNWKGVERYTFNAVVSQQDLDDTFQPPFKSCVVDGNVASVMCSYNQVNGTPTCADKDLLSGVIRGDWKLNGYISSDCDSVDVLYNNQHYTKTPEDAAAISIKAGLDLNCGNFLAQHTVAAVQAGKLSESDVDRAITNNFITLMRLGFFDGDPRKLPFGSLGPSDVCTSSNQELAREAARQGIVLLKNNGALPLSTKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVGCSGNSLQLDAATKAAASADVTVLVVGADQSIERESLDRTSLLLPGQQPQLVSAVANASNGPCILVIMSGGPFDISFAKSSDKIAAILWVGYPGEAGGAAIADVLFGYHNPSGRLPVTWYPESFTKIPMTDMRMRPDASTGYPGRTYRFYTGDTVYAFGDGLSYTSFAHRLVSAPTQVAVQLAEGHACLTEQCLSVEAKGGHCENLAFDVHLRVRNAGKVGGGHTVLLFSSPPAVHNAPAKHLLGFEKVSLEPGQAGVVAFKVDVCRDLSVVDELGNRKVALGSHTLHVGDLKHTLNLRV >PVH34865 pep chromosome:PHallii_v3.1:7:13767294:13769004:1 gene:PAHAL_7G048500 transcript:PVH34865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQHSTPSTARKEACSTTLRIEVWGREAEPELAAQEAAARREGRRRCSTRRRRLLPSIVHALRRLLDGCSN >PVH35004 pep chromosome:PHallii_v3.1:7:24712123:24713722:1 gene:PAHAL_7G083900 transcript:PVH35004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSACRPSPLPPPPFFQWSIAKSAVLGHRRSSLIAGAIVHRTPSSPRLPDDRAYRPAKYKGANKNEDQR >PAN40837 pep chromosome:PHallii_v3.1:7:47575872:47579994:1 gene:PAHAL_7G345000 transcript:PAN40837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10620) UniProtKB/Swiss-Prot;Acc:Q9CAF2] MDRAHLEPSTTPTPVSHSPAMVLAAASARCCLAVTPIAHHSRPASSAALRLPRRITRPSLSSSASPLVTVASMDAPPQGYRTNVGICLANPSLTKIFSASRIDIPSAWQMPQGGIDAGEEPRAAAVRELREETGVTSAEIVAEAPNWLTYDFPPDVREKLNARWGTDWKGQAQKWFLFRFTGNDDEINLSGDGSEKPEFAEWTWMTPQEVIEKAVEFKKPVYEETLKHFAPYLQSDPTASS >PAN37856 pep chromosome:PHallii_v3.1:7:32983583:32989549:1 gene:PAHAL_7G128100 transcript:PAN37856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVASGLLRRRAGATLGLLRSYTHVRNYSSPLSALIPATSQCSKLARRYYMPNASPYQVWSRSFASDNGDKVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKLIASEGDTVTPGTKVAIISKSAQPAETHVAPSEESTPKESSPPKVEEKPKVEKAPKVEPPKTQAPKPTAPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINNLAKKANEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >PAN39135 pep chromosome:PHallii_v3.1:7:39794238:39795354:1 gene:PAHAL_7G223500 transcript:PAN39135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQHPPTSGLHLHEQNNKGLLPRSVSPLRKAKKKRKKKRRRGRKKKKSRDKQLAVLIFLTYDWLLPRATGNRAPSEPSSPAGTRRSAHSSVLEAGLLPDGVADPAEVAPLERPADPLVHRKRRGPAAPRQLVRRHHLRAAAARPAVAAVVVPVELPLARVVVPVLDPEDLLPIRYVHRQRPRRAAAAVRRLLPRGAGGRDRPRPGGGQLRAGAGVHAAAGPGAGRAPDVRLVKLLRRAGAGSPRRGAPGRREHPVRVLRGRRPRAPGHAADRSGCSGLGSSLYRDASLRGGSQPPIFSPPRE >PAN39802 pep chromosome:PHallii_v3.1:7:42802534:42804247:1 gene:PAHAL_7G269300 transcript:PAN39802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSASSLQSPPAGAIGGTSPYSASSSFLPSFMIIAALLAFVFLASVSIHLLLRFLSSAVRASSSSSSGPPLPRTHRDGEAGSGSPGSAADYSAERPAAAAAEGGKKEEAPGDEKQRLIDSLPLFTMASALAALPKSSPDCAVCLSPFTPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVAPPHPSLAAAMRAAAQQPQRSRGQSGPSFLVEIGTVSNRGSSPAVARGGDRNSRTYSLGSFDYQIDEEVEAVVSRVARIIAARESSTVKEEKPPAEEGSAPSLAGAPVPSPPGEAVAEAAGSSRGWLREYVDRLASSAYTFSERWSSRWSPGGQQRQEEPWLWDAEAAGMSAPPGSDDDEEETAFMVMYRWIAGV >PVH35304 pep chromosome:PHallii_v3.1:7:34939543:34942471:1 gene:PAHAL_7G147400 transcript:PVH35304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMAGLLFKYPITIARAGEQSGIVFICDIYIHTSYRRLAKGTIDNLPVFTSLQRGMGSSNDIKPLDKLRLSSQTNRGSAWLQHDLYIFLASLYILSCCSAMDTIAPGQPLVGKDRLVSSNGKFALGFFESGSKSSQNTLNWYLGIWFNRVPKLTPVWVANGDNPLTDHTSSELTISDNGNLVILNQATNSIVWSTQAKTITNNTVAILLNSGNLILQNSTNSSNVLWQSFDYPTDTFLPGAKLGWDKATGLNRRIVSRKNLIDLAPGRYSEELDPGGPNQYIITLLNSTIPYWFSGIWNGEYFPLVPEMSGAQLFNFTFVNNDQEKYFTYNSLDETTVARHVMDVSGQVKAFIWLESSQDWLMNYAQPRARCDVYAGCGPFTICNDNELPHCNCMKGFFIRSPKNWDLGDQTDGCIRNTPLNCVNTKSTGSSIDKFYSIQCVRLPHNAYNIEAATSAGKCETVCLSNCSCTAYSYGNGGCFVWHNELLNVKQQQCDDTTNINGGTLYLRLAAKEEQSRKKHVRGKTIAISLGVSSAIMFSLALVLMIWWNKKKRYSFTLNNAQGGNGIIPFTYTDLQRATKSFSEKLGEGGFGSVFKGFLSDSTIAVKRLDRVHQGEKQFRAEVSSLGLIQHINLIKLIGFCCSSNNRYLVYEYMPNHSLDKHLFPSNANILNWDTRYQIALGVARGLAYLHESCRDCIIHCDVKPENILLDELFIPKIADFGMAKFLQRDFSRALTTMRGTIGYLAPEWISGVAITPKVDVYSYGMLLFEIISGRRNSHGEHTATGDDYTYFPVQVARKLLVGDVASMVDHKLHGDVNLKEVERAFKVACWCIQDKEVDRPMMGEVVKILEGLIELAMPPMPRLLQAIAGGSYAV >PAN39898 pep chromosome:PHallii_v3.1:7:43334566:43336395:-1 gene:PAHAL_7G277800 transcript:PAN39898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRMAGAALLRHLGPRLFAAEPAVSGLAARGAIPAAARILPARMASTAAEAASAKQHGSAGGGTEKQEEAAGGQSKKAIVSYWGIEPPKLVKADGTEWRWPCFRPWDTYTSDTSIDVKKHHEPTTLPDKAAYLLVKSLRVPMDLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFLEVTQPRWWERALVLTAQGAFFNAYFVGYLLSPKFAHRVVGYLEEEAVHSYTEYLKDLEAGVIDNTPAPAIAIDYWRLPADAKLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPLGYH >PVH36054 pep chromosome:PHallii_v3.1:7:47601818:47603019:1 gene:PAHAL_7G345400 transcript:PVH36054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHSPAAVSPSTMQRHHGLQGPRPATLKVTNKADLQGRKKRPVQQQQQQPVIIYVESPKVVHAQPSEFKSVVQRLTGAPPPSSSSSMLLSSASSLLPLQPQFPLQLYGQLPLVASSSLWSTTTTVAAAPATPAASCLPADAAASIVRSLGFFISDDQLMSPAAFLYDHQSRQSMMAAAGPAGAAVQTPNPLLVPSSLGACYQGDLFVNQQ >PAN38855 pep chromosome:PHallii_v3.1:7:38436495:38437668:-1 gene:PAHAL_7G202500 transcript:PAN38855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAATSRILALGLALLCAAGLAAAQNVASVVTDAFFNGIKNQAPGSCEGKNFYTRRAFLSAVNSYPGFAHGGSETQGKREIAAFFAHVTHETGHFCYISEINKGNSYCDPSYRQWPCAPGKKYYGRGPLQISYNYNYGPAGRAIGFDGLGNPDRVAQDPVIAFKTALWFWMKNVHGVMPQGFGATIRAINGALECNGRNPDQMNARVRYYRQYCQQLGVDPGSNLTC >PVH35492 pep chromosome:PHallii_v3.1:7:38211452:38212020:1 gene:PAHAL_7G198900 transcript:PVH35492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKGSKNQSGSTIREANFRPCEQQGANAAQLRHSPVVLEGSYTAPLVPSLDYWILI >PAN37583 pep chromosome:PHallii_v3.1:7:29832183:29833453:1 gene:PAHAL_7G104500 transcript:PAN37583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRPSLGRQKIEIRRIESDEARQVCFSKRRAGLFKKASELSILCSADVAAIVFSPAGKAFSFGHPSVESILDRFLDSSPVAGPGLSSAGDRAVSELNRQYGELRAQLDAEKARQERADETIRKERAASSQAMVWVDADLSAMGHDDLIAFWGALIGVQAAVAASADQLLRDALLVGRRGRQPAQLTGGAAFDVVAFGVRMQPPPGFAGVDLQGFGGQATILAPSF >PAN38343 pep chromosome:PHallii_v3.1:7:36221325:36223482:-1 gene:PAHAL_7G166000 transcript:PAN38343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPRPRPSAPARAATLTLLLLLASASLSSSSSAAAAGVPTPLSAVPATQRQVASTSDPPASPPPPARRHRHRRHRPPPPPPRRRRLNFGERLGIAFAGVAVAMQVFLGAFLALRAWQLRRLDRAEVSSSTPLT >PAN39510 pep chromosome:PHallii_v3.1:7:38791901:38796509:1 gene:PAHAL_7G208400 transcript:PAN39510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITVRRSTMVRPARETPRQRLWNSNLDLVVPRFHTPSVYFYRCGGGPEVEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPNAAVDDYGDFAPTMELKRLIPAVDYTDDISAFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGAQISAMPFIDRTLLRARDPPTPCFQHIEYQPAPAMLSSTPQSLTSKSKPPTTAVDIFKLTRSDLGRLRSQLPTGEGAPRFSTYAVLAAHVWKCVSLARSLPPEQPTKLYCATDGRQRLQPPLPDGYFGNVIFTATPLAEAGKVTSGLAEGAAVIQGALDRMDNDYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANGDGSLSIAISLQAEHMEKFRKLIYEV >PAN39866 pep chromosome:PHallii_v3.1:7:43176594:43179748:1 gene:PAHAL_7G275400 transcript:PAN39866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALEFLEAQGATRPELAEWYAALADLYQRKLWHQLTLKLDQFLALAVVQAGDALIQLYNHFISDFETKINLLKFAHFTVVVSRQYLDKDAGINYLEGVISKLRDTREARVEEPILYVKMQIATFLLEKGNQKGCKKLLEEGKTTLDGMVDVDPSVHGTYYWVCSQYHKAHQDYSEFYKSALLYLAYTTVESLSEPFKQSLAFDLSLAALLGENIYNFGELLAHPIIRSLLGTQAEWIFHMLQAFNSGNVALYQELCKAHNTALSAQPALVQNERKLLEKINILCLMEIIFSRSSENRTIPLNAIAERTRLSVEDVEYLLMKSLSARLIEGIIDQVDGTVHVSWVRPRVLGIDQVKSLRDRLDTWVGKVHTTLLSVEAETPDLISS >PAN40873 pep chromosome:PHallii_v3.1:7:47677638:47682199:-1 gene:PAHAL_7G346600 transcript:PAN40873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDKTAAAEQPKRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPATTARDAIQYSMDLYAQYILQSLRQ >PAN38499 pep chromosome:PHallii_v3.1:7:36897377:36899434:-1 gene:PAHAL_7G176600 transcript:PAN38499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKALLGGLSAILVVAVVVGVVATVTRSGKKAGDNFTVPGEASLATSGKSVKSLCAPTLYKESCEKTLSQGNNGTENPKDVFHSVAKVALESVKSAVEHSKAIGEAKAKDSMTEGAREDCKNLLEDAVDDLKGMLEMAGGDIKVLFSRSDDLETWLTGVMTFMDTCIDGFVDEKLRADMHTVLRNATELSSNALAITNSLGGLLKKIDLDMFKKDSRRRLLSEQDEKGFPVWMRSPERKLLAAGNQPKPNAVVAQDGSGQFKSIQQAINAMPKAYTGRYVIYVKAGLYDEIVMIPKDKVNIFMFGDGPKRSRVTGRKSFADGITTMKTATFSIEASGFICKNMGFHNTAGAERHQAVALRVQGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFIKPEGYMPWNGDFGIKTLYYAEYNNRGPGAGTSMRVKWPGFRVITRQEAAQFTAGPFIDGAMWLKFTGTPNILGFKF >PAN37742 pep chromosome:PHallii_v3.1:7:31886066:31889474:-1 gene:PAHAL_7G118300 transcript:PAN37742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAASPVSHVAAPLPPCGRGRRASGVVVAMASTINRVKTVKEPYTPPREVHRQVTHSLPAQKKEIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDGFYDEVKELRERAKEIPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFVSHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVMAFADMMRKKITMPAHLMYDGKDNHLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQGPVIPFSWIYDRKVQL >PAN39732 pep chromosome:PHallii_v3.1:7:42555550:42559939:1 gene:PAHAL_7G264800 transcript:PAN39732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQLLSTVERREVLPESYIRPESDRPRLAEVTTDSNVPLIDLASPDKQRVIAEIGLACRTYGFFQVINHGIEGELLEKMMAVGLQFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFLPEWPSNPESFKEIVSEYCREVRRLGLRLLGMISLSLGLEEDYIEKALGEQEQHMAVNYYPQCPEPELTYGLPKHTDPNALTVLLQDPNVAGLQVLKDGSRWIAVNPRPNAFVINLGDQLQALSNGAYKSVWHRAVVNAAQERMSVASFLCPCNSAVISPAAGLVRDGDAPVYRSYTYDEYYSKFWSRNLDQEHCLELFRCAQLQLQ >PAN39390 pep chromosome:PHallii_v3.1:7:40836289:40838810:-1 gene:PAHAL_7G239400 transcript:PAN39390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEEQLADTPRVVGVLSALLERVVERNDAAADELTAGDAPASAASLAPASAFRATARPDISVRSYMARIARFAGCSPACYVVAYVYLDRLLRRGRRGRAALAVDSYSVHRLLITAVLAAVKFMDDVCYNNAYFARVGGISLAEMNYLEVDLLFAVGFDLNVSPETFGHYCAVLQAEMLWLELDKTPPTPAAAAAGPRLHSCCLSEDDGATTTSSNSGSSQQQLAA >PAN38026 pep chromosome:PHallii_v3.1:7:34309974:34314164:1 gene:PAHAL_7G141100 transcript:PAN38026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIFVQRGAPGSSSSSGRSGSQPAQQQPAAPAREEELPLQPQPQQPPELLASDDITEHLNEGSENSSSSNKPLRLDDPVSESSSSAEERATREKPPKDDTNVINSTFLVEELIGLQIPDEVEHGNSVASGTGSSQMAGAASHPPQPPAPPPKPTSGNNGLRRMGSGSSNSVRIGSSRRPVAWPPVAARTSASGSRPSSPRSLVDGEGYNSADEQGPCYDSSYDDSERDRMFEHDLRRVKGLEIRKMAEDGNCLFRAVADQVYGDAEAYDMARQMCVDYMERERDHFSQFITESFTLYCKRKRRDKVYGNNVEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRRQTIGAGLGFSSLRGTNNVDRDQVKAAIRAQQDQQIENALLAEGRFYSDLELTEREIERMVMEASRAEYLAEEKKLNIRESSTSGAEPSSSAAISGSSHSAAAVERGSDECFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMICYLVETGGPGASAGGSNRRKGKAAE >PAN38848 pep chromosome:PHallii_v3.1:7:38419558:38424632:-1 gene:PAHAL_7G202200 transcript:PAN38848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMAEVANHSKRNHTDSYFSGKAVVTTSSEEFGSMTPKKPRNTSPRTSPVSPKEKKDKIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPAAGAAPEDPEHYSLRNRGLCLVPVDLTLQLTQSNGADLWAPANTTRRR >PVH35796 pep chromosome:PHallii_v3.1:7:43671913:43672470:-1 gene:PAHAL_7G282600 transcript:PVH35796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLEQTCHVCIIALRCLAPAASRCRPWNAHRSTPRAFPLSVGRCRRALLPAARYPNRPDDVGGIECGGHWTRTPWPPAVAAPSTIPSRPPPRSRSSAVPARLLPHPHRRRTTASSSGTCLQELEEQGQRRSPCTIGLLNDRDMADGRRRRERAKREKEDPSPGVGSIAS >PVH35977 pep chromosome:PHallii_v3.1:7:46484003:46485402:-1 gene:PAHAL_7G326300 transcript:PVH35977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSCQPPEYVTGGPVIDHEGSVVGMTFDNGGPHANIFAISTILTCIEMWMKFSRIARPIHGLSFRTVELLEVLLRR >PAN37194 pep chromosome:PHallii_v3.1:7:22259348:22262799:-1 gene:PAHAL_7G074600 transcript:PAN37194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MVVLAKLGAPSMPGFMMDRRRLMLIPSITIGITSCQYTFEKAAAKAEFADMPALRGKDYGKTKMRYPDYTETESGLQYKDLRVGDGPSPKKGEIVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKVGSGQVIPAFEEAISGMAPGGVRRIIVPPDLGYLDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPNQ >PAN37844 pep chromosome:PHallii_v3.1:7:32863729:32868119:-1 gene:PAHAL_7G127500 transcript:PAN37844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGAKVGAEEMEEREREGEAEEVEVKFRLFDGSDIGPVRCNAAATTVAALKDRVVADWPKDKSISPKTANDVKLISGGKILENDKSVAQCRAPFGDLPSNAITMHVVVPPSSAKSKPDKKANKLPKTTRCSCTIL >PAN38264 pep chromosome:PHallii_v3.1:7:35723419:35724313:-1 gene:PAHAL_7G158800 transcript:PAN38264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKGLKSVIMCVLILGIILQVEGKTCCKNTLARSCYIVCRLRFPSSVCALTCRCKIIKGTKCPPGYPKLNLLPNSGEPDATEYCNLGCVSSMCNTMNNEFVGEEIKIDMERCSDACDRFCNGDAGIASVAA >PAN40651 pep chromosome:PHallii_v3.1:7:46720249:46723995:-1 gene:PAHAL_7G331000 transcript:PAN40651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRRLGGAGRALLTLPNIRRRASNSWAAVRDTFFSTKEVFESHRIVFTVGTSVASILTAWAGYSLRHVQQARIDRRLESIESSLKINHKDEHEEIKKIVTSSNISTPACVATAMTTMVVGYTLGWRGGAWYARRAFRREQQQLMGHMKSHNRWHWRPFNRLRNRLRRASRNKSEDAHRSLAQGTDAPPSSGVSASLSNTAQPAAGSA >PAN39463 pep chromosome:PHallii_v3.1:7:41197045:41198604:1 gene:PAHAL_7G244900 transcript:PAN39463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSQLMSFAAIAALASLLHPCTSIEFHRKLSSWSDGGATWYGAANGAGSDGGACGYQGAVDQAPFSSMIAAGSPSIYKSGMGCGSCFQVKCTGNDACSGTPVTVVITDECPGGPCLSEPVHFDLSGTAFGAMAKPGQADQLRGSGVLQIQYTRVQCSWPGVQLTFVVDAGSNPNYFAVLVKYQNGDGDLSGVELMQTGPGAAWAPMQQSWGAVWKFNAGSALQAPLSIRLTSSSGKQLVASNVIPVGWKPGTAYQSAANY >PVH35770 pep chromosome:PHallii_v3.1:7:43011002:43012200:-1 gene:PAHAL_7G272800 transcript:PVH35770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVFVLDVDKPVILAFDGDNETVTTINLPGKRDHGWPRHARSTLMEMDGRLCLATNLGHHHRVGLWLLTADRRWERRCVIELKGDVYHRDDRGNLYDCSIACVWDCGGVLALYVQGSTASNNRLCLYHLATEKMFCAKLPRDLAPRVLELRGVLGLKADARGAAEHR >PVH34743 pep chromosome:PHallii_v3.1:7:4250478:4251853:1 gene:PAHAL_7G021300 transcript:PVH34743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYYAIALLYMLAISASWGSALDDNVLQTTLYIKQRFTQDQRPGGTDTIIINWLIKDGPGAAANTIGHVEGLTTVANPVKPFWLTIMDMVFEDGSLAGSSLQVMGLHGSKRDLGQAQWSVMGGTGDISMARGIINYNITEEDSASRTFKICIYVYYTPMENILALDVTGKKP >PAN40327 pep chromosome:PHallii_v3.1:7:45134599:45138051:-1 gene:PAHAL_7G306800 transcript:PAN40327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTGGCCSTPLAWARPRASAALRVRRCRAYAPAVAAGASDVAFHPDVSRAAESLQAEFRAVDRALALNSSRVAAAFRRARVAPHHFGGSTGYGHDDGGGREALDAVFAEIVGAETAIVRPQFFSGTHAIACALFALLRPGHELLAVSGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVLLAADGGLDWEALACAIRPETGCAFIQRSCGYSWRKSLSVADIHRAISLIKLQNPNCMVMVDNCYGEFVETSEPAMVGADLIAGSLIKNPGGTIAPCGGYVAGKRNLVEAAAARLSAPGLGVEFGSIPGHVMRSLFQGLFLAPQMVGEAIKGGLLIAEVMSAKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEVVQQTCPVGSFVKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLTEVLKVI >PAN38195 pep chromosome:PHallii_v3.1:7:35350953:35352449:-1 gene:PAHAL_7G153300 transcript:PAN38195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDQLSLVPYEGAGGGGGGGDGKYKECMRNHAAAMGGQAFDGCGEYMPSSPDSLKCAACGCHRSFHRRAAGSSSCGAAAPVFFRPPPPAPPLHYQHHHHHQAALQAFLPSVPAAAPPHLALPYHAVPNAAAAAPWLARSGSETPPRADDFGVAGLGLGGSAGGAGSGSGSGSFGRKRFRTKFTPEQKERMREFAEKQGWRIQRNDDGALERFCDEIGVKRQVLKVWMHNHKNQLASTSPTSAAAAAAGIGISPAGPGMGTGAGASGDGDDDDTDDSPPRAAVSSPSPSPISV >PVH35280 pep chromosome:PHallii_v3.1:7:34277404:34277616:1 gene:PAHAL_7G140300 transcript:PVH35280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALGAVAWAWLLLLLQVAGASHVVYENLLELEAAAAAADVPPYIVDPELRMGYHFQPPKNWINGNVNKN >PAN40156 pep chromosome:PHallii_v3.1:7:44478612:44479578:-1 gene:PAHAL_7G295300 transcript:PAN40156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDKPGRPLPKFGEWDVKNPATAEGFTVIFQKARDDKKTSGPGHLQAGIPPAFRVDHGSAGDGGHRSGDSHQDDTPPRRVKRKWAFCGC >PVH35281 pep chromosome:PHallii_v3.1:7:34278155:34281970:1 gene:PAHAL_7G140400 transcript:PVH35281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDAWAWLGVVVPLLLVQLAGASHVVYENLLELKAEAEAVPPSIVDPQLRAGYHFQPPKNWINDPNAPLYYKGWYHFFYQYNPKGAVWGNIVWAHSVSRDLINWMALEPAIEPSIPSDQYGCWSGSATILPDGTPVIMYTGVNRPDVNYQVQNVAYPRNRSDPLLREWVKPGYNPVIVPEGGINATQFRDPTTAWRAGGRWRLLIGGVAGGGTRGAAYVYRSRDFRRWARVRRPLHSAPTGMWECPDFYPVGADGRRAGLETSALAGPRVKHVLKNSLDLRRYDYYTVGTYDRRAERYVPDDPAGDERRLRYDYGNFYASKTFYDPAKRRRILWGWANESDTTADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRGKSATLKDRVIKPGQHLEVTGLQTAQADVEVSFEVPSLAGAEPLDPALANDAERLCGARGAPVEGGVGPFGLWVLASADRQERTAVFFRVFRAAAGSDGKPVVLMCTDPTKSSLNPNLYKPTFAGFVDTDISNGKISLRSLIDRSVVESFGAGGKTCILSRVYPSLAIGKNARLYAFNNGKVDVKVSRLTAWEMRKPLMNGA >PAN39110 pep chromosome:PHallii_v3.1:7:39697329:39697712:1 gene:PAHAL_7G221800 transcript:PAN39110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGSSSRRRRFLNLRAFLHAWRKLAAAQAAAGEWAHPDGGVGGEAIPKDVPRGHTVVYVGEELRRHVVRVSSLDHPLFRELLDRARDEYGFAAADARLCIPCDEDVFLAVLCHVDADRESRMALCS >PAN37889 pep chromosome:PHallii_v3.1:7:33236610:33238624:1 gene:PAHAL_7G131000 transcript:PAN37889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWHGLPPRMYGSGTASLWFVELRAWHHYNCISEKLASFFLSLPKFWVHPTSFPGGFENLKKLLIDLPRVWPLHQIKKLLEKLLEVATCLEKLHINFFAPALRRWEEWDTTPRNDSADDFQHTFQLRQIVMEGFDRTEEQIALIRLLLSSCRSLKTLLLIRHHTLRGVNKLRRRQGGIACPSWSMEDEKAEIVSQLGDEIHSDAEIFLR >PVH35374 pep chromosome:PHallii_v3.1:7:36178087:36178538:1 gene:PAHAL_7G164900 transcript:PVH35374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKADAPQDGTLRYKTDGETRFSFILFSPFSPFLLLLEIGTVASCVTSLAVESKACPVQHEYSLYFYLHIILNLS >PAN40697 pep chromosome:PHallii_v3.1:7:46897851:46900077:-1 gene:PAHAL_7G334000 transcript:PAN40697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFRWLSHFLLVAVLLHVHGGLSLNQTCQPTDLQALLDFSNGLDSKAAGLVGWGPGDAACCSWTGVACDLGRVVGLDLSNKSLRGDISSSVASLDGLVTLNLSRNSLRGAPPAVLGRLARLRGARPHANGLSGPFPASDSGFPAIEVVNISFNSFDGPHPAFPAAANLTSLDISGNNFSGGINSSALCSGPVEVLRFSGNGFFGEIPSGLSRCKALAELSLDGNDLSGNIPGDLYTLPRLTRLSLQENQLTGNLGNDLGNLSQLVQLDLSYNRFSGSIPDVFGGMRRLECLNLASNRFDGELPASLSSCQMLRVISLRNNSLSGEIAINFKLLQKLNTFDTGANNLNGTIPSGIAVCTELRTLNLGRNHLVGEIPENFKDLRSLSYLSLTGNDFMNLSSALHVLQHLPNLTSLVLTRNFRGGETMPVDGINGFKSMQVLVLANCLLTGIIPPWLQGLESLNVLDISWNKLSGNIPPWLGKLNNLFYVDMSNNSFTGELPMSFTQMRSLISSNGSSEQPPTEDLPLFIKKNSSGKDLQYNQVSSLPPSLILSNNLLVGPIWSSFGRLVKLHVLDLSWNNFSGPIPDELSNMSSLEMLNLGHNNLNGTIPSSLTKLNFLSKFDVSYNNLTGDIPSGGQFSTFTNEDFEGNSALCLLRGSSCSDKALSVEAWRAAYFLMIDRFFSTLYVLTMVNVNRLRRTWENEVHP >PAN39986 pep chromosome:PHallii_v3.1:7:43754767:43756465:-1 gene:PAHAL_7G283700 transcript:PAN39986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAAAASPALRFSSATPPLAPLHRPLRRLLASVRCSLTAAPGVRAPPELVDSILSKVKGTDRGVLLPKDGHQEVADVALQLGKYCIDEPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMIQVVEAPDVVRNKVSFSVFGLEGAVSLKGKLNVLDSKWIQVIFEAPELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRRG >PVH34689 pep chromosome:PHallii_v3.1:7:1816042:1817466:-1 gene:PAHAL_7G009400 transcript:PVH34689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEQNDRSARWQLNFALGINMAGIASRHQAKNVKAGSSNRPQKEEKEYVTEERVRYVRNQRPTSSDLLRKYEYQYQQRLQRESEDEEYEHRTGKRLKKHEDARDHWYCPFFRYCWDSGMSRLPTVRDCPECAPMKTEARESVFERLGPAPTQQRRVQLPRGEYEEGDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLRKARPDLAEQVHYEQEKKPRASRKEWLPKPTKADKKVSADAHMVFVLPAEFHAQAYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTVGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISEISLEGCDRIEATKNGVRLVLSTGLTE >PAN38408 pep chromosome:PHallii_v3.1:7:36494602:36500479:1 gene:PAHAL_7G169900 transcript:PAN38408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSTAISLPGASCRARTLLALPSMSAPRRGPGRARFVTAAAMPPAPEPKARVLVAAKRKGFDVVVLERDVSAVRGEGRYRGPIQLQSNALAAVEAIDTAAADEVMDAGCVTGDRINGIVDGISGSWYIKFDIFTPAAERGLPVTRVISRMALQQILAPAVGFDAILNESHVVDFIDDGNKVTAILEDGRRFEGDLLVRKKLFGHLEATYSGYSCYTGVADFVPPDIDTVGFRLLLGHEQYFGFSDVGASKVQWYALHKEEAGGTDPENGKKKRLLEIFGGWCDIVVDLINATEEDAILRRDIYDRPPIMNWGRGRDGYQLAVELESAWQESAKSGAPMDIASSLKRYEKERRLRVAIIHGLARMAAIMATIYTPHLGVGLRPLAFLTKSSRFLIKYGMDMMLSWVLTGDSSKLEGRTLRCLLSDKANDQLYQWLEDDDAMEEAMGGQWYLFPTSGGNSGCLQPVRLFRDEQSQSDPSDSGSSLSLSLPQISERHATITCKNKAFYVTDLGSEHGTWITDNVGRLNRVPPNFPVRFRPSDLIRFGYDKKAMFRVKVLNTLPYEYARRGKQHHHQQQVLQAA >PAN37218 pep chromosome:PHallii_v3.1:7:20843542:20850116:1 gene:PAHAL_7G069800 transcript:PAN37218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAKPLRLQRRGRGVALRLPVLLQLLGFLLLLAGVARAQQSPLPPVPPAPPLAPALSAVEKQLNILTNNVAGTISDKFSFCVADPEEDWNEAFNYTSNLAFVDKCLQDSQGDLPQRLCTPNEVKFYFSSLYDRDGDKNINLKTNINCNISSWGKGCDPGWACATDPVPDPRKHDSNNIPLRRRNCQACCEGFFCPRGLTCMLPCPLGSYCPRATANETTGLCDPYKYQITPNSSSTESCGGADMWADIQSTEEIFCPAGYYCPTTTKRDSCSSGHYCRLGSTAEEKCIIKGSCDENEDNENIVILGACIVGALCLLLLIIYNCSDKFLSIRERRKARSRENAIQLARQQVKAHEGWKAARQFARRHVNGMQSHLSRTFSRRKSFRQQVDPDNSSRRVQEAPLMGQDKTQEMSDSAVFAAESTTEITEVMPSVIVDVSDEGEVVAAKEKPVSKGKHRSTHTQVFKYAYGEIEKEKFQQQENKNLTFTGVIGMVKDQQKEITRPLLKVEFRDLTLMLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVNGYKVTGSVLVNGKNVNIRSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSARMKHRDKVLIVERVIDSLDLQGIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLMVYNGPVKTVEDYFTTLGIHVSDRVNPPDHYIDILEGIVKPESGIKAKHLPVHWMLYNGYEVPSDMQDDLKEIGEQTPQICSSPSMSGSTPHCLPLRNAFTEERDRLEHHLSKPKDLSSRKTPGIFMQYKYYLGRVTKQRLREAKLLAVDFLILGLAGICLGTIAKLSDKTFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYFRERESGMSSLAYFLARDTIDHFSTVVKPIIYLSMFYYFNNPRSTMADNYIVLLALVYCVTGIGYTFAICFSPGSAQLCSALIPVVLTLLSTQKSTPMFLKRLCYSKWALEGFIIVNAKKYPGVWLITRCGLLFNNTFDIHNYKLCILILFVYGLFFRMVAFTAMILLKKR >PAN40462 pep chromosome:PHallii_v3.1:7:45785042:45787690:1 gene:PAHAL_7G317300 transcript:PAN40462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MELSRGIVSAPLPQPALRTAPAPRLGRRHPFGAFSPPLPLSHVREQGCFISRALRPPQEWVEGWVRSNDTLVRGLPILVGGASLVAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGPGVSSYALHELLWTWDSLTTATCCKSLVVVYGGNCILQIGVAAGSPEDGNAITVDTQKFIQGSLYKSAMESKKQSYLANLALYPGRSELPFLPANTQALILQPVGDKGIAIVGGDTIRGFTSIDQAWIAMIADKLDATLSKSYNS >PAN40168 pep chromosome:PHallii_v3.1:7:44560837:44562996:1 gene:PAHAL_7G296700 transcript:PAN40168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43640) UniProtKB/Swiss-Prot;Acc:O04421] MVVLQPDRFLSELTSMYERSTEKGSVWVTMKRSSLKAKAQLQKMEKKGKEVEYRCLVRASDGKKSISTSVSLKEYAKFQASYATVLKAHMHALKKRERKDRKKPADAEKVPETAPKKQKKASSKKSSGSKS >PAN40481 pep chromosome:PHallii_v3.1:7:45851716:45853348:-1 gene:PAHAL_7G318400 transcript:PAN40481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNQTPTLLRCGHDRSAPCNLRGGICLPIRRARPGRLFMSTRRLPCGHVPTTGASCFLLIATLLIQSRDPDLASMAHHFYFIYYKELTVVASLVI >PAN40843 pep chromosome:PHallii_v3.1:7:47621625:47623472:-1 gene:PAHAL_7G345800 transcript:PAN40843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGKRGKAKGQTPPPAVADAGGDGFPGCLRLMPPSTVAISIHAKPGSKVATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKRREVSIGSGSKSREKVVLVQDATLQRVYDALKKSCNC >PAN39760 pep chromosome:PHallii_v3.1:7:42663409:42667034:-1 gene:PAHAL_7G266700 transcript:PAN39760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFQEIKVRNDQRPAADRVDHGDFIDHLLKKTLNSGATDDLPEGARAPKARKPYTISKQREKWTEDEHKLFLEALQQHGRAWRHIQEHIGSKTAVQIRSHAQKFFSKVIRESSGDSNSIAAPPQIHIPPPRPKRKPAHPYPRKLGNSLCKDASTIKQLEKPQLKIQLLCEQENCSPKSVLTTAQIGSETMATEGSGSPASSVYMEEKCLTPSTSVGEVALSKDSTTSNGAACGIPEGPVLRLFGKRVVVNNLHQQPNSNTGNLQHAADMELDASAETPTSGTGKFSSHGAEEAKTWSPWLTGTQQFIYYLPQGEVLSVHSACQFLSYSNGSISYGVLSPQTVASNKQQHQPSQAADCKFTRAEGSWAESITTSCSVPETTTQNSDSIESTQVNNDDDDEVIPVPGSRKCLSTVPTYLRGFVPYKKCTAQSKMLQSQAPGEEADGDMTRLCL >PVH35167 pep chromosome:PHallii_v3.1:7:31628625:31629167:-1 gene:PAHAL_7G115700 transcript:PVH35167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQAEVRSNTPKGQEAITEDNLTGMSYLKAVIEETLRLHPPSPLLLPHLSLEGCNIDGYVVPAGTTVFVNVWAIGRDPKLWDDVEKFMPERFVNKGATEGVDFRGLDFQFLPFGSGRRMCPGMNFGLASVEIMLANLVYRFDWEMPKGLNGIDMTEVFGLMVHRKDKLILAPRLECVC >PVH35680 pep chromosome:PHallii_v3.1:7:41175214:41176410:-1 gene:PAHAL_7G244500 transcript:PVH35680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFEVLSRSRTALGGFRRTGWTMTPGPGYLVLQHILYCVVFGIVQKELGLPSTAAWSHFNQRLEDGGFRSGIGPLHGPDQVLMSG >PAN37623 pep chromosome:PHallii_v3.1:7:21209308:21216381:1 gene:PAHAL_7G070600 transcript:PAN37623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDCLNWRIQNEIDSVLAKPIVPSALYRAIWDTLLVGLTGYSKQGQPVYAFGVGLSTFDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGRQINTCLKVMDMTGLKLSASSQIKMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIQVLYGSGRDELLKVMDYESLPHFCKREGSGSSSDSLDVVYCYSYDHPFHQQLYNYVKQQSLKLDSVGPIKQGSLHVDVPSPGLEEVKIAESIESELHKLRGGNGLTHSFNRIKIEGP >PVH35798 pep chromosome:PHallii_v3.1:7:43745114:43748147:-1 gene:PAHAL_7G283400 transcript:PVH35798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLAARTLTVARFAAGPSSALRFRPSRALSAKVEFVEIDLSEESPSSSSGGGGSGESAKVQAQRGMRRLEDAIHGVLVRRAAPEWLPFVPGASYWVPPMPRPLGVADLLGAAVYTASGAPAMTAEEAMSFRTVRGWPSAAYFVEGKFPHPVKRPRNDATQTDDEES >PAN38156 pep chromosome:PHallii_v3.1:7:32512229:32513064:-1 gene:PAHAL_7G123500 transcript:PAN38156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPRHRPRPRSRAPRDARVGPVCFSSSIYLCVAAAGKHPAQREFEKAEKASRFGEEESEPASRGASMADWGPVIVATALFVVLTPGLLCTLPGRGRVAEFGSMHTSPVAIIVHAVLYFALITIFLIAIGIHIYAG >PAN38091 pep chromosome:PHallii_v3.1:7:34816823:34817229:1 gene:PAHAL_7G145600 transcript:PAN38091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLGKQFAYATKSFSYSGSTIKCCLGLHFHVSTDSWLSVWYLVASSLEVAASVLSVFLGGLILDGQDIRK >PAN40486 pep chromosome:PHallii_v3.1:7:45931792:45935982:1 gene:PAHAL_7G318900 transcript:PAN40486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLERNIKKGEEVEEARKEEERKEEEKTQESQQDQALSLSLANGSARSGMLPMSTPSANPAQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAATKSSAATAVKPPMMPAATVAPAAVSPVLIRSPSLQSTSVATGQPQAVADPSSICKLQADLPIARRHSLQRFLEKRRDRIVSKAPYSPAKSSEGMESPAMEVTAEGKVQ >PVH35851 pep chromosome:PHallii_v3.1:7:44289092:44289805:-1 gene:PAHAL_7G292100 transcript:PVH35851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKGLLRCVSTGACRVAPGAVAEPSPAWHGAGGKVPAGHVPVEVGAEGEETERFVVPAELLGRPPIAELLRRAAQEYGYARRGPLRIPCPAAAFRRLLRALAGARAGDGGLAVAYLTVVV >PAN39039 pep chromosome:PHallii_v3.1:7:39319031:39320707:-1 gene:PAHAL_7G215900 transcript:PAN39039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTQFLHPFFLSSSFPSPTSSLQLRRAFSDSHIPSLSTPSDAGAGGISSRPTSGGLRTELSFSVYNTFGGALLASQAEPRHGHDQQHEEPELLLQDQQQERTVQPDHPEVPLFLARGLGIDRIASGFFTAGAAGKSMAAGGKGNTIGGGVEEQALWEQDEEAAALDAQYKRMVDEQPGNALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPGDGEIMSQYAKLVWEVHRDQERCLGYFHKSVQAAPQNSHVLAAYASFLWEQDDDDDLGEGEQGAGGAGAPDQYAARAQQAGQVRELASAAV >PAN39945 pep chromosome:PHallii_v3.1:7:43480554:43488215:-1 gene:PAHAL_7G280600 transcript:PAN39945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin filament bundling protein P-115-ABP [Source: Projected from Oryza sativa (Os04g0604000)] MSVSMKDLDPAFRGAGQKDGLEVWRIENFKPVPVPTSAHGKFYMGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYRELQGNETEKLLSYFRPCIMPQPGGVASGFNHVEVNEQEHFTRLYVCKGKHVVHVKEVPFARSSLNHEDIFILDTKSKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADAEAGEFWALFGGFAPLPKKSPSEDSGEESEIVVKLLRVNQGKPEQINFDSLARELLESNKCYLLDCGAEVYVWMGRNTSLQERKGASEAAEKLLIDGSRTKSHVTKVIEGFETVMFKSKFVEWPPTPDLKLSSEDGRGKVAALLKSQGLDVKGLMKAAPVKEEEEEPEPYIDCTGHLQVWRVNANDKALLSTPDQSKFYTGDCYIFQYTYSGDDKEECLIGTWFGKKSVEVERASAMSLASKMVQAAKFQAVQARIYEGREPIQFFVIFQSLQVFKGGLSSGYKNFIAENGNADDTYSDGGIALFRIQGSGSENMQALQVDATASSLNSSYCYILHNGNTVFTWTGSLTTSLDHDLVERQLDVIKPDLPSRSQKEGRETDQFWELLGGKSKYTNQKVGREHESDPHLFSCIVAKGNIKVKEIHHFTQDDLVTEDVFVLDCHSDVFVWVGQEVDAKVKSQAMDIGEKFLVLDYLMEKLSRETPIFTVSEGSEPQFFTRFFNWDSAKSLMHGSSYQRKLAVLKGRAPPSLNKPKRRTPAFTGRSSGQDKSQRSRSMSTSPDRPRVRGRSPAFNVLTSAFENPSNTRSNTRNLSTPPPAVRKLFPKSGESKVSPKKSAISSLTSSFEVPMRSTIPKSVKASSEAEKAIQEECATGDGGVGENETEDDEGRTIYPYERLTTRAEDPVPDIDVTKRESYLSSAEFREKFSMTRAAFYKLPKWKQNKLKSGVQLF >PVH35449 pep chromosome:PHallii_v3.1:7:37596177:37597577:1 gene:PAHAL_7G188800 transcript:PVH35449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGVGVPAGAPFQPWADLEAGLVSSIAACCALGDYASCRAVCPAWRSALPPPLSRPLAVLPADDAAGHPVSLAACDLHARRWARLLHVGGPPRRPARIGAAARCVGASRDGWLALVAGEAAAPAGPLLFNPFTGEEIPLDESLYQPAHEPAPKIVFSPNPTRGDFTAVSLVRPDMVAVQRASDGCSYCEDTGPLLNWAVLVDVAYGDHGKVYCLARDGEVHVLHLTRRRRGVCRRAPPIEVGPLPKLPIGADAFPPPYDVISQYTDAKNLVLCDGELYQIWRRPSGAGSVTVDAPPGGSARRVHIFEGDVFVLRYDPGNWPGRSCWTVAEAKDLRGNAVFVGMNDAAVVRGEGVSANSVYYWDGPRGGGDYEAVVYNVATGASVRWPAAPTGGVSSPVWYFLPAGDDASPRVEAETTGVETTSGEEVTSLEEEEHSAHRLRKTMNLLGRLDYSIVYGSPCEAAEN >PAN39707 pep chromosome:PHallii_v3.1:7:42412972:42415649:-1 gene:PAHAL_7G262800 transcript:PAN39707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAPARAASAVRLFDAHCHLQDPRVAPVAPALIRAAAASGVARFAVNGTSEKDWHLVKRMAEDHPAVVPCFGLHPWWVPERSPDWMDSLRRFFAETPEAAVGEIGLDKGSRGRTIDFREQVEVFRRQLELAKELERPVSVHCVRAFGDLLEILKQTGPFPAGVLLHSYLGSADMVSGLANLGCYFSLSGFLTGMKSTKAKQMLKSIPLDRILLETDAPDALPKLDNVSVSPVPVHSSDADTEKHHKDSESQAATASNESLNHPENIHIVLKYVASLLEMPETELAELSYKNANKLFSYPGSNVHPEAGDI >PVH35159 pep chromosome:PHallii_v3.1:7:31341991:31344183:-1 gene:PAHAL_7G113600 transcript:PVH35159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDTLKDVEEFYKSYAHEVGFSVRVGQHKKGNEEISIKRYYCSREGYRKENVTNASDESGKKRKTHNVMETRCGCHAHIVVKLGIDKKYSIISMVEEHSHGFVSPDKRHLLRSNRRVNERAKSTLFNCHKASIGTSQAYRLLHRDLQNYYRDLRIKIKDADAQMFVAQLERKKEVNPAFFYEFMVDDQGRLVRVFWADAVCRKNYSVFGDVVSVDATYTTNEYNMKFVPFTGINHHLQSVFLGAAFLADEKIESYVWLFETFLKAMGGVAPHLITTDEDASMKAAIAQILPYTAHRFCMWHIMEKVPEKVGPSIRKDEQFWERLNKCVWGTENSDEFVSQWNSIITDYGLMENDWFSSRYEIRESWIPVYFLDIPLAGMLRTTSRSESANSFFNRFIHRKLSFIEFWLRFDTALECQRQEELKADNASLHTTPKLMTPWPMEKQCSVRYTHEVSSKFQEQLVVARDHCIVQGIEECEDIKIFTISSLSGKERVVQMNKTNMLGTCSCKLYESYGIPCQHIIQVLRAEKQNEVPSNYIMKRWETRCKRELFYDNEGNLLDEKPKDPREVAMRKKISESHNKFEDIIQMAKTSEQGMDFLYSSLSNLVEPLQKITPATRVAKQEEYESFLGNRIPTEVDIHPPNDIRSKGRSKRIRRSKDKKEPKKKEVSKMQATSGS >PAN40165 pep chromosome:PHallii_v3.1:7:44546809:44551202:1 gene:PAHAL_7G296400 transcript:PAN40165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRTQLGLHGRTTVTTAPDHLRLEGLNTHTAPRPQHQTPARIQSTTPRHTGSRRSLDRFGFHCPQKMESITNVTEYEKLAKEKLPKMVYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSHIDMATNILDFNISMPIMIAPSAMQKMAHPEGELATARAAASAGTIMTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVKRAEMAGFKAIALTVDTPRLGRREADIKNRFTLPPHLVLKNFEDLDLGTMDKTNDSGLASYVAGQVDRTLSWKDVKWLQTITSLPILVKGVVTAEDTRLAIEYGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLREITRAHVITDGDRIGRSRL >PVH35333 pep chromosome:PHallii_v3.1:7:35404935:35405726:-1 gene:PAHAL_7G154300 transcript:PVH35333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTFRESEPFYGEKTNLSSLFSSLDSPIIDGDCQEGEKEASETKESDSQPRQMEIVIGTTPCSMNEPNYTCVGEPHQDGENSNVHNVPIQQQSEGIMEVVSGSSASTQISNPTHEGLQNKDTSRVYTRRKYRSQMEATNLDLESTPELCPLEPVHESEPEIIDVSSAFKIGVEDSLLNDLPIALRKGTRTKVGMPPLRYGFEHDIANYVSYTSLSPAYIAYIASLQSAKIPRVWKEAKQDPSGVRPCWRSWELLKRTKLGTL >PVH35792 pep chromosome:PHallii_v3.1:7:43668220:43668660:-1 gene:PAHAL_7G282500 transcript:PVH35792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWYYRTSSTVYYKMLEVRDEPEANIPRRNTVPVRCAAVPVCVQMEQSKLAAPLSLSRLGATVALNYELGAPRQRQPTNKGAPANVLVPPSTRTPVAGNHAGRACRRQPQFPIVLSSTPFRGSASQARIFLRSNISPSQSSATRRI >PAN39217 pep chromosome:PHallii_v3.1:7:40164253:40167835:1 gene:PAHAL_7G228500 transcript:PAN39217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFFHPLATPMAAGGGSRIRRCPLSLPVPARPTPRRPAPLLVVCAKRADSRARAAASRQPANPSDVPKRDAEEEVEEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTKKKRRVSKNIFLLKSLDDLFQKGREAVDYPALQDLMQKTGFDLDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLSGFTEQGFKRKLAVQALFGKILYLSELPEFCSRDSSLVVKEIFGVTDEDADSLRIHTLSATGDIESIQKMVDDLDIEQGPSSSS >PVH34680 pep chromosome:PHallii_v3.1:7:1299376:1306356:1 gene:PAHAL_7G006000 transcript:PVH34680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTTPPSQPELAGEKRKREEGSPDAAVVDAPSVPAASGEDAASGSRHPMWKTSLCSYFRRRGAGAEGCSHGESCRYAHTEEELRPRADGTWDPTSHRAKKLRKVAAEAQEEAEEEVTVDEQSLDKCLVGLPRGWTADRLKAFLQDQGISYATAKKKKGMTVGFVTFESVEQLTHAVQVLKENPSGGKEIKISDANRRSHQKVRAEGPVANGTATENGSSPATPAGETSASEVVASSKKSARDAVTPLALMPYNDQLEHKKHSMAQILKRLTRNARKACPPAIPLPNWIFKSKEIGGLPCKLEGILESPIVDGYRNKCEFSVGYSLEGKKTVGFMLGNFREGVTAVEEPVNCPNVSEISCKYAQMFQDFLQSSSLPLWSRVDNCGFWRQFTVREGRCPAEAVVLQNGESQISEVMLIVQVCSTDVDEALMKEEFDKLSAALIQGATTCSPPLPVTTIVVQDHKGISNAAPADCPLIPLLMPKGNQLEGGAEDKTRIHDHISNLKFSISPTAFFQVNTLAAERLYTLAGDWANLNSDTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDAHRNALINDIKNCRFVCGKAEDVIGSLLTEYLGSPQQHIAASQSNSVINDTSKNEDTMNCPENDGENMDSSMEKNDNGENEQPRDRSVDLPTCFGDEDIKGDSVYRVNKEADSNPDEHNEAAGEQNCGEASLINDEPTDATSADSLDHGKTCQDSSSIPNNNVLAASACQFRNVVAIVDPPRVGLHPTVIKALRTHPLIRRLVYISCNPDSLVANAIELCTPTSEKQEKNKGNRGWRSMSAAGLARQRTKSMPNSEPFIPKRAMAVDLFPHTSHCEMVMLFER >PVH35422 pep chromosome:PHallii_v3.1:7:37055644:37056165:-1 gene:PAHAL_7G179000 transcript:PVH35422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEVHLSVCAQHRGSAPLRKLRRGDVAGRRTARSPIFYSPKIPPAPSPLSQTPPSHSLSLQEHPPRRPPARPPSSNPNLGLILSCHRSPPGSSRRHLSLRLDFTDLACPTVSWQREPPPLLPPRLMPCMADPFPEIGITGDVPLLARFASSPCHTPSLRFALFRGLPTPFL >PAN40242 pep chromosome:PHallii_v3.1:7:44877600:44879441:1 gene:PAHAL_7G302200 transcript:PAN40242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKKTTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMAKNSHLKDLQALGPLEVLRADLDVEGSFDDAVAGCDYAFLVAAPVNMAAEDPEKELIEPAVRATLNVMRSCVKAGTVRRVVLTSSAAGVYIRPELQGDGHVLDEESWSDVEYLRAKKPPLWWAYCVSKVLLEKAARRFAEEHGISLVTVCPVSTVGAAPAPIVSTSVPACLSFLSGDEAGLGALKGIEKTSGAVQLVHVDDLCRAEQFVAEEASAAGRYICCGVNTTVVELARFLAHRYPQYGVETNFAGDQLLEKPRVRLSSAKLVREGFEFRYKNLDEMYDDVVEYGKALGILHY >PAN38210 pep chromosome:PHallii_v3.1:7:35461549:35464675:-1 gene:PAHAL_7G154900 transcript:PAN38210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSLVLPFTLLLASSFLLSGPSFAEPEAAVELGEAVLTLDAGNFSEVVAKHHFIVVEFYAPWCGHCNQLAPEYEKAAAVLRKHGPPVVLAKVDAYDERNKEIKDKYQVHAYPTIKIIENEGNNVRGYGGPRDAGGIVEYLKKQVGPASIELRSAEEAAHAIGDKGVVLVGVFPKFAGVDYDNFMAVAEKKRSDYDFFHTSDAGILPRGDQAIKGPVIRLFKPFDELFVDSQDFDKDALEKFIEVSGFPTVVTFDADPTNHKFLERYYSTPSAKAMLFLNFSDNRIEAFKSQIQDAAKKFSANNISFLIGDVEAADRAFQYFGLKENDVPLLFVIAQGGKYLNPTIDPDQVIPWLKQYIYGNLMPYVKSEPIPKVNDQPVKVVVADSIDDIVFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVSMQDDEDVVIAKMDGTANDIPTDFAVEGYPTIYFYSTTGDLYSYNGGRTAEDIISFIKKHKGPEAGAAEEVTQTGAGAVQEDITPSSPSEDSELLKDEL >PAN40903 pep chromosome:PHallii_v3.1:7:47917290:47919655:-1 gene:PAHAL_7G350400 transcript:PAN40903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) UniProtKB/Swiss-Prot;Acc:Q67Z52] MASSKLQLPVDDSVLLLVTHSNLSTFAADIRVSQQTTVEALKDKLWRKTGTAVASMRLQLRDDTGAKIADLDDDAAPLAAYGPYNGYRIHVLDLDPSSLTSGGWLEDTSLVDKYKMSDEAYDKLHTNFRKFKEKMAPKNSTSEDKEQSEKHMEELCSKIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGNYPERDPFEEEEI >PAN38123 pep chromosome:PHallii_v3.1:7:35026921:35033311:1 gene:PAHAL_7G149000 transcript:PAN38123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAVEKSGGAAAAAAASSSSATSTLDRFQKIVLSWDYLLLVAESKGGKQGKGLLRVKDTYESVADYLGVFEPLLFEEVKAQIVRGRSDEEEGDAGLDWQRVAVGLCAESEGFHKFSMAVENEFREALSENDLLLLSKEKFEEGVTPTAYAFALVEQRGGSANISLRAFVAGEIRNLNVSKPVESPRLQRFASILAAESSTLWILKVCSLSTIMREFTAMHSVASLPFKDLILSAAEKHKDGDDQSRAWNVPQPLMDHLKTNLNDSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMKTKGGFDVQKHGPELDTDGKYAHWVKASPWLFGANPRDLIMPIDGDDGFYPTGNELKPEVVSSSRKYRAHVLVCAPSNSALDEIVLRVLNTGIRDENNNTYSPKIVRIGVKAHHSVKAVSMDYLIQQKLSGVDRTLDGGRRGAGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMSRAFDVVIIDEAAQAVEPATLIPLVHGCRQIFLVGDPVQLPATVISSTAQKLGYGTSLFKRFQGAGFPVQMLNIQYRMHPEISIFPSKEFYEGVLQDGEGLSKKRPWHSYTCFGPFCFFDVDGVESQPPGSGSWVNQDEVEFITLLYHQLAMRYPELKSSSEVAVISPYRQQMKLLKDNFRLTFGDQSKEVIDVNTVDGFQGREREVVIFTCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVVGSASTLQKDEHWNNLVESAKERNCYFKVPKPFGAFFAEDNLKTMVVQRNPPAEPKAEALEAMNEEAHRQGLMNVDAADDQADAGDDDDAAMDADDGGGDD >PAN40033 pep chromosome:PHallii_v3.1:7:43999721:44001971:-1 gene:PAHAL_7G287000 transcript:PAN40033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPADERQRQAWDEEEADDAVAGPSTEQVFEGEPVPTLPEMITARSVAVGVVLGVVLSAVAMKLSLTAVYLPFLAIPAGLMSFFLSRWWVRLLDGCGVAQLPFTRQENAVIQTFVVSCANIAYTGGFGSYILAISRTSAVDGGPENSGGNVAEPQIGRLMAFLFLTSFVGVFAVMPFRNSLVIRHNLPFPTGMATAHFINSIHTPHGAKQASMQVSVMFKTLGGAIMSSLWQWFYEGGMHCGFRSFPIFGLTAARFGFFFDFSMTDIGIGFLSPYKVTISMLVGSVVSWGIMLPYIMTKEGSWYPRGIGGINAYRWFIEISMVLADGLFHMLCILLRTLREMRRRRLSRLTTLPSMCLGADDRPPARSFDDRRRAQVFLRDRVYDPAAVVGNIALSAVSIVAIPRLYPQLRSHHVAVAYLTVPLFAFCNTYGIGMTSANLGPTYGKIAVLAFGSWVGLQNGGVVAGLAVGVIMLSAVITAADLMQVFRTGYLTLTSPHAMLISHVAGTALGCVINPLIFWMLYGAYNGGDGVPVAPYAKVYRGMAILGVSQQAFPRHSLLLSMVFFAVALANSVLREVSARRGWRVGRYLPCTIAVAIAFFMPPKVPIGISIGSIGMYLWRRLDGDGARLRSPAVAAGLICGDALGSVLRSMLMLSRARPPICLMFLSPRASERLDAVLATYM >PAN36936 pep chromosome:PHallii_v3.1:7:2502189:2508089:-1 gene:PAHAL_7G013200 transcript:PAN36936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLVSTPFAGAAAAQKRLLPAPVPPLHSFLLSSARRQPAGARRAASTIRCAVAGGNGLFTQTKPEVRRVVPGPDPPRGGGPPLPRVKVVYVVLEAQYQSSVTAAVQQLNADPRRAAAFEVVGYLVEELRDEDTYRTFRADLADANIFIGSLIFVEELAIKVRDAVQEVRDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKANSGNFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALRGAGIKYDDPVLYLDAGIWHPLAPTMYEDVKEYLNWYGTRRDANDRLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGARVIPIFAGGLDFSGPTQRYLVDPVTGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLSNLKKDGYNVEGLPDTPEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGHLNSDGENLLVYGKQYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDTCYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGEELPPKERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIAALDRPEDGISSLPGILAATVGRDIEDVYRGSDKGILADVELLRQITEASRGAITAFVEKTTNSKGQVVNVTNNLSSILGFGLSEPWVQYLSTTKFIRADREKLRVLFGFLGECLKLVVQDNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTAAALKSAKIVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEMNYVRKHAQEQAEELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMKEKRKAFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLERLRELYSEVEDKIEGIDR >PVH34729 pep chromosome:PHallii_v3.1:7:3682321:3683055:-1 gene:PAHAL_7G018500 transcript:PVH34729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERIGIMEPPEYAYREYISGGTLRCDMMIFMERSTRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHYLQRTPMGFFPPAERSGRTWIARMRGLGREEEDLEDAVSHLSIHLTGLDALCREQSAQLKKLIHGVEKLTQELEEQRTRAANAEYSLAALQAQMQEYESRNGLGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH35516 pep chromosome:PHallii_v3.1:7:38573950:38575766:-1 gene:PAHAL_7G205100 transcript:PVH35516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQSTNFFLESYVARNLSIQSYAEKRKKKHPVMLHPLWAAKVHEFGLPVCCFLRRAKSQSHARRLLLPPPPALPPSADRFPDSQSQASTSDFTDSQARARRSISSKKKKKSETRERLLPQAPIGDIFTRAARDATRREYATTPPPAAAHHLLPVLPSRAPIQPRPRHQRGRRERGPTPGRSPPPQPRAPGPTSKGMILAFP >PAN38321 pep chromosome:PHallii_v3.1:7:36142680:36145776:1 gene:PAHAL_7G164000 transcript:PAN38321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGSAPIFPNVRTPEDVFRDFRGRRAGIVKALTTDVEKFYKMCDPEKENLCLYGLSNETWEVTLPAEEVPPELPEPALGINFARDGMAEKDWLTLVAVHSDAWLIAVAFYFGARFGFDKDARRRLFTMISNLPTVYEVVTGSGKKQSKPANSNGKSKSGSKPSKKPNSNSKPAKQPLPKQEEQMVKEEGGDKDQAYLCGTCGGSYSNNGEFWIGCDICENWYHGDCVRITPAKAEHIKQYKCPACSNKRSRE >PAN39804 pep chromosome:PHallii_v3.1:7:42808008:42810525:1 gene:PAHAL_7G269500 transcript:PAN39804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNGGNSNSGGASTNTAEVQIQIPGPSKNEAPAAQEAPAGSSDARNWKWWFVVATDAFFLIVGQTSATLLGRYYYSQGGSSKWLSAFLQTAGFPILFFGLFFFPSKSSSGETPIGKVAMIYVVLGLIMTADDIMYSHGLMYLPVSTYSLICASQLVFNVIFSYVLNSQKLTGLVMNSVVLLTLSALLLGVNHESYGYTGVSRGKYLLGFLLTLGASGTYSLILSLMQLTFENVVKKQTFSAVLNMQIYTAFVATFACLIGLFASGEWKDLKGEMDSFQSGQFSYLMTLVWTSVSWQVASIGMVGLIFEVSSLFSNVISIFALPIVPLFGVMVFHDEMNGVKIIAMLISIWGFISYVCQHYLDNKKARSA >PVH35495 pep chromosome:PHallii_v3.1:7:38248015:38249102:1 gene:PAHAL_7G199500 transcript:PVH35495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAFDAATIAKLIGVAVGSGASAAFATGASGWWALKAYREGRFSRGWWRMRVGALGGVRTLKQALAYDCALCRRSLDRREEVRTLSCGHAFHCRRSAKCGNTIDDWLRENRMRCPVCCKIAYPVLPWKAPPTSAPPAPPRSPSTTDLEAQLPLPLALETAPPKRPPPPPPSLWFEDTLRTPSQSE >PVH35152 pep chromosome:PHallii_v3.1:7:31065218:31069868:-1 gene:PAHAL_7G112300 transcript:PVH35152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDGQLNNNNVQGLSLITGNHAAWNQPVEYDLDALLGAEDHHVDTNQRSDDEDRCPGSGTPPSKRAKRFSVQQVQELEAMFQVCTHPDPRVRQELGRKVGLGEWQVRFWFQNRRSSTKLKACGNEIRDMQQENTKLRADNIELKQLVQNPTCFRCRDPAGANQLVSENWRLLNENARLKDELLRAKAYQDSVIREAERPQSMSSEHQASAYTHPVPFTYNCRTNKAALVSHAERALKEFVMLATKGQPMWIPTIDGEVLGDQEYDLHTFPGLLGLCPRGFIVEATRETDMIRGDAMDLVSILTNAAQWSEMFPDIVAYVRSTDVISSSSTSSSHDGLIQLMDVEFWVQSPRLLSRNVKFLRFSKKMAERKWAVVDVSVDGNNGDEQQSNGTSYAGYRLLPSGCLMEDMSGGFCKVTWVVHGEYLESTVPELFKQFFRSGQAFGACRWLRSLQRQCEYMAVLGSSHIPSSSSSSSAISPLGKRGVLELARRMKESFYAAVSGPVTIASTNIVDQWCVSSGTGAERVDAAVRMVTWNCAEIMPGEPAITVLSATATVRLPGTPPLRVFEYICNSQRRGEWDNFVNGGPVEEVSYVPISARLHGNSVCILRPTVVADGSHGTNSNDMLILQQSSTDASGSLVVYSLVEENVMRGIMGGADSSIFLLPSGFAILPDGHGKAHYTAVSSSSSAPNNGEGALLTVASLGMLSSSPSGGPAARSFDDAGEHLCNMIKKIRDAVGANNVIMA >PVH36095 pep chromosome:PHallii_v3.1:7:47946638:47949018:1 gene:PAHAL_7G350800 transcript:PVH36095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVKQTGALSEYIERFFELFDQLVAYHRTTDPMYFTMQFIEGLRPYLQAAVLIQRPPDLDTAIVLSQLQDEVAVNAKRRDMKRPAYNGSIKPSSAYPLPLPAPPKDDKSSSQFAEGSRSVDQFRNKSAEDKWRSLRAMRRDQGLRQYYAEKWSRDHKCAERVQLHAVQEMMEILQLSEDTG >PAN40467 pep chromosome:PHallii_v3.1:7:45804389:45807864:1 gene:PAHAL_7G317600 transcript:PAN40467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTPTTAATTHHRILLPSPSPRGALAPASLRLPLRAQARAQRARLPAPLAAAAPAASTASPEAPASGAVPGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASLKAGKWMRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVVAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEEALVRALDSGIVAQAALDVFTKEPPAPDNKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGIRITEERILLDGSPETPIDYIQVQIANVESKFPSAISETGEITVEGRVKDGVPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPSKGTLTKIGEIPAIEEFVFLKL >PAN37422 pep chromosome:PHallii_v3.1:7:26827821:26833279:-1 gene:PAHAL_7G091700 transcript:PAN37422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHRLPPCLAVTALSAALLFLLLLLCASSSPPLFAWRSSSASLAAPPPPPRAEWGPGRPPSFAYWISGTGGDARRILRLLRAVYHPRNRYLLHLDAGAAAEEREALAQAVRRDEPAWKEFRNVDVVGEGYAVDRTGSSALAAVLHGAAVLLRIGAHWDWLVTLSAEDYPLVTQDDLLYAFSSVPRDLNFIDHTSDLGWKRHERFEKIIVDPSLYMDRNTEPFPSKEIRQMPDAFQIFTGSPWVILSRNFAEHCVHGWDNLPRKLLMYFANTAYSMESYFQTLICNSSDFRNTTANGDLRYFVWDNPPGLDPLVLNESHFGNMVNSGAAFARRFEEDTQVLKKLDDELLNRSPVQLVPGVWCPNLGKEQNGTDADSCSKWGDINTVRPGRGGERLRQFISEISQTRGCS >PAN37495 pep chromosome:PHallii_v3.1:7:30868342:30870162:1 gene:PAHAL_7G111000 transcript:PAN37495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAERPSMPPSSDVETAAGSGKPAAADAGAAFVLESKGTWWHAGFHMTTATVGPALLTLPYALRGLGWWLGVAALTALAAVTFHCYLLVSRVLDRCEAAGRRHIRFRELAADVLGSEWASYLVLVVQTAINVGISIGSILLAADCLQIIYLHVAPHGHLKLYHFVIVVAVVLALLSQMPSLHSLRYINFGSLIVSVSYTMLVSAACICAGLSSNAPPKDYSLSSSNSARTFNAFLSISILASVFGNSILPEIQATLAPPASGKMAKALVLCYSVLSLTFYFPAITGYWAFGNQVRSNVLKSLMPDTGPWLAPKWLLILAVVLVLLQLIAIALVYSQVVYEIIETKLADAARGRFSRWNLAPRVALRTAYVAGCALVAAMLPFFGEIIAVVGAVGYIPLDVVIPVVMYNMALAPRGGGRRSPAYLANVAIMVVFIGLGLIGAVASVRKLAINAGRFKLFSNGLS >PAN39278 pep chromosome:PHallii_v3.1:7:40381039:40382457:-1 gene:PAHAL_7G232600 transcript:PAN39278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTQDKCTACEKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFAPGGKSSDKSDLARAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTGASYAALNGVLYCKIHFAQLFMEKGSYSHMKKKSASQEVIPDVAAEEQPPEPAPPQEEKGEDN >PVH35729 pep chromosome:PHallii_v3.1:7:42035525:42036240:1 gene:PAHAL_7G257200 transcript:PVH35729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHGAPPQTTPRVPADEDGVAHPATRVRSTAASATGRASSTADRASTTESAFGPPKSEFWCRVYRMKKA >PVH35958 pep chromosome:PHallii_v3.1:7:46064629:46071381:-1 gene:PAHAL_7G320500 transcript:PVH35958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFRFSMLDPYMEPLRLPQGRLATKPARATFSAPGSSFGSLNSIWPFFNSSPFRAGGRNWHISYRPKGSHGGNSDFISFYLALDDVDDDPVMAYFTLSLLDQDKNPMPSYFPTSKMNNFSGRRIFGYEKFIEREILERSEHLKYDSFTLRVQIHVVKETPSVLVPPSDIQRHLGSLLMSMEGADVEFLVGGETFAAHRLVLAARSPIFNAELYGPMKEGTVTNTIEIDDMDAQVFEAMLHFIYPDSWPEMEQEDESAMTQHLLVAADRYCTQRLKLICEARLHDHIDAGSVSIILALADKHNCSGLKKECFNFLRSSTSPLVVMEAEECEYLTQSCPTVMEELNTIFLERNLEKAKISEEGIEDNAHLTIKD >PAN37333 pep chromosome:PHallii_v3.1:7:22092663:22093535:-1 gene:PAHAL_7G073300 transcript:PAN37333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPSFPRLLIDSLERLGITERPRYYSREYEHLGTRRCRVVLSIARSARHPDIELWRVTATGFQHRDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRHQEDLLYHVVAYLVSLDKLFDKQAQILREQTHRAEQAELAVRMHQIRVAQAEARTVAAISSEAVAHESLKQIQDQCMQEWTNSGMPVPAIGETQVLIGTPITGWGGLFRTLQASPEGAGRTAAAVEGGAIEQPQENGILEDDEDELLIPLEVHSAPEDDSPHE >PAN39193 pep chromosome:PHallii_v3.1:7:40077530:40081371:-1 gene:PAHAL_7G227100 transcript:PAN39193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAVTHHPAVLLVPFPAQGHVTPMLQLARALAAHGVAATVAVPDFIHRRISGGGCAGAGVALASIPSGIEDGSGPDANPGFAAIVHAMEHHMPAHLERMLAGPPPSRRGPAVACVVVDVLASWAVPVAARCGVPAAGFWPAMLASYRVVAAIPELIERGLISESGTPISSSESTDDDAMIRGLKILPAQVELRAGELPWLVGDPATQRSRFAFWLQTLHRARGFRWVLVNSFPGEAGADDVVRRLARQGPQVLPVGPALLPGGLGGAAAERTKQQPPPCCGNNNDDDKNPSMWRADSTCISWLDAQRAGSVVYVSFGSWVGSIGPDKVRELALGLEATGRPFLWALKRDPSWRAGLPEGFADRAAAGGRGKVVDWAPQEDVLRHAAVGCYLTHCGWNSTLEAVRHGVRLLCYPVSGDQFINCAYITGPWGIGLRLAAGVTRGDVRDGIGRVMDEGGEGKRLREKVRALRERVVAAEARRAADRSVGSFVDEIRRESHPLLMQIHSVL >PAN37857 pep chromosome:PHallii_v3.1:7:32990688:32991155:1 gene:PAHAL_7G128300 transcript:PAN37857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLPCIKQKKRTKSIVHKCIKQQKVSCTKENWFHPTSVICCLGWNMQRDTFNGDPQPPRLVQTCIMPLANLSAAEASHCL >PAN38442 pep chromosome:PHallii_v3.1:7:36632871:36633639:1 gene:PAHAL_7G172300 transcript:PAN38442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDARAASVPALDAGAARPRSAAGGGAMPVDVPALWGDEGRMKRELVAWAKAVASMAVRGSTQR >PVH35986 pep chromosome:PHallii_v3.1:7:46568147:46570926:-1 gene:PAHAL_7G327800 transcript:PVH35986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKQHPHHGGHGRTAFHFQPAKNWMNDPNGPLYHNGMYHFFYQYNPHDPLFGAGKLSWGHSVSGDLVNWAFLGTALDPTSSFDVGGCWSGSTTAMPDGRLAILYTGRDANELQVQNVAFAKNPSDLLLREWDKPSFNPIIPQPADVTGNNFRDPTTAWLGRDGLWRFAIAAEVDGVGSTVIYRSADFVNWERNALPLHASSGIPCWECPDFFPVAEHGTEGLDTSASGAGVRHVLKLSKAADEDYYAVGRYDDEADTFVLVEDGEQADVRNWRRIDHGHLFAAKSFFDARRKRRVLWAWVDEMDSRSDDFAKGWTGIQSFPRALWLDTDGKQLVQWPVEEIETLRRKQVTLLGAVVGSGGLHEIAGIETLQADVEVVFEIPNLKEAEQLDPKWLQDPQKLCAEKGATVQGGVGPFGLIVMASGDMREQTTIFFRVFKHDDAYKVLMCTDLTRSSTKEGVHKPIYAGFVDMDVEKDKSISLRTLIDHSVIESFGGGGRTCITARVYPEHAATGSSHLYVFNNGLDAVKVSKLEAWELATASVNVEDDGLVALLPPLAVPILSDDAEWVHHQPSSCGVKHDFE >PAN37239 pep chromosome:PHallii_v3.1:7:21530070:21531872:1 gene:PAHAL_7G071700 transcript:PAN37239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMAEEKAVGAAGAADAAQQQQLAAGEAAAARVRPVETLLRAAPLGLCVAAMAVMLKDQQTNEYGTVAYSDLGGFKYLVYANGLCAAYSLVTAFYTAVPRPATVSRSWVVFLLDQVFTYLILAAGAAAAELLYLAYNGDKEVTWSEACGVFGSFCRQARTSVGITFGSVLCFILLSLISSYRLFSAYEAPPSSALGNKGVEIAAYPR >PAN39821 pep chromosome:PHallii_v3.1:7:42884536:42887293:1 gene:PAHAL_7G270800 transcript:PAN39821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGGGAGGSSPSGTRSRKLKVAVIHPDLGIGGAERLIVDAACQLAAHGHDVHVFTSHHDKNRCFEETVSGPFPVTVYGDFLPRHVFYRFHAVCAYLRCIFVALCVLLWWPSFDVILVDQVSVVIPLLKLKASSKIVFYCHFPDLLLAQHTTMLRRLYRKPIDMIEEATTGMANLILVNSKFTAATFARTFCCLHARGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFALLRSVASTLPGDALQDATLTVAGGYDKRLKENVDYLEELKRLAVAEGVSGQVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEVTGFLCDPSPTEFSKAMLKLVNDHDLAVRMGKQARDHVVQKFSTKTFGDLLNSYVLNVYHERME >PVH34896 pep chromosome:PHallii_v3.1:7:17457655:17458474:1 gene:PAHAL_7G057600 transcript:PVH34896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCTLFADDVVLLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVSHRISAGWLKWQQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHIQQLSVAEMRMLRWFCGHTRRDRVQNEEIRDRVRVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH34833 pep chromosome:PHallii_v3.1:7:11994791:11997549:1 gene:PAHAL_7G043500 transcript:PVH34833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERIAAMHIPTPPLLLALAALAIFLAPAAAAGENAAATGGSDDAVGTYLVVVCRANGPKEDGEKLREWHASLLASLLNTSAGTILEEARSPDGGGQLVYSYQHVVSGFAARLTTQQLDELRKLNWCVDAIPDVDYRLRTTFTPALLGVNTPKTGMWAAARSMGEGIIVAVLDNGIDPRHVSYADDGMPPPPAKWRGKCEFGGAPCNKKLIGGRSQTAGEHGTHTSSTAVGSFVSNVQMFRSNVGTASGMAPRAHLASYEVCFEDTCPSTKQLIAIEQGAFVDGVDVISISAGDDTQKPFYKDLTAVGSFSAVMSGVFVSTSAGNSGPDLGTVSNCAPWVLTVAASTMTRRVISTVKLSNGLVFQGEANRRYKALKATPIVYVPGVFEDGALKAVDVRGKIVFCDRSEGPTMRGEMVRAAGGVGIIMFNDETEGAATWAMGNMTIAAARVSQADGAKIMAYVKSTANPTASLYFTGVVLDPAFQPAIAQYSSRGPCNMSNLGVLKPDITGPGTNIIAAVPGGSAGAPNRTFDMLSGTSMSAPHLSGIAAVLKRARPGWSPSAIRSAMMTTADVTHPDGTPITDEITGKPATHLLMGSGMVNPTKALDPGLIYDLATNDYLRYICGLGYNDSFVNDIIAQPLRNASCATSVKIEGKDLNYPSFLVTLTPAAPVVEVKRTVTNIGEAVSVYTAEVVAPKTVAVEVVPPRLQFSTVNQKMDFTVRFRRVANPVNGTVEGSLRWVSGKYSVRSPIVVLDGTLKLV >PVH35882 pep chromosome:PHallii_v3.1:7:44782196:44783482:-1 gene:PAHAL_7G300100 transcript:PVH35882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPVAGFGELLSSFDPFADAERADEDDAPAAPRDGAPPPRAAAQRPQAADHGAGAQRGLQLRQDPPGPQAGPLLQRHRGGGRGAHQRHPAAGRPPQGRRRVPRQGRHGQQAQRQDPRRLAAASCFGRVSNLVVVGCSVW >PVH35818 pep chromosome:PHallii_v3.1:7:43940258:43940509:-1 gene:PAHAL_7G285800 transcript:PVH35818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLRGAECLFGAARGSPPNPYRLRWWRRPSFAEGKPPRENEDPTSKLSSDIGACM >PVH35970 pep chromosome:PHallii_v3.1:7:46389883:46394536:-1 gene:PAHAL_7G325000 transcript:PVH35970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLQAGDAKDWVYKGEGAANLILSYTGSSPSMHGKVLRIKKILKDKSLPAPSCMVFSSYEQLLWGHIPELLDSVKQDCLAQAYAVHVMSKHLGANHVDGGVSVGVSRDFLELVEKNVLDSRPAWRVNASSIDNTADAALLIADHSLFSGNPRGSSCIAVEIKAKCGFLPSSEYISKENYIKKQVTRYKMHQHLKFHQGQISRTSEYNPLDLFSGSKERICMAIKSFFSTPQNNFRIFVDGSLVFGGMGGGADNVLPAEKDKCLEDLSKLSGLELPDFIELLSEAIFKSGVLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKNITDVELLRKYTLLHSLSLDKSLKIVRDFLVSATSKDCSLMISFRPREGGTTDSVYDSVFLESVKQTYEYKAYFVDLDVKPLDKMEHYFALDQKIVNFYTRNQEVMPSPKVTNTKDAVGSRGGPNHPLEDASPIQLQQ >PVH35433 pep chromosome:PHallii_v3.1:7:37203566:37205186:1 gene:PAHAL_7G181900 transcript:PVH35433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCANSPQWEKPTVWAPASATISRGVRPLPAKSRTMARADMLVRGRFPSTSRASERRASRRPSATGNRGPPRMPTRSRAARARMSAQETTPGQAASSAALARSTASKASPGRERLISAARSGDASEESGETSTEASQPLTKQSWKKSRSVPAAVDGFARCLAAMTSDTIRSTRGQLWR >PAN40416 pep chromosome:PHallii_v3.1:7:45513483:45526793:1 gene:PAHAL_7G313500 transcript:PAN40416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAGRGKGRGGGGKGDKRKKEEKVVPSVVDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVARGQRLEDGVEIVALKPCALRIVEEEYATEEQAVAHVRRLLDIVACTTAFAKPRDGAAKHKSSKHGRPATPPSPPAPASTGANGGGSSGEGAPPISEAHDMAAIRPPPKLGEFYDFFSFAHLTPPIHFIRRKEANGASQEGDYFEIEVKVCNGKLLHVVASVKGFYLAGKPHNVSRSLVDLLQQLSNAFANAYEALMKAFVDHNKFGNLPYGFRANTWLIPPIYVDPATKCPALPVEDENWGGDGGGTGRNGKYDRRRWSKDFSILARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIRRLIKQSMNSTGAQSDMHGSNIFEERIGDMHITVKKDGADASLKLEDKVDGVAFCPTGAMDITQRNILKGLTSDENVVVKDSSMLGVVIAKHCGYTATVKVSERAKDSNDVKQTYETSDNFDGVLNIDVHDHPDGGSNCLNVNSLRIPLPRIINPETTVGNQYPNPKSHVSNPARKLACTVLEDSLRKLDSMPSKNSRIIRWELGSSWLQHLQKKDSPTSEDGKGNKIKADKEPAVKGLGKHFEQLRKIKKKECNIEGSGSEKEESNSNCSPMNGMPESDKIAVDETIKGADISKLMSEDAFFRLKSLGAGLHEKSLEELTEMAHNFYDDTALPKLVSDFASLELSPVDGRTMTDFMHTRGLNMSSLGRVVELAEKLPHIQSICIHEMVIRSFKHIIRAVIAAVDDMQNMSAAIAETLNILLGSPRLENGADTDPHIEHNLRLKWVESFLSKRFSWKLKDEFAHLRKFIILRGLCSKVGLELVARDYNMNSPNPFDKSDIISIVPVCKHVVYSSIDGRNLLESSKVALDKGKLDDAVSYGTKALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLSVSDLLDFISPDQERKERDMQRKCRRAKNNIRAQHGESVEEKENFQHDSGSPLEATKDGFQEQKLDAHPPVVLEENYAAHDEQKQSDVLSPEEYSDEGWQAASLRGRSANVRKKSSRRKPALTKLMVDRFEDGHTGSVYRSGFKPQTKGDKEDAASAPSQLSFGSFLKTDKLNGDTNIVEEKSCNTTAKPERHAKPTGINRPTSIASKFVSYKDVAVSPPGTVLKPILKEEANEKENRHDADLTLSSEEEDRKFTEKEKEKPNDDSSKDVLLSQPDGISHQETPPDSNCDDSPSELKKASGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASAVLQAIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHSFQRKQGYTHSQSTVVRGSYSPTTMNPHAAEFVPGKTVQQSDVADREPSPANPVTDSDHDVVSQITDEVKAETSTAEKAGQDEKVVSGKGKENRGKDVVRNSYKSELARQILLSFIVKSVHDSLGSTAAVPDRKPSGSDETSNEQSSNISKNASGRQDSDKQQKAVEVPKGLKDTEGFTVVSKRRRRPQPFMNPINGLYSQQSICTSVS >PVH35646 pep chromosome:PHallii_v3.1:7:40543962:40545107:-1 gene:PAHAL_7G234900 transcript:PVH35646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDRNKPLALPHPGRVVLYVLPLPVALLFLSLGFVLGMTTSTASFQNLYFPFVTPSPSANKPSPPTSSLPPMSSAMHNMTDEELFRWASTAPRLDGTPYHRVPKVAFMFLVRGDLPLRPLWERFFEGHEGLYSIYVHADPSYTGSPPRGSVFHGRTIPSQRTAWGGVTLVEAERRLLANALLDLGNERFALLSESCIPLYNFPTVRAVLTGSGGASFVESIATPARYRPLFAARSNVSVERWRKGSQWFEVDRALAAEAVADGTYFPTFRENCAGERFCVADEHYMPTLASVLGWGRRNANRTLTFADWDPKRRTGSHPRTHGAEELTEELIGRIRRGGTRGNCTFNDGASGVCFLFARKFAPDTLEPLLRLAPKAMGFG >PAN39145 pep chromosome:PHallii_v3.1:7:39829320:39829787:1 gene:PAHAL_7G224000 transcript:PAN39145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLPPQQVPQLTDLAAAIHRAAAAATALSTPSPSSHAAAAVAALRDAHAAIGSFLSRLDAVAALCCDDQPMAEGGQEPGEDGEGEHMVGEVEEGLRDCVLQGSKRRKRPVPPSWPLGRRTTGGCEAAEAAAAPVLDVEGRRRAAMDLLLQFHA >PVH35355 pep chromosome:PHallii_v3.1:7:35978486:35981168:1 gene:PAHAL_7G161100 transcript:PVH35355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRHGHARALKRRKPEWWAGPQTARDPAVRSGVGGGRPEGGSGRGASDQRTGGFGPRGAAGGVQALRPAYERARKRSLGGLARRVRVGGSPRRIPAI >PAN38143 pep chromosome:PHallii_v3.1:7:35137980:35140539:1 gene:PAHAL_7G150100 transcript:PAN38143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPKKNRNEICKYLFKEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFSGDKGGAPAEFQPSFRGSGGRPGFGRGGGSGFGAGPTSSSME >PAN39609 pep chromosome:PHallii_v3.1:7:41814360:41817022:-1 gene:PAHAL_7G255400 transcript:PAN39609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAYKKAKAGRLVFKGGEAASLHKPKKHKKKSKKPASDAPVDGDVEAAAAAAEGAEGGGGSGAGDDYTIDAAKRMKYEELFPVETKKFGYDPANAARAAARNRTVEEALDDRVRKKADRYCK >PAN39065 pep chromosome:PHallii_v3.1:7:39488488:39492360:-1 gene:PAHAL_7G218500 transcript:PAN39065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEAWRPLLAGAWLLWLLLPWASAVRRSDFPESFLFGTATSSYQIEGAYLEGSKSLSNWDVLTHLPGRIKDGSTGDIADDHYHRFEDDVELMHSLGTNAYRFSISWARILPKGRFGKVNPEGIEFYNELIDSLLLKGIEPFVTLTHYDIPQELEDRYGAWLSAEIRRDFGHLADVCFAAFGDRVKYWATFNEPNVAVRKGYMLGTYPPERCSPPYGSCARGDSGAEPYVATHNVVLAHATAVEIYKRKYQSKQKGLIGIVMSTTWYEPLTDAPEDRLATERALAFDVPWFLDPIVYGDYPPEMRQLLGSRLPTFSPEERRKLGYKLDFIGVNHYTTLYAKDCMFSPGCPLGQETRHALAAVTGERNGRPIGPPTAMPTFYVVPEGIEKIVTYIMTRYNNLPMFITENGYAQGGDGYANVDDWLDDQGRIQYLDGYLTKLAKVIRDGADVRGYFVWSLIDNFEWLYGYTLRFGLHYVDYQTQERKPKSSALWYKRFLQSLHEAQ >PVH35838 pep chromosome:PHallii_v3.1:7:44147849:44150895:-1 gene:PAHAL_7G289900 transcript:PVH35838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEHSLSLSSRCIPFFHGIVSPVPAPLFFFIPARGRLGVAVPALLTRSAPSLSGGGAACGPLRRRPPSLPAPRRQLLPLLPGGRPLLCGRLRRRQLLLLLPGRLEARGGKSPASSLSGPKLVPARPHCCTSSGDSGMAGYSASSSLRIRDPTQAPELHVGAVYWPSVARRAHPGILSAFSCTACGLWIWEGVLNVYAGEVVEYLQLEGRKSKDVPKLNCGCGRPFASLGTQTPNQSRWLHCKVHGQSTQEQELRLVYTLYCIKNFDGGDKIHTTDRVTTAEGPSSSTVANKCSNNSFENVSN >PVH34778 pep chromosome:PHallii_v3.1:7:6245052:6245931:1 gene:PAHAL_7G028000 transcript:PVH34778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARHGEGYDWRNAPIDPEAVYSSGGKPHGRYPLFEKVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLRERVRQQELQQQWFQAQLAQQNAILQQIASQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVHPTSNWADQFIGSGGSVQPGDGDDQT >PVH35488 pep chromosome:PHallii_v3.1:7:38143646:38144046:-1 gene:PAHAL_7G197700 transcript:PVH35488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQARHGHHCPDLRMALPAVELTQGLDHSEATQPKLQPDFRGSHAKHCQKKPNKIITHEDS >PVH35568 pep chromosome:PHallii_v3.1:7:39253812:39257293:-1 gene:PAHAL_7G214900 transcript:PVH35568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATEDPRLLGSAAGVEGAGKIDDAVGEQGRPRGDNDEEILRFMDSVDGYLVLMDSLSAALRQGWLDMASARHSMGPSRVSSTLFDHKEQSAATKLQVADHAGLKPSESKPHFALSKWSLQEECNSTYDVSEQASTEPKLRHRGSATTPEDGNHERDATTADSSAGADTSSHVNVQRARSKALSVFGALVSPKLRTAQISFETALELIVELANSRSNMLASFSQLKE >PVH35782 pep chromosome:PHallii_v3.1:7:43264888:43266136:-1 gene:PAHAL_7G276500 transcript:PVH35782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWSSDFHTFTDLLQSDGSQSSPQDDPSLMHRRSDVNSSPQPRALFPPAAPPALGAPPPPYHYPYGPYSYPIPPYAPPPYAPPPGTRSGTEGPYPPPSYAPPPYAPPPYGLYPPPPHAAPMVPSSVSIESQNEGAGMTEPKRPKRLDWTIADEEKLVHAWVFHSNDSITGNNQTGSSFWGQIAKTFNSTAEPSRRRTAKNRSGADDAMVMEGAMARYENDPKVTTALKRHHWWRAVRHEPKWAAKHGPNSGSDVSGKRTRLGVSGEYSSGGTEDTEQDNETRPIGRDRAKAAKLKEKAKGKESSSSSAVGSKAFAMKNMWGGLVKAKLFKQWNIIKSRSTADMDEAEKRTHFKAIKMVEKELGLDEDSEED >PVH34098 pep chromosome:PHallii_v3.1:8:24706194:24707129:1 gene:PAHAL_8G142700 transcript:PVH34098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDHAGHLHTNALPWEGFPHLLWESRSLFFYTEPPQYDGPIEVDVVGYHLVDTIETAALEAIHLFCNQHPMEIVGYPIGLFPAIDSGDPEWNFRIGHYGHLLGDSAEETLRGLIRFMNAQHHYQILLRRSVGQLIGIAQGHYRNADRQVTQIVEVQALVTEKEEIITARKEAILHREDQINESDAIITQRNTIIEFLQEQIHDLILEVDDAHAHIKELQQQLVPPAVPVAPEGGEEDPEEIEGVSDLDSEHGDPEPNPQPDHSSSSSQSSVGNLDDF >PAN42489 pep chromosome:PHallii_v3.1:8:29037866:29044933:1 gene:PAHAL_8G157700 transcript:PAN42489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQMNLGEAVELGPYPERIGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPSEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSVTSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVQGWNPYTAQIGSSSSEDQQRTPGAAQYYTGSRQSGTPGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICNFYSRYGMCKFGPNCKFDHPIGTVMYGHASSPTSEVPTSRRMLAHVPSHPEVSPDNGSGRSRRITHSDSQQIPSGERSTEREAS >PVH34150 pep chromosome:PHallii_v3.1:8:29035513:29044932:1 gene:PAHAL_8G157700 transcript:PVH34150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAGAGGGGGGAGEGSSSSAAAAAAAATIGAHGLDQVAEAMWQMNLGEAVELGPYPERIGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPSEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSVTSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVQGWNPYTAQIGSSSSEDQQRTPGAAQYYTGSRQSGTPGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICNFYSRYGMCKFGPNCKFDHPIGTVMYGHASSPTSEVPTSRRMLAHVPSHPEVSPDNGSGRSRRITHSDSQQIPSGERSTEREAS >PAN42488 pep chromosome:PHallii_v3.1:8:29037831:29044932:1 gene:PAHAL_8G157700 transcript:PAN42488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQMNLGEAVELGPYPERIGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPSEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSVTSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVQGWNPYTAQIGSSSSEDQQRTPGAAQYYTGSRQSGTPGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICNFYSRYGMCKFGPNCKFDHPIGTVMYGHASSPTSEVPTSRRMLAHVPSHPEVSPDNGSGRSRRITHSDSQQIPSGERSTEREAS >PVH34152 pep chromosome:PHallii_v3.1:8:29037831:29044932:1 gene:PAHAL_8G157700 transcript:PVH34152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQMNLGEAVELGPYPERIGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPSEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSVTSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVQGWNPYTIGSSSSEDQQRTPGAAQYYTGSRQSGTPGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICNFYSRYGMCKFGPNCKFDHPIGTVMYGHASSPTSEVPTSRRMLAHVPSHPEVSPDNGSGRSRRITHSDSQQIPSGERSTEREAS >PAN42490 pep chromosome:PHallii_v3.1:8:29035064:29044932:1 gene:PAHAL_8G157700 transcript:PAN42490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAGAGGGGGGAGEGSSSSAAAAAAAATIGAHGLDQEAMWQMNLGEAVELGPYPERIGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPSEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSVTSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVQGWNPYTAQIGSSSSEDQQRTPGAAQYYTGSRQSGTPGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICNFYSRYGMCKFGPNCKFDHPIGTVMYGHASSPTSEVPTSRRMLAHVPSHPEVSPDNGSGRSRRITHSDSQQIPSGERSTEREAS >PVH34153 pep chromosome:PHallii_v3.1:8:29037866:29044933:1 gene:PAHAL_8G157700 transcript:PVH34153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQMNLGEAVELGPYPERIGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPSEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSVTSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVQGWNPYTIGSSSSEDQQRTPGAAQYYTGSRQSGTPGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICNFYSRYGMCKFGPNCKFDHPIGTVMYGHASSPTSEVPTSRRMLAHVPSHPEVSPDNGSGRSRRITHSDSQQIPSGERSTEREAS >PVH34151 pep chromosome:PHallii_v3.1:8:29035513:29044932:1 gene:PAHAL_8G157700 transcript:PVH34151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAGAGGGGGGAGEGSSSSAAAAAAAATIGAHGLDQVAEAMWQMNLGEAVELGPYPERIGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPSEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSVTSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVQGWNPYTIGSSSSEDQQRTPGAAQYYTGSRQSGTPGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICNFYSRYGMCKFGPNCKFDHPIGTVMYGHASSPTSEVPTSRRMLAHVPSHPEVSPDNGSGRSRRITHSDSQQIPSGERSTEREAS >PVH34149 pep chromosome:PHallii_v3.1:8:29035064:29044932:1 gene:PAHAL_8G157700 transcript:PVH34149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAGAGGGGGGAGEGSSSSAAAAAAAATIGAHGLDQEAMWQMNLGEAVELGPYPERIGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPSEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSVTSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVQGWNPYTIGSSSSEDQQRTPGAAQYYTGSRQSGTPGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICNFYSRYGMCKFGPNCKFDHPIGTVMYGHASSPTSEVPTSRRMLAHVPSHPEVSPDNGSGRSRRITHSDSQQIPSGERSTEREAS >PVH33807 pep chromosome:PHallii_v3.1:8:5765965:5770260:1 gene:PAHAL_8G068600 transcript:PVH33807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQYWPSIYRQSSFGKLLVFHPRQGSHRVYIPLLDQFLPVQHHRQIFRLQQWESDQLVEASYIRELGDDLSIIHLKFGDASSARRRRDLVVYQRRQAMDDGTLVVAVASLPKEIAAGLLPPAAAKGGGSNPVVGRGLLLQSGWVLERLEGADDSCGAGGASCVVTYVVQLDPAAGWLPRCLVGRLNSKLVMIIAKLRRIAQDTVAAAAAAAAGDM >PAN41745 pep chromosome:PHallii_v3.1:8:5763456:5770259:1 gene:PAHAL_8G068600 transcript:PAN41745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIFPSHSVVGVSASQAQEEEGGGGGFRSPESRASMSSGGSLTSPSAPVCSRSWSISEDSLRRYVSYASESCIQELLAASDSGRGGDDGWKVLVYQNGVEISKRRAGPAHVFRSRWLLQDVSPEQFMAVANAVDAAKQWESDQLVEASYIRELGDDLSIIHLKFGDASSARRRRDLVVYQRRQAMDDGTLVVAVASLPKEIAAGLLPPAAAKGGGSNPVVGRGLLLQSGWVLERLEGADDSCGAGGASCVVTYVVQLDPAAGWLPRCLVGRLNSKLVMIIAKLRRIAQDTVAAAAAAAAGDM >PAN42994 pep chromosome:PHallii_v3.1:8:38803340:38806666:1 gene:PAHAL_8G203200 transcript:PAN42994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TaWIN1 [Source: Projected from Oryza sativa (Os11g0546900)] MSPSEPTREESVYMAKLAEQAERYEEMVEFMERVARSAGGAGGGEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEAHAASIRAYRSKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAAAPKESGEGQ >PVH34028 pep chromosome:PHallii_v3.1:8:17113612:17114443:1 gene:PAHAL_8G120600 transcript:PVH34028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTKNGDDVAVKRLLINSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHDLEEPIYHLDLKPDNILLDKDMAKDCRFWFVQDHS >PAN42075 pep chromosome:PHallii_v3.1:8:17795599:17799632:1 gene:PAHAL_8G124200 transcript:PAN42075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGLVDWRGRPVNTKKHGGVRASIFIHALVLLSNAANIANILNLVSYLRNEMHMDVARASTMASNFFAALQMFSIPAAFLADSYIKRFYTILIFGPIEILGYILLAVQAHVPSLHPPPCNPGQQTTTCESLHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFNKSDPVEQRQEASFFNWYTFAVSTGGFVGLVFVVWVENSKGWDIGFVVCALCVLLGMLIWIAGFPFYRNQLPTGSPITRILQVLVAAFKKRRVKLPENPSDLKQINHDEASAIGILHRTQGFHCLDKAAVDTGKTGAWSSCSITQQGNTMDTRLGSIHISPATLFVIPTVFQMVILVVYDRFIVPFLRRITGYVGGVTHLQRIAIGFLSATVATGIAALVEIKRKKVAEDNGLMDATSGVPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYVFFARRYVYRNDQKVVVDEDNKIPSERAINAI >PAN42073 pep chromosome:PHallii_v3.1:8:17795599:17799632:1 gene:PAHAL_8G124200 transcript:PAN42073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYILLAVQAHVPSLHPPPCNPGQQTTTCESLHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFNKSDPVEQRQEASFFNWYTFAVSTGGFVGLVFVVWVENSKGWDIGFVVCALCVLLGMLIWIAGFPFYRNQLPTGSPITRILQVLVAAFKKRRVKLPENPSDLKQINHDEASAIGILHRTQGFHCLDKAAVDTGKTGAWSSCSITQVEETKIILRMVPIFLSAILGYIPVPLILNFTVQQGNTMDTRLGSIHISPATLFVIPTVFQMVILVVYDRFIVPFLRRITGYVGGVTHLQRIAIGFLSATVATGIAALVEIKRKKVAEDNGLMDATSGVPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYVFFARRYVYRNDQKVVVDEDNKIPSERAINAI >PVH34046 pep chromosome:PHallii_v3.1:8:17796586:17799387:1 gene:PAHAL_8G124200 transcript:PVH34046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDVARASTMASNFFAALQMFSIPAAFLADSYIKRFYTILIFGPIEILGYILLAVQAHVPSLHPPPCNPGQQTTTCESLHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFNKSDPVEQRQEASFFNWYTFAVSTGGFVGLVFVVWVENSKGWDIGFVVCALCVLLGMLIWIAGFPFYRNQLPTGSPITRILQVLVAAFKKRRVKLPENPSDLKQINHDEASAIGILHRTQGFHCLDKAAVDTGKTGAWSSCSITQQGNTMDTRLGSIHISPATLFVIPTVFQMVILVVYDRFIVPFLRRITGYVGGVTHLQRIAIGFLSATVATGIAALVEIKRKKVAEDNGLMDATSGVPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYVFFARRYVYRNDQKVVVDEDNKIPSERAINAI >PAN42072 pep chromosome:PHallii_v3.1:8:17795599:17799632:1 gene:PAHAL_8G124200 transcript:PAN42072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYILLAVQAHVPSLHPPPCNPGQQTTTCESLHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFNKSDPVEQRQEASFFNWYTFAVSTGGFVGLVFVVWVENSKGWDIGFVVCALCVLLGMLIWIAGFPFYRNQLPTGSPITRILQVLVAAFKKRRVKLPENPSDLKQINHDEASAIGILHRTQGFHCLDKAAVDTGKTGAWSSCSITQQGNTMDTRLGSIHISPATLFVIPTVFQMVILVVYDRFIVPFLRRITGYVGGVTHLQRIAIGFLSATVATGIAALVEIKRKKVAEDNGLMDATSGVPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYVFFARRYVYRNDQKVVVDEDNKIPSERAINAI >PVH34047 pep chromosome:PHallii_v3.1:8:17795599:17799632:1 gene:PAHAL_8G124200 transcript:PVH34047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDVARASTMASNFFAALQMFSIPAAFLADSYIKRFYTILIFGPIEILGYILLAVQAHVPSLHPPPCNPGQQTTTCESLHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFNKSDPVEQRQEASFFNWYTFAVSTGGFVGLVFVVWVENSKGWDIGFVVCALCVLLGMLIWIAGFPFYRNQLPTGSPITRILQVLVAAFKKRRVKLPENPSDLKQINHDEASAIGILHRTQGFHCLDKAAVDTGKTGAWSSCSITQGNTMDTRLGSIHISPATLFVIPTVFQMVILVVYDRFIVPFLRRITGYVGGVTHLQRIAIGFLSATVATGIAALVEIKRKKVAEDNGLMDATSGVPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYVFFARRYVYRNDQKVVVDEDNKIPSERAINAI >PAN42076 pep chromosome:PHallii_v3.1:8:17795599:17799632:1 gene:PAHAL_8G124200 transcript:PAN42076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGLVDWRGRPVNTKKHGGVRASIFIHALVLLSNAANIANILNLVSYLRNEMHMDVARASTMASNFFAALQMFSIPAAFLADSYIKRFYTILIFGPIEILGYILLAVQAHVPSLHPPPCNPGQQTTTCESLHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFNKSDPVEQRQEASFFNWYTFAVSTGGFVGLVFVVWVENSKGWDIGFVVCALCVLLGMLIWIAGFPFYRNQLPTGSPITRILQVLVAAFKKRRVKLPENPSDLKQINHDEASAIGILHRTQGFHCLDKAAVDTGKTGAWSSCSITQVEETKIILRMVPIFLSAILGYIPVPLILNFTVQQGNTMDTRLGSIHISPATLFVIPTVFQMVILVVYDRFIVPFLRRITGYVGGVTHLQRIAIGFLSATVATGIAALVEIKRKKVAEDNGLMDATSGVPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYVFFARRYVYRNDQKVVVDEDNKIPSERAINAI >PAN42074 pep chromosome:PHallii_v3.1:8:17795599:17799633:1 gene:PAHAL_8G124200 transcript:PAN42074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGLVDWRGRPVNTKKHGGVRASIFIHALVLLSNAANIANILNLVSYLRNEMHMDVARASTMASNFFAALQMFSIPAAFLADSYIKRFYTILIFGPIEILGYILLAVQAHVPSLHPPPCNPGQQTTTCESLHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFNKSDPVEQRQEASFFNWYTFAVSTGGFVGLVFVVWVENSKGWDIGFVVCALCVLLGMLIWIAGFPFYRNQLPTGSPITRILQVLVAAFKKRRVKLPENPSDLKQINHDEASAIGILHRTQGFHCLDKAAVDTGKTGAWSSCSITQGNTMDTRLGSIHISPATLFVIPTVFQMVILVVYDRFIVPFLRRITGYVGGVTHLQRIAIGFLSATVATGIAALVEIKRKKVAEDNGLMDATSGVPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYVFFARRYVYRNDQKVVVDEDNKIPSERAINAI >PAN43605 pep chromosome:PHallii_v3.1:8:43306525:43308684:-1 gene:PAHAL_8G249300 transcript:PAN43605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGSREERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEECRRRWAEKGLHVTVSVCDVSVRADREKLMDAVTATFDGKLDILVNNAGQLFLKPAAECTAEDYEHVMATNLESSFHLSQLAHPLLLRASVAGGGSVVHISSIASYRGYPDLVLYCISKGGMNQLTRSLAAEWAQDKIRVNCIAPGLVTTDILKQVEREALEQEISRAPMQRSGEPEEVASVVSFLCMPAASYVTGQVIRVDGGRTISA >PAN41645 pep chromosome:PHallii_v3.1:8:4643724:4647417:-1 gene:PAHAL_8G058900 transcript:PAN41645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGRKKQQGSRGAAATPEKESGKGKEKAAREDGKERAKEKEVTGASKKAAKGKEPAKEKQKQKGKQKEAREDGKETAKAKQQQQEEAAAAKSAGQQFFKIFFPNQSGERLKIPASFHRHLKEQPTGLVSLKGPSGNTWQAVLTSDSEGLCFGQGWKEFVTDHSVKQGHFLVFTYDGLSKFSVTVFGSLGVVDPPALVAKPTNDVVIKIEDDEEVQGDMDAGGTSDTSILPPEEGNGITRKRTRGVNDLLEGGNASKRHSSVAKNAEKKRPEAIPRTSKDASTVNNTEKGTPFSLLDESMAFNKTQIREKNMPKLGKFIVRRARQPVVISQRRPVTQEEKDLALRRAKEFKSKNPFAVQTMMESYVYVGFFMNITCEFVRESLPRTSKKMTLWDPLGKPWEVNYVYYSDRSVASFSGGWGKFALGNNLEKFDVCVFELFKEDNIKVHIYRVVPEITPLLRASSKD >PAN41646 pep chromosome:PHallii_v3.1:8:4643125:4647723:-1 gene:PAHAL_8G058900 transcript:PAN41646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGRKKQQGSRGAAATPEKESGKGKEKAAREDGKERAKEKEVTGASKKAAKGKEPAKEKQKQKGKQKEAREDGKETAKAKQQQQEEAAAAKSAGQQFFKIFFPNQSGERLKIPASFHRHLKEQPTGLVSLKGPSGNTWQAVLTSDSEGLCFGQGWKEFVTDHSVKQGHFLVFTYDGLSKFSVTVFGSLGVVDPPALVAKPTNDVVIKIEDDEEVQGDMDAGGTSDTSILPPEEGNGITRKRTRGVNDLLEGGNASKRHSSVAKNAEKKRPEAIPRTSKDASTVNNTEKGTPFSLLDESMAFNKTQIREKNMPKLGKFIVRRARQPVVISQRRPVTQEEKDLALRRAKEFKSKNPFAVQTMMESYVYVGFFMNITCEFVRESLPRTSKKMTLWDPLGKPWEVNYVYYSDRSVASFSGGWGKFALGNNLEKFDVCVFELFKEDNIKVHIYRVVPEITPLLRASSKD >PVH34426 pep chromosome:PHallii_v3.1:8:40295559:40301436:-1 gene:PAHAL_8G217100 transcript:PVH34426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLWCHDIGREHAANRPLLRTVFQVLMRLFSPRKTTLLLVIRDKTKTPLEYLTQALKDDIQKIWDSVRKPEAYKEAALNEFFNVEVTALSSYEEKEELFKEQVGQLRQRFYHSIAPGGLAADRRGVVPASGFCLSALQIWKVIRENKDLNLPAHKVMVATVRCEEIANEKLTCFLSDKGWLELEAAAKSGPVPSFGTRLGAILDSYLSEYDMETLYFDEGVRTAKRKQLESSMLDHTYPALETVIEHLHLVALNKFRSDLEQTLRSREGFAASVHQCVQASMAEFDAGLRDAAVKHVEWDASKVRNKLQEHMQAHVESIRNTKLAELKASYEKNLSDALAGPVQSILETGERDSWACIRILYRRETENAALAFSASLSEFGLDQTVSSKMISDLREHARSVVEMKAREEAGNVLMRMKERFFTVLSRDRNSMPRTWTGDEDIRAITREARLAALRLMSVMAAIRLDDKPDKIDRALITALLDSGPFSQKRSVEFTYDPLASSTWEEVPPKDTLITPVQCKSIWRQFKAETEYAVAQAMSMQETHRRSKNWLPPAWTILLLAILGYNEFVFLLRNPLYLLGFFVAFVLSYAIWLQYDITAYFRHGTLSALLTISSRLLPTIMDIMTAIVNMSHSHKKHSPGRSSRPPQVHTHSFRNQMWRQAQVQYHQSPDSPSTSSSVDSNGGDEHES >PVH34427 pep chromosome:PHallii_v3.1:8:40295559:40302890:-1 gene:PAHAL_8G217100 transcript:PVH34427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGGGECHAAQVVGVSGEMDAAAMERFAAAAGLPGRGLSYAVVSILGPQGSGKSTLLNHLFGTNFREMDALRGRHQTTKGIWIAKAVGIEPFTVVLDLEGTDGRERGQDDTAFEKQSALFALAVSDIVMINLWCHDIGREHAANRPLLRTVFQVLMRLFSPRKTTLLLVIRDKTKTPLEYLTQALKDDIQKIWDSVRKPEAYKEAALNEFFNVEVTALSSYEEKEELFKEQVGQLRQRFYHSIAPGGLAADRRGVVPASGFCLSALQIWKVIRENKDLNLPAHKVMVATVRCEEIANEKLTCFLSDKGWLELEAAAKSGPVPSFGTRLGAILDSYLSEYDMETLYFDEGVRTAKRKQLESSMLDHTYPALETVIEHLHLVALNKFRSDLEQTLRSREGFAASVHQCVQASMAEFDAGLRDAAVKHVEWDASKVRNKLQEHMQAHVESIRNTKLAELKASYEKNLSDALAGPVQSILETGERDSWACIRILYRRETENAALAFSASLSEFGLDQTVSSKMISDLREHARSVVEMKAREEAGNVLMRMKERFFTVLSRDRNSMPRTWTGDEDIRAITREARLAALRLMSVMAAIRLDDKPDKIDRALITALLDSGPFSQKRSVEFTYDPLASSTWEEVPPKDTLITPVQCKSIWRQFKAETEYAVAQAMSMQETHRRSKNWLPPAWTILLLAILGYNEFVFLLRNPLYLLGFFVAFVLSYAIWLQYDITAYFRHGTLSALLTISSRLLPTIMDIMTAIVNMSHSHKKHSPGRSSRPPQVHTHSFRNQMWRQAQVQYHQSPDSPSTSSSVDSNGGDEHES >PAN43542 pep chromosome:PHallii_v3.1:8:42800265:42807047:1 gene:PAHAL_8G242900 transcript:PAN43542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKDIGLSPRTKAIAAHLVVPFSHLSTKAPAPCGEPVTDAAAAAAETPVRILTVGWCSSARRRGQTRRGEARRSGRASAMASLGRLKSAIFDREERKMQYQSHIRGLNAYERHKKFMKDYVQFYGHDKNMDNSLPIKTDKDTLREGYRFILSEDDDMDSTWEKRLVKRYYDKLFKEYCIADMTQYKKGKIGLRWRTEKEVISGKGQFICGNRHCDEKQGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKRQREKEKEKEKELPGEKEIELKDRDKRKREHEESDNTSDDEAEKDRRKKKDRKGASSRSSGNNDEGFEEFLEGMFP >PAN43541 pep chromosome:PHallii_v3.1:8:42800265:42807047:1 gene:PAHAL_8G242900 transcript:PAN43541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKDIGLSPRTKAIAAHLVVPFSHLSTKAPAPCGEPVTDAAAAAAETPVRILTVGWCSSARRRGQTRRGEARRSGRASAMASLGRLKSAIFDREERKMQYQSHIRGLNAYERHKKFMKDYVQFYGHDKNMDNSLPIKTDKDTLREGYRFILSEDDDMDSTWEKRLVKRYYDKLFKEYCIADMTQYKKGKIGLRWRTEKEVISGKGQFICGNRHCDEKQGLGSYEVNFSYVEAGEQKQALVKLVACKRKACL >PAN43457 pep chromosome:PHallii_v3.1:8:42234740:42237420:-1 gene:PAHAL_8G236900 transcript:PAN43457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREARIGDGGELNCFGAVVNDDTLHELRLPVTRENRAQVAWSRMYIDDKKGQASKYVANLKDMYGTGVSTWCLLYNATGDSLRYSNSHSWLGGSIYNPGYPEEIGNGQWAAFLHVHGEVMVGSLGAVVYRGKNRHGQDQDFLLAWSTPWNPIYTNKAYCEFGPVNIYEERWDEMANRLDQSGYVSHFTVDGVEVHAETERGGSPMFTATIKLS >PVH33546 pep chromosome:PHallii_v3.1:8:1440030:1442797:1 gene:PAHAL_8G020900 transcript:PVH33546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCCAKGAGKGADDADADDPAAAPKAEDHGGQRASSAAPGTKPSSPSGGRASTSKPAAPMGGVLGRPMEDVRTTYSMGKELGRGQFGVTHLCTHRATGEKLACKTIAKRKLVAKEDIDDVRREVQIMHHLCGQPNVVGLKGAYEDKHNVHLVMELCAGGELFDRIIAKGQYTERAAAELLRTIVQIVHSCHSMGVMHRDIKPENFLLLSKDEDAPLKATDFGLSVFFKEGEVLRDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASEPWPHISPGAKDLVRKMLNINPKDRLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLDELKNGLAKHGTKLSDGEIQQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEHALKEQGLYDADKIKEVISDADSDNDGRIDYSEFVAMMRRGTAGADPLNNKKRRDVVL >PAN41469 pep chromosome:PHallii_v3.1:8:3459834:3463195:1 gene:PAHAL_8G046100 transcript:PAN41469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAAIGQFLLLIMACSAVTCSSADANETDLLSLLDFKRSITLDPQQALASWNHSTHFCSWEGILCTTTSPRRVASIRLERRGLVGQISPSLGNLTFLRNLSLATNRFTGGIPPSLGHLQQLQYLFLSNNTLQGTIPSFANCSSLRVLWLHRNGLVGPFPTELPPGLRQLQISVNDLAGTIPASLSNITMLDAISCADNHFEGSVPDEFAKLQNLQQLYLGANQLSGRFPRAIMNLSALTDLSLALNQFSGEVPPDLGSTLRNLLLLELPVNFFQDQIPPSLTNASNLYYIEISRNSFSGVVPSKIGRLNKLEMLNLEYNQLQAESKQDWEFLDSLANCTELIDFSMTGNLLKGQLPASVGNLTNQLQYLYFAENQLSGEFPPGIANLHNLYTVSLAVNQFSGVLPERLGSLKNLQKISLGSNFFSGTIPSSFTNLTQLAELNLESNRLVGKIPPSFGNLPILKVLNISNNNLYGSIPKELFRIPTIVQISLYVNNLDGMIHPDIGDARQLTDLQLSSNNLSGEIPTTLGNCESLEGIEFDHNAFSGSIPVSLGNIRTLKVLNLSHNNLTGSIPASIGNLQLLEQLDLSFNKLKGEVPTKGIFNNRTAMRIDGNQGLCGGPPELHLSTCPGTPLDSDKHKLSMILKVVIPVAIAVILAVVISVLLLFQRRKQTARSISLPSFGREIPKLSYNDLFRATEGFATTNLIGQGRYGSVYQGKLFQDGNVVAIKVFSLETRGAQKSFIAECDALRNVRHRNLVPILTACSTMDSNGNDFKALVYEFMPRGDLHNLLYSTRDREGSSYLNYVSLDQRLSILVDVSDALAYLHHGHQGTIVHCDVKPSNILLDHDMVAHVGDLGLARFKSSTATSSTVDSYSTSSIAIKGTIGYVAPECAGGGQVSTASDVYSFGVVLLEMFIRRRPTDDMFKDGMSIAKFVEINFPENVLQIVDPQLLEELDLSKETPAAIREGGAQVLQSVLSIGLCCTKASPSERISMQEAAAKLHGIRDAYLRGN >PVH33483 pep chromosome:PHallii_v3.1:8:781262:785933:-1 gene:PAHAL_8G010700 transcript:PVH33483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITQWVSSQDVPPDLTIRIADSIFPLHKAVMVPRCGYIRRAVASATKDPSSAVELDLSALPGGADAFEKAARYCYGANFEITARNAAALRCAAAFLDMQHPADLARRVEEFLAQAGLRALPSAVTVLRSCEALLPAADELGVVRRASDAVALRICNEALFPTRTPPGWWTAELAALSPASFQKVVTALRCRRADPRVLANAASAYAELALAAVLADPRDREDQRELLESVVDVLPSAADAPIPAAFLCRLLHAAVTTEASAKTCRDLELRVAAVLDQATAGDLLGVALDGAGERVRNTDTVRRVIAAFVERQEASSESRRSRRASLSGGAEPDPGAMEKVARTVDELAAELATEESLAISKFVGVAGAVPKEARASHDCVYRAVDIYLKTHPSLDEIEREKVCSVMDPLKLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSGADGGWDTQTAAGKARAQARADASLARENEALRSELARMRAFVSGMQPSKGSGSSRSAPAGKKASFLGSVSRTLSRLNPFKGGWAKDTASIADGGGRHSRDTMPHVVKPKRRRFSIS >PVH34544 pep chromosome:PHallii_v3.1:8:42867834:42868719:-1 gene:PAHAL_8G244000 transcript:PVH34544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSYIETYIRGHHGADPTQPELLCSENAIQTLVRYGDEMVACHQEEYDWRRSDVDVGALYSNGGGKKHDRFSMLNDITDTSGALSEARSSQSSRSSQGYETESRLQEEIRQHREGMQRQEEWARQQHEYMQDFFAQQRQLQEMLAATLGSQFNLPPLPSPPPPPPTFVPYMHLSSLQVGSTSSHPQGVSGSPSTPPSTARNIYEGDGGSGHNITPY >PAN43395 pep chromosome:PHallii_v3.1:8:43490309:43494041:-1 gene:PAHAL_8G251200 transcript:PAN43395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAAAAGLRELVMDKCLGVTDVGLAKVAVGCPGLRRLSVKWCREISDIGIELLAKKCPDLRSIDISYLKVSNESLRSLSTLKKLEDIAMVGCLFIDDDGLQMLSAGNSLQSIDVSRCHHVTSQGLALLIDSQRFIQKINAGHSLHEIETCFLSKLSTIGETLTVLRLDGLEIFASNLQAIADSCKNLAEIGLSKCNGVTDDGIASLVVNCSYLRTIDVTCCHLLTNDALAAIAENCRMVECLRLESCPFINEKGLERIGTLCSNLKEIDLTDCRINDEALQHLTSCSELQILKLGLCSSISDKGLVYIGSNCAKLVELDLYRCSAITDEGLAALVRGCKKIRMLNLRYCTQITDAGLKHLSTLEELTNLEMRCLVRITGIGITSIAVGCPSLIELDLKRCYSVDDAGLWALSRYSQNLRQLTISYCQVTGLGLCHLLGTLRCLQDVKMVHLSWVSIEGFEIALRASCGRLKKLKLLGGLRSVLSPELLQMLQACGCRVRWLDKPLVYKG >PAN41178 pep chromosome:PHallii_v3.1:8:1151906:1154403:1 gene:PAHAL_8G016500 transcript:PAN41178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPWRQRHHTLLQALLSRGPLAEPDFHAVFAAASDRDPATHQQLFSDTLLKINKDLAYLQFELRACINQYDGMVYYGVVNNIADEESKLGTKYSVPQIAFYKGLLEAIVQEAGNDGSITSIDALNVRLDNQVIIVDGSQDSQSRLPTSVTNFSLTQKEKTLDELIRDRWLSYTSTGKIGLGTRSFLDLRSWFRGNDIPSCMVCNEACIKASSCPNDECNVRIHEYCQRKKFSQRKASRGCPGCGTEWPRQDGEAGGDDYVNEPGEDEAPSANHSSRKRRKQVKAELVEENNNAGPSTAVPRSRTLRSTKAEAVEAAQQASSAGASQATRTSKRRKK >PAN40982 pep chromosome:PHallii_v3.1:8:589732:591538:-1 gene:PAHAL_8G007200 transcript:PAN40982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAPFFLLLLLLLVARAPAQARDAAVFVDLHVIELPDHSPVYKEHVPVHLKSSSPLCSACENFASGAVTYLSEKQTQDKIMKLLHDACSQSFSLEQKCVELMDSYATLLFAKISEIKPEEFCKQYGLCRETALFSGVGSDSSCEFCHHLLDEIMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDVCALVQACPASQKTTISSFLDEGLVGDA >PVH33705 pep chromosome:PHallii_v3.1:8:3879006:3882049:1 gene:PAHAL_8G050700 transcript:PVH33705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAAAAAAAAAVAWAPGPSPSTSSSSSPSPFRVGVASTAVPASSAPRLVAASAPLGRRRRRQAVVQAVANPDPAIELPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELTQENIEKVLDEIRPYLAGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >PAN41528 pep chromosome:PHallii_v3.1:8:3879006:3882049:1 gene:PAHAL_8G050700 transcript:PAN41528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAAAAAAAAAVAWAPGPSPSTSSSSSPSPFRVGVASTAVPASSAPRLVAASAPLGRRRRRQVVQAVANPDPAIELPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELTQENIEKVLDEIRPYLAGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >PVH33957 pep chromosome:PHallii_v3.1:8:15240994:15242039:-1 gene:PAHAL_8G109300 transcript:PVH33957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSYSIRPRYKKYNKNGPGA >PAN40999 pep chromosome:PHallii_v3.1:8:661580:662425:1 gene:PAHAL_8G008400 transcript:PAN40999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSAVVAVVLVAALVAAETASAAVSCGEVTSAVAPCLGYAMGRSSSPSASCCSGVRSLSSRASSTADRQAACACLKSMTGRVGNMGNAASIPGKCGVNVGVPISPNVDCSKIN >PAN41311 pep chromosome:PHallii_v3.1:8:2499071:2504220:-1 gene:PAHAL_8G034700 transcript:PAN41311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDADAAVASAGGGAASAGGGGGGGGEAAGASSSPASASASAAAAGRWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWEVMRARAYAFDLVLTEVAMPSLSGIQLLARIVAADECKNIPVIMMSSQDSIGTVLKCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQANGSENNAASNHISANVANGSKAGENSDEESDAQSFGGKRETEIQSVEKLPDTRADEGAGPSRKSKLQNESYDGVNTKLHASKDSDDAPSGSEKNVRSKGLNGITSAKVAEQIMDNALRIADASSRRPSNLGKDLAMDQPTADGQCKSSVMERNALTESNLGEKSNGAAISHAKSCPSQFLETNLGKQHHLSGYKIQEFREKDIFNHSNSSAFSRYGNKRIEPSAEHQFFPSLCITRQEPVHDKGPVSQPSGVLPPHEHNTGESARQARIPLDSSTEGAAVLCSSSAREDAGASSSSHRQDSMSHPSYGFIPLPIPVGAATPYHYGAILQPVYYPQAPRMHCDSAGINKAAIQHASGQLNYHENRSIPSQVDEHKQSEENQQLHHSRQILRESGEPIDLARAHAEHVNQSASCSQDVRKGSGCTGSGETDINTNTMVALESGNESGVQNCYTNGLDSDRSRREAALMKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSATTVDAETDS >PVH33618 pep chromosome:PHallii_v3.1:8:2499071:2502652:-1 gene:PAHAL_8G034700 transcript:PVH33618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHWLAVAVMSSQDSIGTVLKCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQANGSENNAASNHISANVANGSKAGENSDEESDAQSFGGKRETEIQSVEKLPDTRADEGAGPSRKSKLQNESYDGVNTKLHASKDSDDAPSGSEKNVRSKGLNGITSAKVAEQIMDNALRIADASSRRPSNLGKDLAMDQPTADGQCKSSVMERNALTESNLGEKSNGAAISHAKSCPSQFLETNLGKQHHLSGYKIQEFREKDIFNHSNSSAFSRYGNKRIEPSAEHQFFPSLCITRQEPVHDKGPVSQPSGVLPPHEHNTGESARQARIPLDSSTEGAAVLCSSSAREDAGASSSSHRQDSMSHPSYGFIPLPIPVGAATPYHYGAILQPVYYPQAPRMHCDSAGINKAAIQHASGQLNYHENRSIPSQVDEHKQSEENQQLHHSRQILRESGEPIDLARAHAEHVNQSASCSQDVRKGSGCTGSGETDINTNTMVALESGNESGVQNCYTNGLDSDRSRREAALMKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSATTVDAETDS >PVH33611 pep chromosome:PHallii_v3.1:8:2290946:2292952:-1 gene:PAHAL_8G031800 transcript:PVH33611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDFQGSSTPSHSHSSPFSSFGRSLLSLRRDTPASASAAAAMPPPGVEPEMEEFHGHIAAHLADLRSAGGGEEEFMSIAWIRRLLEAFLLCQEEFRVLLAEARRRGALPAQAERLVAEFGERAVKALDVCNAARDGIDQARRWERLAGIAASVLLAPAEGEIHEGQLRRARKALADLSVLLIDDAAAAAGAGGGVASFLASHRNRSFGRARASPSRAASLASSSSSPSTHFRSLSWSVSRTWSAARQLQAIGAGLAAPRGSEASGLTAPAYAMGCLLHLAAWALVAAVPCPDRGGALQANHLPSAPPRAAFPWAPPLLALQERLAEEGKRKDRRNSCGLLREIHALEKCAQRLAEAIDAAPAPLTSEREAEVRDAAAELAAVCAAMKEGLDPLERQVREVFHRIVRSRMEGLDSPMLNAD >PVH33670 pep chromosome:PHallii_v3.1:8:3154068:3154703:1 gene:PAHAL_8G042800 transcript:PVH33670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFGIMHTMTSHSVTKLVLLCFSCFFSYLFLLPSFGCIAKKGLALEWLLISLP >PAN41704 pep chromosome:PHallii_v3.1:8:5298775:5299359:1 gene:PAHAL_8G064800 transcript:PAN41704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPHRVVAAMVVVIVSSTLLSTTNAEDVHCIVLRLKCNKRNCNKLELHCKNWYGEHHFESVHCKKTPPFIDQCCCEFKEHSPPPPTRHHPSPPSRHHPSRFISSYWPTLFLHCMHVMQLICVKQMQ >PAN41441 pep chromosome:PHallii_v3.1:8:3300133:3307933:-1 gene:PAHAL_8G044200 transcript:PAN41441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWKRKSSDKSPGESDSSGSASSHSEPYFDDQEVKPVSSISSPNHSHSPEVSSRIMTDDETQEAEPAKSLNEKLLLATILNDSSPQNGQSPQPEVCQSPQPEVSPNVRDGDFQDSVKSLNEKVSPKIRDDDVQDSIKSLNEKLSAALLTINVKEDLVKQHTRVAEEAVAGWEQAEAEVASLKQLLETASQKNTSLEDQISHLDDALKECVRQLRQAREEQDKKIRDAVAKKSKELESEKSELQNHIAELSKQLEATKVEATTVHAQRDLQEKLQIVEKENKDLKVELLTLSKDLKILARERDLSNQAAETASKLHLESVKKITRVEAECLKLRYLTRRTSLTNDSRPIANSACMESQSDSQSDSGERMLVADNEAKNCDSWASALMAELDQFKNGSSGTGNLVNNPVEIDLMDDFLEMEKLAALPEVDCVGSSFGAETDSDQVVTRDQSSKVETESLLSQVADLHEKVEKIEVEKRELEMALADARDHLGTSCDALMVANNKLIDLQMQLDLANESKHAALGQAEQLDGERKDLALQLESKSAQVAELQLMVASLEEKVNRKELELQLEVISAEAADLRKTVVSLEEKIDAERTLLMKHKANADTAEACKESLEAQLQSANTEIGKLKGIVQILESEVQKEKASHEELEKQVETMKVESERSLSSVCSKESLEAQLQVVNSEVAKLHRTVNELEHDATKEKAYSSDLQMQLEAVEGIRKVLESELESSHQETMKLREKVSSLEVRLKDQTSLLVEFTAKSEDAVSRRKSMEGQLEAANLELTKLRNKVSLLQGKVEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWHLANSNGDLKVKQEKELTGAAGKLAECQKTIANLGRQLKSLTDLDGVASDAENLEPRDTHLDFRDGDDDLLSADMADGLYEPGLPRRNGSCFSPIQLNPSSSPPSGSPVFSGSLASLSSYLSKTKK >PAN41304 pep chromosome:PHallii_v3.1:8:2441771:2447002:1 gene:PAHAL_8G033800 transcript:PAN41304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYYHGGAGTDIQASADGLQTLYLMNPGYASYGDAGASPPGATNMMLLNSAVSTMTPASFGHHQQSPSAAQQHFVGIPLQAPPSGYNLWTPATSGAADMSPPQAQTPGAAAGVSAVLSLSSREAPPVTVAAVGGADEGKYQLGATATPQGQMVMSSKYLKAAQELLDEVVSVSKGVEDAKAATKSLAAVKKKEDSEGVSCGDTDDGGGAKSGGAVPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSSSFEAAAGAGSARMYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAAVAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETRDQDGGGGGNDEGKSGGGSKSGDTNNGVDGVTQRADGGGSGAMSKAAARVAGGAAEGAPSAKGVGGVHGSTLLELARDQQTAHAGFYEGEDDDDDDVERRLKKARGDEPAPGPFHSHHVHDMAALHTQAAAAARQQHEEVSHRELLMKFMESGGAGAGARDHHHQDGGGYSLFAPGPYGQFGSEPFAFAGNSGVSLTLGLPHGAGGSAEQTASFLMGSSTAGDSGSHGGAGGYDMNMQSTKSFAAQLMRDFVA >PAN41303 pep chromosome:PHallii_v3.1:8:2441686:2447002:1 gene:PAHAL_8G033800 transcript:PAN41303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYYHGGAGTDIQASADGLQTLYLMNPGYASYGDAGASPPGATNMMLLNSAVSTMTPASFGHHQQSPSAAQQHFVGIPLQAPPSGYNLWTPATSGAADMSPPQAQTPGAAAGVSAVLSLSSREAPPVTVAAVGGADEGKYQLGATATPQGQMVMSSKYLKAAQELLDEVVSVSKGVEDAKAATKSLAAVKKKEDSEGVSCGDTDDGGGAKSGGAVPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSSSFEAAAGAGSARMYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAAVAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETRDQDGGGGGNDEGKSGGGSKSGDTNNGVDGVTQRADGGGSGAMSKAAARVAGGAAEGAPSAKGVGGVHGSTLLELARDQQTAHAGFYEGEDDDDDDVERRLKKARGDEPAPGPFHSHHVHDMAALHTQAAAAARQQHEEVSHRELLMKFMESGGAGAGARDHHHQDGGGYSLFAPGPYGQFGSEPFAFAGNSGVSLTLGLPHGAGGSAEQTASFLMGSSTAGDSGSHGGAGGYDMNMQSTKSFAAQLMRDFVA >PAN41415 pep chromosome:PHallii_v3.1:8:2441686:2447002:1 gene:PAHAL_8G033800 transcript:PAN41415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYYHGGAGTDIQASADGLQTLYLMNPGYASYGDAGASPPGATNMMLLNSAVSTMTPASFGHHQQSPSAAQQHFVGIPLQAPPSGYNLWTPATSGAADMSPPQAQTPGAAAGVSAVLSLSSREAPPVTVAAVGGADEGKYQLGATATPQGQMVMSSKYLKAAQELLDEVVSVSKGVEDAKAATKSLAAVKKKEDSEGVSCGDTDDGGGAKSGGAVPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSSSFEAAAGAGSARMYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAAVAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETRDQDGGGGGNDEGKSGGGSKSGDTNNGVDGVTQRADGGGSGAMSKAAARVAGGAAEGAPSAKGVGGVHGSTLLELARDQQTAHAGFYEGEDDDDDDVERRLKKARGDEPAPGPFHSHHVHDMAALHTQAAAAARQQHEEVSHRELLMKFMESGGAGAGARDHHHQDGGGYSLFAPGPYGQFGSEPFAFAGNSGVSLTLGLPHGAGGSAEQTASFLMGSSTAGDSGSHGGAGGYDMNMQSTKSFAAQLMRDFVA >PAN43795 pep chromosome:PHallii_v3.1:8:44440493:44446027:1 gene:PAHAL_8G264000 transcript:PAN43795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATGALGTLIPKLGQLLQDEYNLQKGAKKNIEFLSKELERIRAALCNVGEVPVEQLNELVRIWSRDVRELSYDMEDIVDTFMGRVQGPDTPSKKSAKRFIKKLGNIVTKAKTRHEIAKEIKDIKERVREVAERRDRYKVDAIKPVKTLVDPRITALYTKTTDLVGIDEAREELITRLTKGDGMSAQQQRVVSIVGFGGLGKTTLAKAVYDQLRVQFDCTAFVSVSQNPDLNKLLKNLLYELDKQKHAKIHSTMLEERHLIDLIREFLQNKRYLIVIDDIWDMKPWGILQCSLHDNGLKSIIITTTRILDVAEQIGGCYKQKPLTHESSKKLFYGRIFGSEGTCPGQYFEVSEKILKKCGGVPLAIITTSSLLANKSGNIKEWNDVCGSIGSGLARNPSMDGMRKILLLSYHDLPAHLKACLLYLSIFPEDYEIHKMRLIWKWIAEDFVHCEEESQSLFELGESYLNELLNRSLIQVASTNSDDTIWSCRVHDMVLELICSLSREESFVTTVLGDSRQSMPSSGSMVRRLSLQSTTWPTMEMSKLRSVTIFSPAIINTMPSLSCCHLLRVLDLEGCNLKEHPSLRFIGNLFHLRYLSLAVTGYAGELPVEIAKLQFLQTLRLDDTQIEELPSGIVGLTQLMCLSVAGRTSLPNGLLRYLPSLEMLGVVRVDSACIAGELGLLTQLRVLAVHLSIDKECRWDENMCTALVGSLGKLHKIQYLVVDSDMSANLEAGSVESLGNLSYLRIGTATSLPTWIRPALLLSSLDIAVVQVRREDIQVLGRLQALRYLDLTVAGDIIQVLERFMVSPDSFPCATTCTFDGFTMVPSTFPPGAMPRLENFTFVIPLEAFSRGEFTTDDLALGHLPSLQDVNVGLYFGVGKVSEEVVTKVTDKLRREADVHPNQPGLHLVAR >PAN43794 pep chromosome:PHallii_v3.1:8:44440493:44446027:1 gene:PAHAL_8G264000 transcript:PAN43794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATGALGTLIPKLGQLLQDEYNLQKGAKKNIEFLSKELERIRAALCNVGEVPVEQLNELVRIWSRDVRELSYDMEDIVDTFMGRVQGPDTPSKKSAKRFIKKLGNIVTKAKTRHEIAKEIKDIKERVREVAERRDRYKVDAIKPVKTLVDPRITALYTKTTDLVGIDEAREELITRLTKGDGMSAQQQRVVSIVGFGGLGKTTLAKAVYDQLRVQFDCTAFVSVSQNPDLNKLLKNLLYELDKQKHAKIHSTMLEERHLIDLIREFLQNKRYLIVIDDIWDMKPWGILQCSLHDNGLKSIIITTTRILDVAEQIGGCYKQKPLTHESSKKLFYGRIFGSEGTCPGQYFEVSEKILKKCGGVPLAIITTSSLLANKSGNIKEWNDVCGSIGSGLARNPSMDGMRKILLLSYHDLPAHLKACLLYLSIFPEDYEIHKMRLIWKWIAEDFVHCEEESQSLFELGESYLNELLNRSLIQVASTNSDDTIWSCRVHDMVLELICSLSREESFVTTVLGDSRQSMPSSGSMVRRLSLQSTTWPTMEMSKLRSVTIFSPAIINTMPSLSCCHLLRVLDLEGCNLKEHPSLRFIGNLFHLRYLSLAVTGYAGELPVEIAKLQFLQTLRLDDTQIEELPSGIVGLTQLMCLSVAGRTSLPNGLLRYLPSLEMLGVVRVDSACIAGELGLLTQLRVLAVHLSIDKECRWDENMCTALVGSLGKLHKIQYLVVDSDMSANLEAGSVESLGNLSYLRIGTATSLPTWIRPALLLSSLDIAVVQVRREDIQVLGRLQALRYLDLTVAGDIIQVLERSYAKT >PAN41529 pep chromosome:PHallii_v3.1:8:3883111:3883789:-1 gene:PAHAL_8G050800 transcript:PAN41529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQSLRNFFSDLTYYHEIDLANMTPEVKKAVTDQRMKRYKIICYCGTAIMVGTDKAALLNRVYQYNHTAAQICTIYLTIALVSMLLGIIASSGPNSAPCAMPVAWNGTLQVFLYLNAYFHLSIMEVYPEFLHLTILFMVTSVLFGIYWSFCARDPTVRLLDAANHE >PVH33707 pep chromosome:PHallii_v3.1:8:3882247:3884613:-1 gene:PAHAL_8G050800 transcript:PVH33707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYKIICYCGTAIMVGTDKAALLNRVYQYNHTAAQICTIYLTIALVSMLLGIIASSGPNSAPCAMPVAWNGTLQVFLYLNAYFHLSIMEVYPEFLHLTILFMVTSVLFGIYWSFCARDPTVRLLDAANHE >PVH33706 pep chromosome:PHallii_v3.1:8:3882247:3884612:-1 gene:PAHAL_8G050800 transcript:PVH33706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQSLRNFFSDLTYYHEIDLANMTPEVKKAVTDQRMKRYKIICYCGTAIMVGTDKAALLNRVYQYNHTAAQICTIYLTIALVSMLLGIIASSGPNSAPCAMPVAWNGTLQVFLYLNAYFHLSIMEVYPEFLHLTILFMVTSVLFGIYWSFCARDPTVRLLDAANHE >PAN42798 pep chromosome:PHallii_v3.1:8:36434487:36438341:-1 gene:PAHAL_8G185900 transcript:PAN42798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGPSIPKKYTVGGKGRKRRSIIQEVAVFVPTVRIPVASDIVHPLRGVVSKDLVDRLSTLRAHVVELAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESCLESSVQFRWKTLDDDQECCLASAWYEVLSVVHMMAMLALFEANLTLIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKSFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGGEPANHISAVCCLSAADDLLSEGKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEKDKNSALQSIPDLPEFALSLRPEGYELPSTDSIWENVSGQPQIQSLKEHLIDDEDEVDTK >PAN42795 pep chromosome:PHallii_v3.1:8:36434487:36438225:-1 gene:PAHAL_8G185900 transcript:PAN42795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGPSIPKKYTVGGKGRKRRSIIQEVAVFVPTVRIPVASDIVHPLRGVVSKDLVDRLSTLRAHVVELAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESCLESSVQFRWKTLDDDQECCLASAWYEVLSVVHMMAMLALFEANLTLIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKSFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGGEPANHISAVCCLSAADDLLSEGKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEKDKNSALQSIPDLPEFALSLRPEGYELPSTDSIWENVSGQPQIQSLKEHLIDDEDEVDTK >PAN42796 pep chromosome:PHallii_v3.1:8:36434487:36438532:-1 gene:PAHAL_8G185900 transcript:PAN42796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGPSIPKKYTVGGKGRKRRSIIQEVAVFVPTVRIPVASDIVHPLRGVVSKDLVDRLSTLRAHVVELAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESCLESSVQFRWKTLDDDQECCLASAWYEVLSVVHMMAMLALFEANLTLIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKSFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGGEPANHISAVCCLSAADDLLSEGKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEKDKNSALQSIPDLPEFALSLRPEGYELPSTDSIWENVSGQPQIQSLKEHLIDDEDEVDTK >PAN42797 pep chromosome:PHallii_v3.1:8:36434487:36438208:-1 gene:PAHAL_8G185900 transcript:PAN42797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGPSIPKKYTVGGKGRKRRSIIQEVAVFVPTVRIPVASDIVHPLRGVVSKDLVDRLSTLRAHVVELAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESCLESSVQFRWKTLDDDQECCLASAWYEVLSVVHMMAMLALFEANLTLIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKSFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGGEPANHISAVCCLSAADDLLSEGKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEKDKNSALQSIPDLPEFALSLRPEGYELPSTDSIWENVSGQPQIQSLKEHLIDDEDEVDTK >PAN43303 pep chromosome:PHallii_v3.1:8:41369268:41374173:1 gene:PAHAL_8G227700 transcript:PAN43303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKASSPLIPPPSEIDLEAGGGGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHSHTDRKWRTLKFRFFVTRDILFIFALVQMVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLLIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERTDWCPPPLPAEHISQLKSLGLL >PAN42788 pep chromosome:PHallii_v3.1:8:36522186:36527748:-1 gene:PAHAL_8G186600 transcript:PAN42788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFPEEVVEQILGYVTSHRDRNTTSLVCRAWYHIERRSRRSVLVSNCYAVRPERVLVRFPSMRSLSVKGKPHFADFDLVPAGWGATADAWVDSCARACPGLEELRLKRMVVTDECLKLIAGSFTNFKSLVLVNCEGFSTAGLATITTNCRFLKELDLQESVVKHRGHHWLNCFPKPSTELESLNFACLSGEVNASALEGLVARSPNLKRLRLNRRVPFDVWYRILGRTPKLEDLGTGSFVTGNNPAEYVSLSSALGKCSSLKSLSGFWAVTGFYLRGIFSVCKNLTCLNLSCAPLIQSADLINIIRRCTKLHVLWLLDHIGDEGLKVVGFSCPDLQELRVFRNNADAATLTEEGLVAISSGCRKLQSVLYFCNRMTNAALFTIAKNCPQLTSFRLCIVELSSADAMTGQPLDEGFGAIVQSCKGLRRLSMSGLLTDCVFLYIGMYAEKLEMLSVAFVGGSDDGMVYVLNGCKNLKKLEIRDSPFGDTALLAGVDRYEAMRSLWMSSCNITLGGCKTLAASMPGVNVEVISRAGASIDEADEASSNAKKVEKLYLYRTIAGPRGDAPGFVSLL >PAN42782 pep chromosome:PHallii_v3.1:8:36522474:36525684:-1 gene:PAHAL_8G186600 transcript:PAN42782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLKELDLQESVVKHRGHHWLNCFPKPSTELESLNFACLSGEVNASALEGLVARSPNLKRLRLNRRVPFDVWYRILGRTPKLEDLGFWAVTGFYLRGIFSVCKNLTCLNLSCAPLIQSADLINIIRRCTKLHVLWLLDHIGDEGLKVVGFSCPDLQELRVFRNNADAATLTEEGLVAISSGCRKLQSVLYFCNRMTNAALFTIAKNCPQLTSFRLCIVELSSADAMTGQPLDEGFGAIVQSCKGLRRLSMSGLLTDCVFLYIGMYAEKLEMLSVAFVGGSDDGMVYVLNGCKNLKKLEIRDSPFGDTALLAGVDRYEAMRSLWMSSCNITLGGCKTLAASMPGVNVEVISRAGASIDEADEASSNAKKVEKLYLYRTIAGPRGDAPGFVSLL >PAN42784 pep chromosome:PHallii_v3.1:8:36522474:36525684:-1 gene:PAHAL_8G186600 transcript:PAN42784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLKELDLQESVVKHRGHHWLNCFPKPSTELESLNFACLSGEVNASALEGLVARSPNLKRLRLNRRVPFDVWYRILGRTPKLEDLGTGSFVTGNNPAEYVSLSSALGKCSSLKSLSGFWAVTGFYLRGIFSVCKNLTCLNLSCAPLIQSADLINIIRRCTKLHVLWLLDHIGDEGLKVVGFSCPDLQELRVFRNNADAATLTEEGLVAISSGCRKLQSVLYFCNRMTNAALFTIAKNCPQLTSFRLCIVELSSADAMTGQPLDEGFGAIVQSCKGLRRLSMSGLLTDCVFLYIGMYAEKLEMLSVAFVGGSDDGMVYVLNGCKNLKKLEIRDSPFGDTALLAGVDRYEAMRSLWMSSCNITLGGCKTLAASMPGVNVEVISRAGASIDEADEASSNAKKVEKLYLYRTIAGPRGDAPGFVSLL >PAN42781 pep chromosome:PHallii_v3.1:8:36522186:36527421:-1 gene:PAHAL_8G186600 transcript:PAN42781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFPEEVVEQILGYVTSHRDRNTTSLVCRAWYHIERRSRRSVLVSNCYAVRPERVLVRFPSMRSLSVKGKPHFADFDLVPAGWGATADAWVDSCARACPGLEELRLKRMVVTDECLKLIAGSFTNFKSLVLVNCEGFSTAGLATITTNCRFLKELDLQESVVKHRGHHWLNCFPKPSTELESLNFACLSGEVNASALEGLVARSPNLKRLRLNRRVPFDVWYRILGRTPKLEDLGTGSFVTGNNPAEYVSLSSALGKCSSLKSLSGFWAVTGFYLRGIFSVCKNLTCLNLSCAPLIQSADLINIIRRCTKLHVLWLLDHIGDEGLKVVGFSCPDLQELRVFRNNADAATLTEEGLVAISSGCRKLQSVLYFCNRMTNAALFTIAKNCPQLTSFRLCIVELSSADAMTGQPLDEGFGAIVQSCKGLRRLSMSGLLTDCVFLYIGMYAEKLEMLSVAFVGGSDDGMVYVLNGCKNLKKLEIRDSPFGDTALLAGVDRYEAMRSLWMSSCNITLGGCKTLAASMPGVNVEVISRAGASIDEADEASSNAKKVEKLYLYRTIAGPRGDAPGFVSLL >PAN42783 pep chromosome:PHallii_v3.1:8:36522186:36527749:-1 gene:PAHAL_8G186600 transcript:PAN42783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFPEEVVEQILGYVTSHRDRNTTSLVCRAWYHIERRSRRSVLVSNCYAVRPERVLVRFPSMRSLSVKGKPHFADFDLVPAGWGATADAWVDSCARACPGLEELRLKRMVVTDECLKLIAGSFTNFKSLVLVNCEGFSTAGLATITTNCRFLKELDLQESVVKHRGHHWLNCFPKPSTELESLNFACLSGEVNASALEGLVARSPNLKRLRLNRRVPFDVWYRILGRTPKLEDLGFWAVTGFYLRGIFSVCKNLTCLNLSCAPLIQSADLINIIRRCTKLHVLWLLDHIGDEGLKVVGFSCPDLQELRVFRNNADAATLTEEGLVAISSGCRKLQSVLYFCNRMTNAALFTIAKNCPQLTSFRLCIVELSSADAMTGQPLDEGFGAIVQSCKGLRRLSMSGLLTDCVFLYIGMYAEKLEMLSVAFVGGSDDGMVYVLNGCKNLKKLEIRDSPFGDTALLAGVDRYEAMRSLWMSSCNITLGGCKTLAASMPGVNVEVISRAGASIDEADEASSNAKKVEKLYLYRTIAGPRGDAPGFVSLL >PAN42961 pep chromosome:PHallii_v3.1:8:38607976:38612586:-1 gene:PAHAL_8G201500 transcript:PAN42961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDLSRSRSPPRRRRRSPSPARYHGRRGRRDRSPSPVRSRSPYRPAYRRRSPSHSPRRRKSRSPSPRRRKSRSPSQKRYGRKRSPSVTSSPITASQSSHLGLAENKNATDKQRLEEEKKRRQKEVELRLLEEETAKRVEQAIRKKVEESLNCEEIKHEIQRRIEEGRKRIFEEVAIQIEKEKEAALNEARQKAEREKQEREEQEKKLEEERKKAEEALMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQHRQNQMKLLGKNKSRPKLSFALGMK >PAN43260 pep chromosome:PHallii_v3.1:8:41117315:41118254:-1 gene:PAHAL_8G223900 transcript:PAN43260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLAATATSQYLEPVLQEPCGIILFLQFLTWCISMFNMFLPSSCQSSSCNCSPAAKAAPESVLTEREVIKTRSKNKDDDMELAHEDINTMMRNIGLDFDQENSMVCKCIGNDSIAQIFDEDEPSLQEVWQAFLVFDHNNDGYIDASDLERVLRSLGLGEGVGVDECEQMIAKYDVNKDRRIDIAEFTKVLEAGIC >PAN43721 pep chromosome:PHallii_v3.1:8:44156510:44158094:-1 gene:PAHAL_8G260100 transcript:PAN43721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDIADEETCLHALQLVSSAVLPMTLRTAIELGLLETLVGAGGKALTPKEVAAKLPSKANPAAASMVDRLLRLLASYNVVSCVVEEGEDGSLSRRYSAAPVCKWLTPNKDGVSMAPFHLLANDKLFMHAWSYMTDAVLEGGSPFNRAFGTPSWFDYAGTDARFNSVFNEAMKQHSVILTEKLLELYTGFDGVRTLVDVGGGLGSTIHAITSRYPTIHGINFDLPHVISEAPAYPGVHVQHVGGDMFEEVPSGDAILMKWILNCWGDHHCARLLKNCYDALPLHGKLISVECILPVNPDATNSAQGLIGVDVCLLAYSPDGKERYEREFVELAKGAGFTSVKSTYIYANFWAIEYTK >PAN41040 pep chromosome:PHallii_v3.1:8:815331:816721:-1 gene:PAHAL_8G011300 transcript:PAN41040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGILPTHTAPSSTSSKPPPTKDPRRRSCLCVCLLVTLAVLLALAITLLVLFLTVLKVRNPTTRLVSTRLAGVAPRLTFPAMSVQLNVTLLLTVAVHNPNPASFAYDTGGHTDLTYRGAHVGDAEIDPGLIPSKGDGEVKLALTVQADRLAADLAQLVADVESGSVAMEASTRIPGRVTILGIFKRHAVAYSDCSFVFGVAEMAVRSQQCHDRTKL >PVH33790 pep chromosome:PHallii_v3.1:8:5443556:5445853:1 gene:PAHAL_8G066200 transcript:PVH33790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLLDAATSGDAVAMKHLALHDPAVLLGTTPQGNTCLHISSTHGHQGFCMDALALNRSLLSAVNADGETPLLTAVTSGHTSLASFLMKWCRDLQLSEAILTQDERGYNALHHAIRSGHRELALELIAAEPALSKAVNRKKESPMFMAVMRNYADVLEKLLEVPESAHGGACGYNALHAAVRNANSAAMARKIVERRPWLAREEDMDCSTPMHLAVLWDMIDALRVLLEHDRSLGYVVSSDDGSSLLTCAAYRGHVRVARELLKHCPDAPYRKRNGWTCLHQAVWYEKMEFVEFVLGLPQLRTLVNMQDSDGETALHLAVGKCNPKMVTALLLHQDIDFTVINNLADPATWNLSSAATNAKTLNWQAKDEVTDLSKKDIKSLTQTYTGNTSLVAILIATITFSAAFTLPGGYSTDTGNEGLPIMARKFAFQAFLISDSLAMCSSLAVAFICIIAKWEDFEFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLQWLAVAVCVLTGLLPILTKLLGEWPILKLRFRLGRAFKSELLDMV >PVH34246 pep chromosome:PHallii_v3.1:8:35066948:35067480:-1 gene:PAHAL_8G179600 transcript:PVH34246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNPTTSRAGVSTIEEKSTGRIDQIIGPVLDITFPPGKHCDKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGTTLGRIFNVLGEPIDNLGPVDTSATFPIHRSAPAFIELDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGGRSR >PAN42473 pep chromosome:PHallii_v3.1:8:29293765:29297496:1 gene:PAHAL_8G159100 transcript:PAN42473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRPRPAAAVASAELRLAVQRGACRASAVAFRYYPCLQLQGNMLNWRSKFATKKLLSTVACAKPDDSEFESVDAPLEPETWEGSFLCGLLKNLPHIFLAAAAKQLQELSNQREDTLNRWEHSIGSKEDCLHRRIAELKEQECQTAIEDIMYMLIVYKFFKIEVPMVPNLSKLISNRRLQLWPPRETDLESIHGPEVLELIREHLTSIIRWVHRNGPKINRSTLRIKRLQFGRIYSASIMYGYFLKSVSIRHRLELTLTRSEELPPQIQFLNAQFTNKQEQEEAVGGSGEVSSSSKPSSVVNPHDLKGYMMGFDPKTLQLCAKLRSCEAANLIERHSWALFGQNMEPTQENDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLSDS >PVH34160 pep chromosome:PHallii_v3.1:8:29293765:29297496:1 gene:PAHAL_8G159100 transcript:PVH34160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNWRSKFATKKLLSTVACAKPDDSEFESVDAPLEPETWEGSFLCGLLKNLPHIFLAAAAKQLQELSNQREDTLNRWEHSIGSKEDCLHRRIAELKEQECQTAIEDIMYMLIVYKFFKIEVPMVPNLSKLISNRRLQLWPPRETDLESIHGPEVLELIREHLTSIIRWVHRNGPKINRSTLRIKRLQFGRIYSASIMYGYFLKSVSIRHRLELTLTRSEELPPQIQFLNAQFTNKQEQEEAVGGSGEVSSSSKPSSVVNPHDLKGYMMGFDPKTLQLCAKLRSCEAANLIERHSWALFGQNMEPTQENDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLSDS >PVH34159 pep chromosome:PHallii_v3.1:8:29293765:29297496:1 gene:PAHAL_8G159100 transcript:PVH34159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNWRSKFATKKLLSTVACAKPDDSEFESVDAPLEPETWEGSFLCGLLKNLPHIFLAAAAKQLQELSNQREDTLNRWEHSIGSKEDCLHRRIAELKEQECQTAIEDIMYMLIVYKFFKIEVPMVPNLSKLISNRRLQLWPPRETDLESIHGPEVLELIREHLTSIIRWVHRNGPKINRSTLRIKRLQFGRIYSASIMYGYFLKSVSIRHRLELTLTRSEELPPQIQFLNAQFTNKQEQEEAVGGSGEVSSSSKPSSVVNPHDLKGYMMGFDPKTLQLCAKLRSCEAANLIERHSWALFGQNMEPTQENDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLSDS >PVH34448 pep chromosome:PHallii_v3.1:8:40770199:40770661:1 gene:PAHAL_8G220300 transcript:PVH34448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGARTTTPAALVLARLGACASYVASLALWDLRAVGAYCATWDADMPLAWRQRYGWAAFCGPAGPHGEASCGRCPLVANAATRAQATVTILDRCSFGGLGLDPFLTVSYQFVDCQD >PAN42124 pep chromosome:PHallii_v3.1:8:16256028:16257143:-1 gene:PAHAL_8G115400 transcript:PAN42124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQEHRVPIVLLLILIVSVSGELPVHEGFSSRTLTIANHCGHTVWPGILSSSGSPALDTTGFALEPGQSRSLPAPHGWSGRLWGRTHCTVDSAGKFACVTGNCGSGQLDCAGHGAKPPATLAEFTFDGHGGMDFYDVSLVDGYNLPMLVVPHHTNGAAAGPNCVLTGCVMDLNAACPAELRVGSDGRAVACRSACEAFGSAEHCCHGEHGNPNTCWPTTYSQFFKKSCPRAYSYAYDDATSTFTCGGGGVSYSITFCPSTTSVKSLGTDAASVGGGRVGSSSWRVAPRLGYSGLLLHGVAIAALARLY >PVH33989 pep chromosome:PHallii_v3.1:8:16255783:16257224:-1 gene:PAHAL_8G115400 transcript:PVH33989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQEHRVPIVLLLILIVSVSGFSSRTLTIANHCGHTVWPGILSSSGSPALDTTGFALEPGQSRSLPAPHGWSGRLWGRTHCTVDSAGKFACVTGNCGSGQLDCAGHGAKPPATLAEFTFDGHGGMDFYDVSLVDGYNLPMLVVPHHTNGAAAGPNCVLTGCVMDLNAACPAELRVGSDGRAVACRSACEAFGSAEHCCHGEHGNPNTCWPTTYSQFFKKSCPRAYSYAYDDATSTFTCGGGGVSYSITFCPSTTSVKSLGTDAASVGGGRVGSSSWRVAPRLGYSGLLLHGVAIAALARLY >PAN43482 pep chromosome:PHallii_v3.1:8:42529353:42533315:1 gene:PAHAL_8G238800 transcript:PAN43482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFCSCLQPDYSDHHGNHTSSAFRNCMCLRCFTQQLINAYTVLFRAGAVHSVSQAIEATPVDSTESSFDTYRSPPRPLPYDDPRFSPPARDWLRHETPSHSPEESQPLRANDDEEMETPSRIDKASKTNYDTKMKICSSAYGDKVPPKELGNYFSYFSPSAEDEDVCPTCLEDYTSENPRILMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >PAN41476 pep chromosome:PHallii_v3.1:8:3493539:3497586:-1 gene:PAHAL_8G046600 transcript:PAN41476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSSHVSLASEAEINLDLSRLIIDKPRFALERKRSFDEQSWSELSHRQNDGFDSVLQSPAFPSGGFDSPFSMGTHFGGGPHPLVNEAWEALRKSVVYFRGQPVGTIAALDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDVSLAESPDCQKCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPEGEGKEFIEKIGQRLHALTYHMRNYFWLDFHQLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLATPEQSVAIMDLIEERWDELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >PAN41475 pep chromosome:PHallii_v3.1:8:3493530:3497586:-1 gene:PAHAL_8G046600 transcript:PAN41475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSSHVSLASEAEINLDLSRLIIDKPRFALERKRSFDEQSWSELSHRQNDGFDSVLQSPAFPSGGFDSPFSMGTHFGGGPHPLVNEAWEALRKSVVYFRGQPVGTIAALDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDVSLAESPDCQKCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPEGEGKEFIEKIGQRLHALTYHMRNYFWLDFHQLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLATPEQSVAIMDLIEERWDELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >PVH34111 pep chromosome:PHallii_v3.1:8:25468466:25469407:-1 gene:PAHAL_8G146000 transcript:PVH34111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPKIFWDHEGHAHTNALHWEGFPHLLWESLQLFCYTEPPQYDGVEYSEEGVPQCRVKMTIPQHPFRSLWQPIEINMVGYRLVDTIEAASLEAIHIFCDQHPEEVARYPIGLFPAVDSRDPEWVFRVSHYGHLMGDLAEEMLCATIRFMNAQHHYQILQHHSMNQPTSIAQSHHRNIDQQVTQIEELQATITANDEVIAQRDETITHREDQILETDTVIIQRNTIIEFLQEQVHDLTLELDDAIAHINVLHEQLAPPDVPEEPESEDEEEDPEEIEGVSDLDSEHGDPEPNLHPNRSSSSSQSSVGNLDDF >PAN41313 pep chromosome:PHallii_v3.1:8:2512030:2518156:1 gene:PAHAL_8G034800 transcript:PAN41313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGTLPRTMDALSRRATMLRDSLRRSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRTAHENIDRTIKAADSILSQFDLARRAEAAILRGPHEDLESYLEAVEVLKGIVRFFNSNKNFKSSDSVLTHVNNLLAKSTMKIEEEFKQLMSTYSKPIEPDRLFDCLPKSMRPTKGDQEADGGGRSDYQSKGLETAIYRTPTLIPPRILPLMNDIAQQLVQAGNQQSCYKIYRDSRASALEMSLRKLGVEKLSKDDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERKICDQIFDGVNFNKGHCFAELTANSVLTLFSFGDAVAKSKRSPEKLFVLLDMYEVMRELQPEIDEIFEGKPCTEMREAASSLTKRLAQTAQETFTDFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFLEFDSGTEAESQLAAVTTRIMQALQNNLDGKSKQYKDPALTYLFLMNNVHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSVQGAGSSGDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVENNKNPQKYVRYSPEAVDQLLGQFFEGQQWAEQKR >PVH34400 pep chromosome:PHallii_v3.1:8:39835616:39837039:-1 gene:PAHAL_8G213200 transcript:PVH34400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESHDRTARRQLDFALGINMAGWHHVARARTERLILAIGPKKKRRNTLQKGKKYEYQYQQRLHRESEEEEYERRTRKRLRKHEDARDHWHCPFFRYCWDSGMSQLPTIRDCPECGPRRPEARDSVFQRMGPAPIRQVRGRSPRKEDEEEDRYHHPCWCPDGLNRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADTHMVFILPAEFHARTQEGPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQLVNKMLVDTGAVVNIMPYSVLRRLERSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTIPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSMMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN41998 pep chromosome:PHallii_v3.1:8:13050422:13056583:1 gene:PAHAL_8G099000 transcript:PAN41998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLVLLLCLFKLAFAAERNLVDSLPGYEGALPFRLETGYVNVDEENGAELFYYFIQSEDDPRRDPVLLWLTGGDRCTVFSGLAFEIGPVKFIREPYNGSVPRLKYNPYTWTKSANIIFVDSPVGAGFSFSRHPKGYDVGDVSSSLQLKKFLYKWVEGHTDFLANPFYVGGDSYGGKIVPYLVQKISEDTEAQVKPALNLKGYLVGNPATGESIDTDSRVPYAHGVGIISDQLYEAIKKHCKGESYSNPTNMICDQSLKRLNELLNECSKPHILYKKCFYVSPGPNDESPRRMILKKETKGLKRPPPRPPMDCQNYVNYLSYFWANSMATRDALGIKKGSKDEWVRCHDHDLPYSKDIESSIKYHQNLTLKGYRALVYSGDHDVVIPFLGTQAWVRSLNFSIVDDWRAWHVDGQSAGFAIAYSNNLTFATVKGGGHTAPEFQPERCLAMFKRWISNKPL >PVH33924 pep chromosome:PHallii_v3.1:8:13050422:13056583:1 gene:PAHAL_8G099000 transcript:PVH33924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLVLLLCLFKLAFAAERNLVDSLPGYEGALPFRLETGYVNVDEENGAELFYYFIQSEDDPRRDPVLLWLTGGDRCTVFSGLAFEIGPVKFIREPYNGSVPRLKYNPYTWTKSANIIFVDSPVGAGFSFSRHPKGYDVGDVSSSLQLKKFLYKWVEGHTDFLANPFYVGGDSYGGKIVPYLVQKISEDTEAQVKPALNLKGYLVGNPATGESIDTDSRVPYAHGVGIISDQLYEKHCKGESYSNPTNMICDQSLKRLNELLNECSKPHILYKKCFYVSPGPNDESPRRMILKKETKGLKRPPPRPPMDCQNYVNYLSYFWANSMATRDALGIKKGSKDEWVRCHDHDLPYSKDIESSIKYHQNLTLKGYRALVYSGDHDVVIPFLGTQAWVRSLNFSIVDDWRAWHVDGQSAGFAIAYSNNLTFATVKGGGHTAPEFQPERCLAMFKRWISNKPL >PVH34602 pep chromosome:PHallii_v3.1:8:43906234:43907289:1 gene:PAHAL_8G256800 transcript:PVH34602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYCATSLLQLYSLNMSVLAMNYFLYSEMAENADWNEENTRLLCELFAEQVRAHNRSGTHLNRTGYKNVMEKFKEMTELDYSKLQFKNKWDKMRKEYGNWKRLSRETGLGWDPVKKTYTAPDAWWKKENKVYKGIAKFKDGPLQHEDLKTIMFEDIRNTGDDHWSPSSGAAPNTQDTEPDDDKDEDYEANEASDDCHEISPEPSKGKRPAPTSRKDKGKKPKTSGGHWVQDQLTKLVSMSERSTASCESLARREDTSGCSIKDVMILVRECGAVPGSKEHFIASQVFIKRAEREMFMTLETPEERFQWLTMKHNWLTRNDSTM >PVH33490 pep chromosome:PHallii_v3.1:8:848820:851767:-1 gene:PAHAL_8G012300 transcript:PVH33490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAFPDRSSSSITTARIHPSETTRPLIRHRSGGDSSGRASSSPAALRYTVWKRSSMGFQGTDGYSVYDAAGALAFRVDNYSRRRKIFVGELLLMDGQGSPLLALRPQIFSTHDQWNCYKASEESQGERTRSHQLFSMRKCSVLQKGHIAEVSMTGCNTASDRTGHGPSFCVEGCFRRRSCKIHNSDGEEVARIMRKKAEAAASSLMLGDDVFSLMIQPNVDCAMIMAFIVVLDRICWRPFTPMICSS >PVH33844 pep chromosome:PHallii_v3.1:8:7006975:7008955:-1 gene:PAHAL_8G077600 transcript:PVH33844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFRVLHLIRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLLGITPIVTSGMVMQLLVGSKIIEVDNSVRVDRALLNGAQKLLGILITIGEAVAYVLSGMYGSKGYGLGSGISLFIATNICENIIWKAFSPTTINSGHGAEFEGAVIALFHLLITSTDKVRALREALYCQNLPNVTNLLATVLVFLIVIYFQGFCVVLPVRSKNAHGQQGSYPIKLFYTSNMPIILHSALITNLYFISQYSGNFLVNLLGKWKESGYSGHFVPVGGLAPSSLADGLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKGEVDHNIIN >PVH34442 pep chromosome:PHallii_v3.1:8:40476704:40478780:-1 gene:PAHAL_8G218900 transcript:PVH34442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIGWVGLGEFRSSNVKLSDFTLQSSLICTAADQAARFSPRHHASKSSCPPPSSPLQPSSARAQRYGRTTRQLSSPPQTGRHPATATATPRARAGPPSAKGAGGDTGRLPQLARTHAGAHMRRAGGRRDRRECAPHPPPPRGRSKVAVAAGQQSRTNRLGWLQGRARAGR >PVH34127 pep chromosome:PHallii_v3.1:8:27411222:27414176:1 gene:PAHAL_8G152100 transcript:PVH34127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSCASSPHHPCSAVAPCLSIGRLGFPHPPTSRASLRLCATTRRALPSAAARAARLFRAVSRRCRFPCSLPRHAPLQRALRSSTTSRVCHTFAPCAHPLVPNTTKVFSARNQTAAAHLFRLRFPPPRCISTARKRERNPRALKIKSCKNNN >PVH34130 pep chromosome:PHallii_v3.1:8:27411246:27417222:1 gene:PAHAL_8G152100 transcript:PVH34130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSCASSPHHPCSAVAPCLSIGRLGFPHPPTSRASLRLCATTRRALPSAAARAARLFRAVSRRCRFPCSLPRHAPLQRALRSSTTSRVCHTFAPCAHPLVPNTTKVFSARNQTAAAHLFRLRFPPPRCISTARKVGTGPRGS >PVH34128 pep chromosome:PHallii_v3.1:8:27411222:27414176:1 gene:PAHAL_8G152100 transcript:PVH34128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSCASSPHHPCSAVAPCLSIGRLGFPHPPTSRASLRLCATTRRALPSAAARAARLFRAVSRRCRFPCSLPRHAPLQRALRSSTTSRVCHTFAPCAHPLVPNTTKVFSARNQTAAAHLFRLRFPPPRCISTARKRERNPRALKIKSCKNNN >PVH34129 pep chromosome:PHallii_v3.1:8:27411222:27414176:1 gene:PAHAL_8G152100 transcript:PVH34129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSCASSPHHPCSAVAPCLSIGRLGFPHPPTSRASLRLCATTRRALPSAAARAARLFRAVSRRCRFPCSLPRHAPLQRALRSSTTSRVCHTFAPCAHPLVPNTTKVFSARNQTAAAHLFRLRFPPPRCISTARKRERNPRALKIKSCKNNN >PVH33686 pep chromosome:PHallii_v3.1:8:3379531:3382432:-1 gene:PAHAL_8G045000 transcript:PVH33686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSIVIVLSLHDGSTRAAVEFWVSATTLLLLARFTVGSIGPRFFSSRRLVAPVLRLLHMLNSYSLSYTLGLMKPAAASPTGAAAVSDLFQVWAVLIVIMQASARIGRPYRAKEMTLLDLLSSLWSADQLRTQTRPRLAVPLWLIWSVHALRVAWYHVSSSRAGEATPRNIKLVSDYMLTSHHTDGDASPATMQGYRYIVVGEEEQAEEVRAPGFTREMDLTTTGRPGSSNQQLLITVDKVWNQESSDRLLGAAADGDGRFKDVCLSFALYKLQRRRFFDFPIAEAAHPATRRLVSEALLEEGANGSYDRALRVTEVELSFLHDFFYSAHPVVFAGGFPCVRLLLSALMAASVLYLFHAVGDIPSSGTAVAAAGREGKQKHVTITHGVLISHCVIAVVLCRELVEAAIYVFSQWTKVRIICHYVKLKLQRRRRHGRVAMAVTEKLARIMFRIISRGRWDQRIIQYNLLTAALPGSRHPALARLYARWPGIRAFIPRKVKLQSEVKRALFQSMKNLIDNIPSPVGVDHSDPWEPSSAWAQQMDSLLMSYFRNAFVDDNDADGSLSPPLIEDATADLRGETHKILVWHIATGLCHIKLLEEAGQPAAGVDDDLPAMTPPLTGDLAAAWPHLVAAVTLSNYCAYLATQALVPDNGLVARNVLGAVLGEVRGALVGCTAAGEIRGRLGSLARDGSAGTTIAGMGARLSEELVSAYDDAGELWGRLARFWAGLLLHLSASTRSAKHKIYLQGRGELTTHLWLLLSHAGFLGKTSHGEQLLDPADLNNA >PVH33579 pep chromosome:PHallii_v3.1:8:1787880:1790372:-1 gene:PAHAL_8G024700 transcript:PVH33579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAMAARPVTASSSPRPPRLPVQVAAAASGPSSSPAVRGVKSGAGAKGSLLRPCPMLLTSVAVGTLVAAGAANAAEILDPLYGSSELLLADLGIGDWFGGLLFSAGQQANEAVQDQLTALSFSSLAVIFSAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRAEVIGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGLNLLEVVELQLPSFFSDFDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIIGGSLLLTYTTGYVAPLLIAASFAGALQVWMLSISS >PAN41185 pep chromosome:PHallii_v3.1:8:1786825:1790430:-1 gene:PAHAL_8G024700 transcript:PAN41185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAMAARPVTASSSPRPPRLPVQVAAAASGPSSSPAVRGVKSGAGAKGSLLRPCPMLLTSVAVGTLVAAGAANAAEILDPLYGSSELLLADLGIGDWFGGLLFSAGQQANEAVQDQLTALSFSSLAVIFSAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRAEVIGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGLNLLEDPIIGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRVFPATSMVM >PAN41186 pep chromosome:PHallii_v3.1:8:1787224:1790372:-1 gene:PAHAL_8G024700 transcript:PAN41186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAMAARPVTASSSPRPPRLPVQVAAAASGPSSSPAVRGVKSGAGAKGSLLRPCPMLLTSVAVGTLVAAGAANAAEILDPLYGSSELLLADLGIGDWFGGLLFSAGQQANEAVQDQLTALSFSSLAVIFSAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRAEVIGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGLNLLEVVELQLPSFFSDFDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIIGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRVFPATSMVM >PVH33565 pep chromosome:PHallii_v3.1:8:1729976:1730431:-1 gene:PAHAL_8G023600 transcript:PVH33565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGADGTRDVFSQPEPYSPDEGFDCYSDDAARTPVSRLGMEGLALHSQPEGWPYRPSYQSLVQSDGGIESDSRKRPVRSPPPPRGTGGPIRTVGLRKPRGPGLSSSRGRGGGLAPASVAPGDPSGPRYGASDGTELEDAQDVQEIVQVS >PAN42450 pep chromosome:PHallii_v3.1:8:9220781:9222503:1 gene:PAHAL_8G086000 transcript:PAN42450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHLPMSQPSPGLCAKPPLQQRGSASTTAAVAAVATPPSTFAPQTTRLSAPSIAVNVRHTAPVVVLPERAAKKKSGETLAGMWREIQGSHDWAGLVEPLHPLLRAEIVRYGELVAATYKAFDLDAGSKRYLNCKYGKARMLEAVGMAGAGYAVTRYIYAAPDIALPGAAGPCPSRWIGYVAVASDDAARQLGRRDIVVSFRGTVTGSEWVANMMSSLEPARFDPADARPDVKVESGFLSVYTSDDATCRFTCGSCRNQLLSEVTRLINKYKHEEISITLAGHSMGSSLALLLGYDLAELGLNRVGNGNTVPITVYSFAGPRVGNTGFKNRCDELGVKVLRVVNVNDPITKLPGIFLNENSRVLGGRLELPWSCACYAHVGVELALDFFKARDPACVHDLEAYLGLLKCPKIAKVKKDGEDLISKAKKFVLQQNFDTWRWRMAAIQVGELVQAMGM >PAN41550 pep chromosome:PHallii_v3.1:8:3994413:3999672:-1 gene:PAHAL_8G052300 transcript:PAN41550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGSEVNEIKGGVAGGSILKGVLRVNQKIEVRPGIVMKDEYGKLKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTSGTERASRVSKLAKGEILMLNIGSMSTGARVGAVKNDLAKLQLTAPVCTSKGEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >PAN41551 pep chromosome:PHallii_v3.1:8:3994212:3999672:-1 gene:PAHAL_8G052300 transcript:PAN41551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGSEVNEIKGGVAGGSILKGVLRVNQKIEVRPGIVMKDEYGKLKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTSGTERASRVSKLAKGEILMLNIGSMSTGARVGAVKNDLAKLQLTAPVCTSKGEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >PVH34295 pep chromosome:PHallii_v3.1:8:36898316:36900087:1 gene:PAHAL_8G188800 transcript:PVH34295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSPPAIVAGLLFSIPHDFTMIQPFPTIRRPIRPSPLPAALPPALAFADDVDWSSSASAEESIAWSLPGRCRSYGCRALALTPRPTACA >PAN41666 pep chromosome:PHallii_v3.1:8:4199259:4200487:-1 gene:PAHAL_8G054200 transcript:PAN41666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRNNLPPHLSQGTAKPPNYGSLDPSAMADPQFVAFLQATYAAQVAQAAQPAYFSAPAYIDLESSPASWPPRAASLATLHAQLRPPIGIPAMPPTPNFDNSPTQVKSRTSNFTIAEDKAICFAFINMSKDPIVGVNQSSEAYWHCVHKFLYSNTPVERQRPAQSIRKRWGTIQKDTARFCGYKAEQDRKNQSGKTEEDRIEDAKKQYHALVGKPFAFMHCWESLRGQRKWLDLVGAKGKDADNNGEESTPDLVDLGFPEEDANDSRPIGRDSAKKRRSSELQSSSTASAYVEVLQKMTDHKGKQIVAEVEWATAFNDREDRKLTLEEKKREDGIMKMDLSALDPYQRRYFRREIKAILARTCADDDEQEMDDDFGA >PVH33473 pep chromosome:PHallii_v3.1:8:664533:666172:1 gene:PAHAL_8G008500 transcript:PVH33473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPTLCLALRPPLTRAPAARRRPCLSLPPSGASTRLELSRRPPMPRLRLPRRPPAMSSRAGRPPPPARRAPASGRLPPPRLELLHRSTAFACASSSRRWPSPASARATRKPVWRSKTAREEEELWGACFCGSSSNRIALESGNQGASAAKPSCPSLFGRASEPSRSPSKQGLGRWARVARLTSTNTTRYLQCIALGRFQVGS >PVH34492 pep chromosome:PHallii_v3.1:8:41804797:41805456:-1 gene:PAHAL_8G231500 transcript:PVH34492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVFSLLALLALLVSATTAVIIPQCSLAASAATIPQYLSPIAAVGYEHPIVQSYRLQQALAASILPSSAMFLQQQSALLQQQSLAQLTVQSIAAQQQRVLSPFSQVALANPAAYLQQQINQLAMVNPAAYLQQQLLPFNQLAVANSAAFSQQQQQLVPFNPLAVAHPAAFLQQQQLVNQLALTSPAAFWQQQQLVNQLALTSPASFWQQPIVGSTLF >PVH33805 pep chromosome:PHallii_v3.1:8:5748593:5749395:1 gene:PAHAL_8G068300 transcript:PVH33805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRASFRGRFAARFVLCKRISFVSLQIGQDLAGASLEIMSSSGSGGASWQAGRGRSRTRRIRTPGRPPSIHGPCGAVHSTPAVASAAREPAGGRRREGVRWAVRGAVSVAPGPGPPLVPAL >PVH34086 pep chromosome:PHallii_v3.1:8:22966408:22969169:1 gene:PAHAL_8G139300 transcript:PVH34086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAHHTAMDHLYSDHLYSNGSLRNGKNIFVPMFRMFKKWKSMEGVVYWQPPESAMNKIEKIIREPAINRYSSDDGLPEHREALLEKDLVTEVQSMQYVPGVRWQHRGRERAGKPHRHAAHGSSQGVQNTSQAV >PVH34087 pep chromosome:PHallii_v3.1:8:22967088:22968487:1 gene:PAHAL_8G139300 transcript:PVH34087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMLPKWDTFGVVYWQPPESAMNKIEKIIREPAINRYSSDDGLPEHREALLEKDLVTEVQSMQYVPGVRWQHRGRERAGKPHRHAAHGSSQGVQNTSQAV >PVH33527 pep chromosome:PHallii_v3.1:8:1286683:1289150:-1 gene:PAHAL_8G017700 transcript:PVH33527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFGPLPLWGQLYMYLYLSKLVTVSGASGAIACLILDQFSHFHWSFHELTSHHCGETLSYSAKAEAEWLSSVLANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILTFKAHKEVTAK >PVH33998 pep chromosome:PHallii_v3.1:8:16818102:16818326:1 gene:PAHAL_8G117800 transcript:PVH33998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVPQLQSWPDLVGKHTDYAVKVIHQDRPDLRVDGRLEGHDPEPEIEELDRVIVWQFIDTGFNSIVSRVPTQG >PAN43218 pep chromosome:PHallii_v3.1:8:40819699:40824917:1 gene:PAHAL_8G221000 transcript:PAN43218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAACLFAAASCIPHPSTPSTSAPAYAGASGTRCRRSPAPLLRCSPARRRGPVRALDERLLEAEAAQDAEETEGEEAGADVGGGFGDAEGGEVGEQAVVEEELRPPAKAFVKSRRQRQQEEEAAAGQDRFKLINGKEIFQEKAYLVGVEFKRTGGNLFGIEESLKELEQLADTAGLVVVGSTYQKLSTPNPRTYIGSGKVSEIRSAIHALDVETVIFDDELSPGQLRNLEKSFGGSVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQAGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDRVLKELDVESIPKLVVWNKIDNTDEPFRVKEEAEKQGIICISAMNGDGLEEFCNAIQAKLKDSMVPIEAFVPYDKGDLLNDIHKVGMVEKMEYKENGTFVKAHVPLPLARLLTPLRQQVVAAV >PVH34516 pep chromosome:PHallii_v3.1:8:42171802:42175876:-1 gene:PAHAL_8G236200 transcript:PVH34516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHVLPGTLFLLVGLWRVWSSASRFAAAPSAFRVRAWNPVPCSPSSPSSPLRLLELYVIAAGAFADMCVEVLYSTHLRIFAGGGVNPAHLNDLEHGGMLLMFFLFGALALASQLKPRYLPLTDGILCLVAATAFTAEFVLFYFHSTTHMGLEGYYHYLLVVLVGLCIAATVLGALLPGSFPVDLASGVLIALQGLWFYQTAFTLYGPMLPRGCARDADGHVECRARAAQERAEQLANFQLFGLVFLAFVYVLVCYAVAAARCGHPDLAAMHGEHVAAMEGDAGGAGAGGAQEECAI >PVH34517 pep chromosome:PHallii_v3.1:8:42171804:42175856:-1 gene:PAHAL_8G236200 transcript:PVH34517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHVLPGTLFLLVGLWRVWSSASRFAAAPSAFRVRAWNPVPCSPSSPSSPLRLLELYVIAAGAFADMCVEVLYSTHLRIFAGGGVNPAHLNDLEHGGMLLMFFLFGALALASQLKPRYLPLTDGILCLVAATAFTAEFVLFYFHSTTHMGLEGYYHYLLVVLVGLCIAATVLGALLPGSFPVDLASGVLIALQGLWFYQTAFTLYGPMLPRGCARDADGHVECRARAAQERAEQLANFQLFGLVFLAFVYVLVCYAVAAARCGHPDLAAMHGEHVAAMEGDAGGAGAGGAQEECAI >PVH33427 pep chromosome:PHallii_v3.1:8:369962:372583:-1 gene:PAHAL_8G002700 transcript:PVH33427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRVSPSPAAAANHVSGPAANPASVRVPAPRVSPSACRAAGKGKEVLSGVVFQPFEEIKGELSLVPQATDKSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNTRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHAVATRCNDPQLTDFIESEFLSEQVEAIKKVSEYVAQLRRVGKGHGVWHFDQKLLEEEA >PVH33457 pep chromosome:PHallii_v3.1:8:528286:531260:-1 gene:PAHAL_8G006000 transcript:PVH33457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQHIETVHHSQAVEHVLHSLLKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRPCRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEVLVLK >PVH33458 pep chromosome:PHallii_v3.1:8:528286:531260:-1 gene:PAHAL_8G006000 transcript:PVH33458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQHIETVHHSQAVEHVLHSLLLADSNTKEIKSKTKEWNDRIKQDKKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRPCRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEVLVLK >PVH33461 pep chromosome:PHallii_v3.1:8:527760:531260:-1 gene:PAHAL_8G006000 transcript:PVH33461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQHIETVHHSQAVEHVLHSLLLADSNTKEIKSKTKEWNDRIKQDKKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEAYSEVYDIYTVKEVDDTNMEDMSAASYPRLQVDDDEDYPYDTDDSNAEDNPLFDYPEELSEDEDDDDGSNDEDPFGDTEGSGSEYEKEEVEVEGDEQW >PVH33462 pep chromosome:PHallii_v3.1:8:527509:531380:-1 gene:PAHAL_8G006000 transcript:PVH33462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQHIETVHHSQAVEHVLHSLLLADSNTKEIKSKTKEWNDRIKQDKKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEEVYDIYTVKEVDDTNMEDMSAASYPRLQVDDDEDYPYDTDDSNAEDNPLFDYPEELSEDEDDDDGSNDEDPFGDTEGSGSEYEKEEVEVEGDEQW >PVH33459 pep chromosome:PHallii_v3.1:8:527760:531019:-1 gene:PAHAL_8G006000 transcript:PVH33459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQRRRQCSISPASPSSNHPLPPPVLKLADSNTKEIKSKTKEWNDRIKQDKKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRPCRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEEVYDIYTVKEVDDTNMEDMSAASYPRLQVDDDEDYPYDTDDSNAEDNPLFDYPEELSEDEDDDDGSNDEDPFGDTEGSGSEYEKEEVEVEGDEQW >PVH33460 pep chromosome:PHallii_v3.1:8:527509:531380:-1 gene:PAHAL_8G006000 transcript:PVH33460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQHIETVHHSQAVEHVLHSLLKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEEVYDIYTVKEVDDTNMEDMSAASYPRLQVDDDEDYPYDTDDSNAEDNPLFDYPEELSEDEDDDDGSNDEDPFGDTEGSGSEYEKEEVEVEGDEQW >PVH33455 pep chromosome:PHallii_v3.1:8:528286:531260:-1 gene:PAHAL_8G006000 transcript:PVH33455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQHIETVHHSQAVEHVLHSLLKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEVLVLK >PVH33464 pep chromosome:PHallii_v3.1:8:528286:531260:-1 gene:PAHAL_8G006000 transcript:PVH33464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQHIETVHHSQAVEHVLHSLLLADSNTKEIKSKTKEWNDRIKQDKKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEVLVLK >PVH33463 pep chromosome:PHallii_v3.1:8:527760:531260:-1 gene:PAHAL_8G006000 transcript:PVH33463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSEPAAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSIFEPSSSSSSAKASEEQPRVKKLLVQHIETVHHSQAVEHVLHSLLLADSNTKEIKSKTKEWNDRIKQDKKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRPCRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEEVYDIYTVKEVDDTNMEDMSAASYPRLQVDDDEDYPYDTDDSNAEDNPLFDYPEELSEDEDDDDGSNDEDPFGDTEGSGSEYEKEEVEVEGDEQW >PVH33456 pep chromosome:PHallii_v3.1:8:527509:531380:-1 gene:PAHAL_8G006000 transcript:PVH33456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQRRRQCSISPASPSSNHPLPPPVLKLADSNTKEIKSKTKEWNDRIKQDKKPDQLRSAARQRHEDLGRNARFAQIWKSRKGEKDEADESLREICHLYDAVQVDPDEEKNPAEPRITSFEEGAVLCNFLPLIREYLPSAAVEIESDIISWAQSEEVYDIYTVKEVDDTNMEDMSAASYPRLQVDDDEDYPYDTDDSNAEDNPLFDYPEELSEDEDDDDGSNDEDPFGDTEGSGSEYEKEEVEVEGDEQW >PVH34039 pep chromosome:PHallii_v3.1:8:17311251:17311577:-1 gene:PAHAL_8G122300 transcript:PVH34039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVEAAAANHIAGWFPPSSQHPPPKIRKEALSPCFQKLHPHGGGHIQGAFDAATIVIQTHGSGQLRLSRTLLQHRPLLGRATSWCGEGCEKVTHSLAAAATTSCLMP >PAN41535 pep chromosome:PHallii_v3.1:8:3899113:3900984:-1 gene:PAHAL_8G051200 transcript:PAN41535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLIRGSVRERESEREKEAMAAAIDDSCRRPGSVPFKWEVCPGTPKHVRSSSASAAPPPYSSPSPLPKVAVSPKLTPPPAMSLSPYHSPRVSYYAARSASVSPSRRRAPHRPTAFLDIAPRVAPASYGPGPEDEDTAASAAASRCFPLPVFRRRDRDGKRGSGSGRQPGASSSGSSFRSDGAPWPTGLRRSASSSSSSCLSLSSRSSGKLVEAREVEAAGGWFY >PAN41631 pep chromosome:PHallii_v3.1:8:4545424:4548585:1 gene:PAHAL_8G057500 transcript:PAN41631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKPSHLSGEAMEVSVEAGNRGDEERLDDDGRPRRTGTMWTASAHIITAVIGAGVLSLAWAMAQLGWGPGLVAMVVFAAISYYTSTLLANCYRSGDPVAGKRNYTYTEAVRAILGGAQVKLCGVIQYANLVGIAIGYTIAASISMLAIKRADCFHDKGHKNPCRSSSNPYMILFGAVEILFSQIPDFDQIWWLSIVAAVMSFTYATIGLSLGIAQTVANGGFRGSLTGVSVVAGVSPTQKVWRSLQAFGDISFAYSYAYILIEIQDTIRAPPPSEAAVMKRATMVSVATTTFFYMLCGCMGYAAFGDTAPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFVQPLFAFVEARAAARWPGSRFLSREVRAGPFALSVFRLTWRTAFVCLTTVVAMLLPFFGDVVGLLGAISFWPLTVYFPVEMYIKQRGVRRWSTRWVCLQTLSAACFLVSVAGAVGSTAGVMGAVKLHRPFSGY >PVH34356 pep chromosome:PHallii_v3.1:8:38988344:38991210:-1 gene:PAHAL_8G205200 transcript:PVH34356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSSWQLTCKHLHRLTDTMVAGRITEELAIAVPAEQMWKAAFASGDESSMRNVLTGVSDVAVKVEGDGGPGSRYTLKFNPAVGAGTVLIKSRLAARDNAARVISYDEVVVEGGEVAAPQFKSQVVQYKVEPAGAGGCVTKIAVESERLDGTPLSLADDQAKLMKVYVDLIKKVEENIVARPREFA >PVH34355 pep chromosome:PHallii_v3.1:8:38988568:38991210:-1 gene:PAHAL_8G205200 transcript:PVH34355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSSWQLTCKHLHRLTDTMVAGRITEELAIAVPAEQMWKAAFASGDESSMRNVLTGVSDVAVKVEGDGGPGSRYTLKFNPAVGAGTVLIKSRLAARDNAARVISYDEVVVEGGEVAAPQFKSQVVQYKVEPAGAGGCVTKIAVESERLDGTPLSLADDQAKLMKVYVDLIKKVEENIVARPREFA >PVH33617 pep chromosome:PHallii_v3.1:8:2468609:2469691:1 gene:PAHAL_8G034200 transcript:PVH33617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPPPRLSFQPTPLSGYDNNSCRLDCAALSGAGRCSWTATRVEPSSTTPTSAAFSPCPASPGASGVPREASASPSPRPPATKDGVYVMDGELEGNDARWFRFQAMVHRKAVRSRLALRQALGPGRAPAARPTLTTPAVARGGGGGGGVGGARFVSYALAGDASGVICVSTVGRRTYCFDTVSRAWSKAADWALPFDGKVEHDRELGLWLGFVKQDSYVSNCEGNDISSLYATGDLFADVDRRSLVWYTGDDSRNLFSPWGWHKSKVLEPQVVSLGSGKFCVTQFFKTMREPCRKCFHEDVDKRFAMFTGVKVIRRGIKDGDEKAGDGGASVSGPTELRLIIRKSKRYVFTKGNTIEFVL >PVH34288 pep chromosome:PHallii_v3.1:8:36581886:36583453:1 gene:PAHAL_8G187200 transcript:PVH34288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTCPGRGGLMDLLNTMAARRVAGPGGCSPEDVAVPDDVIIQGHGPFEPTVLGEDGAPMQIDLPVHDLVEAPYHADSYEGLNTPKKDPISSTSSSWFVPYCKDERLKPAVGMLFDTLDEVEEFYKTYAHESGFSVRVGAQTKKSDVVENKRFVCSREGFSKRRAEPNKQKKHSESRCGCNARIYVKLNQENRYYIASFVEEHNHGLVSPDKIPFLRSNRTIS >PVH34289 pep chromosome:PHallii_v3.1:8:36582042:36584222:1 gene:PAHAL_8G187200 transcript:PVH34289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTCPGRGGLMDLLNTMAARRVAGPGGCSPEDVAVPDDVIIQGHGPFEPTVLGEDGAPMQIDLPVHDLVEAPYHADSYEGLNTPKKDPISSTSSSWFVPYCKDERLKPAVGMLFDTLDEVEEFYKTYAHESGFSVRVGAQTKKSDVVENKRFVCSREGFSKRRAEPNKQKKHSESRCGCNARIYVKLNQENRCVVWMHYKFYLFGQHSRQRLPQISEKNLNGLNTWTSIYSGWIYSFYHLGKDIILACWTLLRIKTLLVGD >PAN43853 pep chromosome:PHallii_v3.1:8:44846973:44847900:1 gene:PAHAL_8G268200 transcript:PAN43853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKELRWSLAGKTALVTGGTRGIGLAIVEELAGLGARVHTCSRTAGDLDACRRRWADKGFLADAITASVCDVSSQRDREGLVATVRDLFHGQLHILVNNAGQSLYKAAADTTPGDYARLMATNLDSCFHLTRLAHPLLRRSSDGGGVVVHMSSVAAFVAYPALSAYSTSKGALHPLTRSLAAEWAPHGVRVNCVAPGAIDTGMFSATLRDTGRARRLAEMEMARVPMRRLGSPQEVAALVAFLCMPAASYITGQVICIDGGRTLAAKL >PVH33711 pep chromosome:PHallii_v3.1:8:3976906:3981675:1 gene:PAHAL_8G052000 transcript:PVH33711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCRSTSTPPTRPRVPRRRRHPASCRCSPTPSPTRQHLRRAPRHGRIRAARGVPAPWSLVVLSSVAIVGDQYDRVAAVWLDGAELLRTTTVEPTPNSIRWTAQGREPPLRADPLPACSPSCLRTSSTTSTPACTTSASPSSSTASRLTSRTRDPPPPTPTLPESYFQPADLILPISEATDSSIGFWFRIQNSSGSRSKLVSVPSSTYHAVLSRSASSRADLACVAGAGQAGHGLSAVSSKPSADMVVRCRCCDIRRVLAPQLQRHRAGRKPVYSITRSMRRMRACQGRLPQLHVEVLRTAS >PVH33713 pep chromosome:PHallii_v3.1:8:3976906:3981675:1 gene:PAHAL_8G052000 transcript:PVH33713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCRSTSTPPTRPRVPRRRRHPASCRCSPTPSPTRQHLRRAPRHGRIRAARGVPAPWSLVVLSSVAIVGDQYDRVAAVWLDGAELLRTTTVEPTPNSIRWTAQGREPPLRADPLPACSPSCLRTSSTTSTPACTTSASPSSSTASRLTSRTRDPPPPTPTLPESYFQPADLILPISEATDSSIGFWFRIQNSSGSRSKLVSVPSSTYHAVLSRSASSRADLACVAGAGQAGHGLSAVSSKPSADMVVRCRCCDIRRVLAPQLQRHRAGRKPVYSITRSMRRMRACQGRLPQLHVEYIDPLLVYQRKRKHEVIFHTEVLRTAS >PVH33710 pep chromosome:PHallii_v3.1:8:3976906:3981675:1 gene:PAHAL_8G052000 transcript:PVH33710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCRSTSTPPTRPRVPRRRRHPASCRCSPTPSPTRQHLRRAPRHGRIRAARGVPAPWSLVVLSSVAIVGDQYDRVAAVWLDGAELLRTTTVEPTPNSIRWTAQGREPPLRADPLPACSPSCLRTSSTTSTPACTTSASPSSSTASRLTSRTRDPPPPTPTLPESYFQPADLILPISEATDSSIGFWFRIQNSSGSRSKLVSVPSSTYHAVLSRSASSRADLACVAGAGQAGHGLSAVSSKPSADMVVRCRCCDIRRVLAPQLQRHRAGRKPVYSITRSMRRMRACQGRLPQLHVEVLRTAS >PVH33712 pep chromosome:PHallii_v3.1:8:3976906:3981675:1 gene:PAHAL_8G052000 transcript:PVH33712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCRSTSTPPTRPRVPRRRRHPASCRCSPTPSPTRQHLRRAPRHGRIRAARGVPAPWSLVVLSSVAIVGDQYDRVAAVWLDGAELLRTTTVEPTPNSIRWTAQGREPPLRADPLPACSPSCLRTSSTTSTPACTTSASPSSSTASRLTSRTRDPPPPTPTLPESYFQPADLILPISEATDSSIGFWFRIQNSSGSRSKLVSVPSSTYHAVLSRSASSRADLACVAGAGQAGHGLSAVSSKPSADMVVRCRCCDIRRVLAPQLQRHRAGRKPVYSITRSMRRMRACQGRLPQLHVEYIDPLLVYQRKRKHEVIFHTEVLRTAS >PAN42577 pep chromosome:PHallii_v3.1:8:31788411:31790140:1 gene:PAHAL_8G168700 transcript:PAN42577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVSSVMGTIIKLLQEIAKAERSARRNRTRCRELARRAEAVGNVLRASKAGARADAAPTRMSILSRLKEALDDALKLVESSSRSGGLVSRLLTSGARAARFDDVDKRITTCLVDLAAANGVSIESKIDQLAARDRHPRTNKPKQVNAGNAPPKGGSRNDKNGGQGKGGQNGGKGSKRRRGKKAARAHRQSPTPAFYPNSHGYAFAVHHQSIEEDPTSCPVM >PAN42578 pep chromosome:PHallii_v3.1:8:31788411:31790140:1 gene:PAHAL_8G168700 transcript:PAN42578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVSSVMGTIIKLLQEIAKAERSARRNRTRCRELARRAEAVGNVLRASKAGARADAAPTRMSILSRLKEALDDALKLVESSSRSGGLVSRLLTSGARAARFDDVDKRITTCLVDLAAANGVSIESKIDQLAARDRHPRTNKPKQKQVNAGNAPPKGGSRNDKNGGQGKGGQNGGKGSKRRRGKKAARAHRQSPTPAFYPNSHGYAFAVHHQSIEEDPTSCPVM >PVH34396 pep chromosome:PHallii_v3.1:8:39779939:39783436:-1 gene:PAHAL_8G212800 transcript:PVH34396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAFHSLLLPLQWKRKPKRRRSRSHSKEGNNRNKPKSHLAGAGAAGDPSFNLKASASSFPRDIGCLVQPLGNLLLSASPGANLRDAGLGALRPLPDDLLLDVLGLLPARALAALSAASKALYVVAAHDPLWRALVLGELGGAFDFAGSWRATYVAAASGGRPHLIPPRALRIRGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGVSVEQFIAEVEEPNRPVLLEGCLDSWPALQKWSREYLLEISAGKEFAVGPVSMTLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFREDLFSVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRGAIDAAHPGMINQLQFEAQQKAAARKKKASFWDTAVDAKSGGFKFSF >PAN43140 pep chromosome:PHallii_v3.1:8:39779845:39783436:-1 gene:PAHAL_8G212800 transcript:PAN43140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAFHSLLLPLQWKRKPKRRRSRSHSKEGNNRNKPKSHLAGAGAAGDPSFNLKASASSFPRDIGCLVQPLGNLLLSASPGANLRDAGLGALRPLPDDLLLDVLGLLPARALAALSAASKALYVVAAHDPLWRALVLGELGGAFDFAGSWRATYVAAASGGRPHLIPPRALRIRGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGVSVEQFIAEVEEPNRPVLLEGCLDSWPALQKWSREYLLEISAGKEFAVGPVSMTLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFREDLFSVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRGAIDAAHPGMINQLQFEAQQKAAARKKKASFWDTAVDAKSGGFKFSF >PVH34397 pep chromosome:PHallii_v3.1:8:39780871:39783273:-1 gene:PAHAL_8G212800 transcript:PVH34397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAFHSLLLPLQWKRKPKRRRSRSHSKEGNNRNKPKSHLAGAGAAGDPSFNLKASASSFPRDIGCLVQPLGNLLLSASPGANLRDAGLGALRPLPDDLLLDVLGLLPARALAALSAASKALYVVAAHDPLWRALVLGELGGAFDFAGSWRATYVAAASGGRPHLIPPRALRIRGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGVSVEQFIAEVEEPNRPVLLEGCLDSWPALQKWSREYLLEISAGKEFAVGPVSMTLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFREDLFSVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRGAIDAAHPGMINQLQFEAQQKAAARKKKASFWDTAVDAKSGGFKFSF >PAN43139 pep chromosome:PHallii_v3.1:8:39779939:39783436:-1 gene:PAHAL_8G212800 transcript:PAN43139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAFHSLLLPLQWKRKPKRRRSRSHSKEGNNRNKPKSHLAGAGAAGDPSFNLKASASSFPRDIGCLVQPLGNLLLSASPGANLRDAGLGALRPLPDDLLLDVLGLLPARALAALSAASKALYVVAAHDPLWRALVLGELGGAFDFAGSWRATYVAAASGGRPHLIPPRALRIRGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGVSVEQFIAEVEEPNRPVLLEGCLDSWPALQKWSREYLLEISAGKEFAVGPVSMTLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFREDLFSVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRGAIDAAHPGMINQLQFEAQQKAAARKKKASFWDTAVDAKSGGFKFSF >PVH34398 pep chromosome:PHallii_v3.1:8:39780871:39783273:-1 gene:PAHAL_8G212800 transcript:PVH34398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAFHSLLLPLQWKRKPKRRRSRSHSKEGNNRNKPKSHLAGAGAAGDPSFNLKASASSFPRDIGCLVQPLGNLLLSASPGANLRDAGLGALRPLPDDLLLDVLGLLPARALAALSAASKALYVVAAHDPLWRALVLGELGGAFDFAGSWRATYVAAASGGRPHLIPPRALRIRGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGVSVEQFIAEVEEPNRPVLLEGCLDSWPALQKWSREYLLEISAGKEFAVGPVSMTLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFREDLFSVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRGAIDAAHPGMINQLQFEAQQKAAARKKKASFWDTAVDAKSGGFKFSF >PAN43138 pep chromosome:PHallii_v3.1:8:39779872:39783436:-1 gene:PAHAL_8G212800 transcript:PAN43138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAFHSLLLPLQWKRKPKRRRSRSHSKEGNNRNKPKSHLAGAGAAGDPSFNLKASASSFPRDIGCLVQPLGNLLLSASPGANLRDAGLGALRPLPDDLLLDVLGLLPARALAALSAASKALYVVAAHDPLWRALVLGELGGAFDFAGSWRATYVAAASGGRPHLIPPRALRIRGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGVSVEQFIAEVEEPNRPVLLEGCLDSWPALQKWSREYLLEISAGKEFAVGPVSMTLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFREDLFSVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRGAIDAAHPGMINQLQFEAQQKAAARKKKASFWDTAVDAKSGGFKFSF >PAN42462 pep chromosome:PHallii_v3.1:8:19553153:19555449:-1 gene:PAHAL_8G130300 transcript:PAN42462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 9 [Source:Projected from Arabidopsis thaliana (AT5G24580) UniProtKB/Swiss-Prot;Acc:Q9FLU5] MGEEVKKVEAAAKVEDQKKEEAPAAVPEAEKKDEAAEAEGEDKKKEEAAAKEPPQLPHPCILGINLHCTGCANKIKRCILRCKGVEGVEVDMAQNQVTVKGIVDPQGICERLRKRTMRNATVISPPPPPPPTDADAAANPKEEPVVVHSQVSEVTTMELHVNMHCEACAQQLQKKILKMRGVQSADANSGDGKLTVTGTMSADKLVQYIHRRTGKLATVVPPPPPPEAPKEEEPKKEDGEKKPEEPPADGANKEDQEKPPTEDATEKKDAEGQKKEEEAAKSEDGTEKKEGGGDEEKGKPDLLAVDGFPPEEMMKRMMYWPYPQKNYYNPQVDEEAMMARRMTVVHPYAMPMMQWTPPPPPPPPPAPVAPPMMYQYYNYGMVQPPPPAPQYFSDENPNACVIS >PVH34393 pep chromosome:PHallii_v3.1:8:39654563:39657313:-1 gene:PAHAL_8G211900 transcript:PVH34393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGPCGTYNKRCPCMKNDKCSKNFPKTFQDETIIDNFGFTIYRRRDDGRSVLKNSIRLDNRNVVPHNMSLLKKYNAHINVEWCNKTNMIKYLFKYINKGSDRAKVYFEITAKTPNASPGPHLAPPNEIQEYIDARYLSTCEAIWRILEFDIHYRTPSVERLTVHLPGMNYVRYEPNATLTEILKSPAAKSTMLTAWFDANSKHSRARHLTYCDFPKEWSWDASHRCWRQKTPDTKIGRIYYVHPTAGELYYLRMLLMIVKGATNYADIRTFNNKMYNTFRQACEARGLLEDDNEWNLLFDESIVYASSHQLRQLFVMVVLHCSVGNVRALFDKYWLYFCDDIHRSVCHALGNPHYIVPHEQLMSLLINKLTDIFACSGGNINDYDLPRIVTTCTDVYDNRLINDELDTGSLMLSMQAVSLVSQLNSDQKHVFDTIIARVSCNCPGFFFVCGHGGTGKTFLWNAIITHLRSERKIVLAVASSGVASLLLPKGRTAHSRFKIPFDLNEAGTCSIKRGTMLAELIKVSALIIWDEAPMTHRHCFEALDRTLRDILSEEKPANAIVPFGGKPVVLGGDFRQILPVVHKGSRSAIVNASITSSKLWQHVSVLKLHTNMRLHNPSLDATQRAEIESFGKWILSIGDGTIAAEQRGEEREASWITIPDDLLVDTSGDKTAALVAEVFPDFITNYKNPEYLAARAIVCPNNQDADDINDYIVKLVPGDDVQYLSCDTISKSTEHIPDFDVLYPTEFLNSINTNNFPIHKLVLKKGVIVMSLRNLNQTMGLCNGTRLLVTQLGQRVLCCTILTGCRVGEEVFIPRIALNTTDVKWPFTLQQRQFPVRVCYAMTINKSQGQTLSMVGLCLKKPVFTHGQLYVAVSRSTSRSGLTILIENDDGSCGSQTRNVVYREVLDAANMASA >PAN43756 pep chromosome:PHallii_v3.1:8:43850925:43855006:1 gene:PAHAL_8G255900 transcript:PAN43756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAKLAGVDAVKLVVMIVQAARTARHNKKTCQQLVQHVQIIGDLLRKLQSSEMMQQPEIRNGLNELEQILREAYMLVTSCQNNNYVYHLFMGGRQADQFRVLQNRLNSCLQVFPLISHIDTADRLDQILEIIRPPHSQAVREVPRLFTGCSSCETRTEVYREVKRRSIQMNCAEALKFSLAQLIDATNNFSDENQIGQGSFGCVYKGQLHDGHVVAVKRCFDLPSSPNQLDVQDLEFQNEIYFLTKLQHTNIVKLLGDCMQGSERILVYEYMSNGSLDAFIFGAISRRLYLDWPACSQIIKGVSEGLLYLHKHCGLHVIHGDLKPSNILLDSNMHPKISDFGLARTYSPGVEEEFANRIVGSIGFTAPECRERRLFSIKSDVYGFGALLLEIISGHRCFSLASGESGDDHGFLNRRAWHLWRAGRLIKLVGAPLGDESETERTDILRCIQIALLCVEENPANRPTMQEVVLMLSCQDVTLPMPQQPAYLKTGMVLAH >PVH34595 pep chromosome:PHallii_v3.1:8:43850925:43855006:1 gene:PAHAL_8G255900 transcript:PVH34595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAKLAGVDAVKLVVMIVQAARTARHNKKTCQQLVQHVQIIGDLLRKLQSSEMMQQPEIRNGLNELEQILREAYMLVTSCQNNNYVYHLFMGGRQADQFRVLQNRLNSCLQVFPLISHIDTADRLDQILEIIRPPHSQAVREVPRLFTGCSSCETRTEVYREVKRRSIQMNCAEALKFSLAQLIDATNNFSDENQIGQGSFGCVYKGSERILVYEYMSNGSLDAFIFGAISRRLYLDWPACSQIIKGVSEGLLYLHKHCGLHVIHGDLKPSNILLDSNMHPKISDFGLARTYSPGVEEEFANRIVGSIGFTAPECRERRLFSIKSDVYGFGALLLEIISGHRCFSLASGESGDDHGFLNRRAWHLWRAGRLIKLVGAPLGDESETERTDILRCIQIALLCVEENPANRPTMQEVVLMLSCQDVTLPMPQQPAYLKTGMVLAH >PAN43753 pep chromosome:PHallii_v3.1:8:43850926:43855005:1 gene:PAHAL_8G255900 transcript:PAN43753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAEALKFSLAQLIDATNNFSDENQIGQGSFGCVYKGQLHDGHVVAVKRCFDLPSSPNQLDVQDLEFQNEIYFLTKLQHTNIVKLLGDCMQGSERILVYEYMSNGSLDAFIFGAISRRLYLDWPACSQIIKGVSEGLLYLHKHCGLHVIHGDLKPSNILLDSNMHPKISDFGLARTYSPGVEEEFANRIVGSIGFTAPECRERRLFSIKSDVYGFGALLLEIISGHRCFSLASGESGDDHGFLNRRAWHLWRAGRLIKLVGAPLGDESETERTDILRCIQIALLCVEENPANRPTMQEVVLMLSCQDVTLPMPQQPAYLKTGMVLAH >PVH33479 pep chromosome:PHallii_v3.1:8:752982:759613:1 gene:PAHAL_8G010000 transcript:PVH33479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPRRSAIEPFFFSRRTLLPPVAVRRRLQVHPGSRRPCSPMLVCLRRGLSLVSQHTPGLLPSPPLRPARRFLRHLSAADGMGEGSAAGKDAKGKAKAKAPAAASAPVVARDDSYLEAVTQKRVRMFEEIQARQALERLNIGGEVIKVTLPDGAIKEGKKWITTPMDIAKEISSGLAASCLIAQVDETLWDMGRPLEGDCKLQLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAFYNDLTLNEEHFGIIENQAQKAVAEKQPFERIEVSRAEALEMFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHFLEEAKKRDHRLLGQAQELFFFHPLSPGSCFFLPHGARIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFDNRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRENQIKDEVKGVLEFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAELQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQVIVCSVSSGSVEYGKQVLARLHDAGFHADIDVSDRTIQKKVREAQIAQFNYILVVGAQEAETGNVCVRVRDSANLSTMSVDGIITRLREEIAAFK >PVH33959 pep chromosome:PHallii_v3.1:8:15245051:15248810:-1 gene:PAHAL_8G109400 transcript:PVH33959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRTGNGQAQALIDLDSDAEDECQNKRSRTSRTAALKTPGRSNHVLPSFYDNLPQNRSSRIATSRRDKANQDKLNTDIFELYMEDLWKHIDEDKKSAYAYFDSLWFNMYYCGRNIPNVLKWIKAKRIFSRQYVFVPIVCCGHWSLLVLCHFDKANCSDIKKGPRMIVLDSLNTTDPTRLQSAIRKFIVDIYKTEEQEESKQFINKIPLEFPKVPQQNGDECGIYVLYFIQCFLQNKKLAEVLESKKLEEDFTQLLDDGWFNPEELENFRKDIHSFQANRNSKIAE >PVH33958 pep chromosome:PHallii_v3.1:8:15245051:15247678:-1 gene:PAHAL_8G109400 transcript:PVH33958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLWKHIDEDKKSAYAYFDSLWFNMYYCGRNIPNVLKWIKAKRIFSRQYVFVPIVCCGHWSLLVLCHFDKANCSDIKKGPRMIVLDSLNTTDPTRLQSAIRKFIVDIYKTEEQEESKQFINKIPLEFPKVPQQNGDECGIYVLYFIQCFLQNKKLAEVLESKKLEEDFTQLLDDGWFNPEELENFRKDIHSFQANRNSKIAE >PVH33702 pep chromosome:PHallii_v3.1:8:3826673:3835670:1 gene:PAHAL_8G050100 transcript:PVH33702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKALFTNSLIALPTGLGKTFIAAVVMYNYFRWFPEGKIIFAAPSRPLVAQQIEACYNTVGIPQECTIDLRGNRNPSSRSIFWKSKRVFFVTPQILQNDIKSGICMVKQIVCLVIDEAHRASGNFAYCTAIRELLAAHVPLRILALTATPGSKHAGIQSVINNLCISELIYCDEEDSLVKQYVNTRKVKVVKVPLGSNATQVDGMLLDIMRPHLNRLRDSGVIDHRDYAKWTPFELLKYKEKFMEAPPPNIREVERGEITRSFVALGSLLHIRKLLSSHGIQQARQFLERKLNKGSLNLMRKNELFWQIKEKMRLTSSQGSTPKIQVLIKQMVDYFDKTDSKDSRIIIFSHFRGSVNEIYCSLQNIDDKRIRPVEFIGQSSAGKQLKGQTQKTQQTILQKFRSGVYNVLVATSIGEEGLDIIEVDLVICFDANVSPLRMIQRMGRTGRKHDGQVVVLACEGQELSGYSKKQKDSQTMRKLLRNSERFEYHASPRMVPHVYKPEAKYVKLTIDKYIPSLNKMRIAVKEASPTPWKMSEVDSQLISRYFGGCKDVWRPSLIAFPRFQLYPSVVHKVPHSFRTTDMLTDAMQQLQDPSFFRPKCESPLQEPADVGAVKGQEEEGLHAINGNEAMPQECDGLETSSREVVWNQGVSVPGSPVQKYPIHSFFSGDYVTVDRGGNVSITFVPVPPRTSALHKDRKNADCHHKDQSKATPHRSAADISWTTVEFVRPVANSGKHMFVNNLSSSAMCSPKYAGPCDNVDDNHVLTPLPPKTLTSPRENLDTTCNIELPQSTSSYQEDMELSPRLTLYMEEGIVPESPVVEVSHLHLEIEGAANVGLVPKRAAPESFSVGGRTNLAGCKKGPLDFEKNGQWLSVVTEPGVSATQNVLNRTRAKTEEPMQPSNVKICTPTKHTPTVNLLHDSFSGDCLLRSGGDASGSVQQAPKYRRLCKYGDKVKRVSISFDACHDVFEKCDIPARAMSNKTEHATGKKGKAKRQLDTYIDEEVEVSEDADVSEDEDDNQSEDKYEDSFIDDQATPTGELTQTEQGGRNRGDMMGFYRQSLLTQSPVVVPSRYLDVSDNSASRTGNASCSSEVGHNSIETPKELQTHTMTPSPSYQQSLLGRASFVQDQCETTMTNCESSTKLDCRKRKLSFLQPAAIPVINLEPEPTTEASSHFATGVTDDNYYDDGFFENLDLDAIEAEATALWRQKTTQSTQKPVETKKASELSFAPPSFDLGF >PAN41522 pep chromosome:PHallii_v3.1:8:3824574:3835584:1 gene:PAHAL_8G050100 transcript:PAN41522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPQPPPVAVDDFYDDDGFDWEAAVREIDEACARASASASFSAPAPAPAQVHHPLPPRPPEPSPTAPLHRPPAAAAGGGAGRQSTLDRFVDSFTKRRQEKERPAPAPASAPAPPPPSGVEPGGDGLGRPGVLAGEGYSRQAAEKAVEDRFVESFTRRQREKERAAPAAPAGGRKRPAARTKKGCSRRANVEVELAPCAVALDQEAVQTWIYPTNVQVRDYQKYMVEKALFTNSLIALPTGLGKTFIAAVVMYNYFRWFPEGKIIFAAPSRPLVAQQIEACYNTVGIPQECTIDLRGNRNPSSRSIFWKSKRVFFVTPQILQNDIKSGICMVKQIVCLVIDEAHRASGNFAYCTAIRELLAAHVPLRILALTATPGSKHAGIQSVINNLCISELIYCDEEDSLVKQYVNTRKVKVVKVPLGSNATQVDGMLLDIMRPHLNRLRDSGVIDHRDYAKWTPFELLKYKEKFMEAPPPNIREVERGEITRSFVALGSLLHIRKLLSSHGIQQARQFLERKLNKGSLNLMRKNELFWQIKEKMRLTSSQGSTPKIQVLIKQMVDYFDKTDSKDSRIIIFSHFRGSVNEIYCSLQNIDDKRIRPVEFIGQSSAGKQLKGQTQKTQQTILQKFRSGVYNVLVATSIGEEGLDIIEVDLVICFDANVSPLRMIQRMGRTGRKHDGQVVVLACEGQELSGYSKKQKDSQTMRKLLRNSERFEYHASPRMVPHVYKPEAKYVKLTIDKYIPSLNKMRIAVKEASPTPWKMSEVDSQLISRYFGGCKDVWRPSLIAFPRFQLYPSVVHKVPHSFRTTDMLTDAMQQLQDPSFFRPKCESPLQEPADVGAVKGQEEEGLHAINGNEAMPQECDGLETSSREVVWNQGVSVPGSPVQKYPIHSFFSGDYVTVDRGGNVSITFVPVPPRTSALHKDRKNADCHHKDQSKATPHRSAADISWTTVEFVRPVANSGKHMFVNNLSSSAMCSPKYAGPCDNVDDNHVLTPLPPKTLTSPRENLDTTCNIELPQSTSSYQEDMELSPRLTLYMEEGIVPESPVVEVSHLHLEIEGAANVGLVPKRAAPESFSVGGRTNLAGCKKGPLDFEKNGQWLSVVTEPGVSATQNVLNRTRAKTEEPMQPSNVKICTPTKHTPTVNLLHDSFSGDCLLRSGGDASGSVQQAPKYRRLCKYGDKVKRVSISFDACHDVFEKCDIPARAMSNKTEHATGKKGKAKRQLDTYIDEEVEVSEDADVSEDEDDNQSEDKYEDSFIDDQATPTGELTQTEQGGRNRGDMMGFYRQSLLTQSPVVVPSRYLDVSDNSASRTGNASCSSEVGHNSIETPKELQTHTMTPSPSYQQSLLGRASFVQDQCETTMTNCESSTKLDCRKRKLSFLQPAAIPVINLEPEPTTEASSHFATGVTDDNYYDDGFFENLDLDAIEAEATALWRQKTTQSTQKPVETKKASELSFAPPSFDLGF >PVH33466 pep chromosome:PHallii_v3.1:8:555391:557696:1 gene:PAHAL_8G006500 transcript:PVH33466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPATAPLSSPKSGAIKRQRPLSDVTNRLLPETPTPIKPRRTGLRPLPTPSDASSTCSSTASVTPAPQPSSAAVIEEERSAVKSPISTVYARRGTTETRRRRRTNPTATTPPKGKEPVAAAGTASCPPLGKATRKNSRKDSMAQDTQPISSSAPCHGAKKKRPPPSIPKLPEDFVKKQRAYFADVDAFDLPEEEVSESELE >PVH34250 pep chromosome:PHallii_v3.1:8:35072025:35072705:1 gene:PAHAL_8G180000 transcript:PVH34250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKALPSFLYLVFIVLLPWGVSFSFNKFTDFFVGFHSTRGWELLIRWVYNNFGWAPNELIFTIFVCSFPVILDTCLKFWVFFCLHRLSPSLVVIYHSISEA >PVH33950 pep chromosome:PHallii_v3.1:8:14982433:14988549:-1 gene:PAHAL_8G108600 transcript:PVH33950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIPGIAIGPRFSMANKMKKMREELENITNQHQNFRFTTDISSIVQPFLDERETDSYLNDQTLIVGRTEEKIRITDSLYESTMEGITILPIYGIGGIGKTTLAKLVFNDTLFKDYSQVWIYVSQIFNLNKIGNSVISQLSKGESHIAEKQRMRTRLAELLSAAGKKILIVLDDLWEENEIQLEELKGMLTVGGEGGKVIVIVTTRDEAIAKKICTVHPYKLPPLADERCWDIIKQKCAFEARDDKDHVESIGRDIAMKCGGVALAAQALGYMLKPLTFGEWEAVKNSDFWNLPAFEDEPSPQRNVLACLLLSYKSMPSHLKLCFAYCAIFPKGHKIVKDDLIHQWIAHDFVAPSSIFSTRQLCESYVKQLLGLSFLQHAKSFSTNVVHGRDVSLFTMHDLVHDLARFVMADELHDTGKVRSIWGSNCRYAVVTDCSKPLNSSVISPSTIRALHFQGCGNVVLHGVAFSFAKYLRVLDLSACFIQKLPDSISELRQLRYLNAPRVQNQMLPMSITNLSKLKYLNLHGSSITSLPGPIGEMKCLKYLDLSFCQHINELPCSFVGLTQLDHLDLSNCSGVKIFPELLAWLTELVHLDLAECSYFQGTGEILGDLTKLQYLNLSQRFSRVENLVGLQEGISNLTELRYLGLSGSMASIFCSPLTDDLEGFIDSISTLPNLEHLNLSRNSIITFIPECIAKLRKLHTLDLSDCDNLGRIPGSIASMDNLKILNVKGCDQLNEPKIFRPNSFALLPHFVVHSDDGGSSSNISLLRQAYPDELKITRLELVKFAQEAQSINLMKKLRIEKLKLDWTTHAQRSLEDIQVLRELVPPSTLKEFEIHGYNSKRFPSWFMAIANYLPNLVKIEMEDLPKCIILPPLGQLQSLEKLAIRGMDGITKIDESFSGGKARAFPRLKAFELRCMKSLEEWDTMYSYGEGGVKEFMFPNLKELTISECPRLRLKPHPPRAKNWIVRNSDNVMSSWGERGHTGAFFFAPGTNLHVVSCGVPLHQWRLLHHLLGLTHLKIEHCSDLSSSSQIAEDLFTLQSLHLTHYLGDNNQSKLPEWLGDLTNLQMLVIDGYPELVAPVEIVEKLTCLQSLRLLHCKSMTTLPEWLGGLTSLKHLEITDCPALNNLHKSMQKLSTLHSLTIKNCDSLLSPLEWLGSLFALEELYILDCEGIKSFPESIENLSRLKELQISGCPDLEQWCESDRITWKLSRIKGKRISE >PAN42361 pep chromosome:PHallii_v3.1:8:14981563:14988904:-1 gene:PAHAL_8G108600 transcript:PAN42361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLEAMLVSSVLSVVSQQIGATIRGHIRLQWDFNKDLIKMKMALESVQAVLKDAERQSIQDATVRLWLKRLKDAMYAISDMLDEFESGNKPARWKFAAMIPGIAIGPRFSMANKMKKMREELENITNQHQNFRFTTDISSIVQPFLDERETDSYLNDQTLIVGRTEEKIRITDSLYESTMEGITILPIYGIGGIGKTTLAKLVFNDTLFKDYSQTNVVHGRDVSLFTMHDLVHDLARFVMADELHDTGKVRSIWGSNCRYAVVTDCSKPLNSSVISPSTIRALHFQGCGNVVLHGVAFSFAKYLRVLDLSACFIQKLPDSISELRQLRYLNAPRVQNQMLPMSITNLSKLKYLNLHGSSITSLPGPIGEMKCLKYLDLSFCQHINELPCSFVGLTQLDHLDLSNCSGVKIFPELLAWLTELVHLDLAECSYFQGTGEILGDLTKLQYLNLSQRFSRVENLVGLQEGISNLTELRYLGLSGSMASIFCSPLTDDLEGFIDSISTLPNLEHLNLSRNSIITFIPECIAKLRKLHTLDLSDCDNLGRIPGSIASMDNLKILNVKGCDQLNEPKIFRPNSFALLPHFVVHSDDGGSSSNISLLRQAYPDELKITRLELVKFAQEAQSINLMKKLRIEKLKLDWTTHAQRSLEDIQVLRELVPPSTLKEFEIHGYNSKRFPSWFMAIANYLPNLVKIEMEDLPKCIILPPLGQLQSLEKLAIRGMDGITKIDESFSGGKARAFPRLKAFELRCMKSLEEWDTMYSYGEGGVKEFMFPNLKELTISECPRLRLKPHPPRAKNWIVRNSDNVMSSWGERGHTGAFFFAPGTNLHVVSCGVPLHQWRLLHHLLGLTHLKIEHCSDLSSSSQIAEDLFTLQSLHLTHYLGDNNQSKLPEWLGDLTNLQMLVIDGYPELVAPVEIVEKLTCLQSLRLLHCKSMTTLPEWLGGLTSLKHLEITDCPALNNLHKSMQKLSTLHSLTIKNCDSLLSPLEWLGSLFALEELYILDCEGIKSFPESIENLSRLKELQISGCPDLEQWCESDRITWKLSRIKGKRISE >PVH33949 pep chromosome:PHallii_v3.1:8:14982433:14987373:-1 gene:PAHAL_8G108600 transcript:PVH33949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRLAELLSAAGKKILIVLDDLWEENEIQLEELKGMLTVGGEGGKVIVIVTTRDEAIAKKICTVHPYKLPPLADERCWDIIKQKCAFEARDDKDHVESIGRDIAMKCGGVALAAQALGYMLKPLTFGEWEAVKNSDFWNLPAFEDEPSPQRNVLACLLLSYKSMPSHLKLCFAYCAIFPKGHKIVKDDLIHQWIAHDFVAPSSIFSTRQLCESYVKQLLGLSFLQHAKSFSTNVVHGRDVSLFTMHDLVHDLARFVMADELHDTGKVRSIWGSNCRYAVVTDCSKPLNSSVISPSTIRALHFQGCGNVVLHGVAFSFAKYLRVLDLSACFIQKLPDSISELRQLRYLNAPRVQNQMLPMSITNLSKLKYLNLHGSSITSLPGPIGEMKCLKYLDLSFCQHINELPCSFVGLTQLDHLDLSNCSGVKIFPELLAWLTELVHLDLAECSYFQGTGEILGDLTKLQYLNLSQRFSRVENLVGLQEGISNLTELRYLGLSGSMASIFCSPLTDDLEGFIDSISTLPNLEHLNLSRNSIITFIPECIAKLRKLHTLDLSDCDNLGRIPGSIASMDNLKILNVKGCDQLNEPKIFRPNSFALLPHFVVHSDDGGSSSNISLLRQAYPDELKITRLELVKFAQEAQSINLMKKLRIEKLKLDWTTHAQRSLEDIQVLRELVPPSTLKEFEIHGYNSKRFPSWFMAIANYLPNLVKIEMEDLPKCIILPPLGQLQSLEKLAIRGMDGITKIDESFSGGKARAFPRLKAFELRCMKSLEEWDTMYSYGEGGVKEFMFPNLKELTISECPRLRLKPHPPRAKNWIVRNSDNVMSSWGERGHTGAFFFAPGTNLHVVSCGVPLHQWRLLHHLLGLTHLKIEHCSDLSSSSQIAEDLFTLQSLHLTHYLGDNNQSKLPEWLGDLTNLQMLVIDGYPELVAPVEIVEKLTCLQSLRLLHCKSMTTLPEWLGGLTSLKHLEITDCPALNNLHKSMQKLSTLHSLTIKNCDSLLSPLEWLGSLFALEELYILDCEGIKSFPESIENLSRLKELQISGCPDLEQWCESDRITWKLSRIKGKRISE >PAN42362 pep chromosome:PHallii_v3.1:8:14981563:14988903:-1 gene:PAHAL_8G108600 transcript:PAN42362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRLAELLSAAGKKILIVLDDLWEENEIQLEELKGMLTVGGEGGKVIVIVTTRDEAIAKKICTVHPYKLPPLADERCWDIIKQKCAFEARDDKDHVESIGRDIAMKCGGVALAAQALGYMLKPLTFGEWEAVKNSDFWNLPAFEDEPSPQRNVLACLLLSYKSMPSHLKLCFAYCAIFPKGHKIVKDDLIHQWIAHDFVAPSSIFSTRQLCESYVKQLLGLSFLQHAKSFSTNVVHGRDVSLFTMHDLVHDLARFVMADELHDTGKVRSIWGSNCRYAVVTDCSKPLNSSVISPSTIRALHFQGCGNVVLHGVAFSFAKYLRVLDLSACFIQKLPDSISELRQLRYLNAPRVQNQMLPMSITNLSKLKYLNLHGSSITSLPGPIGEMKCLKYLDLSFCQHINELPCSFVGLTQLDHLDLSNCSGVKIFPELLAWLTELVHLDLAECSYFQGTGEILGDLTKLQYLNLSQRFSRVENLVGLQEGISNLTELRYLGLSGSMASIFCSPLTDDLEGFIDSISTLPNLEHLNLSRNSIITFIPECIAKLRKLHTLDLSDCDNLGRIPGSIASMDNLKILNVKGCDQLNEPKIFRPNSFALLPHFVVHSDDGGSSSNISLLRQAYPDELKITRLELVKFAQEAQSINLMKKLRIEKLKLDWTTHAQRSLEDIQVLRELVPPSTLKEFEIHGYNSKRFPSWFMAIANYLPNLVKIEMEDLPKCIILPPLGQLQSLEKLAIRGMDGITKIDESFSGGKARAFPRLKAFELRCMKSLEEWDTMYSYGEGGVKEFMFPNLKELTISECPRLRLKPHPPRAKNWIVRNSDNVMSSWGERGHTGAFFFAPGTNLHVVSCGVPLHQWRLLHHLLGLTHLKIEHCSDLSSSSQIAEDLFTLQSLHLTHYLGDNNQSKLPEWLGDLTNLQMLVIDGYPELVAPVEIVEKLTCLQSLRLLHCKSMTTLPEWLGGLTSLKHLEITDCPALNNLHKSMQKLSTLHSLTIKNCDSLLSPLEWLGSLFALEELYILDCEGIKSFPESIENLSRLKELQISGCPDLEQWCESDRITWKLSRIKGKRISE >PAN42363 pep chromosome:PHallii_v3.1:8:14982433:14988830:-1 gene:PAHAL_8G108600 transcript:PAN42363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLEAMLVSSVLSVVSQQIGATIRGHIRLQWDFNKDLIKMKMALESVQAVLKDAERQSIQDATVRLWLKRLKDAMYAISDMLDEFESGNKPARWKFAAMIPGIAIGPRFSMANKMKKMREELENITNQHQNFRFTTDISSIVQPFLDERETDSYLNDQTLIVGRTEEKIRITDSLYESTMEGITILPIYGIGGIGKTTLAKLVFNDTLFKDYSQVWIYVSQIFNLNKIGNSVISQLSKGESHIAEKQRMRTRLAELLSAAGKKILIVLDDLWEENEIQLEELKGMLTVGGEGGKVIVIVTTRDEAIAKKICTVHPYKLPPLADERCWDIIKQKCAFEARDDKDHVESIGRDIAMKCGGVALAAQALGYMLKPLTFGEWEAVKNSDFWNLPAFEDEPSPQRNVLACLLLSYKSMPSHLKLCFAYCAIFPKGHKIVKDDLIHQWIAHDFVAPSSIFSTRQLCESYVKQLLGLSFLQHAKSFSTNVVHGRDVSLFTMHDLVHDLARFVMADELHDTGKVRSIWGSNCRYAVVTDCSKPLNSSVISPSTIRALHFQGCGNVVLHGVAFSFAKYLRVLDLSACFIQKLPDSISELRQLRYLNAPRVQNQMLPMSITNLSKLKYLNLHGSSITSLPGPIGEMKCLKYLDLSFCQHINELPCSFVGLTQLDHLDLSNCSGVKIFPELLAWLTELVHLDLAECSYFQGTGEILGDLTKLQYLNLSQRFSRVENLVGLQEGISNLTELRYLGLSGSMASIFCSPLTDDLEGFIDSISTLPNLEHLNLSRNSIITFIPECIAKLRKLHTLDLSDCDNLGRIPGSIASMDNLKILNVKGCDQLNEPKIFRPNSFALLPHFVVHSDDGGSSSNISLLRQAYPDELKITRLELVKFAQEAQSINLMKKLRIEKLKLDWTTHAQRSLEDIQVLRELVPPSTLKEFEIHGYNSKRFPSWFMAIANYLPNLVKIEMEDLPKCIILPPLGQLQSLEKLAIRGMDGITKIDESFSGGKARAFPRLKAFELRCMKSLEEWDTMYSYGEGGVKEFMFPNLKELTISECPRLRLKPHPPRAKNWIVRNSDNVMSSWGERGHTGAFFFAPGTNLHVVSCGVPLHQWRLLHHLLGLTHLKIEHCSDLSSSSQIAEDLFTLQSLHLTHYLGDNNQSKLPEWLGDLTNLQMLVIDGYPELVAPVEIVEKLTCLQSLRLLHCKSMTTLPEWLGGLTSLKHLEITDCPALNNLHKSMQKLSTLHSLTIKNCDSLLSPLEWLGSLFALEELYILDCEGIKSFPESIENLSRLKELQISGCPDLEQWCESDRITWKLSRIKGKRISE >PVH33948 pep chromosome:PHallii_v3.1:8:14981563:14988903:-1 gene:PAHAL_8G108600 transcript:PVH33948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLEAMLVSSVLSVVSQQIGATIRGHIRLQWDFNKDLIKMKMALESVQAVLKDAERQSIQDATVRLWLKRLKDAMYAISDMLDEFESGNKPARWKTNVVHGRDVSLFTMHDLVHDLARFVMADELHDTGKVRSIWGSNCRYAVVTDCSKPLNSSVISPSTIRALHFQGCGNVVLHGVAFSFAKYLRVLDLSACFIQKLPDSISELRQLRYLNAPRVQNQMLPMSITNLSKLKYLNLHGSSITSLPGPIGEMKCLKYLDLSFCQHINELPCSFVGLTQLDHLDLSNCSGVKIFPELLAWLTELVHLDLAECSYFQGTGEILGDLTKLQYLNLSQRFSRVENLVGLQEGISNLTELRYLGLSGSMASIFCSPLTDDLEGFIDSISTLPNLEHLNLSRNSIITFIPECIAKLRKLHTLDLSDCDNLGRIPGSIASMDNLKILNVKGCDQLNEPKIFRPNSFALLPHFVVHSDDGGSSSNISLLRQAYPDELKITRLELVKFAQEAQSINLMKKLRIEKLKLDWTTHAQRSLEDIQVLRELVPPSTLKEFEIHGYNSKRFPSWFMAIANYLPNLVKIEMEDLPKCIILPPLGQLQSLEKLAIRGMDGITKIDESFSGGKARAFPRLKAFELRCMKSLEEWDTMYSYGEGGVKEFMFPNLKELTISECPRLRLKPHPPRAKNWIVRNSDNVMSSWGERGHTGAFFFAPGTNLHVVSCGVPLHQWRLLHHLLGLTHLKIEHCSDLSSSSQIAEDLFTLQSLHLTHYLGDNNQSKLPEWLGDLTNLQMLVIDGYPELVAPVEIVEKLTCLQSLRLLHCKSMTTLPEWLGGLTSLKHLEITDCPALNNLHKSMQKLSTLHSLTIKNCDSLLSPLEWLGSLFALEELYILDCEGIKSFPESIENLSRLKELQISGCPDLEQWCESDRITWKLSRIKGKRISE >PAN43486 pep chromosome:PHallii_v3.1:8:44061420:44062652:-1 gene:PAHAL_8G258700 transcript:PAN43486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYKYKYRQPMLVGQNLNDAEGYVLKLHKWYLDESKRKEENEFNVTFYYRRKTGQSNLHSTTTVKDNKLRFVVNYWDKLFYSQDGLTSITVVPDEMSRLFNFGEMGFNLLRSYELFLINECENSGKNHVAFLEPFFIMDGKKNELKSIPDEDAEGYITAAIRKFKDNKAFIMIPFYERAHWILIVIVPEYRFVWYLNSKRDFNTSATMFDLIARAYKNVAGIKLTRINKKCHLQTGNEECGFYVCYHMWLLSELQVYMLSIWHTVRSLKRKKVNMFICALDSEYSLRQEAIIDDVPLTKDRMDTVRAGICQMIYDAANVKAKK >PVH33432 pep chromosome:PHallii_v3.1:8:385372:387452:1 gene:PAHAL_8G003200 transcript:PVH33432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRDAFRHHLIIRIDAQLDHKRGEPSYAPHFSEERMKTQATPKMMVLHAPVGVRSIVSFLVAFFVVASSIVFLFDRGQEAQVQMAVEHGRQEPWIGGTTEAGDTSKEECNWSRGQWVYDNVSRPLYSGLKCTFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDAIKLLEMLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLLESNSDNPIIQRVDKRMIRADRIEKHASLWRDADIIVFNSYLWWRKQNDDMRMKVMYGSFEDGDARLDEMEMMDGFEIALKKLTEWLDSNKCPNETEPIYKVGYKSADYRLMATAKSYFQTLLEPKGIHVEILNITELSDYRKDGHPTVFRKQYVPLTKEQIAKPASYADCTHWCLPGVPDAWNEFLYSYLMYR >PVH34113 pep chromosome:PHallii_v3.1:8:25744007:25744522:-1 gene:PAHAL_8G146800 transcript:PVH34113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPAATTSSVASPDRTRDVQCHRCKGFGHVMRDCPSKHVLVVKNDGEYSSTSELDKDILALLAADHAGSEGCSEEHINAAEADRYESLIVQRVLSAQMEKAEQNQQHTLFQTKCVIKDCSCRVIIDGGSCNNLASSDMVEKLALTTQPHPHPYCIQWLNNTGKAKVTKLV >PVH34641 pep chromosome:PHallii_v3.1:8:44685748:44688160:1 gene:PAHAL_8G266200 transcript:PVH34641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAFHTATFTLPLPFLADIHQLCSALFPAMAAAPEEFLFVHPEPPSPSVFLDLPPTPRRDDPAAFDDMALPYIARLLMDEEAAGEDSFFYQYPDHPALLQAQLPFAQILSENTASPSVSADTEARRGSSDSDHSPTLGSPAADHATDMVTSAFLKGMEEATKFLPTNYALLLNHNSVDEAVNGGHARGRKNNRHAAGYEYDDADPEQKARRATKLVAPEPDEDGARQMFDDMMLRERDICMKGAQQRLTVDANHKAPRRRRRRGGTSTDDAVDLHALLLRCAQAVATDDRRCAHDLLAQVRRHSSSTGDAAQRLAHCFAEGLEARLAGTGSRLYHSLMVRPTSVVDFLKAYQLFMAACCCKKVAFTFSNKTIFDAVAGRRRLHIVDYGINYGFQWPGLLRGLAAMQGGPPEVRITGIDLPQPGFRPAYQIEETGRRLTNCARELGVPFKFRGIAAKRETISPEDLDIDPAEVLVVSSLCHFRHLMDESVVLGRPSPRDQVLGNIRRMRPDVFIHGVINGAYGTTYFPTRFRELLFYTSAQFDLLEATVPRDSQERLLIERDIFGRSAMNVIACEGADRVERPETYKQWQARNQRAGLRQLPLKPQVVKVVLDKVKDNYHKDFVVDEDQSWLLHRWKGRVLYGLSTWVADDATSSR >PAN41339 pep chromosome:PHallii_v3.1:8:2631319:2633949:1 gene:PAHAL_8G036200 transcript:PAN41339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLIAAGLLLLLLWCQAAAGVGGVVGRRPPTLARHYHHTSSSSSRRQRAAAGDDAGVSVPAMVQYETRHYTQRLDHFNSAPASYATFQQRYLVNDTFWRGRTAPILLYAGNEGDVELFANNTGFMWEAAPRFGAMLVFVEHRYYGRSMPFGGREAAFRDAGTKGYLTVTQALADYASFVLSLKANLSAPAAPVLVLGGSYGGMLAVWMRLKYPHIVMGAVASSAPILSFYGLADPYAFYDRMTDDFKSESKHCYEVLRDSWAELDSLLATKEGTARLKSAFNMCNGSSVEDIPSLLESAVVYAAMTDYPTPSGFLTALPAYPVREMCRAVDRSGNDTLSRVRAAMGVYYNHTGAAACFRGEEEDDPYGMLEGWDWQACTEMVLMTYGLSNDSILQPPWPFNLTDVVASCRNATGLPPRPFWLETEFGGYDIGNVLKRSASNILFFNGLRDPWSTGGVLKSISDTIIALVEPKGAHHVDLRFSSKGDPEWLTRVRAKETRIIARWLKQYYSDEAIST >PAN41861 pep chromosome:PHallii_v3.1:8:6688588:6692483:1 gene:PAHAL_8G074800 transcript:PAN41861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVITARPPKRARVTAGPRPLDMRAFPAGGGGGEGLPPPRGPFRECVRAFLARCAVPVGGACWVAFRAGDEGGAALGMEVVEEDVARAGAARVYCEHCTVAGWSRHPVCGRRYHFIIRNEYDIQGNKTCKHCGLMAQLFETRCPSCNHGISYDDPEDWDYRQLDNPRHLLHGIVHDNGFGHLVQINGREGGSSLLTGIQLMDFWDRLCRYLRVRKVSLMDVSKKYGTDYRMLHAVATGHSWYGQWGFKLSKGSYGITSEEYFKAIDNLSSTPLSHFFPHSRSPRSQLQDTISFYQSLSNRPLTTIRELFLYVLGLATSKRVHIHYGSMHKKEQSHAHVQDTWDEEEIKRATDIALKVLRAVDRTRWVAMRTLKAAISHPVGSPQLVDYCLKTLAARTIDGMTVAVRCNSETKTLEYRLTDETNLRPNVSMPTQDHLRRDIKFLHDVLLYPHTMNPYQLEKDYEHAKRSAMILLDCKQFTKHYDLEQDFLPENPSMLHIWCHIELLDQVGDPPSIPPELLTLPQTATVADLKLEATKTSRDIYLMLQTFVANQLLDYGTASESTQVKLLFGANGTVRIQGKCAGGERRVGIYRMERGVDKWTVNCSCGATDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYVCKSCKFLNKPKRPRPVYSNGPNKRCKTGTGALRLVEVGHF >PAN41860 pep chromosome:PHallii_v3.1:8:6688588:6692483:1 gene:PAHAL_8G074800 transcript:PAN41860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLFETRCPSCNHGISYDDPEDWDYRQLDNPRHLLHGIVHDNGFGHLVQINGREGGSSLLTGIQLMDFWDRLCRYLRVRKVSLMDVSKKYGTDYRMLHAVATGHSWYGQWGFKLSKGSYGITSEEYFKAIDNLSSTPLSHFFPHSRSPRSQLQDTISFYQSLSNRPLTTIRELFLYVLGLATSKRVHIHYGSMHKKEQSHAHVQDTWDEEEIKRATDIALKVLRAVDRTRWVAMRTLKAAISHPVGSPQLVDYCLKTLAARTIDGMTVAVRCNSETKTLEYRLTDETNLRPNVSMPTQDHLRRDIKFLHDVLLYPHTMNPYQLEKDYEHAKRSAMILLDCKQFTKHYDLEQDFLPENPSMLHIWCHIELLDQVGDPPSIPPELLTLPQTATVADLKLEATKTSRDIYLMLQTFVANQLLDYGTASESTQVKLLFGANGTVRIQGKCAGGERRVGIYRMERGVDKWTVNCSCGATDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYVCKSCKFLNKPKRPRPVYSNGPNKRCKTGTGALRLVEVGHF >PVH33837 pep chromosome:PHallii_v3.1:8:6688588:6692483:1 gene:PAHAL_8G074800 transcript:PVH33837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWDRLCRYLRVRKVSLMDVSKKYGTDYRMLHAVATGHSWYGQWGFKLSKGSYGITSEEYFKAIDNLSSTPLSHFFPHSRSPRSQLQDTISFYQSLSNRPLTTIRELFLYVLGLATSKRVHIHYGSMHKKEQSHAHVQDTWDEEEIKRATDIALKVLRAVDRTRWVAMRTLKAAISHPVGSPQLVDYCLKTLAARTIDGMTVAVRCNSETKTLEYRLTDETNLRPNVSMPTQDHLRRDIKFLHDVLLYPHTMNPYQLEKDYEHAKRSAMILLDCKQFTKHYDLEQDFLPENPSMLHIWCHIELLDQVGDPPSIPPELLTLPQTATVADLKLEATKTSRDIYLMLQTFVANQLLDYGTASESTQVKLLFGANGTVRIQGKCAGGERRVGIYRMERGVDKWTVNCSCGATDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYVCKSCKFLNKPKRPRPVYSNGPNKRCKTGTGALRLVEVGHF >PVH33836 pep chromosome:PHallii_v3.1:8:6688588:6692483:1 gene:PAHAL_8G074800 transcript:PVH33836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWDRLCRYLRVRKVSLMDVSKKYGTDYRMLHAVATGHSWYGQWGFKLSKGSYGITSEEYFKAIDNLSSTPLSHFFPHSRSPRSQLQDTISFYQSLSNRPLTTIRELFLYVLGLATSKRVHIHYGSMHKKEQSHAHVQDTWDEEEIKRATDIALKVLRAVDRTRWVAMRTLKAAISHPVGSPQLVDYCLKTLAARTIDGMTVAVRCNSETKTLEYRLTDETNLRPNVSMPTQDHLRRDIKFLHDVLLYPHTMNPYQLEKDYEHAKRSAMILLDCKQFTKHYDLEQDFLPENPSMLHIWCHIELLDQVGDPPSIPPELLTLPQTATVADLKLEATKTSRDIYLMLQTFVANQLLDYGTASESTQVKLLFGANGTVRIQGKCAGGERRVGIYRMERGVDKWTVNCSCGATDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYVCKSCKFLNKPKRPRPVYSNGPNKRCKTGTGALRLVEVGHF >PAN42843 pep chromosome:PHallii_v3.1:8:37320637:37321116:-1 gene:PAHAL_8G191400 transcript:PAN42843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLMTIFAFLLLLCLCNRGNAQHCSLSDLTVTQTAVPSRVDGSTKYTVTVENRGFASFLGFNTDMLSVDGDGKLCTLNGGRPIGMGPDYAVKFSYTWRSEFSFKPVSSSIACS >PAN41248 pep chromosome:PHallii_v3.1:8:2131325:2132222:-1 gene:PAHAL_8G030200 transcript:PAN41248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSRPHHLLRPLVRGFHASAQALARAEPHEFSKPSGYLGSWEPAGEPREAWAQLDRLRKGYARDVRQLRREYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEEDFRQALMKERAEKLESWRKKEKLREQKKAEQKELLRKKSSMWLSEDKLEDQILDAIKNTTPL >PAN42344 pep chromosome:PHallii_v3.1:8:14565008:14565716:-1 gene:PAHAL_8G107000 transcript:PAN42344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLCSCFGVAPAAETGKKPASEQQLAAAGDEEKKKHQAAGDQETAGGEAGGPKTMAVDRSMVVTDKRAQTPVVMHQFPFHSRPGLL >PAN43728 pep chromosome:PHallii_v3.1:8:44194766:44196431:1 gene:PAHAL_8G260500 transcript:PAN43728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLLAHLYEQASPQSLALILLLFLIAVHLAMPRSRAEKLLSKLPSPPFKLPIMGHLHLIGSLPHRSLCDLARNHGPDVMLLRLGTVPTLVVSSPRAAKAVLRTHDHHFASRPHSAVANILFNGSTDVAFAPYGDYWRQTRKVVTTHLLTSRKVRSNRAAREQEVRLVLARVRAAAAALMAVDLSEVFSFFANDIVCQAVVGRFPREEGRNKLFREMLETNAKLLGGFNLDDYFPSLARLNLVSAKAVKHKKRWDDLLDDLIDKHASKTANDEEEEDFIDVLLSVQQEYNLTRENIKAILMDMFEAGTDTTYIALDYAMAELMRKPQAMTKLQAEVRRCAAKGKEMVTEEDLSSMSYLKAVMKESMRLHAPGPLLIPHFSTADCDVEGYTIPSGTRVVLNVWAMGRDPTRWENAEDFMPERFLEEGMDAASDFQGNDFRFLPFGSGRRICPAINFTTATFEIILANLIYHFNWELPPGSTGINMTESFGMDVHRKENLLLMPCLAQDV >PVH33828 pep chromosome:PHallii_v3.1:8:6498382:6499626:1 gene:PAHAL_8G073500 transcript:PVH33828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPLRKSLQDLGMDTQRLILSRIPCIVDRGRISLVCRAWRDMVRSQQHMLVGRLLPQPRSLQWLLLRAPFPAGSNRVVCVLSGCRVHHYINVVPPDARCFGSHDGAWLLVDTREPVHRAAAVNARTGAFRDLPRELLRRTEPYVYRMVIHAATLSSSPDDANCVGAAFVTAWRHPAPCAGPPPRRRCVALWRRDWPRALDFMPPGQDDVSLNEEDVLYLNHCGAFAFVTQGEHLRLCVSLRLPENGLSTRWWTLRFRPSGHLYDHFVRSRYLVVSGGELLMVVRFTPHPNQPTSKFRVFRMAKRNVNDDNADFPIALYPWAWSELDTLGGWMLFVGHGCSRSYMVDKYPGFKEGIYFLDDGNFYDDAVIFDNGNGNHYPCSDNGKWSDPSVHSAPVWLLP >PVH33907 pep chromosome:PHallii_v3.1:8:10380889:10381815:1 gene:PAHAL_8G090800 transcript:PVH33907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDHKGHFHTNALHWEGFSRLLWESLSLFHYTEPPQYDGVEYREEGVLRCRVKMTIPQHPFRSQWHPIEVEVVGYRLVDTIETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPGNLEWNFRTEHLGHMLGDLAEEIVRSLTRFMDVQHYYQILLRHSMGQLTSAAQSHYRNADRQVTQIVELQALINESDHIITQRDTVIEFLQAQIHDLILAADDAQAHLEELQQQPILPVAPVMPEEEEDPEEIEGVSEIDSEHGDPVLSPYHSSSGSQSSIGNFDNF >PAN41127 pep chromosome:PHallii_v3.1:8:1295039:1297027:-1 gene:PAHAL_8G017900 transcript:PAN41127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSQDESENDDQGQPLDMKPLRSLAPMFPTPLGYDVTTQSTDPMLVFVTPFRPRASPEQSAASFGQPLPKSPIPLKATPISAAFPIPRPEYEWDEDYKPFSDHKKPTSTSRKTTKRTQQAGYSDAANIKRRSTKRSLNIELASCPSSSSDPNESVEEIMMMFDSLRRRILQLDEKEDAGRRADLKAGTLMMQNGLRINNLKTIGPVPGVEIEDIFFFRIEMCIVGLHAPAMAGIDYISAKHVRNNDTLAVSIISSGGYENDDNDIDILVYTGQGGNSRHKEKHDQKLERGNLALMNSMKRKNLIRVVRSAQDPFLNLGKIYIYDGLYRVEDSWMDKAKNGFSVFKYKLRREPGQPDGISVWKMTEKWKANPATRDKAILLDLSSKVENIPVCLVNDVDDEKGPSYFNYVTGVEYLRPLSKTKPLQSCKCPSVCLPGDPNCSCSQLNGGDLPYTSSGLLVKHIPMLYECSSRCQCSQNCRNRVTQKGVYLNFEVFWTGDCGWGVRSWDPIRAGTFICAKSSGNVARFLNHSCSPNLLWQPVQYDHGDNSYPHIMFFAMKHIPPMTELTYDYGTRGAPPGIKGKFPNAPKLKPCLCGSTNCRGSF >PVH34266 pep chromosome:PHallii_v3.1:8:35233839:35235853:1 gene:PAHAL_8G182000 transcript:PVH34266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKDLLTATRGRNLVTYASSLLDSDYKEDYESGARILVVFIGKQKLPVEELIRSSRKRIQKLVMMLSWTDRDYQDTRLFAAKIVAHVALHISIAQFPGTLESIRSLLKPSESNSILFGTPLKSKPTTEEVRSGTEHTVQTKPATEYDADARMECQLIEQGLIILDQLSLDRTNCSEILKAEPLLMDILSWICSRPFIHDNEQEGEWVNILRLSFTVLAQLASLRGQLALKLLRKIKFEFNPSWVSGYARQPDIQILAIKTFSYVFTATAEDSSDAPEIKKLATTETSQQALPRGKSEKGKKWDKREHFLKVILMLFLPNENIEQGGTSSMALPTVQSVAGEALTMLSLSSRNCKYLLDLKEGMALTKLEQIIYSEDRIEYRAIAADILKRLYAVLCDPDLYPNEQKVPHIERVLPKQHLRLQAALVRIICDMQSNEKISGSEFSRLVGNRSHFAKLLKKLIVTNSRAGTDYHLEIVKWTAALIGSMLTRDRVWAQEIRKQGIVESLSDASKIMSGADSWMLYLPWLLLCKRYRIN >PVH34301 pep chromosome:PHallii_v3.1:8:37101969:37103139:-1 gene:PAHAL_8G190000 transcript:PVH34301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFSLILISSVFSPRGARRRHAGGARRVFRQERLREGARNMRRSSPRRRVASSAWQAQRPRTGGAR >PAN43083 pep chromosome:PHallii_v3.1:8:39418642:39421840:1 gene:PAHAL_8G209400 transcript:PAN43083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMKQALPGIGMRSSNCQKSAPDRISALPDELLLHVMYVLTLQEAVQTSLLSRRWKNLWASLMWLNFDAAKFSSMRTYRKFVDNALQYRSSLPMLVPLVAFWISTVCNNSDDSLDYSDIQPWIHHALNSKAWALGILKHSGPKPLSMEGYPFPFTSVYLKILGLSHCFIDDWFVQNLSSCCPVLDDLDLMSCAIHVTMFSSTALKSLAITSTQYRVSVACDRYAKPSLPEPRGDSEKNYSPHACVISENSLNIPVLTLFWTLAGSVQYSQCFFKCHQLDLPRCLTFSKLKQLHLGGWFLSGGCYPLIYLLRRSPNTEKLILQLDTSGADDCARLAKAYAEIYPTCKEAAATFGFGKLRKIRIYCHLQRDKKRAAIIMLALSTHISPLPSIKVKPLPV >PAN41184 pep chromosome:PHallii_v3.1:8:1782597:1786672:1 gene:PAHAL_8G024600 transcript:PAN41184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGMVVRACAPPAAVGAASAAPSTRDAAQVKRSPSRTARVLVLGGTGRVGGSTATALSKLRPDLSILVGGRNREKGESFASKLGERSEFVQVDTRNARMLEEALQGVDLVVHTAGPFQRSEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKSAGVPAITTAGIYPGVSNVMAAELVNAARSENYGEPERLRFFYYTAGSGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVQKLVKSVDPLVRAIDGIAGERVSMRVDLDCSNGRNTIGLFTHKKLSVSVGFATAAFSLAVLEGNTQPGVWFPEEPEGIAVEARKLLLERASQGTSNFVMNKPSWMVETDPKEVGLGIYV >PVH33578 pep chromosome:PHallii_v3.1:8:1782727:1785752:1 gene:PAHAL_8G024600 transcript:PVH33578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGMVVRACAPPAAVGAASAAPSTRDAAQVKRSPSRTARVLVLGGTGRVGGSTATALSKLRPDLSILVGGRNREKGESFASKLGERSEFVQVDTRNARMLEEALQGVDLVVHTAGPFQRSEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKSAGVPAITTAGIYPGVSNVMAAELVNAARSENYGEPERLRFFYYTAGSGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVQKLVKSVDPLVRAIDGIAGERVSMRVDLDCSNGRNTIGLFTHKKLSV >PAN41612 pep chromosome:PHallii_v3.1:8:3718235:3719998:-1 gene:PAHAL_8G048900 transcript:PAN41612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGNCSQLTRTRLADDVITYSSRFKGYLPRHSMLDRFSPCQAEVAPSPTASACCCAGQNKVQSSPARSIKNEI >PAN41691 pep chromosome:PHallii_v3.1:8:5214842:5216470:-1 gene:PAHAL_8G063800 transcript:PAN41691 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MERDTMDVSLENYTSYLSDDCLLSIFNRLESELDRNAFGLTCRNWLKVRNIGRKSLTFHCSFNPKTDKEHAKCIPKLLARSPCLNRISLAGLTELPDCALDALRMSGSSMRSLSLYCCSGITDDGLAQVAIGCPNLVVVELQSCFNITDAGLESLSKGCHALKSLNLGSCTGISDRGVSAIFSNCSNICTLIITGCRRLSGVGFRGSPSTLHFLEAESCMLSPDGLLDVVSGGGLEYLNLHKLGSSTGLDGLGGLAFARSLRILNLRMCRYLSDDSVMAIASGCPFLEEWNLAVCHGVHLPGWSAIRLYCNKLRVLHVNRCRNICDQSLLGLGNGCPRLEVLHINSCVKITNNGLDLFTISRPQVNIRVDEVMSIGPSIENLFRVQ >PVH34537 pep chromosome:PHallii_v3.1:8:42701517:42704169:-1 gene:PAHAL_8G241800 transcript:PVH34537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKTAKFQKNITRRGSVPETTVKKGNDYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGMA >PAN43286 pep chromosome:PHallii_v3.1:8:41278318:41278949:1 gene:PAHAL_8G226600 transcript:PAN43286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILFNKDARVLCCLAITLVAMATLFSSSHAQGECNPLPQCSDKLCTWNCQRLGYDNPRAHCVRAKPGQKYATCCCQVGSMGVRPSPLSKR >PVH33526 pep chromosome:PHallii_v3.1:8:1264808:1268123:-1 gene:PAHAL_8G017400 transcript:PVH33526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGPSSAAPEPPPPPPEEGAGWVLLPPSEVEGIDDPKVIHWEDLQQELARLWSLSAALQSVRDRKAQLAARLESSIEARRAFLQQDNELAEMRQRLQEHTHHLGDLKVRTKKSSDDIGDKREQLCVKIRTLSVASKTLGTARNNLEEANKLLSAENGHGHLKNMEQKLRKRQQYMVTQVSQIYPVRPLDEQSPCHKLGTTTSIIKTSTAESILPKGSQKRPLAILGLQLLKPTAKKTGYFSDKTDFQKSSTVLGYAAHAVSLIGSYLNVPLRYPLRFGGSQSYVLDHAPAVEPSSITSVVSSVHPSTSMKTMEFPLFFDGQETTRSAYAVFLLNKDIEQLLNYIGAESLGPRHVLANLNQLTTIIQSQQYISS >PVH33524 pep chromosome:PHallii_v3.1:8:1263411:1268318:-1 gene:PAHAL_8G017400 transcript:PVH33524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGPSSAAPEPPPPPPEEGAGWVLLPPSEVEGIDDPKVIHWEDLQQELARLWSLSAALQSVRDRKAQLAARLESSIEARRAFLQQDNELAEMRQRLQEHTHHLGDLKVRTKKSSDDIGDKREQLCVKIRTLSVASKTLGTARNNLEEANKLLSAENGHGHLKNMEQKLRKRQQYMVTQVSQIYPVRPLDEQSPCHKLGTTTSIIKTSTAESILPKGSQKRPLAILGLQLLKPTAKKTGYFSDKTDFQKSSTVLGYAAHSPSLDPISMFLFAIRCALEVHSHMYLIMRLQ >PAN41134 pep chromosome:PHallii_v3.1:8:1264808:1268123:-1 gene:PAHAL_8G017400 transcript:PAN41134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGPSSAAPEPPPPPPEEGAGWVLLPPSEVEGIDDPKVIHWEDLQQELARLWSLSAALQSVRDRKAQLAARLESSIEARRAFLQQDNELAEMRQRLQEHTHHLGDLKVRTKKSSDDIGDKREQLCVKIRTLSVASKTLGTARNNLEEANKLLSAENGHGHLKNMEQKLRKRQQYMVTQVSQIYPVRPLDEQSPCHKLGTTTSIIKTSTAESILPKGSQKRPLAILGLQLLKPTAKKTGYFSDKTDFQKSSTVLGYAAHAVSLIGSYLNVPLRYPLRFGGSQSYVLDHAPAVEPSSITSVVSSVHPSTSMKTMEFPLFFDGQETTRSAYAVFLLNKDIEQLLNYIGAESLGPRHVLANLNQLTTIIQSQQYISS >PVH33525 pep chromosome:PHallii_v3.1:8:1262798:1268318:-1 gene:PAHAL_8G017400 transcript:PVH33525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGPSSAAPEPPPPPPEEGAGWVLLPPSEVEGIDDPKVIHWEDLQQELARLWSLSAALQSVRDRKAQLAARLESSIEARRAFLQQDNELAEMRQRLQEHTHHLGDLKVRTKKSSDDIGDKREQLCVKIRTLSVASKTLGTARNNLEEANKLLSAENGHGHLKNMEQKLRKRQQYMVTQVSQIYPVRPLDEQSPCHKLGTTTSIIKTSTAESILPKGSQKRPLAILGLQLLKPTAKKTGYFSDKTDFQKSSTVLGYAAHSPSLDPISMFLFAIRCALEVHSHMYLIMRLQ >PVH34352 pep chromosome:PHallii_v3.1:8:38936204:38939945:-1 gene:PAHAL_8G204600 transcript:PVH34352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAAVEEGRAAELAAPLRDLLPPVDFCCAYGSTLLHACPDRTSMVDYILGVADPLQWHSENLERNPAHYSRWMGRFGSGAITGLADRVGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHILVDNWDIRKLNTINLEMATSASLLLLPEEFTEYDLYAQICSLSYMGDLRMLFAEDKDKVKKIVEGSFQSFQSMYRPLLQEYIAEGLLKRSSHGQQKTFHQANAGKICISWKRNANTNCSLFKGHGSKLCAKGPEAPGNGFKRTSSNMWAACLWWCGCCSIPGEEDGQGLAI >PAN43018 pep chromosome:PHallii_v3.1:8:38936880:38939796:-1 gene:PAHAL_8G204600 transcript:PAN43018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAAVEEGRAAELAAPLRDLLPPVDFCCAYGSTLLHACPDRTSMVDYILGVADPLQWHSENLERNPAHYSRWMGRFGSGAITGLADRVGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHILVDNWDIRKLNTINLEMATSASLLLLPEEFTEYDLYAQICSLSYMGDLRMLFAEDKDKVKKIVEGSFQSFQSMYRPLLQEYIAEGLLKRSSHGQQKTFHQDCSPSTTNELFSVLPWTIQRQMQGRYVFPGKEMPTRTVVSSKDMAANCVRRALRHRVMVSSARQAICGLLASGGAVAAQYLGKKMAKAWQSRAA >PVH34359 pep chromosome:PHallii_v3.1:8:39035096:39036025:1 gene:PAHAL_8G205500 transcript:PVH34359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVATYPIGLFPTIDPGNLDWDFRIDHHGHLLGDLAEETVRIVTRFMDITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRGTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPVIPIVPEEEEEDPEEIEGVSEIDSEHEDPVLSPHHSSSGSQSSVGNFDDF >PVH34107 pep chromosome:PHallii_v3.1:8:25151306:25152437:1 gene:PAHAL_8G144800 transcript:PVH34107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRIPTTSPRTTSRVPSCTQSCLWVRSPLELRMTQDSDDPLFVVSVVIWAFVVILAIVALHCPLPRRVVR >PVH34256 pep chromosome:PHallii_v3.1:8:35076846:35076959:1 gene:PAHAL_8G180600 transcript:PVH34256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNPFMLTITSYFSFLLAALTITPALFIGLNKIRLI >PAN43590 pep chromosome:PHallii_v3.1:8:43228137:43232187:-1 gene:PAHAL_8G248400 transcript:PAN43590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MENEAMGGHVMVPLESLSLELPNGEILVGYDKDISSLQEDISALRSRQRHLDRRRREALDKLIDLKGSIRVFCRVRPLVQTNNLKTKSPVTVEQEKIAVKSVGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAFGQTGTGKTYTMEGTDGKLGIVPRAIQELFSHASEDSSSTYSFSISMLEVYLGSLRDLLAPRQPLFRPTECSTACNLSILATKSGAVEVEGLTDVSIPDLKKANQWYCRGRRARSTSWTNVNDVSSRSHCLTRITIRRHGATEEVSKLWLIDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSEDDIGETVCSLSFAKRARSIESNRDIPEDLKMLKQKRIAELDKEVCDADEELKYLNEQIRRAEISLEEKKKLTSSICQVLSDEKGSPRSTLVVGHIDATESPHPTEKAKIRISHGSVPHFMSSTVCSRQRHSAGSHSVSKPRLTKSVNRYPAELTGSQSFSYSSCKNAAKARSVAFSASVPKMKCLPVKSDLINISSNSIDSTAASAPRRRESFVSRPVQRAPLHQHRRRMSSLT >PAN43589 pep chromosome:PHallii_v3.1:8:43227932:43232578:-1 gene:PAHAL_8G248400 transcript:PAN43589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MENEAMGGHVMVPLESLSLELPNGEILVGYDKDISSLQEDISALRSRQRHLDRRRREALDKLIDLKGSIRVFCRVRPLVQTNNLKTKSPVTVEQEKIAVKSVGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAFGQTGTGKTYTMEGTDGKLGIVPRAIQELFSHASEDSSSTYSFSISMLEVYLGSLRDLLAPRQPLFRPTECSTACNLSILATKSGAVEVEGLTDVSIPDLKKANQWYCRGRRARSTSWTNVNDVSSRSHCLTRITIRRHGATEEVSKLWLIDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSEDDIGETVCSLSFAKRARSIESNRDIPEDLKMLKQKRIAELDKEVCDADEELKYLNEQIRRAEISLEEKKKLTSSICQVLSDEKGSPRSTLVVGHIDATESPHPTEKAKIRISHGSVPHFMSSTVCSRQRHSAGSHSVSKPRLTKSVNRYPAELTGSQSFSYSSCKNAAKARSVAFSASVPKMKCLPVKSDLINISSNSIDSTAASAPRRRESFVSRPVQRAPLHQHRRRMSSLT >PVH33912 pep chromosome:PHallii_v3.1:8:10676463:10676853:1 gene:PAHAL_8G091800 transcript:PVH33912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLMVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN42305 pep chromosome:PHallii_v3.1:8:13927041:13929518:1 gene:PAHAL_8G103300 transcript:PAN42305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHDSALSLGVQHTHPSSPVCNPAIPHTRRKSAALIRSSSRKRDAQSMGEGVSLASEEEISLIAAASSIASTLPLSPLRVCNYGSLRAAAAAAPIGRSPGVSVTAQQRPLPLVIVAPPSHSRPHRLCCQAVCRIHSNPPPAGR >PVH33931 pep chromosome:PHallii_v3.1:8:13927041:13929519:1 gene:PAHAL_8G103300 transcript:PVH33931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHDSALSLGVQHTHPSSPVCNPAIPHTRRKSAALIRSSSRKRDAQSMGEGVSLASEEEISLIAAASSIASTLPLSPLRVCNYGSLRAAAAAAPIGRSPGVSVTAQQRPLPLVIVAPPSHSRPHRLCCQAVWRRGPSD >PAN42304 pep chromosome:PHallii_v3.1:8:13927041:13929518:1 gene:PAHAL_8G103300 transcript:PAN42304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHDSALSLGVQHTHPSSPVCNPAIPHTRRKSAALIRSSSRKRDAQSMGEGVSLASEEEISLIAAASSIASTLPLSPLRVCNYGSLRAAAAAAPIGRSPGVSVTAQQRPLPLVIVAPPSHSRPHRLCCQAVWIHSNPPPAGR >PAN43323 pep chromosome:PHallii_v3.1:8:43042144:43045208:-1 gene:PAHAL_8G246500 transcript:PAN43323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKDMQSAGGAPWRRNSDESVSPRCVLDDGCTTSSNSSGTTTTTMGTSSAGAAANTTTFPAATATTYAADAPASARQDGPPPWKAVAEAWRSRAKRQLSGRIPPLGPAVSSTLRRLSVRRPPEPDRAEEEAVREFCVLKPSLRTFSLAELRKATRNFSKENVVGRGGFAKVYRGSLPGGELVAVKKLTAAQGADRMEGFLSELGHVVNVSHPNIARLVGVGVDGGEHLVFPFSRLGCLSGMLHGSGGAEPMPWEARYRVAVGTARGLEYLHERCARRIVHRDIKPANILLMDNYEPLICDFGLARWLPAKLTHLQVTVFEGTFGYVPPEYTTHGVFSEKTDVYALGVVLLELLTGRRAIDAAKLSLVSWAKQYLVDGEEDEMPKMADPALGGRYDAEQLRSVAWAAKLCVQSSPDHRPQMSKVVQILAGERTQAHPWGERHRGSHHPGELHETDGYDAAPGCLDDLSRHKALAFDLDGESTPRTHVGPP >PAN42630 pep chromosome:PHallii_v3.1:8:27678699:27681026:-1 gene:PAHAL_8G153400 transcript:PAN42630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGVQQHLLHDDDPPNVPPKIPPGRARRFRRCQTAPSHNVEQGSALRSRQGASAASPLVPPKGLLTGGMRPRFWLVGVLLLAYLLAGTAAFYLVMDHMSGDRSGNRALDALYFCVVTMTTVGYGDLVPSSDAAKLLACAFAFAGVALVGAFLSKAADYLVEKQEALVFRTFHLHHADDYKSMRDMEANKVLYKLYTSAALLAVILASGMAFLVKVEGMRPVDAFYCVCATVTTLGYGDRSFTSAAGRAFAAAWITVSTLVVALFFLYAAELAAERRQKALAQWVLTRRTTSMDLEAADLNGDHRVSAAEFALYKLKELGKISQEGISEFLEEFDMLDADHSGTLSCHDLAVAQPG >PVH33534 pep chromosome:PHallii_v3.1:8:1350053:1350256:-1 gene:PAHAL_8G019100 transcript:PVH33534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFLLGFGNSLLVLARMNILSCVSTPTSQMEFKCNSKRKNLQCSQTHQFGYQLVAGCHYSRIYRTS >PVH33582 pep chromosome:PHallii_v3.1:8:1834832:1835388:1 gene:PAHAL_8G026000 transcript:PVH33582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDESYGNVSTMAAGEPHADPELPRPEARNTEVEFANMFARFARLAPFMHRLPPKRLRAERAVGGRVPGAGGVGADSGFVGVPASGDAMAALPETTVGDGGAGEEEEECAVCLEGYAAGDRRRTMPCSHGFHGHCILRWLAASRLCPLCRFAMPAEVEPEADDEDDGVTFEPLPSDFCADE >PVH33560 pep chromosome:PHallii_v3.1:8:1546642:1548111:1 gene:PAHAL_8G022900 transcript:PVH33560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGPEIFSRGFRLNPTPLEAATYYLPQLLAGAPLHEAIRPFIHHADVYACEPGELARQFRPLPRTGHRFFFTHCKLQQPHKAGKAGRATRAAGAGSWHSQGVTDVVDRKKVKVGEMRKLRYKKGGAYTDWLMDEYSCCLQDAVVGDRQYVFCNIYASPRAAPGSETRQESAAFFATPAPVVIAQAPPPKRLAPQIAEPPCPKRTRGAVVAPVPPVVQPAASCTAYFAPPRPCVPNSAVALSRLAAPRPCVPNGSVAPSSTSTPSVIRSSPASAQPPARAPTRLAAPPSRTPAHGPPQPVAQPKQQICLGGVAPPSTPSVSGPSPASAQPPASAPTRLAALPRRTPAPAPPQPVRQPKQQMPPPIPPVVRACHMPVQAPAQHCRPQPSAQTKKKTRDPFEAAEPRERDEAEEERVAAFDLPSEESPAALQDDDFDEDDLAKAMEEAVKTAEAEEEAAGNSTMTDDEMEQHIFSLLGNDTVIVPKEEKK >PVH34577 pep chromosome:PHallii_v3.1:8:43636174:43643852:1 gene:PAHAL_8G253400 transcript:PVH34577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQAATLAQLAGVDAGGLISMIIQAVQTVHRNREECRQLVHHVMMIGDLLQVLQQSEMMQRPEIRRPLDGLEDTLRNAYVLVTSCQRSNVMYRFFMAWNQAQKFRDVRDRIDSYLRIYPLISHIDTRYFLSGIYSRTHPSGTQPQVPEEVQESFPSHTNSDYRTQESASDDNGNESVEVQTVTKPFAVEEQKHYGCKNAQVLPKRRHWFRRLVQWTRRDTSTPEFIQGLIGQEQTVGSLNEIWFETPSSAKGEMRFQLTGFTVFKFSQLAALTNNFSSYNIIGRGGYTNVYKGILPNGVVIAIKFYRGEHISSRAEFENEVQIISKLQHSNIHKLLGCCIEGDSRILVYEYMPRGSLHFIIHELRAGVSLAWPKRFQIIEGIAQGVVYLHQHSRPRIVHGDLKPSNILLDSDMTPRIIDFGLAQVLSYEDETDTVGVAGTLYYIEPEFCRTGIMSTKSDVYSFGVTCLEIITARNASTLSPEGQSLVVYAWELWSSERATELIDPALVEPGTSKILRFFQIALLCVQDNRADRPTMSDVLMMLKCDCLTLPVPRRPDVYPQWPPRGLSADDGDSVGRRSYSSAGWTSEELEGR >PVH34579 pep chromosome:PHallii_v3.1:8:43637187:43643720:1 gene:PAHAL_8G253400 transcript:PVH34579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVGCKNAQVLPKRRHWFRRLVQWTRRDTSTPEFIQGLIGQEQTVGSLNEIWFETPSSAKGEMRFQLTGFTVFKFSQLAALTNNFSSYNIIGRGGYTNVYKGILPNGVVIAIKFYRGEHISSRAEFENEVQIISKLQHSNIHKLLGCCIEGDSRILVYEYMPRGSLHFIIHELRAGVSLAWPKRFQIIEGIAQGVVYLHQHSRPRIVHGDLKPSNILLDSDMTPRIIDFGLAQVLSYEDETDTVGVAGTLYYIEPEFCRTGIMSTKSDVYSFGVTCLEIITARNASTLSPEGQSLVVYAWELWSSERATELIDPALVEPGTSKILRFFQIALLCVQDNRADRPTMSDVLMMLKCDCLTLPVPRRPDVYPQWPPRGLSADDGDSVGRRSYSSAGWTSEELEGR >PVH34580 pep chromosome:PHallii_v3.1:8:43637187:43643720:1 gene:PAHAL_8G253400 transcript:PVH34580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVGCKNAQVLPKRRHWFRRLVQWTRRDTSTPEFIQGLIGQEQTVGSLNEIWFETPSSAKGEMRFQLTGFTVFKFSQLAALTNNFSSYNIIGRGGYTNVYKGILPNGVVIAIKFYRGEHISSRAEFENEVQIISKLQHSNIHKLLGCCIEGDSRILVYEYMPRGSLHFIIHELRAGVSLAWPKRFQIIEGIAQGVVYLHQHSRPRIVHGDLKPSNILLDSDMTPRIIDFGLAQVLSYEDETDTVGVAGTLYYIEPEFCRTGIMSTKSDVYSFGVTCLEIITARNASTLSPEGQSLVVYAWELWSSERATELIDPALVEPGTSKILRFFQIALLCVQDNRADRPTMSDVLMMLKCDCLTLPVPRRPDVYPQWPPRGLSADDGDSVGRRSYSSAGWTSEELEGR >PAN43664 pep chromosome:PHallii_v3.1:8:43636174:43643852:1 gene:PAHAL_8G253400 transcript:PAN43664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQAATLAQLAGVDAGGLISMIIQAVQTVHRNREECRQLVHHVMMIGDLLQVLQQSEMMQRPEIRRPLDGLEDTLRNAYVLVTSCQRSNVMYRFFMAWNQAQKFRDVRDRIDSYLRIYPLISHIDTRYFLSGIYSRTHPSGTQPQVPEEVQESFPSHTNSDYRTQESASDDNGNESVEVQTVTKPFAVEEQKHYGCKNAQVLPKRRHWFRRLVQWTRRDTSTPEFIQGLIGQEQTVGSLNEIWFETPSSAKGEMRFQLTGFTVFKFSQLAALTNNFSSYNIIGRGGYTNVYKGILPNGVVIAIKFYRGEHISSRAEFENEVQIISKLQHSNIHKLLGCCIEGDSRILVYEYMPRGSLHFIIHELRAGVSLAWPKRFQIIEGIAQGVVYLHQHSRPRIVHGDLKPSNILLDSDMTPRIIDFGLAQVLSYEDETDTVGVAGTLYYIEPEFCRTGIMSTKSDVYSFGVTCLEIITARNASTLSPEGQSLVVYAWELWSSERATELIDPALVEPGTSKILRFFQIALLCVQDNRADRPTMSDVLMMLKCDCLTLPVPRRPDVYPQWPPRGLSADDGDSVGRRSYSSAGWTSEELEGR >PVH34576 pep chromosome:PHallii_v3.1:8:43636174:43643852:1 gene:PAHAL_8G253400 transcript:PVH34576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQAATLAQLAGVDAGGLISMIIQAVQTVHRNREECRQLVHHVMMIGDLLQVLQQSEMMQRPEIRRPLDGLEDTLRNAYVLVTSCQRSNVMYRFFMAWNQAQKFRDVRDRIDSYLRIYPLISHIDTRYFLSGIYSRTHPSGTQPQVPEEVQESFPSHTNSDYRTQESASDDNGNESVEVQTVTKPFAVEEQKHYGCKNAQVLPKRRHWFRRLVQWTRRDTSTPEFIQGLIGQEQTVGSLNEIWFETPSSAKGEMRFQLTVGFTVFKFSQLAALTNNFSSYNIIGRGGYTNVYKGILPNGVVIAIKFYRGEHISSRAEFENEVQIISKLQHSNIHKLLGCCIEGDSRILVYEYMPRGSLHFIIHELRAGVSLAWPKRFQIIEGIAQGVVYLHQHSRPRIVHGDLKPSNILLDSDMTPRIIDFGLAQVLSYEDETDTVGVAGTLYYIEPEFCRTGIMSTKSDVYSFGVTCLEIITARNASTLSPEGQSLVVYAWELWSSERATELIDPALVEPGTSKILRFFQIALLCVQDNRADRPTMSDVLMMLKCDCLTLPVPRRPDVYPQWPPRGLSADDGDSVGRRSYSSAGWTSEELEGR >PVH34578 pep chromosome:PHallii_v3.1:8:43636174:43643852:1 gene:PAHAL_8G253400 transcript:PVH34578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNGLGQAATLAQLAGVDAGGLISMIIQAVQTVHRNREECRQLVHHVMMIGDLLQVLQQSEMMQRPEIRRPLDGLEDTLRNAYVLVTSCQRSNVMYRFFMAWNQAQKFRDVRDRIDSYLRIYPLISHIDTRYFLSGIYSRTHPSGTQPQVPEEVQESFPSHTNSDYRTQESASDDNGNESVEVQTVTKPFAVEEQKHYGCKNAQVLPKRRHWFRRLVQWTRRDTSTPEFIQGLIGQEQTVGSLNEIWFETPSSAKGEMRFQLTVGFTVFKFSQLAALTNNFSSYNIIGRGGYTNVYKGILPNGVVIAIKFYRGEHISSRAEFENEVQIISKLQHSNIHKLLGCCIEGDSRILVYEYMPRGSLHFIIHELRAGVSLAWPKRFQIIEGIAQGVVYLHQHSRPRIVHGDLKPSNILLDSDMTPRIIDFGLAQVLSYEDETDTVGVAGTLYYIEPEFCRTGIMSTKSDVYSFGVTCLEIITARNASTLSPEGQSLVVYAWELWSSERATELIDPALVEPGTSKILRFFQIALLCVQDNRADRPTMSDVLMMLKCDCLTLPVPRRPDVYPQWPPRGLSADDGDSVGRRSYSSAGWTSEELEGR >PVH33581 pep chromosome:PHallii_v3.1:8:1824945:1825244:1 gene:PAHAL_8G025700 transcript:PVH33581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIGLAVLAAAVAAAAFLSLDSHRGRGDGDVAVLEIRGGDGGRLELIPVDGGAAGPESVAFDGAGGGPYAGVSDGRVLRWLPAERRWVERSSSCAPEL >PVH34529 pep chromosome:PHallii_v3.1:8:42557570:42561892:-1 gene:PAHAL_8G239300 transcript:PVH34529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGEITPVQNSIDDTLIAAAAAAAAIASSGSRRTQPPAPRRNWAARLSVYFCFGSPKNGRRINHAALFPEPTAPRTNAAAGEIPIHPPPPLLPFVAPPSSPASFQQSEPSSVVQSPSTGAPPFSPLSPNSPSSIGPTSIFAIGPYAHETELVSPPVFSAFTTEPSTAPFTPPESVHLTTPSSPEVPYGELLTSVNNSKNGETGDLQSYPSHPDSPIGHQLAYPSSGYSGHMHNGSSLLDAHITAAVPVADFSARLQHNDHAMDRRASFGLTAEDVARCLEKKIANAGDSVSASFRLAPTSSGSNTRESNDTMAGLYIDETYHDLPEKARRSLSLRLNKEFNFNIDDCTTVDPSVGSSWWANDKVTGITAEPEKS >PAN43488 pep chromosome:PHallii_v3.1:8:42557569:42561893:-1 gene:PAHAL_8G239300 transcript:PAN43488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGEITPVQNSIDDTLIAAAAAAAAIASSGSRRTQPPAPRRNWAARLSVYFCFGSPKNGRRINHAALFPEPTAPRTNAAAGEIPIHPPPPLLPFVAPPSSPASFQQSEPSSVVQSPSTGAPPFSPLSPNSPSSIGPTSIFAIGPYAHETELVSPPVFSAFTTEPSTAPFTPPESVHLTTPSSPEVPYGELLTSVNNSKNGETGDLQSYPSHPDSPIGHQLAYPSSGYSGHMHNGSSLLDAHITAAVPVADFSARLQHNDHAMDRRASFGLTAEDVARCLEKKIANAGDSVSASFRLAPTSSGSNTRESNDTMAGLYIDETYHDLPEKARRSLSLRLNKEFNFNIDDCTTVDPSVGSSWWANDKVTGITAEPEKS >PVH33634 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILQSGTVAVKRLSLALDMDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGIWDICRQNSIAEY >PVH33628 pep chromosome:PHallii_v3.1:8:2538760:2539462:1 gene:PAHAL_8G035100 transcript:PVH33628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33620 pep chromosome:PHallii_v3.1:8:2538760:2539462:1 gene:PAHAL_8G035100 transcript:PVH33620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33627 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILQSGTVAVKRLSLALDMDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQGYMPPEFYSGVLSTSSATSGSTLYKQDLHVHAVLTTGLQERE >PVH33633 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33635 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILQSGTVAVKRLSLALDMDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33632 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33623 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33626 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILQSGTVAVKRLSLALDMDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQGYMPPEFYSGVLSTSSATSGSTLYKQDLHVHAVLTTGLQERE >PVH33622 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33629 pep chromosome:PHallii_v3.1:8:2538700:2539462:1 gene:PAHAL_8G035100 transcript:PVH33629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILQSGTVAVKRLSLALDMDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33621 pep chromosome:PHallii_v3.1:8:2538103:2543807:1 gene:PAHAL_8G035100 transcript:PVH33621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33624 pep chromosome:PHallii_v3.1:8:2538345:2543807:1 gene:PAHAL_8G035100 transcript:PVH33624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILQSGTVAVKRLSLALDMDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQ >PVH33630 pep chromosome:PHallii_v3.1:8:2538103:2543807:1 gene:PAHAL_8G035100 transcript:PVH33630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQGYMPPEFYSGVLSTSSATSGSTLYKQDLHVHAVLTTGLQERE >PVH33631 pep chromosome:PHallii_v3.1:8:2538104:2543807:1 gene:PAHAL_8G035100 transcript:PVH33631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILQSGTVAVKRLSLALDMDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGIWDICRQNSIAEY >PVH33625 pep chromosome:PHallii_v3.1:8:2538103:2543807:1 gene:PAHAL_8G035100 transcript:PVH33625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNFNQEVSSLIRVKHKNIVRFLGYCADTQGKVEKYMGKMVIADVRQRLLCFAFMPNGSLDKHINDASRGLEWRTCYQIIKGICEGLHYLHQQKIVHLDLKPANILLDHSMVPKIADFGLSKFFDETQTRAITSKVVGTQGYMPPEFYSGVLSTSSATSGSTLYKQDLHVHAVLTTGLQERE >PAN41388 pep chromosome:PHallii_v3.1:8:2907646:2910988:-1 gene:PAHAL_8G039800 transcript:PAN41388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRRRGGTAALALLAALSALPAACLAVTSPYVRPAPRATLPLHKGADDDADGQTPQQVHISMVGADKMRVTWITDDAAPAIVEYGTTSGQYPLAATGNTTTYSYVLYKSGNIHDAVIGPLQPSTTYYYRCSSNPSREFSFRTPPATLPFKFVIVGDLGQTEWTESTLKHIAAADYDVLLLPGDLSYADLVQPRWDSYGRLVEPLASARPWMVTEGNHEVEKLPVVEPAPFKAYNARWRMPHDAGATPSGDNLYYSFDAAGGAVHVLMLGSYADHAAGSAQHEWLRRDLAAVDRGETAFVVALVHAPWYSSNEAHRGEGDAMRGAMEALLRGARVDAVFAGHVHAYERFARVYGGEEDPCAPVYLTVGDGGNREGLAGRYADPQPAASAFREASFGHGRLEVVNATHALWAWHRNDDDEPVLADQVWITSLAANPACRRNNN >PAN41422 pep chromosome:PHallii_v3.1:8:3164586:3168900:1 gene:PAHAL_8G042900 transcript:PAN41422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLASALISHTAHRPGLRSHIGSNGGNLSWHEKGKKRCDLTRVRSRAWSSDLGQHLMPSEIPRRDWFSHEFVFGSATSAYQIEGAWNEHGKGPSTWDHFCHNHPERIFDRSNGDVAVNSYHLYEEDVKLLKEMGMDAYRFSISWSRILPKGTLEGGINYQGLQYYKNLINTLKENGIEPYVTIFHWDTPQQLEENYGSFLSRMIVKDYTDFARLCFEHFGDKVKNWFTFNEPHTFCTYAYGTGEHAPGRCSPGRNCAIPYGDSLSEPYLVGHNILLAHAEVAHLYKKYYKGEDGQIGMALDSLFFEPYGKTFLDEQAKARSIDFNLGWFMEPVSRGDYPFSMRSLLRDRLPYFKDDEQEKLKGSSNMMGLNYYTSLFCEHVDISPKFSPAVNTEDAYAIPKIYDHEGNAIGPDTRTQWIKSYPKGLKELLMIIRDKYGNPPIYITENGTADDDFGDLSMKDALDDGIRLEYLQRHISAVKESIDLGADVRGHFTWSLLDNFEWSRGYTCRFGLIYVDRNNGFKRHMKKSAEWFKEFNGASRKFINDKRGGIVVLNPALVGNN >PAN43527 pep chromosome:PHallii_v3.1:8:44236030:44237908:-1 gene:PAHAL_8G261000 transcript:PAN43527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGSLSVIYLQHFFRSSLASSSFTVLLTDNTQLADWSMEPEAAAWVPWDSQLTQWSMELSDCFQMANRTIEPAVWTPGLPVEYQYAATEIFGNFLQTSNPTSSSSGGQMIQYAAGTQNVLIEKAAAQEFEEAAREFKVDMDLMRMRIHRYPPSLREFDEWYTLPRMVAIGPYHHVRLRDQPKQVEKVKHVAAYHCIRESGHSLQEVYGAVVSAAHDARRLYGKDVMAGIGDADFLPMMFYDACFLVQYMLWCTHGIAEMDASLRSFFDFNRKVLRHDLMLFENQLPWRVVATVMRFRPVNLVDFISDWREYLQDRKVLEEKPVVLDDSYEPPHLLGLLRFYMVGRSKSKRHTGAKLDSISVSVSAIELAEIGITLTAAKDTIELIHMGVNMRGILSAELSLVPLSLDDERASFLLNMVALELCTTSNFLAAEDEDSAVCSYLLLLSMLVHREEDVQELRTRHLLQGGAGLINKDALDFFTMFQSLPLRGSCFVRVMVEIEKYKISMRMWTKVHTFFYRNKKTILTVFSIIGVLVSILGTLMSLKSRSKL >PAN43550 pep chromosome:PHallii_v3.1:8:42882403:42886390:1 gene:PAHAL_8G244200 transcript:PAN43550 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MQVHRTSPFRRRRRLPVASSAVHIAPFPLDQPAIDDGSERSCLTDVGLAHLARGCRGLEKLSLIWCSAISSTGLVRIAENCKNLTSLELQACYIGDPGLIAIGEGCKLLKNLNMRFVEGTTDEGLIGLVKSCGQSLVSLSVATCVWLTDASLSAVGSHCPNLEILSVESDRIRDDGVISIAKGCRQLKKLKLQCIGAGDEALDAIGLFCSLLESLSLNNFERFTDRSLSSIAKGCKNLTDLVLNDCQLLTDSSLEFVARNCKKLAQLKINGCQNMETAALEHIGRWCPGLLELSLIFCPRIQDSAFLEIGRGCSLLRTLYLVDCSRISDGALCHIAQGCKNLTELSIRRGYEIGDKALISVAENCKSLRELTLQFCERVSDAGLFAIAENCSLHKLNLCGCTLITDSGLTAIARGCPDLVFLDISVLRVVGDIALAEIGEGCPKLKEIALSHCPEVTDVGLGHLARGCLQVESCQMVYCRQITSAGVATIISGCSRLKKLLVEEWKVSERTRRRAGPVLSFLCTGL >PAN43548 pep chromosome:PHallii_v3.1:8:42881391:42886642:1 gene:PAHAL_8G244200 transcript:PAN43548 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGADLINPALPDELLDDVIRRVGAGPGGGKRDLDACALVCRRWRRLERASRRSARLAASGERADEVLRLVAERFPALADVSVDERLTAAGVVGAVPRSRRPRQARPVHRTSPFRRRRRLPVASSAVHIAPFPLDQPAIDDGSERSCLTDVGLAHLARGCRGLEKLSLIWCSAISSTGLVRIAENCKNLTSLELQACYIGDPGLIAIGEGCKLLKNLNMRFVEGTTDEGLIGLVKSCGQSLVSLSVATCVWLTDASLSAVGSHCPNLEILSVESDRIRDDGVISIAKGCRQLKKLKLQCIGAGDEALDAIGLFCSLLESLSLNNFERFTDRSLSSIAKGCKNLTDLVLNDCQLLTDSSLEFVARNCKKLAQLKINGCQNMETAALEHIGRWCPGLLELSLIFCPRIQDSAFLEIGRGCSLLRTLYLVDCSRISDGALCHIAQGCKNLTELSIRRGYEIGDKALISVAENCKSLRELTLQFCERVSDAGLFAIAENCSLHKLNLCGCTLITDSGLTAIARGCPDLVFLDISVLRVVGDIALAEIGEGCPKLKEIALSHCPEVTDVGLGHLARGCLQVESCQMVYCRQITSAGVATIISGCSRLKKLLVEEWKVSERTRRRAGPVLSFLCTGL >PAN43549 pep chromosome:PHallii_v3.1:8:42881391:42886642:1 gene:PAHAL_8G244200 transcript:PAN43549 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGADLINPALPDELLDDVIRRVGAGPGGGKRDLDACALVCRRWRRLERASRRSARLAASGERADEVLRLVAERFPALADVSVDERLTAAGVVGAVPRSRRPRQARPVHRTSPFRRRRRLPVASSAVHIAPFPLDQPAIDDGSERSCLTDVGLAHLARGCRGLEKLSLIWCSAISSTGLVRIAENCKNLTSLELQACYIGDPGLIAIGEGCKLLKNLNMRFVEGTTDEGLIGLVKSCGQSLVSLSVATCVWLTDASLSAVGSHCPNLEILSVESDRIRDDGVISIAKGCRQLKKLKLQCIGAGDEALDAIGLFCSLLESLSLNNFERFTDRSLSSIAKGCKNLTDLVLNDCQLLTDSSLEFVARNCKKLAQLKINGCQNMETAALEHIGRWCPGLLELSLIFCPRIQDSAFLEIGRGCSLLRTLYLVDCSRISDGALCHIAQGCKNLTELSIRRGYEIGDKALISVAENCKSLRELTLQFCERKLLSAQVKLVWVHANY >PAN43551 pep chromosome:PHallii_v3.1:8:42882403:42885254:1 gene:PAHAL_8G244200 transcript:PAN43551 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MQVHRTSPFRRRRRLPVASSAVHIAPFPLDQPAIDDGSERSCLTDVGLAHLARGCRGLEKLSLIWCSAISSTGLVRIAENCKNLTSLELQACYIGDPGLIAIGEGCKLLKNLNMRFVEGTTDEGLIGLVKSCGQSLVSLSVATCVWLTDASLSAVGSHCPNLEILSVESDRIRDDGVISIAKGCRQLKKLKLQCIGAGDEALDAIGLFCSLLESLSLNNFERFTDRSLSSIAKGCKNLTDLVLNDCQLLTDSSLEFVARNCKKLAQLKINGCQNMETAALEHIGRWCPGLLELSLIFCPRIQDSAFLEIGRGCSLLRTLYLVDCSRISDGALCHIAQGCKNLTELSIRRGYEIGDKALISVAENCKSLRELTLQFCERKLLSAQVKLVWVHANY >PVH34025 pep chromosome:PHallii_v3.1:8:17041490:17042419:1 gene:PAHAL_8G120100 transcript:PVH34025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYDEEGVPRCRVKMTVPSHPTLSLWPPIEVNVVGHRLADTFEAAAIEAIHIFCDQHPKEVAGHPIGLFPTMDSCDPEWTFRVTYCDHLLGTLAGETLHTAVQFMSVQYRYQTLQQHGIYRLTNIAQRYRNQVGRQNTQIEALQATITAKKEDITQREETIQHREEQIIESDALITQHDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPEQPVANELEDDEEEDPEEVEGVSEIDSEHGDPVLSPYHSSSGSQSSVGNLDDF >PVH34476 pep chromosome:PHallii_v3.1:8:41436820:41439602:-1 gene:PAHAL_8G228300 transcript:PVH34476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHISAAVSLSKIRSFLCYIYQQITGRTIQEMETADTKLLILLIRENLQHKRYFILIDDLRDASVWGIIKHVFPDNNWGCRIVITTRLRSVARSCCSDLNGIVYKLKPLNESDSTQLLMTTAFGPVKDVCLPEGSRDLILRSCNGVPLLITAFADNIKEQLQTAAPNSYGQSPGFSGRTAELHSVEEGPQLPDQVRCALTRICRDLPVELMTLLQYMRMFPRGYMFEKDYLVMKWMAKGLTHSEEEAECHFSELVDRNIFTLVLPTGEHNLDEAEPCRWQVNNLKLQFISSTTRRPAFVFTGDMLTSLEPPTIRPSSELCMPRLVALHSPEPDIQGLMHTIDWGENVRSLAVSGIVDQVPLNKFNYLVMLDLEDWKNLKDEDLLQICNSKMYLLRYLSVSNMTEW >PAN43327 pep chromosome:PHallii_v3.1:8:41436505:41439024:-1 gene:PAHAL_8G228300 transcript:PAN43327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHISAAVSLSKIRSFLCYIYQQITGRTIQEMETADTKLLILLIRENLQHKRYFILIDDLRDASVWGIIKHVFPDNNWGCRIVITTRLRSVARSCCSDLNGIVYKLKPLNESDSTQLLMTTAFGPVKDVCLPEGSRDLILRSCNGVPLLITAFADNIKEQLQTAAPNSYGQSPGFSGRTAELHSVEEGPQLPDQVRCALTRICRDLPVELMTLLQYMRMFPRGYMFEKDYLVMKWMAKGLTHSEEEAECHFSELVDRNIFTLVLPTGEHNLDEAEPCRWQVNNLKLQFISSTTRRPAFVFTGDMLTSLEPPTIRPSSELCMPRLVALHSPEPDIQGLMHTIDWGENVRSLAVSGIVDQVPLNKFNYLVMLDLEDWKNLKDEDLLQICNSKMYLLRYLSVSNMTECFLWTDMHAKHQLPCCSR >PVH34475 pep chromosome:PHallii_v3.1:8:41435097:41439602:-1 gene:PAHAL_8G228300 transcript:PVH34475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHISAAVSLSKIRSFLCYIYQQITGRTIQEMETADTKLLILLIRENLQHKRYFILIDDLRDASVWGIIKHVFPDNNWGCRIVITTRLRSVARSCCSDLNGIVYKLKPLNESDSTQLLMTTAFGPVKDVCLPEGSRDLILRSCNGVPLLITAFADNIKEQLQTAAPNSYGQSPGFSGRTAELHSVEEGPQLPDQVRCALTRICRDLPVELMTLLQYMRMFPRGYMFEKDYLVMKWMAKGLTHSEEEAECHFSELVDRNIFTLVLPTGEHNLDEAEPCRWQVNNLKLQFISSTTRRPAFVFTGDMLTSLEPPTIRPSSELCMPRLVALHSPEPDIQGLMHTIDWGENVRSLAVSGIVDQVPLNKFNYLVMLDLEDWKNLKDEDLLQICNSKMYLLRYLSVSNMTEWSIMTPPRREAFRMSLPSAPTRCHALLSLRAPPTNIVPCSSLLDTALLKPVPAT >PAN43328 pep chromosome:PHallii_v3.1:8:41436505:41439357:-1 gene:PAHAL_8G228300 transcript:PAN43328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLYHTLNDPCRYAVQSWTSESHGGEELHEDRAATPRLVGIDGRMKKLRRCLLYAGTSLRILSIFGPGGIGKTALAMEFQRQFQQFPTLFMRGRRTLSFQPDQTPKLWHMYHISAAVSLSKIRSFLCYIYQQITGRTIQEMETADTKLLILLIRENLQHKRYFILIDDLRDASVWGIIKHVFPDNNWGCRIVITTRLRSVARSCCSDLNGIVYKLKPLNESDSTQLLMTTAFGPVKDVCLPEGSRDLILRSCNGVPLLITAFADNIKEQLQTAAPNSYGQSPGFSGRTAELHSVEEGPQLPDQVRCALTRICRDLPVELMTLLQYMRMFPRGYMFEKDYLVMKWMAKGLTHSEEEAECHFSELVDRNIFTLVLPTGEHNLDEAEPCRWQVNNLKLQFISSTTRRPAFVFTGDMLTSLEPPTIRPSSELCMPRLVALHSPEPDIQGLMHTIDWGENVRSLAVSGIVDQVPLNKFNYLVMLDLEDWKNLKDEDLLQICNSKMYLLRYLSVSNMTECFLWTDMHAKHQLPCCSR >PVH34477 pep chromosome:PHallii_v3.1:8:41437018:41439624:-1 gene:PAHAL_8G228300 transcript:PVH34477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLYHTLNDPCRYAVQSWTSESHGGEELHEDRAATPRLVGIDGRMKKLRRCLLYAGTSLRILSIFGPGGIGKTALAMEFQRQFQQFPTLFMRGRRTLSFQPDQTPKLWHMYHISAAVSLSKIRSFLCYIYQQITGRTIQEMETADTKLLILLIRENLQHKRYFILIDDLRDASVWGIIKHVFPDNNWGCRIVITTRLRSVARSCCSDLNGIVYKLKPLNESDSTQLLMTTAFGPVKDVCLPEGSRDLILRSCNGVPLLITAFADNIKEQLQTAAPNSYGQSPGFSGRTAELHSVEEGPQLPDQVRCALTRICRDLPVELMTLLQYMRMFPRGYMFEKDYLVMKWMAKGLTHSEEEAECHFSELVDRNIFTLVLPTGEHNLDEAEPCRWQVNNLKLQFISSTTRRPAFVFTGDMLTSLEPPTIRPSSELCMPRLVALHSPEPDIQGLMHTIDWGENVRSLAVSGIVDQVPLNKFNYLVMLDLEDWKNLKDEDLLQICNSKMYLLRYLSVSNMTEW >PAN43329 pep chromosome:PHallii_v3.1:8:41435097:41439602:-1 gene:PAHAL_8G228300 transcript:PAN43329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLYHTLNDPCRYAVQSWTSESHGGEELHEDRAATPRLVGIDGRMKKLRRCLLYAGTSLRILSIFGPGGIGKTALAMEFQRQFQQFPTLFMRGRRTLSFQPDQTPKLWHMYHISAAVSLSKIRSFLCYIYQQITGRTIQEMETADTKLLILLIRENLQHKRYFILIDDLRDASVWGIIKHVFPDNNWGCRIVITTRLRSVARSCCSDLNGIVYKLKPLNESDSTQLLMTTAFGPVKDVCLPEGSRDLILRSCNGVPLLITAFADNIKEQLQTAAPNSYGQSPGFSGRTAELHSVEEGPQLPDQVRCALTRICRDLPVELMTLLQYMRMFPRGYMFEKDYLVMKWMAKGLTHSEEEAECHFSELVDRNIFTLVLPTGEHNLDEAEPCRWQVNNLKLQFISSTTRRPAFVFTGDMLTSLEPPTIRPSSELCMPRLVALHSPEPDIQGLMHTIDWGENVRSLAVSGIVDQVPLNKFNYLVMLDLEDWKNLKDEDLLQICNSKMYLLRYLSVSNMTEWSIMTPPRREAFRMSLPSAPTRCHALLSLRAPPTNIVPCSSLLDTALLKPVPAT >PVH33436 pep chromosome:PHallii_v3.1:8:404336:407210:1 gene:PAHAL_8G003700 transcript:PVH33436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAESRLLACCRRLPRRATPRWRPPRCSRKGKPAVTDVVEAAAPRGPETERKDDEEPEAGALRGVLGWLRIDGVAADIISIAAPAVLALAADPITALVDTAFVGHIGSAELAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVNDNSSSNTTGQRDDFFTPQKKASQQKKVLPAMVALIVGSGTLMNIIGIPVDSPMRAPAEQFLTLRAYGAPPIIVALAAQGAFRGLLDTKTPLYAVGAGNLLNAILDAVLIFPLGLGVSGAALATVTSEYFIALILLWKLNNKVDLFSWNIIGNGVIRYLKSGGLLIGRTIAVLLTLTLSTSLAAREGPALLASEYAKGNYKQARMVLYKILQVGGVTGVALAATLLIGFGSLSLLFTDDPAVLDIAQSGVWFVTISQPVNAIAFVADGLYYGVSDFAYAAYSTFFAGAVSSVFLLIAAPKFGPGGIWAGLTLFMSLRAIAGFCRLGSKGGPWETILSESE >PAN41142 pep chromosome:PHallii_v3.1:8:1407031:1408817:-1 gene:PAHAL_8G020200 transcript:PAN41142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVEQQLSKQQIEEFREAFSLFDKDGDGTITTKELGTVMGSLGQSPTEEELQEMVKEVDADGSGAIDFQEFLTLLARQMREASGADEEELREAFRVFDQDQNGFISRDELRHVLQNLGERLSDEELAEMLREADVDGDGQINYTEFAKVMLAKRRHQEMEGHGSDSDHAKTTCPSCTIL >PAN43412 pep chromosome:PHallii_v3.1:8:42029548:42030133:1 gene:PAHAL_8G234200 transcript:PAN43412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVIVAAAAFAVLILSFLLPSGVEAGLQTGGCKFYPSRLSICDLCKPRCQDEGNDSGFCDARKNCICVDCSKHGNGPSRSLRPALDAYGPT >PVH33983 pep chromosome:PHallii_v3.1:8:16047706:16048278:1 gene:PAHAL_8G114000 transcript:PVH33983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGYRVKISKTNIDPAGSSILQSPWIKIDGIPGFTKEEVVREIASLVVEPIKVDGFSLLRNEPVRVRVNCRDRAKLRGFVEIFFNGVGYEIKIATEGSSNPTRGKDGPFGSGKFDDKKDGDDKRNSDNQEHKRVDKSEDHSKHFDKELDASQGESQEDSMEDLIRDGSPMDAEFSDEIPLAAFHRELGLL >PVH33570 pep chromosome:PHallii_v3.1:8:1748407:1749225:-1 gene:PAHAL_8G024100 transcript:PVH33570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESKAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDRDVSLEGQVVAKKDTFRYIGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIQDRVEVAPIEEKLIQHRLRWFGHVQRKPPEAPVRSGVLKRGDHVRRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH34487 pep chromosome:PHallii_v3.1:8:41731256:41734305:1 gene:PAHAL_8G230600 transcript:PVH34487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAPERLDPSCASNNTHTVVSDPLYLPILISTDNYPVGGHACMDSEEELCMDSEEELGMGEADTVAPAAPERQIEEAIGSLESGCARCSEMMEAARPGRGTGDFKLRCLDFLEKELRYIVACLTALSPQHVDEEMTGWLQDLTATAKYLPDQADLQRDNTLLRRASRFFRCSSNRYPYWLMGEARSFSRLAEDSFRYRHLLQATSRHGTSYDLPELYMIMFPDGYMFEKDQLVMRCSYEGGFRLPDDPFSRLVSRNVITHAAPNSRRRTNRPDEAETWQWNVNNPIQYQFLASKSAEMGFVFTSATLNLLLAAGSSTGHGNEASRIPRRLALHQDDPNIPSLLQEIDLSQTRSLAVSGAVSIEVPLDKFVNLLVLDVEGWENFGDEDLLRICRSKMFFLEYLSIRNTRVSKLPPEINELCRLQVLDASKTQVTEIPFGVFVATRLYRLDLRGTPIRQLTLPKQILGLQNSLYELLLGGEGMIYSAETSTRLPYDIQRFSWLHRLATIDLSEQPASFVKALGDLRWLEVLAIKWSFHQSSDRDYCEALLSSIKRWRWLKSLTIHCGLGCSMEFLGSLSDPPVFFDKFKVTLGRFVGVPQWFSGLKYLSFVQIIVCKQGARDLEILRDLRKLKCLILGLDFIPREAIVIKNGGFHELQRFSIDCPVPWLTFESEAMPELTYLQLEFHACPTSPSSVPSGISNLSSLEEVALYYNVRYANSSSVKMTVEAVRKEVAKRRNRTQMISLFINDGIEQDDAQAVDKETESTTGAPSGPDAGAEGEAVVEKTTAVVDTEITEAES >PAN40977 pep chromosome:PHallii_v3.1:8:566760:569448:1 gene:PAHAL_8G006800 transcript:PAN40977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARASAAARCIAVLLMLAGTSSAQLSTSFYSSSCPGLYSAVKSVVQSAIAREKRMGASIVRLFFHDCFVQGCDASLLLDDTASVQGEKMATPNNGSVRGFEVIDAIKSAVEKVCPGVVSCADILAIAARDSVVSLGGPSWDVKVGRRDSRTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHVYNETNIDGAFARTRQSGCPSTSGTGDNNLAPLDLQTPAVFENNYYKNLVRKKGLLHSDQELFNGGATDAQVQSYVSSQSAFFADFVTGMIKMGDITPLTGSNGEIRKNCRRIN >PAN41910 pep chromosome:PHallii_v3.1:8:7186460:7191158:1 gene:PAHAL_8G079000 transcript:PAN41910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDDDSSFLNALVILLFISIFLHWDMAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PVH33850 pep chromosome:PHallii_v3.1:8:7186028:7191678:1 gene:PAHAL_8G079000 transcript:PVH33850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN41914 pep chromosome:PHallii_v3.1:8:7184853:7191158:1 gene:PAHAL_8G079000 transcript:PAN41914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN41908 pep chromosome:PHallii_v3.1:8:7186460:7191157:1 gene:PAHAL_8G079000 transcript:PAN41908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDDDSSFLNALVILLFISIFLHWADMAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN41919 pep chromosome:PHallii_v3.1:8:7185888:7191157:1 gene:PAHAL_8G079000 transcript:PAN41919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN41915 pep chromosome:PHallii_v3.1:8:7186028:7191678:1 gene:PAHAL_8G079000 transcript:PAN41915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN41907 pep chromosome:PHallii_v3.1:8:7184747:7191677:1 gene:PAHAL_8G079000 transcript:PAN41907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN41918 pep chromosome:PHallii_v3.1:8:7184747:7191677:1 gene:PAHAL_8G079000 transcript:PAN41918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN41911 pep chromosome:PHallii_v3.1:8:7184828:7191177:1 gene:PAHAL_8G079000 transcript:PAN41911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN41912 pep chromosome:PHallii_v3.1:8:7185888:7191157:1 gene:PAHAL_8G079000 transcript:PAN41912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALTKIGSVLADETAKTMLAKLSEKVKNLRDLEDKIEQIRKQLTAMNNVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIADEVDKIEKEIKNVIELKEQWLQPSQLVPDQFIEMERQRSQDSFPLLVKDEDLVGIEDNRRTLTEWLYSDELDTTVITISGMGGLGKTTLVTNVYEREKINFPAPAWMVVSQTYTMDALLRKLLMKVGGEQQNIDKLDVHDLKEKIKQKLKIRKCLIVLDDVWDQEVYFQMSDAFQNLQASRIIITTRKNHVAALAHPTRRLDLQPLCNIHAFDLFCRRTFCNKKDHTCPNDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIYRNMLVTMETDEQGRVSTCTMHDIVRDLALAVAKDERFGTANNYKAMILMDKDKDVRRLSSYGWKDNASLKVKLPRLRTLVSLGTISSSPDMLLSILCESSYLTVLELQDSEITEVPASIGTLFNLRYISLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKIKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLEELQTLETVEASKDLAQQLKKLMQLRTVWIDNICAPDCANIFATLSNMPLLSSLLLSAKDENEALCFEALKPRSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCELGEDPLEMLAPHLPNLTNLRLNNIRSAKTLVLPEGSFPHLKMLVLKHMPNVNQLVLGKGALPCIEGLYIVSLVELDKVPQGIELLRSLKKLWLVNLHRGFLPQWNKSEMHHKMRHVLEIRV >PAN42628 pep chromosome:PHallii_v3.1:8:27664970:27670384:-1 gene:PAHAL_8G153200 transcript:PAN42628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKGRAVPEWLNSPIWSASSPAPTPADPYGADLAPPPPPKPPPPPASDTPVPPPPSYEQAVRGRGRGDDDEEGGAGAAALRAHLLADFKAALSKKVVNMGELRRLACLGVPDGGAGVRPVVWKLLLGYLPTDRGLWPYELEKKRSQYSAYKEEFLLNPSEKLRRIEESKLSRKKELNIERTGLLPRLEVTNEEHPLSSGKSSLWNQYFQESLRRILIIFSKLNPSIRYVQGMNEVLAPLFYVFKNDPDPSSSASAEADTYFCFVELLSGFRDNYCKHLDNSSVGIRSTLSKLSQLLKRHDEELWRHMEVTTKVYPQYYAFRWITLLLTMEFRFNVCIHIWDAILGDPEGPPDTLMRICCAMLILVRKRLLAGDFTANIQLLQHYPATNIDHLLHIANRLRGTVAS >PAN42627 pep chromosome:PHallii_v3.1:8:27664971:27670384:-1 gene:PAHAL_8G153200 transcript:PAN42627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKGRAVPEWLNSPIWSASSPAPTPADPYGADLAPPPPPKPPPPPASDTPVPPPPSYEQAVRGRGRGDDDEEGGAGAAALRAHLLADFKAALSKKVVNMGELRRLACLGVPDGGAGVRPVVWKLLLGYLPTDRGLWPYELEKKRSQYSAYKEEFLLNPSEKLRRIEESKLSRKKELNIERTGLLPRLEVTNEEHPLSSGKSSLWNQYFQESEILEQIDRDVKRTHPDMPFFSAKSNQESLRRILIIFSKLNPSIRYVQGMNEVLAPLFYVFKNDPDPSSSASAEADTYFCFVELLSGFRDNYCKHLDNSSVGIRSTLSKLSQLLKRHDEELWRHMEVTTKVYPQYYAFRWITLLLTMEFRFNVCIHIWDAILGDPEGPPDTLMRICCAMLILVRKRLLAGDFTANIQLLQHYPATNIDHLLHIANRLRGTVAS >PAN43462 pep chromosome:PHallii_v3.1:8:42277353:42279763:-1 gene:PAHAL_8G237100 transcript:PAN43462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNESKPTPKVAATPAPKLAANAAKGGPASSSAPCLFSLQDGELSVGGGNKAALLTGVPGNVTLTPFAEAFDPAASDAPRELAERAAANARRGAFLGFTLLAPASRAPYRVGRLAGPRRFLSVFRFKTWWSTAWAGRRGRDLQMETQWVLLEVPELAGAGAGYVLVLPLVQGGFRSAIFPGDDNGVVICAESGSEAVTGTDFRRIAYVHAGDASLPRC >PVH34347 pep chromosome:PHallii_v3.1:8:38503819:38505379:1 gene:PAHAL_8G200600 transcript:PVH34347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNPPILVFTVVASCSSPLSPLLSLSWRRLGGMAVHAQFGGGLAGCLPLCGGAGLAEEQMLALRDCGGALLPAATAGNKAYRYNCAAGVVCGAQSELTCNGGGVALPSRKRGREDAFLEPHVSSSSAALLPIPGMHQVAAAHHSPAAIASRVAESATASTSGRPAAGGAASASVADALVAELCRQGAEVDALVRAECERLRAGLEQARKRQRQAVARAAAAGAVRLLRAKEAELDAARRRAAELEERLRQAAAEGQAWCGLARSNEAVAAGLRAALDALLLRGGDSCDPAPAAAADDAQSHCVVEAEDAAAAAASAPAASGWACRSCGGGEASVLLLPCRHLCLCKACEPRAEACPVCLAPKSASIHVAAI >PAN41387 pep chromosome:PHallii_v3.1:8:2905732:2907597:-1 gene:PAHAL_8G039700 transcript:PAN41387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRHLPPVLILLLLSLLALPYRRHLFLPRGPSRYYASGDDALLRRLAASDAGGKQVLSEAAALLANASVTSFPSIGNRYRLLYLRLPYRGNDTSAPRERAVSRLRVPFVTVPDDGPFLAAFRASLRSFVLAHRLRRGSNVAAVMGDLAGLLGRPRREHFPTCAVVGNSGILLGSGRGAQIDAHDLVVRLNNARVAGYAADVGAKTSLSFVNSNILHYCAVRSAVATGGCACHPYGRAVPMAMYVCQPAHLLDALICNATATPASPFPLLVTDVRLDALTARIAKYYSLRRFVATTGEPASNWARRHDERFFHYSSGLQAVVMALGVCDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYQFYRDLQARPETVPFLDEAPGFKVPPVKLYW >PAN41773 pep chromosome:PHallii_v3.1:8:5858838:5859863:1 gene:PAHAL_8G069500 transcript:PAN41773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSRKLSASGAAVLASAAVVMALLAQAAPAAALVPYGRGLAWDLLDDPFRVLEQSPFSAASAAPSRVVGGGEAAAPAGVALARCDWKETPDAHIISVDVPGVRREDVRVEVEESSRVLRVSGERRAEEEKEGERWHRAERAAGRFWRRFRMPAGADVDRVAARLEAGVLTVTVPKIAGHRGREPRVITIAGGDEDGAEAAEVRTSKAEMR >PAN41167 pep chromosome:PHallii_v3.1:8:1106510:1111621:-1 gene:PAHAL_8G015900 transcript:PAN41167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSPQPSPPPVSSPPSSSSSSSISIEEIPTRKRARARARTQAKGADKPKRPRKEAPRQTQSKEDPADPAPNAAAAASGKRSSVYRGVTRHRWTGRFEAHLWDKHCLTSLQNKKKGRQVYLGAYDTEEAAARAYDLAALKYWGPETVLNFPAEDYSGEMSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDHPLLLAQLQQEPQVVPALNQEPQPDQSETTVQESDSSEAKTPDDNAEPDDNAEPDANAQPDDNAEPLTVDAVIEESLWSPCMDYELDIMSRSNFGSSINLSEWFTDADFGSDIGCLFDGCSAVDEGSKDGVGLADFSLFEEGDGKQKDVISDMEEGIHPSTMISVCN >PVH33792 pep chromosome:PHallii_v3.1:8:5455398:5458629:1 gene:PAHAL_8G066400 transcript:PVH33792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLLGAAVSGDATKIVHLDSEDPSLLHGTTPQGNTCLHIASIHGHEEFCKAFLALSQSLPLLTTINADGEMPLLAAVTTGRVSLASFLLRFCRDQELSAAILNQDKRGCNALHHAVRSGYRELTVELIAAEPALSRAVNQHDESPMFIAVMRNDQDAFEKLLKIPDSAHGGAYGYNALHAAVRNDNAAIASKIMKTRPGLAREENKANRTPMHLAVFWDKINVLRVLLEHDPSLGYVVSSEKEDIPLINFAAHEGNVSAARELLKHCPDAPYRDASGFTCLHHAICSMTEQTEFVEFVLGSPQLRKLVNMRDSNGETPLHHAVRRCNPKIVAALLLHQDIDVTMLHNTGEPAFSRLVHEADEDAKTLNWNEVYTVMMKADPNKDARTIFNLHKDVMSEVTRSTRMNVKSLTQTYTSNTSLVAILITTITFAAAFTLPGGYSTDTGNEGLPIMARKAAFQAFLISDSLAMCSSLAVAFICIIAKWKDFEFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLQWLAVAICVLTGLLPILTKLLGEWPILKLRFRLGRAFRSELLDMV >PAN42042 pep chromosome:PHallii_v3.1:8:11055175:11057572:1 gene:PAHAL_8G092900 transcript:PAN42042 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of anti-oxidant 1 [Source:Projected from Arabidopsis thaliana (AT1G66240) TAIR;Acc:AT1G66240] MAQTVVLRVGMSCEGCVGAVKRVLGKMEGVESYDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWDAEPATNQSTAPADATA >PVH34084 pep chromosome:PHallii_v3.1:8:22961120:22962798:-1 gene:PAHAL_8G139100 transcript:PVH34084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTYKLEKIKFMTRLYRYRLRWAKPYFMGVFCAGMTSTQRSESANHMLKQIIQRSAPMHVFVSKFNELQVCRQNDEGRESHISKQVTKKLRIGVPIERHARSIYTRAVYHIFREEIYKAGNYRIKERMNDYTFVLVHTMFDENPKANLFKVTIRYSEVIHCSCGLYEHKGMLCRHSLKVLMTLDRKEIPKDNIMGRWLKDSLVTNLEVPSVQIIPPTMETPDKIKKNLLLKKVIEVVSAKEEI >PVH33613 pep chromosome:PHallii_v3.1:8:2394683:2396875:-1 gene:PAHAL_8G033200 transcript:PVH33613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFHQGLCGKTTVWLMEFRTFEDKASAINIDTGVNEQLAKMIQKHIEPDQKLAVGSLKYKKIIEQHLGISCLFDDCVLELMWGLKNCMHHLVPGEELELAKEDRLQMSKGMKMVLDGYGFDVKPEMVNECSIEAACVVYNCDYCVDKHSKSLHGAAKHLEEISGINPQGWSSMKIATALMMVCCPYQQLKPGDPREANNNILHMCSELVALHLTKTVIWVADNMCCVCFLFRFSQKRCVFSSVVERCTKI >PVH33652 pep chromosome:PHallii_v3.1:8:2911726:2914703:-1 gene:PAHAL_8G039900 transcript:PVH33652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLLESASTGVINSVMEKLAALMGEQYEQHKAVRRDVAFLRDELGSMNAVLKKLADMEELDPQTMEWRNQVMGTAFDIEDSIDDFTHQVGGSVSAGDAGFVAKIRQYVNELRVRHRFTKQIQELKSRVIEVSERRKRYRVDDGGSSTSSCVAIDPRMTALYAEAANLVGIDGPMGEIIRLLNNGGDEPGRRCLRVVAIVGFGGLGKTTVANEVYRKLGGQYNFKAFVSVSQRPDLMKLLSRIVYKVGMPQLNHTNEVEDLIENVREFLKDKRYLFVIDDIWDASVWEILRCAFPDNEKGSKVIATTRIETVAKACCTYRREFIYRMKPLDDQNSAKLFYSRVGYVCAQPLTEIANETLQKCGGLPLAIISIASLLASQPARSREQWKFVCSSLSSNLRTNPTLEGMRQTCLLYIGVYPEDHSIEKEDLVRLWVAEGFVRKLHDQGAEEVAGSYFNELVNRSMIEPTYIDYNGEVWRCKVHDMMLDLIRMKSEEESFLRVVDNVHDISTSLQARARRLSLHLDFRADQETKAAASLSVSHIRSFTLFGNTYFMPPLSEFKFIRVLNLKDWSSDGEDTIDLTPIAKLYQLRYLNVRREARLPAQIRTLERLETLDMDKLDGDVPSDIVHLRCLLHLLVPYGKSLPDGICAMKSMHTLRHFDLAMNSVDNFKGLGELTNLRDLRITCTGRVPQQGIRDTLWSSIGKLINCKLRALTFPPYGPVDLPPPATESDGSTISQAEGHLEILELSSTMFPQVPSWIGQHHKLSKLALSADMLKQDGVDLLAQLPNLYHLEMNIRKPLKERILVRGGSTAFPVLRYLQLSCFGPWLRFEAGSMPNLRALGLNQHGRGLERYGPSMLEGMAHLLRLKQVHVVIANGFSNGTARPGVTAADAEAAYRKALSTHPGHQSIEINVTLFCLVLTPPAFLYDSDDGQ >PVH34198 pep chromosome:PHallii_v3.1:8:31845110:31846039:-1 gene:PAHAL_8G169000 transcript:PVH34198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGSTDWDFRTDHHGHLLGDLAEETVRMVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSSSGSQSSVGNFDDF >PVH33450 pep chromosome:PHallii_v3.1:8:502043:511977:-1 gene:PAHAL_8G005800 transcript:PVH33450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSPSPSTAAPTAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPAPVAYLPQVVVLCEQRHEGIDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKATTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSSSSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACIHACLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEILIYLLSEPQPEVRASAVFALGNLLDMGSTSSNGVDDDSDDDEKVKAEINVIRSLLQVSSDGSPLVRCEVAIALTRFASGHNKHLKSVAAEYWKPQTNSLLKSLPSLANISSPNNIYSPNNIRQGSSGLASHIGPVLRVGSDSSATGRDGKVSTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPVDSVIYSQYISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGAHQGETSVPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKSHPMNSPEGLADPLLSSAATPSNAELSILPQSTIYNWSCGHFARPLLTGSDDNEEAHARREEREQIALDCIAKCQRSSCKMTSQIASWDTRFDSGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGCLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYMARPHAPRMEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >PVH33452 pep chromosome:PHallii_v3.1:8:502856:511660:-1 gene:PAHAL_8G005800 transcript:PVH33452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSPSPSTAAPTAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPAPVAYLPQVVVLCEQRHEGIDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKATTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSSSSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQAVQGHLHNRSLANRPIQATKHPSLHYASPDRSLCSLPITTLEVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACIHACLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEILIYLLSEPQPEVRASAVFALGNLLDMGSTSSNGVDDDSDDDEKVKAEINVIRSLLQVSSDGSPLVRCEVAIALTRFASGHNKHLKSVAAEYWKPQTNSLLKSLPSLANISSPNNIYSPNNIRQGSSGLASHIGPVLRVGSDSSATGRDGKVSTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPVDSVIYSQYISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGAHQGETSVPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKSHPMNSPEGLADPLLSSAATPSNAELSILPQSTIYNWSCGHFARPLLTGSDDNEEAHARREEREQIALDCIAKCQRSSACKMTSQIASWDTRFDSGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGCLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYMARPHAPRMEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >PVH33451 pep chromosome:PHallii_v3.1:8:502118:511977:-1 gene:PAHAL_8G005800 transcript:PVH33451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSPSPSTAAPTAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPAPVAYLPQVVVLCEQRHEGIDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKATTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSSSSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACIHACLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEILIYLLSEPQPEVRASAVFALGNLLDMGSTSSNGVDDDSDDDEKVKAEINVIRSLLQVSSDGSPLVRCEVAIALTRFASGHNKHLKSVAAEYWKPQTNSLLKSLPSLANISSPNNIYSPNNIRQGSSGLASHIGPVLRVGSDSSATGRDGKVSTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPVDSVIYSQYISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGAHQGETSVPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKSHPMNSPEGLADPLLSSAATPSNAELSILPQSTIYNWSCGHFARPLLTGSDDNEEAHARREEREQIALDCIAKCQRSSACKMTSQIASWDTRFDSGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGCLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYMARPHAPRMEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >PVH33453 pep chromosome:PHallii_v3.1:8:502147:511957:-1 gene:PAHAL_8G005800 transcript:PVH33453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSPSPSTAAPTAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPAPVAYLPQVVVLCEQRHEGIDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKATTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSSSSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQAVQGHLHNRSLANRPIQATKHPSLHYASPDRSLCSLPITTLEVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACIHACLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEILIYLLSEPQPEVRASAVFALGNLLDMGSTSSNGVDDDSDDDEKVKAEINVIRSLLQVSSDGSPLVRCEVAIALTRFASGHNKHLKSVAAEYWKPQTNSLLKSLPSLANISSPNNIYSPNNIRQGSSGLASHIGPVLRVGSDSSATGRDGKVSTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPVDSVIYSQYISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGAHQGETSVPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKSHPMNSPEGLADPLLSSAATPSNAELSILPQSTIYNWSCGHFARPLLTGSDDNEEAHARREEREQIALDCIAKCQRSSCKMTSQIASWDTRFDSGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGCLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYMARPHAPRMEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >PVH33776 pep chromosome:PHallii_v3.1:8:4985903:4986400:1 gene:PAHAL_8G062600 transcript:PVH33776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGAKGTRSLAILVIWSIWCERNARIFNDKEKKLARLVDEIKESANLCSTRAKHMVLLVGGKISE >PAN41814 pep chromosome:PHallii_v3.1:8:6181674:6182406:1 gene:PAHAL_8G071400 transcript:PAN41814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRHHLPLLGVTIALCLATLTVAASPDDTTTLAPSPEPEPPQPTAYEMLERYNFTEGILPEGVTGYVLRPDDSFEVYLPGDCSFRAGSMHIRYSSRVAGSIQPMSITGVEGVKVKVLLAWVGVTEVDRDGDQLRFSAGPVSKSFPVDTFAHSPQCS >PAN43713 pep chromosome:PHallii_v3.1:8:44086142:44093650:1 gene:PAHAL_8G259200 transcript:PAN43713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEELVRKIRELEEGQAELKREISKLVPENQSAQPNSLRRPPPPQQPSPARRALASLPSSSSRLAQRVGRVGLTDRQHIRALHALGQAVHIIAPGGKLLYWNRYAEQMYGYSTSEAVGHDAVELLVHPDDVDAANNIIGNIFMGKCWRGKFPVKKKSGERFFIVANNTPLYDDDGSLVGLICLSVDTRTLEDILGPSTSLKSYSHRAKPRYQVNNQPKCSSLNKIPFDTQQPLQSSIASKITTLATKVTSRVRSRIKTGQNCSEQYGGISESQYSEDASKEEPTSSGTNTPRYVLHDGFVKGENSPGKSSKTSSDDSVEGDERLYKITSKAEELFSKKGISWPWKGYEHNGLGKSQMNPPQFHEKQENDQVHQGGPESIVIPDYQDCESAQENKYEVTGSWWSFNNDSLSSMGSSVSTNSSAIERVDHEGDCLDYEILWEDLVIGEQVGQGSCGTVYHGQWFGSDVAVKVFSKQEYSEEMIDTFRQEVSLMKKLRHPNTILFMGAVASPERLCILTEFLPRGSLFRLLQKKTAKLDPRRRVHMAIDIARGMNYLHHCSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLRTKSGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWDNLNTMQVIGAVGFMDQRLDIPSDADPQWASMIENCWDSDPRKRPSFLELLDRLRDLQKQYSLQAQMQRASADALLKGGAKMNVEDC >PAN43709 pep chromosome:PHallii_v3.1:8:44086142:44093650:1 gene:PAHAL_8G259200 transcript:PAN43709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEELVRKIRELEEGQAELKREISKLVPENQSAQPNSLRRPPPPQQPSPARRALASLPSSSSRLAQRVGRVGLTDRQHIRALHALGQAVHIIAPGGKLLYWNRYAEQMYGYSTSEAVGHDAVELLVHPDDVDAANNIIGNIFMGKCWRGKFPVKKKSGERFFIVANNTPLYDDDGSLVGLICLSVDTRTLEDILGPSTSLKSYSHRAKPRYQVNNQPKCSSLNKIPFDTQQPLQSSIASKITTLATKVTSRVRSRIKTGQNCSEQYGGISESQYSEDASKEEPTSSGTNTPRYVLHDGFVKGENSPGKSSKTSSDDSVEGDERLYKITSKAEELFSKKGISWPWKGYEHNGLGKSQMNPPQFHEKQENDQVHQGGPESIVIPDYQDCESAQENKYEVTGSWWSFNNDSLSSMGSSVSTNSSAIERVDHEGDCLDYEILWEDLVIGEQVGQGSCGTVYHGQWFGSDVAVKVFSKQEYSEEMIDTFRQEVSLMKKLRHPNTILFMGAVASPERLCILTEFLPRGSLFRLLQKKTAKLDPRRRVHMAIDIARGMNYLHHCSPPIVHRDLKSSNLLVDKNWTVKPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWDNLNTMQVIGAVGFMDQRLDIPSDADPQWASMIENCWDSDPRKRPSFLELLDRLRDLQKQYSLQAQMQRASADALLKGGAKMNVEDC >PAN43712 pep chromosome:PHallii_v3.1:8:44086142:44093650:1 gene:PAHAL_8G259200 transcript:PAN43712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEELVRKIRELEEGQAELKREISKLVPENQSAQPNSLRRPPPPQQPSPARRALASLPSSSSRLAQRVGRVGLTDRQHIRALHALGQAVHIIAPGGKLLYWNRYAEQMYGYSTSEAVGHDAVELLVHPDDVDAANNIIGNIFMGKCWRGKFPVKKKSGERFFIVANNTPLYDDDGSLVGLICLSVDTRTLEDILGPSTSLKSYSHRAKPRYQVNNQPKCSSLNKIPFDTQQPLQSSIASKITTLATKVTSRVRSRIKTGQNCSEQYGGISESQYSEDASKEEPTSSGTNTPRYVLHDGFVKGENSPGKSSKTSSDDSVEGDERLYKITSKAEELFSKKGISWPWKGYEHNGLGKSQMNPPQFHEKQENDQVHQGGPESIVIPDYQDCESAQENKYEVTGSWWSFNNDSLSSMGSSVSTNSSAIERVDHEGDCLDYEILWEDLVIGEQVGQGSCGTVYHGQWFGSDVAVKVFSKQEYSEEMIDTFRQEVSLMKKLRHPNTILFMGAVASPERLCILTEFLPRGSLFRLLQKKTAKLDPRRRVHMAIDIARGMNYLHHCSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLRTKSGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWDNLNTMQVIGAVGFMDQRLDIPSDADPQWASMIENCWDSDPRKRPSFLELLDRLRDLQKQYSLQAQMQRASADALLKGGAKMNVEDC >PAN43714 pep chromosome:PHallii_v3.1:8:44086142:44093650:1 gene:PAHAL_8G259200 transcript:PAN43714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEELVRKIRELEEGQAELKREISKLVPENQSAQPNSLRRPPPPQQPSPARRALASLPSSSSRLAQRVGRVGLTDRQHIRALHALGQAVHIIAPGGKLLYWNRYAEQMYGYSTSEAVGHDAVELLVHPDDVDAANNIIGNIFMGKCWRGKFPVKKKSGERFFIVANNTPLYDDDGSLVGLICLSVDTRTLEDILGPSTSLKSYSHRAKPRYQVNNQPKCSSLNKIPFDTQQPLQSSIASKITTLATKVTSRVRSRIKTGQNCSEQYGGISESQYSEDASKEEPTSSGTNTPRYVLHDGFVKGENSPGKSSKTSSDDSVEGDERLYKITSKAEELFSKKGISWPWKGYEHNGLGKSQMNPPQFHEKQENDQVHQGGPESIVIPDYQDCESAQENKYEVTGSWWSFNNDSLSSMGSSVSTNSSAIERVDHEGDCLDYEILWEDLVIGEQVGQGSCGTVYHGQWFGSDVAVKVFSKQEYSEEMIDTFRQEVSLMKKLRHPNTILFMGAVASPERLCILTEFLPRGSLFRLLQKKTAKLDPRRRVHMAIDIARGMNYLHHCSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLRTKSGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWDNLNTMQVIGAVGFMDQRLDIPSDADPQWASMIENCWDSDPRKRPSFLELLDRLRDLQKQYSLQAQMQRASADALLKGGAKMNVEDC >PVH33894 pep chromosome:PHallii_v3.1:8:9301657:9303048:1 gene:PAHAL_8G086200 transcript:PVH33894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKLAVVAPGAPTTTARHRACAPLAVSSATPVTFSLSSVTNKQEAITSAATAVVKKEAVAPPTTGGRAEAALTPPPPPACTWHEVHGADDWRGLVEPLHPLLRAEIVRYGELVAACYRAFDLDPRSKRYLNCKHGKRQMLRAVGMDGAGYAVTKYIYAAPDVALPMGAGRSCSKSRWIGYVAVASDGEAARLGRRDILVSFRGTVTCSEWLANFMSALAPARFDPTDPRPDVRVESGFLSLYTSDDFSGKFTTGSCRNQLLCEISHLVAKHKNEGISITLAGHSMGSSLALLLGYDLAELGLNSYPNGGTIPITVFSFAGPRVGNLEFKNRCDELGVKVLRVVNVNDPVTKMPGVLFNESARVLAGRYELPWSKACYAHVGVEVALDFFEAGDLACVHDLQAYIDQLLKCPNDSPVASDSTMEEEEDEEGKRRRVASMFGSWRWQMAVIRAGELMQALGI >PAN41726 pep chromosome:PHallii_v3.1:8:5459281:5461998:-1 gene:PAHAL_8G066500 transcript:PAN41726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDDAKMAVRNFCAALLPVLLCSMLCHLCYGSVSDIECLRRVKASIDPSNKLDWKFNNHTEGSICGFRGVDCWNPNENKVLSLHLGSMGLKGGFPDGLENCSSMTSLDLSSNSLSGPIPVDISKRLQYITSLDLSYNSFSGEIPEALANCTYLNAVNLQHNKLTGTLPGQLAALGRLNQFNVADNQLSGQIPSSLGKFPPSNFANQDLCGRPLSNDCSATSSGRTGVIVGSAVGGAVITLIIVAVILFVLLRKMPAKKKEKDVEENKWAKSIKGAKRVKVSMFEKSVSKMKLNDLMKATGDFTKENIIGTGRSGTMYRATLPDGSFLAIKRLQDTQHSESQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMPKGSLYDHLHQQSRDKKALQWSLRLKIAIGTARGLAWLHHSCNPRILHRNISSKCILIDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEEPTHVSNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDNDAELLQCMKVACSCVLPSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQTADPEDELIVAS >PAN41725 pep chromosome:PHallii_v3.1:8:5459281:5462806:-1 gene:PAHAL_8G066500 transcript:PAN41725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDDAKMAVRNFCAALLPVLLCSMLCHLCYGSVSDIECLRRVKASIDPSNKLDWKFNNHTEGSICGFRGVDCWNPNENKVLSLHLGSMGLKGGFPDGLENCSSMTSLDLSSNSLSGPIPVDISKRLQYITSLDLSYNSFSGEIPEALANCTYLNAVNLQHNKLTGTLPGQLAALGRLNQFNVADNQLSGQIPSSLGKFPPSNFANQDLCGRPLSNDCSATSSGRTGVIVGSAVGGAVITLIIVAVILFVLLRKMPAKKKEKDVEENKWAKSIKGAKRVKVSMFEKSVSKMKLNDLMKATGDFTKENIIGTGRSGTMYRATLPDGSFLAIKRLQDTQHSESQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMPKGSLYDHLHQQSRDKKALQWSLRLKIAIGTARGLAWLHHSCNPRILHRNISSKCILIDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEEPTHVSNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDNDAELLQCMKVACSCVLPSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQTADPEDELIVAS >PVH34520 pep chromosome:PHallii_v3.1:8:42275707:42275958:-1 gene:PAHAL_8G237000 transcript:PVH34520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARLYRFDECARFRGYREGALIRCSPELFYDKSMPKAVVRKAAEIESTWKARKKAAQGGATDLLDFDVRITQLRRELDQLLV >PVH34310 pep chromosome:PHallii_v3.1:8:37344513:37348362:1 gene:PAHAL_8G191500 transcript:PVH34310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDGDASNPSSSRKGKRKAQERDLKSYFSPFVSSSINPSTHGSEVGNAIIEEEEVVETHLEDTNTIDQQPGSNENDQNDQGTITEFNPDYIISDPGLRIPIEQFSPNIRDEIRRAFMERGPTQPSSHVFPRGQDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKIFCQENDILIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN43006 pep chromosome:PHallii_v3.1:8:38847453:38848370:-1 gene:PAHAL_8G203600 transcript:PAN43006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSARTLGVAALVLRVITLGLLTASLAILAAARVHRDAVYFGGVLLQPSYDFTFKDRYTYRYVFSVATIGCAYTLLLVPLAAVVAVQGRGIGGTSFVRFLIFTDVVFCALFSTGGAAGLGYVFGYQLHEQGEPIRKFLYMVDASCGLLLGAAISTVVMIMISVYMK >PVH34065 pep chromosome:PHallii_v3.1:8:19060355:19064862:1 gene:PAHAL_8G128900 transcript:PVH34065 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MFFGSIIANIPLEMLYLPRINKIKNRSSQRPKEVLTFSTKKIKDADTLVDNTEGIQLRERHANKMLIDEALGKEVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYILAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSSYTAEEDFATAEAVFDCIGDPPEVRFDLDFCADLLQKQYVS >PVH34064 pep chromosome:PHallii_v3.1:8:19058745:19064862:1 gene:PAHAL_8G128900 transcript:PVH34064 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MMAYFNKTGWPAKAPKTDEERKQFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKEVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYILAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSSYTAEEDFATAEAVFDCIGDPPEVRFDLDFCADLLQKQYVS >PAN42376 pep chromosome:PHallii_v3.1:8:19058945:19064862:1 gene:PAHAL_8G128900 transcript:PAN42376 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MMMAYFNKTGWPAKAPKTDEERKQFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKEVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYILAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSSYTAEEDFATAEAVFDCIGDPPEVRFDLDFCADLLQKQYVS >PAN42374 pep chromosome:PHallii_v3.1:8:19057137:19064863:1 gene:PAHAL_8G128900 transcript:PAN42374 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MMAYFNKTGWPAKAPKTDEERKQFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKEVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYILAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSSYTAEEDFATAEAVFDCIGDPPEVRFDLDFCADLLQKQYVS >PVH34110 pep chromosome:PHallii_v3.1:8:25197955:25201063:1 gene:PAHAL_8G145400 transcript:PVH34110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACRAPSSPPEPSPPHGSAPASGRCPGGCRRSRRARCRSVDCRSRRAPTGTHLSKLARFPQSREHAACALAQLGAPTVPSFFVIHGEGDGDEVNRCSQEDPHGQRARGRSSLSRPFGRLKSSRSCTSRTLSISKRSSPRQGQREMSRGSKMKIAWFIASSKREMLSGGIHTQDCFEQIFCKVNLDLALLFGNTVISSAEYS >PVH34109 pep chromosome:PHallii_v3.1:8:25197955:25201063:1 gene:PAHAL_8G145400 transcript:PVH34109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACRAPSSPPEPSPPHGSAPASGRCPGGCRRSRRARCRSVDCRSRRAPTGTHLSKLARFPQSREHAACALAQLGAPTVPSFFVIHGEGDGDEVNRCSQEDPHGQRARGRSSLSRPFGRLKSSRSCTSRTLSISKRSSPRQGQREMSRGSKMKIAWFIASSKREMLSGGIHTQDCFEQRQMKGLSSSVLVEYVTHVLILQML >PAN43183 pep chromosome:PHallii_v3.1:8:40140311:40141105:1 gene:PAHAL_8G216200 transcript:PAN43183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRELPLLFLLAAAAFLAAALVPAPAQAQLQEYCGDSLAGLMECRSFMYGGAAAPSPACCAAYEAAFDADPFCLCYVADGTFGRATGYDVSVSDALRIPAGCGQADPPIELCSMQGLVLPPYAPQPPAATAAPTSQPSSGSSEATPPPPPAPTSKASDESASLILLLVGVALLLSCTL >PVH34081 pep chromosome:PHallii_v3.1:8:22547728:22548477:1 gene:PAHAL_8G138200 transcript:PVH34081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEVNMMGYRIVDTIEGAALEAIYLFCNQHPKEVAGQPIGLFSTTNPNEPEWNLGVVPESQKLEGPTEEALRGMMRFMNVQYHHQLLLRREMGQLINATRSHYREADRQITQVDQLRALVTQKDEVIAAQDETILHREDQINESDHIITERNTIIEFLQEQIHDLILAADDAQAHLEELQQPPIPPIAPAVPEAEEEDPEEIEGVSELDSEHGDPVLSPHHSSSGSQSSVGNFDDF >PVH34388 pep chromosome:PHallii_v3.1:8:39601520:39609711:1 gene:PAHAL_8G211400 transcript:PVH34388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKRVLELRDRLDRTLALPDLAEESSLRALVKKQILASSPAGSNEGDIDLIAETQAKEVLEFLEMLDTSRDRRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDTINMRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKKALRGPLYVRIREYQDSDDKAKVTTTEENSTEVPPDNPIVQNHLTVTNTTSNSEIVEEESEQNTSFKVDRLPSSPPYQPAEQAQQIKNRPFISPEVEHALGILDSAIAVLRGDKTTNITTLQNLLSYNATLEESTVGSRSSQANIRDADSLLNGGTATTQPQNSREIQQAYSLPSDKISNRAEDAVDKDFLKNPTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGFSNNKEPKRVRKTKRWPCCLTPTTIG >PAN43108 pep chromosome:PHallii_v3.1:8:39601476:39609665:1 gene:PAHAL_8G211400 transcript:PAN43108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKRVLELRDRLDRTLALPDLAEESSLRALVKKQILASSPAGSNEGDIDLIAETQAKEVLEFLEMLDTSRDRRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDTINMRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKKALRGPLYVRIREYQDSDDKAKVTTTEENSTEVPPDNPIVQNHLTVTNTTSNSEIVEEESEQNTSFKVDRLPSSPPYQPAEQAQQIKNRPFISPEVEHALGILDSAIAVLRGDKTTNITTLQNLLSYNATLEESTVGSRSSQANIRDADSLLNGGTATTQPQNSREIQQAYSLPSDKISNRAEDAVDKDFLKNPTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGFSNNKEPKRVRKTKRWPCCLTPTTIG >PAN43110 pep chromosome:PHallii_v3.1:8:39602839:39609688:1 gene:PAHAL_8G211400 transcript:PAN43110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKRVLELRDRLDRTLALPDLAEESSLRALVKKQILASSPAGSNEGDIDLIAETQAKEVLEFLEMLDTSRDRRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDTINMRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKKALRGPLYVRIREYQDSDDKAKVTTTEENSTEVPPDNPIVQNHLTVTNTTSNSEIVEEESEQNTSFKVDRLPSSPPYQPAEQAQQIKNRPFISPEVEHALGILDSAIAVLRGDKTTNITTLQNLLSYNATLEESTVGSRSSQANIRDADSLLNGGTATTQPQNSREIQQAYSLPSDKISNRAEDAVDKDFLKNPTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGFSNNKEPKRVRKTKRWPCCLTPTTIG >PAN43109 pep chromosome:PHallii_v3.1:8:39605080:39609665:1 gene:PAHAL_8G211400 transcript:PAN43109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKRVLELRDRLDRTLALPDLAEESSLRALVKKQILASSPAGSNEGDIDLIAETQAKEVLEFLEMLDTSRDRRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDTINMRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKKALRGPLYVRIREYQDSDDKAKVTTTEENSTEVPPDNPIVQNHLTVTNTTSNSEIVEEESEQNTSFKVDRLPSSPPYQPAEQAQQIKNRPFISPEVEHALGILDSAIAVLRGDKTTNITTLQNLLSYNATLEESTVGSRSSQANIRDADSLLNGGTATTQPQNSREIQQAYSLPSDKISNRAEDAVDKDFLKNPTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGFSNNKEPKRVRKTKRWPCCLTPTTIG >PAN43112 pep chromosome:PHallii_v3.1:8:39605628:39609700:1 gene:PAHAL_8G211400 transcript:PAN43112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTSRDRRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDTINMRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKKALRGPLYVRIREYQDSDDKAKVTTTEENSTEVPPDNPIVQNHLTVTNTTSNSEIVEEESEQNTSFKVDRLPSSPPYQPAEQAQQIKNRPFISPEVEHALGILDSAIAVLRGDKTTNITTLQNLLSYNATLEESTVGSRSSQANIRDADSLLNGGTATTQPQNSREIQQAYSLPSDKISNRAEDAVDKDFLKNPTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGFSNNKEPKRVRKTKRWPCCLTPTTIG >PAN43107 pep chromosome:PHallii_v3.1:8:39603158:39609665:1 gene:PAHAL_8G211400 transcript:PAN43107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKRVLELRDRLDRTLALPDLAEESSLRALVKKQILASSPAGSNEGDIDLIAETQAKEVLEFLEMLDTSRDRRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDTINMRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKKALRGPLYVRIREYQDSDDKAKVTTTEENSTEVPPDNPIVQNHLTVTNTTSNSEIVEEESEQNTSFKVDRLPSSPPYQPAEQAQQIKNRPFISPEVEHALGILDSAIAVLRGDKTTNITTLQNLLSYNATLEESTVGSRSSQANIRDADSLLNGGTATTQPQNSREIQQAYSLPSDKISNRAEDAVDKDFLKNPTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGFSNNKEPKRVRKTKRWPCCLTPTTIG >PAN43111 pep chromosome:PHallii_v3.1:8:39601520:39609665:1 gene:PAHAL_8G211400 transcript:PAN43111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKRVLELRDRLDRTLALPDLAEESSLRALVKKQILASSPAGSNEGDIDLIAETQAKEVLEFLEMLDTSRDRRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDTINMRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKKALRGPLYVRIREYQDSDDKAKVTTTEENSTEVPPDNPIVQNHLTVTNTTSNSEIVEEESEQNTSFKVDRLPSSPPYQPAEQAQQIKNRPFISPEVEHALGILDSAIAVLRGDKTTNITTLQNLLSYNATLEESTVGSRSSQANIRDADSLLNGGTATTQPQNSREIQQAYSLPSDKISNRAEDAVDKDFLKNPTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGFSNNKEPKRVRKTKRWPCCLTPTTIG >PVH34389 pep chromosome:PHallii_v3.1:8:39603152:39609688:1 gene:PAHAL_8G211400 transcript:PVH34389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKRVLELRDRLDRTLALPDLAEESSLRALVKKQILASSPAGSNEGDIDLIAETQAKEVLEFLEMLDTSRDRRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDTINMRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKKALRGPLYVRIREYQDSDDKAKVTTTEENSTEVPPDNPIVQNHLTVTNTTSNSEIVEEESEQNTSFKVDRLPSSPPYQPAEQAQQIKNRPFISPEVEHALGILDSAIAVLRGDKTTNITTLQNLLSYNATLEESTVGSRSSQANIRDADSLLNGGTATTQPQNSREIQQAYSLPSDKISNRAEDAVDKDFLKNPTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGFSNNKEPKRVRKTKRWPCCLTPTTIG >PAN41715 pep chromosome:PHallii_v3.1:8:4616561:4617662:-1 gene:PAHAL_8G058400 transcript:PAN41715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVLIGALMVGDCSDCLCVRASRIWEFNDLQDETKLLHTDLVLLDEEGSSIHAQIYPPLPEKFKPLLEEGRVYNLSFFQVKKANRLYKPVQNDIMISFTRWTTVEEVVEIPLAFPEFTYSLTPIEKLPSVLDNKEYFTDVLGAVTKISDALPLRPRSQQVHTMKRTVTVSGSAPMFV >PVH33596 pep chromosome:PHallii_v3.1:8:2048620:2050884:-1 gene:PAHAL_8G028900 transcript:PVH33596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGKSLGIDDAVKMFDELLPHARPASVRAFNHLLTSIFRAQGRGSSTSALVVSLFNRMVRASPNKVAPNASTYNILIGCFCRMGRLELGFASFGLVLKAGWRVQTVINPLLKGLCDTKRVDEAMDILLRRMPEFGCPPNVVAYSIVIDGLFREGQVDKAYNLFREIDNQGILPTVVTYTTVINGLCKAQAVDRAEGVLRQMVHKGVKPNNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGHGPNVVTCNLLLDYLCKSGRCTVARKIFDSMIEKGTKPNVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPDHCTFNIVLWAYAKGGKIDGAMHIFDQMRQQGLSPNVVNYGAIIDALCKSGRVDEAMLKFNQMIYEGVSPDIIVFSSLVYGLCTADKWEKANELFSEMLNHGVHPDSTFFNTIMCNLCREGRVTEAQSLLDLMVCVGVRPDVISYTTLMDGHCLAGRMKEAMKLLDDMVRVGLKPEAFSYNTLLHGYCRAGRIDDAVRLFREMLSNEVRPGIVTYSMVLQGLFRSGKISEAKELYINMIKSGMQLDIYTYNIILNGLCKNDYVDEAFKIFQSLCSKGFQLHISTFNIMIDAFLKSGRKEDAMDMFTAISAHGLVPSVVTYCLMIENLIKEGLLEESDNLFLAMEKSGCTPDSRMLNALVRGLLHRGEVMRAGAYLAKIDEMNFSLEASTTSLLISVFSREEYQHHAKSLPEKYHFLVDVNK >PVH33594 pep chromosome:PHallii_v3.1:8:2046434:2051262:-1 gene:PAHAL_8G028900 transcript:PVH33594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGKSLGIDDAVKMFDELLPHARPASVRAFNHLLTSIFRAQGRGSSTSALVVSLFNRMVRASPNKVAPNASTYNILIGCFCRMGRLELGFASFGLVLKAGWRVQTVINPLLKGLCDTKRVDEAMDILLRRMPEFGCPPNVVAYSIVIDGLFREGQVDKAYNLFREIDNQGILPTVVTYTTVINGLCKAQAVDRAEGVLRQMVHKGVKPNNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGHGPNVVTCNLLLDYLCKSGRCTVARKIFDSMIEKGTKPNVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPDHCTFNIVLWAYAKGGKIDGAMHIFDQMRQQGLSPNVVNYGAIIDALCKSGRVDEAMLKFNQMIYEGVSPDIIVFSSLVYGLCTADKWEKANELFSEMLNHGVHPDSTFFNTIMCNLCREGRVTEAQSLLDLMVCVGVRPDVISYTTLMDGHCLAGRMKEAMKLLDDMVRVGLKPEAFSYNTLLHGYCRAGRIDDAVRLFREMLSNEVRPGIVTYSMVLQGLFRSGKISEAKELYINMIKSGMQLDIYTYNIILNGLCKNDYVDEAFKIFQSLCSKGFQLHISTFNIMIDAFLKSGRKEDAMDMFTAISAHGLVPSVVTYCLMIENLIKEGLLEESDNLFLAMEKSGCTPDSRMLNALVRGLLHRGEVMRAGAYLAKIDEMNFSLEASTTSLLISVFSREEYQHHAKSLPEKYHFLVDVNK >PVH33593 pep chromosome:PHallii_v3.1:8:2044766:2051262:-1 gene:PAHAL_8G028900 transcript:PVH33593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGKSLGIDDAVKMFDELLPHARPASVRAFNHLLTSIFRAQGRGSSTSALVVSLFNRMVRASPNKVAPNASTYNILIGCFCRMGRLELGFASFGLVLKAGWRVQTVINPLLKGLCDTKRVDEAMDILLRRMPEFGCPPNVVAYSIVIDGLFREGQVDKAYNLFREIDNQGILPTVVTYTTVINGLCKAQAVDRAEGVLRQMVHKGVKPNNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGHGPNVVTCNLLLDYLCKSGRCTVARKIFDSMIEKGTKPNVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPDHCTFNIVLWAYAKGGKIDGAMHIFDQMRQQGLSPNVVNYGAIIDALCKSGRVDEAMLKFNQMIYEGVSPDIIVFSSLVYGLCTADKWEKANELFSEMLNHGVHPDSTFFNTIMCNLCREGRVTEAQSLLDLMVCVGVRPDVISYTTLMDGHCLAGRMKEAMKLLDDMVRVGLKPEAFSYNTLLHGYCRAGRIDDAVRLFREMLSNEVRPGIVTYSMVLQGLFRSGKISEAKELYINMIKSGMQLDIYTYNIILNGLCKNDYVDEAFKIFQSLCSKGFQLHISTFNIMIDAFLKSGRKEDAMDMFTAISAHGLVPSVVTYCLMIENLIKEGLLEESDNLFLAMEKSGCTPDSRMLNALVRGLLHRGEVMRAGAYLAKIDEMNFSLEASTTSLLISVFSREEYQHHAKSLPEKYHFLVDVNK >PVH33595 pep chromosome:PHallii_v3.1:8:2046215:2051262:-1 gene:PAHAL_8G028900 transcript:PVH33595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGKSLGIDDAVKMFDELLPHARPASVRAFNHLLTSIFRAQGRGSSTSALVVSLFNRMVRASPNKVAPNASTYNILIGCFCRMGRLELGFASFGLVLKAGWRVQTVINPLLKGLCDTKRVDEAMDILLRRMPEFGCPPNVVAYSIVIDGLFREGQVDKAYNLFREIDNQGILPTVVTYTTVINGLCKAQAVDRAEGVLRQMVHKGVKPNNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGHGPNVVTCNLLLDYLCKSGRCTVARKIFDSMIEKGTKPNVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPDHCTFNIVLWAYAKGGKIDGAMHIFDQMRQQGLSPNVVNYGAIIDALCKSGRVDEAMLKFNQMIYEGVSPDIIVFSSLVYGLCTADKWEKANELFSEMLNHGVHPDSTFFNTIMCNLCREGRVTEAQSLLDLMVCVGVRPDVISYTTLMDGHCLAGRMKEAMKLLDDMVRVGLKPEAFSYNTLLHGYCRAGRIDDAVRLFREMLSNEVRPGIVTYSMVLQGLFRSGKISEAKELYINMIKSGMQLDIYTYNIILNGLCKNDYVDEAFKIFQSLCSKGFQLHISTFNIMIDAFLKSGRKEDAMDMFTAISAHGLVPSVVTYCLMIENLIKEGLLEESDNLFLAMEKSGCTPDSRMLNALVRGLLHRGEVMRAGAYLAKIDEMNFSLEASTTSLLISVFSREEYQHHAKSLPEKYHFLVDVNK >PVH34412 pep chromosome:PHallii_v3.1:8:40027697:40034091:-1 gene:PAHAL_8G214800 transcript:PVH34412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVKVEETGVDPKALKSSFLKMVKLMNENEADKKNYRANGKLSQLKCPVCQRNSVDLHALLNHAYHAKNAEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTAAAEANQGDLILWPPTVIIENTYKSQKDGQKDVMSNKELESKLREMGFAVVDVEPLPGKDGHRSMQAKFPASLDGLNKASQLVELFERQGHGRATWARIRSIAPTADGGNNPMLVKVDGKGERTWVLYGYLATAWDLDMLDPESKQSVTVKSRKELDLD >PVH34590 pep chromosome:PHallii_v3.1:8:43768783:43771797:1 gene:PAHAL_8G254700 transcript:PVH34590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATTSPALVLLFSICTTFFLTGSDGRRSCLPVERDALLDFKKGITSDPVNLLSSWHGEDCCQWRGVRCSNETGHVLKLSIRNQNADTPNGCEDDNALSGKISPSLLSLTQLVHMDLSMNCFTGHVPSFLGSMKRLQYLNLSGLVFNGEVPPQLGNLSRLQYLDISSKYTELDIYSTDITWLKNLPMLQYLSMCGVNLSRISDWPHVLNNIPSLKVIDLSCCALDSANQSIPYLNLTKVEILRLQGNSFHHEIASCWFWKVTSLRYLDLRFNKFFGQFHNALENMRFLRILDLSSNLDNNIEMKGNFKNLCSLEILYLTQNFMNGEIAVLMEWLSHCAQDILKELHLDYNNFIGALPNLIGRFTSLTLLDLSHNNLTGSIPPELGNCSSLVTLDLSNNNLTGSIPPELANCSSLVTLDISTNQLRGTVPTELGAYANLTSLVLSSNNFTSVITEEHFAGLTSLKKVDLSSNKLKVVVDKSWLAPFSLNVALFGSCQMGHVFPAWLQQQLEITKLDLSRSGLEDNIPDWFWSTFSQAIYIDISNNKLGGSLPAHFSDMAVEQLYLSSNRLIGPVPPLPRNIYILDISNNSFSGTLPSDLEAQKLQTLLMYSNQIGGTIPGSLCKLGGLVDLDLSNNLFEGEIPRCVETESSPSLEFLLVSNNSLSGPFPTFLYNCPGLQFLDLARNNFSGSLPPWIGNLSRLQFLQLSGNTFSGNIPDEIRNLSQLQYLDLSSNNLSSVIPQHLSNLTAMTLKRKSYISSFKGQWRPYIIGDKNSLLMVHVSGQFGEIISIITKGQQLRYGGELAYFVSIDLSGNSLTGEIPSCITYLDGLRNLNLSSNLLRGNIPSNIGAMRTLESLDLSKNKISGEIPPSISNLTSLSYMNLSYNNLSGRIPSGRQLDTISADNPSLMYIGNSELCGPPLQNSCSGEDDVIHGDQRSRQEHDPMSFYLGLVLGLVVGLWVVFCALLFKKTWRISYFQFIDKLYDRIYVFVFVKWAVLTRKQDK >PAN41373 pep chromosome:PHallii_v3.1:8:2861241:2863481:-1 gene:PAHAL_8G038900 transcript:PAN41373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKVTGTAAAAFLVISVSLWELGMRIVMLPFLFTSIVACIVTFASHDAVNLPWILRKNSVGRFPFWSIILFGPFLMLAQTYAMVKRFMRKESVHDKIVEGLYLGGWPFLLKHLPPGNPSVIDCTCELPRSSFVPKDEYLCLATWDTRAPTPSQIELAARWACEKRSKGKPVYVHCAFGHGRSACVVCAILVALGVAETWKDAENIIRERRKIKMNALHRKTLEEWSKHRAAQKKGN >PVH34424 pep chromosome:PHallii_v3.1:8:40272419:40285848:1 gene:PAHAL_8G216900 transcript:PVH34424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPPEQAAAAAFLNNVMGKLFQALGLVETYKMLRDLEPESESLLQDLRMLTAAVDDELTGPKGDRRTAVARAYSSEMRALTHDVEDCIERFVHRVTGGGLEGASRLRRAVHTVGMLRTCYRFASEIKRLKKRVQEVRARVLKPLEGGGVGGQPSGSRPAAGPRAVDHAARSPVGIEKPMEELLALLDLDLDQRQPEGQPQPPSQAQPRVIAIVGFGGVGKTTLARAVYDAAPVAGAFPCRAWVAVRSPEDGDATGILENIHQQLLPGQQYSESSLTKFLRDKRYLIVIDDVDDIDEEQWDTITPAFEGNGEGSRIIVTTTFRPTANRRSDANGCVYKMRTLGMRNSMTIALRGRCAAELMQGSETLLKKCDGLPLALVSVARQLSSEDEPTGKFCSELCSKLGSYLEREDSEPNFARLRDVLIDNYTSLSDLSVRTCLLYLGMFSIDRPLRKSVIIRRWLAEGYARSEDITLSEQSVANRNFKTFIDRNIIMPVNISKNADVKTCKMHGIMHEFLLHRSICEKFVMCSRTPRDKIVRHLFVHGDVGSYTNSTTTWNMDLSRVRSVTVRGSGGGAISDFCKYKLIRVLDLEECTDVKDSHLKKICKLWNLRYLSLSHKITRLPKEIAKLKLLETLALSKTVVTALPVEVVGLPCLINLIGKFKVLVQDWKSSGELEQLEELCRNSKLETLAGFVTDGGEIQGFLQLIVHIKNLRKVKIYCNSTENVTDLSCLNNDLVKAIRQYTRAPMGAGCDRSMSIDFQGLPRGSMHALRDLYNHRMSLQETYYLSSLKLQGDLSTSHEFVAIVSGLTELCLSSPTMARDLLLSLSAMPFLLYLTLITDEIDEDFVIKVGTFQCLRRLRIVLQHENPVLPEIEEGALPELVSLQVPCENLAAGPSSIGIRHLRKLQEIELHPKVREPARREWEAAAWNHPNRPNVLPFISVDDHDLVGEKTTNNLVASSKESGQEEAVVQGQLADQASEPSVPQMPLSTCNDSGLGNKMLYSPDHVTMENSIGIQGRPAEEASKYSLLLTDQQGNYTSTELGHVGSCYNSAKLSDWDTSVLAKYSVNARKANDG >PVH34433 pep chromosome:PHallii_v3.1:8:40316228:40318037:1 gene:PAHAL_8G217500 transcript:PVH34433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMGRAGLLALASLAAAAAALTLAGGAAAAGECGRARCGMGACAESGDYAFGFACQCRPGWSRYHLGGMQFPFLPCVIPNCTINHGCQDGSSSPPAPPPPPPPPAGLPPLTNFSIFDPCLMQYCGDGGACEKASDFTHRCSCRDGYANLLNDTSYPCYRQCSLGSDCRDLGIGVINGSTPTSSPPAPISFTVRRSAAGAAAAPVEWLLKLLMLISFLWLQAI >PAN42970 pep chromosome:PHallii_v3.1:8:38648711:38650387:1 gene:PAHAL_8G202000 transcript:PAN42970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGASSEAAEGKKGAAPPRPAGRSRDALVFAAGVAAAVLALALLGPASSALAPGRGLVAFPVPGPADGPHTFYDDPELSYEAVGGRRLTGWDAKRAAWLRSRGLGGGGGRRRPERVVMVSGSQPEPCRGPGGDHLLLRFLKNKVDYCRLHGVELLYNNALLEPSMAAYWAKIPAVRAAMLAHPEAEWVWWVDADAVFTDMDFSLPLAKYAAYNLVLYGWPKEVYERRRWLGLNAGVFLIRNCQWSLDFLDEWARMGPAYPDHAAWGRTIKAALSDRDSDVACDQSALAYLLLANRERWGDKTYLGTEYYFQGYFAEIVGKLHGVAARYEAAERAAGPGLRRRHAEREHLAYAAARNAAVRAAVPGPDGGGQRGWRRPFVTHFTGCNPCGGRRNPMYSRELCDGGMRRALGFADNQVLRAYGFRHAAPLNDTVLPLPFDYPAARNR >PAN41868 pep chromosome:PHallii_v3.1:8:6724818:6730014:-1 gene:PAHAL_8G075200 transcript:PAN41868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPPLLLLLLLHFALLPADAVAGGNGGDLRGDAVALLALKAALNCRPQALRSWAAGNAGAVCAWTGVRCAGGRVVAVDLANMNVSSGEAVSARVAGLDALESLSLAGNGIAGAVSASALPALRHVNVSGNQLGGGLDGWDFASLPALEVFDAYDNNFSAPLPLGVAALPRLQYLDLGGNYFTGEIPAAYGGMPAVEYLSLNGNNLQGRIPPELGNLTTLRELYLGYYNVFDGGVPPELGRLRSLTVLDVSNCGLTGGIPAELGALSSLDTLFLHTNQLSGPIPPELGNLTSLTALDLSNNALTGEVPRSLASLTSLKLLNLFLNRLHGPVPEFIAALPRLETVQLFMNNLTGRIPAGLGANAALRLVDLSSNRLTGVIPETLCASSELHTAILMNNFLFGPIPGSLGSCASLTRVRLGQNYLNGSIPAGLLYLPRLNLLELQNNLLSGAVPPNPSPSASSSQLAQLNLSNNLLSGPLPSTLANLTALQTLLASNNRLGGAVPPEVGALRRLVKLDLSGNELSGPVPAAVGQCGELTYLDLSRNNLSGPIPEAIAGIRVLNYLNLSRNALEDAIPAAIGAMGSLTAADFSYNDLSGQLPDTGQLGYLNATAFAGNPGLCGAVLGRPCNYTTGGAGGGSAASASAGGTRRAGAGELKLVLALGLLACSVVFAAAAVLRARSFRAGEGGGEWRFTAFHKVDFGIAEVIECMKEGNVVGRGGAGTVYLGRTRSGGAIAVKRLQARGGGGDDRGFRAEVRTLGSIRHRNIVRLLAFCSNRDANVLVYEYMGGGSLGEALHGRQQHQPRGGAALCWARRRRIALESARGLCYLHHDCAPMIVHRDVKSNNILLAGSGDGDGDGEGEARVADFGLAKFLRPGAGGGAATSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGRRPVGGFGEGVDIVQWARRATGGRREAVPGVADRRLLAAGDASADEVAHLFFVAMLCVQDNSVERPTMREVVQMLSELPPQASPSPSTTTSPGGEESSSTSSPDGKDGPPANCYKLFVPDLLA >PAN41869 pep chromosome:PHallii_v3.1:8:6723376:6730271:-1 gene:PAHAL_8G075200 transcript:PAN41869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPPLLLLLLLHFALLPADAVAGGNGGDLRGDAVALLALKAALNCRPQALRSWAAGNAGAVCAWTGVRCAGGRVVAVDLANMNVSSGEAVSARVAGLDALESLSLAGNGIAGAVSASALPALRHVNVSGNQLGGGLDGWDFASLPALEVFDAYDNNFSAPLPLGVAALPRLQYLDLGGNYFTGEIPAAYGGMPAVEYLSLNGNNLQGRIPPELGNLTTLRELYLGYYNVFDGGVPPELGRLRSLTVLDVSNCGLTGGIPAELGALSSLDTLFLHTNQLSGPIPPELGNLTSLTALDLSNNALTGEVPRSLASLTSLKLLNLFLNRLHGPVPEFIAALPRLETVQLFMNNLTGRIPAGLGANAALRLVDLSSNRLTGVIPETLCASSELHTAILMNNFLFGPIPGSLGSCASLTRVRLGQNYLNGSIPAGLLYLPRLNLLELQNNLLSGAVPPNPSPSASSSQLAQLNLSNNLLSGPLPSTLANLTALQTLLASNNRLGGAVPPEVGALRRLVKLDLSGNELSGPVPAAVGQCGELTYLDLSRNNLSGPIPEAIAGIRVLNYLNLSRNALEDAIPAAIGAMGSLTAADFSYNDLSGQLPDTGQLGYLNATAFAGNPGLCGAVLGRPCNYTTGGAGGGSAASASAGGTRRAGAGELKLVLALGLLACSVVFAAAAVLRARSFRAGEGGGEWRFTAFHKVDFGIAEVIECMKEGNVVGRGGAGTVYLGRTRSGGAIAVKRLQARGGGGDDRGFRAEVRTLGSIRHRNIVRLLAFCSNRDANVLVYEYMGGGSLGEALHGRQQHQPRGGAALCWARRRRIALESARGLCYLHHDCAPMIVHRDVKSNNILLAGSGDGDGDGEGEARVADFGLAKFLRPGAGGGAATSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGRRPVGGFGEGVDIVQWARRATGGRREAVPGVADRRLLAAGDASADEVAHLFFVAMLCVQDNSVERPTMREVVQMLSELPPQASPSPSTTTSPGGEESSSTSSPDGKDGPPANCYKLFVPDLLA >PAN41867 pep chromosome:PHallii_v3.1:8:6723723:6730271:-1 gene:PAHAL_8G075200 transcript:PAN41867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPPLLLLLLLHFALLPADAVAGGNGGDLRGDAVALLALKAALNCRPQALRSWAAGNAGAVCAWTGVRCAGGRVVAVDLANMNVSSGEAVSARVAGLDALESLSLAGNGIAGAVSASALPALRHVNVSGNQLGGGLDGWDFASLPALEVFDAYDNNFSAPLPLGVAALPRLQYLDLGGNYFTGEIPAAYGGMPAVEYLSLNGNNLQGRIPPELGNLTTLRELYLGYYNVFDGGVPPELGRLRSLTVLDVSNCGLTGGIPAELGALSSLDTLFLHTNQLSGPIPPELGNLTSLTALDLSNNALTGEVPRSLASLTSLKLLNLFLNRLHGPVPEFIAALPRLETVQLFMNNLTGRIPAGLGANAALRLVDLSSNRLTGVIPETLCASSELHTAILMNNFLFGPIPGSLGSCASLTRVRLGQNYLNGSIPAGLLYLPRLNLLELQNNLLSGAVPPNPSPSASSSQLAQLNLSNNLLSGPLPSTLANLTALQTLLASNNRLGGAVPPEVGALRRLVKLDLSGNELSGPVPAAVGQCGELTYLDLSRNNLSGPIPEAIAGIRVLNYLNLSRNALEDAIPAAIGAMGSLTAADFSYNDLSGQLPDTGQLGYLNATAFAGNPGLCGAVLGRPCNYTTGGAGGGSAASASAGGTRRAGAGELKLVLALGLLACSVVFAAAAVLRARSFRAGEGGGEWRFTAFHKVDFGIAEVIECMKEGNVVGRGGAGTVYLGRTRSGGAIAVKRLQARGGGGDDRGFRAEVRTLGSIRHRNIVRLLAFCSNRDANVLVYEYMGGGSLGEALHGRQQHQPRGGAALCWARRRRIALESARGLCYLHHDCAPMIVHRDVKSNNILLAGSGDGDGDGEGEARVADFGLAKFLRPGAGGGAATSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGRRPVGGFGEGVDIVQWARRATGGRREAVPGVADRRLLAAGDASADEVAHLFFVAMLCVQDNSVERPTMREVVQMLSELPPQASPSPSTTTSPGGEESSSTSSPDGKDGPPANCYKLFVPDLLA >PVH34308 pep chromosome:PHallii_v3.1:8:37211792:37214706:1 gene:PAHAL_8G190900 transcript:PVH34308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYSMCFLRRQGRTSSFRMWASRLRGLRPVNRTFEQIWQRRGGPMLSFEPLSIPCAKMDELSKEVQETEESRSRDGEEMNMQDEMNAKLELVLSQVRPS >PVH34521 pep chromosome:PHallii_v3.1:8:42359874:42368235:1 gene:PAHAL_8G237500 transcript:PVH34521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTALVGVTTVVMKPLLSKLTKLLGEEYVKLRGVRKQIEFLRDELSAMSATSATLEVLADAEQLNPETKLWRDKLRELAYDLEDCIDAFMARVDDRRDGPTGFEKYFCKLKRLKARHKIANQIKELKTSVMVASERHKRYEFAQNPSTSSVDPRLQALYTDIDKLVGIDGPKKHIIELLSMEMNGPSTKLKVVSIAGCGGLGKTTLAKQVYDTIKGQFSCSAFVSVSRTLDLRKILIHISSGVGFTGYTQDDGEQQLIDKLRNYLHCKRYIIVIDDLWDTKHWKFIEPALLNNDLGSRIISTTRSVTVAKCCSFQDYEIEPQVYEMEPLSFNDSKRLFFKRAFGSETPCYPHLEDVPDRILRKCGGLPLAIVTVSSMLTNQLTKEEWDRVLSAMGSALANKPDAKKMTSIISLSYFDIPYHLRTCFLYLSVFPEDYKIEKQCLINRWIAEGFIHEEEGRSKYEIGQHYFNDLINRSMIQPIDVKCGQAKACRVHDIILDYIKCKATEENFVTSLYAAEHVYTPAYKVRRLCVSNHTKENVTIWADPMLSCVRSVTIFGQSVKTSLLPSTALRVLDLGDCSRMKDHHLASIENLFHLKYLRLSSGSVSKLPEKIGELQYLQTLNVRGTGIEELPSTITKLQRLAYLYVDWRIRFPDGVIGQMHNLEELTEYGVQSYEQWKSLQEFSKLTKLRTLKFKWDFNSLEGSEGLRQAEGFHSYAGTLLSSCNLYNLHIEDWSRYNRYPMSLDSWHPATPCSLRKLCIKRYLIFKVPNLMASFGNLVVLKLNYIICLRPEDVDILGAIPTLVFLKLATAGGTNGRITVHGSNGFKSLKYLSLRIFRCGTTLEFQVGSMPKLEHVKLILRMHKRKCMNGASDLGIQHLSALSKVEVEIYGNRRNDSNYNPTEDKKDDAVRWVANAINGAIMTHPNRPTVIYETDYDEDCEHFESLGGLLTGAGPVSIPWFSWEYLNLKKKEIPDYTSCFPFRQSVDFFC >PAN41337 pep chromosome:PHallii_v3.1:8:2616764:2617597:-1 gene:PAHAL_8G036000 transcript:PAN41337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNGGRGGARGARLQLHLSPPAAGRMEVDGGDGSDWSSSPSSCVSSDGSPGGKSPMVIGACTRCMMYCMVAKRDFPTCINCKQPSLVDLLHGAGAAGSGGDKRRGKGK >PAN41512 pep chromosome:PHallii_v3.1:8:3784890:3790226:1 gene:PAHAL_8G049400 transcript:PAN41512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSTVRSNRQSQWPDCFKTSDDWSGAHQLFDEMPNSRFEDWRPPNRILEVTVHKVFYPITESLLHQVFGTFGVVEQVLVFGGTNKVLARVVFESKHVVAEAFGELHGRCVYTGCCQLDIKWGLYQDYDNANSDVSCFGTTVKLSSTIAMDHIPDTSTAVAAATATNAVPGCIDVKLPDTCLTLGLDVAAHGNCVCGLQLREAIC >PVH33782 pep chromosome:PHallii_v3.1:8:5197382:5211487:1 gene:PAHAL_8G063600 transcript:PVH33782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPEQELDALERILVDANAEPIALSYASLCKITNGFSQEIGRGGFGLVYMGVLQNGRVAVKKLSKIHEFSERQFEDELICLIKVKHKNIVRFLGYCSDTSRQVVKHNGTYILAEVRRRFLCFEYVSNKSLKEYLKDESYGRDWDTRYQLIAGICHGLRYLHNEERIIHLDLKPENILLDIDMVPKITDFGLSRRFGTEQSRVITKEIKGTRGYIAPEYHNNGEISFKSDIYSLGIIIKKLIRGSNDLSDFENWHTSLVLDNQQVKRIIEMAQLCVSADQHIRPTIDEFIDMVNEKETMTTVVSPVCGHSRSNSGSSLKQMEEHNVFPPVVSKERLNKEGYSEEVVHKMEAPNKEVTVDMQGKEELLVPNTGVSKGLQPESITCIVSNETTTCEVVDKLKSWVVLESKPILLHKHLLPQDGDISAKHQQYNHIIRRKLWPRLPRAVTVTSDEPRLKSRARKENDQESASASSPPQAIRANREPEYTATRPGNAIVHVAKCDSPIVSMTVHPAETWIITHHLDQSVCIWDYITQEKVENTFRVLISKHKADVLAPIFVARKQWYLTGTNTGHVKVYSYETMEDVKTFKAHDDNVRSLDIHPTKPYLLSASYDKRIKLWNWEEGWECVKTFDTQRFISKVKFVPTGAGYFTSASLSGLQIWNIGSSQCEFELDEDDPDMMSFDYLTRDDQLHVITGHINNSVRIWEWQSRGGSSKLEGRAGKVTTVHGHPDLPIIISGSCDGKICWWNSATFQLEGTLEYGLGLVRYIACLKGSRRIVIGHQNGLAMTEITTKNLMLSGEGL >PAN41239 pep chromosome:PHallii_v3.1:8:2034717:2041486:1 gene:PAHAL_8G028700 transcript:PAN41239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSPLKRLARRAARGPLERAGLAGLAAAAVSAAALLLLLLCSASLRCSAAALAAAPRRLWAGGVSVAAEAAGSEEEDCDLFDGDWVRAGAGGGYPLYNSRACAFLDVGFRCSENGRPDAAYATWRWQPARCHLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSTAVHNKSSIYEVNGNPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGAPEIVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNDEKTIRGGAYFREGDEVKMDMTVTDAYRRSIQTLSDWLHREVNTSKTHVIYRTYAPVHFRGGDWKTGGSCHSETLPDLTPPEWLEEWGDLLKPVSDVLGNNLNPKLSGVDVLNVTRMTAQRKDGHLSVYLSPSGPVPHYKQDCSHWCLPGVPDTWNELLYALIMKRRMKMDQNVSLAGTITLNTG >PAN41240 pep chromosome:PHallii_v3.1:8:2035536:2041486:1 gene:PAHAL_8G028700 transcript:PAN41240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLRNRRVVFVGDSIGRNQWESLLCMLSTAVHNKSSIYEVNGNPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGAPEIVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNDEKTIRGGAYFREGDEVKMDMTVTDAYRRSIQTLSDWLHREVNTSKTHVIYRTYAPVHFRGGDWKTGGSCHSETLPDLTPPEWLEEWGDLLKPVSDVLGNNLNPKLSGVDVLNVTRMTAQRKDGHLSVYLSPSGPVPHYKQDCSHWCLPGVPDTWNELLYALIMKRRMKMDQNVSLAGTITLNTG >PAN41238 pep chromosome:PHallii_v3.1:8:2034717:2041486:1 gene:PAHAL_8G028700 transcript:PAN41238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSPLKRLARRAARGPLERAGLAGLAAAAVSAAALLLLLLCSASLRCSAAALAAAPRRLWAGGVSVAAEAAGSEEEDCDLFDGDWVRAGAGGGYPLYNSRACAFLDVGFRCSENGRPDAAYATWRWQPARCHLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSTAVHNKSSIYEVNGNPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGAPEIVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNDEKTIRGGAYFREGDEVKMDMTVTDAYRRSIQTLSDWLHREVNTSKTHVIYRTYAPVHFRGGDWKTGGSCHSETLPDLTPPEWLEEWGDLLKPLSGKMAISQYT >PVH34265 pep chromosome:PHallii_v3.1:8:35200281:35200961:1 gene:PAHAL_8G181800 transcript:PVH34265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFQRIFFILAYPTSLVVLSNPHGTKFVVGTSLSHCIFMFIRRK >PAN43512 pep chromosome:PHallii_v3.1:8:42665775:42667373:-1 gene:PAHAL_8G241000 transcript:PAN43512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTVVLIVGAGPAGLATAACLTKLSIPHVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKDQFVKYIDNYIERFDIRPKYQTAIESCSYDEGRKCWFSVARDMKTSVVVRYTARFLVVASGENSAENIPVIPGLRDFAGEAIHSSRFRSGAAYSGKNVLVVGCGNSGMEIAYDLASHGANTSIVVRSPVHVMTKEIIRLGMTLVQHIPVNVVDGLLVRLANFVFGDLSRHGIVRPKAGPLQLKAETGRSAVIDVGTVGLIKKGIIKVLGNISKIKGSIVEFENGRESAFAVIVFATGYKSTANTWLKNGESMLNNAGLPKKEFPNHWKGANGLYCAGLARRGLAGIAIDAKNIANDILSSYHA >PVH34572 pep chromosome:PHallii_v3.1:8:43530905:43531449:1 gene:PAHAL_8G251600 transcript:PVH34572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTNKRSLKSAAKKKNQDWRKRAREEQGITQADKGKVTAILHTASLSSSFSR >PVH33506 pep chromosome:PHallii_v3.1:8:1067142:1070243:1 gene:PAHAL_8G015100 transcript:PVH33506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHNRKKKFLLPRVLGAKDANALSRHIIESSNSTVDLKESPERSSVASPSASSSSFFKSLSESRSLKFSGFSSPATTSSTHTEAFRVFAATWNVAGKTPERGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSDVYAISEAAASFSFCRSVDTTASASPASALQTPSSSPLDPSRFHKSSNREIRRAAITRGRRLKTCTCPSERPRSRRSYRAPCLMGCGKNADAVESDTTTSDEEDDEVRTSSFAVSDVKSPAAAVASRRERYCLVACKQMVGLFSTVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWGTLLEKDQLKTERESGVFRGWNEGKIFFAPTYKYSWNSDNYAGEDVTSKKKRRTPAWCDRILWYGEGIVQLSYVRGESKFSDHRPVCSVFIVEVAVPDNKLIKFASGPNMKVGVEELLFAPSSG >PAN41113 pep chromosome:PHallii_v3.1:8:1067142:1070243:1 gene:PAHAL_8G015100 transcript:PAN41113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHNRKKKFLLPRVLGAKDANALSRHIIESSNSTESRSLKFSGFSSPATTSSTHTEAFRVFAATWNVAGKTPERGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSDVYAISEAAASFSFCRSVDTTASASPASALQTPSSSPLDPSRFHKSSNREIRRAAITRGRRLKTCTCPSERPRSRRSYRAPCLMGCGKNADAVESDTTTSDEEDDEVRTSSFAVSDVKSPAAAVASRRERYCLVACKQMVGLFSTVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWGTLLEKDQLKTERESGVFRGWNEGKIFFAPTYKYSWNSDNYAGEDVTSKKKRRTPAWCDRILWYGEGIVQLSYVRGESKFSDHRPVCSVFIVEVAVPDNKLIKFASGPNMKVGVEELLFAPSSG >PVH33505 pep chromosome:PHallii_v3.1:8:1064843:1070789:1 gene:PAHAL_8G015100 transcript:PVH33505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAETVCRNGAETQQKEEVSPSKSSRSKGCECLVKAYYRVLQLHRVFAATWNVAGKTPERGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSDVYAISEAAASFSFCRSVDTTASASPASALQTPSSSPLDPSRFHKSSNREIRRAAITRGRRLKTCTCPSERPRSRRSYRAPCLMGCGKNADAVESDTTTSDEEDDEVRTSSFAVSDVKSPAAAVASRRERYCLVACKQMVGLFSTVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWGTLLEKDQLKTERESGVFRGWNEGKIFFAPTYKYSWNSDNYAGEDVTSKKKRRTPAWCDRILWYGEGIVQLSYVRGESKFSDHRPVCSVFIVEVAVPDNKLIKFASGPNMKVGVEELLFAPSSG >PVH33508 pep chromosome:PHallii_v3.1:8:1066886:1070789:1 gene:PAHAL_8G015100 transcript:PVH33508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHNRKKKFLLPRVLGAKDANALSRHIIESSNSTVDLKESPERSSVASPSASSSSFFKSLSESRSLKFSGFSSPATTSSTHTEAFRVFAATWNVAGKTPERGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSDVYAISEAAASFSFCRSVDTTASASPASALQTPSSSPLDPSRFHKSSNREIRRAAITRGRRLKTCTCPSERPRSRRSYRAPCLMGCGKNADAVESDTTTSDEEDDEVRTSSFAVSDVKSPAAAVASRRERYCLVACKQMVGLFSTVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWGTLLEKDQLKTERESGVFRGWNEGKIFFAPTYKYSWNSDNYAGEDVTSKKKRRTPAWCDRILWYGEGIVQLSYVRGESKFSDHRPVCSVFIVEVAVPDNKLIKFASGPNMKVGVEELLFAPSSG >PVH33507 pep chromosome:PHallii_v3.1:8:1064843:1070789:1 gene:PAHAL_8G015100 transcript:PVH33507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAETVCRNGAETQQKEEVSPSKSSRSKGCECLVKAYYRVLQLHRVFAATWNVAGKTPERGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSDVYAISEAAASFSFCRSVDTTASASPASALQTPSSSPLDPSRFHKSSNREIRRAAITRGRRLKTCTCPSERPRSRRSYRAPCLMGCGKNADAVESDTTTSDEEDDEVRTSSFAVSDVKSPAAAVASRRERYCLVACKQMVGLFSTVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWGTLLEKDQLKTERESGVFRGWNEGKIFFAPTYKYSWNSDNYAGEDVTSKKKRRTPAWCDRILWYGEGIVQLSYVRGESKFSDHRPVCSVFIVEVAVPDNKLIKFASGPNMKVGVEELLFAPSSG >PAN41220 pep chromosome:PHallii_v3.1:8:1934784:1936672:-1 gene:PAHAL_8G027300 transcript:PAN41220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTAAFRAAKIPRTLPTECGEASAASDGKKPSAGPEKRKEPPPWCVYLIASTRIPRTYVGVTTDFPRRLRQHNGELKGGAKAASAGRPWNLACLVEGFVNRSEACEFEYKWKNISRKMARKRTEPSVESVLQHRQAALNRVETCVDCSHLQIKWHSS >PAN42940 pep chromosome:PHallii_v3.1:8:38454070:38458026:1 gene:PAHAL_8G200200 transcript:PAN42940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRASSSSWVLEMEKLDTRPSVEMARWKQHSIYRVPEFIKKMTNRDAYQPQFVSLGPLHHGEPHLMPMEEHKRRAVLHMFRRARKPLTKFVEAIEEVADELEAAYDGLDDRWRGASRGSFVEMMVTDGCFLLELMRIDLSRVAKDDGGGEVDTGYAANDPVFSTSSFLNLWPIMSNDMIAMENQIPLIVLQRIVFAASGSDTPPSARWINNTVRLLLCGPSFEEGMDNLGLHFLDILHKGYCGTRPYWRRSEYEARTPCAVELSEAGIQFQKSNTKSIHDVDFVNGVLSMPLLRLHDQTEMELLNLMAFEWLHPNTTNDVRCYIAFVDNIIESERDVALLRSQGLIENRMCSDKKVVELFNVTTKLSEANIYNRLGHVQWKMNAHCKKRRNKWRAIFMNNYLSNPWVFISLVAAFILLIATIMQTIYTVVPFYTNKG >PVH34185 pep chromosome:PHallii_v3.1:8:30663202:30664912:-1 gene:PAHAL_8G165300 transcript:PVH34185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWMIDMLGSNAGGSHDLDMLGTYAGGSHDVEVAETQYASPQLEQVFIEAAVVEVSTAVKVIFCKQDNPRRLFESLFCTK >PVH34184 pep chromosome:PHallii_v3.1:8:30663570:30663904:-1 gene:PAHAL_8G165300 transcript:PVH34184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWMIDMLGSNAGGSHDLDMLGTYAGGSHDVEVAETQYASPQLEQVFIEAAVVEVSTAVKVIFCKQDNPRRLFESLFCTK >PVH34634 pep chromosome:PHallii_v3.1:8:44384365:44390654:1 gene:PAHAL_8G263000 transcript:PVH34634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATARAPAADKRWGFAAAAAPSRTPAVGVPGTAPKPSNMSAQRDAFPWGNRPIPPAPNAWVSSSLLSLKNDGGSGSFGNINDRPSTGGSSRTSSDGSDLLDSPLARGGNSHNSTTAISHPQITDLKSGSSQFPRSQTSFSDVLKAPLRTIAKMRPTSHGKGFTLSADDFPVLVSKNSQSNSQQGHSFQGRPTFSSVTMAARDEQRKILTTGGDPVSATNFSMEAQPVQLHATQTPDICMPPPCIDYWHPPPDHPPDRNEIWHGGMASYGPCKPADTPGSFPVESFSHNDQFLLNQRGEARHGTVPGGYHPKNKESCCAHVPADACINDLPHLMLGKVKHNHSDALEKKVIKKDVALLEKIKCLNIKARNRLAGNISEISSRSESKVEHPETIDLEAYHVTNDVPFSVVISDITSAFDMANSVSESINLVPIGTSNVSASANLVMVDLSGHVTKFSEGRKLGGSADNHVYGVGNTSRNKHRSSVTDTASDIWGPGWEEHSTVDSLPVAMTNTHEVQPFAGNSSQQVHVRTGDDMLNSPDYEIQLSRRELSAQHARQLQKEEMGKLQQNVTALENLEELNRSSFVQNLKLNNVPLEADKILCKQSAGGDGTTNHDTSTSDTCCTAYAENLNVPLRANGTKNTTVPISSTPPPGTAGVNRGPLTHNLVPSAKKTDINMLEHSAQKRGAQPRDGSAPNHLQVGDSKGLVHRHESISRVSTPASNTADANNGPLIQNAIPSAKSTAINMIHIDQKSASESHDSTAPMLLQMKDKRRQVHSLEKILRGLPASESAGGNKGSLIHNVLPSAKNNGINMMEYIAWKSASQSHENSAPKHLQIENRRRQVLSQERVLRERSNIAESTENITTVTGTLVDTQNAEAKPRVDLSTQSKNRRLASPYVFGNENKEASNVHKTHITGVVINSAIIPVQVSSVRGFTVGSIMLGDASLGSVNQEKTVAKEVHDDVTNGCASPKQTKQSGMNQHGVQRVKSEAGGLNCMAILAPTQPSMNESIVPQNLAQVKTSVMERHAHTPACKELGLQNPKQMPPAENHISSDNSSTSKLDTKTPDKEALGAPTATKAEAKTEPENREDEKTSKHLGRSSAASNQGSTNSSFSAAPDLNEQKANSFVLKIMQELSDQLEQVEKQIESSTHVATVNCSQPTQMVSLPGYNWGEHHASPGQRQYHVDGQGNMWINYAMNSHMDRTVMTQGATLLTGHLLPGPISVPVPQNNVPGINGATGWMWDTSEGVLISDTSEVPGLGTAITAGSFTKPTQNVYRFGHGMVGGMAYGAAVGTVSSEVWYQPGPELEQLNPAWFPHDGMHHHGGTSVYMLGMDHGAGAQTHGGGATRYVAGAGPLTVGAGGPDCQLVPVAPPVAAWTSTGGTMASAGCPAEAWDAAYGGKHVYYV >PVH34635 pep chromosome:PHallii_v3.1:8:44384365:44390726:1 gene:PAHAL_8G263000 transcript:PVH34635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATARAPAADKRYQPLRLLLRVPIPLLCFQWGSTCAPRPRGFRVYRLCRCCRWGFAAAAAPSRTPAVGVPGTAPKPSNMSAQRDAFPWGNRPIPPAPNAWVSSSLLSLKNDGGSGSFGNINDRPSTGGSSRTSSDGSDLLDSPLARGGNSHNSTTAISHPQITDLKSGSSQFPRSQTSFSDVLKAPLRTIAKMRPTSHGKGFTLSADDFPVLVSKNSQSNSQQGHSFQGRPTFSSVTMAARDEQRKILTTGGDPVSATNFSMEAQPVQLHATQTPDICMPPPCIDYWHPPPDHPPDRNEIWHGGMASYGPCKPADTPGSFPVESFSHNDQFLLNQRGEARHGTVPGGYHPKNKESCCAHVPADACINDLPHLMLGKVKHNHSDALEKKVIKKDVALLEKIKCLNIKARNRLAGNISEISSRSESKVEHPETIDLEAYHVTNDVPFSVVISDITSAFDMANSVSESINLVPIGTSNVSASANLVMVDLSGHVTKFSEGRKLGGSADNHVYGVGNTSRNKHRSSVTDTASDIWGPGWEEHSTVDSLPVAMTNTHEVQPFAGNSSQQVHVRTGDDMLNSPDYEIQLSRRELSAQHARQLQKEEMGKLQQNVTALENLEELNRSSFVQNLKLNNVPLEADKILCKQSAGGDGTTNHDTSTSDTCCTAYAENLNVPLRANGTKNTTVPISSTPPPGTAGVNRGPLTHNLVPSAKKTDINMLEHSAQKRGAQPRDGSAPNHLQVGDSKGLVHRHESISRVSTPASNTADANNGPLIQNAIPSAKSTAINMIHIDQKSASESHDSTAPMLLQMKDKRRQVHSLEKILRGLPASESAGGNKGSLIHNVLPSAKNNGINMMEYIAWKSASQSHENSAPKHLQIENRRRQVLSQERVLRERSNIAESTENITTVTGTLVDTQNAEAKPRVDLSTQSKNRRLASPYVFGNENKEASNVHKTHITGVVINSAIIPVQVSSVRGFTVGSIMLGDASLGSVNQEKTVAKEVHDDVTNGCASPKQTKQSGMNQHGVQRVKSEAGGLNCMAILAPTQPSMNESIVPQNLAQVKTSVMERHAHTPACKELGLQNPKQMPPAENHISSDNSSTSKLDTKTPDKEALGAPTATKAEAKTEPENREDEKTSKHLGRSSAASNQGSTNSSFSAAPDLNEQKANSFVLKIMQELSDQLEQVEKQIESSTHVATVNCSQPTQMVSLPGYNWGEHHASPGQRQYHVDGQGNMWINYAMNSHMDRTVMTQGATLLTGHLLPGPISVPVPQNNVPGINGATGWMWDTSEGVLISDTSEVPGLGTAITAGSFTKPTQNVYRFGHGMVGGMAYGAAVGTVSSEVWYQPGPELEQLNPAWFPHDGMHHHGGTSVYMLGMDHGAGAQTHGGGATRYVAGAGPLTVGAGGPDCQLVPVAPPVAAWTSTGGTMASAGCPAEAWDAAYGGKHVYYV >PAN40968 pep chromosome:PHallii_v3.1:8:541283:543185:-1 gene:PAHAL_8G006300 transcript:PAN40968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCICSPMATMYRLPRNSVCAPCHEGAKAIIGFLNKDEEQEDGGHGSVNSHAPSKLNSSNKGMRDAWEQVKEMRDRAEETNQRAAFLEHGFALAWKEGIHTDIVVKPGTGAPIPAHKAILAARSEVFRHMLSADEHCKAPAADSFSLPELSHDELSLLLAFLYTGALDQDLPERHLHALLVAADKYDIPFLRRACEARLAARVEPRNVLRTLEVADLSSSAVLRERAMGTVLEHAEQVVFSPEYEGFAVRNAALCVEITRALLNKMSTTTTKSIGSPSCIDQDVNRALA >PVH33981 pep chromosome:PHallii_v3.1:8:16031159:16034614:1 gene:PAHAL_8G113700 transcript:PVH33981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADVRAPVRYGTSFNQTKDAVLFWRDFLKLASCQPLHAAVASWPDEPADLRKVAARYATANHQLFMEIMEAALEALGIACRRSLLRELAAGYSQIMLNCYPACPQPELALGLPPHSDYCLFTLLLQDHVEGLQVLHDGRWLTVDPVPGSFIVNVGDHLEIYSNGRYKSKLHRVRVNSTRPRISVASFHSVPVERVIGPAAELVDEGNPRRYMDTDYATFLSFLASAEGKHKSFLQSRKIAS >PAN40942 pep chromosome:PHallii_v3.1:8:419794:423168:1 gene:PAHAL_8G004100 transcript:PAN40942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLASGMSRSSSSVSSSSLLLLITLLAALLHCSLLIQLTVAKEQYHEFVVQEAAVTRLCRKHSIMTVNGQFPGPALEVSEGDSLIVRVINRGSYNVTVHWHGVRQMRTGWSDGPEYVTQCPIRPGQSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRAGVPYPFNGGKPPVREIPILLGEWWDMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYKCSSKDTTTFPVKSGETNLLRFINAALNTELFVSLAGHTMTIVGADASYTKPYATSVLMIAPGQTTDVLVTFDQPPARYYLAARAYSSAQGVPFDNTTTTAIFDYGTSSSRPAMPTLPAYNDTATATAFTTSLRGLRKAELPSRVDESLFFTVGVGLFNCSTGQNCGGPNNTRFAASINNVSFVLPSTLSILQAHYGGAAAAGVFTADFPGNPPVQFDYTAQNVSRALWQPVPGTKVYRLSYGAVVQVVLQGTNIFAAENHPIHLHGYDFYILGEGSGNFDAGRDTGKLNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHISWGLAMAFLVEDGVGELQSLEAPPPDLPLC >PAN41629 pep chromosome:PHallii_v3.1:8:4517805:4529964:1 gene:PAHAL_8G057300 transcript:PAN41629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MAAAAAAAAALSAPSTSLAPIRTSAPRLLRRRRANPLPGATPCPRPKKPPPLSCAAAATPTPAPAAAKAGSWKDLCSLNAWVVRDYRRLVDSVGALEPALRRLSDEQLKAKTAEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSDERRASYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEGVHYTVELKGNNIDLTEDGVAHAEIILGTDDLWDESDPWARFVMNALKAKVFYRRDVQYIVRDGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFQLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIVEDSILPFLTHEPPDIDMEGESTSHKGLSKIKLGPSSLGLLAKAAIMAKYVHKSERNEWSFSKAKSTIAESIEMGQTVGMEKLQERLAEEFEMYPLCDAIGLAYLGVLRDCEIHCSAEGTEVKTLGGLHVVGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEIFQKFNLDTEWAVMLISRITNDEDIAIESNVVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSDQIFQYMQAVADEIVLHNVDPQKPPKTWNLAKLLDEFVGLGGKLLGESFKGIQEENLQSALEQVHGSSSVKADSFTLPNMPIPPDSFRGIRKKTSSMMRWFAICVDDTSKKGRYTNTVNLLRKYFGDFLIATYLNAVQESRYDDAYISGIEREVLLKTLDALWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVEALLHYWSSPMESDEIFNTEDQ >PVH34444 pep chromosome:PHallii_v3.1:8:40516839:40518996:-1 gene:PAHAL_8G219400 transcript:PVH34444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSARRGLGAESIYSCMADPHTHTHTETAGVAEATRRRREVTRASAAQYRRRPPPPPSLPSPLPVSAALLASPHRRPAWEKRTSATSASQRMESSYAFLSRPFRRLENKILVSIRCSTTFPRPMACPSDRSQRLTPFLVRQSSSERNPPPSDRRISGSS >PVH34045 pep chromosome:PHallii_v3.1:8:17772635:17773478:1 gene:PAHAL_8G124100 transcript:PVH34045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGRLPTPGFPGLFINTLEGLGVTERPRYYSREYEHHDTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLAIRKALRYLCQIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRHHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPVIGWGPLFGNSQAPPENPESSAATEGDAAAQPLTDGNPENGERGLLTLPTPEEGTPRE >PAN43494 pep chromosome:PHallii_v3.1:8:42594630:42598369:-1 gene:PAHAL_8G239900 transcript:PAN43494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVASSRGVMIMFSAALLLLLLLPPAAAAAAAAFAVEPAAATAGSHCTRECGNISIPYPFGVEPGCFRPGFEVTCRDDVLFLHNISEMFDINLANGTVDIYVSSVVESIPDEPQTQCGKGNYLTSTWVAVGLGIEGGPYALSATRNKLVMVGCDVQVLLMGLDEDIVSTCAAFCSPVAKNGEYLMASPDCSGVGCCQAPIPAGLDTYLLQFRRFNGSWSGGSVMVYIVDADRLSSYQMDDMQESALPAVLEWVISDSTCQSNSTSPECRSSNSFCKNSTTFRGGHRCHCSEGYDGNPYLVDGCLDIDECKFPCFGDCNNTIGGYLCQCPLGFEGNASIPKGCKDVDECVHPHAYPCYGICKNLPGSFQCQCPQGTYGDPTTKGGCINITIKNSFPGSKIALVASGGFILLIVALAAPFITHKIKVQRVKMLKEKFFKQNHGLLLQQLISQNTDIGERMIITLRELEKATDNFDKTREVGGGGHGVVYKGILNLHVVAIKKSKIVVQREIDDFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGTISLSWDDRLRIVLEVARALSYLHSSTSMPIYHRDIKSSNVLLDDSLTAKVSDFGASRYIPIDQTGVTTAIQGTFGYLDPMYYYTGRLTAKSDVFSFGVLTMELLTRKKPFIYRSDDGDGLVSHFSSLFREGKLADIIDPQVMEEDNGDIQEVAALAVVCTKLKGEDRPTMREVELILENLRLKMHTPSCTKPCSREHIPAQGVVTQEVSRQYTMEEEIMLSARYPR >PAN43277 pep chromosome:PHallii_v3.1:8:41203384:41204494:-1 gene:PAHAL_8G225300 transcript:PAN43277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHRRPCLLFLLAALLLSQLTAGLAATGPGDVAVYWGRNKDEGTLREACDTGAYTTVLIAFLSAFGHGRYALDLSGHPLAGVGDDIKHCQSRGVLVLLSIGGQGGEYTLPSSQAAADLADYLWDAFLAGGRAGVARPFGDAQVNGVDLFVDQGGAEHYDELARRLHGYNRFYRGGGITLAAAPRCAYPDHRLQGALATGLFNRVHVRLYGDLRCTWSARESWEKWAAAYPGSRVFVGVVASPEADRDAYMSQKDLYYGILQFAQKLPNYGGIMIWNRYYDKKNHYLSSS >PAN41531 pep chromosome:PHallii_v3.1:8:3887576:3888415:1 gene:PAHAL_8G050900 transcript:PAN41531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTKGNKRVYLTWTDEMDAALLAVLMEHHNNGGWKPHVYNAAIRNVCEKCNVKITKDNIASRCKTFDKHYEVISKILSQSGFGWDWVNDKLLIDSDDVWNKYVEANKSAACYKNKVVKNWDAISTIYSKDHANVDDASPDLLQKKQRTGEAILSILGDMKTSFNDSLKSDMLKSYGKFILNERLFQALLEIPMDMRKEWLLLLN >PVH34279 pep chromosome:PHallii_v3.1:8:36227974:36236605:-1 gene:PAHAL_8G185200 transcript:PVH34279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPAAAAAAAPPPPLSPREHVERIRRERYFIGRGERNPLAEDMHQAVNYLSQELYSKDVHFLMELIQNAEDNDYPSGATPALEFVITSKDITCSGATATLLVFNNENGFTPANIESICRIGKSTKRGNRSSGYIGEKGIGFKSVFLVSRNPHIFSNGYQIKFSEDLCAECGIGYIVPEWVEQNPSNLDIAKIYGSSKSLPTTTFILPLKCDKIDVVKKELSNTHPEVLLFLSKIRQISVREVNDDLNATSLSQISISSDADALTRKDISAESYTLHLSADEDKTDEKHCSYYIWKQHFPVKPECYVQKRERIDQLSKGVGSPGVYAFLPTEMATNFPFIIQADFLLSSSRESILLDSQWNRGILECVPSAFENAFLALVKSTESAPVFALPPVFKFLPLNHSSLEMMDSVRLSIRKKLIDVDIVPSETCSSVKSFHKPTEVYRLNSAFWSIISRAVKLGVDVPNISSHGTNILNSYFDSEAYDDVLGFLRIGYVDSEWYGRCIQGSDLVELLPEDVYFDLLSFVAQNWKAMFAGTNMVQIPLVKCVGRGGVMTYRSVYEATTSDKRLCMLSDEECAPSIINWNNDYFSTVSGTLFMPLSTQKALGLFSKKTTVMEWLEKYVAVKTLTLHEYALMVVKALPEKSLVLAFTRFIYHLHSQKLMPEWSVKHICNIIPLVDNCGRVVIRRGIVLVPSKGSKWAALLGENPWRPQNYVELGDDYLCSGNSSGEHICEDQFLSFIKVYIQATDMPFLIPPDASFPAASSSLTAQNAVLLLEWIENLRSRGVGLPEKFISCIMHGNWLMTSAGYRSPADSFMSNAEWACLFQANLAFVDVPMIDQEYYRGQIVDFREVLGTLGVKFEFSQAMSYIGKRFMSIVTSTPTGDMVLSLLRFIRFLRKEHMSSDHLIETIKGGDWLKTCSGYRSPAGSVLFSSEWIIPSEISCLPFVDIDFYGHEISECKSELQHLGVHVTFKQNYQTIVDNINLPTGPVTAGAAILLLKCIRHANSCKNLVKRLKKRQWLKTNAGFRAPRETFLLDPEWKCLVKFADVVPLINLTFYGNEILTYRDELMKIGVVGSLEQASNSITYNLKQLLSTSSLTKEIRVALLSCYKDLSDEHKTFPANILKFMRTEKWLHTTQGFRPPNKCVLFDSSWEPIMAVASLPFIDDSDSSSGTGKEIYSYKKELKALGVTVDYDQRADFVLSCLSTVEGPQMPNNTNVVSPYIGLHIISDSGNTSESTVENAVALNAAESPPLLASTTLLSLLKLMQRSANPKSFAPQIRKMQMKSFLGYRYADQCILYDSAWSSYLRREDGPFIDEAFYGPEILSYRTEFRLIGVVVDVGYGCSLLAQDLTHFSRVDTITRIYKYLSVFKWEPRNKRDNWIWIPNIRNRGQWVRPADCTLHDRNGLFSTHFSVLDKYYEKDLLGFFSNVLGVRHCPRVLDHCILWRSWECTSFELTPASCSFFWEFIGNRWNATTAKLLSGSVTRVPVLSGGKIILREVEDVFVPDDLLLKHLFDQFCSDPIFVWYPTGLSFTSRAQMDTIYQRLGVRAISKAVTKDETHVLNMNQCQVVEAKDAMVTPGLLRIILAFLANPALEIGSDKRHQMASYLLSVTALEMTEPISVSYQIKLSLGRTVTVKGPRMFMWERENRKLYMQKSEGPHGRTTRMEFATCFGEEISQGLLYERVDLIPSLTELLKVGLLVGFEEDEVEYLLKTKNLQLYSEDEDFLLGAFPPENYEVSLC >PVH34391 pep chromosome:PHallii_v3.1:8:39621339:39626906:1 gene:PAHAL_8G211600 transcript:PVH34391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTLFFCFSLLCICSHAQVPSGISGNATAQDELALLSFKSMLSSSPSSVLASWNKSGHYCSWPGVVCGRRHPDRVVALRLGSFNLSGHISPFLGNLSFLQKLDLRNNQLVGQVPAELGHLGRLQGLNLSTNFLQGSIPAAMGGCTNLKVLDLSSNKLQGEIPAELGSLKDLINLRLHKNGLSGEIPRYLTDMQSLRFLSLYENRLSGAMPPTFGNLTSLQELDLEGNMLSGAIPSSLGLLPSLSWISLENNNLSGSIPDSIWNMSSLTAFSVLNNQLSGTIPPGAFNALHRLESLILSNNQLRGSIPPSIYNASKINVLQLSNNFFSGTVPLEVGNLGYINWLQLSGNLLEAKEPKDWEFLTALANSSQLEFLLLGSCKFGGALPESLSNLSTSLRFISLSHNAISGSIPRDIGNLINLQFLDLRFNSFTGSLPSSFSKLKNLHTFSVALNKMSGLIPMAIGNLTELNQLELVGNSFSGMIPSTIGNLTKLVGLGLGSNNFTGPIPTEIFNIHTLSLILDVSDNNLEGSIPEEIGKLINLVEFRAALNKLSGEIPSSLGECQVLQNLYLQNNMFSGGIPSLLGQLKSLQIVDLSSNNLSGKIPTFFGTFRVLDYLNLSFNSFSGELPTIGVFANSSAISIQGNSKLCGGILDLHLPSCPSDVPKKKHMLSVIPIVISLVATGLILASVYMLLTWHKRKKTTAPSTTFMQGHPLVSYSQLVKATDGFSATNLLGSGTFGSVYKGKLEGHVGESTNLVAVKVLKLQTPGAVKSFVAECEALRNMRHRNLVKIVTACLSIDYSGNDFKAIVYDFMPSGSLESWLHPDTNGQMEHQFLTLLERVSILLDVAFALDYLHCHGPAPVIHCDLKSSNVLLDADMVAHVGDFGLAKILYENSTIFHQSMSSVGVRGTIGYAAPEYGAGNMASTHGDIYSYGILVLEMMTGKRPTDSNFTRGLSLREYVALGLQGRVLDVVDTHLSMGLENGLQTACIFSPEAKIDSLISLLRLGVSCSHETPSSRMSTGEVMKELHDIKESLMQGTITS >PVH34460 pep chromosome:PHallii_v3.1:8:41146376:41146862:-1 gene:PAHAL_8G224400 transcript:PVH34460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYRRKSLVTCNVLVSSVNCAKLAPEAGELMRAHICWEFKRATDALIH >PVH34223 pep chromosome:PHallii_v3.1:8:33983721:33985997:1 gene:PAHAL_8G175400 transcript:PVH34223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGPTQPSSHVFPRGQDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLSRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDIPIPNMEDSVPRFGRSRKGGRNNITQDHYFRVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PAN43772 pep chromosome:PHallii_v3.1:8:44300046:44301137:-1 gene:PAHAL_8G261700 transcript:PAN43772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLHCNGLLSSSMLLLLAAIFALASWEQAMAFDPSPLQDFCVADMNSPVRVNGFPCKDPMSVTPDDFFNAARLDQPRNTMNRFGSIVTNINVTQFPGLNTLGISLARIDYGPLGVNTPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLDHHKPAAALSSLSSQNPGVITIASAVFGSQPPISDDVLAKAFQVEKNLIDWLQSQFWENTNY >PAN42530 pep chromosome:PHallii_v3.1:8:30140720:30142274:-1 gene:PAHAL_8G162800 transcript:PAN42530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEARRSSASSSPEFEFWPLHPNPAASPSCADELFAGGVLLPLPVLPPKPASSHSGRSSNQGVPAPEPEPETELAEASILATVAPPTASITSPAPPATASTGGSKRWTDIFSKKTAEEKEKEKEKEKRKDGAGSRKQAAHGGGGGAGAGSELNINIWPFSRSRSAGGGGSGSSKPRPAARKVSSAPCSRSNSRGEAAGAPPRRWAASPGRAGVPVGRSSPVWQIRRPAAKPAPAPSASELPFVDRRAPAPQTHKEKPGSATAAAAGRKPGLSGGVRGLNLSVNSCIGYRHQVSCRRADVGAARGPGGGGLFGIKGFFSKKVH >PAN42973 pep chromosome:PHallii_v3.1:8:38708773:38712913:1 gene:PAHAL_8G202300 transcript:PAN42973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRLAVLAAALAALAAGWWGRGAEASIHTYDREPFREVGNAFLLSGGSEGIVADGADPAAPASSFIKFVNVTFWRTPEAAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPYVLDTHFSANYLSVKLEEEQVHITKTGMYNLFFISCDPKLRGLTMSGKTIWRNPGGYLPGRMAPLMKFYVLMSLAYLLVMIVWFSQYIRFWRDILPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPVGITTWVVTVGAIRKTVSRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASEMLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAISVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLALVLLVVICYLWAPSQSSQRYAYSGEAADDDDEEAQSLTKGDGEVGMVKIDKDRNVGVSNAFSLEDEAEEDKRE >PAN41251 pep chromosome:PHallii_v3.1:8:2146928:2151696:1 gene:PAHAL_8G030400 transcript:PAN41251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREGVAVAGGHESGHGLFRADITMTEAQEAAKGYQSSPSSPSTSPTPSPPPAEAGHGGDAAATPLAWSLGGDKPSEAAGDNGMQTAGQSEHASLSSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSVCILSANGTISNVTLRQPDASGSTFTYEGRFEILQLMGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMSLQQQASATPALPAPTAPPPVLTAAMPISQAAPGNGFHAPPPSAAPPPQPHASAEEHGAMNLNATGFTTVGWPASSQPVAHRASPDINVSLTPQE >PVH33607 pep chromosome:PHallii_v3.1:8:2146728:2151696:1 gene:PAHAL_8G030400 transcript:PVH33607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSYPLSWTAVKCTEAGAANLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSVCILSANGTISNVTLRQPDASGSTFTYEGRFEILQLMGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMSLQQQASATPALPAPTAPPPVLTAAMPISQAAPGNGFHAPPPSAAPPPQPHASAEEHGAMNLNATGFTTVGWPASSQPVAHRASPDINVSLTPQE >PAN41273 pep chromosome:PHallii_v3.1:8:2296067:2300237:-1 gene:PAHAL_8G031900 transcript:PAN41273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRGFEVRLLGHRRGKSHGAVHELHDPVPVVQSSCWASLPPELLRDVIERLEASEDTWPSRKNVVVCASVCRTWREMCREIVKNPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSTQIYYLYLCLSSTVLVENGKFLLSAKRNCRATCTEYIISMNSGNVSRSTNTNTYIGKLRSNFLGTKFVIYDTQPPYNAASSTQSGKTSHRFYSKKASAKVSCSRYSIAQVSYELNVLGTRGPRRMNCVMHSIPASCLEAGGSVPCQPDSIVAHSPGGSFSSVSLSKSSVMDHSMHFSSARFSDVATGLGLGTEGRALFDDEECNDRPLVLRNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGAPTPSQPAPAPPPDQDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >PAN43537 pep chromosome:PHallii_v3.1:8:42781560:42784082:-1 gene:PAHAL_8G242700 transcript:PAN43537 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain containing protein [Source: Projected from Oryza sativa (Os08g0296600)] MRFNSCASICIEQVKQNIPKKSWMKTITHAVGHSNPKNDKVYIARIRAIVEKLHNICGNVYEALYADKLAAVIQAIKITSTDMRENAQYSTQTKVFSRDNVKNKILEVISTRASSDQVSVIPIVGDGGVGKTTLAQLVYNDPEVQAKFDIMIWIYVSANFDEVKLTQKILEQIPECGHKNTKSLAGLQHDMNKFLSKRFLLVLDDMWEESEGRWDKLLAPIRATQVKGNVILVTTRSLSVARITTRTEADHINLDGLDEQDFFPFFKRCIFGDENFQGQRKLLKIAEDIASKLNRNPLAAKSVGSLLRRNVNVEDWIRIRDSDEWRFNERKDAIIPALKLSYNHLPYHLQLLFSYCAIFPKGYKFDKEELIRTWIALGFVVHERKKLEDQGSDCFDDLVDWSFFHKHEQYFVVHDLMHDVAQEVMVKKCLIIDCLDLRKVFPSTCHLGIWTELAYNEQSIERNEDFEEKLDSIQDKDILKRLESLILVGLYDENFSAKLVTIFEQLHYVRVLRLQFNDVMLLSSIKKFIHLRYLELRYTSDKHKPLPKHICKLYHLQTLDVRHWNGLDDLPEGMNNLVNLRYLLVPGSGSLHSKISRVGDLEFLQELKEFRVQKKDGFDISQLGNLKEIKGSLSILDLENVTNKEEATRAGIKQKKHLRSLSLSWGSASASPATIQDKVMEGLKPHENLAHLTVVNYAGATPLWLAKNLSLTNLESLHLQDCPAVKILPPFQIMPFLRTLSLVGLSSLNDCQIDFQSCEEEELELNEIQILKCSALTSIRLHSCKALTKLSIKDCGALTKLSITNCGALASLELEGMPSSDQLMINIQGCSQLPSGFISN >PVH34540 pep chromosome:PHallii_v3.1:8:42781560:42784082:-1 gene:PAHAL_8G242700 transcript:PVH34540 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain containing protein [Source: Projected from Oryza sativa (Os08g0296600)] MRFNSCASICIEQVKQNIPKKSWMKTITHAVGHSNPKNDKVYIARIRAIVEKLHNICGNVYEALYADKLAAVIQAIKITSTDMRENAQYSTQTKVFSRDNVKNKILEVISTRASSDQVSVIPIVGDGGVGKTTLAQLVYNDPEVQAKFDIMIWIYVSANFDEVKLTQKILEQIPECGHKNTKSLAGLQHDMNKFLSKRFLLVLDDMWEESEGRWDKLLAPIRATQVKGNVILVTTRSLSVARITTRTEADHINLDGLDEQDFFPFFKRCIFGDENFQGQRKLLKIAEDIASKLNRNPLAAKSVGSLLRRNVNVEDWIRIRDSDEWRFNERKDAIIPALKLSYNHLPYHLQLLFSYCAIFPKGYKFDKEELIRTWIALGFVVHERKKLEDQGSDCFDDLVDWSFFHKHEQYFVVHDLMHDVAQEVMVKKCLIIDCLDLRKVFPSTCHLGIWTELAYNEQSIERNEDFEEKLDSIQDKDILKRLESLILVGLYDENFSAKLVTIFEQLHYVRVLRLQFNDVMLLSSIKKFIHLRYLELRYTSDKHKPLPKHICKLYHLQTLDVRHWNGLDDLPEGMNNLVNLRYLLVPGSGSLHSKISRVGDLEFLQELKEFRVQKKDGFDISQLGNLKEIKGSLSILDLENVTNKEEATRAGIKQKKHLRSLSLSWGSASASPATIQDKVMEGLKPHENLAHLTVVNYAGATPLWLAKNLSLTNLESLHLQDCPAVKILPPFQIMPFLRTLSLVGLSSLNDCQIDFQSCEEEELELNEIQILKCSALTSIRLHSCKALTKLSIKDCGALTKLSITNCGALASLELEGMPSSDQLMINIQGCSQLPSGFISN >PAN43538 pep chromosome:PHallii_v3.1:8:42779462:42785789:-1 gene:PAHAL_8G242700 transcript:PAN43538 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain containing protein [Source: Projected from Oryza sativa (Os08g0296600)] MFDPIVLASSTATWVINKLLDSLTKAAIKALLKKEDLDQEVEKLKNALQRTNLVLGAVPVGVAAGVKIENTNLEEPIQEVQQLATELTKYLDELEYYDIKDKVKQNIPKKSWMKTITHAVGHSNPKNDKVYIARIRAIVEKLHNICGNVYEALYADKLAAVIQAIKITSTDMRENAQYSTQTKVFSRDNVKNKILEVISTRASSDQVSVIPIVGDGGVGKTTLAQLVYNDPEVQAKFDIMIWIYVSANFDEVKLTQKILEQIPECGHKNTKSLAGLQHDMNKFLSKRFLLVLDDMWEESEGRWDKLLAPIRATQVKGNVILVTTRSLSVARITTRTEADHINLDGLDEQDFFPFFKRCIFGDENFQGQRKLLKIAEDIASKLNRNPLAAKSVGSLLRRNVNVEDWIRIRDSDEWRFNERKDAIIPALKLSYNHLPYHLQLLFSYCAIFPKGYKFDKEELIRTWIALGFVVHERKKLEDQGSDCFDDLVDWSFFHKHEQYFVVHDLMHDVAQEVMVKKCLIIDCLDLRKVFPSTCHLGIWTELAYNEQSIERNEDFEEKLDSIQDKDILKRLESLILVGLYDENFSAKLVTIFEQLHYVRVLRLQFNDVMLLSSIKKFIHLRYLELRYTSDKHKPLPKHICKLYHLQTLDVRHWNGLDDLPEGMNNLVNLRYLLVPGSGSLHSKISRVGDLEFLQELKEFRVQKKDGFDISQLGNLKEIKGSLSILDLENVTNKEEATRAGIKQKKHLRSLSLSWGSASASPATIQDKVMEGLKPHENLAHLTVVNYAGATPLWLAKNLSLTNLESLHLQDCPAVKILPPFQIMPFLRTLSLVGLSSLNDCQIDFQSCEEEELELNEIQILKCSALTSIRLHSCKALTKLSIKDCGALTKLSITNCGALASLELEGMPSSDQLMINIQGCSQLPSGFISN >PAN43536 pep chromosome:PHallii_v3.1:8:42779462:42785789:-1 gene:PAHAL_8G242700 transcript:PAN43536 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain containing protein [Source: Projected from Oryza sativa (Os08g0296600)] MDELQHARGMAMVKQNIPKKSWMKTITHAVGHSNPKNDKVYIARIRAIVEKLHNICGNVYEALYADKLAAVIQAIKITSTDMRENAQYSTQTKVFSRDNVKNKILEVISTRASSDQVSVIPIVGDGGVGKTTLAQLVYNDPEVQAKFDIMIWIYVSANFDEVKLTQKILEQIPECGHKNTKSLAGLQHDMNKFLSKRFLLVLDDMWEESEGRWDKLLAPIRATQVKGNVILVTTRSLSVARITTRTEADHINLDGLDEQDFFPFFKRCIFGDENFQGQRKLLKIAEDIASKLNRNPLAAKSVGSLLRRNVNVEDWIRIRDSDEWRFNERKDAIIPALKLSYNHLPYHLQLLFSYCAIFPKGYKFDKEELIRTWIALGFVVHERKKLEDQGSDCFDDLVDWSFFHKHEQYFVVHDLMHDVAQEVMVKKCLIIDCLDLRKVFPSTCHLGIWTELAYNEQSIERNEDFEEKLDSIQDKDILKRLESLILVGLYDENFSAKLVTIFEQLHYVRVLRLQFNDVMLLSSIKKFIHLRYLELRYTSDKHKPLPKHICKLYHLQTLDVRHWNGLDDLPEGMNNLVNLRYLLVPGSGSLHSKISRVGDLEFLQELKEFRVQKKDGFDISQLGNLKEIKGSLSILDLENVTNKEEATRAGIKQKKHLRSLSLSWGSASASPATIQDKVMEGLKPHENLAHLTVVNYAGATPLWLAKNLSLTNLESLHLQDCPAVKILPPFQIMPFLRTLSLVGLSSLNDCQIDFQSCEEEELELNEIQILKCSALTSIRLHSCKALTKLSIKDCGALTKLSITNCGALASLELEGMPSSDQLMINIQGCSQLPSGFISN >PVH34606 pep chromosome:PHallii_v3.1:8:43927963:43928280:1 gene:PAHAL_8G257300 transcript:PVH34606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHGGCLDSKRSTSSCTRKTWMSTPGHDEYARRVTRSTVPRCEVQVVMITPGYALAPLTGIPGPAYARENPGRSSDQMCG >PVH33715 pep chromosome:PHallii_v3.1:8:4039255:4041921:-1 gene:PAHAL_8G052700 transcript:PVH33715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGDAAVHFPLAGTRCSVSWDGERQRRREVHGGTEQIHSQLPGRVSGEEVLHEYMVVHNLANG >PVH33677 pep chromosome:PHallii_v3.1:8:3258728:3263482:1 gene:PAHAL_8G043700 transcript:PVH33677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRSPGKVYKPAAEVDLGPGSDEHYISPIVRAPRVAGLLVKFQAWVLETPVLGWIVLTVLKRDNLVYKLVSDAEIPEPPLFTATHTWRDIPEQNVRPTKPGLSPPERVQEAVGCLPARLPEPEAAAALADGPASGFRRWTVRDFHRAYSSGQTTPAMVARRFLAAVKECSDLEMGLFISCDAADVMRQAEDSTRRYQQGAPLSAMDGVLVAVKDELDCLPYPTTGGTRWLGAARRCAADAACVAQLRACGAVMAGKANMHELGAGTSGINAHHGSTRNPYDPGRVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDALIAYAAIVDQSKPGPLQQPELNLPLLTCTRSMPSIRLAKYAKWFDDSSEDIRSLCGKALRMLHAQYGWETVEVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIGLSAYRSFSSRDYLNAQRLRSRQMYFHEKIFEAADAIVTPMTGYARVMIIRACNCHQIILNSLLAH >PAN41436 pep chromosome:PHallii_v3.1:8:3258525:3264179:1 gene:PAHAL_8G043700 transcript:PAN41436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRSPGKVYKPAAEVDLGPGSDEHYISPIVRAPRVAGLLVKFQAWVLETPVLGWIVLTVLKRDNLVYKLVSDAEIPEPPLFTATHTWRDIPEQNVRPTKPGLSPPERVQEAVGCLPARLPEPEAAAALADGPASGFRRWTVRDFHRAYSSGQTTPAMVARRFLAAVKECSDLEMGLFISCDAADVMRQAEDSTRRYQQGAPLSAMDGVLVAVKDELDCLPYPTTGGTRWLGAARRCAADAACVAQLRACGAVMAGKANMHELGAGTSGINAHHGSTRNPYDPGRVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDALIAYAAIVDQSKPGPLQQPELNLPLLTCTRSMPSIRLAKYAKWFDDSSEDIRSLCGKALRMLHAQYGWETVEVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIGLSAYRSFSSRDYLNAQRLRSRQMYFHEKIFEAADAIVTPMTGVTAYALQDDALRTGELDYISGAALVRYSIAGNFLGLPAITVPVGYDRGGLPVGLQLIGRPWSEATLLHLAHAVQEACCAAGERRKPKVHFDLLLRED >PVH33676 pep chromosome:PHallii_v3.1:8:3258525:3264179:1 gene:PAHAL_8G043700 transcript:PVH33676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRSPGKVYKPAAEVDLGPGSDEHYISPIVRAPRVAGLLVKFQAWVLETPVLGWIVLTVLKRDNLVYKLVSDAEIPEPPLFTATHTWRDIPEQNVRPTKPGLSPPERVQEAVGCLPARLPEPEAAAALADGPASGFRRWTVRDFHRAYSSGQTTPAMVARRFLAAVKECSDLEMGLFISCDAADVMRQAEDSTRRYQQGAPLSAMDGVLVAVKDELDCLPYPTTGGTRWLGAARRCAADAACVAQLRACGAVMAGKANMHELGAGTSGINAHHGSTRNPYDPGRVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDALIAYAAIVDQSKPGPLQPELNLPLLTCTRSMPSIRLAKYAKWFDDSSEDIRSLCGKALRMLHAQYGWETVEVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIGLSAYRSFSSRDYLNAQRLRSRQMYFHEKIFEAADAIVTPMTGYARVMIIRACNCHQIILNSLLAH >PVH33678 pep chromosome:PHallii_v3.1:8:3258525:3264179:1 gene:PAHAL_8G043700 transcript:PVH33678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRSPGKVYKPAAEVDLGPGSDEHYISPIVRAPRVAGLLVKFQAWVLETPVLGWIVLTVLKRDNLVYKLVSDAEIPEPPLFTATHTWRDIPEQNVRPTKPGLSPPERVQEAVGCLPARLPEPEAAAALADGPASGFRRWTVRDFHRAYSSGQTTPAMVARRFLAAVKECSDLEMGLFISCDAADVMRQAEDSTRRYQQGAPLSAMDGVLVAVKDELDCLPYPTTGGTRWLGAARRCAADAACVAQLRACGAVMAGKANMHELGAGTSGINAHHGSTRNPYDPGRVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDALIAYAAIVDQSKPGPLQPELNLPLLTCTRSMPSIRLAKYAKWFDDSSEDIRSLCGKALRMLHAQYGWETVEVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIGLSAYRSFSSRDYLNAQRLRSRQMYFHEKIFEAADAIVTPMTGVTAYALQDDALRTGELDYISGAALVRYSIAGNFLGLPAITVPVGYDRGGLPVGLQLIGRPWSEATLLHLAHAVQEACCAAGERRKPKVHFDLLLRED >PVH33877 pep chromosome:PHallii_v3.1:8:7852311:7853559:-1 gene:PAHAL_8G082300 transcript:PVH33877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWAYLFNLDEAEAWAGNNSITKNYAFSYLVKKLVAGIQLLSVRNEIATADFLHRKGLQQGMTIVV >PAN42494 pep chromosome:PHallii_v3.1:8:29009055:29013953:1 gene:PAHAL_8G157300 transcript:PAN42494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRLVVSSLSFLSISSLVDFPEASHLTSMVVGGDFFSFQAPHLQAATYLGLVNLVLTGGDNEREHAGATVTEMWPVAAYLRAPSRCHCPTLPYTFSFSPLNLAGETTVNYRGLFGALEGLGSNKKNKNSIAMSASRKPSLCQSIYLIATY >PAN42495 pep chromosome:PHallii_v3.1:8:29009251:29009975:1 gene:PAHAL_8G157300 transcript:PAN42495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRLVVSSLSFLSISSLVDFPEASHLTSMVVGGDFFSFQAPHLQAATYLGLVNLVLTGGDNEREHAGATVTEMWPVAAYLRAPSRCHCPTLPYTFSFSPLNLAGETTVNYRY >PVH34213 pep chromosome:PHallii_v3.1:8:33496116:33496976:1 gene:PAHAL_8G173600 transcript:PVH34213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPIIGWGSLFGSTRAPPENPGSSAATDERDAEAQPLAGGNPEDGEQGSLTLSAPEEGTPRE >PVH34627 pep chromosome:PHallii_v3.1:8:44330278:44331326:1 gene:PAHAL_8G261900 transcript:PVH34627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVPGPFENVLAMDFADTPWYKRDKHSNPHYTSVGFFEPWAAAAGVNVARYDEPLSSRDGFLLLAGSGSVVTNLCLCNPLARTCEFIPAAAFGASPKTCTYVLVTGHDDYCPSTGGGDAGDHSAVVFWILAVKREHDVERGVVYQIFSAASGEWGRVVKRSARFEEGLTHASICGEPSDMVVCRGCVYWLVKLTADDLRRCVFAVDVQTERTWRMELPEETWLVLATSGDGRLSLILQRGHHQIEVWVLVGDGQWTMRRAIDLYGFFPREGRVWFMIRGFCPRSGCLFGDVDHQKELLIDVDKGSLRPTGRIDVGSVTRYPYEMDWSTYLSKMKYF >PAN41205 pep chromosome:PHallii_v3.1:8:1850925:1854389:-1 gene:PAHAL_8G026200 transcript:PAN41205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGAPPPPPLLLAASAFAATALLFAAAALGWLLAARSSRHGSARLPPGSTGLPLIGETLRLISAYKTPNPEPFIDDRVARHGSGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVGCSYPSSITTLLGPHSLLLTRGPAHRRLHTLTLTRLGRPASPPLLAHIDRLILDTMREWEPAATVRLLDEAKKITFNLTVKQLVSIDPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIRKRIDDKVENGGANGKEDDKIEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHENIREVKGKNQPLEWSDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKDYIIPKGCKIFASFRAVHLNTEHYENARTFDPWRWQSKNKLQNTVGASLFTPFGGGPRLCPGYELARVVVSVFLHRLVTRFSWEEAEEDRIVFFPTTRTLKGYPINLRRRPDSVF >PVH34322 pep chromosome:PHallii_v3.1:8:37603816:37607831:-1 gene:PAHAL_8G194100 transcript:PVH34322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEAGERDGRPATASAAAMEDKGKAKRDGVVKEVIRLERESVIPILKPKLVMKLAYLIERDNDRSEFMKLCKRVEYTIRAWYLLQFDDLMQLYALFDPVNGEKSLEQQGMTSSELDTLELNFLTYIFQIMEKSNFKLLSDEEYEVAQSGQYLLNLPIQVDEYKVDSKLLKRYFKEHPHDNLPAFADKYIVFRRGIGIDQTTDYFFMEKVDVIISRAWRSLLRVTRIDRLFSKKQLPSTKDKKKTDEINEDAEEPDLYVERVRLEKMELSIRNLLRKMTIQEPTFERMIVVYRKASTESKPDRGIYVKHFKHIPMADMELVLPEKKNPSLTPMDWVTFIISAVIGLVTLIGSLEMPKADIWVVTAILSGLIGYCAKIYFTFQANMVTYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYVLMEQGKATIQFFKMEDSSFQHLAKFSQDMPSYLCVTWSLDK >PAN42874 pep chromosome:PHallii_v3.1:8:37603150:37608074:-1 gene:PAHAL_8G194100 transcript:PAN42874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEAGERDGRPATASAAAMEDKGKAKRDGVVKEVIRLERESVIPILKPKLVMKLAYLIERDNDRSEFMKLCKRVEYTIRAWYLLQFDDLMQLYALFDPVNGEKSLEQQGMTSSELDTLELNFLTYIFQIMEKSNFKLLSDEEYEVAQSGQYLLNLPIQVDEYKVDSKLLKRYFKEHPHDNLPAFADKYIVFRRGIGIDQTTDYFFMEKVDVIISRAWRSLLRVTRIDRLFSKKQLPSTKDKKKTDEINEDAEEPDLYVERVRLEKMELSIRNLLRKMTIQEPTFERMIVVYRKASTESKPDRGIYVKHFKHIPMADMELVLPEKKNPSLTPMDWVTFIISAVIGLVTLIGSLEMPKADIWVVTAILSGLIGYCAKIYFTFQANMVTYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYVLMEQGKATIQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRILCVPLKRANEIIGTTTEEMVLRAQQAPAASSVSRCQ >PVH34542 pep chromosome:PHallii_v3.1:8:42855629:42856017:-1 gene:PAHAL_8G243700 transcript:PVH34542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRISRQQVTLQHYDPLVIFDGLKISSSVSENTAIYCAVCRIILLRQVS >PAN41219 pep chromosome:PHallii_v3.1:8:1930797:1934163:1 gene:PAHAL_8G027200 transcript:PAN41219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPSDLSPPPPSSPPADTPASAAAQTPSLPDTPASLDPDTPFSDAAPVDASDADIPALAPTPDGALASASASDAPGDGEDDGITNPSGGSSRKHMTLAPPAAASKKSKKKGGNSVWTRPTSRKGKKKARQPGGHGPGGGASGAHPGPSAGGDELCQLVPATRLAAERNDDAAAQPVLLSRFFKSERIEVSDDRLTAASTKGYRMVHATRGVAAGAWYFEVMVVHLGATGHTRLGWVTNRADLQTPVGYDAYGFGYRDIDGAKVHKAWRDKYADEGYGEGDVLGFYISLPDGEQYEPKQPDLIQYKGMPFHVQVPKEEQKIPAPVPGSEICYFKNGVCQGSAFKDIPGGRYYPAASMYTLPNEPNCVVKFNFGPDFEFFPQDFGGLPIPQPMSEVPYQALEVKNEGAAENGIAEKTS >PAN41598 pep chromosome:PHallii_v3.1:8:4339958:4344756:1 gene:PAHAL_8G055600 transcript:PAN41598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSDDFAGSYSRRAQMALSSAADSVRRMFSDLGKSRREYEESLKNMSEEEVKEETSKSLDEYRSLCLAGVTSMLWEDTYSLTKKLHGVYPVVAAMSSIFFLLALLFILLGLAASTFSRSAPNAMALAGNGGLWSVLFIIAAAHFEMMDILPMVLLCFCCVISISIFTTYWYLCSRDLKILLMIAKCLFNILYTVWWCFKAICRYVGVKVVNFFHGRASRQQNHAGDIELCEMRRSENGNADEIVRQQHNRHFL >PVH34461 pep chromosome:PHallii_v3.1:8:41157608:41158184:-1 gene:PAHAL_8G224600 transcript:PVH34461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLESRSGKQGLANHRHHLWLVTPAEGGCSRPKSTKKAVLKMQNAFHARVLPRIRRAKPRLKDKRLKISS >PVH34413 pep chromosome:PHallii_v3.1:8:40041173:40042033:1 gene:PAHAL_8G215000 transcript:PVH34413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWWATATGFRHQDTYPLAIRKALRYLCQIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWIQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTPAPPENPESSAATDEGDAAMQPLTDGDPEDGEREPLTLPAPKGGTPRK >PAN41935 pep chromosome:PHallii_v3.1:8:7281378:7282322:-1 gene:PAHAL_8G079600 transcript:PAN41935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYEELPAEISHDAHPAHELKLLSADGPPFRCDGCKEPDGGRGRRYRCDACEFDLHITCALAALTLKHPLFGGEVEFELLQEAPPPVDATYCNACGLRARGLVYHCFKRDLDLHPCCAALRMESVLPDGHMIRLCGEAELRCVVCGEKGRSSSKRFWAYRWCYDGAHACLHVACMKKIAVQSWEQACQDSVGGSSIVEPSVPIMRGVLRRRSPGNAGSTSSGLDQGIRGLENLTNIVEVVSAVSSL >PVH34144 pep chromosome:PHallii_v3.1:8:28841220:28842268:-1 gene:PAHAL_8G156900 transcript:PVH34144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKEKAAGGGPTRERTIVWDEDQTKFMLGWYIDYIKEQHAGFKLKKQHHFKCSEALNRQFNMGVTVTQVERHYRHYKENWKFIATALGKSGNSFDSSRSMVIMSESDKAKLSDRVRRLLTKPIKFFNEMQELFQNSSADGSLAMDANTCMNDTQDDEDNDYDDDICNDFSNYAQREDDLGDDSDTLPSPISGQPVMASQVADLSSSSSGMKRPRSEGQPPKRDVRLKSRISKVGDTIAATLVELQKEMKKPPPPPPVMRSSDDILWERLEQMTLTTDQKLMVGTYLAAKEQKGMRGFLSASAEVTFQSWVLKFLSDAGL >PAN41760 pep chromosome:PHallii_v3.1:8:5798631:5802842:1 gene:PAHAL_8G068700 transcript:PAN41760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVVAMAVLVLLQLASSYPTVVRADSTGVLGRKVGVAEGPAAGKNAPAGPGRYAVIFDAGSTGSRLHVFRFDRQMDLAPIGDDIEFFAKVKPGLSSYAGQPQEAANSILPLLDKAKSVVPSRLTKTTPLKLGATAGLRLIGNQKAEQILDAVRDLVHKKSKFQYKPNWINVLEGSQEGSYLWVALNYLLDKLGGDYSKTVGVIDMGGGSVQMAYAISASAAARAPAVANGEDPYITKEYLKGKDYNVYVHSYLHYGAFAARAELLKSKKNGPFSFCMLRGFTGKYTYNGHQYDATARPEGAVYEKCREEITNALKLNAPCHTKNCTFDGVWNGGGGAGQSNIYATSSFYYLASHVGFIDSKAPSAKAAPAAFMAAAKKACQLDVKKAKVTYPDIGDTDLPYLCMDLTYKYTLLVDGFGLQPTKKITFVDKVKHGEYYIEAAWPLGTAIEAVAPAKMPGN >PAN42702 pep chromosome:PHallii_v3.1:8:35844580:35847874:-1 gene:PAHAL_8G183500 transcript:PAN42702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHIPAAAGGSAARAAAVRLLCTATAPAETAPLPHLLALPPVTPSPAADELARLLLAHHNPFHPAESPLQLLSGGGVSLSGDLLVQLLLRLRGASKLALSLLHSARLHPSFAPTRPPADAYDAVVDALGRARQFDAAWRVVVDAAADGAASPRTFAVLSRRLVAAGMTRQAIRAFDDMEAFVGREPDVIEFATLLDTLCKYKYPKVATEIFNKRKYKYEPNEKMYTILIYGWCKVNRSDMAQKFLKDMIDHGIEPNIVTYNILLNSICRHASLHPDNRFDRTVNAAEDLLKEMRDKQIEPDVTSYSIILHVYSRAHKPELCLCMFRSMKERGICPTVATYTSVIKCLASCGRLEDAETLLDEMVAEGVCPSPATYNCFFKEYRGRKDVSGALQLYNKMKSPGSPTAPDIHTYNILLGMFIKLDRHGTVMEIWSDMCESTVGPDLDSYTLLIHGFCDSQKWREACQFFMEMIEKGFLPQKMTFETLYRGLIQADMLRTWRRLKRRVDEEAAEFGDEFKLYHIKPYKR >PAN42703 pep chromosome:PHallii_v3.1:8:35843672:35847990:-1 gene:PAHAL_8G183500 transcript:PAN42703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHIPAAAGGSAARAAAVRLLCTATAPAETAPLPHLLALPPVTPSPAADELARLLLAHHNPFHPAESPLQLLSGGGVSLSGDLLVQLLLRLRGASKLALSLLHSARLHPSFAPTRPPADAYDAVVDALGRARQFDAAWRVVVDAAADGAASPRTFAVLSRRLVAAGMTRQAIRAFDDMEAFVGREPDVIEFATLLDTLCKYKYPKVATEIFNKRKYKYEPNEKMYTILIYGWCKVNRSDMAQKFLKDMIDHGIEPNIVTYNILLNSICRHASLHPDNRFDRTVNAAEDLLKEMRDKQIEPDVTSYSIILHVYSRAHKPELCLCMFRSMKERGICPTVATYTSVIKCLASCGRLEDAETLLDEMVAEGVCPSPATYNCFFKEYRGRKDVSGALQLYNKMKSPGSPTAPDIHTYNILLGMFIKLDRHGTVMEIWSDMCESTVGPDLDSYTLLIHGFCDSQKWREACQFFMEMIEKGFLPQKMTFETLYRGLIQADMLRTWRRLKRRVDEEAAEFGDEFKLYHIKPYKR >PAN43217 pep chromosome:PHallii_v3.1:8:40824456:40829130:-1 gene:PAHAL_8G221100 transcript:PAN43217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLAPLTAAAALRLGSRGLRNRHRVLASLRPYSSAPPAHPSAVPAAARRLPTPPPPPRRLARTLAASAATAVSEPQTDLVSGPTTSSKGRIYHETYGCQMNINDMEIVLSIMKKEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRKWKSNVAEGRSKSLRPPKVAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYEHKGMNTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSMYKVKNMGLRFADLLDRLSVEYPEMRFRFTSPHPKDFPDELLYLMQDRYNICNLIHLPAQSGSTEVLERMRRGYTREAYLELVHKIRNVIPDVGLSSDFITGFCGETEDDHADTLSLVRAVGYDMAYMFAYSMREKTHAHRNYEDDVPEDVKQRRLTELINAFRETTKKNYDSQIGTVQLVLVEGPNKRAPKTELIGKTDRGHKVSFASVPIPHTFEGDEARKPVVGDFVEVKILRSSTATLFGEPIVRTSLSVFHKNAASEAQAVAA >PAN41866 pep chromosome:PHallii_v3.1:8:6717975:6722139:1 gene:PAHAL_8G075100 transcript:PAN41866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAACSIRGPLKLAATRGSGVYYSCNGYRSWVSYPVAQGWSSNCSSVATRHVASHCHLTLRASARWRSNLKASATDAGIVSDEKITVLVIGGGGREHALCYALNRSPSCSAVLCAPGNVGIAQSADATCIPDLDISSSDDVISFCRKRGVGMVVVGPEAPLVAGLANDLVKVGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDAVEAKKYVKHEGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVEGSFGSAGSQVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTDELKRIVMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGNVSLTWSPEMAMVVVMASQGYPGSYMKGTVIKNLDKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGVTAKGKDIEEARERAYDAVDAVDWPEGFFRRDIGWRALRHKEAVNYS >PVH34101 pep chromosome:PHallii_v3.1:8:24798926:24799786:-1 gene:PAHAL_8G143000 transcript:PVH34101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPDLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGTLFGNTQAPPENPESSAAAAEGDAPVQPLPDGNPGDGEQGSLTLPALEEGLPRE >PAN41611 pep chromosome:PHallii_v3.1:8:4384459:4391230:-1 gene:PAHAL_8G056100 transcript:PAN41611 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MLDVCAPHGPAKLPAAYVAGARVAWVAGRWWWRPAAGGAAVRHGVAARASSFGSRIGLDSQNFHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAFLGCMLALLNRGRNEVLSGRSAVASAFQGSEDSTSDRIPPLALFRGDMKRCCESMQVALASYLVPSEPRGLDVWRKLQRLKNACFDAGFPRADGYPCPTLFANWFPVYHSTASDDSGTEELEVAFWRGGQVSEEGLAWLLEKGFKTIVDLREEDVKDDLYLSAVQEAVASGKIDVVNMPVEIETAPSAEQVQQFAALVSDSAKKPIYLHSKEGVSRTSAMVSRWKQYVSRSERLAVQNRSLNGNGKFLTNDKTEQHTGSPSSSTNGTKNGTPVESDRTMDNRESCEIDIDTARHSLEVTNALPNDQSTEQSEMPSTRSELLSNFTLESNPLKAQFPTCDVFSRKEMTNFFRSKKVYPKSTLNSRRRSSSSLVSRRKQNLSADHNRAIDYKAAEFTVSKSSNGMSFDNDYILSVASGIANGKPANNGASTLVEEKEREVSVVTVDTGASASSSNGNAQVASQKSAEKNGAPYLERNKSDPVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHRQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTRCKEMV >PAN41610 pep chromosome:PHallii_v3.1:8:4384706:4390706:-1 gene:PAHAL_8G056100 transcript:PAN41610 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MLDVCAPHGPAKLPAAYVAGARVAWVAGRWWWRPAAGGAAVRHGVAARASSFGSRIGLDSQNFHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAFLGCMLALLNRGRNEVLSGRSAVASAFQGSEDSTSDRIPPLALFRGDMKRCCESMQVALASYLVPSEPRGLDVWRKLQRLKNACFDAGFPRADGYPCPTLFANWFPVYHSTASDDSGTEELEVAFWRGGQVSEEGLAWLLEKGFKTIVDLREEDVKDDLYLSAVQEAVASGKIDVVNMPVEIETAPSAEQVQQFAALVSDSAKKPIYLHSKEGVSRTSAMVSRWKQYVSRSERLAVQNRSLNGNGKFLTNDKTEQHTGSPSSSTNGTKNGTPVESDRTMDNRESCEIDIDTARHSLEVTNALPNDQSTEQSEMPSTRSELLSNFTLESNPLKAQFPTCDVFSRKEMTNFFRSKKVYPKSTLNSRRRSSSSLVSRRKQNLSADHNRAIDYKAAEFTVSKSSNGMSFDNDYILSVASGIANGKPANNGASTLVEEKEREVSVVTVDTGASASSSNGNAQVASQKSAEKNGAPYLERNKSDPVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHRQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVTCLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVHISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >PVH34418 pep chromosome:PHallii_v3.1:8:40091086:40091871:-1 gene:PAHAL_8G215800 transcript:PVH34418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDWVKKATNNLIDTVISASDVDATTDLVLANAVYYKGAWLAPFIPDNTRRSAFHRLDGGVAETEFMTGRRPFMDVACMDGFKVLKLPYKPGAAPRTRYSMFVFLPDARDGLSTMVDVVTASPAYLYGILAEMKEKHVSIQLPKFEISFSWSDLKRDLGRLGLSLPFSQEVADLRGMCKGDDVAGGARRPTFLSKVAHKAVVKVNEAGTEATAATLSLCGGGGPPPDVIEFTADHPFTFFIMEELSGVIVFAGHVLDPTK >PVH33945 pep chromosome:PHallii_v3.1:8:14790111:14791562:-1 gene:PAHAL_8G108200 transcript:PVH33945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQPNSSIVADLPKNPIRLPEPCRRRAVPDAPTVPRPTGYRRGWLPRCSCSDDAARGSNDGGGAALLVPDDALSPVFARLSTAADVVRCAATCRRWSCLVAKDADVLSRAVPPLPCLTLGFLHQEHAGAIARRRRTSSFDAAQPRFVPTAAAARLIGLRGPSWTALADAVLAAGDRRGLFEHARPVAGRNGWLVLELSQERYTDGVKLCVCNPTRGSVALLPPLAGADNPGDYACALYTGHDLDPPQPFSAFFRLLLVYNRRGFTALCSYSSDSRWSRETTRSGGPKIASHRLRQLGQSIVVGGVAYWHLRRTAFAVRLDTLKPAEVRMPRSGIPADPPPGWAADGKLMFIDAALGADIDPALGADYNNAAVCSHHLTVATWAVFCPSSRDDGCSGEWERTKGCIRLKQLKVRYQGSREEKVLPVAETKINLRWFCEKSGNLLFTLGEGTANPGAYVPDMATQHVEEVADDIDCHSWENFVG >PVH34287 pep chromosome:PHallii_v3.1:8:36574719:36580404:-1 gene:PAHAL_8G187100 transcript:PVH34287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARMDVVYQFMDATTKLPLAQRLLLFVLPLLLLLHVASRSRRKQAKPLPPSPPGLPVIGHLLQVGARPHVSLRDLAARHSGGGGGLMLLRLGTVPSLVVSSPRAARAVLRTHDHVFASRPPSRLVDDLVYGSSRVGFAPYGEHWRRARMLVTAHLLTAKKLREAAAAGSGVDIGAAVNAFANDIICRAVCGKFFREEGRNRLFRELNHMTTVLVAGFNLEDYFPGLASLLGIFTRFTSNKVSQTHERWDRLLEEIISDHERRRIITEHGRGGASGGGGGDDDEQEESDFIDVMLSVQQEYGITRDHIKAILMDMFEAGMATSSLVLEFAMVELMRNPRLMAKLQAEMERTTKGTLSSMVYLSAVVKETLRLHPPAPLLVPHQSMADCDIDGYTIPSGTKVIINAWAISRDPKSWENAEEFMPERFMDGGSSADIDFKGKDFQFTPFGSGRRMCPGSNFGLATINIMLANLMYCFDWMLPEGMEKEDFDMTEVFGLTVHRKEKLILVPKPYDTFAHPVQPEAGSMKA >PAN42876 pep chromosome:PHallii_v3.1:8:37609355:37613713:-1 gene:PAHAL_8G194200 transcript:PAN42876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTVTDIRSWCGERVQRKGIASEGSPWPPRSFHTAGPPALHPPPSTSPRAHAGRHQKAKAKKSDASELAATPIPSRSLLSPRPRQLRLVIAPLPLPRPAAPCRPLALACRSPCARGSRQLAEMALQAPSSLLRAPERPSAPLRSSFSAPWLGLRLPAPARRRAARAATAARITMRVASKQAYICRDCGYIYNDRTPFDKLADNYFCPVCGAPKRRFRPYQPAVSKNANTTDVRKARKEQLKKDEAIGQALPIAIVAGIIALAGLYFYLNNAYN >PVH34385 pep chromosome:PHallii_v3.1:8:39556905:39558227:-1 gene:PAHAL_8G210800 transcript:PVH34385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLTTLPLLLASLLSSSSAAAPPPSGYRFTLTHVDSKGGFTRAELLRRAAHRSRHRAATMLPGYSYSTMSSSSDTRPTRLRSGQAEYLMELAIGTPPVPFVALADTGSDLTWTQCQPCKLCFAQDTPVYDPAASSSFSPATCASASCLPVWSQNCSAAAPCRYRYVYGDGAYSAGVMGAETLTFGSGSGGVSVGGIAFGCGVDNGGLSYNSTGTVGLGRGSLSLVAQLGVGKFSYCLTDFFDTSLGSPVLFGSLAELAPGAAAAAHSTPLVQSPWIPSRYFVSLEGISLGGARLPIPNGTFDLRADGSGGMIVDSGTIFTVLVESAFRVVVDSVAGVLGQPVANASSLDSPCFPAPPGERPLPEMPDMVLHFAGGADMRLRRDNYMSFNQEEAAAFCLNIVGTTSSVSVLGNFQQQNIQMLYDITVGQLSFVPTDCSKL >PAN41543 pep chromosome:PHallii_v3.1:8:3946463:3948730:1 gene:PAHAL_8G051700 transcript:PAN41543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASPVTTLKTEADLQQKLGSAEKLKFATKFQVEALPAFVVMHKFVKKRHVVGTDDLKKAIEDAHAKFGSETKEPAQNSEPKEPAQNCENFHVQIKMLLESL >PAN41544 pep chromosome:PHallii_v3.1:8:3946463:3948730:1 gene:PAHAL_8G051700 transcript:PAN41544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASPVTTLKTEADLQQKLGSAEKLVVLEFVKQGSKICTYVKRERDRIAQEMEKVAEFYELDVDTFKKFATKFQVEALPAFVVMHKFVKKRHVVGTDDLKKAIEDAHAKFGSETKEPAQNSEPKEPAQNCENFHVQIKMLLESL >PAN42282 pep chromosome:PHallii_v3.1:8:31018180:31020416:1 gene:PAHAL_8G166500 transcript:PAN42282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLAHLQEHASPLALSLLLVLFVIAVRLATLRSRAEKLLNKLPSPPSRLPIIGHLHLVGALPHVSLRNLARRHGPDVMLLRLGAVPTLVVSSPAAAKTVLRTHDHVFASRPHSAVGDILFYGNTNVAFAPYGDYWRRTRKIAAIHLLTTSKVRSFRPAREHEVRLVLARMRDAAAASTAVDLSEVLSNYSNDVVCQAVLGRLPREEGRNKLFRELFKTNSKLLSGFNLDDYFPSLARLDMVRRVVCAKAVKQKKRWDELLDDLIDKHAGQAVTEEEADFIDVLLSVQDEYNLTRDNIKAILIDMFEAGTDTTYISLDYAMAELLRNPHAMAKLQDEVRSCKTKGKEFVTEDDLSGMSYLSAVMKETFRLHPSGSLLLPHFSTADCDVEGYTIPSGTRLLINAWALGRDTTCWGESAEEFMPERFLDEGLEAASDYQGNDFRFLPFGSGRRMCPGVTFATVTFKLIVANLIYHFNWELPQGLPDVDMTEVFGMDVHRKEKLLLVPRVAQDI >PAN42891 pep chromosome:PHallii_v3.1:8:37909055:37912271:1 gene:PAHAL_8G196000 transcript:PAN42891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESLNRSWICLFEVLVQLARRLFLWARREKMAPPAVAAPTTTAMVCARQGRLRQRYEGCYRLVSGCIPYMLKEEDGESSCQDVLGRLQVLMISTPKRGDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVDEAYGLCRYDWMREALDKLKEQLLFESNFRPLPSPEMDSSSLYMVMPAAAEGTVALC >PVH34328 pep chromosome:PHallii_v3.1:8:37909055:37912271:1 gene:PAHAL_8G196000 transcript:PVH34328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESLNRSWICLFEVLVQLARRLFLWARREKMAPPAVAAPTTTAMVCARQGRLRQRYEGCYRLVSGCIPYMLKEEDGESSCQDVLGRLQVLMISTPKRGDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISVGNSAGRMDLQEQEQAEQLRPARGLQGLHVWTPGHGAAGDLA >PAN42892 pep chromosome:PHallii_v3.1:8:37909055:37912271:1 gene:PAHAL_8G196000 transcript:PAN42892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAVAAPTTTAMVCARQGRLRQRYEGCYRLVSGCIPYMLKEEDGESSCQDVLGRLQVLMISTPKRGDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVDEAYGLCRYDWMREALDKLKEQLLFESNFRPLPSPEMDSSSLYMVMPAAAEGTVALC >PVH34327 pep chromosome:PHallii_v3.1:8:37909770:37911550:1 gene:PAHAL_8G196000 transcript:PVH34327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAVAAPTTTAMVCARQGRLRQRYEGCYRLVSGCIPYMLKEEDGESSCQDVLGRLQVLMISTPKRGDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISVGNSAGRMDLQEQEQAEQLRPARGLQGLHVWTPGHGAAGDLA >PVH34573 pep chromosome:PHallii_v3.1:8:43563735:43564307:1 gene:PAHAL_8G252300 transcript:PVH34573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWPPAAWAWQEFSSGTGRWEEKVFVRDGEAAGTVGDLLLNPLDYQLEPRWRYAAYWQGAHYIHCSGEFVSRFSMEDGKYKVIKSPIDLAECKSDVRSFLGRSEKGVYFAAIDPMDNLRVWILGSESSDQTGWVPKHQSKLKTYSW >PVH33531 pep chromosome:PHallii_v3.1:8:1325078:1332789:-1 gene:PAHAL_8G018700 transcript:PVH33531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPPPGAVTVCEINRDLVAAEALSDDRAKDAYGDVLGMVFSPIPFQPDTLPPIREPPAAEQPESTENVPTASVTSTISEFFKRMIFPPLNPNLLQEFDIQKISWNPHKHCLAFVSGKNQVIVHDFEDSDAKEPFILTSDQQTNVKAVEWRPNSGKMIAVACKGGICLWSASYPGDVPFMKTGVTPYSFSAFPRGSGGWWILVDVLRDSSAEQVTALCWKPDGRYLASASCNSPSFTIWDVSQGLGTPIRRGLSNISLLRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSSGYVSGANWDPEGRVALVSFSDSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLSWDASGERLALSFKDGNEMYRGLVAVYDVRRSPLVSVSLVGFIRGPGEGVKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSY >PVH34019 pep chromosome:PHallii_v3.1:8:16999296:17008227:1 gene:PAHAL_8G119700 transcript:PVH34019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQHKLVCLRVHLHFVHSYPVDFLPTTTSAPLLLPRAHVRASTSISPLTSELSSIYLSHQGSPRPQRSSSSDLRPCLACASLVSSKNYRTRRHINMDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTKNGDDVAVKRLLINSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDKDMVPKIADFGLSRIIHNKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMCLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGEGDREDDVAATVFTMHDLVHDLARSIMLFEIIDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYRTLSTTKRQPPEALRRLTDLKYLNLSGSTFFIEDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGADEALPIFLECISNLPNLEHLDLSNNRELTRVPDCICSLRKLHRLDLSYCYSLRSLPATLHEMDSLKFLHLHKLLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISRLENVKSVQEARSVRLMEKGIKKMKLNWTRDSERFVEDMELLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELNFCGMSGISKIDGDLYGTRRPSFPRLKDFSLSGMESLEVWYTTYSHGGDGVSKFMFPNLQRLTICECPNLRQKPCPHRAEERWNIWGACDGVISSWEERASQTAASSPSSAPVTTLLCIDSCKVPMHKWRLLHHLPALTKLEIYGCSNLSSSPEIMQALSSLQSLTLWSRGQPEPELPNWLGQLASLKKLTIEGYEVQALQGSMGHLSLLQSLCLKGIESMTALP >PVH34017 pep chromosome:PHallii_v3.1:8:16997161:17008228:1 gene:PAHAL_8G119700 transcript:PVH34017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDKDMVPKIADFGLSRIIHNKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMCLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELCEKYIARLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLFEIIDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYRTLSTTKRQPPEALRRLTDLKYLNLSGSTFFIEDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGADEALPIFLECISNLPNLEHLDLSNNRELTRVPDCICSLRKLHRLDLSYCYSLRSLPATLHEMDSLKFLHLHKLLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISRLENVKSVQEARSVRLMEKGIKKMKLNWTRDSERFVEDMELLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELNFCGMSGISKIDGDLYGTRRPSFPRLKDFSLSGMESLEVWYTTYSHGGDGVSKFMFPNLQRLTICECPNLRQKPCPHRAEERWNIWGACDGVISSWEERASQTAASSPSSAPVTTLLCIDSCKVPMHKWRLLHHLPALTKLEIYGCSNLSSSPEIMQALSSLQSLTLWSRGQPEPELPNWLGQLASLKKLTIEGYEVQALQGSMGHLSLLQSLCLKGIESMTALP >PVH34022 pep chromosome:PHallii_v3.1:8:16999296:17008227:1 gene:PAHAL_8G119700 transcript:PVH34022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQHKLVCLRVHLHFVHSYPVDFLPTTTSAPLLLPRAHVRASTSISPLTSELSSIYLSHQGSPRPQRSSSSDLRPCLACASLVSKNYRTRRHINMDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTKNGDDVAVKRLLINSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDKDMVPKIADFGLSRIIHNKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMCLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELPQKGCIKNVSGMTMHYNKNIDMRNKSTDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTHVWVDVSRRFDLNKICESTISQISGKESRANERKIVHSCLTKLLSGKKILIVLDDLWEDDQFHLQELKDMLYHADSNIIILVTTRSERVAGRICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIARLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLFEIIDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYRTLSTTKRQPPEALRRLTDLKYLNLSGSTFFIEDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGADEALPIFLECISNLPNLEHLDLSNNRELTRVPDCICSLRKLHRLDLSYCYSLRSLPATLHEMDSLKFLHLHKLLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISRLENVKSVQEARSVRLMEKGIKKMKLNWTRDSERFVEDMELLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELNFCGMSGISKIDGDLYGTRRPSFPRLKDFSLSGMESLEVWYTTYSHGGDGVSKFMFPNLQRLTICECPNLRQKPCPHRAEERWNIWGACDGVISSWEERASQTAASSPSSAPVTTLLCIDSCKVPMHKWRLLHHLPALTKLEIYGCSNLSSSPEIMQALSSLQSLTLWSRGQPEPELPNWLGQLASLKKLTIEGYEVQALQGSMGHLSLLQSLCLKGIESMTALP >PVH34020 pep chromosome:PHallii_v3.1:8:16999296:17008227:1 gene:PAHAL_8G119700 transcript:PVH34020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQHKLVCLRVHLHFVHSYPVDFLPTTTSAPLLLPRAHVRASTSISPLTSELSSIYLSHQGSPRPQRSSSSDLRPCLACASLVSKNYRTRRHINMDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTKNGDDVAVKRLLINSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDKDMVPKIADFGLSRIIHNKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMCLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELCEKYIARLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLFEIIDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYRTLSTTKRQPPEALRRLTDLKYLNLSGSTFFIEDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGADEALPIFLECISNLPNLEHLDLSNNRELTRVPDCICSLRKLHRLDLSYCYSLRSLPATLHEMDSLKFLHLHKLLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISRLENVKSVQEARSVRLMEKGIKKMKLNWTRDSERFVEDMELLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELNFCGMSGISKIDGDLYGTRRPSFPRLKDFSLSGMESLEVWYTTYSHGGDGVSKFMFPNLQRLTICECPNLRQKPCPHRAEERWNIWGACDGVISSWEERASQTAASSPSSAPVTTLLCIDSCKVPMHKWRLLHHLPALTKLEIYGCSNLSSSPEIMQALSSLQSLTLWSRGQPEPELPNWLGQLASLKKLTIEGYEVQALQGSMGHLSLLQSLCLKGIESMTALP >PVH34021 pep chromosome:PHallii_v3.1:8:16999296:17008227:1 gene:PAHAL_8G119700 transcript:PVH34021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQHKLVCLRVHLHFVHSYPVDFLPTTTSAPLLLPRAHVRASTSISPLTSELSSIYLSHQGSPRPQRSSSSDLRPCLACASLVSSKNYRTRRHINMDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTKNGDDVAVKRLLINSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDKDMVPKIADFGLSRIIHNKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMCLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELCEKYIARLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLFEIIDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYRTLSTTKRQPPEALRRLTDLKYLNLSGSTFFIEDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGADEALPIFLECISNLPNLEHLDLSNNRELTRVPDCICSLRKLHRLDLSYCYSLRSLPATLHEMDSLKFLHLHKLLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISRLENVKSVQEARSVRLMEKGIKKMKLNWTRDSERFVEDMELLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELNFCGMSGISKIDGDLYGTRRPSFPRLKDFSLSGMESLEVWYTTYSHGGDGVSKFMFPNLQRLTICECPNLRQKPCPHRAEERWNIWGACDGVISSWEERASQTAASSPSSAPVTTLLCIDSCKVPMHKWRLLHHLPALTKLEIYGCSNLSSSPEIMQALSSLQSLTLWSRGQPEPELPNWLGQLASLKKLTIEGYEVQALQGSMGHLSLLQSLCLKGIESMTALP >PVH34023 pep chromosome:PHallii_v3.1:8:16999296:17008227:1 gene:PAHAL_8G119700 transcript:PVH34023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQHKLVCLRVHLHFVHSYPVDFLPTTTSAPLLLPRAHVRASTSISPLTSELSSIYLSHQGSPRPQRSSSSDLRPCLACASLVSSKNYRTRRHINMDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTKNGDDVAVKRLLINSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDKDMVPKIADFGLSRIIHNKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMCLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELPQKGCIKNVSGMTMHYNKNIDMRNKSTDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTHVWVDVSRRFDLNKICESTISQISGKESRANERKIVHSCLTKLLSGKKILIVLDDLWEDDQFHLQELKDMLYHADSNIIILVTTRSERVAGRICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIARLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLFEIIDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYRTLSTTKRQPPEALRRLTDLKYLNLSGSTFFIEDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGADEALPIFLECISNLPNLEHLDLSNNRELTRVPDCICSLRKLHRLDLSYCYSLRSLPATLHEMDSLKFLHLHKLLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISRLENVKSVQEARSVRLMEKGIKKMKLNWTRDSERFVEDMELLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELNFCGMSGISKIDGDLYGTRRPSFPRLKDFSLSGMESLEVWYTTYSHGGDGVSKFMFPNLQRLTICECPNLRQKPCPHRAEERWNIWGACDGVISSWEERASQTAASSPSSAPVTTLLCIDSCKVPMHKWRLLHHLPALTKLEIYGCSNLSSSPEIMQALSSLQSLTLWSRGQPEPELPNWLGQLASLKKLTIEGYEVQALQGSMGHLSLLQSLCLKGIESMTALP >PVH34018 pep chromosome:PHallii_v3.1:8:16999296:17008227:1 gene:PAHAL_8G119700 transcript:PVH34018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQHKLVCLRVHLHFVHSYPVDFLPTTTSAPLLLPRAHVRASTSISPLTSELSSIYLSHQGSPRPQRSSSSDLRPCLACASLVSKNYRTRRHINMDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTKNGDDVAVKRLLINSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDKDMVPKIADFGLSRIIHNKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMCLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGEGDREDDVAATVFTMHDLVHDLARSIMLFEIIDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYRTLSTTKRQPPEALRRLTDLKYLNLSGSTFFIEDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGADEALPIFLECISNLPNLEHLDLSNNRELTRVPDCICSLRKLHRLDLSYCYSLRSLPATLHEMDSLKFLHLHKLLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISRLENVKSVQEARSVRLMEKGIKKMKLNWTRDSERFVEDMELLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELNFCGMSGISKIDGDLYGTRRPSFPRLKDFSLSGMESLEVWYTTYSHGGDGVSKFMFPNLQRLTICECPNLRQKPCPHRAEERWNIWGACDGVISSWEERASQTAASSPSSAPVTTLLCIDSCKVPMHKWRLLHHLPALTKLEIYGCSNLSSSPEIMQALSSLQSLTLWSRGQPEPELPNWLGQLASLKKLTIEGYEVQALQGSMGHLSLLQSLCLKGIESMTALP >PVH34369 pep chromosome:PHallii_v3.1:8:39226214:39229143:-1 gene:PAHAL_8G207400 transcript:PVH34369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASRLLPPAPPPAAPLHRPRTRSHLAVPRLRCRAASSAATSSGAALLERGGSAAEVALREFVTLDELRAAVRLRVRTFCEYAIDSVGAEDHRKALADREFEALQDRISGKMINFQRVSCINGTVPLSPSLMTADELCSMCKFVEDGEERVVVGSLDLNQCLWLPDELTGKRPGVNEDSQTRAYLSNVCVAKELQKKGLGYALVDKSKKLAREWGITDLYVHVAINNIAGQKLYKKSGFVYEGEEPAWKARFLGRPRRLLLWFDMNKEPL >PAN42723 pep chromosome:PHallii_v3.1:8:36102665:36109765:-1 gene:PAHAL_8G184900 transcript:PAN42723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFATKPMMEFAWRSMGTLLPKLVRLLKEEYHLQKSVKDGIKFLISELESMQAALEKVSDVPADQLDKQVMLWARDVREMSYDIEDSVDTFLVRVDGKKPARPLSIKGIIDKGLNSLAKIKSRHKIAADIKEIMGHVREAKERRYRYNVDGVVAKPPATSIDPRLSALFKKVTELVGIGKTRDELIRMLSEGDDGSKKKLRVVSVVGIGGLGKTTLAKTVYDKLKVEFECSAFVSVSHSPDMKKIFKDILLELDKDKYDNIHNTAKDEKQLIDILREFLGNKRYLIVIDDIWDTQSLETIRLALERNSCGSRIITTTRKFEVATEAGDVYHLEPLSYSNSKKLFYTRIFGGEGKCNENKPDEVLDKILKKCGGVPLAIITMASLLAGKPTEEWSEVYTSIGFSRKDNWHAENTMRILSFSYYDMPSHLRTCLLYLSAFPEDYVIDKNELIWKWIAEGFIQREQGVGLFELGERYFNDLINRSLIQPLGTGDYGTVNGCCLHDMMLGLIRSLSHEENFVTILHKGQDDTIVQSSTRRLSHHKRTVNHNSESQMYLTHVRSFSAFLCDIEKMVPLSNFQVLRVLALEECRFMEGYRLTHLGELLHLRYLGLAYTPISEIPKEIEALQFLQTLELAGTGIKELPSSIGFLTQMVCLHGDRSTTRIPGDGIIGKMTSLEELWVRPAANNDNNKSAKQFVKELGNLKELRVLDTVIDGLDESMEEALLESLRYLRKLRYLEISGRRWGKSLRLEAPGFILPRSLQHLWLSGLRFSNLPTWINSSLLPNLCVLCVVVDSMDYRDMRIIGKLPKLHYLHLYTESTFVVYGGDGYFQKLKYCKLGTGVTAMFREDKSGVPVMPSLEVLDFSISIRRLMDFCFYHGQGIKFLPVLVGLHFIPSLLEVKVTISCQDALPREVDDTEKVLRNTAFKHPKWPYLEVEKFGKDKMITSVEDQSWAMMDFRDVIDYHVHVRELNDIGSALDFTSLGNFPILEKVNASINCEDATADEVERVEAAMRDAVEAHPNHPVLQVERHGAEKMKVSNQKGQKPVDHKFVMLPQKMRMILPPPTTPPTSVIIANEEKEEMVENSMA >PVH34276 pep chromosome:PHallii_v3.1:8:36102684:36109765:-1 gene:PAHAL_8G184900 transcript:PVH34276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFATKPMMEFAWRSMGTLLPKLVRLLKEEYHLQKSVKDGIKFLISELESMQAALEKVSDVPADQLDKQVMLWARDVREMSYDIEDSVDTFLVRVDGKKPARPLSIKGIIDKGLNSLAKIKSRHKIAADIKEIMGHVREAKERRYRYNVDGVVAKPPATSIDPRLSALFKKVTELVGIGKTRDELIRMLSEGDDGSKKKLRVVSVVGIGGLGKTTLAKTVYDKLKVEFECSAFVSVSHSPDMKKIFKDILLELDKDKYDNIHNTAKDEKQLIDILREFLGNKSAFPEDYVIDKNELIWKWIAEGFIQREQGVGLFELGERYFNDLINRSLIQPLGTGDYGTVNGCCLHDMMLGLIRSLSHEENFVTILHKGQDDTIVQSSTRRLSHHKRTVNHNSESQMYLTHVRSFSAFLCDIEKMVPLSNFQVLRVLALEECRFMEGYRLTHLGELLHLRYLGLAYTPISEIPKEIEALQFLQTLELAGTGIKELPSSIGFLTQMVCLHGDRSTTRIPGDGIIGKMTSLEELWVRPAANNDNNKSAKQFVKELGNLKELRVLDTVIDGLDESMEEALLESLRYLRKLRYLEISGRRWGKSLRLEAPGFILPRSLQHLWLSGLRFSNLPTWINSSLLPNLCVLCVVVDSMDYRDMRIIGKLPKLHYLHLYTESTFVVYGGDGYFQKLKYCKLGTGVTAMFREDKSGVPVMPSLEVLDFSISIRRLMDFCFYHGQGIKFLPVLVGLHFIPSLLEVKVTISCQDALPREVDDTEKVLRNTAFKHPKWPYLEVEKFGKDKMITSVEDQSWAMMDFRDVIDYHVHVRELNDIGSALDFTSLGNFPILEKVNASINCEDATADEVERVEAAMRDAVEAHPNHPVLQVERHGAEKMKVSNQKGQKPVDHKFVMLPQKMRMILPPPTTPPTSVIIANEEKEEMVENSMA >PVH34275 pep chromosome:PHallii_v3.1:8:36102147:36109765:-1 gene:PAHAL_8G184900 transcript:PVH34275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFATKPMMEFAWRSMGTLLPKLVRLLKEEYHLQKSVKDGIKFLISELESMQAALEKVSDVPADQLDKQVMLWARDVREMSYDIEDSVDTFLVRVDGKKPARPLSIKGIIDKGLNSLAKIKSRHKIAADIKEIMGHVREAKERRYRYNVDGVVAKPPATSIDPRLSALFKKVTELVGIGKTRDELIRMLSEGDDGSKKKLRVVSVVGIGGLGKTTLAKTVYDKLKVEFECSAFVSVSHSPDMKKIFKDILLELDKDKYDNIHNTAKDEKQLIDILREFLGNKRYLIVIDDIWDTQSLETIRLALERNSCGSRIITTTRKFEVATEAGDVYHLEPLSYSNSKKLFYTRIFGGEGKCNENKPDEVLDKILKKCGGVPLAIITMASLLAGKPTEEWSEVYTSIGFSRKDNWHAENTMRILSFSYYDMPSHLRTCLLYLSAFPEDYVIDKNELIWKWIAEGFIQREQGVGLFELGERYFNDLINRSLIQPLGTGDYGTVNGCCLHDMMLGLIRSLSHEENFVTILHKGQDDTIVQSSTRRLSHHKRTVNHNSESQMYLTHVRSFSAFLCDIEKMVPLSNFQVLRVLALEECRFMEGYRLTHLGELLHLRYLGLAYTPISEIPKEIEALQFLQTLELAGTGIKELPSSIGFLTQMVCLHGDRSTTRIPGDGIIGKMTSLEELWVRPAANNDNNKSAKQFVKELGNLKELRVLDTVIDGLDESMEEALLESLRYLRKLRYLEISGRRWGKSLRLEAPGFILPRSLQHLWLSGLRFSNLPTWINSSLLPNLCVLCVVVDSMDYRDMRIIGKLPKLHYLHLYTESTFVVYGGDGYFQKLKYCKLGTGVTAMFREDKSGVPVMPSLEVLDFSISIRRLMDFCFYHGQGIKFLPVLVGLHFIPSLLEVKVTISCQDALPREVDDTEKVLRNTAFKHPKWPYLEVEKFGKDKMITSVEDQSWAMMDFRDVIDYHVHVRELNDIGSALDFTSLGNFPILEKVNASINCEDATADEVERVEAAMRDAVEAHPNHPVLQVERHGAEKMKVSNQKGQKPVDHKFVMLPQKMRMILPPPTTPPTSVIIANEEKEEMVENSMA >PVH33543 pep chromosome:PHallii_v3.1:8:1377952:1380833:1 gene:PAHAL_8G019800 transcript:PVH33543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTARLPASSCSLAAGSITTRSRRATMAIGCAPGGSNTHRRSMGLFLCRSSSTAGAEGGRRMEDYNTTMKRMMRNPYEYQHDLGMNYAVISDSLIVGSQPQTPGDIDHLKNEKNVAYILCLQQDKDIEYWGIDFPAILSRCKELGIQHIRRPAVDFDPDSLRSQLPKAVSALEWAISQRKGRVYVHCTAGLGRAPAVAIAYMFWFEDMDLNTAYKKLTSIRPCGPNKRAIRAATYDLAKKDDPWKEPFENLPENAFEGIADWEKKIIHDRIRALREA >PVH33542 pep chromosome:PHallii_v3.1:8:1378264:1381106:1 gene:PAHAL_8G019800 transcript:PVH33542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTARLPASSCSLAAGSITTRSRRATMAIGCAPGGSNTHRRSMGLFLCRSSSTAGAEGGRRMEDYNTTMKRMMRNPYEYQHDLGMNYAVISDSLIVGSQPQTPGDIDHLKNEKNVAYILCLQQDKDIEYWGIDFPAILSRCKELGIQHIRRPAVDFDPDSLRSQLPKAVSALEWAISQRKGRVYVHCTAGLGRAPAVAIAYMFWFEDMDLNTAYKKLTSIRPCGPNKRAIRAATYDLAKKDDPWKEPFENLPENAFEGIADWEKKIIHDRIRALREA >PVH33752 pep chromosome:PHallii_v3.1:8:4640789:4642048:1 gene:PAHAL_8G058800 transcript:PVH33752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLKLKEAMSSTDNPISGGHCRQLLPSAFPHQLGLGGSPPPWFLAAAGGEEDEEDEKMDMLWEDFNEELASAPPLCPLSPLINKGGLAMKEEAWRDDELIVVDLEKRAKHLQHSQDGRVVRRRRWSLVLMLRLLKKLFLVKKTRKPRTAPI >PAN41644 pep chromosome:PHallii_v3.1:8:4638761:4642025:1 gene:PAHAL_8G058800 transcript:PAN41644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGPSSPKMGKMTSDLVEDKESWVREGRKKFRKYPGGHCRQLLPSAFPHQLGLGGSPPPWFLAAAGGEEDEEDEKMDMLWEDFNEELASAPPLCPLSPLINKGGLAMKEEAWRDDELIVVDLEKRAKHLQHSQDGRVVRRRRWSLVLMLRLLKKLFLVKKTRKPRTAPI >PVH34297 pep chromosome:PHallii_v3.1:8:36907351:36908099:-1 gene:PAHAL_8G189100 transcript:PVH34297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRENKVLLYACRNCDHQEVSDSNCVYRNVVDHAAGEFTQVLFEDVASDPTLPRTKSVRCAACGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRD >PVH34339 pep chromosome:PHallii_v3.1:8:38276537:38280240:1 gene:PAHAL_8G199300 transcript:PVH34339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMSTNASSSSSWMVEMEKLLEDTRPSVERARWKQRSIYRVPECIKKMTNRDAYQPQFVSLGPLHHGEPHLMPMEEHKRRAVLHMVKRARKPLTKFVEAIEEVADELEAAYDGLDDRWRGASRGSFVEMMVTDGCFLLELMRIQLILVEAEDDGGGEVDTGYAANDPVFSRSSFPNLWPIMRNDMIAMENQIPLIVLQRIEFSSGSDTPPSARWINNTVRLLLCGPRFEEGMDNLGLHFLDILHKGYCGTRPYWERSENYEVRTPCAVELSEAGIQFKKSNTKSIHDVDFVNGVLSMPLLRLHDQTEMELLNLMAFEWLHPNTTNEARCYISFVNNIIESERDVALLRSQGLIENGMGSDKKVVELCNITTKLGQANIYNRLGHVQWKMNAHCKKRRNKWRAMFMNNYLSNPWVFISLVAAFILLIATIMQTIYTVVPFYTNKG >PVH33477 pep chromosome:PHallii_v3.1:8:710099:710772:-1 gene:PAHAL_8G009400 transcript:PVH33477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCIVADDKWWKDQNDAMPGCICFKNAPLEHEEQMRIMFEAVSVTNETSFVPSNGEGGGQEDDGGQNNSELEREGQVPTPPNVTPTLGKRPAPLSPKGKKKKTFRDQVMKRLVDAYEKKAESSNNSATSNVVDSVREEIGNMLDQMIKDGAEEGSDEHYYTTQLLIKKEYRDVFITLKTQMEVKLAKEDERYKN >PVH33900 pep chromosome:PHallii_v3.1:8:9791551:9792411:-1 gene:PAHAL_8G088800 transcript:PVH33900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDAYPLAIRKALRYLCRIFEEHLAPSPAKFFPPAIRTPVWEARMRNLERRHHEEGPLYQEATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRTAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPIIGWGPLFGSTQAPPGNPESSAAAVERDAEAQPLAGGNPEDGEQGSLALSAPEEGLPRE >PAN43416 pep chromosome:PHallii_v3.1:8:42038311:42039405:1 gene:PAHAL_8G234400 transcript:PAN43416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLACAGGGDGGGGGSASTTVTATGWHVLKVEDYSQLKGIGVARRIKSNPFVVGGRSWCVTFFPDGSSEETVDWVCIGLRLERRRRHHDDDDGIAVARAKYSFLDQVGEPVPSSTVGGTSVRVFSRPGQSWVHPEFIRREDMESSHVRDDKFCIRCDVTVIERNCCQVPATVPPSDLRRHLGDLLAAGAGADVAFEVAGETLAAHKAVLAARSPVFKAEFFGSLLKENAATATHVRIHGMEPRVFRAMLHFIYTDSLPEIGPDERMAMAQHLLVAADRYDMERLKSMCEFVLCMHVSEDVAVSTLVLAEQHGCHQLKEACFKILGSSGKYKELLVGDDLEHLASSSPSLLVELCARLGLDEL >PVH33657 pep chromosome:PHallii_v3.1:8:2956892:2960796:1 gene:PAHAL_8G040700 transcript:PVH33657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDERLRRALTAFGGDAWALVDAALAAAAQDRPGELRARRDGIVERLYAAAGCSSCDARPPPPPRAALPAAGLDEEDGEEAAAPVSPVAEADAAEAGDAEAEELGASGEPGLESRIVAIRDFLEDPDQPDDELVSLLQNLADMDVTYKALQETDIGRHVNGLRKHPSAEVRRLVKQLIRKWKEIVDEWVRLHNSGGDGGSSIIADGDSPEKIQGRSHQSPRVSGFQYSPSPQRHNGSTSEKTNNGLEPTMDMKRRPSPAPTHHNSRQMNNNHHSTTMSYAPAFSMQKVTRDHKDSLLDLDRLDSARKRLQENYQEAQNAKKQRTIQVMDINDIPKPKNRNALIRKSGSGELPARHR >PVH33658 pep chromosome:PHallii_v3.1:8:2956892:2960796:1 gene:PAHAL_8G040700 transcript:PVH33658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDERLRRALTAFGGDAWALVDAALAAAAQDRPGELRARRDGIVERLYAAAGCSSCDARPPPPPRAALPAAGLDEEDGEEAAAPVSPVAEADAAEAGDAEAEELGASGEPGLESRIVAIRDFLEDPDQPDDELVSLLQNLADMDVTYKALQETDIGRHVNGLRKHPSAEVRRLVKQLIRKWKEIVDEWVRLHNSGGDGGSSIIADGDSPEKIQGRSHQSPRVSGFQYSPSPQRHNGSTSEKTNNGLEPTMDMKRRPSPAPTHHNSRQMNNNHHSTTMSYAPAKVTRDHKDSLLDLDRLDSARKRLQENYQEAQNAKKQRTIQVMDINDIPKPKNRNALIRKSGSGELPARHR >PVH34379 pep chromosome:PHallii_v3.1:8:39432273:39439131:1 gene:PAHAL_8G209700 transcript:PVH34379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMQGKDISRWIAHSNHNTKRFTKHEIERITNNYRIILGRGASGEVYRGVLDKNVVAVKRLVYNVRENFDQDFHVHREVNHKNAVRLIGYCVEENALMMEYLPDMMRKHRRETLNQTGPYMYTQVIHGDIKPANILLDGSLKANISDFGISRLVNDPDKTLVTENFIGSIGYMDPFDVYSFGVVLVELITRKRAATSGGEGLRGVRALFDAEIASQNNTKILDGVAKLAGKCLLMERGRRPEMIDVVERLRKLGKASHQVHQRVDLFSWARKRKPMTTWTSNLCRQFSLEEIKAATRNFDESLLVNATRILPPFVNPDEIFGSRVYRGVIDGGATKVAIKLPSYRHGAGGCELRSAIEMRSKLRHGHIVPLIGYCDEDNETILVYDFMACVSLHYHLYENQQEPRLTWKQRLEICIGVARGLHYLHRGTKHAIIHGSLKSANIFLDKNWVAKIIDGGLSSDNASDHWVLSFNFLGVNPRDLTEESDVLAFGALLFEAQSRWALHYKWEGKLYQFLDPALQEEISLQSLNKFVETAARCVADQGMDRPSMADVLSDLECAIQSQVNAEASGSGS >PAN42022 pep chromosome:PHallii_v3.1:8:24610154:24617007:-1 gene:PAHAL_8G142400 transcript:PAN42022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAADPSAAPPAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAATVSPARVPDPSAASPPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYIVGGAPGAPGAAGALPAPVFLFVIDTCVIEEELEYVKMAMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQVNGMHPAATAGVNRFLLPVSECECTLSTLLDELQPDQWPVEAGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKASKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTIEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTMAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPHLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSSNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPTSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRETVTNSVAMIQPSLISFSFDSHPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHEQFAQLLQAPHEEAQMIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVAPGSDIIFTDDVSFQVFCEHLQRLAVQS >PVH34010 pep chromosome:PHallii_v3.1:8:16950790:16952122:1 gene:PAHAL_8G119100 transcript:PVH34010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTGVEECSDGSSGSRARGGAARRSGVKILEGQAVLSVQDQLGRRSQLLRESLNSKRPPWRRCSYDTPCWCMFFVQVFFFGFEVPSCILQRK >PAN42176 pep chromosome:PHallii_v3.1:8:16950790:16952122:1 gene:PAHAL_8G119100 transcript:PAN42176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTGVEECSDGSSGSRARGGAARRSGVKILEGQAVLSVQDQLGRRSQLLRESLNSKRPPWRRCSYDTPCWCMFFVQVFFFGFEVPSCILQRK >PVH34009 pep chromosome:PHallii_v3.1:8:16950790:16952122:1 gene:PAHAL_8G119100 transcript:PVH34009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTGVEECSDGSSGSRARGGAARRSGVKILEGQAVLSVQDQLGRRSQLLRESLNSKRPPWRRCSYDTPCWCMFFVQVFFFGFEVPSCILQRK >PVH33425 pep chromosome:PHallii_v3.1:8:362093:368322:-1 gene:PAHAL_8G002600 transcript:PVH33425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRGVDAGEVQDLHNKAPRPAAPAPAQHQDKDDRPDMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISIEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVIFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFRTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRTELLRFPIAGSADDAQKLIDFAISINESLGESKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEASDLKPENSRYDAQISVFGVKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCCENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVINALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDRDKCETFQDCITWARLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPKQGVKIETDEKATNLSSASVDDAAVIEELIAKLEAISKTLAPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMSWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSIYFR >PVH33426 pep chromosome:PHallii_v3.1:8:362093:368433:-1 gene:PAHAL_8G002600 transcript:PVH33426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRGVDAGEVQDLHNKAPRPAAPAPAQHQDKDDRPDMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISIEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVIFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFRTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRTELLRFPIAGSADDAQKLIDFAISINESLGESKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEASDLKPENSRYDAQISVFGVKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCCENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVINALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDRDKCETFQDCITWARLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPKQGVKIETDEKATNLSSASVDDAAVIEELIAKLEAISKTLAPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMSWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSIYFR >PAN41183 pep chromosome:PHallii_v3.1:8:1192116:1193762:1 gene:PAHAL_8G016900 transcript:PAN41183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGLFPEPNMATTPSPEIKTGFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH34016 pep chromosome:PHallii_v3.1:8:16980793:16989541:1 gene:PAHAL_8G119600 transcript:PVH34016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTRNGDDVAVKRLLNSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMKDGSKVFVDETQGALCLEYMHNGSLQQLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDKDMVPKIADFGLSRIIHKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMCLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELPQKGCIKNVSGMTMHYNKNIDMRNKSTDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTHVWVDVSRRFDLNKICESTISQISGKESRANERKIVHSCLTKLLSGKKILIVLDDLWEDDQFHLQELKDMLYHADSNIIILVTTRSERVAGRICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIARLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLYEILDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYRTLSTTKRQPPEALRRLTDLKYLNLSGSTFFIEDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGADKALPIFLECISNLPNLEHLDLSDNRELMRVPDCICSLRKLHRLDLSYCYNLRSLPATLHEMDSLKFMHLEDLELLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISRLENVKSVQEARSVRLMEKGRIKEMKLNWTRDSERFVEDMEVLGELVPPITLEELYIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELEFYRMSGISKINGDLYGTRRPSFPRLKDFSLSRMESLEVWYTTYSHGGDGVSKFMFPNLQRLTISDCPNLRQKPCPHRAKERWDICGACDGVISSWEERASQTAVSSPSSAPVTTLCIYFCEVPMHKWRLLHHLLALTKLEINGCSNLSSSPEIMRALSSLQLLTLESQGQPEPELPNWLGQLASLKELTIEGYEVQALQGSMGHLSLLQSLCLKCIKSMTALPQWVPDLISLQQLEIWHCSNLNDLTGTIGCLISLNELAIINCNGVTSLPESIQKLTMLKKLKIYLCNELVRWCETEENKAMLAHIEVKII >PAN41553 pep chromosome:PHallii_v3.1:8:4028796:4033229:1 gene:PAHAL_8G052500 transcript:PAN41553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRAPGVALLLAVLLPLVATPSRAATPARAASTAAFQLHGDVYPTGHYYVTMNIGNPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPAQNRLIPCADSLCTALHSGLGSNKKCPSPKQCDYQIKYTDSASSQGVLITDNFSLPLSKSSNIRPSLTFGCGYDQQVGKNGAVQAVTDGLLGLGRGSVSLLSQLKQQGVTKNVLGHCLSTNGGGFLFFGDDIVPTSRVTWVPMSRSTSGNYYSPGSGTLQFDRRSLGVKPMEVVFDSGSTYTYFTAQPYQAVVSAIKGGLSKSLKQVSDPELPLCWKGQKAFKSVFDVKKEFKPLSLSFANGKNAVMEIPPENYLVVTKNGNACLGILDGTAPKLSFNVIGDITMQDQMVIYDNEKAQIGWVRGPCTRSAKSILSSFP >PAN41554 pep chromosome:PHallii_v3.1:8:4029522:4033419:1 gene:PAHAL_8G052500 transcript:PAN41554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGNPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPAQNRLIPCADSLCTALHSGLGSNKKCPSPKQCDYQIKYTDSASSQGVLITDNFSLPLSKSSNIRPSLTFGCGYDQQVGKNGAVQAVTDGLLGLGRGSVSLLSQLKQQGVTKNVLGHCLSTNGGGFLFFGDDIVPTSRVTWVPMSRSTSGNYYSPGSGTLQFDRRSLGVKPMEVVFDSGSTYTYFTAQPYQAVVSAIKGGLSKSLKQVSDPELPLCWKGQKAFKSVFDVKKEFKPLSLSFANGKNAVMEIPPENYLVVTKNGNACLGILDGTAPKLSFNVIGDITMQDQMVIYDNEKAQIGWVRGPCTRSAKSILSSFP >PVH33841 pep chromosome:PHallii_v3.1:8:6996839:6997330:-1 gene:PAHAL_8G077300 transcript:PVH33841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESESSREPTPEYDPIAAYEVRAPLHWDTEEWDFRYQSEDDESLTDGEDLALLLGAEMEEDEDDTSWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSDDSSDSSAGAEGDDSFTSNDGGDDDDSSSDTDDSGPSIAPSPKRRKTSGVYWW >PAN41409 pep chromosome:PHallii_v3.1:8:2367700:2368397:1 gene:PAHAL_8G032900 transcript:PAN41409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRRRANRALTPHAGDEKLVAEAEDSALRHLNLMILSSAHAGYEIPSTSSCYYSRTDGRQLRRLHCCVWEA >PAN42675 pep chromosome:PHallii_v3.1:8:25486450:25487937:-1 gene:PAHAL_8G146200 transcript:PAN42675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSRECDDNFAIRAFFIVAFNLLLFINTDSYIRVVDAKWTENLDVIDEINWCKALIDNLRFSARLWRNERDKKEHANIKKIAINGCGIFLNVSYLFHFLCPSFLLVANVHSIFETFSMCRYYILITCSMCRY >PAN42820 pep chromosome:PHallii_v3.1:8:37468049:37473425:1 gene:PAHAL_8G192400 transcript:PAN42820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MGSGEEDTGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRTPEKPFRQRFYMVKPCPKEMKCDVELSSYAIRDAEEYKNFCDRSKDQRPQAEEVIADIAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWQSGASYCTSDLSIHKNGEVHIWDKGFNDEGNQVWGTKAGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKKLDKAYVIDDQ >PAN42854 pep chromosome:PHallii_v3.1:8:37468049:37473425:1 gene:PAHAL_8G192400 transcript:PAN42854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MGSGEEDTGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRTPEKPFRQRFYMVKPCPKEMKCDVELSSYAIRDAEEYKNFCDRSKDQRPQAEEVIADIAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWSGASYCTSDLSIHKNGEVHIWDKGFNDEGNQVWGTKAGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKKLDKAYVIDDQ >PVH34120 pep chromosome:PHallii_v3.1:8:26120752:26121471:1 gene:PAHAL_8G148800 transcript:PVH34120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAYLEMRTKQAEAEAGVADRAKEMEERERETREREAREKDAAQASDFWIRRCISVLNTMKVMKEEKIKAYAIFIKIKENRETFICACEVDQESALIWLRSEMA >PVH34140 pep chromosome:PHallii_v3.1:8:28630093:28631564:-1 gene:PAHAL_8G156500 transcript:PVH34140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKKQAPGRAPMPPGKEPAGQALMPPGKEAPGRRDDANRGEMPDNPPPTTNSNPAHSTLTLGEMVTKNPPGRTIAARPRQPAGFSEYEKERAQHIMRNNQIFQRLGIGQLASLLKNVSANVEDDGPQKSGSEYSPHDNEGLEDDDEVISKRRVTRQNSAATISLTASTEEALATVQTENLNPTADEDELVEVTEQVRRGRSMGKDLDRITRGLGSKICIHVSEGKRRPARLELYLDNMYQSFLIGSITRRMILKWITILAKLLDNLTWTLTTRQ >PAN41033 pep chromosome:PHallii_v3.1:8:803005:806868:-1 gene:PAHAL_8G011000 transcript:PAN41033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKQGAADDRRSSYPQESASSHSYTSFKRINEPKLGLWQTLASKAKEILDEDALAHKFEDFRKERPRNSTSSSSGDQAPQSRWSFENHWKTGDAAAQIRPESLSASVNQLGGRIKNALEEGLTIVDNKTSSIIEETKKIQIRRKPTSSSSYMSNSAVHTVSAPNLSLDQAEAAAEETQLKASRNVANAMAAKAKLLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFSTTQDVVSLDDGDMGDDDPEEDSDLIYTENMLPVVEENSGDEELCPVPSRPESPIVSPGDPASPISSDSRNSSKPDASASDTA >PAN43507 pep chromosome:PHallii_v3.1:8:42642830:42644288:-1 gene:PAHAL_8G240600 transcript:PAN43507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFAMLVLCIDRCHVMLCRVPKDLRKACDKLVPGIGEMIEDNMLYAKVMAKILTPKLVKDWEFSMSFPPDMVLKLQKADAAADDACKQIDYKMFRFIHCSLADLERLPGLKTMWVRKLKAYADRWSRQQELQITGKRCHTDVVGADETSRGADEDSPGASSEGYKKARPAEV >PAN43251 pep chromosome:PHallii_v3.1:8:41056699:41061123:1 gene:PAHAL_8G223100 transcript:PAN43251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAGAAAEAEAVRAEAKEIMLADHDGDMEASLARARALVHAHPGSVVAYRLLGELHYAAAMRAARGEGSPEDRKAAAAPHLRVALEALTAARRLAPDCVDVAATLGDALAASRMYAEAEAEFRRAQSIPNPSDPALHNAAYGMYEGYEHERDPAFVAERVEEARERARASYARMTIEELVPSAVQRVLDAGKLLGAAEGRKRGKLVAESFPNLARAQYLQAYMDLEFVRSLDPAIDKRPFLRRTLAIAERLARAFPKSPVIACFRAKLLFVLGEYDAAERECRRALDMKEPDDPQLDCIPAGSISGENLGARLVSLACEFHELINKIVMMAADYWDSMSGERRHDSFLRVSSDVLQDEYRKVDPSCAFTMSDVRSFVKEHKSWKFWICPICDRKKFVDSGLLLSHMCRKHPRAVLPRLQSLLDQSLNSEASEEEDFLDGVTFCEDSAEQDMICLNKSSVVFKWLFYAPSSGVGAKPLPEVRAKKREKGSMLLEGIKEKMKTLPTDKSSTEFAEAFPRIQELWLKFLKASMLDYRGVILELARSFLWGELKKCMTQDPQLADKWISATDIDAVFITEAEPSHAEGDPETGDDKQPSQADGALMVSEDHKESNVCIQHGESSETPADNTESTDLATSVAESGKDLDATLDKVDIDPKISENNQESEVQVEGESSEATVTNMSDPATSMLESGNDLDVKLENLQIDPSSDSSIATSGAGSSGQTGQQD >PVH33835 pep chromosome:PHallii_v3.1:8:6686025:6688345:-1 gene:PAHAL_8G074700 transcript:PVH33835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIQTPKGEMQGDMICFDWSKRWEGKGPTAGLLGRVGLERGNGLPPCVLCNLSIFLFFCGKPLFCPSVDANTEHTRVYSQPLRRICRPEIT >PVH33960 pep chromosome:PHallii_v3.1:8:15252289:15252907:1 gene:PAHAL_8G109500 transcript:PVH33960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPPLFLGAAFFLLAAAAVHLRSFGADDGNNGSREAAAATAHLHFYIHYEYTSPRPSALRVVSGRRRSMLLPPSPPSSDDGGGGVAVAAQFGDIVVLNNALTEGPWASSARVSAAQGFGVRLSEGGVVSHVTLHLVLEAGEHRGSSVTVSCRIDVDAKVRESVVLGGTGKFRFARGYMLTSNYDYDLARGGVVEFDVHLLLQS >PAN43849 pep chromosome:PHallii_v3.1:8:44857381:44857911:-1 gene:PAHAL_8G268600 transcript:PAN43849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGASASDVKALLQVSAEDGALVDALDRRAAAARRALQAAAEPSPSFFEGFALQGIRVDSIHPGRILCSFTVPTRLTAGGNHLAPGAVVALVDEIGSAAAVADGHHLKVSVDMSVSFVDLAAAAPGDTLRISARALGHRGAYSGTHVLVANAATGKVVAEGRHSLFGKMKIRSNI >PAN42275 pep chromosome:PHallii_v3.1:8:31238541:31240399:-1 gene:PAHAL_8G167400 transcript:PAN42275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVGSSSQRCQGRQLVSAAGADASPTLLCSSTSSTTCSWCWCCLSCNHQSRGILEQEGEWLRCCAAPCALVQRLMQQSASATAPGAPVAVDTDDVQQLLEEMPLRLVHFLISQVGNKTCTLTCIANCPEHLY >PAN41157 pep chromosome:PHallii_v3.1:8:1443941:1448935:-1 gene:PAHAL_8G021100 transcript:PAN41157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMETSGAAAAVGEVGWYVLGPNQESVGPYALTELREHFANGYLNESTILWAEGRTEWMPLSSIPELHTAVTTKDQPEQAALDAEDDFEKFQKEVTEAEAEAEALKGSAEDGDERPATPPDGEEEFTDDDGTIYKWDRTLRAWVPQNDASGKKDDYALEEMTFALEEEVFQALDIPGPSALEEINTLAENKNKELDKAEKRGEKKRKSSEKPAEKKEANKPPDSWFDLKVNTHVYVNGLPDDVTVEEIVEVFSKCGIIKEDPETKKPRVKVYTDKETGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGKTLMSVSPAKFEQKGDAFIAKKTDKQKKRRTKKVEDKMLGWGGHDDKKITIPTTIILRHMFTPAELRADEELLCELEEDVKEECAKFGPVDNVKVCENHPQGVILVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEEST >PVH34123 pep chromosome:PHallii_v3.1:8:26585165:26587387:-1 gene:PAHAL_8G149800 transcript:PVH34123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNQTNKWSPGLPHLYKNVGINKDPSRRHGPPMAILPTSHRMICYMPWLVACCLLLLLYSVRLRSGWSHGHGPRSYPVIGCLVAFYQNRFRLLDWYTELLAASPTQTIVVDRLGARRTVVTANPVNVEHILKGNFGNYPKGKPFTDILGDLLGTGIFNVDGELWYAQRKLVSHEFSARALRELEFAVLEDEARDRLVPALGLAAGRGDAVDMQDLLRRFSFDVICRVSLGVDPGCLDPALPAPRLAAAFDAAAGIIARRGAAPVAAVWKAKRALDLGSERRLRKEIRVIHEAVMDLIRTRKKERALVNDGDGRRSDLLSRMIECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLTRHRDVEREVLREIAGGASLAAGGQGKMRVLHAALCETMRLYPPVAWDSKHAAAGDVLPDGTRVERGDRVTYFQYGMGRMESIWGADAAEFSLQRWLSLPEDNAPPAAVAGVSPFKYPVFQAGPRTCLGKEMAFVQMKFVASIVLRRFELAPVDEGRVPVFLPLMTAHMAGGLNVTVRSRGDQITAAAAAAGSKPVAAGAPN >PAN43079 pep chromosome:PHallii_v3.1:8:39411059:39414133:-1 gene:PAHAL_8G209200 transcript:PAN43079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLARSIANSLLSPEEDEPSGTAPGPSASPGSSSSSPPRGVREDLSELTGALANRFQGLASFLEAPGGGARRGPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGGAGWAEAAVGVTEEVVAFARDAALRHELWLDFPLLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLTKEDAEILSTPQILEARGRLSHDLQYQTKLQSSSGDTLPVPFSNVDGALASPVEVLDALKGQDGSVMATSFSNIDYGISQPTNQEFQSTNATSDAGTVSSDNISGSVPVQLVPILKDATVVSESTLEESTCDLSTEDAAAEEQIMQMSEIALVENSPPKDDQQKQPLADISEHSRVDIQKAYHDEDEDEDDGDEWLEEEIGGPGNTTFPVADDEDVSFSDLEDDDGTT >PAN43078 pep chromosome:PHallii_v3.1:8:39411059:39414133:-1 gene:PAHAL_8G209200 transcript:PAN43078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLARSIANSLLSPEEDEPSGTAPGPSASPGSSSSSPPRGVREDLSELTGALANRFQGLASFLEAPGGGARRGPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGGAGWAEAAVGVTEEVVAFARDAALRHELWLDFPLLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLTKEDAEILSTPQLLISLAQILEARGRLSHDLQYQTKLQSSSGDTLPVPFSNVDGALASPVEVLDALKGQDGSVMATSFSNIDYGISQPTNQEFQSTNATSDAGTVSSDNISGSVPVQLVPILKDATVVSESTLEESTCDLSTEDAAAEEQIMQMSEIALVENSPPKDDQQKQPLADISEHSRVDIQKAYHDEDEDEDDGDEWLEEEIGGPGNTTFPVADDEDVSFSDLEDDDGTT >PAN43081 pep chromosome:PHallii_v3.1:8:39411059:39414133:-1 gene:PAHAL_8G209200 transcript:PAN43081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLARSIANSLLSPEEDEPSGTAPGPSASPGSSSSSPPRGVREDLSELTGALANRFQGLASFLEAPGGGARRGPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGGAGWAEAAVGVTEEVVAFARDAALRHELWLDFPLLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLTKEDAEILSTPQILEARGRLSHDLQYQTKLQSSSGDTLPVPFSNVDGALASPVEVLDALKGQDGSVMATSFSNIDYGISQPTNQEFQSTNATSDAGTVSSDNISGSVPVQLVPILKDATVVSESTLEESTCDLSTEDAAAEEQIMQMSEIALVENSPPKDDQQKQPLADISEHSRVDIQKAYHDEDEDEDDGDEWLEEEIGGPGNTTFPVADDEDVSFSDLEDDDGTT >PAN43080 pep chromosome:PHallii_v3.1:8:39409618:39414254:-1 gene:PAHAL_8G209200 transcript:PAN43080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLARSIANSLLSPEEDEPSGTAPGPSASPGSSSSSPPRGVREDLSELTGALANRFQGLASFLEAPGGGARRGPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGGAGWAEAAVGVTEEVVAFARDAALRHELWLDFPLLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLTKEDAEILSTPQILEARGRLSHDLQYQTKLQSSSGDTLPVPFSNVDGALASPVEVLDALKGQDGSVMATSFSNIDYGISQPTNQEFQSTNATSDAGTVSSDNISGSVPVQLVPILKDATVVSESTLEESTCDLSTEDAAAEEQIMQMSEIALVENSPPKDDQQKQPLADISEHSRVDIQKAYHDEDEDEDDGDEWLEEEIGGPGNTTFPVADDEDVSFSDLEDDDGTT >PAN43076 pep chromosome:PHallii_v3.1:8:39409618:39414255:-1 gene:PAHAL_8G209200 transcript:PAN43076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLARSIANSLLSPEEDEPSGTAPGPSASPGSSSSSPPRGVREDLSELTGALANRFQGLASFLEAPGGGARRGPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGGAGWAEAAVGVTEEVVAFARDAALRHELWLDFPLLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLTKEDAEILSTPQILEARGRLSHDLQYQTKLQSSSGDTLPVPFSNVDGALASPVEVLDALKGQDGSVMATSFSNIDYGISQPTNQEFQSTNATSDAGTVSSDNISGSVPVQLVPILKDATVVSESTLEESTCDLSTEDAAAEEQIMQMSEIALVENSPPKDDQQKQPLADISEHSRVDIQKAYHDEDEDEDDGDEWLEEEIGGPGNTTFPVADDEDVSFSDLEDDDGTT >PAN43077 pep chromosome:PHallii_v3.1:8:39409618:39414254:-1 gene:PAHAL_8G209200 transcript:PAN43077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLARSIANSLLSPEEDEPSGTAPGPSASPGSSSSSPPRGVREDLSELTGALANRFQGLASFLEAPGGGARRGPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGGAGWAEAAVGVTEEVVAFARDAALRHELWLDFPLLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLTKEDAEILSTPQLLISLAQILEARGRLSHDLQYQTKLQSSSGDTLPVPFSNVDGALASPVEVLDALKGQDGSVMATSFSNIDYGISQPTNQEFQSTNATSDAGTVSSDNISGSVPVQLVPILKDATVVSESTLEESTCDLSTEDAAAEEQIMQMSEIALVENSPPKDDQQKQPLADISEHSRVDIQKAYHDEDEDEDDGDEWLEEEIGGPGNTTFPVADDEDVSFSDLEDDDGTT >PAN41255 pep chromosome:PHallii_v3.1:8:2170532:2173393:1 gene:PAHAL_8G030900 transcript:PAN41255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLLAPAPPATTAGRRTAPRIPVRCSSVHELERSPPPLPGASLPPLRAAKRVVLVRHGQSTWNAEGRIQGSSDVSVLTPKGESQAETSRQMLLSDSFDACFTSPLARSRRTAEIIWEGRDDDLIPDSDLREIDLYSFQGLLKHEGKERYGVVYRQWQKNAANFSIDGHYPVRELWHRAQSCWERILAHEGKSVLVVAHNAVNQALVATSLGLGAEYFRVLLQSNCGASVLDFTPKTGEGPPNVCLNRLNQTPNSPVAAGSSGGRKTSKRIILACQGTTQSSSEISLGGMGYAPLNMLGTIQSQKTAELLLDLKVNSIIYSPQVASVDTATAICEVQEAADCLGADCVPRYVEMKNLLELEIDDAFQAKQKSFGQSGWMGSMEYKPLERLWAQSKDAWQALLNELPDDSESDRVVVAVGHPAIHLALICRCLDLPMEYMPSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRPTENDEEF >PAN43276 pep chromosome:PHallii_v3.1:8:41197384:41199347:1 gene:PAHAL_8G225200 transcript:PAN43276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMWGGLSNAASVAQLIGVDPLGLLSKICQAARAARQNRRDCEHFASRARMLTELLPRLRDPEAARLLAGLGDTLEEAHNLIVSCQGRGRVHEIFMASRKAEQFRDLERKIDSYLQLFPVISHIGIARRLDGMEAPQPSSHSQTTQLQEGDKEFTLAEITAATNNFAVVLSDGDSWTVYRGRLRDGQEVAVKRLKPGRRGAEDSFGTELAILAPLRHDHIVRLLGRCAEAGERVVVTAYASNGSLRDHLHGRRASPSSSPVTASWEARVRALLGAARAVDHLHRRAVPLVIHRGVASSSVLLDAAWAPRLTGFGAAVWRAAGVESQPVEPVAGAAAGGYADPEYLSTGRVKPASDVYSLGVVMLEALTGNPPVVTVWEEGSKSMATMTLASFALPSIQAGRLVDVLDRRPAAAPTAWQLQPLELVASTAARCLWLHGDNRPAISEVVANLEQALELICRHGHF >PAN41896 pep chromosome:PHallii_v3.1:8:7050726:7053917:1 gene:PAHAL_8G078200 transcript:PAN41896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFSVQLQMRFEIEKEIQQVIQMRDQWLQHAQLVPNQLVEMERKQSQGWFLEFVKDEDLVGIEENKKWLTGWLYSEEPNSTVITISGMGGLGKSTLVTNVYEPEKVNFPAHAWIVVSQIYSVDALLRNLLRKIDTVRPPTPTGIDKMDEHDLKEEIKKQLQNRKCLIVLDDVWEQQVYFQIHDAFQNLQANRILITTWKDHVGALASSTRHLELQPLARHDAFELFCRRAFYNKKGHECPMEFKEMATSIVDRCHGLPLAIVTIGSLLSSRPKMETWRHTYNQLQSELSKSDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYAMSRESLVRMWVAEGFVLGKGKNTPEDVAEGNLMELIHRNMLEAFEYDELGRVNTCKMHDIMRELALSVVKEEKFGSANDYGEMIQMDKDVRRLSLCGWKANTIIGVRFLICHTPC >PVH33857 pep chromosome:PHallii_v3.1:8:7295959:7296333:-1 gene:PAHAL_8G080000 transcript:PVH33857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLFPKFPVLSPFRISRLCCCFRGPLLLAAEPALPLQCTRQAAPGSPICLRAPGKRRPGGRRSLHQRRREPVWPAARAQASRLPRAADGGDWSRCEPGGTEELRAADGDRSRVVLLALHHQSA >PAN41206 pep chromosome:PHallii_v3.1:8:1855905:1859204:1 gene:PAHAL_8G026300 transcript:PAN41206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGIHGEDSSPPVSVHADQLEVAVNLIITDYCMPGMTGYDLLKKIKESTSLRDIPVVIMSSENIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYHQEQHQQSDSNSDECSNPTNSSSSDKSISRKRKAEDSGEVLPQKNRSRRS >PVH34354 pep chromosome:PHallii_v3.1:8:38975579:38977527:-1 gene:PAHAL_8G205000 transcript:PVH34354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVIRLAGPAALLPPAPSPSSSLRPRARGRAVRLRLRVRCRAGGDGEEGKEEEAPESLFARELRRRGMAPGPATPAEAKEAEEGGAEAGRKRGVAAAAEFDRGAAADGQRERSMALNSEGLEGLVPRAKLLLSLGGTFFLAFGPLILVTVSLFAGLYVYFGPSFVHDASKNPVSPPPYIDPYQLLEDERLTRPSPDVF >PVH34498 pep chromosome:PHallii_v3.1:8:41885142:41885474:-1 gene:PAHAL_8G232600 transcript:PVH34498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIEDGEDEYAYQAMVTINGHLFKGFLYDQGPDDGRHAATSKKDFTAGVPNISKFHLGAVSASSSGGSGAIREGGSLMVPTKLYGSCDGGQHHILGGSVQLHPIVVWCY >PAN41144 pep chromosome:PHallii_v3.1:8:1409081:1413413:-1 gene:PAHAL_8G020300 transcript:PAN41144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTIKKAKKAKSKKTKKVEASSSSKPAVAPGPAKVWQPGVDTLEDGEELQFDPEAYNYLRGFDIGWSCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAEKASSNYIGIFKLSNISGKKREPIPASTVDGDTDVDSDSSSDEEDEEINEDTKPILHLKKVAHAGCVNRIRSMTQKPHLCATWGDTGHVQVWDLSSFLNSLAEAESGAPAPKEDDIIHKHLPLKVFSGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTPNNWNVDANPFVGHTASVEDLQWSPTEADVFASCSVDGTIAIWDIRTGKKPCISIKAHKADVNVISWNRLASSMIASGCDDGSFSVRDLRSIQEDSLVAHFEYHKKAVTSIEWSPHEAASLAVTSEDHQLTIWDLSLERDAEEEAEFRAKMKEQANAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAIDGFNVLMPSNIDTTIPGNTDATMASAES >PAN41399 pep chromosome:PHallii_v3.1:8:2326699:2327427:-1 gene:PAHAL_8G032400 transcript:PAN41399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDDNNDGDANKLTIILAAPGSKETTCACGARPTGGDDDTTATGMSSSARRDVQWLRAAVLGASDGLVSTAALMLGVGAARPVGGGDDDDLRAVLLAGLAGLVAGACSMAIGEYVSVHAQLDVELAELERVGVAAGAGGGGGGRAAGLPSPGQAAAASALAFASGAAVPLLAAWFVTGYRARVAVVVATATATLAVFGSLGAVLGRAPGGRAGLRAVVGGLVAMGITYGLMKLFRIRHGV >PVH34080 pep chromosome:PHallii_v3.1:8:21341104:21341463:-1 gene:PAHAL_8G135700 transcript:PVH34080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRYIIKNTNGAGSECRTRNPKVMSFVSYQLLYLLKLRTGELVDKRRMVKMALLRSSIRENHTNMKGYFILTNWILLHPVTNMHKPFPEVCVWIAQSLDS >PAN43054 pep chromosome:PHallii_v3.1:8:39323907:39324445:1 gene:PAHAL_8G208300 transcript:PAN43054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKNLVVVACFTLALLIASSYGGELAGAVAPSHAGGIPAQCFERTAIMCRSDHTMCLTICLEKDGPYIGGYCSKSARSCYCSKRCIMAPAPGGAAGPGPAAA >PVH34377 pep chromosome:PHallii_v3.1:8:39323907:39324445:1 gene:PAHAL_8G208300 transcript:PVH34377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKNLVVVACFTLALLIASSYGGELAGAVAPSHGGIPAQCFERTAIMCRSDHTMCLTICLEKDGPYIGGYCSKSARSCYCSKRCIMAPAPGGAAGPGPAAA >PAN41316 pep chromosome:PHallii_v3.1:8:2518576:2523295:1 gene:PAHAL_8G034900 transcript:PAN41316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSSSSPSSSSAHPPDHPVSKPPPPPAPAMGYPANPSPNGNPGAAAAYFAAAAPPPSAAGAPNGTAAFGVAYRYPAPPHHPPPPYHHYPYPPPPPQPPHHHCAPHPPPPTCLRRLLAAVVSAFLLLGAATFIVWLLLRPRAPAFSLTSLALSRVAYSPSNSSLSASFDAALLAANPNAKLSVTYFSPLASVSFAPSSPIAVASLAPFSQGPGNTTTLAFRLVVDDAYVGPDDAAPLKSGGGGAVGVQVRLMAVAVFDRGGWRTRRRVMRVMCDGVQVVFRGKNSTEAAFNGPPRQCDVVL >PVH33619 pep chromosome:PHallii_v3.1:8:2518576:2523295:1 gene:PAHAL_8G034900 transcript:PVH33619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSSSSPSSSSAHPPDHPVSKPPPPPAPAMGYPANPSPNGNPGAAAAYFAAAAPPPSAAGAPNGTAAFGVAYRYPAPPHHPPPPYHHYPYPPPPPQPPHHHCAPHPPPPTCLRRLLAAVVSAFLLLGAATFIVWLLLRPRAPAFSLTSLALSRVAYSPSNSSLSASFDAALLAANPNAKLSVTYFSPLASVSFAPSSPIAVASLAPFSQGPGNTTTLAFRLVVDDAYVGPDDAAPLKSGGGGAVGVQVRLMAVAVFDRGGWRTRRRVMRVMCDGVQVVFRGKNSTEAAFNGPPRQCDVVL >PAN43255 pep chromosome:PHallii_v3.1:8:41079530:41080964:1 gene:PAHAL_8G223400 transcript:PAN43255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDDAPGNLRLFDGPTVLRRPAPAGKQQQEAAADGMSSDGVVAAASAGDAEEIKAAEARWVEMPAEHVRWILAQRRENHPTPPPPSRTTSSTGPTTLWDRRIDGVLAEERRREAGALRRPARLAQPRTTSSSSTRPGSGRDGRVLDSWCRRRPSAPGTAGRKTSTPSGQSVERSMPGSTLIRTTRSLPWMLTRSVCHPAEIRQNFI >PVH34331 pep chromosome:PHallii_v3.1:8:38098879:38099402:-1 gene:PAHAL_8G197900 transcript:PVH34331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKTSAMRTVYLAAALLLFLETMSSSFPSCQARSGWSRPDKSLPSPPPSPSPSPPRWDTCFSYAHCMMSRCSVHCVSRGYRGVGSRCVKHNQTVTMECCCKIHNNVR >PAN43019 pep chromosome:PHallii_v3.1:8:38940023:38944009:-1 gene:PAHAL_8G204700 transcript:PAN43019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYRIPSLLRLRFAAAALCCQQRRPRLPGTPPTRRPCFPRLTARKSPMATASSSPSTPQPFKLILGSSSVARKHILEEMGLEFQVMTADIDEKSIRRENPDDLVMVLAEAKADAIMSRLNIADYQKEGSQPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGGHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEIANKLIHDALRA >PVH34353 pep chromosome:PHallii_v3.1:8:38939960:38944009:-1 gene:PAHAL_8G204700 transcript:PVH34353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYRIPSLLRLRFAAAALCCQQRRPRLPGTPPTRRPCFPRLTARKSPMATASSSPSTPQPFKLILGSSSVARKHILEEMGLEFQVMTADIDEKSIRRENPDDLVMVLAEAKADAIMSRLNIADYQKEGSQPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGGHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEIANKLIHDALRA >PAN42950 pep chromosome:PHallii_v3.1:8:38552153:38554013:1 gene:PAHAL_8G200900 transcript:PAN42950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDGRRVWVVDVERKLGEADASVEVSRWQRHCIYRVPACIKDLKPKAYRPQVVSLGPFHHGDPELLPMEEHKRRALRHLLRRAKKPLEEFVAAVEEVAEQLASAYLDLGAEWRDGDGRERFLEMMIVDGCFLLEVMRATNLDGIGKNTGDYAPNDPIFSHHGVLYMVPYIRRDMLMLENQLPLLLLERLVAVETAKPPNGDAINRMVLRFLSLSSPRLPPSGGSLGLHALDVHRRNMLYGHYQAPHWTSRDVPEADIIRSAVELYEAGIRFKKSHSESLHDIRFRHGVLSMPAVTVDDSTEYMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSRGIIQNAVGSDKAVAKLFNSISKDVVLEPDSKLDAVHREVNAYCRKPWNMWRANLIHTYFRSPWAFLSLAAAIFLLVMTIMQTVYTVLQFYHPNNGSDGSSAAPAPM >PVH34421 pep chromosome:PHallii_v3.1:8:40237766:40240483:-1 gene:PAHAL_8G216600 transcript:PVH34421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] MEARARHHHLRQLRAALLRRGHPVPPPPPDHPDPDRAHLAAIRAAAASAPRLALAACACLRRAGLPAPGPRALPALLRSAARCDGAGAYVGGAHAAAVRVGALGEGFVGTALVGAYAACGRVGDARRVFDGMLVRDIVAWGVMLDSYCQTQNYKAALLLFAEMKRSGVVPDQLILATVLSACGHIRHFRTGKVIHSYMLVSDVLINAHLSSALINLYASCAKMDMAKKLYNGMPRKDLVSSTAMLFGYAKNRNVEVARSIFDGMAEKDVVSWSAMISVYAESNQPNKALSLFSDMQEHGIMPDEVTVLSAISACANLGSLDKAIWIHSFTENNGLTKTLRVCNALIDMFAKCGGITLALNIFNEIPHKNVITWTSMIAAFAMHGDGKSALVLFEKMKKEGVEPNKVTFLNLLYACCHAGLVHEGRLMFRCMVQEYRIEPNSEHYGCMVDLLGRAKLLQEAVDLIDSMHLGPNVAIWGSLLAACWMHGDLKLGAFAAKKVLELDPNHDGASVLLSKIYAKSGSWNDAEEVRVVMKLHGVSKETGSSWMVLNDPNHEFAAGGGKHPESDKILLKLDGKVS >PAN41367 pep chromosome:PHallii_v3.1:8:2775457:2775705:1 gene:PAHAL_8G038000 transcript:PAN41367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSGGLAHRQDWVQDGTLLDVFGDVIGIFHCKSKNGVSTNVLSKSNHTHGGGITELNAQDNPRVKLWFICGKLGYMQRSV >PAN41328 pep chromosome:PHallii_v3.1:8:1940336:1946558:-1 gene:PAHAL_8G027600 transcript:PAN41328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKIRSEIEKKPDAEDGKDAKSRATKEDQAVSFRTATAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDTKGKSNLAIGDYDEAVKPSKTNGSALKQQSEKNMPPPPPPPPRDNDSDGKEKQSRADDDDIFVGDGVDYSVPNKEMSQSPVSEDMEESPRNHQKQSYFIEPMYGPVPPSEPAQAWQQPNGYDAVQAQMVAAGYQGDWSGYAYAEQQLGYPEQYVQQSTQEYDVLADPSIAQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDAREKDPNFISDSYSECYPGYQEYHNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGTDDGGHYDDDLPSAKKQRG >PAN41222 pep chromosome:PHallii_v3.1:8:1940337:1946558:-1 gene:PAHAL_8G027600 transcript:PAN41222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKIRSEIEKKPDAEDGKDAKSRATKEDQAVSFRTATAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDTKGKSNLAIGDYDEAVKPSKTNGSALKQQSEKNMPPPPPPPPRDNDSDGKEKQSRADDDDIFVGDGVDYSVPNKEMSQSPVSEDMEESPRNHQKQSYFIEPMYGPVPPSEPAQAWQQPNGYDAVQAQMVAAGYQGDWSGYAYAEQQLGYPEQYVQQSTQEYDVLADPSIAQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDAREKDPNFISDSYSECYPGYQEYHNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGTDDGGHYDDDLPSAKKQRG >PVH33703 pep chromosome:PHallii_v3.1:8:3873623:3878785:1 gene:PAHAL_8G050600 transcript:PVH33703 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MAAEEGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKWLRKTKRKAMLAALEKVREELMLLGVISLLLSQTSRFISEICVPSSLFTSRFYMCSESDYKDLLQNAGANQTALEKTMFSSHSMHICSEGHEPFVSYEGLEQLHRFLFILGITHVVYTFVTVVLSMIKIYSWRKWETLAGPIAAEEMKARRNRVMRRQSTFVFHHASHPWSKNKILIWMLCFLRQFKGSIKRSDYLALSITSYHIHMTSTNTWYGAWKMITMGLLVSVGHFGRMRSYAYWSMFMLALEVAEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFELATFLWSLWELSAQTCFMKHYYMIVIRLISGLLVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRNRQSALLSRNGTVTARSVCSLDTTYETDHETNTVCTLSRTASATSLDDQLTVGTVDDDDDEPSGIEKDV >PVH33704 pep chromosome:PHallii_v3.1:8:3874490:3878785:1 gene:PAHAL_8G050600 transcript:PVH33704 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MLLGVISLLLSQTSRFISEICVPSSLFTSRFYMCSESDYKDLLQNAGANQTALEKTMFSSHSMHICSEGHEPFVSYEGLEQLHRFLFILGITHVVYTFVTVVLSMIKIYSWRKWETLAGPIAAEEMKARRNRVMRRQSTFVFHHASHPWSKNKILIWMLCFLRQFKGSIKRSDYLALRLGFVTYHKLPHSYDFHKYMVRSMEDDYNGSIGISWPLWAYAIVCILVNVHGINIYFWLSFLPVILVLLVGTELQHVIAQLALEVAEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFELATFLWSLWELSAQTCFMKHYYMIVIRLISGLLVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRNRQSALLSRNGTVTARSVCSLDTTYETDHETNTVCTLSRTASATSLDDQLTVGTVDDDDDEPSGIEKDV >PAN41527 pep chromosome:PHallii_v3.1:8:3873623:3878785:1 gene:PAHAL_8G050600 transcript:PAN41527 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MAAEEGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKWLRKTKRKAMLAALEKVREELMLLGVISLLLSQTSRFISEICVPSSLFTSRFYMCSESDYKDLLQNAGANQTALEKTMFSSHSMHICSEGHEPFVSYEGLEQLHRFLFILGITHVVYTFVTVVLSMIKIYSWRKWETLAGPIAAEEMKARRNRVMRRQSTFVFHHASHPWSKNKILIWMLCFLRQFKGSIKRSDYLALRLGFVTYHKLPHSYDFHKYMVRSMEDDYNGSIGISWPLWAYAIVCILVNVHGINIYFWLSFLPVILVLLVGTELQHVIAQLALEVAEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFELATFLWSLWELSAQTCFMKHYYMIVIRLISGLLVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRNRQSALLSRNGTVTARSVCSLDTTYETDHETNTVCTLSRTASATSLDDQLTVGTVDDDDDEPSGIEKDV >PVH34346 pep chromosome:PHallii_v3.1:8:38494971:38497148:1 gene:PAHAL_8G200500 transcript:PVH34346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLPGTTMAVHQARFAGVLPPCAWYGELNDYGALLSAASANGGWQYDCAAGGGVASGAQSELTCNGGAAVALPSRKRGREDEPERHYVAAASSAALLPIPGWHETAPVAHQPPAAVASRMAQSPAAVTSRMAESAMASTSGRPAAAVAASSVADALVAEMCQQSAEVDALVRAECDRLRAGLEQARKRRCVALASAAAKGAARALRDREVELEAARRRAAELEERLRQAAAESQAWRGAARSSEAVAAGLRATLATILLGGGSAAQPAEEGFGDSGSCCFVADADDAPVVHAATASSSGSSKWACRACGSGEASVLLLPCRHLCLCRACEPRADACPVCLAARSASIHVAAD >PAN41275 pep chromosome:PHallii_v3.1:8:2300962:2308496:-1 gene:PAHAL_8G032000 transcript:PAN41275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNVPPESMMQGAPYGSLDLHGNSVQTHAPNSGKQIFSNSQMPGTFTTTMNRATEPVDFPGFQFKEHGKCDKHHHHHSHHSINSMSDDEEHDMTEDATDTPSSKGKKGSPWHRMKWTDTMVKLLITAVSYSGDDHGPDLGGGRRNFTIMQKKGKWKAISKVMGERECHVSPQQCEDKFNDLNKRYKRLTDILGRGIACNVVANPALLDSMNHLSDKKKEDAKKILSSKHLFYEEMCSYHNNNRANLPEDHALQHSLLLALRCKDEHDPRRDTSGNDYEDDQSVDSDYEENDEEQHHVHANMREPSTHKRPRHGDAALVTSSSHKGSERSGPHGIEVDINKAFPDGTNWSLMQQDLASQTLEIQKRLLQIEEKDLELKMQRLKWDRYRKKKDREIQRMEMENEQMMIENKRLENELRQKELELELKLKGQANNA >PAN41274 pep chromosome:PHallii_v3.1:8:2300921:2304794:-1 gene:PAHAL_8G032000 transcript:PAN41274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNVPPESMMQGAPYGSLDLHGNSVQTHAPNSGKQIFSNSQMPGTFTTTMNRATEPVDFPGFQFKEHGKCDKHHHHHSHHSINSMSDDEEHDMTEDATDTPSSKGKKGSPWHRMKWTDTMVKLLITAVSYSGDDHGPDLGGGRRNFTIMQKKGKWKAISKVMGERECHVSPQQCEDKFNDLNKRYKRLTDILGRGIACNVVANPALLDSMNHLSDKKKEDAKKILSSKHLFYEEMCSYHNNNRANLPEDHALQHSLLLALRCKDEHDPRRDTSGNDYEDDQSVDSDYEENDEEQHHVHANMREPSTHKRPRHGDAALVTSSSHKGSERSGPHGIEVDINKAFPDGTNWSLMQQDLASQTLEIQKRLLQIEEKDLELKMQRLKWDRYRKKKDREIQRMEMENEQMMIENKRLENELRQKELELELKLKGQANNA >PVH33734 pep chromosome:PHallii_v3.1:8:4427295:4431828:-1 gene:PAHAL_8G056400 transcript:PVH33734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLVPDGARRQRLLQCEVRAPPPPAPAPAAAAAGGGGRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAAQHVAAAADGLLMGLDERSRAADSLSSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASCGAAHLILGVAKNSRSFGSSSTSVAKYCSKRVPPGCSVLAVNNGKVVYHKDAGHEMQPELYQSTIPETPRRSYRKLLSSMIGEKLWDEHGKDNGSISRAVTMPMRSPARPKEVSLALVPVKGCRRESPEVAIGWPLLRKKFLPDRKASLPDKSKMSVVQWAMRLPSRCSAVSPVHSEYNRTIRPDSTSTSRILRDQVVVPLRNNSGKSSVVIEELEKETPEELILLKEKFSSIYSSFSYRELAKITSNFSTECVIGEGGTSHVYRGCLANGRELAVKILKYSDEVLKEFVSEIEIVSSLSHKNVISLIGFCFENDDLLLVYEYLQRGSLEEILHGCKNIFGWTERFSVAVGVAHALDYLHGNENSHPVIHRDVKSSNILISDCFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNNKIDVYAFGVVLLELISGRKPLCTGCPKGQESLVMWSNSIIQGGKLAQLVDPNLPTEGHTDEVERMTLAASLCIRQAPQNRPQIDVVLKLLEGDSDVLKWARSQVGLSYEVDADECVMTPPAQGSNANIQSYINLAFDVDDDLASVTSTDFIAANTSLEEYLKGRWSRSSSFD >PAN41616 pep chromosome:PHallii_v3.1:8:4427846:4431578:-1 gene:PAHAL_8G056400 transcript:PAN41616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLVPDGARRQRLLQCEVRAPPPPAPAPAAAAAGGGGRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAAQHVAAAADGLLMGLDERSRAADSLSSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASCGAAHLILGVAKNSRSFGSSSTSVAKYCSKRVPPGCSVLAVNNGKVVYHKDAGHEMQPELYQSTIPETPRRSYRKLLSSMIGEKLWDEHGKDNGSISRAVTMPMRSPARPKEVSLALVPVKGCRRESPEVAIGWPLLRKKFLPDRKASLPDKSKMSVVQWAMRLPSRCSAVSPVHSEYNRTIRPDSTSTSRILRDQVVVPLRNNSGKSSVVIEELEKETPEELILLKEKFSSIYSSFSYRELAKITSNFSTECVIGEGGTSHVYRGCLANGRELAVKILKYSDEVLKEFVSEIEIVSSLSHKNVISLIGFCFENDDLLLVYEYLQRGSLEEILHGEKGCKNIFGWTERFSVAVGVAHALDYLHGNENSHPVIHRDVKSSNILISDCFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNNKIDVYAFGVVLLELISGRKPLCTGCPKGQESLVMWSNSIIQGGKLAQLVDPNLPTEGHTDEVERMTLAASLCIRQAPQNRPQIDVVLKLLEGDSDVLKWARSQVGLSYEVDADECVMTPPAQGSNANIQSYINLAFDVDDDLASVTSTDFIAANTSLEEYLKGRWSRSSSFD >PVH33649 pep chromosome:PHallii_v3.1:8:2792141:2792548:1 gene:PAHAL_8G038400 transcript:PVH33649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSHLGIRTRSTIHYYCSPFQNAVEMLKMIGALMEMRTL >PVH33588 pep chromosome:PHallii_v3.1:8:1909280:1913113:-1 gene:PAHAL_8G026900 transcript:PVH33588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSARKMSQLPAPASRLWEASIRKLQTIRRVGTVVPAPGSVDGAADAATPCLLSVNSSASNTIYQYHEDGEESDTSNEGGNDSDAGDDADAALGEPTHAEQLLPSGDFYQGDLRGDLPHGAGKFLWTDGSMYEGSWRRGRASGRGKFSWTSGATYEGDFAGGYMHGHGTYIGEFGDTFAGLWASNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRQGHEYIGTWRAGDMHGCGTVIWADGDRYDGAWEDAKPKGQGTFRWADGGMYIGMWCEESGAVHADGVYYPPSGGPAVPVPREPCEPITALLQELEGCEGKKASLMPSHKILTWPGVEAVQKKPVWRPPKVSADHGRMSSVSRRMSSASLDMDSLQAEATAAESEETRTDRSCLRTSSCMRTPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSGDFRWKDYCPLVFRTLRKLFDVDPADYMLSICGDEALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFEHTLLTKFFGLHCVKLTGAIQKKVRFVIMGNLFCSNYAIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLEGTWFQEFCRQVDKDCELLELERIMDYSLLVGIHFKDRDKDNSNTDDGTSNTTAEDSDENRKTPLKLGIGMPSRVENVAKNPDSESPFLGEPTGEFLDVVLFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIFKAFADDVQN >PVH33881 pep chromosome:PHallii_v3.1:8:8489578:8490450:-1 gene:PAHAL_8G083500 transcript:PVH33881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEHLGTRRCRVVLSIARSTRHPDIESWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARVRNLEWRRHQEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAHESLRQIQDRHMQEWTNSGTPVPAIGETQVLIGTPITGWGGLFRTPQAPPEGTERTAAAIEGGAVEQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PAN42479 pep chromosome:PHallii_v3.1:8:29228454:29236717:1 gene:PAHAL_8G158400 transcript:PAN42479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYDVNSPLFRSFLSQKGGADKRKMEEHKPKEQRPKASENKPVMNE >PVH34610 pep chromosome:PHallii_v3.1:8:43970008:43970451:1 gene:PAHAL_8G257800 transcript:PVH34610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNLFSRRALCPAITRPVPSPLGSGTGQLHGRGAWPWLDASRCGAEASRSKGMEARGGRIAVPLRRAARGMRRCGVSCQNRHWPPLQAPNTVDPRDMGRIRDGKEEDEVPKGRPASGGPPASCCGGLDSDPGFVLKNTPAYFQITP >PAN41482 pep chromosome:PHallii_v3.1:8:3516823:3523609:-1 gene:PAHAL_8G047000 transcript:PAN41482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTQACKKRRAVYISSESGDSGTDSEVEGSKLSQKSGVTSISTCEHQSSYKIKVESMNTTKIRLCGNILRKLMDHKSGWLFNKPVDPVLFKIPDYFDVIRHPMDLGTVKKKLASKQYVSTDEFAADVRLTFSNAMKYNPPGNDVHEIAKELNGIFDSELEAVERKFRGRNPVQEQQTVKVIKIRTATDSKSTVATGPVVSSARGPVACSNSLAKKTLTDAITSKVKIKFSVRSSEQTSSKDTPVQAAGSKEDHPLPTGNREAYLNRSLPSTKENANISRIQATEHSSSLVGNESRSCNETSTSPLGSSGQGEGSYLHDEPLSPSRALRAAMLRSRFAGTIVKAQQKALLDHGKSIDPVKLQLEKERLEKRQQEERARIEAQVKAAEAAAQRKLDEEMRIKREQEREAARLALRMMKKTVDIDNSDFLKELENFSRTWQSNPPGKLIVEFVDGDLPPGLGSPLERLGLFMKKDFEDEVEQEMEDSVSPSMDVDMKKDSEEESGHGMQDSVSPSTVIGTNEDFQEEAGHEMEDSLSPPAIVDMKKDSEEEVEHEMVDSVSPSSMNVDTEEGEISC >PAN41624 pep chromosome:PHallii_v3.1:8:4480424:4480955:1 gene:PAHAL_8G056700 transcript:PAN41624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKKTHAAFVAVALLVAALLAAVAFAGRGDLPVADDNSSRCSRVGACSDTLCTEKCGVHDVGSCKFVGLFVYCCCAHVHPASPIDAAPPLLGGH >PAN41147 pep chromosome:PHallii_v3.1:8:1415844:1420269:-1 gene:PAHAL_8G020500 transcript:PAN41147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQPPLQSAPEKVYYDGCPGCAMDRRKEIREGVPYKELLFVGTTTFASALPITSLFPFLYFMIRDLHVAQREEDIGFYAGFLGASYMIGRGLASVFWGIIADRIGRKPVIAFSVFSVIVFNTLFGLSVKYWMAIATRFLLGALNGFLAPVKAYSIEVCRPEQQALGISIVSTAWGMGVIIGPAIGGYLAQPVKQYPHLFHEKSVFGRFPYLLPCLFISFFATLVFISCAWLPETLHKHKGLERAVEMVEGSTAQERTEPPKKSLLKNWPLMSSIITYCVFSLHDTAYVEIFSLWTVSNRKYGGLSFSSKDVGQVLTVAGASLLVYQLFAYRWVDKTFGPIRSTRISSALSIPIIAAYPFMTNLSGIRLGVPLYIAAMLKSVFAITRVTGTSLLQNNAVPQEQRGAANGIATTAMSLSKAFAPAGAGIIFSWAQKRQHAAFFPGDQMVFLLLNLTELIGLILSFKPFLAVPQQYK >PAN43012 pep chromosome:PHallii_v3.1:8:38900283:38904242:-1 gene:PAHAL_8G204100 transcript:PAN43012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAELLLVVVVTAAAALLLAAPASAALPRVLHAPKADGTLAVLAVGDWGRRGQFNQTLVAQQMGEVGQKMDIDFVISTGDNIYDDGIANTSDPLFKESFSNIYTAKSLQKPWYLVLGNHDYTGNPLAQLDPAIRNVDSRYTAIAKSFIVNSGFADFFLVDTTPFILHYWNNTKFDWRGVAPRDTYITNILKDLEYALTESKAAWKIVVGHHPISSGCGHGNNTELEELLLPVLKAHGVDMYVNGHDHCLQRISSRDSHLQFLTSGGGSKAWAGKFKATPDKVEFLYDGQGFMSMRLSKTEAHLAFFDVAGNALHCWGLTKTAAAGRASLA >PAN43011 pep chromosome:PHallii_v3.1:8:38900283:38902329:-1 gene:PAHAL_8G204100 transcript:PAN43011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVGQKMDIDFVISTGDNIYDDGIANTSDPLFKESFSNIYTAKSLQKPWYLVLGNHDYTGNPLAQLDPAIRNVDSRYTAIAKSFIVNSGFADFFLVDTTPFILHYWNNTKFDWRGVAPRDTYITNILKDLEYALTESKAAWKIVVGHHPISSGCGHGNNTELEELLLPVLKAHGVDMYVNGHDHCLQRISSRDSHLQFLTSGGGSKAWAGKFKATPDKVEFLYDGQGFMSMRLSKTEAHLAFFDVAGNALHCWGLTKTAAAGRASLA >PAN43485 pep chromosome:PHallii_v3.1:8:42540234:42544870:1 gene:PAHAL_8G239100 transcript:PAN43485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFMASAATGAMSSLLAKLAELLREDYQLQKGMRREVAFLKDELSSMNALLERLADVEVLDPQTREWRNQVREMSYDIEDCVDDYMRRLRNGPQRPSGVMGFFLGYVQKVKELVTRHETAEQIQELRDRIVEAGHRRKRYKIDDAVSFGGIKVVPVDRRLPALYAEFGGLVGINVPRDEIIKLVDGGMPGVKVVSIVGCGGLGKTTVANQVYRYIAEQFDCHAFVSLSQNPDMVMIFQSVLSQVKKNEYDTTKSCDQENLIRELRDFLKDKRYLVVIDDLWSTQSWKTIKFALFENTCGSRIIVTTRIGTIAKSCSSPQHDLVYELRMLSEDDSKRLFFRRIFGSEDKCPYQLKEVSTEIVKKCGGLPLAIITMASLLTTKSYTRADWSKVCDSIGSGLEKNGDVEEMSLILSLSYNHLPHHLRTCLLYLSMFPEDYVIKRDYLVRRWIAEGFVSGNGGRNLEDEGECYFNELINRSLVQPVDYQYDGRVYSCRVHDMILDLITCKAVEENFVTVVTDKKQMLASQGKVHRLSLDYHGLDSGTENPIVTTYVRSLNIFRYSEQMIALSDFGALRVLDLDGNENLESCYLQDVGKLFHLRYLRIKANNIKLPEQVGDLQSLVILDLLNCPKLGELPASIVKLRQLKWLLAPPVTLPDGVGNMQALESVSFVTVDYTTSITLLQELGSLTRLRTLGLDWHINPLHRDKKTYEDNFVSLLGKLGSSNLQCLTLISPWSLDFLLDSWSPTPHLLQELGIKGWYLSKIPLWMASLTNLTYLDVEVKVRQETLQILGDFPALQFLKLYSNSAGPEERCLVISNNGFPCLKKFSFVGWVNMIFKEGAMPVLETLEFQIIVHEMQIACVFGPPDFGISHLSTLRNLVVTVHCEGSRIEEVEALEAAIQSATSMLPNNLTLALHRFLESEMVK >PVH34116 pep chromosome:PHallii_v3.1:8:25953840:25954789:-1 gene:PAHAL_8G147400 transcript:PVH34116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRIPTTTPRTTSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN43293 pep chromosome:PHallii_v3.1:8:41311575:41313121:-1 gene:PAHAL_8G227000 transcript:PAN43293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEAATERGSSGGDADRLSALPDSLLHAVMSFLKARQAVQTCVLSARWRHLWRSVPCLDVDHDEFRTAVASAPSNHPATVHDYSDSDIDSYDDSEDDNDDSNDNSEREWEDFEDFAENLMHRCNVAQLDSLRLRVSRGRAPNFADRQAGGWLRRAMKYCTPDPPRQREGLSSSSWRLKRLYLCNVALDNRFAKHVSSVCLSLEDLELEDCACGIHSITSRSLKNLVLKNCRWRYLSEIASPKLKSLVISGGSNTDECVLVIVAPAIAHLCLDVSLRFARRISVNQNPSLSRI >PAN41812 pep chromosome:PHallii_v3.1:8:6161856:6173275:-1 gene:PAHAL_8G071300 transcript:PAN41812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDEWVLDSGTSFHFTSDRDLLHEFQLVENPVEFMTGLVGMPFPIRGSGSVQTEKFNIPDVRYVPDIGRVLNIISVCKLSNDHNMSANFGPDHCTLELESGEIAGLAVLRDGRYVLQYLIIGQDADATLPTGLQQPKLEETCDQEGSQQELPLDDEAAASQAKQGGAAMQRVLDRFGLPRLNRRPDDPRELPIHVGMAYYAAAEDPYDPSVFILDSGATHHMVPDGTLLDRDRETNIESTDTRFPAHIIRDVHVANDRLLPVAGIGNITGAYGVTIQNVLHVPGLPANLVSVSQLMTELTSQLEDQLRQDQFAIILEGTDVKELQIHRLARQIDIDILPKRDVLREAHPVTIGKVQVGRSSLDVKGLYHLHELRPCPPVNLVADWIIREAQ >PAN41813 pep chromosome:PHallii_v3.1:8:6161918:6173413:-1 gene:PAHAL_8G071300 transcript:PAN41813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDEWVLDSGTSFHFTSDRDLLHEFQLVENPVEFMTGLVGMPFPIRGSGSVQTEKFNIPDVRYVPDIGRVLNIISVCKLSNDHNMSANFGPDHCTLELESGEIAGLAVLRDGRYVLQYLIIGQDADATLPTGLQQPKLEETCDQEGSQQELPLDDEAAASQAKQGGAAMQRVLDRFGLPRLNRRPDDPRELPIHVGMAYYAAAEDPYDPSVFILDSGATHHMVPDGTLLDRDRETNIESTDTRFPAHIIRDVHVANDRLLPVAGIGNITGAYGVTIQNVLHVPGLPANLVSVSQLMTELTSQLEDQLRQDQFAIILEGTDVKELQIHRLARQIDIDILPKRDVLREAHPVTIGKVQVGRSSLDVKGLYHLHELRPCPPVNLVADWIIREAQ >PVH33821 pep chromosome:PHallii_v3.1:8:6231160:6231588:1 gene:PAHAL_8G071800 transcript:PVH33821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGEGCGGGGDAHTHLDLNLSPRSSLEEDLELELEQRGYFSCSYCSKKFYTSQALGGHQNAHRLERSIAKRSRELAAARRHAFLAGGDSGGAVEEEARRGKGPRISAPTVMAPSSSHMIRASPEAGEDLTDKIIDLSLKL >PAN41334 pep chromosome:PHallii_v3.1:8:2588834:2590287:1 gene:PAHAL_8G035700 transcript:PAN41334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAVVESPLRQRQRLRSPLAGSGGGGDFEFRHRRAVKRVSGMRRRWAPPEIEIPNGHGLAGGVGGRGSYTSLRDIMSSPEYAKDSSPDEPGGSCGDVHMIRHPLVKHAAYAYLQLTPSALEEKERARLRRRRGPLCRLLVGCLGFLGALFRR >PVH34217 pep chromosome:PHallii_v3.1:8:33636421:33637381:-1 gene:PAHAL_8G174300 transcript:PVH34217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTRKPNAQWDANAAKNFNEICVEQVLANNRPQGCLNNKGYANLISQFNERTGRNYTRVQIKNRWDALKADFTTWKTLLLSASGLGRDPKTGTIAASDDWWEEKIDAMPLCKKFRFAPLDNEEDVEIMFSGASCTNANAVAPSAREGSAGNGNGNGNRNCNDKDNGSDDVQEVHPSPAEKQPAKTGKLAWLKRTWEERKKR >PVH34639 pep chromosome:PHallii_v3.1:8:44493936:44495161:1 gene:PAHAL_8G264600 transcript:PVH34639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTWVWLQGTRSPKGTVALKRMEDGVVVGGDQGRLRQAASERPARPVEVQVGHRGEGSGGGDPEGGADGTAGAGVGGQRGRGDPRASASRLLWWMKRSRIIHPREGFITMGSGSSMARVRYKLTVFSWDPENSMFIYLNFLKNMVWNFPIQRLYSSCSCAKFVFF >PAN42020 pep chromosome:PHallii_v3.1:8:19436702:19439516:-1 gene:PAHAL_8G130000 transcript:PAN42020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLTAEQRPATAAGAAPGGKEREGRTTEEEVPGRIAGNGVGSAACLFTRQGRKGTNQDAMVVWENFNGRADTIFCGVFDGHGPHGHVVARKVRDTLPSKLRDLIYDDYGESPISNSDGSILEETLSPYADAEDKSPMSGQKREHREFFCTMKDSYRKAFRVTDKELKLHRNIDSICSGSTAVTLIKQGEDLIVGNLGDSRAVLGTRDQKGRLVAHQLTVDLKPDHPSNSPSLLKFKIRSSTFQFQFESLTSLVAPARQLLVTVCVVVGHHFSILLCIYHSFTINMQQRNKCLLVSPLTQNTSLVMCS >PAN42018 pep chromosome:PHallii_v3.1:8:19424837:19439516:-1 gene:PAHAL_8G130000 transcript:PAN42018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLTAEQRPATAAGAAPGGKEREGRTTEEEVPGRIAGNGVGSAACLFTRQGRKGTNQDAMVVWENFNGRADTIFCGVFDGHGPHGHVVARKVRDTLPSKLRDLIYDDYGESPISNSDGSILEETLSPYADAEDKSPMSGQKREHREFFCTMKDSYRKAFRVTDKELKLHRNIDSICSGSTAVTLIKQGEDLIVGNLGDSRAVLGTRDQKGRLVAHQLTVDLKPDHPREARRIKRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLICVPEVTYRQITEKDEFIVLATDGVWDVLTNQEVVDVVASCSDRSFAARSVVDLANHAWRFKYPTSKTDDCATICLFLDKDDSAGGLSGSSVSSKGIGSSPKAQGRSTKPRLSSKRVTPEDADDGSDSNISGDDRSSESFTRLNTLLTLPKFGDTSPIRK >PVH34395 pep chromosome:PHallii_v3.1:8:39755426:39760951:-1 gene:PAHAL_8G212600 transcript:PVH34395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTDDKAKMESLTSAAAFVEGGIQDACDDACSICLEAFCESDPSALTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPTSQELLEAVERERNIRTNQTRNTTIFHHPALGDFELQHLPVVGNDAELEERILQHLAAAAAMGRAHHLGRREGHRGRSGSHGRPQFLVFSAHPNSPSAGAISSSSAQVEGDNDSNPVTPRASESSPRAIRIGDTGNQSPGMLTYDAEQDAVVSSGNSTPVSSPRFFNSRRHSTGQSTPDRAGPSDVQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSSRTGDSPTASTSEVPLPTESSNERAAESNSATSTTTSTSASNTTAPCVTTSGSN >PAN43134 pep chromosome:PHallii_v3.1:8:39755425:39761000:-1 gene:PAHAL_8G212600 transcript:PAN43134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTDDKAKMESLTSAAAFVEGGIQDACDDACSICLEAFCESDPSALTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPTSQELLEAVERERNIRTNQTRNTTIFHHPALGDFELQHLPVVGNDAELEERILQHLAAAAAMGRAHHLGRREGHRGRSGSHGRPQFLVFSAHPNSPSAGAISSSSAQVEGDNDSNPVTPRASESSPRAIRIGDTGNQSPGMLTYDAEQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPDRAGPSDVQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSSRTGDSPTASTSEVPLPTESSNERAAESNSATSTTTSTSASNTTAPCVTTSGSN >PAN43135 pep chromosome:PHallii_v3.1:8:39755425:39760957:-1 gene:PAHAL_8G212600 transcript:PAN43135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTDDKAKMESLTSAAAFVEGGIQDACDDACSICLEAFCESDPSALTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPTSQELLEAVERERNIRTNQTRNTTIFHHPALGDFELQHLPVVGNDAELEERILQHLAAAAAMGRAHHLGRREGHRGRSGSHGRPQFLVFSAHPNSPSAGAISSSSAQVEGDNDSNPVTPRASESSPRAIRIGDTGNQSPGMLTYDAEQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPDRAGPSDVQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSSRTGDSPTASTSEVPLPTESSNERAAESNSATSTTTSTSASNTTAPCVTTSGSN >PVH34604 pep chromosome:PHallii_v3.1:8:43911110:43913750:-1 gene:PAHAL_8G257000 transcript:PVH34604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFVGMYRKKRKKMKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHIGTSEQEDASVSEEEDVRGSGEEHGPFNENEANVQHSDSRLSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGYAVVQPTYVWSNTSHFCLPVPVGGDEITTLGGALGTRIRWSKYRILIPPKTRPPNSVIASGTGVQHQMGTAAQRLQRRLSSSRSAKLRSSSSSSRRSRSHNRSLDDRRSLGNLRLSISHNRSLYNRRRESAANLRLSSSHHGRRSRPGNLCLKMSLLTLFGQHRIQNTSLVCLCCQKRI >PAN42974 pep chromosome:PHallii_v3.1:8:38712442:38715842:-1 gene:PAHAL_8G202400 transcript:PAN42974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTCPRVGGGGRRGGALMASLIHFLPSSAYSSSHLPPKPQQPPRPTPTPATAAARPSRLRLLFARAAARRDPEPLPFPAEAPPDPAVEERRSLAVRTGELFLGLAALLLRGTGAMRGRGGASVEEVEARDGVVWEQRPEDVEAERRRRELTTPGFSFSAAGLLFPYHLGAAQCLMDKGYITERTPLAGSSAGAIICAVIASGNTMQDALQVTKDLADNCRSKGTAFRLGAVLRDVLDKFLPDDLHIRCNGRIRVAITQLSWRPRGLLVDQFDSKEDVINAVITSSFIPGYLAPRPATYFRNRLCIDGGLTLFMPPTSASETVRICAFPANRLGLEGIGISPDCNPENRASPRQLFNWALEPAEDEILDKLYELGYMDAAVWVEQKSSELIAKNDQPLAVE >PAN43683 pep chromosome:PHallii_v3.1:8:43796906:43803169:-1 gene:PAHAL_8G255300 transcript:PAN43683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVATVEKIVKIGLKIKEAVDKARHNEEDCREITRRVLRFSAILSQLQQTGTVADSPAMAGALEDLEETLQRALELVTACQERSTIRRLVAAGDLSRQLRRVKDDILNKVMLASFAINAHTTIVLLTIQAGGHPPPRQQQADAGLPDISTSIHSTEDARSDLNGEENIVLTGNDPPFAPLVGLKKFSLSELKAAIKDGNTIGRGGFSNVYKGILNDGTVVTIKRAYHFTYDSSYDQHRIVSKLQHKNIVKVLGYGHKVKSSSMMRLWNRKTDRDKETEYFLVEEYMPNGSLDKVIHESQLNWSSMFRIIQGIAQGVHYLHEQGVIHLDLKPPNILLDSNMNAKITDFDLSVTVDDNEVTLDVIAGTLGYIDPEFLAGSTVTTKNDVYGFGITLLETVRSIRRCKSTAAYPLDQWAWKAWEAGRIDEEFDLSLFDGSELTEIKRCVMVGLLCAQDRRAYRPSMADVLEMLNGDRELPNPKKPAYILSDEERSSADQSDGEIPSSPSAWSDGS >PAN43682 pep chromosome:PHallii_v3.1:8:43797055:43801267:-1 gene:PAHAL_8G255300 transcript:PAN43682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKLCSINHFLVFTDLKYSFLSIYGNNGRSDLNGEENIVLTGNDPPFAPLVGLKKFSLSELKAAIKDGNTIGRGGFSNVYKGILNDGTVVTIKRAYHFTYDSSYDQHRIVSKLQHKNIVKVLGYGHKVKSSSMMRLWNRKTDRDKETEYFLVEEYMPNGSLDKVIHESQLNWSSMFRIIQGIAQGVHYLHEQGVIHLDLKPPNILLDSNMNAKITDFDLSVTVDDNEVTLDVIAGTLGYIDPEFLAGSTVTTKNDVYGFGITLLETVRSIRRCKSTAAYPLDQWAWKAWEAGRIDEEFDLSLFDGSELTEIKRCVMVGLLCAQDRRAYRPSMADVLEMLNGDRELPNPKKPAYILSDEERSSADQSDGEIPSSPSAWSDGS >PAN42900 pep chromosome:PHallii_v3.1:8:37947465:37949411:-1 gene:PAHAL_8G196500 transcript:PAN42900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT3G56930) UniProtKB/Swiss-Prot;Acc:Q9M1K5] MKGRSLFKSPLPRSYLSDTSSASSAAVATHRVYQVWRGKNRFLCGGRLIFGPDARSIVLTVALIMTPLALFVAFVSFRVADLIGKPLGTAVPATAMAVGVFDVVVLVLTSGRDPGIIPRNARPPEPDDADSTASPASGGRAPSWSLPPTRDVYVNGMVVKVKYCHTCMLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGRRNYRFFFMFISSTTFLCLYVFGFSWVNLFLISRQYSVGLGGAVADSPVSGFLIAYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYEGKANPFNRGAAANVAEIFFSPIPPSRIDFRAKVSPADPDAAALYYLGPLSSESRISFYTRASLSFDMAKASFDLNYSAKRTSVASSSDFGDIYGHQVSTAHQQPRHSIFDGPGRGSRKVEEEADAVTAELGATMQQYGAAADRPRGREFEAV >PAN43574 pep chromosome:PHallii_v3.1:8:43020936:43026044:-1 gene:PAHAL_8G246200 transcript:PAN43574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCVSHHHQAVRPRHGGRLPLPSPRSAAASSFAPPAPRRRRAARAAAMSAEAAPGVAPAEEAGQRRAAVLAEMVEEAAVWCAVHGHVVGDRADPRSGTVPGVGLVHAPFSLLPARFPASFWKQACELAPIFNELVDRVSLDGEFLQAALSRTKQVDEFTARLLEIHEKMKAINKKEDIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLGSLVSELHRTLLNHYGKVLGLDSKRIPRNWAATQFAEALGKAWAEYNNDSAVVLMVVQPEERNMYDQYWLVSHLKESYGVTTIRKTLAQVEAEGQVLTDGTLVIDGRAVAVVYFRAGYAPADYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNVLERFLDNKEDIAKLRKSFAGLWSLDNEEIVKSAIEKPDLFVLKPQREGGGNNIYGHDLRDMLIKLQKEQGESLAAYILMQRIFPKASLTPLVRGGDCFEDLTISELGIYGAYLRNKDKVILNNQSGYLMRTKVSSSNEGGVAAGFAVLDSILLTDE >PAN43867 pep chromosome:PHallii_v3.1:8:44753711:44756827:-1 gene:PAHAL_8G267000 transcript:PAN43867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAPSTAASLVPVSAARPLAGSTVSFGASYQTRRKIPAWRVRCAADDEGEISPLLPSSCRYVPTCSEYSMQAYKRYGVVKGTILTAWRLCRCNPLGSYGYDPPRWFGEEDLPLQ >PVH34650 pep chromosome:PHallii_v3.1:8:44755154:44756471:-1 gene:PAHAL_8G267000 transcript:PVH34650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAPSTAASLVPVSAARPLAGSTVSFGASYQTRRKIPAWRVRCAADDEAEEVKDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVVKGTILTAWRLCRCNPLGSYGYDPPRWFGEEDLPLQ >PAN43869 pep chromosome:PHallii_v3.1:8:44753711:44756827:-1 gene:PAHAL_8G267000 transcript:PAN43869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAPSTAASLVPVSAARPLAGSTVSFGASYQTRRKIPAWRVRCAADDEEEVKDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVVKGTILTAWRLCRCNPLGSYGYDPPRWFGEEDLPLQ >PAN43868 pep chromosome:PHallii_v3.1:8:44755154:44756471:-1 gene:PAHAL_8G267000 transcript:PAN43868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAPSTAASLVPVSAARPLAGSTVSFGASYQTRRKIPAWRVRCAADDEEEVKDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVVKGTILTAWRLCRCNPLGSYGYDPPRWFGEEDLPLQ >PVH34649 pep chromosome:PHallii_v3.1:8:44755154:44756471:-1 gene:PAHAL_8G267000 transcript:PVH34649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAPSTAASLVPVSAARPLAGSTVSFGASYQTRRKIPAWRVRCAADDEAEEVKDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVVKGTILTAWRLCRCNPLGSYGYDPPRWFGEEDLPLQ >PAN42356 pep chromosome:PHallii_v3.1:8:14781074:14781847:1 gene:PAHAL_8G108100 transcript:PAN42356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVHCTSYSYSYCYPAEAPAATASLPFDTADGDEMYMQLNALLLQMEADDESSDSFSSSSPASSSEAGTASATTSDEQPWRESSSTAEERWRPEAFIGVRKRPWGKFAAEIRDSTRRGARVWLGTFDTPEAAALAYDHAAYAARGAAAVLNFPVERVRESLGALALSVSAGNSPVLALKRRHSKRTRRSKLSAASSSLKTQRQPTTTLHFSGVSVMDVVVPQRHEASPCSYGTVELEDLGADSLEELLRVSSELQF >PAN43304 pep chromosome:PHallii_v3.1:8:41374725:41376522:1 gene:PAHAL_8G227800 transcript:PAN43304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVGTKFVKLDAEVSTLSLFALNYFLVTIMKPNLINN >PAN43305 pep chromosome:PHallii_v3.1:8:41374396:41377536:1 gene:PAHAL_8G227800 transcript:PAN43305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVGTKFVKLDAENAPFFVSKLAIKTLPCVIFFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDDEDEDDESESKNRRIRSSTAQDSDSD >PAN43764 pep chromosome:PHallii_v3.1:8:43881148:43884886:-1 gene:PAHAL_8G256400 transcript:PAN43764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAARFLTAAAFLAAGLLFAPDALRLGGGSGDGNAARAAAAARLVHLLAFATAWGAGLWVTFVGGIVMFKYLPRHQFGNLQGKMFPAYFTLISVCSAISVAAFAYLHPWKTSSTIERYQLGFLLSALGCNLSNLLVFTPMTVEMMMKRHKMEKDLGIGTEVGYSKNAETAKKSPALAAMNRKFGMIHGLSSLANIMSFGSLAMHSWYLSSKLDL >PAN41161 pep chromosome:PHallii_v3.1:8:1080171:1086028:-1 gene:PAHAL_8G015500 transcript:PAN41161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVAIRVLGVADSSSLLVADDVHSSGLDDALLTHLCAAKAAGSPLSSLLGQGNYQLFRNPEARSKVIDAATTLGRTTGLVLVDCSATYDTASLMKDAVDHGCCIVLANKKPLTGPYEDFQKLVSHFRQIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGFTEPDPRDDLGGMDVARKALILARLLGQQISMDNINVESLYPSELGPDAVSTEDFLESGLVQLDRSMEERVKAASSRGNVLRYVCEVGSKGCQVGLKELPKDSALGRLRGSDNVVEIYSRCYESSPLVIQGAGAGNDTTAAGVLADIMDLQDLFQRTA >PAN42492 pep chromosome:PHallii_v3.1:8:29015889:29020339:-1 gene:PAHAL_8G157500 transcript:PAN42492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPRAPLLLLAVVALLALAASVAAAAEDDASGISLGRRAGGFLHGLKKDAVAEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEIEEVEEKLESGQGKSTVRRFFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >PAN41203 pep chromosome:PHallii_v3.1:8:1256090:1262118:-1 gene:PAHAL_8G017300 transcript:PAN41203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSRVQARSADAQWPSGDAHSFSSILRRKARRHPAPPPRILEAAGGLHCRPPAARRESSSHLRPPAMAKKKKSTSAKGVSVHAMPRVHSRFLLSSCHLAPHLRVADAWNALITLQNTVSLILDGAPEQYLRNYERSMSYFRYWMLCKAAVRVRIGNRLVYTTPIDGTFYFSLRYRGRYIVFSIVAGMAWLVGFYTARGVFQMDLEDQARLYKDSYLCQMTGFSAGHGSITATGTRDTRLGLHHIRNCFDSIADYRGPCHPEPPGFRPAIGAVVVHLMESKFREIFIRNCNGILDPSFSILGLGCQDFVEFLIINWSSLCEEGMAGVNNPDYVIKNHGIDEFRSLWYILDEIFFLHVDAYNEGLFLHEQLPPAPREMTWQPIDPGEVTVTFLCRTRGQEHRHEYQDVSAPP >PAN41395 pep chromosome:PHallii_v3.1:8:2948062:2949296:1 gene:PAHAL_8G040400 transcript:PAN41395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKICCHHRKTPCFCGKDGSYRSLMPDIVSWIAQNPPPAHFLLISGDEEFASILHRLRMSNYNVLVSCPDVGSKMLRSAATFMWPWEPLVRGVDLEPKYINQPPDGLSPSWYGQYREYGHDPLLKPKKRMALRQYAKEHKVPKSVVIGIKQVLHFYPEGISVSNLRQELLRINVFIDKGFFGFRRFSALLKAMPDVVKFIDPLPGDTQPAVVGVFKSSVVSSEQSDFNGMDSAQSSIIEEKHHYESESESESEELSSLFDQPSLSELPSCTEKKTLETEVPSSPSEQLSRDHRKAPGLTELAEPPSNNVEADVTLTEDVPSPPSDAPSVGQGNAAAVDLVNKTEQPVNHMEADKVDAAGTPSSSGVQGNISNKRGLFGRISSLWNG >PAN41394 pep chromosome:PHallii_v3.1:8:2946906:2950003:1 gene:PAHAL_8G040400 transcript:PAN41394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKICCHHRKTPCFCGKDGSYRSLMPDIVSWIAQNPPPAHFLLISGDEEFASILHRLRMSNYNVLVSCPDVGSKMLRSAATFMWPWEPLVRGVDLEPKYINQPPDGLSPSWYGQYREYGHDPLLKPKKRMALRQYAKEHKVPKSVVIGIKQVLHFYPEGISVSNLRQELLRINVFIDKGFFGFRRFSALLKAMPDVVKFIDPLPGDTQPAVVGVFKSSVVSSEQSDFNGMDSAQSSIIEEKHHYESESESESEELSSLFDQPSLSELPSCTEKKTLETEVPSSPSEQLSRDHRKAPGLTELAEPPSNNVEADVTLTEDVPSPPSDAPSVGQGNAAAVDLVNKTEQPVNHMEADKVDAAGTPSSSGVQGNISNKRGLFGRISSLWNG >PAN41396 pep chromosome:PHallii_v3.1:8:2946906:2950003:1 gene:PAHAL_8G040400 transcript:PAN41396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSLCSRLLIITLAGLGHVSGPGPAQEGLPCASQSPCGQRPRAAAMFACRVRHLLGVLPRGLAPHAPSPSWHGAAARRHYRQLQEEEWEEDERGESRAVKVTVWWDLQRCRLPRRVDPRCLGARVTAALRRAGIRGPVEITAFGDATRIPTAEQEALTVTGVALSHVPSSGKDGSYRSLMPDIVSWIAQNPPPAHFLLISGDEEFASILHRLRMSNYNVLVSCPDVGSKMLRSAATFMWPWEPLVRGVDLEPKYINQPPDGLSPSWYGQYREYGHDPLLKPKKRMALRQYAKEHKVPKSVVIGIKQVLHFYPEGISVSNLRQELLRINVFIDKGFFGFRRFSALLKAMPDVVKFIDPLPGDTQPAVVGVFKSSVVSSEQSDFNGMDSAQSSIIEEKHHYESESESESEELSSLFDQPSLSELPSCTEKKTLETEVPSSPSEQLSRDHRKAPGLTELAEPPSNNVEADVTLTEDVPSPPSDAPSVGQGNAAAVDLVNKTEQPVNHMEADKVDAAGTPSSSGVQGNISNKRGLFGRISSLWNG >PVH33533 pep chromosome:PHallii_v3.1:8:1345239:1349135:1 gene:PAHAL_8G019000 transcript:PVH33533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSPIPFQPDTLPPIREPPAAEQPESTENVPTASVTSTISEFFKRMIFPPLNPNLLQEFDIQKISWNPHKHCLAFVSGKNQVIVHDFEDSGGICLPCYVPFILTSDQQTNVKAVEWRPNSGKMIAVACKGGICLWSASYPGDVPFMKTGVTPYSFSAFPRGSGVGGYCDGTFHFWETNTWTSEPWSSSSGYVSGANWDPEGRVALVSFSDSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLSWDASGERLALSFKDGNEMYRGLVAVYDVRRSPLVSVSLVGFIRGPGEGVKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSY >PVH33858 pep chromosome:PHallii_v3.1:8:7299953:7302247:-1 gene:PAHAL_8G080100 transcript:PVH33858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPEATQEQERVIEENVNPMPSPPPPHPEATQEQEEERVIEEIVNPTPPPPPPPLPPSAPPTYDVSRLPNDPGERQPIASFHANDHDAIRRAYILRGPFQPYAHEFPKRWIGDRERHFNFVWFHNFSWVEYSVKKDAVFFFVCYLFKNKESKGKGTDAFTVKGWKNWNIGENTLLKHARSKAHKAAQEKYFGFLNPDAAIDDKIEKWSDEDRYLYKKRLTYSLRCLKFLLHQGLAFRGHDESAESSNRGNFIELLKFVATHSEEVNKYVLNNAPSNCTLTSPMIQKQIIQCCAIETRKKIIGELGEEPFAILVDECSNISHKEQLALCLRYVDASGRPCEHFLGVVHIDDTTSLSLKDAIEALLVSHGLTLTRIRGQGYDGASNMRGDIKGLKTLIMQESPSAYYIHCFAHQLQLVLVAVAKGNNDCVWFFDQVSLLLNIVGVSCKRHGMLRDARIENLMRALDCGELETGSGLNQEMGLARPGDTRWSSHYKAVYNIIAMYPIIREVLFTLGEDTTVRADWTKIHTMLGAFESFDFVFCLHLMFTILGYTNDLSECLQRREQDILNAITLVKAAKKRMEHLRNHGWDQFLDRVILFCNKHGVQVPAMEGNYVPFGRSVRFVHDQNNDDHFRRAIYIGVIDQISIELASRFDEVNMELLSCMAAFDPSNSFKVRRLAEFYPNDISSTDLLKLDFQLDNFIDVLREDDDFKDLHNLVDLSVKLVEKKDIRCMMLCTCFSNWYCFYRWQQQVLKGHSLH >PAN41123 pep chromosome:PHallii_v3.1:8:1308572:1309171:-1 gene:PAHAL_8G018200 transcript:PAN41123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAASYLSSASAILLYAALFFAGAHAEAKPTEVVTSEVPSTLPVCKTVGGGSTFFDVQFCVEALGSDGRSANAGTNYGAYSVIATDLLTANATSTATKIDGLLRERGGKGDEAMTTRCLRSCRALYGGVVKRQISCAAAVRGRRGGEATRCLETVASAAKECEEGFRKSKVASPVTMENDDAFKLAKLAVALLSWAH >PAN41345 pep chromosome:PHallii_v3.1:8:2041436:2041899:-1 gene:PAHAL_8G028800 transcript:PAN41345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRVAAAALCCMLVMLSLSVSGQQQVAGFTGFCGCFGSCYPGCREGNPAWLCKIKCVESCTVDLHEVPVAAFGAGDCSKICLASICGAAETGTTDACAAAGCLDDCTVNWNSYKNKHT >PVH33486 pep chromosome:PHallii_v3.1:8:821243:824998:-1 gene:PAHAL_8G011500 transcript:PVH33486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPALTASTSDSLLVPSNGFLLNLSDGLHSTYVQLPPADADALLLAARPHLVGHLVHLDRLRFARPVPRAVGLRVVPSSRALPCVGTPEPLVARPAACARGYVIQPAASPSDAAPPLMPSSGSETSDAVKRTVLGPKNAVAEPAPPPAGSAVKRRFSSPAPSKQRDPSPSVKAASRAPSPSMKGASRASSPAVRGTPRATSPAPSKCAVPSLVAAKEENRRAAREPAIVVPSRYRQPSPAGGRRGAASPAVGGRRTSLSPSSRRLSGEGTAKKKVGVLVAGISKMTDLGNGSAMKPGRKSWDDPTMFLAAAAAGSVMKSRAKVHKDTILRTQEAMSRRLSDATTEQYSTDDSSVDERPKPRKKIDSDSVKAKTVVPKIKLHDPKWTDGSIQLDALSDKLSKIGREAIERRDAAATVAASALQEAMLTESVVRNLSSLKFARHQRPPIHSLQSIFSLLSMKILSSGRQLLSLW >PAN41042 pep chromosome:PHallii_v3.1:8:821244:824998:-1 gene:PAHAL_8G011500 transcript:PAN41042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPALTASTSDSLLVPSNGFLLNLSDGLHSTYVQLPPADADALLLAARPHLVGHLVHLDRLRFARPVPRAVGLRVVPSSRALPCVGTPEPLVARPAACARGYVIQPAASPSDAAPPLMPSSGSETSDAVKRTVLGPKNAVAEPAPPPAGSAVKRRFSSPAPSKQRDPSPSVKAASRAPSPSMKGASRASSPAVRGTPRATSPAPSKCAVPSLVAAKEENRRAAREPAIVVPSRYRQPSPAGGRRGAASPAVGGRRTSLSPSSRRLSGEGTAKKKVGVLVAGISKMTDLGNGSAMKPGRKSWDDPTMFLAAAAAGSVMKSRAKVHKDTILRTQEAMSRRLSDATTEQYSTDDSSVDERPKPRKKIDSDSVKAKTVVPKIKLHDPKWTDGSIQLDALSDKLSKIGREAIERRDAAATVAASALQEAMLTESVVRNLSKFSEICSSSKTSNPLPTVDIFLAVYEDTLKWKTIAESMVTIEADEAFLEKSSHDWVHAALATDLEVLKLLNGATESFSRMQSTNRPKAPSVEPPRTSLSRKQSLGASAKAQPKVSPSSPASSTWNNTESMYETVELSKILWREMHMWFLKFVDEALDVGFHLFEDQNMASKGKHSSHITVVLSQFKKISDWLDGVGKIAEEEKTKEKIECLKRKIYGFVISHMGSAFESSVSVSSRS >PVH33540 pep chromosome:PHallii_v3.1:8:1373311:1377143:1 gene:PAHAL_8G019600 transcript:PVH33540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEPEDEPPQQQQQPEAKPRRLYQAWKGNNIFLCGGRLIFGPDAASLLLTTFLIISPSIIFCYQMKAKFHHSSSTGQQHMHQAAVLIVIITTIVDLVFLFLTSARDPGIVPRNTRAPPEADELLLGSNTPSMDWSDGRTPRMRFRRTKDVIVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVHKFDHHCPWVGQCIGLRNYRYFFLFIATSTFLCIFVFIFAWLSVYSQMEDNGGSMWMALRKEAYSFALIIYTSIVVWFVGGLTVFHLYLIGTNQTTYENFRYHYDKKDNPYRKRIAANFAEVFFTKIPPPMTNFHSWVGEGALEAGFYTPYIELDVTSPREKIDLDRENKEVLLGGVQIPTVLQNIDYGSFEDSSEDKNRIGGEKTVHFPSAWAQGNEGAGTSATATAACNDETSEDDLNEIDSPNITSTQASAEANTEPPGQTDKMEETLERKNQRSNTI >PVH33599 pep chromosome:PHallii_v3.1:8:2053302:2054754:-1 gene:PAHAL_8G029000 transcript:PVH33599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGNLGLDDAVKLFGELLDHARPASVIAINQLLAAVSRAQRRGSSSSELVPSLFNRMARACSNKVAPDLHTYSILINCFCRMGRLELGFTAFGLILKTGWRVNGIVISQLLKGLCDRKCVSEAMDILLRRMPEFGCTPGAASYSTVLKGLCNEKRGEEALELLHVMADDGGGGCPPDVVAYNIVINGLFREGQVDKAYNLFREIDNQGILPTVVTYTTVIDGLCKAQAVERAEGVLRQMVHKGVKPNNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGYQPDIVTCNLLMDYLCKSGRCTEARKIFDSMIEKGTKPDVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPDHCTFNIVLWAYAKGGKIDGAMHIFDQMRQQGLSPDVVSYGAIIDAFCKMGRVDEAMLKFNQMIYEGGSIPMPCSSTQ >PVH33600 pep chromosome:PHallii_v3.1:8:2051543:2054754:-1 gene:PAHAL_8G029000 transcript:PVH33600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGNLGLDDAVKLFGELLDHARPASVIAINQLLAAVSRAQRRGSSSSELVPSLFNRMARACSNKVAPDLHTYSILINCFCRMGRLELGFTAFGLILKTGWRVNGIVISQLLKGLCDRKCVSEAMDILLRRMPEFGCTPGAASYSTVLKGLCNEKRGEEALELLHVMADDGGGGCPPDVVAYNIVINGLFREGQVDKAYNLFREIDNQGILPTVVTYTTVIDGLCKAQAVERAEGVLRQMVHKGVKPNNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGYQPDIVTCNLLMDYLCKSGRCTEARKIFDSMIEKGTKPDVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPDHCTFNIVLWAYAKGGKIDGAMHIFDQMRQQGLSPDVVSYGAIIDAFCKMGRVDEAMLKFNQMIYEGVSPDIIVFSSLVYGLCTADKWEKANELFSEMLNQGIHPNALFFNTIMCNLCREGRVTEAQSLLDLMVSVYINCPPQEGRCVARRIIPCKMTGQNPLVNIISPIYGNCREESFT >PVH33598 pep chromosome:PHallii_v3.1:8:2051397:2055067:-1 gene:PAHAL_8G029000 transcript:PVH33598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGNLGLDDAVKLFGELLDHARPASVIAINQLLAAVSRAQRRGSSSSELVPSLFNRMARACSNKVAPDLHTYSILINCFCRMGRLELGFTAFGLILKTGWRVNGIVISQLLKGLCDRKCVSEAMDILLRRMPEFGCTPGAASYSTVLKGLCNEKRGEEALELLHVMADDGGGGCPPDVVAYNIVINGLFREGQVDKAYNLFREIDNQGILPTVVTYTTVIDGLCKAQAVERAEGVLRQMVHKGVKPNNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGYQPDIVTCNLLMDYLCKSGRCTEARKIFDSMIEKGTKPDVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPDHCTFNIVLWAYAKGGKIDGAMHIFDQMRQQGLSPDVVSYGAIIDAFCKMGRVDEAMLKFNQMIYEGVSVYINCPPQEGRCVARRIIPCKMTGQNPLVNIISPIYGNCREESFT >PVH33597 pep chromosome:PHallii_v3.1:8:2053250:2054754:-1 gene:PAHAL_8G029000 transcript:PVH33597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGNLGLDDAVKLFGELLDHARPASVIAINQLLAAVSRAQRRGSSSSELVPSLFNRMARACSNKVAPDLHTYSILINCFCRMGRLELGFTAFGLILKTGWRVNGIVISQLLKGLCDRKCVSEAMDILLRRMPEFGCTPGAASYSTVLKGLCNEKRGEEALELLHVMADDGGGGCPPDVVAYNIVINGLFREGQVDKAYNLFREIDNQGILPTVVTYTTVIDGLCKAQAVERAEGVLRQMVHKGVKPNNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGYQPDIVTCNLLMDYLCKSGRCTEARKIFDSMIEKGTKPDVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPDHCTFNIVLWAYAKGGKIDGAMHIFDQMRQQGLSPDVVSYGAIIDAFCKMGRVDEAMLKFNQMIYEGRRTSHGSPESP >PAN42906 pep chromosome:PHallii_v3.1:8:37992010:37997047:-1 gene:PAHAL_8G196900 transcript:PAN42906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRPPGPPPRLPSHHRRRRLHFHLLAAPLLCFAFLTPALAAAGAPPAAAGDTGGEGGIIWTRAAVAWPAMDTKGVISATEVLKKEPVSDVIALKDSMKYFDADFFSDSKLHEMEDGAKEFNVPAFRENRKLVASENGGLHNPSVLLFKSSWSGDSMKSEARTFDYPRASAVHRPSNDEDIAFMSVIELGELIRTKQITSRELTDVFLRRLKRYNPVLEAVVTYTEDLAYKQAKEADDLLAQGKYLGPLHGIPYGLKDIIAVPHYKTTWGSRTFKNQVLDTEAFVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTDVMSISESLDKLGPFCRSAVDCAIVLDTIRGKDAGDPSSREVALGDPFHVDITKLTVGYLDDAEMEVVDVLSSKGVKMVPFKLNYTVESVQSILNITMDVDMLAHFDNWQREGHDDDYEAQDQWPVELRRARLVPAVDYIQAQRARGKLIKEVRDSFTVDAFIGNMTDWERVCLGNLVGMPVVVVPTGLKSIEDPPKGGTKRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHNKQRPPIDNLGPNDRIHR >PAN42907 pep chromosome:PHallii_v3.1:8:37992459:37996791:-1 gene:PAHAL_8G196900 transcript:PAN42907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRPPGPPPRLPSHHRRRRLHFHLLAAPLLCFAFLTPALAAAGAPPAAAGDTGGEGGIIWTRAAVAWPAMAWPSKCTWLPLPNSGRCHSYTLVSKYHKIWKDTKGVISATEVLKKEPVSDVIALKDSMKYFDADFFSDSKLHEMEDGAKEFNVPAFRENRKLVASENGGLHNPSVLLFKSSWSGDSMKSEARTFDYPRASAVHRPSNDEDIAFMSVIELGELIRTKQITSRELTDVFLRRLKRYNPVLEAVVTYTEDLAYKQAKEADDLLAQGKYLGPLHGIPYGLKDIIAVPHYKTTWGSRTFKNQVLDTEAFVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTDVMSISESLDKLGPFCRSAVDCAIVLDTIRGKDAGDPSSREVALGDPFHVDITKLTVGYLDDAEMEVVDVLSSKGVKMVPFKLNYTVESVQSILNITMDVDMLAHFDNWQREGHDDDYEAQDQWPVELRRARLVPAVDYIQAQRARGKLIKEVRDSFTVDAFIGNMTDWERVCLGNLVGMPVVVVPTGLKSIEDPPKGGTKRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHNKQRPPIDNLGPNDRIHR >PAN41502 pep chromosome:PHallii_v3.1:8:3604357:3605430:1 gene:PAHAL_8G048200 transcript:PAN41502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGQTRAPQPPLPPTPATDPSSPAPAHRRHRTFSSSSSSSSSSLSTVSSAASSPSPSPRGRAATSVPFSWEHHPGIPKTRLPSVAGATAAASSSPAPATPPLPLPPPLRAPPSRPRHKNHQQTRRRRAGSSQPAAGADPFAAALAECTRERAAAAGPDNDRRLMDSLFPSPSPAASASCAPGSRRWSIASAGGVVGLLDLYGCKCAMGVAEGAFVVRRPVAVVRPGPGRAGLGRAGRR >PVH34092 pep chromosome:PHallii_v3.1:8:23830302:23830996:-1 gene:PAHAL_8G141200 transcript:PVH34092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTRVLLVLAFAAVLLLASGAVAAAGGLSSRPEAMPSLHALRRVEDDASSFVLEGEEAAAYLRRRALYSGGSIDYGALTASKAACYGPCPARGQAYSRGCQAIYQCRG >PAN43583 pep chromosome:PHallii_v3.1:8:43205588:43211147:-1 gene:PAHAL_8G248000 transcript:PAN43583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDMVSAIEELSGLTTKELSEMLKESDNFVLQSKAEDGGPKQVDMEKFVSSLPLHLLAVCLELGQGSDLAYVLRGVRFLHSLSELASRHTRLEQVLLDDVRLSEQVMDLIFFLLSILAQRKKENNVGASPLVHASLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHEDVRLLSFRLSSMSFGSIPIGSLECRLAHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSHTILKLVVPYCLKGSTDIVASISRLKAKILSILLQLCEAESVSYLDEVATSPNSMRLGQTLALEVLNLLKTAFGRKQQNTSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFMTNTVPFLTQILAIPHDEFVLSWCSVNLPVIEEDANLDYDPFGAAEVALLASDNALTEARASYSCPFRPSLPSMAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLHNFQKYLVSGSPRLSADQPASNDFKAAKVCKNLGSLSDYAKTLIPNLLNEEDVQLLSEFSDKLQSWCKSQAGQAALQVVHTDSPSESKEGMKLVQQSLPTQASTLDPNMNNLPKDVQNMEVSIPVSSIKPEGNAKDETPKNCVARNGSFLQNAVGQDLVHLGVARTASAGFSTVSSGVSTGHQRSKMDLDPASSSVDHFKTPELSKENGLQEDEKGESSMYDERQPKRRKRTIMNDEQIDELEKALVDEPEMHKNAILLQNWSEKLSVQGPEITASQLKNWLNNRKAKLARIAKERGVPFEGESADKPSTPATPHPGESSESAGEDSYMPPARVMNAISKGRLVSPDGNEQTSQAEFSQNMMLSRPFTRSFSLEPGRLVSLVDGDGNEVGRGKIVHAPPVKSAAETRLCVVDVTELRIEKWRELPHPSEASGRTFQEAEVRNGGVIRVPWDVVRIAPVTQ >PAN43582 pep chromosome:PHallii_v3.1:8:43205177:43212504:-1 gene:PAHAL_8G248000 transcript:PAN43582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDMVSAIEELSGLTTKELSEMLKESDNFVLQSKAEDGGPKQVDMEKFVSSLPLHLLAVCLELGQGSDLAYVLRGVRFLHSLSELASRHTRLEQVLLDDVRLSEQVMDLIFFLLSILAQRKKENNVGASPLVHASLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHEDVRLLSFRLSSMSFGSIPIGSLECRLAHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSHTILKLVVPYCLKGSTDIVASISRLKAKILSILLQLCEAESVSYLDEVATSPNSMRLGQTLALEVLNLLKTAFGRKQQNTSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFMTNTVPFLTQILAIPHDEFVLSWCSVNLPVIEEDANLDYDPFGAAEVALLASDNALTEARASYSCPFRPSLPSMAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLHNFQKYLVSGSPRLSADQPASNDFKAAKVCKNLGSLSDYAKTLIPNLLNEEDVQLLSEFSDKLQSWCKSQAGQAALQVVHTDSPSESKEGMKLVQQSLPTQASTLDPNMNNLPKDVQNMEVSIPVSSIKPEGNAKDETPKNCVARNGSFLQNAVGQDLVHLGVARTASAGFSTVSSGVSTGHQRSKMDLDPASSSVDHFKTPELSKENGLQEDEKGESSMYDERQPKRRKRTIMNDEQIDELEKALVDEPEMHKNAILLQNWSEKLSVQAKQSES >PAN43648 pep chromosome:PHallii_v3.1:8:43588128:43595618:1 gene:PAHAL_8G252600 transcript:PAN43648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLLSMLTQIVEFGLTVKAALEQAGHNAEDCRWIGELLRRLRAIAAHLQDGAPPEAAEGGGSMVVMRRDAAAGLGDALRRASELIARCQRRNFVIRAFEAGDVAEKLRRVCLDVLLNLSAAVLADGVHTAAMVAEIKEAVGQLRADVAYTNAMFARILQIVAAHPDVHLREEVAVLVKDYQNSTEYASSQNGNKRETKKTDVGRPELKIQKNNGLGRSKVAPHASLSGLAESASVESVTRQHSSSTIIDKASSFVSKLVDEVPSVAVCAAPFQLQKIISVSEVPGEAGSYKIRSQSSNTSKLLEVYPLKLRVPFEPNKETIQWPMTLTNKTDHYVGVWVKPTHERFTRTPMIMESNSSLVVSVTMKMHEQPPQDTVKFEVVMIIVQSKDNLVKLESSIGGKLNIDSSFMERVQKQQAEVYRAMLTAITFEPGSCQIISKSIKNMTFVTSIDAHPTKTWIVMGHEGGNFSIWDYQMQEIVMELQVNEVPGKIARRIHSISQIFKETAVPHSVCSVKFIAQENWLAVGDGEGYIYVYAYTDTHKLDKVTRFRAYHQKSVDSLAVHPTEPYLLSSSAFDRKIKLWDWSKDWQIFKEFDVKPISTYEDGVRSVKFNPRDTNTFACVLYDKTVKVCVLFLSLVLIRVGNINSSNLKTTLKGASNADYFFTSNHQNLMVTLSFKSPNSEIRDLDTGNIVHTLGVSGLKTSRVACQPKLPILATTLADGTICLWDANTYKLEEVVHITDEKCRDLKFVTDINGLTRLIVTFETTIAIMEVNLPIANSSKQIGSGNYG >PAN43647 pep chromosome:PHallii_v3.1:8:43588128:43595617:1 gene:PAHAL_8G252600 transcript:PAN43647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLLSMLTQIVEFGLTVKAALEQAGHNAEDCRWIGELLRRLRAIAAHLQDGAPPEAAEGGGSMVVMRRDAAAGLGDALRRASELIARCQRRNFVIRAFEAGDVAEKLRRVCLDVLLNLSAAVLADGVHTAAMVAEIKEAVGQLRADVAYTNAMFARILQIVAAHPDVHLREEVAVLVKDYQNSTEYASSQNGNKRETKKTDVGRPELKIQKNNGLGRSKVAPHASLSGLAESASVESVTRQHSSSTIIDKASSFVSKLVDEVPSVAVCAAPFQLQKIISVSEVPGEAGSYKIRSQSSNTSKLLEVYPLKLRVPFEPNKETIQWPMTLTNKTDHYVGVWVKPTHERFTRTPMIMESNSSLVVSVTMKMHEQPPQDTVKFEVVMIIVQSKDNLVKLESSIGGKLNIDSSFMERVQKQQAEVYRAMLTAITFEPGSCQIISKSIKNMTFVTSIDAHPTKTWIVMGHEGGNFSIWDYQMQEIVMELQVNEVPGKIARRIHSISQIFKETAVPHSVCSVKFIAQENWLAVGDGEGYIYVYAYTDTHKLDKVTRFRAYHQKSVDSLAVHPTEPYLLSSSAFDRKIKLWDWSKDWQIFKEFDVKPISTYEDGVRSVKFNPRDTNTFACVLYDKTVKVGNINSSNLKTTLKGASNADYFFTSNHQNLMVTLSFKSPNSEIRDLDTGNIVHTLGVSGLKTSRVACQPKLPILATTLADGTICLWDANTYKLEEVVHITDEKCRDLKFVTDINGLTRLIVTFETTIAIMEVNLPIANSSKQIGSGNYG >PVH34425 pep chromosome:PHallii_v3.1:8:40293093:40295143:1 gene:PAHAL_8G217000 transcript:PVH34425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSGGAWWGLLLLAGVLLAAAATAAAEEDVAAAEVAADRDPKEDLRWCRKGCRWQYGQDARRREECERECRERHRQGEQEDDDEDALGSGRGECRRKCVSRYEDQPWRVPECVSRCRRRGVEEDEEENESGRGRECRERCERRHGGDWREKQRCLMECRRQEQGAAEDDGNRCPCSCRTQCERHQDQGSRRRCVEACERRREQEGEDGNRCPCSCRTQCERHGDQGSRRRCVEACERREQEEGHGGSRDADENSSRGDRCQRKCQRQSDWPARLRCMEQCQREERQEEGRGDADEQDSYCSSRCEERCQQHGGREKQRRCVRRCEREEGCRRRDAFIAADEEEDNDDRSCRQQCQHHRDYDRKQQCMRDCRRHHGSGRGEGEERAADEEDNHHCREQCQHHRDYDRRQQCMRECRRHHGRDGADEQDNGCCGDRCEMRCQHHQGDREKQRRCVRRCEREEGCSRRRDAAADADEEEGNDDRSCRQQCQHHRDYDKKQQCIRDCRRHGGRGWEAVAGAVLQVV >PVH33440 pep chromosome:PHallii_v3.1:8:462148:462755:-1 gene:PAHAL_8G004700 transcript:PVH33440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAIWRARNKMAIEKSFPKTPLDVIWSGISFVQKWRLLLNEAEQTEIDGLGMKMKTWLDNFLPSEAPVSDIVEL >PAN41807 pep chromosome:PHallii_v3.1:8:6095431:6106028:-1 gene:PAHAL_8G070900 transcript:PAN41807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGADEQPKGVSWNSPPAHVNGDEEKVYPGQLPVLTWKHKLTHVRHNLPSFRLTWREMRQLAGIGLRLGRHILEETSKGRTAVIDPMKKRIAKSGQGVPLGGIGAGSIGRSYTGNFQRWQLFPGTCEDKPVLANQFSAFISHQDGRKYSTVLHPGKPDLPKGSDISGIGSWDWNMTGQHSTYHALYPRAWTVYDGEPDPELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTAADVTLLFTWANSVGGKSDLTGYHSNSSMIEKDGVHGILLHHRTADGQPPITFAIAAQEREDIHISECPYFVISGSSDGFTAKDMWNSVKEHGSFDHLDPIKTSMCSKPGSSIGAAIAASLKIAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDSAASLAHDAILEHTSWERLIEDWQDPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGGKKFSLDMLNDDDVNEMVQQNNTASDILNRMASVLERMHASIASNSAMGTTLLNGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLVMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKMSTSIHADQLAGQWYAKACGLCPIVDKDKAQSALEKIYSFNVMKFKDGTRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDGEYRSLCYMRPLAIWAIQWALSNPKLHNTPQTDIPQGSFPKTQISYARIAKLLQLPEDESSKSFVRVVYEILRSRFRS >PVH33815 pep chromosome:PHallii_v3.1:8:6095431:6102685:-1 gene:PAHAL_8G070900 transcript:PVH33815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQHSTYHALYPRAWTVYDEPDPELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTAADVTLLFTWANSVGGKSDLTGYHSNSSMIEKDGVHGILLHHRTADGQPPITFAIAAQEREDIHISECPYFVISGSSDGFTAKDMWNSVKEHGSFDHLDPIKTSMCSKPGSSIGAAIAASLKIAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDSAASLAHDAILEHTSWERLIEDWQDPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGGKKFSLDMLNDDDVNEMVQQNNTASDILNRMASVLERMHASIASNSAMGTTLLNGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLVMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKMSTSIHADQLAGQWYAKACGLCPIVDKDKAQSALEKIYSFNVMKFKDGTRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDGEYRSLCYMRPLAIWAIQWALSNPKLHNTPQTDIPQGSFPKTQISYARIAKLLQLPEDESSKSFVRVVYEILRSRFRS >PVH33817 pep chromosome:PHallii_v3.1:8:6095431:6102685:-1 gene:PAHAL_8G070900 transcript:PVH33817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMYLLIVGTVVQEVLEEATQVTSNVGNCFLELVKINLYWQINFLPSFPTKMVENIPQCCILGNQIYRRIGSWDWNMTGQHSTYHALYPRAWTVYDGEPDPELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTAADVTLLFTWANSVGGKSDLTGYHSNSSMIEKDGVHGILLHHRTADGQPPITFAIAAQEREDIHISECPYFVISGSSDGFTAKDMWNSVKEHGSFDHLDPIKTSMCSKPGSSIGAAIAASLKIAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDSAASLAHDAILEHTSWERLIEDWQDPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGGKKFSLDMLNDDDVNEMVQQNNTASDILNRMASVLERMHASIASNSAMGTTLLNGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLVMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKMSTSIHADQLAGQWYAKACGLCPIVDKDKAQSALEKIYSFNVMKFKDGTRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDGEYRSLCYMRPLAIWAIQWALSNPKLHNTPQTDIPQGSFPKTQISYARIAKLLQLPEDESSKSFVRVVYEILRSRFRS >PVH33818 pep chromosome:PHallii_v3.1:8:6095431:6106031:-1 gene:PAHAL_8G070900 transcript:PVH33818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGADEQPKGVSWNSPPAHVNGDEEKVYPGQLPVLTWKHKLTHVRHNLPSFRLTWREMRQLAGIGLRLGRHILEETSKGRTAVIDPMKKRIAKSGQGVPLGGIGAGSIGRSYTGNFQRWQLFPGTCEDKPVLANQFSAFISHQDGRKYSTVLHPGKPDLPKGSDISGIGSWDWNMTGQHSTYHALYPRAWTVYDEPDPELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTAADVTLLFTWANSVGGKSDLTGYHSNSSMIEKDGVHGILLHHRTADGQPPITFAIAAQEREDIHISECPYFVISGSSDGFTAKDMWNSVKEHGSFDHLDPIKTSMCSKPGSSIGAAIAASLKIAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDSAASLAHDAILEHTSWERLIEDWQDPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGGKKFSLDMLNDDDVNEMVQQNNTASDILNRMASVLERMHASIASNSAMGTTLLNGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLVMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKMSTSIHADQLAGQWYAKACGLCPIVDKDKAQSALEKIYSFNVMKFKDGTRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDGEYRSLCYMRPLAIWAIQWALSNPKLHNTPQTDIPQGSFPKTQISYARIAKLLQLPEDESSKSFVRVVYEILRSRFRS >PVH33816 pep chromosome:PHallii_v3.1:8:6095798:6101856:-1 gene:PAHAL_8G070900 transcript:PVH33816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQHSTYHALYPRAWTVYDGEPDPELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTAADVTLLFTWANSVGGKSDLTGYHSNSSMIEKDGVHGILLHHRTADGQPPITFAIAAQEREDIHISECPYFVISGSSDGFTAKDMWNSVKEHGSFDHLDPIKTSMCSKPGSSIGAAIAASLKIAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDSAASLAHDAILEHTSWERLIEDWQDPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGGKKFSLDMLNDDDVNEMVQQNNTASDILNRMASVLERMHASIASNSAMGTTLLNGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLVMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKMSTSIHADQLAGQWYAKACGLCPIVDKDKAQSALEKIYSFNVMKFKDGTRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDGEYRSLCYMRPLAIWAIQWALSNPKLHNTPQTDIPQGSFPKTQISYARIAKLLQLPEDESSKSFVRVVYEILRSRFRS >PVH34360 pep chromosome:PHallii_v3.1:8:39064468:39065230:-1 gene:PAHAL_8G205700 transcript:PVH34360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRAWASFSIYLLFGFLLPRAAAFPSPLPPTSSTRPSLSLPSMSGERPRAAAGARAAAAQVQPARGSQPERRPAVARQRRWGERVLGPGGRRGSAQEWRLALGQRAAGRAAHERGTGPAQARRFQAAGERRAAAGAVARLRLGAAAGRRRATGWCRRLGRCASWRARGSRCWWRRERGAGAGWRGRTAAPGAGRAAVGAGAESQWAGEAGPGARQARTEAGGASSAGTAASLSSIFPQALTLFQLQVMDLV >PVH34174 pep chromosome:PHallii_v3.1:8:30276537:30277538:-1 gene:PAHAL_8G163600 transcript:PVH34174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPSYLPSHLVKLFPDQKATIYYPPTLLLPLSPTGPTPHQTNQNSEGAGAESTRGGGRASLQPRGGRRRRSEIMADWAPVFIGLVLFILLSPGLLFQIPGKGRIIEFGNFQTSGLSILIHAIIYFTLIAILLLAIGVHVYLG >PVH34565 pep chromosome:PHallii_v3.1:8:43416098:43418639:-1 gene:PAHAL_8G250000 transcript:PVH34565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDHAPIIGIDLGTTYCCVAFWQNDHVEIIANDQGNRTTPSYVAFTDSKRLIGDAAKNQVARNPTNTVFDTKRLIGRRFTDESVQNDIKLWPFKVISGPGDKPMVAVQYRGKEKQFTAEEISSMLLIKMKEIAEAYLGTSIKDAVVTVPACSTDSQRQGTRDAGVIAGLNVIRIVSEPTAAAMAYGLDKKARFDHDYVLVFDLGGGTFDVSLLEMYDGIFEVIATAGDTHLGGEDFDNRMVNHFVREFKRKNKKNISDNPRALRRLRTACEKAKRTLSSTAQTTIEIDCLYEGIDFYSTITRAMFEELNMDLFRKCMEPVEMCLKDAEVDKSSVLDVVLVGGSSRIPRIQQLLQDFFDGKELCKSINPDEAVAYGAAVQGAILNADWNCEISWIHLDLLLLDATPLSLGLETDGGAMNVLIPKNSIIPTKATVTLSTDSDNQTSILIRVYEGEGTRTCNNHLLGEFEFCDIPPAPKGAPQITVVLDIDANGILKVSAEDETTGQKKKVILNKRFYFTR >PVH33512 pep chromosome:PHallii_v3.1:8:1102831:1106363:1 gene:PAHAL_8G015800 transcript:PVH33512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIKRRPCSSESDKTSEKRMETTVSDPVTEPLLGNGPHEEKNKRYEPATRSDFWDGTREECLRWAHLLSIFIAQSARKIVNALSEFGSLIARLFGCSSAPQSSQNGQTVPLNLSPLQVERLKLLRQRLDVPYDCSSVKHQDALKELWRLAYPNRQLPPLKSDLWKDMGWQNSDPSTDFRAGGFLSLENLIYFARNYPDSFHRLLHKAEGKRAEWEYPFAAGGVNISYMLVQMLDLQSGKISTKAGVHFVQLLEDDEAAFDNLFCVAFQVLDAQWLARRASYMEFNEVLKSTRVQLEKELTMGCISSVQDLPSFRMLKR >PVH33513 pep chromosome:PHallii_v3.1:8:1102235:1106363:1 gene:PAHAL_8G015800 transcript:PVH33513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIKRRPCSSESDKTSEKRMETTVSDPVTEPLLGNGPHEEKNKRYEPATRSDFWDGTREECLRWAHLLSIFIAQSARKIVNALSEFGSLIARLFGCSSAPQSSQNGQTVPLNLSPLQVERLKLLRQRLDVPYDCSSVKHQDALKELWRLAYPNRQLPPLKSDLWKDMGWQNSDPSTDFRAGGFLSLENLIYFARNYPDSFHRLLHKAEGKRAEWEYPFAAGGVNISYMLVQMLDLQSGKISTKAGVHFVQLLEDDEAAFDNLFCVAFQVLDAQWLARRASYMEFNEVLKSTRVQLEKELTMGCISSVQDLPSFRMLKR >PAN41784 pep chromosome:PHallii_v3.1:8:5997984:6005382:-1 gene:PAHAL_8G070000 transcript:PAN41784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSGTQGELAIPRHANVVVSPYGAGVGGGSAGGHVLQLHHGNNNGQSPALPPSPPAAPAEEMESSGKKRGLAAGAGIGGGGGSAAVRYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEADDDDDVDMYAVGDGFGRGHGPRAAHRLLAPALPHHSKGGSGGGLLVSAADPYGAYAAARALPPALPPPGHGHGHVHHLPHHQYVMPLNMMHTGSESDDMDGGAAGGGDAERGGSGGSSSSKKRFRTKFTPEQKARMLEFAERVGWRLQKLDDGMVQAFCQEIGVKRRVLKVWMHNNKHNLATKRLETSPQEQPAVAAAMASPPAPPQMPLQMMPAGMMPPPPQAAGPSCLRGGPGSPPPPKLE >PAN41785 pep chromosome:PHallii_v3.1:8:5997142:6000969:-1 gene:PAHAL_8G070000 transcript:PAN41785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSGTQGELAIPRHANVVVSPYGAGVGGGSAGGHVLQLHHGNNNGQSPALPPSPPAAPAEEMESSGKKRGLAAGAGIGGGGGSAAVRYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEADDDDDVDMYAVGDGFGRGHGPRAAHRLLAPALPHHSKGGSGGGLLVSAADPYGAYAAARALPPALPPPGHGHGHVHHLPHHQYVMPLNMMHTGSESDDMDGGAAGGGDAERGGSGGSSSSKKRFRTKFTPEQKARMLEFAERVGWRLQKLDDGMVQAFCQEIGVKRRVLKVWMHNNKHNLATKRLETSPQEQPAVAAAMASPPAPPQMPLQMMPAGMMPPPPQAAGPSCLRGGPGSPPPPKLE >PAN41786 pep chromosome:PHallii_v3.1:8:5997142:6000886:-1 gene:PAHAL_8G070000 transcript:PAN41786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSGTQGELAIPRHANVVVSPYGAGVGGGSAGGHVLQLHHGNNNGQSPALPPSPPAAPAEEMESSGKKRGLAAGAGIGGGGGSAAVRYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEADDDDDVDMYAVGDGFGRGHGPRAAHRLLAPALPHHSKGGSGGGLLVSAADPYGAYAAARALPPALPPPGHGHGHVHHLPHHQYVMPLNMMHTGSESDDMDGGAAGGGDAERGGSGGSSSSKKRFRTKFTPEQKARMLEFAERVGWRLQKLDDGMVQAFCQEIGVKRRVLKVWMHNNKHNLATKRLETSPQEQPAVAAAMASPPAPPQMPLQMMPAGMMPPPPQAAGPSCLRGGPGSPPPPKLE >PVH33719 pep chromosome:PHallii_v3.1:8:4175729:4177349:1 gene:PAHAL_8G053800 transcript:PVH33719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRPGTSPHSVIRPNGPTNGSPRHGRSRSPIHAQKRRGAQSQAAPSMEIEGRGFGYSSSPTRSCSPPPVEKPRSHRDHSLSMPRSCFFRSRLAE >PAN43288 pep chromosome:PHallii_v3.1:8:41306691:41307365:1 gene:PAHAL_8G226800 transcript:PAN43288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRRSRRSPPAAAAEEQAATTVADELVSRLRALLPPGASTSSTAQVLDETVAYIRRLHAEVDGLAERLARLMPEDHQPYGGAADDAAQLIIRALLM >PAN41055 pep chromosome:PHallii_v3.1:8:760144:761665:-1 gene:PAHAL_8G010100 transcript:PAN41055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLQGDELLAQLRDLLLPSPTIPTPFKVESGGTGHPPASCDGRRRRRRGSKRGRDDDNGEQQHEEPPRHSCKTSSRKMKQRQKKMSSTSSLVTSVPDFDGYQWRKYGQKQIEGAMYARSYYRCIRSAEQGCPAKRTVQRNDDGGAAPKYTVVYMGEHTCTANDSMEAPVILETAVPVTSTNNNKRPQNDDDTSAATSAGSYRSTTSTSTVTGIKSPAAISDITYWSSSSASSDYVVDVYDDCGLFGVHDSWAPATAAASSLQEMEDYFTGPIRSPVHIAATADGWTIDNFLLQLAASNDQPLFPHFSLFS >PAN41056 pep chromosome:PHallii_v3.1:8:759981:762008:-1 gene:PAHAL_8G010100 transcript:PAN41056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLQGDELLAQLRDLLLPSPTIPTPFKVESGGTGHPPASCDGRRRRRRGSKRGRDDDNGEQQHEEPPRHSCKTSRKMKQRQKKMSSTSSLVTSVPDFDGYQWRKYGQKQIEGAMYARSYYRCIRSAEQGCPAKRTVQRNDDGGAAPKYTVVYMGEHTCTANDSMEAPVILETAVPVTSTNNNKRPQNDDDTSAATSAGSYRSTTSTSTVTGIKSPAAISDITYWSSSSASSDYVVDVYDDCGLFGVHDSWAPATAAASSLQEMEDYFTGPIRSPVHIAATADGWTIDNFLLQLAASNDQPLFPHFSLFS >PAN41054 pep chromosome:PHallii_v3.1:8:759982:762008:-1 gene:PAHAL_8G010100 transcript:PAN41054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLQGDELLAQLRDLLLPSPTIPTPFKVESGGTGHPPASCDGRRRRRRGSKRGRDDDNGEQQHEEPPRHSCKTRKMKQRQKKMSSTSSLVTSVPDFDGYQWRKYGQKQIEGAMYARSYYRCIRSAEQGCPAKRTVQRNDDGGAAPKYTVVYMGEHTCTANDSMEAPVILETAVPVTSTNNNKRPQNDDDTSAATSAGSYRSTTSTSTVTGIKSPAAISDITYWSSSSASSDYVVDVYDDCGLFGVHDSWAPATAAASSLQEMEDYFTGPIRSPVHIAATADGWTIDNFLLQLAASNDQPLFPHFSLFS >PVH33720 pep chromosome:PHallii_v3.1:8:4198040:4198387:-1 gene:PAHAL_8G054100 transcript:PVH33720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKSTHETFATKMFRNFSSHPRLEKTKFSETDFTISHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPFVSGLFTSLPEESIRSS >PVH34004 pep chromosome:PHallii_v3.1:8:16873248:16875758:1 gene:PAHAL_8G118500 transcript:PVH34004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIVSAPKGYSKCLDMSSDKFIDQVLKNWRNRLQATYSSGSLVEAYCHQVNTCIQIALLCVEEDSQKRPNIGKIVEKLNEIDSAIGEKVSGMAMHNNKNIGMRKESEDIKGQHQNINLMTGPSCSELEAVDARETSSNAVEELIVVRTEEKWKIIAFLLLESKSKKIVILPIYGIGGIGKTTFERLIYNDPKFKYYSRVWIHVSQIFDLNKIYESIILKLSEKESRANERQMINSCLLKLLSGKKILIVLDDLWEDGQFHLQELKDMLYHADSNIIILVTTRSQRVAGIICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTDIGREIAQKCGGVALAAQSLGFTLRSMNFDQWMKVKDSDTWNEPVSNDASLPNYVLASLKLSCSHMGSSLKKCFTYCAIFPKGNKIAKDDVIYQWISSDFIKPTKILSDMQLDVEKSR >PVH34005 pep chromosome:PHallii_v3.1:8:16873248:16875758:1 gene:PAHAL_8G118500 transcript:PVH34005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIVSAPKGYSKCLDMSSDKFIDQVLKNWRNRLQATYSSGSLVEAYCHQVNTCIQIALLCVEEDSQKRPNIGKIVEKLNEIDSAIGELPQKVSGMAMHNNKNIGMRKESEDIKGQHQNINLMTGPSCSELEAVDARETSSNAVEELIVVRTEEKWKIIAFLLLESKSKKIVILPIYGIGGIGKTTFERLIYNDPKFKYYSRVWIHVSQIFDLNKIYESIILKLSEKESRANERQMINSCLLKLLSGKKILIVLDDLWEDGQFHLQELKDMLYHADSNIIILVTTRSQRVAGIICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTDIGREIAQKCGGVALAAQSLGFTLRSMNFDQWMKVKDSDTWNEPVSNDASLPNYVLASLKLSCSHMGSSLKKCFTYCAIFPKGNKIAKDDVIYQWISSDFIKPTKILSDMQLDVEKSR >PAN41625 pep chromosome:PHallii_v3.1:8:3791809:3801520:-1 gene:PAHAL_8G049600 transcript:PAN41625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDNRCPVIVMVTKFDGFKCDEYLPLSKSQDIFGKFTIEITKIRKDGQLVLRGVKVQRDESEVVHSLLHIEYSEWPDHGVPNSSTDVRRILKRLYHIPRQQPIVAHCSAGIGRTGAYITIHNTVERIVLGELGAVDLVETVKRFRSQRPGMVQTEDQYKFCYQAIADELKDLISKSKH >PVH33449 pep chromosome:PHallii_v3.1:8:495281:501113:-1 gene:PAHAL_8G005600 transcript:PVH33449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAGSAPDPTGGFQGVENCYVFKSRLQEYTQKAGLPTPEYHTLKEGPSHEPIFKSTVVVNNTKYDSLPGFFSRKAAEQSAAEVALMEIVKSVPATETKSIPAVQETGLCKNLLQEYAQKMNYAIPSYICSKQASGVAPFVCTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGCANGAMKYIVVPGQRQVKETDKKPTETPKSLKIKKSGGKKKWNKRKFMRKTDQIVDAEKDRTREAGDVHDSDVPMQATITEEPCRDSTMLHPDEEARILEQELLRDMTMLQADKEARSVKQGLPTLQHHEEARRVEPDLSRDAAMVQFNKEVVMLQSDEEARIIELEPPRDPAAAEPNEEARCVEQEPLDSAEVVKPNMEARVVEQESVSAYVALQFKRDATDVKESPSNTAMKQREETETPKQEAHQSGELVM >PAN41555 pep chromosome:PHallii_v3.1:8:4035524:4038266:1 gene:PAHAL_8G052600 transcript:PAN41555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARWTLVAGLLLLLPLLPSASSSMVFKLDGNVYPAGHFYVTMNIADPSKPYFLSVDTGSDLTWLECAASNGACERCNKVPHPHYQPGPPSYKVVPCTDPLCDTLHQDLGTTKHCAEPFQCDYTLTYADGSSIGVLMTDKFSLPMAKASNDHPDLAIGCGYDQGKNAGKIMTVDGTLGLGPSSVSLVSQLKNQKIITKNVIGHCLSTKGGGYLFFGEENVPASDVTWVPMAPRTPGKPYPYSAGHATLQLDTMSIGAEPMEVVLDSGSAYTYLPELLHSQLVTALKASLSKSSVEEVHDPALPLCWKGNGPFKSLDDLNKEFKSLISLNFGNGVTMTILPENYLITTEQGSACLGILGTADIGTYLIGAITMQDQLVIYDNEIGRLGWAPSLCDRMPQEV >PAN43254 pep chromosome:PHallii_v3.1:8:41069642:41072053:-1 gene:PAHAL_8G223300 transcript:PAN43254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGNGPSSCCNGNGNSNGAPSPPHVAMLVTPGMGHLIPLAELAKLLASRHGATATLVTFASTASATQRAFLASLPPAVSSLALPPVDLSDLPRGAAIETLMSEECARSVPALTDILLRLRRTTRLVAFVADLFGADSFDAARAAGVARRYLFFPTNLHVLTLILHLPELDASVPGEFRDLAEPLRLPGCVPIPGPDVLMPLQDKSNPSYKWMVHHGAKYREADAILVNSFDAVEPGPAKILRQPAPGRPAVFPIGPLIQADRGSSDGPCPCIEWLDRQPDRSVIFVSFGSGGALPAEQMRELALGLELSGQRFLWVVRSPSDEGAVNDNYYDAESKKDPFAYLPEGFVERTKDVGLVVPSWAPQIRVLAHRATGGFLTHCGWNSVLESLVYGVPMVAWPLYAEQRQNAVMLSEGVGAAIRVPESKGREKIAAAVREVMEGEGKGAAVRAKVAELQKAAAEGLREGGAAATALAEVVGKWTCGEN >PVH33675 pep chromosome:PHallii_v3.1:8:3252483:3254837:-1 gene:PAHAL_8G043600 transcript:PVH33675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPRWAHRRSRVWRFSDQIGVGKSQQKETRLRSREIASACPPSLPFLPTPPRRRGRPETEQPHREGEEQRNRERKMSSAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >PAN41455 pep chromosome:PHallii_v3.1:8:3395239:3399712:-1 gene:PAHAL_8G045400 transcript:PAN41455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDPSENANAKAKLPQPVTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTSEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDRPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDIDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNSLHLNLGELLNPSKT >PAN41454 pep chromosome:PHallii_v3.1:8:3395703:3398942:-1 gene:PAHAL_8G045400 transcript:PAN41454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDPSENANAKAKLPQPVTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTSEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDRPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDIDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNSLHLNLGELLNPSKT >PAN41453 pep chromosome:PHallii_v3.1:8:3395703:3398942:-1 gene:PAHAL_8G045400 transcript:PAN41453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDPSENANAKAKLPQPVTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTSEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDRPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDIDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNSLHLNLGELLNPSKT >PAN41456 pep chromosome:PHallii_v3.1:8:3395239:3399712:-1 gene:PAHAL_8G045400 transcript:PAN41456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDPSENANAKAKLPQPVTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTSEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDRPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDIDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNSLHLNLGELLNPSKT >PAN41558 pep chromosome:PHallii_v3.1:8:4057711:4061541:-1 gene:PAHAL_8G053000 transcript:PAN41558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGALQLPPGFRFHPTDDELVMYYLLRKCGGLPLAAPVIAEVDLYKFDPWSLPEKAAGGEKEWYFFSPRDRKYPNGSRPNRAAGAGYWKATGADKPVGSPRPVAIKKALVFYAGKPPRGVKTNWIMHEYRLADVDRSAAARKKTNNNALRLDDWVLCRIYNKKGVIERYDTVDDDEGAAAAADDVKPAATKNPRGAGGRAPMKVEVADYGGYYDDYDLETPSAGMLCFDRPTAAAPVPAPAPALAPAPALSPTEPDPDRDSSSMPWMHTDNSSSGSEHELSPSPDLPDRDHAESQPGGGGAGWWPGADWSGAAGDDGFLVLDDGGAALFGPPSPGLFARVDVAAAAAFGDMFAYLQRPF >PAN42439 pep chromosome:PHallii_v3.1:8:22678980:22681312:-1 gene:PAHAL_8G138500 transcript:PAN42439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLDDKECYRYTTNGAAASGRPQRKNPAPSRPKPTPSKWDDAQKWLVGISSGRADGVHGGAARPRNSNADDRRLLGSSSQNGRVSCSSVDGALEYSMVMTAPPTPPQEGEGADDVGETKKIDCMVRQHGSPVAVIRSVCLRDMGTEMTPIASKEPSRTATPLRASTPVARSPISSRSSTPARRCQERPVAITTAVVGTTTEPAAAGKVGCAGEEGPVAHVPSSANSLESRAAAWDEAERAKFTARYKREEVKIQAWENHEKRKAEMEMKKLQMKAEQMKARAQEKLANRLAATRRIAEEKRASAEAKLNERAARTSEKANYIRRTGLLPSSFKMPCLCG >PAN43117 pep chromosome:PHallii_v3.1:8:41508037:41513578:-1 gene:PAHAL_8G228900 transcript:PAN43117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLAFVLVLLGCLQSSAAAEFQVEALYEMRQLLIDNSGVLNDWKDNQMSPCYWDHVTCQDNKVTKISLSSSGLTGVLSPSIAKLTTLQQLLLNGNSITGGIPQEFGNLSSLTTLNLGRNNLNGSIPDSLGRLPKLEILDLSQNNLSGSIPSSFLNLPSLNNINLAYNNLSGEIPQYLLRVAQYNYTGNHLNCGQHLFSCEGGSTRTGGSKMSKLKVVLGSIAGAVTLLVIGVLIVLWWQRMRYRPEIFIDVSGQNDHRLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLPGPDSIKIAVKRLFNVESPEGDLPFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPALDWSTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNCEAVVGDFGLAKMVDIGRNTVTTGVRGTIGHIAPEYIKTGRPSVKTDVFGYGVTLLEIVTGERAIAFYPDRIEEAGEIMLIDQLSCTFERKKDI >PAN43319 pep chromosome:PHallii_v3.1:8:41506292:41513578:-1 gene:PAHAL_8G228900 transcript:PAN43319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLAFVLVLLGCLQSSAAAEFQVEALYEMRQLLIDNSGVLNDWKDNQMSPCYWDHVTCQDNKVTKISLSSSGLTGVLSPSIAKLTTLQQLLLNGNSITGGIPQEFGNLSSLTTLNLGRNNLNGSIPDSLGRLPKLEILDLSQNNLSGSIPSSFLNLPSLNNINLAYNNLSGEIPQYLLRVAQYNYTGNHLNCGQHLFSCEGGSTRTGGSKMSKLKVVLGSIAGAVTLLVIGVLIVLWWQRMRYRPEIFIDVSGQNDHRLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLPGPDSIKIAVKRLFNVESPEGDLPFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPALDWSTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNCEAVVGDFGLAKMVDIGRNTVTTGVRGTIGHIAPEYIKTGRPSVKTDVFGYGVTLLEIVTGERAIAFYPDRIEEAGEIMLIDQVKILMEERRLHEIVDHNLGGAYNREELEKITQIALLCTHMDPDQRPAMSEVVQMLEGEFVPVERWEEWQLAELNRRQQHETRQQRKLFSFSEESLNIQEAIELSTGR >PVH34451 pep chromosome:PHallii_v3.1:8:40882264:40883661:-1 gene:PAHAL_8G221700 transcript:PVH34451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRGGPGWSRDPPLHVRTPHRRRGAPSRPSPSVALVQEWRSTECPRAPEPPGPGAGRQPQHGPWADLPADILGLVVGRVPRADDRARLRSACRAWRAAARAHGRQPPPLPLLVLSDFAFSCFCADGAMTGARRIRLPSREMAVDVRCVGAFQEWLACVQLNKGRYFGDSRCFLMDAFSRDVVWLPPPSVATHFIDQYSRSLPIANGSGAVHCTVNAAQYVMSFCKVVLSSSPDPGSRCVVAAISVHRSAAKLALWRPGMTSWCVCHGGCISKFSDIALYQGKMYIFNKLTTNLFVFDISEDDSGLMVSRAVRCVTKLPEVKGSYGQRWNMVEWHGKLLLVVTYLGAEGWHNICKIGVFELDLSTNPFSLTEINSLDGDCIFISPCSSNSFRACQYDGVEDDLIYFIDGGLGGLFPAKNGPPFHRFVYSMRDGTMAPFAAEIPEDNLRALDGSLMNPTWLFPSE >PAN41782 pep chromosome:PHallii_v3.1:8:5942536:5945534:1 gene:PAHAL_8G069800 transcript:PAN41782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKKQGAGGGGDEKGLLWKLPEITSNELGKIGPGFGIGIGCGAGAGVGFFGGAGLGFGFPGLTLGFGVGAGCGVGIGFGYGMGKGIAYDEHKRYSNVGKMFQEAPHLPTDTVVALFDGLVINTKKLVTATSKGIEKWR >PAN43320 pep chromosome:PHallii_v3.1:8:43002856:43013387:-1 gene:PAHAL_8G246000 transcript:PAN43320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MEVDPSPSPPSSRPPPLPGYFDPESSGRREEYRRYRKRLSSSNVSPLLGSSLSKSSEARLLYDEGSIRRRPNAGLLLEDIKQEAEDYSTFEGLDGSRMFSSAKRRASLDGGSASDAAYSSGRQAVRQTLKPVKMEDDMYVPHEGETSFTMFASLLDSAIQGLMPFPDVILQFERTCRNASESIRSAATGKLRVVEDKLMQQKAQLLLDEAASWSLLWYLYGKGNEDLSGELFVSPTTSHQEACRLVAADLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRNNNDSTIVKHVDFDAPTREAAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQAWRAATLSPFGGIDMFPSLDALLKNGMSRTLQAIELESGIGRQWRLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRVLPICTDWESACWAMARSWLDVQVDLELSQYQTSRPDEKQLDDDMNGAQSSVGPESWPYHVLDQQPRDITALLQKLHSSDFVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWLSPAEEDQNIARPLDDPEMIRFGAHVVLVLRYIFSDEMEDELEEKLVTVGDLIINLYVRYLFSEDQEELVGVYASQLQRDLCINLFVEMMELRLNSSLHTMYKLFLSAVEYLPFSSGDVSKACFEEIIERVLSRSRQTKPSKYDEDFSDVAHQHHLQSLQKAMVIQWLCFTPPPSIPDFQMITGKLLIRALMHSNTLFREFSLISMRRVPELPAGPHKLLAILAEPLKQKENLISLEDQEVSDNLQEFEDWHEYYSLDATYRNWLKVEMQNAAVSPDMLSAEENDQAVAAAKETLELAFLLLKNERPWLDAVESSPFEPSEPIFLELHASAMLCLPSGECMLPDATSCTALTSALYSTASEEDVLHRQLKVDVQVSSRDPCCIEVALRCLAAEGDGYGLHEASDGGLLAAIMAAGFKGELSRFQPGVSMAISRLDAWFSDGNGSVESTAAYIIRGLCRRCCLPETILRSMQACISLSAAGDSLDDCDKLIELVGSSESRMLHLFSQQQLQEFLIFERECLISKMELEEEQQLSSDG >PAN43572 pep chromosome:PHallii_v3.1:8:43003773:43013254:-1 gene:PAHAL_8G246000 transcript:PAN43572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MEVDPSPSPPSSRPPPLPGYFDPESSGRREEYRRYRKRLSSSNVSPLLGSSLSKSSEARLLYDEGSIRRRPNAGLLLEDIKQEAEDYSTFEGLDGSRMFSSAKRRASLDGGSASDAAYSSGRQAVRQTLKPVKMEDDMYVPHEGETSFTMFASLLDSAIQGLMPFPDVILQFERTCRNASESIRSAATGKLRVVEDKLMQQKAQLLLDEAASWSLLWYLYGKGNEDLSGELFVSPTTSHQEACRLVAADLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRNNNDSTIVKHVDFDAPTREAAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQAWRAATLSPFGGIDMFPSLDALLKNGMSRTLQAIELESGIGRQWRLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRVLPICTDWESACWAMARSWLDVQVDLELSQYQTSRPDEKQLDDDMNGAQSSVGPESWPYHVLDQQPRDITALLQKLHSSDFVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWLSPAEEDQNIARPLDDPEMIRFGAHVVLVLRYIFSDEMEDELEEKLVTVGDLIINLYVRYLFSEDQEELVGVYASQLQRDLCINLFVEMMELRLNSSLHTMYKLFLSAVEYLPFSSGDVSKACFEEIIERVLSRSRQTKPSKYDEDFSDVAHQHHLQSLQKAMVIQWLCFTPPPSIPDFQMITGKLLIRALMHSNTLFREFSLISMRRVPELPAGPHKLLAILAEPLKQKENLISLEDQEVSDNLQEFEDWHEYYSLDATYRNWLKVEMQNAAVSPDMLSAEENDQAVAAAKETLELAFLLLKKDERPWLDAVESSPFEPSEPIFLELHASAMLCLPSGECMLPDATSCTALTSALYSTASEEDVLHRQLKVDVQVSSRDPCCIEVALRCLAAEGDGYGLHEASDGGLLAAIMAAGFKGELSRFQPGVSMAISRLDAWFSDGNGSVESTAAYIIRGLCRRCCLPETILRSMQACISLSAAGDSLDDCDKLIELVGSSESRMLHLFSQQQLQEFLIFERECLISKMELEEEQQLSSDG >PVH34252 pep chromosome:PHallii_v3.1:8:35074802:35074945:-1 gene:PAHAL_8G180200 transcript:PVH34252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein J [Source:Projected from Arabidopsis thaliana (ATCG00550) UniProtKB/Swiss-Prot;Acc:P56781] MWRKVGEMADTTGRIPLWLIGTVTGILVIGLIGVFFYGSYSGLGSSL >PVH34509 pep chromosome:PHallii_v3.1:8:42117066:42118853:-1 gene:PAHAL_8G235500 transcript:PVH34509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGILQGFVVGAGSFPCLVSCWFSRSVWPVVFQQEAMPRLRELRIWPLFYVREARGMASSDGGLDFGLGNLLSLQEVKIEILGAGATKEAAEQAMVPLTHVASIQMKNSKPEKRSASPHLQRLNWSTMDGTKQLEPYRNAAPCR >PAN42629 pep chromosome:PHallii_v3.1:8:27673177:27674328:1 gene:PAHAL_8G153300 transcript:PAN42629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGYFTNLLNDGQYDAGFEDYGTIPIESQQSPPENEINLTSKAPQKQKGKNFSVDEDRLLVSAWLNVSTDPTQGTNQTKDTFWRRIHRYYDSNRGPLPDRNQNSLLHCWSSINDAINNRNQSGMTIHDRVEEAEKLFKSLDTHNKSFQFRHSAAKNSHRKQKEAKNTSPGLVDPTTPDGNQDAPAETSPPQTDLPRRIIGKKMAKEMRRGGMDAYSDALDTLWAKKREADAEKELKRDDRFAKLEQKKLAIEEQKYTNEQKRLEQEKERLDNEANNLYLKRIAEEQRIMSMDLSAMSDMQRQYYMCLQAEIVARRMN >PVH33882 pep chromosome:PHallii_v3.1:8:8519550:8522897:-1 gene:PAHAL_8G083800 transcript:PVH33882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSALSSFKQKPANKGPQLTPRKRPISAALAACSDSVELTLLGPCNDSEEIRDELGSYLKEKVNNEDVVLKKVCPDLLVCNENDQIKHMLSSFPEEVVKQQNTVLPFESHLTVPSQSSLIGFRSLKYDPELCLSGQLELSSSRMITMPSVPQTGQLQASLFGAFGQLRPLPQGPIFRMQSHTIDFGSIVGSAKSFTDQGKQANDKCGYLMTGSKQNTNSGSFSGTGLSRKRLFTGQGKQTNDKDRYLITGSKWNINYGSSSGTDLSTKRLMENVSPQTPQLIRGRPKIMQKRKRMADKKVKEASKLAAISEEPTEPNNDDGKDLPKNLDPGSVLLSEVNTVTHPDSRPLNDDKGSQGVSVFLMESSVADEIIPSGVPIGLNKFHQEDCIGAAQHGATEVSVLIEKSVLSITSALDNPVEAHVLTSYSSTQCGNKAANANKSAIIMEQGTSEEFCQQSSVMDVDANVHLLPSADSCEDTGDKDNMEAMVEYVGSCVVPTENVSSMGSVAPNQLLSSENPEDVDHQENTCNIPETKHAGADGLSSVISFPEFKKFAAHEQHGISQQDYSSVMIEFAAEGIPSIKNSEITQLSSISMSSSTEAEQGDTLIDPKDSEHTPMSKFVPSKTQGSCLSLLQRSLDVHESIMADRTTESLAIENLPFMKTSPMWEQIEAMEVFRNVPQQPNFHKFQQHVPELREGMALGLMLSFANLAESIRRLSIHDEVALFEEKMKGLSLLEADGFDVRHLRSRLETLLHIRSGCSELQAAIKELKNKSSHKETDDRHLSAQIGLLNMTVRHLELQAYLFRCITQSAISQKMNNASEAVRLKTEAGMLEQSYLSAEQRFSSAAAAPWYILLPGSNEPSHV >PAN42218 pep chromosome:PHallii_v3.1:8:8518468:8525208:-1 gene:PAHAL_8G083800 transcript:PAN42218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRPRRHGRPRAELGGEAARADGEEEPVLFPLGAPVEVCSDDSGFAGSFYEATVVGYQPGGAGYVVAYSTLSRSGAGGSPLRERVAAADVRSRPPPAPPRGFAVHEMVEAFHNDGWWAGVVCAVPPAAPEDETVERPRRRVYTVCFPTSRELLEFEEAALRPNRVFQGERWVPAAEAENGIPLFRDGSQVEVSRSAKTFGKYWSPAIILKVIGATSFLVQYKDVREDGGQVTEILDSQYIRPSRKIIHMDSKYRFPPSSHVEVFHEGSWWTGAILEVLDTESPKKYVVKIKSEDAEMDDVECVDLLTVDHTQLRPKYNWYRGKWVRFLTEKPANKGPQLTPRKRPISAALAACSDSVELTLLGPCNDSEEIRDELGSYLKEKVNNEDVVLKKVCPDLLVCNENDQIKHMLSSFPEEVVKQQNTVLPFESHLTVPSQSSLIGFRSLKYDPELCLSGQLELSSSRMITMPSVPQTGQLQASLFGAFGQLRPLPQGPIFRMQSHTIDFGSIVGSAKSFTDQGKQANDKCGYLMTGSKQNTNSGSFSGTGLSRKRLFTGQGKQTNDKDRYLITGSKWNINYGSSSGTDLSTKRLMENVSPQTPQLIRGRPKIMQKRKRMADKKVKEASKLAAISEEPTEPNNDDGKDLPKNLDPGSVLLSEVNTVTHPDSRPLNDDKGSQGVSVFLMESSVADEIIPSGVPIGLNKFHQEDCIGAAQHGATEVSVLIEKSVLSITSALDNPVEAHVLTSYSSTQCGNKAANANKSAIIMEQGTSEEFCQQSSVMDVDANVHLLPSADSCEDTGDKDNMEAMVEYVGSCVVPTENVSSMGSVAPNQLLSSENPEDVDHQENTCNIPETKHAGADGLSSVISFPEFKKFAAHEQHGISQQDYSSVMIEFAAEGIPSIKNSEITQLSSISMSSSTEAEQGDTLIDPKDSEHTPMSKFVPSKTQGSCLSLLQRSLDVHESIMADRTTESLAIENLPFMKTSPMWEQIEAMEVFRNVPQQPNFHKFQQHVPELREGMALGLMLSFANLAESIRRLSIHDEVALFEEKMKGLSLLEADGFDVRHLRSRLETLLHIRSGCSELQAAIKELKNKSSHKETDDRHLSAQIGLLNMTVRHLELQAYLFRCITQSAISQKMNNASEAVRLKTEAGMLEQSYLSAEQRFSSAAAAPWYILLPGSNEPSHV >PVH34076 pep chromosome:PHallii_v3.1:8:20624677:20625537:-1 gene:PAHAL_8G133000 transcript:PVH34076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTEHPRYYSREYEHHGTLRCRVILVIARSNRHPDIQPWRVTATGFRHQDTYPLAIRKALRYFCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRHHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQEWTQSGTPVSAIGEDHVLLGTPVIGWGTLFGNTPAPPENPESSAAAVEGEAAAQPLTDGNPEDGEQGSLTLPAPEEGTPRE >PAN42207 pep chromosome:PHallii_v3.1:8:8528912:8535537:1 gene:PAHAL_8G083900 transcript:PAN42207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPIVLDFYYILFISPLSQDLSNYSFVSVIIPASYLQNWGKCNPMYLLICEKLAMQSYTFSQILNKQNYWLIKFMFNLYLFRHLLIVFQECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQVRSKLSTFSGFGVPAEVTCGGNALKFYASVRMNIKRIGLIKKFEEAIGAQIQVKIVKNKHAPPFKTVQLELEFGKGLSRESELIELGCKHKFITKSGVFYHMNGQTFQGKDVIKRYLAENGDVQEDLMTMLREKIMQNESQLDRHEEGVNLDKNASEIAAATDEEVNDELEA >PAN42214 pep chromosome:PHallii_v3.1:8:8529820:8533439:1 gene:PAHAL_8G083900 transcript:PAN42214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPIVLDFYYILFISPLSQDLSNYSFVSVIIPASYLQNWGKCNPMYLLICEKLAMQSYTFSQILNKQNYWLIKFMFNLYLFRHLLIVFQECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVRRM >PAN42210 pep chromosome:PHallii_v3.1:8:8528911:8535537:1 gene:PAHAL_8G083900 transcript:PAN42210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVLRRGQALLRRLLSARSPSSASSALECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQAIGAQIQVKIVKNKHAPPFKTVQLELEFGKGLSRESELIELGCKHKFITKSGVFYHMNGQTFQGKDVIKRYLAENGDVQEDLMTMLREKIMQNESQLDRHEEGVNLDKNASEIAAATDEEVNDELEA >PAN42213 pep chromosome:PHallii_v3.1:8:8529820:8533439:1 gene:PAHAL_8G083900 transcript:PAN42213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPIVLDFYYILFISPLSQDLSNYSFVSVIIPASYLQNWGKCNPMYLLICEKLAMQSYTFSQILNKQNYWLIKFMFNLYLFRHLLIVFQECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVRRM >PAN42211 pep chromosome:PHallii_v3.1:8:8528911:8535537:1 gene:PAHAL_8G083900 transcript:PAN42211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVLRRGQALLRRLLSARSPSSASSALECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQVRSKLSTFSGFGVPAEVTCGGNALKFYASVRMNIKRIGLIKKFEEAIGAQIQVKIVKNKHAPPFKTVQLELEFGKGLSRESELIELGCKHKFITKSGVFYHMNGQTFQGKDVIKRYLAENGDVQEDLMTMLREKIMQNESQLDRHEEGVNLDKNASEIAAATDEEVNDELEA >PAN42216 pep chromosome:PHallii_v3.1:8:8529820:8533439:1 gene:PAHAL_8G083900 transcript:PAN42216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPIVLDFYYILFISPLSQDLSNYSFVSVIIPASYLQNWGKCNPMYLLICEKLAMQSYTFSQILNKQNYWLIKFMFNLYLFRHLLIVFQECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVRRM >PAN42217 pep chromosome:PHallii_v3.1:8:8529820:8534694:1 gene:PAHAL_8G083900 transcript:PAN42217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPIVLDFYYILFISPLSQDLSNYSFVSVIIPASYLQNWGKCNPMYLLICEKLAMQSYTFSQILNKQNYWLIKFMFNLYLFRHLLIVFQECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQVRSKLSTFSGFGVPAEVTCGGNALKFYASVRMNIKRIGLIKKFEESHVTGYWCANSSQDCEEQTCSSI >PAN42215 pep chromosome:PHallii_v3.1:8:8528911:8535537:1 gene:PAHAL_8G083900 transcript:PAN42215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVLRRGQALLRRLLSARSPSSASSALECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQVRSKLSTFSGFGVPAEVTCGGNALKFYASVRMNIKRIGLIKKFEESHVTGYWCANSSQDCEEQTCSSI >PAN42212 pep chromosome:PHallii_v3.1:8:8528911:8535537:1 gene:PAHAL_8G083900 transcript:PAN42212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPIVLDFYYILFISPLSQDLSNYSFVSVIIPASYLQNWGKCNPMYLLICEKLAMQSYTFSQILNKQNYWLIKFMFNLYLFRHLLIVFQECCNQNVSYTVQLRSLSAEGREQSKAEEHDYGDKNIKQNDFALQQALDQITSAFGEDSIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQAIGAQIQVKIVKNKHAPPFKTVQLELEFGKGLSRESELIELGCKHKFITKSGVFYHMNGQTFQGKDVIKRYLAENGDVQEDLMTMLREKIMQNESQLDRHEEGVNLDKNASEIAAATDEEVNDELEA >PVH33883 pep chromosome:PHallii_v3.1:8:8528911:8535537:1 gene:PAHAL_8G083900 transcript:PVH33883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLNHAYGRKEVPVISTGSFALDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQVRSKLSTFSGFGVPAEVTCGGNALKFYASVRMNIKRIGLIKKFEEAIGAQIQVKIVKNKHAPPFKTVQLELEFGKGLSRESELIELGCKHKFITKSGVFYHMNGQTFQGKDVIKRYLAENGDVQEDLMTMLREKIMQNESQLDRHEEGVNLDKNASEIAAATDEEVNDELEA >PVH34533 pep chromosome:PHallii_v3.1:8:42605592:42606111:-1 gene:PAHAL_8G240000 transcript:PVH34533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAHWLFLFLLEWTRFLHRSQKIAVALMPLELALLKTVGQHPKLCVVPKVFVSGVQKNCHVATVVLSKCSYMLFKK >PAN43861 pep chromosome:PHallii_v3.1:8:44790777:44792760:-1 gene:PAHAL_8G267400 transcript:PAN43861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFSSTVGAPASTPASFLGKKLRKQATAAVNYHGKSSGANRFRVMAAKEIDESKQTDSDRWKGLAYDISDDQQDITRGKGLVDSIFQAPMGDGTHEAVLSSYEYMSQGLRDYSAWDNMKDGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCLGIFRTDGVPQEDVTKLVDTFPGQSIDFFGALRARVYDDEVRKWVAETGVENIGKKLVNSKEGPPKFEQPKMTIEKLLEYGHMLVAEQENVKRVQLADKYLSEAALGEANEDAMKTGAFFK >PAN42480 pep chromosome:PHallii_v3.1:8:29190381:29192393:-1 gene:PAHAL_8G158200 transcript:PAN42480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRRFSLTVSLISLVEFLSLTSASMSQQLCADGGGGGPAAGVRAGYWSPSSSRYSPVSSIDASLFTHLYYSSVSIDEASYAVAPPPADEASLLAAFSSTVKAGSPSAKTMLSIGTNEYRMDVSNAAFSRMASDRSLRGVFINSSVELARANGFDGLDLSWIFPATQMDMENLGVLLAEWRAKITEESTTNSLSEPLLLTATLYFSNHLFDMPDDNLDYPIDDISSNLNWANILTFGFHGGSNVTTVDAPLYDATSHFSVSYGVISWLDAGVPPCKLVMGIPLFGRSWFLRNKAKNGIGSPTAAAGTKQRKSNQTGIIAYAEVEEYMDSASTVVTYDSQSVAEYFYNGDLWVSFDSAQVVQKKLEFAARSQLLGYFLWTVGFDDSNSTISKQATESWHQYGQGGFGTMHAGGSNQYVAFNSSSVSSGSWYSKILSYLLSSVLLLVTLSR >PAN43062 pep chromosome:PHallii_v3.1:8:39375510:39377184:-1 gene:PAHAL_8G208700 transcript:PAN43062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSPSPSGRRLSELLEEKQEPFSLDIHLLEKGCSSRLIDGYDTVLCWPAAGNDGAAAVLKRLTSKKNKAAKKKQQPTGGLLQLLLSKILRGRAAAAAPRKPAALQFSESFKTAATTNNFAAVAPATSPCPDHLGAVKTGAGGAKAQDGSAAECCYSSDCEYSDDEKQQLSPVSVLEPHPFESSPAAHHFGVGGSRLSPSKNAMDVFRELLDAACSPALLTQLLARTDDLLLDGAAADDDEEDEYYYGGGYYGSPKSRRDDESAAAYWDAHRAELARVSELVASEVPASKLAPADVRPEREGVGAEVAAAVLDALVRELVAELGSGGRC >PVH33909 pep chromosome:PHallii_v3.1:8:10538862:10539253:1 gene:PAHAL_8G091200 transcript:PVH33909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYPVVHIRLMHWIGYALQKVHEPRNMYCMQTAHSMSFPFLIVEVPNIHYHIT >PAN42799 pep chromosome:PHallii_v3.1:8:36422519:36424238:-1 gene:PAHAL_8G185800 transcript:PAN42799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKLVAAAVLTSLLALAILASCSTEGDILYKQRLAWKDPNNVLQSWDPTLANPCIWFHVTCNMNNSVVRVDLGNAGISGPLLPDLGGLVNLQYLELYGNSLIGPIPAILGNLTNLISLDLWDNLLTGPIPTSLGTISTLRFLRLYQNNLTGSMPPSLGSLTSLQELKLEKNAFSGSIPSSLGNLKTLRFLRLNENMLTGTVPLEVLSLAIVGNLTELNIARNNLDGTVRSSELRVTEIIQDELKTT >PVH33584 pep chromosome:PHallii_v3.1:8:1844246:1844860:-1 gene:PAHAL_8G026100 transcript:PVH33584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPDSMDAVESTDTEELAPDSMEAPEEDALDSMEVALEALEELAASASLPESLAPEEIAVPESLPDFVPDSMEPDSQGPYRTIHGDNDIVACRLARREASRCECCRLVHRDYDLVARILDDQDKFDCLIYIPDVDKLQMSGEIILVPEHVQNKLDEQRKMKKMQTKNNRQSKD >PVH33497 pep chromosome:PHallii_v3.1:8:920296:924279:-1 gene:PAHAL_8G013400 transcript:PVH33497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRYQRKDMSGRLKDTVVNRKENHGQETVHEGNPKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFIARAHYPSIAKIKQHGRFTRELKQSIQEHERMLSDTITDADLPPVFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAILYHLGVQTMPKTHHCLNMRLTLEYFKSRSIRTDQLNRQKLESPAFQHYVIFSRSILAVSTTINSTVLNCQDSGSIVFHLFTDAQNFYAMKHWFERNSYLEATVHVTNIEDHLELPKHAEMQKLLPSEEFRVTIRNYSEPSLRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMDGKVIGAVQFCGVKLGQLRAYISEHSFNSDACVWLSGLNVIELEKWRDLRVTSLYDQSLQKLQKESLTSKRLNALPVSMLAFQDLIYPLEDSWVQSGLGHDYGISRDHIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMTTGEMFMTECNIH >PVH33498 pep chromosome:PHallii_v3.1:8:920296:925764:-1 gene:PAHAL_8G013400 transcript:PVH33498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHQHQQHSSPLLLPPNKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPGYGSEERAAVVISTKLAGVGARNKQHLENGGAMKHKLLKDVSKKKTSGSNGIPVEKSTRSKSKAKLKGTFSLVELNNDTFVSKGPHMLKRYQRKDMSGRLKDTVVNRKENHGQETVHEGNPKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFIARAHYPSIAKIKQHGRFTRELKQSIQEHERMLSDTITDADLPPVFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAILYHLGVQTMPKTHHCLNMRLTLEYFKSRSIRTDQLNRQKLESPAFQHYVIFSRSILAVSTTINSTVLNCQDSGSIVFHLFTDAQNFYAMKHWFERNSYLEATVHVTNIEDHLELPKHAEMQKLLPSEEFRVTIRNYSEPSLRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMDGKVIGAVQFCGVKLGQLRAYISEHSFNSDACVWLSGLNVIELEKWRDLRVTSLYDQSLQKLQKESLTSKRLNALPVSMLAFQDLIYPLEDSWVQSGLGHDYGISRDHIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMTTGEMFMTECNIH >PVH33496 pep chromosome:PHallii_v3.1:8:920296:923886:-1 gene:PAHAL_8G013400 transcript:PVH33496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDVSKKKTSGSNGIPVEKSTRSKSKAKLKGTFSLVELNNDTFVSKGPHMLKRYQRKDMSGRLKDTVVNRKENHGQETVHEGNPKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFIARAHYPSIAKIKQHGRFTRELKQSIQEHERMLSDTITDADLPPVFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAILYHLGVQTMPKTHHCLNMRLTLEYFKSRSIRTDQLNRQKLESPAFQHYVIFSRSILAVSTTINSTVLNCQDSGSIVFHLFTDAQNFYAMKHWFERNSYLEATVHVTNIEDHLELPKHAEMQKLLPSEEFRVTIRNYSEPSLRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMDGKVIGAVQFCGVKLGQLRAYISEHSFNSDACVWLSGLNVIELEKWRDLRVTSLYDQSLQKLQKESLTSKRLNALPVSMLAFQDLIYPLEDSWVQSGLGHDYGISRDHIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMTTGEMFMTECNIH >PAN41835 pep chromosome:PHallii_v3.1:8:6357191:6358219:-1 gene:PAHAL_8G072700 transcript:PAN41835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMPRAPRKPEPPVSRLTRLTLMAADFVIDATRRADGTLNRGVLSLLDPPVPAVPSPWCGVATRDVVVDRALRLRARLFLPAAAAADGRPLPVIVFFHGGGFAFFSAASLAFDVACRSIARCASAAVLSVDYRRAPEHRFPAPYEDGLAALQFLDDPKKHPVPLDVSRCFLAGDSAGGNVAHHVTRRYAANPSLFTNVRLAGLVAIQPFFGGEERTPSELRLDGAAPIVSITRTDWMWRAFLPPGADRTHEAANFASPAAAAGLDSPAFPPVLIAIGGYDPLQDWQRRYGEMLRTRGKDVRVVEYPDAIHGFYTIPMFDDARDLIIRIAEFVAESGGGRSQ >PAN43379 pep chromosome:PHallii_v3.1:8:41853636:41854956:1 gene:PAHAL_8G232100 transcript:PAN43379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCVRIRGSKPPSPGEAAAYLTLRSGRRVPVAAAATCSPPGRRRSSRGSACRGCGATATAKRTCGSPGRRKSAELPRPAFSGGAGRPEEELPTPPQASPVARDGDRGDSSEANTPLSGDGVVQLKREHESKAGVAGQPSPPLEAEMEAFFAAAELAERRRFAEAYNYDVALDRPLEGRFEWAPVST >PAN43668 pep chromosome:PHallii_v3.1:8:43745659:43748292:1 gene:PAHAL_8G254300 transcript:PAN43668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPTIVLALRAEAPLANTVHPGVKDVVDVPKWSPKDVLPRRSSRRRRGRAGGRFQPIGLNFSLELTEDEINEDIYALTGALPHHHLRQRPVPLQEMLKKLVPGGPLASLTPETYPLRQQDP >PVH33438 pep chromosome:PHallii_v3.1:8:407861:410337:1 gene:PAHAL_8G003800 transcript:PVH33438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVSTNVNLEGVDTSAILAEASKAVANIIGKPEAYVMVVVKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSVPKSRFYLKFHDSKRSDFGWNGSTF >PAN43407 pep chromosome:PHallii_v3.1:8:43553041:43555610:1 gene:PAHAL_8G252100 transcript:PAN43407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSRQGRSLGGGRGRRSLRTGQDGHVRAPAGHPPTSGAAASCSPSPSASLAGESTRAPERKDPHDLRPNPKVQLAQAKRFATGVLEHYNKNKKIKFELLDAKPVISIPVPRCCYTHINFTARSSEEDSHEQLFFAEILHCSKRRAPSGFIITCCEPLGSDSAGQKFRQPDGSSVVSKNADSTYCFACTERMLHPRGENYVAGHCNIPRVYDYVR >PVH33404 pep chromosome:PHallii_v3.1:8:14086:16493:1 gene:PAHAL_8G000200 transcript:PVH33404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSTSPFGQNSFGTQQGFGQATPAASNPFAPKPFGSPTTTFGAQTGSSLFGTTSTGAFGQQQSTPTFGTTSTAAFGQQQSTPTFGTPSSSLFGSSPAFSASPTPAFGATSPTFGSGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGSSTFGTSTTPTFGTTTTPAFGVTTPAFGTTTTPAFGATTTPAFGSTSPSLFGASSTPAFGSSAPGFGTSATTAFGVSSTTPAFGSSSTPSFGTSTNAFSFGSSPSFGQTAVSFGSSPFGTTSPFGADSSIWLTDSSTRFWASTIW >PAN43397 pep chromosome:PHallii_v3.1:8:41939761:41944486:1 gene:PAHAL_8G233100 transcript:PAN43397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAGNEAEQAAPDINARPPPAVLDPQLFMAARRGDSERLKELLLLRNDGDQQQGGPAAATATTTAQVILEVDRPPAAAPLLHLLDGLTGNEGDSLLHVVAACGHDGRGDFLNCAKMIYRGRSGLLVARNNRGDTPLHCAAGAGNAGMISCIMALAAAGDETTVTEFLRMRNKCGETALHQAVRAGCKASMDKLMSVDPELAAFPSEADEGNTTSPLYLAISLGKEDIAKHLIQKSNGKLSCSGPDGRNVLHAAVTRRKALPMLLELFKDMTVQVQQGEGARRVSTVPLLSQLTMQRDIDGSTPLHLAASADRWSEAWVISKRFKHNWLWSKSTITLLLDANICSAYQPDNKGLYPIHIAALADNLGVTKVLLQRCPGCATLQDGKGRTFLHVATRPGIYKVARNACAQPELSTILNVRDNNGDTALHHAVHEGNLVAFNCLIQNPKVDLSIANKDELTPLDLSWVKIPQGLHYQSHPRVVISRTLLVVGAPAGGSRSDLFREKYIGEIDVGKMSQDLANTTQAMGIVSVLIATVTFASAFTLPGGYYQSSSDGGVAGTPILAGSYAFSAFILADALAFISSCLATFSLVFAGVPAMELSVRHKHIEISTMLLHASGASLMASFALGLYLVLAPIARAIAITVCMISYGALVFGNMEGWQMLRGLNTARARLGIRRLLATLSDLAPVAGLSAFFPFMILIVIFGLPAVIGDLGKTLSSLLAILGKVK >PVH33602 pep chromosome:PHallii_v3.1:8:2086593:2092810:-1 gene:PAHAL_8G029600 transcript:PVH33602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRTPTPTPRPPPVAFQIHRSPTPRRRTRCSAPTDRAATPDPEADAGPPIRLLAGPLAVPCPAGMSCGVRDRCLELERAIVGRVSSGKSLGLDDAVKMFDELLPHARPASVRAFNHLLTSIFRAQGRGSSTSALVVSLFNRMVRASPNKVAPNGSTYNILIGCFCRMGRLELGFASFGLVLKAGWRVQTVINPLLKGLCDTKRVDEAMDILLRRMPEFGCPPNVVAYSIVINGLFREGQVDKAYNLFREIDNQGILPTVVTYNTVINGLCKAQAVDRAEGVLRQMVHKGVKPDNQTYNCLIHGHCSSGLGKEVVRMLKEMSAHGYQPDIVTCNLLLDYLCKSGRCTEARKIFDSMIEKGTKPNVTTYGVLLHGYATKGALSDMHGLLDLMVENGVSPDHRTFSIVLWAYGKGGMINEAMRIFDQMRQQGLSPDGVNNGALIDALCKSGRVDEAMLKFNQMIYEGVTPNIIIFNSLVYGLCTVGKWEKANELFSEMLNQGIHPNALFFNTIMCNLCREGRVTEAQSLLDLMVCVGVRPDVISYTTLMDGHCLAGRMKEAMKLLDDMVRVGLKPDAFSYNTLLHGYCRAGRIDDAVRLFREMLSNEVRPGIVTYSMVLQGLFRSGKISEAKELYPNMINSGVQLDIYTYNIILDGLCKNNCVDEAFKIFQSLCSKGFQLHIITFNIMIDAFLKSGRKEDAMDMFTAISAHGLVPSVVTYRLMIQNLIKEGLLEESDNLFLAMEKSGCTPDSCMLNSLVRRLLHRGEIMRAGAYLSKIDEMNFSLEAATTSLLISVFSREEYQHHAKSLPEKYHFLEAVNK >PVH34096 pep chromosome:PHallii_v3.1:8:24624603:24625337:1 gene:PAHAL_8G142500 transcript:PVH34096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVDRICHAEPGLPKLLILSLEHIGVMEPPEYAYREYTSKGTLRCDMMVFVGKSTRYPDVDPWFISTFGFRFPDTYRKAARKALRRLRVIYRHHLQRTPMGFFPPTEGRGRTWIDRMRGLEREERDLEDKVSHLSIYLTGLDALYREQAAQLKQLIHGVEKATQELEEQRIRAAHAEYSLAALQAQMQEYENRREIGGWIEEEEEPEETHWDKGTQTEDEVMDRCLPIKKRPIRIGEESP >PAN42416 pep chromosome:PHallii_v3.1:8:8682337:8689823:-1 gene:PAHAL_8G084500 transcript:PAN42416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCPLHSLGILLLPLLLALRPPAADALSPDGLALLAFKSAVTDDPSSALSSWSDADADPCGWVGVTCANTSSGGPRVVGLAVAGKNLSGHVAAELGSLPLLRRLNLHGNRLTGAVPPAIANATSLRSLFLYDNRLAGPLPAAALCALPRLQNLDLSRNALGGALPAELARCGQLERLLLAENALSGAMPAGVWRGMPRLQMLDLSSNNLSGAVPPELGGLPALAGTLNLSRNHLSGGVPPELGRLPATVTLDLRFNNLSGEIPQSGSLASQGPTAFLNNPALCGFPLQVPCRAAAPSSSSPPPLPSSAASSGGAGGGPRQPVRTSLIVLISVADAAGVALIGVVAVYVYWKVRDRRRAAGNDAKDKGDDEEEGRGLFPCPCPCMRADACADSSSESSSDDGGGKCNGGGGGAGEAGGELVAIDKGFKMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAPERYREFAAEAAAVGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALRGRSGQPSLSWSLRLRIAKGAARGLAHLHECSPRRFVHGEVKPSNILLDADYNALLADFGLARLLTIAGCTDVYSIAGSGGIMGGALPYARPAALADRSGAYRAPEARAPAGAGARPPSQKADVYSFGVVLLELLTGKAPEHGSPSSSASFLPEQQQEAPELVRWVRRGFEDARPLSELADDAVLRDAGARKEVIAAFHVALGCVEVDPERRPRMKAVSDSLDKIGA >PAN41805 pep chromosome:PHallii_v3.1:8:6074724:6075990:1 gene:PAHAL_8G070700 transcript:PAN41805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLDNAAGMAAGDMAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGREARLNFPGLVHHFRRPATAEPDDVRAAALEAAAQVRFRPDLVMQQPAGPGGGDSDSSCGSAGSPDLLLGDDVAWDVLLGTDELAPESPKMWAELAEAMLMAPPVWEGNTADNDEWAQGNLWDLPVWHC >PAN41259 pep chromosome:PHallii_v3.1:8:2186331:2191140:-1 gene:PAHAL_8G031300 transcript:PAN41259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPDAMHRTRSGGGWGSRHPPSTASLLPIRSPAQQPQKGGGRGSPSFHHRSHPPVHQSQAQRRGRERTRTQQRRVRSGTAPDPDAPAHRTTSPPRRRSERGRGAPRLLLGGGGAAMASGVSSSMALALAGFCCSVLLIAFVCSRLAYALLRRRRRRRARSRRAPPLPQYAAPHYYSFDAARHPAGPGGGGGLDPAAVAAFPTRAFAAAGGDGPRGSDCSSDADSQCIICLAEYEEKDVLRILPYCGHDFHMACIDLWLEQNSTCPVCRISLLDNPDSEHTAPPPLPSVATSPPSSPESTRSDPCRCLFASTGHSSRSSEAPRDEPDQENQVASAPSVNGASNMPLSEVDPVPENNSQTVRKQVDRSTQLGPCK >PAN41560 pep chromosome:PHallii_v3.1:8:4090706:4095749:1 gene:PAHAL_8G053100 transcript:PAN41560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEAVRELRASFAAGRTRPAEWRAAQLRALVRMIDEKEADITAALHGDLAKPHMESYLHEISLAKSSCKFALNGLKNWMKPEKVPAAITTFPSSATIVPEPLGVVLIISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSSMFAKLLPEYVDSTCIKVVEGGVSETTALLEQRWDKIFYTGSGTVGRIVLAAAAKHLTPVALELGGKCPAIVDSDVDLHVAVKRLAVGKWGCNNGQACIAPDYIITTKSFAPELVDSLKRVLERFYGKDPLESADLSRIVNSKHFQRLTDLIEEKRVADKIVYGGQTDEKKLKIAPTILLDVPQDTALMTGEIFGPFLPIVTVEKIEDSIDVVNSKTKPLAAYLFTKNKKLQQDFVANVPAGGMLVNDVALHLTNPYLPFGGVGDSGTGSYHGKFSFDCFSHKKAVLVRGFGGEAAARYPPYTPEKQKILRGLINGSFIALILALLGFPRERR >PAN41561 pep chromosome:PHallii_v3.1:8:4091950:4095749:1 gene:PAHAL_8G053100 transcript:PAN41561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISLAKSSCKFALNGLKNWMKPEKVPAAITTFPSSATIVPEPLGVVLIISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSSMFAKLLPEYVDSTCIKVVEGGVSETTALLEQRWDKIFYTGSGTVGRIVLAAAAKHLTPVALELGGKCPAIVDSDVDLHVAVKRLAVGKWGCNNGQACIAPDYIITTKSFAPELVDSLKRVLERFYGKDPLESADLSRIVNSKHFQRLTDLIEEKRVADKIVYGGQTDEKKLKIAPTILLDVPQDTALMTGEIFGPFLPIVTVEKIEDSIDVVNSKTKPLAAYLFTKNKKLQQDFVANVPAGGMLVNDVALHLTNPYLPFGGVGDSGTGSYHGKFSFDCFSHKKAVLVRGFGGEAAARYPPYTPEKQKILRGLINGSFIALILALLGFPRERR >PAN42695 pep chromosome:PHallii_v3.1:8:35724182:35730542:1 gene:PAHAL_8G182900 transcript:PAN42695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) UniProtKB/Swiss-Prot;Acc:Q9LSD6] MESRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQNWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREQMIETMFEKYNFAGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKAADFETVREIKEKLCYISYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGVACLRKCGQA >PAN43500 pep chromosome:PHallii_v3.1:8:42628141:42631107:1 gene:PAHAL_8G240300 transcript:PAN43500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKCASIWSEESEMIAHLQSMFWNSSDAHASLSSPNSSTSSCIEPSTLPTALFLPLIEKESYDTVPFENAAADWCFDHQSEAFAIGNAVTGDKRALLMDERRKKSKNSSKKPRTVAPVLMTTSSALDDKANTELINHSSSWCCSSEDDSIGVCEESVVLKQSSSSRGRSWSSKNSQSLYAKKRRERINEKLKTLQQLIPNGTKVDMSTMLEEAVQYVKFLQLQIKLLSSDETWMYAPLAYNHTSIDINLNSSAKK >PVH33893 pep chromosome:PHallii_v3.1:8:9200914:9206910:-1 gene:PAHAL_8G085900 transcript:PVH33893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHEVVSNGATTDDFDDSWLHRSDCFESTFMDIVSNESATVYKDLPKKHHTLKKNKNCKFYNAKRFPCEGPAFCCRKGKVNIYIPELPAQLHQLFVSQADKDAKYFPKHIRYFNSYFSFTSFGVSIDHHLASARGNGVYYFKAHGQIYQRLDKLVAGGRGPRHMQLYFYDTDEIIANRVKRSPKLDTTLIRMILEIVQDNLYVLVFRSLGSVSNIAEYSIELNISISIDQRRYKAPRMNQVAAIWVDGNDPQQRRFSRSIIIYGSEDRPHYDRVYHGCYDPLVYPLFFPDGQFNVFFHAGRLFQQFAVDMYVKVESMRLDWYMKPAHQAIIRANLYKGLLDTHVVGEADASKASLRVVLSKDFPGSDRDVQARFMDAITLVTRYGMPDFFITMTHNPYWDEIVAELLPRQTAQDRPNIVARVHHAKLLDLHDFLLKRSSWQAKLPHTKKYPELHKLVCKHMMHSPCGILNKDYPCMVDGECRFRYPRHRWVVPYNPVLLMRYNCHINVEICCSIKSVKYLYKYIYIYKGYDRASYSLEPKENGKKVVYRLYAFKLYTMSPILQMQVHLEGMHMVAYKSTDNLNNVVYSLYREYPEHFTWNKLNKVWKPRKAKRIQIGRLVYANPAEGERCYLRIILNHVRGATSYKNLRTWRGCANIRRLWDNHLDSMSKDFRRTCDNSLRIEQMVLRDISYHLTAMGKDIRHYGLLELHETGSFENFDTLNAEQMAGYDEILDHVLKNKGHVFFVDGLGGTGKTYLYEALIAKVRSMDLIAVATATSGIAASIMPGGCTAHSRFKIRIKLSGNTMCSFTKQSGTAELLRRASLIIWDENMRAKADVWFADYLLKIGNGMEEAFDGDYVWLPDDISIQNPPEDDSIDILIDREFPDLVANCTSATYMRERAILSTRNEHVDRFPGKQKVFYSFDSIDDVSYNNYPLDFLNSITPNGLPPHELKVKKNCSIILLRKRVFILRIPMSPSEDLTLPFKYKRKQFPIRLSFAMTIKKAQGQTIPNVGIYLPSLRFHSNSCMWCCSEVFLVRVRGFLQREM >PAN41417 pep chromosome:PHallii_v3.1:8:3128362:3134194:1 gene:PAHAL_8G042400 transcript:PAN41417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDLIAACLDSTPGPCLLVPIYFRRYSTRFQLLSCGIRVIGDEIADAIVYVDASTLEAFPLLLELGARAVCSLEIASPLDAVSDWHSKFSHLLRKIVVHTSRLLSDAHRYILRCLGNHGTVSHCTVQSATSEIGHSAYVDSPLRPHAFREYETLLVQDHEELFKKHEKSNKNKDNISYTGSDFTSDADKYSEWGSGVHYGSNSESIPTERILFDGDLGQLEASGKRLSVTVSHFPMIFSPISSRAFVLPSDGIIADSCLSNQHEDSLGPGLPSISTGEAFETDEVPPGVTLTAQFLYHLANKMDLKLDIFSFGDTSKIIGKLMMDMSSLYDVGRNKRSAGLLIIDRTVDLLTPCFHGDSFLDRMLLLLQCKERMLSSYSVVKNPQTPHKHSHAAVRRVPLDIKVPFETAFNKEEPKSRTSMLSEGIMSFMSGWNSAEVDSEATWLPDYSDKSHYDRLGSELGTLSGSLLSNYAGVRYLQPLLDRGEKDRLMLHEKLSSASKVRQGATSVSEIHSMVQILSQDQLSLLRNRGVIQLALAAEMTLLEPQSSRWDAFTSAERILSVTSAETTQNLASELRDFINTSTSVDPHKQATTMGSSQGLLSFQDVLLLTVIGYILAGENFPTYIAGGPFSWEDEQSLKDVVVDSLLERPSSVKLRFLDGLDNELEAKARSKDVERNNDSTEPASSTDDFDDEWGNWDDNDNTDDQKEEAYGVMQLKLEVQDRVDQLFSFFHKLSSMRLRNQALEEASCINCWSYIEKWVRENWAWTGGFLSYFILACASKPSFGDQKVLIIFVVGGINTLEEREVMKAFSESSRPDVELILGGTTLFSFQMTCLT >PVH34037 pep chromosome:PHallii_v3.1:8:17261344:17261928:-1 gene:PAHAL_8G122100 transcript:PVH34037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSRSSRLSASSGRGKGGGEMERLSSPVPYRVGPYDYSPEVKCHSNRKAPCWTSWSDDNPDRRYLYWDCGYYVWIDREATQYERLLLCDLRNAVWQLRREKAQEQQQVERVQEQNAELRQVIQQLEEEKDELKKKMEKMEEKEQLEQKEKRKMKAGLNRLV >PAN43740 pep chromosome:PHallii_v3.1:8:43670191:43676066:1 gene:PAHAL_8G253500 transcript:PAN43740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLSIVDNIIQLVLAIKKAVETVRENKDECDDIQRRVLRIKILLSLLRKSEMMKHQAMSDALEDLGSALSRALEVVKSCQARNILCRFCASGKQAKKLRQVRGDISETMMVAIFATNVVVYVESESHDDSDEEPDLLSEHLPASPPLSIVVGEDRLSPPPAHAAPSTTSIVVDVSDSDLPPRSPEQQLSPPPPTVVPPALSEHVSPHLPKQQQQKTKHPSPPTSPPLSRRKPPYPPTKPPPSPPHPPLDKIAPLSNHVPPYPPKQPPCPPLSEHLPPHPPTQPPPPPPVKLTSPPTKQLPSHPATQPPVTPTPHPPTAKIGPPPSEYLHPHPIITQSLPPPPPPLSPSSLLHPSIPFTTTPHLPAHQHHPHTSTHLPCSSPTQSTSEVLVPASISSAVSTDDVSDKSEEKYERKEAPARSSTVSSRLPGLTKFSLSNLKAATHEFSNGKMIGSSDCTVYEGELHDGVMVTIKEFRNPPRSLVARWSAELHLASKLQSFKDAEARGNNKHIIRVLGYGHEFLWGHESLEPHYFLVEEHLPNGNMGDIIYGSQSVNWSSRFLIIQGVAHGLHYLHEQNVVHMNVKPANILLDSDMNPKLTDFGIARTLKQPVIEDDNIAGTVGYMPPEYILEGALSMRYDVYSFGVTLLETISGMCRDAPARHHASVPWAWNVRESKPMEDLFDLSLYKESQLTEIKRCLEVGLLCTQFAPAERPTMAEVLDMLNGKKQLATPKQPGYIKGRGTTAEEASHSKARSGR >PVH34583 pep chromosome:PHallii_v3.1:8:43670191:43674525:1 gene:PAHAL_8G253500 transcript:PVH34583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLSIVDNIIQLVLAIKKAVETVRENKDECDDIQRRVLRIKILLSLLRKSEMMKHQAMSDALEDLGSALSRALEVVKSCQARNILCRFCASGKQAKKLRQVRGDISETMMVAIFATNVVVYVESESHDDSDEEPDLLSEHLPASPPLSIVVGEDRLSPPPAHAAPSTTSIVVDVSDSDLPPRSPEQQLSPPPPTVVPPALSEHVSPHLPKQQQQKTKHPSPPTSPPLSRRKPPYPPTKPPPSPPHPPLDKIAPLSNHVPPYPPKQPPCPPLSEHLPPHPPTQPPPPPPVKLTSPPTKQLPSHPATQPPVTPTPHPPTAKIGPPPSEYLHPHPIITQSLPPPPPPLSPSSLLHPSIPFTTTPHLPAHQHHPHTSTHLPCSSPTQSTSEVLVPASISSAVSTDDVSDKSEEKYERKEAPARSSTVSSRLPGLTKFSLSNLKAATHEFSNGKMIGSSDCTVYEGELHDGVMVTIKEFRNPPRSLVARWSAELHLASKLQSFKDAEARGNNKHIIRVLGYGHEFLWGHESLEPHYFLVEEHLPNGNMGDIIYGIFPPWTTSIVHYELK >PVH34582 pep chromosome:PHallii_v3.1:8:43670191:43673498:1 gene:PAHAL_8G253500 transcript:PVH34582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLSIVDNIIQLVLAIKKAVETVRENKDECDDIQRRVLRIKILLSLLRKSEMMKHQAMSDALEDLGSALSRALEVVKSCQARNILCRFCASGKQAKKLRQVRGDISETMMVAIFATNVVVYVESESHDDSDEEPDLLSEHLPASPPLSIVVGEDRLSPPPAHAAPSTTSIVVDVSDSDLPPRSPEQQLSPPPPTVVPPALSEHVSPHLPKQQQQKTKHPSPPTSPPLSRRKPPYPPTKPPPSPPHPPLDKIAPLSNHVPPYPPKQPPCPPLSEHLPPHPPTQPPPPPPVKLTSPPTKQLPSHPATQPPVTPTPHPPTAKIGPPPSEYLHPHPIITQSLPPPPPPLSPSSLLHPSIPFTTTPHLPAHQHHPHTSTHLPCSSPTQSTSEVLVPASISSAVSTDDVSDKSEEKYERKEAPARSSTVSSRLPGIAFLLFFYICVHGNSCPCINGLVG >PVH33914 pep chromosome:PHallii_v3.1:8:10876870:10879057:1 gene:PAHAL_8G092500 transcript:PVH33914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNWHRVRRPSLGCQGTGPLSWPSSCVKPHSSLSSPSHQPSPSPVPSPFLFPPATINRARAAHPRRPNPPATPALTQIPHAQASPTSTSTSPTAPTPTTTSGRPSSSFDPQNGTPLRPFHRAICSLCRRERRRGARRGPHARGPAPRRRARRLRPAALDAASPRPATSWPRRRREPLATSPAMALAGHGVALTGRTPL >PAN42003 pep chromosome:PHallii_v3.1:8:13156693:13158670:1 gene:PAHAL_8G099400 transcript:PAN42003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTLSLSSMEVMLDALMQRGIGKPEEQKPKEEEPPALPTRPTGRGRLPSLQRPGAAAPWIHRPPLPSALPPPQEEDEEEKCLVNLELEIRATKAEEEVKQKEEELRHKEELIATLRQQVEHYESRLWECEVRMKSVEEELQKQISSLQMAQTIDARRGASTTTSQHRQESSCGNLPPSQSSARGQQRGCEPTIVAVDGSSSEVDQLATEFKRESEAFEQNARAVVEAKPPPSSAKSADELKTLKRQFASWKKEYEARLKKTKAELKGLVHAEKKSHGDSHSHQPQCGWWRIKAPKCRAPKCCSSKLPSPKSCGCCFRRCC >PAN41508 pep chromosome:PHallii_v3.1:8:3719814:3720966:1 gene:PAHAL_8G049000 transcript:PAN41508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLHAVLHPKKSAFTMAPPKLTLPLLLLSLLALMTSSAAAVADDGDGLTHIHLYVHETYTGPNATAAAVLQSPLGANSSFGSIGVVDDELRAGPDRASPLLGRYQAVFFGTSLQVGAGYLSSVTLVFTAGDYAGSTLSLQGPVLGFAGTIERAIVGGTGRFRLARGYMLFKMISKPTPETDVNEVHLFVLMHHGKY >PVH34234 pep chromosome:PHallii_v3.1:8:34935726:34936728:-1 gene:PAHAL_8G178300 transcript:PVH34234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIRIGCRLLLVCRNRRHALLWLGGDPSSMDKLLMWPTRWRFRGGRIAGACAAIFLD >PAN42472 pep chromosome:PHallii_v3.1:8:29297626:29298621:1 gene:PAHAL_8G159200 transcript:PAN42472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTKLILLFRAWAAASLLLILVLALHGRRGHCAGLSLDAEMEMDSEAHRRLLWEATTGRRYISYDALRGDVVPCSRTGVPYYNCRISTTANPYTRGCESITRCRDAGR >PAN41672 pep chromosome:PHallii_v3.1:8:4852338:4852841:-1 gene:PAHAL_8G061200 transcript:PAN41672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTNALCIPIMAAAAAAPRRCRSLIMARASPAKHNERQDPAVKVDAAAGRRRAMVLFSAAAITASTAAAVRSARAGVSTKTGQWVDIENVADPYVQDLGKWAVMEHNSRTGEDLQFDRVFGGSQQQDVAGMYYFLAIKTTGGPSPSYEANLFASLPQLNSFKPL >PVH34261 pep chromosome:PHallii_v3.1:8:35193176:35194171:-1 gene:PAHAL_8G181500 transcript:PVH34261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRSPELEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLDADAHDFDSHTGIQITSRFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWLQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDLKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIDHGLKDILEAHMMQNS >PAN41317 pep chromosome:PHallii_v3.1:8:2525615:2528718:1 gene:PAHAL_8G035000 transcript:PAN41317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDCGNHGDDEFKQTFRRLLGVLLGLALLVGLIALIVYLVLRPTHPRFILQDAALRQLDLSNGSAPLLSTAVQVTVASRNPNARVGVHYDRLDVYASYKYQQVTLASGLPPVYQGHGDVDVWSPVLAGPNVPFAPFLADAMRKDIANGYLIMEVRIDGRVRWKVGSWTSGHYHIFVTCPAYFIGSGGSSVVGAHGLRFQTATYCRVEV >PAN41800 pep chromosome:PHallii_v3.1:8:6055525:6063619:-1 gene:PAHAL_8G070500 transcript:PAN41800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFGESSGAIVHPITINSRYSRSLAASAITWQDSGNSFLRVKIVNFGSHAVRVRISITGLGTSVNAIGSTVTVLTSGNVMDENSFSHPQKVVPVKRQLRDAAERMRVKLAPHSLTSFDLALAQSKLVTLVEKDEEYLISRV >PAN41796 pep chromosome:PHallii_v3.1:8:6055525:6063619:-1 gene:PAHAL_8G070500 transcript:PAN41796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFGESSGAIVHPITINSRYSRSLAASAITWQDSGNSFLRVKIVNFGSHAVRVRISITGLGTSVNAIGSTVTVLTSGNVMDENSFSHPQKVVPVKRQLRDAAERMRVKLAPHSLTSFDLALAQSKLVTLVEKDEEYLISRV >PAN41798 pep chromosome:PHallii_v3.1:8:6054992:6064492:-1 gene:PAHAL_8G070500 transcript:PAN41798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFDCKLWITCCKSQNLHNRTWH >PAN41795 pep chromosome:PHallii_v3.1:8:6055525:6063619:-1 gene:PAHAL_8G070500 transcript:PAN41795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFGESSGAIVHPITINSRYSRSLAASAITWQDSGNSFLRVKIVNFGSHAVRVRISITGLGTSVNAIGSTVTVLTSGNVMDENSFSHPQKVVPVKRQLRDAAERMRVKLAPHSLTSFDLALAQSKLVTLVEKDEEYLISRV >PVH33814 pep chromosome:PHallii_v3.1:8:6057098:6064493:-1 gene:PAHAL_8G070500 transcript:PVH33814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGLYRL >PVH33813 pep chromosome:PHallii_v3.1:8:6055306:6064464:-1 gene:PAHAL_8G070500 transcript:PVH33813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFDCKLWITCCKSQNLHNRTWH >PAN41797 pep chromosome:PHallii_v3.1:8:6054992:6064492:-1 gene:PAHAL_8G070500 transcript:PAN41797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVGACGLKPMGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFDCKLWITCCKSQNLHNRTWH >PVH33812 pep chromosome:PHallii_v3.1:8:6055306:6064464:-1 gene:PAHAL_8G070500 transcript:PVH33812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFDCKLWITCCKSQNLHNRTWH >PAN41801 pep chromosome:PHallii_v3.1:8:6055525:6063619:-1 gene:PAHAL_8G070500 transcript:PAN41801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFGESSGAIVHPITINSRYSRSLAASAITWQDSGNSFLRVKIVNFGSHAVRVRISITGLGTSVNAIGSTVTVLTSGNVMDENSFSHPQKVVPVKRQLRDAAERMRVKLAPHSLTSFDLALAQSKLVTLVEKDEEYLISRV >PAN41793 pep chromosome:PHallii_v3.1:8:6055525:6064052:-1 gene:PAHAL_8G070500 transcript:PAN41793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVGACGLKPMGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFGESSGAIVHPITINSRYSRSLAASAITWQDSGNSFLRVKIVNFGSHAVRVRISITGLGTSVNAIGSTVTVLTSGNVMDENSFSHPQKVVPVKRQLRDAAERMRVKLAPHSLTSFDLALAQSKLVTLVEKDEEYLISRV >PVH33811 pep chromosome:PHallii_v3.1:8:6055306:6064464:-1 gene:PAHAL_8G070500 transcript:PVH33811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEGFSPTTIPCLLLLFCLGCKCLASELQVTQTATVKVDASPEHARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSTIGDDSSIFVATDRSSCFSRNIIALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSLDGLQNLASVNIKVDGTSNWTKLEQKLVANGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTDLVSMLSDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTAIIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFLVQYVAIGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTSRDGPKAFVSEYAVWKSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNANDRKWNPDAIVFNTWQHYGTPSYWMQTLFDCKLWITCCKSQNLHNRTWH >PVH33554 pep chromosome:PHallii_v3.1:8:1516235:1527919:-1 gene:PAHAL_8G022100 transcript:PVH33554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAPEIVYPLYLSAASDSQESVSKKGEELLKRKASTVNLEDPNLIKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETDSTARDTKIFAYQAIGLLASRMPNLFSDKTNMAIRLFTALRLEDQSLRLTIQEAATSLAAAYKGASMIVLKNLEVLLLENCEVEQSEVRFSAIRWATTLYDTQHCPSRYICMIGASDVKLDIREMALAGLNLLNDLRQSSAGSVDFKYPDVTEMLNYICHQRPQLLCSDDQRNGKLLFPSKTFLSMIKFLMKCFESSDSPNLVKEDPSHSPVAKMCIILENAMSYEGSSELHALALKSLVDLSSREPELVSLRYADRIQWLRGLLAHVDSDAREAAARLLGIASSALSSSAALTLLSEFTSTLNQNRPTRFENYHGVLCAIGYLTAGCLKQSYIPEEMVKNAVDILVKVVDSEGSALASVAMASLGHIGLRCTLPSINQNSSTGALLTILHEKLTKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERSDCEEAHAMAREEIIKKLFETLIFSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSILYELGDASMKEQLVHALVNTLTGAAKKKKAIKLMEDSEVFQEGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNALIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIALPYLLSEGILSKVSSIQKASISLVMKLAKGAGPALRPHLAELVCCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDTNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIDIKPYSTLLLKLLYTAALEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVVPVIFVSRFDDDKDTSALYEELWEDIPSSERVTLTLYLPEIVSLLCNCMSSSAWAGKRKSAKATKKLCDVLEVS >PVH33555 pep chromosome:PHallii_v3.1:8:1516235:1529396:-1 gene:PAHAL_8G022100 transcript:PVH33555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPAPAAASTEQTDAERLDSLDRMLTRLALADDARLAAVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESASSTIVRNFCVVYIEMAFERLPTEEKGNIAPDFLTNISNVPAQHQGIILRLVTKAIGECSIHKVDDTIASKYRAITESNDGLVFADFCFHTLLYQTPPQGIGCPSGLSVAQSDRVTGKLPLKGDILASRKLGILNVIEAMNFAPEIVYPLYLSAASDSQESVSKKGEELLKRKASTVNLEDPNLIKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETDSTARDTKIFAYQAIGLLASRMPNLFSDKTNMAIRLFTALRLEDQSLRLTIQEAATSLAAAYKGASMIVLKNLEVLLLENCEVEQSEVRFSAIRWATTLYDTQHCPSRYICMIGASDVKLDIREMALAGLNLLNDLRQSSAGSVDFKYPDVTEMLNYICHQRPQLLCSDDQRNGKLLFPSKTFLSMIKFLMKCFESSDSPNLVKEDPSHSPVAKMCIILENAMSYEGSSELHALALKSLVDLSSREPELVSLRYADRIQWLRGLLAHVDSDAREAAARLLGIASSALSSSAALTLLSEFTSTLNQNRPTRFENYHGVLCAIGYLTAGCLKQSYIPEEMVKNAVDILVKVVDSEGSALASVAMASLGHIGLRCTLPSINQNSSTGALLTILHEKLTKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERSDCEEAHAMAREEIIKKLFETLIFSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSILYELGDASMKEQLVHALVNTLTGAAKKKKAIKLMEDSEVFQEGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNALIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIALPYLLSEGILSKVSSIQKASISLVMKLAKGAGPALRPHLAELVCCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDTNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIDIKPYSTLLLKLLYTAALEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVVPVIFVSRFDDDKDTSALYEELWEDIPSSERVTLTLYLPEIVSLLCNCMSSSAWAGKRKSAKATKKLCDVLEVS >PVH33553 pep chromosome:PHallii_v3.1:8:1508785:1529396:-1 gene:PAHAL_8G022100 transcript:PVH33553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPAPAAASTEQTDAERLDSLDRMLTRLALADDARLAAVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESASSTIVRNFCVVYIEMAFERLPTEEKGNIAPDFLTNISNVPAQHQGIILRLVTKAIGECSIHKVDDTIASKYRAITESNDGLVFADFCFHTLLYQTPPQGIGCPSGLSVAQSDRVTGKLPLKGDILASRKLGILNVIEAMNFAPEIVYPLYLSAASDSQESVSKKGEELLKRKASTVNLEDPNLIKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETDSTARDTKIFAYQAIGLLASRMPNLFSDKTNMAIRLFTALRLEDQSLRLTIQEAATSLAAAYKGASMIVLKNLEVLLLENCEVEQSEVRFSAIRWATTLYDTQHCPSRYICMIGASDVKLDIREMALAGLNLLNDLRQSSAGSVDFKYPDVTEMLNYICHQRPQLLCSDDQRNGKLLFPSKTFLSMIKFLMKCFESSDSPNLVKEDPSHSPVAKMCIILENAMSYEGSSELHALALKSLVDLSSREPELVSLRYADRIQWLRGLLAHVDSDAREAAARLLGIASSALSSSAALTLLSEFTSTLNQNRPTRFENYHGVLCAIGYLTAGCLKQSYIPEEMVKNAVDILVKVVDSEGSALASVAMASLGHIGLRCTLPSINQNSSTGALLTILHEKLTKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERSDCEEAHAMAREEIIKKLFETLIFSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSILYELGDASMKEQLVHALVNTLTGAAKKKKAIKLMEDSEVFQEGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNALIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIALPYLLSEGILSKVSSIQKASISLVMKLAKGAGPALRPHLAELVCCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDTNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIDIKPYSTLLLKLLYTAALEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVVPVIFVSRFDDDKDTSALYEELWEDIPSSERVTLTLYLPEIVSLLCNCMSSSAWAGKRKSAKATKKLCDVLGESLSAHHHNILESLLKELPGRFWEGKDSILDALASLCSCCHAAIIAEDSSLPSVILDAVCAACNKKTKLYREAAFLCLQKVITAFRDPGCFNSVFPMLYKVCNQSVTCKAKGSSSTTSSAGAEQDESEGASVSLDKVLNCAMACISVAFPHDIISQKENVLEVILNSLSPEESWQVKLSSFSCVKELCRKFQSSDDSDTWPQDTASLVQEVHTAASECLLELSKLYRDFPLTDRTEAKFEDELVELCESEKSEQAKALLKECLGIVKTLPGVTMTTD >PAN41168 pep chromosome:PHallii_v3.1:8:1508786:1529396:-1 gene:PAHAL_8G022100 transcript:PAN41168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPAPAAASTEQTDAERLDSLDRMLTRLALADDARLAAVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESASSTIVRNFCVVYIEMAFERLPTEEKGNIAPDFLTNISNVPAQHQGIILRLVTKAIGECSIHKVDDTIASKYRAITESNDGLVFADFCFHTLLYQTPPQGIGCPSGLSVAQSDRVTGKLPLKGDILASRKLGILNVIEAMNFAPEIVYPLYLSAASDSQESVSKKGEELLKRKASTVNLEDPNLIKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETDSTARDTKIFAYQAIGLLASRMPNLFSDKTNMAIRLFTALRLEDQSLRLTIQEAATSLAAAYKGASMIVLKNLEVLLLENCEVEQSEVRFSAIRWATTLYDTQHCPSRYICMIGASDVKLDIREMALAGLNLLNDLRQSSAGSVDFKYPDVTEMLNYICHQRPQLLCSDDQRNGKLLFPSKTFLSMIKFLMKCFESSDSPNLVKEDPSHSPVAKMCIILENAMSYEGSSELHALALKSLVDLSSREPELVSLRYADRIQWLRGLLAHVDSDAREAAARLLGIASSALSSSAALTLLSEFTSTLNQNRPTRFENYHGVLCAIGYLTAGCLKQSYIPEEMVKNAVDILVKVVDSEGSALASVAMASLGHIGLRCTLPSINQNSSTGALLTILHEKLTKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERSDCEEAHAMAREEIIKKLFETLIFSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSILYELGDASMKEQLVHALVNTLTGAAKKKKAIKLMEDSEVFQEGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNALIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIALPYLLSEGILSKVSSIQKASISLVMKLAKGAGPALRPHLAELVCCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDTNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIDIKPYSTLLLKLLYTAALEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVVPVIFVSRFDDDKDTSALYEELWEDIPSSERVTLTLYLPEIVSLLCNCMSSSAWAGKRKSAKATKKLCDVLGESLSAHHHNILESLLKELPGRFWEGKDSILDALASLCSCCHAAIIAEDSSLPSVILDAVCAACNKKTKLYREAAFLCLQKVITAFRDPGCFNSVFPMLYKVCNQSVTCKAKGSSSTTSSAGAEQDESEGASVSLDKVLNCAMACISVAFPHDIISQKENVLEVILNSLSPEESWQVKLSSFSCVKELCRKFQSSDDSDTWPQDTASLVQELFHLVSAKVVDSIRLIKIAQVHTAASECLLELSKLYRDFPLTDRTEAKFEDELVELCESEKSEQAKALLKECLGIVKTLPGVTMTTD >PAN41865 pep chromosome:PHallii_v3.1:8:6712497:6714785:-1 gene:PAHAL_8G075000 transcript:PAN41865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGSAVYHVVEAMVPLYTAAVLGYASVRWLRAFSDEQCAGINHFVALYAIPALIFRMVATSDPYGMNGRLIAAATLQKAVILLSLCAWALLLSSYRRRRRHGGDGGGKASDLASPMKWVVTNFSAPTMSSTIIIGVPLLDGMYGTASGSLVAQLVLMQVCIWYNIIIIIFLYEYMAALDGGSAKISPEPPALVENCTRDNEGSNINDGVAGRSEIIMEVVVAPSTARDSPVAAEATTPAREVMMSSAGAAMTAEVLGVSPSVSKYVIWTAGKKLLKIPNTYASFLGLAWSLIASKSGIKMPKIVDDSLLTISTAAVGLSMFASGTFIARQKRFVPCGYGMASISMALKFLVGPVVVLLTSVAIGLHGILLRIAVVQAALPLAVNSFVYAEEYKVHADVMSTGVILGTFISLPVTVVYCMLLGL >PVH33692 pep chromosome:PHallii_v3.1:8:3484131:3484335:1 gene:PAHAL_8G046300 transcript:PVH33692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDRLLFCLSTQAHRVLSRRWMVSMEAGSFALCRMLHSFVNE >PAN42283 pep chromosome:PHallii_v3.1:8:30956432:30957518:1 gene:PAHAL_8G166100 transcript:PAN42283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSMLRTILTVVLLALLLSGTNGERCITSSIQVETINSGVVVSGGDTVFQVELKNLCPCAVRNVRLDARGFATTVDVDPAAFRADDGGVYLVNGGEPIPSMATVSFQYAWDHFFQMTPRSLEVDGPC >PAN41791 pep chromosome:PHallii_v3.1:8:6028753:6033607:-1 gene:PAHAL_8G070300 transcript:PAN41791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFTRNPVQSLLQFRCVQSSGRALNVLLGHLCPKCSKKHEGTTSGSNTTGCTCLRWFFTPSSNDSATVPSINDGNNEVLTTQPRENGFDPSYQLDDADKTVTAVGHRQKSSMHHRLKIWISSGHNGIMGRYGNKLESGVPNVAKPSSDELVDSGWPDWLMNVAPEAAQGWFPRRLDSFEKLGKVGQGTYSSVYKARDLKTGKLVALKKVRFLNVDPESVRFMAREILVLRRLNHPNVINLEGIITSSVSRSLYLVFGYMEHDLAGLAATPGLKFTEPQVKCILQQLLSGLDHCHSHGVLHRDMKSSNILIDSNGILKIADFGLATSFDPDNQQPLTSRVATLWYRPPELLLGATKYGPSVDMWSTGCILAELLAGKPILPGRTEVEQLHKIFKLCGSPSEEYWDKLEVPQTGMFKPGSQYKGCIAETFKDFPHSALILLDSLLALEPGARGTAATTLQSDFFRTSPLACSPSSLPKCPPSKEYDARLRREEDRRQRKAAQGENLNPKLNHADDGNGKPKKDKGTAADTNIADLNSQDETRTLATGVQSQEYESTWNMRGDSSDHSEVPGRKYNSVRVANSTAMQKNRASMCQPESADATNEVFNGRGKKRPIVSYKGRSRRYQHSESMIAGNGSMERMLKQHEKNIQEAVRKARINKSKEL >PAN42381 pep chromosome:PHallii_v3.1:8:19279230:19285185:1 gene:PAHAL_8G129700 transcript:PAN42381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPRVSMEITDEMLKSMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVCLKTINSKKYGVELVCFTDNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFAVGNEDSEAHVIKFSSDGRRILLTTKAGRVHVIDSFHGNSIASYNVKPVVTNSMLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGSFSVTK >PAN42383 pep chromosome:PHallii_v3.1:8:19281407:19284755:1 gene:PAHAL_8G129700 transcript:PAN42383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNEVFKSMKIGLVFQGYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVCLKTINSKKYGVELVCFTDNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFAVGNEDSEAHVIKFSSDGRRILLTTKAGRVHVIDSFHGNSIASYNVKPVVTNSMLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGSFSVTK >PVH34070 pep chromosome:PHallii_v3.1:8:19280861:19285143:1 gene:PAHAL_8G129700 transcript:PVH34070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNEVFKSMKIGLVFQGYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVCLKTINSKKYGVELVCFTDNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFAVGNEDSEAHVIKFSSDGRRILLTTKAGRVHVIDSFHGNSIASYNVKPVVTNSMLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGSFSVTK >PAN42382 pep chromosome:PHallii_v3.1:8:19279243:19285143:1 gene:PAHAL_8G129700 transcript:PAN42382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPRVSMEITDEMLKSMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVCLKTINSKKYGVELVCFTDNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFAVGNEDSEAHVIKFSSDGRRILLTTKAGRVHVIDSFHGNSIASYNVKPVVTNSMLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGSFSVTK >PVH34069 pep chromosome:PHallii_v3.1:8:19279780:19285142:1 gene:PAHAL_8G129700 transcript:PVH34069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRRVSMEITNEVFKSMKIGLVFQGYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVCLKTINSKKYGVELVCFTDNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFAVGNEDSEAHVIKFSSDGRRILLTTKAGRVHVIDSFHGNSIASYNVKPVVTNSMLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGSFSVTK >PVH34068 pep chromosome:PHallii_v3.1:8:19279780:19285053:1 gene:PAHAL_8G129700 transcript:PVH34068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRRVSMEITNEVFKSMKIGLVFQGYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVCLKTINSKKYGVELVCFTDNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFAVGNEDSEAHVIKFSSDGRRILLTTKAGRVHVIDSFHGNSIASYNVKPVVTNSMLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGSFSVTK >PAN43211 pep chromosome:PHallii_v3.1:8:40794053:40795571:1 gene:PAHAL_8G220600 transcript:PAN43211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITGGRAALAVAALLCAMLAVAAAQQASNVRATYHLYNPAQNGWDLNRVSAYCATWDANKPLSWRQKYGWTAFCGPSGPRGQASCGKCIKVTNRATRASTVARIVDQCSNGGLDLDFETVFKKIDTNGQGYQMGHLNVDYQFVSC >PAN41011 pep chromosome:PHallii_v3.1:8:693346:695125:-1 gene:PAHAL_8G009100 transcript:PAN41011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCVAADREHAVEVVAQVYERIKIQQPLLLVHCSSLPPSATTQLAQSLLSEALRALNVALSVMNQQPSPAPATPTSVVVKAERQLLSSSSPSHGAAPDPGEAIPSTARRGKRRRTTVEAGGKTSSWAGLTSVPYEDGYEWRKYGEKKINGTSFTRSYFRCTYKDDTGCLATKHVQQKDSSDPPVFQVTYNNKHTCNNSCTTTAANSSEKVISINPLIINGHHRAAVNNVKQEEPPPVLPPLVEASSALAFDQSFPIGMQQLQQQPCGTARDYHGRHTPSTTSSCISGDSCCDGYYSAGGDMAPQMAAEEASPGEDFLHDLELFLLCDSFKDY >PAN42032 pep chromosome:PHallii_v3.1:8:16845803:16846957:1 gene:PAHAL_8G118100 transcript:PAN42032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTGVEECSDGSSGSRARGGAARRSGVKILEGQAVLSVQDQLGRRSQLLRESLNSKRPPWRRCSYDTPCWCMFFVQVFFFGFEVPSCILQRK >PAN42033 pep chromosome:PHallii_v3.1:8:16845679:16846957:1 gene:PAHAL_8G118100 transcript:PAN42033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTGVEECSDGSSGSRARGGAARRSGVKILEGQAVLSVQDQLGRRSQLLRESLNSKRPPWRRCSYDTPCWCMFFVQVFFFGFEVPSCILQRK >PAN42175 pep chromosome:PHallii_v3.1:8:16845803:16846957:1 gene:PAHAL_8G118100 transcript:PAN42175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTGVEECSDGSSGSRARGGAARRSGVKILEGQAVLSVQDQLGRRSQLLRESLNSKRPPWRRCSYDTPCWCMFFVQVFFFGFEVPSCILQRK >PAN43142 pep chromosome:PHallii_v3.1:8:39794034:39797523:1 gene:PAHAL_8G212900 transcript:PAN43142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIGSTPTSPARANWDAAMGFWSDVQWWEDWQLRFLVLASLFFQYFLFAAALLRKRRVPLWFRSLVWLAYQGGDVVAVYALATLFNRHRKDEVAAGAAAHLDTLWAPVLLLHLGGQDGITAYSVEDNENWRRYLLVAASQIAIAIYVFCKSWWSPDGRLLRAAILLFVPGVVKCLEKPLALRNATVNSIANSSDPTMEMSIEEDDGTLPTAMDSLEEFVTAAKKCVEEEARRGPPLFFDDTMNDKPYHLFVDLSHPYSIRLRNLQVMAARSGKEEAHDRVRASISQAFDRLFTKHKASYGGVLRAVVVLLTFADIGLFQESRRSAYARADVVVTYILLCCTAALEFVSACVVLGSGLPLPDDQVPQYNLIGYLVRTRRRPGIRHLAAMLGLKDQIDWLWCTAPPEPSRRITELVHDHVAGGWKGYINAEVDRASTSTTSRSPVDDYRRFNDGRGQRTLERAKCGGRGVESSLRLPFDESVLVWHLATEICYFDHVDAGGEATRRSRVISNYMAYLLLVRPWMLMPGARRGLFRAVHAELKEMLKDAPSEPPGVEDDDAGKKKAPPRAMYEIARKIIQKVRNPPAAASSGGARRPWGRKAAAELVRKAWDVAYDLMEFSKVRMEESRKKKVMEEEEKIKKTGDPVSVSKERMSEIMSSARRDGDDEMWGLIQGVWVEMLCFSAGRCRGYLHAKSLGKGGEYLSYVWLLLSHMGMETMAERMQRTELPAEGDAGGLVTPSDLEDDDGDEPAQLDRGATSSTAVSGAAVVPVVADDDIV >PVH34640 pep chromosome:PHallii_v3.1:8:44675821:44678742:1 gene:PAHAL_8G266000 transcript:PVH34640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPEEFLGQGAYLAAPEPFSPSVFLDLPPTPRPDADDPASSDDLVLPFISRMLMEEDIDDKFFYEFPDHPALLNAQQPYAQILLSDESATNSSSNSSSGGTAANSTLSPSSSSDAPASAEPAWPYDPIELSQLLRSPPYSDTVLGLHDFTADDVDALLLQGQGEATAGFHQTPPFLDTGGGGAGGGERQGAVSIAAQNAAGDESPSLAAQNAAGIESSAADEQDTKTTNATTLPAADGDPAALASAFFSGQSGESMDMLNMAFLKGMEEAKKFLPTNNSLLIDLEDTSGQSLPRDGKPATGFAAAQVKEEAVADGMLLFGGSNTGRGRKNCHTDEDLEAETGRNSKLMMPEQEETGASELFDELMSCNFDGFLKRMNDLRIAMDSESEKSARRVSGKGARGRQRANEVVDLRTMLIHCAQSVATGDRRSAMELLRQIKQHSSPRGDATQRMAHCFAEGLEARLAGTGSQVYQSLMAKRTSVVEFLKAYKLFMAASSLKKVHIMFSNQTILDAVAGRSKLHIVVYGVHYGLQWPGLLHFLSRREGGPPEVRFTGIDLPQPGFRPAYQIEETGRRLSNCALEFGVPFKFQAIAAKWETVCAEDLNIDPDEVLVVHSECDFSKLMDESVDVDTPSPRDMVLNNIRKIRPNVFILFVMNGTYGAPFFLTRFREALFFYSALFDMLDATIPRDNDERLLIERDIFGRSALNVIACEGADRVERPETYKQWQVRNHRAGLKQLPLNPEVVKIVRDKVKNYYHKDFLIDEDHRWLLQGWKGRVLYAMSTWVAEDNNIF >PAN43300 pep chromosome:PHallii_v3.1:8:41356827:41362102:-1 gene:PAHAL_8G227500 transcript:PAN43300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGTAAIGSLLPKLVELLKQEHDLHKGARKKIRSLSRELESMLAVLRKVGEVPPDQLQELVKLWARDVRELSYDMEDIVDTFLVRVDDGPEPADTHNLRRRLRKKMATIFRRCKHQRKIAGAIRDMDRRVEEVAARRDRYTVDSVIAKLASPVAVDPRLQALYKKTAELVGIEKQSEELVKILSLGDDAHAPDERMKIVSIVGFGGLGKTTLSKAVYDKHKPAFDCGAFVPVGRNPDMKKVLRDILIDFEYMNPNVMILDERQLINELRRLTENKRCLFVIDDIWDKKSWELIQCALQHSNYRSRVVATTRIFEVATHIGDIYKMQPLSRDDSELLLYSRITDGEDRFLDSLSTEACDKILKKCGGVPLAIITIASLLASKTREDWSKVYNSIGFGDRGNDIVENTRKILSFSYYDLPSHLKACLLYLSLFREEYGIEKNLLIWKWIAEGFIQNEQATTEIELFELGEGYFNELINRSMIQPMELEDNSYVYGCRVHDMVLDLVRSLSSEEKFATILDSDDQQKLVGSNARRFAVHGRNADPQLVDMGLEKVRSFSATQCGNVNVVTSCFQVVRVLTLEDCSVEACGKHRLQHVGNLHHLRYLGIWNTRLDELPKEVGNLKFLQTLNLSGTGMQQLPECVGMLKQLLCLRINDSITVPAGLIGNLTSLQELKLWPADDVSTRQFVKELGKLRELRILRCTIHVRDESMERDLVESLANLHKIRTLCVLGSALARGITGEEACFVPPRCLGQLCLECFRFSGLPVWINPSLLQNLTHLDVAVHVVQEQDMETLGRLPELCYLKLNSDHTRLVSIRKQATGDLRRCFRKLRFFVAPSSFVRFDLHGCKRDPSMAHSVMMPSLESLVFCVHVQFLKDMDIQPGFGNLIGFEEVAITSLRRVTATIQCEDATAEEVEEVKAALAHAADRHPNRPTLRTEMENQHKMLSTGRERKADCNS >PVH33488 pep chromosome:PHallii_v3.1:8:843828:844301:1 gene:PAHAL_8G012100 transcript:PVH33488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNPQPFQYQIHLQIPESHSRAMGEAVLCAPGRHGSCRRRRRRRGGRDESGGTLRISYPNQRAFVDIPAGDMAPAEVAPPPAIALSGFPRSPPSHSLAQNYLRPRARAAN >PAN43615 pep chromosome:PHallii_v3.1:8:43419423:43421426:1 gene:PAHAL_8G250100 transcript:PAN43615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASGAVLRKVAPVAACIMLVLLSMGPLAMADIQDDCRVFCIPRCNGFASNTCSTITGIASILNGLPFFRETCTVRVAQLCLSFCINICTLNTLTPGAPTPARAPAPAGAAPPPCKA >PVH34146 pep chromosome:PHallii_v3.1:8:29022048:29023990:1 gene:PAHAL_8G157600 transcript:PVH34146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLLGLGRRVRHQCLANVSAILLLAEEDRKPQASTEHLFRRAVQPFPFRADSDSEVTETPTFMALPAARRDGWH >PVH34148 pep chromosome:PHallii_v3.1:8:29022059:29023949:1 gene:PAHAL_8G157600 transcript:PVH34148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLLGLGRRVRHQCLANVSAILLLAEEDRKPQASTEHLFRRAVQPFPFRADSDSEVTETPTFMALPAARRDGWH >PVH34145 pep chromosome:PHallii_v3.1:8:29022049:29023989:1 gene:PAHAL_8G157600 transcript:PVH34145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLLGLGRRVRHQCLANVSAILLLAEEDRKPQASTEHLFRRAVQPFPFRADSDSEVTETPTFMALPAARRDGWH >PVH34147 pep chromosome:PHallii_v3.1:8:29022059:29023949:1 gene:PAHAL_8G157600 transcript:PVH34147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLLGLGRRVRHQCLANVSAILLLAEEDRKPQASTEHLFRRAVQPFPFRADSDSEVTETPTFMALPAARRDGWH >PAN43175 pep chromosome:PHallii_v3.1:8:40073969:40078609:-1 gene:PAHAL_8G215500 transcript:PAN43175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESHGSQEASRFRALGNGVSGAAQESAGDRELHNVGIASQAAGAYLNGRALFPSESAMYSSSEAGSNQHFQGFSRSGSLYDEQSLTSAFEDMTLGFKTRADDPPTRHHNVALTNGHHPSGPVDVTLNQQHVPATRQDDSLTLQFSVPHAKQKSDVEHQEQGYGFPAHSGKFSRTSGLQSLNSNFGVPYHPSTASASPFQQQCYVDEQSQMYRPHDQNVRSNVIWPHGVQPYSVVQPHYLCPQMRQVSGFDVYQHRSNEHATVCNPANVPSSHIGTPNSHGLENGYSYFNAAAFQKRNNWLNNTFTDSLPSTSYTDSSCGSGDFRHSQQAEKYFHPSGQGFSYHQQTDNLDPPYRLGFSHHQTSGRLNTGSYPESFLRSHDVGNSIRAINFAPSVNGYADMDHRINGYGHDHLGIQSNNSMPQFLSSKTELTVDEVVGRICILAKEQKNCHFLLKILTEGTQEDADKVFYEILDHIGELMVDPVANYLVQNILGINDRRMRIIYEITKAPAELIKICCSVHGTRVMQKVIETIATTEGASMVVTEAASMVVAALSHGTIRLMTDPNGYHVMSLCLDKLLPEHKAFIIEAAASRYLQLARDRHGCCVLQKCIEHSNDEQRNDLLSKITSSALRLSEDQYGNYVIQFILGLKIEWATARVVDELAGHIGNLSMQKCGSHVVEHCLDQAPQLMCDRIINELMNDPKLPQIIIDQYGNFVIQTALKQCQGEQYVAFVEAIRPYIATLQSNMYGKRVLSRTYLKKKHYRFGFC >PAN43176 pep chromosome:PHallii_v3.1:8:40074031:40078299:-1 gene:PAHAL_8G215500 transcript:PAN43176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESHGSQEASRFRALGNGVSGAAQESAGDRELHNVGIASQAAGAYLNGRALFPSESAMYSSSEAGSNQHFQGFSRSGSLYDEQSLTSAFEDMTLGFKTRADDPPTRHHNVALTNGHHPSGPVDVTLNQQHVPATRQDDSLTLQFSVPHAKQKSDVEHQEQGYGFPAHSGKFSRTSGLQSLNSNFGVPYHPSTASASPFQQQCYVDEQSQMYRPHDQNVRSNVIWPHGVQPYSVVQPHYLCPQMRQVSGFDVYQHRSNEHATVCNPANVPSSHIGTPNSHGLENGYSYFNAAAFQKRNNWLNNTFTDSLPSTSYTDSSCGSGDFRHSQQAEKYFHPSGQGFSYHQQTDNLDPPYRLGFSHHQTSGRLNTGSYPESFLRSHDVGNSIRAINFAPSVNGYADMDHRINGYGHDHLGIQSNNSMPQFLSSKTELTVDEVVGRICILAKEQKNCHFLLKILTEGTQEDADKVFYEILDHIGELMVDPVANYLVQNILGINDRRMRIIYEITKAPAELIKICCSVHGTRVMQKVIETIATTEGASMVVTEAASMVVAALSHGTIRLMTDPNGYHVMSLCLDKLLPEHKAFIIEAAASRYLQLARDRHGCCVLQKCIEHSNDEQRNDLLSKITSSALRLSEDQYGNYVIQFILGLKIEWATARVVDELAGHIGNLSMQKCGSHVVEHCLDQAPQLMCDRIINELMNDPKLPQIIIDQYGNFVIQTALKQCQGEQYVAFVEAIRPYIATLQSNMYGKRVLSRTYLKKKHYRFGFC >PAN43625 pep chromosome:PHallii_v3.1:8:43476498:43480473:-1 gene:PAHAL_8G251000 transcript:PAN43625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLAVIVLALVLAAALGSARGERELRRLRDQTPPGSRPHSVTITEFGAVGDGKTLNTVPFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLYLEKGAVIIGSKESSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLTDVVITGNNGVIDGQGSVWWQWLRSHELNHSRPHLVEFLHSEEIVISNLTFLNSPAWSIHPVYCSNVKVHNVTIETSLDAPRTDGIVPDSCSNLCIEDSTVSVSHDAISLKSGWDKYGISFGRPTSNIHINRVNLLSSSGAALAFGSEMSGGISDIHVNHLRIRDSYKGISFKTSPGRGGYIKQVAISDVQMKDVHVGIEFTGNCSSHPDDSFDPSQLPVINQITLKNLVGTNISVAGVLAGIDGAPFTAICLSNLNFSMAADSASWSCSKVAGYSEMVFPEPCTELRDPSSSSSICFSLSRYSAIAIA >PAN43626 pep chromosome:PHallii_v3.1:8:43476498:43481039:-1 gene:PAHAL_8G251000 transcript:PAN43626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLVIVLALVLAAALGSARGERELRRLRDQTPPGSRPHSVTITEFGAVGDGKTLNTVPFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLYLEKGAVIIGSKESSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLTDVVITGNNGVIDGQGSVWWQWLRSHELNHSRPHLVEFLHSEEIVISNLTFLNSPAWSIHPVYCSNVKVHNVTIETSLDAPRTDGIVPDSCSNLCIEDSTVSVSHDAISLKSGWDKYGISFGRPTSNIHINRVNLLSSSGAALAFGSEMSGGISDIHVNHLRIRDSYKGISFKTSPGRGGYIKQVAISDVQMKDVHVGIEFTGNCSSHPDDSFDPSQLPVINQITLKNLVGTNISVAGVLAGIDGAPFTAICLSNLNFSMAADSASWSCSKVAGYSEMVFPEPCTELRDPSSSSSICFSLSRYSAIAIA >PAN42005 pep chromosome:PHallii_v3.1:8:12146843:12150299:-1 gene:PAHAL_8G096800 transcript:PAN42005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGAHEKQQQAHVLGKKGLRLIPVIIANEVSERIVSASVGANLIIYLTTKYHLGAASSAIIIFVYIAAANFLPVCGAIVSDALLGRFLTVTLTLFSCTIGTTLLCLTSVIPRLTPPDCTPPNQGCTSSTPVQLFVLYASLGFMALGASGVRPCCLAFAEDQIAHWDNARKDRALRGLFSWYYVSVGFSQIVAVTVLVYFQDKLGWKVGFMVSAAIIASVTLLNLAVAPFYVKAKPQTGVWAGLLEVAVAAVKNRDLELPEANHGVQFHSLPGSTQLVPSEKMRFLNKACMVRTRVCSSTNNEESDNTSSRCTCTVEQVENLKAALSVMPMWSAMVMTFLLQSSSFGVLQAATMDRHIGTTRFQIPAGSISIFEIMTFTVWSGCYDSYILPLLRRVTGRQRVLTLKQRMGIGLFLTVVSMAVASAVEARRREATVRQGALRMSSMWLAPQYVLMGLAGAFGNIAQIEFYYAVLPKSMGSFVLALLFFGGGVASIMGTVILKFVNVVTGGGGVAPWISDDLNLGRYDCYYRLLAVLGAVDLVYFVVCAYVFNETMQNMTLEAGADGEAEEILSFEASSASACPN >PAN43119 pep chromosome:PHallii_v3.1:8:41532729:41535077:1 gene:PAHAL_8G229200 transcript:PAN43119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEEELCMDSEEELGMGEADTVAPAAPERQIEEAIGSLESGLARCSKMKEAARPGRGTGDLERRCLDFLEEELRYIVAGLRALSPQHVDEEMTGWLQDLTDVASDLLPRVIDQADLQRDNTLLRRAARFFRCSSHRYPYDLYKLLIAAISFYVLAEHPCRYRHLLQATSRHGLPPQAAEEGGGLLPMGTSYDFPYEIDLPELSMIMFPYGYMFEEDQLVMKWWYEGSLLLPGSDFSRLVSRNVITHAAPNSRRRTNRPDEAETWQWNVHPIQYQFLASKSAEMGFVFTSATLNLLLAAGSSTGHGNEAGQIARRLALHHDDPNIPSLLQEIDLSQTRSLAVSGAVSIEVPLDKFVNLLVLDVEGWENFGDEDLLRICRSKMFFLEYLSIRNTRVSKLPPEINELCRLQSLDASKTQVTEIPFGVFVATRLNRLDLRGTPIRQLTVPKQILRLQDSLRRLLIGGEGMIYSAETATRLPHDIRRFSKLLTLATVDLSEQPASFVKALGDHLVWLEVLAITWSFHQSSDRDYCEALLSSIKRWSWLQSLTIHCGLGCSMEFLGSLSDPPVFFDKFKVTLGRFVGVPQWFHGLRYLSFMQIIVCKQGARDLEILRDLPKLKCLILGLDFIPREAIVIGNEGFPELQRFSIDCPVPWLTFESRAMPKLTYLQLEFHACPTSPISVPSGIKNLSSLTEVALWYNVRYANSSSVKMTVEAVREEVANRRNMTQMISLFINGIEQDDAQEVDEETESTTGAPSGPDAGAEGEAVVEKTTAVVDTEITEA >PAN41567 pep chromosome:PHallii_v3.1:8:4151228:4154902:1 gene:PAHAL_8G053600 transcript:PAN41567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLMFEDASDIYGDDLPVPSIAKKTQVFVKHLHGTLSLQVDLTKDVEVLKEVVEKRLQRNVSDEYFAYYLKELEPRNSLLSYGIKRDSTISLTPRLRGGRRRLKTLLRFFLKHKEELVKLVELTDGSDSVELTYMGCKFLSSWLCCFLRAFASGRSWGGSFDLVSFLVPRQSVHIFKTPSKELNPRNLHMDCTTFTRFVRVMFVLNNQHPPYLLHLLSMLDNLTMEDLSADMLFSLTTHISLMPSLNRVCLVYLIKRRYDGWHPSERKRFRNYVNACQLHEIWLLNLRFVPMFGDVLVETLRRSAVSHNDWHQLFVIIRNYNTHGPEYCWRGNVQWYNYSNNGMELIVPKYTADFLAQMLDVLIERKFDIKDELMACSVSCCARKIWKRRFRENSDRRSSSPIDSERSVKRRFRENSDRRSSSPIDSERSVKTNKRRRAESYDRRGSKKHKKL >PAN43133 pep chromosome:PHallii_v3.1:8:39752148:39754963:-1 gene:PAHAL_8G212500 transcript:PAN43133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPNASNFYTFYQTPSTVRLPIAKIRDSKQREEHSSTGNAQAAVLSHLCRAPPTPKPRSPPILPDPQSLALASQICPTPAPAPPQIAPPPPTRHRPRSPGGPPAGRSFLRRSPAGAPPAEGGRPPPPVCLIASAGAHRPPPAVPSAAAGQGVMDEDYNLGSSSADWGGGGGGELTATPPEQQQHHHPPEGAARAARAVRPNQKRSKNFSTAEDEALVQAWLGVGADPAQGGERAAYWRRIHDRYHSRRGFQSDRNQNSITHRWSTIHESVGRFERCLARVQGTGQDGVSTQDEIMQALALYKSEDQNNKSFQFLHCWNLLRTHQKWIDRSSQKPSHKKQKTTPSSSPSCALEDAEAAAQECEVPRQPTGTMDEDVEQLSPEGNNSVDWGGGDLVVSLPEEEHWPIGVVTRAVRRNQKRTKNFSNKEDEMLVVAWLNVGVDPVQGSERTAYWKRIFDYYHSHKDCESDRNQNSIMHRWSTIQESVSKFERCLTRIQGTSQNGVTTQDEIMQALALFKSEDQNNKSFQFLHCWNLLRTHHKWIDRSSQISSQKFPHTSSLKKQKTAPSSSPSLCTPCALEDGEAATKECEVSIQPIGRNKENENLQQGGDSLYLEAIDEAATQECEISMQPMDRNKEGENLQQGGGSLSLEAIDNLLAKEKEAHAEKERKKNETAYALEQERVALEQMRAANEAKSLETRSKELDLKSKELDLKIMLEEERIMALDISAMSGPQQQYYKSLQNEIITRRFNRSG >PAN41708 pep chromosome:PHallii_v3.1:8:5302024:5306373:1 gene:PAHAL_8G064900 transcript:PAN41708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDEFPFLICRVIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKILNQVVEQLNKLVNVIKVVDLSKEPQVERELMLIKINAERDKLPEIMGLVRIFKAEVVDLSDNTLTIEVTGDPGKMVAIQKTLSKHGIKEIARTGKIALRREKMGETAPFWRFSAASYPDLEVTIPSTSRLSTGVDAINQISNESSGGDVYPVESYESFSSNQILDAHWGVMTDGDPTGFCSHTLSILVNDCPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESITKLVHQLYKLIDVYEVQDFTHLPFAARELMIIKVAANAAARRDVLDIAQIFEAQKVDISDHTITLQLTGDIDKMVRLHKMLEQYGICEVARTGRVALLRESGVDSKYLRGFSLPL >PAN41705 pep chromosome:PHallii_v3.1:8:5300849:5306729:1 gene:PAHAL_8G064900 transcript:PAN41705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVVPQLRPAATGAGSRGHRRPPAPKLGLAAGPRARSTAVAAAPSPATGGVTPVPPRSSRSVIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKILNQVVEQLNKLVNVIKVVDLSKEPQVERELMLIKINAERDKLPEIMGLVRIFKAEVVDLSDNTLTIEVTGDPGKMVAIQKTLSKHGIKEIARTGKIALRREKMGETAPFWRFSAASYPDLEVTIPSTSRLSTGVDAINQISNESSGGDVYPVESYESFSSNQILDAHWGVMTDGDPTGFCSHTLSILVNDCPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESITKLVHQLYKLIDVYEVQDFTHLPFAARELMIIKVAANAAARRDVLDIAQIFEAQKVDISDHTITLQLTGDIDKMVRLHKMLEQYGICEVARTGRVALLRESGVDSKYLRGFSLPL >PVH34058 pep chromosome:PHallii_v3.1:8:18055268:18056010:1 gene:PAHAL_8G126100 transcript:PVH34058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQFAGGHGDHQEGAAVAVEVVAAAHDAEKRGGGVEADRDSGPGKLPPMARDGFPDSELDADVPLVDDDPPVVAAERPPYGEIERRFRYGAGCVDVVDGAGRAAWIFEEAKHRRRPMELAAATEERGGGADEVRGLLRRDAEEDLFGGVVDELQQPAPRCDAGSRVCSG >PAN41524 pep chromosome:PHallii_v3.1:8:3856999:3862888:1 gene:PAHAL_8G050300 transcript:PAN41524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGVLLLAAVLLAVARPPHAYASEADHKYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPTHKWGGLGEVLGGNELIDSQIDIKFLKNVDKGSICTIELDAQKVKQFSDAIESSYWFELFIDDLPLWGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRGLVFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCIGLGILCGAVGYLGSTLFVRRIYRNIKCD >PVH34653 pep chromosome:PHallii_v3.1:8:44788012:44789693:1 gene:PAHAL_8G267300 transcript:PVH34653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREVHGPEYDPTTEDLDTEIVMRVGGGKKKGRYWIADGAIDSSSTPTLSQIRARSTSASSAIRPRQDTSRHQIQALQGRLEEETRKRQELEQRMSDVLTYMQSLSAAMETIGGVK >PVH34290 pep chromosome:PHallii_v3.1:8:36598272:36599284:1 gene:PAHAL_8G187400 transcript:PVH34290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRSSLLRDGSPPLMVSSSVLLAAKVTLPWMRIRVVRVVHSFPHIIAASHTGRLRPAGPVQ >PVH33571 pep chromosome:PHallii_v3.1:8:1755263:1757030:-1 gene:PAHAL_8G024200 transcript:PVH33571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQARWPDVPPCYRFTPTARELIRYYLNPWVVSPGQTPFGEPEGVVCAADVYSADPDTLTSRLRRFGHDDGNWYFLCVARWKDGNAGTRMSRAVQGGGTWHGSGKRVAVRRHGYRQTFEYRDAGGRKSAWLMEEFGSSLPEATDGEGVRVICRVHRTPKAAADGDGWEGRQEETDEAQIRSSKRQRGTLNQEHDFAAADYWTTAALAPPDAGCSYASTSLTAPENGAASTTTWQLQPMMEQGVGYHPTGINGDEDNKGEPEPLEMLPADMGWQQFAEMGHGFGYAAEDARMNEWMRSTFSSCPQPSDSAAQEGDDPRGEAGAS >PAN43406 pep chromosome:PHallii_v3.1:8:43545646:43546002:-1 gene:PAHAL_8G251900 transcript:PAN43406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFLLNSGSTSAIDHLPEFSSRLASQLTTRAQGARDERRGLACRDDGQPAAALRCVRRGRRRVQAGRDVSGARHHAWPHAAAQLSASRCSHARQADGPRRRRALPSGLAGSIEIGGG >PVH33568 pep chromosome:PHallii_v3.1:8:1742714:1744900:-1 gene:PAHAL_8G023900 transcript:PVH33568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDANCPVLVSHRSIRFPSLPVPSRPVPTAPVPPCAMFLRRLRTSAALRRGATDGGVLAALRTELAGEFSFSGVPLPPPFHCQDAPDFVTVSDAPLAEDLLLRRRTHSEEVLVSALLAPLMFEGQEPLPRDLLMKVFVSKPGATPVLHFDCRGLWAEGKARGFDYAINAVRYHSSPGDGREDKYEGPEFRPFID >PVH33569 pep chromosome:PHallii_v3.1:8:1741595:1745085:-1 gene:PAHAL_8G023900 transcript:PVH33569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDANCPVLVSHRSIRFPSLPVPSRPVPTAPVPPCAMFLRRLRTSAALRRGATDGGVLAALRTELAGEFSFSGVPLPPPFHCQDAPDFVTVSDAPLAEDLLLRRRTHSEEVLVSALLAPLMFEGQEPLPRDLLMKVFVSKPGATPVLHFDCRGLWAEGKARGFDYAINAVRYHSSPGDGREDKYEGPEFRDLDPQLKAALKEYLVARGVDSKLASSILLHLYQKEQAQYLKWLKTMEETFTKDH >PAN41379 pep chromosome:PHallii_v3.1:8:2890405:2893485:-1 gene:PAHAL_8G039300 transcript:PAN41379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGFWSLLSHLPLASRMCDSFLWRFTSWYNILGNITDSFLHPLAFQAKTLNDSTTRASSGDHECSLKCRLRL >PAN41378 pep chromosome:PHallii_v3.1:8:2890405:2893506:-1 gene:PAHAL_8G039300 transcript:PAN41378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGFWSLLSHLPLASRMCDSFLWRFTSWYNILGNITDSFLHPLAFQAKTLNDSTTRASSGDHECSLKCRLRL >PVH34316 pep chromosome:PHallii_v3.1:8:37512120:37513357:-1 gene:PAHAL_8G192900 transcript:PVH34316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLNDFFVAQCEMLQVIEIRAPKLSSFVVGDDRIQISLGAEKVKTPIMSSTFLLLKYLDISLVDVQLYRVDYFCLVSFLEASPALEIFVLRVGTGNLLRRDSVLKDLDKDQLHLWQMPECLHNNLKNVMMTGFSSAKSLIELTSHIVRNALALACMTLDTARGCCRRTAKTGKCQRMSREGLEEAQKALEAVKRCIEGIVPSSNNLKALEPCSQCGC >PVH33685 pep chromosome:PHallii_v3.1:8:3377996:3379081:1 gene:PAHAL_8G044900 transcript:PVH33685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPTTAGLQLSTLAAAVTCALLLLAGRSSASQPLPSRSGDFEDDRVHDFLRVLDRAAAYRRECFGECAKGCYCADNPYSCLRECMPTPPTRRCGATYGAVQGVFSSSATFAAPGREDGDGAAAGEGLFSGAT >PAN42841 pep chromosome:PHallii_v3.1:8:37287347:37288585:-1 gene:PAHAL_8G191300 transcript:PAN42841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGCHATDEAPNGSKAVTLLLRLSTMALALTSAVVMATASECTIYESRGARVTVTFKHYPPFVYLVCFNIAATILEAAGIYLQVGKGGDDDEEEAPKAARVLLVFVDVAVPALLNLATGAAFSAVVAYGPQISACTSAAGRFCEQVNRSKILSLAAGISAVSAAFFKDVQLPFSVWPVSSDDYC >PAN42842 pep chromosome:PHallii_v3.1:8:37287347:37288369:-1 gene:PAHAL_8G191300 transcript:PAN42842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGCHATDEAPNGSKAVTLLLRLSTMALALTSAVVMATASECTIYESRGARVTVTFKHYPPFVYLVCFNIAATILEAAGIYLQVGKGGDDDEEEAPKAARVLLVFVDVAVPALLNLATGAAFSAVVAYGPQISACTSAAGRFCEQVNRSKILSLAAGISAVSAAFFKDVQLPFSVWPVSSDDYC >PAN43343 pep chromosome:PHallii_v3.1:8:41611258:41612711:1 gene:PAHAL_8G229600 transcript:PAN43343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNLYGCRHSLPDGLMRATDVMNAGKVAVVCGYGDVGNKGCAAALKQAGAHVIATEIDPICCDVHGFWGS >PAN43341 pep chromosome:PHallii_v3.1:8:41611258:41612691:1 gene:PAHAL_8G229600 transcript:PAN43341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNLYGCRHSLPDGLMRATDVMNAGKVAVVCGYGDVGNKGCAAALKQAGAHVIATEIDPICCDVHGFWGS >PAN43342 pep chromosome:PHallii_v3.1:8:41611258:41612711:1 gene:PAHAL_8G229600 transcript:PAN43342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNLYGCRHSLPDGLMRATDVMNAGKVAVVCGYGDVGNKGCAAALKQATGSSRVVLESTSDSIAVDGRCS >PAN41590 pep chromosome:PHallii_v3.1:8:4319765:4321691:-1 gene:PAHAL_8G055400 transcript:PAN41590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDFSKLDGPERAETMAAIAAGFEDVGFFQLVNTGIPDELLERVKKLCSDCYKLREQGFKESKPAVKALAELVEEEGEGLAPRKIENMDWEDVFTLQDDLPWPSNPPAFKETMMEYRKELKKLAEKLLGVMEELLGLEEGHIKKAFTSDGDFEAFYGTKVSHYPPCPRPDLVDGLRAHTDAGGLILLFQDDRFGGLQVQLPDGRWVDVQPLENAIVINTGDQIEVLSNGRYKSAWHRILATRDGNRRSVASFYNPARLASIAPATPAAGEGGAADYPGFVFGDYMEVYVKHKFGPKEPRFAAMATAAIK >PAN42249 pep chromosome:PHallii_v3.1:8:34959065:34968493:1 gene:PAHAL_8G178500 transcript:PAN42249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFISARSISMCSPPPFRLPPSRTRTMAVEEVLAPCTARGAAAAAAHALAPWIISPFARKLGVDLAHQFCPAPASLCDEDDLHNWELIDFWKGSEILTKPSSNGGLNQEEWPSEDMPPSWNGGFDIHIGSHGIGAPEYLSVQYPLYFQMVLSAACDIFGEDVQDGSVFSYPNAALEIGARGSVPSDVVTVPHQVYCEGTNRTISKVSKKDSGAPGSEPDKTDWQQQQQQQQEVTHATLNHVNGLRLIGVDSRLSGAFGTAGDKGRFPVCQGKMETSARSLWEILANSGSVICETECKIISGLAAEEYLDEQHFLNDNAELGKQVRNGVWAISSPEQKGAAQIGEPEDHSGYGSPVAREHGISIAPKETDPGTAWLIASAAPHHATGNRALLSGFAPDQGDLFVKAGDAGAAPMRVVGRGNVVTDAVVLPNVWYVPGLTANVVSVSQLAELDYSVAFGHGRCYVRSSEDGVVVGTARAGEDGLFALDFIKVPLGI >PVH34032 pep chromosome:PHallii_v3.1:8:17114579:17122212:1 gene:PAHAL_8G120700 transcript:PVH34032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVVSGPKGYPKCLDMSLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELPQKGCIKNVSAMTMHYNKNIDMRNKSKDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTRVWVDVSQRFDLNKICESTISQISGKESRANERKIVHSCLKKLLSGKKILIVLDDLWEDDQFQLQELKDMLYHADSNIIILVTTRSERVAGRICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIVQLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLYEILDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVKHRAIPNCITKLKNLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHCTTWSTTKRQLPEALRRLTNLKYLNLSGSHLTWISDAMRNLTELRYLDLSSCSIFSGADEALPIFLECISNLPNLEHLDLSNNIGLTRVPDCICSLRKLHRLDLSYCYNLWSLPATLHEMDSLKFLHLEDLELLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISGLENVKSVQEARSVRLMEKGRIKEMKLNWTIDSERFVEDMEVLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCQISLSNLPKCNALPPLGQLPNLEELKFSRMSGISKIDGDLYGTRRPSFPRLKDFSLSRMESLEVWCTTYSHGGDGVSKFMFPNLRKLTIIDCPNLRQKPCPHRAEERWDIWGACDGVISSWEERASQTAVSSPSSAPVTTLRINFCDVTMHKWRLLHHLPALTKLEISRCSNLSSSPEIMQALSSLQSLTLESQGQPEPELPNWLGQLASLKELTIKRYEVQALQGSMGHLSLLQSLCLKHIESMTALPQWVPDLISLQQLEIWHCSNLNDLTGTIGCLISLNELAIIDCIGITSLPESIQKLTMLKKLKIYLCYKLVRWCETENKAMLAHIEVKII >PVH34030 pep chromosome:PHallii_v3.1:8:17114579:17122196:1 gene:PAHAL_8G120700 transcript:PVH34030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVVSGPKGYPKCLDMSLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGEFVHKPTEILQLPQKGCIKNVSAMTMHYNKNIDMRNKSKDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTRVWVDVSQRFDLNKICESTISQISGKESRANERKIVHSCLKKLLSGKKILIVLDDLWEDDQFQLQELKDMLYHADSNIIILVTTRSERVAGRICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIVQLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLYEILDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVKHRAIPNCITKLKNLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHCTTWSTTKRQLPEALRRLTNLKYLNLSGSTFSIRDHGIIQALCSLTKLQCLNLSKCSLFVIGSHLTWISDAMRNLTELRYLDLSSCSIFSGADEALPIFLECISNLPNLEHLDLSNNIGLTRVPDCICSLRKLHRLDLSYCYNLWSLPATLHEMDSLKFLHLEDLELLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISGLENVKSVQEARSVRLMEKGRIKEMKLNWTIDSERFVEDMEVLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCQISLSNLPKCNALPPLGQLPNLEELKFSRMSGISKIDGDLYGTRRPSFPRLKDFSLSRMESLEVWCTTYSHGGDGVSKFMFPNLRKLTIIDCPNLRQKPCPHRAEERWDIWGACDGVISSWEERASQTAVSSPSSAPVTTLRINFCDVTMHKWRLLHHLPALTKLEISRCSNLSSSPEIMQALSSLQSLTLESQGQPEPELPNWLGQLASLKELTIKRYEVQALQGSMGHLSLLQSLCLKHIESMTALPQWVPDLISLQQLEIWHCSNLNDLTGTIGCLISLNELAIIDCIGITSLPESIQKLTMLKKLKIYLCYKLVRWCETENKAMLAHIEVKII >PVH34033 pep chromosome:PHallii_v3.1:8:17114579:17122236:1 gene:PAHAL_8G120700 transcript:PVH34033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVVSGPKGYPKCLDMSLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELPQKGCIKNVSAMTMHYNKNIDMRNKSKDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTRVWVDVSQRFDLNKICESTISQISGKESRANERKIVHSCLKKLLSGKKILIVLDDLWEDDQFQLQELKDMLYHADSNIIILVTTRSERVAGRICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIVQLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLYEILDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVKHRAIPNCITKLKNLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHCTTWSTTKRQLPEALRRLTNLKYLNLSGSTFSIRDHGIIQALCSLTKLQCLNLSKCSLFVIGSHLTWISDAMRNLTELRYLDLSSCSIFSGADEALPIFLECISNLPNLEHLDLSNNIGLTRVPDCICSLRKLHRLDLSYCYNLWSLPATLHEMDSLKFLHLEDLELLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISGLENVKSVQEARSVRLMEKGRIKEMKLNWTIDSERFVEDMEVLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCQISLSNLPKCNALPPLGQLPNLEELKFSRMSGISKIDGDLYGTRRPSFPRLKDFSLSRMESLEVWCTTYSHGGDGVSKFMFPNLRKLTIIDCPNLRQKPCPHRAEERWDIWGACDGVISSWEERASQTAVSSPSSAPVTTLRINFCDVTMHKWRLLHHLPALTKLEISRCSNLSSSPEIMQALSSLQSLTLESQGQPEPELPNWLGQLASLKELTIKRYEVQALQGSMGHLSLLQSLCLKHIESMTALPQWVPDLISLQQLEIWHCSNLNDLTGTIGCLISLNELAIIDCIGITSLPESIQKLTMLKKLKIYLCYKLVRWCETENKAMLAHIEVKII >PVH34031 pep chromosome:PHallii_v3.1:8:17114579:17122236:1 gene:PAHAL_8G120700 transcript:PVH34031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVVSGPKGYPKCLDMSLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELPQKGCIKNVSAMTMHYNKNIDMRNKSKDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTRVWVDVSQRFDLNKICESTISQISGKESRANERKIVHSCLKKLLSGKKILIVLDDLWEDDQFQLQELKDMLYHADSNIIILVTTRSERVAGRICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIVQLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLYEILDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVKHRAIPNCITKLKNLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHCTTWSTTKRQLPEALRRLTNLKYLNLSGSHLTWISDAMRNLTELRYLDLSSCSIFSGADEALPIFLECISNLPNLEHLDLSNNIGLTRVPDCICSLRKLHRLDLSYCYNLWSLPATLHEMDSLKFLHLEDLELLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISGLENVKSVQEARSVRLMEKGRIKEMKLNWTIDSERFVEDMEVLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCQISLSNLPKCNALPPLGQLPNLEELKFSRMSGISKIDGDLYGTRRPSFPRLKDFSLSRMESLEVWCTTYSHGGDGVSKFMFPNLRKLTIIDCPNLRQKPCPHRAEERWDIWGACDGVISSWEERASQTAVSSPSSAPVTTLRINFCDVTMHKWRLLHHLPALTKLEISRCSNLSSSPEIMQALSSLQSLTLESQGQPEPELPNWLGQLASLKELTIKRYEVQALQGSMGHLSLLQSLCLKHIESMTALPQWVPDLISLQQLEIWHCSNLNDLTGTIGCLISLNELAIIDCIGITSLPESIQKLTMLKKLKIYLCYKLVRWCETENKAMLAHIEVKII >PVH34029 pep chromosome:PHallii_v3.1:8:17114579:17122120:1 gene:PAHAL_8G120700 transcript:PVH34029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVVSGPKGYPKCLDMSLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELPQKGCIKNVSAMTMHYNKNIDMRNKSKDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTRVWVDVSQRFDLNKICESTISQISGKESRANERKIVHSCLKKLLSGKKILIVLDDLWEDDQFQLQELKDMLYHADSNIIILVTTRSERVAGRICTNLQPYKILPLTNDMCWDIIKQRSAFEARDDKKQLTNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIVQLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLYEILDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALRFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVKHRAIPNCITKLKNLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHCTTWSTTKRQLPEALRRLTNLKYLNLSGSTFSIRDHGSHLTWISDAMRNLTELRYLDLSSCSIFSGADEALPIFLECISNLPNLEHLDLSNNIGLTRVPDCICSLRKLHRLDLSYCYNLWSLPATLHEMDSLKFLHLEDLELLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISGLENVKSVQEARSVRLMEKGRIKEMKLNWTIDSERFVEDMEVLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCQISLSNLPKCNALPPLGQLPNLEELKFSRMSGISKIDGDLYGTRRPSFPRLKDFSLSRMESLEVWCTTYSHGGDGVSKFMFPNLRKLTIIDCPNLRQKPCPHRAEERWDIWGACDGVISSWEERASQTAVSSPSSAPVTTLRINFCDVTMHKWRLLHHLPALTKLEISRCSNLSSSPEIMQALSSLQSLTLESQGQPEPELPNWLGQLASLKELTIKRYEVQALQGSMGHLSLLQSLCLKHIESMTALPQWVPDLISLQQLEIWHCSNLNDLTGTIGCLISLNELAIIDCIGITSLPESIQKLTMLKKLKIYLCYKLVRWCETENKAMLAHIEVKII >PVH33674 pep chromosome:PHallii_v3.1:8:3217492:3220728:1 gene:PAHAL_8G043200 transcript:PVH33674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRRGEESGCSSRVSTTLSAHDESRPKASCPPPPPSCRRPSWPPPLPKPKAAFRYPYHMPVGRSSATIQRVEASLLGITGKDRPAGLNDVRAPLVVTIGPYHRCAYGLAWSRLRLMEEAKDAALEEFGRVSNQPREALQEKILSVAASARECYGGDRMVNPYDDNSDDDISVDEGDRNFAEMLLLDGCFLLQFMVSMCPDDPKAPAELDPLMSRAEVHTRIDAIVRDVMLFENQIPWLVLEALMELRPGVPVDRFLTLMASAFQAGNDDSTNPKAQHDHDDHHHNKPPHLLGLFHRRQIGTARTQSLLVPKLSSLSTTAVELAEMGVKLTAGKTKKFGDMSMANRQWRGLGLFGELSLAPVVLNDLTACWLINMAAYEACVGATQADNFAVSSYISVVALLVNREEDVQELRGKGIINSAMSDMGTLEFFKWAAPHLRVGHRYYEVFRGLQEYRQRWAWMAVHRFLYKNYKTIGAVLSIIGVLAGLFKTILSLKQ >PVH33673 pep chromosome:PHallii_v3.1:8:3217491:3220728:1 gene:PAHAL_8G043200 transcript:PVH33673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRRGEESGCSSRVSTTLSAHDESRPKASCPPPPPSCRRPSWPPPLPKPKAAFRYPYHMPVGRSSATIQRVEASLLGITGKDRPAGLNDVRAPLVVTIGPYHRCAYGLAWSRLRLMEEAKDAALEEFGRVSNQPREALQEKILSVAASARECYGGDRMVNPYDDNSDDDISVDEGDRNFAEMLLLDGCFLLQFMVSMCPDDPKAPAELDPLMSRAEVHTRIDAIVRDVMLFENQIPWLVLEALMELRPGVPVDRFLTLMASAFQAGNDDSTNPKAQHDHDDHHHNKPPHLLGLFHRRQIGTARTQSLLVPKLSSLSTTAVELAEMGVKLTAGKTKKFGDMSMANRQWRGLGLFGELSLAPVVLNDLTACWLINMAAYEACVGATQADNFAVSSYISVVALLVNREEDVQELRGKGIINSAMSDMGTLEFFKWAAPHLRVGHRYYEVFRGLQEYRQRWAWMAVHRFLYKNYKTIGAVLSIIGVLAGLFKTILSLKQ >PAN41568 pep chromosome:PHallii_v3.1:8:4155376:4159157:-1 gene:PAHAL_8G053700 transcript:PAN41568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISAKGKDTQVPGHRRSRRDSSPVDSLAAEAASRRAVAQIRPALTERPGPSRRSWRSTRDSSEEDATAASPPHPTWMILNRAGARRDYFPGDHTTSVICHTSTGEKISVSFELVEPPGTSVLTLDRPQGPPSSYPEVIAADRNLVLFQMVRGIHDPAHLVDYFIYEASSDPSRRSSLSLVPVLYPKRDSNEGRPMQHIMSTDATGVLSLNSGLFIVADLETRKDAVDIYLFVSGSGKSKGYDGWRVLKRLPVRRANGDLLDLSRWSTDRVLPYRHHLIWVNYYKGMIFGNMEDPWREPTLRYVPLPVDATKGICDDRNCGRRWAEASHNIFVTRSAIKFVTINHQYSSSSVVALNRCWSTFRITTWSLCNYSDTWIEEATLDAEEFWDLDAENQLPHVLPEFPIFNMKNPDAICFSLYERSHTFGYSGKTWMVEVHMKKKLLLNATAYSEEQIFFRQITTKSARVLSQGLPLPFISSEMPDYLPEKRRKLTSKSTTKAVARSALPV >PAN40995 pep chromosome:PHallii_v3.1:8:630756:636207:-1 gene:PAHAL_8G007900 transcript:PAN40995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRRCGSRRPAVVGDNTNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLSDVLRTPDAVQRMYSEVQLLSTLRHDAIIGFHASWVDVPRRTFNFITELFSSGTLRSYRLRYPRVSLRAVRSWARQILRGLAYLHAHRPPVIHRDLKCDNLFVNGHQGQVKIGDLGLAAVLREAGQAAHSVIGTPEFMAPEMYDEEYNELVDVYSFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGKLPDAFYRVEDGEARRFIGRCLVAASKRPSAAELLLDPFLLDDHHHVMSTTSCAAVTMAPPPLPPAGPPSSSTCSSSDVSSLGNDHQDVSEDADDPLVARTTEMTITGKLNAEEDTIFLKVQIADETGNNVRNIYFPFDMVNDTATEVAEEMVKELEIRDRDPSEIAAMIEQEIERLLPGRKQQHEYAVNAAHDDDDDESEERPPPFYYLSSSPTSSQSSVCPYASEDFSRPQGGAWSKDYGYYCACLSDDDDMSSSGNQDEAQSPRPEVVGGPSGFSKAQPKNTRFGSSLARQLQRQCSMSPHAGRPRRREDEDRHGRRGRMTRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVENIGFRAPTTTTTSSSSSTRGRRSRDDKHHQNYVML >PAN43036 pep chromosome:PHallii_v3.1:8:39128120:39129634:-1 gene:PAHAL_8G206100 transcript:PAN43036 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 6 [Source:Projected from Arabidopsis thaliana (AT5G17870) TAIR;Acc:AT5G17870] MSPVTALLVGTAVPLAPAPARHARPSFGFPTLPGGGRAALAVECSSRPQKKATKHHMKTRPKKTQPWDIKRRPTQYPPLPPLPPDWTLVAASATVDAEEAPAPAPVLELAAAAAPAAAD >PAN41391 pep chromosome:PHallii_v3.1:8:2934516:2940060:1 gene:PAHAL_8G040200 transcript:PAN41391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLEANATSAMMRPVLHLMVGLVLYGVAEEMTVPALVDKVTAALCPADEGSCPEAIYLTGIQSSVGGIFRTIGLTLMGQLADEYGRKPLLLLTASTSIIPFAVLAWNNSRTAVYVYLVLRTLSFMIGQGTIFCLSIAYTADAVEPSRRAAAFGFMTGIFSASHTLGSVFSRFLPEKWIFEVSIVLLICSILYMKIYLVETVHRVPSTPCQHSSMSSLLAKLPKQRWESIKENISIVKNSETLRRISYVAFFYKLGMIAISDVLMYYLKSVFGFDKNQFSEILMVVGVGSIFSQILVFPFLSHIIGEKGVLCISILASIAYVPYFSSSLGVIYVLAKPAIYAIISGEVLSTDQGKAQGFIATVQSVAILLAPLFMSPLTSYFISEEAPFDCPGFSFIVAGFFLAISFCLAWTLYPESKDDKCRKLLVSDEEAVQAPLLAHHHPKP >PAN41392 pep chromosome:PHallii_v3.1:8:2934516:2940060:1 gene:PAHAL_8G040200 transcript:PAN41392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLEANATSAMMRPVLHLMVGLVLYGVAEEMTVPALVDKVTAALCPADEGSCPEAIYLTGIQSSVGGIFRTIGLTLMGQLADEYGRKPLLLLTASTSIIPFAVLAWNNSRTAVYVYLVLRTLSFMIGQGTIFCLSIAYTADAVEPSRRAAAFGFMTGIFSASHTLGSVFSRFLPEKWIFEVSIVLLICSILYMKIYLVETVHRVPSTPCQHSSMSSLLAKLPKQRWESIKENISIVKNSETLRRISYVAFFYKLGMIAISDVLMYYLKSVFGFDKNQFSEILMVVGVGSIFSQILVFPFLSHIIGEKGVLCISILASIAYSLLYGVAWASWVPYFSSSLGVIYVLAKPAIYAIISGEVLSTDQGKAQGFIATVQSVAILLAPLFMSPLTSYFISEEAPFDCPGFSFIVAGFFLAISFCLAWTLYPESKDDKCRKLLVSDEEAVQAPLLAHHHPKP >PVH33655 pep chromosome:PHallii_v3.1:8:2934516:2940060:1 gene:PAHAL_8G040200 transcript:PVH33655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFKDCCVCLPCAAYPLIHDWPRNHILPLYCVHDAVEPSRRAAAFGFMTGIFSASHTLGSVFSRFLPEKWIFEVSIVLLICSILYMKIYLVETVHRVPSTPCQHSSMSSLLAKLPKQRWESIKENISIVKNSETLRRISYVAFFYKLGMIAISDVLMYYLKSVFGFDKNQFSEILMVVGVGSIFSQILVFPFLSHIIGEKGVLCISILASIAYVPYFSSSLGVIYVLAKPAIYAIISGEVLSTDQGKAQGFIATVQSVAILLAPLFMSPLTSYFISEEAPFDCPGFSFIVAGFFLAISFCLAWTLYPESKDDKCRKLLVSDEEAVQAPLLAHHHPKP >PVH33654 pep chromosome:PHallii_v3.1:8:2934516:2940060:1 gene:PAHAL_8G040200 transcript:PVH33654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFKDCCVCLPCAAYPLIHDWPRNHILPLYCVHDAVEPSRRAAAFGFMTGIFSASHTLGSVFSRFLPEKWIFEVSIVLLICSILYMKIYLVETVHRVPSTPCQHSSMSSLLAKLPKQRWESIKENISIVKNSETLRRISYVAFFYKLGMIAISDVLMYYLKSVFGFDKNQFSEILMVVGVGSIFSQILVFPFLSHIIGEKGVLCISILASIAYSLLYGVAWASWVPYFSSSLGVIYVLAKPAIYAIISGEVLSTDQGKAQGFIATVQSVAILLAPLFMSPLTSYFISEEAPFDCPGFSFIVAGFFLAISFCLAWTLYPESKDDKCRKLLVSDEEAVQAPLLAHHHPKP >PVH33796 pep chromosome:PHallii_v3.1:8:5680582:5682180:1 gene:PAHAL_8G067100 transcript:PVH33796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGFLPVQKTPSSGDSISPFSLIVKRASESSARLRGLCAQQSLCSSYRSGSAADPTPMSGESWPESVSNGTRSGLTMTGSRPMKMMDPVLECLEMMSLSPRPGDDDYDGSGALPAPLPELSFQFTGAPMSLESVDLSFFKRSPRDIELKGKETSFKKPAMAETRISWREGLVSRMFDVGDLDCCKWISDDEDSPVLSHNDEALPDGTNSQRGGHQQEACGFGSVEFSCVGDELNNDSSKACPNPVSVAESMRAEGFELVSSDDSDWTLFYKNDLYES >PAN43141 pep chromosome:PHallii_v3.1:8:39797821:39801925:-1 gene:PAHAL_8G213000 transcript:PAN43141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDPPPPPDGADADALCPLCGGAGVTPARVALARRGGDALAVAAAAAPAGDDAAALLREALARQRWALADLQAELEAERGAAAGAASEAMSMILRLQRDKSEAMMEARQYRRYAEERFAHDAAERDALRGEVERREAAARALAARLRACQARLLHLGFPSPPPPPHPQTSSLPSSPTAADSVRLGLFHPFLSDDDEDEGDDGYRSVQCLDYHPAAPADVGTPRTHHLLNRTPNPDADPKGVALSGSPRHARTLSGDSIPRIALADEFSLFDHRDAPDGDGEDGDRVYTVDAVHGVPVDWGSEVGVPAAGAGDWAEEGEEIQKLKARLQALEADRESMRHAIMSMGDEKTQVVLLREIAQQLCRDAAPFPAVPLKVQPRLQPVVVAQRKVVKKQTSFVKIFIMTVIKWVASIFCWRRKSNRIRYPIGMCGSNVGLMLVLDRFPKQRQKKIPKRKLSASTL >PAN41037 pep chromosome:PHallii_v3.1:8:812709:814773:1 gene:PAHAL_8G011200 transcript:PAN41037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVYVAMISDRYLLVSWKHLQGMLHVMIQAAMPMLTSWENITGKEGGSAEIVVDESLRNFSADVISRTSFGSNFAAGKEIFNKIRQLQIAMAKQSILGVPGVRYLPTKTNREIWSLDRSIRRLILNVALKHEQDSVALSTKNLLHSIIKGAKARHFASQTPEDFIIDNCKNIYFAGHETTSTTAAWCLMLLASHPEWQSRARTELLDVCQGKPIEFVMLRKLKMITMVIQETLRLYPPASFVTREALNDLKLGSLNIPKGTNIRIPVALAHRDPVVWGPNSDRFDPGRFANGIAGACKAPHMYMPFGVGTRTCAGQNLAMVELKVVLSLLLSKFEFALSPNYVHCPAFRLTIEPGNGVPLIFQKLY >PAN41038 pep chromosome:PHallii_v3.1:8:810990:815037:1 gene:PAHAL_8G011200 transcript:PAN41038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVFPPLRWLILLPPVFLCVLLSYLYAILWLRPERLREKLRSQGVKGPKPSFLFGNIVEMRRIQKELAMSVQELQAGTTDKFSSDYTATLFPYFLHWSRIYGSIFLYSTGSIQVLNMTDPDMVKELANCKSLDLGKPSFLQKERGALLGMGILTSNGELWAHQRKVIAPEFFMDKVKGMLHVMIQAAMPMLTSWENITGKEGGSAEIVVDESLRNFSADVISRTSFGSNFAAGKEIFNKIRQLQIAMAKQSILGVPGVRYLPTKTNREIWSLDRSIRRLILNVALKHEQDSVALSTKNLLHSIIKGAKARHFASQTPEDFIIDNCKNIYFAGHETTSTTAAWCLMLLASHPEWQSRARTELLDVCQGKPIEFVMLRKLKMITMVIQETLRLYPPASFVTREALNDLKLGSLNIPKGTNIRIPVALAHRDPVVWGPNSDRFDPGRFANGIAGACKAPHMYMPFGVGTRTCAGQNLAMVELKVVLSLLLSKFEFALSPNYVHCPAFRLTIEPGNGVPLIFQKLY >PVH33516 pep chromosome:PHallii_v3.1:8:1129063:1131797:1 gene:PAHAL_8G016100 transcript:PVH33516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPATAAELRLASTRAARPCQAQNSFHLFRPLYSRAQRPLLFLLASQQPAAQAPAAGGASARLNMLLDLLHFLQRAPGTAAGCWIDCEKGREIESAMDLVVQSVCCCLLFVLTMGGLSRRFLNLVMDNRIRGVRSLRCIDLTRHHLFNTTIPAQSPNGKGSGSGLQDAPTSRETAAVADNRGNKQVAGDTLKMEEIRLPSPSFSICSSATSKGWDIECIPLAGHKVFCTDRSGWTIIFDANMRQVDIMPILQRPKRRPISIFIPSDAAADNHDDVEGSLFVLESAPNDEVRYSGQLSSQFEAFVCRKPTMTASTNPCHHLLLPPPPFVRDPKYSRSWPPKISSYAVVNGGSGSSTQIRISAEGAGTYSLDTVLHTWSQLGECALPFRGKVEYVPELKLWFGISRKDRTLVAADLSAMGSQTLLVTVGMEFDPPEQWLAARHPQLVNLGFGRFCIVRFFYTRTSLAFGEVYEEYFVVLTGVEVVRRDNDVSGNGSKGKVELQMIKHKSWYHMCFGSDGTIESAF >PVH34259 pep chromosome:PHallii_v3.1:8:35125043:35126328:1 gene:PAHAL_8G181200 transcript:PVH34259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVWNELDDGSQHGFGVASCTPYTDLLGCQMQRGRTRQLLIVSNNDTTESPSTGKRPKKAKQGQVSKSIAIQSSSYTPKEDEVICSSYLNVSKDPVVSVNQPSKTYWERICDYYNENRGMYGQRTISSLQHRWGEISKDTCKFTGFYAEIERKNQSGKNEDDKIKDALQLYNGFLGHSFKFIHCWFILRHEQKWHEFVAEKKQHNKTRSEPSAEPVSPMAPATDTPQINAQNLVRPMGRDSAKRLRSANSYASSTGCLEVLQKIHSDRAKYEERQEEHIKDESKEMAERYERKLRLQEESINFQKDSMNFQKELLTKQVSIQEKMLALQEKERVDKVMMADLDKFPSWVRDYYVIEQKEIAARIQAGQPSGEK >PAN43275 pep chromosome:PHallii_v3.1:8:41193550:41196651:1 gene:PAHAL_8G225100 transcript:PAN43275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSSSGARSPLSLVVAMALCCFFYVLGAWQRSGYGKGDRIAAAVNRQTACGGPDAAAAGLSFETHHGGDAAAATANASAAAAAAAGTAPEFAPCAAALVDHTPCHDQDRAMKFPRKNMVYRERHCPADGERLRCLVPAPPGYVTPFPWPKSRDYVPFANAPYKSLTVEKAVQNWVQYEGTVFRFPGGGTQFPQGADKYIDRLGSVVPFAGGHVRTVLDTGCGVASLGAYLDSRGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSVKLPFPPRSFDMAHCSRCLIPWGGNGGMYMMEIDRVLRPGGYWVLSGPPINWKTNHKAWERTEADLAAEQQRIEEYAAMLCWEKVTEINEVGIWRKRPDPAAACPNRPAVRTCDEANPDDVWYKNMETCITPPAGAGAGELLPFPARLGAVPPRISSGAVPGFTAESYAEENRRWERHVAAYKKVNYKLSSERYRNIMDMNAGVGGFAAAIFSPKAWVMNVVPTAAELSTLGVVYERGLIGMYHDWCEAFSTYPRTYDLIHANGIFTLYKDRCKMEDILLEMDRILRPEGTVILRDDVEILLKVQRTAKGMRWKTLMANHEDSPNIREKVLFAVKRYWTAAGEGSASEEQKNTGSSPEGKGSED >PVH33495 pep chromosome:PHallii_v3.1:8:916948:917402:-1 gene:PAHAL_8G013200 transcript:PVH33495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVHGHGFTILSSISNIKKNGILESKMKNIPSDSLSDVCEWSSSPESAKSSTW >PAN41403 pep chromosome:PHallii_v3.1:8:2986094:2986911:-1 gene:PAHAL_8G041200 transcript:PAN41403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNSPASCSLLLLLVVMAVTASSTTSPVAGGGGGSGRSAHLTAGFTRVRLTESQFVVQKPYDVPLRERYEQSGGVRRMWVFATDKPISASHPGVARTEIKVDKIYSSGVWQFEGDVFVPSGTSGASVMQIFGAAPPHASTLMLHVYGGRLTYYHDLTKVLAARVYDRWVRLNVAHDVAAGNVTVFVDGQRRLSAAGHGGTEHYFKFGVYKQSHHQPSRRMESRWKNVAVYTKP >PAN43458 pep chromosome:PHallii_v3.1:8:43786800:43787167:-1 gene:PAHAL_8G255000 transcript:PAN43458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHAHGLSHLELGFITNTCMDSNRANISSYTKGQVAVTFQQSITQPAQKG >PAN42794 pep chromosome:PHallii_v3.1:8:36448548:36451717:-1 gene:PAHAL_8G186100 transcript:PAN42794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPESGDPGLAECLRLLEAVPAAAASAPAFRRHWPSISASLAALSAALASPAFPPGAPLLAPLAAALGALMSAAADAPRLGHLHTVSLLSSSAASLSQLAADARLLATPAASAAAAAPGGADSGADALISRLRLGSAASRAAALEELAGSAAALPATSAAAAVSAVVALLDSAGGDLLLPASRERAVSVLAAFASSDAACRFLAEESGAVVPHLCRALESGGAGAEHACAALLPLTAASRDASAAVAARGGVAALLAACAGGTPATQAAAAGVLRNLAAFPDLLPWFRDEGALPLLLQLVSLGTPRAQELALGCLQNLTASDGDEGQRLKVEAFQEGALACVKDFLDASRGDEPGLAPALGLLRNMASFRYIAEIAASASFAAHVAAALGSDRSPTRTEAALALAELCGNAAKSRHELEGAVPRLIWMLEAKPVAERDAAARALAALLASSGACRKLFRRDERGVASAVQLLDPAGARGVDRRFPVSVLLAVAQSRRCRKQMVAAGACGFLQGLMAAEVDGAKRLAECLGKGKMLGVFPRT >PAN41533 pep chromosome:PHallii_v3.1:8:3893520:3896226:1 gene:PAHAL_8G051000 transcript:PAN41533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERVADNWWLAREAVSAVMGLWGWPWGRRGPSGFGSASTAEEVTAGVDATNLTAIVTGATNGIGKETARVLALRGAKVIIPARTLASGQKVKESLADQVPSSKLHVMEMDLSSLSSVRNFARSFDSSHEHLNILINNAGIMACPYQISEDGIELQFATNHVGHFLLTSLLLDKMKSTARETGVQGRIINVSSVAHKRSDGTCFELKKLNDKARYHPFIAYSHSKLANILHANELSRRFQEEGCNLTANSLHPGVIFTNIVRYIAGNSALLPVLSPLAKLVLKGVPQGAATTCYLALHPDLKNVSGKYFADCNEATPTAVARDAELAKKVWLFSEELVGTNAASKPK >PVH33871 pep chromosome:PHallii_v3.1:8:7425361:7428635:-1 gene:PAHAL_8G081000 transcript:PVH33871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDTSTLLSTLPKNIPASFLKQITDGFSPERELGKGAFGTVYKGILEDGEVIAVKKLEENPPMGHEKTFSNEVGNLMAVQHGNIVKLVGFCHESQKKLVPHNGRYIIVDITEVLLCYEYLPKGSLDKYLFAESNRIDWDTRFKIVKGICKGLHFLHKEMDGPVVHMDLKPENILLDDNMVPKIADFGLSRLFGQEQTRMHTQNVVGSLGYMAPEYLYRGEISAQSDIYSLGLLIIQISTGEKNIPDAEDNCGKKFIEKIAFSKLKCVLKLGYNVWNMNARRDHQ >PVH33870 pep chromosome:PHallii_v3.1:8:7425361:7428065:-1 gene:PAHAL_8G081000 transcript:PVH33870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDTSTLLSTLPKNIPASFLKQITDGFSPERELGKGAFGTVYKGILEDGEVIAVKKLEENPPMGHEKTFSNEVGNLMAVQHGNIVKLVGFCHESQKKLVPHNGRYIIVDITEVLLCYEYLPKGSLDKYLFAESNRIDWDTRFKIVKGICKGLHFLHKEMDGPVVHMDLKPENILLDDNMVPKIADFGLSRLFGQEQTRMHTQNVVGSLGYMAPEYLYRGEISAQSDIYSLGLLIIQISTGEKNIPDAEDNCGKKFIEKVRKNWTDRHITSMYASFDADRLQQIEMCVEIGLQCVEHEREKRPPIVDIVDKLNGSTQSKWCMHERSLNPNKFSIIWSPEDHRSASKMDKACSIINRGPEMYSGIR >PVH33868 pep chromosome:PHallii_v3.1:8:7425504:7427533:-1 gene:PAHAL_8G081000 transcript:PVH33868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDTSTLLSTLPKNIPASFLKQITDGFSPERELGKGAFGTVYKGILEDGEVIAVKKLEENPPMGHEKTFSNEVGNLMAVQHGNIVKLVGFCHESQKKLVPHNGRYIIVDITEVLLCYEYLPKGSLDKYLFAESNRIDWDTRFKIVKGICKGLHFLHKEMDGPVVHMDLKPENILLDDNMVPKIADFGLSRLFGQEQTRMHTQNVVGSLGYMAPEYLYRGEISAQSDIYSLGLLIIQISTGEKNIPDAEDNCGKKFIEKVRKNWTDRHITSMYASFDADRLQQIEMCVEIGLQCVEHEREKRPPIVDIVDKLNGSTQSKWCMHERSLNPNKFSIIWSPEDHRSASKMDKACSIINRGPEMYSGIR >PVH33869 pep chromosome:PHallii_v3.1:8:7425504:7427533:-1 gene:PAHAL_8G081000 transcript:PVH33869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDTSTLLSTLPKNIPASFLKQITDGFSPERELGKGAFGTVYKGILEDGEVIAVKKLEENPPMGHEKTFSNEVGNLMAVQHGNIVKLVGFCHESQKKLVPHNGRYIIVDITEVLLCYEYLPKGSLDKYLFAESNRIDWDTRFKIVKGICKGLHFLHKEMDGPVVHMDLKPENILLDDNMVPKIADFGLSRLFGQEQTRMHTQNVVGSLGYMAPEYLYRGEISAQSDIYSLGLLIIQISTGEKNIPDAEDNCGKKFIEKVRKNWTDRHITSMYASFDADRLQQIEMCVEIGLQCVEHEREKRPPIVDIVDKLNGSTQSKWCMHERSLNPNKFSIIWSPEDHRSASKMDKACSIINRGPEMYSGIR >PAN42780 pep chromosome:PHallii_v3.1:8:36529963:36534117:-1 gene:PAHAL_8G186700 transcript:PAN42780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLVDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDSWFDVVERTSNDNNKTLQRTSNTTRCLNLGSYNYLGFAAADEYCTPRAIESLKKYSASTCSVRVDGGTTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPCLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKHSCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLVKALDVISRVGDLVGIKYFPAEPPKIAEAGHDKLE >PVH33756 pep chromosome:PHallii_v3.1:8:4710475:4712220:1 gene:PAHAL_8G060000 transcript:PVH33756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVKGIKVRFAAIVKQRSDINTILHNLPLDYYVMSRNRGTAELSLLSSVEESKIPRRDKEKDEIICKIVECSAGDNGWIVSIVGLGGSGKTTLAKHICHDNKVKQHFNDTIFRIHVSQEFHMEKLISKLFEAITKQKSDNHARQHMVEKISKKLSGKKFLLVLDDAWHEDKDDWEQFMLHINSGAPGSKILLTTRNQKVASAVKSTHIFHLTFLSDSESWNLFLQCSGWAEEDLGSEFIWVGKEIVKRCGGVPLAIKTLGSVLHDKKDINTWKAIKESNLWNVESINERVFASLKLSYFHLADNLKQCFTFCSIFPKGYKIIKSHLIAQWIAHGFINLMNKGDPEDIGSDYFDSLVKVGFLQDSFQIWYNDQLVYKMHDLIHDLTQLVLQDELVTSVPNTITTNCTPRCRYLSLTSSAEKVNKSLFDKVRALYVYGGDTSFDKSIKSSFYICSVILQSTVVGQFSILKSEYLGYLEICHISFTEFPEAISGCWNLQALHVMYCGGLMRLPESIGKLKRLRTLELLICNDFESLPQSIGDCRELQSLQLYFCANLREIPNSICRLENLVRFFNLGSLLFC >PAN43103 pep chromosome:PHallii_v3.1:8:39583554:39588098:-1 gene:PAHAL_8G211100 transcript:PAN43103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVPAADPSPAPAPKFPAAAAAAEAPGSPVSPLEAAGADYERVRAARIRENMERMRKLGILDLAQTLTSSSSAAAGSGGGTGRGRPRRKPVEPGSAGAPPPKVKPAPRAPARRSLRLKNVEPVSYCEIRPCKERDPQGVRTELLEEGAKEEIYTEEHEKLLGTCNTPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTSCCKCQIVQGQFCGDCLYMRYGENVLEAKKNPNWICPVCRGICNCSICRTKKGWFPTGCVYRKVVKLGYKSVAHYLIATQRASANSEDSSSADFSNKQLSDKSETSCVSDHDATAAKEESLEDGETSSKAKQSKPNRRQAKNSDSQSESVVTSDAHNDQANKDAGCVTPSSKPTSRKRKYERSPDCVASRLRSRSNKP >PAN43715 pep chromosome:PHallii_v3.1:8:44094619:44095112:1 gene:PAHAL_8G259300 transcript:PAN43715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIMADEITIKRVAAINAADKFVSEDVKLSVSSSLKGGIDIEVGSSTLHMPQQSRYCMIQLPFFLQLFRIFRFALF >PVH33969 pep chromosome:PHallii_v3.1:8:15545365:15547720:-1 gene:PAHAL_8G111100 transcript:PVH33969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKVIGRKSSSLTMNDLNHEKVNLIVGEPFYLGSEGMLPWQNLRFWNERTLLDPLLSEGAFIMPCKGILRFCAMSLPDLWKSRCGLKDVEGFDHSVVNDTLGACGDLPGEQQGPCLPYYVWQCGYTKKLSEVYSLIDFNFSEPIHSCFGETKIEFAHDGTCHGFAIWIDWVLDKENSIVISTGPESRYWKQGVQLLSRPVQVNRGNSVMHVDHVF >PAN43192 pep chromosome:PHallii_v3.1:8:40463529:40466802:-1 gene:PAHAL_8G218700 transcript:PAN43192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVTYRYQALKESLAAAITSSSSPQAAADPRLPHALAVVSGLAANGYLASLLVARYARLGDPDAARGVFDAAAAAAAATSSSSPAAAPPKPLLYNAMLRAYLALGRPREAAALFRAMPPGCPRDRHTFHLAATACARAPDPEIGRRVGAAAAAAGLASDLLVATALVGMHAEAGDMGAARGVFDGVPRPDAVAWNALIGGYTRAGRLGEALEMFGRMRSEDGARPTEATLVSLVSGYAGFGPWRGRGMMHGVVVKSGFHCSLFVCNSLLEMYAELGSLSEAVTVFRHMEAKDSVTWSSMIGGLVRNGKPGHAIKLFHWMVLNSEVLVTRSILLNVIMACAELGNWREGKWIEENYVLCNGSAFKRDPSVLTALIYMYAKCGQLGWSDCLLHGSAEVRSDVVAWNALIKGCGELGQMDKAIWFAIEMQRMGIDPDAVTFLEILPMISLIPSLKKGMEAHAQIVKRGFQNERTIANSLVSMYGRCGSLSLSVGAFAGIMDKDAISWTSMMQVYAWNGLAAEVVKLFELMKETEVQPNHYTFVAVLAAYKNTGLVEEGIGFLKCMKEQYGLEPHIEHISCVVDMLCRAGRLTDAYHLIQNSRSEHVKNPIMWGTLLSGSRSWGDLVIGEAAARHLLSLDLENRANYKMLADIYVSLGRRDNADDVLRLLMSRELDLRPGCTWTESG >PVH33759 pep chromosome:PHallii_v3.1:8:4717183:4717800:-1 gene:PAHAL_8G060300 transcript:PVH33759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIVNRLSHVSTHMLGGLRSHLLMRLLLLMSHPLLMHLLLLMRQLLMLVLHDMLFVIDGRFGLQISLVLLVLFFLN >PVH34428 pep chromosome:PHallii_v3.1:8:40306043:40308561:1 gene:PAHAL_8G217200 transcript:PVH34428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGVELARRRRVRYRGDAASSSAAAGEHHHHHHHHLLHADAHRAGPAAVAGPALAARVRLEEKLRGAALPPATSPSRWSRLMGEREGRATSGGRRGRRDHHQQQEQQAELRAPPPAPTSPAPTTGGRRRAELARTLSMVDVCAVCLDEVRERRQRVTRLPCSHKYHSECVLPCSPSTRTAHAAGRSCPPPTPSRRRSVRGSRCC >PVH34441 pep chromosome:PHallii_v3.1:8:40436387:40443083:1 gene:PAHAL_8G218300 transcript:PVH34441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCNGPEAVANFFGLLDSLPHNGTNSNGSHSFGNKIDFRYKLKRRFDRGSYGEVWLAFHWNCSEDIDFRKEPRHFTTIPKSDSYNCTNSNTMPSDEDHVSDTVDGDLFILKRIMVERGNAAYLSGLREKYFGELFSNASRTLEGLLRTESSSTIFSMDIPSDPDILLERNMSVTEEPLKHVARFIESFESESREIWLVYQNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLHPSKWWYWLRTTKAGQNQMQNLLWQLLMGLKACHDRNITHRDIKPENMIVCFEDVDTGKCLREVPSEAKQNKLNMRLIDFGSAIDDYTLKHLYGSGPSRSEQTFEYTPPEALLNSNWFQGSKSARLKYDIWSVGVIMLELIVGSPHVFQISDRTRVLMDHHLEGWSEQTKELAYKLRSYMELCILVPGISTQYGSGSSEQGQFGLASWKCSEESFAHQVKIRDPLKIGFPNLWALRLARQLLVWHPEDRLSVDEALNHPYFQEPP >PAN43188 pep chromosome:PHallii_v3.1:8:40430959:40443083:1 gene:PAHAL_8G218300 transcript:PAN43188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPVHGLLLLLLALLAPPPRCAAESATCLAVYREGGAPAVFQSAHCPRWTLPPPGAGEGHGGGGGSSPMGCHVAADRGRRRSQEDRAVCALGVRIPFVEQMRIKEVDVGVVAIFDGHNGAEASEMASKLFLDYFLLHVYFLLDGIYSMMFRKSTGKLTYREVAIFNNVFNLYKDDQPNHREGSCWTSPAILDRSFHMEILKESLTRAVHDVDLTFSKEASQKHFESGSTATVVLIVDGKIIAANVGDSKAFLCSEGHDPHCRNRKRRRRRNPINHEEFALENYDGPLYHARELTKDHHPDREDERSRVEAAGGYVIEWAGVHRVNGELALSRAIGDLPFKRYGVISTPELTGWQILSENDTFLVASSDGIFEKMTMQDVCDLMLHAKLRINQDLGSSAITQHNLADYVVRVALQKGTTDNVAAVVVPLGPPSSAGTTLEDWSQFEGNLKTSISPLQNIPYQLKFADDGTSSAVIDMEYFRRSSTKFQRFLVEAKLKTLGCFYLSESLDEDVDYIFRVPEAYQREEAHDFNHVPAETALYSDGNLEKYKDRHFCLYLGHQDGEMGRCNGPEAVANFFGLLDSLPHNGTNSNGSHSFGNKIDFRYKLKRRFDRGSYGEVWLAFHWNCSEDIDFRKEPRHFTTIPKSDSYNCTNSNTMPSDEDHVSDTVDGDLFILKRIMVERGNAAYLSGLREKYFGELFSNASRTLEGLLRTESSSTIFSMDIPSDPDILLERNMSVTEEPLKHVARFIESFESESREIWLVYQNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLHPSKWWYWLRTTKAGQNQMQNLLWQLLMGLKACHDRNITHRDIKPENMIVCFEDVDTGKCLREVPSEAKQNKLNMRLIDFGSAIDDYTLKHLYGSGPSRSEQTFEYTPPEALLNSNWFQGSKSARLKYDIWSVGVIMLELIVGSPHVFQISDRTRVLMDHHLEGWSEQTKELAYKLRSYMELCILVPGISTQYGSGSSEQGQFGLASWKCSEESFAHQVKIRDPLKIGFPNLWALRLARQLLVWHPEDRLSVDEALNHPYFQEPP >PVH34440 pep chromosome:PHallii_v3.1:8:40432602:40443083:1 gene:PAHAL_8G218300 transcript:PVH34440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEASQKHFESGSTATVVLIVDGKIIAANVGDSKAFLCSEGHDPHCRNRKRRRRRNPINHEEFALENYDGPLYHARELTKDHHPDREDERSRVEAAGGYVIEWAGVHRVNGELALSRAIGDLPFKRYGVISTPELTGWQILSENDTFLVASSDGIFEKMTMQDVCDLMLHAKLRINQDLGSSAITQHNLADYVVRVALQKGTTDNVAAVVVPLGPPSSAGTTLEDWSQFEGNLKTSISPLQNIPYQLKFADDGTSSAVIDMEYFRRSSTKFQRFLVEAKLKTLGCFYLSESLDEDVDYIFRVPEAYQREEAHDFNHVPAETALYSDGNLEKYKDRHFCLYLGHQDGEMGRCNGPEAVANFFGLLDSLPHNGTNSNGSHSFGNKIDFRYKLKRRFDRGSYGEVWLAFHWNCSEDIDFRKEPRHFTTIPKSDSYNCTNSNTMPSDEDHVSDTVDGDLFILKRIMVERGNAAYLSGLREKYFGELFSNASRTLEGLLRTESSSTIFSMDIPSDPDILLERNMSVTEEPLKHVARFIESFESESREIWLVYQNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLHPSKWWYWLRTTKAGQNQMQNLLWQLLMGLKACHDRNITHRDIKPENMIVCFEDVDTGKCLREVPSEAKQNKLNMRLIDFGSAIDDYTLKHLYGSGPSRSEQTFEYTPPEALLNSNWFQGSKSARLKYDIWSVGVIMLELIVGSPHVFQISDRTRVLMDHHLEGWSEQTKELAYKLRSYMELCILVPGISTQYGSGSSEQGQFGLASWKCSEESFAHQVKIRDPLKIGFPNLWALRLARQLLVWHPEDRLSVDEALNHPYFQEPP >PVH34439 pep chromosome:PHallii_v3.1:8:40430959:40443083:1 gene:PAHAL_8G218300 transcript:PVH34439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKEVDVGVVAIFDGHNGAEASEMASKLFLDYFLLHVYFLLDGIYSMMFRKSTGKLTYREVAIFNNVFNLYKDDQPNHREGSCWTSPAILDRSFHMEILKESLTRAVHDVDLTFSKEASQKHFESGSTATVVLIVDGKIIAANVGDSKAFLCSEGHDPHCRNRKRRRRRNPINHEEFALENYDGPLYHARELTKDHHPDREDERSRVEAAGGYVIEWAGVHRVNGELALSRAIGDLPFKRYGVISTPELTGWQILSENDTFLVASSDGIFEKMTMQDVCDLMLHAKLRINQDLGSSAITQHNLADYVVRVALQKGTTDNVAAVVVPLGPPSSAGTTLEDWSQFEGNLKTSISPLQNIPYQLKFADDGTSSAVIDMEYFRRSSTKFQRFLVEAKLKTLGCFYLSESLDEDVDYIFRVPEAYQREEAHDFNHVPAETALYSDGNLEKYKDRHFCLYLGHQDGEMGRCNGPEAVANFFGLLDSLPHNGTNSNGSHSFGNKIDFRYKLKRRFDRGSYGEVWLAFHWNCSEDIDFRKEPRHFTTIPKSDSYNCTNSNTMPSDEDHVSDTVDGDLFILKRIMVERGNAAYLSGLREKYFGELFSNASRTLEGLLRTESSSTIFSMDIPSDPDILLERNMSVTEEPLKHVARFIESFESESREIWLVYQNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLHPSKWWYWLRTTKAGQNQMQNLLWQLLMGLKACHDRNITHRDIKPENMIVCFEDVDTGKCLREVPSEAKQNKLNMRLIDFGSAIDDYTLKHLYGSGPSRSEQTFEYTPPEALLNSNWFQGSKSARLKYDIWSVGVIMLELIVGSPHVFQISDRTRVLMDHHLEGWSEQTKELAYKLRSYMELCILVPGISTQYGSGSSEQGQFGLASWKCSEESFAHQVKIRDPLKIGFPNLWALRLARQLLVWHPEDRLSVDEALNHPYFQEPP >PAN43204 pep chromosome:PHallii_v3.1:8:40536765:40537717:-1 gene:PAHAL_8G219800 transcript:PAN43204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAAGLKAAAAFAALAVLVMSSQGHPRKKPLCSDCGSLCNANCTAMVAEKCRNECSFQFACDQCKSQVHQSCCQNFCSSSNGTSSYSCCPNGCVGADCATCSCDYCDTGFENSCTSPCSDRYCMLCTWGIQEQCLPSCVSDCNNNCVKKDC >PAN42562 pep chromosome:PHallii_v3.1:8:32700546:32702804:-1 gene:PAHAL_8G171200 transcript:PAN42562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKMEHSIHMEHKGLLGGEFKEGICASIPKPPSSTSSRPNSMVVKKVCPREFIPPHIIAEAISTLHGLDLRWSGPITPSERQYVEQYVLAMYPQYSHGLIEDGSCDKDDLYSTYYSGSTTTSPEAGGERRRSSPVGSPSSAAPARPDMVDMVRLEPSRLLDILTKKSSFPGSFISIPEIQARNRVLRHCGLTDDEYLVLFAPTPRDAMMLVGESYPFFRSSYYMSILEEDGDCIRAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKHAPKGLFAYPAVSPAAAAAPSAASSGCGARQQQPARYSLHWVSEAHRNAWHVLLDATALAVGEDRLPLSLHRPDFVLCTLGDTAVRAQQQQAAVRVTCLLVRRRSFDTSPLPQQPQKLP >PAN42563 pep chromosome:PHallii_v3.1:8:32700526:32702804:-1 gene:PAHAL_8G171200 transcript:PAN42563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKMEHSIHMEHKGLLGGEFKEGICASIPKPPSSTSSRPNSMVVKKVCPREFIPPHIIAEAISTLHGLDLRWSGPITPSERQYVEQYVLAMYPQYSHGLIEDGSCDKDDLYSTYYSGSTTTSPEAGGERRRSSPVGSPSSAAPARPDMVDMVRLEPSRLLDILTKKSSFPGSFISIPEIQARNRVLRHCGLTDDEYLVLFAPTPRDAMMLVGESYPFFRSSYYMSILEEDGDCIRAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKHAPKGLFAYPAVSPAAAAAPSAASSGCGARQQQPARYSLHWVSEAHRNAWHVLLDATALAVGEDRLPLSLHRPDFVLCTLGDTAVRAQQQQAAVRVTCLLVRRRSFDTSPLPQQPQKLP >PAN41787 pep chromosome:PHallii_v3.1:8:6008477:6011646:-1 gene:PAHAL_8G070100 transcript:PAN41787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSICVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLD >PVH33920 pep chromosome:PHallii_v3.1:8:11780693:11781605:-1 gene:PAHAL_8G095400 transcript:PVH33920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRRSSGGGGRRAVRGAINGASAARCRRDAAWRWWRERRGRTQQWRAARRAVLGARGRVRRGVARARGRSAAHVGAVGGPCASGGEATARAAGWQVTWRAVRHAGKQGTHVRAWRGAGPGRGRERRGRGGSGGVHARAGWRGSGPSRKGGAAEQCSGAGERRRENRKEGEKEREGRKKKGKERKREGKEKEKEKGKGREIGRKREKKKREGGVPAPVAVVTAAARSARRTAREKEDASAPIAAVAAAGRPRARYSCEKRKKRSRAGANPGGRSRVGNKP >PAN41336 pep chromosome:PHallii_v3.1:8:2606595:2608563:-1 gene:PAHAL_8G035900 transcript:PAN41336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGGLASIFSSKPRPDDSPSAPWQWPSCAASPQTASFRRRHDDDERPCTTAGRRRSSEHAAGRLRPPRKAAAAAAAAVGGDDMYKTVNSVYFDAADSCSFFFDDDGGGDGAGEGAAADDLLDLDDGSFSTTTASQEWSEAVIRSLGRTSTDRFFFDAGPAAPASNSILAASPSPPPGRTTARTLASPPPEAPTKLQAPSSAATPVLSDDPDADSDSDEEPPSTSLVEESVAVALDSEDPFRDFRASMEEMVAAHGLRDWAQLHEMLLWYLRINGKHNHALIVGAFVDLLVGLATSSGASHSHAAATTATTTTATMTTTTTTTSACRTSSSSSSASSSGGDVTTAAAATSKPMPEQCGGGSAGASCSSASSDLEEEDEKASASSDQQGG >PAN41061 pep chromosome:PHallii_v3.1:8:485222:487509:-1 gene:PAHAL_8G005300 transcript:PAN41061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAAAAVGRLLTGVLRPNNHLPPLTVKLLHARLLRLHLLTDLSPLLLRALSSTGLQLHALRVHSLLPNPSHLIFPVALKAASRLPDPLSAGLQLHGRSLKLPYHSNPHVLTSLLSLYARCGLLHDAQKAFDEMSHPSTVSWTALITAYMDAGRVQEAVGVARKAFASGMRPDSFTAVRVLTACARVTDLVTGEAVWRAVEQEGIAGNVFVATAALDLYVKCGEMQKARAVFDKMQNKDAVAWGAMVGGYASNGHPQEALELFFAMQAEGMMPECYTVAGALSACTRLGALDLGRRAARMVHWDEGLDNPVLGTALIDMYAKCGSTGEAWMVFQQMRKRDIIVWNAMILGLGMTGHEKIAFALVGQMEKSGMTPNDNTFIGLLCSCTHTGLVKDGRRYFHNMTQSYCISPRIEHYGCMVDLLRRAGLLEEAHQLIEDMPMQANAVIWGALLGGCKIHCDAGLAEHALKQLILLEPWNSGNYVMLSNIYS >PVH33799 pep chromosome:PHallii_v3.1:8:5702778:5703718:1 gene:PAHAL_8G067500 transcript:PVH33799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin-inducible protein [Source: Projected from Oryza sativa (Os11g0247000)] MASQSRAMDGVGSTSRQRRSPELVRRPNAAKRHLQQRQQEADFNDRKVIASTYFSIGAFLVLACLTVSLLILPLVLPPLPPPPSLLLWLPVALLILLIVLAFMPTDVKSMASSYL >PVH34337 pep chromosome:PHallii_v3.1:8:38269317:38273336:1 gene:PAHAL_8G199100 transcript:PVH34337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSAGEAAEENGIGSSSVVETETQEIKTLQHNVRAKEKLSSMDDDEGSGGGTTRPPEEMKGSCGSWIVEMEKLLEDTRPSVEMARWKQHSIYRVPEFMKKMTNRDAYQPQFVSLGPLHHGEPHLMPMEEHKRRAVLHRVKRARKPLTKFVEAIEEVADELEAAYDGLDDRWRGASRGSFVEMMVTDGCFLLELMSIKLSRLTKDDGGGEVDTGYAANDPVFSGSSFHNLWPIMRNDMIAMENQIPLIVLQRIVFPRGSGTPPSAKWINNMVRQLLCGSSFKEGMDNLGLHFLDILHKGYCGTRPCWERSNSKNYEVRTPCAVELSEAGIQFKKSNTKTESIHDVDFVNGKLRMPLLRLDDQTEAEHLNLMAFEWLHPNTTNDVRCYISFVDNIIESERDVALLRSQGLIENGMCSDKKVVELFNITTKLGEANICNRLGHVQWKMNAHCKKRRNKWRAMFMNNYLSNPWVFISLVAAFILLIATIMQTIYTVVPFYTNKG >PAN42934 pep chromosome:PHallii_v3.1:8:39910491:39912484:1 gene:PAHAL_8G213700 transcript:PAN42934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYQGRAYVFDSVPPQKVQPIVVPRDFDRTAAVSRYREKRKSTLKFDVKADYSIRREIASRIARRRGKFVSSGKSSENSAAAAARRRQGETTELEQLSIEHSSLVLRTSAVCANCGESSEATPMMRRGPSGYRTFCNACGLITRSGSSRARLAAAAGR >PVH34108 pep chromosome:PHallii_v3.1:8:25187581:25189269:1 gene:PAHAL_8G145200 transcript:PVH34108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPSAPLSAAAAGARVSRRPNLSLLADRCTTTRALARVHAAMLVSGRLAENAFAASRLLTAYDALAPDPAAAALTLLSSVPIATNSFMLNTTLRALASSPDPAAAFPFFARLRATGALAAPGGHTFSFLLKAAARLPHPLPVAGQLHALAVRHGVHLDAYVANGLVRAYSVAGRLGAARRVFDEVPERNANLHTTVVSAYAQNGRHEGAMAAFDEMVSEGFEPTGASLSSVLFACARSVSGGLQMGRRVHDLMVARGMAEGTILGTALVDMYAKNGAIQEAVAVFDRMPERRAPATWNALISGLAHHGHGKRALEVFERMQQEGVLPNAITLVGALSACCHAGLLEEARRLFRSMKDFGIAPGIQHYGCMVNLLGGAGLLSEAEEMIRGMKCKADTMIWGALLTACKNHGDVEIGERVVVEMLKLDPSNHGVYVVLSNLYAEAGRWQDVDKLRKVMKGERLSKIPGASTVGGPPEQLEIATTR >PAN42178 pep chromosome:PHallii_v3.1:8:16747711:16751642:1 gene:PAHAL_8G117600 transcript:PAN42178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRACLVSLVVALAFLFFFEGTAGVSEQDLRQVRSFLKRVNKAPLRSVKSPDGDIIDCVPVSKQPAFDNPLLKNHTIQMRPFYHPGGLYDDSNNVLHPITQTWHRNGRCPENSVPIRRTKEEDVLRAGLVNWYGKKRPKNILKLYPVSSDVTSGHQHAVASSSLDKYYGTKITINLWKPTTETAQDFSLTQLWIIAGSYGNNDLNTIEAGWQVYPAMYGDANPRLFIYWTSDAYQKTGCYNLFCSGFVQTNDQIAIGGSLSPQSVYGGSQYEFDILIWKDPTGGNWWLQVGSTIVGYWPSSIFSYLASSASYVQWGGEVYSPPNAGQTSTQMGSGHFPSEWYGKASYIRNIQVVDSSNYLRLPNGLGLIHQWPNCYNVQNGTSSNEWGTYIFYGGPGRNSKCP >PVH34317 pep chromosome:PHallii_v3.1:8:37513426:37515132:-1 gene:PAHAL_8G193000 transcript:PVH34317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKLPEDIFDTLFSYLSIEDAARAACVSRGYLHSWRRYPRLLFNNKTLGLNKLGIHLDEDTRALEDEQYREDKIENHFVNKINHVLENHSGFGMKALILQLFPCHIDASYLDKWLRIAVKPGIEEVALELSTLKRRAEYNFPSLLLFNEIGGSTLESLRLTSCAFHSWLQ >PAN43220 pep chromosome:PHallii_v3.1:8:40836358:40839778:-1 gene:PAHAL_8G221300 transcript:PAN43220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKIKTVVVLIQENRSFDHMLGWMKSLNPAIDGVTGAELNHAVAGDASSPAVHFGNASQYVDPDPGHSFMAIYEQVYGDPYTWGGAAPATKPGVAVPPMSGFAQQAEKEKAGMSATVMNGFRPDAVPVYRELAREFAVCDRWFASVPSSTQPNRMFVHSATSHGLVGNDKKMLRAGMPQRTIFDALHDAGHSFGIYYQFPPAVLLYRNMRQLKYINKFHPYALDFKRHCKEGKLPNYVVIEQRYLDLKLFPGNDDHPSHDVAHGQRLVKEVYEALRSSPQWNETLLVITYDEHGGFFDHVPTPVDGVPSPDGIVSAAPISFAFDRLGVRVPAMLVSPWIEPGTVIHRPSGPEPTSQYEHSSIPATVKKIFNLKEFLTKRDAWAGTFETVLTRATPRTDCPEELPEPVRLRSAEAEEHRGISEFQAELVQLGAALNGDHATEAYETDKLVEGMTVAEAADYCQTAFARFREECQRCHDCGMDESHIPAVQPAAPPPSASKLCSCFPCFSA >PAN42513 pep chromosome:PHallii_v3.1:8:27038818:27039840:-1 gene:PAHAL_8G151300 transcript:PAN42513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACVPSLRGGELLASHRRRRLPARSAAPEAGSSVASVAAGTARNDDRERICRLDSFKRLKQIGEGAFGTVSKARDRRTGEVVAIKSARDGSSEAAAALLREAAMLAACAGNPAVVALREVAWSEDGGLHLVMEFVGHSLHDIISARRRHGLRFTESEARHAMAQLLAGVGSMHAHGIVHRDLKPGNVLVGEHDRRLKICDLGLARSAAAPLPDARLEGTLGYIAPEALLCQKNCGGPVDIWALGCIMADLVGGEKLFPEEDEYRQLISIMDLLGIPDDVSLMPLGITAAAPSKLREKVPEERLSPAGFEVLCGLLEYDPKDRLTAAAALQMPWFEGKED >PAN41192 pep chromosome:PHallii_v3.1:8:1804953:1806458:-1 gene:PAHAL_8G025100 transcript:PAN41192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFPEDTVSSATSSLYSPSPHGYGSWVQELSHDQQSVRLISLLYQCAAEVAAGAFDRANHCLEQITQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLSTANSAEAHLIPAARRHLFDMLPFMKLAYLTSNHAILEALEGEKFVHVVDLSGPASNPVQWIALFHALRARRGGPPHLRITAVHDGKEFLANMAGVLAKDAEALDIPFQFSAVEARLDELDPDALRQLLRVRSGEALAISVVAQLHRLLAADDAGRRHVPGSSCLTPVQIIARSSPSSFGELLERELNTRLQLSPDSSSVVSSLSPQSPVLQAAAAAQQQRPAAAKLGSFLQAVRALSPKIMVVAEPEANHNAAAFLERFEEALNYYASLFDCLERASAAHRCAAERARVERLVLGEEVRGVVAREGAERKERHERLAQWARRMEGAGMERVGMSYGGMMEARKLLQSLGCGGSYEVVHDAPGEAFFFCWHRRPLYSVSAWRPAAGRHSGGRLVGS >PVH34611 pep chromosome:PHallii_v3.1:8:43968873:43970119:1 gene:PAHAL_8G257700 transcript:PVH34611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRDNKVLLYACRNCDHQEVSDSNCVYRNVVDHAAGEFTQVLFEDVASEPTLPRTKSVRCAACGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRD >PAN41839 pep chromosome:PHallii_v3.1:8:5830263:5832138:1 gene:PAHAL_8G069200 transcript:PAN41839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFKGKIWAAIGSLMDHAGTASTKASLAAVPDRALLADIEAAVERCTGSAGGGDDDRHVHEILFLVSNAPGAITFLSRRITARLEAVRAPAAALRSLLLVHRLLRAGDRYFEQDLRGLWASRDLRVDAPRCSCSPLAAGVSYFSAGAAVATGACAFVHGYSAYLEERMQWVINQAGNLEPARQPPTRNHDDGKPPPPPSSSHDADAETLLFKLAMCQRLLDLAIQLLPDNNTSACAAARSAFGIVLRESFKVYDAFTEGLDAVLLRSRSLAGISKPLRVSAHEILKKACTQTPDLKEFYHKCKRSNASKSLEYPLVRVVTPAQAAAMEMFPMPIPEEDGQEAEPEAEAEASDGGGSTFARKMETTISTVWVEFEEDDKLIPGDDHSLKEPKS >PVH33788 pep chromosome:PHallii_v3.1:8:5399061:5401030:1 gene:PAHAL_8G065800 transcript:PVH33788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEDAAAARNKMVVLKRHVTGFPTEKDMEVVVSTVRLRVPAGSTSAVLVKNLYLSCDPWMRGRMSKHDEGVTVPAPDFVVGQTLVNFGVGKVIDSTHPEFQAGDLVWGMSGWEEYTLVSQPESLFKINHTELPLSYYTGVLGMPGLTAYAGFMEVGRPKRGDFVFVSAASGAVGQLAGQLAKIAGCYVVGSAGSDEKVDLLKTKFGFDDAFNYKSEADLAAALKRCLPDGIDIYFDNVGGATLDAALLHMRHGGRVVVCGMISQYNLEEPDGVRNLFCIIPKAVRVEGFNVGGYLQMYSRFEEQMSGYIKEGKVVVVEDVVEEIESAPAALVGLFSGRNVGKQLVALPRE >PAN43088 pep chromosome:PHallii_v3.1:8:39446504:39448527:-1 gene:PAHAL_8G209900 transcript:PAN43088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDNGVGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQPAGDSFQTAPSNAVTSPNYYSPTSSSHHSLQAGVQPISSYPNTTCTTSAPSNNEAGAISNLLQTWMRPSPAATANCKIAMQEFQEEQDAAASILCKDQIVTGDVSKSPALEMMVAPAMGASTATFSLLEDWLLDDMPGQAMDGLMGISAGCCADPIMF >PVH34251 pep chromosome:PHallii_v3.1:8:35072944:35073903:1 gene:PAHAL_8G180100 transcript:PVH34251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRNTFSWLKEQMIRSISVLIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYHVHFLKYPIYVGGNRGRGQIYPDGSKSNNTGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >PVH33731 pep chromosome:PHallii_v3.1:8:4353114:4353682:1 gene:PAHAL_8G055900 transcript:PVH33731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPPPPPPNCAWGWPCHAPSVGWAPLPREEEAVVCDPLPDLEAPPTSPREEEAVVCDPLPDLEAPPPPPAIPGATDERVVDCSAEPAGSFTVINFLRGVLVTLWVGMIYAMVHYAWSNYHEKGWGIMVVLEFAIAVGVTPYFDIAVEALDDAESSQ >PAN43803 pep chromosome:PHallii_v3.1:8:44462497:44463087:-1 gene:PAHAL_8G264400 transcript:PAN43803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHEECLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMSISPSSSSSSVTYQSCLLDTCPIIGMGAADTHNGSSCVTSTLESTQSAMDAYPIDQIWREIEEPQAPAFLGIAEGKEKTCSSIHCPLPSPAMWDYKFPEIFWKMADEEIRMLAP >PAN43802 pep chromosome:PHallii_v3.1:8:44462220:44463945:-1 gene:PAHAL_8G264400 transcript:PAN43802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVREEMRKGPWTEQEDMQLVCTVRLFGDRRWDFIAQVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPHEECLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMSISPSSSSSSVTYQSCLLDTCPIIGMGAADTHNGSSCVTSTLESTQSAMDAYPIDQIWREIEEPQAPAFLGIAEGKEKTCSSIHCPLPSPAMWDYKFPEIFWKMADEEIRMLAP >PVH33406 pep chromosome:PHallii_v3.1:8:120612:126484:1 gene:PAHAL_8G000600 transcript:PVH33406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSTSPFGQNSFGTQQGFGQATPAASNPFAPKPFGSPTTTFGAQTGSSLFGTTSTGAFGQQQSTPTFGTTSTAAFGQQQSTPTFGTPSSSPFGSSPAFGASPTPAFGATSSTFGSGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGSSTFGTSTTPTFGTTTTPAFGVTTPALGTTTTPAFGATTTPALGSTSPSLFGASSTPAFGSSAPGFGTSATTAFGVSSATPGFGSSSTPSFGTSTNAFSFGSSPSFGQTAVSSGSSPFGTTSPFGAQTPAFGSQTAAPAFGQAQFGNQARGTRIKPYAQTPDVDGATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGSNPSGTPTLTPTFPSSTPPNTFTTSSLFSQTTPNPFSSNNPFAKNGSTGASLFNTSFNNTTVASSSPFTSSTSTTMFGQTGVSAFPASSSPSLFPNTAPAFASSSLFGTSTTSNSSLFGTGLSLANTQSAPLFQSSPAFAQQPSSTPAFSSGNLFSTPNTGSLFGSGPSLFTTPTFQQPAPAQTPNMFSFQPPSQPASTGGFPGFSNTANQALIGQQTPSQSNMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISLPVTDKPLPSRTLSVAVPRHFLQRRIKLLPRKYNPISDGKVPFFADEEESPATPKADAFFIPRENPRNLIIRPIEQWPPRSGINRQLVPKDSAGLDKYEDASTENACDKAVKSPSSSPLVENGKQHEPSHHGNGKGTSVEGLPKLPQADYFTDPSLEELAAKERGEPGYCGRVKDFVVGRHGYGSIKFLGETDVRGLDLESIVEFNNREVIVYKDDNKKPPVGEGLNKAAEVTLLNIKCVNKKTRVEYQEGPRVDRYRQMLMKKVEEQGAEFVSFDAAKGEWKFRVKHFSAYGLW >PVH33407 pep chromosome:PHallii_v3.1:8:120612:126484:1 gene:PAHAL_8G000600 transcript:PVH33407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSTSPFGQNSFGTQQGFGQATPAASNPFAPKPFGSPTTTFGAQTGSSLFGTTSTGAFGQQQSTPTFGTTSTAAFGQQQSTPTFGTPSSSPFGSSPAFGASPTPAFGATSSTFGSGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGSSTFGTSTTPTFGTTTTPAFGVTTPALGTTTTPAFGATTTPALGSTSPSLFGASSTPAFGSSAPGFGTSATTAFGVSSATPGFGSSSTPSFGTSTNAFSFGSSPSFGQTAVSSGSSPFGTTSPFGAQTPAFGSQTAAPAFGQAQFGNQARGTRIKPYAQTPDVDGATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGSNPSGTPTLTPTFPSSTPPNTFTTSSLFSQTTPNPFSSNNPFAKNGSTGASLFNTSFNNTTVASSSPFTSSTSTTMFGQTGVSAFPASSSPSLFPNTAPAFASSSLFGTSTTSNSSLFGTGLSLANTQSAPLFQSSPAFAQQPSSTPAFSSGNLFSTPNTGSLFGSGPSLFTTPTFQQPAPAQTPNMFSFQPPSQPGGFPGFSNTANQALIGQQTPSQSNMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISLPVTDKPLPSRTLSVAVPRHFLQRRIKLLPRKYNPISDGKVPFFADEEESPATPKADAFFIPRENPRNLIIRPIEQWPPRSGINRQLVPKDSAGLDKYEDASTENACDKAVKSPSSSPLVENGKQHEPSHHGNGKGTSVEGLPKLPQADYFTDPSLEELAAKERGEPGYCGRVKDFVVGRHGYGSIKFLGETDVRGLDLESIVEFNNREVIVYKDDNKKPPVGEGLNKAAEVTLLNIKCVNKKTRVEYQEGPRVDRYRQMLMKKVEEQGAEFVSFDAAKGEWKFRVKHFSAYGLW >PVH33966 pep chromosome:PHallii_v3.1:8:15486684:15489633:1 gene:PAHAL_8G110700 transcript:PVH33966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVESSAVDTTNQPGARASNEELTATDASPREKVRQPGRWSLRGKTALVTGGSRGIGRAVVEELVALGAAVYTCSLEEEELGERLKEWQAMELPVAGSVCDVSVRDQREHLLREVTDHFGGRLDILVNNAGTAIRKSAMEHSAEEYSFLMATNLESAYHLCLLAHPLLKASGSGSIVFISSIAGVVGLFSGPIYGMTKASLNQLAKNLACEWSKDNIRTNSVAPGYISTSLTDRDVHVAAPT >PVH33965 pep chromosome:PHallii_v3.1:8:15486614:15494352:1 gene:PAHAL_8G110700 transcript:PVH33965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVESSAVDTTNQPGARASNEELTATDASPREKVRQPGRWSLRGKTALVTGGSRGIGRAVVEELVALGAAVYTCSLEEEELGERLKEWQAMELPVAGSVCDVSVRDQREHLLREVTDHFGGRLDILVNNAGTAIRKSAMEHSAEEYSFLMATNLESAYHLCLLAHPLLKASGSGSIVFISSIAGVVGLFSGPIYGMTKASLNQLAKNLACEWSKDNIRTNSVAPGYISTSLTDRIFSDKELKDNIVRRTPIRRVGEPEDISSMVAFLCMPGSSYITGQTILVDGGMTINGFYPKYD >PVH34243 pep chromosome:PHallii_v3.1:8:35064441:35064641:1 gene:PAHAL_8G179300 transcript:PVH34243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTILKETQDIHPKMKKKDVYIRAIRIRTVDLLGKTDQTDYYRNDSNCFKDPTCIFFSALGSFIN >PAN43445 pep chromosome:PHallii_v3.1:8:42210733:42211459:-1 gene:PAHAL_8G236600 transcript:PAN43445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFAVVLRASPLAAAAAAPSFSCVSGRAASALRVTSSKRRAVSSRAGRSLSIRCEQSAKQGGGPDVWLGRAAMVGFASAISVEVATGKGFLQNFGVATPAPTLALVVSGLVVGLAVFFLLQSGSRD >PAN41643 pep chromosome:PHallii_v3.1:8:4627703:4632739:-1 gene:PAHAL_8G058700 transcript:PAN41643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASAAAAGRGGGMSSDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLVEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHIFGILGCVLCVVGSITIVLHAPQERDINSVKEVWDLATEPAFLCYAAIVVAAALVLIYFVVPHHGQTNIMVYIGVCSLLGSLTVMSVRALGIALKLTFSGTNQLFYPQTWAFAIIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDHQNPTQIVTEMCGFLTILSGTFLLHKTKDMADSPGQSFSTERLKHASRNGFAIEVMPLKCQDSVDDEALTLSLPKADNGYLKEEHPLRYKYSSIV >PAN42768 pep chromosome:PHallii_v3.1:8:36637162:36640008:-1 gene:PAHAL_8G187600 transcript:PAN42768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARHAKPSCPTLLSLLLLLRMLLVEPAAGACSVDAIYSFGDSIADTGNLLREGPVGFFASIGSYPYGQTLRKPTGRCSDGLLIIDYFAMALNLSLVSPHMDKDADFASGVNFAVAGATALDRAVLLQGGVMMPPASVPLSTQLEWFRSHLNATCASQEDCAKKLAGALFLVGEIGGNDYNYGFFQGIRSIEAMKTYVPQVIKTIMDVAKEVIELGATQIIIPGNFPIGCSPSYLSLFSVSGTGDLDDRGCLKSYNAFAQHHNEQLQAAIDDLRKANTDVTIIYADYYGAFMHLLDHASILGFEEDSLLQACCGAGGGYNFKMNLICGAPGTNVCANPARRVSWDGIHLTQQAYRAIALSLLMEGFAQPADAVQEIWSC >PVH34535 pep chromosome:PHallii_v3.1:8:42674541:42674924:1 gene:PAHAL_8G241300 transcript:PVH34535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELCNARESEDSEVKAMGEETGAASAGSRGCSTPAWFHDRRHLPVRSEAAGRPRIARPGGWFRFTVGNCRWVAGNRIAGELPDQWILAFVSAVSVSVLLPCVFFSQLQDMKSKDGPAPVARYPDLG >PAN42302 pep chromosome:PHallii_v3.1:8:13887460:13890187:-1 gene:PAHAL_8G103000 transcript:PAN42302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRFRTVTGSLKVAGILVAVGGTMFLSFYKGKTLHLWGSILHYHSYEQEHAANHHVRGTILLLGSSLTFACWYPIQSMVNKVYPHKYWSSIATCFLGGLQTTLIGIILRRDRNTWKLGWDLQLLTIVYTGVLATAVRYNLESWAVTKRGPVYPPMFIPLITVFTTVLGSIFLGDAITIGSLLGAATVITGLYIFLWGKSKELHRN >PVH33659 pep chromosome:PHallii_v3.1:8:2961756:2965753:-1 gene:PAHAL_8G040800 transcript:PVH33659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPFPALSIWFLSSIAVYKRAWPAGCTRAEIDVAACTDRAIMSPTAEGAGSNNDPAKSNNDAPPSSAKGGNPGEKMKQLFGKSLGTVASLSKLLPTGTTLAFQTMAPAFTKGGECQDHDVNFAFTWGLISFLTVLCAALSFTDSVTDKHGVTYYGVATPRGFKLFNQDLRRLKPADGESIGHLRRRMKMNALDFLHAVVSAAVFVALAFCDAGVQRCLVKQESRPWKDFLTHLPLAVEFLASFVLIIYPSKRKGIGDVAIPDPEGPDTSHKTRWRFDKSLVTAAGLSKLLPAGTTLAFQTMAPSFTRGGECKRHGVNFAFTWGLIGFLTVLCAALSFTDSITDKDGTYYGIATLSGFRLFDHHPTREGEEEWEELKRRKRIKLRDCWHALLSAAVFVAIAFCNAGVQGCLVPKESSQWREFLTLLPLAVGFVASFVFIIFPSNRKGIGQEGALTLDQVEAKKDEANNDTTAAAAATTTTTKTWKKSSVSTQVAPSSSTARDEQPGSVPV >PAN41498 pep chromosome:PHallii_v3.1:8:3582449:3586254:-1 gene:PAHAL_8G047800 transcript:PAN41498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKAFSPPSYYLQQVLPKMLELGAVRIAPFSNRLSHSVPSDVQKLRCLANYEALRFSESIRILAENMVGRMIKRSSLTGGKFVSVHLRFEEDMVAFSCCTYDGDSKENNAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKQTLATSKELALFKGHSSRLAALDYTICLHSEVFVMTQGSNFPHFLMGHRRYIYGGHAKTIKPDKSKLVQLFDNPNIRWDQFKHHMQDMRRHSETKGFGLRKPQESIYNLPMPDCMCQQAEI >PAN43776 pep chromosome:PHallii_v3.1:8:44337778:44339012:1 gene:PAHAL_8G262300 transcript:PAN43776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTADELKAKAEVYYGDDICQQCTQLLLKEAGLPNGLLPLKDLIECGYVQETGYVWLKQKKRVDHVFQSLGRLVSYGTEITGYAEKGRIKKVKGIKTRELMVWVPVEEIALDQPATGKLICKSIVGITKTFPASAFHIPEKENQKMNCAAPKPVVLMERAPQVVKNN >PVH34652 pep chromosome:PHallii_v3.1:8:44776558:44777904:-1 gene:PAHAL_8G267200 transcript:PVH34652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDDRRSLQVVNSLYCHEEPLLVSSTPTPPPPPAAAADDDDTTTSRSSSCSCSCSSTSVLPPPHQPPEIVVGGDHHQEKRQRQLLAAEEEEAAVRYMVARQGCYAPSRGCYLHHLLSSAGGHGGVAAARSMGVHYIIYVINKLGLAASTAFNAVNYLDRFLSINCHLQRWDESWMVELVSVACLSVACKLDEVNIPSLHHLQMEEVLGHSFRSATVRDMELTLLKALQWRLACVTPFSFLHYLIIPPPSSASAARCTRLLLRSLAEPSLLLRFDPSVIAASAIRCCVGLHHHHQQDYSSSSDAISRLLLLIRPADCPTVGKHA >PVH33990 pep chromosome:PHallii_v3.1:8:16278279:16278698:-1 gene:PAHAL_8G115500 transcript:PVH33990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEREKLELQKALKAEQSQHTAACTEAETEVEINKNLTNQLAEVQVRFETAEARATKAEEKRRVSCKNFKVYHATLSVGMAQLQEEGPRLLSAYGLIAPELAGPEDLEIQQFFKWLRACLAMVDSGSYLYGDLCAIVAT >PAN41699 pep chromosome:PHallii_v3.1:8:5272907:5275651:1 gene:PAHAL_8G064400 transcript:PAN41699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVGAMEERVVTERIRRKLEEVNAAAQQQLAGVQDHVNFTMQQAYFKCAYDCFDRRRNQEGINNCVENCSVPVLTANNLVENEMAKFQERLNRSLMVCQDKFEAAKLQKMKTDATQELESCVNRSIDDSIRVLPHVVEQIKSSLKIN >PVH33795 pep chromosome:PHallii_v3.1:8:5662206:5678723:1 gene:PAHAL_8G067000 transcript:PVH33795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAGSGPAPEAEERAAGGLPRSGSASRLNAQAPEFVPRAAAGTPPPPPPPQTVVRLFPPPPPAAFFVAGPPPPPPPPFEYYAAVAAGAPGARFGAPGPAAAEQEAEPELPARDGSFDDPVHKIRKQVEYYFSDINLATTEHLMRFISKDPEGYVPISVVAGFKKIKALVQSNSMLASALRTSSKLVVSDDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSTVGSVRTIRTCYPQTPNGTGPATNRSAKLDMLFANKIAELNDERNWRSGLRVRLLSTCMTKGGKGRKSGHEADAYGEEENVSTSDQQNDKHLEEAPLLSDAAVEHMDGIGDMGRGRGRGRGRGGRGRGRGYHQYNNNQQYQNQQQQHRSSSQHGNNRGVTHPVGTPPSTHPVKNEQQAQPQPQQPSAANKQPPGPRMPDGTRGFTMGRGKLQPSAPSVSASEPEP >PAN41731 pep chromosome:PHallii_v3.1:8:5662206:5678723:1 gene:PAHAL_8G067000 transcript:PAN41731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAGSGPAPEAEERAAGGLPRSGSASRLNAQAPEFVPRAAAGTPPPPPPPQTVVRLFPPPPPAAFFVAGPPPPPPPPFEYYAAVAAGAPGARFGAPGPAAAEQEAEPELPARDGSFDDPVHKIRKQVEYYFSDINLATTEHLMRFISKDPEGYVPISVVAGFKKIKALVQSNSMLASALRTSSKLVVSDDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSTVGSVRTIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYDTMEDAARAIAELNDERNWRSGLRVRLLSTCMTKGGKGRKSGHEADAYGEEENVSTSDQQNDKHLEEAPLLSDAAVEHMDGIGDMGRGRGRGRGRGGRGRGRGYHQYNNNQQYQNQQQQHRSSSQHGNNRGVTHPVGTPPSTHPVKNEQQAQPQPQQPSAANKQPPGPRMPDGTRGFTMGRGKLQPSAPSVSASEPEP >PVH33794 pep chromosome:PHallii_v3.1:8:5662206:5678722:1 gene:PAHAL_8G067000 transcript:PVH33794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAGSGPAPEAEERAAGGLPRSGSASRLNAQAPEFVPRAAAGTPPPPPPPQTVVRLFPPPPPAAFFVAGPPPPPPPPFEYYAAVAAGAPGARFGAPGPAAAEQEAEPELPARDGSFDDPVHKIRKQVEYYFSDINLATTEHLMRFISKDPEGYVPISVVAGFKKIKALVQSNSMLASALRTSSKLVVSDDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSTVGSVRTIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYDTMEDAARAIAELNDERNWRSGLRVRLLSTCMTKGGKGRKSGHEADAYGEEENVSTSDQQNDKHLEEAPLLSDAAVEHMTEDGIGDMGRGRGRGRGRGGRGRGRGYHQYNNNQQYQNQQQQHRSSSQHGNNRGVTHPVGTPPSTHPVKNEQQAQPQPQQPSAANKQPPGPRMPDGTRGFTMGRGKLQPSAPSVSASEPEP >PAN41732 pep chromosome:PHallii_v3.1:8:5665573:5678723:1 gene:PAHAL_8G067000 transcript:PAN41732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFISKDPEGYVPISVVAGFKKIKALVQSNSMLASALRTSSKLVVSDDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSTVGSVRTIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYDTMEDAARAIAELNDERNWRSGLRVRLLSTCMTKGGKGRKSGHEADAYGEEENVSTSDQQNDKHLEEAPLLSDAAVEHMDGIGDMGRGRGRGRGRGGRGRGRGYHQYNNNQQYQNQQQQHRSSSQHGNNRGVTHPVGTPPSTHPVKNEQQAQPQPQQPSAANKQPPGPRMPDGTRGFTMGRGKLQPSAPSVSASEPEP >PAN41404 pep chromosome:PHallii_v3.1:8:2988418:2989461:-1 gene:PAHAL_8G041300 transcript:PAN41404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKHHHFFHHHKKDEEQPAGGYSEAVAAEVVAAGESEYERYKKEEKEHKHKQHLGEAGAIAAGAFALYEKHEAKKDPEHAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKDAEEASGEKKHHHLFG >PVH33887 pep chromosome:PHallii_v3.1:8:8674416:8675138:-1 gene:PAHAL_8G084400 transcript:PVH33887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVEYAYREYVAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKTARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIAQMRGLGREEEDLEDTVSHLSIYLTGLDALSREQAAQLKQLIHGIEKLTQELEEQRTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRAEEESP >PAN42345 pep chromosome:PHallii_v3.1:8:14566975:14567941:-1 gene:PAHAL_8G107100 transcript:PAN42345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRGFSSLLGAPPEARTKTASPPPEGIDREPTTAKQRAAKDQGAVVGQVSGEREMGDEEVGVAAKMVGKKKKNKGAPIVVHHFPFHCRPGLL >PVH33980 pep chromosome:PHallii_v3.1:8:16003543:16004113:-1 gene:PAHAL_8G113600 transcript:PVH33980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVCVSMTLPSLVPVPMLRRKKRISSFCVYTVAAMPRPICDDLLLHAICSAVL >PAN42697 pep chromosome:PHallii_v3.1:8:35748103:35750624:-1 gene:PAHAL_8G183100 transcript:PAN42697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRFFAYDPYDYYYATPYHYRYPYYPYQQQPAPSRGAGGFFADAAPEPVSVAPRPRVESSRPFSIPVRFVGSDPEPERGTARMPRKRAPTAEEAAVRVQAATRGFLARRSVRAVREVEREAEEAGGKIAREAEALRGDARARIAVGEALMRMLLRLDAVRGAREYRRRVTKRVLALQDAVDALEPKTAPVAVAEENESEVTAETAEGNAGPELPDVVERSGEIETKAVSKTAADMEVDGDGAGGEQGDAEEAEKAQDAGNLDGDKPEGSDAEGEWEMVTEEPEPAAAPASRSEAPRPQEPAGAEIRTMETEAGVGGLDTRKVMEMMAALCERSAQQCAVIGALAERVDALERDVRRAEDAERRRRRAKKLRKEGKGSSHGKCYSD >PVH34186 pep chromosome:PHallii_v3.1:8:30771813:30772852:-1 gene:PAHAL_8G165600 transcript:PVH34186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIAPPPASTLAAYGALDKEDELCLQAQELMLAYNKSLVLRAAIQLGLIDALCAAPAAVTADELAQQIKAVDRANTAASVERILGYLACVNVVRCSAETMGPDGEVLRRYTPAPVCRWLTKNDGKGSLGLFAVFLGDPDHMLPWHHIADAVVSGGPSSFERIQGTPFFDYLGKKNQRLGMLFDDAMADHSVILVTKMLERYRGFDDVRRLVDVGGGTGNTLQMITSRYKHITGINYDLPQVWNMYLETCMRAYPLEMRSFCSGCCLCRQTSSASQY >PVH34188 pep chromosome:PHallii_v3.1:8:30771559:30772852:-1 gene:PAHAL_8G165600 transcript:PVH34188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIAPPPASTLAAYGALDKEDELCLQAQELMLAYNKSLVLRAAIQLGLIDALCAAPAAVTADELAQQIKAVDRANTAASVERILGYLACVNVVRCSAETMGPDGEVLRRYTPAPVCRWLTKNDGKGSLGLFAVFLGDPDHMLPWHHIADAVVSGGPSSFERIQGTPFFDYLGKKNQRLGMLFDDAMADHSVILVTKMLERYRGFDDVRRLVDVGGGTGNTLQMITSRYKHITGINYDLPQVLSQAPSIPCVEHVSGNMYESVPSGDAVLLQWMLLMQTDEQCITILKNCYKALPDGGKVIVIDGIRPEIPDPTSPAARDAFSLDMCMFVLFKGKERTEREFTKLARESGFTGAVRTTYIFLNFYAIEFTK >PVH34187 pep chromosome:PHallii_v3.1:8:30771281:30772939:-1 gene:PAHAL_8G165600 transcript:PVH34187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIAPPPASTLAAYGALDKEDELCLQAQELMLAYNKSLVLRAAIQLGLIDALCAAPAAVTADELAQQIKAVDRANTAASVERILGYLACVNVVRCSAETMGPDGEVLRRYTPAPVCRWLTKNDGKGSLGLFAVFLGDPDHMLPWHHIADAVVSGGPSSFERIQGTPFFDYLGKKNQRLGMLFDDAMADHSVILVTKMLERYRGFDDVRRLVDVGGGTGNTLQMITSRCGTCIWKHV >PAN43860 pep chromosome:PHallii_v3.1:8:44794529:44796317:-1 gene:PAHAL_8G267500 transcript:PAN43860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAFSSTTSIAAPASTPGIFLGKKKLIRKQQHVHKRSCRGHGRGLLCSRVFAYKQQEVDEAKQTDSDRWKGLAYDISDDQQDITRGKGLVDSLFQAPMGDGTHEAVLTSYEYISQGLRHYSFDNTMDGYYIAPAFMDKLVVHIAKNFMALPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADIISKGKMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVPEEDVTKLVDTFPGQSIDFFGALRARVYDDEVRRWVAETGVENIARKLVNSKEGPPKFERPKMTIEKLLEYGHMLVAEQENVKRVQLADKYLSEAALGDDDMPF >PAN41976 pep chromosome:PHallii_v3.1:8:16070480:16072383:1 gene:PAHAL_8G114400 transcript:PAN41976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGARDDGSPARPPHVAMLATPGMGHLIPLAELARRLASRHGATATLLTFASTASATQRAFLASLPPGIASLRLPPVDLSDLPRGAAIETRMSEETARSVPAIAEALTKMKETTRLVAFVTDIFGTDAFDAARAAGVGRRYLFNPTNLHMLALLLRLPELDATVPGEFRDLAEPVRLPGCVPIPGSAILSPLQDKGDPCYRWMLHHAARYREADAILVNSFDAVEPEAAAVLRRQQEPGRPPVYNVGPLILTETDTKSSPRAACLEWLDRQPARSVIFVSFGSGGALRTEQMRELALGLELSGQRFLWVVRSPSDQGAVSDNYYDAESKEDPFVFLPEGFVERTKDVGFLVSSWAPQIGVLAHEATGGFLTHCGWNSTLESLVHGVPMVAWPLYAEQHQNAVMLAEGIGAVIPVPEPKRKETVAGVVKELMAGEQKGAAVRATVAELQKAALEGLREGGAATTDALAEVVEKWAT >PVH33888 pep chromosome:PHallii_v3.1:8:8690110:8690298:1 gene:PAHAL_8G084600 transcript:PVH33888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMRRRRRGRVAVAVAARGRRLMGLERNSCAFCSSALFGACLPRLLLIAGSVPVTKSNQNI >PVH34550 pep chromosome:PHallii_v3.1:8:43002240:43002413:1 gene:PAHAL_8G245900 transcript:PVH34550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLASPVCACQVLDALPAWGQILFLVEGWTGVLKKRPGLLIACLCLRPLLNPLLAR >PAN42584 pep chromosome:PHallii_v3.1:8:26091935:26098265:-1 gene:PAHAL_8G148300 transcript:PAN42584 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM2 [Source:Projected from Arabidopsis thaliana (AT1G44900) UniProtKB/Swiss-Prot;Acc:Q9LPD9] MDDSENNPPSTPGSPGFSTDRLPPNNTTSRGATDPSSYSDDDGEAEVDPHVLPEEDDPAAAPAPDEEDEEGEDLFNDNYLDDYRRMDEHDQYESVGLDDSLEDERNLDEIMADRRAAEAELDARDVRTGAAADRKLPRLLHDQDTDEDMNFRRPKRHRTSFRPPSGPRTPRSDDDGDGATPSSPGRSQRGYSGGDVPMTDQTDDDPFEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVTKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTILGPFFQNSYAEVKVGSCPECQSKGPFTVNVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVVDVDDDPLAAARQADPDVLSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDALHFEEIVSGSTSRLTHIEVKVEDLKNKAQEYEIYDLKPFFSSVHFQDNSFILDEGRGIIRHPLAA >PVH34483 pep chromosome:PHallii_v3.1:8:41545975:41551874:-1 gene:PAHAL_8G229400 transcript:PVH34483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQQKLDMVGMERFYKGVYNGRDVAVKKLYNMRGLDENIFKNELNSLMRVHHQNIVHLLGYCYE >PAN41689 pep chromosome:PHallii_v3.1:8:5186985:5195974:1 gene:PAHAL_8G063500 transcript:PAN41689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGPPNPMGPGQPVGGAAASLLRTSSSLLSGGGSGQPGMGGGGGGMLSAQSPFSSLVSQRTQFGGNGLLGGASSVSSLLNRQSFGNGGHMQGPGSMMQGGGIPMSILQQRGGMDGIGDFIGTGGSDPLSFASSSQVSLGNQMGSENLQSTSQQQQMDTVQDMQQQQQPMSYNQQQLPPQSSSQQLQQPQATVKLENGGSMVGIKAEQQMGQPDQNGPAQMMRSAGGVKLEPQQLQAQMMRSLSSVKMEQQSSDSSAFLQQQQQQQQQQQQQQQHLLQLTKQIRNCPDLVSMGGPNTMANPQAAAAAQLSLLQQQRLLHMQQQQQQQILKNLPLQRNHLQQQQQQQQQQQQQQQQLLRQQSLNMRTPGKSPPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSNLGAVVQKYQAAAQNSTSVSAQEMQNNCNSFVTCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRNTGSGPIDSLHNFPRRTASGVNPLQPQQQQPEDQQAIPQSSNQSGQNSAPMTGVQPSASANGDVTSNNSLSCAPSTSAPSPSVVGLLQSSMNSRQDHPMSSTNGGPYNGGGNATIPKVNSTSSLQSNPSASFPSPVPTASNNNMMPASQNTNQLSSPTASSSIPPMQPPASRPQEAEPSESQSSVQKILQDLMSSQMNGVGQSGNDMKRPNGLTPGVNGVNCLVGNAVTNNSGMGGMGFGAMGSFGHGMRTAMTNNPMAMAARMGMNHSAHDLSQLGQLHQQQQQQQQHDIGNQLLGGLRSANSFNNMQYDWKPSQ >PAN41688 pep chromosome:PHallii_v3.1:8:5187718:5195730:1 gene:PAHAL_8G063500 transcript:PAN41688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGPPNPMGPGQPVGGAAASLLRTSSSLLSGGGSGQPGMGGGGGGMLSAQSPFSSLVSQRTQFGGNGLLGGASSVSSLLNRQSFGNGGHMQGPGSMMQGGGIPMSILQQRGGMDGIGDFIGTGGSDPLSFASSSQVSLGNQMGSENLQSTSQQQQMDTVQDMQQQQQPMSYNQQQLPPQSSSQQLQQPQATVKLENGGSMVGIKAEQQMGQPDQNGPAQMMRSAGGVKLEPQQLQAQMMRSLSSVKMEQQSSDSSAFLQQQQQQQQQQQQQQQHLLQLTKQIRNCPDLVSMGGPNTMANPQAAAAAQLSLLQQQRLLHMQQQQQQQILKNLPLQRNHLQQQQQQQQQQQQQQQQLLRQQSLNMRTPGKSPPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSNLGAVVQKYQAAAQNSTSVSAQEMQNNCNSFVTCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRNTGSGPIDSLHNFPRRTASGVNPLQPQQQQPEDQQAIPQSSNQSGQNSAPMTGVQPSASANGDVTSNNSLSCAPSTSAPSPSVVGLLQSSMNSRQDHPMSSTNGGPYNGGGNATIPKVNSTSSLQSNPSASFPSPVPTASNNNMMPASQNTNQLSSPTASSSIPPMQPPASRPQEAEPSESQSSVQKILQDLMSSQMNGVGQSGNDMKRPNGLTPGVNGVNCLVGNAVTNNSGMGGMGFGAMGSFGHGMRTAMTNNPMAMAARMGMNHSAHDLSQLGQLHQQQQQQQQHDIGNQLLGGLRSANSFNNMQYDWKPSQ >PVH34168 pep chromosome:PHallii_v3.1:8:29844507:29846880:1 gene:PAHAL_8G161000 transcript:PVH34168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFFSQRVLKWPLGNQWNRAAGDGHWKTSGKDVPIFCSRVNGGEPLMIGSKKTLVFYHGKSDFGENTEWVMQEYSLVGAGLTPYRVMRPGGSNNFGESSSAAAAITEKNDNLSEALNNSKVPVLVNPDESWVVCRIYKKKKHMPRVVTQVYNIAEGGQIPFYNFLEQGNSKGIASSNILTDIPLQNAKDDEEGRDRSTNVEANSRGVGK >PAN42537 pep chromosome:PHallii_v3.1:8:29843762:29846880:1 gene:PAHAL_8G161000 transcript:PAN42537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSQPVVNAASIPRAPPGFKFRPTDEEIVLHYLRPRAVNAPLPSSFIVDIDVLSHNPWELLPEGSMEKYFFSQRVLKWPLGNQWNRAAGDGHWKTSGKDVPIFCSRVNGGEPLMIGSKKTLVFYHGKSDFGENTEWVMQEYSLVGAGLTPYRVMRPGGSNNFGESSSAAAAITEKNDNLSEALNNSKVPVLVNPDESWVVCRIYKKKKHMPRVVTQVYNIAEGGQIPFYNFLEQGNSKGIASSNILTDIPLQNAKDDEEGRDRSTNVEANSRGVGK >PAN42527 pep chromosome:PHallii_v3.1:8:30171741:30178521:-1 gene:PAHAL_8G163000 transcript:PAN42527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGAEGELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLIDDHMVTEDDLNANFLIPPDGSIYGGRSRAEVCCESLKDFNPMVRVSVEKGDPSLIDGEFLEKFDIVVVSGASLKTKLFINENCRKRSKRIAFYAIDCKDSCGEIFVDLQKHSYVQEAISVRWNNLPKKTAKLYFAMRVLENYELSEGRSPGETTLSDIAAVLSRRKDMCDKMSLSESQIPTALMERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVMEDIPPPPPTAN >PAN42528 pep chromosome:PHallii_v3.1:8:30172538:30178274:-1 gene:PAHAL_8G163000 transcript:PAN42528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGAEGELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLIDDHMVTEDDLNANFLIPPDGSIYGGRSRAEVCCESLKDFNPMVRVSVEKGDPSLIDGEFLEKFDIVVVSGASLKTKLFINENCRKRSKRIAFYAIDCKDSCGEIFVDLQKHSYVQKKPGGETAQQELTYPSLQEAISVRWNNLPKKTAKLYFAMRVLENYELSEGRSPGETTLSDIAAVLSRRKDMCDKMSLSESQIPTALMERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVMEDIPPPPPTAN >PAN41290 pep chromosome:PHallii_v3.1:8:2397462:2399376:-1 gene:PAHAL_8G033300 transcript:PAN41290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTASTHAEARRIRVSYVVVLTIVLLSPCCVASSRSLLVTSSFAHAPEGSQAAAPAAAVLQPPAVDAIPPVPTPESSAPAAVSPSLAVLEPPAADTAPVLQPPEPAHVAAAPPPLTAHHSQHGRKSKHGDHDKAPSPKSKKHSPKAPPKHHGHHAPPPEPDVPPPAPPAEPPYGGEPPAAPAPDGPHGQSPPWPFPWPRPPGTGQWPPLPPFPSHPPPLPAWPWPHNPGSNPWPPLPPFPFHPPPFPEWPHPGPGGKWPPLPPFPFHPPPVPAWPHPGPGGQWPPLPAWPWPHPGNPWTPAPPSLHGTGGVVPATAVQQDPKN >PAN42024 pep chromosome:PHallii_v3.1:8:9780162:9781372:-1 gene:PAHAL_8G088700 transcript:PAN42024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQPELSLGPGALGVPAGFAAAKSPRSSSSDSDGGAGGGRKRKHFAWEWEEAVSHAGGLELQLGDPLPMDWEQCLDLHSGRMYYLNRKTMKKSWVRPRSTKEEQGALNLELNISTAPSAFDGKASRVAAADDARSTSNCGIASGGHMVAVPCANCHLLVMLCKASPACPNCKFVQPSSVPPPAMPRRAAPPRRLDAVKPLETLSLLH >PVH33485 pep chromosome:PHallii_v3.1:8:787646:791986:-1 gene:PAHAL_8G010800 transcript:PVH33485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGSDSAAFPFSTTTTSPRFCNPISHTRIFSDVAEDVTVSVDGQSFLLHKFPLVSRCGRVRKMVVDSKDTDLLKLELVNVPGGAFAFELAAKFCYGSNFEITTANVAHLRCVAEYLEMTEDYQEENLIFRTEIYLNEIVLKNLDKSLEVLCKCDGLDPTVEEVDLVDRCVDAIAMNASKEQLVSGLAHLECHVGSGKLHTHSQDWWVEDLSALRIDHYRRVITAMRRNGVRPESIGTSITHYAQTSLKGVERRHVWDSGPFVGDDQRMIVETLIDLLATENITTVTLSFLFGMLRMAIEVDASLDCRIEVEKRIGLQLEMASLDDLLIPSTQTSDSMFDVDTVHRILVNFLQRIDEDDSGELSPCGYDSEGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSGGGSGGCGDGGLSQRFICSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGMRGGDGRAGEHGRAFLASLSRGSGG >PAN42957 pep chromosome:PHallii_v3.1:8:38589080:38600480:-1 gene:PAHAL_8G201200 transcript:PAN42957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEASELQARLAAAVHALNHDADPSARLAANQWLLALQRSPQGWAVATALLAAPDPPPPADLLFFAAQMLRRKIQAPGPALPGAGLAPQLLDALLLAARRFCATPAPRQLLTQICLALSALALRAEGGVDGLFARLPHLPAPAVLELLTVLPEEAAQDQAGDTGVDAAARCRFTRELLAHAPAVLEFLHSQSEKVPANDDGVPLHERNRRILRCLLSWVRVGCFSETPATTLATHPLLTFAFNSLQVPFSFDVSIEVMTELVSQHQELPEAFLSKMPYIREVLLLPALANRSEKIIAGLACLMCEVGQAAPALVAEGGSQALALADALLRCVAFTSEDWEIADSTLQFWCSLAHFILGTDVKTAKRNASQELFLPVFSSLLDALLFRAQIDTDEHGTDGAPCIPDGLAQFRMNLEELLVDICLLLGAPAYMNNLFSRGWDFSSQSVPWKEVEVKMYALSMVADTILQDESPFDFSMIMHFVNILSSRTPVELNGSLFMVYKSFGDVIGSYSKWLSSSQSNIKPLLLFCASGISKSVSSNACSLALRKLCEDASSFIHEPQNLEILFWISEGMDKGNLRLEDEEEIISAITHALSSVHDKELRKSSLARLLCSSYSAVEKIIDIDRDNSLRQNPATYTQSLDLAVRGLYRMSALFGHLSTPITSGLVDDDIILVLLGIFWPLLEKLFRSSHMENVSLSAAVCRSLSSAIHTCGQHFHVLLPKVLECLSTNFLRFQRHDCFLRTSANVIEEFGHKEEFGALCVRTFETLSSASSISTLSSSYMCDQEPDLLEAYTYFTSMFIRCCPKEALVASSSLLELSLQKAAVCSTAMHRGAALAAMSYMSCFLEVVLAAVLESPECIPNGSPGVALIQILARCGEGLLSNVLYALLGVSALSRVHKSATILQHLAALCSFCERTTWKAVLNWSSLCGWLQSTVKSLPSEYLKQGEAEMIIPLWLKVLEDAGSDYLHSRTGDNIINHQGYMQGKGGRTLKRIIRDFAESHRNAPTPCPS >PAN42956 pep chromosome:PHallii_v3.1:8:38589080:38600479:-1 gene:PAHAL_8G201200 transcript:PAN42956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEASELQARLAAAVHALNHDADPSARLAANQWLLALQRSPQGWAVATALLAAPDPPPPADLLFFAAQMLRRKIQAPGPALPGAGLAPQLLDALLLAARRFCATPAPRQLLTQICLALSALALRAEGGVDGLFARLPHLPAPAVLELLTVLPEEAAQDQAGDTGVDAAARCRFTRELLAHAPAVLEFLHSQSEKVPANDDGVPLHERNRRILRCLLSWVRVGCFSETPATTLATHPLLTFAFNSLQVPFSFDVSIEVMTELVSQHQELPEAFLSKMPYIREVLLLPALANRSEKIIAGLACLMCEVGQAAPALVAEGGSQALALADALLRCVAFTSEDWEIADSTLQFWCSLAHFILGTDVKTAKRNASQELFLPVFSSLLDALLFRAQIDTDEHGTDGAPCIPDGLAQFRMNLEELLVDICLLLGAPAYMNNLFSRGWDFSSQSVPWKEVEVKMYALSMVADTILQDESPFDFSMIMHFVNILSSRTPVELNGSLFMVYKSFGDVIGSYSKWLSSSQSNIKPLLLFCASGISKSVSSNACSLALRKLCEDASSFIHEPQNLEILFWISEGMDKGNLRLEDEEEIISAITHALSSVHDKELRKSSLARLLCSSYSAVEKIIDIDRDNSLRQNPATYTQSLDLAVRGLYRMSALFGHLSTPITSGLVDDDIILVLLGIFWPLLEKLFRSSHMENVSLSAAVCRSLSSAIHTCGQHFHVLLPKVLECLSTNFLRFQRHDCFLRTSANVIEEFGHKEEFGALCVRTFETLSSASSISTLSSSYMCDQEPDLLEAYTYFTSMFIRCCPKEALVASSSLLELSLQKAAVCSTAMHRGAALAAMSYMSCA >PAN42958 pep chromosome:PHallii_v3.1:8:38590808:38600245:-1 gene:PAHAL_8G201200 transcript:PAN42958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEASELQARLAAAVHALNHDADPSARLAANQWLLALQRSPQGWAVATALLAAPDPPPPADLLFFAAQMLRRKIQAPGPALPGAGLAPQLLDALLLAARRFCATPAPRQLLTQICLALSALALRAEGGVDGLFARLPHLPAPAVLELLTVLPEEAAQDQAGDTGVDAAARCRFTRELLAHAPAVLEFLHSQSEKVPANDDGVPLHERNRRILRCLLSWVRVGCFSETPATTLATHPLLTFAFNSLQVPFSFDVSIEVMTELVSQHQELPEAFLSKMPYIREVLLLPALANRSEKIIAGLACLMCEVGQAAPALVAEGGSQALALADALLRCVAFTSEDWEIADSTLQFWCSLAHFILGTDVKTAKRNASQELFLPVFSSLLDALLFRAQIDTDEHGTDGAPCIPDGLAQFRMNLEELLVDICLLLGAPAYMNNLFSRGWDFSSQSVPWKEVEVKMYALSMVADTILQDESPFDFSMIMHFVNILSSRTPVELNGSLFMVYKSFGDVIGSYSKWLSSSQSNIKPLLLFCASGISKSVSSNACSLALRKLCEDASSFIHEPQNLEILFWISEGMDKGNLRLEDEEEIISAITHALSSVHDKELRKSSLARLLCSSYSAVEKIIDIDRDNSLRQNPATYTQSLDLAVRGLYRMSALFGHLSTPITSGLVDDDIILVLLGIFWPLLEKLFRSSHMENVSLSAAVCRSLSSAIHTCGQHFHVLLPKVLECLSTNFLRFQRHDCFLRTSANVIEEFGHKEEFGALCVRTFETLSSASSISTLSSSYMCDQEPDLLEAYTYFTSMFIRCCPKEALVASSSLLELSLQKAAVCSTAMHRGAALAAMSYMSCFLEVVLAAVLESPECIPNGSPGVALIQILARCGEGLLSNVLYALLGVSALSRVGAIWRTIS >PVH33970 pep chromosome:PHallii_v3.1:8:15662508:15664288:-1 gene:PAHAL_8G111300 transcript:PVH33970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQYELERDERVREVQEFFASLGIPILAQDVRDVFSKNEKCMGKTIESDNEYDPSSDIDNQCDSDDDYDDDLKHEDSTEVRAMVPGTRTKKQKMAHMPAANQLPPCSPTKFTRKQAAKPTRGRPPPRDANQLPPCTPTRLTRQQAAMALPAGRPPPRDANQLPPCTPTRLTRQQAAMASPGGRPPPKDANQLPPCTPTRLTRQQAAMASPGGRPPPKDANQLPPCTPTRLTRQQATMASPGGRPPPREILRLPAKTASKANPKTNPISSASRLPNTSPPISTHSGNTEHNTPTPTPILTVFPQVTPTTSVNQSVPVETSPGVQSSRQSNDINDTNDQVDADSEGHTIEGEPVGDFVPAPRKEVRKKTIGLGLEKMIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTME >PAN43631 pep chromosome:PHallii_v3.1:8:43525989:43530438:-1 gene:PAHAL_8G251500 transcript:PAN43631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MASADPPPSACEAAYLLFHGETLLPNGVRASLYAVALAYCFIGLSAITARFFKSMEHIMKHSREVVSVDPHTNTPVVKQEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGRLTAGGLGPGTLVGSAAFDMFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYFILEVWTPGVITLWEALLTVLQYGLLLLHVYAQDKRWPYVSIPFVRSDRPEDWVPEENASVDYDNCDEISETLPVSADKNILDILSAGSYHNAEYCKVPEKDMERSSTMNDVVKNTQEDTSWLLIWWRQFLCAFNLESPESRKMDSIHLRITRIFLNLLIAPWKLLFAFVPPYHIAHGWIAFICSLVLISGISYGVTKLTDQISCVTGISSYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLIDTVYNFFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRIVLGAELGGPRLWAWVTSVYFMVLWVVFVVFSSLRFSGII >PVH33751 pep chromosome:PHallii_v3.1:8:4622382:4623372:1 gene:PAHAL_8G058500 transcript:PVH33751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWRQRPVRVLLASWGSGAWRLRVCAGAAARARRRPRRRLWWLTWVLRASRRGDTWRLRGRAGTAFAPCGLGMHLGGCGGGGWCGCPAPRGSVAPGGTGLGMRAGTVLMPHELGASPADLLHPRADSLSGGRPR >PVH34247 pep chromosome:PHallii_v3.1:8:35068267:35069712:1 gene:PAHAL_8G179700 transcript:PVH34247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCREGLMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVPGADQYICYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPIAYAKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKAQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYITGGFTQILKNHGMHFRVLAKALRMLGGDHIHAGTVVGFVDLLRDDFIEKDRSRGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAHEGNEIIKAACKWSPELAAACEVWKAIKFDFAPVDTID >PAN43027 pep chromosome:PHallii_v3.1:8:38985255:38988065:1 gene:PAHAL_8G205100 transcript:PAN43027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPANQGKKQSNMARSSGHHRSPRRAAATPPLLALAAAGLLLLPLLARGSPEQQQLEDDRILGLPGQPNGVAFGMYAGYVTVDEQAGRALYYWLQEADRDPGAAPLVLWLNGGPGCSSVGSGALEELGAFRVHTDGETLLLNEYAWNKAANVLFLESPAGVGFSYSNTSSDVVMGDNRTAHDSYTFLVKWFERFPQYKYRDFYIIGESYGGHFVPQLSQLVHTNNIGVEKPVINFKGFMVGNGLINDHTDMTGMFEYWWHHGLISDETLESGLKVCSETSFIHPSRECLEIWAGALEEQGNIDVYSIYTPPCDKGSAFELRLKRSRRRAWMLPAYDPCVDFHATKYMNLPEVQKAMHANVTGSIEYPWSLCSDPVYDNWPETPHSMLPIYKELIAAGLKVWVFSGDTDTVVPLTSTRRSLAALGLPVKTNWYPWYMVPTEVGGWSMEYEGLTFVTIRGAGHAVPQHRPAQALVLFQHFLQGEPMPAEATNASLILLPSDKARSY >PVH34376 pep chromosome:PHallii_v3.1:8:39314054:39315385:-1 gene:PAHAL_8G208100 transcript:PVH34376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIAKKKQTLSSPLQRKRGQASMLPSSRPSLAAAFTEQQLKQLRAQCLVFLAFRNNMMPRKKHLEIALGECPGQGSSSGAAAGGGDRRGADGDDSRGETGWSSSSSPVSFSAATAGLPPPDLLGLSSLRLSSSPQGGRTRRVIRRGVGKIQ >PVH33604 pep chromosome:PHallii_v3.1:8:2109173:2112898:-1 gene:PAHAL_8G029800 transcript:PVH33604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVSAARDRCLELERAVAGRVRSGNLCLDDAVKLFGELLHHARPASVIAINQLLTAVSRAQGRGSSSSELVPSLFNRMARACSNKVAPDLHTYSILINCFCRMGRLELGFAAFGLILKTGWRVNGIVISQLLKGLCDRKCVSEAMDILLRRMPEFGCTPGAASYSTVLKGLCNEKRGEEALELLHMMADDGGGGCPPDVVAYNIVINGLFTEGQVDKAYNLFREIDNQGILPTVVTYNTVINGLCKAQAVDRAEGVLRQMVHKGVKPDNQTYNCLIHGHCSSGRGKEVVRMLKEMSAHGYQPDIVTCNLLLDYLCKSGRCTEARKIFDSMIEKGTKPNVTTYGVLLHGYATKGALSDMHGLLDLMVENGVSPDHRTFNIVLCAYGKGGMINEAMHIFDRMRQQGLSPDGVNYGALIDALCKSGRVDEAMLKFNQMIYEGVTPNIIIFNSLVYGLCTVGKWEKANELFSEMLNQGIHPNASFFNTIMCNLCREGRVTEAQSLLDLMVCVGVRPDVISYTTLMDGHCLAGRMKEAMKLLDDMVRVGLKPDAFSYNTLLHGYCRAGRIDDAVRLFREMLSNEVRPGIVTYSMVLQGLFQSGKISEAKELYPNMINSGVQLDIYTYNIILDGLCKNNCVDEAFKIFQSLCSKGFQLHIITFNIMIDALLKSSRKEDAMDMFITISAHGLVPDVVTYRLMIQNLIKEGLLEESDNLFLAMEKSGCTPDSCMLNSLVRRLLHRGEIMRAGAYLSKIDEMNFSLEAATTSLLISVFSREEYQHHAKSLPEKYHFLEAVNK >PAN41932 pep chromosome:PHallii_v3.1:8:7241452:7244208:1 gene:PAHAL_8G079500 transcript:PAN41932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKPSPMAPATPTLVNAAMDPPIRATIFVAIVTVHALLLMWLGSRRRCSRHPALRFVLWSLSAAYLPLMSYVLSYMTTNLWSLDPKDQLWLIVSLVLVQFLKAKADMKALAVAAVATPVAADDDINSLKVRPTMESLIYSFWVAGLVIYHIFFDNNAGKLEVVILFISPLWALGACRMVLKFVAFHRATGSFALGRNVQLMDGYMVQLQEAVPAATAVPRLIVTGERKRDIEESPLGYRLRRAALEDEPASSLVTLDRVWLEGGTLLVPQLKDLCLSFALFKCLRRRFAGYQLAETGSSWAFRFVRDGLLGREDDHERIFRVIASELTFASDFYYYPLPVASLGTLYAGLHFVLSMLIFSSLCLLVLSLVFLILLLGAFGGPSDERPAQYILPKLPALLALVIAWTEMSEMVASVRSNWTKISIVGHYIRCRSHWARRILSCLLGRCKSPKQWKDEMGQTDLLLLTKPRSLLGKQSWAHHFFKRLIDQMRSRRNTLTVPPEVKASILGAFRSSGGQLSAGTAAVHRRRQAFRHGITWACLGGEKGSLAARAGHGHRHGRCPLLVAILHVPGGGGSRSAARQLRLDEAPLPGGEGQHQGGAAEEQRS >PVH33855 pep chromosome:PHallii_v3.1:8:7241621:7244190:1 gene:PAHAL_8G079500 transcript:PVH33855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKPSPMAPATPTLVNAAMDPPIRATIFVAIVTVHALLLMWLGSRRRCSRHPALRFVLWSLSAAYLPLMSYVLSYMTTNLWSLDPKDQLWLIVSLVLVQFLKAKADMKALAVAAVATPVAADDDINSLKVRPTMESLIYSFWVAGLVIYHIFFDNNAGKLEVVILFISPLWALGACRMVLKFVAFHRATGSFALGRNVQLMDGYMVQLQEAVPAATAVPRLIVTGERKRDIEESPLGYRLRRAALEDEPASSLVTLDRVWLEGGTLLVPQLKDLCLSFALFKCLRRRFAGYQLAETGSSWAFRFVRDGLLGREDDHERIFRVIASELTFASDFYYYPLPVASLGTLYAGLHFVLSMLIFSSLCLLVLSLVFLILLLGAFGGPSDERPAQYILPKLPALLALVIAWTEMSEMVASVRSNWTKISIVGHYIRCRSHWARRILSCLLGRCKSPKQWKDEMGQTDLLLLTKPRSLLGKQSWAHHFFKRLIDQMRSRRNTLTVPPEVKASILGAFRSSGGQLSAGTAAVHRRRQAFRHGITWACLGGEVTTATDSILVWCIATGLFENRCSSSSRKAPLPPEQVTATDMGVALCLSRYCMYLVAEAPDLLPDNSAWTRRRYQVVKDSIKAALLRSSGPDDLEVDVYGRLVDSFGSERSHEVLKKGSRLGKQLVEEAEKQRSEDGEAGDGGQDTVWKLLAEFWSEMLLYLSPSDNVKGHMQVLQHGGELITLMWVLLLHAGITSRPARHVPEP >PVH34502 pep chromosome:PHallii_v3.1:8:41993209:41994885:-1 gene:PAHAL_8G233800 transcript:PVH34502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNERPRTSIIGRFFATCHADQLRDVCLSFSLYKQLRRQFYDLRIHEVRLPTQMKKMKRLVFQYILNDAERAFHVTAAELSFLQDLFYSKRAAIFATGFPATNLALSVLLIAATSYIAYPIRYIPGRMDLSDRNRITHGVFFTRIVIVFIVYKELAEIYMYVFSQWTKVLILCNYTKRRCLQHPLVETAMRVMLYFIRRDDYWNEVICQHNLLIPYAAVRIGGLIIILKREGAIRMGVCTKEAIFTALKKLENESESKRLDSYLSKAFGEPEELLQGQLLQDVLGLEADTHRILVWHIATSLCEIKLASEKASVLRPWGLRSMPVVKKPKEARSSRHLQASGNIDEVEAGDMWWKNYMTAASLSNYCAYLVTQALVPDSGLITSKVIQEVCEEIRHVTMNDGIIRSLLRRRSMQDVFDRLMATIGDAEEEEQGNPQRDPDIEAPLEVNHPQGRGDDRDIIKNSLTRMGARLATQLMLKYSNDKAGLWENLAVFWTGFLLHLAASTRASKHKTCLAGRQELATHLWALLSHAGLLEGAEHGEELLDPEELDHANPLDG >PVH34503 pep chromosome:PHallii_v3.1:8:41992919:41994943:-1 gene:PAHAL_8G233800 transcript:PVH34503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNERPRTSIIGRFFATCHADQLRDVCLSFSLYKQLRRQFYDLRIHEVRLPTQMKKMKRLVFQYILNDAERAFHVTAAELSFLQDLFYSKRAAIFATGFPATNLALSVLLIAATSYIAYPIRYIPGRMDLSDRNRITHGVFFTRIVIVFIVYKELAEIYMYVFSQWTKVLILCNYTKRRCLQHPLVETAMRVMLYFIRRDDYWNEVICQHNLLIPYAAVRIGGLIIILKREGAIRMGVCTKEAIFTALKKLENESESKRLDSYLSKAFGEPEELLQGQLLQDVLGLEADTHRILVWHIATSLCEIKLASEKASVLRPWGLRSMPVVKKPKEARSSRHLQASGNIDEVEAGDMWWKNYMTAASLSNYCAYLVTQALVPDSGLITSKVIQEVCEEIRHVTMNDEEEEQGNPQRDPDIEAPLEVNHPQGRGDDRDIIKNSLTRMGARLATQLMLKYSNDKAGLWENLAVFWTGFLLHLAASTRASKHKTCLAGRQELATHLWALLSHAGLLEGAEHGEELLDPEELDHANPLDG >PAN43162 pep chromosome:PHallii_v3.1:8:41984222:41986924:-1 gene:PAHAL_8G233700 transcript:PAN43162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNDIRGLVSSLFIMLNKKTLILFRIEFLVVFATVLFLAMFIMDIFRRYMHSSAAKAIFSLLDGVSDSILIYLLGAMQTTPFKNQLFPVWALVLVIFRYSVDFISGYGVHDNGGRRFTEWRNVMKLLGSAFLNWTRGSRFAGPLWSLWALQILRSFYRFKTHNLALNSPWHGRSSEIISEHMRADNDFSNWTPEECNPETMEGYKYLVYGEKVKLQKPRYVVRIDTTSTSSQRNVQQVRQIPAQGEGTAHSNAITRSSLITLDKIWRCRRYLLSPGPGYSNLEDSNQGMEQKDLSLAFALSRLLRCRLEGVQLKRVIYPANRRLVRKRIIDRDGPNAFKILETQLAFVNDYFNTRYPMVFWLGLCSLYTNLLLSLMTSSVVLWLSVDIRKVYKPPKDELAHTVQGVNVDMIITWVFMAFLIFKEIWEVVIYLLSDWTRLLLVCKYTRRCSERHRNRFMENLILSFFTSRIIAKRWHGFLDQYVFVQSYDYIPTHWNRIHKVTTGVLPKKDNGEKLGEAIDIPDCVRSEILVKIRAILDVNRDYDGTGNTDDRYLPQVITTLADDRMERYGWACFQLQTSSQIILVWHIATNFCQLALAEKNGFDLSKPGFLPSILGCITSCCSSNEYESSLTEELKEKYLIANRLSSYCGYLLVLKPDLIPDSFLVPKMVFQKAVNGARDGTLKDCDCLEKRYSKLKEEARIPAEDYDNVKRGENALKQGALLGRQLIEHEGEEGCWDILAGVWAELLIHIAPTWNAEAHKKCLRSGGEFITNIWALLWHCGIEKSRLWPVDDASENNAPEDPQNNDTGNGDIDSVEVTQRADTDIRSSQVETEADEEPEVLEIEEPDARSLEIIGPRGVKSGNSEIEETGMAAGQVNPYQDRTEG >PVH34560 pep chromosome:PHallii_v3.1:8:43225640:43229736:1 gene:PAHAL_8G248300 transcript:PVH34560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRWTEAGEIWGAGTEIRAGVAGTGGNLGGAGSRCAEGLSAARAGGGWRATARPEEAKRRFDSNGISIFYYIYYLFFSLCLEFGTIEIRKRREGRARRRPGPVRVAHVPVGWGVVAAAAREGEHVVPGPRVPRPVGPTNVGPRGQVSPTVQARGPRVSDWFRRRKRAWAQVPVGSVRPRVTRSPGGQITPCSLAGGDRRSRGRQRWSLGGFLRGLQFHGWSCNLQVRGDQNIPRYTGSLFPRPGHG >PVH34559 pep chromosome:PHallii_v3.1:8:43225760:43229030:1 gene:PAHAL_8G248300 transcript:PVH34559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRWTEAGEIWGAGTEIRAGVAGTGGNLGGAGSRCAEGLSAARAGGGWRATARPEEAKRRFDSNGISIFYYIYYLFFSLCLEFGTIEIRKRREGRARRRPGPVRVAHVPVGWGVVAAAAREGEHVVPGPRVPRPVGPTNVGPRGQVSPTVQARGPRVSDWFRRRKRAWAQVPVGSVRPRVTRSPGGQITPCSLAGGDRRSRGRQRWSLGGFLRGLQFHGWSCNLQVRGDQNIPRYTGSLFPRPGHG >PVH34561 pep chromosome:PHallii_v3.1:8:43225760:43229735:1 gene:PAHAL_8G248300 transcript:PVH34561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRWTEAGEIWGAGTEIRAGVAGTGGNLGGAGSRCAEGLSAARAGGGWRATARPEEAKRRFDSNGISIFYYIYYLFFSLCLEFGTIEIRKRREGRARRRPGPVRVAHVPVGWGVVAAAAREGEHVVPGPRVPRPVGPTNVGPRGQVSPTVQARGPRVSDWFRRRKRAWAQVPVGSVRPRVTRSPGGQITPCSLAGGDRRSRGRQRWSLGGFLRGLQFHGWSCNLQVRGDQNIPRYTGSLFPRPGHG >PAN42296 pep chromosome:PHallii_v3.1:8:23384042:23389598:-1 gene:PAHAL_8G140300 transcript:PAN42296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAPQFMWAPSAAAHAPSSSSRCSALRVHCAVTSAAAVVDADCAYGGGAAQLRLTYSAPALQRNFESTLASEALLNEEAVVEAAAAEAVALARAAAEAVREVVQMVQNNNHQPVIRQKGVDSYLANEILRTEIQLSSPDGYANDVLLEDLESYGIMAVDGELDDDAQCTENIAVKSARQSERRARRTRAAIKAATTVRASQKTATSSKKKRLKGSSPSMNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELTEYNGGQPTFSQWATAAGTDEKTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMDLYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECTKRLRRKLRRRPTNEEIAVDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRLSMKKDVHQALDTLTPREKQVVVLRFGLEDGRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPVGNW >PAN43695 pep chromosome:PHallii_v3.1:8:43976265:43981693:1 gene:PAHAL_8G257900 transcript:PAN43695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDAATVFLETTLGTRLVVSFPAGATTVADLKGRVSAEHAACFPRTGPIAVTSLQVKLDDSWFQLTDSMAVRAAFEWVKGPWRLLAEAHELHSHPLARKDAKCGTSDAEPSAGRPVISENSTHYLLPPAGSQGGGSLASGDGVSDTPQINQQDKPQEGDEHASGQGKDGTTMPQKSSDLYLAPGDSDTLLLDQEDKPQECVEHASGQVEDGITMPQESSDIDMAAGDSGTSLANQEDKPQECAEHASGQLEDGITMPQESSDIDLAAGDSGTPLANQEEKLQECAEHASGQLEDGITMPQEISDIDLAAGDSTEHASGQLEDGITMPQESSDSDLAAGDSTEHASGQLEDEIIMPQKTSDFDLLAGANDGPPMNQQDKSHDGVEHASVQSEDKPTMLQESSDFGAAACRGNGPVGGQQKDIIAEPRGKKRFREEDKTNESIIVNCGDDLSSLASSTCNAESSEKKSCVTEQAKLNSVPLPYDLKDHSHDLGENPSGGQKETSTSGVHNVESSNNEADIPLGVESMERGKSSDREVQIKRGDEEPQIAGCAGESSCRRTDDLHCVKSMKEDIKRPASNSRYLDKGKNEGAISNLSKERICFRRRHKRIVVRKVPISKAMKIYYFRG >PAN43694 pep chromosome:PHallii_v3.1:8:43976659:43979548:1 gene:PAHAL_8G257900 transcript:PAN43694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDAATVFLETTLGTRLVVSFPAGATTVADLKGRVSAEHAACFPRTGPIAVTSLQVKLDDSWFQLTDSMAVRAAFEWVKGPWRLLAEAHELHSHPLARKDAKCGTSDAEPSAGRPVISENSTHYLLPPAGSQGGGSLASGDGVSDTPQINQQDKPQEGDEHASGQGKDGTTMPQKSSDLYLAPGDSDTLLLDQEDKPQECVEHASGQVEDGITMPQESSDIDMAAGDSGTSLANQEDKPQECAEHASGQLEDGITMPQESSDIDLAAGDSGTPLANQEEKLQECAEHASGQLEDGITMPQEISDIDLAAGDSTEHASGQLEDGITMPQESSDSDLAAGDSTEHASGQLEDEIIMPQKTSDFDLLAGANDGPPMNQQDKSHDGVEHASVQSEDKPTMLQESSDFGAAACRGNGPVGGQQKDIIAEPRGKKRFREEDKTNESIIVNCGDDLSSLASSTCNAESSEKKSCVTEQAKLNSVPLPYDLKDHSHDLGENPSGGQKETSTSGVHNVESSNNEADIPLGVESMERGKSSDREVQIKRGDEEPQIAGCAGESSCRRTDDLHCVKSMKEDIKRPASNSRYLDKGKNEGAISNLSKERICFRRRHKRIVVRKVPISKAMKIYYFRG >PAN43696 pep chromosome:PHallii_v3.1:8:43976265:43981693:1 gene:PAHAL_8G257900 transcript:PAN43696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDAATVFLETTLGTRLVVSFPAGATTVADLKGRVSAEHAACFPRTGPIAVTSLQVKLDDSWFQLTDSMAVRAAFEWVKGPWRLLAEAHELHSHPLARKDAKCGTSDAEPSAGRPVISENSTHYLLPPAGSQGGGSLASGDGVSDTPQINQQDKPQEGDEHASGQGKDGTTMPQKSSDLYLAPGDSDTLLLDQEDKPQECVEHASGQVEDGITMPQESSDIDMAAGDSGTSLANQEDKPQECAEHASGQLEDGITMPQESSDIDLAAGDSGTPLANQEEKLQECAEHASGQLEDGITMPQEISDIDLAAGDSTEHASGQLEDGITMPQESSDSDLAAGDSTEHASGQLEDEIIMPQKTSDFDLLAGANDGPPMNQQDKSHDGVEHASVQSEDKPTMLQESSDFGAAACRGNGPVGGQQKDIIAEPRGKKRFREEDKTNESIIVNCGDDLSSLASSTCNAESSEKKSCVTEQAKLNSVPLPYDLKDHSHDLGENPSGGQKETSTSGVHNVESSNNEADIPLGVESMERGKSSDREVQIKRGDEEPQIAGCAGESSCRRTDDLHCVKSMKEDIKRPASNSRYLDKGKNEGAISNLSKERICFRRRHKRIVVRKVPISKAMKIYYFRC >PVH33783 pep chromosome:PHallii_v3.1:8:5231691:5231959:1 gene:PAHAL_8G064000 transcript:PVH33783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRHPSGSKKRKKRKCLDELTESQRGSIDKFFKPNTSASRNQDEWAIVAVEEQTNTNPEDQDPTDDNVGINTDDNNCR >PVH33416 pep chromosome:PHallii_v3.1:8:261304:262349:1 gene:PAHAL_8G001600 transcript:PVH33416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSYSIRPRYKKYNKNGPGA >PAN41237 pep chromosome:PHallii_v3.1:8:2022516:2026489:-1 gene:PAHAL_8G028500 transcript:PAN41237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVLMASAERLASSPAGGPPAPDAPPPQSSAPPPPEATSSSPPPPTPSPPQHPPPPPPPPPEKRSPPPPAPSGSNSPKSPFEQSLIKTPSSPPEKSSSTASPPPPASPPPSPPPTSQSPPPPSPSSPPPSPPPRSPPPPPESSMPPSSRQSPPESSTPPPPTSSGTAATPPPPQTATSGSGSKTPATPREAPSTPGTPPDTDAQPRPSQGTPSSATQILPPPMALTVIMPGSGTPAGVWTGPPGSAATPLPLGPPAGSSGSSLKTEELIGISVGGLILTLASLFIFLCFSNRRGRKRHNAVVPEHVVSTDANGTAAAASPASGASSYDLSGSKSWFTYDELTGITGGFAAANVIGEGGFGKVYMGALGDGRRVAVKQLKVGGGQGDKEFRAEVDIISRIHHRHLVTLAGCCVTENHRLLVYEFVPNKTLEHHLHGKGLPVMDWHKRMKIAIGAARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKLTNDSLTHISTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDASQPLGEESLVEWARTLLVDALDTGDFREIADPALEGRFPKAEMRRMVEAAAACVRHSAAKRPRMVQVWRSLDVDEGSSDLTNGVKLGQSTAYDSRQYSADIELFRRMAFAGHGDGDDDEDGLSTAEFEDGRRGAGSTARK >PVH33764 pep chromosome:PHallii_v3.1:8:4842909:4844359:1 gene:PAHAL_8G061100 transcript:PVH33764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRKGGKGRRAAPPGAGRASIDVLPEEVLHHVLSFLPAQEAVRTCVLARRWRHLWRSATGLRISCSSENEAASAKKLRDFVDHLFLLRGGSPLDTCQFNLLDMDADDDDMCRIRLWIRHVLMCRVRTGRFYLDGLPLVSQHLKRLELSSLHLNGSFVDFSSCPALEVIEIKECDILELDRISSQSLKSLSITRYCDFSESHRIHVYASNLVSLWLEVFYGRVPILNKMPSLVEAVVRIDAYAGDFCCHHADSGDCDGERCPGCYGVNGDTDCVLLQGLSKVQSLVLISDTRMFIFRRDLKCCPIFSNLKTLSLNEYWCVPDDFSALTCILEHSPVLEKLTLQLFCEGPKSNVQMKGSPDPTERSNVISEHLKVVEVKCEVVDDRVLDVLKFLNKLGICKL >PVH34200 pep chromosome:PHallii_v3.1:8:32120616:32121026:-1 gene:PAHAL_8G169700 transcript:PVH34200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLHDEGIKIIKQKLSQGEAKYRCFHMDHQGILWFNNRIVVPKNHQFRKQILDEAHLSKFSIHPGSTKMYQDLRQNFWWTRMKREIAKYVSECDTCQRVKASHLKVSGTLQPLPIPSWKWEDISMDFIVGLPNTS >PAN42893 pep chromosome:PHallii_v3.1:8:37913235:37920819:-1 gene:PAHAL_8G196100 transcript:PAN42893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPPPAPPAVEARFADLCKELGVGEGVAGEAAALLDEAKGALLGAPSVGGRSAKDAERLCFAFVLYCSVKLKGRKEGSGIRLCEILKGCKLKYDDFFKEMQQIGLKIEKILESRYGRDWEGQLELKQLESLVNLLADASRFYRKAYNELFSSSSTDQEPGSATNTPDYILFGWNLFLMLRSRSPELFKDLVSCIHGLVAVLAILLIHVPAKFRSFTIEGSSHLIKQTEKGVDIIASLCHNYHTSEERLKEMMDKSHKAIEDLFGMKTLSASKCKTENLDKIDTDGLMCFNGLIDEESFQLNLEKLEKLCNSDSWEGELDLNSFLSNDYVPSAEKSSGGSTNLGCSKRAFETLASPTKTIKNMLTVPCSPPSPVNGGAVKIVQMTPVTSAMTTAKWLREVISSLPEKPSSTLQQLLSSCDRNMTNDVTKRVSIVLEAIFPTKSAADRGGSIGLNCANAFDVPWAEARKMEASKLYYRVLEAICRAESQNNSVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLENTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMAWEKGSSLYNSLIVARPSLASEINRLGLLAEPMPSLDELVARQNVLVEGLPATPSKKRVAAPDDNTDPRSPKRLCNESRNTVVERNLQTPPPKQSHIVSANLKAKCHPLQSTFASPTVNSPVGGNDKCADITVQIFFSKILKLAAIRIRNLCERVQYVEQTERVYNVFKQILDQQTALFFNRHIDQIILCCLYGVAKVCQLELSFREILNNYKREPQCKPEVFLSIYVGTRNRNGILGSRHVDIITFYNEVFVPAAKPFLVSLIASGTRPEDKKNPNSQISGSPKPSPFPNLPDMSPKKVSSSHNVYVSPLRQTKMDLLLSPSSRSFYACIGEGTHAYQSPSKDLAAINSRLNYNGRRINTRINFDMVSDSVVAGSLGQPNGGSTSLDPAAAFSPASKKRKPDT >PVH34372 pep chromosome:PHallii_v3.1:8:39268721:39270851:-1 gene:PAHAL_8G207700 transcript:PVH34372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTMEIKTDTPILMAKPMPPAKQGRVLTVLSIDGGGIRGLIPATILARLEAQLQEEDGPDARIADYFDVVAGTSTGGLIAAMLTAPGKDNRSLFAAKDISQFYLENGPKIFPQKGGWVWVPGFVRNAVKMVRGGPKYDGEFLHEKINSLLRDIRVADTLSYVVVPAFDVNRMHPILFNSFEAEREAHKNPRLADVCIATSAAPTYLPAHSFATEGAGGEPHAFELVDGGVAANNPTMAAMSLLTKEMIRLRRQLEDEDLHLVNGDLVSGLTTTRKAVTDPTTTKSFENNPTTAAMTALIAMEKEKGKQTRMGRQDAEASVYRNILVLSVGTGIAKQAHKYTAADCNKWNLLNWLTNDGFNPLLDFFSNASADMVDIHAEVHFELLGCEKNYLRIQTETLEGDNALVDCTTEKNMAELIKIGNDLLKEKVVKVNKDTGVYEPVEGASTNEQALKELAGKLSEERRIRQATALK >PAN42668 pep chromosome:PHallii_v3.1:8:31338974:31342383:-1 gene:PAHAL_8G167700 transcript:PAN42668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYHMLFGATQPSSSTSNSYNFLAAGAGGGTSSLQLRDHHDRGQQQAGHSHRHSHSHSGPLFLAAELSNSSKQDGGVSPPAAAAGRGESSPGPAAAEADRPAGVAGKRKGEKKERRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDEAVVVTTYEGTHTHPIEKSNDNFEHILTQMQIYSGMGSNFSSSHSMFH >PVH34313 pep chromosome:PHallii_v3.1:8:37447552:37449027:-1 gene:PAHAL_8G192100 transcript:PVH34313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHGSELFTHEVFMEFQQELLVAREYCIFESMQTDGELRTIRVADNSKKVRVVQLNTWTMFASGSCMLFETHVIPCWHIIHALRSANIDELPSIYILKRFRKDCKKEVVLSPDGTLLEERNKNPVDPVLQRLISDTSNKIESLFIQAKNSLDAMQLLRDGVYALGDRITDMVPAKEQSGIEEFEGYPIPMQVDIHLPNDIRSKGRIKRIKGHANKGQQQNKNEQRKKKVLQP >PVH34164 pep chromosome:PHallii_v3.1:8:29309220:29310563:1 gene:PAHAL_8G159500 transcript:PVH34164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCSTTRSWPSAPSCPQLIRPSPPARSPASSRSPAPPSPSPSRPPQASSLPAKAARPPAARARPLNHAQALVGPHCAAPSPARFCSASARRGTSAGRTRSASPAATSQQTHSERQPWRKSRGAAECGCASPEAPGSSTPGSSKSSSREATPSTPPSGTQETRRRRGCCGGWSPARPSGCGCRRSPGASSSSSLPPHSGSKPPATGCSI >PAN42378 pep chromosome:PHallii_v3.1:8:19248955:19265677:1 gene:PAHAL_8G129500 transcript:PAN42378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPSNCPSPKLHTRLRLWEFADRYVFEPVDGLADLLLSVSRVNGSMNLIEELPQRGSSTNPKVQIVFGVIGVLKLAVGTYLLVITDRDCVGSYLGHAVFKVTGLRVLPCNSSPSASAEQKNVDTEFSELLDAAERTIGLYFSYDSNLTVTSQRLHELGDEFKSLPLWRQAEPRFLWNGYLLEPLIENKLHQYLLPVIQGSFQSIHAEVRSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKGFTASYVQIRGSMPFLWEQIVDLTYKPSFHVVRVEEARRVLERHFHDLQKKYGAVVAIDLVNTHGGEGRLYDRYAKSIEPILSEDIRFVHFDFHKICGHIHFERLSQLYDQIEDYLKKHKYFLLSDKGEKIEQQTGTARTNCVDCLDRTNVTQSMIGRKLLESQLQRIGVFGANDTVSNFPDFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHYISSVSRDMAAPVKAGLLENYASFRLAFALILVAVMFMIMSLRQARNDPRHLVLSLMWAGLCIGISRYVKTNGRMFCNRPRFHQSRH >PAN42377 pep chromosome:PHallii_v3.1:8:19248955:19265677:1 gene:PAHAL_8G129500 transcript:PAN42377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPSNCPSPKLHTRLRLWEFADRYVFEPVDGLADLLLSVSRVNGSMNLIEELPQRGSSTNPKVQIVFGVIGVLKLAVGTYLLVITDRDCVGSYLGHAVFKVTGLRVLPCNSSPSASAEQKNVDTEFSELLDAAERTIGLYFSYDSNLTVTSQRLHELGDEFKSLPLWRQAEPRFLWNGYLLEPLIENKLHQYLLPVIQGSFQSIHAEVRSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKGFTASYVQIRGSMPFLWEQIVDLTYKPSFHVVRVEEARRVLERHFHDLQKKYGAVVAIDLVNTHGGEGRLYDRYAKSIEPILSEDIRFVHFDFHKICGHIHFERLSQLYDQIEDYLKKHKYFLLSDKGEKIEQQTGTARTNCVDCLDRTNVTQSMIGRKLLESQLQRIGVFGANDTVSNFPDFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHYISSVSRDMAAPVKAGLLENYASFRLAFALILVAVMFMIMSLRQARNDPRHLVLSLMWAGLCIGISRYVKTNGRMFCNRPRFHQSRH >PVH33500 pep chromosome:PHallii_v3.1:8:995129:996845:1 gene:PAHAL_8G014300 transcript:PVH33500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRELNRKQQASNNPIRKQNRGLSSEPVRPFHCLRCCASTPDLMEAAAATTSSLVLLSSPRRSLTSLKTSSSLLRPTCNSCSVPSAKQQHPVCLDVPRLHSSKRSSTLRCSSSLADGRSTLGSSVRWVLDPAGDGDWRHIGYKVARPGAFEIASDAVTVGRVADKADIVLPVATVSGAHARLEKKDGRLLVTDLDSTNGTYINERRLNPGFPIPIDPGSLLIFGDIHLAMFRVRKMIVEVPSETDAAQQETKTEVASTAVEDTAS >PAN43510 pep chromosome:PHallii_v3.1:8:42659193:42664784:-1 gene:PAHAL_8G240900 transcript:PAN43510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDERYEGNGGPAPGDAGAHAQAEYGAAPPAAGAGSPPAAAKPTGFSDHADGRASQPQHETQLHDSGSSKSRERDRERDKGKDRERDRDRGRERERDRGRDKDRERGDRDRDRDRHHRDRRERSEKREHRDRSDDRDRHRDDRDRHRSHDSERRRDRERDGHRRHRSRSRSPSKSRDRDRRSRSRSRSRSKSKRVSGFDQAPPQPTMPIAAAGAVPGQLPGVTPPIPGMFPNLYNLGQINPLVIQPQAMTQQATRHARRVYVGGLPPTANEQTVAIFFNGVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGANQPRPEQDSVLIQAQQQVQMQKLVYQVGGALPTKVVCLTQVVTADELRDDEEYEDIVEDMREEGRKYGNLVKVVIPRPDPSGAPVAGVGKVFLEYADVEGSTKAKTGMHGRKFGGNQVVAVFYPEDKFAAEQYDG >PAN43511 pep chromosome:PHallii_v3.1:8:42659931:42664671:-1 gene:PAHAL_8G240900 transcript:PAN43511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDERYEGNGGPAPGDAGAHAQAEYGAAPPAAGAGSPPAAAKPTGFSDHADGRASQPQHETQLHDSGSSKSRERDRERDKGKDRERDRDRGRERERDRGRDKDRERGDRDRDRDRHHRDRRERSEKREHRDRSDDRDRHRDDRDRHRSHDSERRRDRERDGHRRHRSRSRSPSKSRDRDRRSRSRSRSRSKSKRVSGFDQAPPQPTMPIAAAGAVPGQLPGVTPPIPGMFPNLYNLGQINPLVIQPQAMTQQATRHARRVYVGGLPPTANEQTVAIFFNGVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGANQPRPEQDSVLIQAQQQVQMQKLVYQVGGALPTKVVCLTQVVTADELRDDEEYEDIVEDMREEGRKYVPHNAIAECFIVRPMQYWQSGLNLQKTHYSFEFD >PAN41005 pep chromosome:PHallii_v3.1:8:676569:677809:-1 gene:PAHAL_8G008800 transcript:PAN41005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETETVEGIPFPAEITAGSGNPLSLLANGITDIEIHFLQIKFNAIGIYLHNNDVLLGHLQSWKGKTADDLLGDDAFFQALVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAADRYDDDDEEALEKVADFFQAKYFKPASVITFHFPATPPAGAAAEISFATEGKDEAKMRVENENVAGMIQKWYLGGESAVSPTTVRSLADRFAALLSAA >PAN40947 pep chromosome:PHallii_v3.1:8:437392:437831:1 gene:PAHAL_8G004500 transcript:PAN40947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRYVALCLFLHGDPTLAETCRLFVKPYPFCFNAMCKATCYLEGKFSDGSYVKGYECQSNAFHSVCICYLCKN >PAN41159 pep chromosome:PHallii_v3.1:8:1463532:1466559:-1 gene:PAHAL_8G021300 transcript:PAN41159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITALDLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFQMNLRFLAADTLQKLIVLALLFLASRLASVLNLDWSITLFSLSTLPNTLVMGIPLLRGMYGADSAGTLMVQVVVLQCIIWYTLMLFLFEYRAARALVMDQFPDGAAASIVSFRVDSDVVSLAKGDLEADAQVADDGRVRVTVRKSTSSRSEAACSHSHSHSMSMQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIAGAKGAAADEEKGGGGGHSPQPHAQAAKRKDLHMFVWSSSASPVSERAGGGTVHVFGADHGDALAKGTQAYDEYGRDDFSRTKNGNGADKGGPTLSKLGSNSTAQLYPKDVGEGRPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNKLAAFAMAVRFLMGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >PVH34273 pep chromosome:PHallii_v3.1:8:35970165:35971590:1 gene:PAHAL_8G184300 transcript:PVH34273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGRKKGYVTEEQVRYVRNQRPTSSDLLRKYEYQYQQRLRREYEDEEYEHRTGKRLKKHEDARDHWLCPFFRYCWDSGMNRLPTVRDCPEYGSMKTEARESVFGQLGPAPTQQERVRSPRREDEEEDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLKKARPDLAEQVHYVQEKKPRASRKEWRPKSTKADKKVSADTHMVFVLPAEFHARTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLIE >PAN42454 pep chromosome:PHallii_v3.1:8:9321317:9325301:-1 gene:PAHAL_8G086400 transcript:PAN42454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSIHSRNPGMAALAAAALRLLAAVAVVAPALLAGPAAAGAPPFSCGPSSPSHALPFCNAKLPAAQRAADLVSRMTPAEKVSQLGDISPGVPRLGVPGYKWWNEALHGVAISGKGIHLDQGAVRSATSFPQVLLTAAAFNDNLWFRIGQATGKEARALYNIGQAEGLSMWSPNVNIFRDPRWGRGQETPGEDPAVASRYAAAFVRGIQGSASNTRSAPPAVLLTSACCKHATAYDLEDWKGVSRYSFKATVTAQDLADTFDPPFRSCVVEGRANCLMCAYTSVNGVPNCANADLLTKTFRNSWGLDGYVAADCDAVAIMRNSQFYRPTAEDTVAATLKAGLDIDCGPYIQQYATGAIQKGKLTQQDVDKALKNLFTTRMRLGHFDGDPKAGVYGNLGAAQICTPEHKNLALEAALDGIVLLKNGAGVLPLMRGAVASAAVIGHNANDFLALLGNYWGPPCAPTTPLQGIQGFVKNVKFLAGCNSAACNAAATPQAAALASSSDEVILFMGLSQQQESEGKDRTSLLLPGNQQSLITAVANAAKRPVILVLLTGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGEKNPSGKLPVTWYPEEFTKIPMTDMRMRAAGGYPGRTYRFYNGKTVYKFGHGLSYSKFSHRLVTGRKNPTHNTSLLAAGRTATTEDNRGYHVDHIRDEVCEQLKFPAVVKVTNHGPMDGKHTALMFLRWPNVTNGRPARQLVGFQSQHIKAGEKAHLRFEVSPCEHFSRVRRDGRKVIDKGSHFLEVGKHEVEISFGA >PAN43466 pep chromosome:PHallii_v3.1:8:42422687:42425937:1 gene:PAHAL_8G237900 transcript:PAN43466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEQMHMARSSGHRSPWSAAATALLLLLPLARGSHEHERQQHEQDRILGLPGQPNGVAFDMYGGYVTVDEQAGRALYYWFQEADRGTADPDAAPLLLWLNGGPGCSSVGSGALEELGAFRVHTDGQTLLLNEYAWNKAANVLFLESPAGVGFSYTNTTSDLVVGDDRTAHDSYTFLVKWFERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNIGVEKPSINFKGFMVGNGVTDDRIDTIGMFEYWWHHGLISDETLESGLKVCLDGSTLIKPSPECQKIMDKATEEQGNIDVYSIYTPPCKNGTPYARSRSRRRTLMLPPYDPCTAFYSTNYLNIPEVQRAMHANVTGSIEYPWALCSNPLYNNWTTVTPISMLPIYKELIGAGLKIWVFSGDTDTAVPLSSTRRSLAALGLPVKTSWYPWYMVPTEVGGWSMEYEGLTFVTVRGAGHEVPLHRPEQALVLFQHFLQGEPMPAEAKSAGVILLPSEKAQG >PVH34199 pep chromosome:PHallii_v3.1:8:31867393:31869011:1 gene:PAHAL_8G169100 transcript:PVH34199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPAGPTHSVLVAEELLCERLLHPPVHVVQEHVGVPLAAARARQGRRCPYRGHPPRRCREVPLRSVDHEHVPLGLVHLGRQVVQRQRARPVAAYAQGAVAAAGARQAGRAVLVGGEEAVGLVEARAVGDEVRAEERRLRAHDAVPRGVLLEEDGGAAGVERVPEPGVVAQAEHQQPQRCAAAEHGERQRDLVPRLLRHGLHCGVLHAGVGQRGHARLAVPGPGGRRDRRQARQRQGQRREQVRRQRRRHERGHQVERREQQRARAGEEEAAAAGRLHHRRAVSGARCSTRRDARVECPSVRTRVGKRERALGGGDWLLFLGRRETVCGCVRMCRLLLGLAFVGGRSDSL >PVH34263 pep chromosome:PHallii_v3.1:8:35197713:35198314:-1 gene:PAHAL_8G181700 transcript:PVH34263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCRGPRLRKISHLGALPGLTIKTPKSGSNQKKKFHAGKKEQYRIHLQEKQKLWFHYGLTERQLLRYVHIARKAKRSTGQVLLQLLEMCLDNILFRLVNGHIVDIPSFRCKPRDIIDNQRSKRLVQNSIASSDPGKLPKHLTVDTLQYKGLVKKILDRKWVGLKVNEFLVVEYYSCQTKF >PVH33708 pep chromosome:PHallii_v3.1:8:3930523:3935059:1 gene:PAHAL_8G051500 transcript:PVH33708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTNGAAAPEAVAEAALAPEVESDAFQCQVDDLVSKTDVLERRVNEVVDFYDGKKHGSGGRKGGRHGAYGRGMPDLMRQLGVILREITDDNDAWPFREPVDVVGLHLHDYYKIITKPMDFSTIQNKMEGKDVTTYKNVREIYADVRLIFANAMKYNDDENIVHLLAKSLLEKFEEKWRQFLPKVESEEKRQKEEESKGVLATNTSREAAIAKLAKDTDDELNQINKQLEELRKMVVHRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQDNPSFQTKAEEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKKQP >PVH34057 pep chromosome:PHallii_v3.1:8:18036239:18038264:-1 gene:PAHAL_8G125900 transcript:PVH34057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAKACRRRRRRGPRRSPRSPAQLVEDTVGEILLRLPPNDPASLVRASAVSKTWRRALADPTFPARYRAFHRTPPVLGVFWGDAVLVPTTSFRPPAADHSDCNELDCRHGRVLLENLDCGGLFVWDPITGDLRRLPEVPDIFSLVCNGAVLCAAGARCDHLACHGGAFLVALVGASIEGEMHACLYSSETGAWSAPTSALLDYIYIPPDYTATTSCITVDNVPAALVGDALYFIGDFGNEILRYDLVGENQNLAVVDPPDVETCYDGVVVMPEENGRLGFAFVKANSLHLWLMETGPDGYGRWEKRRVINLETLFPVFNLSPYLSGFVDAINCIVVTTDDAVFTIELKSLQTRKVCKTEKPYCSCLYASFYIPACAGG >PVH33781 pep chromosome:PHallii_v3.1:8:5200247:5200618:1 gene:PAHAL_8G063700 transcript:PVH33781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRDLGPAPTQCIRPTRQTQPPPPACAAPLEAACAAPLAAAGPGPWPSEDLSEAAAGPCPLRDSPLVRTIPAPGRANRVRSWPQELGCRPEATQSGVLGFRRPSPAWAAVRAWSRRRRPQAL >PAN42195 pep chromosome:PHallii_v3.1:8:15706412:15708180:-1 gene:PAHAL_8G112200 transcript:PAN42195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSRTIIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHNLGGAASLPDLVTALSLPQTKLPFLRRLMRLLITSGIFASESGADVETYRLNPLSWLLVEGVEAEDHTYQKYFVLATVSRHYLEAGLSLADWFKKDLPVPTPSPFEELHGAPLVHETTKLLDEELDRIVNEGVAAHDNLAIGTIIRECGDLFKGVRSLTDCGGGDGTTVRGIIKAFPDIKCTVLDLPKVIENAPAHDSVNYVAGDMFHSIPPAQAVLVKLLLHFFNDEDCVKILEQCRKAIPSKEEGGKVIIIEIVVDPSVGPIMYEAQLLMDMLMMVNIRGRQRDENDWRVIFMKAGFSDYKIVKKIGARGVIEVYP >PVH33963 pep chromosome:PHallii_v3.1:8:15396196:15397028:-1 gene:PAHAL_8G110100 transcript:PVH33963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PVH33761 pep chromosome:PHallii_v3.1:8:4757528:4758898:-1 gene:PAHAL_8G060500 transcript:PVH33761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQHVKVSGRCNRRRAPTVGIDTLPDEFLWRMPSFLPVREAAQTCVLGPRWRHLWRYMPVMRIVSGDGPLTRKGVKDMNRFVNIFMFLRDRGAPLELCELKIENLMYVKMNHISAYGSNRLCCLKLVLLQWKDPLKTWVLVLMTCLSYPGI >PVH33760 pep chromosome:PHallii_v3.1:8:4757527:4758899:-1 gene:PAHAL_8G060500 transcript:PVH33760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQHVKVSGRCNRRRAPTVGIDTLPDEFLWRMPSFLPVREAAQTCVLGPRWRHLWRYMPVMRIVSGDGPLTRKGVKDMNRFVNIFMFLRDRGAPLELCELKIENLMYVKMNHISAYGSNRLCCLKLVLLQWKDPLKTWVLVLMTCLSYPGI >PVH33901 pep chromosome:PHallii_v3.1:8:9792550:9793284:-1 gene:PAHAL_8G088900 transcript:PVH33901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTTMGFFPPAEGQGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEKESP >PVH33852 pep chromosome:PHallii_v3.1:8:7205491:7207265:-1 gene:PAHAL_8G079200 transcript:PVH33852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSQQSLASTLPMNLPATFMKEITSDFASERELGRSVFGIVYKGVLPETEGNRMIAVKRLAENSPVPAGKTFETEVTNLMALKHRNVVELVSFCHEAQKKVVQHNGRYVIVDVIESCLCYKYLPQGSLDKHLYADTTSINWDTRFKIIKGICQGLHFLHKELDGPLVHMNLVPSSIWLDDNWVPKIADFGLSRLFGQEQTRMYTINVKGYNGYMAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARKFVDNVHQNWKTNEQIIHKYPSLDPNGLQQVNACIVIGLKCVEADRNKRPSIVDIVDKLNGKRVPIFDQASTSQQP >PVH33851 pep chromosome:PHallii_v3.1:8:7203394:7207819:-1 gene:PAHAL_8G079200 transcript:PVH33851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVKRLAENSPVPAGKTFETEVTNLMALKHRNVVELVSFCHEAQKKVVQHNGRYVIVDVIESCLCYKYLPQGSLDKHLYADTTSINWDTRFKIIKGICQGLHFLHKELDGPLVHMNLVPSSIWLDDNWVPKIADFGLSRLFGQEQTRMYTINVKGYNGYMAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARKFVDNVHQNWKTNEQIIHKYPSLDPNGLQQVNACIVIGLKCVEADRNKRPSIVDIVDKLNGKRVPIFDQASTSQQP >PAN41923 pep chromosome:PHallii_v3.1:8:7205491:7207265:-1 gene:PAHAL_8G079200 transcript:PAN41923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSQQSLASTLPMNLPATFMKEITSDFASERELGRSVFGIVYKGVLPETEGNRMIAVKRLAENSPVPAGKTFETEVTNLMALKHRNVVELVSFCHEAQKKVVQHNGRYVIVDVIESCLCYKYLPQGSLDKHLYADTTSINWDTRFKIIKGICQGLHFLHKELDGPLVHMNLVPSSIWLDDNWVPKIADFGLSRLFGQEQTRMYTINVKGYNGYMAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARKFVDNVHQNWKTNEQIIHKYPSLDPNGLQQVNACIVIGLKCVEADRNKRPSIVDIVDKLNGKRVPIFDQASTSQQP >PAN41925 pep chromosome:PHallii_v3.1:8:7203394:7207353:-1 gene:PAHAL_8G079200 transcript:PAN41925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVKRLAENSPVPAGKTFETEVTNLMALKHRNVVELVSFCHEAQKKVVQHNGRYVIVDVIESCLCYKYLPQGSLDKHLYADTTSINWDTRFKIIKGICQGLHFLHKELDGPLVHMNLVPSSIWLDDNWVPKIADFGLSRLFGQEQTRMYTINVKGYNGYMAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARKFVDNVHQNWKTNEQIIHKYPSLDPNGLQQVNACIVIGLKCVEADRNKRPSIVDIVDKLNGKRVPIFDQASTSQQP >PAN41926 pep chromosome:PHallii_v3.1:8:7205491:7207265:-1 gene:PAHAL_8G079200 transcript:PAN41926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSQQSLASTLPMNLPATFMKEITSDFASERELGRSVFGIVYKGVLPETEGNRMIAVKRLAENSPVPAGKTFETEVTNLMALKHRNVVELVSFCHEAQKKVVQHNGRYVIVDVIESCLCYKYLPQGSLDKHLYADTTSINWDTRFKIIKGICQGLHFLHKELDGPLVHMNLVPSSIWLDDNWVPKIADFGLSRLFGQEQTRMYTINVKGYNGYMAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARKFVDNVHQNWKTNEQIIHKYPSLDPNGLQQVNACIVIGLKCVEADRNKRPSIVDIVDKLNGKRVPIFDQASTSQQP >PVH33853 pep chromosome:PHallii_v3.1:8:7203394:7207819:-1 gene:PAHAL_8G079200 transcript:PVH33853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVKRLAENSPVPAGKTFETEVTNLMALKHRNVVELVSFCHEAQKKVVQHNGRYVIVDVIESCLCYKYLPQGSLDKHLYADTTSINWDTRFKIIKGICQGLHFLHKELDGPLVHMNLVPSSIWLDDNWVPKIADFGLSRLFGQEQTRMYTINVKGYNGYMAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARKFVDNVHQNWKTNEQIIHKYPSLDPNGLQQVNACIVIGLKCVEADRNKRPSIVDIVDKLNGKRVPIFDQASTSQQP >PAN43398 pep chromosome:PHallii_v3.1:8:41956565:41960101:1 gene:PAHAL_8G233400 transcript:PAN43398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPLYGGSADDSAEDYSAAATVFRFDPPLPLLRAPVPSAASAPGEPPVLAFRDAASWRAAWDAAEANLVSQCEAGARSGCSITASRKCKPPWWKGLFGGAPTDYEERERCEEREMAACLEAAKEACITFAKGKCIAPFRDANIASDGLLENTDFDVWSGGSGKASSTSPAVLNNQHSFSPGPGVTSYKGSDLLDSLSSENKDNSG >PAN41196 pep chromosome:PHallii_v3.1:8:1816022:1819159:1 gene:PAHAL_8G025500 transcript:PAN41196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPLGSPGARPAGAAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVAAANTPRSPFLTHHYLPINAPSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDEGAPGAGGEAGGSDGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAVAGDARKGNSGRGSPSNLYFPNGFP >PAN41716 pep chromosome:PHallii_v3.1:8:5396879:5397575:-1 gene:PAHAL_8G065700 transcript:PAN41716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAKIALLFLLVCATISLDPVAANGPCTEQQKANIVFHCREYIKKKGPGTAPSYLDECCVAVRAVPGRDMECIVRLLSNKQKKKYDETKIRRFHHLCELENVPPPPHRQVMM >PAN41718 pep chromosome:PHallii_v3.1:8:5403896:5404231:-1 gene:PAHAL_8G065900 transcript:PAN41718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPVAMRNAFVACCHERTAHQVAVVVGLWHYALLYCSIQFKLYLKFMSLCEKPNCRIVFAFTHPKVGTKLNEVPLPSLKHLLMVICVDLVCVMDTTHKLTKNTWQFWLV >PAN42097 pep chromosome:PHallii_v3.1:8:13438414:13452176:-1 gene:PAHAL_8G100600 transcript:PAN42097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIDELDKLLELLQIPPEYEASLTGGSFDEGNMIKNIEACDWLTIAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNDKANFSQRGHLQRPDHADMRNKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITVFIPLLVDESSFLAHFMCFEVSTVSQSDANVEATTTSSVTLEASSSIGKTSNNPAELGVLNECLRELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVQLLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFIKLISKIYPDEKVTSVNEMRDILASL >PAN42093 pep chromosome:PHallii_v3.1:8:13437109:13456259:-1 gene:PAHAL_8G100600 transcript:PAN42093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSADDMELKRGCEAGILGKGDRDKVVMAMRVAKGRGGWGKAGKLTSRHMAKPRVLAITTKQKGQKTKGFMRVLKYSNGGVLEPAKVYKIKHLHKIEVVQNDPSGCTFLLGFDNLRSQSVAPPQWTMRNKEDRNRLLMCILNICKEHLGSIPKVVGMDVVEMAIWAKENTTTKVTQVTNKDGPVESVVLEAESNVTVEKDLVSQAEEEDIEALLNNYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIDELDKLLELLQIPPEYEASLTGGSFDEGNMIKNIEACDWLTIAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNDKANFSQRGHLQRPDHADMRNKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITVFIPLLVDESSFLAHFMCFEVSTVSQSDANVEATTTSSVTLEASSSIGKTSNNPAELGVLNECLRELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVQLLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFIKLISKIYPDEKVTSVNEMRDILASL >PAN42104 pep chromosome:PHallii_v3.1:8:13436008:13443276:-1 gene:PAHAL_8G100600 transcript:PAN42104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDKANFSQRGHLQRPDHADMRNKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITVFIPLLVDESSFLAHFMCFEVSTVSQSDANVEATTTSSVTLEASSSIGKTSNNPAELGVLNECLRELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVQLLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFIKLISKIYPDEKVTSVNEMRDILASL >PAN42098 pep chromosome:PHallii_v3.1:8:13438414:13452176:-1 gene:PAHAL_8G100600 transcript:PAN42098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIDELDKLLELLQIPPEYEASLTGGSFDEGNMIKNIEACDWLTIAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNDKANFSQRGHLQRPDHADMRNKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITVFIPLLVDESSFLAHFMCFEVSTVSQSDANVEATTTSSVTLEASSSIGKTSNNPAELGVLNECLRELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVQLLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFIKLISKIYPDEKVTSVNEMRDILASL >PAN42092 pep chromosome:PHallii_v3.1:8:13438414:13456070:-1 gene:PAHAL_8G100600 transcript:PAN42092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSADDMELKRGCEAGILGKGDRDKVVMAMRVAKGRGGWGKAGKLTSRHMAKPRVLAITTKQKGQKTKGFMRVLKYSNGGVLEPAKVYKIKHLHKIEVVQNDPSGCTFLLGFDNLRSQSVAPPQWTMRNKEDRNRLLMCILNICKEHLGSIPKVVGMDVVEMAIWAKENTTTKVTQVTNKDGPVESVVLEAESNVTVEKDLVSQAEEEDIEALLNNYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIDELDKLLELLQIPPEYEASLTGGSFDEGNMIKNIEACDWLTIAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNDKANFSQRGHLQRPDHADMRNKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITVFIPLLVDESSFLAHFMCFEVSTVSQSDANVEATTTSSVTLEASSSIGKTSNNPAELGVLNECLRELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVQLLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFIKLISKIYPDEKVTSVNEMRDILASL >PAN42094 pep chromosome:PHallii_v3.1:8:13436113:13456259:-1 gene:PAHAL_8G100600 transcript:PAN42094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSADDMELKRGCEAGILGKGDRDKVVMAMRVAKGRGGWGKAGKLTSRHMAKPRVLAITTKQKGQKTKGFMRVLKYSNGGVLEPAKVYKIKHLHKIEVVQNDPSGCTFLLGFDNLRSQSVAPPQWTMRNKEDRNRLLMCILNICKEHLGSIPKVVGMDVVEMAIWAKENTTTKVTQVTNKDGPVESVVLEAESNVTVEKDLVSQAEEEDIEALLNNYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIDELDKLLELLQIPPEYEASLTGGSFDEGNMIKNIEACDWLTIAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNDKANFSQRGHLQRPDHADMRNKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITVFIPLLVDESSFLAHFMCFEVSTVSQSDANVEATTTSSVTLEASSSIGKTSNNPAELGVLNECLRELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVQLLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFIKLISKIYPDEKVTSVNEMRDILASL >PAN42103 pep chromosome:PHallii_v3.1:8:13436008:13453320:-1 gene:PAHAL_8G100600 transcript:PAN42103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIDELDKLLELLQIPPEYEASLTGGSFDEGNMIKNIEACDWLTIAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNDKANFSQRGHLQRPDHADMRNKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITVFIPLLVDESSFLAHFMCFEVSTVSQSDANVEATTTSSVTLEASSSIGKTSNNPAELGVLNECLRELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVQLLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFIKLISKIYPDEKVTSVNEMRDILASL >PVH34280 pep chromosome:PHallii_v3.1:8:36314014:36315760:1 gene:PAHAL_8G185500 transcript:PVH34280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPFAQLVLPSVVLLIPLYLYIKSRRSKNSLFPADWPILGVLPFIAANRHCFHDTITSILAATNLNFRAYGPPGTNMRFFLTCDPDNVRHIFTKNFANYPKGDEFASVFGLLEGTIFTADGEAWRQQRARIHHVLTRPRLLGSTSRGCRDKVARGLIPLLSRMALAGTPFDIEDMLGRLVFDMTVMLVFGEDPCCLSTSKPPMPVATAMDALMEVAFFRHAVPTLCWKVMRRLRIGPERSVDAAEAVLRSFVAGEIRRRMAAGQQTDGEVAAVDILSHYIDDPDFFDDAGREPTDFLLKTFINFMVALRDPVGAALPWVLYNLATHPRVVSGVREELVPVVSRASSAAGMVVFEPEELKDLVYLRAALFESLRLFPSGPIERKAVIADDVLPSGHKVHAGETVLVPVYSMGRMESVWGGDCREYRPERWLSEDGARLRFVPSYKFLSFNTGPRSCLGKNIAMAQMMSVAAAVAWNFDVEVAEGHAVEQKLSVVLQMKNGLMVKVKKTGECV >PAN42184 pep chromosome:PHallii_v3.1:8:16664844:16665907:1 gene:PAHAL_8G116800 transcript:PAN42184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVNQNIRQVLLVLATVAVVAHGATTTHLQFYMHDMVTPSAGSLATAVRSVRGPTPAPGNPINRFGDMYVIDDALTEGPDAASRAVGRAQGFYLMASRSADQLLLSANVVFTAGRHNGSSIALMGRDAILDEVRELPVVGGTGGFRGAAGYGLIRTHSFNASNNNAVLKVDMYLSV >PVH34203 pep chromosome:PHallii_v3.1:8:32561020:32561949:-1 gene:PAHAL_8G170800 transcript:PVH34203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMKIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPTEIAAYHIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETVRIITRFMDVQHHYQILLRHGMNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPIHPAIPIMPEEEEEDPEEIEGVSEIDSEHGDLVLSPYHSPSGSQSSIGNFDDF >PVH34659 pep chromosome:PHallii_v3.1:8:44858742:44860550:-1 gene:PAHAL_8G268700 transcript:PVH34659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVCRLHPGVAVTGFCPACLRDRLAGLQPPSSADLRRCKSFSYARSAAAMEPQRRSCDLFRHQQPIVAAVPEEHLDYYQYQDEAAARPHEQDASRKSLGGLLGRKLQQWRRKNKKEPEMPSRQRHRPSCDADPLSGPVPSLGRLPATLLSLSVEEDDDMAPPPVPRSDGQIPVEEDYYYGDGYGNAAAAVPGGTAQTRDYYLDSSSSSRRRRSVDRSTTSGRNSFSDANHGGELPATSRATTATNANGNARVSPAIAAERLYHHHYHHEAQSVLVHHQYCRDDDFSGSFGSARHPRQNPASKPPPNKKGIRGWSIWGLLIHKKSSRKQQQQQPEGPFFSSEAAAAGEYPWPELRARGYNGQMLRCNSSMSARSSFSGGVAAMIGGSGRRSISGADMRDVVRDGVERRTRRDEVLLERTRSSSSYSRSGHGLDPNIPRRSSSKHELNSMHRRSTLGL >PAN41514 pep chromosome:PHallii_v3.1:8:3790348:3791772:1 gene:PAHAL_8G049500 transcript:PAN41514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGVTTTVVLFLALVAEAHAVHGAGRRKEEAADPTHLQFYFHDTVSGKSPTAVRVVEPPPAASSSPMSMFGMVNVMDDPLTEGPEQGSAPVGRAQGLYMGSDQARLGFLQAMNLVLTSGPYNGSTLALLGRNCPLDAVRELPIVGGTGAFRFARGYALLRTHWLDVRTGDATVEYDVYVMH >PAN43767 pep chromosome:PHallii_v3.1:8:36902930:36903346:1 gene:PAHAL_8G188900 transcript:PAN43767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCLVDLGSGRGFDTVPQPSLPHLTSPDLQLSDLRCDAVASRQRPHQLGQSLQETQEALPPYPSADALDIDVDAVLGGVRHFHDLLHPRTDLVVLVSVVTSGVRDMHEQAAHALHLVLDEVHVAADAAKQLCLLGKG >PVH33559 pep chromosome:PHallii_v3.1:8:1538213:1540672:-1 gene:PAHAL_8G022700 transcript:PVH33559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASPHGEHFAEVVVVRHGETSWNASRVIQGQMDPELNETGRKQTIMLARRLSKEAMPAAVYSSDLKRAAETAKTIAAACDVSNLVFDQALRERHMGDLHGQKFDDAVKSKPEAYKAFSSGDRNQEIPGGGESLGQLSERCVSCLNAIAAKHKGERVIVVSHGATIEEICRHADPTSSARRGIPNTSISVIHISADSRHWILEKVGDAAHLNVNKDGFLQSAFGGDGASA >PVH33824 pep chromosome:PHallii_v3.1:8:6352640:6354079:1 gene:PAHAL_8G072600 transcript:PVH33824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPTPTTLARKPRPPMSRLMRLSLKVVDRVADATRRADGTLNRCALSLLDPRVPAISSPCRGVASRDVVLDRASRLRARLFHPAAATAKASAAGLPVIVFFHGGGFAFLSAASPAYDAACRRIARYASVAVLSVDYRRAPEHRFPAPYDDGLAALRFLDDPKNHPLPLDVTRCYVAGDSAGGNIAHHVARRYALDPSSFRNVRLAGLVAIQPFFGGEERTDSELRLDGAAPIVSIDRADWMWRAFLPAGADRTHEAANFAHPAAAAGLESPAFPPVLLAIGGFDPLQDWQRRYAETLKGMGKDVRVAEYPDAIHAFYVFPVFDDARDFIIRMAQFVAESAPAAAAAASDQP >PVH33880 pep chromosome:PHallii_v3.1:8:8427556:8430989:-1 gene:PAHAL_8G083400 transcript:PVH33880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHHKMPPGHGKVGVKNLKRTHLSEPPPLHPYEQKRLMQCMQNNARLQELGIYALSRELEEASSISHKKNKPSHKNTENFESEYDPSSQDDTDDDDNAKGSKQRNTKTACKSVGAINLRSKRVLAELECTRNTRSKKHTAQADATLAPSVNIDGHNQATFGAGGPAHLDENTLVADEGHVVAPLGGQNYACNEDNGHVQADGNMTNADEVDDDNNQMLNEDVQLGENDRWERGANMGHGLYRINRALRGKLQVVIPEGKIRPMAPLVAAKFATECNIAVRNHVPVLKHWKEYKKKQGLFKVFTGRLSAKFDINTSDASVQNGCNQMMKNAVRQQRHRLKKKYFNPFPLHLVPKTSPIRSMTDQEWNELVEYWKTPKGMEISQKNKENRTHVIYHQTTGSRSYQVHVENLGDKYNDQEPDALDLFKECHYSKKKKCYSSNVQQAITQMENKLSTPAECEEQMSVTKVVADVLAENTRKNLFLQNVGIQNSCPRSSVRNIAAQLEAEKGANTDLRSVVNTQREQLDLLSKQMQEREELRVREQGEMKKRQAEMEADMKKLQLLLSKIQPS >PVH34055 pep chromosome:PHallii_v3.1:8:17986549:17988160:-1 gene:PAHAL_8G125600 transcript:PVH34055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLAQFLRKFALVFFDDILIYISTLLDHVKHLRSVLEVLRHNKLFAKMSKCSFAQQEIEYLGHIISKEGVATDPQKLDIIKQWPSPNTITQLRAFLGLTGYYRRFVKCYGVICRPLYDAQKKDAFTWFDQQEKAFQLLKQAMLQPPVLALPDFSLPFVLEADASGQGIGTVLMQQGKPIAFYSKTLCKKAATMSTYDKEAVAILEALKKWKHYLASSSVIIRTDQQSLKYIHEQRLIDGIQHKLLIKLLGFNYVVEYKVADALCRLPVPDMAWTHISMDFVEGLPKSNKDVILVVVDRFTNTAYHPETNGQTERVNQCLQHFLPHIIKFDSLSSFVWISPSISG >PAN42778 pep chromosome:PHallii_v3.1:8:36563424:36564954:-1 gene:PAHAL_8G186900 transcript:PAN42778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHPIISGAPILLEPGFKFRPTDEDVVVHYLRPRAMNAPLPSGFIVDVDLLSHNPWELVPEGSAEKYYFSRRVTRWPRGNRRKRAAGDGHWKASGKDVPIFSKGINGRVPLMVGLKKTMVFYRGKAPFGENTEWVMEEYRLAEAGLMPGRVMRPREGGNFGKCGCAAAVIAKKNDELSEALRNAIANLNKVPVLVNPDDSWVVCHIYKKKKKCAMPRVVAQSYNIAGGGQVPFFDFIGQGNPERTASSSSSLTGPPSEKENDDTGGSTNEKACSGEGK >PAN43297 pep chromosome:PHallii_v3.1:8:41341482:41345548:1 gene:PAHAL_8G227300 transcript:PAN43297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDILSCKLRSLHLNSPLLPSPPSPSRSVPTSRRLRRRRHAPLRCRLTTSSSPSTSTTTTTEEEDAKSQDLSSLLSSSSSDPSAAAAGKKKRSGGNSGASSIPSGVRLEGISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEPDGGTVIKAKENMKIAFLSQEFEVSASRTVREEFFSAFEEEMEVKRRLEQVQTALEGATEDLDLMGRLLDELDLLQRRSQDVDLDMVDVKVQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQDVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYILAKAIAVEAQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAIKNLQFGFEDKTLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKPQDGEVLLGDHNVLPNYFEQNQAEALDLEKTVLDTVADAAEDWKLDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFIKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKAVRKKQKVQAFQQSKQKSKSLKNAKRWK >PAN42705 pep chromosome:PHallii_v3.1:8:35874327:35876004:1 gene:PAHAL_8G183600 transcript:PAN42705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFINNSCVRLRDSDHTNSLLPIIARLLQISLKFVTRFKCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGTAELTVPPFLDRTVLRARDPPVHTFPHHEFAEIPDVSDTAALYGSQELLYRSFCFDPDRLERVRALALAGGDLGRCTTFEALSGLVWRARTKALGLAPEQRTKLLFAVDGRRRFVPPLPRGYFGNGIVLTNAIATAGELLSAPVSRAAGLVQDAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDEI >PAN42706 pep chromosome:PHallii_v3.1:8:35872633:35876004:1 gene:PAHAL_8G183600 transcript:PAN42706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEMKENGVAAAAGAGEKAAPQLSVKRGEPTLVPPAEPTPTGEQYYLSNLDQNIAVIVQTVYCYKPSGGAAGDGGKDDVAAALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGAVFVEADACCDLADVGDLTKPDPAALGQLVYSVPGAKHILEMPPMTAQVTRFKCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGTAELTVPPFLDRTVLRARDPPVHTFPHHEFAEIPDVSDTAALYGSQELLYRSFCFDPDRLERVRALALAGGDLGRCTTFEALSGLVWRARTKALGLAPEQRTKLLFAVDGRRRFVPPLPRGYFGNGIVLTNAIATAGELLSAPVSRAAGLVQDAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDEI >PAN42879 pep chromosome:PHallii_v3.1:8:37687320:37692915:-1 gene:PAHAL_8G194500 transcript:PAN42879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQASPLPSPPDAAVAQPCSAPGHGGNGRHGGAATSIAVGDRPNDSGARPPPPPEAGKLRREQRADGPACVLAAGTANPANCIPQDEFADWYFRVTKSDHLTHLKDAMKKACEQVGVKKRHFQVTEDLLRAHPEILDPALPSIDARLHAVAAALPELAAAAAAKAIADWGRPAGDITHLVVSTSSGAQMPGIDVRVASLLGLRPTVRRTMIYFQACTGGAGALRVAKDAAENNRGARVLAVCADVLSAMAFHAPDEARPEGPAAHAIFGDGAGAVVVGADPRAADERPVFQMVSASQATIPGTELLVTGDFGAAGVEYNLATPEVPVLVAENIEGVLAAAVAPLGLASGGWNSLFWVVHPGSHLIMNSYEKVLRLEPGKLAVSRRVLTEYGNMIGPTVIFVLDEVVRRRRLDGEGEGKGCEWGLLVGLGPGFTAEVIVLRACK >PAN42580 pep chromosome:PHallii_v3.1:8:31781011:31782367:1 gene:PAHAL_8G168400 transcript:PAN42580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKVHPSPSLPAAATLAAGGGGAGGEVTAEAVLMRLLPAAVLAAAAPLGAEGKEVLAYLVLASLRSSAPPAPAGAGEEARARGHRPELGCGCFGCYTAYWSRWNGSPEADREAIHRAIEAFEEHLAKEEREGGGKGGGRRGRKKRAAKDTAAAKDAPKEKAGKGKGKGKGKEVAVDPLPLPPPPPPAAASPAPEEAPKAEDGAEYLTAEEEKEPEDAAAGEEEKRRRGWGGVLNWRSWGLWGSH >PAN41833 pep chromosome:PHallii_v3.1:8:6340746:6343253:1 gene:PAHAL_8G072500 transcript:PAN41833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAADPAPAVEAAAKGRVAPPMPWRTRLAVLAAGYVTDATRRADGTVNRRLLGVLDKGVAASATPRNGVASRDVVIDPAVPLRARLFHPAAGGAEDRRPLPVIVFFHGGGFAYLSAASPAYDAACRRIARHAGAAVLSVDYRRAPEHRFPAAYDDGLAALRFLDDPANTARDPPLDPSRCFLTGDSAGGNIAHHVARRYALDPSAFAAVRLAGLVAIQPFFGGEERTPAELRLDGAPIVSVPRTDWMWRAFLPPGADRTHEACSPDAAVAGIESAPAFPPATVVVGGYDPLQDWQRRYCDALRAKGKEVRLLEYPGAIHAFYVFPEFADAKDLMLRIKDIVAGSE >PVH33511 pep chromosome:PHallii_v3.1:8:1092021:1093240:-1 gene:PAHAL_8G015700 transcript:PVH33511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSGPVQGDGGLPEQGDGVRRAGAAPVVGSLQPHRGCRRPRRQLVLGVVIVVVAAAGFGLRLRLRLRLRLRRDDDEHLQSHVAAAAGIWLVLSSVHKRQTRESTYMDRLIDGQHQKDAFLLSHQPEE >PVH34646 pep chromosome:PHallii_v3.1:8:44742655:44746337:1 gene:PAHAL_8G266700 transcript:PVH34646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALPPVLPSFSSTMDVVEPPPQSPSIFLDLPPTPYDGSGGVPASEQQQEEDVALEHISRMLMGEEEDRDGRFSCHEDHPALLHIQQSLAQIISSSSSSDNATTNSDIWYAAIEAAGGADHSCISSTDLLTMAFLRGREEASKLLPRDDRLVISPEPDTLCATNGLRVRLPETEDAETGRASKKLMAMAAPAEEMIARMMASDCELPREEMEDLRAAMADEAATRDARRRRRKQQQVDMRTLLVHCAQAVDDRRGARELLRQVKQHASPTGDATQRLAHCFAEALEARLAGAGTGRSSLMATNRAEFLKAYRLFMATCCFEKVAFMFANLTICRAAAGRSRLHVVDYGLHLGFQWPDLLRWLAARDGGPPEVTITFIDHPQPGFRPARRIEETGRSLSNYARDIGVPFKFRAIAAARWDTIGVEELGLGLGVDDPGAVLVVNSLFKLETLVDDSVVVDSPNPRDRVLGGIRGMRPAVFTHGVVNGFYGNSFLTRFREALFYYSAVFDLLDATMPRGSEQRRVLERDVLGPCALNVIACEGRDRTDRFDSYKQWQLRSRRAGLRQLPLDREIVGEVRDEVRKHHYHRDFVIDEDHGWLLQGWKGRILYAHSNWVADDDHHTL >PVH34555 pep chromosome:PHallii_v3.1:8:43111735:43114906:1 gene:PAHAL_8G247100 transcript:PVH34555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKKAKRGAAVADADADETMPSADGEREQAPTPEPAANGDSAPPPAAPNGTAAAAGDADAAGRDSSPERDGGEAERRLQLLNAMLATRAKEERSRAALLEARLGELEADAAALAAGERGVLLAALAAPLRDAEEERAVLGARLAAAREALGRAEAEAAREARARAEAAARLQAAAAEKGSLSERLGAKEAEAAAAAEKAAGLEAECSELRAERGRLEEQLEAARASARAVQSQKAELESSFNEFKSNAEEAKRNAEKAKQGMEEKLQEMLRELEALLSRKAEMEVKVGSLEAALSAAIARNSELESEVDEMKMEMPAARKEVERLQAEVAEAVEKHSAAVAEAARLRSEIDKVVKANEAAAEAHDTDKRRLEAELEALKGEVARIQAEKDASLDMVHAKDAEVGELRDELKKLHGSMADLRTLCNDLEGKSSSLQDERDSVLKALETQKAEAEELRLTLEELDAKDAEVGVLRDQLKKLHGSMADLRTLCNDLEGKSSSLQDERDSVLKALETQKAEAEELRLTLGELDAKDAEVRVLRDELKKLHGSMADLRTRCNDLEGKSSSLQDERDSVLKALETQKAEAEELRLTLGELDAKDAEVRVLRDELKKLQGSMADLRTLCNDLEGKSSSLQDERDSVLKALETQKAEAEELRLTLGELDAKDAEVGVLRDELKKLHGSMADLRTLCNELEGQSSSLQDERDSVLKALETQKAEAEELRLTLGKLDAKDAEVRVLRDELKKLHGSMADLRTLCNDLEGKSSSLQDERDSVLKALETQKAEAEELRLTLGELDVCNGEKDREIRTLKAEVEGKGFEISDLNGELEQLQLAVAEAQRRGKNEVWRWLGPATTTVLAAASFVYAARSR >PVH33916 pep chromosome:PHallii_v3.1:8:11164354:11166349:1 gene:PAHAL_8G093200 transcript:PVH33916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGRKNFSYSFFLSSYSSLLYPSSSNSFYSTKEFSIIIRISKSANCSLIAPAPIAISRFQNVAKPGVEKREKYRGFLVLGLAKEKSPYTRPSNFLRGLSKRFAI >PAN42862 pep chromosome:PHallii_v3.1:8:37515331:37517603:1 gene:PAHAL_8G193100 transcript:PAN42862 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MSAAATSRGAPSPSPASGPGGGDGEISYVSYGGEQHLPLVMSLVDAELSEPYSIFTYRYFVYLWPQLTFLAFDAKEGKCVGTVVCKMGEHRGTFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGLPPMMIGDERVDQQIDSPYL >PAN43092 pep chromosome:PHallii_v3.1:8:39461590:39466551:1 gene:PAHAL_8G210100 transcript:PAN43092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MAEMFTVKVGEAAPAGGGRPAAGPVYRSVYAKDGLMELPQDVQSPWDFFSGAVKKYPKNRMLGQRQVTDGKAGEYVWQTYEEVYQKVMRIESAIRSFGVNPGSHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIKSILAVVPKCTAHLRAIVSFGDFTSEMKKEAEKFGVSCFSWEEFSSMGKQNYEHPKKQKDDICTIMYTSGTTGDPKGVIITNRAIIAGVMTTEHLLKETDKVITEEDSYFSYLPLAHIFDQVIENYCISKGASIGFWQGDIRYLMEDVQVMKPTIFCGVPRVYDRIYTGINLKIQSGGMLAKHLFQYAYNYKLANMRKGLKQHEASPFFDKIVFSKIKEGLGGRIRLMIAGAAPLPGQIEEFMRVTSCSVFVQGYGLTESCAGCFTSIANVFSMIGTVGPPVTTIEARLESVPEMGYDALSETPRGEICLRGHTLFSGYYKRPSLTEEVFSDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVEVVESAYMQSPLVASVWVYGNSFESFLVAVVVPERQALEDWAATNNKAGDFAELCNDPKARGYIQDELNKTGKKLGLRGFEMLKAVHLEPVPFSIEKDLITPTFKLKRPQLLKYYKDHIDQMYKDAKDARTAL >PVH34399 pep chromosome:PHallii_v3.1:8:39820462:39824844:1 gene:PAHAL_8G213100 transcript:PVH34399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQCFKKWRSTLQNGDSKERLIYEKSLSRSSNQAKDDVLQYLIDSRYRDGRPTTESEVTGMIIGLILAGKQTSSATSTWTGARVLSHSTCLAAVLEEQKQIFKKYGDKFDYNILLEMDTLHRCIKEVLRMHPPTPSLFRKVHKSFVVRTKEGAEYEIPKGHIIASPMLFNNNMPNIFKDPDVYDPARFGPGREEDKVGGKFSFTVFGGGRHSCLGEAYAYMQIKVIWSHLLRNFELKLVSPFPEMDWSKIMPVPKGKVMVTYKRRSLAST >PAN41894 pep chromosome:PHallii_v3.1:8:7044954:7045463:1 gene:PAHAL_8G077900 transcript:PAN41894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYFVGHPTAYTAAEPGTRQEPQVNGGAEKKPVSTQAPGTDGYFVGHPVTLEGKQPAPAPPPPPPPPPARNNGSGFLAKWTSCLFGDGASAEQ >PVH33769 pep chromosome:PHallii_v3.1:8:4917424:4917948:-1 gene:PAHAL_8G061900 transcript:PVH33769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTNALCIPTMVAAAAAPRCRRSLIVARASPAKHDERQDPAVKVDAAGGRRRAMVLFSAAAITASTAAAVRSARAGVSTKTVPGQWVDIENLADPYVQDLGKWAVMEHNSQTGEDLQFGKVVGGKQQVVAGMNYKLEIETKRGPSRFYEAGLFVSLPPEKRTLNSFEPLAG >PVH33740 pep chromosome:PHallii_v3.1:8:4462082:4474559:1 gene:PAHAL_8G056600 transcript:PVH33740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLVDLLSLLSCF >PAN41620 pep chromosome:PHallii_v3.1:8:4462082:4474559:1 gene:PAHAL_8G056600 transcript:PAN41620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLKDGLLQPCHPLPHSNNHNKKAKSSQHRSFNTPGMALLLHLKAVFPNIDHKLLETALEATGLDFDSAVKSLNELCLDSALAIPSVSGSKSVDGLTTAIQPSAEGSVANEWVEIFVNEMVAAQDIDDARARTAKALEALKKSILDPAKAEAAPDISSDNHASL >PVH33737 pep chromosome:PHallii_v3.1:8:4462082:4474559:1 gene:PAHAL_8G056600 transcript:PVH33737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLKDGLLQPCHPLPHSNNHNKKAKSSQHRSFNTPGMALLLHLKAVFPNIDHKVN >PAN41622 pep chromosome:PHallii_v3.1:8:4462082:4474559:1 gene:PAHAL_8G056600 transcript:PAN41622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLKDGLLQPCHPLPHSNNHNKKAKSSQHRSFNTPGMALLLHLKAVFPNIDHK >PAN41621 pep chromosome:PHallii_v3.1:8:4462082:4474559:1 gene:PAHAL_8G056600 transcript:PAN41621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLKDGLLQPCHPLPHSNNHNKKAKSSQHRSFNTPGMALLLHLKAVFPNIDHKLLETALEATGLDFDSAVKSLNELCLDSALAIPSVSGSKSVDGLTTAIQPSAEGSVANEWVEIFVNEMVAAQDIDDARARTAKALEALKKSILDPAKAEAAPDISSDNHASL >PVH33736 pep chromosome:PHallii_v3.1:8:4462082:4474636:1 gene:PAHAL_8G056600 transcript:PVH33736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLKDGLLQPCHPLPHSNNHNKKAKSSQHRSFNTPGMALLLHLKAVFPNIDHK >PVH33738 pep chromosome:PHallii_v3.1:8:4462082:4474559:1 gene:PAHAL_8G056600 transcript:PVH33738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLKDGLLQPCHPLPHSNNHNKKAKSSQHRSFNTPGMALLLHLKAVFPNIDHKLLKLPDLILILQ >PVH33741 pep chromosome:PHallii_v3.1:8:4462071:4474636:1 gene:PAHAL_8G056600 transcript:PVH33741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLKDGLLQPCHPLPHSNNHNKKAKSSQHRSFNTPGMALLLHLKAVFPNIDHK >PVH33739 pep chromosome:PHallii_v3.1:8:4462082:4474559:1 gene:PAHAL_8G056600 transcript:PVH33739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLKDGLLQPCHPLPHSNNHNKKAKSSQHRSFNTPGMALLLHLKAVFPNIDHKVN >PVH33735 pep chromosome:PHallii_v3.1:8:4465472:4471241:1 gene:PAHAL_8G056600 transcript:PVH33735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVLEHILDGREEPSNLPFALLKKITNSFSEEREIGQGGFATVYKGVLPNGNVAIKRIKNGYTIDEKLFYREVNSLLNVNHQNIVRFLGFCANTEQTAIKIEGSKHIYAEIRERLLCFEYIGNGSLQKYIADELRGLEWTTRYQIIKGICKGLHYLHKEKHIFHMDLKPDNILLDSFMVPKITDFGLSRFDDKSQTMCANRCATLGYCAPEYLHAGRMSFKSDMYSLGVMIMELVTGQKGISDNNSVLRRWRHRWNKSAKKMSLLYQQIQVIKCIKIGLLCQEHDPCKRPFIWDVIRDINEMESIYGQIRKPNESSVGQISSYTKDDMLGIEPLELHFTFELNEQMSSSLQLTNWTDFYMAFNIQTTSPLPYCTLPNKGIVLPRSKRSIYITLPPQEKIPQYGNEFIVQSTKVNNGLNIEDINNQLFNRQTGKVVDEVIVDVVFDAQGVVSKENYHLDDLPKRQMFSCGAEKRLHDLTETPLTLLVDLLSLLSCF >PVH33773 pep chromosome:PHallii_v3.1:8:4962642:4963474:1 gene:PAHAL_8G062300 transcript:PVH33773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PVH34294 pep chromosome:PHallii_v3.1:8:36803492:36809927:1 gene:PAHAL_8G188500 transcript:PVH34294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRGGARRPAPSGAGADQRRAAAQQAMARMEEMMLAHAGAAGEFSIILDAPLPSLQQYRRHPAPPSGASPASSSPFRRGGKDGAGGGRDEERIPARLRREGSGHDALGDAGASRRGADVGARRERRPAGGARGRGEEGEGEEVEAPVRLTGPRSVRRPSSRGATPPPRPAEAKRVVAEEEGDEETPLQLLARGDRSSRATRPAEAPPPPQAVETAPAATRPSSRRSSREVGVRPVVAEVAANVDSDVESVERRSSRGSEDGGEDAVALPRPLATVVARDRSRSNSPAISRNGADSAAANRPPSTGRSTFAPPIGVNVKPLQAVEMPNGTPRDRRAVYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEEKLRQSEARTMELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNGKSEELATLQQQLQSAREEASSAVKKLKEAESETKDLRTMTRRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISTSKHEYWSSLAPLPFEYVTSAGQRAKNGSETGSDGLEEVDKLVHDLTVTAGEGNVETMLAVDKGLQELAFLKVEDAVLFALAQHHRSNVAGPADPDIKSSGDEKFTEAFDLSKEEEEDVQFKQAWLIYFWRRAKNHNVEEDIAEERLQMWIDRNDQQPTSHDAVDVEQGLHELRKLGIEQLLWELSRHEVNLTKDDPSDVEDLT >PAN42760 pep chromosome:PHallii_v3.1:8:36799931:36809875:1 gene:PAHAL_8G188500 transcript:PAN42760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRRAGSPTYGRRRSPGGGGMFSAPASPAHPLGPAPAAAASPVHPLAARSKARAAAAMAHAMSRQPPQGARDEDDGYDDAGAADGNGGRYGGGGGGRSPLRGGGGAYGYGGRSPLHAAVAGGGGGGGGVKDKYFGFALPKLGRNGADSAAANRPPSTGRSTFAPPIGVNVKPLQAVEMPNGTPRDRRAVYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEEKLRQSEARTMELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNGKSEELATLQQQLQSAREEASSAVKKLKEAESETKDLRTMTRRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISTSKHEYWSSLAPLPFEYVTSAGQRAKNGSETGSDGLEEVDKLVHDLTVTAGEGNVETMLAVDKGLQELAFLKVEDAVLFALAQHHRSNVAGPADPDIKSSGDEKFTEAFDLSKEEEEDVQFKQAWLIYFWRRAKNHNVEEDIAEERLQMWIDRNDQQPTSHDAVDVEQGLHELRKLGIEQLLWELSRHEVNLTKDDPSDVEDLT >PAN43540 pep chromosome:PHallii_v3.1:8:42789515:42796340:-1 gene:PAHAL_8G242800 transcript:PAN43540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATASGGARPEEAAEAASAPAASCMGTRPEELTARLAASGPAAPGAGRGGSGAGAGAGGEEAEHDRVRALREIKNQIIGNRTKKLLYLRLGAVPAVVAALAEPGASPAALVQAAAAAGSFACGVDDGARAVLAAGAVGHLTRLLAHHDEKVVDASARALRMIYQSKLAPKFDVNNGKNMDFVLSLLNSENENVTELAATIISHSCENSSEQLSLCSAGVLQKLVSLFGGSMNLRDACLDSVTAVIRNNREVASRFASTDHGKGFRSVVGLIHDRSPRTRLLACLCLIALGHASPCHFLDKQIKTKLIMVLLELIEEPGQVGDEAPLALTTLIKDSLELQKQALTTNAVEKLSNHLLANSLESRRAVTILLALSELCSKLEESRSQLMSVEASTLILEGLKHDWVDIRVAACSCLKNISRSPKVLSGGRLSCDTVIGPLVQLLYDSSTSVQVAALGAICNIAVNLTPKKSVLLHSGVVSQLVHLSKSMDPTLRLKSVWALRNIMFLLSPKDKDFIVKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVDSANYVIGEDGMVMDAIARQLNNASAPGVCIQGMFVLANIAAGNEMNKEAVMNVLLPHRADRVKPSFVVNFLQSKDKQLRVATLWCLLNLIYPKCEASSGRVVRLQNAGVIIQVKSMINDPCLDCKLRVRMVLEHCVDNADDCFM >PAN43639 pep chromosome:PHallii_v3.1:8:43556529:43562505:1 gene:PAHAL_8G252200 transcript:PAN43639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIEGLLARDFGVRPQGKAAPMAGAASRPAAGSAAGAAAWSNPGRSASVASAAPSYDDLFGAPAPASASSFDSLFDSFKGPTTATSSSAARAKPAPSSAPVFDDDIFDAVPGLRPSNSSARYDDGVFGAAAPAYDDVFAAGTRSAPPPAYEDDDLLGGFGSAPRAEEKRRLAAVDDEGDDLLGGFGRKPAPVEEEGTTGGAGFDDLIPGFAGSSPPRSRKANDDNKMKPPVPTSKSTASIADDPFVVLETASASGSAYTSPGRSTDPLEDLDKPANSEGKVAADSLFEEPIAFDQAPKSDPLFTSEINGHAKDRNPPSMGRDSSPVHHSMDRNPARQSSMEDLGNVMPKSQSARYSDIHGNDMEDQSPRSTESEDDIWLTVSEIPLFTQPTTAPPPSRSPPLLKQKPLGANANGKENGHVRRSSQNHNHYTSSVDDLEGFAMGKPQMPAYDKNVFDEDFEISSSDREEKDRQERLEQEREMRLREEMERERRRLEKERQMEQQRERERERQAVERATKEARERAAAEARAKAEREARQRAQRAAVQRAQQEARERAAAEAKERAARVAAEAKERAAAEAKERAAAEAKERAAAEAKERERAAARERAAAERAAAERAQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSTPDDLESFFGADACASSAPKQRAPTPTVDSMFGSGAQGRGTANGSQRAASTSASARKASSASLFGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIKRWSAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYIAEKVFDILKEAWNKFNSEELF >PVH34204 pep chromosome:PHallii_v3.1:8:32713422:32713874:-1 gene:PAHAL_8G171300 transcript:PVH34204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQDMIRAFNYVGWYNFVDITEIGSQLSTMEFLMSLGIDEMAKTTKIYFHFFDEQYELTARELSVALDFSEKCLLDPHALIKDYQYDFTTWWNEIFEETVSSKSSIVSIHNPTLRLLAKWLCMVVHPRSNLRLCSLPELQCLLLWLKR >PAN43261 pep chromosome:PHallii_v3.1:8:41121335:41122509:1 gene:PAHAL_8G224000 transcript:PAN43261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNSDRQRSNCFKKAWRRRREMARLPAMRLAVVALALCCCLIHAAADTAFPPGLKVAQDARAAPPSCGADGQAAVAGEAEAAGAGGRMDLELEDYPGSGANDRHSPWGQQRRNRR >PAN43262 pep chromosome:PHallii_v3.1:8:41121335:41122509:1 gene:PAHAL_8G224000 transcript:PAN43262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNSDRQRSNCFKKAWRRRREMARLPAMRLAVVALALCCCLIHAAADTAFPPGLKVAQDARAAPPSCGADGQVAAVAGEAEAAGAGGRMDLELEDYPGSGANDRHSPWGQQRRNRR >PVH34501 pep chromosome:PHallii_v3.1:8:41951724:41952912:1 gene:PAHAL_8G233300 transcript:PVH34501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLGDGGSAAARGVADSACGEDIDASVFDSEGRCLPPVAPHARYGHLDAMLRRGVAAVVAAREGVRGDADEAPRGG >PAN41600 pep chromosome:PHallii_v3.1:8:4372565:4375731:-1 gene:PAHAL_8G056000 transcript:PAN41600 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MQVESHVAEDSEAENDDLYLSNCRISLVGFEENELLRLLMMIRNGGGSRHILLNEKLTHIILGAPSEDEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPSHVATELLLKEFSRVIMEKSADTREMKVAKSSCGIFHVPTVNDSHGKQLEKDMSSERKPARGKYENSMNKTRSATRSANSSQQNAVVNISKNDPKSQGASTVNSGSSRSNVFKGITFGFSNSFSHDKRPEVIDWIREGGGIVVDDIQSTTVKYTIECHGRNSMPCDFSHSTVVSTHWIRSCLEVGCLQEVGSHPIFSPLRCRIPFPGFEKFRFCISLSQYGERESFLLKNLCFALGAKFTEKAFKGVTHLICKFASGDKYKVYSKRGTPTITEEWLFECVKQDTIVPFDHFQPKPLTSQDKDLTVSQYSTQASRFNCSELLSGYQVTTSNPAHNSAGDASANEEAIAPAVSKRRLLSVSGNANDTCGNIGRTEKHLESGSVPDVADAIEVLSSKIQDVQSARSIFEPDNSAVVQDQKDTHSFGISRSWLNMQQKQENTPGTKVQSLSSSPAPSPAPSTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >PAN41605 pep chromosome:PHallii_v3.1:8:4372565:4380648:-1 gene:PAHAL_8G056000 transcript:PAN41605 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSCSSGPAGPAGGRRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVLLCADPSRTGPLDFHVISSSSHERFADLRIKGCNLLGPQCILSCAKERRFLPKQSYTCCLAMDGVKILCSGFEKAEKAKIEELVTAMGGILQSKSSMDVNFVIVKDVMAAKYKYAVNSLKKPVVTINWLEQCWIEHRVVPHEPYRILPFVGLNICVTKLNPVERKELEKIIVQNGGQFSACLTRKCTHLVANEPGGDKYVVARRWGNIYIVNQRWVEQSVARRACLDENSYLVCQTSSASSGLKTSPEEQQNPEISSASASFQPVPATSVDDSVSTSQYVPASFGDSSKISNTDIVGEEANEMQVESHVAEDSEAENDDLYLSNCRISLVGFEENELLRLLMMIRNGGGSRHILLNEKLTHIILGAPSEDEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPSHVATELLLKEFSRVIMEKSADTREMKVAKSSCGIFHVPTVNDSHGKQLEKDMSSERKPARGKYENSMNKTRSATRSANSSQQNAVVNISKNDPKSQGASTVNSGSSRSNVFKGITFGFSNSFSHDKRPEVIDWIREGGGIVVDDIQSTTVKYTIECHGRNSMPCDFSHSTVVSTHWIRSCLEVGCLQEVGSHPIFSPLRCRIPFPGFEKFRFCISLSQYGERESFLLKNLCFALGAKFTEKAFKGVTHLICKFASGDKYKVYSKRGTPTITEEWLFECVKQDTIVPFDHFQPKPLTSQDKDLTVSQYSTQASRFNCSELLSGYQVTTSNPAHNSAGDASANEEAIAPAVSKRRLLSVSGNANDTCGNIGRTEKHLESGSVPDVADAIEVLSSKIQDVQSARSIFEPDNSAVVQDQKDTHSFGISRSWLNMQQKQENTPGTKVQSLSSSPAPSPAPSTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >PAN41604 pep chromosome:PHallii_v3.1:8:4371896:4380800:-1 gene:PAHAL_8G056000 transcript:PAN41604 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSCSSGPAGPAGGRRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVLLCADPSRTGPLDFHVISSSSHERFADLRIKGCNLLGPQCILSCAKERRFLPKQSYTCCLAMDGVKILCSGFEKAEKAKIEELVTAMGGILQSKSSMDVNFVIVKDVMAAKYKYAVNSLKKPVVTINWLEQCWIEHRVVPHEPYRILPFVGLNICVTKLNPVERKELEKIIVQNGGQFSACLTRKCTHLVANEPGGDKYVVARRWGNIYIVNQRWVEQSVARRACLDENSYLVCQTSSASSGLKTSPEEQQNPEISSASASFQPVPATSVDDSVSTSQYVPASFGDSSKISNTDIVGEEANEMQVESHVAEDSEAENDDLYLSNCRISLVGFEENELLRLLMMIRNGGGSRHILLNEKLTHIILGAPSEDEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPSHVATELLLKEFSRVIMEKSADTREMKVAKSSCGIFHVPTVNDSHGKQLEKDMSSERKPARGKYENSMNKTRSATRSANSSQQNAVVNISKNDPKSQGASTVNSGSSRSNVFKGITFGFSNSFSHDKRPEVIDWIREGGGIVVDDIQSTTVKYTIECHGRNSMPCDFSHSTVVSTHWIRSCLEVGCLQEVGSHPIFSPLRCRIPFPGFEKFRFCISLSQYGERESFLLKNLCFALGAKFTEKAFKGVTHLICKFASGDKYKVYSKRGTPTITEEWLFECVKQDTIVPFDHFQPKPLTSQDKDLTVSQYSTQASRFNCSELLSGYQVTTSNPAHNSGDASANEEAIAPAVSKRRLLSVSGNANDTCGNIGRTEKHLESGSVPDVADAIEVLSSKIQDVQSARSIFEPDNSAVVQDQKDTHSFGISRSWLNMQQKQENTPGTKVQSLSSSPAPSPAPSTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >PAN41607 pep chromosome:PHallii_v3.1:8:4372565:4378996:-1 gene:PAHAL_8G056000 transcript:PAN41607 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MDGVKILCSGFEKAEKAKIEELVTAMGGILQSKSSMDVNFVIVKDVMAAKYKYAVNSLKKPVVTINWLEQCWIEHRVVPHEPYRILPFVGLNICVTKLNPVERKELEKIIVQNGGQFSACLTRKCTHLVANEPGGDKYVVARRWGNIYIVNQRWVEQSVARRACLDENSYLVCQTSSASSGLKTSPEEQQNPEISSASASFQPVPATSVDDSVSTSQYVPASFGDSSKISNTDIVGEEANEMQVESHVAEDSEAENDDLYLSNCRISLVGFEENELLRLLMMIRNGGGSRHILLNEKLTHIILGAPSEDEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPSHVATELLLKEFSRVIMEKSADTREMKVAKSSCGIFHVPTVNDSHGKQLEKDMSSERKPARGKYENSMNKTRSATRSANSSQQNAVVNISKNDPKSQGASTVNSGSSRSNVFKGITFGFSNSFSHDKRPEVIDWIREGGGIVVDDIQSTTVKYTIECHGRNSMPCDFSHSTVVSTHWIRSCLEVGCLQEVGSHPIFSPLRCRIPFPGFEKFRFCISLSQYGERESFLLKNLCFALGAKFTEKAFKGVTHLICKFASGDKYKVYSKRGTPTITEEWLFECVKQDTIVPFDHFQPKPLTSQDKDLTVSQYSTQASRFNCSELLSGYQVTTSNPAHNSGDASANEEAIAPAVSKRRLLSVSGNANDTCGNIGRTEKHLESGSVPDVADAIEVLSSKIQDVQSARSIFEPDNSAVVQDQKDTHSFGISRSWLNMQQKQENTPGTKVQSLSSSPAPSPAPSTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >PAN41609 pep chromosome:PHallii_v3.1:8:4371896:4380800:-1 gene:PAHAL_8G056000 transcript:PAN41609 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSCSSGPAGPAGGRRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVLLCADPSRTGPLDFHVISSSSHERFADLRIKGCNLLGPQCILSCAKERRFLPKQSYTCCLAMDGVKILCSGFEKAEKAKIEELVTAMGGILQSKSSMDVNFVIVKDVMAAKYKYAVNSLKKPVVTINWLEQCWIEHRVVPHEPYRILPFVGLNICVTKLNPVERKELEKIIVQNGGQFSACLTRKCTHLVANEPGGDKYVVARRWGNIYIVNQRWVEQSVARRACLDENSYLVCQTSSASSGLKTSPEEQQNPEISSASASFQPVPATSVDDSVSTSQYVPASFGDSSKISNTDIVGEEANEMQVESHVAEDSEAENDDLYLSNCRISLVGFEENELLRLLMMIRNGGGSRHILLNEKLTHIILGAPSEDEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPSHVATELLLKEFSRVIMEKSADTREMKVAKSSCGIFHVPTVNDSHGKQLEKDMSSERKPARGKYENSMNKTRSATRSANSSQQNAVVNISKNDPKSQGASTVNSGSSRSNVFKGITFGFSNSFSHDKRPEVIDWIREGGGIVVDDIQSTTVKYTIECHGRNSMPCDFSHSTVVSTHWIRSCLEVGCLQEVGSHPIFSPLRCRIPFPGFEKFRFCISLSQYGERESFLLKNLCFALGAKFTEKAFKGVTHLICKFASGDKYKVYSKRGTPTITEEWLFECVKQDTIVPFDHFQPKPLTSQDKDLTVSQYSTQASRFNCSELLSGYQVTTSNPAHNSGDASANEEAIAPAVSKRRLLSVSGNANDTCGNIGRTEKHLESGSVPDVADAIEVLSSKIQDVQSARSIFEPDNSAVVQDQKDTHSFGISRSWLNMQQKQENTPGTKVQSLSSSPAPSPAPSTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >PAN41608 pep chromosome:PHallii_v3.1:8:4372565:4378996:-1 gene:PAHAL_8G056000 transcript:PAN41608 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MDGVKILCSGFEKAEKAKIEELVTAMGGILQSKSSMDVNFVIVKDVMAAKYKYAVNSLKKPVVTINWLEQCWIEHRVVPHEPYRILPFVGLNICVTKLNPVERKELEKIIVQNGGQFSACLTRKCTHLVANEPGGDKYVVARRWGNIYIVNQRWVEQSVARRACLDENSYLVCQTSSASSGLKTSPEEQQNPEISSASASFQPVPATSVDDSVSTSQYVPASFGDSSKISNTDIVGEEANEMQVESHVAEDSEAENDDLYLSNCRISLVGFEENELLRLLMMIRNGGGSRHILLNEKLTHIILGAPSEDEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPSHVATELLLKEFSRVIMEKSADTREMKVAKSSCGIFHVPTVNDSHGKQLEKDMSSERKPARGKYENSMNKTRSATRSANSSQQNAVVNISKNDPKSQGASTVNSGSSRSNVFKGITFGFSNSFSHDKRPEVIDWIREGGGIVVDDIQSTTVKYTIECHGRNSMPCDFSHSTVVSTHWIRSCLEVGCLQEVGSHPIFSPLRCRIPFPGFEKFRFCISLSQYGERESFLLKNLCFALGAKFTEKAFKGVTHLICKFASGDKYKVYSKRGTPTITEEWLFECVKQDTIVPFDHFQPKPLTSQDKDLTVSQYSTQASRFNCSELLSGYQVTTSNPAHNSGDASANEEAIAPAVSKRRLLSVSGNANDTCGNIGRTEKHLESGSVPDVADAIEVLSSKIQDVQSARSIFEPDNSAVVQDQKDTHSFGISRSWLNMQQKQENTPGTKVQSLSSSPAPSPAPSTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >PAN41601 pep chromosome:PHallii_v3.1:8:4371896:4376289:-1 gene:PAHAL_8G056000 transcript:PAN41601 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MQVESHVAEDSEAENDDLYLSNCRISLVGFEENELLRLLMMIRNGGGSRHILLNEKLTHIILGAPSEDEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPSHVATELLLKEFSRVIMEKSADTREMKVAKSSCGIFHVPTVNDSHGKQLEKDMSSERKPARGKYENSMNKTRSATRSANSSQQNAVVNISKNDPKSQGASTVNSGSSRSNVFKGITFGFSNSFSHDKRPEVIDWIREGGGIVVDDIQSTTVKYTIECHGRNSMPCDFSHSTVVSTHWIRSCLEVGCLQEVGSHPIFSPLRCRIPFPGFEKFRFCISLSQYGERESFLLKNLCFALGAKFTEKAFKGVTHLICKFASGDKYKVYSKRGTPTITEEWLFECVKQDTIVPFDHFQPKPLTSQDKDLTVSQYSTQASRFNCSELLSGYQVTTSNPAHNSGDASANEEAIAPAVSKRRLLSVSGNANDTCGNIGRTEKHLESGSVPDVADAIEVLSSKIQDVQSARSIFEPDNSAVVQDQKDTHSFGISRSWLNMQQKQENTPGTKVQSLSSSPAPSPAPSTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >PVH33992 pep chromosome:PHallii_v3.1:8:16295857:16296608:-1 gene:PAHAL_8G115700 transcript:PVH33992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVFYLYSSLLSFFGDAWVFVSSFLFASYFFKKMTHTLLARIGMEYLLE >PVH33995 pep chromosome:PHallii_v3.1:8:16631912:16644053:1 gene:PAHAL_8G116600 transcript:PVH33995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCAMITITKGSVSAKQLENEFRGPSGPHSTWRWFAKGISDNVFQMRFPIAKKIDDIAFFAGMEMRIVPTVSFKVEKWNANAGAKAGLDTAWFRILGILSIPLEKMFEHKVCYVAYLVALPLEVDKGNLKRWDFVRVKCGYRDVTKVPAVVEGVLVFHFYDFTFQREVP >PAN42894 pep chromosome:PHallii_v3.1:8:37923832:37925187:1 gene:PAHAL_8G196200 transcript:PAN42894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASRAAAAASGALRAAAAQRYSRPASVLQSRSAATAAAAEHHPEAARKAGSRRLPRGDYVPVYVALGMIALSVTLGLSTARQQLAHAPNVRVDKKKRETVPEVAAPDLALDEAERFVGRSLFRKVAHVQDDASLAAGVADPVAEYPTRKAVTLKDVGVETPGIEQGRGGIVDRIFKKNHA >PAN43579 pep chromosome:PHallii_v3.1:8:43081745:43082377:1 gene:PAHAL_8G246700 transcript:PAN43579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQDAGYKFRPRSPSHRAKSHKHVHSPASASVAPAPTSAVPKLERRSSKKWPSLIQSSARWSSSSPSPSSPTSSPSPPGKRRCRLRRTCSTRSATPAPPSRSATPAAGRQATREATSKATSASATPASLRCSPQRDVDQYRSFVLT >PAN43017 pep chromosome:PHallii_v3.1:8:38927440:38937681:1 gene:PAHAL_8G204500 transcript:PAN43017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRRAPLLLAAAAAGVALATASPSGDNGRSVASTLRHGVARSSRAVCTIGFVVADYKFSLRGLDSGSPDYRVKLSEVHLRSAKKLLKLCELNGGFYVKAGQYVSSLRQVPKEYSSTLSCLQDQATPSKFQDIKIVIEQNFGKKLHEIFLEFDEHPIAAASIAQVHRGRLHDNQDVAVKVQYPGLEQRMKIDIMTMSFLSKSVSWIFPDYKFKRLLAEFEKSMSMELDFTLEAKNSERTANCFRKNSVIKVPYVFWQLTTREVLTMEFCYGHKVNDLDFLRRENISPTKVAKALIELFGEMVFVHGFVHGDPHPGNILVSPQGHGNFSLVLLDHGIYRELDQKFRLDYCQLWKALILLDTKKILELGEQFGVGKYAKYFPVIFTGRTLESKSALGTQMSSEEQRRLKEDLSSLGMDDISSFMEALPRDFYVILRTDGLLRSILGNLGAPRHVRLLTYAKCAIHGLEKQPKLESGTINRMFLQVKTNISYLHLRVLIEIAGLLAKVNDAKHKAINKLRRLFQEISRGIHLLT >PVH33810 pep chromosome:PHallii_v3.1:8:6034723:6040785:-1 gene:PAHAL_8G070400 transcript:PVH33810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEGLSRTVIRFMLLFCIVCKCLASDLEATQKATLKIDASPKLARKIPDTLLGVFFEEMGRGGAGGLWAELVSNRGFEAGGTNTPSNIDPWLIIGDESSIFVETDRTSCFSQNIVALRMEVLCNDCPAGGVGIYNPGFWGMNVEDGKTYNLVMYVKSAETVPLTVSLTSSDGLQNLASVPIMVAGKSKWIKVEEKFVAKGTDRTSRLQITSKKKGVVWFDQVSLMPADTYKGHGFRDELVSMLLDLKPRFLRFPGGCYVQGSWLRNAFRWRESIGPWEERPGHFGDCWNYWTDDGLGYFEFLQLSEDLGAAPIWVFNSGLSYNDEVDTAAIAPFVKDVLDSLEFARGSANSSWGSLRAAMGHPEPFPVKYAAIGNEDCGKKFYNGNYLKFYNAIREAYPDIQLISNCDGSSGPLDHPADLYDFHVYADAKTLFSMKNTFDKTSRTGPKAFVSEYAVWKTDAGRGTLLASLAEAAFLTGLEKNSDVVEMACHAPLFVNDDIEKKWNPDVIVFNTWQHYGTPSYWMQVLFRDSSGAIVHPTTISSSRSGNSSLAASAITWQDSDNSSFLRVKIVNFESVAVQVTICTTGLQASIDVLRSTATVLTSSNVMDENSFSNPNKVSPVKSQLFEAGAHMQVTLAPHSFTSFDLALAPSKLVTLAGRVNKYLMSELWDTQV >PAN43659 pep chromosome:PHallii_v3.1:8:43620193:43625920:1 gene:PAHAL_8G253200 transcript:PAN43659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALGSLERIIKVALKIKKAVRTVQQNEEECQALEKEVAIVIAILSPLQVMRAVTPAMTLALMGLEENIDSALELVRKCQEKHTFVHRLVTANDMARQLARVKADIHGNVTMCTFAITGQLAITVEYRTVTAHLLQLHQDAGKLDMPYNGHARSGVNSEIAMSATGSEVPYAPISGITELTFSKLEATTQNISWGNLAGGGIKQMIVIKIQMTGNKARCTAMELAAGADGVISTGIAGDMRDRLKVVGHGLDAVRLVQCLRMELGHAEILQVEGVKAKEARPEPVVVVNTEEARPADDGIRAIFTKLLSKFMWSRTRGSISRPPLPPAASSAPRSHLSSDVSPSSTAVSAASPPYRAAARRDVDGAPSTCPGSA >PAN43657 pep chromosome:PHallii_v3.1:8:43620193:43625920:1 gene:PAHAL_8G253200 transcript:PAN43657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALGSLERIIKVALKIKKAVRTVQQNEEECQALEKEVAIVIAILSPLQVMRAVTPAMTLALMGLEENIDSALELVRKCQEKHTFVHRLVTANDMARQLARVKADIHGNVTMCTFAITGQLAITVEYRTVTAHLLQLHQDAGKLDMPYNGHARSGVNSEIAMSATGSEVPYAPISGITELTFSKLEATTQNISWGNLAGGGIKQMIVIKIQMTGNKARCTAMELAAGADGVISTGIAGDMRDRLKVVGHGLDAVRLVQCLRMELGHAEILQVEGVKAKEARPEPVVVVNTEEARPADDGIRAIFTKLLSKFMWSRTRGSISRPPLPPAASSAPRSHLSSDVSPSSTAVSAASPPYRAAARRDVDGAPSTCPGSA >PVH34575 pep chromosome:PHallii_v3.1:8:43620925:43625920:1 gene:PAHAL_8G253200 transcript:PVH34575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALGSLERIIKVALKIKKAVRTVQQNEEECQALEKEVAIVIAILSPLQVMRAVTPAMTLALMGLEENIDSALELVRKCQEKHTFVHRLVTANDMARQLARVKADIHGNVTMCTFAITGQLAITVEYRTVTAHLLQLHQDAGKLDMPYNGHARSGVNSEIAMSATGSEVPYAPISGITELTFSKLEATTQNISWGNLAGGGIKQMIVIKIQMTGNKARCTAMELAAGADGVISTGIAGDMRDRLKVVGHGLDAVRLVQCLRMELGHAEILQVEGVKAKEARPEPVVVVNTEEARPADDGIRAIFTKLLSKFMWSRTRGSISRPPLPPAASSAPRSHLSSDVSPSSTAVSAASPPYRAAARRDVDGAPSTCPGSA >PVH33935 pep chromosome:PHallii_v3.1:8:14283645:14284389:-1 gene:PAHAL_8G105000 transcript:PVH33935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVAGFRSLLQHKVFFWLLAGGDRLAEHKGKQRRACVPLFQFSKGVLVPLSDSDCNASPCSLSAVSNVQVLGTINYSDICLW >PAN42847 pep chromosome:PHallii_v3.1:8:37400004:37400387:-1 gene:PAHAL_8G191800 transcript:PAN42847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATPAAILVACLLLSTASSSGAAAAGCLPSSIVVTQSGTGEWAHGQPVYAVAVRNTCGCAQSDVKVDCAGFDTTLAVDPAKLQPLPAGGLCLVNGGAPVAQGRDVTFSYAWSRQFGFRPVSSTVAC >PAN41893 pep chromosome:PHallii_v3.1:8:7043597:7043966:-1 gene:PAHAL_8G077800 transcript:PAN41893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPIRSYAFLAALSIDLGASCNKPTCSVQDMLIQQNKLCNSQLHCFHVLDTSKLSMTNCNLLLICWSRQDATPET >PAN41314 pep chromosome:PHallii_v3.1:8:1889817:1892959:-1 gene:PAHAL_8G026800 transcript:PAN41314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQSSKGYTAPTAASAVAQAGEGGAFPQPLSTADMDATQPFAHLVRLLGRCFYNDVVIRDGVVITDGDDDNCGLAVTILDNLTRFAWVKENELAKMLNTKQKNMIVLLQFLEKEKLLKRELVKRAQVKTIDAVAKKENGTFNMLAGAYCCLDYSRVVDVTRYQLDRMKESFKEKPDCSTMIEDYMCCICKRSYSALDAVHLISNTGNTFRCESCKGELVAQSGDYTSRRESRAKSANMLIKSLKEEWKPIELQLNILNDVSHLDFRTVEQWLQTNMIEFVADSSSNKTKVKSYCYIYNIKFNNLGNTYMHRQILGYSRNVKRYEIISIYYDSCFPRLSIVCTSPV >PVH33647 pep chromosome:PHallii_v3.1:8:2784323:2785057:-1 gene:PAHAL_8G038200 transcript:PVH33647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPSLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDMVVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLRRTPMGFFPPAERRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCHEQSAQLKQLIRGIEKITQELEEQRTRAASAEYSLATLQAQMQEYENRNGIGGWIEEEEKEPMETHWDKGTQTEDEMDRSLPIKKRHIRIEEESP >PVH33873 pep chromosome:PHallii_v3.1:8:7428993:7435534:-1 gene:PAHAL_8G081100 transcript:PVH33873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVLGVAKSLVEGTLTKAQLAIDEESKLRQSAQRDLVFIAGEFQMMQSFLRVTTEEQVRNNVVSITWVIQVRDLAYDVEDCIEFVIHLDTKSDWWRRLIPSFMRRALPLDVAVNMIDQLKARVHDVSQRNERYKLISDPGPKPVMEARQLGISGASGVLVTARDTAWKQREWENFVKLITKKDSKLQVISLWASEDYLGNASVIRKAYNHPEIHRKFKCRAWVKVLHPFQPNEFIRTLLGQFYTNSREEQQGAILGNGVLTPGLDDHLVQEFSEHVENQTYLIVLDGVSTIEEWNTIRRYLPDRSKGSRIVVATQNFSVASLCTEYPYFQQFSADQSFCVFFREKEDPLVGRMSEVNQLSAYIAKARVNALQVMSVWGIAGAGKSALVRTLYHNKMSQKSEYIKYIWVDVYYPFNLLDFCKSLLMQFHSHSLETYEDPVKQCHGLLKDHRCLLVIDNLQSTEEWDLIHDALAFRTSGSAIVVITNEERIALHCADRKDLVFNVKALEIGAAIDLFKEEIEGSQYLHAGDIEKDLVLQQLISKSGGLPKVIVAIADYLAHMFDWIKRANALNDQFITTMETRQDFARLQDLFGWIHSYFRSCPDFLKPCIFYLSIFPKSKIIRRRRLMMRWVAEGYSKDKESDSAEENAEELFAKIMDLSMIQPPERTMSTNGRMVWCQVSSFFHEYIISRPKEENVTFALEVFALKGCCRQTTGRTGRHLIIEESWERDRIVFESIDLSRLRSLTVFGDWASFFISESMKVLRVLDLENASGVTDKDLRKMLKLLRRLKFLSLRGCSKISNLPSSVDNLRQLQILDVRYTSIVTMPASITKLKKLQYIRAGTIIPPEDRSCLQLVGVKVPSGVKKLTLLHTLGIVSVGSARGEDILKELRNLSQLRKLGVSGISKKNGKEFCSAISGHSHLESLSVSLNKDNQYCLDGMSAGTTNETFRPPRKLQSLKLYGPAKQLPMWVNQLSNLRKLNLEMDVLSKDDIAVLGGLQELCVLRLRVNPDHDGEVKFCVMKAGVEERCYKEIKVLEIASRSKLNLIIGAEAMENLELLKAGCCSTESPPQFGALKHLKKLKEVQVIGYHDEEQKKNLENQFADHPSKPALTLREVNPLSQASTAGNNERCSFPFSPTSSSSPAIRP >PAN41948 pep chromosome:PHallii_v3.1:8:7428689:7437438:-1 gene:PAHAL_8G081100 transcript:PAN41948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVLGVAKSLVEGTLTKAQLAIDEESKLRQSAQRDLVFIAGEFQMMQSFLRVTTEEQVRNNVVSITWVIQVRDLAYDVEDCIEFVIHLDTKSDWWRRLIPSFMRRALPLDVAVNMIDQLKARVHDVSQRNERYKLISDPGPKPVMEARQLGISGASGVLVTARDTAWKQREWENFVKLITKKDSKLQVISLWASEDYLGNASVIRKAYNHPEIHRKFKCRAWVKVLHPFQPNEFIRTLLGQFYTNSREEQQGAILGNGVLTPGLDDHLVQEFSEHVENQTYLIVLDGVSTIEEWNTIRRYLPDRSKGSRIVVATQNFSVASLCTEYPYFQQFSADQSFCVFFREKEDPLVGRMSEVNQLSAYIAKARVNALQVMSVWGIAGAGKSALVRTLYHNKMSQKSEYIKYIWVDVYYPFNLLDFCKSLLMQFHSHSLETYEDPVKQCHGLLKDHRCLLVIDNLQSTEEWDLIHDALAFRTSGSAIVVITNEERIALHCADRKDLVFNVKALEIGAAIDLFKEEIEGSQYLHAGDIEKDLVLQQLISKSGGLPKVIVAIADYLAHMFDWIKRANALNDQFITTMETRQDFARLQDLFGWIHSYFRSCPDFLKPCIFYLSIFPKSKIIRRRRLMMRWVAEGYSKDKESDSAEENAEELFAKIMDLSMIQPPERTMSTNGRMVWCQVSSFFHEYIISRPKEENVTFALEVFALKGCCRQTTGRTGRHLIIEESWERDRIVFESIDLSRLRSLTVFGDWASFFISESMKVLRVLDLENASGVTDKDLRKMLKLLRRLKFLSLRGCSKISNLPSSVDNLRQLQILDVRYTSIVTMPASITKLKKLQYIRAGTIIPPEDRSCLQLVGVKVPSGVKKLTLLHTLGIVSVGSARGEDILKELRNLSQLRKLGVSGISKKNGKEFCSAISGHSHLESLSVSLNKDNQYCLDGMSAGTTNETFRPPRKLQSLKLYGPAKQLPMWVNQLSNLRKLNLEMDVLSKDDIAVLGGLQELCVLRLRVNPDHDGEVKFCVMKAGVEERCYKEIKVLEIASRSKLNLIIGAEAMENLELLKAGCCSTESPPQFGALKHLKKLKEVQVIGYHDEEQKKNLENQFADHPSKPALTLREVNPLSQASTAGNNERCSFPFSPTSSSSPAIRP >PVH33872 pep chromosome:PHallii_v3.1:8:7428993:7435534:-1 gene:PAHAL_8G081100 transcript:PVH33872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVLGVAKSLVEGTLTKAQLAIDEESKLRQSAQRDLVFIAGEFQMMQSFLRVTTEEQVRNNVVSITWVIQVRDLAYDVEDCIEFVIHLDTKSDWWRRLIPSFMRRALPLDVAVNMIDQLKARVHDVSQRNERYKLISDPGPKPVMEARQLGISGASGVLVTARDTAWKQREWENFVKLITKKDSKLQVISLWASEDYLGNASVIRKAYNHPEIHRKFKCRAWVKVLHPFQPNEFIRTLLGQFYTNSREEQQGAILGNGVLTPGLDDHLVQEFSEHVENQTYLIVLDGVSTIEEWNTIRRYLPDRSKGSRIVVATQNFSVASLCTEYPYFQQFSADQSFCVFFREKEDPLVGRMSEVNQLSAYIAKARVNALQVMSVWGIAGAGKSALVRTLYHNKMSQKSEYIKYIWVDVYYPFNLLDFCKSLLMQFHSHSLETYEDPVKQCHGLLKDHRCLLVIDNLQSTEEWDLIHDALAFRTSGSAIVVITNEERIALHCADRKDLVFNVKALEIGAAIDLFKEEIEGSQYLHAGDIEKDLVLQQLISKSGGLPKVIVAIADYLAHMFDWIKRANALNDQFITTMETRQDFARLQDLFGWIHSYFRSCPDFLKPCIFYLSIFPKSKIIRRRRLMMRWVAEGYSKDKESDSAEENAEELFAKIMDLSMIQPPERTMSTNGRMVWCQVSSFFHEYIISRPKEENVTFALEVFALKGCCRQTTGRTGRHLIIEESWERDRIVFESIDLSRLRSLTVFGDWASFFISESMKVLRVLDLENASGVTDKDLRKMLKLLRRLKFLSLRGCSKISNLPSSVDNLRQLQILDVRYTSIVTMPASITKLKKLQYIRAGTIIPPEDRSCLQLVGVKVPSGVKKLTLLHTLGIVSVGSARGEDILKELRNLSQLRKLGVSGISKKNGKEFCSAISGHSHLESLSVSLNKDNQYCLDGMSAGTTNETFRPPRKLQSLKLYGPAKQLPMWVNQLSNLRKLNLEMDVLSKDDIAVLGGLQELCVLRLRVNPDHDGEVKFCVMKAGVEERCYKEIKVLEIASRSKLNLIIGAEAMENLELLKAGCCSTESPPQFGALKHLKKLKEVQVIGYHDEEQKKNLENQFADHPSKPALTLREVNPLSQASTAGNNERCSFPFSPTSSSSPAIRP >PAN41526 pep chromosome:PHallii_v3.1:8:3872223:3873185:1 gene:PAHAL_8G050500 transcript:PAN41526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLWPTKKGKKKTRRSASRSRRRGGASSLSSLWRRVVGPRRKTRGKPGLLSRAARVLSCGRRSH >PVH33826 pep chromosome:PHallii_v3.1:8:6439693:6441291:1 gene:PAHAL_8G073000 transcript:PVH33826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLVMLQGPHQHLCLVSLNLSGSGKEELSSMIVQRKRSAAVKDKEDGCCSPVPVRRWEW >PAN43202 pep chromosome:PHallii_v3.1:8:40530706:40531185:-1 gene:PAHAL_8G219600 transcript:PAN43202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSAAGLKAATAVAIFAVLVMSSQGHPRTKPLCSDCPSLCNTNCSAVIAATCNSTCSPPVAECDRCKSQVLQGCCQEFCSSSHGTSSYSCCPSDCIGGNCTTCSCDSCNAALQDRCTFACSMHASDLARCEACKNGVGQQCYSPCISACNDHCVKKDC >PVH34601 pep chromosome:PHallii_v3.1:8:43900364:43900807:1 gene:PAHAL_8G256700 transcript:PVH34601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNLFSRRALCPAITRPVPSPLGSGTGQLHGRGPWPWLDASRCGAEASRSKGMEARGGRIAVPLRRAARGMRRCGVSCQNRHWPPLQAPNTVDPRDMGRIRDGKEEDEVPKGRPASGGPPASCCGGLDSDPGFVLKNTPAYFQINP >PAN43791 pep chromosome:PHallii_v3.1:8:44437808:44439695:-1 gene:PAHAL_8G263900 transcript:PAN43791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATPLLTPYKMGKFNLAHRVVLAPVTRCRSYENLAQPHNTLYYQQRAAPGVLLIAEASAVSETATGYPRVPGLWSDDQVEAWKPVVDAVHAKGAFFFCQLWHTGRNDHSPTTGTAEFDDGAPPRLETDEIPQMVTDFRVAARNAIRAGFDGVEIHAANGLLVNQFWFFLDIGRVDSSQPLRLDRHTTDGLSDGGSSSLENRCRRLAADVVAAVVDEVGAHRVGVRLSPFAGGDTDSTDAAEARALHLVRSMDRLGVLYCHVVEPRTRANGKKPAIPHRLSPFREAFRGTFIVNGGYDREEGDRAVSRGYADLVSYGRLFLANPDLPERFRKKAELNRYDRSTFYTSDPVVGYTDYPFLGQETQVA >PAN42838 pep chromosome:PHallii_v3.1:8:37262304:37262924:-1 gene:PAHAL_8G191200 transcript:PAN42838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSDCHVMAAAAPNGSKAVTLLLRLCTFGLALASAVVMATASDCTIYGVDGVAATTVAFKNYPPFVYLVACNITAAILEMAAIYLQLVKDGEEAEAPVLPRVVPVVLDVAVQVLLYSSTGAVFAAVTAYGAQIRACAGAAGHFCEQVRRAKLVDLGASLAAGLAAIAKDIALPFSVWPISSD >PVH33890 pep chromosome:PHallii_v3.1:8:8692939:8699210:-1 gene:PAHAL_8G084700 transcript:PVH33890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLPRAPYLASLPKSASSSLRPSPPAMSSSSSAARAEAVARPRKLPVLLFDVMDTLVRDPFYHHIPAFFKMSMKELLESKHPTAWSEFEKGLIDEVSKSAWYQLIEDKLKLSKYLSWTFCSCRTGKRKPSPEFYLQAIDHLNVDPASCIFIDDRMVNIEAALSVGMVGLQFKNAEALRKDLCALGVELPPLVCEGEAQVQ >PVH33889 pep chromosome:PHallii_v3.1:8:8691029:8699174:-1 gene:PAHAL_8G084700 transcript:PVH33889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLPRAPYLASLPKSASSSLRPSPPAMSSSSSAARAEAVARPRKLPVLLFDVMDTLVRDPFYHHIPAFFKMSMKELLESKHPTAWSEFEKGLIDENELAKKFFNDGRCFDLEGLKECMVRAYEYIDGVEDILCCLKKNNYEMHAFTNYPVWYQLIEDKLKLSKYLSWTFCSCRTGKRKPSPEFYLQAIDHLNVDPASCIFIDDRMVNIEAALSVGMVGLQFKNAEALRKDLCALGVELPPLVCEACMIICRRS >PAN42415 pep chromosome:PHallii_v3.1:8:8692729:8699210:-1 gene:PAHAL_8G084700 transcript:PAN42415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLPRAPYLASLPKSASSSLRPSPPAMSSSSSAARAEAVARPRKLPVLLFDVMDTLVRDPFYHHIPAFFKMSMKELLESKHPTAWSEFEKGLIDENELAKKFFNDGRCFDLEGLKECMVRAYEYIDGVEDILCCLKKNNYEMHAFTNYPVWYQLIEDKLKLSKYLSWTFCSCRTGKRKPSPEFYLQAIDHLNVDPASCIFIDDRMVNIEAALSVGMVGLQFKNAEALRKDLCALGVELPPLVCEGEAQVQ >PVH34167 pep chromosome:PHallii_v3.1:8:29816754:29817273:1 gene:PAHAL_8G160900 transcript:PVH34167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLWKIPTTSPRMSSRVPSCTQSCLWVRSPLELRMAQDSDDSLFVASVVVWVLVVILAIVALHCPLPRRVER >PAN41090 pep chromosome:PHallii_v3.1:8:931379:935171:-1 gene:PAHAL_8G013500 transcript:PAN41090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLRRSLRAFHRLPATGHAACDPVPLHRFWSSHIVHATRDFSTSEKVTRGRVYQQEELEPTTPAKDTDIIIDCIKKSTRELEQGPIGKKLSSAEKRQFLVDTLLGLEDSREVVYGTLDAWVAFEQDFPLPSLRQALSALEKEEQWHRIVQVIKWILSKGQGNTMGTYEQLVRALEKDNRAEEAHEIWQKKIAHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGHKRPRKDIIRKVEDAYEMLGLLEEKNALLEKYKDLYNKPYRGDRKKGSKSKRTEMNKTSADGSKMETSENLQDHCCRLDEESAATIKS >PAN41088 pep chromosome:PHallii_v3.1:8:931990:935092:-1 gene:PAHAL_8G013500 transcript:PAN41088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLRRSLRAFHRLPATGHAACDPVPLHRFWSSHIVHATRDFSTSEKVTRGRVYQQEELEPTTPAKDTDIIIDCIKKSTRELEQGPIGKKLSSAEKRQFLVDTLLGLEDSREVVYGTLDAWVAFEQDFPLPSLRQALSALEKEEQWHRIVQVIKWILSKGQGNTMGTYEQLVRALEKDNRAEEAHEIWQKKIAHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGHKRPRKDIIRKVEDAYEMLGLLEEKNALLEKYKDLYNKPYRGDRKKGSKSKRTEMNKTSGHYKSQQYYSVLSGWQQDGNI >PAN41087 pep chromosome:PHallii_v3.1:8:931378:935171:-1 gene:PAHAL_8G013500 transcript:PAN41087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLRRSLRAFHRLPATGHAACDPVPLHRFWSSHIVPVSGWCNKHATRDFSTSEKVTRGRVYQQEELEPTTPAKSTRELEQGPIGKKLSSAEKRQFLVDTLLGLEDSREVVYGTLDAWVAFEQDFPLPSLRQALSALEKEEQWHRIVQVIKWILSKGQGNTMGTYEQLVRALEKDNRAEEAHEIWQKKIAHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGHKRPRKDIIRKVEDAYEMLGLLEEKNALLEKYKDLYNKPYRGDRKKGSKSKRTEMNKTSADGSKMETSENLQDHCCRLDEESAATIKS >PAN41091 pep chromosome:PHallii_v3.1:8:931379:935171:-1 gene:PAHAL_8G013500 transcript:PAN41091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLRRSLRAFHRLPATGHAACDPVPLHRFWSSHIVPVSGWCNKHATRDFSTSEKVTRGRVYQQEELEPTTPAKDTDIIIDCIKKSTRELEQGPIGKKLSSAEKRQFLVDTLLGLEDSREVVYGTLDAWVAFEQDFPLPSLRQALSALEKEEQWHRIVQVIKWILSKGQGNTMGTYEQLVRALEKDNRAEEAHEIWQKKIAHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGHKRPRKDIIRKVEDAYEMLGLLEEKNALLEKYKDLYNKPYRGDRKKGSKSKRTEMNKTSADGSKMETSENLQDHCCRLDEESAATIKS >PAN41089 pep chromosome:PHallii_v3.1:8:931990:935092:-1 gene:PAHAL_8G013500 transcript:PAN41089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLRRSLRAFHRLPATGHAACDPVPLHRFWSSHIVPVSGWCNKHATRDFSTSEKVTRGRVYQQEELEPTTPAKDTDIIIDCIKKSTRELEQGPIGKKLSSAEKRQFLVDTLLGLEDSREVVYGTLDAWVAFEQDFPLPSLRQALSALEKEEQWHRIVQVIKWILSKGQGNTMGTYEQLVRALEKDNRAEEAHEIWQKKIAHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGHKRPRKDIIRKVEDAYEMLGLLEEKNALLEKYKDLYNKPYRGDRKKGSKSKRTEMNKTSGHYKSQQYYSVLSGWQQDGNI >PAN43170 pep chromosome:PHallii_v3.1:8:40035149:40036402:1 gene:PAHAL_8G214900 transcript:PAN43170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESPDVPQDILRCIFAILEIPDLVRAGSVCSSWRAAYTSLSTGNCRLQQTPCLLYTSESADAREAGLYSITEKKAYTLTLPDPPIRSRYIIGSSYGWIITADERSELHLVNPITSEQIALPSVTTIEQVKPVFDVEGAVWCYEYWWYTGKHVISNTPSVFPLSELRDFLFCKAFLSSDPSTGGYFVVLIHNPHSQLSFARAGDDKWTWLPPRSYYEDCLFKEGLLYASTAIGEIHTFNLDAPAVTRKLFLDKTKDIYFESIYIVQGSGGEMLQIWRSDAEPRGEDEDETDSDLELELDDDKFVNKTTAITVYEVEPASKRIEKISSLGQNVLFLGHNQSLCLHAEEYPQLKGNHVYFTDDDFLYTTGFKNNRRDIGVFDLENNSSEEITTPQLWSNWPTPVWLIPNPRRMSLATHS >PVH34453 pep chromosome:PHallii_v3.1:8:40887779:40889187:1 gene:PAHAL_8G221900 transcript:PVH34453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDEQGGPAYAVYDEPGSEPIILEIDRAHVYMHDRVVLSASPSAGRACVVLLLHMPMGEVSFARLGDDRWTWVAPGSCTGLRRRCFYQDAMYTDVDGLFYLLQIDDSIVSLDLNGSSPVA >PAN41752 pep chromosome:PHallii_v3.1:8:5712262:5717201:-1 gene:PAHAL_8G067700 transcript:PAN41752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERKKNSQIGSKGKEVQSKNSRREEQESGVQSKNLRREEPPASSEVDVDEPAVADQGATVDGASNGSSKESPLQPKDSKNSKGCVGKKSRSVSSDFGEELDLELGNSDKESERQQERKLSRQDRVELCRLFQHAVTSQDWESAEGLVGKADTQGLNDVLCVAVDAIWFLSDRDELYAVVGLIKRIVFEGAKDFTRAALRTSFLASCVSACRGRSTSLADAVSFMGQKLHERLQESQGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGKGNHDTVIEVQLQLSAFKTFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIAQRNSLDIDVDLALGFAAHYGKIETMGCLVEEGNAVGFLGPLMRAAERGCLQVVEWFVNHGCREMELCLALTAATSSSQIAVAAYLVPLVPQHVLAPLSIEIIKAAGERTTGSLHGVDFLLRSDFLNDPAATYAVADSIAKSADEAVDARLRSFMNEHWSEAAFSAGFEYAQQHFVNFMRIMERGESPICLRDLPVELVIAMAYLPLYKECINSSGQLLPQRLRGQLVEAASRLEGRQMDRGSQSRELLAILEHHIPHFMTQT >PAN41267 pep chromosome:PHallii_v3.1:8:2207877:2213067:1 gene:PAHAL_8G031500 transcript:PAN41267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAHEVAIYIDRFHNLDLFQQGWYRMKISALWEDDDHRAPISPARVTQYEAVDIGAKGSFGFWRIDDYDNSFCTQPFLVKYSRQDIYLSVMVSFYIPNSEDEGPATSSIILKFDLIYIPTLGNGWTEVQDSSDTELVPVHEFRIPHKVLLGLHSYCPVHFDALHSALVDLTIHIVYLKAGVTKSSLKPMEQSFGSKSYDIVKASLISREILLEELKKMSNAIGNTLEDLDGMDLTLGKYETIHPSKSGLSSCNGKGTLTKCTTPQLTGILRDFLESSGVMVGNTSDDVMLYTLSEEELLELFQIVSNQVSFIWNEFLKFHRTHRVKILDYLHAIWDLDRKAEWSIWIIHSKIEIPHRYLRSMTDYSPRHGHLLRISSSRKVHHDPIQNSMSQAELHRKSIAQMKINTRSIQDMHIYADPSCIPVVRIEKHVMIVPGHCSSKDFLTDSSEPVGTFLPPLLRGLSLEEETSGFKSGYVLRAVIFVHGFQGHHLDLRLIRNQWLLLDPGAECLLSQINEDRTSGDFKEMGSRLANEVVAFLKRKLDRYSKIGGCQEMKLSFVGHSIGNIILRSALTEPKLQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGMQCMHQLTFSDDHDPQNTFFYKLCKLKTLEYFQNIILVSSPQDGYVPYHSARIDLCHASSSDNSKRGQVFTEMLNNCLDQIRAPTSETRVFMRCDVNFDQSTQGRNLNTMIGRAAHIEFLENDVYARFIMWSFPELFR >PAN41262 pep chromosome:PHallii_v3.1:8:2208371:2212737:1 gene:PAHAL_8G031500 transcript:PAN41262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIGHQYRRPGSPNTKVDCAAVDIGAKGSFGFWRIDDYDNSFCTQPFLVKYSRQDIYLSVMVSFYIPNSEDEGPATSSIILKFDLIYIPTLGNGWTEVQDSSDTELVPVHEFRIPHKVLLGLHSYCPVHFDALHSALVDLTIHIVYLKAGVTKSSLKPMEQSFGSKSYDIVKASLISREILLEELKKMSNAIGNTLEDLDGMDLTLGKYETIHPSKSGLSSCNGKGTLTKCTTPQLTGILRDFLESSGVMVGNTSDDVMLYTLSEEELLELFQIVSNQVSFIWNEFLKFHRTHRVKILDYLHAIWDLDRKAEWSIWIIHSKIEIPHRYLRSMTDYSPRHGHLLRISSSRKVHHDPIQNSMSQAELHRKSIAQMKINTRSIQDMHIYADPSCIPVVRIEKHVMIVPGHCSSKDFLTDSSEPVGTFLPPLLRGLSLEEETSGFKSGYVLRAVIFVHGFQGHHLDLRLIRNQWLLLDPGAECLLSQINEDRTSGDFKEMGSRLANEVVAFLKRKLDRYSKIGGCQEMKLSFVGHSIGNIILRSALTEPKLQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGMQCMHQLTFSDDHDPQNTFFYKLCKLKTLEYFQNIILVSSPQDGYVPYHSARIDLCHASSSDNSKRGQVFTEMLNNCLDQIRAPTSETRVFMRCDVNFDQSTQGRNLNTMIGRAAHIEFLENDVYARFIMWSFPELFR >PAN41265 pep chromosome:PHallii_v3.1:8:2207877:2213068:1 gene:PAHAL_8G031500 transcript:PAN41265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAHEVAIYIDRFHNLDLFQQGWYRMKISALWEDDDHRAPISPARVTQYEAVDIGAKGSFGFWRIDDYDNSFCTQPFLVKYSRQDIYLSVMVSFYIPNSEDEGPATSSIILKFDLIYIPTLGNGWTEVQDSSDTELVPVHEFRIPHKVLLGLHSYCPVHFDALHSALVDLTIHIVYLKAGVTKSSLKPMEQSFGSKSYDIVKASLISREILLEELKKMSNAIGNTLEDLDGMDLTLGKYETIHPSKSGLSSCNGKGTLTKCTTPQLTGILRDFLESSGVMVGNTSDDVMLYTLSEEELLELFQIVSNQVSFIWNEFLKFHRTHRVKILDYLHAIWDLDRKAEWSIWIIHSKIEIPHRYLRSMTDYSPRHGHLLRISSSRKVHHDPIQNSMSQAELHRKSIAQMKINTRSIQDMHIYADPSCIPVVRIEKHVMIVPGHCSSKDFLTDSSEPVGTFLPPLLRGLSLEEETSGFKSGYVLRAVIFVHGFQGHHLDLRLIRNQWLLLDPGAECLLSQINEDRTSGDFKEMGSRLANEVVAFLKRKLDRYSKIGGCQEMKLSFVGHSIGNIILRSALTAQILCSILASGL >PAN41266 pep chromosome:PHallii_v3.1:8:2208371:2212102:1 gene:PAHAL_8G031500 transcript:PAN41266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIGHQYRRPGSPNTKVDCAAVDIGAKGSFGFWRIDDYDNSFCTQPFLVKYSRQDIYLSVMVSFYIPNSEDEGPATSSIILKFDLIYIPTLGNGWTEVQDSSDTELVPVHEFRIPHKVLLGLHSYCPVHFDALHSALVDLTIHIVYLKAGVTKSSLKPMEQSFGSKSYDIVKASLISREILLEELKKMSNAIGNTLEDLDGMDLTLGKYETIHPSKSGLSSCNGKGTLTKCTTPQLTGILRDFLESSGVMVGNTSDDVMLYTLSEEELLELFQIVSNQVSFIWNEFLKFHRTHRVKILDYLHAIWDLDRKAEWSIWIIHSKIEIPHRYLRSMTDYSPRHGHLLRISSSRKVHHDPIQNSMSQAELHRKSIAQMKINTRSIQDMHIYADPSCIPVVRIEKHVMIVPGHCSSKDFLTDSSEPVGTFLPPLLRGLSLEEETSGFKSGYVLRAVIFVHGFQGHHLDLRLIRNQWLLLDPGAECLLSQINEDRTSGDFKEMGSRLANEVVAFLKRKLDRYSKIGGCQEMKLSFVGHSIGNIILRSALTAQILCSILASGL >PAN42638 pep chromosome:PHallii_v3.1:8:27866634:27867929:-1 gene:PAHAL_8G154600 transcript:PAN42638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREVWQHGTNRINRNKLLRVAATASDSEETAIGAMTLGKAPAKPTNASKTTGKCRRPRCAGCHYHPTTKARDKAKGAHKLHACDVALNHRLVSWRAVDGGGAGSSGIPADYKGTSASSLLAYLAGSGSSWHEDDDDCASLETAPPIDGGLSNLYDLIVGRRANVTVLCGEEADPARATDLAVGDTDAIEESGQDGDYVKEDEDDEEDMGFCMVGITIAVEFSDGEEDWIVVEEI >PAN43258 pep chromosome:PHallii_v3.1:8:41104599:41110251:-1 gene:PAHAL_8G223700 transcript:PAN43258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAAGAVTSLLGVIRNEWRLLGRVGGDVHFIREEMESMNSFLMHLARTVPPGGEHDEQVQTWMNQVRVLAHDCNNCIDLYLYRGNPDIHLGRDPLRRCLRLVPWFLQKMLAQHRAALELSVLKERARDVGKRRLRYGVEVPKKAAAAPGPVPGAVPADAAHQGMRSREGSLVRVDDGGEDGGHDQLWTTTATDAASGRIRGALFGLYVREDYFNDQLAEWIEQVRAQAQWRAPDSRGRHDTRCVAFVVPSTESGTIARQASAVAKKHVKNTILVDIPSLHIWRMLGPKNILYYILREIELKVKQREADAKSQTQQQQQGQGIDRWRIRGEKQARIREIKTDIKKLKVIEKIEEIKTHIEKVKGDNKKLQPYLTKPTKKEDYITKNKILKEDHALAELLVLLLMPSAAADQMDKKNMLTLAEHHDVIIEKAAKKLKEHMEQVNTKAAGQGIIHSVAQYEHILHDVFPRMSSSTSQPQDAQEEDTRQATTEATTPGKIQIREIVDKVKQEILSEVFMAIGDKGQEATKTDTTTTTSTTTLDEAQVKKMIQEAIQQLKEEKPADKKQETGVSGGQGPTTEAPKKEPNKPDTGSSNPVNTGQEKSTDKKQENDDEATKKTGEILGSTIKETTEKMKDIQKKIKRQLDINGIVAKIKNHLKREETLIILKVDDKYVSQWEQTRSALSLLPCISVVMILTKTETNLKRAKEEYCYPKGEPIDYSSLAALYHGIVLEITGLQKSEYNDDEAQILRTILDKCESNELCMKIFAHALYAKPKRSKEELHRLHNNLQALPQKSYHSIAMKMFKFSCRDMPKEYQSCLLYLAIFPRGHKIRRSTVVGRWVAERMITTEDWRWSSSVQIAEQCFDALVTRGLVCSAEISATGKVKSCMVGNLAYDFITKMAKKHRIVEPRLSHHLVRFFSIFSDLHLRVSDKINDFLERLSESSQWPRLKVLDLEGCRCFKNNRRYLRNICNKIVLLKYLSLRGTDVNWLPREINNLRELEVLDIQQTEVPESFTRDLLLLKLKRLLAGNKSPSPHRTGGVGSTSSHDHVLVPVKIEKMLDMEVLSNVKAKSDQDFDDIGKLWQLRKLGVVINIKDKHTHLMNLLKAISNLHECLQSLSITLDATGHKGAPSRDSRDSPMVLLDNKIEEYPKVLESLSISGNIHILQDRFLPSVLTDKNTPLIKLTLSNTLLRQDNLVALANLHMLRCLKLRHNTYTHNKLTFKEVQFKKLDYFHVEGSNWIEISFEEDTAAPELKKIVLSFDNIESIGGVHHLKNLEELELNKYNKGSSSNSGGITDTTTTNPADTSVANPSTLPLNASAAAVVPSTATGTDPTTSAPAGGAANPSPRPLTASTSAVANDHVPSTPTVRNPAPSPTANTTADAVNPSPSTQTTSANQVLIPKLLSDARQVSKVTLCGTMLKQGGLRALARMENIRCLVLLHESYGERRLDLNKDEFPRLNVLIVSCSTVTEIRFESGSSPKLEKIVWTFANMNSFSGIGNLTRLKELELIGDSLPDRVKEDIDKHRDTIRFTHYKPESQDQTVRGTEKGDSVPSRVHIWKDKQVWCRRRNY >PAN40966 pep chromosome:PHallii_v3.1:8:536098:539036:1 gene:PAHAL_8G006100 transcript:PAN40966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAATTPLLLVSSRPYPHRHRHRHFPANPKPNALPHPLLSLRTSPAAPLVPLPRRRRNVTAAYGDDDMDDDFGDFDADDADGVGDDDDVDNEQDYDVDYDRLLAPVKPPPPPSSLPGEEGDIAMVAADSFVSTQDSASDTVVDYAVDEDEFRKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKGFGRYNVTEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRADDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDHSNWF >PAN43235 pep chromosome:PHallii_v3.1:8:40890800:40893139:-1 gene:PAHAL_8G222000 transcript:PAN43235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVTETAGGEVFDEMPQEKRARTDAGGEDPRGSSWAGLQPDALGVVLSFLPCLADRARVRSVCRQWRAAARGRGVVPALPLLVLPRFRFAGLTPGGVLAPARRAWMPPELDADNACCVGSSDAWLVGAGQAGGECFLVHAFSHEVRRLPPLGSSDCSLRKAVLSASPESGPNCIVAAFIIRWSKPELALWRSGMKSWRVCHHALFAGHIDIAFYQGKLYMLWRFTPCLFAFEITEDERGVAISRMKDCMIEKLLPSAVGSNHELSCNMVEWSGRLLLIIRYYGGYGYQARHRVKVKVFAMDMSTRTELRLTEIHSFGSDCIFVGSGGGKSFPAGRHDGVEGDLIYFGPDHYNPHDAFVYSMRDGRTGPIVRPSPCGTRASERNLGFPVWLFPSE >PAN43233 pep chromosome:PHallii_v3.1:8:40891065:40892207:-1 gene:PAHAL_8G222000 transcript:PAN43233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEKRARTDAGGEDPRGSSWAGLQPDALGVVLSFLPCLADRARVRSVCRQWRAAARGRGVVPALPLLVLPRFRFAGLTPGGVLAPARRAWMPPELDADNACCVGSSDAWLVGAGQAGGECFLVHAFSHEVRRLPPLGSSDCSLRKAVLSASPESGPNCIVAAFIIRWSKPELALWRSGMKSWRVCHHALFAGHIDIAFYQGKLYMLWRFTPCLFAFEITEDERGVAISRMKDCMIEKLLPSAVGSNHELSCNMVEWSGRLLLIIRYYGGYGYQARHRVKVKVFAMDMSTRTELRLTEIHSFGSDCIFVGSGGGKSFPAGRHDGVEGDLIYFGPDHYNPHDAFVYSMRDGRTGPIVRPSPCGTRASERNLGFPVWLFPSE >PAN43232 pep chromosome:PHallii_v3.1:8:40891065:40892207:-1 gene:PAHAL_8G222000 transcript:PAN43232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEKRARTDAGGEDPRGSSWAGLQPDALGVVLSFLPCLADRARVRSVCRQWRAAARGRGVVPALPLLVLPRFRFAGLTPGGVLAPARRAWMPPELDADNACCVGSSDAWLVGAGQAGGECFLVHAFSHEVRRLPPLGSSDCSLRKAVLSASPESGPNCIVAAFIIRWSKPELALWRSGMKSWRVCHHALFAGHIDIAFYQGKLYMLWRFTPCLFAFEITEDERGVAISRMKDCMIEKLLPSAVGSNHELSCNMVEWSGRLLLIIRYYGGYGYQARHRVKVKVFAMDMSTRTELRLTEIHSFGSDCIFVGSGGGKSFPAGRHDGVEGDLIYFGPDHYNPHDAFVYSMRDGRTGPIVRPSPCGTRASERNLGFPVWLFPSE >PAN43234 pep chromosome:PHallii_v3.1:8:40890800:40893139:-1 gene:PAHAL_8G222000 transcript:PAN43234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVTETAGGEVFDEMPQEKRARTDAGGEDPRGSSWAGLQPDALGVVLSFLPCLADRARVRSVCRQWRAAARGRGVVPALPLLVLPRFRFAGLTPGGVLAPARRAWMPPELDADNACCVGSSDAWLVGAGQAGGECFLVHAFSHEVRRLPPLGSSDCSLRKAVLSASPESGPNCIVAAFIIRWSKPELALWRSGMKSWRVCHHALFAGHIDIAFYQGKLYMLWRFTPCLFAFEITEDERGVAISRMKDCMIEKLLPSAVGSNHELSCNMVEWSGRLLLIIRYYGGYGYQARHRVKVKVFAMDMSTRTELRLTEIHSFGSDCIFVGSGGGKSFPAGRHDGVEGDLIYFGPDHYNPHDAFVYSMRDGRTGPIVRPSPCGTRASERNLGFPVWLFPSE >PAN42222 pep chromosome:PHallii_v3.1:8:8502722:8504750:1 gene:PAHAL_8G083600 transcript:PAN42222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTFSALLVSAVLLAASSIYFGRRAGSTNGSATARTHLHFYMHDEYTGPNPTAALIVPGRAPLPDTVGTSRRFGDIAVMNNALTEGPQRGSPRVGTAQGFTVRVSERGSVNALSMHLVMEDGEFVGSSLVVNSRVDTDLAMRESVIVGGTGRFRFARGYALSRSYDYDLAKGGIVEIDVYLY >PAN42221 pep chromosome:PHallii_v3.1:8:8502727:8504685:1 gene:PAHAL_8G083600 transcript:PAN42221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTFSALLVSAVLLAASSIYFGRRAGSTNGSATARTHLHFYMHDEYTGPNPTAALIVPGRAPLPDTVGTSRRFGDIAVMNNALTEGPQRGSPRVGTAQGFTVRVSERGSVNALSMHLVMEDGEFVGSSLVVNSRVDTDLAMRESVIVGGTGRFRFARGYALSRSYDYDLAKGGIVEIDVYLY >PVH34274 pep chromosome:PHallii_v3.1:8:36101708:36102003:-1 gene:PAHAL_8G184800 transcript:PVH34274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRYHLVAKMNRIRRIPRPQPLPVHQQILLQQPQQ >PAN41179 pep chromosome:PHallii_v3.1:8:1548470:1551041:-1 gene:PAHAL_8G023000 transcript:PAN41179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKPCKVGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIELVLEKTYDEKAGIGMPDL >PAN41249 pep chromosome:PHallii_v3.1:8:2132435:2140654:-1 gene:PAHAL_8G030300 transcript:PAN41249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEPREGSDRAPDASAAAGPAPAASEVDMEAADTGGAVGEPAAARAASEAEVGEGAAAERARDAGASACEPGVEVDEGGEQPAEPVANMMDAGGAAFGGEGHSSVAAAKEVDEGGIQGAVQDVAPVVSEAKMEVDAGGAANKECSAAPTVSELYVGILPGAAQGLAPEVSEVKMEVDVGGAASKENSAASTVSEVNVGSLPGAAQDLAPAASEAKMEVDGGCAREQECTAAAVAGEVQMEEGDGRVVNQGPATTPAGGLQLKQEVGGCLVGRYIGRSVPGHARILIGKVASYDSATGVYSVVFEDGHGEDLGLPQLQEFLMSDENGALGMKVSCRKRKLDLLVSSGSALEVKEPASTRQRVDGCETSARSDAPQNSGSGSDMSEDVESSSNSSDFTKEEPFEPCPPVQAVELPTSSGDIPVPEESISYLFSVYNFLRSFSVRLFLSPFGLDDFVAAINCTVQNNLLDAVHVSLMRALRRHLESKSAEGSQLASNCLKYLDWTLLDALTWPTFLLEYLYVTGCIKNLGGRSFGRSLLATEYYKLPVAMKLRVLQILCDHVIESDELKTELEDREGYYEELEYEMDSGAFLEAGSRAVSTRASKGSAYKRMNDLQNLESAPNDPEVAVANASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGEWFCPECVVNKLGSTSSRIERGARGAQTFGIDMCGRLFLGTCNYLLVIETSSDAESYARYYNHYDVVKVLQRLAPSDAYVDICRQIEEYWKHLLGIVQSERSKIGKEVGVSHTLQSSMLSFTPRKADGSDWTTLKDGGDSKTVALPQTNVQQKLLANEEQKCMPSLVSVTEKNAEVCNQPLSVQYNAHNAPRNGAFGPSAVSSISHQNGSVVTGVYNIAQAQPAQSISRPVLSTYVGIDCMPREGAVSTISAKCPSYQGKQHVQLFAERSGNMSGGKAAKLSSFKPQAYMNLYNHGNIAASAAANLAVITSDEGKVSASKLTANPRKRMAADNSLQLKAFSSAAAQFVWPSTEKKLMEVPRDRCGWCLACRSSAIGNKKACFLNMATANAAKGSARILSAMRVIKSSDSHFPSIVAYLANMEESLRGLLVGSLQDVQQKERWHQQLQEASNCRTVIPLLLELESNIRGVAFSASWLKPIDDWPVESPGLSAGASRPAQYQKRGAGGRRGRKRSLASESGTATDDDNSWTWWSGGNISKRTLQRGAFLCSTIRKAARQGGKKRIAGLSYHEGSNFPRRTRQFAWRACVGLSQTSSQLALQVRYLDAHIRWKEFIPPDQIPSDGKSSDADFSALRNAVICDKKIIDNKIRYALKFPNQKHLPVRVTKNILEAEGDQDENSKLWFSENHVPLCMLREFEQNAGSKSLSTPGISSSNYFTNFYPRRGKACAGDVFSYLFHKGEVYPCTSCKKDVLYRDIVKCGSCQGNCHKECTSRSIVSKGGSATSNLTCKLCLQKRNLMLTSYNTNASYIRPQQKSTGQQQVTAPKIVFKVGSSHSAEPTLKVEAQPVTKVKAQPATKIEAQPLAKVEAQPIMNMKSQPIAKMETQPLTKVEALPITNVTTLNDTSVQAQPKTKAKSKSEKPKKPKKVQVITYFGLVWKKNKNDKDDGSDFRANDVILKSKDGIGSTIKPICCLCNKTYSPDFLYVRCERCRNWFHGDALQLEDERIGELVAYRCCRCRRRAIPQCPHSDDYTKPEAEFSEQTVATSSQSTMLSSEETFPLADQDPLLASYGIVEPIREEVDADLSTNMVSFAPGSNQKLSVRRAQTKNCEYLDQAGIPVNEYYIQNQPPGNGNISFSNMNEYSFSEADSVDASELLGWDFSQGTAYADSTANHQANDTSCGSFATDQYEPQTYFSFTELLEADDTQLDNAFGMSTGLQDDGNCTGNFDQQGAGFDDMSFMIEDGASNMNFPTDDPSPAVVACHKCQNTEPPPDLKCAVCDLHIHRQCSPWDENVLPTARGDWSCGACREWR >PVH34302 pep chromosome:PHallii_v3.1:8:37120098:37120696:1 gene:PAHAL_8G190100 transcript:PVH34302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDSYNCVICNNSVEETVDHLFFQCPFSQSCWNLLNLQIPLQASTLQSLDLLKFELHSPLFKSIFILLCWAIWTSRNGFIFEGIQPTVDGCCRTFKKELDLLQHRVKIKHKQHLEEWLNRFP >PAN41614 pep chromosome:PHallii_v3.1:8:3728821:3729747:-1 gene:PAHAL_8G049100 transcript:PAN41614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSFAALSCLVAVALLAATAAPAAGETETHLRVFWHDVVSGGPNVSTVVQVAEGPSSNASATGFGSVVVIDDPLTEGPNLTSRLLGRAQGMYVSAGKDSLSLMMAMNFVFVDGAYNGSSLAILGANPAERRVREMAVVGGTGAFRFAHGYCEARTQWIDTRTGDATVEYNLYVRHDD >PAN42298 pep chromosome:PHallii_v3.1:8:13829608:13832734:-1 gene:PAHAL_8G102700 transcript:PAN42298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSIMLSSLSTCAAFRSKSNCKYLRSCADHGKGADGQLLQLAGDDAENPLTRFFVETSSKHDGLVHIRCGYNNKYWVAEKRHGDEWWIASSADKPEEDLSQESCTLFQLKPVKEDPKTVRFYHARLGKCFGILSDSKGENEAYEALLHAVDEEQSEQFVLELFQYLLPKYVCFKGDNGKYLTAQSLHNSPFLVFESEDIKDPTVMHTTTPNRDGTMRIKSDHFDRFWRNSVSTNLGPWILADSSDTNNDDPNTLFQAWYTGGAFRLLNLGSNQYCKRLTMSNLEHGLSATGTRLEPWSRLQIEEPVLSRKITAFLRSENAVIFGEKLLILATASVTNNTSSVMPRVKLTLDYTLVEMKGWHSKVHFKSPVPTLITSENVYMQYGFIAVSPMFFNGLISWGASNVKSSKVTEERFIDVPPMTKVTITCKGVMSNYELPFSYRQTDELVDGEMVTLQYDDGLYTGRNVHSIIYDTKEEKIDQ >PAN42316 pep chromosome:PHallii_v3.1:8:14007125:14012888:-1 gene:PAHAL_8G103700 transcript:PAN42316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLILFALLAGAAAFLLFKFATVVDGDLTLVSRGPPRRERVDGKVVWITGASRGIGEVLAMQFANLGAKLILSARNKDELERVKQNILSKNPDSRVEALPMDLSAGEESLKQVVHGAESLFSNAGVDYMIHNAAFERPKRGALEESEEGLKATINVNVIGTITLTRLLAPYMLDRGMGHFVVMSSAAGKVPAPGQALYSASKHALNGYFASLRSELCTKGIKVTVVCPGPIETPQSSATSSSVQRHSSEKRVSVERCVELTIVAATHGLKEAWISYQPVLTVMYLVQYMPIIGYWLMDKIGAKRLDAAAKKGNTYSWNLIFGGKKSA >PAN42332 pep chromosome:PHallii_v3.1:8:14404717:14406690:1 gene:PAHAL_8G105900 transcript:PAN42332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRRPQQQPPPRPRPQPLDFDPPGRVLFRVTFNVAGATATGFNHFIEQVQRRIFDLIERPRYYGRGTMVLPCPQAQQPTWFVIVLESRDREMRYSVPFFIEAESIYLHGYQNQAGYLFEFDVDPTQDNQPASGSYLGRNASTPLPFSCNYGPLVRATKRKGPNKTVLDPGLTLLEDEPGTPMPDFGRHRLMDAVMELAQGDNSGSLHRTAQNLLLVVIRFCESIRFRSVQREMASLWEPTTSAAASLSSCGVGQIKNWNSIATNLQDSARHPVAWPAELVKAGVRSAAEAQEILVVIKFIGYPNEPGNPTPEWGNPPPDNPYRRHGGGPGPGPGGAAGGVLAAEVPRWFLVPEEPVMQILGVDIPRQRGAGRLEGVYGSITVTDGFGTQTIYRRNWDYYQRNSGDSEMSLELEALNRAISAYDSVDVDVDLSSASPAAPLTTGNFSWSVYNCRANRYDHVLRRTIGRAVLEYIVYSKAVQVAVSVTVDGGDDVAHAWFTTMNTMVGVLQPGPRRYMTRAGGGRLLRGDYVVAAPLSARLEVVVTVEHKGSRQLVGRTFELQPTGDGGRSTRTETVRQGTSVKVDFAWNLLRMGLPSPTSSAGRNSHDELRRR >PVH34495 pep chromosome:PHallii_v3.1:8:41835584:41836656:1 gene:PAHAL_8G231800 transcript:PVH34495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELAVRDRRLNEGAMGRGVGQWVQVWCLPAILLPSRFLAFLSPKIRPQIAARRAGHGPCYCYGLNGWDSGLSGSDTSIISLLES >PAN41400 pep chromosome:PHallii_v3.1:8:2977452:2978372:-1 gene:PAHAL_8G040900 transcript:PAN41400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRAPTPATTGGVPPSALRPPRPRGSDVFIRLVCAENLMPELATIRALLPQYPYVTVYAEHAAGGGGYADADGRVALPPGVRVEGLPAAARYALAKIDVDAFPLLRLGITLCDAHGRLPSLRAVPWAAAAAASVWQVELLPSRGSSSSSGGGGGGAATLRELAYALRATGVVSPETWGNVTWVAHGGLYHLGFLLKALTGGAPLPDTRGEFLAALRGYLGGRVFDVRYVAARVPKGVTLKGPLAYLAALLGAPAAAARGPWQAGEKSLAACQVFMRIKGLYFAWDGINMHAGCIDGLHAPPPWS >PAN41821 pep chromosome:PHallii_v3.1:8:6270606:6272183:-1 gene:PAHAL_8G071900 transcript:PAN41821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPLEELMPLDPETFAGESSTVVDFLTDYYRNVNKYPVMANTEPGSIRKLLPEVAPEMGESMDRILDDVRRDILPGLTHWQSPSFFAYFPANASTAGFVGEMLSAGLNVVPFVWMASPAATELEQIVVDWMARLLGLPECFHFNGGGGGVLHGSTCEAVVCTLTAARDRALSKLGHAGILKLVVYASDQTHATFQKGASIVGIPPANFRILRTSADSGYGLTADTVQRAIEEDIARGLTPLYLCATVGTTGLGAIDRVRELGHVARRYGTWLHIDAAYAGSAAICPEFQGHLDGTELADSVSMNPHKWFLTNMDCCCLWVANPTTMTNALSTDPEYLKNVSPASKMTTDTIDYKDWQIALSRRFRAIKLWVVLRRYGAAGMRAHIRRHIRMAEWFERVVAADERFEVVVPRSFSLVCFRLRPRFMDDIAVEALNRELLAAVNASGRAFITHFVVDGKFVIRLAVGGAMTELRHVRGAWELVKEKADELLANCC >PVH33954 pep chromosome:PHallii_v3.1:8:15149181:15149564:-1 gene:PAHAL_8G109000 transcript:PVH33954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPAASRPSSAGAASGAALSPGAQLHAQDLVGGRLPDATLDTTPSCSAAAAVFDAMPSPSMRAYNVLLAASPPVAALEILARLLGAGHRPDRYAVPAVLRAPTELRDAGCWRRTPRLRCPARGC >PVH33952 pep chromosome:PHallii_v3.1:8:15146095:15149613:-1 gene:PAHAL_8G109000 transcript:PVH33952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPAASRPSSAGAASGAALSPGAQLHAQDLVGGRLPDATLDTTPSCSAAAAVFDAMPSPSMRAYNVLLAASPPVAALEILARLLGAGHRPDRYAVPAVLRAPTELRDAGCWRRTPRLRCPARGC >PVH33951 pep chromosome:PHallii_v3.1:8:15146095:15149613:-1 gene:PAHAL_8G109000 transcript:PVH33951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPAASRPSSAGAASGAALSPGAQLHAQDLVGGRLPDATLDTTPSCSAAAAVFDAMPSPSMRAYNVLLAASPPVAALEILARLLGAGHRPDRYAVPAVLRAPTELRDAGCWRRTPRLRCPARGC >PVH33953 pep chromosome:PHallii_v3.1:8:15149181:15149564:-1 gene:PAHAL_8G109000 transcript:PVH33953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPAASRPSSAGAASGAALSPGAQLHAQDLVGGRLPDATLDTTPSCSAAAAVFDAMPSPSMRAYNVLLAASPPVAALEILARLLGAGHRPDRYAVPAVLRAPTELRDAGCWRRTPRLRCPARGC >PAN41958 pep chromosome:PHallii_v3.1:8:15945264:15946810:-1 gene:PAHAL_8G113100 transcript:PAN41958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEMGFQRSWCSFLVVVAAIMARLVATTMAQTNPNRTVVEEGAGWLRVYSDGTVERLTPPGAEPFTAIVPPYAEPRGGVTVHDITTGHGVDVRLYLPEAAGESPHRRRPVLVHLHGGGFCVSRPSWALYHNFYAPLAAELGVAGIVAVYLPLAPEHRLPAAIDAGHAALLWLRDVAHGGSNVYGDGHSAAVERFGHAADFSRAFLIGDSSGGNLVHLVAARAGDEEKPGVLHPVKLAGGVLLHPGFAREQKSRSELENPPSMFLTPEVIDKLLALGLPMGVNKDGPYTSPELAAMAVAHVRMPPLLLMVAEKDLLHDPQLDYGKAMEHAGKKVETVVSRGDVAHIFYLNFFAVQSDQLTANRTKELVHTIKCFIDRH >PVH33801 pep chromosome:PHallii_v3.1:8:5725134:5729442:-1 gene:PAHAL_8G067900 transcript:PVH33801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDAHGWRLEESIGSGTIIDPDGTILTCAHVVADFQSTKAVVRGKVSVTLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAARLGSSSRLQPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGLRREYLQTDCAINQGNSGGPLVNLDGEIIGVNVMKVRNADGLSFAVPIDSVVKIVDNFKRNGRVVRPWLGLKMLDLNPMIISQLKEKSSTFPDVRKGVLVPMVTPGSPAEQAGFRPGDVVVEFGGRPVESIKEIIDIMGDKVGVPFKVLVKRANNVTATLTVIPEEADASR >PAN41757 pep chromosome:PHallii_v3.1:8:5726420:5729442:-1 gene:PAHAL_8G067900 transcript:PAN41757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRGGRRAALLLAAAGVTGAGALARRDPDTAVYASASPPLRQALSAAAEGLRSGTRLFSPWLLPPPHQGFPILNSFVSASVSPADLSSQGSAGSSDDSRCCPGCLGRNSIAKAASAVGPAVVNISCMQDAHGWRLEESIGSGTIIDPDGTILTCAHVVADFQSTKAVVRGKVSVTLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAARLGSSSRLQPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGLRREYLQTDCAINQGNSGGPLVNLDGEIIGVNVMKVRNADGLSFAVPIDSVVKIVDNFKRNGYALQQNVAFNLLLSWY >PAN41754 pep chromosome:PHallii_v3.1:8:5725411:5729389:-1 gene:PAHAL_8G067900 transcript:PAN41754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRGGRRAALLLAAAGVTGAGALARRDPDTAVYASASPPLRQALSAAAEGLRSGTRLFSPWLLPPPHQGFPILNSFVSASVSPADLSSQGSAGSSDDSRCCPGCLGRNSIAKAASAVGPAVVNISCMQDAHGWRLEESIGSGTIIDPDGTILTCAHVVADFQSTKAVVRGKVSVTLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAARLGSSSRLQPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGLRREYLQTDCAINQGNSGGPLVNLDGEIIGVNVMKVRNADGLSFAVPIDSVVKIVDNFKRNGRVVRPWLGLKMLDLNPMIISQLKEKSSTFPDVRKGVLVPMVTPGSPAEQAGFRPGDVVVEFGGRPVESIKEIIDIMGDKVGVPFKVLVKRANNVTATLTVIPEEADASR >PVH33472 pep chromosome:PHallii_v3.1:8:665604:668703:1 gene:PAHAL_8G008600 transcript:PVH33472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWTRIHRLNETAHAHRGHGHPHHLLGTHHSHTTHYIQIRGRAYLKTNIPLHSLITSTCSHSSFPSKQAHPSTSTVPSPITFTHNPDSTIMARAHQVVAVAVVAAVLLAAAATTEAAVTCGQVSSAIAPCLSYARGTGTGPSAACCSGVRSLNSAARTTADRRAACTCLKSAASSLSGLKAGNAASIPSKCGVSIPYTISPSVDCSRVS >PVH34224 pep chromosome:PHallii_v3.1:8:33994376:33998854:-1 gene:PAHAL_8G175500 transcript:PVH34224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRTIESHSFNSFEGNPIFPITQNSTDLIQNPKAYFYPLHPSSSPPPLAQSLLQSVAPPTSPPPQPSPHRPVPLDGMASPAPATPAAAAAHSPPPRIGLAGLATMGQNLALNIAEKGFPISVYNRTAAKVDSTLLRARDEGALPVLGHRDPRGFVLSLSRPRTVVLLVQAGPAVDATIDALTPYLEPGDAIVDGGNEWYQNTERRIEEAAARGILYLGMGVSGGEEGARNGPSLMPGGHADAYNNIKDILEKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNKGELESFLVEITADIFTVADPLDGSGGALVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVTAAGVLEEEGMPAGLLEKINVDKKELVDRVRQALYASKICSYAQGMNLIRAKSEEKGWNLNLAELARIWKGGCIIRARFLDRIKRAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMTASLSYFDTYRSSRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARRSNGAAI >PAN41638 pep chromosome:PHallii_v3.1:8:3920183:3922464:1 gene:PAHAL_8G051300 transcript:PAN41638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMNGAAAPEAVAEAALAPEVESEVDAFQRQVDDLVSKTDVLERRVNEVVDFYDGKKHGSGGRKGGRHGAYGRGMPDLMRQLGVILREITDDNDAWPFREPVDVVGLHLHDYYKVARLSLIMHFYPLLL >PVH34371 pep chromosome:PHallii_v3.1:8:39247300:39247988:1 gene:PAHAL_8G207600 transcript:PVH34371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNKKLVVSAFTLALLLASCRAQQTRALCEEKIDWFVCLGDWMCKPMCFGEGMTGGRCSKKMHADPSSVAVTSVSVCFCMKPCHGEDDPRPKKQPMPRIRGMRMLR >PAN43545 pep chromosome:PHallii_v3.1:8:42863356:42866637:-1 gene:PAHAL_8G243900 transcript:PAN43545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSLSLAVAATAVAAAVIFALSATVLLGAAAAVVEHTFVVSQMNVRHLCKETLVTVVNGQLPGPAIEITEGDSVAVLLVNTSPYNITIHWHGVKQWLNCWADGVPMVTQRPILPNHNFTYMFNVIGHEGTLWWHAHVPFLRATLHGALIIRPRHGASSYPFPKPHREFPIIIGDWWELDLPQVGWNMKHGSFDFFASGSTINGKLGDLFNCSGVAEDNYVLDVEPGKTYLLRVINAGLFSEFYLKIAGHKFTVVAADAHYVSPYTMDVIAIAPGETVDALVVANAPPGRFYMVALPNQAPLPDTQTPEYTTRGMVQYSRNHSRSGANGAAALMSTHGAKEEEEEEGPSGDVAVAPEMPGHHDTITSFYFHSNLTSLHHPLVPQRVDEHLFIVLGLGSVCKHGRQSCKREDKNETILVATMNNISFQHPVATTPLLEAHYYHTGGRNAMEELPDQPPRVFNFTDLDLIPFGPKEMQLEPSSKATVVRRFRHGAVVDMVFQSTAMLQGDSNPMHLHGHDMFLLAEGLGNYDAAKDMTRFNLVNPPVKNTVLVPNLGWAAIRFVANNPGVWFMHCHYEFHLAMGMAAVFIVEDGTTADTSLPPPPVDFPTCFGNCDNVLPYELRLENMKGEHNSI >PVH34225 pep chromosome:PHallii_v3.1:8:34005426:34005815:1 gene:PAHAL_8G175700 transcript:PVH34225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIGIMKGNMHCKKTEDTISSNMCTQLKIECKLGRSRIFKKAMILYCISPYVCMTLHPTAKHQ >PVH33660 pep chromosome:PHallii_v3.1:8:2983406:2985422:1 gene:PAHAL_8G041100 transcript:PVH33660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISSKVFMAQGTGVRSSSLLPSKAASRPSLLLLLLLLASSCTVHPARGEGLLTDGFTAVELSEAQFKVQKPYDVPLAERYELLAGGVRRMWVYATDKPITTAHPGGPRTEIKIELVYSSGVWQFEGYGYIPCGTSGASVMQIFGAAEHATTLMLHVYGGRLTYYHDVATGVVDAGIYDRWFRLNVVHDVAASNVTVFIDGERRLTMPGRGGGSHYFKFGVYGQSRNGMSYRMESRWRDVKVFTKCS >PAN42674 pep chromosome:PHallii_v3.1:8:25477338:25485350:1 gene:PAHAL_8G146100 transcript:PAN42674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESLCYCLLLLVGHFSLSASSTAAGKVSAIIVFGDSTVDAGNNNFIPTVAKGNFPPYGRDFDGGLATGRFSNGRLVTDFLSEEFGLPSSVPAYLDPSYTIDQLATGVSFASGGTGLDYLTAKIASVIPLSQQLEYFVEYKERLKVAKGESVANEIIAEALYIFSIGTNDFIVNYLVLPLRPTQYTPPEYVAHLIVLADTAVRDAYDLGARKIEFTGLAPFGCVPVARTLNHDKPGECNEEYNKLARRFNAELKEAMRKLNGELAGAQVVYAETYSLVSAIVANPSDYGFENVVQGCCGTGLIETSVLCGVDEPLTCQDAEKYVFFDSVHPSQRTYRIVADNILNNALKVFM >PAN41831 pep chromosome:PHallii_v3.1:8:6323416:6338098:-1 gene:PAHAL_8G072400 transcript:PAN41831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNEDDAGGASPPPPSAAADAAPVPAAVPKPSTYVPMGALRGGECADLLALVAAVTRPLEDAVADFRARVAPERRLRFGSAVSFVLEDKMMLQPAERLIAFAILHQGYSSQLANPFVPLLLNAACDETSEKAERVFLQLLLSSTNGDSNEVLKQSAVDYLNGSDYASQVLLPREQLEKHCSRDAASSLQSSFRDATVRSAIPDPDVFQSCGSSAEMSPIKPNRDNMIASLLQQTSLKGLTPQWIRPPPPRLEILEGELQWLNQDNNHELLWDGSMCADTSRGAVIRELVEKACKGPLAPSQQETIVLDLGMDWKLVYHCGMTPQKLPDLVEHNPCIAVEVLSKLINSPDMDAYFDVLVHMEMSLHSMEVVNRLTTAVVLPPGFIHDYISNCIRSCEDIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFVEVQAFCIAFSRIREAAGLFRLLKSLE >PAN42615 pep chromosome:PHallii_v3.1:8:26736429:26739443:-1 gene:PAHAL_8G150500 transcript:PAN42615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSLAVSGGAAAASFPAAAGADARRPPPSSVAVADKSNSNGRSIQVAQNGGGMEAPLRPLDVEEAMGMLREAKTVRSAMYVPLLHRCVEAGSLGDARAVHGHMVKTGTGADMFVATSLVNAYMRCGASRDARTLFDGMPEKNVVTWTALITGYTLNSQPAVALEVFVAMLDAGRYPSHFTLGATLSACSASDNVGVGKQVHGYMIKYGAESITSIGNSLCRLYAKSGSLESAMRAFQRVPDKNVITWTTMISACAEDENFVELGLTLFLDMLMDGVMPNEFTLTSVMSLCGTRLDLNLGKQVQAFCFKIGCETNLPVQNSTMYLYLRKGETEEAMHLFEEMDDVSIITWNAMISGYAQIMEIAKDDLHARSRGFQALKIFRDLKRSSMKPDLFTFSSILSVCSAMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGCIEDATKAFVEMSTRTLVTWTSMISGYSQHGRSQEAIQLFEDMRFAGVKPNEITFVSVLSACSYAGLVDEAERYFNMMKEEYKIEPVVDHYGCMIDMFVRLGRLDDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELNPKGIETYVLLLNMYISTGRWHDVARVRKLMKQEDLGILRDRSWITIKDKVYFFRANDKTHEQSDDLYQLLENLLEKAKTIGYEPYQNAELSDSEDDEKPAAGSLKHHSERLAVALGLLQTPPGATIRITKNITMCRDCHSSIKFFSLLANREIVVRDSKRLHKFMDGRCSCGDFSSLL >PVH34592 pep chromosome:PHallii_v3.1:8:43787553:43790812:1 gene:PAHAL_8G255100 transcript:PVH34592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGEVATVAQLVGLDASSLITMIAEAARTVRRNRATCRQLARRVEMIGALLRRLQDAQPMRQPETRAPVEELEETLRRAYLLVRSCQRRGYAYRCFMGARHADELREVQGEIGFYLQLFPLVSYVDATLNWVRLVNKADETSSCQEAPVVRPYLVPLLLLSCDGCCLAFVQGVCLGNWYSTHTVCTSSAIVFCVRICEVFMCHCRSQNTLLTYSYITQHTNNDLASTLFFSLGTAS >PAN41209 pep chromosome:PHallii_v3.1:8:1858963:1861369:-1 gene:PAHAL_8G026400 transcript:PAN41209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFLPSQQSVLTMDSADSTAATAALAPNGAADPAAAIDDDDDNDGVPEVAACISSMVDRGGSVESHRLFLARRTALEMLRDRGYSVPEEELARTLPEFRAWWADKPELERLAFSTTLASDPSNKVKVVFCPPEPVKIAVIREIYSGVKEDNLSRLILILQSRVMSRAKESIKEIFPFKVDTFQITELLVNITKHVLKPKHEVLTAEEKAKLLKEYNVVDSQLPRMLETDAVARYHGLGKGTVVKVTYDSELTGNHVTYRCIF >PAN42301 pep chromosome:PHallii_v3.1:8:13871657:13874824:-1 gene:PAHAL_8G102900 transcript:PAN42301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSIMLSSLSTCAAFRSKSNCKYLRSCADHGKGADGQLLQLAGDDAENPLTRFFVETSSKHDGLVHIRCGYNNKYWVAEKRHGDEWWIASSADKPEEDLSQESCTLFQLKPVKEDPKTVRFYHARLGKCFGILSDSKGENEAYEALLHAVDEEQSEQFVLELFQYLLPKYVCFKGDNGKYLTAQSLHNSPFLVFESEDIKDPTVMHTTTPNRDGTMRIKSDHFDRFWRNSVSTNLGPWILADSSDTNNDDPNTLFQAWYTGGAFRLLNLGSNQYCKRLTMSNLEHGLSATGTRLEPWSRLQIEEPVLSRKITAFLRSENAVIFGEKLLILATASVTNNTSSVMPRVKLTLDYTLVEMKGWHSKVHFKSPVPTLITSENVYMQYGFIAVSPMFFNGLISWGASNVKSSKVTEERFIDVPPMTKVTITCKGVMSNYELPFSYRQTDELVDGEMVTLQYDDGLYTGRNVHSIIYDTKEEKIDQ >PAN41703 pep chromosome:PHallii_v3.1:8:5293268:5295704:1 gene:PAHAL_8G064700 transcript:PAN41703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLLRPWPCAIATMLLALATVASVVAAGTQDEAAASRDHNVTIDVSRIAVSAAAPPWGVNVSAICLSTPYPSACETALSSPASGSARDPFAASVQFAMARAASARTLARNLSGASSSSRRLRSAPPSGAEDCAELLDISLDQLGDALAAAAGDADGVTTWLSAALTNQGTCVDSLAADTVSAGRDAVRARVSALTQFIATALALHVNKLNADARGGGGSPPPSGAPTPTPPAFPSWVTEHDRKLLEASAGATSGVTVDAVVALDGSGTHRSINEAIAAVTAANGGGRRVGGGGGRKVIHVKAGRYEESVSISSKQKNVMLMGDGKGKTVIVGHKSAADGYTTYATATVAAMGSGFIAKGLTIVNSAGPGKGQAVALRVGGDLSVVYQCAIQAYQDTLYTHSNRQFYAEDDIAGTVDFIFGNSAVVIQNCDIQARRPSPRQKDTVTAQGRTDPNQNTGISIHKCRITGAPDLGGTPVYLGRPWQKYSRTVVMESFLDRSISPAGWLEWSGQFALDTLYYGEYSNTGLGAGTSMRVTWTGVHTSLSRSEATRFTVANFIMGDSWLGATGVTYTSGL >PAN41048 pep chromosome:PHallii_v3.1:8:413153:416754:1 gene:PAHAL_8G004000 transcript:PAN41048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRPLLLLLLLLAPPATAKAAHHPAGDGVVISQADYQGLQAIRHDLADPYGFLRSWNDSGLTACSGAWAGIKCVLGSVVAITLPWRGLGGTLSQRGLGQLTRLRRLSLHDNAIAGPIPASLGFLPDLRGVYLFNNRFSGAVPASIGGCLALQSFDASNNRLIGAIPPAVANSTKLIRLNLSRNALSDEIPAEVVASASLLVLDLSYNNLSGPIPDAFAPSTKSPSKLLNKESITGTYQLVFLSLAHNSLDGPIPESLAKLTKLQDLDLSGNNLNGTIPAELGNLTATLHSFNVSYNNLSGAVPPSLARKFREPAFTGNILLCGYSASTPCPASPSPAPSAPASPAEESRGRRKFSTKELTLIIAGIVVGVLILLLLCCLLLCFLTRKRSSSGTAAGARSGKQQAAKDAAGAGAAAAGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLTSFLHARAPNTPVDWATRMTIAKGTARGVAYLHDDMSIVHGNLTASNVLLDEQCNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKTPAESTNGMDLPQWVASIVKEEWTSEVFDLELMRDAAAGPVGDELMDTLKLALHCVDPAPSVRPEAREVLRQLEQIRPGSDGGAGPSEEGAGAHVPAAPAGDDE >PAN41385 pep chromosome:PHallii_v3.1:8:2898214:2898882:-1 gene:PAHAL_8G039600 transcript:PAN41385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLEAKVITTTNHDADRPATAAAVTDVDEDELFELDIALLDGRSAAAAAAAAAADDDAGGHALLANCLLPVRSVSNAVPVAASTSYPYSGYHSSRRLIFTGGVGRRFLGRSAGSSARLCFSSRGFETLGNYYFQRY >PVH33684 pep chromosome:PHallii_v3.1:8:3369942:3371660:1 gene:PAHAL_8G044800 transcript:PVH33684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPFGRCGQLAGGRTRGLRRRSRSSRAVSAATDTGGDRPGSTVRVGRAAGPRCGRGTRWSRTQCAPAFVSAQQQLGRGAAGPREGMRLPAPARRAAVGPHEGLRLPAPARRCAEGPCARRALDRPTRGPRISPTPGALRMASPGSRSGSVGAVVAGDLGLVVAEAWASFLTAFMNN >PVH33797 pep chromosome:PHallii_v3.1:8:5687670:5688441:-1 gene:PAHAL_8G067300 transcript:PVH33797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPSMHELDFRPGTRETVGTHTYPSIFSYAPTLRYCTTTRFLTREKKMQDQSNVIRYSD >PAN41470 pep chromosome:PHallii_v3.1:8:3463242:3468823:-1 gene:PAHAL_8G046200 transcript:PAN41470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPCTLMVHFDKGSPAMANEIKAELESGDGPAKADAMRRAISLLLNGESLPHLFITVVRYVQSCDDHAVQKLLLLYLETVDKRDAATGKVLPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLTEPELLEPLVPSILANLEHRHQFVRRHALSAVSAIYRLPQGDQLIPDAPELVERALASEQDAAARRNAFLMLLASAQDRAVSYLLANADRVAEWPDLLQMAAVDLIRKVCRSKGRTDKGRYIKIIISLLSAPSSAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIILDRLHELRTSHREVMVDVVMDVLRALSSPNVDVRKKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAAEVEKGGEYRQMLVQAIHSCAKKYPEVAASVVHLLMDFLGDPNVAAAHDVVLFVREIIETNPKLRVSIIQRLIDTFYQIRASRVCICALWILAEYSLSLSEVESAISTIKQCVGDLPFYTVSEEGETTDSAKPSQPVANSVTVSSRRPAVLADGTYATQSAATETAISAPAAALGSLASTQNLRSLILSGDFFLAAAVACNLTKLVLRLEEVQPSKVEANKAYTGALLIMVSILQLGESSYLPHPIDNDSHDRIVLCVRFLCNPGDDARKVWLQSCRQSFVNMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDAVQDDLKAATGQFTKDADDTNKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSANMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >PVH33431 pep chromosome:PHallii_v3.1:8:379711:380055:1 gene:PAHAL_8G003100 transcript:PVH33431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDKVIFSIYYGEGNVIYGPNGLDSSEFNCTVRGIIRPHERTFESLCNWLMRGLRIDQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNVSYWQWPLVLLSVCTRIL >PVH34570 pep chromosome:PHallii_v3.1:8:43472195:43475367:1 gene:PAHAL_8G250900 transcript:PVH34570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLEHISGRHITYSKRRSGILKKAKELSILCDIPLMLLMFSPSEKPTICIGEKSNIEEIIAKYAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQDFLGSGGQTVEELSSHLGSLQCQMADVQKRLSYWGDPEKIENIDHIRGMEQSLKESLNRIRIHKENFAKQHLIGLQCAAAQFQTDMQLPLGLTGDPGPSSWFQNGGADGQQTMMLPDDSGLLHQRDIGCSTSTSLQSYPGYFSMSKQSTDTGGGSEHGQPAVHQQPPDFGQAECLTSLHLGAQFPYTPFDTSLFNERMFRPDAMELHDGSAGIDFGGGHFDMPRPGDEASFQNWASAACGAAMFDHHQQQQHHQQQQPSSAQIPAAATLAPASFDHAAVKQDPSQHQQVMI >PAN43189 pep chromosome:PHallii_v3.1:8:40448596:40451502:1 gene:PAHAL_8G218500 transcript:PAN43189 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATARFC1 [Source:Projected from Arabidopsis thaliana (AT3G22950) UniProtKB/TrEMBL;Acc:A0A384KMJ2] MGAWMSRVWFLMFPAREYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELVRLLQHTDLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATAS >PVH33915 pep chromosome:PHallii_v3.1:8:11150344:11151479:-1 gene:PAHAL_8G093100 transcript:PVH33915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGFPLLELCSFLFFVSNWPGALLPWKIIELPHGELAAPTNDINTTVALALQTSAAYFYAGLSKKGLSYFEKYIKPTPILLHINILEDFTKPLSLSFQLFGNILVDQLVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGETMEGHH >PVH34191 pep chromosome:PHallii_v3.1:8:31184176:31184667:-1 gene:PAHAL_8G166800 transcript:PVH34191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGWARGTWQGRRARDDRALGEEAARGSDDAGEPGAARGLGEEAAGRRADSGGGAVHRKREEGGGSPAQELGEGTGRPLRVGGAAHRKRSKGGLGGGGCGGLTAAGARRTGRERREEARPLGVGGAALGGAAGGMGRRTDRAGRRRTKRQGVGRSGEEEESG >PVH33690 pep chromosome:PHallii_v3.1:8:3425382:3425816:-1 gene:PAHAL_8G045800 transcript:PVH33690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASDRLYRLPPCSQEEADEVGFVHAHDGAVEGDAREALVGVERPELRGVYRAVLHPLHGAHPQSPGADDVGVVRALAPGGALAAAAAAAEDVDELQRAAAGGGDDADVPVRFRLEAGIRGERQVLQPAGALRFVNPISWRSWG >PVH34134 pep chromosome:PHallii_v3.1:8:27688355:27688732:-1 gene:PAHAL_8G153700 transcript:PVH34134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSRRRAPPAMAVPSRCSKCSKSRSPPRSSAVPSSLAGAPPRSPPAARARGAAPFPAGSSEEKLSGRPASKSSGEERAPISGAHPHLRRPRPTASLPHGHPASALPPSPASHSVSPPPSAGRR >PAN43717 pep chromosome:PHallii_v3.1:8:44115567:44118792:1 gene:PAHAL_8G259600 transcript:PAN43717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDASAAEVDLLRSQIPFQSDGELVLPPLDGAGVGLVLVDVSNGFCTVGAGNLAPATPNKQIEKMVGEAARLARQFCDRSWPIFAFLDCHFPDKPEDPFPPHCIIGSGEENFVPALEWLENEPNVVIKRKHCIDGYISSIEKDGSNTFADWVGKHQIQTILVLGICTDMCVLDFASSALAARNIGRVPPLQDVVIYSEGCATYDLPVEIAMNVKGALAHPQDLMHHVGLCMAKGRGAKVVDRVVFEPSE >PAN42181 pep chromosome:PHallii_v3.1:8:16721953:16722294:-1 gene:PAHAL_8G117200 transcript:PAN42181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLIFIGRKVSITPCSACCSSEKPTTARAPGSSSSSCSERWWLHPWRN >PAN41282 pep chromosome:PHallii_v3.1:8:2320938:2326433:1 gene:PAHAL_8G032300 transcript:PAN41282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAAATGASESPMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDNTKTTSAGAGRIIERWVIKYETSRSVNSSGNGSKNGGKKSRSSSAQDHSLYRRAYNGSTVLFRSLYLVVRLFPAYHLFQELNSSGRIRPLSLSHKISSFVEPFTRAEDAEMKHYAFAPIETLSGRLSLSVSYVPVLEVAAAPEPTTPMATELIMDYVGSPTTDFLRKFNSLPSDGIAHASFTMNRRHSWSTEHGTGPSASPSRMPADNSPTTYSHQLNTSSSAKKRDAVNEECYPSPPLSPSPSLSPSSYPRNPFFRYGSAPLSITTVRAGGGSSRLPPSPHRKDKQQCPFQNENLTRSPNDRSIITKDLVRLGEVQNEKSLQKVLSFGKDDLVYFRGLKLTRTSSKLFIMDELDERELVFAWEDKDTIFDQLSRIDLSERENRESSQEAGGSLTRSPNAAIGSLMRILKNAPGLRERLLTAPGAPVPQEPSSLQRVVTEEHGRVASSSALVPSALLRSRTAADALEELNRYKEIRESILTRGKGNTRDTKLEEKPADGDP >PAN41281 pep chromosome:PHallii_v3.1:8:2320946:2326433:1 gene:PAHAL_8G032300 transcript:PAN41281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAAATGASESPMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDNTKTTSAGAGRIIERWVIKYETSRSVNSSGNGSKNGGKKSRSSSAQDHSLYRRAYNGSTVLFRSLYLVVRLFPAYHLFQELNSSGRIRPLSLSHKISSFVEPFTRAEDAEMKHYAFAPIETLSGRLSLSVSYVPVLEVAAAPEPTTPMATELIMDYVGSPTTDFLRKFNSLPSDGIAHASFTMNRRHSWSTEHGTGPSASPSRMPADNSPTTYSHQLNTSSSAKKRDAVNEECYPSPPLSPSPSLSPSSYPRNPFFRYGSAPLSITTVRAGGGSSRLPPSPHRKDKQQCPFQNENLTRSPNDRSIITKDLVRLGEVQNEKSLQKVLSFGKDDLVYFRGLKLTRTSSKLFIMDELDERELVFAWEDKDTIFDQLSRIDLSERENRESSQEAGGSLTRSPNAAIGSLMRILKNAPGLRERLLTAPGAPVPQEPSSLQRVVTEEHGRVASSSALVPSALLRSRTAADALEELNRYKEIRESILTRGKGNTRDTKLEEKPADGDP >PAN41763 pep chromosome:PHallii_v3.1:8:5813544:5816289:-1 gene:PAHAL_8G068900 transcript:PAN41763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGCSSNALQAIAGAGAAPEAPVKLKLYSFWRSSCSQRVRIALNLKGLEYEYKPVNLLANEQSDPEFERLNPIKYAPALVDGDTVIADSFAILMYLEDMYPQHPLLPQDPQNRALNIQIASIVSSSIQPLQNYPVLNFIEEKLDSNEAIKWTQHHINRGFTALEKLLKGCNTTYATGNEIQLADIFLEPQIYGGIKRFGIDMSIYPVLARLHEAYMEHPAFLAALPERQPDAPSS >PVH34457 pep chromosome:PHallii_v3.1:8:41098669:41103887:1 gene:PAHAL_8G223600 transcript:PVH34457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRTSWADVADAEPAPPPPVTTPAPAPAASNGPARSSYVPPHLRNRSASSAAAPAPSSAAGLLSRPGGGFGAGAARPRGGPRWDREPDPFAGSEPPLPPADAAPADGAAPFEDHQNTGINFDAYEDIPVETSGRDVPPPVATFAEIDLGAALNDNIRRCRYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAGRPQRGGGMGMRTAYPSALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGVRQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTELIVQRIEFVQEADKRSHLMDLLHAQRDTGKQTLTLVFVETKRGADSLESWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNSSMAKSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRSDSSSFGKGGSRGGGDYYGGGSSGGYGGSSSYGGGGYGGAGAPSAWD >PAN43045 pep chromosome:PHallii_v3.1:8:41174475:41177989:1 gene:PAHAL_8G224800 transcript:PAN43045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAAPNNAVPRLYCLAQCAPDLVEYVCYNCLTNFSYLAAANFAGRRGGRVLGLRCNLRYDTDKFFAGEPTWSSGSSSAAPSPAPQLAPLPPSPERRKIIRKGLVIALVVPLLALLICIIVAFRLRRNMKGKMNEHEDEALIWGLEGRSSEFKIYDISQILEATGNFSEENKLGQGGFGPVYKGRFPDGLEIAVKRLASHSKQGVTQFKNEIQLIAKLQHTNLVRLYGCCYQGQEKLLIYEYLPNKSLDFFIFDETRRALIDWNKRLAIIDGIAQGLLYWHKHSRLRVIHRDLKAGNILLDQEMNPKISDFGLAKLSSTNDNEGNTKTPAGTYGYMAPEYASEGLFSIKSDVFSFGVLTLEIVSGKRTSSFHRYGDFISFPIYAWQMWKDEKWFELVDTTLDTESQKLQMMRCVNIALLCVQENAADRPTTSEVVAMLSSESMTLPEPKHPAFFNLRVTNEEAPNVVVASTVNDITLSAVEGR >PVH34569 pep chromosome:PHallii_v3.1:8:43465880:43469602:1 gene:PAHAL_8G250800 transcript:PVH34569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGRMMSLNDGGGRDSAGGDDFTSSCFGGGEATTGGGELTGAGGGDDGFTGGGGEITGAGGGGDFTGGGGGGDFTGGGGELTGAGGGGDFTGGGGELTGVGGGGDFTGGGGEITGAGGGGDFTGGGGELIGAGGGGDFTGGGGELTGAGGGGDFTGGGGELTGAGGGGDFTGGGGELTGAGGGEDFTTGGGELTGAGGDDALGVTGGGELIGTGGGFTTGGGELIGAGGGDALAGGGGELTGSGGGKDFTTGGGELTGAGGGEDFTTGGGDNITGACGGEDFGGLGGEVAGSCGGEDFDGGGSISTGDGGGFGFSGVEGDLTGVGGGVSFSGGGGETWGDFFGVDGGGFTGAGGGGDFSGAGGGSYFNGGGEATGIGVGGFLGGENSGAGGGGDFTGGGGEATGAGGGGDLMGGGGDAIGAGGGDDFTGGGGEATGAGGGGDFTGGGGELTGAGGGGDFTGVGGEATGAGEGGDLAGGGGGGGDLVGGGGDAIGVGGGGDFTGGGGEATGAGGGDDFTGGGGELTGAGGGGDFTGVGGEATGAGEGGDLAGGGCDATGTGGAVGAAGDFPGGDSGCSFGGGALGRSGVTGSQAESGGGGAGDLTGTGVGLGTGPFGWFGDLPGGLSDFGGDGGCCGDFPGSSLTGGVGVSVGGTFTIGGFPGSAGGPDGVGYPAHTCLLQSTGRASTGAHCSVVFCAGRRAPRQLSLSSRLTSAFSDGMHGAASELKKLKAKLKLTSAGSSQMDSCTMPVKTFREQSSCSSLVMLDSCSGSVPTRALPLTSMTVVFCSRPISSGRQPSSLLLRKMISSSVFTIRPMLLGMHPVRPLLATTTTDAVELPRFSGMWPTKRLVLTKMASRSLSKSPGGSSPSKSLNRMSRYLRDDISRQTKGKDPTKRLWLTSNSCSSDSRDMLLGMIPQKRLLLIWKKARSVSRPSSGGRYPAMSAPLRSMPATTVTLGSSSASTHTTPLYLHTSLPTQLEV >PAN42589 pep chromosome:PHallii_v3.1:8:26209995:26214134:1 gene:PAHAL_8G149200 transcript:PAN42589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chrysoeriol 5'-Hydroxylase, Flavonoid B-ring hydroxylase, Tricin biosynthesi [Source: Projected from Oryza sativa (Os10g0317900)] MDLPTTPLLLSTFAVSVVVCYVLFRKRAARKTAPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTLVYGPLLRLRFGSSNVVVAGSAAVAEQFLRVHDANFSCRPPNSGGEHMAYNYQDVVFAPYGPRWRAMRKVCAVNLFSGRALDDLRAVREREAALMVRSLVGQGSDALLVPLGKAVNVCTTNALSRAAVGRRVFAAAGNEGAREFKEIVLEVMQVGGVLNVGDFVPALRWLDPQGVVAKMKKLHRRFDDMMNGIIADRKAGVIMPAGEEGKDLLGLLLAMVHDERPLAGAEEDRITETDAKALILNLFVAGTDTTSTIVEWSLSELIRHPDILRQAQDELDAVVGRGRLVSESDLPRLTFFNAVIKETFRLHPSTPLSLPRMAAEECEVAGYRIPKGSELLVNVWGIARDPALWPDPLVFRPARFLPGGSHANVDVKGGDFGLIPFGAGRRICAGLSWGLRMVTLTSATLVHAFGLELPAGQSVDKLSMEEAFTLLLQRAVPLMVHLVPRLLPSAYEIA >PAN41044 pep chromosome:PHallii_v3.1:8:833633:834954:-1 gene:PAHAL_8G011700 transcript:PAN41044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVHPNAIAPEPAATTTTSRAAEEEPPPALLTVWRKSLLFNCDGFTVFDAKGNLAFRVDCYASARRRAEVVLMDVAGKPLLTVRRKRLSLTDHWVIYDGDGTARPLLSVRRHVSLRSSSKTLAHVTPLGSAAASAAEYVVEGSYGRRACAVRDARGDAVAEVRRKESVGDDVFRLVADPRLGEPLAMGLVIALDEMFRGGSARSLLRRTWSA >PVH33636 pep chromosome:PHallii_v3.1:8:2563287:2567487:1 gene:PAHAL_8G035400 transcript:PVH33636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPGLHTSILKVILSDSNVPGEGEHKIMSFIQAQRSRENYDPNTHHCLYGLDADLIMLALASHELHFSILCENVLQQNHQENSITLAKELFKTEELKKCRGWFPRATEMTPRGKSPKKPYQFLNIWVLREYLELDLKIPNPVLKTDIERLIDDFIFICFLTGNDFIPHIPSVEIHECAVDLLLEVYKQTFNKMGGYIVNTEKLKDKHAAYLKVSRLEKFFHELSLYEEKIFLKRYELRERLQRNILRQAVEKEWNERSFDNMDQNPDGPDLTVNSFSAQCSISTCSPTMTANTLELRRNLKDTLRNKQDLIKSGACKHDTIRLGVAGWKSRFYREKFGVEIFNEVGKLKNDMYLEGLCWVLQYYFADVPSWSWYYPFYDAPFASDLKGLSQFNISFTVHKPLRPFDQLMAVLPQESSFALPKCYRKLMENEESSVQKFYPSDLQIDTHGKRFLWQAIAKLPFIDEKLLISATKTVENELAVHEMSRNTVRQEKIFLRNSNTLASNAAIGQIFDCSSKKLPIDPATSSGFFRSPIRDLEDLRNDQTVSFMFFNPEPVKILPRLLEHVKRPEKVSLTYLTEADIPTRLLWHTYPGSRPPVTITATAEAQPRISSFGRGRGRDITHHSRDTAPGGRACAGRGQYGGTLQGQQAAWRPVGAWARGGSENGGGHGGNGQPRGW >PVH33730 pep chromosome:PHallii_v3.1:8:4345120:4345314:1 gene:PAHAL_8G055700 transcript:PVH33730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEFIIRYGMITLFLQLSQNVEVLQHHGGQWHPTWIFPDDSLQWIQLSLLTKILPDGCLLMSQ >PVH34253 pep chromosome:PHallii_v3.1:8:35075050:35075166:-1 gene:PAHAL_8G180300 transcript:PVH34253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein L [Source:Projected from Arabidopsis thaliana (ATCG00560) UniProtKB/Swiss-Prot;Acc:P60129] MTQSNPNEQNVELNRTSLYWGLLLIFVLDVLFSNYFFN >PVH33408 pep chromosome:PHallii_v3.1:8:138656:139094:-1 gene:PAHAL_8G000700 transcript:PVH33408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGTEVTRQPFSTASQPGPVQTKVIRPADTKIILRRMPERLYFLYSTNTWEGKEPIQQGPKLRVLTQLSFYDPKDVKLTSWEDPVPY >PVH33428 pep chromosome:PHallii_v3.1:8:372738:374313:1 gene:PAHAL_8G002800 transcript:PVH33428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVAVEYDALSRFASRLLSHRCTSFGDPELRLLQAALSAGPDVPALLHTRSAARRLLQDRAKEAFAAAQAPPLDHARILVVADFFARAFALVADVRSCLAMRYEALLLRDAKYSDNHHLQVSREEWLTFAKDALQNGFYTIASKAFAYATAHIHPSHPRQLDSTNSIEKDKINDITGLRNLAKSLSAKHSVQTESAEYMKRRNSCAREKYNLQSGKPKLPGSSMYMLGIKTRNIKKLLHSRARNLGEI >PVH33558 pep chromosome:PHallii_v3.1:8:1540673:1542558:-1 gene:PAHAL_8G022800 transcript:PVH33558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGARIVSNLVVSKHDEKKSGNRLLVVYPSVPVPPQHPKRPAASGCRSGQRGGFFLEAAGNPRGISGRYPPSWLRRLPGAATTNRTMSPTPAAAPSASGDDEFTEVVVVRHGETSWNASRIVQGQMDPELNEIGRQQAVVVAHRRQKKPNQLPYTLLI >PVH34286 pep chromosome:PHallii_v3.1:8:36573942:36574228:-1 gene:PAHAL_8G187000 transcript:PVH34286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGRVDKTKARALVPPVPKHPVLEPDHLVFLGILGNRIQNLHLKEQGEAERQGPID >PVH34455 pep chromosome:PHallii_v3.1:8:40902260:40903679:-1 gene:PAHAL_8G222200 transcript:PVH34455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGSPRFNMSSSPKLHRYMPTTSASKKKPSPKCNGAKKSGGSPRVKQRADWNPALERSLVDILHEYKDSGYRGDNGWNSEGWNKMVKEFHLRNKYVSYTKAQIQEKEGQLKRDYKMLKAAKQQSGSSWNEKRNMVEGPPAMWTNLMVVIVHTFPKIKKFNNNKTTFPLFDALGELYDGGDGTEKNEEGLQEMADTLSRDEKNDAPAIERSGQQRPAASRNKQEKELTRPRKNENIAKSYAIFIKSKENREVFICACEVDQESALIWLRSEMA >PAN42634 pep chromosome:PHallii_v3.1:8:27749919:27751494:1 gene:PAHAL_8G153800 transcript:PAN42634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGANQPLLQDGDPPNAGETRPTQGAGAKRFRRCRTAPSADAAQDSPPGNKNPSHQERGSAGSQPFAPPKELLLGARPSFRLVGVLLLVYLLAGTIAFYLTMDHMSGGRTRSRVVDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVALVGTFLSKAADYLVEKQEALLFRALHLRRAGDRRALRDMEANKVRYKLYTSAAILAAALASGTAFLVEVEGMRPVDAFYCVCATVTTLGYGDRSFSSVPGRAFAAAWITVSTVVVALFFLYAAELGAERRQRELARWVLKRRTTSTDLEAADMDGDHRVGAADFVLYKLKELGKISQEEIAEFLEEFDQLDADNSGTLSPHDLIVAQRG >PAN41097 pep chromosome:PHallii_v3.1:8:960176:964195:-1 gene:PAHAL_8G013900 transcript:PAN41097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRVLDPDNAPKIMGLLLIQDNSDKELIRLAFSPEHLLHAFVASARAELAGKPASPPSPVLDPLQTGPPRGVPSPGSGDHQSPFAAADHVGYDGGDALYPENEYDCWSPVSGHRRSFSLGDAEVAAWRPCMYFARGNCRNGSSCRFLHGLPEDDAAATEREMAVMRAKALAAARPQQHMAPAFPFSPSPPKGVNLNFLLHHQQQHEPQRFPVRSPRMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFIYAESVKIILNKGNPHFVCNARVLVKPYKEKGKIPDRFRKLQHPHHGDFAGCTSPTGLLDSRDPFDLQQPQIGPRMMYRNIANHEAFLRRKLEEQQQAAELQQAIEMEQRRFMGLQLLDLRSRGHHLGSPMRLGQADGKGSVNGNGSAIHLEDVIIQDNKLNSTVLAMSAPAAAAISATDAEGKHEEQQEEDGDASPKQMVNLGEVEKREYGPVTATQNVGCDFQESGVVDHILPESPFASPTKASIDTHTIAQNGNINISSPFHHVASSLFPPTSTLELPPYKSCFFQVPRFSPGHEAIGM >PAN41098 pep chromosome:PHallii_v3.1:8:959262:964496:-1 gene:PAHAL_8G013900 transcript:PAN41098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRVLDPDNAPKIMGLLLIQDNSDKELIRLAFSPEHLLHAFVASARAELAGKPASPPSPVLDPLQTGPPRGVPSPGSGDHQSPFAAADHVGYDGGDALYPENEYDCWSPVSGHRRSFSLGDAEVAAWRPCMYFARGNCRNGSSCRFLHGLPEDDAAATEREMAVMRAKALAAARPQQHMAPAFPFSPSPPKGVNLNFLLHHQQQHEPQRFPVRSPRMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFIYAESVKIILNKGNPHFVCNARVLVKPYKEKGKIPDRFRKLQHPHHGDFAGCTSPTGLLDSRDPFDLQQPQIGPRMMYRNIANHEAFLRRKLEEQQQAAELQQAIEMEQRRFMGLQLLDLRSRGHHLGSPMRLGQADGKGSVNGNGSAIHLEDVIIQDNKLNSTVLAMSAPAAAAISATDAEGKHEEQQEEDGDASPKQMVNLGEVEKREYGPVTATQNVGCDFQERFSPGHEAIGM >PVH33651 pep chromosome:PHallii_v3.1:8:2881456:2882215:1 gene:PAHAL_8G039100 transcript:PVH33651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNIPHCKSQAPVAAAAAAAIPSRFLSSSLPALVPPPPPLPEENPFAALLASDPPPPEPLRLVLAAGDVRSALRGLPGLARQLFRWAEATPRGFPRTASAFADVLVPLAQANHLRAAYPVSLRALHLGLLLPLVSLLLSAPHSPSSRSLLNLLLRLSTKFPAQCGAQDPAPTTCSTLCLAAFREMACHGVAPDVKDCNRVLRVLRDAARREGRTRLPCC >PAN42142 pep chromosome:PHallii_v3.1:8:17145591:17149586:1 gene:PAHAL_8G121000 transcript:PAN42142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTDALQAIIYARGALRLLDQRKLPLEVDYIDVKDSADGWNAIRDMVVRGAPAIAIAAALALAVEVSGLDFTGTPAEAASYVSKKLEYLVSSRPTAVNLSDAATKLQTLVSKTAENVKDAKAIFQVYIEAAETMLIDDVADNKAIGSHGSEFLQRQLGNSKNISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHEKIPSTLIADSAAAALMKQGRVQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLALQSGEEIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKTDSDGAFDIKGFIQSAK >PVH34210 pep chromosome:PHallii_v3.1:8:33211617:33213855:1 gene:PAHAL_8G172700 transcript:PVH34210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWCITLYRKWSGFVWKSQFGIVWFLQAAAAGGGMDGNKTLSSISFPHRMWPSDNHTTAIVTHPHTSMATTRKKHVVLFPFPGQGHLAGFLAFAGLLRRELPDAIVTLVSTPRNVAALSSSAAAESSSIGLHALPFVPADHGLPAGCESTSSLPLHEFMKLFEAFDSLEPAFDGYVSGLIGESEDASATVCIVADTFVAWTVDVARRRGCAHAFFASCGAFGTAILHALWKNMPALPFGPDGALRLPEHLEVVLHRSQLSPVFLHGAGRPTAYHHRHLPRGYLTDAVISNTVEELEPTGLAMLRRTLGKVPVWPIGPLVRTVSQTETYSDDGVVRWLDAQQLSSVLYISFGSQNTIRANQMMDLAAALDATGRPFVWAIRPPVGFDTNGDEWLPDGFEAWARAGSRGLLVRGWAPQLRILAHRATAAFLSHCGWNSVLESLTHGVPLMGWPLSAEQFYNVKTLEEVWGVCVEVARGNLESSAVDRSKVAEVVEKVMGDTAESAAMRRRVKEAQQVLSKAWAEDGGSSRMALHEFLRAMQLQ >PAN43256 pep chromosome:PHallii_v3.1:8:42625676:42626823:1 gene:PAHAL_8G240200 transcript:PAN43256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >PVH33755 pep chromosome:PHallii_v3.1:8:4707495:4708988:1 gene:PAHAL_8G059900 transcript:PVH33755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLRFLLSIWIQLIFAFFSNQMAFLCSLSSIGYPCSSGSESSGPSHSSSNEVMDSQFVFQDSSLGCFSFPELAKVLLPARRFLWFLLATSTEYRQTEFFNSGGGRILFFRQGSFPEPSFSISLTSR >PAN42618 pep chromosome:PHallii_v3.1:8:26455213:26458800:1 gene:PAHAL_8G149500 transcript:PAN42618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMINTPTSEHKLSAQSLLCDFKLLQNIMILRAHGRAQITSQYKIVAHMKFVSYMSHYNLRISKKKKNILIFTADISPPACKTGGENRFRVGNENRYVVVLRVLSIISRICACVHVPTGRCL >PAN42819 pep chromosome:PHallii_v3.1:8:37058509:37060691:-1 gene:PAHAL_8G189800 transcript:PAN42819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAPAQSTPTGSIQLRRPWIWQGGMAAAEYEKVLSHHADRACAQRQPRQQNGVAYINVTDSQIPLTGPNSIIGRAVVVHADPDDLGKGKQIQCQIQAS >PVH34374 pep chromosome:PHallii_v3.1:8:39284798:39285920:1 gene:PAHAL_8G207900 transcript:PVH34374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRRRGFPYYCRPEIEDEVNDILNSSSDSDDVEMFECDDDSNESDKKKFKYGNKPKDAFTFSVTGFSTVLQSLTPDQRKIIERYGFGSPLEFDKCFVPNQFAKWVASVVDYKSGDIVVDGKVISLRNLFILFLTSLSVDPLSPLIPFLAKLLFCISFRRVQSSQYLFC >PVH34473 pep chromosome:PHallii_v3.1:8:41344756:41347426:-1 gene:PAHAL_8G227400 transcript:PVH34473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLVGPTLPPFCRHPSPPLPPAMGKRKKGKADMVPCENPDLAPHFQPTTSSTHDRKHRQPRDPAPGAGSDSEPDPSPPSSPGSVRRLIEAYSRPRLLAILAEAAAADPALRARLGAAADASPSHRRLFVHGLPPRAGAAALAEAFSRFGPLADCHAVADRATGRCRGYGFVSFASRAAARRALRDAPRLSVAGCPVSAQFASAGPGPSAGGGGGAGRRVYVTNVAPGASAERLRAFFSRFGELDGGPFGFDADTGSSRGYALFVYRAAAGAAKAVEEPYRVFEGRTLRCQLANEPARKAKATPAPPPALVAGSATVTPPLTAAKVQAPALQPVLDAIAAAGVGDLATYARDPAQAAALLGQNPALAAAALSSALAAAAAASRSPAAPAVASSPAAASAPIPVAVATGRPATAAAVEPSPVRFGVRTSGGAGLLGPYKPPSSPAVPSSPRRKGTLL >PVH34472 pep chromosome:PHallii_v3.1:8:41346056:41347426:-1 gene:PAHAL_8G227400 transcript:PVH34472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLVGPTLPPFCRHPSPPLPPAMGKRKKGKADMVPCENPDLAPHFQPTTSSTHDRKHRQPRDPAPGAGSDSEPDPSPPSSPGSVRRLIEAYSRPRLLAILAEAAAADPALRARLGAAADASPSHRRLFVHGLPPRAGAAALAEAFSRFGPLADCHAVADRATGRCRGYGFVSFASRAAARRALRDAPRLSVAGCPVSAQFASAGPGPSAGGGGGAGRRVYVTNVAPGASAERLRAFFSRFGELDGGPFGFDADTGSSRGYALFVYRAAAGAAKAVEEPYRVFEGRTLRCQLANEPARKAKATPAPPPALVAGSATVTPPLTAAKVQAPALQPVLDAIAAAGVGDLATYARDPAQAAALLGQNPALAAAALSSALAAAAAASRSPAAPAVASSPAAASAPIPVAVATGRPATAAAVEPSPVRFGVRTSGGAGLLGPYKPPSSPAVPSSPRRKGTLL >PAN43784 pep chromosome:PHallii_v3.1:8:44391996:44393198:1 gene:PAHAL_8G263200 transcript:PAN43784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNIPVLVFEYIPSGSLYNLLHVATRKVLPLLTRLKIAIGSAEALAYIHSHQRIHGDVKSANILLDDNLMPKVSDFGSSKLLSIDRYAMAVAADMSYVDPVYMKTERFVKKSDVYSFGMVLLELVTRKTVKYGKNRINSLPMDFVKSCKEKGNGREMYDTNILSHGHAQCHHCIECLDKIGALAVCCLKEDVDERPTMAEVVDELKQAAEVVDKCKQHGNIIAYDPSCLQIS >PVH33860 pep chromosome:PHallii_v3.1:8:7322638:7330899:-1 gene:PAHAL_8G080300 transcript:PVH33860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVLTVAKTLVEGTLSKAQIAIEEEEKLRKRAQRDLVFIAGEFQMMQSFLSTTTKENVKNIMVSTCVTQVRDLAYDVEDCIEYILHLDTKPDWWCRMVPSCMAPSRPLDEAVDILEHLKGRVQDLGERSSRYKLISEDSGSNLVNEMGQLATAGSSELKQRDMDALTKLVTKSGSDLEVISVWAFGDDLGAASKSIIRKAFKNTKIWGNFSCRAWVAMGNSFDLRGILRSLNDQFSGAVDDQASVAEDDQLEHLRTKVKEQVNREKYLIILEDLSTKENWADIQEYLPDRSNGSRIVVLTQQFQVASSCTGFPYIQCFWDDYPLCVFSKGNEGPLLGRISEINKLPNYSSIASANGSRVLSMWGTAGAGKSAFVRNLCCNMLHSKKYKRYVWMDASHSYSLTDFSRNQFSSLHSYCFEANEAISTGEEIGIGDRVEYLVVIDNLESTEKWDSIRNALVSRPSKSVIIVITNDESIALHCADKKELVFNVRCLEVRAAIKLFKKEVTKNPDNPVDDIDTKLRPLILKCGGLPKVIVAVADYLSQHFNWEEKATTLNDQFVNNLETWPEFASLADLFSWMHSYLGALPEPVRQHVAYLLIFPGQSSIRRRRLLMRWVAEGYSRDSDSCTANENAEKHFSKLVQLSMVHRPLLTTITHMRMVSYEVSNIFHEYMVTRPSEENIATAIEIFELNGICSPTSRRRGRHLVIGESWDRDRIVFKNIDFSRLRSLTVFGKWEKFFITESMKVLRVLDLEDASGVTDKDLQSILKLLRRLKFLSLRKCSEIKYLPSSVGELRQLQILDVRYTKISKIPTSITNLKKLQYMRVGPTVPSVDRSAPHTVAFKMSELRRCLHLVGVEVMSGIGELTALHTLGVINIGVAGGKVILKELRKLTQLRKLGVSGVSKKNVKELSSAILCHSRLESLSVWLNKHNQDCMDGMFPENTNETSGPEIKLQSLQSFKLYGLGGKLPEWINQLDNLRKLVLEMTTLPEDTFSRLRDLKVCILRLYIKELPDGKLDFCVKLHGAQLRCFETVKVLEISCYSSMDVTFGPQALQNLELLKASCCSGSGSVMNFSGLVDLSELKEVQIKGSHDGALRNVVEGQLSMHKKNPVLSME >PAN42318 pep chromosome:PHallii_v3.1:8:14026874:14032292:1 gene:PAHAL_8G103800 transcript:PAN42318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSGALHLSTGLQGKNVDKDQLESTVEQYAKYHDLHGGDEEFRKSNYSDLVNKYYDLVTSFTEYHWGQSFHFAPRWHGETLRESIKRFEHFIALQLGLKKGMKVLDVGCGIGGPLREITRFSSAEITGLNNNAYQISRGKELISLAGLSDRCNFIEGDFMNMPFPDNTFDAAYAIEATIHAPDALGAYREIYRVLKPGQYFALDELCLTDKFDPNNTKHKDIKAEIELGSGLPDIRSTRECIQAMKDAGFEVIFAKDLAEDSECPWYHEFDPSRISLKTISNSRVGHLLTRAIVGTLEFLRIAPKGCNRLFSILQTASHGLVTGSREQIFTVTFFVLGRKPLKESDI >PVH33520 pep chromosome:PHallii_v3.1:8:1162919:1164416:-1 gene:PAHAL_8G016700 transcript:PVH33520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVHPVPAPPPRRPDQPPADADDEAPATGTAAAAATETTPLHPSFNRLPSPPPGTYIVQVPKDQVLRVPPPDRARRYKKLAARPARRRLLRRACCCSCAALLLLLLLAAAFAGAIYLVFRPRAPTFSVASLSIRGLDGAPSSSSALSPELDATVRADNGANRKVGVDYRGGGSVTVSYAGQRLAAGPWPAFRQAPRNATVFAAAMRGRGVRLSEEQARRLAAERAAGAVPLAVEARVPVRLRFGKVLRTWTVDVKARCDVAVDRLEGDAAAVNRGCRVRVRPLWWWW >PAN42482 pep chromosome:PHallii_v3.1:8:29178937:29184873:-1 gene:PAHAL_8G158100 transcript:PAN42482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIYFQDVAQHSQFSPPAKRFSPRLNGNPLLPRCRRGYLIPVQSGEKNFAWAPHHHALIPKRSNSRNPRIRMVNPRGPGAAAAAAPASSELEVGFAKLHGECFEYYMQTYSIILGRHSRRGSSKDPTAPAPPEADDGVDVDLGALGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVHHLPGSPPVKLDSQDLLQMGDAKFYFLLPSRSVFGANVARRAPAVPRAIPPPPSDDDEDEGEEQGEALAAAKHLRIGNDEGRTLQDQKLTRKQMINYCFSWKRKMSSHQLLLYCLISVDLKNGFL >PAN42481 pep chromosome:PHallii_v3.1:8:29179735:29184313:-1 gene:PAHAL_8G158100 transcript:PAN42481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIYFQDVAQHSQFSPPAKRFSPRLNGNPLLPRCRRGYLIPVQSGEKNFAWAPHHHALIPKRSNSRNPRIRMVNPRGPGAAAAAAPASSELEVGFAKLHGECFEYYMQTYSIILGRHSRRGSSKDPTAPAPPEADDGVDVDLGALGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVHHLPGSPPVKLDSQDLLQMGDAKFYFLLPSRSVFGANVARRAPAVPRAIPPPPSDDDEDEGEEQGEALAAAKHLRIGNDGRRSDAAGSKAYKEADDQLLLQLEEKDVISSAATILSDLCGPQEWVSMNKLHEVMFDKYGNLWHHSRVRKYLTSEDFPASETDGRPWHGLTLLLRKYPEHFVINISKAGGLSTEFVSLVSLQP >PVH34607 pep chromosome:PHallii_v3.1:8:43929094:43929459:-1 gene:PAHAL_8G257400 transcript:PVH34607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKISATTEKGSSAKVVIGTSAIPLDNYLVVEPVHGRESEAVDESKGTAPFLKSSVQGNFKGSPRTPLQNQWNG >PAN41076 pep chromosome:PHallii_v3.1:8:897450:900075:1 gene:PAHAL_8G012900 transcript:PAN41076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAVTDPLEELWKHTMSPDRTDLLCFYPSKITMSGIWTGDSPLDFSLPLLLFQIILITTTTRAVALLLTPLRLPRYIAEILAGFLLGPSVLGRLPHFSDIAFPIRSLFILESMSLLGLIYYTFTIGVEIELHTVLRAGQRSFWFAAASALPPFLVGAVTGYVAVSTDETRRTADQFLNTLSFPVFLGATFCATAFSVLARNIAQLKLAGTDVGQLSISASLINDTFAWGGLTVATALAHVRYGMVPCMWTIVSGFLIVGASYLIVRPMLLRLAGRVSEGEVVTELQECSVLIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVAIVEKVEDFVVGTLLPLFFAMSGLRTDTAKITSMSAAVLLMVAALAAAILKVVAAVSVAGAFGMPLHDGVSIGLLLNTKGVIELVILNIGKNKKIMSDQSFTVLVFMSALITALVTPLLAMVVKPARRLVFYKRRTIAWPQPESEFRVLACVHMPRDVPALLTLLDVTSPSDHSPVAVQALHLIEFAGRSSALLLINASAPSSSFEHSTHGSSQVELQFKHISHAFMAYEENVLGVTARTLAAVSPYVTMHDDVTAAAEDRHSALILLPFHKHRSVDGGLEVFHPAIQPLNQNIQRFSPCTVGILVDRGLGGMPGAGCRVAALFFGGRDDREAVALATRMVYNPAIDLTVLRFVQKGGSFMGTEFDALKERKGDDACLREFLDRANSMSVGGGGGAGVEYRERGVFNASEMVAQIQEVEALGKDLFVVGKVPGLPALTAGMAEWSECPELGPIGDLLASRDFQTMASVLVVQSYARPSAGAISGELGYGGDGVPAAGRPPRPDHIRRNSIGMGNWS >PAN43306 pep chromosome:PHallii_v3.1:8:41382727:41387330:-1 gene:PAHAL_8G228000 transcript:PAN43306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:Projected from Arabidopsis thaliana (AT5G65110) UniProtKB/TrEMBL;Acc:A0A178UA38] MPPPAAASRSGAGETESPAARRLRRLSLHLLQPSPAPGDQAGPALALAACAGRARRVEGGADVAAALAAYLRGRHRAAQMRLFDFFRARPDLQTPVELTTAAHRELCFRQLRALVRDAGVRPLTLMATDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSVINLGTKKHRDKFFDGIDNLDYPGCFAMTELHHGSNVQALQTSATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYCSVGVLKVAVTIAVRYALLRHQFGPPKQPEISVLDYQSHQHKLMPMLASSYAFHFATVQLVDKYSEMKKTNDEDLIADVHVLSSGLKAYITSYTAKSISICREACGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIESVKSCPDEKTREVLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQYVGF >PAN43354 pep chromosome:PHallii_v3.1:8:41695132:41696729:1 gene:PAHAL_8G230300 transcript:PAN43354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVQSSKAIKPAYGGGGASSTAADAAIPLTVFDKVNYDLYISGINFFRPPAPPNATLAAGLARALAEYREWAGRLGADAVGSRAILLTDAGARFVEATADVALCAVMPLEPTPEVLRLHPDGDGAEELLLVQLTRFACGSLAVGHTMHHAVADGRAACNFLLAWGQATRGAALDPVPAHGRASLFAPRDPPRVAFEHRGVEFKQPPARGEKPGGRRDLAGSGGEVVVRRVRFGREFVAELRSRASAGAPRPCSTLQCVAAHLWRCITAARGLDAHVVTRLCVAVDGRARMRRPRVPDGYTGNVVLWARPAATAGDLVSRPLRFAAELISQEVARVDDGYFRSFIDFARSGAVEEEGLVPAADAAETAYSPDVEVDSLLHAPFHDMDFGGGPPFLFMPSYLPVEGSVFVVRSFSGDRSVDAYVPLFSRAMDAFDKCCYSLEMANARL >PVH34638 pep chromosome:PHallii_v3.1:8:44418400:44419748:1 gene:PAHAL_8G263400 transcript:PVH34638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEAAQPPANRRYPCIHASAATLHRFHFPAAAFPSKRALSLPRRDAAAAAPAVAQVFGSPVARSGEAPWRLWRWRGPGRQPISVTLLLRLLQSESGSARSPAAHRLVSPATRSSVLTDRSTFCQKWKAHDDSWLESIGPSSILKFT >PVH33733 pep chromosome:PHallii_v3.1:8:4410073:4415080:-1 gene:PAHAL_8G056300 transcript:PVH33733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHLPVAASAAAAPPPVMGQPNCRTTCGNVSVPYLFGFGPSRSRRKGPPRLLLGDGTLRVTDISLRNETVRVMRAGLILNATASNLTSGGWNASSGRGFTEHGYHPSYANELVVSGCNVVATLLADIGEKTPRIVGGCASFCTVMDCEDGPIIYDAAGEATAASKYCTGTGGCCQASVSVSGTPKGVQAKWLYSSHAAEQRLRPANVFVAEEGWVDANGLLGGDGLEEAPILLEAQDCDGGIGRMLCKSKHSHCSSGEPGRFTCQCDEGYDGNTYLAGGCQDIDECKLPREESRCFGECINTIGSMDCHCPLGTYGNPGPNCSTTCAGVRVPYPFGIEPGCYLQGFNLTCNTSYSPPRLLLDKNGTLEVIEIFLPNSTMRVIHHTRNTFDGELSGAGDWVVYFDLPDIGEPYMLSTRNELILFGCDLQATLYNRKGSNKTTDSISHCASTCSSASGLQINSDPSAWPLVSTQHTHGGFCSGRDGCCHAPISQLDSAPKDDLKFKGLNRTISQEYGSNLLRAVALVSEDGLTDQWTQGVTAPANGSGPCLGDVPSGLCKSKDRVCRRDDGGFTCHCNTGYQGNPYLDGGCQVIDESSITVLSGEPCFGECINFPGGHECRCPRGFYGNPSKPGLIIGLSVASAPTFLLLVLGIIFKWRRVKVLKQKYFKQNRGQLLQDVRSQKADIAEKMIIPVDELAKATNNFDRACELGGGGHGTVYKGILSDLHVVAIKKSKITVQREKDEFINEVAILSHINHRNVVKLFGCCLETEVPLLVYEFVCNGTLYHHLHDQGPRSLSWGNRLRITPEVATSVAYLHSADSIIHRDIKSSNILLDDILTAKGTIGYLDPMYFYTGRLTEKSDVYSFGVILVELLARKKPFSYLSTDGDGLIAHFVALIAEGNLVQIIDPQVIEKGGEEGQEVAALAASCVNVRGEGRPTMRLVEHTLEGLRGSTKYKKDDTAAAEFENDRIVINRPSSTREGQSFEESSRRHSLEQSMSARYPR >PAN41481 pep chromosome:PHallii_v3.1:8:3509804:3511454:-1 gene:PAHAL_8G046800 transcript:PAN41481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPSSSTSTSTNSSRSASEQQHQHQQQRQHRQHHAAGQPHHPFYYAAAPAPGAAAANAAGMPQFMGSLVIVQAPSAGAGAGEVQLAQAAPAPGPSGGAEKKPVVPAPAKRPTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAIVAATGTGTIPANFTSLAVSLRSGASHPSSASRAAAAFHHLPPPHHEVAAMLGWNHGHHHHQQFLPPPQQQAPQDPGAGEFMRKRYRDGADDLFKDAAARQNPDDGGGGEAEEHKARVAPPAGAMWAVAPPNSSAAGAFWMQPAWAFGAGAGGSTVQAPLQFMSTRSNNNNNFPAGATMDANIGMLAALNASGGVQHQQQQEQEEQPPEMAQRHRTGAGANGGDAGRGAASPQ >PAN42083 pep chromosome:PHallii_v3.1:8:13545489:13546298:-1 gene:PAHAL_8G101300 transcript:PAN42083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASLQSFLLPQHHSFVNTGGSHDSSPSALLKLSTNSSSSISFRLYSNTSPSVTTTSTTNSSAPTPVTPAAAADSPPTPSIDLLGRQLAAGDYRQADETTRALLIELAGESARRRGYVFFSEVQFISVEDLRAIDKLWKEHSNGKFGYSVQRRLWEKSRCDFTRFFIKVGWMKKLDTEIEQYNYRAFPDEFIWEMKDDTPEGHLPLTNALRGTQLLGNIFTHPAFVEESQENQAAAEESVTAAGQSKDDNKGRERPNFMKDFKPNYSF >PAN42722 pep chromosome:PHallii_v3.1:8:36040930:36043381:-1 gene:PAHAL_8G184700 transcript:PAN42722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLQHPMAFAFGLLGNIISFMTYLAPLPTFYRIYKNKSTEGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIALYLTYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQNRVVILGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFTFGVIQMGLYAIYRNATPRVPAKEVADDKEGIVKVPEHVVTIAKLGAPAMEPETHEVHPVDSPPMAEVAEPENHKAAATEEQGKVANNERNADQV >PAN42718 pep chromosome:PHallii_v3.1:8:35937539:35939839:-1 gene:PAHAL_8G184100 transcript:PAN42718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLAHPLAFAFGILGNIISFMTYLAPLPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIYYALLKSNEILLITVNTAGCVIETLYIVVYLAYAPKKAKLFTVMILLLLNVGVFGLILLLTMLLSASHSRVVLVGWVCVGFAVSVFVAPLSIISKVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVIGFTFGVIQMGLYALYCNATPRLPGKVVEAEEAVDDTFKVPEHVVTIPKLGAPAVEMKTCEVHTVESPPPIEAAAKQEDDEPSSAEELEKAGNKGSNNTEQV >PVH33778 pep chromosome:PHallii_v3.1:8:5144918:5147382:-1 gene:PAHAL_8G063200 transcript:PVH33778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTTTPIFPQIPRGQTSKDFQVLVRVEAPPAAGHKGRVPVDLAVVLNVGGGTARLDSVKKAVLFIIRQLRDDDRLAVVGPSSNRLFGETATGFLDIRDAWRNAGNSLDKLQARPRDGHAQQGSSLKEAIKMLSELPASTSSRASFIILVTDTKESSRFSKLPREFLKNQPVVHTIGLGAAHDPKALLSIAEESHGTYSFVDDDQNMDSITGAVAVCLSGIKAVAAVGTRVSLEAAVGSGVRVERIESGGYSSAITGDRTSGEVTVGVLYAGEAKSFIVHLNVPAVPPTSASVDGCCDKQDLLTASFFGHYMASDGDASPPKIQATLSVQRPPPEGVAIAASLQKVPVPVVMDQIVQFGMLDMVTTFVENEIWELSSITAEVGAAMATKLQSRWEEFVQARQFWSGLDLGVLEVEISKMVTILAAAGSGSGSSSSPLSATAYMLSWLSSYQTQRPTAMGSPSSVAPAFATLSVQLTVQEATTIVVTPPGGNLGGDGLGGCPPCECDDACVEPRPPPVFLPSGRDDDTYRVNAAYPAVLLDAINQAANQMYLALVQASNVRRCNSSNVEVPPQPRAVA >PAN43810 pep chromosome:PHallii_v3.1:8:44501200:44502055:-1 gene:PAHAL_8G264700 transcript:PAN43810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTARSSTADLLLYLSLSTASKVIAKAGGGRHHRDRAADGAFRCRTCGRSFATFQALGGHRTSHNRPRVRADGLDLLLGARPGKGAASDVHRCNTCGMVFTTGQALGGHMRRHRAAVFDAVVAAVPETTTTTSFRDSDDDEGHLPIQLIQFI >PVH33991 pep chromosome:PHallii_v3.1:8:16281417:16281752:1 gene:PAHAL_8G115600 transcript:PVH33991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVHQRRGGKAGEQGCIGVGEDEHGKGAWLGELARGVGAAAPAGAWCRMRAAEEGLCVCAACLRRSTGETMELAWWLREKEGLGCNQWIDREILIRWRLGIRWGLDDKGI >PAN41627 pep chromosome:PHallii_v3.1:8:3822836:3824202:-1 gene:PAHAL_8G050000 transcript:PAN41627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANDATAAPSSRRRPRRGSRHPAHVLLNTEAVVGIHSSSSSTAATARTRNGELVQVFFLLRRPPRPSTLFAHSPDINPSLPPRIIYSVDDLLLLRLNMGSGPSALYDKDFDYFVYRADPAHPSLQLLRRPHPYFYHTDVGLLPRPGGHHTIAALNFTGTVHQFELHVFHSDTSTWTRRVLSVAAPQEDFPVKTPRNCGRLLLHHTTTVITIGGEGGTMGWVDLWRGILLCDVLHAEPSLRGVPLPLPLVEMGYNYGLGMELGNPAQRRGIAFIRGKGCLNFECFPEVDEETELITVRVDDWALTTWSNKKMSNSLEDWHKESVNLSIYQPTPSITGENVVYLVAREKFSHPKAWILAVDMKNEGRVQSAAYFGIRQYFGLDVIYCPSRISKYMNPVKYEKPATSPGN >PVH34401 pep chromosome:PHallii_v3.1:8:39848527:39857550:-1 gene:PAHAL_8G213400 transcript:PVH34401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRWRSRARRDPDVDDDDEDDDVGNEDLSLEIVARARRKRHGASGGGTPGLADLLQVSSGDEEAGEDAVVELGEAEEPRRKQRKKHRKEAAEAAAAAATSAPGEEQQEVGGTQQGPIGIAESVLTEDGADVPASDNMVLRKLLRIPRYFDPGESLLETCYNCSEEGHVAANCPMEKRKKPCFVCGLFGHNAKQCTQGQDCFICKKRGHMAKDCPDKHKRNDHQSTLCLRCGEIGHDMFGCTNDYPPDDIKCNSVPAANLDPCSSHESSRIASPLMVIYAVLMSLTIAPNNCAKSGHSGLGCAKQRRETSAISTPTECYKCGEEGHFARGCTKNAKPSHRHARTFLGSTTLPSSL >PVH33978 pep chromosome:PHallii_v3.1:8:15923471:15924802:1 gene:PAHAL_8G112900 transcript:PVH33978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVSIHGGKRPIPVIGPKKEEKDYITEEQVRHVRNQRPVSSHLLRKYQYQYQQRLQRETEEEEYERRTGKCVRKREDTRDHWHCPFFKYCWDSGMKRLPTLEDCPECNSQKQDTRSALVFLRLGPGQPLGNSEDEEDKYHRPRWCPDGLNRSQKRRVQRLRSLEEAEAQYLETLRKAQPDLAEKVWRPKKSKANVKTSADAHMVFVLPVEFHAPGREEVPVAQLDLGPRPVIFEKPREKNYRHLKALYLKGYINGQPVSRMLVDTGAAVNIMPYSVLRKLGHSVGDLIKTNITLSDFNGQTSEAQGVLSVDLTIGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCCIPSTMHQCLIQWDGDKVEVVHADDSVEVSHAAMSVWDAEDQEPIIGISLEGCDHVEATKNGVRLVLSTGLTE >PAN42286 pep chromosome:PHallii_v3.1:8:23076833:23089066:1 gene:PAHAL_8G139500 transcript:PAN42286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRERFLLLPLLILLAVAAPAPGPAAAATAYTADAGVILELAKSLTNPPPSWTGTDVCGGATFQGITCDGGRVTGINLAKQHLSGTLPPSLSDLTALQWLQLQGNALEGDLPSLARMGSLETLALDGNAFTALPPDFLEGLPLLQSLSMDDLPLKSWSIPDAVVGCTALLNFSASNASVSGPFPAVLANLTSLRMLRLSYNYLTGGLPVVLEGLDSLESLQLNNQNSDDKLSGRIDVVAKLPSLKTLWLHSNKFTGPIPDFSPDSQLVDFNVRDNSLTGVVPPSLIGIASLQNVKLSNNHFQGPKPKFAANNIDIDSGNGFCLKDPGPCNPLVTILLEVASGFGYPLELAKWAGNDPCRSGPWPGLTCIKMDVTQINLARKNLSGLISPAFANLTRLQRLDLSYNQLTGAIPDALTTLANLHYLDLSNNRLTGQVPEFKQRNIKLMTAGNRFGESDGDNGGGDGSNGGSSPSNPTGSRNSKSNAGMIIGILLAVILLVVCVVLFLHHRRKKNVDKFTPVSTKSPSGESEMMKIQVVGTNGNSNGSDSAIPTELYSYVSADSTNIADIFESHGMQLPMSVVLKATNNFDEDYILGRGGFGVVFKGTLNGKLVAVKRCDSGTMGTKGLQEFMAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQKSGYTPLSWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSMMTRVAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPEDETHLVTIFRRNMLDKEKFRKFVDPTLELSAESWNSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNIDDDDDDEGGTSEMGLHQQLERWRRDDFTISDSDSFSTYSLSRKYH >PVH34338 pep chromosome:PHallii_v3.1:8:38276319:38276495:-1 gene:PAHAL_8G199200 transcript:PVH34338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEILSLDLDRLDIFPISISYVTRTMKFIFVTCIVALRNEELLLPIIPGSNPTGLNL >PVH34380 pep chromosome:PHallii_v3.1:8:39498658:39500481:-1 gene:PAHAL_8G210200 transcript:PVH34380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDITSTMKTNSRAHVAMLATPGMGHLIPLAELAKRLAARHGATTTLFTFASTASATQRAFLASLPPAITPRTLPPVDLSDLPSGTLNETLMSVECARSVPALRDALADLKRTTWLVAFVTDLFGVDSFDAARGAKVERRWLFFPGNLHALTLILHLPELAASIPGEFRDLAEPVRLPGCVPIPGPDVISPLQDRSSPAYSLMIHLAERFLDFDAILVNSFEAVEPEVAMVLQQPELGRPPVYPIGPLILTTDRGGGANNGDTGPQLPPRTACLEWLDRQPAKSVIFVSFGSGGALPAEQMRELALGLELSGQRFLWVVRSPSDDGSLSGNYYDSESKKDPFTYLPDGFIERMKSVGLVVPSWAPQAEVLAHESTGGFLTHCGWNSTLESLVHGVPMVAWPLYAEQRLNAVMLAQGVGAAIRLPKIKDKDTIAAVVREVMAGERKGAAVRVKVVELQKAAAESLHDGGAATTALDQTVENWVGE >PAN42121 pep chromosome:PHallii_v3.1:8:16219261:16223949:-1 gene:PAHAL_8G115300 transcript:PAN42121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLVSFETPPLGRKERANTTITAKASARANESSSSSTCFHSHLDLSLGISLSHGSGSCDATGCSGIKASCGGRQGSGGDKNLGSMTSGTTTTTTTNVLTAGHCHVSDSTAGGGWAAAFMPSPTGFMHPWSLAARQQKAAAEQKLTPPATYVPSSDARVVPLPSAIGWPPVHTSRRNIVTAMHVTKTGGATVAADGPKGSTTTHAGGEKNAAAPTDSTVVATRPPANMFAKVHMDGCTIGRKINLRAHGSYESLSRVLTKITRNFFCPADCSGANTGEEDLPNSDKFIFVYEDFEGDRMLVGDVPWELFLASAKRLYIVQNPASRDKGHGERDGKDKTEEPPRNN >PAN42122 pep chromosome:PHallii_v3.1:8:16218769:16224287:-1 gene:PAHAL_8G115300 transcript:PAN42122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLVSFETPPLGRKERANTTITAKASARANESSSSSTCFHSHLDLSLGISLSHGSGSCDATGCSGIKASCGGRQGSGGDKNLGSMTSGTTTTTTTNVLTAGHCHVSDSTAGGGWAAAFMPSPTGFMHPWSLAARQQKAAAEQKLTPPATYVPSDARVVPLPSAIGWPPVHTSRRNIVTAMHVTKTGGATVAADGPKGSTTTHAGGEKNAAAPTDSTVVATRPPANMFAKVHMDGCTIGRKINLRAHGSYESLSRVLTKITRNFFCPADCSGANTGEEDLPNSDKFIFVYEDFEGDRMLVGDVPWELFLASAKRLYIVQNPASRDKGHGERDGKDKTEEPPRNN >PVH33656 pep chromosome:PHallii_v3.1:8:2948829:2953110:-1 gene:PAHAL_8G040500 transcript:PVH33656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRPRLPAVPSPPAPAHRRAFRSEAALEAIRWHSLQSRAGAPSSGAGDEAGPASLAIYNYPTFAGAYGALAARRFHQRVRRRLLVLPFSSVEPFRAGDFEDAGFQTCYLLDFIGPKKFAFELSQCVPSVIAFDHRQSTLARIPKLGQCPSNVELHIDTSKSSVRSVFDYFSKKLAGTKYESRICENLFGLEDEERVSNILEYIEDADLRRWQLPNTKEFQTALRDERAKLNCVTNPHVFEQLLQLDVGDLLTRGKSVAHDRVQAAGEFIQMPFKIQLGRGLYGECLAIRADGNSKLSHEIGLELSRRSAAAGLRPIGAVVFMQRGILKVCLRTTDSTTNTANIAKAYGGGGKPSSSSFALRMDEFNTWTSVNS >PVH34194 pep chromosome:PHallii_v3.1:8:31332139:31333417:-1 gene:PAHAL_8G167500 transcript:PVH34194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSLTRFSHQLASGDAESTPVAAASKAFFQIPAMANPAMLFTVAILALVSTAAGSVNATASPSAGGNATTGPTKNTTAPPFGTNHAVGDGAGWFFDWKANASAANYSAWAANRTFYLGDYLSFKTDTGNTVVHTTNATKYKLCSAGVAANGSSGCKPEGAFLAVMLTAEGANYFFSAAGDGDHCRKGMRFEAAVAHGRGLPSVPASYYEPLSGAPAGMPDGGAVATWVALVAALSAALVL >PAN42882 pep chromosome:PHallii_v3.1:8:37770585:37772257:-1 gene:PAHAL_8G195200 transcript:PAN42882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAFLEPNQRAAVLAIGTANPANCVLQDEFPEWYFRVTRSEHHPTLKAKMKRICEKSGIRKRHFHHTEEMIGSHPELLDRALPSLSARLRITADALPELAAAAAEKAIAEWGRPATDITHLILSTNSSGGVPGPDVRLAALLGLRPTVQRTTLYLHGCSAGAAVFPIAKDLAENDDRARVLVVCAETIVIGFGAPDEANPNGLVANSLFGDGAGAAIIGAGPVAAVERPIFHMVSSSQSTLPRTEPAVLVRLGESGLGYNLSAELPALVRSSIEKCLVDALAPLGLAAGAGGWNSLFWAMHPGGRAILDSYEAALGLEPGKLAASRHVLGEYGNMLGATIIFVLDEVRRRRQGGDAGGDVACEWGVMLALGPGLTVETMVLHAAGSRDEK >PVH34043 pep chromosome:PHallii_v3.1:8:17413747:17415594:1 gene:PAHAL_8G123000 transcript:PVH34043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTRAKKMMPLQLDEEEEVLVDRISLLPDGVLEDIVSLLPTRDAARTQLLSSRWRHIWRSAPLNLDVDGDPTIPVSDISRILSTHQHGPGRRFSINYSCLGYYDDEEETLDGWLRSPALDGLRELEILFDDSHLRWGSPPRPLPAASVLRFSSTLVVASFNACAFPDATTTGGLLHYWPLLKQLTLSYVTVSESSLHALLAGCPALESLLLQENNGCPRVRIVSPSLRSIGVGTGFGAAFFTWGDDELEELRLVQQLVIEDAPCLEKLVVFEGLEMDISVVSAPRLKVLGELVRVCHMLQFCTAALHQITHVVQKNESYDEYQQLTGTLDIRLRNIVLPYREDSKPGINFAKFFVTNARVLESMTLELKHGYVGNDAWIRRQHRRLQVKKRASAHARFDFVSHILSPSLPHPEQVHDLSILDPFQRIHH >PAN41226 pep chromosome:PHallii_v3.1:8:1964567:1967382:1 gene:PAHAL_8G027900 transcript:PAN41226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADLLRREEEFYSSLFDSAKGDGVGSRSQLIERKIEALEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPLSAFCRTSVGEWDAFRSIDMDAEARLMERMKRSSEKQRTHVDEDELVVLNAWRRIDRQTREAIKKNFLPDLLEIYEERVRIFIEDTSDKDVLVLNVQDPFQRLLLHGVCEFYSVTSTTMTSVRDGKPWKTTTIKKRQGTGVPSRITLVSFLRMKKNGSQ >PVH33902 pep chromosome:PHallii_v3.1:8:9809584:9810516:-1 gene:PAHAL_8G089000 transcript:PVH33902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSRFFWDSAGHAHTNASHWEGFPRLLWESLQMFGYTEPPPYDGIEYDEEGVPHCRVKMTIPPHPTLSLWQPIEVNVVGHRLADTFEAAAMEAIHVFCDLHPEEVAGHPIGLFPAMDSHDPEWTFRVTYCDHLLGSLAGETLRTAVRFMNAHNRYQTLQQHGIYRLTNIAQGYHTQIGRQNTQIEELQATVSAKEEIITQRDETIQHREDQIVESDALIVQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQLVHPDVDELESEEEEEEPEEVEGVSEIDSEHGDPALSPHHSSSGSQSSVGNLDDF >PVH33606 pep chromosome:PHallii_v3.1:8:2129467:2130278:-1 gene:PAHAL_8G030100 transcript:PVH33606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNFLLGKLSIANLFFFHSKALCKKQSASLFTLKEANGVARTRRMNITETPLSFPLRSSS >PAN42366 pep chromosome:PHallii_v3.1:8:15092012:15094259:-1 gene:PAHAL_8G108900 transcript:PAN42366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLIHLRAVSEDEGKALAEKEGLFFLETSALEAVNVEEAFQTIISEVYGIVNRKALAAKEAAAAAAPLPSQGKTINIDSTAGNTKKACCST >PVH34051 pep chromosome:PHallii_v3.1:8:17956403:17959297:1 gene:PAHAL_8G125100 transcript:PVH34051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESAVDTSLVILTPIDGVPPAGESTDTAEDDASPTASPAEDDASLSASPAASVVPAPAAVECTSLVSPTIDEVSPAGATSTALDDDAVPSVPTAMLGPPELCPVPATVECAPLVPLFTIDGAPPVCANRLLCDDTSSPPATTASEVLAAVSDVAPIPVGDVEATVPSSGTPMGDLLAATTPLPAATTTTPVHPLVAPELCRRILPDNLIVYTRTPRRRDETPSATTEFLNKVVKLVEAIVPAPAIQKRRKKTAGPVSILHRSRRIANLPPETDRISASMVCRKLGLTDDEGRISDEALERYSKFYMHLLGRDHVPALSALFGWDVPPEGQARIAANIAVNFKRLFLSSLLGIEILMEKYPPTDSLSGYPNVAILHSAFLTSQR >PVH34240 pep chromosome:PHallii_v3.1:8:35061910:35062389:-1 gene:PAHAL_8G179000 transcript:PVH34240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAGDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNQKKSADFQERESYDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNSMKYKMLIE >PVH33683 pep chromosome:PHallii_v3.1:8:3366378:3369746:1 gene:PAHAL_8G044700 transcript:PVH33683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMSLLQLMLLGQNNLTGSIPSNRSFSLPELREFYLPENNFAGGIPLALSACRHLRYLTLAINSFFDGVPAWLAQLPQLKIINLGGNHLAGSIPAVLGNLTSLTELTLSNCNLTGEIPTELGLMRELSLLNLGSNNQLAGSIPASLTNLSKLYDLNLENNQLSGSVPDTFGNIPGLNKFELSYNNLNGNLDFLSSLSNCRQLQVLGIFSNSFTGELPGQVGNLSTNLRAFHGGYNKITGVLPSTLANLSSLDVIDLRKNLLREPIPEWITSMQNLVYLDVSDNDISGPIPTQIGMMRSLQRLHLHGNKLFGSIPDSIGNLTKLETLAVFNNNLNSTIPASLFRLDKLVELYLSNNSFSGALPADVSGLKIVYIIEIDSNIFIGKIPDSFGQLRTLAQLDFSHNSFEGTIPESFQELTNLASLNISSNNLSGTIPKFLANFTSLTTLNLSFNKLEGKIPEGGVFSNITLTSLIGNAGLCGAPRLGFSPCLEKSDSTGRHLLKFLLPAATIALVSIALCVYLMIKRKLKNKRQIHASDVGPSDLMSHRLLPYHDLVRATDNFSDNNLLGTGSFGKVFKGQLSTGLVVAIKVLDMQLEHAIRSFDAECRVLHMARHRNVIKILNTCSNLDLRILVLEYMPNGSLDALLHAEGRRHLGFLKRLDIMLDVSMAIEYLHHEHHEVVLHCDLKPTNVLFDDDMTAHVADFGIAKLLLGDDNSMITATMPGTLGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTDPMFDGELSIRQWVQQAFPSDLASVLDEQLLQEASSICDLNDSLLPILR >PAN42436 pep chromosome:PHallii_v3.1:8:22181300:22185236:1 gene:PAHAL_8G137300 transcript:PAN42436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPEDGGEVALEEATSPAEVDVDPTPREKVVALPLEGEALKATGGCTPAVGSETSSHPEGLSLNYEEARALLGRLEFQKGNVEVALRVFDGIDLQAAIQQFQPSLSDKTPSRKGRTKSEVPSSVLQNNPASLVLEAIYLKSLSLQKLGKSTEAANQCKSVLDSVESMFQNGTPDIEQKLQETVNKSVELLPEAWKYAGSYQEALASYRRALLSPWNLDDECRSRVQKRFVTFLLHGNIDWSPPRMAQQIEGSFLPKNNVEEAILLLMIILRNWYQAKTHWDPSVMEHLTYALSLCCEPLVLAKQLEEVLPGIYPRTERWCTLALCYYAAGQKDIALNFLRKSLNKLENPNDVLALLLAAKVCCKECHLASEGVEYARRVIARAESSNYHLKSVGLHILGSCLGKKSKVVSSDYQRSLLQAETLKSLTESISLNRYNADLIFDMGVEYAEQRNMNSALRCAKEFIEATGGSVSKGWRLLALILSAQQRFCEAEVVIDAALDETANWDQGSLLRVKAKLKVAQSSPMEAVEAYRTLLALVQAQKSSSESTKSTPEIVDGMVSEFEIWQGLANLYSSLSYWRDADICLQKARTLKPYSATTLHAEGYMHQARDHTKDALAAYVNAFSTELEHVPSKVAIGALLAKQGPRFLPAARCFLSDALRVEPTNRMAWLYLGKVHRSDGRITDAADCFQAAVILEESDPVESFSSLL >PAN43128 pep chromosome:PHallii_v3.1:8:39700070:39701870:-1 gene:PAHAL_8G212100 transcript:PAN43128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAGGGPAGRGRGRYPIQRSLQFGLFMPILANASTILLRLVLKSTVTFLFETKSIVILTPRFVIVSEHMHAISFITNANNVYPIHEIHTPSQIISRFDLSRFIVFTMNRVIHTQTIFRNIINLKKTIKYLRQ >PVH34423 pep chromosome:PHallii_v3.1:8:40240986:40247829:-1 gene:PAHAL_8G216700 transcript:PVH34423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVSVSLGVVQSLPAKLKRLLSPEADHGLHKKDKDKIRLLKDHLQELIDKYLMEPSEVEAPASTARCWVKEVRELSYDIDDFLDELIHGHHADLKNLRRRSRWVADKVSQFRARLKDAIQRHKIYNLDRCRKRPGSLASEERPLPPQHGLETACLVGTDSSMEKLGEWLTGDAERTLRVVSIVGLGGVGKTTLAKELYRRIDSQFECRAFARTSQKPDMRDLLSSILLQVRPERPPDASESCNLIDTIRAHLQHKKYFIIIDDLWATSTWDVVCRALPDDKCCSRVLITTEIHVVAQTCCGHNSEYILKMGPLRDDESRKLFFSRFPGDQSDSCEQSKVLLEIIRNCGGFPLATVTISSLLARQHSGIEQCNYIRRSLSTNLRTNPSMEGMKHVLDLCYNNLPDRLKACMLYFSTYKEEHVIWKDDLVNQWIAEGFICAEGGNSMEEVASTYLDELVIGGMVQPVDVNHNGVVLSCTVHYMILNLVRYKSIEENFVTAIDGSQSKIRLADKVRRLSLLFGDAGDAEPPANLRLSQVRSLVFYGFPKCFPSIVEFRHLRVLILHLWGDQDNINFDLTALCKLFGLKHLEMVCNVTLSLQTEMQGLRHLETLKIDSTVSEVPEDIVHLPGLLHLSLPGDTNLPNGIGRLATLRTLGCFDLTRNSADNVLSLGELTNVWDLRLTCSESQPDNLKKNMEHVVSALSKLRNLKRLTLLSAGSYNENTLEASVPSNEISYDLSNLSSPSTLLETLELMPRICILSVLPEWIGKLRLLSILKIEVMGVSGGDIEILQELPALAALSLYVPTAPAERIIFHREGFPVLMQFVFICSALCVAFEEGAMPNVRRLKVGFNANTTGKYNIADAGLENLTIVEVFSAKIGGAGADEFCRKVVESTLEEIFRQNMRPPTIDIQFVGWAFYGDKENSAASATQRIAVEESSEDIVSPFQDPAFSCADKGISTASTTNEVVEEGSTENIVSHLRLLDPAFSYGDKEISTTSTTKQIANEGFVEDVITHLQDSAFSYGDKEISTALTTQQTVEEGSTEDFVSQFQDPTFSCDDKGSSTMSAAHQVVEEGSAEDFVPQLRDRAFSYGDKEISKLSATQRTGAEGSTKGIVTLLPHHAGDQDDFRHRSHRTRSLSPPPTKEPDARLHLRPPPRGHSPIDPAADAVLLELGAMEKYVLVKDLGAGNFAKARLIMRHKETRELVSIKYIPRGNKINEDVFREIVNHRSLRHPNIIRFKEVVLTPTHLAVVTEYAAGGELFERVCEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENMLLDGSPAPRLKICDIGCSRSSVLHPRHKVTAGTPVYIAPEVLSRREFDGKRADIWSCGVTLYAMLVGAYPFEDPKDPKNFRKTISRIMSVQYEIPEYVRVSQDCRNLLSRIFVANPNKRITMSEIKSHPWFLKNLPWELKKEAEAAYYTRRRGGEDGNASTYPAQSVEEIMRIVQEAQTVPRAAVSGYGLGASDDWQYDEEKEDDYY >PVH34422 pep chromosome:PHallii_v3.1:8:40241708:40247200:-1 gene:PAHAL_8G216700 transcript:PVH34422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVSVSLGVVQSLPAKLKRLLSPEADHGLHKKDKDKIRLLKDHLQELIDKYLMEPSEVEAPASTARCWVKEVRELSYDIDDFLDELIHGHHADLKNLRRRSRWVADKVSQFRARLKDAIQRHKIYNLDRCRKRPGSLASEERPLPPQHGLETACLVGTDSSMEKLGEWLTGDAERTLRVVSIVGLGGVGKTTLAKELYRRIDSQFECRAFARTSQKPDMRDLLSSILLQVRPERPPDASESCNLIDTIRAHLQHKKYFIIIDDLWATSTWDVVCRALPDDKCCSRVLITTEIHVVAQTCCGHNSEYILKMGPLRDDESRKLFFSRFPGDQSDSCEQSKVLLEIIRNCGGFPLATVTISSLLARQHSGIEQCNYIRRSLSTNLRTNPSMEGMKHVLDLCYNNLPDRLKACMLYFSTYKEEHVIWKDDLVNQWIAEGFICAEGGNSMEEVASTYLDELVIGGMVQPVDVNHNGVVLSCTVHYMILNLVRYKSIEENFVTAIDGSQSKIRLADKVRRLSLLFGDAGDAEPPANLRLSQVRSLVFYGFPKCFPSIVEFRHLRVLILHLWGDQDNINFDLTALCKLFGLKHLEMVCNVTLSLQTEMQGLRHLETLKIDSTVSEVPEDIVHLPGLLHLSLPGDTNLPNGIGRLATLRTLGCFDLTRNSADNVLSLGELTNVWDLRLTCSESQPDNLKKNMEHVVSALSKLRNLKRLTLLSAGSYNENTLEASVPSNEISYDLSNLSSPSTLLETLELMPRICILSVLPEWIGKLRLLSILKIEVMGVSGGDIEILQELPALAALSLYVPTAPAERIIFHREGFPVLMQFVFICSALCVAFEEGAMPNVRRLKVGFNANTTGKYNIADAGLENLTIVEVFSAKIGGAGADEFCRKVVESTLEEIFRQNMRPPTIDIQFVGWAFYGDKENSAASATQRIAVEESSEDIVSPFQDPAFSCADKGISTASTTNEVVEEGSTENIVSHLRLLDPAFSYGDKEISTTSTTKQIANEGFVEDVITHLQDSAFSYGDKEISTALTTQQTVEEGSTEDFVSQFQDPTFSCDDKGSSTMSAAHQVVEEGSAEDFVPQLRDRAFSYGDKEISKLSATQRTGAEGSTKGIVTLLPHHAGDQDDFRHRSHRTRSLSPPPTKEPDARLHLRPPPRGHSPIDPAADAVLLELGAMEKYVLVKDLGAGNFAKARLIMRHKETRELVSIKYIPRGNKINEDVFREIVNHRSLRHPNIIRFKEVVLTPTHLAVVTEYAAGGELFERVCEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENMLLDGSPAPRLKICDIGCSRSSVLHPRHKVTAGTPVYIAPEVLSRREFDGKRADIWSCGVTLYAMLVGAYPFEDPKDPKNFRKTISV >PVH34458 pep chromosome:PHallii_v3.1:8:41112083:41112958:-1 gene:PAHAL_8G223800 transcript:PVH34458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLHKILTFVFIYAGHKPRSVPLWEREFCSYVGNISWQRFCENKRYVSIFPDLEQWDDSGAFENFQNAKARFWANYHGQHSDIPLPDPDMYIDKVDHHCKVDPELVADLDKVRLPIDPDNNSVMATGSADAGADNMCTQNQSGDWDICIEKPAEVDEWNWEPSPGSNATWGGNNESSSKWGTINFCWGASLEEPSWHSWSNDHYASNNRYNNLYGSSNNNRYQEPGGGSKSHIRKDNNGSGHFHQKNKKLRNKDEVFGRSSWKDPRGRKKEWHPVHNRTCQSGQGIEDGP >PVH33832 pep chromosome:PHallii_v3.1:8:6579039:6580292:1 gene:PAHAL_8G074000 transcript:PVH33832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPPPPPQRKSLQDLDMDTQRLILSRIPCRVDRGRISLVCRAWRDMVRSQQHMLVGRLLPQPRSLQWLLLRGPFPAGSNRVVCVLSGCRVHHYINVVPPDARCFGAHGGAWLFVDTREPVHRAAAVNARTGAFRNLPRELLRRADPYVYRMVIHAAALSSSPDDANCVGAAIVTAWQNAAPGAGLPPRRRCVALWRRDWPRAWDFVPPGQDDVSLNVEDVLYLNHNGAFAFVTQGEHIRICVPLRLSENMLSTKWGTLRFRPGGPLYDHFVRSRYLVVSGGELLMVVRFTPHPNMPTSKFKVFRTAKRNVNDDNADFPIALYPWAWSELDTLGGWMLFVGHGCSRSYKVDKYPGFKEGIYFLDDGKFYDDAVIFDNGNGNHYPCSDNGKWSEGQIQRCFPRSDPSVHSAPVWLLP >PAN43247 pep chromosome:PHallii_v3.1:8:42571002:42572405:-1 gene:PAHAL_8G239500 transcript:PAN43247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVNHRNMVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGTISLSWDDRLRIVLEVARALSYLHSSTSMPIYHRDIKSSNVLLDDSLTAKVSDFGASRYIPIDQTGVTTAIQGTFGYLDPMYYYTGRLTAKSDVFSFGVLTMELLTRKKPFIYRSDDGDGLVSHFSSLFREGKLADIIDPQVMEEDNGDIQEVAALAVVCTKLKGEDRPTMREVELILENLRLKMHTPSCTKPCSREHIPAQGVVTQEVSRQYTMEEEIMLSARYPR >PAN43563 pep chromosome:PHallii_v3.1:8:42961016:42963330:1 gene:PAHAL_8G245200 transcript:PAN43563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPLLGGKGGGQAEQLPWWSRWLGRVVDTEEAAAQLRFAVPMVLTNMAYYGIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVMGLSGALETLCGKAYGARLYRMLGLYLQSSFIMSAAASALISVLWCFTEPVLLLLRQDPDVSRAAAVFVRAQIPGLFAFAFLQCLLRYLQTQSVVLPLVACSVAPFALHVLLAHLLVNVLGLGLAGASAAVSTTLWASCLMLLAYVLLSEEFSETWRGFSADAFKYVLPTVKLATPSAIMVCLELWAFELLVLIAGLLPHPTVDTPLIAMCVSTEAITCMISVGFSAAVSTRVANEIGAGNVDKARNAVTVTMKLSVFLALSFVLLLAFGHNLWASLFSGSSAIVSGFAAITPLMMVSIVLDSAQGVLSGVSRGCGWQHLAAMTNLVAFYLVGMPLAILFAFKLKFYTKGLWAGLICGVTCQACSLLVITVRTKWSKLVEAMQEEKANYVA >PAN41463 pep chromosome:PHallii_v3.1:8:3427962:3429285:1 gene:PAHAL_8G045900 transcript:PAN41463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVVDATELWALDACKALPRVQLAYPIMSMDEPHVVFFILCESFYERKHGDTTEWLILVDTRSKTIRSVRRYDQGRGYFRGRIFLPSGLSDYFNSSPRCSDGAASSVSKSRSTMFIVNEEEELRDDGGRDLEAAAASPEETTILAVLGEIPGLAREDMLKAYSILSHDSNGRRFRSLLGLPVNLRKEWLLMEIKTSEACSVCSACTANLQHG >PVH33667 pep chromosome:PHallii_v3.1:8:3100299:3104590:-1 gene:PAHAL_8G042300 transcript:PVH33667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCRHYRARHVTSTHTTSVHFPHLFAGAPPMASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGVYTFGPFVDGLLRARRGGAPLDSCDFDLDVDLDLGRYDVPKMERHVNGWIRRALRRQVRDLRFRVSVTPRLPCALEDRPLASEHLTRLELVGVKGNAGVLDFSCCPALEDLRMEDCDVGSTEMHSPSLKRLKIRYCLFYCNFRTGMSFPSLVSFEFITNAGRVPMLESMPSLETATVRFDHFYDDRCSNGRLDDCGDASCYGCLYYYAPDDYDCVFLEGLAEVTDLTLSAYPDLYVFIRDLEWCPAFSKLKTLVLSKWFVSDELSALSWFLHNAPLLEKLTLKPSKVLKANGIPQEKIRIQCSARFKNFVSTEVQTE >PVH33668 pep chromosome:PHallii_v3.1:8:3101993:3104449:-1 gene:PAHAL_8G042300 transcript:PVH33668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCRHYRARHVTSTHTTSVHFPHLFAGAPPMASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGVYTFGPFVDGLLRARRGGAPLDSCDFDLDVDLDLGRYDVPKMERHVNGWIRRALRRQVRDLRFRVSVTPRLPCALEDRPLASEHLTRLELVGVKGNAGVLDFSCCPALEDLRMEDCDVGSTEMHSPSLKRLKIRYCLFYCNFRTGMSFPSLVSFEFITNAGRVPMLESMPSLETATVRFDHFYDDRCSNGRLDDCGDASCYGCLYYYAPDDYDCVFLEGLAEVTDLTLSAYPDLYVFIRDLEWCPAFSKLKTLVLSKWFVSDELSALSWFLHNAPLLEKLTLKPSKVLKANGIPQEKIRIQCSARFKNFVSTEVQTE >PVH33665 pep chromosome:PHallii_v3.1:8:3101993:3104449:-1 gene:PAHAL_8G042300 transcript:PVH33665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCRHYRARHVTSTHTTSVHFPHLFAGAPPMASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGVYTFGPFVDGLLRARRGGAPLDSCDFDLDVDLDLGRYDVPKMERHVNGWIRRALRRQVRDLRFRVSVTPRLPCALEDRPLASEHLTRLELVGVKGNAGVLDFSCCPALEDLRMEDCDVGSTEMHSPSLKRLKIRYCLFYCNFRTGMSFPSLVSFEFITNAGRVPMLESMPSLETATVRFDHFYDDRCSNGRLDDCGDASCYGCLYYYAPDDYDCVFLEGLAEVTDLTLSAYPDLYVFIRDLEWCPAFSKLKTLVLSKWFVSDELSALSWFLHNAPLLEKLTLKPSKVRSNLMKTVESYKPLEQSIAASHLQIVEIICKDADEILLKILKVLKANGIPQEKIRIQCSARFKNFVSTEVQTE >PVH33669 pep chromosome:PHallii_v3.1:8:3100297:3104590:-1 gene:PAHAL_8G042300 transcript:PVH33669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCRHYRARHVTSTHTTSVHFPHLFAGAPPMASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGVYTFGPFVDGLLRARRGGAPLDSCDFDLDVDLDLGRYDVPKMERHVNGWIRRALRRQVRDLRFRVSVTPRLPCALEDRPLASEHLTRLELVGVKGNAGVLDFSCCPALEDLRMEDCDVGSTEMHSPSLKRLKIRYCLFYCNFRTGMSFPSLVSFEFITNAGRVPMLESMPSLETATVRFDHFYDDRCSNGRLDDCGDASCYGCLYYYAPDDYDCVFLEGLAEVTDLTLSAYPDLYVFIRDLEWCPAFSKLKTLVLSKWFVSDELSALSWFLHNAPLLEKLTLKPSKVRSNLMKTVESYKPLEQSIAASHLQIVEIICKDADEILLKILKVLKANGIPQEKIRIQCSARFKNFVSTEVQTE >PVH33664 pep chromosome:PHallii_v3.1:8:3101993:3104449:-1 gene:PAHAL_8G042300 transcript:PVH33664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCRHYRARHVTSTHTTSVHFPHLFAGAPPMASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGVYTFGPFVDGLLRARRGGAPLDSCDFDLDVDLDLGRYDVPKMERHVNGWIRRALRRQVRDLRFRVSVTPRLPCALEDRPLASEHLTRLELVGVKGNAGVLDFSCCPALEDLRMEDCDVGSTEMHSPSLKRLKIRYCLFYCNFRTGMSFPSLVSFEFITNAGRVPMLESMPSLETATVRFDHFYDDRCSNGRLDDCGDASCYGCLYYYAPDDYDCVFLEGLAEVTDLTLSAYPDLYVFIRDLEWCPAFSKLKTLVLSKWFVSDELSALSWFLHNAPLLEKLTLKPSKVRSNLMKTVESYKPLEQSIAASHLQIVEIICKDADEILLKILKVLKANGIPQEKIRIQCSARFKNFVSTEVQTE >PVH33666 pep chromosome:PHallii_v3.1:8:3100300:3104590:-1 gene:PAHAL_8G042300 transcript:PVH33666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCRHYRARHVTSTHTTSVHFPHLFAGAPPMASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGVYTFGPFVDGLLRARRGGAPLDSCDFDLDVDLDLGRYDVPKMERHVNGWIRRALRRQVRDLRFRVSVTPRLPCALEDRPLASEHLTRLELVGVKGNAGVLDFSCCPALEDLRMEDCDVGSTEMHSPSLKRLKIRYCLFYCNFRTGMSFPSLVSFEFITNAGRVPMLESMPSLETATVRFDHFYDDRCSNGRLDDCGDASCYGCLYYYAPDDYDCVFLEGLAEVTDLTLSAYPDLYVFIRDLEWCPAFSKLKTLVLSKWFVSDELSALSWFLHNAPLLEKLTLKPSKVLKANGIPQEKIRIQCSARFKNFVSTEVQTE >PAN41416 pep chromosome:PHallii_v3.1:8:3100298:3104590:-1 gene:PAHAL_8G042300 transcript:PAN41416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCRHYRARHVTSTHTTSVHFPHLFAGAPPMASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGVYTFGPFVDGLLRARRGGAPLDSCDFDLDVDLDLGRYDVPKMERHVNGWIRRALRRQVRDLRFRVSVTPRLPCALEDRPLASEHLTRLELVGVKGNAGVLDFSCCPALEDLRMEDCDVGSTEMHSPSLKRLKIRYCLFYCNFRTGMSFPSLVSFEFITNAGRVPMLESMPSLETATVRFDHFYDDRCSNGRLDDCGDASCYGCLYYYAPDDYDCVFLEGLAEVTDLTLSAYPDLYVFIRDLEWCPAFSKLKTLVLSKWFVSDELSALSWFLHNAPLLEKLTLKPSKVRSNLMKTVESYKPLEQSIAASHLQIVEIICKDADEILLKILKVLKANGIPQEKIRIQCSARFKNFVSTEVQTE >PAN42808 pep chromosome:PHallii_v3.1:8:36989550:36991464:-1 gene:PAHAL_8G189500 transcript:PAN42808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRENKVLLYACRNCDHQEVSDSNCVYRNVVDHAAGEFTQVLFEDVASDPTLPRTKSVRCAACGHGEGRGRHDALLRLLQPQLRPPMERLMLILRDRLSAR >PAN42807 pep chromosome:PHallii_v3.1:8:36990545:36991212:-1 gene:PAHAL_8G189500 transcript:PAN42807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRENKVLLYACRNCDHQEVSDSNCVYRNVVDHAAGEFTQVLFEDVASDPTLPRTKSVRCAACGHGEGRGRHDALLRLLQPQLRPPMERLMLILRDRLSAR >PVH33484 pep chromosome:PHallii_v3.1:8:778646:782544:-1 gene:PAHAL_8G010600 transcript:PVH33484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQGSPPWSDLPQELLGLVFLRLPTRADRAFFPVVCRTWCSAARQCRLLPALAVTMPWLLLPGGNVISFPHGETFQLPEGVRYHNSCGEWLLLSRDGTSCFSMNPFTKATMLLSSSRNVEYSILPVMSELSTVRYTGVECQRRVAVEELGLGGSCGVHSWIEDASGDESLYSYSYYEEPVEVSEDCMVPDHEMPGTWMYNKDIDDVSVLALVVCSTRLIAAIVAVGALGTIALCRPGAAAWSVSAHEECRWLSHMVFFQGKLYALDSNADPEDLIAIDIVDDHDCHEPRVSRIERLIVGAFLPTQKYFLCQCYLLESHGTLLMIRRKLYYKAEHPSERRHGGILVAGSSEFKVFEEGLWSEVRTLGNDQASFLGRGCSRAICVSPYDLSQDCLFFVDDYIDWLWKKTTTSCGVYDMKDEKVRHIVCKQKGSICRKLWNLNKMILIRFTMEGLVLPVLLPLSETARKG >PVH33725 pep chromosome:PHallii_v3.1:8:4252923:4255772:-1 gene:PAHAL_8G054800 transcript:PVH33725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSGSSFPAVDLMQQGAPTPCRISDFDQQARTPPYLSRQVFVKHLHGTLSLQVDLTKDVEVLKEVVEKRLQRNASDEYFAYYLKELEPRNSLLSYGIKRDSTISLTPRLRGGRRRLKTLLRFFLKHKEELVKLVELTDGSDSVELTYMGCKFLSSWLCCFLRAFASGRSWGGSFDLVSFLVPRQSVHIFKTPSKELNPRNLHMDCTTFTRFVRVMFVLNNQHPPYLLHLLSMLDNLTMEDLSADTLFALTTHISLMPSLNRVCLVYLIKRRYDGWRPSERRRFRNHVNIFIPMFGDVLVETLRRSAVSHNDWHQLFVTIRNYNTHGPEYCWRGNVQWFDYSNNGMELMVPKYTADFLAQMLDVLIQRKFDIKDELMACSVSCCARKIWKRRFRENSDRRSSSPIDSERSVKTNKRRHAESYDRRGSKKHKKF >PAN43650 pep chromosome:PHallii_v3.1:8:43601950:43607376:1 gene:PAHAL_8G252800 transcript:PAN43650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAFSSVEKIIKVAAKIKEAVDTVKQNKEECDDIERCVARVVEILQQLDQTTVNPAMGGTLVDLAKSVDKALGLVKECQRKHIIPHLWGASSMAKKLGRVQNDIARKLLMGNFATNVSMFRTLHPQDAGAGTISHGHLTRDARPEVMNSQKGKTTVVATVSRVQSDPLSGIKKFSFSQLEEATNGFSHVLGRGGFGIVYKGVLHDGQDVAIKKLLISGDFPERSLDHELNIGAKLQNKNVVKLLGYCLHTEMEEYFLVQEYMPEGSLKRIINASGLDWPSCFQIIQGIARGLHYLHKQRVLYMDLKPANILFNSKMNPVIIDFGLSVVLDGDDDEITWNSVAGTMGYIAPEKITRAKISMKSDVFSFGVILIEIITGRRVTPSSDIPEWGSLEMIRAFNGLFDPALVDESKLVEINKCREVGLMCIEWDPKDRPTMAEVLELLNS >PVH33973 pep chromosome:PHallii_v3.1:8:15668066:15669062:1 gene:PAHAL_8G111600 transcript:PVH33973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTTSYESKSSREPTPEYDPIAAYEVRAPLHWDAEEWDFRYQSEDDESLTDGEDLTLLLGAELEEDEDDVSWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSDDTSDGSAGAEDDDSFPSDGNGDDDDSGSDSGDSGLSIAPSPKRRKISGVTGGRSWYPH >PAN41308 pep chromosome:PHallii_v3.1:8:2471403:2473149:-1 gene:PAHAL_8G034300 transcript:PAN41308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKVDPAALERTVLPHADYLTHAKVVTAFAVGIDDSVVITSIGRAVAYDFLVVATGRTCNRPQKQSDRLEMFQRDKERIDAAESVLIVGGGPIGVELAAEIVMKSPEKRVTIVHGGPRLLKVMGARASAKALEWLRSKHVTVLLDQTVDLAGATPETRVFTTSTGETVEANCHFVCTGRPMASGWLRESFLKDHLDEEGHIRVDDHLRVGGLKNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLRLLVKGACREEKLHRYKPCPKASITVTLGRRDALAELPFMTLIGHIPGAVKPRDLFITRTRRMMGLKSKPYGTTPRVM >PVH33928 pep chromosome:PHallii_v3.1:8:13564580:13565294:1 gene:PAHAL_8G101600 transcript:PVH33928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAACSRDAAMDGGGTTRQPRQGNHQPRRESGSGGSAPWRRRIGRGGGGLQLLREAGQGGRGSVAAAAPRHELQRGDSGRRHGAGLRPEGRRHRAGLRPEGGAPPRHGLRPGAPWAADRGAAGLGHDVGCGQRRRGARPWRGLRPEGPRPGAAAAGRGAACRQGLCHGRGRPALCAAVQYLHGFAPNCFTNFVAFTFFQGLLSRDY >PAN43356 pep chromosome:PHallii_v3.1:8:43309393:43310116:-1 gene:PAHAL_8G249400 transcript:PAN43356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVNNAGQSLFKTAVECTGDDYTRIMATNLESCFQLSQLAHPLLLAGGSGGSVVHISSIAGFIGLPALAVYSMTKGAMNQLTRSLATEWARDGIRVNCVAPGGVKTDISSDKTIDPELVKNEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQVICVDGGRTIT >PVH34260 pep chromosome:PHallii_v3.1:8:35129138:35135019:1 gene:PAHAL_8G181300 transcript:PVH34260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQGAATEVNHSAQFIVYSLFPSQICCRARAEFEPIGAAVAKAGLSLWCSDCGMQLRSVEEAQAHTEVTNHANFVESTEAVLNLVCSDCGKPCLSQTEVDLHTKRTGHKDFADKTAKAARPIDLGGLAESGLLLGGHGHILQKVEDWWDFFQHHSAFDSY >PAN43367 pep chromosome:PHallii_v3.1:8:41788020:41788682:-1 gene:PAHAL_8G231300 transcript:PAN43367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVFSLLALLALLVSATTAVIIPQCSLAASAATIPQYLSPIAAVGYEHPIVQSYRLQQALAASILPSSAMFLQQQSALLQQQSLAQLTVQSIAAQQQRVLSSFSQVALANPAAYLQQQINQLAMVNPAAYLQQQLLPFNQLAVANSAAFSQQQQQQLVPFNPLAVAHPAAFLQQQQLVNQLALTSPAAFWQQQQLVNQLALTSPASFWQQPIVGSTLF >PAN43223 pep chromosome:PHallii_v3.1:8:40869964:40879019:-1 gene:PAHAL_8G221500 transcript:PAN43223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGQRQQHGRLPSNKPPRNRASPARLVKLYGSMCDDQRKMICNVNFSGLLKISCTTMPADLANYLMVDCFDAERAELVFPGRGRIFVTADSVADILGLPNKCGEVNYELDVDAINFVHNQYDIVHGTAPKIEEIIERIKNNRFANEDFLRSWLMIAVSTFLCPPTSLGISPRCYPSLVDLSHMKKLNWCQFVVDQLKDAAKNLDKKHSVRGCFLLLVILYADSLVVDNVQIPATKLRIAAWTRNLLDKVIRLDRNRDGSFGKLKLKLSGHSVVQDSFFLMDDVNTFVASKLPRQMAIEKKRKLAAAVSKVLSRVTDMLGTFIQEVVAVEDSPGPNLR >PVH33518 pep chromosome:PHallii_v3.1:8:1141905:1149292:-1 gene:PAHAL_8G016300 transcript:PVH33518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKADADAETRDVGGGAGGAGGGGVGSFSEQRLVEKLNKLNSTAASIQTLSQWCIFHRKKAKRVVDTWEKKFNSATKDKKVSFLYLSNDILQNSKRKGGDFVNEFWRVLPRSLKHVYENGGEDGKKVVARLIGIWDERKVFGTRIESLKYDILGDNPPILDNNGNSSNPSSNPPSNSKVSRKDSGTIVKKLTVGGMPEKIVTAYQPVLDQHFDEDTALNKCKSTVGVLERINKDIGDASTNGNQPASTLISDLQEQEMTLKQCIEQLESVDAARISLINQLKEALSEQESKSVVLRSQLQVARAEAERVIQLRQQLGGALATSATQSSPSPLMITPPEQTTGMVQGSGVRTTPPQSQRLTPATSLPPTVSAVGDESKRSAAAMADKLASLSAPVLSSILSSLAAEQAASINGGSSSGEFSGGPPGFQIEKRPRLEKQAGDMGAPPFFGQAPQVQQQIGAVPTTLGGAQPPTPVPFPPPPPPLPSLLPPLLQQFGQNTGGMIGMGGPFGMMAGSMPPPPPLSNILPAGFPGPSGPPPPPPLPPAQSQPQQQQQQQQQSSQAPQQSPTSTGFFQSSGMSFFPPVQVQQSPSAQRQ >PVH33517 pep chromosome:PHallii_v3.1:8:1141846:1149878:-1 gene:PAHAL_8G016300 transcript:PVH33517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKADADAETRDVGGGAGGAGGGGVGSFSEQRLVEKLNKLNSTAASIQTLSQWCIFHRKKAKRVVDTWEKKFNSATKDKKVSFLYLSNDILQNSKRKGGDFVNEFWRVLPRSLKHVYENGGEDGKKVVARLIGIWDERKVFGTRIESLKYDILGDNPPILDNNGNSSNPSSNPPSNSKVSRKDSGTIVKKLTVGGMPEKIVTAYQPVLDQHFDEDTALNKCKSTVGVLERINKDIGDASTNGNQPASTLISDLQEQEMTLKQCIEQLESVDAARISLINQLKEALSEQESKSVVLRSQLQVARAEAERVIQLRQQLGGALATSATQSSPSPLMITPPEQTTGMVQGSGVRTTPPQSQRLTPATSLPPTVSAVGDESKRSAAAMADKLASLSAPVLSSILSSLAAEQAASINGGSSSGEFSGGPPGFQIEKRPRLEKQAGDMGAPPFFGQAPQVQQQIGAVPTTLGGAQPPTPVPFPPPPPPLPSLLPPLLQQFGQNTGGMIGMGGPFGMMAGSMPPPPPLSNILPAGFPGPSGPPPPPPLPPAQSQPQQQQQQQQQSSQAPQQSPTSTGFFQSSGMSFFPPVQVQQSPSAQRQ >PAN41885 pep chromosome:PHallii_v3.1:8:6945015:6947725:-1 gene:PAHAL_8G076700 transcript:PAN41885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALLHTVSKIGSTLAEETTKTVIARLSEKVKNLKELPEKVEEIRNEFKAMSNVIKQISTPSLTNELVKDWIGKVRELAHRVEDFFSKAYYVTVFSEIAEEIIQIETKIENVVKWRDRWLQLPQLISNPLAGIERKMPQGCFQEVPQDDLVGIEDNRRQLTEWLYSDKQGSTVITVSGMGGLGKTTLVANVYEREKINFTAHAWIVVTKTYDVVELLRKMLRKIEYQEQSELTNMDAHELKTKIKGLSAGKCLVVLDDVWNREAYNHIADVFQNLQSSSIIITTRQEHVSTLAQPRHQLKLKPLERNDAFNLFCRKAFYNRMECKCPQDLERLAKNIVDRCQGLPLAIVTIGGMLSSLPTTDYVWNETYKQLRGELANNDHVRAILNLSYHDTPGDLRNCFLYCGLFPEDHLLSRESMVRLWAAEGFAVPKEQSTAEEVADGYLRELIQRNMLEVVENDELGRVSTCKMHDLVRDLAHSIFKDEKFGTANDFSSISNMDKHVRRLSSCGWKDKAAVKLLSPIFSESNYLTVLELQDSEITEVPPSIGNLFNLRYIGLRRTRVKSLPESAGKLSNLLTLDIKQTKIVKLPRGIVRLKKLRHLLADRYEDEEQSEFRYFIGMQAPKQLSNLEELQTLETVEANKDLAEQLMQLKQLRSVWIDNIRAADCANLFATLSTMPLLSSLLLSARDENEELCLQALKPESEKLYRLIIRGCWADNTLDCPIFLDHGRNLKYLAISWCRLQEDPLQLLSPYVPNLTYLSLNRVSSAGTLVLAEGSFPKLKMLILKRMPDVNQLTIRDGALTQIEGLYVVTLPKLDKVPQGIESLHSLRKLCLLNLHQDFRAQWAMDGMQHKMHYVAELHI >PAN41361 pep chromosome:PHallii_v3.1:8:2755558:2757259:1 gene:PAHAL_8G037600 transcript:PAN41361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSREKQLIAAPMASDSGLRRLFEKPLPENPTLLEALSAWNRNTHPNKPIDPSSFTEIFGELHFQEKQPERAVLPQAPAPASWLDIATAAEAEKSKDDSSLDALLRPKPAASAVATVKRSASFCLKKSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAEAAALKGAETDGRDGDAAGDAEAMKGEEEKERQPKTFPPPIRSIGRGGKPYVCFRSFREGGRFVLLEVVIPGKELLQATRDGGRLRLRFANAAAAAGAGADEVVPHGEDDHHQAAKSACIDDDES >PVH33642 pep chromosome:PHallii_v3.1:8:2755555:2757259:1 gene:PAHAL_8G037600 transcript:PVH33642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSREKQLIAAPMASDSGLRRLFEKPLPENPTLLEALSAWNRNTHPNKPIDPSSFTEIFGELHFQEKQPERAVLPQAPAPASWLDIATAAEAEKSKDDSSLDALLRPKPAASAVATVKRSASFCLKKSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAEAAALKGAETDGRDGDAAGDAEAMKGEEEKERQPKTFPPPIRSIGRGGKPYVCFRSFREGGRFVLLEVVIPGKELLQATRDGGRLRLRFANAAAAAGAGADEVVPHGEDDHHQAAKSACIDDDES >PAN41363 pep chromosome:PHallii_v3.1:8:2755556:2757260:1 gene:PAHAL_8G037600 transcript:PAN41363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSREKQLIAAPMASDSGLRRLFEKPLPENPTLLEALSAWNRNTHPNKPIDPSSFTEIFGELHFQEKQPERAVLPQAPAPASWLDIATAAEAEKSKDDSSLDALLRPKPAASAVATVKRSASFCLKKSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAEAAALKGAETDGRDGDAAGDAEAMKGEEEKERQPKTFPPPIRSIGRGGKPYVCFRSFREGGRFVLLEVVIPGKELLQATRDGGRLRLRFANAAAAAGAGADEVVPHGEDDHHQAAKSACIDDDES >PAN41362 pep chromosome:PHallii_v3.1:8:2755558:2757259:1 gene:PAHAL_8G037600 transcript:PAN41362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSREKQLIAAPMASDSGLRRLFEKPLPENPTLLEALSAWNRNTHPNKPIDPSSFTEIFGELHFQEKQPERAVLPQAPAPASWLDIATAAEAEKSKDDSSLDALLRPKPAASAVATVKRSASFCLKKSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAEAAALKGAETDGRDGDAAGDAEAMKGEEEKERQPKTFPPPIRSIGRGGKPYVCFRSFREGGRFVLLEVVIPGKELLQATRDGGRLRLRFANAAAAAGAGADEVVPHGEDDHHQAAKSACIDDDES >PVH33536 pep chromosome:PHallii_v3.1:8:1362393:1364707:1 gene:PAHAL_8G019400 transcript:PVH33536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALSVRLRILSGSGTFQYGPFMCGVQRRWKKPVDSARTRLEGRTRDHRLDKLMVQLRNLKLALAVCELISQQRNEYASLQLLSKWRHEVGLNIEIGAFLKKYPHIFQIYMHPVKRNHCCKITQKMTDLIAEEEAVIRENETSIVQRLKKLLMLSTNGTLNMHALWLVRKELGLPDDYRSSMLPKYPYDLYLETPDTLSLVSRDDELAVAKIEEWRKKEYTEKWLAESETKYTFPINFPTGFKIEKGFREKLKNWQRLPYTKPYEKNDLHPIHNVERIEKRIVGILHELLSLTVEKMIPLERLSHFRRVFAMEVNLQELLLKYPGIFYISTKGSAQTVILRESYSKGCLVEPNPVYDVRRKMLDLILSGCRNVGELESAAWLAEEYDQGSCHELHNNMCQVDTILELETDSDSTERSVLRSMEELRYQHFCDTQEKSE >PAN41872 pep chromosome:PHallii_v3.1:8:6829222:6835299:1 gene:PAHAL_8G075900 transcript:PAN41872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHILVCLPLITLLSLFVSSSCQIDPQTQALLQFKAGLNDPLNHLASWTNTTSPCHFFGVRCSDASATVTEVSLSNMNLSGGISPSVGALHGLTRLELDSNSLSGPVPPELGRCTQLRFLNLSCNVLSGELPDLSSLAALEALDVASNGFTGRFPAWVGNLSALTTLSVGMNSYDQGETPASIGKLKNLTYLYLAASSLTGVMPDSIFGLTALETLDMSMNNLAGAIPAAIGNLRNLWQIELYKNNLTGELPPELGKLTKLREIDVSRNQLSGGIPAAFAALRGFTVIQLYHNNLSGPIPEEWGELRSLTGFSIYENRFSGEFPANFGRFSPLNSVDISENGFTGPFPRFLCHGKNLQYLLALQNGFSGEFPEEYSACKSLQRFRINKNRFTGSLPEGLWGLPAATIIDVSDNGFTGTMSPLIGQAQNLNQLWLQNNNLGGAIPPNIGRLGQVQKLYLSNNSFSGSIPAEIGSLSQLTALHLEDNALTGALPADIGGCVRLVEIDVSRNALTGSVPASLSLLSSLNSLNLSHNQLAGPIPTSLQALKLSSVDFSSNRLTGNVPPGLLVITGDQAFAGNPGLCVDGRSELGVCNVDGGHKGGLARKSAVLVPVLISATLLLVAGILFVSYRSFKLEELRRGDLERGDCGQWKLESFHPLELDADEICGIGEESLIGSGGTGRVYRLELKGRGGSGGVVAVKRLWKGNAARVMAAEMAILGKVRHRNILKLHACLSRGELHFIVYEYMPRGNLHHALRREAKGSGRPELDWPRRCRIAHGAAKGLMYLHHDCTPAIIHRDIKSTNILLDEDYEAKIADFGIAVAKVAEDSSDSEFSCFAGTYGYLAPELAYSLKVTEKTDVYSFGVVLLELVTGRSPIDPRFGEGKDIVSWLSGKLAAERLDDVLDPRVAASAREREDMVKVLRIAVLCTAKLPAGRPTMRDVVKMLTDAGAGPCSPRGQPPARICSSKSCR >PAN41873 pep chromosome:PHallii_v3.1:8:6831208:6835298:1 gene:PAHAL_8G075900 transcript:PAN41873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHILVCLPLITLLSLFVSSSCQIDPQTQALLQFKAGLNDPLNHLASWTNTTSPCHFFGVRCSDASATVTEVSLSNMNLSGGISPSVGALHGLTRLELDSNSLSGPVPPELGRCTQLRFLNLSCNVLSGELPDLSSLAALEALDVASNGFTGRFPAWVGNLSALTTLSVGMNSYDQGETPASIGKLKNLTYLYLAASSLTGVMPDSIFGLTALETLDMSMNNLAGAIPAAIGNLRNLWQIELYKNNLTGELPPELGKLTKLREIDVSRNQLSGGIPAAFAALRGFTVIQLYHNNLSGPIPEEWGELRSLTGFSIYENRFSGEFPANFGRFSPLNSVDISENGFTGPFPRFLCHGKNLQYLLALQNGFSGEFPEEYSACKSLQRFRINKNRFTGSLPEGLWGLPAATIIDVSDNGFTGTMSPLIGQAQNLNQLWLQNNNLGGAIPPNIGRLGQVQKLYLSNNSFSGSIPAEIGSLSQLTALHLEDNALTGALPADIGGCVRLVEIDVSRNALTGSVPASLSLLSSLNSLNLSHNQLAGPIPTSLQALKLSSVDFSSNRLTGNVPPGLLVITGDQAFAGNPGLCVDGRSELGVCNVDGGHKGGLARKSAVLVPVLISATLLLVAGILFVSYRSFKLEELRRGDLERGDCGQWKLESFHPLELDADEICGIGEESLIGSGGTGRVYRLELKGRGGSGGVVAVKRLWKGNAARVMAAEMAILGKVRHRNILKLHACLSRGELHFIVYEYMPRGNLHHALRREAKGSGRPELDWPRRCRIAHGAAKGLMYLHHDCTPAIIHRDIKSTNILLDEDYEAKIADFGIAVAKVAEDSSDSEFSCFAGTYGYLAPELAYSLKVTEKTDVYSFGVVLLELVTGRSPIDPRFGEGKDIVSWLSGKLAAERLDDVLDPRVAASAREREDMVKVLRIAVLCTAKLPAGRPTMRDVVKMLTDAGAGPCSPRGQPPARICSSKSCR >PAN43274 pep chromosome:PHallii_v3.1:8:42725260:42725568:-1 gene:PAHAL_8G242100 transcript:PAN43274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAIHADCGTGEETMASVPPPAPGRRHHTDHKLHAMRRARLSAAFFSAALGLAVDPEPRAGSSAVRHHRLDAQAAGGPAPPSRTHEGSMRCGGHLHWHGHA >PAN40975 pep chromosome:PHallii_v3.1:8:559434:560915:1 gene:PAHAL_8G006600 transcript:PAN40975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHKPLACSVSAFFFAASLVSSQLTANFYDKSCPNALYTIQTAVRSAVAKENRMGASLLRLHFHDCFVNASGCDGSVLLDDTPTFTGEKSAVPNNNSLRGFDVIDSIKAQIEGICPQVVSCADILAVAARDSVVALGGPTWVVNLGRRDSMTASLDTANNDIPAPTLDLSDLTKLFSNKGLGTTDMIALSGGHTIGQARCVNFRDRIYSETNIDTSLVTSLKSNCPKKTGDNNISPLDASTPYVFDNFYYKNLLNKKGVLHSDQQLFNGGSADSQTTTYSSNMAKFFTDFSAAMVKMSNISPLTGSSGQIRKNCRKVN >PAN43248 pep chromosome:PHallii_v3.1:8:41041666:41045520:1 gene:PAHAL_8G222800 transcript:PAN43248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTGTCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWHLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDNTVKIWNVDGFKLERTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS >PAN41824 pep chromosome:PHallii_v3.1:8:6282581:6285798:-1 gene:PAHAL_8G072100 transcript:PAN41824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGGAVHSSGSGAIEPWAARTRPEIVGEHPTEDLDRHQPSPDRPIAMDVGDGRQRGGADAEEEEVAWKAPAAMVLVQLFNTGMVLLSKVAIGGGMFVLALLAYRSLFGAAIILPLALLRERGKWKEMDWHAAGWIFLNAFIGYAVPMSLYYYGLQDTTASYAIIFLNIIPLTTFILSFVLRMEALHIRSMVGWLKITGVLLSVGGTMIISLYKGEVLHLWNPILHHHNEEHVDVASHQLRGTILLAGSTFMFACWYLIQSKVLKVYPYKYWSSMATCLVGGFQTAFAGVIVRRDKDAWKIGWDINLLTIVYSGALATAGKYSLNSWAVAKKGPSYPPMFSPLSVVFTVVLGSIFIGDDITVGSLIGTTLVIVGTYVFLWAKANELPEK >PVH33697 pep chromosome:PHallii_v3.1:8:3594660:3597667:-1 gene:PAHAL_8G048100 transcript:PVH33697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTSTQQTRARARAHARPPLVLLSPPTVPRARHLPRPAFPRPTPAPMAGFIHRRILPPFRRPPLPFFHPGAGAPASALPGAAARRPWTPRRILDPGDDVVLRWYRLFLVTCLVGLFVDPLYFYLLHTDGLAACVSMDMGIGVLVTAVRTFADLFYLAHMILKFRIAFVAPSSRIFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQMIIWFVIPAVSTSSANHTNNTLSMIVLIQYIPRVYLIISLNSKIVKASGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSVERQYSCWMEVCTNENGTTADIPRCLMTFLDCKSREDPVRQTWHNHSAIQKQCMLPDAEYDYGLFADALNLDRNGVAFIDKYLYCLWWGFRNLSSYGQNLQNSTYKGETVFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPPELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFIIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLAKELMKQEGIYYQDADEGGGGGEDDADADGAGPLGGGAVDADGAPLLGEFKGGGTAGDGAGGSGAGEAGDGGSGGAHLSATFLASKFAKNTKRGAALHHKRIEDVSTIKFPKLAKPDEPDFSLHTDDVL >PAN42565 pep chromosome:PHallii_v3.1:8:32598636:32600866:1 gene:PAHAL_8G170900 transcript:PAN42565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTTPASRHSRAAVVDKGKRHHGRRGRAHRGHGGRAPLRSRTSRAPAWWWRPHNKHHGRTADAVLLMGHTSAHEQKRPMRRRRIRRRSWVGCLLDSSG >PAN42566 pep chromosome:PHallii_v3.1:8:32598636:32600866:1 gene:PAHAL_8G170900 transcript:PAN42566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTTPASRHSRAAVVDKGKRHHGRRGRAHRGHGGRAPLRSRTSRAPAWWWRPHNKHHGRTADAVLLMGHTSAHEQKRPMRRRRIRRRSWVGCLLDSSG >PVH34497 pep chromosome:PHallii_v3.1:8:41841218:41842763:1 gene:PAHAL_8G231900 transcript:PVH34497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPDENKRPIFAAKDLTTFYLENGPKIFPQRNWPGWLTSSMNLIGTIRGPKYDGVFLHDKIKSLTHDMRVADTVTNIVVPAFDVKYLQPVIFTTYEAKADPLKNAHLSDICISTSAAPTYFPAHFFRTEGPDGRSREYHLVDGGVAANNPTMIAMSMLTKEVLRRNLDFNPGKPTEYRNYLIISVGTGSAKLAEKYTAPQCAKWGLIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFEALHCQKNYLRIQDDSLMGNTSSVDIATEENMEALIGIGKELLKKPVARVNIDTGMYEPVAGEGTNEDALARFAKMLSEERKLRIKNLNSC >PVH34496 pep chromosome:PHallii_v3.1:8:41840811:41842763:1 gene:PAHAL_8G231900 transcript:PVH34496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEAARSGVYKSPSPSDQELKIQEAHLQKWSLLVSSPCCEVRFGSGHPKELLAMASKGADAMAEVPQPPPSQGRLITVLSIDGGGIRGLIPATIIACLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDENKRPIFAAKDLTTFYLENGPKIFPQRNWPGWLTSSMNLIGTIRGPKYDGVFLHDKIKSLTHDMRVADTVTNIVVPAFDVKYLQPVIFTTYEAKADPLKNAHLSDICISTSAAPTYFPAHFFRTEGPDGRSREYHLVDGGVAANNPTMIAMSMLTKEVLRRNLDFNPGKPTEYRNYLIISVGTGSAKLAEKYTAPQCAKWGLIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFEALHCQKNYLRIQDDSLMGNTSSVDIATEENMEALIGIGKELLKKPVARVNIDTGMYEPVAGEGTNEDALARFAKMLSEERKLRIKNLNSC >PAN41557 pep chromosome:PHallii_v3.1:8:4055315:4057892:1 gene:PAHAL_8G052900 transcript:PAN41557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVWAPVVGHLLLLALLPLTSSSHMVFDLGGHVYRTGEFHVTLLIGDPAKPYFLHVDTASNLTSLDCNKGSKVPHPVYRPAPNKLVPRKHLLCNAIHQDVGEPEKPHKTVCNYEIHYLDGSWSHGVLIHDKFTFPSGSTKPDIAFGCGYNQGGSHETVTGVDGMLGLGRGSIDLVSQLKNNKIITKNVFAHCLSSKGGGYLSIGDENVLSSPANWVPMAPSTDRNHNYYSPGPATLHLDTKPIGTTPMEVIFDSGSTYTHLPEGPHAQLVAALKASLSKSLKEVRDPSRLHPCWKGPGGFKSLDDLKKEFKSVMSLKFHSGATMIIPPEKYIIVTGHGNACFGMLGRTDIGDMCIIGDITMQDQLVIYDNEQGRLGWRSSQCDKKPKSKSAIFSRI >PAN43491 pep chromosome:PHallii_v3.1:8:42572241:42576808:-1 gene:PAHAL_8G239600 transcript:PAN43491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILESLVGSCAKKLQDVISEEAILILGVKEELTELQRRMEQIGHFVNDAEQRSTKESAVNNWLNQLRDAMYDADDVIDLARSKGSKLLPDHSLSLSSKSSTCTGLSLSSCFSNIQTRHEVAVKIRSLNKRIDNISKDEVFSSLASRQSTEKVSAPKHIRSSNLVEPNLVGKQVIHACRKLVDLLLEHKDKRSYKIAIVGTGGVGKTTLAQKIYNDQKINGCFDKQAWVCVSKDYSEVTILKEILRKFEVQYMQDESIDELQSRLKLAIQEKSFFLVLDDAWQSDIWENLLSTPLHAAATGIILLTSRLDTVAVEIGVDHTHRVDLMSVDVGWELLWKSMGINQEKEVQNLRDLGIDIVRRCGCLPLAIKVVARVLARKEQTENEWNKFSRKDAWSVSKLEIPSALYISYEELPLCLKPCFLYCAMFPEDAVIDRDDIIRMWVAEGFIDELGGQLLEDTAEEYYYELIYRNLLQPNYLTADLSQCRVHDLLRQLACHLSREECFVGDPESIRVNIMSKFRRISAVTKKDIVVLPSMDKDQYKVRTWITSYEKSLRVDNTIFRRLPYIRVLDLTGSVIQSIPNCVGRLIHLRLLDLDGTDISCLPESICYLINLQTLNLQGCDALHSLPLGITRLCNLRRLGLAETPINQVPKGIAKLKLLNDLEGFPVGGGSDNSARAQDGWSLEELGPLFELRKLDMDKLERASPCSTDSLLLDKKFLKLLRLRCTQRTDEPYSESDIINIERAFEKLIPPQSIEDILIIDFFGRRFPTWLDTATHFPSLMYLTLVDCKSCVHLPPIGQLPNLKYLRIEEATAVTKIGPEFVGYGVGNPGSAEAVAFPKLETLFMKDMPNWEEWTFVFEEEEEATAAEGKDGAAANQKGEAPPPRMQLLPRLKELFLTRCPNLRALPRQLGLEATSLKELHLRDVDSIKVVENLPFLSETLLIAGCEGLVRVSNIPKMRELLVSRCPNLRRVEELCNLDRLWLGEGMESLSSYWVPGLKEQRQKLPGETLDIYTWPRT >PAN41171 pep chromosome:PHallii_v3.1:8:1135756:1136991:-1 gene:PAHAL_8G016200 transcript:PAN41171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSRRFLNLVMDNRIRGVRSLRCIDLTRQQFFNTTIPALSPNGKGSGSEGPQDAPTSRETVAVAGSQRNKQVAGDTLKMEKIRLPSPSFSIRGFTSDLSDQLMHFFPIADRRVVCVDQLGRGVLLEADTGNVVIMPPLHKPKLTPISLSVPSPDLDDHDGGIRSKLFVMERILKPEPSSMEQTYQFEAFVYRRPGPTFFYKCWHCQLLPSLPYVHSTKQWHSFPQISSYAVVNGGSEICISVEGLGTYCLNTTSYTWTEVGKWTLPFNGKVEYVPELKLWFGISASDQTLAAADLSAMDSQPQLLGRWKELDLPEEWKECKDSQLINLGSGKFCIVRFLHTTTAKGDISDELIDQNLAVLTGVEVALHAHRSNANASANKGSGRVELKFSNHKSRRYKSNSSNGISAVF >PVH34598 pep chromosome:PHallii_v3.1:8:43886008:43888102:-1 gene:PAHAL_8G256500 transcript:PVH34598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGGAAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSSRYAAAYVRGLQQQPYAGGRLKLAACCKHFTAYDLDRWGGTDRFHFNAVVAPQDLEDTFNVPFRACVADGGAAAVMCSYNQVNGVPTCADEAFLKGTIRGKWGLDGYIVSDCDSVDVFFRDQHYTRTTEDAVAATLRAGLDLDCGPFLAQYTEAAVAAGKVSDADVDAALLNTVAVQVRLGMFDGDPAAGPFGHLGPRDVCTPAHQELALEAARQGVVLLKNGRGKHRAGVLPLRPATHRVVAVVGPHAEATVVMIGNYAGKPCRYTTPLQGVARYVKQAVHQAGCTDVACAGSQQPIAAAVDAARRADATIVVAGLDQKVEAEGLDRSSLLLPGRQAELISAVAKAAKGPVILVLMSGGPIDIAFALNDPRIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPMTWYPQDYLQKAPMTNMAMRANPKSGYPGRTYRFYTGPTVLPFGHGLSYTQFTHSLAHAPAQLTVQLAGGHAAATSLLNATRSGGSARAVRVAHARCEGLAVPVHVDVRNVGGRDGAHAVLVYHAAPRSAAVAGAPARQLVAFEKVHVPAGGVARVEMAVGVCEGLSVADRDGVRRIPVGEHSLMIGELTHSVTIGVEQLGV >PAN43765 pep chromosome:PHallii_v3.1:8:43885748:43890199:-1 gene:PAHAL_8G256500 transcript:PAN43765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRAILLLLLLLLAASSAPAATARAPFACAPGGPAASLPFCRRSLPVQARARDLVSRLTRAEKVRLLVNNAAGVPRLGVSGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGAAAALNATLWELIGRAVSDEARAMYNGGAAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSSRYAAAYVRGLQQQPYAGGRLKLAACCKHFTAYDLDRWGGTDRFHFNAVVAPQDLEDTFNVPFRACVADGGAAAVMCSYNQVNGVPTCADEAFLKGTIRGKWGLDGYIVSDCDSVDVFFRDQHYTRTTEDAVAATLRAGLDLDCGPFLAQYTEAAVAAGKVSDADVDAALLNTVAVQVRLGMFDGDPAAGPFGHLGPRDVCTPAHQELALEAARQGVVLLKNGRGKHRAGVLPLRPATHRVVAVVGPHAEATVVMIGNYAGKPCRYTTPLQGVARYVKQAVHQAGCTDVACAGSQQPIAAAVDAARRADATIVVAGLDQKVEAEGLDRSSLLLPGRQAELISAVAKAAKGPVILVLMSGGPIDIAFALNDPRIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPMTWYPQDYLQKAPMTNMAMRANPKSGYPGRTYRFYTGPTVLPFGHGLSYTQFTHSLAHAPAQLTVQLAGGHAAATSLLNATRSGGSARAVRVAHARCEGLAVPVHVDVRNVGGRDGAHAVLVYHAAPRSAAVAGAPARQLVAFEKVHVPAGGVARVEMAVGVCEGLSVADRDGVRRIPVGEHSLMIGELTHSVTIGVEQLGV >PVH34599 pep chromosome:PHallii_v3.1:8:43885748:43890198:-1 gene:PAHAL_8G256500 transcript:PVH34599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGGAAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSSRYAAAYVRGLQQQPYAGGRLKLAACCKHFTAYDLDRWGGTDRFHFNAVVAPQDLEDTFNVPFRACVADGGAAAVMCSYNQVNGVPTCADEAFLKGTIRGKWGLDGYIVSDCDSVDVFFRDQHYTRTTEDAVAATLRAGLDLDCGPFLAQYTEAAVAAGKVSDADVDAALLNTVAVQVRLGMFDGDPAAGPFGHLGPRDVCTPAHQELALEAARQGVVLLKNGRGKHRAGVLPLRPATHRVVAVVGPHAEATVVMIGNYAGKPCRYTTPLQGVARYVKQAVHQAGCTDVACAGSQQPIAAAVDAARRADATIVVAGLDQKVEAEGLDRSSLLLPGRQAELISAVAKAAKGPVILVLMSGGPIDIAFALNDPRIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPMTWYPQDYLQKAPMTNMAMRANPKSGYPGRTYRFYTGPTVLPFGHGLSYTQFTHSLAHAPAQLTVQLAGGHAAATSLLNATRSGGSARAVRVAHARCEGLAVPVHVDVRNVGGRDGAHAVLVYHAAPRSAAVAGAPARQLVAFEKVHVPAGGVARVEMAVGVCEGLSVADRDGVRRIPVGEHSLMIGELTHSVTIGVEQLGV >PAN42312 pep chromosome:PHallii_v3.1:8:13960442:13965888:1 gene:PAHAL_8G103500 transcript:PAN42312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASQMAISHPAASPAPPRPQSPGVGSVPLSSAIGDLLRFVLSSHAAGAGNPDHDPAAFPLSPSYCARLLDDDGDLCGKLAAGIEQCLEEGRLPGPPAVARIPVAEEGPEEWEAVLLEKGAELKLMYNAVDFELHVQEPYFTQLRAEAKTVEGRLATGNYNRITQGSLLLFNKCLLLNVEAVKKYSSFSEMLQAEIISNVLPDISSIEEGVKVYRKFYTEEREKSYGVLAISVSKPSAQPYTTMTDILVGLGYDGLGRLLGMARTAGTVPDGLPPPRSALISSCMRLHQPNEHERMVGRR >PAN42310 pep chromosome:PHallii_v3.1:8:13960391:13965865:1 gene:PAHAL_8G103500 transcript:PAN42310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASQMAISHPAASPAPPRPQSPGVGSVPLSSAIGDLLRFVLSSHAAGAGNPDHDPAAFPLSPSYCARLLDDDGDLCGKLAAGIEQCLEEGRLPGPPAVARIPVAEEGPEEWEAVLLEKGAELKLMYNAVDFELHVQEPYFTQLRAEAKTVEGRLATGNYNRITQGSLLLFNKCLLLNVEAVKKYSSFSEMLQAEIISNVLPDISSIEEGVKVYRKFYTEEREKSYGVLAISVSKPSAQPYTTMTDILVGLGYDGLGRLLGMARTAGTVPDGLPPPRSALISSCMRLHQPNEHERMVGRR >PAN42311 pep chromosome:PHallii_v3.1:8:13960442:13965863:1 gene:PAHAL_8G103500 transcript:PAN42311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASQMAISHPAASPAPPRPQSPGVGSVPLSSAIGDLLRFVLSSHAAGAGNPDHDPAAFPLSPSYCARLLDDDGDLCGKLAAGIEQCLEEGRLPGPPAVARIPVAEEGPEEWEAVLLEKGAELKLMYNAVDFELHVQEPYFTQLRAEAKTVEGRLATGNYNRITQGSLLLFNKCLLLNVEAVKKYSSFSEMLQAEIISNVLPDISSIEEGVKVYRKFYTEEREKSYGVLAISVSKPSAQPYTTMTDILVGLGYDGLGRLLGMARTAGTVPDGLPPPRSALISSCMRLHQPNVKSCSLTDAARALAKHVHRSTKGWWGDASGSDSSKNELASEAIDCLLRDCCWMNVHLTQPYGPVFEIRVHEGYGARWSQDGAKFIGFLEPYTPEGFSKGWKH >PAN42309 pep chromosome:PHallii_v3.1:8:13960418:13965491:1 gene:PAHAL_8G103500 transcript:PAN42309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASQMAISHPAASPAPPRPQSPGVGSVPLSSAIGDLLRFVLSSHAAGAGNPDHDPAAFPLSPSYCARLLDDDGDLCGKLAAGIEQCLEEGRLPGPPAVARIPVAEEGPEEWEAVLLEKGAELKLMYNAVDFELHVQEPYFTQLRAEAKTVEGRLATGNYNRITQGSLLLFNKCLLLNVEAVKKYSSFSEMLQAEIISNVLPDISSIEEGVKVYRKFYTEEREKSYGVLAISVSKPSAQPYTTMTDILVGLGYDGLGRLLGMARTAGTVPDGLPPPRSALISSCMRLHQPNEHERMVGRR >PVH33932 pep chromosome:PHallii_v3.1:8:13960442:13965011:1 gene:PAHAL_8G103500 transcript:PVH33932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASQMAISHPAASPAPPRPQSPGVGSVPLSSAIGDLLRFVLSSHAAGAGNPDHDPAAFPLSPSYCARLLDDDGDLCGKLAAGIEQCLEEGRLPGPPAVARIPVAEEGPEEWEAVLLEKGAELKLMYNAVDFELHVQEPYFTQLRAEAKTVEGRLATGNYNRITQGSLLLFNKCLLLNVEAVKKYSSFSEMLQAEIISNVLPDISSIEEGVKVYRKFYTEEREKSYGVLAISVSKPSAQPYTTMTDILVGLGYDGLGRLLGMARTAGTVPDGLPPPRSALISSCMRLHQPNVKSCSLTDAARALAKHVHRSTKGWWGDASGSDSSKNELASEAIDCLLRDCCWMNVHLTQPYGPVFEIRVHEGYGARWSQDGAKVQVVVSYLLR >PAN42313 pep chromosome:PHallii_v3.1:8:13960442:13965863:1 gene:PAHAL_8G103500 transcript:PAN42313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASQMAISHPAASPAPPRPQSPGVGSVPLSSAIGDLLRFVLSSHAAGAGNPDHDPAAFPLSPSYCARLLDDDGDLCGKLAAGIEQCLEEGRLPGPPAVARIPVAEEGPEEWEAVLLEKGAELKLMYNAVDFELHVQEPYFTQLRAEAKTVEGRLATGNYNRITQGSLLLFNKCLLLNVEAVKKYSSFSEMLQAEIISNVLPDISSIEEGVKVYRKFYTEEREKSYGVLAISVSKPSAQPYTTMTDILVGLGYDGLGRLLGMARTAGTVPDGLPPPRSALISSCMRLHQPNVKSCSLTDAARALAKHVHRSTKGWWGDASGSGKDMVQLDTHACWRLLLWSILLLHCLSSDSSKNELASEAIDCLLRDCCWMNVHLTQPYGPVFEIRVHEGYGARWSQDGAKFIGFLEPYTPEGFSKGWKH >PAN43191 pep chromosome:PHallii_v3.1:8:42201803:42209170:-1 gene:PAHAL_8G236500 transcript:PAN43191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEQAARWVAAQEGVPVGADLVAAARRQLEFLAAVDRRRWLYEGPLLDRAIRRYKSCWLPLLAKHTQAPVVDGPLVVPLDCEWIWHCHRLNPVQYIRDCKKIYGRILNNDNVESSIGTKSKIQSEKVWKELYHEEPFELEYTSSSETTMDVNPGATEGISYDLISAVKRQSSFYYQVGTPTMHDQRFLVEAFARYKGFLYLIKMNQDKGVQRFRVPTYDVDLMWHTHQLHPVTYCKDMLKLLGKVLEHDDTDADRSEGKKLDVGFTETTEQFESIFGARYWKAGCMYRGNLPSPVTSTPQIFNTEVGNGSDICKAQKDLNVLDIIFVELYLQIVDIKNLPSAVPKENVYVWFTKNQSDMFISDGGRLDISTVTGKTGASLQCEPTGELILTVMADRASKKPEPIGKVSIPLQLLTGPDSKLSFERWFELKAHGGHATSPPVSLRVAASATVPCSAQKVFSMVRTEPFSLKSCLLPHSIKDQKMVSWTRFLYDCGTELIRLQIREHKVKNAMACKRELVGVLKSRKEQLQLAEFRENKWTLKNSNLSISGCTDGSMLNLKGDNQLIKLYQGRKLEYERKCCKCHSEDASAVTAVRFCAEHPYGKAVALLDTESQLMMVNEAEFLLPWITISFLFMDADGQDGVELISSAVVQKSVVSGSDTAIVSETHTLGARSTAVAPVQCGTCSTALGGGKVMAGCKTDHASSDACPAAVASGEDGHAESAGCGSGCGGGNCGPMVVEDSKADNAKFGGCGSGCGGGCGGGGGCGTLLKASTMTDEGQAKSKSGGCGSGCGSGGGCGTLLNSKAMDRDSMVIEGSKVSHIKSGGRGSGCGGGCGGGGCGTLVNSIATVGQGLAKSAGCGSGCSGSCDAMVTEGFKTSHAKFSGCGSGCGGGCGGGSGCGTLFNSSSAAGQAKSGGCGSGCGGRGCGTMVAEDSKTSHAKSSGCGSDCGGSCGGGGGCSALFNSSTRAGQAKSGGCGSGCGSGGCGAMVTEDSKTSHAKSSGCGSGCSGGCGGGGGCGTLFNSSTAAGQAKSGGCGSGCGGGGCGAMVIEGSKTSHAKSSGCGSGCGGGCGALFNSSTAAGQAKSGGCGSGCGGGGCGSGGMVMEGSKSNRSGGCGSGCGGGCGNGIIFNASSTKAGGEGHSNSGGCGSGCGAGCGAVFNA >PVH34637 pep chromosome:PHallii_v3.1:8:44408511:44412805:1 gene:PAHAL_8G263300 transcript:PVH34637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSVVLVLLAISVPTLLTGIMCSSLSLSSTPTNGSDTDLAALLAFKSQLSDPLGILANSWTPNVSYCRWVGVSCSRRQQRVTALSLPDVPLQGELIPHLGNLTFLSVLNLSNSNLTGSITGSIPANLGRLCRLRVLALFGNDLSGAIPSNLGNLTRLELLRLGYNSLSGDIPPGLLQNLRSIQKIALTNNELSGQIPPYLFNNTPCLRYIYLGNNSLSGSIPHSVASPPMLEVLSLEYNQLSGSVPTTIFNMSRMQALSLMHNNLNGPIPNNQSFSLPMLHLIALDLNNFVGRIPLGLAACQYLQSISLSVNFFVDVVPSWLPQLSHLTYLSMGGNLITGCIPAFLSNLTQLTLLDLSYSNLTGEIPKEIGIMRELSYLHLSCNALAGQLPTSLGNLSKLSFLSLNTNSLSGSVPETLGNIRALNVLELFDNNFTGSLDFLSSISNCGQLQYLGLSSNSFTGGLPDHVGNLSAKLLSFNIFGNKITGRLPSALSNLSSLEFLGLSNNLFMGAIPESITGMQDLIFLGISNSDLSGPIPSQIGMLRSLQRLYLNGNKLFGPIPNSIGNLSRLEFIQISDNQLNSTIPESFFHLDKLITLDLSNNSLIGALSSDLGGLKQVVSIDLSSNFLNGTIPESFGQIIMLTVLNLSHNSFDGPIPDSFQELASLASLDISFNNISGTIPKFLSNFTYLITLNLSFNKLVGKIPEGGIFSNITSQSLTGNAGLCGAPRLGFSPCLQKTRTNNRHLIFLLPAVTITFGSIVTCVYLVIRRKLKNMREVPDTFMDEGNVISHRLVSYHDLILATDNFSNNNLLGTGSFGKVYKGQLSTGLVVAIKVLDMQLEQAIRSFAAECHVLCVARHRNLIKILSSTSNMDFRALVLEYMPNGSLDMLLYTERKRHLGFLKRLDIMLDVAMAVEYLHHEHYEVVLHCDLKPSNVLFDNQMTAHVADFGITKLLLGDDNSMISSSMTGTIGYMAPEYGSFGRASRKSDVFSFGIMLLEVFTAKRPTDPMFTGELSIRQWVHQAFATGLASVLDNRLLQDEALSIHDLNVTLSSIFELGLQCSSDSPNRRMSMRDIVVALKKIKKGYTK >PAN41488 pep chromosome:PHallii_v3.1:8:3544283:3547237:-1 gene:PAHAL_8G047100 transcript:PAN41488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRALLDLSTRLMNVCLAALCRGGSLDRAEAVLVDAIRLGLPPDVVTYNTLLAAHCRAAGPDAGLAVVHRMREAGVSPDAVTYNSLIAGAARRGLTMRALDLFDEMLRSGVAPDSWSYNALMHCLFRSGHPEDAYRVFADMAEKGVAPSATTYNTMLDGLFRAGHATNAYRMFRYLQRVGLPIGIVTYNTMINGLCRSGKVGYARMVLKELGRTEHAPNAVTYTTVMKCCFRYGRFDQGLETFLSLLEGGYISDAFPYTTVISALVKKGRMQEANAYCELMIQSGSTLDNACYNTLIHLRCQEGKLDDAFELLNMMEEGGLESDEYTFSILVNGLCKMGQIEAAEKQICSMEMMGLQSNVVAYNCLIDALCKSHEVDAAIKVLHSMKLKDDFTYTSLVHGLCKVGRYHMASKFLRICLHEGNNVLASAKRAVISGLRSAGFKNDLRKVRSALYMARLLRS >PAN41487 pep chromosome:PHallii_v3.1:8:3545603:3546991:-1 gene:PAHAL_8G047100 transcript:PAN41487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRALLDLSTRLMNVCLAALCRGGSLDRAEAVLVDAIRLGLPPDVVTYNTLLAAHCRAAGPDAGLAVVHRMREAGVSPDAVTYNSLIAGAARRGLTMRALDLFDEMLRSGVAPDSWSYNALMHCLFRSGHPEDAYRVFADMAEKGVAPSATTYNTMLDGLFRAGHATNAYRMFRYLQRVGLPIGIVTYNTMINGLCRSGKVGYARMVLKELGRTEHAPNAVTYTTVMKCCFRYGRFDQGLETFLSLLEGGYISDAFPYTTVISALVKKGRMQEANAYCELMIQSGSTLDNACYNTLIHLRCQEGKLDDAFELLNMMEEGGLESDEYTFSILVNGLCKMGQIEAAEKQICSMEMMGLQSNVVAYNCLIDALCKSHEVDAAIKVLHSMKLKDDFTYTSLVHGLCKVGRYHMASKFLRICLHEGNNVLASAKRAVISGLRSAGFKNDLRKVRSALYMARLLRS >PVH34143 pep chromosome:PHallii_v3.1:8:28770048:28770782:-1 gene:PAHAL_8G156700 transcript:PVH34143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYRTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRRHLQQTPMGFFPPAEGRGRTWIARMRGLRREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN42858 pep chromosome:PHallii_v3.1:8:37500202:37509575:1 gene:PAHAL_8G192700 transcript:PAN42858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVRLALLAVVALAAAGEAVYIPYNTSAGVAAGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLKDENRKFIYVEQAFFQRWWRNQNDMIKDTVKGLISSGRLELINGGMCMHDEAAVHYIDMIDQTTLGHKFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKSFGSSADIFAGIFPKNYEPPPGDFYFEVDDDSPVVQDDPLLFDYNVEERVNDFVAAALAQANITRTNHIMFTMGTDFKYQYAESWFRNMDKLIHYVNKDGRINALYSTPSIYTDAKYAANEQWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFKGKSKSGPTTDYLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYTRAQELVSTSLACLTELGSKSHCSSPTTKFSQCLLLNVTFCPPSEMDFSKGKGLVVLVYNSLGWKREDILRVPVFSDSIVVHDSEGREVESQLLPIASASLNIRDKHVKAYLGTSPGAKPKFWLAFPVSVPPLGFNTYFVSSMKKSASVSSKSTIYSSQGSEDSNLQVGQGNLKLQYNAAGALSLYSDSKTLIEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDSQVPLTVLRGPIVDEVHQQINSWIYQITRVYKRKDYVETEFIVGPVPTDDGNGKEVATEIVTNMVTNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGNKELSVLVDRSVGGSSIKDGQIELMLHRRLLHDDGRGVAEALDETVCLNNQCEGLIIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLLAFSEQDGGNWANSHIPKFSAMDPTYSLPDNVALLTLQELEDGSVLLRFAHLYEAGEHKDLSALASVDLKRVFPDKKIGKIIETSLSANQERAAMEKKRLKWKVQGPEADEKVVRGGPVDPAKLVVELGPMEIRTFIVSFERSISGKQLL >PVH34618 pep chromosome:PHallii_v3.1:8:44148864:44153206:1 gene:PAHAL_8G260000 transcript:PVH34618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLQIAAVGWGFVVMGWMLTPILSFLVDKFFANLSFDTSRKLRKLEINTIPSLRETLRKVEEQRMVGEVKGKGSDSDLATLKKIENDLKSIMYEAEDILLDVVDYHRIEKEVKGDGPSWISRAAGTCITHCKGTWFRRCIGLCWLGATLCRSGEQQIPISQRPSNSNPVMQKLRAWSLSLDIKVLCQSMQRWLAETYVAACHYRDWSYEEVGIKANKDDIAVDLFFLSIDGKSLRKRIEDIEKRLNDSKHSPLLSQKRSSSSEIPAKGISENSSRQEQIVDSHIMILQKVFGRDKKRKDISRKLREGPDAYGPSSNSSKPYSVIDIHGIAGSGKSTLAKYVCEYEKKAEDKHFDLIMFSHVSTTFRVDKIFRDILEQITQVRPSDTERLQSIQKEVKEKLQGRRFLLVLDDLWVNNDSQMEQDILLDALDVGQSGSRILVTAQREDAAAALGCAQEQIPIPDLEEVEYLSLFMHHARSGAIGNDAEYERIGIKIANKLRRSPIAAVTVGKQLRRNRRISFWETTANDVVLNETMGALWWSYQQLGDDTRRCFAYCSTFPRGYGLKRDELVRIWIAQGFVNASSHATEELEDVGNRYFDELLTLSFLQVQRTIFCTEFTSHDLLHELAERLAGSDFHRIDSSCPPKDIPLGVRHVFVETNNAAKVAELSLDLGTLRTLIIKEHCTEDTDRMQPMDMDDLEKVFDCLFMRLRELRVLIVELKRKTKVLSVPASVDRMKHLRYLGFLPGYMKVILPSTFSKLYHMQTIDVYPASPYRTVSCPEDMANLVHLRHVTAWMPFPNVGRLMSLHTLPHFEVKEEQGYELKQLKHLNKLRGALRIWGLGVVGSKEEALGAQLARKERVTKLELKFGYNTCNDPDVAAEVLEGLCPPKDLVELQIYHYKGSRYPSWMLSRQHPDAPKRLQKLVLLCCSRLASIPKDCELFIHLRELRIESCDWDCLPENMERLGSLQNLFIYDCDKMELLPTLPQSLQEIQIIHCRVLSTTCQEEGHRNWHKIQHIPDKVIW >PVH34617 pep chromosome:PHallii_v3.1:8:44148864:44153206:1 gene:PAHAL_8G260000 transcript:PVH34617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLQIAAVGWGFVVMGWMLTPILSFLVDKFFANLSFDTSRKLRKLEINTIPSLRETLRKVEEQRMVGEVKGKGSDSDLATLKKIENDLKSIMYEAEDILLDVVDYHRIEKEVKGDGPSWISRAAGTCITHCKGTWFRRCIGLCWLGATLCRSGEQQIPISQRPSNSNPVMQKLRAWSLSLDIKVLCQSMQRWLAETYVAACHYRDWSYEEVGIKANKKDDIAVDLFFLSIDGKSLRKRIEDIEKRLNDSKHSPLLSQKRSSSSEIPAKGISENSSRQEQIVDSHIMILQKVFGRDKKRKDISRKLREGPDAYGPSSNSSKPYSVIDIHGIAGSGKSTLAKYVCEYEKKAEDKHFDLIMFSHVSTTFRVDKIFRDILEQITQVRPSDTERLQSIQKEVKEKLQGRRFLLVLDDLWVNNDSQMEQDILLDALDVGQSGSRILVTAQREDAAAALGCAQEQIPIPDLEEVEYLSLFMHHARSGAIGNDAEYERIGIKIANKLRRSPIAAVTVGKQLRRNRRISFWETTANDVVLNETMGALWWSYQQLGDDTRRCFAYCSTFPRGYGLKRDELVRIWIAQGFVNASSHATEELEDVGNRYFDELLTLSFLQVQRTIFCTEFTSHDLLHELAERLAGSDFHRIDSSCPPKDIPLGVRHVFVETNNAAKVAELSLDLGTLRTLIIKEHCTEDTDRMQPMDMDDLEKVFDCLFMRLRELRVLIVELKRKTKVLSVPASVDRMKHLRYLGFLPGYMKVILPSTFSKLYHMQTIDVYPASPYRTVSCPEDMANLVHLRHVTAWMPFPNVGRLMSLHTLPHFEVKEEQGYELKQLKHLNKLRGALRIWGLGVVGSKEEALGAQLARKERVTKLELKFGYNTCNDPDVAAEVLEGLCPPKDLVELQIYHYKGSRYPSWMLSRQHPDAPKRLQKLVLLCCSRLASIPKDCELFIHLRELRIESCDWDCLPENMERLGSLQNLFIYDCDKMELLPTLPQSLQEIQIIHCRVLSTTCQEEGHRNWHKIQHIPDKVIW >PAN42852 pep chromosome:PHallii_v3.1:8:37452600:37466608:1 gene:PAHAL_8G192300 transcript:PAN42852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRPCLVALVMALAFLSLLEGAAAAVAGSTRRSAQRRRQVRSLLRRTNKAPLASIQSPDGDVIDCVHISKQPAFDHPFLKNHTILMRPSYHPGDLHGDSNTARRPITQTWHQNGNKCPENTIPIRRTKEEDILRASAVGRYGKKMPRSIQNLVSVNDLAPNATIGHQHAVASAQEDKYYGTNATINLWQPMAERANDFSLAQLWITGGAYNSNDLNTIEAGWQVSQKMYGDNNTRLFIYWTSDGYNKKGCYNLKCPGFIQINNQIAIGGSISPVSIYGGSQYDINIFIRKDPKNGNWWLQVDSYVLGYWPSSIFSYLADSASNITWGGEVYTHDAGQTSTQMGSGHFPEEGLGRAAYIKNIQVIDSSNNIKSPNGVHLIAKQPNCYNVQSSNNSDWGTYIYYGGAGGKNPNCP >PAN42109 pep chromosome:PHallii_v3.1:8:13344771:13346411:-1 gene:PAHAL_8G100200 transcript:PAN42109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSSDSDRDEPVATATTSCPSSPAAQQQPPSTPPQPPRRRRHQRARRRANRRARNTVEEQEQDLPAEVEAEAEDVWRGLQLQRQQREASPWPRRASRPVVVAGEAEGGSPDAALSREGSGVGRARSLTDDDLEELKGCVDLGFGFSYHEIPELCGTLPALELCYSMSQRFLDEHQQLGKPEEAPAPALAPASPAQPVATNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >PAN41548 pep chromosome:PHallii_v3.1:8:3981244:3986088:-1 gene:PAHAL_8G052100 transcript:PAN41548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQQQHIQLACPSRGSLNDDARLVQMCPLLYLAAYRGRPEEVMALLLQQHGAGKAGRYQATGIIQHGQCDILEVTAERNTVLHVAAEQGHDELIRELYIRFREEGLLSRRNSALDTPLHCAARAGHASAVAVLVQLAQDRGESILGCKNEAGDTALHLAARRGHGAAVEALVSAAAAETAAAELNNAGVSPLYLAVMSGSVQAVRAITTTCRDASSAGASSQNALHAAVFQSSEMVDLLLEWRPALAGEVDSGGGTPLHFASSNGDRAVVQAILLAGPPGTVYKKDHPGGLSALHVAARMGHSRVVEDMLEAFPDAAELRGVDGGTFVHAAAREKRSKVVALAIRNPMLRGLLDVQDRDGNTPLHLAVAAGAPAVAEALLQKGKVRADVLNNDGRTPFDLAAGSTSFFTMVSLVVTLAAFRAKLRPQRQDHAEPWSGRDVGRWIEKMSDPLSVVAVLIATAAFAAGFNLPGGYSDDGKANLSGSFAFKSFLVLDTVAVAASVTAVILLVYGKGSRSAGSWMSLAAALLCMWVALICLLLCYYLALSSVTNTRAVYRYGFMVIYGGVWLLQVCIETWIGLATSYCTVLRFFLFHQLRRLKGRRAVKRRFPLAGASVLSFYAFKVISFVGFVVFGFLIELKGELGASPAPSPL >PAN42414 pep chromosome:PHallii_v3.1:8:8703752:8705973:-1 gene:PAHAL_8G084800 transcript:PAN42414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGELLPRHDPSVGSKPGRRGGWCAAFFLIGNFTDSRGRVLRGHRLLRRRGQPHHVPDRPAWHVHGGRGRRRERLVRDRAGAAARRRARRRLAARAVRGGHGRQRALSAELRDADGLIRAANIATSPAPPPPPARLAFFYAALYLLALGLRVPELLLQLVPLLRLVGLRHRGGRHQLRRGQRRLDRRVRRVLGRHGALPRRLPARHANVPCRGTRRRQGARGRRTGMGGEHVPSQGHHWHRTAPSSRRRGRRRAHWRQAAPDLADERRLRARHLASHYPVHQAGSTMDRRIVTTGHVVPPAALISFISASFVVMVPVYDRAVVPLARRLTGHHAGVTTLQRIGSGIAASCLAMAVAAAVEARRLRVARDAGLTDLPDAAVPMSLWWIVPQYLLVGLARVLGTIGLEEFFYDQVPASLRSVGVALSLSALDVGSYASGAVVSAIDWATTRGGGESWFPDNLNRAHLDYFYCLLAGRCTGRGCLLLFGESIRLQKQRLHSCTWFSS >PAN41326 pep chromosome:PHallii_v3.1:8:2552952:2557106:1 gene:PAHAL_8G035200 transcript:PAN41326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIWSVVRWWEDWQLRILVLGSLGLQWFLLLAAPMRKYTIPRIFRTCIWLAYISSDALAIYSLATLFNRHARAMPAGSGAACPRGIPDGSKQQQSSSMLLEVLWAPILLIHLGGQEELTGYEIEDNELWTRHTVTLVSQVAVAVYAFCKSWPSASDWKLLAAAVLLFVIGVASFSEKPLALNRAKIKRLAAVSAWVQGTKKPSRWRERLNQFFLFEESNCFAAGMQQSLSAAGGEEGSSFADLLRRFSSCGTEGRKNQKKPAVALSEPDKVLMVLSDMSLLAAAKDLVARGKAARVEEVLPPLPVAEKALPRWLRSAFAFIYTRASVVVTPLYLVYHLLVVPALHIAALTLFATSDKGPYKRADVKITYIILCLTAALDVFAVFIRQLLYRLMAMTAVPALCETVPGFNLIDAALRESEKGFGWMYRCARFMGYSCWEECPLVGRHQLRELYRKVAQTVIADLVDARDRDLASYRIFDSTQDTKKPTSNWALSKELQDCCHDVTRSSLSVSFDRSVLLWHIATDLCFRCIDDEEQQEEKDEGELEGGGEGEGEEGEFEGGGGELEEVEEHQLLPPHQQRQGGGGGGGGEEELEEVEQEPPPKQQEGEREKRGGGELEEGQKEPPPPQQQRRRDRALRLHIECTRAISDYMAHLLNSNPEMLLTGSRHHLISEAMHEVKSSFLSEDSKFIQKEELKKLIIADPKLKPDYVGDDDTKVFHIIEARKLAKELLEMPDPTRWNLMYRVWLGMLCYSASMCRGYLHAKSLGEGGEFLSFVWLVLSLKGAKSLADKLQMPPET >PAN41329 pep chromosome:PHallii_v3.1:8:2573306:2576764:-1 gene:PAHAL_8G035500 transcript:PAN41329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGCAVQQALAAEAAAVVRQAVALARRRGHAQVTPLHVASAMLSAAGLLRAACLRSHSHPLQCKALELCFNVALNRLPTAGPAAVMFHHHGGHHAPVLSNALVAAFKRAQAHQRRGAVEGGQPPPPPPPQPGLAAKVEIEQLIISILDDPSVSRVMREAGFSSSQVKANVEKAVSSPEHHPNTTTASPATSSPPGSGHARRPNARADDDAMRVLDCMASGSKRCVVVVGESAATAEVVVRAVMDRVSKGELQQRHERLKNLQFVPLSAASFQRMSREEVEARAGDLRALVRQGCAAGKGVVLVVEDLSYAAEAWAAASERRRRGSLEPGQCYCPVEHAVMEVSSMVTAAGAGRGLDRFWLLGSGNNQAYMKCRAGQPSLEAVWEVHPVVVPDGGLALSLSSDSDAGQANQERSRRPWPFVNGAAAGDSELISCAAMTTPSVPPWLHQHQDSDMTRPGNRSASLQLQDWNPNCYGSAAQHTSELTLSFSSPATNSPDTSSISGFAPSFNANLMISSKPWQFKLMQPWPNHRHGDPLAKSYDHQPLHANPSPESYSVSTSSVGGSAESPKFMELTAENLKILCNTLENRTPRHKDVVADIASVVLQCRSGMTRRMRRCQEKPSAATWLLFQGGDDDGKKAMSRELARLVFGSYSKFTSISLAEFTQVHSDSSSSNLLSLKRQRPPDTGHGCFQTLYEAILENPHRVIMIEGIEQLDYDSEISIRNAIANGRISGCNGDDISLEDAIVVLSCEALDSKSNASSPRLKQKVIDNGSKGGNGMNIENGTESSGFTLDLNACAEDGDGASDNVRILNIVDGVFFFQLMEDL >PVH34445 pep chromosome:PHallii_v3.1:8:40528549:40529894:1 gene:PAHAL_8G219500 transcript:PVH34445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKILMLCGDYMEDYEAAVSLYALAALGSPSTASRRQPGESCLTAVHEFLGFEHAALHGAPGPPARGHRRLRRRGGGPVALRRARRPGRAVHGASQRGRRGCRARLGVRGDAAARRADVPQPAAARRGGGHGWGPVHGVLQHAARRGARRRDVGRPGPFGLCVADGHVLSAIGWPAHAEIIAKLLAAMGGRVGGGSGLRDDYEANVPFRALAGVGCRVEAACPTKRRGEPCVTAVYDAPAAQGAACEERRSHNFAVTADWADVGADGFDCVVVPGGRAPELLATHEKAVALVWEFAGKGKVVASIDQGHLLLAAAGLLGGSKCASGVPMRVVSRLAGAEAVETRGAVADGKLVTAASWPDLAPFVARIVDLLGITVSF >PVH34121 pep chromosome:PHallii_v3.1:8:26120127:26120751:1 gene:PAHAL_8G148700 transcript:PVH34121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEPPAMWTNLMVTFPKIKKFNNKATFPLFDALRELYDGRLAEGIYNVTSPETLQEEEPPEQLQDAEDEPQGSDDNVVHEVNDEGGDGTERNEEGLQGMADTLSRDEENDAPAIERSG >PAN43214 pep chromosome:PHallii_v3.1:8:40801443:40807492:-1 gene:PAHAL_8G220800 transcript:PAN43214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMASSPAASHLRHPPRLRLLLLSSRPLSTSASSPYPWLSAWSRPGRRGRLRAPASALDLRPEPSPSSDSDDEDAVGASRSSGRSTMALILRRLQRAGFSPEAPPAPPPTHPPRGSVEDVFRADDGVLPNARGGFDPDTSYAGEEEEGRALGDARFPWERPMPPPEAAPRAARSPTWMAELTLPAAELRRLRHAAMRVKSRTKVGGAGVTREVVEKIREKWRTEEVVRVKVSGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGIDYDEAESTKGSNKVSQSLGMKPSVNGSPSPSLRPTEKVGSAQDSNGAFISNSGKEELVEQAPEIKYEDEIDKLLDELGPRYSDWPGSDPLPVDADLLPATIPGYKPPFRVLPYGVRPSLGRRDMTNLRRLARGLPPHFALGRSRQLQGLANAMVKLWEKSSIAKISLKRGVQLTTSERMAEDIKKLTGGVMLSRNNEFIVFYRGKDFLSSELAEVLLDRERLAKSLQDEEQARLKAVSSFSSSIDAYVQPTVAGTLEETLEANSKYGNKLDEDHADKMARTVAAARHADLVRKLEWKLALAQKKMEKAERVLGKVETALNPTEGSKPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKSKSFTEVKQTALSLEAESGGILVSVDKVSKGYAIVVFRGKNYKRPSTLRPRNLLSKRKALARSIELQRHQALSRHFAKLNKRVEQLKAELVQMEGVKEQGDEELYAKLDSAYSSDEEDMEDEDDEAYLKRFDDEVAGATTEGRTSLDGSDPVYDEADYPDSEDEAGDYYAGEDEGDESFDSEDDEEDEPAGTPDGDFRNEADFESSAREYRLEGGLSGECGTAREPTSSHAGEQPTELTNTSSCS >PAN43621 pep chromosome:PHallii_v3.1:8:43456214:43460358:1 gene:PAHAL_8G250600 transcript:PAN43621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MEAFLASFFRAPPRCGPAARAAPAVPGLPLFHGRRRRRPSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQLEETKALEKENKDDPDMGEMIASELEALSNQLAELEEKLKLLLLPSDPLDSRNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNNWKFKPVSCSEAEMGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVIIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLLGDIESAIQSCATMEQQELLEEMATSVGVAKV >PVH34568 pep chromosome:PHallii_v3.1:8:43456214:43460357:1 gene:PAHAL_8G250600 transcript:PVH34568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQLEETKALEKENKDDPDMGEMIASELEALSNQLAELEEKLKLLLLPSDPLDSRNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNNWKFKPVSCSEAEMGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVIIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLLGDIESAIQSCATMEQQELLEEMATSVGVAKV >PVH33413 pep chromosome:PHallii_v3.1:8:243329:248564:-1 gene:PAHAL_8G001500 transcript:PVH33413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPRRHALPPPRHLRTLSSTLVQESVAAAAALVQKWHPDDDSGSLFLHAAEHEAQRFLRAAADLHRAMLFFASNVTHGGHGLVQAQALLLTAMGRLDLELQLLLDDITQSADDATRSNIRAVAEAMMAAGYGKECISTFKSHRRAALATELQRLLGFLSPPDHLHKLTWEQLDGSIIPSWLAAATVAFNSLFAAEKGLCDAVFAGGNAAVGEAVFAAVANDQATSLLAVAEAAVARARRAPERLFRVLDVHDALTEVLPGLLSVFGDSSEVAARAALVVAKVGEAARGILGSLEVAIQKEPSKATAAGGAVHPLTRYVMNYLVFLADYQEGLALLVYDDHEQEASSSPSVIIQRLVSALLGKLEAKAGCYREVALSYLFLANNTQYVANKVVGSGKLRGILGDGWAEAQSGKARAHVGVYVRAAWGKVMAAISGAEAPEAVEQAVMEAVGMQEQWVAADEETGEALRAAATAAVVPKYRMFYRRYGAAVRLTPGDVTTMIAALFAGPVGCSRKMMSELDQSVEFVLNARGMSLFTCQWRPSTIIEPKALIFLCHGYAMECSISMRGTGTRLAQAGFAVHGMDYEGHGKSSGLQGYITSFNDIVVDCSKHFASVCEKLEYKNQRRFLLGESMGGAIVLMLHRKEPTYWDGAILVAPMCKIVEDMKPHPIMISILSKLSNVIPTWRIIPNEDIIDRAIKSEEWREEVRNNHYCYKGKPRLKTGYELFMASLDIESNLDKVTLPFIIVHGGGDAVTDPSVSEALYTLAESKDKTLKLYPGMCHALTSGEPKENIDIVFADIIKWLNERAASTP >PVH33414 pep chromosome:PHallii_v3.1:8:245489:248564:-1 gene:PAHAL_8G001500 transcript:PVH33414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPRRHALPPPRHLRTLSSTLVQESVAAAAALVQKWHPDDDSGSLFLHAAEHEAQRFLRAAADLHRAMLFFASNVTHGGHGLVQAQALLLTAMGRLDLELQLLLDDITQSADDATRSNIRAVAEAMMAAGYGKECISTFKSHRRAALATELQRLLGFLSPPDHLHKLTWEQLDGSIIPSWLAAATVAFNSLFAAEKGLCDAVFAGGNAAVGEAVFAAVANDQATSLLAVAEAAVARARRAPERLFRVLDVHDALTEVLPGLLSVFGDSSEVAARAALVVAKVGEAARGILGSLEVAIQKEPSKATAAGGAVHPLTRYVMNYLVFLADYQEGLALLVYDDHEQEASSSPSVIIQRLVSALLGKLEAKAGCYREVALSYLFLANNTQYVANKVVGSGKLRGILGDGWAEAQSGKARAHVGVYVRAAWGKVMAAISGAEAPEAVEQAVMEAVGMQEQWVAADEETGEALRAAATAAVVPKYRMFYRRYGAAVRLTPGDVTTMIAALFAGPVGCSRKMMSELDQSVVLLQGHGP >PVH33964 pep chromosome:PHallii_v3.1:8:15397112:15399384:-1 gene:PAHAL_8G110200 transcript:PVH33964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGYAVVQPTYVWSNTSHFRLPVPVGGDEITTLGEALGTRIQWSKHRILIPPRTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQQQEKQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PVH33575 pep chromosome:PHallii_v3.1:8:1768798:1772285:-1 gene:PAHAL_8G024500 transcript:PVH33575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPRRVSDGVFPRAQRRRRGPISGAVSDSPRHKASASSCPSHLCLFSLPLHSFFHSKLSLESHSQFPTHHHRLPVIAPRPTQAPMAGYPPPGSGYPYGPGAGGAGGYGAPPPYGSSPAPSAPPYGEKPPKEGKTSSASSAPPYYGAPPSSQPYGAGGGGYGAQQYGAPYGAPPPSSTPPYGAPPHSSAPYGAQPPAYGAPGGYGGSPFASLVPSAFPPGTDPNVVASFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRAIFERFDRDRSGKIDSSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKSRAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFTYEAFMLTVLPFLIA >PAN42802 pep chromosome:PHallii_v3.1:8:36945463:36950770:-1 gene:PAHAL_8G189300 transcript:PAN42802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILESLVGSCAKKLQDVISEEAILILGVKEELTELQRRMEQIRHFVNDAEQRSTKESAVNNWLNQLRDAMYDADDVIDLARSKGSKLVPDHSLSLSSKSSTCTGLSLSSCFSNIQTRHEVAVKIRSLNKRIDNISKDEVFSSLASRQSTKKVSAPKHIRSSNLVEPNLVGKEVIHACRKLVDLLLEHKDKRSYKIAIVGTGGVGKTTLAQKIYNDQKIIGCFDKQAWVCVSKDYSEVTILKEILRKFKVQYMQDESIDELQSRLKLAIQEKSFFLVLDDAWQSDIWENLLSTPLHAAATGIILLTSRLDTVAVEIGVDHTHRVDLMSVDVGWELLWKSMGINQEKEVQNLRDLGIDIVRRCGCLPLAIKVVARVLARKEQTENEWNKFSRKDAWSVSKLEIPSALYISYEELPLCLKPCFLYCAMFPEDAVIFRDDIIRMWVAEGFIDELGGQLLEDTAEEYYYELIYRNLLQPNYLIADLNKCTVHDLLRQLACHLSREECFVGDPESIRVNVMSKFRRILAVTEKDIVVLPSMGKDQYKVRTWRTSYEKSLRVDNTIFRRLPYIRVLDLTGSVIQSIPNCVGRLIHLRLLNLDGTDISCLPESICCLINLQTLNLQQCHALHSLPLGITRLCNLRRLGLAGTPINQVPKGIAKLKLLNDLEGFPVGGGSDNSARAQDGWSLEELGPLFELRKLDMYKLERASPCSTDSLLLDKKFLKELSLRCTERTDEPYSQSDIINIERAFEKLIPPRSIEDISIIDFFGRRFPTWLDTATHFSSLTYLQLVDCKSCVHLPPIGQLPNLKYLRIEGATAVTKIGPEFIGYGVGNPGSAEAVAFPKLETLVIKDTPNWEEWTFVFEEEEATAAAAGKDGAAPNQKGEAPPPRMQLLPRLEALVLKCCPNLRALPRQLGLEATSLKELHLRDVDSIKVVENLPFLSEMLLIAGCEGLERVSNIPQVRELRAHLCPNLRYVERLDSLHQLFLSKDMQDVSSRWLPGLQEKHQQLHGEDMDVYTWC >PAN42690 pep chromosome:PHallii_v3.1:8:35561360:35562539:1 gene:PAHAL_8G182500 transcript:PAN42690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAKIENPSELNRTPTTMAQSMKFLILLPAFLLLLVPVQGARPTASPKKCAASSVTVEQANTGEKAGYDPVFEVAVRNTCGCAVRGVYLRSEGFASSVAVDPRLFRREGRDYLVGDGRRIEPASAVLFRYAWDRAFRMTAAAVHADCS >PAN43518 pep chromosome:PHallii_v3.1:8:42690549:42693723:-1 gene:PAHAL_8G241600 transcript:PAN43518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKDDVIEISMEYLLKKYLLLLATLVATVTYAAGLTQPGGSWPEDSPGGRTAGDSILRVTNYRRYIVFYCFNAISFAASLVVGLLLLVLRKGISVLLLHLMQTVMVVDLLGLVGAYAAGSSHDRFTTVCGAAVLVSGVVAYAAVRILSSARRRRRTQTPAEAAKKVAKEEAEAAKMPASEREILLVLAIFAATVTYVAGLNPPGGFWQTTEEGRHTAGDPVLQRLHPGRYRTFFFCNTTAFIASLLAIMLVVDHRKFHIIQAKLFRVWYVALYGFILTALLGLGGSYAAGSCRDSKHTIYVLSLVAPVVACLVLAWLLVDNQGTNHGRDDGDGKKYDDVNKIRDCIQLLATLAATIAYQAGVDPPGGVWSEDGAGHSVGDPILLTTHPGRFEVFFYFNSAAFVASLVIMAMLQKESLIRLHVLEKAMVLDLFALIGAYAAGSCRDTSTSIYTVAMAGAVLIYVVIHIVFFQVDQNGSGRHKELDQYREVLLLLATLAATLTYQAGLTPPGGFWEKDDSKLGHRAGFPVLQDKYPRRYKAFFYCNAASFMASVALIILLLNANLYRPGIRCYALYVCMVAGMFGLMAAYAAGSSLHLRTSIIALALVIAVFVALVCMAIRLYHLSKKDGLSPSTREEAATTTATDDKPKKKEDDDMMQEYLMLVGILGASTTYLTGLKPPGGMWKDDDGGNGHAAGNPVLYDISRRRYNAFFYSNSTAFMASIVVMALLLLRMMCQWLPGHRHQLRLWPMHTAMFLGMAALLVAYAAGSARDACTSWKVIVLLVPIVAFVMCLFAFYKKKQEAKGETEEEEEAKGDAEEEAGTLQRRS >PAN41031 pep chromosome:PHallii_v3.1:8:795159:798187:-1 gene:PAHAL_8G010900 transcript:PAN41031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLICACLLQLLLSATASGVAAQSQPLSPARILDATLQDYAYRAFVRPRTGIVYNATLPANLTGIAVSAVRLRSGSLRRKGFADYFEFRLPTGVVVQPHVERVVLVYHNLGSSSDNYYPLPGYTYLAPVLGLLAYDAANLSAVGLQDLNIVASGSPISVTFSNVRAVLSGSAPPRCVVFDLNGMPQFRDLEATNVCSTFRQGHISIVVNSSEIAPAPAPPGAIAPPIPTPGGQKKGNSKAWKIAVSMVGAAVALGLLAVLLLCLVRYKRDKKLEVMERNAEVGETLRMAQVGRTQAPVALGTRTQPVIENDYAA >PVH34621 pep chromosome:PHallii_v3.1:8:44240217:44241806:1 gene:PAHAL_8G261100 transcript:PVH34621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAWVPCDFQLAPCWSVEPGDFQLANWAMEEQGAVWAPGLPVQESEFTDITGSCLQTSSPSSSRGGQLIAHAAATSHSDPIDKAAQELEVDFHNMQMTIHNSNHVNPIHVLEEVASEFKADIDMMKTKIHRYPASIRALGELYTVPTTVAVGPYHHGQHHLKAAEKVKHVAAYHCIRESGCSVQEMYDAVVAVADDARRLYDKDVMAGIHYDDFRHMMFFDACFLVQYMLLHTSARVDPSLRSFFGSNANNIFHDTMLLENQLPWQVVEAVMRFRNVSLREFVGSLRGWLLERRDPDQKRFASDDDNYKPPHLLGLLRFCTVGRNTRKLPPIPQTESIPFSASAVELAEIGVTLTPGKTTELIRMRMKKKWTLFTKLSLAPLTLDNVRASCLVNMAALETCSVQSFSGAPDEDSAVCSYLLLLSTLVQKKEDVVELRQKRILQGRGGLLTNNDDALNFFTSLQNLRLGSCYVRIMEAVEDYKIHKQRRDKVKAFVYKNMKIIIAVITTIAAVVSILGTLMPRLKSR >PAN41483 pep chromosome:PHallii_v3.1:8:2887503:2890611:1 gene:PAHAL_8G039200 transcript:PAN41483 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MALRAARRLAFCSRQGRRLLPSPAPAPAPAPAPCNRKAVPAAAFLHSHATSFGYKQVREEEKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRVLERIKSVGHRAMQGYSGEHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVDVPVFKEIYDVYSFSLVPTMGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFQRVEYENLVGGVVAIHSGLKL >PAN41377 pep chromosome:PHallii_v3.1:8:2887503:2890611:1 gene:PAHAL_8G039200 transcript:PAN41377 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MALRAARRLAFCSRQGRRLLPSPAPAPAPAPAPCNRKAVPAAAFLHSHATSFGYKQVREEEKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRVLERIKSVGHRAMQGTLTDTEEDTHIYVCDINPNMLNVGKKRAAERGYSGEHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVDVPVFKEIYDVYSFSLVPTMGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFQRVEYENLVGGVVAIHSGLKL >PAN43467 pep chromosome:PHallii_v3.1:8:42427566:42433772:1 gene:PAHAL_8G238100 transcript:PAN43467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTGALPSVITKLGELLNGEYNLQKGLKGEIRFLQSELESMKGALEKVSSTPADRLDIQDKIWARDLRELSYDIEDGIDTFMVRGQGKEQGNLHGIKKFIDRSVGLFRKAKIRHGMATEIRDIKTRVEEVAKRHGRYKISSDVAMPVMIDPRLFSQYTEAKELVGIDEARDELIKILEEENEVSMQQHGKIVSIVGFGGLGKTTLAKAVYEKIRARFDCCAFVSVSQTPNLKKLFKGLLCDLGKKNNEETLDESRLIKVLREFLQEKRYFIVIDDIWDISVWKMIRCALPDNDVGYAIITTTRIFDVAEQAGGAYKLKPLSLNNSRKLLYRRIFGSKNKDNNEDREKCPDEELAEVSDRILKKCAGVPLAILTVASLLACKTRNKIDWYEVYNSVGTGLENNLDVKNMRKILSFSYYELPCHLRTCLLYLSMFPEDFEIDKDRLIRMWIAEGFIKSEKQGKSLFEIGDSYFNVLINRSMVQAIYGTDTGMPRSCRVHDMVLDLIRSLSTEENFVTVLSDMDSTSPSDTIRRLSLQNVKNSHIMAHASRSSLQHARSVVIFPSEFAQAPALGSFQVLRVLDLCECDLSQAKSLKYLENLYQLRYLGLCWTSISQLPEEIGNLQFLQTLDVRGNNRISWLPSGVVQLTNLMFLYIDGSTKVPNGIGNLTCLEQLSRLCIDGSTINIVEELGQLAALRQLEIELDEWNDKVLECLRMLQKIQKLDISVHPGQRSIGGLDAWVAPRHIRDLRTVNSYWFSILPAWMNPSLVPDLTILCIAVRELHQGDLEILGRLPALRTLSLVVDNENLGILHGFVVGAGLFPCLVFCSFSRFVWPVVFQQGAMPKLRDASGILAVVLRAGGRRNCQQRCWPRLGPGKPAIAAVGHGWPPVL >PAN43773 pep chromosome:PHallii_v3.1:8:44309238:44310793:1 gene:PAHAL_8G261800 transcript:PAN43773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQEVPAGARKLEGKVAVITGGANGIGACTARLFVKHGARVVVADIQDELGASLCAELGPENSSYVHCDVTDEADVAGAVDHAVARFGGLDVMFNNAGVSGAPCFAIRNAAKADLERVLAVNVVGPFLGTKHAARVMVPARRRGCVISTSSIASAVAGAAPHAYTASKRALVGLTESAAAELGRHGIRVNCVAPAGVATPMATAGMGSVDERAVEAVLEAAANLKGVGLKVDDIAAAALFLASDDARYVSGQNLLVDGGFSVVNPSLGFFRD >PAN41495 pep chromosome:PHallii_v3.1:8:3572562:3578542:-1 gene:PAHAL_8G047500 transcript:PAN41495 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH10 [Source:Projected from Arabidopsis thaliana (AT2G06990) UniProtKB/Swiss-Prot;Acc:Q9ZVW2] MGEEAENTSKRKAPDSSAVEEPSPPAPASTAQSQAEADPAAKRRNLSRSCIHEVAVPNGYAAAKDEAVHGTLASPEFNGEMAKQYPFKLDPFQSVSIACLERNESVLVSAHTSAGKTVVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFTDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICSLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFGQLQDSFSKQNNQLDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEDEKECIEQVFRNAISCLVEEDRSLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEIVQKITRLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASGTLPPALSAARGNSYIVDTLLHCSSISNENGSRSKPCPPRSGEKGEMHVVPVPLPLISGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLHPINDMGIQEPELVDLVHKLEELEQKLCSHRLHKSGQSEQELSWYQRKADLNSEIQNLKSKMRDSQIQKFRDELRNRSRVLKMLGHIDGDGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFVPCDKSSEQIRLRNELSRPMMQLQEAARKIAEVQRECKLEVNVEEYVESTCRPYLMDVIYCWSRGATFAEVMEMTDIFEGSVIRLARRLDEFLNQLRAAAEAVGEVNLEKKFEKASESLRRGIMFSNSLYL >PAN41494 pep chromosome:PHallii_v3.1:8:3572325:3578821:-1 gene:PAHAL_8G047500 transcript:PAN41494 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH10 [Source:Projected from Arabidopsis thaliana (AT2G06990) UniProtKB/Swiss-Prot;Acc:Q9ZVW2] MGEEAENTSKRKAPDSSAVEEPSPPAPASTAQSQAEADPAAKRRNLSRSCIHEVAVPNGYAAAKDEAVHGTLASPEFNGEMAKQYPFKLDPFQSVSIACLERNESVLVSAHTSAGKTVVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFTDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICSLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFGQLQDSFSKQNNQLDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEDEKECIEQVFRNAISCLVEEDRSLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEIVQKITRLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASGTLPPALSAARGNSYIVDTLLHCSSISNENGSRSKPCPPRSGEKGEMHVVPVPLPLISGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLHPINDMGIQEPELVDLVHKLEELEQKLCSHRLHKSGQSEQELSWYQRKADLNSEIQNLKSKMRDSQIQKFRDELRNRSRVLKMLGHIDGDGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFVPCDKSSEQIRLRNELSRPMMQLQEAARKIAEVQRECKLEVNVEEYVESTCRPYLMDVIYCWSRGATFAEVMEMTDIFEGSVIRLARRLDEFLNQLRAAAEAVGEVNLEKKFEKASESLRRGIMFSNSLYL >PVH34438 pep chromosome:PHallii_v3.1:8:40442672:40446983:-1 gene:PAHAL_8G218400 transcript:PVH34438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNAASVSPAAAPRLSLLLGRRRSARVRAAAASPASAGGGAGGGSYLDMWRKAVERERRSAELARRLQAPAPAEAEAAAAPPVEVVERRTARFEDLLRVPREERDRVQRRQVIDRAAAALAAARAVLKEPPPAPAPAPASSPPPSPPQTPPQRAESAKVGSAGGSAAKESDRGSRTSAPAPVSQSAEVPESGGSSSYKQESSKLGTPGPDFWSWLPPVRDSSKPSKSGTGLKPSKKVDPVSRQPDLLEKEKSADYLSLPFETAFFEKKEDRSLPPFQSFAEPENVDSKADLAADAKETFEEQFSKNAAEAARALSESDDKSTHGIHPDGSMWWKETGVEQRPDGVLCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDAAGNVWREYWKESMWQDYTCGVMHMEKTADKWGQNGKGEQWQEQWFEHYDSTGKAEKWADKWCSLDPDTPLDVGHAHVWHERWGETYDGSGGSTKYTDKWAERSEGDGWSKWGDKWDEHFDPSGHGIKQGETWWAGKYGDRWNRTWGEQHNGSGWVHKYGRSSSGEHWDTHVPQETWYERFPHFGFYHCFENSVQLRSVKRQPPHRK >PAN41461 pep chromosome:PHallii_v3.1:8:3420107:3423920:-1 gene:PAHAL_8G045700 transcript:PAN41461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPGYLSDLFEHPHSTTSTKVYGHNHGLNSTKLEKVAMKLTAMGQFFLILIASCTRAVICSSNGNYTDRLSLLEFKRTISLDPHQALMSWNVSTHFCSWEGVRCSVKNPSRVSSLNLTNRGLVGKISPSLGNLTFLRILVLSTNSFSGEIPMSLGLLHRLQILSLQNNTLQGRIPALANCSKLTELLLGNNKLTGQIPVDLPQRLENLDLTSNNLTGTIPVSVANITMLQMFSCAMNNIEGNIPNEFANLLGLQVLRVSINKMSGQFPQPILNLSKLVELSISTNHFSGVVPSSIGNSLPDLQGIILADNFFHGHVPPSLTNASKLHSIDISSNQFTGVVPSSFGKLSKLSWLNLQFNKLKATSKQDWKFMDSLANCTELSEFSVANNYLAGQVPNSVGNLSSQLQGIYLGGNQLSGDFPSGIANLRNLVIVSLPDNNFTGVLPEWLGALNSLQVVQLSDNFFKGIIPSSFSNLSQLMSLDLEWNQLNGPIPSSLGNLQMLQALLISSNNLHGTIPKDIFTIPTIVRISLSFNSLHAQLHADIGNAKQLTYLQISSNNLSGEIPSTLGNCESLEVIELGHNLFSGSIPTLLGNISNLQILNLSRNNLTGSIPVALSDLQLLEQLDLSYNHLKGEVPTKGIFQNATALWINGNQRLCGGPLGLHLPPCPIMQSNSAKHKLSVIRKIVIPVAIVVVFAAGFVVWLFRRRKQKTKAISLPSPGRFPRVSYRDLVRATESFARSNLIGQGRYGSVYLGKLFHDGKAVAIKVFSLETREAQKSFIAECSALKNVRHRNLVPILTACSSIDSNGNDFMALVYEFMPRGDLHNFLYTTQDNEGSSCLNYISLAQRLSIIVDVSDALAYLHHNHQGTIVHCDLKPRNILLDDDMVAHVGDFGLARLKFDTTSPSLADSTSTSSLAIKGTIGYIAPEYAAGGQVSTAADVYSFGVVLLEIFIRRSPTDDMFNDGMTIAKLTEINFPDNLLQIVDPQLLQELEQREDITMTIRDSGAQTLQSVLSIGLCCTKTSPNERISMQEAAAKLHGIRDAYLRGN >PVH33689 pep chromosome:PHallii_v3.1:8:3419857:3423991:-1 gene:PAHAL_8G045700 transcript:PVH33689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPGYLSDLFEHPHSTTSTKVYGHNHGLNSTKLEKVAMKLTAMGQFFLILIASCTRAVICSSNGNYTDRLSLLEFKRTISLDPHQALMSWNVSTHFCSWEGVRCSVKNPSRVSSLNLTNRGLVGKISPSLGNLTFLRILVLSTNSFSGEIPMSLGLLHRLQILSLQNNTLQGRIPALANCSKLTELLLGNNKLTGQIPVDLPQRLENLDLTSNNLTGTIPVSVANITMLQMFSCAMNNIEGNIPNEFANLLGLQVLRVSINKMSGQFPQPILNLSKLVELSISTNHFSGVVPSSIGNSLPDLQGIILADNFFHGHVPPSLTNASKLHSIDISSNQFTGVVPSSFGKLSKLSWLNLQFNKLKATSKQDWKFMDSLANCTELSEFSVANNYLAGQVPNSVGNLSSQLQGIYLGGNQLSGDFPSGIANLRNLVIVSLPDNNFTGVLPEWLGALNSLQVVQLSDNFFKGIIPSSFSNLSQLMSLDLEWNQLNGPIPSSLGNLQMLQALLISSNNLHGTIPKDIFTIPTIVRISLSFNSLHAQLHADIGNAKQLTYLQISSNNLSGEIPSTLGNCESLEVIELGHNLFSGSIPTLLGNISNLQILNLSRNNLTGSIPVALSDLQLLEQLDLSYNHLKGEVPTKGIFQNATALWINGNQRLCGGPLGLHLPPCPIMQSNSAKHKLSVIRKIVIPVAIVVVFAAGFVVWLFRRRKQKTKAISLPSPGRFPRVSYRDLVRATESFARSNLIGQGRYGSVYLGKLFHDGKAVAIKVFSLETREAQKSFIAECSALKNVRHRNLVPILTACSSIDSNGNDFMALVYEFMPRGDLHNFLYTTQDNEGSSCLNYISLAQRLSIIVDVSDALAYLHHNHQGTIVHCDLKPRNILLDDDMVAHVGDFGLARLKFDTTSPSLADSTSTSSLAIKGTIGYIAPDC >PAN40973 pep chromosome:PHallii_v3.1:8:553811:555331:-1 gene:PAHAL_8G006400 transcript:PAN40973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKMERMSSSVQSWVEEHKLASIGGVWAAAVGASVAYSRRRAPQRATSLRLIHARMHAQALTLAVLGGAAVMHYYSKSKSSADKMDLDFYSHLPPATDADGNENERWSW >PAN41216 pep chromosome:PHallii_v3.1:8:1317538:1317738:1 gene:PAHAL_8G018400 transcript:PAN41216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEVSPVLWTALHSLKETLVDDDEVNFMEMDAFLLDDEKNSLVKRITTLESGLATAREYLENRW >PAN43074 pep chromosome:PHallii_v3.1:8:39401225:39405565:-1 gene:PAHAL_8G209000 transcript:PAN43074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNITQCDDKLKFEVDINQSIKVFTEDEIKRITSNFSIPIGQGGFGEVYKGTLDDDYDLVAVKRYISKDLRKEFMEEVSIHSQMSHKNVVELIGYCIGESTLMIVTKYISKGNLDDILHNSNISIPLDVRLGIAIGCAEALSYMHSMHLSSDSLVCHGDIKPANILLDGNLTAKLSDFGVSRLLAGGVTQYTDHIKGSISYMDPIYFHEGCLTPRSDVYSFGMVLWELLARKRLRKGDINLCANGKCREIFDAAIVNENNMKILKEMRRLATECLTLVIHKRPQMNAVAKRLRILKKELKDIHEKYSKPILASHHSWCKNYNQDITMPSPSYNSRIQLKKSLGIFKRNLSNSKILPEVGNVRIFTHEELNDVTHNYSYLLSGGTSGKVYKGALEDNTVVAVRIFSEVLEGFEEAFINGGMILSQTIHKNIIRLLGYCLDTDCPAFVYEYAAKGSLSDVLDGRADFPLHFRVKIAVQTAEALEYLHSSATGIIRHGYVVPSKILLDDNFTPKLTGFSWARRLTKESNITVSDDVICSRFPSSGLNKDPIHDQYALLKLKIDVYQFGVLLLTLISRKSFIFYADHGHLISQFRAAYEADNRGRTFFDDDIVAHEDVALLEEIGRLSLKCVCEEIDQRPTMKEVAEHLRVIRSSWKKSSAEGATLVSETADAEGAKPDRPRG >PVH33688 pep chromosome:PHallii_v3.1:8:3404281:3410428:-1 gene:PAHAL_8G045500 transcript:PVH33688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEADGDAPIAAFAVSKAGVVLKHIFLNAPPALDPADRGGAVGAEEEEEEDPPVTVGRHPDCHVLVDHPSVSRFHLQLRARRRQRRITVTDLRSVHGTWVSGQRVPPHTPVDLAAGDTLRLGASKREYRLLWLSLREALEMEDAPYMPTLPEDKEEPHAYQDASSQLVAPEQRESADMKAHRETSQQIMLEEIAFPAKVIPSAPPLPDFAHSFSVEDSSLSQFHENIEGVPEDKLVDKNPISQSFGSLIIQQMPAALPKAGRPAQSDQVSKRSKLKSVKPLRIDTGRSSDTSNALSYSVQKGEQNEILVCSQSCGTECAACIALFGISEYERAEEMEEMIAEVKGHMNPPASITMEGNKKKPNTENYVPQHSVDAKLLKRHGLFDSALPLHFIDDAFAEKEIPQWNAATVSTESETVPENLVTPEMKHDGLVHLNLEGSLSNKENTLANKISEDSENYQLDSTNCGNIFDNFDSEEIEGNEEISPLDKENITPNVSGNIVMERSHIGLKPTISQELMDSISPLNLDHDIPENENSILKAGNQMKSNEPVSGNLSLLTPVDKKLQKCQTECMPISHLEFKDDIFPDRENSVLAPGKYEAISPVKQEDLFSDKENVTPASKVKPVVRRVLGSRMDNSVSAKNTSNKEKTKSEKFHTVDYDVFYSDKENLTPVSSGGMKARKCLPKNLVVDADQDQEAFCSDKENLAPLSSAARKTRDVSENRVRLESAITKKRVADRIPFQTLLSNSPLRPASSLDCNCAVARPADIAAGDLAIKLEDKLNKIAHNNQESGRAGQGMKAWTMVANTDSLLDDESVKSIMLLKGIKGTHLFIPRIVIRELDSMKQREGLFRRSTKATSTLQWIEECMATESWWIHVQSSSEMLPVAPTPPATPSAQRVDEEIKVGSGSFNPMALFSPRGLFSPRGFELADIVSPKPEDRVLDCALLLTKLRGDHNIVVLSNSVALKIKAMAEGMVCEGAREFRESLMNPCSGRFMWAASAPRGPAWSRLDAAALAEDYYNSRHHHQARKRRPAAAEAAKGLKLILRHNSLYAQATDAAARMTPPPPRPVSLA >PAN42835 pep chromosome:PHallii_v3.1:8:37216278:37218138:1 gene:PAHAL_8G191000 transcript:PAN42835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGQTLLDAQLDLWQNTFAFVKSMALKSALDLRIAETIQHHGGGATLSQIATRAMVPPAKIPCLSRLMRVLTATGVFSAQQAPSGIRSCEQLLYTLTPMSRLLVGSRNQVPITAMLLQSTLVSSLFELGGWLRRERPEPCMFQLRNDHTLWELADRDPAFNALFNSGMESDTEFIMDIVVNEFGEVFHGIDSLIDVGGGHGAAAHAIVKAFPHLKCSVLDLGHVVADAPNDTNVHYIAGDMFETVPPASVIFLKWVLHDWNDEECVKILKNCKKAIPPRDEGGKVVIIDIVIGAGQSDKKQKEMQVVFDLFIMFINGTERDENQWKKIFFEAGFCDYKITPVLGVRSLIEVYP >PVH33840 pep chromosome:PHallii_v3.1:8:6958942:6965440:1 gene:PAHAL_8G076900 transcript:PVH33840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGVIGRPEELMINRGTRLWKDTGNIASALGYLAVFWPTVVLLGGFVGDVLLEEFWVLTALSFLVSFKSKQLERKWE >PVH34469 pep chromosome:PHallii_v3.1:8:41296487:41300680:1 gene:PAHAL_8G226700 transcript:PVH34469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MAVILRHAAAAAAALLVVATVCADGASTFYSSDPNLGSARVVFQTNYGDIEFGFFPHVAPKTVEHIFKLVQLGCYNTNHIFRVDKGFVAQVAAVVGGRTAPMNEVQKREAEKTIVGEFSSVKHVRGILSMGRHSDPDSGGSSFSFLLDNAPHLDGQYAVFGRVTKGDDTLSKLERLPTRREGIFVMPIERIDILSTYYYDIDMESCEAEKSILRRRLSESASEVERWRRKCFA >PAN43287 pep chromosome:PHallii_v3.1:8:41296487:41300680:1 gene:PAHAL_8G226700 transcript:PAN43287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MAVILRHAAAAAAALLVVATVCADGASTFYSSDPNLGSARVVFQTNYGDIEFGFFPHVAPKTVEHIFKLVQLGCYNTNHIFRVDKGFVAQVAAVVGGRTAPMNEVQKREAEKTIVGEFSSVKHVRGILSMGRHSDPDSGGSSFSFLLDNAPHLDGQYAVFGRVTKGDDTLSKLERLPTRREGIFVMPIERIDILSTYYYDIDMESCEAEKSILRRRLSESASEVERWRRKCFA >PAN40992 pep chromosome:PHallii_v3.1:8:620266:622791:1 gene:PAHAL_8G007800 transcript:PAN40992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATPRTSTRTPTCTVPGLLVGLTKLCKLTKVCAAPALDDETKSQLGNFCGGYDQRLLLIRLFEAMGSLKSAYIKLQRAHIPYDPAKLAFADEIITSELDSVAALQRLCSSSCGIGSLVNERWSLVQELEAETRKRDSDIVLLKRELEALQRENSRLNKQIKSEKPSSVKHTDKGFVVPKEMTAVTPGALSELFKVAAASVHDFAELIATSILVPSDNCVNDAVERSWRRYSLEAHLSRTMLVGVITTTQEEEEEDKEGLKISGACFERIMRLCDPLDALMQYPSSGFSRFCRSRYLAAVPSEMEAAMFRNLDQRAFVARGGHPRTWFYRAFATMARSAWALRVAMARCLKHSHGVRMFYARRGSEYVEEFMESVAVAAPGVREGEGDVEEKLTVAFTVTPGVKVGDTAVACRVLLCHRHHQEGIHSSPMTAVVSKLI >PAN40991 pep chromosome:PHallii_v3.1:8:620488:622712:1 gene:PAHAL_8G007800 transcript:PAN40991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATPRTSTRTPTCTVPGLLVGLTKLCKLTKVCAAPALDDETKSQLGNFCGGYDQRLLLIRLFEAMGSLKSAYIKLQRAHIPYDPAKLAFADEIITSELDSVAALQRLCSSSCGIGSLVNERWSLVQELEAETRKRDSDIVLLKRELEALQRENSRLNKQIKSEKPSSVKHTDKGFVVPKEMTAVTPGALSELFKVAAASVHDFAELIATSILVPSDNCVNDAVERSWRRYSLEAHLSRTMLVGVITTTQEEEEEDKEGLKISGACFERIMRLCDPLDALMQYPSSGFSRFCRSRYLAAVPSEMEAAMFRNLDQRAFVARGGHPRTWFYRAFATMARSAWALRVAMARCLKHSHGVRMFYARRGSEYVEEFMESVAVAAPGVREGEGDVEEKLTVAFTVTPGVKVGDTAVACRVLLCHRHHQEGIHSSPMTAVVSKLI >PAN41982 pep chromosome:PHallii_v3.1:8:7153740:7155386:1 gene:PAHAL_8G078800 transcript:PAN41982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICNNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHKESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARNYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKTAKESKKKLPKQLWVPKALITHVQGPKLVWVPKTQK >PVH34207 pep chromosome:PHallii_v3.1:8:33124854:33125780:1 gene:PAHAL_8G172200 transcript:PVH34207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDHEGHFHTNALHWEGFPHLLWESLSLFHYTEPPQYDGVEYREEGVLRCRVKMTIPQHPFRSQWHPIEVEVHPTKVAAYPIGLFPAIDSGNLEWNFQTEHLGHMLGELAEEMVRSITRFMDVQHHYQILLHHSMGQLTSVAQSHYRNADCQVTQIVELQAMVTKKDEIIAARDETILHREDQISESDHIITQRDTVIEFLQAQIHDLILEADDAQAHLEELQQQSIFPAAPAMPEEEEDPEEIEGVSEIDSEHGDPVLSPYHSYSGSQSSVGNFDDF >PAN42935 pep chromosome:PHallii_v3.1:8:39946923:39949391:-1 gene:PAHAL_8G214200 transcript:PAN42935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATSSSGAARGVRILDPEEVRRRAAPWTSLGVDEADCEEEAAGRARARARECDVYVGHGGDARRMAAWLRAELELLGVPCVAADRRRCGDAPAHAAARAAVDAAVVGVVLVTPTTLRNPYAVEEVRAFLDRGALVPVFVGVRRGDFVAEDVVAGRGDLWEKYGGHLWMAYDGLEEEWREAVEGLARAEPAVEVRVGDLRDRVLDVLEILGARLGRRAISPAVRAWRAEAGLEIPFPWNTGFVGREKELLDLESMLCGGARAHDKASRNRPMHPNGAFVSEWPFLDGVVCISAASGAGKTELALEFAHRHWHEYKKVLWVHGEARYLRQSYLKLADHLGIAVGDSFLQSTGRATARSLHDIEGDAIAKINKELARDIPYLVVIDNLESEKDWWDRRAVGELLPRGCRRTRVIVTTRLAGGIEGVRTLALGDLDASNAMRLMKCSTRALSEDDTAILRDIQETVGGVPLGLALVGAMLSEVPIGPAELRGAMRRAPHRAPTWEARDDAALRDNPGLVQLLDACFALLGREAAGLEEVSLRLLEASSFFAPVPIPAAMLVDAAHAAVAVETPWKRFKRTMKLPCASPRAPSFAGSAEQEALATLQRLGVVRRSTRDGCVSVHGVFRLFSRKIGSGRAARAVMDAVAAAAAQGGAARNADDHTWAACLSLFRFDAPAASVELPALELARFVTSSVLPLAAHCLAGYSACSAALELLREATDGVFEAEEKYVGAPRRSSNGGVYVELDPKVYRELARARAELLVARARVMMRAGERAVAKDHCQSAINILEVVSGDWHPATLAVRGFLEQDVLVQTLNGVEPTTV >PVH33403 pep chromosome:PHallii_v3.1:8:10775:11755:-1 gene:PAHAL_8G000100 transcript:PVH33403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVLSNRVDWEYLATGDHIYSWRAAYLYAHHGIYVGDGMVIHFTRAVGHEIGTGTFLDSFLFSSSSPAAATTYQRCGHLAHGCEGGVMSCLDCFLHGGGSSGSLYLFHYAVSPAFFLAKARGGTCTLAVSDPGHVVVHHARYLLDKGEFGTYSLFKNNCEDFTIYCKTGLLVETAFSVGRSGQLASLTAAFSTVASSPLRFLTTSSCGLALVTTGMYCVG >PAN42425 pep chromosome:PHallii_v3.1:8:21335370:21338356:-1 gene:PAHAL_8G135600 transcript:PAN42425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein kinase S.2 [Source:Projected from Arabidopsis thaliana (AT2G32800) UniProtKB/Swiss-Prot;Acc:O48837] MSLRRLCFVLPMDVDEAVLAAAEEARYRVTACQTVRSYVRGTVGRMLSCLRCRSCAGADRCRDSSGMAFEDIAGVDEGGGRKLAGSGGSPRIFSYSELYIGAEGFSDKEVLGSGGFGRVYRAVLPSDGTTVAVKCIASHGDRFEKSFQAELAAVARLRHRNLVRLRGWCVHGGEELLLVYDYMPNRSLDRLLFAPASAKQVPVLSWDRRRRIVAGLAAALFYLHEQLDTQIIHRDVKTSNVMLDAEYNARLGDFGLARWLEHAVCADDAAQPHLEVSPSPPSLRLSSSASANYQFRLMDTSRIGGTIGYLPPESFQRRAMGTAKSDVFSFGIVLLEVATGRRAVDLAYPDDEIFMLDWVRRLSDEGKLLNAADGKLLDGAYALFDIGRLIHLGLLCSLHDPKARPTMKWVVENLSDGCSGDLPHLPSFVAHPKYISLTSSSDSGTTTITTESTVTTQSKPVYATAAADTIYHTAEDGRSSSRSADSGGNSRRSSRPVTIPNVDMPREISYKDIVAITNDFSESQVVAELDFGTGYEGFLDSGHGRVHVLVKRLGMKTCPALRVRFARELCNLAKLRHRNLVQLRGWCTDHGEMLVVYDYSPGSLLSHYLIRRDDAVLPWRHRYNIVKALASAILYLHEEWDEQVIHRNITSSAVFLDPELNPRLGSFALAEFLSRNEHHGGHGVVISTSSARGIFGYMSPEYMETGEATTMADVYSFGVVVLEVVTGTMAVDGRLPEVLLVRKVQLLEQLSRPVEVLADRRLDGKFDSRELVRLAKLGIACTRSDPTARPSMRKIVSILDGNDEFLDKFEQRKESAEDWQRRNAANLALVRRFQALGIH >PVH34024 pep chromosome:PHallii_v3.1:8:17028017:17037166:1 gene:PAHAL_8G120000 transcript:PVH34024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQHKLVCLRVHLHFVHSYPVDFLPTTTSAPLLLPRAHVRASTSISPLTSELSSIYLSHQGSPRPQRSSSSDLRPCLACASLVSSKNYRTRRHINMDSEDEIPFQILREITDGFSKERKLGQGAFGVVYKGVTKNGDDVAVKRLLINSSLDFKHQLKNELYNLRKLNHPNIVHVLGYCFETEQKPFIMEDGSKVFVDETQGALCLEYMHNGSLQRLLSDEFSGLEWHTRFKIIKGTCEGLKYIHELEEPIYHLDLKPDNILLDMDMVPKIADFGLSRIIHNKEPTRITQNPYGTQGYQPREYIDSGEISGKFDIFSLGVIMIRVVSGPKGYPKCLDMSLDEFVDQVQRNWRNRLQATYSSGSLVEAYCHQVKTCIQLAWLCVEEDSKKRPNIGKITEKLNEIETAIGELPQKGCIKNVSGMTMHYNKNIDMRNKSKDVKGQHQNINLIGPSCSEPEFVDARQTSSDVVEELIVGRAEEKRKIIGSLLAGMSEKIIILPIYGIGGIGKTTLARLIYNDPNFKCYTHVWVDVSRRFDLNKICESTISQISGKESRANERKIVHSCLTKLLSGKKILIVLDDLWEDDQFHLQELKDMLYHADSNIIILVTTRSECVAGRICTNLQPYKILPLTNDMCWDIIRQRSAFEARDDKKQLMNIGREIAQKCGGVALAAQSLGFTLRSMNFNQWMNVKDNDIWNEPVSTDASLPNHVLASLKLSYSQMDLSLKKCFSYCAIFPKGHKIVKYDVIYQWISLDFIKPTKILSNLQLCEKYIVQLLGLSFFQHSASPTGDREDDVAATVFTMHDLVHDLARSIMLYEILDASKQCNTGGSRFQFALLNDCTKPLKSFTQYPTAVRALHFHGSDQNVLHGASFLSAKYLCVLDLNECSVQKLPKSIGNLKHLRYINAPRVEHRAIPNCITKLKKLIYLSLRGSYQILALPESIGELKGLMYLDLSGCSRLEKLPVSFGMLTKLVHLDMSGCSDVTGVSESLESLTNLEYLNLSHYTTLSTTKRQLPEALRRLTDLKYLNLSGSTFFIDDHGIIQALCSLTKLQCLNLSKCSLLVRDSHLTWISEAMRNLTELRYLDLSSCSTVSGSYEALPIFLECISNLPNLEHLDLSNNRELTRVPDCICSLRKLHRLDLSCCYSLRSLPATLHEMDSLKFLHLHKLLKVPALNKNLITLPHFLVQADYHNSSSNLALLQDVNRTDLVISGLENVKSVQEARSVRLMEKGRIKEMKLNWTRDSERFVEDMEVLAELIPPITLEKLFIDGYSSVRFPEWFIGIADHLPNLCRISLSNLPKCNALPPLGQLPNLEELKFSRMSGISKIDGDLYGTRRPSFPRLKDFSLSRMKSLEVWYTTYSHGGDGVSKFMFPNLRTLTINDCLNLRQKPCPHRAEERWDICGACDGVISSWEERASQTAVSSPSSAPVTTLCIHSCEVPMHKWRLLHHLLALTKLEINGCSNLCSSPEIMQALSSLQSLTLESQGQPEPELPNWLGQLASLKELTIAGYEVQALQGSMGHLSLLQSLCLKRIKSMTALPQWVPDLISLQKLEIRHCSNLNDLTGTIGCLISLNELAILNCNGVTSLPESIQKLTMLKKLKIYLCNKLERWCETEENKAMLAHIEVKII >PAN42721 pep chromosome:PHallii_v3.1:8:35981987:35983610:-1 gene:PAHAL_8G184400 transcript:PAN42721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSPHHPWAFAFGLLGNIISCMTYLAPLPTFYRIYKSKSTQGFQSLPYVVALFSAMLRIYYALLKSDEILLFTVNSAGCVLETLYIAIYLAYAPKKAKLFTAMILLLNVGVFGLILLLTMLLSAGHSRVVLVGWVCVAFAVSVFVAPLSINSMVLRTSSVEFMPFSSPSPSLAVVWFLYGLLIKDKYVALPNVIGFTFGVIQMGLLPAKDVDEADKEEAVDNSFMVPEHVVTIAELGELAVELKTYEVHPVESPPTEAVAKQEDDEPAAEELLESRCHV >PAN43558 pep chromosome:PHallii_v3.1:8:42924564:42928841:-1 gene:PAHAL_8G244800 transcript:PAN43558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRRSIADAAPAIAAAVIFFLTDMVLPPAIAGIVENTFVVSQVNMTHLCKETLVTVVNGQLPGPVINVTEGDSVVVHVVNKSPYNMTIHWHGVKQRLNCWADGVPMITQYPILPNQNFTYRFDVSGQEGTLWWHAHIPCLRATVHGAIVIRPRHGPSVYPFTKPHREIPIIIGEWWEVNLEELDMDLRYFVNDHFFSASTINGKLGDLYNCSGVVEDGYVLDVEPGRTYLLRVINAALYSEYYFKIAGHKFTVVAAGANYVKPYTTDVIAIAPGETIDALVVTDAPPGSYYMVALPSQPPKPDFQSPVLVARGTVKYDDNHSPRNGTVALSSYRGQGGRGSPAGDVPVAPEMPDNHDASTSFYFHGNLRSLQHPLRLPAVPAQVDERIFITLGLGSVCRRGKFCKRGEGNEEEFMLVATMNNVSFELPAAMTPLLEAQYYHGSSVETLHELPDRPPRVYNFTDPALIPYGPKAAQLEMTSSKTMVARRFRHGTTVELVFQGTALMQAESNPMHLHGHDMYVLAQGFGNYEAERDVAKYNLVDPPMVNTVLVPRLGWVAVRFIADNPGVWFMHCHFEFHLSMGMAAVFIVEDGPTVDTSLPPPPVDFPTCGHADNPTPDECYLKTKKSESSRVNVF >PAN41043 pep chromosome:PHallii_v3.1:8:830172:831546:-1 gene:PAHAL_8G011600 transcript:PAN41043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSAYYVWCAWAARNVKGSVRGQPSGHLTLGHGSHPEPSAVSSPLQPPTTHPPHQDDRSSIKSPPPRLLTTPPSSTSAPPIQSNPIQSNPIDPARQTMAKVHPNAADPERRPPQDDQEEPPTVLTVWRKSLLFNCHGFTVFDARGDLAFRVDCYAASRRRAEVVLMDVAGKPLLTVRRKRLSLTDHWVIYDGDGTARPLLAVRRHVTLRSSSKAVAHVTPHASAAAAFAAEYVVEGSYGRRACAVRDARGDAVAEVRRKDSVGDDVFRLVVDPRLGAPLAMGLVIALDEMFGAGRGSAWSLLRRTWSV >PAN43388 pep chromosome:PHallii_v3.1:8:41875007:41878813:1 gene:PAHAL_8G232400 transcript:PAN43388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLQTLATPGPASASTKKHSKKRKGLDGEANSSKTPEPSPMADLQTDTPVRASTKKHSKKRGATNASLNVTVDASLTGGRTTAAAPAVAYFPTGYDPLAATAAAKDESSPNARLFRHEKHPTWVDLVVRSPGGGPDFVGRSYAGEAATPQLCEYALGVLDKASGTLRVVPIAANKILRLEPHLQVQQPAHSQHSEVASEASSVAGNDELKVQDLTMMYGTKTDRDKDNKWRSLNEQRNDPSAYEDIDLGTSNVNTNDRQELIVRNIPPYDPTADTSEKAYILDEIIPKTMRHHLLEIVDHFESGEISSKGYGTFVSSRVQKLQKLQGEDKERLAWILSYVQHLLSLLARNGAMSKRHSKDRKENKANHGPVTPQAVYRNLLLTFTEPGSSAISSEKNELLINYILVLTLFADDFKSDPKDICVDLKMTRQMIKPYFDQLGCKSVSSGAFGSSFMTLPAPLKFPQDVTRRKRRR >PVH34296 pep chromosome:PHallii_v3.1:8:36906402:36907275:-1 gene:PAHAL_8G189000 transcript:PVH34296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWDRCAMSGNLFSRRALCPAITRPVPSPLGSGTGQLHGRGPWPWLDASRCGAEASRSKGMEARGGRIAVPLRRAARGMRQCGVSCQNRHWPPLQAPNTVDPRDMGRIRDGKEEDEVPKGRPASGGPPASCCGGLDSDPGFVLKNTPAYFQITP >PAN43418 pep chromosome:PHallii_v3.1:8:42044248:42045339:1 gene:PAHAL_8G234600 transcript:PAN43418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAVLDAAAGRSSASTIAADMETGSHELTVRGYSGTKGRGVGKCITSAPPFQAGGHRWRIQYYPDGYDEESADWVSLFLLLVVDHGARSNGSNGNVEAVFRFSLLDRTGQAVPSYTLTSKKQDFSTPKSHSWGWPKFIKRKKLEESPHFEDDTFRVSCHVTFHKIRTEESPAHFLPAPPTDLHRHLGDLLDSKVGADVKLRVGRETFTAHRSILAARSPVFRAELFGWMKEQRAAQVRIDDMEPRVFAAMLRFMYTDSLPEIDEGDRRVMAQHLLVAADRYRMERLKMICEDMLHNLIDTGTAATTLALAEQHGCHRLKERCINFLKYPGNAMAVMATDGFDHLMSSCPSLIKDLLAKVSP >PAN43587 pep chromosome:PHallii_v3.1:8:43221206:43225639:-1 gene:PAHAL_8G248200 transcript:PAN43587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Na+/H+ antiporter [Source: Projected from Oryza sativa (Os11g0648000)] MGLGAAAGMALGDPPADYGSIAAVGLFVALMCVCIIVGHLLEENRWMNESITALFIGLGTGAVILFASSGKHSRVLLFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITITLFGAVGTLISFTVISLGALGLISRLDIGALELGDYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGNINTAKLLEFIGNFLYLFSTSTILGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHVFATLSFIAETFLFLYVGMDALDIEKWKIVSQTYSPVKSVALSSTILALVLVARAAFVFPLSLLSNWTKKTPEGKISFKQQVIVWWAGLMRGAVSIALAYNKFTRSGHTQQPSNAIMITSTISVVFFSTIVFGLLTKPLIRLLIPPRHLSREPSALSEPSSPKSFLEHLAANSPGHPDLENGISLRRPSSLRLLLVSPSRSVHHYWRKFDDSFMRPVFGGRGFVPFVPGSPTESSVPLLARNEN >PAN43196 pep chromosome:PHallii_v3.1:8:40490457:40494523:-1 gene:PAHAL_8G219000 transcript:PAN43196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLIAAKTAAGMDKEKKQPVTRSSRAGLQFPVGRIHRQLKERAQASGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTVKE >PAN43195 pep chromosome:PHallii_v3.1:8:40490485:40494523:-1 gene:PAHAL_8G219000 transcript:PAN43195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLIAAKTAAGMDKEKKQPVTRSSRAGLQFPVGRIHRQLKERAQASGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTVKE >PVH34588 pep chromosome:PHallii_v3.1:8:43723722:43724429:-1 gene:PAHAL_8G254000 transcript:PVH34588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDKPITYEELPVEHKHKYNAIKALFEADLICSFEKTRHHCVRWKGFSPEGALDGVDLSTPSEDRTRALRQEVNYVVAHSLHRHSESLVNAFEHVALRMVQEIMKHQYSPMGPTLGSYKGELPFQARPQLPYAPAAAESHGSPAYVVYKVGGDPVDHQLFSEPPKVIPHGYMCAYIPDSNNPVHSVQRAAGGVSGADADKQAWLAAYAAVPSHDSAHSAPGAQTVDQISAILRD >PAN41131 pep chromosome:PHallii_v3.1:8:1283582:1286692:-1 gene:PAHAL_8G017600 transcript:PAN41131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAMPRPASSTTTVTVLLPSWSWWWPLLLVVAAAVVADADADADAAAQPQLGMGLRPNCSTTCGGVSVPYPFGIDPGCHLGESFRLTCNTSLKPPLLLLRKWFQVMNISLDDSTVRLTGLNHIDTPLMVPILGLNFGYQLQEWSVTSTGAVPGPNEGRPGNATCPYDLGTTACHSRHSTCQGLSIGGYICKCDEGYQGNPYLSDGCQDIDECSLPGKCFSNCTNLPGGYWCQCPEGTIGDPSTRDGCVKPRDPNKGLTIGLGVGSGAMLLFLVLSITFTIYRILTRKKRMRRRCFDQNHGQLLQQLVYQRADISERMILTLDELEKATNNFDKARELGGGGHGTVYKGILSSLHVVAIKKSKIVIQREIDEFINEVVILSQINHRNVVKLHGCCLEAEVPLLVYEFISNGTLYNHLHVEDLLSLPWKDRVRIAVETARALAYLHSLASMPIIHRDIKSPNILLDDNLTVKLSDFGASRYIPVDQTGLDTAVQGTFGYLDPTYHSTGHLTDKSDVYSFGVILVELLTRKKPVSYRSLEGHGLINHFASLLSEDNLVRILDPQVVKEAGGEVIDIALLAAMCVKSVSKERPTMRQVEMTLESIHAAKEYYASSDITEESEENYVRVNDMSIIGGTNEDTLQASIDY >PVH34430 pep chromosome:PHallii_v3.1:8:40311044:40313168:1 gene:PAHAL_8G217400 transcript:PVH34430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDHPRRRRRRRRRRRSGEDHISGLPDELLHSILLRLGSARAAARTCALSRRWRRVWAHLPELVFSSGSRDAPPLAPASFARGVGGVARAVDGALAAYAADTTLEGLVIVLPTAAGDALDGVPAGRVARWLRFAAGSVAGELVLFMPPPTMPPHEPEGVHWAALELPACERARTIALRLRLDWRLWLPPAGSFAALTSLTILFARMDGGELTALVCTRCPSLRNLRLCLVLVDDHGVSIRSDSLRSLSFVAGAPRLEVVTPGLEYLCIGEDIGEARVSAPKLAGLVWSCHATYDPHRHRLEDAGRRRLQLLDIGETSAAGLLMRKFDGVEVDQLRLGVSITLGIEAYKSFSNETSKLPKCQTLNITLLPRNCHGLAPVMLHLLRSCSSTKKVSVQLFDFSGYSSD >PVH34431 pep chromosome:PHallii_v3.1:8:40311044:40313183:1 gene:PAHAL_8G217400 transcript:PVH34431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDHPRRRRRRRRRRRSGEDHISGLPDELLHSILLRLGSARAAARTCALSRRWRRVWAHLPELVFSSGSRDAPPLAPASFARGVGGVARAVDGALAAYAADTTLEGLVIVLPTAAGDALDGVPAGRVARWLRFAAGSVAGELVLFMPPPTMPPHEPEGVHWAALELPACERARTIALRLRLDWRLWLPPAGSFAALTSLTILFARMDGGELTALVCTRCPSLRNLRLCLVLVDDHGVSIRSDSLRSLSFVAGAPRLEVVTPGLEYLCIGEDIGEARVSAPKLAGLVWSCHATYDPHRHRLEDAGRRRLQLLDIGETSAAGLLMRKFDGVEVDQLRLGVSITLGIEAYKSFSNETSKLPKCQTLNITLLPRNCHGLAPVMLHLLRSCSSTKKVSVQLFDFSGYSSYFGCRSCCPCRLEESRRIDGIDLSSLEEVEITSYRSSQKELLEFVEQLCRCNAPILKKLVFSHTMFSAPSQTKVVFEKVRSMCHPKIEVEFYDFMDGVWVRFD >PVH34219 pep chromosome:PHallii_v3.1:8:33872350:33872712:-1 gene:PAHAL_8G174800 transcript:PVH34219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGTGSGQQGQNNQGTDQPLPMPPPLTPEQFFQLQMQMMSTPNNTVQTLQQIHAQPPPPPPQQPRDRRADFLRGHPPTFSHAADPLQADDWLRSVERQLDVAQCDDRERVLYAAG >PAN43060 pep chromosome:PHallii_v3.1:8:39334009:39334530:1 gene:PAHAL_8G208600 transcript:PAN43060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNKRTLVGGFTLALLLLSCRAEASSTAPCPMVGTMDCIKDSACRSCCISSGYTDGHCSRNGIWTCVCTKDGSTPGKESLSKKPATLGWRGMGMFN >PAN42306 pep chromosome:PHallii_v3.1:8:13930769:13937059:-1 gene:PAHAL_8G103400 transcript:PAN42306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADVGSRYEIPRTAEFLRARAYTRVALQFPDEMLKDAPAVARALRRELSSGGGGVRVFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVLGKAPLDVHACASSMLECSRKGNKRILVLYGLEYAYALDDLRRTFEESCKSNSCNPEVQYADVLCSVMSPSSSTADENDYPQSSGTSCHVDLSIKSDVPTFLDNRCSMECSSSTHKYNLGGVTWNISAEEKMEDYLIFWIGQDNSAFANIVLTFNKCEIVRYDAIKSQLSTDFSHLMKVLRRRYYLVEKAKDANIIGILVGTLGVAGYLHVIKQLKEAIRAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLITSEKQEVTVATEEARFSFIKGAYVEDNCTQESMEQSETSLTLAEVTEKALSIQNQNNDAVLYQGRAMSSIDYLKARSYRGLTGDYEGPAPDSILVGRTGKAAGYNDEKTQSAQ >PAN42307 pep chromosome:PHallii_v3.1:8:13932100:13936932:-1 gene:PAHAL_8G103400 transcript:PAN42307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADVGSRYEIPRTAEFLRARAYTRVALQFPDEMLKDAPAVARALRRELSSGGGGVRVFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVLGKAPLDVHACASSMLECSRKGNKRILVLYGLEYAYALDDLRRTFEESCKSNSCNPEVQYADVLCSVMSPSSSTADENDYPQSSGTSCHVDLSIKSDVPTFLDNRCSMECSSSTHKYNLGGVTWNISAEEKMEDYLIFWIGQDNSAFANIVLTFNKCEIVRYDAIKSQLSTDFSHLMKVLRRRYYLVEKAKDANIIGILVGTLGVAGYLHVIKQLKEAIRAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFEAVLAFSSARCGISLQNFMMVLMPEAKCFQGKRMDW >PVH33544 pep chromosome:PHallii_v3.1:8:1377144:1378199:-1 gene:PAHAL_8G019700 transcript:PVH33544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPVYRRVLKAVQKHVGGGASKQHFRDFVAAEFRAPAGTEADARARLRLAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >PVH33551 pep chromosome:PHallii_v3.1:8:1505781:1509861:1 gene:PAHAL_8G022000 transcript:PVH33551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHDNSYLWHPQCRQADSSCDTVELRDLPRKVMWDLPRYVKIVEVGPRDGLQNEKGNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKDVLKGIQQVPGVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRDVTAAAKKHGLLIRGYISCVIGCPVEGTIDPSKVAYIAKELYNMGCSEISLGDTIGVGTPGSVVAMLEAVMSFVPVDKIAVHFHDTYGQALANILASLQMGISIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISKHLGRPLGSKTAAALRKLTT >PAN41166 pep chromosome:PHallii_v3.1:8:1505781:1509861:1 gene:PAHAL_8G022000 transcript:PAN41166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLGLGDLPKLSINRLGRFLSPSARRAPADDHNTGRYNNSCNGGTQMVFHDNSYLWHPQCRQADSSCDTVELRDLPRKVMWDLPRYVKIVEVGPRDGLQNEKGNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKDVLKGIQQVPGVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRDVTAAAKKHGLLIRGYISCVIGCPVEGTIDPSKVAYIAKELYNMGCSEISLGDTIGVGTPGSVVAMLEAVMSFVPVDKIAVHFHDTYGQALANILASLQMGISIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISKHLGRPLGSKTAAALRKLTT >PVH33770 pep chromosome:PHallii_v3.1:8:4934650:4935522:-1 gene:PAHAL_8G062000 transcript:PVH33770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGVTERPREYEHLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFKEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLISLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTSSGTPVPAIRETQVLIGTPITGWGGLFRTPQASPEGAGRTAAAVEGGAVEQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PVH34420 pep chromosome:PHallii_v3.1:8:40129105:40129732:-1 gene:PAHAL_8G216100 transcript:PVH34420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARARGAPPRATPAPSRPSPWHAARTRPPAAVDAVCVPCLCPSLGDMYSPARSAALALPPGWYHRAPMTFRLQYVALNASPAWHTPRHRLGTGPYPSSSKLRDSGQMPESTTPMMTSSP >PAN41633 pep chromosome:PHallii_v3.1:8:4568647:4569655:-1 gene:PAHAL_8G057700 transcript:PAN41633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQDDGQGQGSPGGSLCLWMVTVLLLLSLLAGGGCLAGYILLPPHEAPAWLPAVGLALVALPWAFWILTCAYRCVAAQVAERRMMAVAPVASGSMCSRSGS >PVH33955 pep chromosome:PHallii_v3.1:8:15203455:15207957:-1 gene:PAHAL_8G109100 transcript:PVH33955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASWRALALAMAAVGWVLGGCAWGFPAEDLVTRLPGQPPVTFRQFAGYVDVDVKAGRSLFYYFAEAQEGAAAKPLMLWLNGGPGCSSIGGGAFTELGPFYPRGDGRGLRLNKKSWNKASNLLFVESPAGVGWSYSNTSSDYNTGDVRTAYDMYKFLLGWYEKFPEYRSRALFLTGESYAGHYIPQLTDVLLTHNEKSKGFKFNVKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLAISHSCDFEDYTFNNPHNESKSCNDAISEANTIIGEYVNNYDVILDVCYPSIVMQELRLRKYATKISVGVDVCMTYERFFYFNLPEVQQALHANRTHLKYHWSMCSDVLNYSNTDGNINILPILQRIVEHNIPLWVFSGDQDSVVPLLGSRTLVRELAHTMGLPVTVPYSTWFRKGQVGGWATEYGNFLTFATVRGASHMVPFAQPDRALGLFRSIVLGKRLPNTTNPPID >PVH34315 pep chromosome:PHallii_v3.1:8:37510022:37511045:-1 gene:PAHAL_8G192800 transcript:PVH34315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIPILNVCELADVQASTGAGPMNAHVLLIPHLREATDVHAALRKAVEEHRWKVKNPGQVAAAREMDLALGRMAGALAAIRSGGGDPSSAVDDLEKHTKDFANQGFFGTERTLGAVARPALEVVRCARAALADRLYDLVADRLYDLVDAAAGKVQRARELQVCLDPEQIPLKVKSIKDIAELAPSSDEDALPQPEEEAWCVGPVVILTGLEHMNEATDIYLALLRNKDIKGWRVLGVQNVRQINELDSYLQCIWGNMKRLHRSEVLLDDVTRGKVVDELEKHVVSDCKYYGCSQERSCHTHWGL >PAN41158 pep chromosome:PHallii_v3.1:8:1449201:1452376:-1 gene:PAHAL_8G021200 transcript:PAN41158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLVRERLYFGDIKDAIAALTESSSTPAFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVSGEEGAPPTAAVPPGTLMRVVERAGDGLRVMRMAVPLRDTEEENLLDHIEPCLDFVDEGRKVGNVLVHCFAGVSRSASIIVAYLMRSEQKSLEEALESLKEISESACPNDGFLDQLKLFEEMGFKVDTSSPLYKRFRLKILGQSYKFGEKIGSYMFEDDPGLSPQSGSCQDSSKTEQHKTAYRCRKCRRIIAVEDNVISHVPGEGESCFDWNRRKSGRPYSNKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWVTPAFQIVKSKVDISTI >PAN43028 pep chromosome:PHallii_v3.1:8:41032693:41038158:1 gene:PAHAL_8G222700 transcript:PAN43028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGADSDQLLVEANGYTRTLILNRPKQLNALSSAMIKELLRCFTTYEKDDGVKLLIMKGKGRAFCSGGDVTECIQSIHNEGWKWVADDFFRNQYLLYYKVATCIKPQVSLLTGIVMGGGAGISLHGSFRVATDKTVFAMPETALGLFPDAGASYFLSRLPGFYGEYVALVGARLDGAEMLMCGLATHFVQSNKLLSLEESLKNVDTSNTLAVCRIIDQFSEQPSLKENSSLNRLEIINKCFSNRTVEEIISTLEQVASNLADEWVAVTIQSLKKASPTSLKISLRSIREGRTQTVEECLRREYRMLCHVVRSDFSRDFFEGCRAILVDKDQNPKWMPPRLDQVHDEAVEQYFSRIDDPQWEDLNLPTRRSYRRNIESSL >PVH33898 pep chromosome:PHallii_v3.1:8:9767144:9770981:1 gene:PAHAL_8G088600 transcript:PVH33898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDCTSPPGHVLLQDITLAKSLMRIGSVFLEDLQVMEPLSMDRYGSVRKVYIVCKQDWTLPEEFQRWMVSNNPVDEVKEIDGADHMAMLSTPDDVVQCIADIVAKYS >PVH33899 pep chromosome:PHallii_v3.1:8:9767144:9770981:1 gene:PAHAL_8G088600 transcript:PVH33899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDCTSPPGHVLLQGANRESQMDNETKPQDGDGKLPASFMFGPQFTEQNIYQLCSKEDITLAKSLMRIGSVFLEDLQVMEPLSMDRYGSVRKVYIVCKQDWTLPEEFQRWMVSNNPVDEVKEIDGADHMAMLSTPDDVVQCIADIVAKYS >PAN43744 pep chromosome:PHallii_v3.1:8:43819230:43825895:-1 gene:PAHAL_8G255400 transcript:PAN43744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEQEAAVAEAVVEDVMRLQGGGGGGAGGWETVGTRRNIDIAWRKAEEAAIRRYEAANWLRRIVGVVCARDLAEEPSEEEFRLGLRNGIILCNALNKVQPGAVPKVVEVPSDSTVPADGAALCAYQYFENVRNFVIGLRDLGLPTFEASDLEKGGQGIRVVDCVLALKLFSDAKQVGKQSPFKYGGIAKPLSGNYVIRKNNEPFMKTMTRSHSAELLRDGKSLEQIGLDFTLESTETTTPDSIRILVQTVLSDKKPEEIPSVVESLLTKVINDFEHRLASQNELVKDTTETNESKSLLITDSPFDTDRSKSLSRTDYLQKESTSTSDLEKATDNTKTDEDDGDSLSAKEDVNTVVPPPSLSDDNVGKCMPKPVRNFDQEQKQIQDLKSNISTIKSGIEQFKLLYSEDLTKLGNHLRIVSHAASGYHKIVEENRKLYNQIQDLRGNIRVYCRVRPFHPGKVSSSSSVAGLEDRTITVMVPSKYGKDSRKSFTFNRVFGPLATQEEVFTDMQPLVRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFSIQEQRKDTICYEIAVQMIEIYNEQVRDLLQNGGNKKLEIRNSSQKGLAVPDANVVPVTSTADVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAVGESISTLKFAERVATVELGAAKSNKEGGEVRELKEQIACLKAALARKDGDQESIRSTQSSPDIYRMRTGNASSASGHPTEDGNVEESALGDWADHSQFGSSNSLPELGADGSQDLSFYQRSSPEQQQLSWSGSVATEDSDDFEVATTCSSEQDCMRPSSAPKAPSLANGGGSAARKAQPKGAKSSDVRGVNPAKRATPLQKKSNGASPTLIKKSGVEVRRTPNGKVSTKK >PAN43745 pep chromosome:PHallii_v3.1:8:43819538:43825731:-1 gene:PAHAL_8G255400 transcript:PAN43745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEQEAAVAEAVVEDVMRLQGGGGGGAGGWETVGTRRNIDIAWRKAEEAAIRRYEAANWLRRIVGVVCARDLAEEPSEEEFRLGLRNGIILCNALNKVQPGAVPKVVEVPSDSTVPADGAALCAYQYFENVRNFVIGLRDLGLPTFEASDLEKGGQGIRVVDCVLALKLFSDAKQVGKQSPFKYGGIAKPLSGNYVIRKNNEPFMKTMTRSHSAELLRDGKSLEQIGLDFTLESTETTTPDSIRILVQTVLSDKKPEEIPSVVESLLTKVINDFEHRLASQNELVKDTTETNESKSLLITDSPFDTDRSKSLSRTDYLQKESTSTSDLEKATDNTKTDEDDGDSLSAKEDVNTVVPPPSLSDDNVGKCMPKPVRNFDQEQKQIQDLKSNISTIKSGIEQFKLLYSEDLTKLGNHLRIVSHAASGYHKIVEENRKLYNQIQDLRGNIRVYCRVRPFHPGKVSSSSSVAGLEDRTITVMVPSKYGKDSRKSFTFNRVFGPLATQEEVFTDMQPLVRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFSIQEQRKDTICYEIAVQMIEIYNEQVRDLLQNGGNKKLEIRNSSQKGLAVPDANVVPVTSTADVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAVGESISTLKFAERVATVELGAAKSNKEGGEVRELKEQIACLKAALARKDGDQESIRSTQSSPDIYRMRTGNASSASGHPTEDGNVEKESALGDWADHSQFGSSNSLPELGADGSQDLSFYQRSSPEQQQLSWSGSVATEDSDDFEVATTCSSEQDCMRPSSAPKAPSLANGGGSAARKAQPKGAKSSDVRGVNPAKRATPLQKKSNGASPTLIKKSGVEVRRTPNGKVSTKK >PVH33843 pep chromosome:PHallii_v3.1:8:7003483:7004058:1 gene:PAHAL_8G077500 transcript:PVH33843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDIVMFIPRIAFYAYKGSHFSNIRQSQAVSLAWSSDQNSSNGPADSVVYPPFCCMFVSISSQRCSGMNWWTCTGK >PAN41897 pep chromosome:PHallii_v3.1:8:7054654:7065140:-1 gene:PAHAL_8G078300 transcript:PAN41897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGLLSAFIDNLVSRLFCLVEEKYNLYKGFEGDVTFLMRELPMITSAIDGQLLGQDDHILRLTVDELRHIAHEMENCIDHIMYDASLDQQPWYCKYVKSGRKRKSRCQLGEEMQRLRCRLEEALQRQQRYSVSRSSPSQLAESSSDQHVPRDDLIGIDTALEELLEQLAEAEGQPKQLKVISIVGFCGLGKTILARELYNSEVGKQFEERAWVSGAHGNPGKLLSDILCQLHKTDLVTSNADQLSIDLCNYLNNKRYFIIIDGMVSDQWRIIKSAFPRDVSSRIVVTTKIQSVANTCSSANGYIHKMRRLDEKHSKKLFLKNACPGEYSDYLQPDSAAILKKCDGQPLALTTIGHFMRKKSWPTGHDCEDVCSQVHFYDLQSGDDALDRMHQVLIHDFASIPSHALKACFLYFAMFPSDHPVRAKRLKRQWLAEGFLQPSNLCSDPAAENFEKLINQNIIQPVNISNNTKVKTCKTYGMMHEFITLKSLCENFITLFDGWEHQPKHVRRISLQNNSITDGTSFNIDLSLVRSLIVFGKAGKDMLNFNKYQLLRVLDLEDCTDLQNGHLREACNLLLLKFLSLGGNVTTLPKEIKQLKLLETLDLRRANVNILPTEVIQLPHLIHLFGKFKLPDKAMQDEVQKFLVSGKCKLQTLAGFLVDESEGFAELMGYMKKLRKVKIWCLSSATSSKLTTLQKAVQEYIHDEKDASNDPRSLSLHFDGCSEDFLKDLKAPCYLRSLKLQGRLLELPGFVMALRRLRELCLQSTKLTADLLTALANLKYLQYLKLIADELDEITIKDRALPRLLCLCFVLQRPTFPNIEEGALPFLESLQLYCKDLEGISNIQIKCFKQLREITLDGGVTNGTVQNLVRAAKEHPNRPKVILLKAPDPSPAEVVPREDPAASGATENGAGTQILPTGPNASLTDFSIDSIDSIA >PAN41898 pep chromosome:PHallii_v3.1:8:7054790:7065022:-1 gene:PAHAL_8G078300 transcript:PAN41898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGLLSAFIDNLVSRLFCLVEEKYNLYKGFEGDVTFLMRELPMITSAIDGQLLGQDDHILRLTVDELRHIAHEMENCIDHIMYDASLDQQPWYCKYVKSGRKRKSRCQLGEEMQRLRCRLEEALQRQQRYSVSRSSPSQLAESSSDQHVPRDDLIGIDTALEELLEQLAEAEGQPKQLKVISIVGFCGLGKTILARELYNSEVGKQFEERAWVSGAHGNPGKLLSDILCQLHKTDLVTSNADQLSIDLCNYLNNKRYFIIIDGMVSDQWRIIKSAFPRDVSSRIVVTTKIQSVANTCSSANGYIHKMRRLDEKHSKKLFLKNACPGEYSDYLQPDSAAILKKCDGQPLALTTIGHFMRKKSWPTGHDCEDVCSQVHFYDLQSGDDALDRMHQVLIHDFASIPSHALKACFLYFAMFPSDHPVRAKRLKRQWLAEGFLQPSNLCSDPAAENFEKLINQNIIQPVNISNNTKVKTCKTYGMMHEFITLKSLCENFITLFDGWEHQPKHVRRISLQNNSITDGTSFNIDLSLVRSLIVFGKAGKDMLNFNKYQLLRVLDLEDCTDLQNGHLREACNLLLLKFLSLGGNVTTLPKEIKQLKLLETLDLRRANVNILPTEVIQLPHLIHLFGKFKLPDKAMQDEVQKFLVSGKCKLQTLAGFLVDESEGFAELMGYMKKLRKVKIWCLSSATSSKLTTLQKAVQEYIHDEKDASNDPRSLSLHFDGCSEDFLKDLKAPCYLRSLKLQGRLLELPGFVMALRRLRELCLQSTKLTADLLTALANLKYLQYLKLIADELDEITIKDRALPRLLCLCFVLQRPTFPNIEEGALPFLESLQLYCKDLEGISNIQIKCFKQLREITLDGGVTNGTVQNLVRAAKEHPNRPKVILLKAPDPSPAEVVPREDPAASGATENGAGTQILPTGPNASLTDFSIDSIDSIA >PVH34603 pep chromosome:PHallii_v3.1:8:43910160:43910794:-1 gene:PAHAL_8G256900 transcript:PVH34603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMMKANKKKPKTKPKLTHRIDVNCAQQPSGTFLCGFYVAFNMLKLVGDISIMKKAADFKAALTVSIEDLKLVREMLCEFILKETLDPKGNFYSAFYL >PVH34244 pep chromosome:PHallii_v3.1:8:35065574:35065930:-1 gene:PAHAL_8G179400 transcript:PVH34244 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00470) UniProtKB/Swiss-Prot;Acc:P09468] KEIILSTNSGQIGVLPNHAPINTAVDMGPLRIRLLDDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANLSRAEGTKELVEAKLALRRARIRVEAVNWIPPSN >PVH33699 pep chromosome:PHallii_v3.1:8:3646050:3647121:-1 gene:PAHAL_8G048500 transcript:PVH33699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPMRDKTLLPMVDLGYKLYPPKQKRAAGRPKVTRIRGFLEPGRKTVRCKRCGGLGHFQKTCKIAEASDEDTSDATPQPKRKRTSDEGQTSKKRKNSKEQKGASKKKKKTAPKKKASNKENNTPTPAKKKTTPKKKRKTPKKKKALAESSAPPPKVIKKLSDYLKDLSLPSE >PVH33971 pep chromosome:PHallii_v3.1:8:15666704:15667541:-1 gene:PAHAL_8G111400 transcript:PVH33971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSCSEGLVIMDWIRSISIKQVSSHYYLHTLFNPKVRSGIDAISSLQPIPGRSDEPITARTNLYKCLCLQEFHESHLPDQVQDQVARLATPSQGVRQIAGPLTEGPGPASRPGSLPAPRVAYRCLPVGFDRQHIFNGSLMMKDSLLSSVQPG >PVH33572 pep chromosome:PHallii_v3.1:8:1746521:1755574:1 gene:PAHAL_8G024000 transcript:PVH33572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLEKNLQENFDLPPKHPSEEALRRWRSAVSLVRNPRRRFRMVADLDSRSQNEARRRSIQENFRNFRVAVFVHQAAVNFIDGAKNKEYRLTEDIINAGFSINPDELASITSKHDVKALKMHGGVDGISKKIRSTFDCGISAGDLDMRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMVCALISAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLTGESDPVYISQDKPFILGGTKVQDGSAKMMVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKVGLVFATLTFVVLMVRFLIEKGVTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWIAEVSKSVTGNNTLEDLNSVISPTTLGLLLQGIFENTSAEVVKEKDGTQTVLGTPTERAIFEFGLKLEGGHNAEDRTCTKVKVEPFNSVKKKMAVLVSLPNDTYRWFSKGGSEIIVQMCDMMVDADGNSVPLSEAQRKNILDTINSFASDALRTLCLAYKEVDGFDDDSDSPTSGFTLISIFGIKDPVRPGVKDAVKACMSAGIIVRMVTGDNINTAIAIAKECGILTDDGIAIEGPDFRNKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNVVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVRRGESFITKVMWRNVIGQSLYQLVVLGALMFGGEQLLNIKDADSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMISNWIFIGIIAVTVAFQVVIIEFLGTFASTVPLSWQLWLVSVGLGSISLIVGAILKCIPVKSDENPSSPNGYMPLPSGPDNI >PVH33573 pep chromosome:PHallii_v3.1:8:1745983:1755824:1 gene:PAHAL_8G024000 transcript:PVH33573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKSPRRGQPRSQHPPDHARGWFFYSCRFCWTGRTQPHQLHPIRPPAASASSLPVPRWEGGREGGERGDGVAGEEPAGELRPAAQAPLRGGAAAMALRRLLGQEPPPALPHGRRPRQPQPERGAPAIHPGAKNKEYRLTEDIINAGFSINPDELASITSKHDVKALKMHGGVDGISKKIRSTFDCGISAGDLDMRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMVCALISAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLTGESDPVYISQDKPFILGGTKVQDGSAKMMVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKVGLVFATLTFVVLMVRFLIEKGVTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWIAEVSKSVTGNNTLEDLNSVISPTTLGLLLQGIFENTSAEVVKEKDGTQTVLGTPTERAIFEFGLKLEGGHNAEDRTCTKVKVEPFNSVKKKMAVLVSLPNDTYRWFSKGGSEIIVQMCDMMVDADGNSVPLSEAQRKNILDTINSFASDALRTLCLAYKEVDGFDDDSDSPTSGFTLISIFGIKDPVRPGVKDAVKACMSAGIIVRMVTGDNINTAIAIAKECGILTDDGIAIEGPDFRNKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNVVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVRRGESFITKVMWRNVIGQSLYQLVVLGALMFGGEQLLNIKDADSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMISNWIFIGIIAVTVAFQVVIIEFLGTFASTVPLSWQLWLVSVGLGSISLIVGAILKCIPVKSDENPSSPNGYMPLPSGPDNI >PAN41213 pep chromosome:PHallii_v3.1:8:1320473:1320676:-1 gene:PAHAL_8G018600 transcript:PAN41213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFLLGFGNSLLVLARMNILSCVSTPTSQMEFKCNSKRKNLQCSQTHQFGYQLVAGCHYSRIYRTS >PVH33411 pep chromosome:PHallii_v3.1:8:229460:230311:-1 gene:PAHAL_8G001200 transcript:PVH33411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETHWNCKWWTCAGWVRRMVVDTLIANIHRDNLRLLRKQRQRIDRVGVRPPTVEVRWHDVCVEAECQVVQGKPLPTIWNAAISNLSVLCHISSSIRPLLCSIIIANDRSNWIY >PAN41499 pep chromosome:PHallii_v3.1:8:2941081:2946636:-1 gene:PAHAL_8G040300 transcript:PAN41499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRVRDRCLELERAIVGRVSSGNLGLDDAVKLFGELLHHARPASVIAINQLLTAVSRAQRRGSSSSELVPSLFNRMARACSNKVAPELHTYSILINCFCRMGRLELGFAAFGLILKTGWRFGCPPDVVAYNIVINGLFREGQVDKAYNLFREIDNQGILPTVVTYNTVINGLCKAQAVDRAEGVLRQMVHKGVKPDNQTYTCLIHGYCSSGQGKEVVRMLKEMSAHGHGPNVVTCTLLLDYLCKSGICTEARKIFDSMIEKGTKPNVTTYNTLLNGYATKGALSDMHGLLDLMAGNDISPNHCTFNVVLWAYAKGGMIDGAMHIFDQMRQQGLSPDVVSYGAIIDAFCGVTFCKMERVDEAMLKFNQMIYEGVSPDIIVFSSLVYGLCTDVKWEKANELFSEMLNRGIHPNALFFNTIMCNLCREGRVTEAQSLLDLMVRVGVRPDVISYTTLMDGHCLAGRMEEAMKLLDDMVRVGLKPDAFSYNTLLHGYCRAGRIDDAVRLFRKMLSKEVRPGIVTYNMVLQGLFRSGKFSEAKELYINMIKSGMQLNIYTYNIILNGLCKNDYVDEAFKIFQSLCSKGFQLNISTFNIMIDAFLKSGRKEDAMDMFTAISAHSLVPVVVTYRLMIQNLIKEGLLEESDNLFLAMEKSGCTPDSRMLNALVRGLLHRGEIMRAGAYLSKIDEMNFSLEASTTSLLISVFSRETYQHHAKSLPEKYHFLVDVNK >PAN43073 pep chromosome:PHallii_v3.1:8:39394515:39398206:1 gene:PAHAL_8G208900 transcript:PAN43073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPEDARSPGELTPDHDMEGWTVDSNHNIMTFTEDEIKTITSNYSTLIGKGGFGEVYKGILGDDYDLVAVKRYIRKELREEFMEEVGIHRQMSHKNVVKLIGYCIGESTLTLVTEYISKGNLDDILHNSTISIPLDVRLGIAIGCAEALSYMHSMHLSSDSLVYHGDIKPANILLDENLTAKVSDFGLARLLLGGITQYTRNVKGSIDYMDPIHLREGRLTPRSDVYSFGAVLLEIIARKRIKQGNSSLISTFSNACGKSESLRKLFDAEIACKANMKILEEIGKLATECLTLDIHKRPRISDVAKRLLILWKALQGGQEKRELLLRSQKVGSSSFNCTSSVGHRRKRSLGIFETDVVDPEILTKLGSMRFFTVAELYEITKNFSKLVGEGWLAEVYKGTLEDNTVVAVKKSLQLHEVHRYHFVNEAMIHAQLTHNNIIKLLGFCLEVDVPIFIYEYAANGTLSDLLNGTKCFPLELRLQIAIRTAKALAYMHSSDSGCIRHGSVNPSNILLDDGFMPKVSGFSLSRRLTKDYDSGPIVVYRHYSDPNFVQTGLLTVKSDVYSFGIVLFELITRKISVYDEDRRVMDLVTRYNKAYHSDNRGVAMFDKDIKATEDIILLEGIGRLATECTKLESDERPTMKEVAERLEMLRASWNKASQGG >PAN43072 pep chromosome:PHallii_v3.1:8:39394515:39398206:1 gene:PAHAL_8G208900 transcript:PAN43072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPEDARSPGELTPDHDMEGWTVDSNHNIMTFTEDEIKTITSNYSTLIGKGGFGEVYKGILGDDYDLVAVKRYIRKELREEFMEEVGIHRQMSHKNVVKLIGYCIGESTLTLVTEYISKGNLDDILHNSTISIPLDVRLGIAIGCAEALSYMHSMHLSSDSLVYHGDIKPANILLDENLTAKVSDFGLARLLLGGITQYTRNVKGSIDYMDPIHLREGRLTPRSDVYSFGAVLLEIIARKRIKQGNSSLISTFSNACGKSESLRKLFDAEIACKANMKILEEIGKLATECLTLDIHKRPRISDVAKRLLILWKALQGGQEKRELLLRSQKVGSSSFNCTSSVGHRRKRSLGIFETDVVDPEILTKLGSMRFFTVAELYEITKNFSKLVGEGWLAEVYKGTLEDNTVVAVKKSLQLHEVHRYHFVNEAMIHAQLTHNNIIKLLGFCLEVDVPIFIYEYAANGTLSDLLNGTKCFPLELRLQIAIRTAKALAYMHSSDSGCIRHGSVNPSNILLDDGFMPKVSGFSLSRRLTKDYDSGPIVVYRHYSDPNFVQTGLLTVKSDVYSFGIVLFELITRKISVYDEDRRVMDLVTRYNKAYHSDNRGVAMFDKDIKATEDIILLEGIGRLATECTKLESDERPTMKEVAERLEMLRASWNKASQGG >PAN42396 pep chromosome:PHallii_v3.1:8:18204191:18208793:1 gene:PAHAL_8G126500 transcript:PAN42396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWRFWRCASMFPAILLLLSPFVLQPPPCSFSEAAESPFSNEIATDREALLEFKASLSQQSAALVSWNTTSDFCQWPGVTCSLRHKGRVSALNLSSAGLVGTISPSIGNLTFLKYLNLSYNVLQGEMPSTIGNLWRLQYLNFRGNSLHGGISDSLRNCTGLIYIMLDQNHLTGGIPSWLGGYPNLVALLLSRNNLTGTIPPSLGNLSSLEALYVHTNQLEGSIPEALGRLRNVLWLALFENHLSGTIPEAVFNLSSVGAFGVDQNDLHGTLPPNWGNNQPNLVFVYLGVNHFTGSVPASLANATMIHTLDLGDNNFTGRMPPAIGTLCPGILTFDANKIEASGTEGWEFIMPLTNCSRLRVLSFQFNMLAGELPGSVANLSTHLQVFYTGFNQINGMIPPGIGNLVGLQKLQLSQNHLIGALPSTIGLLKNLQALWVDGNELSGIIPPTIGNLTQLQLLTFDNNNFEGPLPVSIRNLQQLSSANLSNNAFTGPFPKEFFNLSSLSYILDLSDNNFNGPLPPEVASLTKLAYLNISRNNLSGSLPDTLSNCQSLLGLHLDGNSFSGSLPTSISEMRGLVVLNLTENLLSGTIPQEFGRMNGLQELYLAHNNLSGQIPGTFQNMNALYHLDISFNHLSGQVPVHGVFANSTGFLFVGNDGLCGGTTELHLPACKVQSGKHGNMKIRVILIITISTGSFLCFVLAFVFFCWRMKKGGHSTTIATTALSFMDDKYPKVSYTELAQGTDRFASANLIGRGRYGSVYRGRLSLKNAETVVAVKVFDLQQSGSSKSFMAECVALSKIRHRNLISVITCCSSSDSRQNDFKAIVFEFMPNQSLDKWLHDANPRSDVSRHIPGLTLMQRLNIAVDVAEALDYLHTNCEPPIVHCDLKPSNILLNEDFVACVGDFGLAKILYASEGEQVINSKSFTGIRGTIGYVAPEYGHGGQVSSCGDVFSFGVLLLEIFTGKAPTDAMFVDGLTLQGYVKMAFPDRLMDIVDPVLSTDETCARNQQHRSNGWGEIDNAIISVTELALSCSKLTPSERISMRDAADELRKIRDRYLTDLSRANNL >PVH34060 pep chromosome:PHallii_v3.1:8:18204190:18208794:1 gene:PAHAL_8G126500 transcript:PVH34060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWRFWRCASMFPAILLLLSPFVLQPPPCSFSEAAESPFSNEIATDREALLEFKASLSQQSAALVSWNTTSDFCQWPGVTCSLRHKGRVSALNLSSAGLVGTISPSIGNLTFLKYLNLSYNVLQGEMPSTIGNLWRLQYLNFRGNSLHGGISDSLRNCTGLIYIMLDQNHLTGGIPSWLGGYPNLVALLLSRNNLTGTIPPSLGNLSSLEALYVHTNQLEGSIPEALGRLRNVLWLALFENHLSGTIPEAVFNLSSVGAFGVDQNDLHGTLPPNWGNNQPNLVFVYLGVNHFTGSVPASLANATMIHTLDLGDNNFTGRMPPAIGTLCPGILTFDANKIEASGTEGWEFIMPLTNCSRLRVLSFQFNMLAGELPGSVANLSTHLQVFYTGFNQINGMIPPGIGNLVGLQKLQLSQNHLIGALPSTIGLLKNLQALWVDGNELSGIIPPTIGNLTQLQLLTFDNNNFEGPLPVSIRNLQQLSSANLSNNAFTGPFPKEFFNLSSLSYILDLSDNNFNGPLPPEVASLTKLAYLNISRNNLSGSLPDTLSNCQSLLGLHLDGNSFSGSLPTSISEMRGLVVLNLTENLLSGTIPQEFGRMNGLQELYLAHNNLSGQIPGTFQNMNALYHLDISFNHLSGQVPVHGVFANSTGFLFVGNDGLCGGTTELHLPACKVQSGKHGNMKIRVILIITISTGSFLCFVLAFVFFCWRMKKGGHSTTIATTALSFMDDKYPKVSYTELAQGTDRFASANLIGRGRYGSVYRGRLSLKNAETVVAVKVFDLQQSGSSKSFMAECVALSKIRHRNLISVITCCSSSDSRQNDFKAIVFEFMPNQSLDKWLHDANPRSDVSRHIPGLTLMQRLNIAVDVAEALDYLHTNCEPPIVHCDLKPSNILLNEDFVACVGDFGLAKILYASEGEQVINSKSFTGIRGTIGIRARRTGLFMRRCLQLWSAPP >PAN42474 pep chromosome:PHallii_v3.1:8:29267132:29269421:-1 gene:PAHAL_8G159000 transcript:PAN42474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAAAASKAGSDDAGAAAANSNAASGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >PVH34083 pep chromosome:PHallii_v3.1:8:22962799:22964664:-1 gene:PAHAL_8G139200 transcript:PVH34083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRSGAAEIVRWRDAGAPCASTASVGDEEQGDTEGRIQTRINDSAGDQQLELAVYRQGTSKGHPSRNSEEQRLQLERLLTSSTTRIRKRATNGPAARIEPWQETAVSRALRQHEKNGSSYVFYPAVGTDFNSCEEAHDFYNLYSWERGFGIRYGRSRTNSNSYKTKQDIICSCQGRKEPTNISSCRTGCQAMIRLLRTEDHGWYISMMKDEHNHPLSNSYAENKQWNSHNQIDAITMDFIKKLRENNVSISKVCSILRVDSSNPQAPLRKETIRNVCQR >PAN41661 pep chromosome:PHallii_v3.1:8:4766749:4767239:1 gene:PAHAL_8G060600 transcript:PAN41661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKSNTTRILYLIAAVSMVVLVIMSSAFSVSCAEKDLWECHRLRFDCDEIKCATKCQVLYGGKSLYNKCGHYQVCCCRLRSNLTSLTPPTGPVATLTTSWRM >PVH33503 pep chromosome:PHallii_v3.1:8:1005065:1007640:-1 gene:PAHAL_8G014600 transcript:PVH33503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRTLLLPLAAATVLVASTIFLFAAAGARWRPADTGLPVPPRAVSAAAVPVTASASSNTTGARKELSFLDENGRPDDPGSGSGAGAARCDPRAAAVRVFMYDLPPEFHFGLLGWSPPSTGSVWPDLTSGAAAPPPRYPGGLNQQHSVAYWLTLDLLSSSSEPCGAAVRVADSRDADLVFVPFFASLSYNRHSRPVPPEKVSRDKALQEKLIRYLAARPEWKRYGGADHVIVAHHPNSLLHARAALSPAVFVLSDFGRYQPRVASLEKDVIAPYKHMAKAFVNDSAGFDHRTTLLYFRGAIYRKEGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSKFSIFVRSSDAVKKGYLMRLISGVSKQQWTRMWNRLKEVDKHFEYQYPSQKDDAVQMIWQALARRVPAIQLKVHRSSRFSRSDRGK >PVH33502 pep chromosome:PHallii_v3.1:8:1004633:1007774:-1 gene:PAHAL_8G014600 transcript:PVH33502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRTLLLPLAAATVLVASTIFLFAAAGARWRPADTGLPVPPRAVSAAAVPVTASASSNTTGARKELSFLDENGRPDDPGSGSGAGAARCDPRAAAVRVFMYDLPPEFHFGLLGWSPPSTGSVWPDLTSGAAAPPPRYPGGLNQQHSVAYWLTLDLLSSSSEPCGAAVRVADSRDADLVFVPFFASLSYNRHSRPVPPEKVSRDKALQEKLIRYLAARPEWKRYGGADHVIVAHHPNSLLHARAALSPAVFVLSDFGRYQPRVASLEKDVIAPYKHMAKAFVNDSAGFDHRTTLLYFRGAIYRKEGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSKFSIFVRSSDAVKKGYLMRLISGVSKQQWTRMWNRLKEVDKHFEYQYPSQKDDAVQMIWQALARRVPAIQLKVHRFSRLHITDRL >PAN43882 pep chromosome:PHallii_v3.1:8:44679359:44683184:1 gene:PAHAL_8G266100 transcript:PAN43882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPEGLFADPEPFSPSIFLDLPPTPRPDGIGEGPASSDDLVLPFISRMLMEEDMDDNFLYQFTDHPALLQAQEPYARILSDANSTASGSAATLSPSSDYDPVQLLLSPLCPDMGLHDFNNGDVGAFFLPAQDGASPELEQNPAQSGNAGDANAFVGYGGSTGVQSSAFLDAADEQSPAQLGTTTLPLPAGDGDHAVLALAFFSGQNGGNMDMLNTAFLKGMEEANKFLPTNNSLLIDLEDTSGQHLPRDSNLSRAFATIQEEEGRGNGRGRKNRLNWDDLEAETCRKSKLMVPEPEETGEKVDEMIVNGRELCLKEMEALRITMGSDAKKNTRKGKGKSAKGMWSADEAVDLSTLLIHCAQAVATDNCRSATELLRQIKQHSSPRGDATQRLAHCFAEGLEARLAGSGSQVYRSLMAERISVVEYLKAYQLYLAASCFKMMAFKFSNMTIFKVIAGRKKVHIVDYGIQYGFQWPSLLGYMATLKGGPPQVRITGIDLPQPGFRPASRIEETGRRLSNCASQLGVPFKFHSITARWETISADDLNIDPDEVLIVNSIRNFGNLMDEGVDIDSPSPRDVVLSNICKMKPDAFILFVMNASYSAPFFVTRFREALFFHSAMFDMLDATAPRENHQRFLVERGLFRKCALNVVACEGSDRVERPETYKQWQVRNRRAGLRQLPLDPDVVKAVREKVREQYHKDFVIDVDHQWLLEGWKGRILYAMSTWVADDAISEL >PVH33420 pep chromosome:PHallii_v3.1:8:335824:345781:1 gene:PAHAL_8G002100 transcript:PVH33420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPTKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQVSQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSSTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEEANVYNDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSTKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELIALMESGLGLERAHMGIFTELGVLYARYRSEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMVDFAFPYLLQFIREYTSKVDDLVKDKIESQNEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPMGGMGMPPMGGMGMPPMGPGPMPAFGMPPMGSY >PAN42755 pep chromosome:PHallii_v3.1:8:36847126:36850839:1 gene:PAHAL_8G188600 transcript:PAN42755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPAPVSCSSRALAMVLVLVATALCCRLGSCDGAPADRIRRLPGQPEVSFGQYSGYVGVDDGGKRALFYYFVEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTATDNMVFLQRWLQKFPQYKGRDLYIAGESYAGHYIPQLAEAMVEYNTKDKIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDGTYRVFTSVCNYSRYVTEYYGGSLSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKVLTPHQQAGQRIDVCVEDETVRYLNRRDVQAAMHARLVGVDKWAVCSSVLEYELLNLQIPTINIVGSLVRSGIRVLVYSGDQDSVIPLTGSRTLVQNLAHDMGLKTTTPYRVWFEGQQVGGWTQVYGGGTLSFATIRGASHEAPFSQPGRSLVLFRAFLQGQPLPETFS >PVH34218 pep chromosome:PHallii_v3.1:8:33745484:33749111:-1 gene:PAHAL_8G174600 transcript:PVH34218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKTELMATMISLIFLAHFTTTAISPSAQPSWLFSLLSISLAMAAVTLPFTVTTRRARGRNNGAAAATIPGPRGWPLVGSLPTVFGPLMHRRLAALTDAHGARRLMSLTLGATPVVISSHPETAREILSGAAFVDRPPKAAARELMFCRAIGFAPAGEYWRRLRRAAGAGMLSPRRLAALEGIRRRVADGMVRRVADQMERSGEVAMRALLQSASLESMAGSVLGLEGGAGSEELGEMVREGYELVGTFNLGDYYYTTLWGPLMDLWAVGPACRRLAARVGGYFGKIIEERRVAGDCQERDDLLSYMLTLPEDERLEDSDVIAVLWEMIFRGVDVVAILMEWAMARIVLHQDIQSKAHKEINAVVGSHPITDSDVSNLHFLQCVVKETLRMHPPGPLLSWARLAVQDTHVGKHVVPAGTTAMVNMWAISHDEAIWGDPWVFRPERFTEQDVSVLGSDLRLAPFGSGRRVCPGRMMGLSTVQLWLGRLLQEYEWLPAKPIKLTECLRLSMEMKKPLLCRAARRGEEAA >PAN41995 pep chromosome:PHallii_v3.1:8:12831876:12833671:-1 gene:PAHAL_8G098400 transcript:PAN41995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRKPSKKKIPELSHRAMAGQAQTVVPTDAELLQAQADLWRHSLYYLTPMALRCAVGLGIPTAIHRLGGAASPTDLMSALSLPTAKLPFLRRLLRLLAASGVFNVDKSTEEGIYCINPLSYLLVDGIPHEVHINHTSFVLTATSTRYIDAAMGLADWFKKDVVTPPFEELHAATLFHESMESLDADFHKMANEALEAHDNFGIPIALREFHDLFKGIQSVTYCCGASGDDAFARALVKAFPHLKCTVLVDPKMFGTKPADGVISYVAGDMFNFVPPAQTVVLMLVLHFLTDEDCVKILAQCRKAIPSRKDGGKVIIGDIVIDYSPGPMLEAHLLMDIAMMTMTKGRQRDENEWREIFKNAGLSDYKLLKKFGARGVFEVYP >PVH33863 pep chromosome:PHallii_v3.1:8:7373304:7386121:1 gene:PAHAL_8G080700 transcript:PVH33863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAFKLDHLERMLFDETAQPANLPLSLLEAITKNFSDDQEIGRGGYAVVYKGLLRNGTVAVKKLSVSVGFDDQKFIQEIDCLMKVKHKNIVRYLGYCADTQSKLFSYNGRNVFADYPQRFLCFEYVSGGSLYNYITDVSTGLEWRKRYSIIRGVCEGLHYLHERRIVHLDLKPSNILLDNNMVPKISDFGYSRFFHENQTQEITQTLVGSIGYLAPEFFNGVITFKIDIYALGVIIAEILTGKKGYSSAVNVLECWRNRSMKSGEDTPLEQIRVCAEICIRCLESDPQKRPEIRHIIEALNETESVMPDRQSIAEMHNDTESMDESIEAGTMSTLLAEKTPKGLQQEDTPMMSGVESNQIMELNILERIVAGSEEPGHLDLPLLQRATENFSEKRKIGVVGRGEVYKGILRNGFVAVKRLFKSRVIEDKMFRREVERLITVRHQNIVRFLGYCSFTGEHVVSSEGGNLVVNIQERLLCFEYMSNGSLDSHLTDELRGLEWHTRYEIIVGICKGLLHLHKEKHIIHMDLKPANILLDDQMVPKITDFGISRLDRNSCSKTTSLLISLGYSAPEYFLEGKSSSKSDIYSLGVIIIEVVTGSKQKMPNITKVLRRWWYRWNRSAKHTPLGYQQVSKCLELADRCTQVDPKGRPDISNIIDELNLIDSMEDQFQVIPCLEGMLGVDPLEIHISFELDQQIWKSSIEMTNDTDDCLAFVTKASLQCLHIEPDKSMVPPRSKCSVTITVMQAQVMALPNNHYREEITVLSTRVDGGLSAGDITEHMFSDRDGKVVDEVNVIVVFGTPPLAEDF >PVH33866 pep chromosome:PHallii_v3.1:8:7373304:7386121:1 gene:PAHAL_8G080700 transcript:PVH33866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAFKLDHLERMLFDETAQPANLPLSLLEAITKNFSDDQEIGRGGYAVVYKGLLRNGTVAVKKLSVSVGFDDQKFIQEIDCLMKVKHKNIVRYLGYCADTQSKLFSYNGRNVFADYPQRFLCFEYVSGGSLYNYITDVSTGLEWRKRYSIIRGVCEGLHYLHERRIVHLDLKPSNILLDNNMVPKISDFGYSRFFHENQTQEITQTLVGSIGYLAPEFFNGVITFKIDIYALGVIIAEILTGKKGYSSAVNVLECWRNRSMKSGEDTPLEQIRVCAEICIRCLESDPQKRPEIRHIIEALNETESVMPDRQSIAEMHNDTESMDESIEAGTMSTLLAEKTPKGLQQEDTPMMSGVESNQIMELNILERIVAGSEEPGHLDLPLLQRATENFSEKRKIGVVGRGEVYKGILRNGFVAVKRLFKSRVIEDKMFRREVERLITVRHQNIVRFLGYCSFTGEHVVSSEGGNLVVNIQERLLCFEYMSNGSLDSHLTDELRGLEWHTRYEIIVGICKGLLHLHKEKHIIHMDLKPANILLDDQMVPKITDFGISRLDRNSCSKTTSLLISLGYSAPEYFLEGKSSSKSDIYSLGVIIIEVVTGSKQKMPNITKVLRRWWYRWNRSAKHTPLGYQQVSKCLELADRCTQVDPKGRPDISNIIDELNLIDSMEDQFQVIPCLEGMLGVDPLEIHISFELDQQIWKSSIEMTNDTDDCLAFVTKASLQCLHIEPDKSMVPPRSKCSVTITVMQAQVMALPNNHYREEITVLSTRVDGGLSAGDITEHMFSDRDGKVVDEVNVIVVFGTPPLAEDF >PVH33865 pep chromosome:PHallii_v3.1:8:7373304:7386121:1 gene:PAHAL_8G080700 transcript:PVH33865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAFKLDHLERMLFDETAQPANLPLSLLEAITKNFSDDQEIGRGGYAVVYKGLLRNGTVAVKKLSVSVGFDDQKFIQEIDCLMKVKHKNIVRYLGYCADTQSKLFSYNGRNVFADYPQRFLCFEYVSGGSLYNYITDVSTGLEWRKRYSIIRGVCEGLHYLHERRIVHLDLKPSNILLDNNMVPKISDFGYSRFFHENQTQEITQTLVGSIGYLAPEFFNGVITFKIDIYALGVIIAEILTGKKGYSSAVNVLECWRNRSMKSGEDTPLEQIRVCAEICIRCLESDPQKRPEIRHIIEALNETESVMPDRQSIAEMHNDTESMDESIEAGTMSTLLQAEKTPKGLQQEDTPMMSGVESNQIMELNILERIVAGSEEPGHLDLPLLQRATENFSEKRKIGVVGRGEVYKGILRNGFVAVKRLFKSRVIEDKMFRREVERLITVRHQNIVRFLGYCSFTGEHVVSSEGGNLVVNIQERLLCFEYMSNGSLDSHLTDELRGLEWHTRYEIIVGICKGLLHLHKEKHIIHMDLKPANILLDDQMVPKITDFEDTVLQNIFWKENHQASQTYIVWV >PVH33864 pep chromosome:PHallii_v3.1:8:7373304:7386121:1 gene:PAHAL_8G080700 transcript:PVH33864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAFKLDHLERMLFDETAQPANLPLSLLEAITKNFSDDQEIGRGGYAVVYKGLLRNGTVAVKKLSVSVGFDDQKFIQEIDCLMKVKHKNIVRYLGYCADTQSKLFSYNGRNVFADYPQRFLCFEYVSGGSLYNYITDVSTGLEWRKRYSIIRGVCEGLHYLHERRIVHLDLKPSNILLDNNMVPKISDFGYSRFFHENQTQEITQTLVGSIGYLAPEFFNGVITFKIDIYALGVIIAEILTGKKGYSSAVNVLECWRNRSMKSGEDTPLEQIRVCAEICIRCLESDPQKRPEIRHIIEALNETESVMPDRQSIAEMHNDTESMDESIEAGTMSTLLQAEKTPKGLQQEDTPMMSGVESNQIMELNILERIVAGSEEPGHLDLPLLQRATENFSEKRKIGVVGRGEVYKGILRNGFVAVKRLFKSRVIEDKMFRREVERLITVRHQNIVRFLGYCSFTGEHVVSSEGGNLVVNIQERLLCFEYMSNGSLDSHLTDELRGLEWHTRYEIIVGICKGLLHLHKEKHIIHMDLKPANILLDDQMVPKITDFGISRLDRNSCSKTTSLLISLGYSAPEYFLEGKSSSKSDIYSLGVIIIEVVTGSKQKMPNITKVLRRWWYRWNRSAKHTPLGYQQVSKCLELADRCTQVDPKGRPDISNIIDELNLIDSMEDQFQVIPCLEGMLGVDPLEIHISFELDQQIWKSSIEMTNDTDDCLAFVTKASLQCLHIEPDKSMVPPRSKCSVTITVMQAQVMALPNNHYREEITVLSTRVDGGLSAGDITEHMFSDRDGKVVDEVNVIVVFGTPPLAEDF >PVH33862 pep chromosome:PHallii_v3.1:8:7373304:7386121:1 gene:PAHAL_8G080700 transcript:PVH33862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAFKLDHLERMLFDETAQPANLPLSLLEAITKNFSDDQEIGRGGYAVVYKGLLRNGTVAVKKLSVSVGFDDQKFIQEIDCLMKVKHKNIVRYLGYCADTQSKLFSYNGRNVFADYPQRFLCFEYVSGGSLYNYITDVSTGLEWRKRYSIIRGVCEGLHYLHERRIVHLDLKPSNILLDNNMVPKISDFGYSRFFHENQTQEITQTLVGSIGYLAPEFFNGVITFKIDIYALGVIIAEILTGKKGYSSAVNVLECWRNRSMKSGEDTPLEQIRVCAEICIRCLESDPQKRPEIRHIIEALNETESVMPDRQSIAEMHNDTESMDESIEAGTMSTLLAEKTPKGLQQEDTPMMSGVESNQIMELNILERIVAGSEEPGHLDLPLLQRATENFSEKRKIGVVGRGEVYKGILRNGFVAVKRLFKSRVIEDKMFRREVERLITVRHQNIVRFLGYCSFTGEHVVSSEGGNLVVNIQERLLCFEYMSNGSLDSHLTDELRGLEWHTRYEIIVGICKGLLHLHKEKHIIHMDLKPANILLDDQMVPKITDFEDTVLQNIFWKENHQASQTYIVWV >PAN41945 pep chromosome:PHallii_v3.1:8:7373304:7386121:1 gene:PAHAL_8G080700 transcript:PAN41945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAFKLDHLERMLFDETAQPANLPLSLLEAITKNFSDDQEIGRGGYAVVYKGLLRNGTVAVKKLSVSVGFDDQKFIQEIDCLMKVKHKNIVRYLGYCADTQSKLFSYNGRNVFADYPQRFLCFEYVSGGSLYNYITDVSTGLEWRKRYSIIRGVCEGLHYLHERRIVHLDLKPSNILLDNNMVPKISDFGYSRFFHENQTQEITQTLVGSIGYLAPEFFNGVITFKIDIYALGVIIAEILTGKKGYSSAVNVLECWRNRSMKSGEDTPLEQIRVCAEICIRCLESDPQKRPEIRHIIEALNETESVMPDRQSIAEMHNDTESMDESIEAGTMSTLLQAEKTPKGLQQEDTPMMSGVESNQIMELNILERIVAGSEEPGHLDLPLLQRATENFSEKRKIGVVGRGEVYKGILRNGFVAVKRLFKSRVIEDKMFRREVERLITVRHQNIVRFLGYCSFTGEHVVSSEGGNLVVNIQERLLCFEYMSNGSLDSHLTDELRGLEWHTRYEIIVGICKGLLHLHKEKHIIHMDLKPANILLDDQMVPKITDFGISRLDRNSCSKTTSLLISLGYSAPEYFLEGKSSSKSDIYSLGVIIIEVVTGSKQKMPNITKVLRRWWYRWNRSAKHTPLGYQQVSKCLELADRCTQVDPKGRPDISNIIDELNLIDSMEDQFQVIPCLEGMLGVDPLEIHISFELDQQIWKSSIEMTNDTDDCLAFVTKASLQCLHIEPDKSMVPPRSKCSVTITVMQAQVMALPNNHYREEITVLSTRVDGGLSAGDITEHMFSDRDGKVVDEVNVIVVFGTPPLAEDF >PAN42224 pep chromosome:PHallii_v3.1:8:8378272:8385217:1 gene:PAHAL_8G083300 transcript:PAN42224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTEPAAAAASRLAGEMEVEAYRRLFPLAFLERHLGESVRPDARRLSEARPTTVALGAVSSAHGSALVRLGDTAMLASVKLEVMSPPAESSDEGSVAVEFHMPPICSPLVRPGRPADVAPVISKALEDVLMSSGMLNLKELCLISGKASWLAYLDICCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKTKFELVNREKRKLTLGDIPFSLTCALHKDSILVDPTSEEESIIETYVTVVVDSSDRIVSIQKLGGTVTSMTAVKECISLAKERRRKLREILIDSVEVMEVDQTE >PAN42225 pep chromosome:PHallii_v3.1:8:8378382:8385217:1 gene:PAHAL_8G083300 transcript:PAN42225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTEPAAAAASRLAGEMEVEAYRRLFPLAFLERHLGESVRPDARRLSEARPTTVALGAVSSAHGSALVRLGDTAMLASVKLEVMSPPAESSDEGSVAVEFHMPPICSPLVRPGRPADVAPVISKALEDVLMSSGMLNLKELCLISGKASWLAYLDICCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKTKFELVNREKRKLTLGDIPFSLTCALHKDSILVDPTSEEESIIETYVTVVVDSSDRIVSIQKLGGTVTSMTAVKECISLAKERRRKLREILIDSVEVMEVDQTE >PVH33552 pep chromosome:PHallii_v3.1:8:1510961:1512214:1 gene:PAHAL_8G022200 transcript:PVH33552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLGRNPLSDALHRNPGVVLNGQGPGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPIRICLGSWNVGSLTGKLRELVDVAIRRRINILCVQETKWKGQKAKEVEGSGFKLWYTGTTSDRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQVGLSESSKSQF >PVH34459 pep chromosome:PHallii_v3.1:8:41142522:41145952:1 gene:PAHAL_8G224300 transcript:PVH34459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIVLLTLGFTPAAAQPWQICGATAMNYTTSGRHHANLELLSTMLTEKATASPYHFGTGSVGAAPDTVYGLALCRGDVNATACRACIAAACLGAQQLCPYRDDATVFYPTCRLRFSGKNFLHPDDYSQIVDGVVNTMNTMDTTNAEPELPGWDPGNAESAAAITEIIRELLQVTARQAAYNSGARMFATGRMDAGGGSFPVLYSMAQCVPDLTHRDCSSCLQVISFMATDNFAGRQGGRLLALWCNLRYDTVHFYSGDPMVTIVSPVKEVVRPAPLVVPSRKHKRGMIKVVVPLLASIIGLIISFILIRRRCIKGKGYQDKAQVNMKEDETIVWGLEGRSSEFMIYDFSQVLEATANFSEENKLGQGGFGPVYKGRCRDGLEIAVKRLASHSGQGFTQFKNEVQLIAKLQHTNLVRLLGCCSQEEEKILIYECLPNKSLDLFIFDETKRALLYWNTRLAIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDHEMNPKISDFGLARIFSTNNAEVNTERIMGTYGYMAPEYASEGLFSIKSDVFSFGVLTLEIVSGKRSSGFHQYGDFINLLGHAWQLWKDKRWLQIVDASLVIECHTLEIMRCINVALLCVQENAADRPTMSDVVVMLSSESMTLPEPKHPAYFHVRVTAEETPIVTETSIVNDTTISTFRGR >PAN41936 pep chromosome:PHallii_v3.1:8:7293470:7295644:-1 gene:PAHAL_8G079900 transcript:PAN41936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQSLASTLPKDLPATFMKEITNDFSPDQELGRSIFGTVYKGVLPEGGGMIAVKRLTENVAVPLGISFTTEVTNLMALQHDNIVELVHYCHEAQKKVVQNNGRYVIVDVTESCLCYKYLPKGSLDKYIYADTTSIIWDTRFEIIKGICQGLHFLHRDLVSGPLVHMNLAPNSIWLDDNWVPKIADFGLSRLFGKEQTRMYTVNVKGYNGYIAPEYLYRGEISTMSDIYSLGMVILEITTGEKNCAVSQDRSARLFVDNVHQNWKTDEQIIYKYPSLDPNGLQQVRACIVIGLKCVEADRNRRPSIMDIVNKLNGKRVPVFE >PVH33972 pep chromosome:PHallii_v3.1:8:15667639:15667943:-1 gene:PAHAL_8G111500 transcript:PVH33972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSYHSTHRVVLFYFYHDHVLLIALARSAIIVPDYGCNGRTLLCLC >PVH33936 pep chromosome:PHallii_v3.1:8:14389394:14390146:-1 gene:PAHAL_8G105700 transcript:PVH33936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDRAAEDEELMLQNQNDVEDARRFILGSGKHAAIDVDADAGTGAGTGSSSPTDASMASAVGGGGGSKKRSKAWDDFTEVTTIEKGIKVRIAAISNHCKQTLSSKSSSGTGHLLRHNCPAKKEKEYTGRIQSVLKYNPDGSLVRWEYSDAIARTELCHLIARTDLPLCFAESDAFQEYIVNAHNPRFVKTSRQTTARDLIKLFNEHMEKLMETLKNSVSSVAILLIYGVVRLKRTTLVWLLILSILIGG >PVH33539 pep chromosome:PHallii_v3.1:8:1366508:1371934:-1 gene:PAHAL_8G019500 transcript:PVH33539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRTAPSSSASPSDAPYTGAPPLVFREEGKIENLVRSVYSEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGASDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKPFHDVKTLTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPAFVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGGVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRNAIISGLTSLPSCVSGVLKLDAEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLVVMCSKGDASAVCPNGSCRVIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >PVH34548 pep chromosome:PHallii_v3.1:8:42964930:42968325:-1 gene:PAHAL_8G245300 transcript:PVH34548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTMKSRGVPVVPAVAAAAAIILFVFTAGQPAATAAIVEHTFIVSQVNMTRSCKETLVTVVNGQLPGPAIEVTEGDSLSVHVVNKSPYNITIHWHGVKQRLSCWADGVPMITQCPIRPNHNFTYRFDVAGQEGTLWWHAHVGCFRATLHGALIIRPRHGASSYPFPKPHVEIPMIIGEWWDMDLVQLERNMVDGDFRDNPTAMTINGQLGDPFNCSGIVKDGFVLDVEAGMTYLLRLINAALFTEFYLKIAGHKFTVVASDANYVNPYTRDTIAIAPGETMDVLVVADAPPGKYFMVAVALQTPKPDQQIPFFMTSGMVQYRSGHSHNNGTTGHHNVAPVVPELPDQHDTITTYYFHGNLTSLRHPRRPQVPVHADEYFFITLSDGSICRHGRQSCKRSGSNESILVAAMNNVSFQLPASVAAPLLEAHYYHRNNTASIGGVDLYMLPNSPPRVFNFTDLSLVPSGPKEAQLEATKKRMVGRRFRHGTAVEVVFQNTALMHTDSHPMHLHGHDVFVLAQDHGIYDPAKDVAKYNLVDPPVKNTVVVPRLGWVALRFVADNPGVWYVHCHFDFHMTMGMAAVFIVDDGPTVASILPPPPDDLPNCNHGDSHASNELLYPHEGKGSVLQS >PAN42821 pep chromosome:PHallii_v3.1:8:37474394:37478945:1 gene:PAHAL_8G192500 transcript:PAN42821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPRVARARTRQAGSVRRTCGPPEVSLQPLPPRAHSMIPFSARPPPSPPLFPPPVNPPPLLTPLSSHPREQPRNLPLPRGRGTLYRPRRPAPDPHPPPSPVTPAPRPCFDRRRRSKVREISAPTPPSIRTAPRGPRPGRRGISRNQVEMMDLADSQQRLIAGAALLLATIAFVKLLLGSRARGKRLPPTISGAPVVGGLIKFMRGPIPMIREQYARLGSVFTVPILSRRITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHKRRDRSRARLAEIFATIIKSRKASGQSEEDMLQCFIDSKYKNGRSTTEGEVTGLLIAALFAGQHTSSITSTWTGAYLLRFKQYFAEAVEEQKEVMKRHGNKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFTVTTKEGKEYDIPKGHIVATSPSFANRLPHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLVVDN >PAN42856 pep chromosome:PHallii_v3.1:8:37474986:37478945:1 gene:PAHAL_8G192500 transcript:PAN42856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQVEMMDLADSQQRLIAGAALLLATIAFVKLLLGSRARGKRLPPTISGAPVVGGLIKFMRGPIPMIREQYARLGSVFTVPILSRRITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHKRRDRSRARLAEIFATIIKSRKASGQSEEDMLQCFIDSKYKNGRSTTEGEVTGLLIAALFAGQHTSSITSTWTGAYLLRFKQYFAEAVEEQKEVMKRHGNKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFTVTTKEGKEYDIPKGHIVATSPSFANRLPHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLVVDN >PAN43620 pep chromosome:PHallii_v3.1:8:43450053:43455713:1 gene:PAHAL_8G250500 transcript:PAN43620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPSRHTKRFSHPNGEDPVTTSVSGRADDLAWRCSSDTFDLNGRAFESSENWAVLSTEGDKPTPRFDHAAAVVGSKMVVFGGDSGHRLLDDTKILSLDKLTWDSVSPKVRPSSNGRSLKLTPCKGHCLVPWGKNVILVGGKSDPPYEKISVWTFNTETELWSHMEAKGDIPVSRSGHTVIRAGPVLILFGGEDAKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYITGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPEVEPLLYEDSPPSSRELADHLNNCAPLYSSSSVARSSIATTAENSSGRKSLPDSLLQNSNLGSSSLRRQFRQEQDCSLAQKLQKPIDDDKYKDADDCSELPSITNQKPRNDTHQSPDAEAKARKLGRSSSDINHHHDVRITNLIRRNMALEEQLSAALTTKDEAEKNLSLVIDSKDELEKRLTERDREVEALKEKVTGMELAQEESNNLSNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARTFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >PVH34566 pep chromosome:PHallii_v3.1:8:43449023:43455713:1 gene:PAHAL_8G250500 transcript:PVH34566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPSRHTKRFSHPNGEDPVTTSVSGRADDLAWRCSSDTFDLNGRAFESSENWAVLSTEGDKPTPRFDHAAAVVGSKMVVFGGDSGHRLLDDTKILSLDKLTWDSVSPKVRPSSNGRSLKLTPCKGHCLVPWGKNVILVGGKSDPPYEKISVWTFNTETELWSHMEAKGDIPVSRSGHTVIRAGPVLILFGGEDAKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYITGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPEVEPLLYEDSPPSSRELADHLNNCAPLYSSSSVARSSIATTAENSSGRKSLPDSLLQNSNLGSSSLRRQFRQEQDCSLAQKLQKPIDDDKYKDADDCSELPSITNQKPRNDTHQSPDAEAKARKLGRSSSDINHHHDVRITNLIRRNMALEEQLSAALTTKDEAEKNLSLVIDSKDELEKRLTERDREVEALKEKVTGMELAQEESNNLSNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARTFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >PVH34567 pep chromosome:PHallii_v3.1:8:43450203:43455713:1 gene:PAHAL_8G250500 transcript:PVH34567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPSRHTKRFSHPNGEDPVTTSVSGRADDLAWRCSSDTFDLNGRAFESSENWAVLSTEGDKPTPRFDHAAAVVGSKMVVFGGDSGHRLLDDTKILSLDKLTWDSVSPKVRPSSNGRSLKLTPCKGHCLVPWGKNVILVGGKSDPPYEKISVWTFNTETELWSHMEAKGDIPVSRSGHTVIRAGPVLILFGGEDAKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYITGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPEVEPLLYEDSPPSSRELADHLNNCAPLYSSSSVARSSIATTAENSSGRKSLPDSLLQNSNLGSSSLRRQFRQEQDCSLAQKLQKPIDDDKYKDADDCSELPSITNQKPRNDTHQSPDAEAKARKLGRSSSDINHHHDVRITNLIRRNMALEEQLSAALTTKDEAEKNLSLVIDSKDELEKRLTERDREVEALKEKVTGMELAQEESNNLSNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARTFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >PAN43569 pep chromosome:PHallii_v3.1:8:44525904:44526452:1 gene:PAHAL_8G265000 transcript:PAN43569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKKPRMILLAAAAGGRRRLVDLPDPPLAPNGQPQPQGPDTAGGGLSSSSGVVLLFFFLVAIIIAWLLYFCDNKEEQDTSREDDDVESGKKAAAEAPLECTCTYRAAEGWEESTCSVCLADLADGEAVRVLQPCMHYFHPACVEQWLRKSATCPICRAPTLAAACSSQRRPAPPDLASSST >PVH33641 pep chromosome:PHallii_v3.1:8:2749106:2754086:1 gene:PAHAL_8G037500 transcript:PVH33641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVVLLRGPTNLASPASRASASSSLRYLANADSDVLPGSGSPEQPAGSTGSRGLQERRGQQAGGSEEEEEEEERWPFLALLFELLRKSLLGCRTEGGGGGGGGGGERGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKAWFREMPSGVLDSISPEQVMQCQSEEDCARVAKCLPSAEAALLDWAVNLMADVVQEEQINKMNTRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDVSLPQKDPSDENGHQKPSVTLDSLLEEGSRRPSFVNEEPLLNSPVHSSEDKPNETNAAEGVTAAFTSQTSEVLASREGSTSCSQPALATPAASADASGTTATNSLQGKGSRSLNRRRTRKVKGQSGTRATPAAEKSRGVSIVSRINSKVERIEAWR >PAN41360 pep chromosome:PHallii_v3.1:8:2749299:2754086:1 gene:PAHAL_8G037500 transcript:PAN41360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVVLLRGPTNLASPASRASASSSLRYLANADSDVLPGSGSPEQPAGSTGSRGLQERRGQQAGGSEEEEEEEERWPFLALLFELLRKSLLGCRTEGGGGGGGGGGERGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKAWFREMPSGVLDSISPEQVMQCQSEEDCARVAKCLPSAEAALLDWAVNLMADVVQEEQINKMNTRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDVSLPQKDPSDENGHQKPSVTLDSLLEEGSRRPSFVNEEPLLNSPVHSSEDKPNETNAAEGVTAAFTSQTSEVLASREGSTSCSQPALATPAASADASGTTATNSLQGKGSRSLNRRRTRKVKGQSGTRATPAAEKSRGVSIVSRINSKVERIEAWR >PVH33465 pep chromosome:PHallii_v3.1:8:540122:541282:1 gene:PAHAL_8G006200 transcript:PVH33465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKEKKLQLLRSVTKSNAANKTSILVDASKYIKELKDKVEEAAAASSAADTDSSSSSSSGSAMAAATVSVSSVDLDNSNNSCRRGFRINVSMERTRPGLLVSVLEAFEDLGLDVLDADVSCADDTAFRLQALGSGQGQQQGGGSGSVDEQKVRQAVLQAISKCMNDDGDE >PVH33798 pep chromosome:PHallii_v3.1:8:5702068:5702391:1 gene:PAHAL_8G067400 transcript:PVH33798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPPLPLPPAPPAVRDLKPLPPNPTDKIDHPSSSLSVIMLPSPFPSASIPPGSRSGSVARKGTGGRYLLRHRPDPLGSPPSSCPLCVPVVCLGGGVEGSCLLGFLE >PVH33437 pep chromosome:PHallii_v3.1:8:410338:411711:1 gene:PAHAL_8G003900 transcript:PVH33437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPPLLPTTVVVPAAATPSPIPSADDANPAAVRAFLSRLLESGRRALSGARPWSELVDWSALSRPDSLSDATSRLRKNLAYFRVNYAAVVALSLGASLLAHPFSLAALLALLAAWCLLYILRPADAAPVAAFGRTFSDKEVLGGLIACSAFAVFLTSVGSLIFSALALGAGVVCAHGALRVPEELLFLDEGDQAGGSGNPLMSFIASATAGTGGGGRVV >PVH34482 pep chromosome:PHallii_v3.1:8:41544026:41545797:-1 gene:PAHAL_8G229300 transcript:PVH34482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPWGGNAGKAHTIKGASHRLESITIWSADIVDAHAFSYSEPNGKKHNVGPWGGPGGSSNRIHFGPSEFLLEVSGTTGPYVCAEVDVVKSIKLVTNAGSYGPFGSGGGTSFKTSVQNNGSIVGFFGRAATFVHAIGVYMSHSSTPTTAASSRVQRDIESDRMEANFDLETIEEGEDDNVLI >PVH33714 pep chromosome:PHallii_v3.1:8:4041788:4044030:1 gene:PAHAL_8G052800 transcript:PVH33714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRWAPVVGVLLLLPLLPLASSSMVFKRDGNPLLYVTMNIGEPAKHYFLDIDTGSNLTWLECNTLGKGACLTCNKEPHPLYRPTRSKLVPCADLLCDTLHHDLGTTKNCRDAPHQCGYEIAYLDGSSLGVLLRDKFSLPTGSAPKDSPTIAFGCGYDQVHDPKKAATVAVDGILGLGRGSVDLVSQLKRQGAISKNVIAHCLSRKGGGYLFMGEENVPSSHITWVPIAPRTPGMLKASLSKYLKQVSDASLPLCWKGPRPFKSVDDLKKKFKPLVSLKFGGGVTMAIPPENYLVITEHGNACFGVLALQGLDMYLIGDITMQDQLMIYENEKGRIGWMPSPCDKMP >PAN43393 pep chromosome:PHallii_v3.1:8:41896090:41899794:1 gene:PAHAL_8G232800 transcript:PAN43393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAQQQRAAAEQEEEVGHGPFPIEQLQASGIAALDVKKLKDSGLHTVEAVAYTPRKDLLQIKGISEAKVDKIVEAASKIVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >PAN43394 pep chromosome:PHallii_v3.1:8:41896090:41899794:1 gene:PAHAL_8G232800 transcript:PAN43394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAQQQRAAAEQEEEVGHGPFPIEQLQASGIAALDVKKLKDSGLHTVEAVAYTPRKDLLQIKGISEAKVDKIVEAASKIVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEACSSQGKRGGANL >PVH34367 pep chromosome:PHallii_v3.1:8:39162991:39164519:1 gene:PAHAL_8G206700 transcript:PVH34367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKRKNLVVAGLTLALLVASFYELPGVAMASSYSGGRGLCDVSTGTECTSDATCRAGHLQAGCQRRLLRRCLRTAPRRIVPCRPDACAKSCAAATTGRRRRRSPGDDERPPPQEKPATTTTPGWQGMRIPNGLYMRGS >PAN41734 pep chromosome:PHallii_v3.1:8:5682485:5687438:1 gene:PAHAL_8G067200 transcript:PAN41734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASRLRLHLRLVGIRPSCCPPSRAFTAVRAQKIQLPKKKRRLDEVCLERFQQYSRTYIQSWILQGKVIVDGRVVNKAGTQVSDKSVIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDGKIALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRTHERVSVIERTNLRYLSQLPQPVDLVTLDLSFISILLVMPAVIKVMKTDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEDFGFCNKGWIESPIKGAEGNKEFLACFHRIPISELQPEVETKAEAEAT >PVH34612 pep chromosome:PHallii_v3.1:8:44019371:44025512:1 gene:PAHAL_8G258200 transcript:PVH34612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILESLVGSCAKKLQDVISEEAILILGVNEELTELQRRMEQIRHFVNDAEQRSTKESAVNNWLNQLRDAMYDADDVIDLARSKGSKLLPDHSLSLSSKSNTCTGLSLSSCFSNIQTRHEVAVKIRSLNKRIDNISKDKVFSSLASRQSIEKVSAPKHIRSSNLVEPNLVGKEVIHACRKLVDLLLEHKDKRSYKIAIVGTGGVGKTTLAQKIYNDQKINGCFDKQAWVCVSKDYSEVTILKEILRKFEVQYMQDESIDELQSRLKLAIQEKSFFLVLDDAWQSDIWENLLSTPLHVAATGIILLTSRLDTVAVEIGVDHTHRVDLMSVDVGWELLWKSMGINQEKEVQNLRDLGIDIVRRCGCLPLAIKVVARVLARKEQTENEWNKFSRKDAWSVSKLEIPSALYISYEELPLCLKPCFLYCAMFPEDAVIYRDDIIRMWVAEGFIDELGGQLLEDTAEEYYYELIYRNLLQPNYLAADLNRCTMHDLLRQLACHLSREECFVGDPESIRVNVMSKFRRISVVTEKDIVVLPSMDKDQYKVRTWRTSYEKSLRVDNTIFRRLPYIRVLDLTGSVIQSIPNCVGRLIHLRLLDLDRTDISCLPESIGSLENLQTLNLQWCHALHSLPLGITRLCNLRRLGLSETPINQVPKGIAKLKLLNDLEGFPVGGGSDNSARTQDGWSLEELGPLFELRKLELDKLERASPCSTDSLLLDKKFLKQLNLSCTERTDEPYSERDIINIERAFEKLIPPQSIENIHILDFFGRRFPTWLDTATHFPSLMYLQLVDCKSCVHLPPIGQLPNLKYLKIEGDTAVTKIGPEFVGYGVGNPGSAPAVAFPKLETLFIEDMPNWEEWTFVVEEEEEATAAAAAGKDGAAANQKGEAPPPRMQLLPRLKNLFLVWCPKLRALPRQLGQQATSLKKLRLEDVDSIKVVENLPFLSESLLIIRCEGLVRVSNIPKMRELRVSCCPNLRRVEELCNLEQLWLDEGMESLSSYWVPGLKEQRQKLPGETLDIYTWPQT >PVH33913 pep chromosome:PHallii_v3.1:8:10677680:10678939:-1 gene:PAHAL_8G091900 transcript:PVH33913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTPRTNHQAEGRPGGASYRDPGTMISEQGSGRRSLCGTLHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PVH34615 pep chromosome:PHallii_v3.1:8:44099069:44100903:1 gene:PAHAL_8G259500 transcript:PVH34615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGGQGEPLLAAQAGAAAAMDSDGAGSVVPWMARVVFIFLTFNSAMAVNRSNGYRADVAFVVSSYACLMALFLCLRLFERPPPGSATRGMVTTTVLAVISSFKVAGIMPVPVQLLVWGMAVAPVVGGFYAFFVYWKKRKDQTERGFVLATLRSQSPEADLRDAARSGARLIADSGATRHAVGDISLLEGFQPYSPPLVATQADGSYLRILGTGRIQRGNFSIPNVSLVEGLRDGLISTPQLDTDHGLISCFGNGICRIMETDGTEVGGAILEGDGSYVLRFLNVAAPAQVQ >PVH33650 pep chromosome:PHallii_v3.1:8:2855433:2861121:1 gene:PAHAL_8G038800 transcript:PVH33650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSSSSHSRQDPCALGYGFHGAIANSTPNFFDQEGATYFGELEEAFMHQVASLRRTQAATTSTAHHGDATPFSTAIAATATARPPPTLDIFPAWPMRSLHTPKGSNLTADSTEDSESSSKNNSNHSSDQHGATENMASQFDQGSQQLQEIQQKNMATSSTTKSGKTLDPKTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDMQRARSQGLFLGGTPGANTSSGAAMFDVEYARWLDDHGRRMAELHGALHAHLPDSDLRAIVDDALTHHDELFQIKAVAAKSDVFHLITGVWTTPAERCFLWMGGFRPSDLLKTLLPQLDPLTEQQLVGICNLQQSSQQAEEALSQGLEQLHQSLADTMAGGSLIDDANMSIMGQMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARWFLAIGEYHNRLRALSSLWASRPREMLVTDEGNCGEISIAAQPSQSQFSAF >PAN41372 pep chromosome:PHallii_v3.1:8:2855433:2861121:1 gene:PAHAL_8G038800 transcript:PAN41372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSSSSHSRQDPCALGYGFHGAIANSTPNFFDQEGATYFGELEEAFMHQVASLRRTQAATTSTAHHGDATPFSTAIAATATARPPPTLDIFPAWPMRSLHTPKKGSNLTADSTEDSESSSKNNSNHSSDQHGATENMASQFDQGSQQLQEIQQKNMATSSTTKSGKTLDPKTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDMQRARSQGLFLGGTPGANTSSGAAMFDVEYARWLDDHGRRMAELHGALHAHLPDSDLRAIVDDALTHHDELFQIKAVAAKSDVFHLITGVWTTPAERCFLWMGGFRPSDLLKTLLPQLDPLTEQQLVGICNLQQSSQQAEEALSQGLEQLHQSLADTMAGGSLIDDANMSIMGQMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARWFLAIGEYHNRLRALSSLWASRPREMLVTDEGNCGEISIAAQPSQSQFSAF >PVH34202 pep chromosome:PHallii_v3.1:8:32503934:32505580:-1 gene:PAHAL_8G170700 transcript:PVH34202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSRKGMFWPMVVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKIKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELTHSDLLSKYNDLLKKHNEPLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLRSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKELPKQLWVPRALVTHVQGPKLVWVPKTQK >PAN43087 pep chromosome:PHallii_v3.1:8:39443657:39446078:1 gene:PAHAL_8G209800 transcript:PAN43087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAYPDADENLEAIITRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >PAN41525 pep chromosome:PHallii_v3.1:8:3864910:3867972:1 gene:PAHAL_8G050400 transcript:PAN41525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVEVAGARDLPARRGRGGVSPFVQVAFGGQRHATAVRPPGEANPTWNETLVFAVDARTARGGRSLSDGSIDVGVYHRRASGGKSCLGRVRLFGAAVAPSAEEAVLLRCPLDKPSFFASARGEVALRLYLAPYASPSTSAAAANAPAGNAYSSTYATTTFNDTASMDGPETVVGGASTQSAPATIKRKTKKKEPVQVFHSIPTQSSTGSLIFPPPPPPPPPFVPPTAGVPAKGADKKAPAPATADDAKAAEYLMVDKLEFLYVNVVRASNLPGMNLTLGIDPYVEVRVGNYSAATRHAVRNHYPEWNQVFAFSKDHLQSDVVEVIVKDRNLIVWDSFVGKVVLPIVDVPSSAPPNRPPAAQWYGLKGAKDGQWTGGEIMLAAWKGSQSDEAFAGALHAGAHDLSPSAVAATQAKSYHAPRLCYLRCHVMAAQDLVHPDRGRSRPSVFARVQLGAQRWETRASPSARWDQDFFLVAAWPFEEPLVITVMDGSSPGRHEVLGRLVLPKSSIKVQQFDKKKFKPPAPSWFDLEGPHSDGNGGGDGRDRGWRHEFRSKIQLCVYYDAAYHVLDELTTYASDFEPSAKQLRKPAPVGVLELTVLRATGLPSTKKRPNGGRAAVDAYCVAKYGQKWVRTRTLVDTASPSWQEQFTFDVFDPCTVLTVAVFGSHQLVGVGEDPRRGATDAPLGKVRIRVSTLGSGRRYEQPHPLFVLRPDRLARCGELHLAVRFTPTAWVSMLSLYLRPTLPNQHYAKPIPTHLLPALRRAAVDVVTARLARAEPPLRAEVVHYLLRDPSAHPSPAVPEFSAYSRRRSLAARARLRDVLAPVAALAAWLRGVRGWNHPPTTVLVQLLLLMLTWQPKLILPTFFLYLFAAGAWNYFRRRPAGPARMEHYADGVHPGMLEEEFDAGPASGTPPHLVEWRYLQLWEAAARVQTFIGDVATQGERAHALLAWRDGRATALALAAAAALAAVTYAVPFRALVAAAGLYATRHPLLRRRKGRPSALMCFFRRLPSNADVML >PVH34242 pep chromosome:PHallii_v3.1:8:35063222:35063395:-1 gene:PAHAL_8G179200 transcript:PVH34242 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 3, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00440) UniProtKB/TrEMBL;Acc:A0A1B1W4V1] MFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILVVGLVYAWRKGALEWS >PAN41675 pep chromosome:PHallii_v3.1:8:4868241:4868723:-1 gene:PAHAL_8G061400 transcript:PAN41675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAPRCRRSLIVARASPAKHDERQDPAVKVDAAGGRRRAMVLFSAAAITASTAAAVRSARAAVSTKSGPGQWVDIENVADPYVQDLGKWAVMEHNSQTGGDLQFGKVVGGKQQVVAGMNYKLEIETKGGPSRYYEAGLFVSLPPEKRTLNSFEPSA >PAN43738 pep chromosome:PHallii_v3.1:8:43106443:43107021:1 gene:PAHAL_8G246900 transcript:PAN43738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKNLLLMAVLLLSAVAVHSVAAAAGGGEVGGEGYADPVAAGGYALCRPGCPDAFWDCNDWCSKNGYKNGGVCVPPLRQQCCCYGASV >PVH34591 pep chromosome:PHallii_v3.1:8:43776960:43779283:1 gene:PAHAL_8G254800 transcript:PVH34591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGLEEFFVKGLMELPQQPPQPFFLDLPQNSNGRSEGCHHVPNDMTLPYISRVLLEDDVDDKLDDHPALLQVQQPFAQILSSSSYGTSTGNAEGAKELLQDGSDDESTFNLNSALSKGTDAVRAFLKGMEEANMLLPKDNKFRGDVPVNQMVQESSNHSGDKKRYDRDNHTEEEIRTSKTAKMIKDPEENCANEMLDEMMLHAYETCIRGMDELRASMDSKVEKENRKNCSKAAKGNVAVATNNHMGACELLKKIKRHASATGDATQRARLVGTGSQLWQLLMAERPSVVEFLKAYRLYFAACCFNKVALSFSTMTIYHAMVGKRKLHIVDYGMHFGFQWAGFLRLLAAGPPKLKSCPAERIEELGCRLSKCAHEFGLASFKFHTITMKWEDVRIEDLNTDAGEVLVVNDLFNFSTLMDESVFFDSQSPRDTVLSNIRKMRPDVFIQRVLNCSCGSSFLTRFREVMSYYLALFDILDATMPRESKSRVVLEQFVLGRPALNIIACEGPDLVERPEKYRQWQVRNQRAGLRQLPLRSRIVEVVKDSVQKHHHKDFLISEDGQWLLQGWMGRVLFAHSTWVAEDISSR >PAN42402 pep chromosome:PHallii_v3.1:8:24972317:24982587:-1 gene:PAHAL_8G144200 transcript:PAN42402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARRASRLPFAAATLLLVLLLAGGGAADDASSDDDAGAPRAPGCSNKFQLVKVKNWVNGTEGATVVGLSARFGAPLPRDMHEAQKSFAILANPFDCCSNLTSKLTNSVALATRGECAFTAKAKNAQAGGAVGLLVINDDEELYKMVCSENDTSINVTIPVVMIPQSAGKKLKDFLDNGASVEVQLYSPNRPVVDLSACFLWIMAVGTIVCASLWSELVACEQVDERYNQLTRKGGPNTGTNYREDKEIFEISAKGAIVFIIIASVFLLLLFYFMSSWFVWVLIVLFCIGGIEGMHVCLVTLLARVFKDCGQKTVQLPFLGEVLTISVGIVPFCVVFAILWAVYRHASFAWIGQDILGICLMVTVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVARGDNTGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKKGTLNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVILGWVRGDLHDLWNYGRRRTENVVDEP >PAN43032 pep chromosome:PHallii_v3.1:8:39092494:39098168:-1 gene:PAHAL_8G205800 transcript:PAN43032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQVSMEVVTGVIGSLLSKLGGLLKEEYNLQKSVRGEIMFLKAEFERMQAALLMVSEAPMDQQPSILVKLWARDVRELSYDVEDKVDTFMVRIDRAPKELHGLRGFIDRSLDLLTKAKIRHKLGTQIKDLRSSIEEVSERRDRYMVTQVAKPADVPVQNLRLSAMYKKVDELIGTEEKCDEFIGRLIEEKDEPSKKQLKTAIVGFGGLGKTTLAKVAYDKLKEQFDCAAFICVSLNPNIERIFVKMLRQLMKDDKYEATCDTAQLINDVRAFLQNKRYLIVIDDIWKASVWKIIQYALTENECGSIIISTTRNLDVAKKIGGVYHLQPLSLADSRKLFNLRIFGTEDKCLSNELAEVSTEILRKCGGLPLAIITIASTLANKKGMENIYQYWSKVCKTLGSGLEDSPDVEDMRRILSISYYDLPLHLKNCMLYLGSYPEDYEISTKDLIWKWMGEGFILKEQGRSFYEVGKDYIDELINRSMIQPSRTHPDSKKAVECRVHDMVLDLITRLANEDGFMATIHYHQPRCQQERIHRLSLQTSNEEDVKQLSAANLCHVRSLIADLCPKVFDFLPPLSTFPVLRVLCLCGCKQVDNRCFKEICNLIHLRYLGLRYAGIAEIPKEIQKLQLLQMLDI >PVH33757 pep chromosome:PHallii_v3.1:8:4713353:4713847:1 gene:PAHAL_8G060100 transcript:PVH33757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQCDSSYLEGIFNKTSPPRFHYLTQLMLEQLPNLKHMQVLVEFPSLNLQGMPSLEELWTTTSGLEIGEEEEGVQYCFPVLSTLFIGNCPKLNVKPNFPQSLEQLRLVKSNEQLISPDGSSSHQFHPNVDESSSSCGTLAEIHLKKLKLEEMTASSTGWELLP >PVH33879 pep chromosome:PHallii_v3.1:8:7931873:7932690:1 gene:PAHAL_8G082600 transcript:PVH33879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPRPSTPPLFPPRVGRAPAHFTRGLATPRTPSPSLAATPGPLVGASSPLARRALPLSLLSGSLAPRVSSSFPSSASPLQRSCSTTAGIFAPPAPGPACRGFRRPITPPPPARATWEQSFGAARVSPPCRLLAAFFGRSSTVGLPRWGKDAPRLAFSLGLVFLQPRGLTGAFEPPAAAATANLALPEPPRPIPRASLSLPVLAVPRIEAGRVQMRGLRRASAASAAPNASPPSEPAGDLSAVRSKPNGLD >PVH34038 pep chromosome:PHallii_v3.1:8:17294022:17294673:1 gene:PAHAL_8G122200 transcript:PVH34038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGQVVLMPTKPACVCSPLPPPLSTMASRLQPSPRKQILLQQWERYIRVLLSSTTNLRTFLLITQSRRQCANRVDRVI >PAN41074 pep chromosome:PHallii_v3.1:8:874590:879449:-1 gene:PAHAL_8G012600 transcript:PAN41074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATSTGCSARTLAACVIGGIVLGASVLALHIAGPVSVPSLPPLDAVRRRLRRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDEEIKANKGPPVTPLHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFNDYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSDAHNHSSLQRQFSSGHGQKVDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDYAKEDSNPYAVPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >PAN41072 pep chromosome:PHallii_v3.1:8:874590:878421:-1 gene:PAHAL_8G012600 transcript:PAN41072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVRAVKWVDDIIPDAPYAITEEFMNKLFNDYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSDAHNHSSLQRQFSSGHGQKVDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDYAKEDSNPYAVPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >PVH34001 pep chromosome:PHallii_v3.1:8:16847477:16852934:-1 gene:PAHAL_8G118200 transcript:PVH34001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTACIWTSAQWSQTVTPNRRASYDGFVRSISTSPQRRRRSTLCVMNAASTSAPVSSQSVTQLPRSSSSGLKSISSNKTSSAMEQLDIERGVCIPFRKYTPEMVRKKVLDSRGSILSLASRGVEIIWKLGFYWSSLMYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFAIIEEELGQPLERLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQLSGSRVLVMEWIDGIRCTNPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVAPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAVRSQQLESKLDLTETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSVALEVLQDLPSVVRDFMLSWSDSILSDRRY >PVH34178 pep chromosome:PHallii_v3.1:8:30314706:30320265:1 gene:PAHAL_8G164000 transcript:PVH34178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATGAMSSLLVKLAELLTDEYKLQTSLRGEIMFLKAELESMEAALERVSEAPVIENQVNIWANEVRELSYDIEDSIDKFMVRIDTHPSATPQGFKGFISRSLRLLTAARTQHQIAMEIGDMKTLVKEVAERRNRYKVDTVVIPPSLATNIDPRLHGIYEESAKLVAISGPREELAELLVQEGTSKQLKVVSIVGVGGLGKTTLANVMYQQLKGQFECNAFVPVSLKPDMKRILGSVLRQVSEQSYMSIETWDVVELINKIRQVLENKRYFIIIDDIWDESAWNLIKDALIDSNCGSRVITTTRIAGVAAACCCFTGGTIYKLKPLSHIDSKKLFYKRIFGDKDSCHPELKEISEKILRKCYGVPLAIITIASLLANKPKNINQWNSVHSSIGSGTEKFPGMKNMRQILSISYYDLPSHLKPCLLYLSVYPEDHTILRDQLIRRWIAEGFIRGNDVETSNNLGHHYFNELINRSMIQPEHVDGRGMVGACRVHDMVLDLIISLSIKENFAITSHHHQHTHLPKTIRRFSLNSSDEENARGEVALSLSHVRSLIVFPGATNLMPSLSSFQVLRVLDLEGCRDLQNHHISNVGSLFHLRYLGLRDTNITSLPKEIGNLNYLHTLDMKQTSISYLPSTVVGLKQLMRLYIEPSVILPDGIGNMVSLQFLSSVCVNRSANFAKELGRLSELRTLHISFINTWHESHKHCFVDSLCNLKQIQELHIDSTGMSTEIIVDLAWVPQYLKNFSGSMPRLPRWMNPMLSDLTTMTMTLKILRQEDIQNLGGLPFLQFLCVTVLTTYSAEEKTIVSTHGAKFQSLSEFHFHNDSMGLFFAQGAMPKLEILEVTFKVQERKDAYGDFDLGLENLSSIKLVIIRISCTDSTVYEVYDADSAMRKAAGLNLNHPKLEVIRYYEDEMVEDELQCNKKILKDDDDEVVQRRGPWGGDGGRTHDITVAPQSLKSVKVCSAVVVDALGFSYLDRNGREHNTPLWGGVGGSIRTINLDPSEFVKEVSGTYGPFGQPSGTPFHTRVDKTGSIVGFFGRSGAYLDAIGVYVRPSGS >PAN42655 pep chromosome:PHallii_v3.1:8:30314706:30320265:1 gene:PAHAL_8G164000 transcript:PAN42655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATGAMSSLLVKLAELLTDEYKLQTSLRGEIMFLKAELESMEAALERVSEAPVIENQVNIWANEVRELSYDIEDSIDKFMVRIDTHPSATPQGFKGFISRSLRLLTAARTQHQIAMEIGDMKTLVKEVAERRNRYKVDTVVIPPSLATNIDPRLHGIYEESAKLVAISGPREELAELLVQEGTSKQLKVVSIVGVGGLGKTTLANVMYQQLKGQFECNAFVPVSLKPDMKRILGSVLRQVSEQSYMSIETWDVVELINKIRQVLENKRYFIIIDDIWDESAWNLIKDALIDSNCGSRVITTTRIAGVAAACCCFTGGTIYKLKPLSHIDSKKLFYKRIFGDKDSCHPELKEISEKILRKCYGVPLAIITIASLLANKPKNINQWNSVHSSIGSGTEKFPGMKNMRQILSISYYDLPSHLKPCLLYLSVYPEDHTILRDQLIRRWIAEGFIRGNDVETSNNLGHHYFNELINRSMIQPEHVDGRGMVGACRVHDMVLDLIISLSIKENFAITSHHHQHTHLPKTIRRFSLNSSDEENARGEVALSLSHVRSLIVFPGATNLMPSLSSFQVLRVLDLEGCRDLQNHHISNVGSLFHLRYLGLRDTNITSLPKEIGNLNYLHTLDMKQTSISYLPSTVVGLKQLMRLYIEPSVILPDGIGNMVSLQFLSSVCVNRSANFAKELGRLSELRTLHISFINTWHESHKHCFVDSLCNLKQIQELHIDSTGMSTEIIVDLAWVPQYLKNFSGSMPRLPRWMNPMLSDLTTMTMTLKILRQEDIQNLGGLPFLQFLCVTVLTTYSAEEKTIVSTHGAKFQSLSEFHFHNDSMGLFFAQGAMPKLEILEVTFKVQERKDAYGDFDLGLENLSSIKLVIIRISCTDSTVYEVYDADSAMRKAAGLNLNHPKLEVIRYYEDEMVEDELQCNKKILKDDDDEVVQRRGPWGGDGGRTHDITVAPQSLKSVKVCSAVVVDALGFSYLDRNGREHNTPLWGGVGGSIRTINLDPSEFVKEVSGTYGPFSDLPKNNVITSLTLVTNLYSYGPFGQPSGTPFHTRVDKTGSIVGFFGRSGAYLDAIGVYVRPSGS >PAN42120 pep chromosome:PHallii_v3.1:8:16214125:16216633:-1 gene:PAHAL_8G115200 transcript:PAN42120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMRSTDTCVEREVEVRPPLSPSRFVKVFMQGGVVGRKINLATHQNYASLSFTLKRLGNNYSMPSCELNELVNNEDDGSLDDNNFILFYDNVDGDRFFLGEVPWEVFIISVKRIYIVPIPPREEENVADNEEEEEDRENGDDIAATSAAPPDGDDIPANDDEVADDGDATGSTPADGASEE >PVH34052 pep chromosome:PHallii_v3.1:8:17956052:17956990:1 gene:PAHAL_8G125000 transcript:PVH34052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPVAAPTSSGDGAGPVHRRRRNRKRRGKRGHSTSTPPPGSEGAGDSPRSASGTDTQLVADAGTPPRRQCVISCSDAISQREERLAGQGLILSVIADDPASVVDLILPAVARRFKIEESLLSIHSLGPACSLLISPDELTATRIFNDGRPLSVPLGRVHVMRWSRFLLSSAATFSIAVSPGRHHAGWTRSHWRPHLLPSPRFLHRGPTATPRLPRRPPPRLVTPSCSRRPDPPRPCPGAAWAPRPPCSSMRRLFLLRAAMFPPLWLLWACLRWPRPPSSACCLPLRPSFLRLHLRRLRHPETRLLWAHLW >PVH33580 pep chromosome:PHallii_v3.1:8:1823251:1824898:-1 gene:PAHAL_8G025600 transcript:PVH33580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRSRRPTRGRRARLNVLFHVDLVSWASGATEPGAPDNCCSLVAAQVRFLPEIEGTKHQACYMFFFFREKKIQQKKNPALHIGGPPAAITY >PVH34323 pep chromosome:PHallii_v3.1:8:37652418:37658127:1 gene:PAHAL_8G194300 transcript:PVH34323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPWRAREFHLCNNSSCTRTRPCEPLHVLAPRVHACMDLTMSTTTTSTSPAPDHDLGHHLPSSTSFVIPPASSPAAAMPPPSALPPTIPACDPHDGPASLQLIEDLTTHAGAIQRRVLREILAMNAGTDYVRGFLGADAEARGADELAAVFKERVPVVEYEDVKPYIERIANGAPSSLISSKTITELLTSSGTSGGQPKLMPSTEEELDRKTFLYNLLIPVMNKYVAGLDEGRCMYLLFVKPEITTPSGLVARPVLTSYYKSRHFRERPDSPYTRYTSPNETILCPDSAQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEGHWRALCNDIRAGRVDGSRVTDPACREAVARVVARPDPALADAIAAECAAASWRGIVRRLWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLVSTMYASSECFFGINLRPLDRPEDVAYTLLPNMCYYEFVKVEKDGEEVRDGKVVDLVDVEIGGHYELVVTTFTGLYRYRVGDILQVSGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKRLLAPLGAILSEYTAYADTASIPGHYVLFWELTPPPLPASGDGDAEAAAARVMAACCAEVEAGLDAVYRRCRSRDRSVGPLEIRVVNPGAFDALMDLCVSHGSSVNQYKTPRCIKHPDAIAVLEARVVGRFFSDAVPHWEPPFQADAAGADGAGVTATASLNEGTPA >PAN43424 pep chromosome:PHallii_v3.1:8:42078046:42083872:1 gene:PAHAL_8G235100 transcript:PAN43424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTVFSAVVVSIGYTLLGWDFAALLEANRHMEKEFELENGHSIEGITISVSAFGAIVITIFSGSLLDWLGRRAILVHSSLLLLLGGLLMLWSPNIYILLLARLFVGSGSGLVFTCVPIYISETSPPNMRGLLVTMPQFMFIIGTIFSYCLIFWLTLMSSPKWRIMIGAIFAPSIIYLALLVYYLPESPRWLVNDGKISEARVSLQWLRGKKHDISGEIETIVESVDFISDTAIGTDQGQSFAGPISSQIWPQNTFYWNLSDPLVDLLGSIHETMSEATGSRRNSFFPVFNSFSFLEHEHMNEHRDDSNDQQTREVYSVGEANNGDGLRTSLLSQTASVEVNDTNTSFTSEGSSSYLRRHGTSAFTQEFMASLHDHDIEEEDEETSGMALPHQPAYQYMESSRRHPYRYRILRLSETADMKSKWRVLLQPGIRHALCYGMLIQALQQSTGINVLLRYTPEILRQVGVVSLFSDIGLSPHSTSILISALNALLMLPCITAAMLLMDVCGRRALILATTPILILSLSVMSLSTLVDMGSLERAILFHLALTICFCSYVIGLGPIPNVLCSEMFPTKARATCMSFCSLSFWFGGLLSAYCFPVMLSTISLSGACGIYALVCCIVLCLVYYRIPETSTLNLELIAELFKFSRQSRYVA >PAN43423 pep chromosome:PHallii_v3.1:8:42078026:42083874:1 gene:PAHAL_8G235100 transcript:PAN43423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTVFSAVVVSIGYTLLGWDFAALLEANRHMEKEFELENGHSIEGITISVSAFGAIVITIFSGSLLDWLGRRAILVHSSLLLLLGGLLMLWSPNIYILLLARLFVGSGSGLVFTCVPIYISETSPPNMRGLLVTMPQFMFIIGTIFSYCLIFWLTLMSSPKWRIMIGAIFAPSIIYLALLVYYLPESPRWLVNDGKISEARVSLQWLRGKKHDISGEIETIVESVDFISDTAIGTDQGQSFAGPISSQIWPQNTFYWNLSDPLVDLLGSIHETMSEATGSRRNSFFPVFNSFSFLEHEHMNEHRDDSNDQQTREVYSVGEANNGDGLRTSLLSQTASVEVNDTNTSFTSEGSSSYLRRHGTSAFTQEFMASLHDHDIEEEDEETSGMALPHQPAYQYMESSRRHPYRYRILRLSETADMKSKWRVLLQPGIRHALCYGMLIQALQQSTGINVLLRYTPEILRQVGVVSLFSDIGLSPHSTSILISALNALLMLPCITAAMLLMDVCGRRALILATTPILILSLSVMSLSTLVDMGSLERAILFHLALTICFCSYVIGLGPIPNVLCSEMFPTKARATCMSFCSLSFWFGGLLSAYCFPVMLSTISLSGACGIYALVCCIVLCLVYYRIPETSTLNLELIAELFKFSRQSRYVA >PAN43425 pep chromosome:PHallii_v3.1:8:42078020:42083874:1 gene:PAHAL_8G235100 transcript:PAN43425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTVFSAVVVSIGYTLLGWDFAALLEANRHMEKEFELENGHSIEGITISVSAFGAIVITIFSGSLLDWLGRRAILVHSSLLLLLGGLLMLWSPNIYILLLARLFVGSGSGLVFTCVPIYISETSPPNMRGLLVTMPQFMFIIGTIFSYCLIFWLTLMSSPKWRIMIGAIFAPSIIYLALLVYYLPESPRWLVNDGKISEARVSLQWLRGKKHDISGEIETIVESVDFISDTAIGTDQGQSFAGPISSQIWPQNTFYWNLSDPLVDLLGSIHETMSEATGSRRNSFFPVFNSFSFLEHEHMNEHRDDSNDQQTREVYSVGEANNGDGLRTSLLSQTASVEVNDTNTSFTSEGSSSYLRRHGTSAFTQEFMASLHDHDIEEEDEETSGMALPHQPAYQYMESSRRHPYRYRILRLSETADMKSKWRVLLQPGIRHALCYGMLIQALQQSTGINVLLRYTPEILRQVGVVSLFSDIGLSPHSTSILISALNALLMLPCITAAMLLMDVCGRRALILATTPILILSLSVMSLSTLVDMGSLERAILFHLALTICFCSYVIGLGPIPNVLCSEMFPTKARATCMSFCSLSFWFGGLLSAYCFPVMLSTISLSGACGIYALVCCIVLCLVYYRIPETSTLNLELIAELFKFSRQSRYVA >PAN43568 pep chromosome:PHallii_v3.1:8:42992963:42993787:-1 gene:PAHAL_8G245800 transcript:PAN43568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSIQVVTAANFEAELNTIAALLRRFPVIAIDAEYPGTVHRPPAGRREGGLTPDERYTLVKANVDELPVVRLGITLCDADGNVPLVAGRPCEQRAWEFIFSDFDLLRDRHAPESVAFLRSRGVDFRQAREGGVRSAAFAARLAAVLAPAQLRGDLAWAAFGGAYDFAYMVKMLSGGQPLPGTWHEFIAQARALLGGRVFDGKYMAEHSGRPDLCRGGLRSVAARLGVPLPNPAPPCLAGPKSHTACGIYTAMRRHFRDHDHGACFDGLIDGFH >PVH34054 pep chromosome:PHallii_v3.1:8:17979556:17981357:1 gene:PAHAL_8G125400 transcript:PVH34054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLRRRLLLPLALLQAGAAGEAGAEDERELARRGGRRGLHPRRQPTRFPPTATHFASPSPPQPAAPTAPPHPPEHAAPPRWALGQPEQGAPRRRPWPWLELAMAQASRLLRAWNRPASGS >PAN42623 pep chromosome:PHallii_v3.1:8:27416563:27422632:-1 gene:PAHAL_8G152300 transcript:PAN42623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGPGGEASLRRRRGPARLWVAVAALVAGTIWLCSSSSVGLLGASYRVQDVDVNKLWRTADSNGWRASSAPRTYWPPPPTESESSGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFVGIYDVPHFLKTLKYDVRIVMSIPEITANGKTKKLKAHQIRPPRDAPVSWYATVALEKMKKYGAVYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVNKLRSEGHFMSIHLRFELDMLAFAGCIDIFTPHEQKILLKYRKEHFAEKLLVPRERRLIGKCPLTPEEVGLILRAMRFDNTTRIYLASGELFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTQGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRMYYGFRTTITPNRKALAPIFIDRAQGRTTGFEQRIRQVIFNTHFGAPHKRIHPESFYKNSWPECFCQTNARNHADRCPPDNINDVLESQFQSEEEIEEARTTNQTDSTGQTEETMI >PAN43308 pep chromosome:PHallii_v3.1:8:42909096:42913389:1 gene:PAHAL_8G244600 transcript:PAN43308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRSLPLAATTAAIFFLSVAALSVGTAVVEHTFVVSQVNMMHLCRETLVTVVNGQLPGPAIDVTEGDSVVVHVVNKSPYNMTIHWHGVKQRLNCWADGVPMITQHPILPDHNFTYRFDVSGQEGTLWWHAHVPLLRATVHGTFIIRPRHGAPFLKPHKEIPIVIGEWWVEDLAFVDTTAEYNSASTINGKLGDLYNCSGAMEEGYKLDVEPGKIYLLRVINAALLSEYYLKIAGHKFTVVAADANYVSPYTTDIIAIAPGQTVDALVVADASPGRYYMVALPNQPPKPDFQSPVLVTRGIVQYSNNHSSGHDELVRGGAGGSSSGDVPMSPEMPDNHDNMISFYFHGNLTSLHHPRHLPVPGRIDERLFITLGLGSVCRQGQSCKRGDNTTDNLIVATMNNVSFQLPTVSTPLLEAHYYNTSNMELLQELPDVPPRVFNFTDISLIQTGPKEKKLEPTSRAALARRFRYGAVVEVVFQSTALMQSDSNPMHLHGHDMFVLAQGHGNYDMVRDVAKYNLVNPPVTNTVLVPRLGWVAVRFVADNPGIWYMHCHYEFHLTMGMVALFIVEDGPTESTSLPSPPVDFLVSDEYNLQNEKTKLPQINGI >PVH34452 pep chromosome:PHallii_v3.1:8:40885786:40887545:-1 gene:PAHAL_8G221800 transcript:PVH34452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATWHVQMPQEVAADDVRCVGSFQGWLVGVAPVRDRGACYRKFDGECFMVNAFSHEVVHLPHLSTFNHGLSGYSRKALPIIPVNNGFGEVYFTTNDKYTMSLHKVVLSASPDSGSKCIVVASSFHTITQTLALWQPGMKSWHGCDGLPIVGPKDFAFYQGKLYVLLRFIPRLYAFELEEDDHGVVVSRVEHCGIEPLHEHRIQGRGVLSCNIVVWRGNLLLTIRRYDATGKFCTKRTLRQVEVFALDFSTNPCGLTEIHSFDGDSIFVDSCSCNSFPAGLYDGVEGDLVYFVDQYSKYDGGSFDPSYDTFVYNVRNGTARPFVVELSPHNFGAPRGKLDVPLWLLASK >PVH34656 pep chromosome:PHallii_v3.1:8:44796629:44799753:1 gene:PAHAL_8G267600 transcript:PVH34656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHERPTPPPFSPPAHDETSQTKSTSGTQAATPNRLESKLLSPSAPPPNLTAASPQTLAAIRSKATRRRLRLRPPRAHLRCAAAPRRSPTLTPPPWSWGKNAATPGLALAAVCRLNRPWEEFFSLGLHITSDIAAFWLCMKHLSSF >PVH34655 pep chromosome:PHallii_v3.1:8:44796629:44799753:1 gene:PAHAL_8G267600 transcript:PVH34655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHERPTPPPFSPPAHDETSQTKSTSGTQAATPNRLESKLLSPSAPPPNLTAASPQTLAAIRSKATRRRLRLRPPRAHLRCAAAPRRSPTLTPPPWSWGKNAATPGLALAAVCRLNRPWEEFFSLGLHITSDIAAFWLWARDFF >PVH34654 pep chromosome:PHallii_v3.1:8:44796629:44799753:1 gene:PAHAL_8G267600 transcript:PVH34654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHERPTPPPFSPPAHDETSQTKSTSGTQAATPNRLESKLLSPSAPPPNLTAASPQTLAAIRSKATRRRLRLRPPRAHLRCAAAPRRSPTLTPPPWSWGKNAATPGLALAAVCRGS >PVH33804 pep chromosome:PHallii_v3.1:8:5745736:5748514:1 gene:PAHAL_8G068200 transcript:PVH33804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPRGGGVRAQEHVDLIPGIPDDVAVDCLARVPHASHRALRRVCRGWRSAAAAPAFASARAAAGATEDLVYLLQFGNPSAADDGGPKDDGDGDAPASTPAYGVAVYNVTTGEWRRERGAPPVVPVFAQCAAVGTRVAVLGGWDPRTFEPVADVHVLDAATGEWRRGAPMRTARSFFACAEAGGKIYVAGGHDKHKNALKTAEAYDAGADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAARAWRRLERVRAPPSAAHVVVRGRVWCIEGNAVMEWISPRRGWREVGPYPPGLKAGTARAVCVGGGEKVVVTGALDVEGGGGRHALWVFDVKTKSWTVVRPPPEFAGFVFSVASVRI >PAN43161 pep chromosome:PHallii_v3.1:8:39990417:39991673:1 gene:PAHAL_8G214600 transcript:PAN43161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESPDVPQDILRCIFAILEIPDLVRAGSVCSSWRAAYTSLCSTGNCKLQQTPCLLYTSESTDAREAGLYSITEKKAYTLTLPDPPIRSRYIIGSSYGWIITADERSELHLVNPITGEQIALPSVTTIEQVKPVFDDEGAVCSYEYWWYTGKDVISNTPSVFPLSELRDFLFYKAFLSSDPSTGGYFVVLIHNPHSQLSFARAGDDKWTWLPPCSYYEDCLFKEGLLYASTTIGEIHTFNLGAPAVTRKVFLNKTKDIYCERIYIVQGSGGEMLQIWRSDAEPRGEDEDETDSDLELELDDDKVVDKTTAITVYEVEPASKRIEKISSLGQNVLFLGHNQSLCLHAEEYPQLKGNHVYFTDDDFLYTTGFKNNRRDIGVFDLENNSSEEITTPQLWSNWPTPVWLIPNPRRMSLATHS >PAN43178 pep chromosome:PHallii_v3.1:8:40087815:40090545:1 gene:PAHAL_8G215700 transcript:PAN43178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLSPLLRQELDNLDKDADSRRAAMKALKSYARHLDSKSIPHFLAEVSDTAAAGGGAAAAGLPAGEFTISLYEVLARVHGRNIVPQIGNIMATIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPVAPEAEKAGIIASLCKPLCGALMGSQDGAASGAALCLKALVESSNWRYASGEMVNEVCLKVAGAMHDKATRSNAHMGLAMALVKHNGLIAEAYARSIVRSGLQILDGDTAESSSQKRLSAIQMINFFMKFVDPRCLSSELGKVIDVMEQCQNDRMPFVRGAAFEASQSAKSIAAQKGSRHEVGTSPMIGSNFHKRRAKSPCRSLWSAKGSPASSIVAASPVQFRSPESHVVDSSIMNGSTITESPVSVGQSSCNFDQSRRTNRRLWNNDGVDVSLKDGLFIQLCSNTKNYEDDLGEVCDSDVTDANFECTDTFAGFLSPSPNGAISRDRTPSPKAYDKPISIDDVKLYSTPRKLLRSLQCSYDSDSASHDGQSIAKHSSSSSSDEELAETSQEVPSLHLDNKAVEMKDENETIAMQNSNGRIEILSNKDESGLSAIEAENTSYKASPEIERKEVDVCVTGSRGKTRPYRTKFTFLLSMIIVVLAVIAVLIRIDSDDDSVGLVPT >PAN43770 pep chromosome:PHallii_v3.1:8:44293099:44294043:-1 gene:PAHAL_8G261600 transcript:PAN43770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGRSAVKMVVSYGGEISRCPDTGKARYAGGENRIVRVAMSERLGELRARLAALAGYPDVRIRYALASPVGDCLDNLHDVADDHDLWVLVTRLCCCDGLAARDGRVRAFLYPIDAPAPPPLVPDRIRRRASSPLLLDRSQEESSASMTATSSGDSTSSARPPLARAQIAIAVYAPAASPVMSSGGDASAAQVDLGFEALAEIAAAQRSATGYAASSSVASAGSAAPTGKADGGFDASLAAIAAADYTACGATATCAALADSGFEALAAVAAEQSGQGPTPPPAPVFLVPVLLAGVFFRAIPVYGCFIPAAIL >PVH34155 pep chromosome:PHallii_v3.1:8:29144367:29145296:-1 gene:PAHAL_8G157900 transcript:PVH34155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNDLHWEGFPHLLWESLILFHYTEPPQYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTLETVALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFRTEHLGHLLGDLAEETARIITRFMDVQYHYQILLRHGMNQITGVAQSHYRNANRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIKELQQQPILPAIPIMPEVEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PAN41652 pep chromosome:PHallii_v3.1:8:4680847:4681495:1 gene:PAHAL_8G059400 transcript:PAN41652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWKLAILILLLCSTTSPHLVTGNRKPKCTLEQKEKVLLHCIAFTERGTPPIAVHRDSVCCEAVRAVPNREMRCIVELLTDEEKKKKHDVHRILALKLISEQRSPLAPKQNKINPISFRIMV >PAN41370 pep chromosome:PHallii_v3.1:8:2843902:2845244:-1 gene:PAHAL_8G038600 transcript:PAN41370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPRLAIGLLVTASSLAAALSTCIDGARGVAYGYAPGSPTGPENWGKLSPEYSLCGEGKQQSPIDIVTKEVVPTPGLESLNRTFAQANATLVNDGHDISLKFKPGTVGNITVGGKVYDFEKLHWHAPSAHTVNGQRFPLELHLVHRSADGGLAVIAVLYKVGHAESFYVLMRKALGEMAADKCNFAEQESRVSAEGVFIMRALQRRAGSYFRYTGSLTAPPCTENVVWSVLARVRQISKEQLDQLTAALQPGGDARPVQPLNGRIVQFNNATDIISFPV >PAN41401 pep chromosome:PHallii_v3.1:8:2979830:2982307:1 gene:PAHAL_8G041000 transcript:PAN41401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIPVPVAPLKSSSFSAATNREDKLARNLSLGPIKLNEHIKEARVEKADINAGGDAGGGEDGAPEEASEPDFATLSAEIDAFLAAHRGGESPPPVSEVTLDKFASAVEQEIAPSEGTEDKWVPEVPGEVPPLLAAIKRIAALSSALTENQAEGAKYTIGVHRVTGVLHRVMTFVEDEFHALLEDPRVAKTVPGGDTGSATGRSMRRPPSFGHGAEPDRCVIPSSDGGGAGEASPPFPPETVDRLRAMAEAMFAAGYDTECTEVFLVARRNALDASLQSLGYEKPSIDDVVKMPWEALESEVATWIKAFHHTVEVGLPGERDLCARVFAGDREGLGRDIFADLARCTMLHVLNFTEAVALTKRAAEKLFKVLDMYEVIRDVAPVVDAFVAPPGDEASGDQGGSAAAALADLKYELASVRSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKNTLEQVFQEYRRPDDDEQHEGGGAGDPFAAQLMEVMELLHGNLEAKSRLYKDPSLSSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLNLLRDDGVITVKGHVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAIIVPAYRSFLGRFAQHFTAGRQTEKYVKLSGEDLEAIIVELFDGNAVSMPRRRM >PVH34609 pep chromosome:PHallii_v3.1:8:43950522:43955282:1 gene:PAHAL_8G257600 transcript:PVH34609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKETVSWPRHCANSFPRRWKQRETTTVTVLVSRGIPCASRARLRRALFPGEIPARALPSQNPRPSFPPAPKFLLLLTVELQIHRSLYAVAAPPDRAPAAPLLPAVLPRPGPLLPAALPARAPCCAALPVRAPPAPPAMEPRKEAETSSGRRRSSAAAKPRGGTSGHEQQQVPATTSPCSPSTPESPQVPSVMPHFPELQHRPPNPAWNFYPQGGFVNLINRPYMAMPSHPLGQNFHIVGLAQNFNTSPPPPPSVTRTPKPSRLDKETIDIDDDGHTGSEETRTVKKRYWTQEEEVRLASAWLNCSNDPIHGNDKKGDTFWKEIAEYFNKHAPADRQRDVNQLKIHWSRLKTLISNFNGCWSAVSKMHTSGYSNDQLMDEAQKMYANANNGKPFTLVHWWKALRNEPKFCAHISQMDKEKGQSRTIDIIEDKDQQPPQRPIGRDAAKAQKNGKRKVEEVLDGIVLLGENINKIVEVQQERKQEREKVAAAQLEISRIQLKAAQEQKEAKLLEVYSSLLQQDTSQLSEQARINREKTLQKMELKLFGDSGGE >PAN42442 pep chromosome:PHallii_v3.1:8:29493066:29495060:-1 gene:PAHAL_8G160200 transcript:PAN42442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTKRTAPWHRLSEAEAAVNRAVAASRVGKYFKLDARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVQDCTPLANSTAAPGPECTVGPNPGYEQCLASTKSDLIVATAVAAMAASFAMGLFANLPLALAPGMGANAYFAYNMVGFHGSGPITYGTALAAVMLEGIVFFALSAVGLRSKLARMIPRNIRLASAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSEVDPTTGACLGGTMRSPTFWLGAVGFLITATCLARNVKGSMIYGILFVTVVSWIRGTSVTVFPDTPAGNAGFSYFKKVVDFHMIKGTAGQLNFGGFRHGNVWLALLTLLYVDVLDTTGTLYSMAEYGGFTDEAGGFEGEYRAFLVDAGSTVLSAGLGSSTMTTYIESTAGIREGGRTGLTAIAVAACFLASLFFGPLLMSVPPWAVGPSLVLVGVMMMRVAREIEWGDMKEGVPAFVTMALMPLSFSIANGIIAGLGVYVALHWYDWARQGCGKVRDALDERRNQVAAAASEVGPAAVQGVV >PVH34053 pep chromosome:PHallii_v3.1:8:17963456:17964868:-1 gene:PAHAL_8G125200 transcript:PVH34053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIKLEAALRISNMRMITDSSLLRWRKKLKLAAQECGDTLHKYKERILEKQHMEQEVRNSTFPKLIAHATNLSRSVVQRFEYFADGASEFLRFVELGGTPHRHMPINSIIRHLFEGKELHHMIFRGNEYPSILLWLVPSITEDNGIEACLKFIHKDDNAPENNFFLCLQLFPPHFQPIVEAIRKEVTQLPTQDFSWVPYVDLRHRKHWDNLHRFSTEWFCPDPLCCKQHDQHNLRRISSPDMVGLPDVSLDSVNEVNLQCQVSLSKYNKQLTSLSKCKSYMQHSQYLKAGNLFAPHASSKDMLPADKSSAVSAIFSEEQHCIHTDITLEQLNKIMLPKAIDYFCRNTESTVYQMLWKSKHGTEYIEFEKGSIEMPNAQRTSRGARKRKRKQQQVQDPGDGNRMVARFLNSWVAHAPVRLQGLILHWIQKENGSQLAVP >PAN43474 pep chromosome:PHallii_v3.1:8:44004488:44015247:1 gene:PAHAL_8G258100 transcript:PAN43474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILESLVGSCAKKLQDVISEEAILILGVKEELTELQRRMEQIRHFVNDAEQRSTKESAVNNWFNQLRDAMYDADDVIDLARSKGSKLLPDHSLSLSSKSSTCTGLSLSSCFSNIQIRHEVAVKIPSLNKRIDNISKDEVFSSLASRQSTEKVSAPKHIRSSNLVEPNLVGKEVIHACRKLVDLLLEHKDKRSYKIAIVGTGGVGKTTLAQKIYNDQKINRCFDKQAWVCVSKDYSEVTILKEILRKFEVQYMQDESIDELQSRLKLAIQEKSFFLALDDAWQSDIWQNLLSTPLHAAATGIILLTSRLDTVAVEIGVDHTHRVDLMSVDVGWELLWKSMGINQEKEVQNLRDLGIDIVRRCGCLPLAIIKVVARVLARKEQTENEWNKFSRKDAWSVSKLEVPSALYISYEDLPLCLKPCFLYCAMFPEDSVIYRDDIIRMWVAEGFIDELGGQLLEDTAEEYYYELICRNLLQPIDVAADLSRCTVHDLLRQLACHLSREECFVGDPGSIRVSVMSKFRRISAVTEKDIVVLPSMDKDQYKVRTWITSSEKSLRVDNTIFRRLPYIRVLDLTGSVIQSIPNCVGRLIHLRLLDLDRTDISCLPESIGSLENLQTLNLQWCHALHSLPLGITRLCNLRRLGLAQTPINHVPKGIAKLKLLNDLEGFPVGGGSDNSARTQDGWSLEELGPLFELRKLDLDKLERASLCSTDSLLLDKKFLKQLGLRCTERTDESYSERDIINIERAFEKLIPPQSIEDIGIADFFGRRFPTWLDTATHFPSLMYLNLVDCKSCVHLPPIGQLPNLKYLKVVGATAVTKIGPEFVGYGVGNPGSAEAVAFPKLETLFIKDMPNWEEWKSRRRSDSSSSR >PAN43806 pep chromosome:PHallii_v3.1:8:44490248:44490736:-1 gene:PAHAL_8G264500 transcript:PAN43806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVATARNAPAASTANSLLLLLSLSLSPASKVIAKQGGGRRLGGDDSAFRCRTCGRSFATFQALGGHRTSHNRPRVLGARPGKGGGTATDAHRCNACGMVFPTGQALGGHMRRHRAAAAALDVAAPLEAPPPKTVSGLSEEESDDENYYTGHLSSTLIQFI >PVH33615 pep chromosome:PHallii_v3.1:8:2433508:2433866:1 gene:PAHAL_8G033700 transcript:PVH33615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVIKWWLPSRSHLAGCSKRIDTGLITVHCHGAPTASSSFGERLGIGDFLVRSKCTRPIDPAVSICNFECLTSGKTTVLQQPDPCASVSCSPEFASVEASEPP >PAN43351 pep chromosome:PHallii_v3.1:8:41684015:41685764:1 gene:PAHAL_8G230100 transcript:PAN43351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVQSSKAVKPAYGRGCNGDHRSSGAFSFATADFVPLTVLDKVAVDAYISRIYFFRPPAPSNSVVEAGLAKALAEYREWAGRLGVDACGNRGILLNDAGVRFVEAAAGVALDAVLPWEPTPEALSLHPSGDGAEELMLLQFTRFACGSFAVGVTVQHLVSDGPAGRNFVIAWGQATRGAAVDPVPVHDRVSFFLPRDPPRVEFEHRGAEFKPGHDEKEAAGTASSGDGDRRVVVHRVHFSREMISELKSRASPPPAAGTSRSRPYSRLQCVVAHLWQCITAARDVGRGETTELRVGVNGRARMRRPRVPEGYTGNVVLWARPAATAGELAAMPLQRVAELVRREVARVDDGYFRSFVDFASSGVVEREALVPTTDPAVTVLSPHVFVYSVLQSPFREVDFGGGELFFFMPGYLEVEGLVIVVPSLCHDGSIDAYVSLFSHTLETFKTCCYSLETAKARL >PAN42244 pep chromosome:PHallii_v3.1:8:35086857:35090047:1 gene:PAHAL_8G180900 transcript:PAN42244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAALTDDVLAEILLRVLSLRDLARASASCASFRRVVSSSSFLRRFRPLHAPPPLGVFCCYPAGRGGTARSQFHAALSPHPSAPLARAVARSADFSFAFLPPPAADWLVRDSRDGRFLLDRPRDGSTAFTEVAICDPLFRRYRLLPPIPNDLAASVGNPYVQRGGDGDSQSRSSEIFLASRSHDSVNEEDPAFTVIWMACCRGKLVAFFYSSESQQWRVLSPPEHYALSTRRVMGVRLGQRNHAHACFYWMVTLTHRWLVLDTCKMEFSVIDISPVLSGCVMMFSNQITTLESSDGRTTVVVSDVFRPDKRCVLYFYAFMYFRDRWQLLNRVTLPEEWGYRFRGIIGSAERYLFIKLDHPKENLNDQSERHVEYFSLDVKTMQLGSFCRTTLLTVSEAYLYCGFPPSLLLPSI >PAN42237 pep chromosome:PHallii_v3.1:8:21008664:21011801:-1 gene:PAHAL_8G134700 transcript:PAN42237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPHPGDPALHKCAQLAVAPIGESSMPPPAAEVDPRSGYCAATKTFHSLRAPLPLPPADLPLSFPSFMFSLLPAALPSRPALVDAATGEAVPFPAFLSGVRALATALRARLGISRGDVAFVLAPPGVHVPVLYYALMAVGAVVSPANPTLTAGEISGLVALSGPSVAFAVKATADVVTHQSDPAAILYSSGTTGRAKAVVLTHRNLMASNATRAAATVDVLMLAVPLFHVYGFTFCLRVAPSANTLVLHTAKRFDGREVLAAVGRYGATRLALAPPALLAIVRAAEEEETLIARVATLQAVNCGGAPLATELFRRFLHKFPGVCLLQGYGLTETTSGFCRAVGEEESAQIGSVGRLSWGAQAKVVHPETGVALPPGVPGELWVRGPFVMKGYAGDEDSTSKILDSEGWLRTGDLCYIDKDGIVFVVDQLKELIKYKGYQVPPAELESLLQTHPDIDEAAVVPYPDDQAGELPVAFIVSCSGSNLHEAQIKEFVAKQVVHYKQIHHVFFVNSIPKNAAGKILRMDLAKLTLQHIRSKL >PAN42236 pep chromosome:PHallii_v3.1:8:21008925:21011694:-1 gene:PAHAL_8G134700 transcript:PAN42236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPHPGDPALHKCAQLAVAPIGESSMPPPAAEVDPRSGYCAATKTFHSLRAPLPLPPADLPLSFPSFMFSLLPAALPSRPALVDAATGEAVPFPAFLSGVRALATALRARLGISRGDVAFVLAPPGVHVPVLYYALMAVGAVVSPANPTLTAGEISGLVALSGPSVAFAVKATAGKLPPGLNTVLLDSARFLSFFHEARDEDGTAAGTDVVTHQSDPAAILYSSGTTGRAKAVVLTHRNLMASNATRAAATVDVLMLAVPLFHVYGFTFCLRVAPSANTLVLHTAKRFDGREVLAAVGRYGATRLALAPPALLAIVRAAEEEETLIARVATLQAVNCGGAPLATELFRRFLHKFPGVCLLQGYGLTETTSGFCRAVGEEESAQIGSVGRLSWGAQAKVVHPETGVALPPGVPGELWVRGPFVMKGYAGDEDSTSKILDSEGWLRTGDLCYIDKDGIVFVVDQLKELIKYKGYQVPPAELESLLQTHPDIDEAAVVPYPDDQAGELPVAFIVSCSGSNLHEAQIKEFVAKQVVHYKQIHHVFFVNSIPKNAAGKILRMDLAKLTLQHIRSKL >PVH34036 pep chromosome:PHallii_v3.1:8:17251570:17254407:-1 gene:PAHAL_8G122000 transcript:PVH34036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSVTDSTASTFSIMEEDHTLANSARFVLNQDPRVAFCGYSIPHPADKKINIRVQTTGDPAKDVLKDALQDLMVMCQHVRGIFDIAVANHRAKKPAEQIDVDQK >PVH34231 pep chromosome:PHallii_v3.1:8:34643273:34644576:1 gene:PAHAL_8G177500 transcript:PVH34231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNCVLSSCTWIIIVGASCRPSTFWDSLAQCIDSNSRIIIVGASCRPSTFWDSLAQCIDSNSRSSLLQILLEESDPYLIF >PVH34305 pep chromosome:PHallii_v3.1:8:37129121:37130263:1 gene:PAHAL_8G190300 transcript:PVH34305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRKKNGWTGSAPVSFLRASFVPRSVLHTRGWPPYASVSPVQLTWRGWPTSRADGKPEDNEALRSSGAAEMERPFIAVTGGAGGVGKKKAS >PVH34304 pep chromosome:PHallii_v3.1:8:37129121:37135088:1 gene:PAHAL_8G190300 transcript:PVH34304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRKKNGWTGSAPVSFLRASFVPRSVLHTRGWPPYASVSPVQLTWRGWPTSRADGKPEDNEALRSSGAAEMERPFIAVTGGAGGVGKKKAS >PVH34318 pep chromosome:PHallii_v3.1:8:37589793:37592405:-1 gene:PAHAL_8G193600 transcript:PVH34318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTDLSWLRNLPLLQHLNIDGVNLGRADDWPYLVNMLPSLKVLTLSGCFLTSANQSLQRLNLSNLEELDLSHNVFNHPVASCWFWNVTSLKYLYLDETSLHGQLPRTLGDMVSLQVLEMCCNRNHLSAATTNLEKLCNLTVLRLDASLSYGNVAQLFERLPQCSSNRLQELHLRSNNLGGSLPNSMGHLTSLVVLQLHGNNITGSVPAFIGNFTKLKTLDLSANHLTGHVPPEIGMLGNLTKLDLRHNDLDGLITEEQMDGLRSLKYMYLSYNQLKIVVGSEWLPTFRLQDAVFASCHMGPPFPAWLKSQVDLLWLDISSTHIGDRLPDWFCNTFSKAVILDFSNNQISGGLPINMDIMSLECLYSSSNNLTGQIPLLPRNLSFLDISRNTLSGPLPSNFGAPKLRGLILYSNYFRGQVPTSICELGLYALDLANNFFEGGLPECLNMTDMTYLLLSNNSFSGEFPAVLRTCTSLSFLDLSHNRFSGRLPMWIASFRSLQLLRLNHNMFYGNIPSNITALTNLYHLNLAANWMSGVVPPQLSNFTLMTRRYILHPDEIINGFQVIIGELLVETKMQQLNYRGYGALEILSIDLSSNYLTGKIPEGITSLAGLVNLNLSRNQLNGEVPDKIGAMQSLESLDLSNNNLLGEIPSSISSLTYLSILDLSSNNLTGRIPSGRQLDTLYMINPSMYDGNIGLCGPPLQRNCPKSNTSMHGDEKRDKNHSKPMSLYFGLGIGFVVGLWVVFCVLLFHKASRIAYFLLLDKFYDKIYVFVVVTWKRFTRKADIS >PVH34292 pep chromosome:PHallii_v3.1:8:36663512:36664372:1 gene:PAHAL_8G187900 transcript:PVH34292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQTAPGFPGLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARTTATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSNEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSAAAVERDAEAQPLAGGNPEDGEQGSLALSAPEEGLPRE >PAN42592 pep chromosome:PHallii_v3.1:8:25112346:25112782:-1 gene:PAHAL_8G144700 transcript:PAN42592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYGRYAVGVNVVVCVCSISQAIAEVRRLRPQRSSAPRSTSIYCINLFLDQVLAYLLMSAASAAASHNNLWAARFGEDQFSRKVSVAVWLSFLGFLALSANALISMANLFRRIDMPN >PAN43844 pep chromosome:PHallii_v3.1:8:44909773:44916235:1 gene:PAHAL_8G268900 transcript:PAN43844 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MLGGRPVFVLFGSSIVQYSFSNGGWGAALADIYARKADILLRGYIGWNTRRALQVMDKVFPKDSPVQPSLVIVYFGGNDSIAAHSSGLGPHVPIDEYIDNMRKIAEHLKSLSEKTRVIFLSCPPLNEEMLRTSTSTILSEIVRTNATCRLYSDACVALCKEMNLKVVDLWHAMQKREDWMTACFTDGLHLSEEGSNIVVEEILKVLKEADWDPCLHWKALPTEFAEDSPYDLVSSSGDATVNPSEWTIHRKIPWD >PAN43845 pep chromosome:PHallii_v3.1:8:44909773:44916235:1 gene:PAHAL_8G268900 transcript:PAN43845 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MLGGRPVFVLFGSSIVQYSFSNGGWGAALADIYARKADILLRGYIGWNTRRALQVMDKVFPKDSPVQPSLVIVYFGGNDSIAAHSSGLGPHVPIDEYIDNMRKIAEHLKSLSEKTRVIFLSCPPLNEEMLRTSTSSTILSEIVRTNATCRLYSDACVALCKEMNLKVVDLWHAMQKREDWMTACFTDGLHLSEEGSNIVVEEILKVLKEADWDPCLHWKALPTEFAEDSPYDLVSSSGDATVNPSEWTIHRKIPWD >PAN42714 pep chromosome:PHallii_v3.1:8:35897440:35903568:-1 gene:PAHAL_8G183900 transcript:PAN42714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAIVLSFVVTLLVATATGSLPRRPASREAAAGDRGVTLRVGPRQVVVDNGVVQVALSAPEGRITGVRYIGDPNNLLENDAGQGDYGGYWDSVWNYPGSDLPGQYNKLDSTEFNVVSSSEDQAELSFRSTYNPSLQNSFRLNIDKRLVMLRGSSGFYCYAIFEHARDYPALNITEARLVLKLNTGKFNYMAITDDIQRYMPSAIDRDEPRAVPLAYKEAVLLVDPKEPQFRGEVDDKYQYSLDNKDNVVHGWISDSDPNPMGFWVITPSNEFKSGGPFKRELTSHVGPTSLTMFFGTHYVGKYMVAKIDDGESWKKVLGPVFIYLNSSPKSGNNLQALWQDAKAQAQAEARNWPYSFLASPDFPKAAERGSIAGRLLVRDRYMSKKDMPAAMAYIGLAAPGQPGSWATESKGYQFWTRAASDGAFSIDNVREGVYNLYAFVPGILGDYCYSSRLTVASGRVMSVGDLVFEPPRTGPTLWEIGVPDRTAAEFYVPDPDPKYINRLFVNKDRYRQYGLWERYAALYPEKDLVFTVSRSNESKDWFFAQVTRKVDQDMVPTTWRIRFNLDRVVADGTYTLRIALAASETCRLQVQVNGGGGVFTTPVMGDDNAIARHGIHGLQWSLEFAIGGHLLVQGDNAIDIKVAQAGAGGPAAARISGVMYDYIRLEGPSSGGALKLAPIGRVWMEILFLCSVAFLVMSQ >PAN43016 pep chromosome:PHallii_v3.1:8:38924891:38926043:1 gene:PAHAL_8G204400 transcript:PAN43016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGYFTNLLNDGQYDAGFEDYGTIPIGSQQSPPENEINLTSKAPQKQKGKNFSVDEDRLLVSAWLNVSTDPTQGTNQTKDTFWRRIHRYYDSNRGPLPDRNQNSLLHRWSSINDAVSKFCACISQINNRNQSGMTIHDRVEEAEKLFKSLDTHNKSFQFRHCWLQLRNKPKWHEKQQLAAAAKNSHRKRKEAKNTSPGLVDPTTPDGNQDAPAETSPPQTDLPRRTIGKKMAKEMRRGGMDAYSDALDTLWAKKREADAEKELKRDDRFAKAYALDKERLELEQKKLAIEEQKYTNEQKRLEQEKERLDNEANNLYLKRIAEQQRIMSMDLSAMSDMQRQYYICLQAEIVARRMN >PAN43047 pep chromosome:PHallii_v3.1:8:39185880:39195593:-1 gene:PAHAL_8G207000 transcript:PAN43047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCTHCADYCPSIKDPDKGYICCGTCGKVLDQEIYTDEPNFVKDNSGQSRLAGSILTSIESGYSMSHQRTLDKGKDEISQIVNNLHVSGGDTIIKRALHFYELALDRNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISVYVLGAVFLQLCQVLLLSDHPFVQKLIDPSLFIHRFTQRLLGGRDNAVSDTALRIIASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKADIVSVVHVCEATLTKRLIEFENTDAGSLTIEEFLATADECNLEPVPKCSLKSGEVLCKHKDNKGSVHFAHGLCEKCYNKFTKLSGGLEGGCDPPAFQRAEKQRLEAAKRAEDAAAAKEAVLEESLCDTQNSDVENTITPSKGISGDKSSAVASEECTNDSILSKDPEGGGENCEGDADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVVEEGKKKKRRRNEDTKSSKPAETPAEATYNMLKRKGLGSKVSAGAVGELYKTKDEDGSAHKKEEMDFDAQYGQDDADGETFDHGYYSYDGYDDDGTGVYNGIDDFDFN >PAN43046 pep chromosome:PHallii_v3.1:8:39186199:39195029:-1 gene:PAHAL_8G207000 transcript:PAN43046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCTHCADYCPSIKDPDKGYICCGTCGKVLDQEIYTDEPNFVKDNSGQSRLAGSILTSIESGYSMSHQRTLDKGKDEISQIVNNLHVSGGDTIIKRALHFYELALDRNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISVYVLGAVFLQLCQVLLLSDHPFVQKLIDPSLFIHRFTQRLLGGRDNAVSDTALRIIASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKADIVSVVHVCEATLTKRLIEFENTDAGSLTIEEFLATADECNLEPVPKCSLKSGEVLCKHKDNKGSVHFAHGLCEKCYNKFTKLSGGLEGGCDPPAFQRAEKQRLEAAKRAEDAAAAKEAVLEESLCDTQNSDVENTITPSKGISGDKSSAVASEECTNDSILSKDPEGGGENCEGDADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVVEEGKKKKRRRNEDTKSSKPAETPAEATYNMLKRKQGLGSKVSAGAVGELYKTKDEDGSAHKKEEMDFDAQYGQDDADGETFDHGYYSYDGYDDDGTGVYNGIDDFDFN >PAN42850 pep chromosome:PHallii_v3.1:8:37435493:37440602:-1 gene:PAHAL_8G192000 transcript:PAN42850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAAGGGGGRDEDGAAAAQGGGGGGGGAEDGMYAELWNLCAGPLVTVPRVGDKVYYFPQGHIEQVEASTNQVAKQHMQLYDLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKPDENGSSEEMPAGPPAAPVRPHVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMRHQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVSTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYPIGMRFKMRFEGEEAPEQRFTGTIVGNVDPDQAGWAESKWRYLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVHRPKRPRSIATASLTDSSAPTTTKEVAPKVTAETQQNVVQRTFQIQDNATLRSTFGDKSELNAAQESALQLSGFDQEKSTIDTQRKLGSDSWMQMNRYESYNEMLSGYQQPKDLQNQQGFCSLPDQIAAGRPNFWHTVNAHYQDQQGNHNMFGSWSMMPSSTGFGLNRQNYPTIQEVGRLPQTSANTEFGNGVYTTLSGRGIDQYSAGWFGRMVPGSRLDDAQTRMVKPQPLVLAHGEAQKMKGNSCKIFGIHLDSPAKSEPLNSPPSVAYDGMPQAPAAHEWRRVDTEVEKCSDTSKMAKQLDAPQADPILKKHLSCPQASRSTECKSHGGSTRSCKKVHKQGIALGRSVDLTKFKGYMELVAELDEMFDFNGELKDSNKEWMVVYTDNEGDMMLVGDDPWDEFCNMVHKIFIYTREEVQRMSPGNLNSRSEDSPANSTERGSAVRETHGCLPTSSLNSENC >PAN42849 pep chromosome:PHallii_v3.1:8:37434525:37441030:-1 gene:PAHAL_8G192000 transcript:PAN42849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAAGGGGGRDEDGAAAAQGGGGGGGGAEDGMYAELWNLCAGPLVTVPRVGDKVYYFPQGHIEQVEASTNQVAKQHMQLYDLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKPDENGSSEEMPAGPPAAPVRPHVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMRHQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVSTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYPIGMRFKMRFEGEEAPEQRFTGTIVGNVDPDQAGWAESKWRYLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVHRPKRPRSIATASLTDSSAPTTTKEVAPKVTAETQQNVVQRTFQIQDNATLRSTFGDKSELNAAQESALQLSGFDQEKSTIDTQRKLGSDSWMQMNRYESYNEMLSGYQQPKDLQNQQGFCSLPDQIAAGRPNFWHTVNAHYQDQQGNHNMFGSWSMMPSSTGFGLNRQNYPTIQEVGRLPQTSANTEFGNGVYTTLSGRGIDQYSAGWFGRMVPGSRLDDAQTRMVKPQPLVLAHGEAQKMKGNSCKIFGIHLDSPAKSEPLNSPPSVAYDGMPQAPAAHEWRRVDTEVEKCSDTSKMAKQLDAPQADPILKKHLSCPQASRSTECKSHGGSTRSCKKVRGSYKVQGLHGTGRRAG >PVH34462 pep chromosome:PHallii_v3.1:8:41173883:41174395:1 gene:PAHAL_8G224700 transcript:PVH34462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPHRSLLKMPPSRPLTMMQDLHLELARQPCPSSSCSCLLASRPWSPPNPGRSAAAARTRPTARTNPTLSSYPSSQAPTPAVCSTKPSRATSPTRSTASRSAAATWTLMPAAPVSAPRSWARGSGARSARTRPSSTTSASSASPTRNTSLTWTPLAVSTPPCLIMARSS >PVH33943 pep chromosome:PHallii_v3.1:8:14613919:14616405:-1 gene:PAHAL_8G107500 transcript:PVH33943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTRKHASGGEKRRKRKRIEELNASQRGDIHKFFQTRVPSRNPEELAIVVWKPLDGGISQENIDTNNNVSDHENPEVVQAESTHVDEEPFFSIDICDPRNWGRLDNKARDVLVEKGPIREQNLEFPLDENSRHFSYAHYSKVLKNGEVHDRKWLVYSKHIDKVFCFCCKIFKSNNMKSSLASDGLRDWRHLSVRLKEHEGTVEHKISMNTWNELRIRLRKQETIDKELQVQFKKEKEQMKQVLFRLVAIVKFLSKRSLAFRGSSEKIYSESNGNFLACVEMIAEFDPVLQEHLRRIPNKEIHYHYLSHKIQNELISLLASSITNSIIEVVKRAKYFSIILDCTPDVSHQEQMTVIVRSVNMSEDKIKIEEYFLGFLEVDDTSGLGLFNVLIECMESFGLNIDDIRGQGYDNGSNMKGKHQGVQKRLLNINPRALYMPCACHSLNLTLCDMANSSRKAISFFGIVQRIYHIPDFTVKSLCNTRWESRIKSVKAIRYQAPQLRSALSQLRDASDSEASTKSDAKNLFDLLGSFEFILGMVIWHDILFAVDNVSKKLQSTSMCIDSALQQIEGIMQYFRNYRNEGFQSSLKIAKELATEMEILQAEKDFEVNYLLVMVDMAISSLKNRFEELQVFKNIFGFLLSSRTLTSLDDIELRNCCIKFGKTFSFGNSSDVDLNDLISELKVLQMTLPDKQMSAMDIFEFVREVDSYANVVIAYRILFTMPVTVASAERSFSKLKLLKNYLRSVMSQERLNGLATLCIEKQLLDDIDIDSIINDFASRSVRRNIFK >PVH34220 pep chromosome:PHallii_v3.1:8:33954541:33954777:-1 gene:PAHAL_8G175000 transcript:PVH34220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRVSRCWLKSIKQYWYAITKPFKICACSEDMGSKILQSMMEISYPIF >PAN43041 pep chromosome:PHallii_v3.1:8:39150244:39153554:1 gene:PAHAL_8G206400 transcript:PAN43041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRDGFFGAAERASPPPPSCSFLGSAAATTGSGGAQMLSFSSSGAAGLGLSSGASKMQGVLSRVRGPFTPTQWMELEHQALIYKHFAVNAPVPSSLLLPIRRSINPWSGLGSSSLIWTPFRPGSGDAEPGRCRRTDGKKWRCSRDAVGDQKYCERHIKRNCHRSRKHVESRKATPTIAEPSLAVSGGPSLHSYAVPWQQQVKSSAANVTDPFSRESNRKLLEKQNVHSQLSVSTPMDSFDFSTSGSSQNRDIVALSPVEFQHDHDQAYLVHGVGSSAENDNDLQESLLLVSRETLDDGPLGEVFKSKSCQSAYTDILTDQWTATHDMHSPTGILQLSNSNTMPVENHINSNDYLMATMVNAQTVPTFL >PVH34364 pep chromosome:PHallii_v3.1:8:39150199:39153766:1 gene:PAHAL_8G206400 transcript:PVH34364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRDGFFGAAERASPPPPSCSFLGSAAATTGSGGAQMLSFSSSGAAGLGLSSGASKMQGVLSRVRGPFTPTQWMELEHQALIYKHFAVNAPVPSSLLLPIRRSINPWSGLGSSSLIWTPFRPGSGDAEPGRCRRTDGKKWRCSRDAVGDQKYCERHIKRNCHRSRKHVESRKATPTIAEPSLAVSGGPSLHSYAVPWQQQVKSSAANVTDPFSRESNRKLLEKQNVHSQLSVSTPMDSFDFSTSGSSQNRDIVALSPVEFQHDHDQAYLVHGVGSSAENDNDLQESLLLVSRETLDDGPLGTVHLCTLGVTTGVARIASDD >PVH33547 pep chromosome:PHallii_v3.1:8:1469016:1470053:1 gene:PAHAL_8G021400 transcript:PVH33547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLSRHPSGSEKRKKRKRLDELTESQRGSIDKFFKPNTSASRNQDEWAIVAVEEQTNTNPEDQDPTDDNVGINTDDNNVIFTTN >PAN41170 pep chromosome:PHallii_v3.1:8:1530407:1531723:-1 gene:PAHAL_8G022400 transcript:PAN41170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQVQRTLVIPPPPSEPSAEVPLTVFDLVAPAYHVTVLFAYAPPNPTNAALLHALTATLPRFPLLTARRPSQPDHRRPFFLTGKGGAGALVVEAAVSSPLADHLPLAPSRDLERLHVPVDGDTPHVLVLQINRFACGGLLVASSAHHQAADGYSMSTFFHAWADAVRAGASGAPPVVDRPPVPYGPGAVVPRRPPRCEFEHRGAEFLPRDAAARRPPPARVHPSEIANLLLHFTGELVADLKACAHNKYTTFETVSAHLWRKITAARGRADDPARTALNVTVNGRARLGPDSLPRGFFGNAVLTASAGTGARALARGSLADAAALVRAGVRARDRRYFQSFVDFGALRGGEELEPAVGDEDNVLLPDVAADSWLHLELHRLDFGCGGRLAGILPAHSPLDGVVVLIPGLGKAGGVDVFVSLWHKHAEVLRDIAYAMD >PAN43851 pep chromosome:PHallii_v3.1:8:44851196:44853634:-1 gene:PAHAL_8G268400 transcript:PAN43851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGDAPAPREPEDEEEDNGGVVKLISAEGFEFVVDKKAAVVSNTLRNMLTSPGGFSESRQGEVRFPEISTHILEKICRYFYWSLHYSSGTESAEFAIDPEITLELMMAANYLDT >PVH33874 pep chromosome:PHallii_v3.1:8:7439803:7446036:1 gene:PAHAL_8G081200 transcript:PVH33874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAKLQVSRNVPCWCWLLNDQPKMAEAALLALSKIGFYLAGEAATFIATKFSNLIDLPNTVQRIRRELLMMNIFIRKTGASYLSDELLKAWITEVRMLAYRVEDIMDNFSYHSLQFKQDPKGKKLANGLSYGLVFSGIAGDLAQIEKEIEHVSKLKNMWVNSVHELLPTQVTSSEQQFPRYSSPQLIKDENLVGFKEDTELLQKLLTPNAPVHVVNIPALEVVSLLGMGGMGKTTLLTSVYEQLKDLFDIHAWLTISQTYRGADALLKELLNIVSASEHTATADANNVHQKEVTVPVDIEIGDKKRLKPDDINKMDVLELKRNLKTVLKHKKYIVVLDDVWDRRVYDGISDVFEDSGKQSRIVITTRKHDVAALATPGYLLKLNPLDIKDALQLFCTKAFPNKNHFDWTSELLEHANDIIKRSEGLPLEKCPRELEELATHIVTKCEDSTLVMCPSKLQELATDTVKKIKSLSLSVCPSELQDLATVIVKRCEDLPLVKCPSELQEIATDIVKKCRGLPLAIVSVGSLLSSRKQIEPVWRQMYNELPCELEKDDQARGILNLSYYDLPSDLRNCFLYCSLFPEDYHFSKEDLVRLWVAEGFVERKGDSTPEEVAEGYLTELIHRNMLQLVENDELGRVKTCKMHDILRELALSISKAEMFGTVNDFGAMVQMDTGVRRISSYGWKKMKKNKSNMKFPHLRTLMASDTIVDYVPSILSESKYLTVLELQNSDFQELPTSIGNLFNLKYIGLRNTRITSLPNSIKNLSNLQTLDVKSTSIKALPPGIVHLTKLRHLIADKLADKNQSEFRYFVGVEAPEGLSNLEDLQTLETVQASKDLIEQLDKLLQLRSLWIDNISASHCGELFATLSTMPLLSSLLLSAGDENETLSLKDFNPICTKLHKLIVRGCWALGTKHCPILQNHGKYLKYLALSRCHFVEDPLVVLASCVPNLTYLRLNNIHSPHTLVLSAGSFPHLNTLVLKDMNDVSLLTITDGALPGIECLYITSLPQLQTVPQGIRSLGSLKKLWLLGLHRNFKAQWDMDGMQKYLQHVPEIRVL >PAN41949 pep chromosome:PHallii_v3.1:8:7439803:7444839:1 gene:PAHAL_8G081200 transcript:PAN41949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAALLALSKIGFYLAGEAATFIATKFSNLIDLPNTVQRIRRELLMMNIFIRKTGASYLSDELLKAWITEVRMLAYRVEDIMDNFSYHSLQFKQDPKGKKLANGLSYGLVFSGIAGDLAQIEKEIEHVSKLKNMWVNSVHELLPTQVTSSEQQFPRYSSPQLIKDENLVGFKEDTELLQKLLTPNAPVHVVNIPALEVVSLLGMGGMGKTTLLTSVYEQLKDLFDIHAWLTISQTYRGADALLKELLNIVSASEHTATADANNVHQKEVTVPVDIEIGDKKRLKPDDINKMDVLELKRNLKTVLKHKKYIVVLDDVWDRRVYDGISDVFEDSGKQSRIVITTRKHDVAALATPGYLLKLNPLDIKDALQLFCTKAFPNKNHFDWTSELLEHANDIIKRSEGLPLEKCPRELEELATHIVTKCEDSTLVMCPSKLQELATDTVKKIKSLSLSVCPSELQDLATVIVKRCEDLPLVKCPSELQEIATDIVKKCRGLPLAIVSVGSLLSSRKQIEPVWRQMYNELPCELEKDDQARGILNLSYYDLPSDLRNCFLYCSLFPEDYHFSKEDLVRLWVAEGFVERKGDSTPEEVAEGYLTELIHRNMLQLVENDELGRVKTCKMHDILRELALSISKAEMFGTVNDFGAMVQMDTGVRRISSYGWKKMKKNKSNMKFPHLRTLMASDTIVDYVPSILSESKYLTVLELQNSDFQELPTSIGNLFNLKYIGLRNTRITSLPNSIKNLSNLQTLDVKSTSIKALPPGIVHLTKLRHLIADKLADKNQSEFRYFVGVEAPEGLSNLEDLQTLETVQASKDLIEQLDKLLQLRSLWIDNISASHCDGALPGIECLYITSLPQLQTVPQGIRSLGSLKKLWLLGLHRNFKAQWDMDGMQKYLQHVPEIRVL >PVH33875 pep chromosome:PHallii_v3.1:8:7439803:7444960:1 gene:PAHAL_8G081200 transcript:PVH33875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAKLQVSRNVPCWCWLLNDQPKMAEAALLALSKIGFYLAGEAATFIATKFSNLIDLPNTVQRIRRELLMMNIFIRKTGASYLSDELLKAWITEVRMLAYRVEDIMDNFSYHSLQFKQDPKGKKLANGLSYGLVFSGIAGDLAQIEKEIEHVSKLKNMWVNSVHELLPTQVTSSEQQFPRYSSPQLIKDENLVGFKEDTELLQKLLTPNAPVHVVNIPALEVVSLLGMGGMGKTTLLTSVYEQLKDLFDIHAWLTISQTYRGADALLKELLNIVSASEHTATADANNVHQKEVTVPVDIEIGDKKRLKPDDINKMDVLELKRNLKTVLKHKKYIVVLDDVWDRRVYDGISDVFEDSGKQSRIVITTRKHDVAALATPGYLLKLNPLDIKDALQLFCTKAFPNKNHFDWTSELLEHANDIIKRSEGLPLEKCPRELEELATHIVTKCEDSTLVMCPSKLQELATDTVKKIKSLSLSVCPSELQDLATVIVKRCEDLPLVKCPSELQEIATDIVKKCRGLPLAIVSVGSLLSSRKQIEPVWRQMYNELPCELEKDDQARGILNLSYYDLPSDLRNCFLYCSLFPEDYHFSKEDLVRLWVAEGFVERKGDSTPEEVAEGYLTELIHRNMLQLVENDELGRVKTCKMHDILRELALSISKAEMFGTVNDFGAMVQMDTGVRRISSYGWKKMKKNKSNMKFPHLRTLMASDTIVDYVPSILSESKYLTVLELQNSDFQELPTSIGNLFNLKYIGLRNTRITSLPNSIKNLSNLQTLDVKSTSIKALPPGIVHLTKLRHLIADKLADKNQSEFRYFVGVEAPEGLSNLEDLQTLETVQASKDLIEQLDKLLQLRSLWIDNISASHCGELFATLSTMPLLSSLLLSAGDENETLSLKDFNPICTKLHKLIVRGCWALGTKHCPILQNHGKYLKYLALSRCHFVEDPLVVLASCVPNLTYLRLNNIHSPHTLVLSAGSFPHLNTLVLKDMNDVSLLTITDGALPGIECLYITSLPQLQTVPQGIRSLGSLKKLWLLGLHRNFKAQWDMDGMQKYLQHVPEIRVL >PAN41950 pep chromosome:PHallii_v3.1:8:7439802:7445032:1 gene:PAHAL_8G081200 transcript:PAN41950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAALLALSKIGFYLAGEAATFIATKFSNLIDLPNTVQRIRRELLMMNIFIRKTGASYLSDELLKAWITEVRMLAYRVEDIMDNFSYHSLQFKQDPKGKKLANGLSYGLVFSGIAGDLAQIEKEIEHVSKLKNMWVNSVHELLPTQVTSSEQQFPRYSSPQLIKDENLVGFKEDTELLQKLLTPNAPVHVVNIPALEVVSLLGMGGMGKTTLLTSVYEQLKDLFDIHAWLTISQTYRGADALLKELLNIVSASEHTATADANNVHQKEVTVPVDIEIGDKKRLKPDDINKMDVLELKRNLKTVLKHKKYIVVLDDVWDRRVYDGISDVFEDSGKQSRIVITTRKHDVAALATPGYLLKLNPLDIKDALQLFCTKAFPNKNHFDWTSELLEHANDIIKRSEGLPLEKCPRELEELATHIVTKCEDSTLVMCPSKLQELATDTVKKIKSLSLSVCPSELQDLATVIVKRCEDLPLVKCPSELQEIATDIVKKCRGLPLAIVSVGSLLSSRKQIEPVWRQMYNELPCELEKDDQARGILNLSYYDLPSDLRNCFLYCSLFPEDYHFSKEDLVRLWVAEGFVERKGDSTPEEVAEGYLTELIHRNMLQLVENDELGRVKTCKMHDILRELALSISKAEMFGTVNDFGAMVQMDTGVRRISSYGWKKMKKNKSNMKFPHLRTLMASDTIVDYVPSILSESKYLTVLELQNSDFQELPTSIGNLFNLKYIGLRNTRITSLPNSIKNLSNLQTLDVKSTSIKALPPGIVHLTKLRHLIADKLADKNQSEFRYFVGVEAPEGLSNLEDLQTLETVQASKDLIEQLDKLLQLRSLWIDNISASHCGELFATLSTMPLLSSLLLSAGDENETLSLKDFNPICTKLHKLIVRGCWALGTKHCPILQNHGKYLKYLALSRCHFVEDPLVVLASCVPNLTYLRLNNIHSPHTLVLSAGSFPHLNTLVLKDMNDVSLLTITDGALPGIECLYITSLPQLQTVPQGIRSLGSLKKLWLLGLHRNFKAQWDMDGMQKYLQHVPEIRVL >PAN42385 pep chromosome:PHallii_v3.1:8:18784668:18786081:1 gene:PAHAL_8G128400 transcript:PAN42385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARRARCTHRAAPYRRSWLPRCSCSDDGARGSDAGGGAAIPVPDDALSPVFARLCSAADVVRCAATCWRWSCLVAKDAAVLSRTLPPLPCLTLGFLHQEQRRSHRAQPSFVPTAAAARLIGLPGPSCTALADSVLAAGDRRGLFEHARPVAARNGWLVLELSQERYTDGVKLCLCNPTRGGVALLPPLAGADNPGDFACALYTGHDLNPPQPLSAFFRLLLVYNRHSFTALRSYSSDTGRWSSEATRSGGPKIASHRLRELGRSIVIGGVAYWHLRRTAFAVRLDTPQPAEVRMPQSGIPGDTPPGWGALGVAADGKLIFIDAVLGSDINPAVCSKHLTVAPRAVFCPRSGDDGCSGQWERTEECIRLKQLMVRYQGSREEKVLPVAGMKINLRWFCEKSGNLLFTLGEGTASPGAYVLDMATQHVEKVADDIDCHSWENFVGYEMDGAAYLQSISRH >PVH34390 pep chromosome:PHallii_v3.1:8:39626907:39632229:1 gene:PAHAL_8G211700 transcript:PVH34390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTTGAIALFCFSLLLFCSYALVSPGSSNVTADELTLLSFKSMFASAGSLASWNSSSHYCSWPGVVCSRRHPERVTSLRLGSSNLSGRLSPVLGNLSFLKILDLHDNNLVRQIPPELGRLSRLLVLNLSTNSLQGAFPVPLVGCSNLRMLHLSDNRLQGQFPTEIGASLKKLLLLNVEKNGFSGEIPASLANLPLLKILNLRVNQFSGEVPPALGNLSNLMVLGLDYNKLSGAIPSSLGRLSSLYRLTLGFNNFSGLIPNSIWNISSLTAFTVQQNNLNGSLPPNAFSSFPNLEIIGTDHNQFHGSIPVSIGNASSLWLAQLGANPLSGLIPPEIGALKHLKILGLSDTMLEAKEPDDWKFITALTNCSEFSQLELSSCNLGGVLPDSLSNLSATMAALYFDTNKISGAIPKDIDNLVNLQALDLDNNYFTGYLPSSLGRLQNLQILSVANNKLGGPIPLALGNLTALNMLNLRSNAFSGSIPSVVGNLTSLLSLSLASNSFAGQIPSEVFNISTLSNGLDLSHNNLEGSIPLEIGNLESIIIFHAEYNKLSGEIPSTIGECQRLQNLYLQNNFITGSIPSSLGQIKGLETLDLSRNNLSGPIPKFLGDLTLLYSLNLSFNNFFGEVPTVGVFANASGVSVEGNGKLCNGITGLHLPPCSIQRPKKKQKLVIVPIVISLVATLVILSSLYILISWCKRSKTKTPSTTIMQGHPLISYSQLVKATDDFSPTNFLGSGSFGSVYKGELDGQDGECKDLVAVKVLKLETPGALRSFIAECEALRNMRHRNLVKVVTACASIDARGNDFKAIVYDFMPNRSLDGWLHPEANDQTAQRFLTLIERVSILLDVAYALDYLHCDGPTPIRHCDLKPSNVLLDADMVAHVGDFGLAKIIIDGSSTVQQSTSSMGVRGTIGYAAPEYGAGNVVSTEGDIYSYGIIVLEMVTGKRPTDNIFTQGMSLREYVEMALQTGRMDVVDMRLSLSLKNEANDANASHNRKIEALISLLRLGLSCSEEMPTSRMPTGDIIKELVAIKSSIRI >PVH33499 pep chromosome:PHallii_v3.1:8:936453:937656:1 gene:PAHAL_8G013600 transcript:PVH33499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKLSGAAVLLIVVVPLFMYTARACAREEAGQRRRQLQHPRALAYLAKELWDSNRNIWGSGGRRGRGRDGSRVEQVVWVPFPASRSIRDESSAANTKQPKHPVSPSVVQGRDNTSTANNPKAHTNHTWPGGVISVGPWGGSGGQPFYMRGPVAPRLRSIILHHSISGIHSLACEYSLAGDEAAANRIRTAGPWGRHQSAELHRSTIKLSAGEHVTAVEGTIGRFGGVPDLVITSLTFRSSTGRTYGPYGNTAAAGTPLFSLPVADGAGIVGFWGRSGWLLDAIGVYVKPSSSIVKPGRLQDRQYTTMDMMK >PVH33605 pep chromosome:PHallii_v3.1:8:2113230:2114220:-1 gene:PAHAL_8G029900 transcript:PVH33605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATHAQRMVFPVLLTIADLSKKRKNKSSSSTGAKKQKSTSNTSTAEASDPSVRGSATGSNQLDPLAITYPYESNEQYMADQSTRQEHHKKTNAKTYSKQD >PAN41440 pep chromosome:PHallii_v3.1:8:3306976:3307349:1 gene:PAHAL_8G044300 transcript:PAN41440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRDEAVRPQNPPKKSNRNHSACERKKSPRIRRKEPDFKAMGTRSHPSIIKSPRNPISETECRPEPQQKSPRGRNRRTSLAPTTKNQKQKKTFKIQENEGKENRNGAH >PVH34513 pep chromosome:PHallii_v3.1:8:42146376:42147861:-1 gene:PAHAL_8G235800 transcript:PVH34513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGILQGFVVGAGSFPCLVSCWFSRSVWPVVFQQEAMPRLRELRIWPLFYVREARGMASSDGGLDFGLGNLLSLQEVKIEILGAGATKEAAEQAMVPLTHVASIQMKNSKPEKRSASPHLQRLNWSTMDGTKQLEPYRNAAPCR >PVH34485 pep chromosome:PHallii_v3.1:8:41648368:41649413:1 gene:PAHAL_8G229900 transcript:PVH34485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSYSIRPRYKKYNKNGPGA >PVH34447 pep chromosome:PHallii_v3.1:8:40539901:40540730:-1 gene:PAHAL_8G219900 transcript:PVH34447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQGHPRNKPLCSDCSSLCNTNCTAMVNANCSNECSFQFSCQQCQSQVRQACCQDFCSRSDGTSSYSCCPNNCVDGDCSTCTCDNCNAAIQNSCTSACSDRYCRACQSGVAQQCMSSCLSDCNDHCVKKNC >PAN42353 pep chromosome:PHallii_v3.1:8:14660576:14661834:1 gene:PAHAL_8G107700 transcript:PAN42353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGNHTFSASDIYDSAAAPAAPLPFGADDMLLELDAFLLDTNAAEESSEWLSPSPSSSSDAAVEPLSPSTPGQQRRPDAGASPPGEKRQATAFIGVRKRPWGKFAAEIRDSTRRGARVWLGTFDTPEAAALAYDQAAFSARGDGAVLNFPVERVRESLGALALAGAGAGDGGSPVLALKRRHSKRTRRRKQQLSPATDDGMNNLKPRRQPERQCGADASAVPVPELEQQAGARCWCHCGGGVVELEDLGTDYLDELLRVSSELECSLIGGGH >PVH33574 pep chromosome:PHallii_v3.1:8:1757568:1762341:1 gene:PAHAL_8G024300 transcript:PVH33574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGRASSSGMAASLVPHAQGAVPTVGFGGYHGAVRVEPAAPSAPDAPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALSTLFAQTPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSDNLKLTTQALSDKATPTDELEDMHQRVISSSLLAMATLIDILLGVKLQNCDVDSTSTEKRSLSKVRSTTLSSVEAAFCMHKCFLDVLKSNSAVIRSATYSLLTSYIKHVPHVFDEETMKKLSPTILGAFHEKDVSCHSSMWDTILVFSRKFPEAWSYCNIHKVVLSRFWNFLQNGCYGSKQISYPRLVQFLDSIPPKAVMGQQFVFDFLHNLWDGRNQRQLSAADSLAFCIAFKHIFCGF >PVH33833 pep chromosome:PHallii_v3.1:8:6584101:6585390:1 gene:PAHAL_8G074100 transcript:PVH33833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLPRPASLQDLGEDIQHMILRRIPCGIDRGCMSLVCRAWRDVMRDQRRRLGHLLPRLPALPRLLLPAPFSVRCLASGSRVCCVLSACCVHQDHHYLAVTPPGSRCFGSHDDGWLFIETHRPRSHKAIHVRTGVVRVFPRELERRTDPYPYVHRMVIHAAALSVTWPHEPNYVGAAIVTSWQHPPLGGALAVPPYRRCIALWRRGWRRAFDPVPLDHYDAALDAEDVLYDRGGRCFFFLTQGEHIRVCMPVRLRDNKLITHWETVLFCPGGRVYDQYVRARYLVVSRMDLLMVVRFTPQPNQPTSKFKVFRVAKRNNMPDAYADAFPVAQYPFAWSELHALDGRVLFVGHGCSRSYEARRYPPDFKDGIYFLDDGEFYDAAVIFGIGNGNQRRYPCSDNGKWSNGHVQRCFPRPDPSDHSAPVWILP >PAN40969 pep chromosome:PHallii_v3.1:8:143236:144044:-1 gene:PAHAL_8G000800 transcript:PAN40969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFQQPAPTQTPNMFSFQPPPQPASTSGFPGFSNTANQALIGPQTPSQSNMVMQPAPVSNPFGTLPAMPQMSIGNGG >PVH34272 pep chromosome:PHallii_v3.1:8:35903569:35909091:-1 gene:PAHAL_8G184000 transcript:PVH34272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPARWRRGARSPVPPRLLHRALLVAAFSAAALILLILLQHHHGPKAPNTSTASRSRVFSDELPEDSPSAEQDPGVGDGATCATVERMGEKAAGAGRGSPEQASLRVRALIRRHFELHGAERVRTLPAHEFCKQGFVLGKASEAGFGNEMYKILTAGALSVMLNRSLIIGQTRGLYPFGEYISYTNHSFTIHEIKHLWRKHHCARTYGRDLNIRVDIFENPLETNVLCSDWNSWKDPIIWFDGTTDAVGIQFFLKNVHPRMKAAASALFGLPDSLDARPNTFGELMRAIISPSGTVKAAVNWALKGVNPDVVLHMRMMANRPVRARKAAVLCIKRALQICCMTGTPRVALVSDTPAFVKEIKSEISEFAEVLYFDYKLFTKTSGLEIVGNDKPLDFRSRDWGSAPRWVAFVDFFLAAQARNAVVTGAHRRVGTTYAQLIAALAAANRHGQEPSGTNFTFLSSVHSNLLVDGLSTQVGWGHVWNRYAGPLSCRRQPHQCALTPLLPPAWWDGQWQSPISRDVRRLVEYGVRLSNTGEVDERRLAAHCRSRKDHVKRYHVLPPYKNPA >PAN40943 pep chromosome:PHallii_v3.1:8:423386:429547:1 gene:PAHAL_8G004200 transcript:PAN40943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPFSIYQAYEEDRTGRSSKNGHPPLPAILPSPSSSPRQGKPPPLYCTARYTKRRRRQGGITRLAQISVHCSVIAPLFLLFRRRRFQSSIGTMVKSKSLWSQVVKSTRPTNLSISARNLQPKDLGAVIFGCTNNTIAECHSRQLFGLPRAHISYVRNIKEGLPLFLFNYDDRKLYGIYEAAGSGKFCPESNAWSNDGQGKTSFPAQVAMRVSVWCFPLAENQFRNAIVANYYQNTPSVPGQKLHFFQFELDHAQTRVLIDMFTPSPPPNNFWMPLVAAPADEHVRELVSSPVRTPKHEGKVKPEKAPVRTPKHEGKVKPEKVVKSYADMVKKNKFEEVVKGDVDEEHASSDNESSNGFDDLDCGETPTEREEFALSDQAGEIKKQQHSDKKTKVLSFNHVLEGHAALPVQQWSPYLYANATETEGNDAYSCKYAQEVKCAILDGHSNLPETLDVEANQLSMGHSNLLVQLLESESCTEAKMIDVVKELSGRIELMEKKQAWSNKEVKYLQGMNERLLKRIVELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGLSFLPSLDSFSPSLDILTPLKPMPIGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRSRDDWTTCPSLTHDKGSLAGVSVNGRIYAFGGGDGSQCFSDVEIFDPTHGKWIKNQPMLEKRFALAGVALNGVIYAVGGFNGAQYLSSAERLDPREPNWKMLPMMSAGRGCHTLAVLNEKIFSIGGYDTGAKAMVATVEVYEPRMPLWVMVEPMSYTRGYHSSAVLGGSIFTFGGVKGEGDTILDVVERYKEGCGWLTTDLKSIGRRCYCSAIVL >PVH33487 pep chromosome:PHallii_v3.1:8:840995:843254:-1 gene:PAHAL_8G012000 transcript:PVH33487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFISAKHPCTYAMRINGESHGLRLAGPCHTFQTPCLLCSLTSKLYPPCSAASFHPPCHFPPVHPSLYLRSFSDANTMSNRIHPSDAGRGGRARRAAQAPADSRPAVYTVWKRSSMGFQGTDGFCVYDDAGRLAFRVDNYSRRRKLCAGELLLMDGQGTPLLCLRPQLLSLHDRWNCYMATEEEGLDKKPSPTSQQQVFTMSRCSALKSSDEAEVHMSPAGTTASSSSGLSCKHPQVAAAPAYRIEGSFSRRNCKIRRGSDGREAARIGRKSAGVASRPVATLGDDVFSLVVRPGVDVATIMAIVVVMDRICHKPYTPMVCSSQ >PAN40986 pep chromosome:PHallii_v3.1:8:606315:609191:-1 gene:PAHAL_8G007500 transcript:PAN40986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTGKILMERYELGRLLGKGTFGRVHYARNLESNRSVAIKMMDKDKVLKVGLSEQIRREITTMRLVAHKNIVGLHEVMATRNKIYFVMEYVKGGELFDKIEKSGKLTESAAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDEDENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKTGYDGAKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKIQHGDFRCPSWFSHKLKKLLYKILDPNPSTRISIQKIKQSTWFRKGPEGTRTFKEKIPCENATTNAAPTLSVRRGKNAYEDVRSLPVTNLNAFEIISFSSGFDLSGLFIEKEQRKEARFTSDKPASAIISKIEDVAKMLNLRVRKKDNGVVKIQGRKEGRNGVLQFGTEIFEITPFHHLVEMKQTSGDSLEYQKLFEQDIRPALKDIVWAWHGDDQQQMQE >PVH34300 pep chromosome:PHallii_v3.1:8:37094466:37095694:1 gene:PAHAL_8G189900 transcript:PVH34300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYKYKYRQPMLVGQNLNDAEGYVLKLHEWYLDESKRKEENEFNVTFYYRRKTDQSNLHSTTIVKDNKLRFVVNSWEKLFYSQDGLTSITVVPDEISRLFNFGEMGFNLLRSYELFLINECENSGKNHVAFLEPFFIMDDEKNELKSIPYEDAEGYITVAIRKFKDNKALIMIPFYERAHWILVVIVPEYRSVWYLNSKRDFNTSTTMFDLIARAYKNVADIKLTRINKKCHLQTGNEECGFYVCYHMWLLSELQVYMLSIWHTVRSLKRKKVNMFICALDSEYSLRQDAIIDDVPLTKDRMNTVRAGICQMIYDAANVKDKK >PVH33975 pep chromosome:PHallii_v3.1:8:15676414:15677448:-1 gene:PAHAL_8G111800 transcript:PVH33975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLPTVRDCPECGSMKTEARESVFGRLGPAPTQQELVRSPRREDEEEDRYHRPRWCPDGLNRSQKRRFQRLRSLEEAEARYIETLKKARPDLAEQVHYVQEKKPRASRKEWRPKSTKADKKVSADTHMVFVLPAEFHARTYEELSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSIMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PVH33999 pep chromosome:PHallii_v3.1:8:16829880:16830104:1 gene:PAHAL_8G117900 transcript:PVH33999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVPKLQSWPDLVGQHTDYATNVIHQDRPDLRVVGHLEGHDPEPEIEELDRVIIWQFIDTSFNSIVSRVPTQG >PAN43831 pep chromosome:PHallii_v3.1:8:44918373:44926747:-1 gene:PAHAL_8G269100 transcript:PAN43831 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MEDEENIEEELFLVESQLHDIQGQIKTLLDRQEELYERQSQLKALLEVSKMSRNKSNYESSVTLEDWSGSFPWDSQADDTRFNVFGITSYRSNQREIINTVMSGKDVLVIMAAGGGKSLCYQLPAVLRNGIALVVSPLLSLIQDQVMGLAALGIPAYMLTSTTNKEVEKFIYRALDKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAVDEAHCCSQWGHDFRPDYKNLGILKIQFPSVPMIALTATATSKVQMDLIEMLHIPKCVKFVSTVNRPNLFYKVYEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRRECEQVAKELCNRGIWAEHYHADMDIIAREKIHMRWSQGKSQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKSCRRGAFFQHFGEALQDCNGMCDNCASSIELKEIDATHHTKIVVSVLRDLQLNDQRATLLQLVDKFKAKWKGLGHSNNDVDLKREEIEQLIVQLILDHVLKEEFQHTAYSTNAYVTLGPLWKPALQGNRLVKLEIAVESSQDRGGGGKSSKGAKRSRMSDLEAKLDKLRRELSSSSSSNGGISIFPHAVLSSEQISLLSCQKPTTEAELEKVIGKVKMEKYGGRIIELMRSHVMDARAGNGADANKRNKKDKDVVCVESSDEE >PVH33535 pep chromosome:PHallii_v3.1:8:1356785:1356988:1 gene:PAHAL_8G019300 transcript:PVH33535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFLLGFGSSLLVLARMNILSCVSTPASQMEFKCNSKRKNLQCSQTHQFGYQLVAGCHYSRIYRTS >PVH34623 pep chromosome:PHallii_v3.1:8:44266691:44268771:1 gene:PAHAL_8G261200 transcript:PVH34623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAWGPYCHQFQLSNWTVEEPAAGLPVEYASIDIPGTCGLQAYVPESSGGQQLVEYNYYHAASNSKHMSQQVGDVDGEAKISNDSHARSVVRQVLGEFKDDIGMTMAKMHRYPACLGAVDKCYTSPRIVAIGPYYHHRLDHLKQAEKVKHAAACHCVKRSGRLLEELYEAVVPIADAARRLYDKDVMAGISYDNFRHMMFFDACFLVQYMLMRGRTEVIDESLSSFMSPNRIDIFHDIMLLENQLPWEVVEIVMSFMPVPMSLISKKFVARMRHCMLPDDRHEPLQQKPFIWYEGYKPPHLLGLLRHYIVGKSDVDYPASMPKNIAFSVSAMELAEIGITLTANKTTELVDMRLINKVGTLFAELSLPPLSLDRDCASYLVNMVALELCTVKSFSEVKASYSAVCSYILLLAMLVYREEDVHELRARGILQGGGGLTNKEALRFFTSFQGLRFGPYYYHIMLQIESYRDRSRMKTKLLTLIHNHKKTIATVVTGIGAVGGIIATLLSIKKSL >PVH34622 pep chromosome:PHallii_v3.1:8:44266691:44268771:1 gene:PAHAL_8G261200 transcript:PVH34622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAWGPYCHQFQLSNWTVEEPAAGLPVEYASIDIPGTCGLQAYVPESSGGQQLVEYNYYHAASNSKHMSQQVGDVDGEAKISNDSHARSVVRQVLGEFKDDIGMTMAKMHRYPACLGAVDKCYTSPRIVAIGPYYHHRLDHLKQAEKVKHAAACHCVKRSGRLLEELYEAVVPIADAARRLYDKDVMAGISYDNFRHMMFFDACFLVQYMLMRGRTEVIDESLSSFMSPNRIDIFHDIMLLENQLPWEVVEIVMSFMPVPMSLISKKFVARMRHCMLPDDRHEPLQQKPFIWYEGYKPPHLLGLLRHYIVGKSDVDYPASMPKNIAFSVSAMELAEIGITLTANKTTELVDMRLINKGGGRPRATCEGYPARRGRAHQQGGAPLLHELPGSALWAILLPYHATDRELQGQEPDEDQVADVNSQPQENHRHGCHRHWCCWWDHSDSPVYQKEPLIVNFLGSYIRCHVC >PVH33911 pep chromosome:PHallii_v3.1:8:10675334:10676011:-1 gene:PAHAL_8G091700 transcript:PVH33911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLRWFCGHTRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWDIAKELAMDRSAWRLAINVPEP >PAN43575 pep chromosome:PHallii_v3.1:8:44562150:44565967:-1 gene:PAHAL_8G265500 transcript:PAN43575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRRRRRGFKTSSNATARLVASSNPKSQIESSSSSSGWVHVAMLPLLLLLLLLLASHGHGPAVAAAQGLPPLPIGVNYGANADNLPSPAAVATFLATKTTVNRVKLFDANPAFLDAFAANAPSISIAVSIPNAALPSFADRATGLDAARGWVRDNLAAHVANGANVTLLLAGNEILGPVVVPDLVVALLPAMRRLNQALQLEKLPQVRVTTPHYLGILAPSDGIPSNARFRPGFDDKVLAPMLKFHNETGSPLMVNAYPYFSYNAQNLNYAIFRPNAGIYDPATKLNYTSMFDAQMDAIYTAMKKLGFGDVQIAVGEAGWPTQAEPSQVGVGPEEARDFNAGMIRVCSGGKGTPLMPGRTFETYIFSLFDENQKPGPIAERNFGIFNPDFTPKYDLGLLRDGSSASPNPSPNPSPKPSPNPSPSGGGKWCVAKASADATTLQNNINYACGFVDCKPIQSGGGCFDPNNVQSHASFVMNAYYQANGRHDYDCDFKGTGMVTSSDPSYGSCKYVS >PAN43821 pep chromosome:PHallii_v3.1:8:44560960:44566033:-1 gene:PAHAL_8G265500 transcript:PAN43821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRRRRRGFKTSSNATARLVASSNPKSQIESSSSSSGWVHVAMLPLLLLLLLLLASHGHGPAVAAAQGLPPLPIGVNYGANADNLPSPAAVATFLATKTTVNRVKLFDANPAFLDAFAANAPSISIAVSIPNAALPSFADRATGLDAARGWVRDNLAAHVANGANVTLLLAGNEILGPVVVPDLVVALLPAMRRLNQALQLEKLPQVRVTTPHYLGILAPSDGIPSNARFRPGFDDKVLAPMLKFHNETGSPLMVNAYPYFSYNAQNLNYAIFRPNAGIYDPATKLNYTSMFDAQMDAIYTAMKKLGFGDVQIAVGEAGWPTQAEPSQVGVGPEEARDFNAGMIRVCSGGKGTPLMPGRTFETYIFSLFDENQKPGPIAERNFGIFNPDFTPKYDLGLLRDGSSASPNPSPNPSPKPSPNPSPSGGGKWCVAKASADATTLQNNINYACGFVDCKPIQSGGGCFDPNNVQSHASFVMNAYYQANGRHDYDCDFKGTGMVTSSDPSYGSCKYVS >PAN41887 pep chromosome:PHallii_v3.1:8:6944152:6951720:1 gene:PAHAL_8G076600 transcript:PAN41887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGPAGYYVGRPINYDDQKSQPPPPTSQAAAEQVNAQVPGYYAGRVAGKKAAAGDQSSAAADQTSKQSGFRASCFGCFSGGHTAK >PVH34044 pep chromosome:PHallii_v3.1:8:17760994:17761611:-1 gene:PAHAL_8G123900 transcript:PVH34044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHPSPTQSGPDGYDDRANPSAAKEAGTREDPTMEPAWVTEGILPVMMNCGGVFMPGCTWRRFHRMLTLVSFHDFWALKLRRYEIRNAASKNSLLNSNRITPRTPRLLLIVPSTGRSEGLENFPMFRTLCPKGFGSPNWSSRNTIADPWSSCIMCLDEEKKPLCLLTLRYFSCRDPYR >PAN41618 pep chromosome:PHallii_v3.1:8:4445542:4454677:1 gene:PAHAL_8G056500 transcript:PAN41618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIGSIIPRLAELLNKEYMLQKGVKPSIESLMKHVSFILAHLEELHKVPRDQLDGPKRQWVTLARELLYDIEDVLDTFAVRVVGPGQEPDVGFLTKMACKTKDLIKKAKTRRKIAGEVSGINNELNELKNLRESYKVDSVPNGATSSPDPRAMALYHDMTQLVGIDNASDEVINMLSPTGNDEKLKIISIVGFGGMGKTTLAKFVHDKLMKGKNFQCGAFVSVGQNPEPLEGVLMNMISRLSNKLYKAVLSSPMDRPLLIHKLRHFLQDKRYFIVIDDIWAEKDWNNIKHAFIDNNLCSRLITTTRKVHVSQACCGSDDGIYRIQPLSTDDSKVLFYRRIFHHKDGCPHELEDVSKDILKKCGGAPLAILTIASLLAKKQVQIRDEWYKVVNSIGRGLTTSSDAEGMRWILYYGYNDLPSQLKACLLYLSIFPEDYHISRDRLVRMWIAEGIIIHTTEHQQQNDLVELGESYFYDLMNSNMIQPGDTDDWDRSKITTCRVHDMVLDLIYSLAKEENFVTVLDRTGYASPQGKIHRLSLQTSSAVHTIPEATVPKVRSLFSLSSTINMMPAISCFKILRVLSLDDCEFDESFHLKHLGKLFHLRYLRLSCSCVTELPKEIGNLEFLEALDISGSSIQELPPTIVKLRRLKFLGFSYTLQLSDRISGENKFSNRIGGLTSLQELSTISIDEESEVDILKALGNLTELRDLDIMFGSDTLDMEEALVDSLCNMRNLRKLILNSTSDEDFLLGSMEERWVPPRHLTVHRTLDFIFPKLPVWFNSPLDLQYLCYLSIQINEMCQEDVEKLGRLPVLGFFEIQINMARERPVIGAGAFPCVKDCRILEKNFGPVFQPGAMPKAEKLMIYTSVRESKHINFDLGLGNLPSLEQVIANLFCEKAQDWEVKKLETILRKAADIHPKNFYLNVIPDDRHKQVDVIPDDEEQQSEGSCDKDTYDFSENEDIPPTDGMSNASS >PAN42517 pep chromosome:PHallii_v3.1:8:33999549:34004923:-1 gene:PAHAL_8G175600 transcript:PAN42517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKISSFRCSQSRPVAMATAPANGDSQSGPQRNYQVVVAATRDLGIGKDGILPWKLLGDLKFFKELTLSTSDPAKKNAVIMGRKTWESIPVKLRPLPGRLNVILTRSGSFDFATVENVVICGSMKSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPACEAIHLTDIQSSIECDTFIPPIDFSVFQPWYSSFPMVESNIRHSFVTFVRVRKSLAETNDSNGKESTEVDSKNDKFETENFSFLPKMIFDRHEEYRYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQGKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCDLSPGDFVHVIGDAHVYRTHVRALEEQIQKMPKPFPILKINPSKKGIDSFVASDFKLVGYDPHQKIEMKMAI >PVH34063 pep chromosome:PHallii_v3.1:8:18620623:18622365:1 gene:PAHAL_8G127800 transcript:PVH34063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVDNVKNELVEQEESTGSIPSPLFCTTRPNKRLRSKVWDDFIPTFVNGKVVRAECMNCHRTFNYNSTNGTTGLRNHQSKCNPGTRKRPRQHENTPLPSTQKSIAAVSSDPKQKKLSFLLSGQNKCTGTSDEIPMRELAFPDTHTDKNGKKQEVDQNGPHELLAAPELSTDQLKNQIHGENLWPLYGAQYYHLAVRSIEKIQPSIRRGKIVISNLI >PVH33962 pep chromosome:PHallii_v3.1:8:15358055:15359479:-1 gene:PAHAL_8G110000 transcript:PVH33962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEQNDRSARRQLDFALGINMAGIASRHQAKNMKAGSSNRPQKEKKEYVSEERVRYVRNQRPTSSDLLRKYEYQYQQRLRRESEDEEYEHRTGKRLKKHEDVRDHWYCPFFRYCWDSGMSRLPTVRDCPECAHMKTEARESVFRRLGPAPTQQERVRSPRREDGEEDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHHEQEKKPHVSRKEWRPKPKKADKKVSADTHMVFVLPAEFHAQAYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPINKMLVDTGAAVNIMPYLVLRRLGRSAGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGSKTVPTSFFVVSSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQELISGISLEGCDRIEATKNGVRLVLSTGLTE >PVH34050 pep chromosome:PHallii_v3.1:8:17955369:17955770:1 gene:PAHAL_8G124900 transcript:PVH34050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSPPQPSPLPDASNPPTSLSPALTPTPTTNSPPPALTPTPAATVPPCSPTPVSRTPPSGAPTGRNKSQRWCRDTPPTGKSGGGDAPQPSFLEALLGGIPARSSPAVSATPPRVREVGLSLARTPRAGIPW >PAN41414 pep chromosome:PHallii_v3.1:8:3039242:3041072:1 gene:PAHAL_8G042000 transcript:PAN41414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPVLALIVVAGASIAVAVPCLLLAFLCRRSRNSLKPLIHQRCRSSPSRPALPVSTPDTSSSWSLYGADAGACLQKLSLADLAAATGGFSPDNIIGDGSFGFVYRAVLPSGAAVAVKRLSGDGAAGAGNREFRAELEVLGSLSHPNLARLLGYCAAGRDRILVYELLERGSLDAWLHGEADEDGEALPWPARLRVARGAAAALAFLHHGRRPPVLHRDVKSSNVLLGEGFEAKLADFGLARIVRGSPAKSHVSTQAAGTAGYVAPEIWAGVGATAKADVYSFGVLVIEIVTGHRPSWPVKTKNGEKEVDMVDWAREKIGAGQASEIMDRRMGIGGQGKEMDEAKGLLEIAWQCTDSGQKNRPTMEEAVEMMNRI >PAN41199 pep chromosome:PHallii_v3.1:8:1825504:1829055:1 gene:PAHAL_8G025800 transcript:PAN41199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRSSSAALVVVAHRQQICSSLPASVHSANLLRCLPPPFFILLLHHHLLLLPLVAMRAEVLLLAVLIAAAALLSSLDSRSDVRLLEVGDGDLELVPLDGAAGPESIIFDKSGEGPFTGVSDGRVLRWRAAERRWEEHSCSAPELLDSCRGSQDPGREHECGRPLGLKFNSETGELYVADAYRGLRVVGPEDNVSRPLVPPEWQGSRPFSFANGVEIDYETGAIYFTETSTRFRRREFLNIVITGDSTGRLLKYDPKSNEVEVLVDGLSFANGLAMSTDGTYLLLAETTTGKILRYWIKTPKASTLEEVAQLSWFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRRLILKLPMRHVQRASWLLNRLGRQVIALRLNEDGKTVEAISVHGAIQKIFRSVSEVEERDGSLWIGSVMAPFLGVCRL >PVH34381 pep chromosome:PHallii_v3.1:8:39503164:39503379:1 gene:PAHAL_8G210300 transcript:PVH34381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTVPESWPDLIGERVQDAIVIIHRERPDLRGVRVLPPNQAPTPPAPGDVRVIIYNNSQHIVIPPAPYIG >PVH34171 pep chromosome:PHallii_v3.1:8:30227980:30234031:1 gene:PAHAL_8G163100 transcript:PVH34171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAASSGAPSWGAAAAADPGPTMLSFAGPSSSSSAAAEARLHDFAAGLAQRARPAGAAGAGRRSRSAGGGAEACSVDGCRSDLSRCREYHRRHRVCEAHSKTPVVVVGGQEQRFCQQCSRFHMLSEFDEGKRSCRKRLDGHNRRRRKPQHDLANLGGFFPYHQVNQFEIYPQTIPTARQDSDTMNLVDPQPPFSISFSRTPKQFPFPQDGGGLHSASRHGHFLVQDRNHTGSSVCNNDLSGTLGPECALSLLSSSLHHPSPVGHTQIASALSRIASTSQQVAATAVTTALASGGGHHVFVPDAALEDPSQALPFPWQ >PVH34172 pep chromosome:PHallii_v3.1:8:30228254:30234031:1 gene:PAHAL_8G163100 transcript:PVH34172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAASSGAPSWGAAAAADPGPTMLSFAGPSSSSSAAAEARLHDFAAGLAQRARPAGAAGAGRRSRSAGGGAEACSVDGCRSDLSRCREYHRRHRVCEAHSKTPVVVVGGQEQRFCQQCSRFHMLSEFDEGKRSCRKRLDGHNRRRRKPQHDLANLGGFFPYHQVNQFEIYPQTIPTARQDSDTMNLVDPQPPFSISFSRTPKQFPFPQDGGGLHSASRHGHFLVQDRNHTGSSVCNNDLSGTLGPECALSLLSSSLHHPSPVGHTQIASALSRIASTSQQVAATAVTTALASGGGHHVFVPDAALEDPSQALPFPWQ >PAN42865 pep chromosome:PHallii_v3.1:8:37528114:37529238:1 gene:PAHAL_8G193300 transcript:PAN42865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERVTPQDGSKTALSVEEPAVSAPPPPPVPASGDDVFDPAPFDAVILALPSSFGGGLEEQSVILGDEEEEVADEYNDDDDDIELEGNDEPLRFWSGEAAGSNNDSLVARTVTFLGQPARFASYQDEGMVAFMRLAAAEAPPPAGLDAAAGVIVVHYRYYRFSGSRGWRRGVEAPDYGTDLHHVRYLVPLPAVVADPASSLRLVGASLAGDVYPYRSHSQLQALWSGLLAAAPVRVPTSATGLVVTVDVGMLRRGDRTPERMECMRSALAEKAREADASPVACGHEQHLPAPVCCDDEPAEVAAARPAKRRRLDVVAGEVCAICHEVLEQQGLAAWPRCSHVFHGKCLEQLLATVRHRCPMCRSTLSTKGMLD >PAN43554 pep chromosome:PHallii_v3.1:8:42903720:42906813:1 gene:PAHAL_8G244500 transcript:PAN43554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAIIVIFLLSAMAVSVAAAVVEHTFVVSQVNMTHLCKETLVTVVNGQLPGPAIEITEGDSVAVLLVNKSPYNITIHWHGVKQWLNCWADGVPMVTQRPILPNHNFTYMFNVIGHEGTLWWHAHVPFLRATLHGALIIRPRHGASSYPFPRPHREVPIIIGDWWELDLPQVGWNMKHGSFDFFARGSTINGKLGDLFNCSGVAEDNYVLDVEPGKTYLLRVINAGLFSEFYLKIAGHKFTVVAADAHYVSPYTIDVIAIAPGETVDALVVANAPPGRFYMVALPNQAPLPDTQTPEYTTRGMVQYSRNHSRSGANGAAALMSTHGAKEEEEEEGPSGDVAVAPEMPGHHDTITSFYFHSNLTSLHHPLVPQRVDEHLFIVLGLGSVCKHGRQSCKRGDKNETIVVATMNNISFQHPVATTPLLEAHYYHTGGRNAMEELPDQPPRVFNFTDLDLIPFGPKEMQLEPSSKATVVRRFRHGAVVDMVFQSTAMLQGDSNPMHLHGHDMFLLAEGLGNYDAAKDMTRFNLVNPPVKNTVLVPNLGWAAIRFVANNPGVWFMHCHYEFHLAMGMAAVFIVEDGTTADTSLPAPPVDFPTCFGNCDNVLPYELRLENMKGEHNSS >PVH34118 pep chromosome:PHallii_v3.1:8:26112466:26113827:-1 gene:PAHAL_8G148500 transcript:PVH34118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTNASKKKPSPKCSGAKKSGGSPRVKQRADWNPALERSLVDILHEYKDSGYRGDNGWNSEGWNKMVKEFHLRNKYVSYTKAQIQEKEGQLKRDYKMLKAAKQQSGSSWNEKRNMIEGPQAMWTNLMVTFPKIKKFNNNKATFPLFDALGELYDGHLAEGIYNVTSLETPQEKEPPEQLQDAEDEPQGFDDNVVYEVNDEGGDGTERNEEGLQEMADTLSRDEKNDAPAIERSMMGAYLEMRTKQAEAEAADRAKEMEERERETREREAREKDAAQASDFSIRRCISVLNTMEVTKEEKAKAYAIFIKSKENREAFICACEVDQESALIWLRSEMA >PVH33930 pep chromosome:PHallii_v3.1:8:13916657:13917204:-1 gene:PAHAL_8G103200 transcript:PVH33930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAAVDEAAERKKAAAATGLMWKAPAAMVLVQLIITGLIMLSKVVISRGMFIFALHAYRSAFGTICILPFAVFYERGKWKEMNWRAFGWICLNSCIGYVPVLILSY >PAN42091 pep chromosome:PHallii_v3.1:8:13467230:13471155:-1 gene:PAHAL_8G100700 transcript:PAN42091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGASSTAASKLASVHPCGERKMPHVATRKLSISLLLVPILIHFLSKGRHVNSLAEPTPVLHNESDEHALLCLRSQLSDPSGALASWRNNTSRKFCEWYGVTCSARHASRVIALDLESLNISGQIFPCIADLNFLTRIHMPNNQLNGHISPEIGRLTRLRYLNLSMNSLSGELPETMSSCSRLQIVDLVSNSLEGEIPPSLAQCSALQQIILGNNNFHGNIPSQFGLLPNLTALFIPGNKLTGIIPTLLGSSKSLKWVNLQNNSLTGRIPPDMFNSTSLIYIDLSHNELSGSIPPFSQTFSPLQYLSLTTNNLSGEIPTSIGNLSSLNVLQMAQNNLEGSIPESLGRIGSLQEIDLTYNDLSGLVPSAIYTITSLSYLGIGANQFVGRIPIDIGYTLPRLTHLILEGNRFEGSIPISLANASSLEVLDLRSNAFTGLIPSFGPLRNLINLDLGANRLESGDWTFLSSLTNCTKLETLWLDRNNLQGIIPTSVANLSKSLEILILIENQLTGGIPSGIGELTSLTALQMDKNLISGHIPDSLRNLQNLSILSLSHNKLSGEIPLSLGKLEQLTNLYLEENSLIGHIPSSLASCKNLLRLNLSCNDFSGSIPKELFSITTLSEGLDLSHNHLTGCIPMEVGRLINLNSLSMSNNQLSGQIPSTLGECVLLDSLHLEENFLNGSIPRSFTRLRGINKMDLSQNNLSGQIPEFFRSFSSLQILNLSFNDLEGPVPQGGVFANSSALFIKGNKNLCANSPMLQVPLCTASAPKRKKTSYIPAVVVSLTTMAVVAMACLAVTILKKDKEAKQPNNQSFKQFKIFSYDDIFQATDGFSSANLVGSGRFGLVYRGQFDFEENPVAIKVFKLDQFGASDNFRAECEALRYIRHRNLIRVISLCSTFDTTRNEFKALILEYMANGNLENWIRPKGYEQSTKEPLSLRSRITVAVDIASALDYLHNRCTPPVVHCDLKPSNVLLDDEMVACLSDFGLAKFLSCDSSEGLNDLSRNAGPKGSVGYIAPEYGMGCKLSCEGDVYSYGIILLQMVTGKNPTDDLFKDGLDLHNFVESSIPHDIGEIVEPSLTKYNKGEEAEQAMVGMQTCVLQLAKLGVKCSKISPKDRPTMEDVYAEITAIKEELFALYNYGSR >PAN41858 pep chromosome:PHallii_v3.1:8:6589951:6594572:1 gene:PAHAL_8G074200 transcript:PAN41858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MRGLLGRRRQPPPLPLFPAAKRSSAPAGLLLGRIRRLLPASRLLRLLLLLALFSLVPPAFFHLRLRRFHRMRERKCGWITSPPMVCAHGGDSTDAFPNSMEAFRMALDARVDCVEVDVSRSSDGVLFALHDRDLQKMSGNSTAKVGYWSTDEIKALSTRFQLSKSVRNEDVPKAEDALAMISQSVRQVILDVKVGPPSFEKGLAEDVLSLLKRTNCKNCLVWAKSDDLGREMIKLSKDVVVGYIVMVDKSTGRRTELVRLEGAKVAGVYHPLIHEKVVKVMRRHDKKVFAWTVDDTNSMEKMLSEHVDAIVTSKPSVLQQLMHETRSECMEDGFALP >PAN43173 pep chromosome:PHallii_v3.1:8:40071098:40073594:1 gene:PAHAL_8G215400 transcript:PAN43173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHLTAPHGNDSARRAPLQRLSCQFKNMEEEEGASLLFMHDEPRIRAPQSPVLSPSISSLRKHLKRCNKKKKALRVAGQLNASIMTPDRVALEPWTFNQAVSCKELMSMIVLHELPFSLVEYDGFRSS >PVH34227 pep chromosome:PHallii_v3.1:8:34154733:34155873:-1 gene:PAHAL_8G176200 transcript:PVH34227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIQTTSLKTSSRVSSCTQSCLWLRPPLEFRMAQDSDDPLFVANVVVWVLVVILAIVAFHCPLPRRVVR >PVH33758 pep chromosome:PHallii_v3.1:8:4713911:4714324:1 gene:PAHAL_8G060200 transcript:PVH33758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKFLQRLHIDACSTLDVLPEWLGELRSLRELSIVRTPMIASLPQSTKNLTSLVELKVVGWVNLSQLPDAIQHLSSLQVLSLEGCGALSMLPDWIGQLSALRLLRINRCTALQSLPHSINVLLIFGVCRLLAALV >PVH33925 pep chromosome:PHallii_v3.1:8:13240721:13240894:1 gene:PAHAL_8G099600 transcript:PVH33925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFQAVEIIRSERPDLRVVRVLPPGQAPSPPQPGMTRVIIYNNANQQVIAPAPYIG >PAN43363 pep chromosome:PHallii_v3.1:8:41774838:41780097:1 gene:PAHAL_8G231000 transcript:PAN43363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MKGKLDPSVRQALVEEGKNLKEALIGLEEDLVELTDKLQLEAQSIPNATHPDVPVGGEESSVVRKEVGSQRSFNFTIKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAIWEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIENSDQCLIGTAEIPVGGIHMDSILLESSLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKWHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPTPSEPPPVNAKKGKAAGGPTQFVHTLNATAVAVPRLIVCILENFQQDDGSIVIPEPLRPFMGGLEVLSPKSK >PAN43364 pep chromosome:PHallii_v3.1:8:41774838:41780097:1 gene:PAHAL_8G231000 transcript:PAN43364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MLTCGRFLSSSAATTTASFSPLRTLTRSLLRRPHPRLLYSSAAAAAATAVEPDTKGGGGAGGGGGGAVRPQWKASIDFKWIRDNRDAVSDNIRSRNSAANLDLVLELYDQYLALQKEVERLRAERNAVANKMKGKLDPSVRQALVEEGKNLKEALIGLEEDLVELTDKLQLEAQSIPNATHPDVPVGGEESSVVRKEVGSQRSFNFTIKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAIWEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIENSDQCLIGTAEIPVGGIHMDSILLESSLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKWHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPTPSEPPPVNAKKGKAAGGPTQFVHTLNATAVAVPRLIVCILENFQQDDGSIVIPEPLRPFMGGLEVLSPKSK >PAN42880 pep chromosome:PHallii_v3.1:8:37693247:37696389:-1 gene:PAHAL_8G194600 transcript:PAN42880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTCVLPAWCSPSSSPSGRPRAASHSDKKRLDAMAATAAAIPARPCIALRRRRIYRTHCLATMASSPQPQQAQRAAVLAIGTANPSNCVPQDEYVDWYFRVTKSDHLTKLKAKMKRICYNSGIKQRYFYHTEDTIRDHPEFIDSALPSLGARQAILASAVPELTAAAATRAIAEWGRPAGDVTHLVFATSSDAHMPGADLRLASLLGLRSSVQRTMVYFHGCSSGSAALRVAKDIAENNRSARVLVACAELTVNFFREAHEDRPETLIMQSLFGDGAGAVILGAVATGGRPADSVVERPLFELVSASQTLIPDTEDAAAGQLADGGLVFRPSPRMPGLLRQLIEQYLVEAVAPLGLGGRWNDLFWAVHPGGPAILDSVEAALALAPGKLAASRRVLREYGNMSGVSVIFVLDELRRRRGELAGGFGVMLGLGPGFTVETMVLRAASGAKRKAP >PVH34094 pep chromosome:PHallii_v3.1:8:24244853:24245779:-1 gene:PAHAL_8G141800 transcript:PVH34094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNIFWDPAGHLHTDALQWEGFPRLLWESLSSFLYTEPPQYDAVEYQEEGVRRCRVRMTIPQHPFRSQWQPIEVDVVGYRIVDTIEEAALEAIYLFCKQHPMNVIGQPIGLFSTTDPNNPEWNLREVPESHRLEGSAKEALQGVIRFMNVQHHYQLLLRRGMGQLTSIVQGHFRNADRQVTQIAQLQALVTEKDEIIAAREETIHHREDQINESDAMITQRNTIIEFLQEQIHDLILEQPAPPAVPAPEEEEEDPDEIEGVSEIDSEHGDPVISPHHSSSGSQSSVGNYDDF >PAN41438 pep chromosome:PHallii_v3.1:8:3276792:3277561:1 gene:PAHAL_8G043900 transcript:PAN41438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTLIMAIILVAQAVLIVGVFAAVSIENSSAGVGEEEVTAVEPQPNPGKLSCCKNCTSVSPPSGLFMCHDELSSEPLCQKSGCRTCQVARHYPKMTFKCIDEFNGTCPPRCSKS >PVH34342 pep chromosome:PHallii_v3.1:8:38327891:38332292:-1 gene:PAHAL_8G199600 transcript:PVH34342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRASSSSWVLEMEKLLEDTRPSVEMARWKQHSIYRVPEFIKKMTNRDAYQPQFVSLGPLHHGEPHLLPMEEHKRRAVLHMVKRARKPLTKFVEAIEEVADELEAAYDGLDDRWRGASRGSFVEMMVTDGCFLLELMRIKLSRVAKDDGGGEVDTGYAANDPVFSGSSFHNLWPIMRNDMIAMENQIPLIVLQRIVFSSGSDTPPSARWINNTVRLLLCGLSFEEGMDNLGLHFLDILHKGYCGTRPYWEWSENYEARTPCAVELSEAGIQFKKSNTESIHDVDFVNGVLSMPLLRLDDQTEMVFLNLMAFEWIHPNTTNDVRCYITFVDNIIESERDVALLRSQGLIENAMCSDKKVVELFNITTKLGKANSYNRLGHLQWKMNAHCKKRRNKWRAIFMNNYLSNPWVFISLVAAFILLIATIMQTIYTVVPFYTSKG >PVH34474 pep chromosome:PHallii_v3.1:8:41366354:41366947:1 gene:PAHAL_8G227600 transcript:PVH34474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTSEIAALCGARACVVVYDGDAGAAARPEVWPSAAEAARLFRKYRGMPEGSSFKKATDQLQHLAARFARVKRSEDANGERDAAALLHERLAGRRPGLAGATDKELAALKVLVERRMKEAKERLRQLGAGEEGVHPPAAPTAPNGGELGAVLYSASGGRDDGAGPSGSGSPDPMEAFDQGCECDLGFSWIEE >PAN42631 pep chromosome:PHallii_v3.1:8:27687025:27687590:1 gene:PAHAL_8G153600 transcript:PAN42631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKVSLIILMVLVMFLAANDGAKGQGAHGVLATYNLYNPERINWDMRTGSTFCATWDADKPLTWRQHYGWTAFCGPAGAHGEPSCGRCLLVTNRATGAKTVARVVDQCDNGGLDLDISVFRQIDTDGGGMANGHLSVDYEFVGCQD >PAN43592 pep chromosome:PHallii_v3.1:8:43264146:43268486:1 gene:PAHAL_8G248600 transcript:PAN43592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVRPVSDATTYHAQTHQSTTVKLPRQQPWRIPGANRAAAAAATPHLYAWGTVLCGESQAPKRKPVSGPVRAASAAAAAAAPGDLGPAPSPRHHPPLRRRWTAVPPIRILLPVSRLRCGELETPTRRYPTHLHCAPKESTREERSSELGRAVIDLPTVEALSLSDRPLLSASDSSFIPQSTEEKPPKDSDADKEESMRLMREYYVKNPPTSLEQAIDELLAVEHATLTALAAEWGTEPPPPRKPFPVDQETQSLEAEEHASAAVAQPESINPRQPSHEASMEEIIENGKRWMHDEVMMCFRKYAERPYRVRCLKANLMNFAISVVIM >PAN43591 pep chromosome:PHallii_v3.1:8:43263412:43268494:1 gene:PAHAL_8G248600 transcript:PAN43591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAGTSKSSNPRAEESTREERSSELGRAVIDLPTVEALSLSDRPLLSASDSSFIPQSTEEKPPKDSDADKEESMRLMREYYVKNPPTSLEQAIDELLAVEHATLTALAAEWGTEPPPPRKPFPVDQETQSLEAEEHASAAVAQPESINPRQPSHEASMEEIIENGKRWMHDEVMMCFRKYAERPYRMLEGQFDELCHQCCNNVEDHYKVFHHYNFKVKTREPDSADWTVRLYFAEVKEMFGRKYYFCWPLQPIEDGPCYACMKQGVEDLRHPAIAGCYEMGFTDATLFGYAED >PAN43595 pep chromosome:PHallii_v3.1:8:43264746:43268495:1 gene:PAHAL_8G248600 transcript:PAN43595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMREYYVKNPPTSLEQAIDELLAVEHATLTALAAEWGTEPPPPRKPFPVDQETQSLEAEEHASAAVAQPESINPRQPSHEASMEEIIENGKRWMHDEVMMCFRKYAERPYRMLEGQFDELCHQCCNNVEDHYKVFHHYNFKVKTREPDSADWTVRLYFAEVKEMFGRKYYFCWPLQPIEDGPCYACMKQGVEDLRHPAIAGCYEMGFTDATLFGYAED >PAN43593 pep chromosome:PHallii_v3.1:8:43264130:43268494:1 gene:PAHAL_8G248600 transcript:PAN43593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVRPVSDATTYHAQTHQSTTVKLPRQQPWRIPGANRAAAAAATPHLYAWGTVLCGESQAPKRKPVSGPVRAASAAAAAAAPGDLGPAPSPRHHPPLRRRWTAVPPIRILLPVSRLRCGELETPTRRYPTHLHCAPKESTREERSSELGRAVIDLPTVEALSLSDRPLLSASDSSFIPQSTEEKPPKDSDADKEESMRLMREYYVKNPPTSLEQAIDELLAVEHATLTALAAEWGTEPPPPRKPFPVDQETQSLEAEEHASAAVAQPESINPRQPSHEASMEEIIENGKRWMHDEVMMCFRKYAERPYRMLEGQFDELCHQCCNNVEDHYKVFHHYNFKVKTREPDSADWTVRLYFAEVKEMFGRKYYFCWPLQPIEDGPCYACMKQGVEDLRHPAIAGCYEMGFTDATLFGYAED >PAN43594 pep chromosome:PHallii_v3.1:8:43264146:43268486:1 gene:PAHAL_8G248600 transcript:PAN43594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMREYYVKNPPTSLEQAIDELLAVEHATLTALAAEWGTEPPPPRKPFPVDQETQSLEAEEHASAAVAQPESINPRQPSHEASMEEIIENGKRWMHDEVMMCFRKYAERPYRMLEGQFDELCHQCCNNVEDHYKVFHHYNFKVKTREPDSADWTVRLYFAEVKEMFGRKYYFCWPLQPIEDGPCYACMKQGVEDLRHPAIAGCYEMGFTDATLFGYAED >PAN43618 pep chromosome:PHallii_v3.1:8:43432513:43432842:1 gene:PAHAL_8G250300 transcript:PAN43618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVARLASERAVVVFTASNCSMCDVVTSLLGSLGVNAAVHELDRDPRGREMERELARRLGAGAVRGGTPTVPAVFVGGDLVGGTNRVMALHLAGELVPMLRNAGALWL >PAN41626 pep chromosome:PHallii_v3.1:8:4510862:4516885:1 gene:PAHAL_8G057200 transcript:PAN41626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGVLISKLSTVLANEVVAGASLFWKEASALKGLFGEIRKAKVELESMKAYLRDSEKFKDTDETTAIFINKIRELSFRIEDVVDEFMYKLEDNKHGGFAAKTKKRIKNVKVWRRLALELHDINAEIEDSTRRRDRYVIQGMQRYSGSGDHHATSNYQTLCSAREEDIVGIKDHAAKLKQWLVGDMEETNRKITTIWGMGGVGKTTLADHVYKIVKMEFDAAAWVIVSKSYEVEDLLKKIAIEFGISIDSSIMDMRRVVDVIRINLEGKRFLMVLDDVWEQDVWINNIMPVFPTNCTSRFVLTSRLSEVASLATSNCAIKLEPLQEIDSYMLFCKLAFCNNDEKGCPSELWDLAEKFLKRCEGLPIAIACIGRLLSCKPPTHLQWENVYKKLELQSTNNVIPGVDTVLKVSLEDLPYELKNCFLHCAMFPEDYNLNRRRLIRHWITAGFIKEIENKTLEEVAEGFLNELINRSLLQVIETNAFGRVKFFRMHDILRHLALDKAEKEYFGKVYKGSGTFSVGARRLSIQSTGVAPLSPFGATHLRAIHAFTRYIDIDLLTHILHSSKMLSTLDLQGTQIKLLPNEVFSLFNLRLLGLRYTGIVNLPEALGRLQNLEVLDVCFTALLTLPKNIAKLKKLRYLYAGSRPIGGPLTSLVGTKVPRGIRNLTGLHALQKIKASLEIICDVAALTELRTFGVSDVTSEHSLKLCSAIVNMNHLVHLSIASSNENDVLPWEALRLPETLSKLKLEGQLEKKQMPQILSSWSHLNNLTQLTLKGSKLDEDPFPSLIVLRDLRFLRLVNAYDGKKLYISALSFPRLTKLVLGGAPQLNQVEIAEGALGNLVELELSYCPKLKRVPHGVRFLRALEEFHLIDTADEFIEMLTKEPEANEWKEELMKISHIRKVIVESTEKNFWRRIVVSTKVNEFAG >PAN41818 pep chromosome:PHallii_v3.1:8:6205020:6205654:-1 gene:PAHAL_8G071700 transcript:PAN41818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIRLGDIASLFRKLEAKKNDAEAAAPAPNTEVVPFLAQEQEGSGIPSSPAATVPPEDISPGLPPSSPPPPPPPPPIFDLDRLSQDPVDRFPIVSYSFEGSLYFAINMALMFLQ >PAN41991 pep chromosome:PHallii_v3.1:8:8004956:8029603:1 gene:PAHAL_8G082900 transcript:PAN41991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQSPPPAPPVLPISEHEDEIVAAVDANPVVVVIGETGSGKSTQLSQILHRRGYTRRGPIAVTQPRRVAAVSVSRRVAQELGVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHAKEPPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPELQVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPMSIYEKEFLEATVPEIQRSSLAGSVLYLKSLNLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGHITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAILSAEITLRSTRSKDVGGKRKRHELPDGSGWGDHIQLLQIFESWDQTGYDPKWCSDHDLQVRGMKLSKDVRNQLCQIIQKIAKGPTDVHARKSRKNDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPSSVLEADEYGKFPMYVVYHELISTTRPYMRNICAVDQAWVEPILKKLEKLDVNRLSGGSSAPKDSEPLEDKQINAPKKAVDVKQSEVDSKIQAARERYLARKGKK >PAN43608 pep chromosome:PHallii_v3.1:8:43357712:43358842:-1 gene:PAHAL_8G249700 transcript:PAN43608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGRSRAERWSLAGATALVTGGSRGIGHAIVEELAGFGARVHTCARSAAELEACRRRWEEKGLAVTVSVCDVSARADREDLVATARAAFGGRLDILVNNAGQSLFKAAAECTGEDYARVMATNLESCFHLSQLAHPLLLAGGGGGSVVHISSIAGLVGLPALAVYSMSKGAMNQLTRSLAAEWARDGIRVNCVAPGGVRTDISSDKTIDPELVKKEMARLPMGRIGEPEEVASMVAFLCMPAASYMTGQVICVDGGRTIT >PVH34309 pep chromosome:PHallii_v3.1:8:37219525:37220282:1 gene:PAHAL_8G191100 transcript:PVH34309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSQVSSIRSRDYLAKWAMEAKRKVRSIGLEHSFASRRSEAGHHGDLTRKIHAGVLEL >PAN43854 pep chromosome:PHallii_v3.1:8:44840673:44842405:-1 gene:PAHAL_8G268100 transcript:PAN43854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSTAMDQIGKYWGIWGARRSGSSSSSTASPIPGWAEAAAAGSEPSWEEKAFARDAAAHLGGCVWPPRSYSCTFCQREFRSAQALGGHMNVHRRDRALLRQGGSSPEDVHAPNDLPQQGALLYRAASNPSTTTATTAAGTTAGSAAKGDAQDTTTTPTSYLSTIIKESKNKLLMSMPASMEMREDRQAIDQCDRDDSDREESARTTKRRRLNHPSAAALLIFVQPLAAATEVTGCESSQALQGLDHYAKVPQTTIPSPKSGSPLVDQHEVDLELRLGTTPKVT >PAN43487 pep chromosome:PHallii_v3.1:8:42547604:42549301:-1 gene:PAHAL_8G239200 transcript:PAN43487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGLLLPISVLFLVSVVYVYWKTWSSRALQRLPPAPPGWPVIGHLHLLSDMPHQALAELAMTMRAPMLRLQLGTVRAVVISDPDLARAALTTNDAALASRPHLLGGQFLAFGCSDVTFGKAGPYHRMTRRLVVSELLSARRVAAYQRIRLNEVRRLLGHLARNAAASSSPAAAAPVDLSECFVNLANDVLFRVAFGRGFPHAKAAKLGAVFAEANELFAGFTVGDFFPELEPVLSTVTGLRRRLKSCLADLCEFCDDIIDELISGKRERISGDTSEDFLDALLRLQKSPGIEVPLTDDNIKALVLDVFVAGADTSLAALEWVMTELVRHPGILNKAQEEVRRVVGTGRGCVEESDLRDLHYLRAIIKETFRLHPVIPLLVPRESVAPCTLGGYDIPAKTRVFINTYAMGRDPGIWNKPLEFWPERFEYDAREIADLIIDPAFKVLPFGGGRRGCPGYVFALATLQLSLASLLYHFDWALPSGMRAEDVNLDEIFGLSTRKKEPLYVVVRKSKEYEFKGEENYGV >PAN42220 pep chromosome:PHallii_v3.1:8:8509829:8512266:1 gene:PAHAL_8G083700 transcript:PAN42220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLMLVAALLAFLFLHLLTKKHRSYSSYNLPPGDFGIPVVGQTFSLLRSLRSNTDDQWFRARVKKYGPVSKMSVLGSPTVLLAGPAANHFIFTNESLALTQTRALRALLGRSILTLSGDELKQVRSAVQGYLRPEMVRRYVGKMDHEVRRQIKLHWVCHDTVTILPLARRLTLGVICSIVFSHEAAAIVEALAADFQFLGDAILSFPVNIPFTRFGKGMESSAKIRKAITRIAQKKEDSLLQEGHAVSSTDFITHMLILRNQGAHSLTLEDIVDNVMGIIIGAHGTTSALITFMIRYLANEQDVLAQITEEQDEIADNKGTEDALTWEHVSRMKYTWKAAMETLRIVPPVFGSFRTATKDIKYQRYDIPRGWKVLAAQSITHLDSRFFNEPTKFDPSRFEKQSSIPPYSFLPFGGGPRMCPGTEFSRVEIMVVMHYLVTQFRWKLCYKDETYIKDPKPTPVFGLPVELELRRPPSTADASNAMSIQFNI >PAN42107 pep chromosome:PHallii_v3.1:8:13416853:13421201:1 gene:PAHAL_8G100500 transcript:PAN42107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPTGAWRHQQQHRGRMWAASPVFRRQLVLLRSLLPQSPPSLPPSSVAGRRFAPLSSPSGTSGSLRAAHAMAAAAAVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERASVSSKILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMKHARQANIPTVVDGDGLFLITNNLGLVEGNPLVILTPNVYEYKRLVQKVLNCDVNEETASEQLTALCQKIGGVTIMQKGKADVISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFTSWARHFILSNELPTEKRVNPTTLGCIAGSLLLRKAASLAFEKNKRSTVTTDIIEFLGKSLEDICPAEH >PAN43599 pep chromosome:PHallii_v3.1:8:43279016:43279619:-1 gene:PAHAL_8G248700 transcript:PAN43599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGKAVSLLFRIAALVLSVAAAVVMGTASQLVVGGGDRGAPSSYAVSCSQYNALKYFVVAGAISAVCSAAALYLCAVRAAAAIGSLPLVPLLDAAAQGLLFSAAGAAGPWGSSGVDVGGGGGSVCDAAGAFCGKVSVAAGVGACAAVAVAVAALARDARRRGSSGGSCDW >PAN41846 pep chromosome:PHallii_v3.1:8:6493045:6494286:1 gene:PAHAL_8G073400 transcript:PAN41846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPPSRSWAGLPVAFLHAILRRVPCPDDRRRTCLVCRAWRAAAAGLPPTGPPRALPWLLLPTPGPDGSTRAACCALGGCGVHRHLTVAPRGARCFVSHDGAWIFLHFREPRSHQLLNVPTGRARELPAALLARTGLLAHDMAVLAAALSSSPEDAGCLAVAIVASGQDDPAAGAGASAAVPPRPRRRRCVAFWRMGWPRAYEIAPPGALAPLDAEDVVYHDGAFHLLLTHGEHVHVCTPALLPNGFRTDWEERRFHPGGRTDDGQHVRARYLVVSRGELLMVVRFADGPAPPWTTSSFKVFRATRWRMLFPDLARSYPWAWSELDALGGRMLFVGYGCSRSYEVDRYPGFKDGVYFLDDGKFYDEAVIFGNDDVRQYPCGDNGKWSQGHVQRCFPRPDASDHSPPAWLLP >PVH34335 pep chromosome:PHallii_v3.1:8:38260700:38265441:1 gene:PAHAL_8G199000 transcript:PVH34335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPALPSAGVFHHLAHQVLDRLPQPDEKLMMKKESTARVQENDTLCSRWVEEMEEVLPGTDLLVEKARWSKPSIYRVPEWIKGMMPNNTSAYRPRLVSLGPFHHGEPDLLPMEEHKRRAVLHLVKRSGKPLRDFVAAVEMVADELLDAYQGLDEKWRESSRDRFVQMMVMDGCFLLELMEATKPLGSSVKEDEDDQWGDYAANDPVFSAHANHILWPGIRSDVIALENQLPLLVLQRLLAVERGTTPEPAEINMAVVRYLYYCSCEGTPTGKLGLHPLDILHRSMCAPQPDAQHQGGDSASFEEDCMPSAVELREARVLFKSSNTHLIDAITFRNGVLSMPEFKAYGDTENLYLNLFAFEQLHPDTGYELLSYMFFMVGLIESNRDVALLRSRGIIRNMRSSDKELVEMFSVLGRATLMHPSSKLNGVLREVKAHCKKRRNKWRANFVHTYLSSPWVFISLIAAVILLMATLLQTVYAILPFYSKVKPEV >PVH34334 pep chromosome:PHallii_v3.1:8:38260700:38265441:1 gene:PAHAL_8G199000 transcript:PVH34334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPALPSAGVFHHLAHQVLDRLPQPDEKLMMKKESTARVQENDTLCSRWVEEMEEVLPGTDLLVEKARWSKPSIYRVPEWIKGMMPNNTSAYRPRLVSLGPFHHGEPDLLPMEEHKRRAVLHLVKRSGKPLRDFVAAVEMVADELLDAYQGLDEKWRESSRDRFVQMMVMDGCFLLELMEATKPLGSSVKEDEVINTINTNPHCFSIEIFRTRQSPRAAAVSLSSAPVKDDQWGDYAANDPVFSAHANHILWPGIRSDVIALENQLPLLVLQRLLAVERGTTPEPAEINMAVVRYLYYCSCEGTPTGKLGLHPLDILHRSMCAPQPDAQHQGGDSASFEEDCMPSAVELREARVLFKSSNTHLIDAITFRNGVLSMPEFKAYGDTENLYLNLFAFEQLHPDTGYELLSYMFFMVGLIESNRDVALLRSRGIIRNMRSSDKELVEMFSVLGRATLMHPSSKLNGVLREVKAHCKKRRNKWRANFVHTYLSSPWVFISLIAAVILLMATLLQTVYAILPFYSKVKPEV >PVH34336 pep chromosome:PHallii_v3.1:8:38260700:38265441:1 gene:PAHAL_8G199000 transcript:PVH34336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKESTARVQENDTLCSRWVEEMEEVLPGTDLLVEKARWSKPSIYRVPEWIKGMMPNNTSAYRPRLVSLGPFHHGEPDLLPMEEHKRRAVLHLVKRSGKPLRDFVAAVEMVADELLDAYQGLDEKWRESSRDRFVQMMVMDGCFLLELMEATKPLGSSVKEDEVINTINTNPHCFSIEIFRTRQSPRAAAVSLSSAPVKDDQWGDYAANDPVFSAHANHILWPGIRSDVIALENQLPLLVLQRLLAVERGTTPEPAEINMAVVRYLYYCSCEGTPTGKLGLHPLDILHRSMCAPQPDAQHQGGDSASFEEDCMPSAVELREARVLFKSSNTHLIDAITFRNGVLSMPEFKAYGDTENLYLNLFAFEQLHPDTGYELLSYMFFMVGLIESNRDVALLRSRGIIRNMRSSDKELVEMFSVLGRATLMHPSSKLNGVLREVKAHCKKRRNKWRANFVHTYLSSPWVFISLIAAVILLMATLLQTVYAILPFYSKVKPEV >PVH34333 pep chromosome:PHallii_v3.1:8:38260700:38265441:1 gene:PAHAL_8G199000 transcript:PVH34333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKESTARVQENDTLCSRWVEEMEEVLPGTDLLVEKARWSKPSIYRVPEWIKGMMPNNTSAYRPRLVSLGPFHHGEPDLLPMEEHKRRAVLHLVKRSGKPLRDFVAAVEMVADELLDAYQGLDEKWRESSRDRFVQMMVMDGCFLLELMEATKPLGSSVKEDEDDQWGDYAANDPVFSAHANHILWPGIRSDVIALENQLPLLVLQRLLAVERGTTPEPAEINMAVVRYLYYCSCEGTPTGKLGLHPLDILHRSMCAPQPDAQHQGGDSASFEEDCMPSAVELREARVLFKSSNTHLIDAITFRNGVLSMPEFKAYGDTENLYLNLFAFEQLHPDTGYELLSYMFFMVGLIESNRDVALLRSRGIIRNMRSSDKELVEMFSVLGRATLMHPSSKLNGVLREVKAHCKKRRNKWRANFVHTYLSSPWVFISLIAAVILLMATLLQTVYAILPFYSKVKPEV >PVH34361 pep chromosome:PHallii_v3.1:8:39097311:39099960:-1 gene:PAHAL_8G205900 transcript:PVH34361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKWPSTHHIYEKLNCICSVVQVLLQLSPPLGMTESSVGSSAVVRPGVLTRWSSPLSTLSLAYGDQRKPSCIIAIASPTVLTLPRSLHSHSSVFTSRYFLLLFRSISRPTLCSPLFASRRQPAYGQPDAIGVAVDYIVLLHFRPIIFTWNPHVEIVLSTGHFFHIRVQSLSW >PVH34506 pep chromosome:PHallii_v3.1:8:42040786:42042326:1 gene:PAHAL_8G234500 transcript:PVH34506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSNAAASGTALTISANTATGWHVLKVRRYSQTKGVVGVGNAFSSSAAFAVGSHRWRVDVVRAEYVFSLLDRAGAPVPEYTKTYGVCAFSAAVPAWGFERFVKRAELEASPYLDDDDSFSVRCDVTVYKESPAEMITAAPSSGRASRLRGPARRPDDAGDGDGSRTSRRQYDMEARVFLALLRFIYTDSLPDNKIDEGDRAEMTKKLLAAADRYRMQKLKRICADTLLGYVDSGTVAAFLALAEQHGCQGLKEACFKFLEVPGNLRLLVESDGFDRLATSCPSVLKELLLANLDA >PVH33448 pep chromosome:PHallii_v3.1:8:500900:501916:-1 gene:PAHAL_8G005700 transcript:PVH33448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHDVAPPEATGHHHRLLVTLARHGRFAAVATLFSTARCTTRALNSLLAALCSSPAFLRVAPSVLLRAAPHAAPDATTFRILTSALCRAQRPTAAVDLLRCMPGLLLDPDQRHCRAVLASLCRCAPARDALAFLDDMRRWGVSPSRSDHHAVLDALLREAMVAEAYEVVAKQMDADGARPGLPEFERVLRAFRENGSFDAVEEAFDEMLLRGLVPGARVYDVYVGALCDKGDLAGARRMLGCMERAGCPPGVTTFGVVVAGCVAAGDMDAAREVAREVVRRGLRWDAPALSELVGALRGGGHLARARGLLLEVLRDGCAGRLDASAFEQLIGGRRSVV >PVH34613 pep chromosome:PHallii_v3.1:8:44027161:44031578:1 gene:PAHAL_8G258300 transcript:PVH34613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFAGSCIQKLQEIITEEAIQILHVKQELSDLQQTMMQIQCFLKDADRRRIEDLAVSNWLGELKDAMYDADNIIDLAWFKGSKLLGEQPSSPSRKLTACNGFPLVSCFSTILTRRGIAVQIKSLNKRIERIAEFGTKFKFETEPVASVSDMRKTSHLVEPNIVGKDIIHATGTLVGMVLDHREKKAYKIGIVGTGVGKTTLAQKLYNDHRVKGSFKKQAWICVSQQYSPVGLLKEILRNVGVNQEQGESVGELQAKLAEAIEGNSFFLVLDDLWESDVWTNLLRIPLDAAAQVTTVATTRHDIVAKEVGVEHMHRVELMSEEVGWELLWRSMNISDEK >PVH34179 pep chromosome:PHallii_v3.1:8:30349168:30350754:-1 gene:PAHAL_8G164200 transcript:PVH34179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKNMARELTIFFFLCCTVFLPSLAGSDDFLQCLSQTIPSDLVYQQSSSSFESVLRSSVQNPRYATNTTVRPLCIVVASGVPHVQAAVRCGRAHGVRLRVRSGGHDYEGLSYRSVRPEVFAVLDLSRLRAVDVRPAEAAAWVDAGATLGELYHAVGTADRRLAFPGGACPTVGVSGYLSGGGIGLMMRKYGIGADSVVDARVVNADGDLIGSVASTDQDLFWAIRGGGGESFGVVVAWRLRLSMVEETVTVVNIERTLDEGAAALVAKWETLILQPYLPDLTIRVVVQDHHAFFQTLFLGRCSRLLRAMRAFFPELGMAPADCREMTWLRAMAFIGLGAADAPAEGLLNRTNNLGTYVKNKSDYVRRAIGRAGWEGIFQQHLSRYGAGLMILEPHGGAVSAGVLDFATPYPHRQGVLYNVQYVVFWLDEADGPAAAAAAGWLGGLYGHMEQFVTSNPREAFANYRDLEIGENVVGGDGVTTYESGRVWGEKYFMGNFRRLAAVKGRVDPGDYFRNEQSIPPLLQRF >PVH34048 pep chromosome:PHallii_v3.1:8:17878125:17880391:1 gene:PAHAL_8G124700 transcript:PVH34048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIIGIYKFSTKPLALKRATFGNLVASPPSVALRKKLSGFRHCFGDFWNGRWIPFSVSLSTPEVILADDIEQCVGWLQAWAEQEEMEATEEELSLREYVLKVQQAPDASVSSVFLHETEAFAADLLVPYSRRVKILQFMRNIACKKDRSAIAVRMGLDEVYWRLYTRAKVALTWIGIEVRLLTFSLAAVAIALFARTPKPHDDSADVKVTYILFSSIALLELLSFLNIFTLRFGNRVAACLPCYCDPDLIYQQSLISSAARRRKPSWLLWLAALVSLDDLVMRHWYINQTPGMERIHEAVLAHITRGWDEYIHGDPALYREFNNLRGQRAVRNHQEVLSYIVNWPFDQSVLIWHVATEMCFQCRRAAEEDRPSHILLHDLPSFGAVRDADARDQTPPLLQLIGRHQDHAAVQRPRRRLQRPPQHRIGHSAHGAAWDLAAPGLHRAPHPQGMPARGSASPGAPAPEGGDMADGARRLGRDALLRRQPGPGLHARREPWRTLGAAQPCMASTVLHGNGDHGRPAAEGR >PAN42764 pep chromosome:PHallii_v3.1:8:36679044:36686195:1 gene:PAHAL_8G188200 transcript:PAN42764 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MRVTVTPKDEERLVGLMARERPRSAVVTAGGDLVTAPGGGEGSDGDSSGSIQEITADDFRKDSSSGGGGGALGAVASAPPRSRSWTGPPAMGYMARSYGQAFHSFAWLQAVQKKPLVACPAPEEEADEDEVEHAVDDASDGEKEEGEIEEGEAVEGSSSPPRPPPETIDLDSDAPEKSESVAAEGSGDVAPAAAAEEVEVDYDQRVGSILEELEMISIEEAEKSFEGTCARLRTCFENLKPLYPENGSPVQILDPLVHQAFIGIDTLTTVAKSFNMPRREQNKTMLLKLLFHIKNRYSCMLTPDQRDELDSRVRQLVFEEKDNVSDPSTSGGTKATNVPAPSGQISSGRLPFESGAANPFSASSLLRLEVPAKRISPLLDLHADYDENSLPSPTRDNAPPFPVPKPVGFGSFPMVPERPSFPERAEPGRNSIYPSLNDPLKAVSSYQQKYRQKSVFPSDDLPSPTPSGDEGKSADKGGDIFGEVSSLPVPKKTALPSTSQMPASQPNTVSSSNISYAGGPPDYGKQAEQSSAGPNHALKTTSKSRDPRLRFLNRDSAGATDANQRVNYLDPKDGNSGVGVPIINRKHKAVDEPQVDENLLKRFRNGSGDPRNMLVPTGDPNQLMTNMRALPNSSGTNTPFLQPHQSSAAQISAPPAVSLPPSLLKDIAVNPTVLMNWIKMEQQKMSASERQQVATTSAVSSGMISIGTAGMVLPPGTAPRPTEAAQVPSVRPQVPMQTPPLNSQNDAGVLRMKPRDPRRILHNNVAQKTDAVGLEQVKSNGTTQPDSQGTKDQTTSMVSQPALLSSIARPFIMGTKHVDPVSNSQLAATALMAPMEHASCSINRADPRLAVEQNGHNADAANAPGIPREAGQPVNPWGDVDHLLDGYDDQQKALIQKERARRITEQQKMFSARKLCLVLDLDHTLLNSAKFIEVDSIHEEILRQKEEQDRSLPERHLYRLHHMNMWTKLRPGIWTFLEKASKLFELHLYTMGNKLYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDDRVPKSKDLDGVLGMESAVVIIDDSVRVWPHNRHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHRNFFSHPNLNEADVRSILAAEQQRILAGCRILFSRVFPIGDTKPHLHPLWQTAEQFGAVCTNQIDDRVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANELDFAVKTPC >PVH34077 pep chromosome:PHallii_v3.1:8:20643905:20645044:1 gene:PAHAL_8G133200 transcript:PVH34077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGQQGQHGHGTTGRVDQYGNPVGGVGHGTTGTGGMGYGGTTGTGGMGQLGEHGGAGMGGGQFQPVREEHKTGGVLHRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGHKDDQHTTATGGAYGQQGHTGMTGTGTGGTYTTEGTGEKKGIMDKIKEKLPGQH >PVH34099 pep chromosome:PHallii_v3.1:8:24746614:24747081:-1 gene:PAHAL_8G142800 transcript:PVH34099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFIKNFRRVLKKSNFRNLGKNKYESRRRSSKPCFGCKKIGHFIADCPQEKKKNKDTKESSSKRDKPRYKTCTGDAHLGQEWDSNKESNSDNEDVATMAFKTSSSHQPSLFKDLTDDEDQGPIMCLMAKKSKVTSPNSSDDELDEEDEIASLIK >PVH33693 pep chromosome:PHallii_v3.1:8:3489033:3491423:1 gene:PAHAL_8G046400 transcript:PVH33693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFLKRKSPPSATDQDEGSSQRNISAPTRTSLILSPKDRIIEYPGFKLQEEIRRRYLIRGPHRPEPGFNYPQTIIGKKPRRFNPDWFEQYDWLEYSEKVDKAFCLYCYLFRDCIDGQAGNDAFVTKGFSGWNNKPRLDTHVGGVTSYHNAAVKRCNNLLKPSQSIEFALKKQQDVAKEEYFIRLSTSINAGNFLELVKLLAEQNEKIKRVVLRNAPENHQMVSPEIQKDIANCFAEIIVNSIIEEIGGDVFCLLVDESADVSDKEQMAVVLRYVNNRGELKERLIGVVHVAETTSACLKSNIDALFSKYKLSWKQVRGQGYDGASNMRGEFNGLRALIPRENGSAHYVHCFAHQLQLVIVAVAKKNDDISDFFYMISLLLNVAGASCKRKDMIRQSQQEKVKRAIGSGQISTGTGLNQEQTLQRPGDTRWCSHYKTLKSLNSLFPSVIEVLQYVEKDGPNDKKRRQARGLLDYLKDFDFVFHLHMMLMILGHANSLSLCLQRKDQDILEAMSEVKLTKQKFQQIRDDGWESLLQTIYSFCEEHSIPKLDMEEEYIDRHKPRKNLLTYMAALSPKDSFGDFKLESLMELAKLYPNNFNQEQLKDLAHELPIYIDNIKADERFSNLNSITALAKLMVDTNKHQAFPLVYKLLKLVLVLPVATASVERCFSAMKIVKTILRSRIENTFINDCIICFVEPAFVATISDNAVIDRFQKMKNCNRRMLL >PAN43389 pep chromosome:PHallii_v3.1:8:41878957:41883599:-1 gene:PAHAL_8G232500 transcript:PAN43389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQMAMPPAPAGAAAAAPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQPPQNPASRPQMMQPGMVPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMVMRPATINGMQPMQADPAAASLQQSAPVPADGRGGKQDATAGVSTEPSGTESHKSTTGADHEVGGDVAEKS >PAN41534 pep chromosome:PHallii_v3.1:8:3897072:3898556:-1 gene:PAHAL_8G051100 transcript:PAN41534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWSPLFLGPGLVRGNCSSRLGHGLVRANREDIGPDLDRSLLPREGAPHASRPPSDEAKHHRDLVFPERSQLSAESPWPASSAPPPGLECSSTPSPRSCMLLGSCS >PAN43470 pep chromosome:PHallii_v3.1:8:43985296:43988802:1 gene:PAHAL_8G258000 transcript:PAN43470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNDIVANKEAISAFEPSLWGDFFATYTSPLQRSEEWMRERRDQLNGEVQRMFEAAKAMSMADTVKLVDTLERLGIDNHFVKEIDEALCRVHDEELDFGNSNDLHVVALRFRLLRQHGFWVSADVFDKFRDGTGSFNMNLSNDPRGLLSLYNAAHMAVPREMVLDDAITFTRRHLEVAKGKLRSPMEEQVSRSLEIPLPRLMWQIEAVHYITEYEKEDEHDAMILELARLNLNLLRSVHLKELKSLSLWWRDLYDNVKLTYTRDRIVECYFYSITVFHGEESSVARIILAKLYGLFVLFDDTFDVRATFEESQMLDEALQRWDESAVSLLPEYLRMYYIKLLSNFNDIEDILEPSVKYRMAYVKRQLQLQSKFAIQQAKWLNENCMPSFKEQIDVGVMATGLQWMFLVVLMGAGQMVNNEAFEWAHNMPDMSHATAEIGRFINDIAAYKLGKCKNDAPSAVECYMKEYGTMGEEAMAAVTEMMEQAWRRMNRDYIEMKGTIKLAAQCLLNLGRSFETFYLHGSKDGLTYGGDVKDLIILYFLKEVHV >PVH33748 pep chromosome:PHallii_v3.1:8:4583776:4585477:1 gene:PAHAL_8G058100 transcript:PVH33748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGARNATTATAARPLKRQVVLYPTPGMGHLFAMVELGRALAAGGLAVTVVVVDPPYDTGAPGPFLAGVSAANPSISFHRVPKADDLPPLASKHYEALIVEAVRAANPHLRALLASAAWPTRPAALVVDMFSGAALDVAGELGVPAYFFFTSGAACLAFFLHLPVLHARTAASFRDMGDELVRVPGVPPFPATHAIHATMDRGDAAYGAFMDAAAALRRCRGVIVNTFRSLEPRAVDAVAAGRCAPPGQPTPPVHCVGPLIKSLEFAEGGAGAECLPWLDQQPNGSVVFLCFGSIGRFSAEQTRELAAGLEASGQRFLWVVRAPPGDGDGDPAKKFRKQPEPDLGALLPNGFLRRTRNRGLVVRSWAPQRDVLAHGSVVGFVTHCGWNSVLEAVTAGVPMLGLPLHAEQRMNLLLLEKELRLAVALEGYDGGVVAAEEVATKVRWLMDSDGGTALRERTLAAMAQAREALRQGGESQAALAGLVDLWRSS >PAN43225 pep chromosome:PHallii_v3.1:8:40879598:40881924:1 gene:PAHAL_8G221600 transcript:PAN43225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLVDAGGGGGDDRQYGGRITVFVALSCVTAALGGAIFGYDLGTSGGVSSMGSFLREFFPDVYRRMQGDVRVSNYCKFDSQLLTLFTSSLYIAGLLTALLLSSWFTARRGRRPSMIVGGVAFLAGAAVSGGAVNVYMAILGRALLGVGLGFANQAVLLYLSEMAPARYRGAFSNGFQLTLCLGSLAANAINYGADKITGGWGWRLSLGLAGVPAAFFTLGAIFLPETPNSLVQQGEDRGKVRALLQRIRGTDAVDAELDDIVAASGVARGGGGDGLRLILSQPRNRPQLAIAVLMPAFTQLNGINAIGFYAPVLLRTVGMGESLALLSAVITVVIYTASTVVFMFVIDRFGRRTLLIAGSLQMLASELLIGAIMAAELGDEGGMGRGYAAALFVLIGVYVAGYSWSWGPMTWLVPTEVFPLEIRSAGQSVTVASGFVFTIFVAQGFLAMLCRMRAWLFFFFAGWIVVMTGFVYWFLPETKGMPIEQVRKVWIEHWFWGRVVGVDESQASDKL >PAN42419 pep chromosome:PHallii_v3.1:8:11372028:11374216:1 gene:PAHAL_8G094000 transcript:PAN42419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGGEQVHLSKRGGLQAVPFIIFSEGCERIVNTAVSANIIIYMTKEYHMGAAPSTVIVFAYQAATNFLPIFGAILSDALWGRFLTISLTLFACTIGAVLMWLTTMVQTLVAEDCGNEDQNSQCCHSPTTLQLFVLLTSLVFLSIGASGVRPCSLPFGVDQFAHWSGTRKDRALKVLFSWYYVSMGGSAIISITLIVYLQDKLGWKIGFAISVAIMALATFLNIVTSPLYIKVKPQKSTWLSLVQVIFVAIRNRHIQFPEVGSGLQYHNPGGLEVVVPSRKMRFLNRACVLRVHTDRSNTEVLNDNSWNVCTVDQVEDLKRTLSVIPMWSAMITSLLIQQASFRVLQADTMDRRVGTTKFQMPAGSIAIFEVITFTLWSGCFDRFMLLVVQKITGREQVLSHKQKMGIGVLFSIASALAASAVEAFRRKQAIRQGLENNIYGVVNMSALWLAPQCVFAGLASAFGSIGQMEFYYAELPKTMSSLAMALLPLATGVANIAGTMIVKLIKVITARGGRIGWLPDNLNQGHYDYYYFLLALLSMAGFIYFVACCYWFEEPAPIQLVEPQEDETDGI >PAN41225 pep chromosome:PHallii_v3.1:8:1952315:1962733:-1 gene:PAHAL_8G027800 transcript:PAN41225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAQRRVRAVSAHLQPPPAPAAGRGLAANPTAGEYAHAQGYSVVLPEKLQTGKWNVYRSAHSPLSLISRYPGNPDIGTLHDNFAYAVETFRDCRYLGTRIRADGTIGDYKWMTYGEASTSRTAVGSGLIYHGIPKGARIGLYFINRPEWIIVDHACASYSYVSVPLYDTLGPDAVQFIVNHATVEVVFCVPQTLSILLSFIAQMPCVRLIVVVGGDDAKMPSTPVTTGVEIITYSRLLIQGKSSPQPFRPPKPEDVATICYTSGTTGTPKGAVLSHGNFIANVAGSSLNIKFYPSDVYISYLPLAHIYERVNQVALLHCGVAVGFYQGDNLKLMDDLAVLRPTVFASVPRLYNRIYAAITNAVKESGGLKEKLFRTAYNAKRQAILTGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRICFGGEVIEGYGMTETSCIISAMDIGDKSIGHVGSPISSCEVKLVDVPAMNYTSDDQPYPRGEICVRGPTIFRGYYKDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSSLVAVVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVSLVAEPFTLENGLLTPTFKVKRPQAKTYFAKEISDMYAELRETETARPKL >PVH34124 pep chromosome:PHallii_v3.1:8:26681523:26681993:1 gene:PAHAL_8G150400 transcript:PVH34124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN42664 pep chromosome:PHallii_v3.1:8:30614826:30616388:-1 gene:PAHAL_8G164800 transcript:PAN42664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALAILCFLCGNAFLPSSASSDSFLQCLSAMMPSQLVYQQSSSGFTSVLESSVQNPKFLTNTTVGPLCIVTASDVSHVQAAVRCGGWHGVRLRVRSGGHDYEGLSYRSVRPEVFAVLDLARLRGVRVVPGEGSAWVDAGATLGELYYTVGTTNPGFLFPGGACATVGVSGFISGGGIGLMMRKYGVGGDNVLDARIVNANGDVLDRAAMGEDLFWAIRGGGGESFGVVVAWRLKLSRVPPTVTVVNNLRTMEQGVADLLAKWETTILTPSLPDLTIRVVLQERHAFFQTFYLGRCSDLLRTVRGVFPELGMTAADCNEMSWLRAMAFIYFGNTNTPVEGLLNRTNSLGTYFKSKSDYVRRAVGRAGWESLFQQQLSRNGNMLMILEPHGGAVGGGNTNATSPYPHRRGVLFNIQYGSMWWGEANGTAAATALEWLDGLYGFLGQYATSNPREAFANYRDLDLGQNVVGRDGVSSYSSGRVWAERYFMGNYRRLAAVKALVDPSDYFRNEQSIPPLPKT >PAN41571 pep chromosome:PHallii_v3.1:8:4202873:4206490:1 gene:PAHAL_8G054300 transcript:PAN41571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFDRNQRQRSPFCSTATFVAFVALCLLGLWVASSPEAVPAAISLASAEKQAAAAKADVKEEDSSIDATNTVKQGSANVVAATGDAKGDQASARDQTFDDENGRTEGGDLVKPGSGGDTDGAAGKGAEEAAAETDANNKDATGGLDQAATDAKESAEQAVAAANDAKESGAAVTDRGTPKNLTFEFDDENGKMDGVDLVKDDGNKTRISEESAKVEGAALTVKPVAKSAATSDTDAANTAASTTTSSEEEKAEALPTVQAELLTERAAQNGSFTTQAAESTEEKKNRAETKKKKGKKGKKKAAGGSAASASSASAWKLCNSSAGADYIPCLDNEAAIKKLKTDKHYEHRERHCPAEAPTCLVPAPPEYRDPIRWPHSRDKIWYHNVPHTGLAEYKGHQNWVKVSGEHLTFPGGGTQFKHGALRYIDLIQGALPAVAWGRRSRVVLDVGCGVASFGGYLFDRDALTMSLAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPGNVFDVVHCARCRVPWHIDGGMLLLELNRLLRPGGVFVWSATPVYQKLPDDVEIWDEMVKLTKAMCWEMVAKTMHTVDDQVGVAIFRKPVNNGCYEKRAQKEPALCEPSDDPNAAWNIKLRACMHRVPEDPSVRGARWPEPWPARLGKAPYWLDSSQTGVYGKPAPEDFAADLAHWRKVVRSSYLTGTGIDWKTIRNVMDMRAVYGGLAAALREMKVWVMNVVTIDSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLMPRCKVLPVVVEVDRILRPNGKLIVRDDKATVDEIQSVVRSLQWEVRMTVSKNKEAMLCARKTTWRPTEVETR >PVH34587 pep chromosome:PHallii_v3.1:8:43687483:43688653:1 gene:PAHAL_8G253800 transcript:PVH34587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSYNCALCNTSVEEIVDHLFFQCPFSQSCWSLLNLQIALQAPTLQSLDLLKFELHSPLFKSIFILLCWAIWTSRNGFIFEGIQPTVDGCCRTFKKELDLLQHRVKIKHKQHLEEWLNRFP >PVH33746 pep chromosome:PHallii_v3.1:8:4536783:4541005:1 gene:PAHAL_8G057400 transcript:PVH33746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] MDDAAGEEEASMFETSHVLGALLASSPLLARAWDRCAAANAAASGFVHGEDGGTVYVGFSGAPAALSAAGAAVAGGGGLFVPVGLGGDATRRIFAPLVAAEPDAAAVGEQVAVQALALQCFLKLCGSPDFQILLDQIRGKAAVFTGHSLGGAIAALATLHYLCISSSSSTFGPAPPVLCVTFGSPLLGNEALSKAILRERWGSNFCHVVSQHDVVPRLLFCPLDTVPAHIIVGMQLQQWPARTHHAGAVTAVTARVADTDRHALRQLIQTHVGAVAMEQKLADPAALSGGPYRPFGTYVMCSPDGAVCVDNPTAAVQMLYATFASRCSPGLESPEVAHSCYGDLVLKMPQHLLVKRRLRAADAPATSNYDAGVSLALEASGIHAMVRALATEASTARHWLKTSKRAGRRPSLNCAQLATKLGRITPCRAQIEWYKALFDGELGYYDAFKQRRSPKKFNKANMYRIKLGQFWDGVLAMLDAGQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRHNLHRTRGRYLTHGRERRYELFDRWWQEKGCAGVRRGDVASSMSSSAASASRRRRSKYAGLTQDPCFWARVEDAREQTESARSERDAAELAMKLEELQEFEHYSGELVASREVSVDVLAPQSSYTLWVEEWNQLKHRDEVRTILL >PAN41630 pep chromosome:PHallii_v3.1:8:4536783:4541016:1 gene:PAHAL_8G057400 transcript:PAN41630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] MDDAAGEEEASMFETSHVLGALLASSPLLARAWDRCAAANAAASGFVHGEDGGTVYVGFSGAPAALSAAGAAVAGGGGLFVPVGLGGDATRRIFAPLVAAEPDAAAVGEQVAVQALALQCFLKLCGSPDFQILLDQIRGKAAVFTGHSLGGAIAALATLHYLCISSSSSTFGPAPPVLCVTFGSPLLGNEALSKAILRERWGSNFCHVVSQHDVVPRLLFCPLDTVPAHIIVGMQLQQWPARTHHAGAVTAVTARVADTDRHALRQLIQTHVGAVAMEQKLADPAALSGGPYRPFGTYVMCSPDGAVCVDNPTAAVQMLYATFASRCSPGLESPEVAHSCYGDLVLKMPQHLLVKRRLRAADAPATSNYDAGVSLALEASGIHAMATEASTARHWLKTSKRAGRRPSLNCAQLATKLGRITPCRAQIEWYKALFDGELGYYDAFKQRRSPKKFNKANMYRIKLGQFWDGVLAMLDAGQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRHNLHRTRGRYLTHGRERRYELFDRWWQEKGCAGVRRGDVASSMSSSAASASRRRRSKYAGLTQDPCFWARVEDAREQTESARSERDAAELAMKLEELQEFEHYSGELVASREVSVDVLAPQSSYTLWVEEWNQLKHRDEVRTILL >PVH33986 pep chromosome:PHallii_v3.1:8:16076725:16077531:1 gene:PAHAL_8G114500 transcript:PVH33986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEHFTSSTSSSSSSSSSSCYYPVAALAALLSLDAADRKDTQLLNDLVQHMDADECSGWSSSSSSCTSSGTGMESIGTANGRHWRQDAWPGQKQEAFIGVRKRPWGKFGAEIRDSTRGGARVWLGTFDNPEAAAIAYDQAAFASRGADAILNFPIRHVQQSLHTIVDATAGVSPVLALKRHHSRRMSTARRRSKLVHGRDTTSTKTNKNRMCQQQLAPQSATASSVSSVAAMAVPQPVATPGHCDVLELEDLGAEYLEELLRMASED >PAN43123 pep chromosome:PHallii_v3.1:8:41592561:41600269:1 gene:PAHAL_8G229500 transcript:PAN43123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVLGLAKTTVEGTVTMARSAMEEEDKLQKRVQRDLLVISDEFEMMHSFLNDAKDRVTDNVTRTLVRQVRNTALDVEDCIETIVHLDNKPHWWRRMILPWCMPAASPAKDLDAAVANIEQLKARVEAMGHRNLRYNRIGDSGHKPVEQTHQQAVANAMVPDIFIAAADAAKKHNGQVDLVTLINKKEEQDDDDDEDDYDDADDNDDDNDDDDNEQQEEENVVVGNELKVEQEVDGAHQLQVISVLGTGSDNLEIMSIKKAYYDLETCKRFECRAWVKLVHPFNPIEFIRSLLAQFYRNYCPKEENTKDVLDLLLATDTALIVDFMGQISQKYLVVLEDVSTMADWEAARGYLPDKKNGSCIVVHTRQFGIACSCVGHQYQVFELEKLSADSSVYVILKEDAARKVIEDTGDEKKVIEDTGDEKKTAGRPWTLRHQLYGRHTDIRWLFWLTQKGGVVSVWGMSGVGKSYLIQHFYYINQKQRSSYMFGWVNVSHPFDVRDFFRRLLWDLNSSSPQDHMIKEPIQACRDYLQTQKKPYFIVVDGLQSTEEWNLIKLAFDFETRSNKRNIVIIITNEESVADYCAEDKKSVWNVKGLEVSQAIELFDETICCNERGRTASAEARDQNEKIRDILVQKCGGLPKVICAVAHSWGLVYNIKVKDNLVSMLEANAPRTTRSLEDMFTWLLSYFRSCPDSLKPCIFYLSIFPLNHTIRRRRLVRRWIAEGYFRDKKESTAEDNGERSFSKLVNLSMIQAPRTKVDYMRMSLCQVNGFLREYIISRLMEENLVFALEGHCRKNIQRTGRHLAIDNSWDRDRNVFESIDLSLLRSLTVFGKWESFIISGKMKLLRVLDLEDVSSGVTNDDVEKMVKLLPRLKFLSLRRCKQVTRLPDSLGDLKQLQTLDIRETSVIKLPKSIIKLEKLQYIRAGTSATPGCCLSKLSMHRRLDDGSHSGVKVPRGIGKLSSLHTLGVVDISAAGEDDGILEELKNLTQLHKLGVYGINRKNSEKFFSDISRLAHLESLSLQVQLNQDNEAADFMADISSPLVKLRSLKLYGLVDRLPAWVMQMWLQLPGLEKLNLQMKTLPQQELDFILSLRNLRLLRLRLAEFQNGELRFGWGFDQRSSPRYIPFLEIACNSRLQAVKFGSLVHIEILKIRCSSVSPSLKFSGLRAMPSLRIVWLTGTYEDVFKKDLDTELRNNKNKPILKEDRAGPTNSEALC >PAN41518 pep chromosome:PHallii_v3.1:8:3815603:3817198:1 gene:PAHAL_8G049900 transcript:PAN41518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTQRHEDQSPGAPAPAPAMAAGAARRERPRKEAALNCPRCNSTNTKFCYYNNYSLRMPRYFCKTCRRYWTEGGSLRNVPVGGGSRRRNRASSSAVSAAATEAVTPSASGTIPVELAAKNPRLVHEGARDLKLAFPHHGGRGLLQPPEFAAFPSLETSGATVAGGNGAARSGVGVLSAMDLLRSAGCYVDAQLGMPAEHVAAGFGLGEFRFRMPAPPPVTHVHGTRGVQESAGSSLLFPFEDLKLAASAGEDATAGADQGDDGGVVTAGHETTGFWSNSMIGNGSSNGGASPW >PVH34138 pep chromosome:PHallii_v3.1:8:27856540:27858186:-1 gene:PAHAL_8G154500 transcript:PVH34138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKSKYNIINAMLQKEDLDTMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMIKQDSSSSNEEDDHHEGSSDVENDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRNKDNKSNDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCKVDSEDDSDEELDPNEFTNFINEYTSVIKREKGKVKILESTHAKLELAHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKFAHEKVNASTSCDDLLIDAYATNVVPKLAPSREKELMDQVANLKSSVQKLSRGEYIHKEILFNNARDYGKRGFGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPNLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN43627 pep chromosome:PHallii_v3.1:8:43483128:43487413:1 gene:PAHAL_8G251100 transcript:PAN43627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKRVASAAGTAALAYVALSRRQRRPGEEDEGKKAEERWPERAPATWREAAAVTARTAGFTYAETLGKWPLGDIAFGISHLMRVQGNRQHEYTGSNCVPLKGPGVRQELIGLLRYLRLCMFFSKKPYEVFLEFAGYGQSDILIRKSKSKLMKPAFTVVRDESTKCFLLFIRGATSTKDRLTAATAAEVPFHHSVLQDGRRSNLVAGHAHCGMVAAARWIADQAIPCLSKAVEQFPDYRIKIIGHSMGAGIAAILTYMLREDNKLSSSSCIAFGPAACMTWDLAESGNNFITTVVNRNDLVPSFGKASASILRTEVMASSWAPDLQEQFQQTRILGFVNSSLNFMRSHIPFLPNPGSKEELNLSSDARSAVQKHWALSCWSSVAANRQTLESGTQGMGIPALMYTYAGTDQNTDKPTTAGEPASYSREEPDRPRSDFEETNLEQLLKSLRSSPVPSQPHQLYPPGRIMHMVVLPSPNEPGTGKQRDQDGVVAIYQTPRSMYGKIRLARSMIRDHYMPRYIETMEMLIDKLAEDDGDDSEGQLGELNPTTKEEDW >PAN41420 pep chromosome:PHallii_v3.1:8:3152417:3155362:1 gene:PAHAL_8G042700 transcript:PAN41420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MELGDKAVGFLLTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVIAGVVLLSFLSIFVGLVMLKSKKKKKTT >PVH33754 pep chromosome:PHallii_v3.1:8:4698565:4701080:1 gene:PAHAL_8G059600 transcript:PVH33754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRIWYCTLCTCWQQSSVAPHHLFDGMPTNDKRGLRRHAFLPVHAARRIALIQHNCHTTVVAAPAAFQRVIPVVDLLGQHYLASMECTNTGSSFSVHAFGSGNPTCSMVVADVNNLWHFYLQLLDFCCLPGKFPGTLVCAISFFIGLCHFFLYSTQ >PAN43321 pep chromosome:PHallii_v3.1:8:41498659:41503430:1 gene:PAHAL_8G228700 transcript:PAN43321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAVGASESAMSSLLGKLGSLLAQEYTLISGVRSEIQYMNDELASMHAFLRKIGRAAAAGATHDEQTKDWIEQVRDVAYDIEDCVDDFAHRLGRQPRGEGLLVNLRRTWYAMTTLWARRDIATKIIDLKNRAQDVGERRTRYGVQDPSRQPNSGRRSSRTPARPYTADHLQSPNPRLVGMTKPVGQEEAVANHGEWLAEARADQRVLAIVGFGGLGKTTMALELQRVFGEKFESRATVQASQKLNLESLLREILKQVMPQQEPERKGDARGGGGAATDSRTDGMQRWTVKQLKEKLKTQLEQKRYFLLVDDVWSVSSWTNIWESLPKNQNGSSIVVTTRFKSVANTCSHQQGRIHMLKPLSYEESKKLFFEIIQDQDPGQPKDTTDKSKINKDESQHTLGDDSKRTKESNDTQEDSRNTKKQSKNSREELSRNINGEESKSTEDSDEFMKIKEDIIRNCGGLPLAIVVVAGLLARRDLNNVSHWKTVKESLNSELDKNLNPEGVTQILNLCYNDLPADQKNCLLYLSIFPKGCSINRRRLTRRWIAEGFIVEKDGKTVEEVAEDTFNELISRNIVRPVDHSSNGNVKACQVHDMILEYILFKSSEENFITVVGGHWLTPTPSNKVRRLSLHSSNPEDAKDKIETMNLSHVRSLTVFENLHQLPSYSLKSGILQVLDLEGCKSLNTSQLDKLCKMFHLKYLSLRRAYIKKLPAEIGKLQYLETLDIRETDVMELPLSVGRLQKMVHLLGGNKSTRRALRFTEVIAKMTALQTLSGIEISKSSTPDLGSMHNLTKLKKLSILNIRDLHANSQKYDDLLSAIEYLSGFSLKSLAIDDGFTGFLDSIEDLSTPPKYIHSLELSGKLTRVPGWIKELETLEKLTLSLTSLGTDMFLELSQLPLLFSLTFSVNAKGQDHNLVEILHKNTMDSGGKIFVPAGGFGSLKLLRFSSPVMPLLSFLEWAMPALQRLELQFRLLEGAYSLENLKSLQQVHLRVSQKASEATKVKVSDIRTSVSKHPNNPTVVADEYYE >PAN43361 pep chromosome:PHallii_v3.1:8:41767831:41770251:1 gene:PAHAL_8G230800 transcript:PAN43361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPEEEEKPLPRPKPPKPKAGPEPRPKPPRPLPQRDGTPGGVPFLPHPFACANNCSDSCAFYRLCPPPPPASPAATVHLSSSRLPTPLIALSASLLAVSAVLLLALLVHRLVRRRRRRARNAAPGLDQDEEEGGQVLAGAVAEGAGDGEEEADGAGVHHVWYIRTKGLDERAIAAIAAVVYDAKKRGAGALDGGDGSCAVCLAEFRDGETLRLLPRCGHAFHRGCIDTWLRAHVNCPLCRAPVQVAGAAAANTAPGAAAATATPGGEPEPDLGATGAPRRAEDAERGGVPDRAVRRAASMVALPRRAWPDVSFRAPASNSGREEDLTGLGKIMRLLKFSDSLEMARVGAGRSASFGAGSCQRLPTRSGPSAAGVSSGEIAQ >PAN41342 pep chromosome:PHallii_v3.1:8:2650948:2654359:-1 gene:PAHAL_8G036500 transcript:PAN41342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLISVCICYSTKESSLVNLVLTGLHVAFILFIIAMGFKHGDARNLTRPADPSRNPGGFFPHGAAGVFNGAAAVYLSYIGYDAVSTMAEEVERPARDIPAGVSGSVVVVTALYCLMAASMSMLLPYDAIDPEAPFSGAFRGRKGMAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVHPRTATPVNASVFLGLFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYAGDSDAGAGDGLGQQQQQQRRRAWPALAFLGAFSLIALSFTLVWQLAPAGGGAKAGLLAACAAAAVGAVAAFQALVPQARAPELWGVPGMPWVPAASVFLNVFLLGSLDRASYVRFGFFSAAAVLVYVLYSVHASHDAEESGGALDGGGGAKVQDEGCKV >PAN41344 pep chromosome:PHallii_v3.1:8:2652093:2655787:-1 gene:PAHAL_8G036500 transcript:PAN41344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAALVADPDGAARAGGSFASLRAYGRALAQTPRRLARRACAATAPGEETSRIRARSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLISVCICYSTKESSLVNLVLTGLHVAFILFIIAMGFKHGDARNLTRPADPSRNPGGFFPHGAAGVFNGAAAVYLSYIGYDAVSTMAEEVERPARDIPAGVSGSVVVVTALYCLMAASMSMLLPYDAIDPEAPFSGAFRGRKGMAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVHPRTATPVNASVFLGAYASCLARSLSRDHLLL >PAN41343 pep chromosome:PHallii_v3.1:8:2650948:2655787:-1 gene:PAHAL_8G036500 transcript:PAN41343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAALVADPDGAARAGGSFASLRAYGRALAQTPRRLARRACAATAPGEETSRIRARSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLISVCICYSTKESSLVNLVLTGLHVAFILFIIAMGFKHGDARNLTRPADPSRNPGGFFPHGAAGVFNGAAAVYLSYIGYDAVSTMAEEVERPARDIPAGVSGSVVVVTALYCLMAASMSMLLPYDAIDPEAPFSGAFRGRKGMAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVHPRTATPVNASVFLGLFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYAGDSDAGAGDGLGQQQQQQRRRAWPALAFLGAFSLIALSFTLVWQLAPAGGGAKAGLLAACAAAAVGAVAAFQALVPQARAPELWGVPGMPWVPAASVFLNVFLLGSLDRASYVRFGFFSAAAVLVYVLYSVHASHDAEESGGALDGGGGAKVQDEGCKV >PAN41045 pep chromosome:PHallii_v3.1:8:837108:839068:1 gene:PAHAL_8G011800 transcript:PAN41045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGFSFSALRSRAALPLPLAPPPRFLRGLPHPRAVFSSSSSSLPLPPPGMEAPYKFGPYKIDAREVFHATPLSYAMVNLRPLLPVKRFADLSSDETSDLWVTAKEVGARLEQYHKASSLTFAIQDGPEAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKEKELKDKLDLDIERKDRSMEEMAHEANEYRALFS >PAN42085 pep chromosome:PHallii_v3.1:8:13537174:13542977:1 gene:PAHAL_8G101200 transcript:PAN42085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPRPLPRRILHLAAAIAVAACACFPAPVSGIRKDIGFIEPIMCRSTVQGRHLISDDNGYVCSALSINPWSHCCPTAGDRFSCQGCKHDLQCCNSYEYCVSCCLNPSKTKKEDVLKLKVAKPVTAGTYTNIFDFCMGRCRHSSASVVHENAYASDFHHCFSVQQNSSGSTEPSSVSKLLGINVVVGRPGESCSLVCKVRGQSCVPSRLSVLNKCEMIMIACAQLFKPVCRNI >PAN42084 pep chromosome:PHallii_v3.1:8:13537076:13545164:1 gene:PAHAL_8G101200 transcript:PAN42084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPRPLPRRILHLAAAIAVAACACFPAPVSGIRKDIGFIEPIMCRSTVQGRHLISDDNGYVCSALSINPWSHCCPTAGDRFSCQGCKHDLQCCNSYEYCVSCCLNPSKTKKEDVLKLKVAKPVTAGTYTNIFDFCMGRCRHSSASVVHENAYASDFHHCFSVQQNSSGSTEPSSVSKLLGINVVVGRPGESCSLVCKVRGQSCVPSRLSVLNKCEILQKYMRCKSGCFRSLGPDQPAEVVDEAPTSLNPGACLYMQMDERLTCDGSHQHTQRLCPCA >PVH33709 pep chromosome:PHallii_v3.1:8:3948679:3949820:-1 gene:PAHAL_8G051800 transcript:PVH33709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLAACRCTSWTWPVRRTRAQRRRQARMVQPRMHVPPRRGQDARAGALTGRVHPGPEEKLPPPACDSRTCDSRWARRPGFSCWPPPPPSSSSTRRRPCRAPTSTRSSTRAAPT >PAN41381 pep chromosome:PHallii_v3.1:8:2893992:2895531:1 gene:PAHAL_8G039400 transcript:PAN41381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVEPLVVGRVIGEVLDSFNPCVKMIVTYNSNKLVCNGHEIYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPRPNIGIHRFIFVLFKQKRRQTVTVPSIRDHFNTRQFAEENDLGLPVAAVYFNAQRETAARRR >PVH33937 pep chromosome:PHallii_v3.1:8:14390893:14392725:-1 gene:PAHAL_8G105800 transcript:PVH33937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAGDRGVASSAVAAAAAAARFDPGRGRSYGAGGQVSEGGEKRSLRLRRWAGCIAVRSGTRKMLLPPPAPTNSGWRRGVAEDAGWLERERRRPRRREGVPGKALETLCSLLDGPIKIPSPRLLASSAPSPVA >PAN42903 pep chromosome:PHallii_v3.1:8:37979525:37980924:-1 gene:PAHAL_8G196800 transcript:PAN42903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWKSLVPALHLHAPAPSCFPQPPSPSPCPSPPAEDQLPPPPEYQQQEGKVVRLVGCDGRVRAYPPPVTARELMQQHPRHLVCRADALLIGEKIPAVAPGEELQPGQAYFLLPAHLFRSVLSFVSLASSLLLLLSTTSSAAAAGKGAGGKGAGRPFELHRTASGTLQIKFSDDFLVGGGGGGPDGAPEDEARLEPAVLRGDARLAKEYEELVGYGKSRRWAPKLETIEEVVAAAAAGTGAGASAAPTSGPERRRSRALPFLGRLGSRRRRDACGGGSAVACSG >PAN42511 pep chromosome:PHallii_v3.1:8:27048358:27050970:-1 gene:PAHAL_8G151400 transcript:PAN42511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGPVYARVAGEAVYVAEPAPASASRGPAYDGLPLGNAAGTRTATELVGRGPAGTTSCHQCRKAGAVLWCSSCDRRGYCAGCISRWSHENAAKPLKQAQPGETDDDVRSTTLSTNTPHVAASKSAATGFSFRLEQRAEKRKEGLALATVFLWMLSKTSVPWILLAD >PVH33921 pep chromosome:PHallii_v3.1:8:12125831:12126699:1 gene:PAHAL_8G096300 transcript:PVH33921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGYRQLCAKYHCATGLMHDTKQLSGRIRTLKQMYGFIKDMHTDSGLGRDDQGWPTASKDWWDTKTKGCPEFKKLKWGPPEYFDLLEHCFHDVAVDGSSAFVPGQEEDEALYEDEAQGYEEEEEELQGTENSPMSSSGHKRSSSTSTRSTADSPIKKSKSPMLKVMKQYLHMSARQSAERNLFLKKLGSKQENAEAKLEDAIKKAQQLAKQAGLDESSPEFYAVSHICKDEALMKFFINMETCEGRVAFLRRYCKEKNLD >PVH33548 pep chromosome:PHallii_v3.1:8:1480743:1481489:1 gene:PAHAL_8G021600 transcript:PVH33548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRHPSGSEKRKKRKHLDELTESQRGSIDKFFKPNTSASRKQDEWAIVAVEEQTNNNPEDPDPTDDNVGINTDDNNMSNHVPSTSVDEEPIFTTNMYDPVTWDNLDNKARDILVEKGPIREENIIFPLDANSRYFHTVITLEK >PVH33721 pep chromosome:PHallii_v3.1:8:4214434:4215045:1 gene:PAHAL_8G054400 transcript:PVH33721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPHNRNRPGADLSEMFLFNEAISALGLVEIPLQGRKCTWSNKQTPPLLERLDWFFTSQSWTNIYPGTSASSLVMETSDHLPCVIAINTNMPKGKVFRFENYLMEHEHFWAVVQHGWSLPTQQTDAAKIIIEKFKNLRRVIRAWQAQLSSLKTNISNVKLIISLLGIIEEFRDLSLMEWNFRDLLEKKLLSLLRQQKIYWYH >PAN41648 pep chromosome:PHallii_v3.1:8:4659296:4662392:-1 gene:PAHAL_8G059100 transcript:PAN41648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGQLSMRMRCLRPGSRRRCPLLGGDLAAFCASLVEGLAHLESTLEVDLDHGRGGGSVGSGSVSMRWCADAMRLVKRMQREMLAIFRKADVPAAAAVSSRGGGAAGAGDWFEHYMQETAALLDFCNAFKAAVSRMHRYCMVVDFAAQVGGAAGDDDGAGAAAASLVVESAAEPTGSRQASSAAAAVRDKIAEVKAAVTEAERLGRTIISGGAGGDGGGMVVVTLVAKITTSVLAMFVLQALVSPAPLDDGAVRPTLASAVDVPELEPWCESLSLIHARFPSRATVAEHEKVATVVRDLISGKPGGGGHGGQQEEVARGHVELLRARSGELREGVEMFACVLDEVFDEVIRGRNEMLGILRDKTLT >PVH33897 pep chromosome:PHallii_v3.1:8:9592136:9594320:-1 gene:PAHAL_8G087300 transcript:PVH33897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDNLADVEKFYKDYAYDAGFSVRVGQHKKKDSEIVGKYYYCAREGYHVPKDEKVIDSSEKKRKRTHKVSQKRCGCDAHIYVSLGKDKKFTIAKMVEQHTHGLVSPPHRHLLRSNRHVSERAKNTLFNCHKASIGTSLAYRLLHVSDGGFQNVGCTLKDLQNYYRDLRSKIKDADAQMFVAQLERKKEVNSAFFYKFEVDEQGRLMRVFWADAISKKNYSIFGDAVSVDATYATNQYNMKFVPFTGFNHHLQSVFLGAAFISNEKIESFVWCFKSFLEAMGGAAPRLIVPENVSPSLREDPTFWPRLHSVVWESETVQEFESQWLAMITEFELLGNQWFSTRFLIRESWIPAYFMDVPLAGILRTTSRSESANSFFNRFIHRKLSFVEFWLRFDTALECQRQEELKCDHKSLHTSPKLMTPWVMEKQCSIIYTHEIFNKFQRQIVLSRDVCLIQGIVEHGDIKQVTIGSQSGKERLVHFNKSNMIGRCSCKLFESHGIPCRHIIQVLRAEKQLQLPEYYILKRWEKCCKRAVHFDDGGNLLEDAPNDPQEVAMRKKISDARNKFEGLIQKAKHSNEGIDFINSSLSNLEATLLTMIPSVATSKQDEFESFVGTKIPNEVNIHPPNDLKAKGRCKRIKKSKEMKAGKTTRMCSSCKQTGHHDKRNCPNKSR >PVH34241 pep chromosome:PHallii_v3.1:8:35062487:35063167:-1 gene:PAHAL_8G179100 transcript:PVH34241 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit K, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00430) UniProtKB/TrEMBL;Acc:A0A1B1W4U5] MSLIEFPLLDQTSSNSVISTTPNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAISREIVEDRTLCQSQKKNRSFTTRHKLYVRRSTHTGTYEQELLYQSPSTLDISSETLFKSKSPISSYKLVN >PVH34467 pep chromosome:PHallii_v3.1:8:41264742:41265097:1 gene:PAHAL_8G226400 transcript:PVH34467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLTRVINRLLNPPINFGMIELTIIQTRLPNHQVYAKFPTLVTMPIGS >PVH33829 pep chromosome:PHallii_v3.1:8:6540688:6541917:1 gene:PAHAL_8G073600 transcript:PVH33829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQRLILSRIPCRVDRGRISLVCRAWRDMVRSQQHMLVGRLLPQPRSLQWLLLRAPFPAGSNRVVCVLSGCRVHHYINVVPPDARCFGAHGGAWLFVDTREPVHRAAAVNARTGAFRNLPRELLRRADPYVYRMVIHAAALSSSPDDANCVGAAIVTAWQNAAPGAGPPPRRRCVALWRRDWPRAWDFVPPGQDDVSLNVEDVLYLNHNGAFAFVTQGEHIRICVPLRLSENMLSTKWGTLRFRPGGPLYDHFVRSRYLVVSGGELLMVVRFTPHPNMPTSKFKVFRTAKRNVNDDNADFPIALYPWAWSELDTLGGWMLFVGHGCSRSYKVDKYPGFKEGIYFLDDGKFYDDAVIFDNGNGNHYPCSDDGKWSEGQIQRCFPRSDPSVHSAPVWLLPGGGDMFSGTL >PVH34245 pep chromosome:PHallii_v3.1:8:35065958:35066940:-1 gene:PAHAL_8G179500 transcript:PVH34245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVGNIDEASTKAINLEEESKLTK >PAN41228 pep chromosome:PHallii_v3.1:8:1974590:1977753:1 gene:PAHAL_8G028000 transcript:PAN41228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREDIIRVKTCVLKVGIHCDGCQKKVRKVLHKIEGVYQISIDKLEGKVTVTGQMDLDTVFEKLRKAGKPALLWGATANPGAASQVQQLQLGGGGGDQHPKDNAGGKGQPKGGAGAAASSSGGGDARMAMPQATPQQLREQLQQQLMKGMNLPPQLMGMGGKMPLPAAAAPANPKAVKFNVPEDDGLGNDDDDSGDEFDDEDFEDDGLDDDMYDDTKMMTMMMKKPMAMPPAAGGAGGSGVKKGGIGNGTPVQIKGNANNGGKMDPAAKLNLGGAGGSVKNGGVAQPPRNGKGGAPGGGNLQPGQARKASGAGGPSAGVGGPMMGMGGMPPPQPAAMTMRPPNMMGGAAGYPGAGQMGGMPMGHSHMAGKGMQPGVGAGMPMVHPHMAGNGMQPGGVHGMQPGGVAGMPAGGMPRGHPNMAGNGMQPGAGGVAGMPAGGKPMGHPHMGGNNGMQPGGSAGTTLAPGFYHAGPAGGGFGGGMPYGPEMMQAAGNHVHGGCGGGYMPMMQQQQQQPPHMMMNGHGYGPYGYGYHGDHVHGGAGYYQQMGYGTYAYGYGGHQALPYPSYYPRHPHDNMFSDENPNSYCSVM >PAN41229 pep chromosome:PHallii_v3.1:8:1974590:1977753:1 gene:PAHAL_8G028000 transcript:PAN41229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREDIIRVKTCVLKVGIHCDGCQKKVRKVLHKIEGVYQISIDKLEGKVTVTGQMDLDTVFEKLRKAGKPALLWGATANPGAASQVQQLQLGGGGGDQHPKDNAGGKGQPKGGAGAAASSSGGGDARMAMPQATPQQLREQLQQQLMKGMNLPPQLMGMGGKMPLPAAAAPANPKAVKFNVPEDDGLGNDDDDSGDEFDDEDFEDDGLDDDMYDDTKMMTMMMKKPMAMPPAAGGAGGSGVKKGGIGNGTPVQIKGNANNGGKMDPAAKLNLGGAGGSVKNGGVAQPPRNGKGGAPGGGNLQPGQARKASGAGGPSAGVGGPMMGMGGMPPPQPAAMTMRPPNMMGGAAGYPGAGQMGGMPMGHSHMAGKGMQPGVGAGMPMVHPHMAGNGMQPGGVHGMQPGGVAGMPAGGMPRGHPNMAGNGMQPGAGGVAGMPAGGKPMGHPHMGGNNGMQPGGSAGTTLAPGFYHAGPAGGGFGGGMPYGPEMMQAAGNHVHGGCGGGYMPMMQQQQQQPPHMMMNGHGYGPYGYGYHGDHVHGGAGYYQQMGYGTYAYGYGGHQALPYPSYYPRHPHDNMFSDENPNSYCSVM >PAN41227 pep chromosome:PHallii_v3.1:8:1974590:1977753:1 gene:PAHAL_8G028000 transcript:PAN41227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREDIIRVKTCVLKVGIHCDGCQKKVRKVLHKIEGVYQISIDKLEGKVTVTGQMDLDTVFEKLRKAGKPALLWGATANPGAASQVQQLQLGGGGGDQHPKDNAGGKGQPKGGAGAAASSSGGGDARMAMPQATPQQLREQLQQQLMKGMNLPPQLMGMGGKMPLPAAAAPANPKAVKFNVPEDDGLGNDDDDSGDEFDDEDFEDDGLDDDMYDDTKMMTMMMKKPMAMPPAAGGAGGSGVKKGGIGNGTPVQIKGNANNGGKMDPAAKLNLGGAGGSVKNGGVAQPPRNGKGGAPGGGNLQPGQARKASGAGGPSAGVGGPMMGMGGMPPPQPAAMTMRPPNMMGGAAGYPGAGQMGGMPMGHSHMAGKGMQPGVGAGMPMVHPHMAGNGMQPGGVHGMQPGGVAGMPAGGMPRGHPNMAGNGMQPGAGGVAGMPAGGKPMGHPHMGGNNGMQPGGSAGTTLAPGFYHAGPAGGGFGGGMPYGPEMMQAAGNHVHGGCGGGYMPMMQQQQQQPPHMMMNGHGYGPYGYGYHGDHVHGGAGYYQQMGYGTYAYGYGGHQALPYPSYYPRHPHDNMFSDENPNSYCSVM >PAN41247 pep chromosome:PHallii_v3.1:8:2116310:2121960:-1 gene:PAHAL_8G030000 transcript:PAN41247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISACLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKRETPISLEENGTVVLTPHCGQEASSSLLPINFSGLAKAVTPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCIIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIRKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYYKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >PVH33967 pep chromosome:PHallii_v3.1:8:15496787:15498328:-1 gene:PAHAL_8G110800 transcript:PVH33967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAPASTPSAFEITNRDTSTSYRRRRFLRLTMSPPITPAVAVPAAFRPPPRPPARVRRRRRHGSVAPAHPPRRCRGSYRAQRLPDVPTADASPCAGIDHLAYRGCKGTSVGNL >PAN42069 pep chromosome:PHallii_v3.1:8:17908943:17920314:-1 gene:PAHAL_8G124800 transcript:PAN42069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) UniProtKB/Swiss-Prot;Acc:O04922] MIAAATRGGLSGPRIVLSLAVLALAIPFVSRSRAPTVPDMADELPASIYDIAVKDIRGNDVKLSEYAGKVLLIVNVASQCGLTNSNYKELNVLYDKYRTKGLEILAFPCNQFAGQEPGSNEDIQETVCTRFKAEFPIFDKINVNGKDAGPLFKYLKSQKGGFLGDGIKWNFTKFLVDKDGKVVERYAPTTSPLKLENDILKLLGIAS >PVH34049 pep chromosome:PHallii_v3.1:8:17915705:17920314:-1 gene:PAHAL_8G124800 transcript:PVH34049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) UniProtKB/Swiss-Prot;Acc:O04922] MIAAATRGGLSGPRIVLSLAVLALAIPFVSRSRAPTVPDMADELPASIYDIAVKDIRGNDVKLSEYAGKVLLIVNVASQCGLTNSNYKELNVLYDKYRTKGLEILAFPCNQFAGQEPGSNEDIQETVCTRFKAEFPIFDKINVNGKDAGPLFKYLKSQKGGFLGDGIKWNFTKFLVDKDGKVVERYAPTTSPLKLENDILKLLGIAS >PVH34620 pep chromosome:PHallii_v3.1:8:44179343:44180947:1 gene:PAHAL_8G260300 transcript:PVH34620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPLEAMMPLDPETFAGESGAVVGFLADYYRNVDKYPVMANPEPGSIRKLLPDAAPELGESMDRILDDVQRDILPGLTHWQSPSFFAYFPANASSAGFAGEMLSAGLNVVPFVWTASPVATELEQVVVDWMASLLGLPERFHFKGGGGGVLHGSTCEAVVCTLAAARDRALSKLGHEGILKLVVYASDQTHATFQKGASIVGIPPANFRILRTSAESGYGLTADTVQRAVEEDVARGLVPLYLCATIGTTGLGAIDRVRELGHVARRYGTWLHIDAAYAGSAAICPEFQGNLDGAELADSVSMNPHKWLLTNMDCCCLWVANPTTMTDALSTDPEYLRNVGGASKMTTDTIDYKDWQIALSRRFRAIKLWVVLRRYGTAGMRAHIRRHIRMAEWFERVVAADERFEVVVPRSFSLVCFRLRPRFMEDKAVESLNRELLVAVNASGRAFMTHFVVDGKFVIRLAVGGSMTEMRHVRGAWELIKEKANELLAGC >PVH33944 pep chromosome:PHallii_v3.1:8:14704526:14705958:-1 gene:PAHAL_8G107900 transcript:PVH33944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKEPAGQALMPPGKEAPGRRDDANHGEMPDNPPPTTNSNPAHSTLTLGEMVTKNPPGRTIAARPRQPAGFSEYEKERAQHIMRNNQIFQRLGIGQLASLLKNVSANVEDDGPQKSGSEYSPHDNEGLEDDDEVISKSVKVSSQGTRGSKRVRPPRLQLERRVTRQNSAATISLTASTEEALATVQTENLNPTANEDELVEVTEQVRRGRSMGKDLDRITRGLGSKICIHISEGKRRPARLELYLDNMYQSFLIGSITRRMILKWITVLAKLLDNLTWTLTTRQ >PAN43282 pep chromosome:PHallii_v3.1:8:41223237:41227514:-1 gene:PAHAL_8G225500 transcript:PAN43282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MVADTESSDSLPGSSNAAVETPIHGSLDQRSQEKAPKKTHKAEREKLKRDQLNELFLELGSMLDLDRQNTGKATVVGDAARVLRDLVTQVESLGKEQSALLSERQYVSSEKNELQEENATLKSQISELAKELCARMGNSSIGMSHPVANARSPDLATHLMPHQMWSSIPNISSVAMAHQTNTVSPLHTQLHSTNDVQVYASQPQELQLFPGALSSPERECSYLRRAGITSSSLTDSLPGQLCLSLTQSSQEESSSDVLNSRKERRNG >PAN43198 pep chromosome:PHallii_v3.1:8:40494794:40499630:-1 gene:PAHAL_8G219100 transcript:PAN43198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEEMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >PVH33747 pep chromosome:PHallii_v3.1:8:4576912:4577657:1 gene:PAHAL_8G057900 transcript:PVH33747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVVLLQRHRKTLQRRGVAHPLTKRLLKNFSLLSKLEMTVAVVMMVQVLLIRPAQIRMHEQSSSCSFCLHQLRPTFVCALR >PAN41747 pep chromosome:PHallii_v3.1:8:5751014:5751301:1 gene:PAHAL_8G068400 transcript:PAN41747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSVKTLASLAALLLMALAAAAAPAGRRGGSLLEAEERCSESRNCKADTCGATCAVLGFDGVGVCKVDGGGVPSCCCVPKPEPSASVGVYQLAH >PAN43815 pep chromosome:PHallii_v3.1:8:44527401:44527853:1 gene:PAHAL_8G265100 transcript:PAN43815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQESAAGIGACVILALVLLPFLRLFHDMRKEEGQEADDGSSRPRRDDEEAAGGGGEDTDRAAVWQQQQQQLPAAAEQAAGSPLVCAYRGADGWREGSCGVCLSELADGELLRVLPACMHYFHAGCVQEWLSSAHATCPLCRAPLAATD >PAN42269 pep chromosome:PHallii_v3.1:8:34129669:34131213:1 gene:PAHAL_8G175900 transcript:PAN42269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISAPSPANNTLAANGALDQEDELCLQAQELMLAYNKSLVLRAAIQLGLIDALCAAPAAVTADELARQIKAVDRADTAASVERILGYLACVNVVRCSAETTGPNGEVLRRYMPAPVCRWLTKNDGKGSLGTFAVFLGDPDHILPWHHIADAVVAGGPSSFERIQGTPFFDYLGKKNQRLGMLFDDAMADHSVILVTKMLERYRGFDDVRRLVDVGGGTGNTLKMITSRYKHITGVNYDLPQVLSQAPSIPCVEHVAGNMYESVPSGDAVLLQWMLLMQTDEQCITILKNCHKALPDGGKVIIIDGIRPEIPDPSSPAARDAFSLDMCMFVLFKGKERTEREFTKLARESGFTGAVRTTYIFLNFYAIEFTK >PAN43038 pep chromosome:PHallii_v3.1:8:39131865:39136123:-1 gene:PAHAL_8G206200 transcript:PAN43038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSTGQLLVILGACSVMMKPSDMVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATQVHKELKDAMTQLDSIRYEVQNLSRLTPGHFNMRQQNTGMAEAGKSDASDVSVTEPEEFRHEIRSIIREEMESFCRMRDSTKNFASTTEARKIDVADDHMPLKSKDMKMAGTGLTNLHSQAMTYARLSEAPRLKADSSLSGNYQEQLKENNGLLNVLPISAESAGLLPNRSGGPTGSDLVLEAVLEAEVAENAKFFVSQPHDQLPKE >PVH34362 pep chromosome:PHallii_v3.1:8:39131900:39136123:-1 gene:PAHAL_8G206200 transcript:PVH34362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSTGQLLVILGACSVMMKPSDMVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATQVHKELKDAMTQLDSIRYEVQNLSRLTPGHFNMRQQNTGMAEAGKSDASDVSVTEPEEFRHEIRSIIREEMESFCRMRDSTKNFGNSSTTEARKIDVADDHMPLKSKDMKMAGTGLTNLHSQAMTYARLSEAPRLKADSSLSGNYQEQLKENNGLLNVLPISAESAGLLPNRSGGPTGSDLVLEAVLEAEVAENAKFFVSQPHDQLPKE >PVH33493 pep chromosome:PHallii_v3.1:8:912402:915836:1 gene:PAHAL_8G013000 transcript:PVH33493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLLLFPSSSSAAPPTASPHPAYSHATASTSSHSLLPPLPFSQDHLLLQYLHHLDQQQESAAMVRKRPAPDMDLPPPRRHVTGDLSDVTAAAGGGQPHQSPASAQLPALPTQLQLPAFQQQQQHGHAEVDVPHAPPPAAAEVAASTTAWVDGIIRDIIGSSGGAGVSITQLIHNVREIIHPCNPGLASLLELRLRSLLNADPAPPPFPHPPALLHNTPPAAAPTVPALPPPPLPDKRRHEPQPCQQEEPNPSPQSPKPPTAEETAAAAAAAAAAAAAAAKERKEEQRRKQRDEEGLHLLTLLLQCAESVNADNLDDAHQTLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPPASPAAARLHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCAVAEKAGNVDPEKLGVTRREAVALHWLHHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREICNVLAVGGPARTGDVKFGSWREKLAQSGFRSASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTPCR >PVH34505 pep chromosome:PHallii_v3.1:8:42033221:42034270:1 gene:PAHAL_8G234300 transcript:PVH34505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSAIVAEAVTGSHVLKIEGYSRTKGIGNGEFIESSTFALGGHLWLIRYYPHGCGSDNAGWISFFLELEHSDATSVKASSKFCLLDEMGEPAPSHSRHSSVIRTFKASVPSWGYERFIEKKALEESTYLKDDCFRVRCDVTISKEFRAEDTARFVTVPPSDMHQHLARLLSSGAESDVTFRVGEETFAAHRVVLAARSPVFMAELFGPMKEKHKTSRPIQISDMEPGVFGAMLHFIYTDSLPEAAVDTGDASVSVMAQHVLVAADRYGLERLKLICEDKLCGYISTGTAATTLALAEQHGCRGLKEACFRFLRSPGNLKTIMGSDGFQHLTSSCPSLLSELLANVAP >PAN41439 pep chromosome:PHallii_v3.1:8:3296996:3300019:1 gene:PAHAL_8G044100 transcript:PAN41439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIIRNVDQVGGGNLMENYSLEDIKELWNDWEIQSLILVSFGLQVFLFLAADVRRHCVSLIPSRLLWLAYMTADSVAVFALGHLAVGASAPRHQLLLFWAPFVLLHLGGQDTITAFAIQDNELWRRHLLVLVNQAAVASYVVSRSSWPDRRLLAATVLMFLCGLFKYGERTWCLFSARPEGIRKHSLDSLETYAAMAGEDRTIVSREAKTPEEELEKRIKKMLDAHGRRPPALEAETTLVSDALANDLNTIAGADALPDLLQGLRSNPHRIGAYHYVAALLSNCYDSLYTKGGLRGFWIEGLRECLAYNGTQDKIFFLLFLSALLFPSLSMSATLVLFVHTDKHQLYSRVDVVVSYVLLVGAIVLEVASLAVSILSHSESGADKCIHHLPGRRKQWSKALAQYNLMEVCNIEAHARTSSVPMWIRKLWVKLLGDKTVTHIKITEDLMMLVLDKLLEFGSHKKDWSFASSRGQSALAEIFSTATATAMHESIHDVDFPTSVLTWHIATDICYLLDDQAEDDDDSDPVNKGKARKLSNYIMYLIFECRVMLTTNSKLVHKATMEDMEKALGDKVLPSNEAIKMVHDEANKGQHHPANNSASSGGHQPVTQLQLLKNNIEALRSRVPCACAVADELAAIPGGRRARWGLISAVWLEMLYYIAPRCGGDFHADHLRTGGEFVTHVLVLMHQLGPFLPPPGA >PAN42683 pep chromosome:PHallii_v3.1:8:25970322:25971467:-1 gene:PAHAL_8G147700 transcript:PAN42683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAPVVNGGTAVEPPKENKAVAAASAAPVPAAVPCPWSAAVAERYERREKLGQGMFGDVYRAWDRVDGRFVAVKRLAGRTGGGFVQAGIRDFAREAMSLAACRGHPSVVELLATYADSARGDGDCFLVTGYAGPMNLRQYMALRRREGPPLDEDEVRDAMRQLLAGVRRAHDAGVLHRNVVPENVIVGQEDVVVGGVRVGRKMVYRICGFGVSEPAAQAEKDGSGPLASPAPYRAPELFLFSNDYDGRVDTWGLGCIMAELLAGTGEPFFGGKLDIEVFERMLRVVGAKGIINWSGLERVAATDRADYLRKHCRKERGCLREVFPREVLSPAGFKVLKGLLQSNPERRLTAAAALRKPWFRRPGFGGCFVPCGGGASP >PAN43034 pep chromosome:PHallii_v3.1:8:39115307:39120348:-1 gene:PAHAL_8G206000 transcript:PAN43034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVVGAMGSLLPKLANLIKEEYNLQKKVRGEIMFLETELKSMEAALIKVSEAPIDHPPDIQVKLWTREVRELSYDLEDSIDKFMVRIDGGKKPSKHHSFKGFIDRSLNLLTKGKIRHNIGIDIKDIRSRIKDVSERRDRYKVDQVPSKPVGRTIDNLRLSALYRKATELVGTEEKSNDLIKRLVDDGGVASKQQVVVSIVGFGGLGKTTLANLVYEKLKGKFDCGAFVFVSHNPNMDMIFKNMLHQLDGENYKYINNQATWSEEQLISELRKFLEHKRYFIVIDDIWNNSAWETIQYALIQNECGSRIITTTRNLDVAKQSGAVYQLEPLSFTDSTKLFNQRIFGSEDKCPPDNLAEASRKILRKCGGVPLAIITIASMLSNRNAKENTQNYWSRVYQYMGSGLDGSTNVKDMRRILSVSYYDLPSRLKSCLLYLNLFPEDYEIEIEDLIWKWIGEGFVQEEQGRTLYEVGEVYIEELVNRSMIQPVKLDGNSKTITCRVHDMVLDLTNFLSNEEHFLTKLGGQKEISPPNKIRRLSLNKINQEEEVKQLGTMDFSHVRSLTVSSKDFHLIPNLSTFPVLRVLDLRKCLEVKDHHFKDICNMFHLRYLSINANSITYFPKEIQNLQFLQVLHITRMHVIKMPSTIIHLQQLQRLWVSPFIRLPDGFGKLKSLQEVKGTVIIESPSMLHDLGSLTELRTLAVDFRDWDDSYEKPFIQCLSNLVNLKSMRIQGQISSLYSECDSLDPGPQELCSIYMDAVHGLNAVPRWMSSLCFLSSLNIELLSLGEQNLQVLGSIPSLSDLRIIVKEPTQDRDERLVIGKCYPFQCLTRLVISYGSMEVVFAPGAMQHLKELYLEFCVQGAVHKFGDANFGLENLSSLEHVTVKIKLDSSTPKEVEAVVDEIQKAVDMNPGKPTSIIKRETKQERIRCGMYVHGAVLAFLDGSAGPNTYKRS >PVH33903 pep chromosome:PHallii_v3.1:8:10240780:10242426:1 gene:PAHAL_8G090400 transcript:PVH33903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNFPKPDKRSKDNKNKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSIIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN41536 pep chromosome:PHallii_v3.1:8:3924155:3925267:1 gene:PAHAL_8G051400 transcript:PAN41536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSNGDAAAGDGEPASVPRPLAPARKVALITGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNKQRLDHIYHDPHAVPSSPRPLMRLQYADLSDSSSLRRALDAIAPDEVYNLAAQSHVAVSFEISDYTADVAATGALRLLEAVRLARKPMCYYQAGSSEMFGSTPPPQSEDTLFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVMRKITRTVGCIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDQPGDYVVATEESHTKDHVVIDKKYFRPAEVDSLKGDSTKARRELKWKPKVGFQQLVEMMVDHDIELAKKEKVLVDAGYRDPKQQP >PVH33687 pep chromosome:PHallii_v3.1:8:3383717:3386463:1 gene:PAHAL_8G045100 transcript:PVH33687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVSSAITSFINMSKEAIHALIRTEFLVALVTVMFLAMFVLDIYRFQLRRSTITTIMEIIDGLSDQIVVYLIGAMNSAGFRNQLFPVWAVVLAILRDSLDYLSGYSIMDREQLTMEVPKVIIFIGGFLFNAITEGLGFGDPVWWLYTILQLRSMYRSFAHRRAVESLWHGRSSEFLPDYLYKGAGDQHDGRNNFNSTQKHLVYGESDQKTNIKQPQYVRQLDDTNHESLITLEKIWESSGPLLNSSNSCRYKDMSLAFTLSRLLRCRLEDASLHSESIPMTRHLITSEVIGGQHAEPDVEAKRAAGRAFRILGLEIAFVRDYFYTFYPMVFWRGLFSLPFILLQSTATFAAAFWLAVIIIWINSVDGGFNVQVIATLVFLFFVMFKEVWEVVTYLLSNWTRLLLVCKYVRSQCWCLGYAALTENLTRLFFTSKIVDPWHGRIDQYQFLQSCTYKPTIWKLAHAATLGMTPMKSDGKKSGGATKIPECVKAAVLQELRCLDLSNITGYQLPIDLLKSKLPGYPLPRYSLSKFAKYEWVFHVPTCSQVILVWHIATSLCEIKLKLDNHIELSNPGFLRSVWSCMKKLCICSSQPFLTNYRIANSLSRYCAYLQAFQSEMLPDSFMVPQMVFEKTLQYACEKLKGCDSTQCRYDTLKAIAQEVVQDSEDGKLSRNIVEQGSILASDLIEQESEEKRWEILAGVWAGLVVHIAPSWNAEAHKRNLKSGGEFITLIWALLWHCGIEKSSLWHKGNAYESNAQAPQEDSSETRNSQFAQEQASENGIETSEEAETSNFGADIAPQAQRESEAKNNEKANEDGIETCNKEPETSNFRTDRAEQTQKESEAKNGAPQENSIETRNPQFVQAEAYEDGIETSEESGRRNSSMGTV >PAN42996 pep chromosome:PHallii_v3.1:8:38807538:38809157:-1 gene:PAHAL_8G203300 transcript:PAN42996 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MNCVHSNLSFSRFLQFRDPRAQRRHPLVDPMIVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGSVTHVIGIQLFFEANIDLSNISYPVYKQQSNYRPSIQDLSSASHEHTPKIQSLDYCAILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLRWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLEAARPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWREIPTSWSPPSRLGHTLSVYGTTKLFMYGGLAKSGSLRLRSSDAYTMDVGEDSPQWRQLATTGFPNVGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAELFLIDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >PAN42995 pep chromosome:PHallii_v3.1:8:38807152:38811060:-1 gene:PAHAL_8G203300 transcript:PAN42995 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MFDDGAAGNRGAVAVKRMRLWEEDEGVEVVGEGEEGMEVDGDEEEGWAWGAPAAGVGEQRAAAIVVADAAEADFPVIYVNAAFEAATGYRAHEVLGRNCRFLQFRDPRAQRRHPLVDPMIVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGSVTHVIGIQLFFEANIDLSNISYPVYKQQSNYRPSIQDLSSASHEHTPKIQSLDYCAILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLRWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLEAARPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWREIPTSWSPPSRLGHTLSVYGTTKLFMYGGLAKSGSLRLRSSDAYTMDVGEDSPQWRQLATTGFPNVGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAELFLIDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >PAN43757 pep chromosome:PHallii_v3.1:8:43856231:43859268:-1 gene:PAHAL_8G256000 transcript:PAN43757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVTVENCGDDFLASLADATQPTDDWDDLKGIETEACGNLNDMMMKNAPDCNRGVAMDGSLTFRETDSFGHRAPDDLEAFEFPSDGSDFDMGDGIDNFRNNSSSIQRQAQSRNSGMQSRSSAKSTVTGGANRYESTTPTSNRERHPAAFHQKRETLNYEQLSCLDDVNFANVVIFGNKSFRPLQYEACRAAMDNQDCFILMPTGGGKSLCYQLPATLHQGVTVVVCPLLSLIQDQIVALTYKFAIPAAFLNSQQTPAQASAVIQELRSGKPSFKLLYVTPERIAANYTFMEILKGLDQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPIMALTATATESVRKDVLGALRISNAVILKRSFDRLNLNYEVIGKAKTAQKQLGDLLKERFMNKSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPAHCIVLYQKKDFSRIVCMLRNADNFNSESFRAAMEQAKKMQSYCELKTECRRQALLEHFGEQYSRQRCRDGPSPCDNCLKT >PAN42112 pep chromosome:PHallii_v3.1:8:13313195:13313864:-1 gene:PAHAL_8G099900 transcript:PAN42112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARARRIRNLNLPEVEDAEPAEDEHDNSAENENNENLQGPPHIDGLLARMPRYHPRSPIKIIWSNGELRQVLCDFTISNLLEIEGGKLIVGTDENGVPNERMHILRNYKKGKRTTIVIFQLKISMRCSMR >PVH33591 pep chromosome:PHallii_v3.1:8:1982178:1983460:1 gene:PAHAL_8G028100 transcript:PVH33591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQRAVVLFQERNARLFREDVSPVTQLLLKIKQEAELWIAAGASSLGCLFGE >PVH34387 pep chromosome:PHallii_v3.1:8:39589852:39590241:1 gene:PAHAL_8G211200 transcript:PVH34387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSGPRPTLTVEPGTCPPLPDGRTAPLPPSTHRPPPTLPSPTRLPSQGAALPPLRAARLRLARPAAPPAARLWPAACRPRLVCSLGPACALLRPPVTFRRRRPLQPSTRRHWFVQSYCCSFPLLQL >PAN43634 pep chromosome:PHallii_v3.1:8:43534197:43537442:1 gene:PAHAL_8G251700 transcript:PAN43634 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLAGLKSVDGAHEESIWAAAWAPAADHRPTAVLLTGALDETVRAWRPDDLAAVGPPARGHALGVVSLAAHSAGALAAAVSLDSFVRVFDVDSGASVATLEAPPSEVWGVQFHPKGNALAAAGGGSGSVKLWDTEKWEPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGLAVATGSSDRTVRLWDINMRSSVQTMSNHSDQVWAVAFRPPGGAGVRAGRLASASDDKSISLYDYS >PAN42012 pep chromosome:PHallii_v3.1:8:12099748:12106815:1 gene:PAHAL_8G096100 transcript:PAN42012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKPTLGGTRIKTRKRNIAAPLDPASFSDAIVQIYVDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFVGGRTQPGTIKPEEEGDRHPYSVLDCAAQREAILPSVLYIQKTLRRRPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKVYLKENSLDDLIGLLKKGKMEDNLLDFFPSAKRSSEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKTTLTTMINDEAEISEVIETVKQQVKDAKFPDIEVIRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAELLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >PVH34090 pep chromosome:PHallii_v3.1:8:23405678:23406169:1 gene:PAHAL_8G140400 transcript:PVH34090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKIELLTSGIRAVLSCRLDISCSSPSEAAVAAQPPPAARAAAAAAAPAAATAAVAAAAPAAATAAAAPAACSPPFPSPSPRSSPLEARHVRRTVSALGRLERTAPARRRARRGRGAQPSDGSSRCSSGEGGQAGCSGAGSCPGGGGSSGGGRCSACGGGRG >PAN41412 pep chromosome:PHallii_v3.1:8:3025943:3027737:1 gene:PAHAL_8G041800 transcript:PAN41412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVATMSVRRDDNPRTHRFRWQPDPMVVRYTSCWMNIALFIGSTTLLVPLVPLIAAKTKANGVYTEPGLVGAYSVFLCYSAIKSEPETSCYKKEKAGAGADWKTIIHWQSFVGELMSTAAAAFSTGKDYKTIQLGNVVRLEDDVPYGYGFFHFVFTMGSMYFGMLFLGWDTHRIMEKWSMDVGWTSAWVHIVNEGLAVISFVAILVARIYGIGWLRQLLARSFGIGGQQQQPPPPFAMNILRRSNNLLHLFGILV >PAN41413 pep chromosome:PHallii_v3.1:8:3025585:3027737:1 gene:PAHAL_8G041800 transcript:PAN41413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVATMSVRRDDNPRTHRFRWQPDPMVVRYTSCWMNIALFIGSTTLLVPLVPLIAAKTKANGVYTEPGLVGAYSVFLCYSAIKSEPETSCYKKEKAGAGADWKTIIHWQSFVGELMSTAAAAFSTGKDYKTIQLGNVVRLEDDVPYGYGFFHFVFTMGSMYFGMLFLGWDTHRIMEKWSMDVGWTSAWVHIVNEGLAVISFVAILVARIYGIGWLRQLLARSFGIGGQQQQPPPPFAMNILRRSNNLLHLFGILV >PVH33492 pep chromosome:PHallii_v3.1:8:890540:890839:-1 gene:PAHAL_8G012800 transcript:PVH33492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNRPKPAGGPEKKMKKVVKSVVPQRLIDFMILYPHKSLDGFPEEELGKRSQKFRDFYAEEKDISDKVLEYQQALLKQFRTKGYADDHTEVTDDEEDN >PVH34392 pep chromosome:PHallii_v3.1:8:39641392:39643231:-1 gene:PAHAL_8G211800 transcript:PVH34392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRLARGRKAWAGAAAAAAAAAAAVWLGKGRCQPPGSTGDAELPPPPQPAPPPPRFGKRIAVLSIDGGGIRGLIPMVVIASLEKELQKLDGENARIADYFDMIAGTSTGALIAAMLVTPKDDKTERPRTAEEIKDVYLELGPKIFPPMSRAWKFLLMFCGPIYKPTPLHDKIKEITGDLRLDQTLTTILVPVFDVRRLFPRVLSSYKPRGKHREGEIRPKLSDVCIGTTAAPTYFPAHEFQGYRRQANGQRVIAARYHLIDGGVGTNNPTMEAITKVASELLCENPDFPRLTNNVVDFTKYVVISVGTGSFKEDMMEVYTAKECARWNARQWAFDLWRARSPIADVFTHASNFQVDFNVAMLFHSHGCERNYLRIQAMVDPSLNNLSMDNATAENMNKLINIGKGLLTEPLARVDKSTGMYRMAEKPDVGERPTNQEELERFAKILSEERMGRLENKQREEAPQAAI >PAN43760 pep chromosome:PHallii_v3.1:8:43860684:43862511:1 gene:PAHAL_8G256100 transcript:PAN43760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAADAGGTGDSACSTPFVSAPSSPTRDRDAYLPHHAACFYSAPASPTRGGAKDFGAAAGLLDFDFDFSSRFPSPSAAAMSSADELFCNGQIRPVRLAAALLQPQQPHGGAKAAPGAAEEEEEEDGGGGIGAVPDERGRVRGRSVRRKARSMSPFRTHWRRSPAPAPQAPAPENEPAADEEQAAAVTPAASRSSSSSSTASSASSASSSSSSRGSRRWGGFLKDLLHRSKSDGGKTHHHSHLPTGPVPPTSPSPAAAPKRSPSPSPSPSPAATTTRTLGHRGGRRRSAHERLYEARRAEAEEMRRRTNLPYRQGFLLFGCIGLGHRSYGAVHGLARGLNAAAAVSSRS >PAN41864 pep chromosome:PHallii_v3.1:8:6706849:6708706:1 gene:PAHAL_8G074900 transcript:PAN41864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVASVAAPKLPCAAPPHCILLARPDADPSRVSWAHTAGRHSAATGQPNPDAPRSDARPRCRHCPQLPTSSSHAGDDMEDEDYMEEEDLEDLDGKDLNENDSDCEDMDVKDMDGHDWDGEDRHQETDGDAENIGSNNGGSIINEFCDFCNEHDMW >PAN41899 pep chromosome:PHallii_v3.1:8:7067141:7080636:1 gene:PAHAL_8G078500 transcript:PAN41899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQMSSSSLGAMGSLLGKLCSLLVSPEDQLPEPLQLQKDKLELLKQDLEEINKFLVNLSWMEDPNMMVKHWMNEVRDLSYDIEDYIDKTMHSDPNTNEASSSWVDELSSFVKKAKDAHERHNRYDLGRWASDPRFVVDGQGWIPRLNGEATELVGIGDSKAQLIKQLNIDVERRLVVSIHGPVGVGKTTLAKEVYCQIGGQFQCRAFVRASKMPDTRRLLRSMIFQVQRHQRPPQGLTVQDLIDHLRKLLQQKRYLIVIDGLWETTSWDIVSSAFPEDTHCSRVLVTTDIQEVALECCDYQSDGIFTMEPLSTNDTRELLFNRAFGSNHECSEQLKEVSEEVIKKCCGFPLATLCIAQVLASDPDNSELWHHIKESLSCDIRNNLTSEDMLRGIIGLSYNSLPHHLKTCLLYFHMYPEGYMFLKTDLVKQWAAEGFIGAVAGKNTSEIAESYFDELVCRGFIQPNCINFSDGVMFYTVHYTIFEVIRCKSMEGNFNTVIDYSEISTKLSAKVRRLSIRFSNAKYATKPEGITLSPVRSLIFYGLVECLPSITEFESLRVLHLEFWGAHGDVLDLSGIDRLFQLRYLWVSTNVTVKLPTMMTALQYLETLEIYAKVTTVPTDIVNLATLQHLCLYGDINLPDGIAQMRSLRTLKSFDLSGNSEDNVRSLGELKNLHQLHLTCSVAPSDRLKRNLIALVSSLGKLGNLNSLVLAPGGSCTSIYSDCSNSVSSVPVSLQILELLPPICIFSRLPEWFGQLHNLRILKVVVTDLLRDDIDVIIGLQELTNLSLYVRKRTRESIIFKSATFPVLKYFKFRCGVLQLAFQAKAMPNLQKLKLEFNAHRGDQYGGMLSGIEHLLNLREVAARILAGAGVKESDRMAAESAFIDTISKKSGLPMINMQWVDSFDEDLRRHHYPFSPHCSGTLGQCPASPTSWQDESRSSSSHQPLPLIPGSSSHYRQWEKGMLLGSGTLGQVYEGFNSENGQICVIKEIKVSTDDSNSKECLRQLNQEIVLLSQLSHPNIVQYYGSVLSNETLSVYLEYVSGISIHKMLQLGGPLGEALLRNYTAQILSGLAYLHGHNTVHSNIKVANILVDFNGDTIKLADFGMAKLISQYTSMKSFKGSPSSMAPEVISNSNGYKFSVDLWSLGCTILEMATGKPPWGQYEGAASIFKICNSQDIPDIPDHLSSEAKSFLKLCLQRDPAARPTAAQLMDHPFAKDHPTSTDGNNIRISQFSDVPASTSHLDGIAIDPGKTLDVLREASPDSNRNPTATVDITDLEADFSLRMAGEGEDEATGTTTTFHVPTAAVGEELSAAFQPIPSDGYSWLKYGLKQAKGSENQRSYYKCIFQGCLVKKKVERSLPDGQIVEIVYKNAHNHAKPHVWARNSSAPAQLLQDGGGGDASDHTFGGMSGVTVATLENPTVSVGNDDVVRVRSPRSTGCSGLDEHEKDPKRMRKDIDGEGISMAGSRTVREPRVVVQTTSDVDFMDDGFRWRKYGKKSLRLGNPNPRSYYRCAMAGCPMRKHVERMSHDQRVVVTTYKGKHNHDAPARGPSSLDRPPPAVANQSSGGAVQQQYARGFSGQGSVGVSSASAQGADAGSSFEVSTLKDYVTGDAAFISELR >PVH34311 pep chromosome:PHallii_v3.1:8:37398405:37399170:-1 gene:PAHAL_8G191700 transcript:PVH34311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFELISLVWGVEFQLSTCILYYEIEPFCTAKLNQLENVIISVRWEVALGFTVLCPSYFGRLRKSAVQLL >PAN42399 pep chromosome:PHallii_v3.1:8:24827818:24834322:-1 gene:PAHAL_8G143200 transcript:PAN42399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGDQQPADMEVDSSAAVEEKPAVRFSINVLELMREAQMQHGLRQSDYTRYRRYCSARLRRLYKSLKFLHGRGKYTRRNITESTVTDVRYLHIVFYMAERAWSHAMEKKTAGTNAQQRIYMLGRFRKAVKWASLFSQLCSVKGDSRTSLEAEAYASYMKGALFFEQDKNIEAAMINFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIDFCSHKLGGSSLQAHELLDTANDLLKAKMEAVLSETRSQQAASMTEFNWLGRRFPITNAKTRVSILKAQQLEKDLNGSATESIAADKKLGIFDKIFSAYHDARSCIRNDLASAGNAENIRDDLNGLDKAVSAVLGLRTIERNQLLVSIAKSKFTKHRDEKNERITKPEELVRLYDLLIQNTMDLTDLVSSGRNKNEEENSFIHEYELKGLAFRAERCFFLAKSYSSAGKRAEAYALFSHARTLANSALQQLANSPDKALIQDLKSLSDNCRSNSCIEHATGIMEEERVPLKLSKGVSTISLHDKMKENKYLLDILESYESAIGEPNTKGPCRIAQFPPPFQAVPCNPIVLDMAYNAIEFPSLENRMKKEKKGLLSRFWG >PAN42410 pep chromosome:PHallii_v3.1:8:9691559:9695559:1 gene:PAHAL_8G088100 transcript:PAN42410 gene_biotype:protein_coding transcript_biotype:protein_coding description:BABY BOOM [Source:Projected from Arabidopsis thaliana (AT5G17430) UniProtKB/TrEMBL;Acc:A7L9U1] MGSTNNWLGFASFSGAAAADDILPPLPPPRGDEADAEPKLEDFLGLQGPAAAVAGRPFAGSGGGASSIGLSMIKNWLRSQPAPGPTGADSMALAAVEAASTDGSGKVADGAVSDAAVVDAAQQRKAAAAVDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPMSNYENELEEMKHMSRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYNVKSIMESSALPVGGTTKRLKDVPDQSDMGMNSNVADSAGHMTATKLLTDGIGSYGHENYGYSGWSASAMTPIPLQFSNCHDHSRLWCKPEHDSAVVAAAHNLHHLQHFPDPGGTHNFFHPSPGQDVTGVTNVSSPSVDSNSFLYSGDVGYHGAMGGGYAMPVATLVDSNHAASGYGVEEGTSDLYSGRNLYYLSQASPGTNTGKADAYEQQGVGYESWVPSVR >PVH34071 pep chromosome:PHallii_v3.1:8:19543514:19543651:1 gene:PAHAL_8G130200 transcript:PVH34071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDDGEVVHAQLSSKQRACKRGSVGILPATQPGAAPAMATFSFL >PAN41051 pep chromosome:PHallii_v3.1:8:744422:746088:-1 gene:PAHAL_8G009700 transcript:PAN41051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHNSRYLPQPSPSDHPSFVSEHRSAMKEIARGQSLVTQLRAIVLPVLQADQRCGLVAQMFQDILDCSSKAITDLQLQHQSDARPDDALVDDKKRVRRISSDHGIKEEGANANPHQQHKRRRSDDSVSLETPVPHYDGRQWRKYGQKHINKAKHPRSYYRCAYSKEQDCKATKTVQQQDESTGTDNPVMYTVVYHGQHTCKDNNGVDSGTDESEASTLSSSDSRSSISTTCTDPCDHQTSLGDNKLIEKSADLVTKSMYEPLDMNPFSPLDLDSWELDALL >PVH34585 pep chromosome:PHallii_v3.1:8:43679793:43683274:1 gene:PAHAL_8G253700 transcript:PVH34585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDRLRQLQQVSRFFEHPEMWKPTQGLEATLDKAYRLIIDCQHSSYTYKFCWGSDIADKLESVLKDMDAWNQHLTNVKVDILFNAFTVIVTRDFHGNRNTIKQDGAHVPPAGSSSSHLNNNDRGKLIGDLGQFQLLAEPQIFTVEGYFLADTIEEFSIKNQISRCCCWCPNICYSRTGLTKFSFSQLAQVTNHFSLDNNIGFGGSSYVYKGQLKSGVKVAVKRASYEGKIPFEHFKNEIELIPKLQHTNIVKLLGYCIQKRERILVFEYMPNGSLDSFIHGERSREALEWPKRHQIIKGIAQGAKYLQQQCEPRIIHGDLKPGNILLDSDFNPKICDFGISKALKPGADEDCTGIITGSRGFIAPEYIGRGCLSIKSDVYSFGVTLLQIISRKRLPPPPLALSAQSRDYGPLNKWAWDLCLAGRLLEFIDPMLHGEPQNAEIIRWVQIALLCVQEDPEERPSMSDVLLMLSSENNIHKQPKRPAYY >PVH34586 pep chromosome:PHallii_v3.1:8:43679793:43683274:1 gene:PAHAL_8G253700 transcript:PVH34586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDRLRQLQQVSRFFEHPEMWKPTQGLEATLDKAYRLIIDCQHSSYTYKFCWGSDIADKLESVLKDMDAWNQHLTNVKVDILFNAFTVIVTRDFHGNRNTIKQDGAHVPPAGSSSSHLNNNDRGKLIGDLGQFQLLAEPQIFTVEGYFLADTIEEFSIKNQISRCCCWCPNICYSRTGLTKFSFSQLAQVTNHFSLDNNIGFGGSSYVYKGQLKSGVKVAVKRASYEGKIPFEHFKNEIELIPKLQHTNIVKLLGYCIQKRERILVFEYMPNGSLDSFIHGERSREALEWPKRHQIIKGIAQGAKYLQQQCEPRIIHGDLKPGNILLDSDFNPKICDFGISKALKPGADEDCTGIITGSRGFIAPEYIGRGCLSIKSDVYSFGVTLLQIISRKRLPPPPLALSAQSRDYGPLNKWAWDLCLAGRLLEFIDPMLHGEPQNAEIIRWVQIALLCVQEDPEERPSMSDVLLMLSSENNIHKQPKRPAYY >PVH34166 pep chromosome:PHallii_v3.1:8:29795090:29798145:1 gene:PAHAL_8G160800 transcript:PVH34166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSNSLIFLCFLLLPGVLAFAGPHGESYPCPYDCPPQKETHLHMYLHQFPAWANVTNPNEVAWQGWVADHWLLTKGPDPNNNIVGRARGFHLLTGETSKDWYISHIYVFQDNRFAGSTIQVLGMLDGEWSIIGGTQAFYNARGYIKYKEIPSTVSSITDIVRELDVHIFTPDASTAVNGVAVSI >PAN43543 pep chromosome:PHallii_v3.1:8:42807180:42810986:-1 gene:PAHAL_8G243000 transcript:PAN43543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSLSLAVAATAVAAAVIFALSATVPLGAAAAVVEHTFVVSQMNVRHLCKETLVTVVNGQFPGPVVEVNEGDSVAVHVVNKSPHNITIHWHGLKQRLNCWADGVPMITQCPIPPNYNFTYRFDVADQEGTLWWHAHVTCLRATLHGAFIIRPRTGASSYPFPKPDKEVPIIIGEWWELDLDELDRRMVDGDFDDNPSGATMNGKLGDLYSCSGAKKEGFVLDVEPGKTYLLRVINAVLFSEYYLKIAGHKFTVVAADANYVSPYSTDVITIAPGETVDALVVADAPPGRYYITALASQSPKPDPQVPKFVTRGIVQYSTSQSSGNGTAPPCSSHEGVGDEAPCVDEPAVAPEMPDQHDTMPSYYFHGNLTSLNRTGLPPVPSRADESMFVTLGLGSVCRRGQSCKRSGSKESIIVATMNNLSFQLPTTTMPLLEAHYHRTGDMDALQELPDRPPATFNFTDRTLIPWGPKEGRLEPTSRGSLARRFRHGTVVDIVFQSTAVMQSDSNPMHLHGHDMFVLAHGVGNYDAERDVAKYNLVNPPVRNTVLVPRIGWAAVRFVADNPGVWYIHCHYEFHLSMGMAAIFIVEDGPTEGTSLPAPPSSEFLPCNHAHRLVPNDELYLKNTNTTGARVNEA >PAN43289 pep chromosome:PHallii_v3.1:8:41308058:41309958:-1 gene:PAHAL_8G226900 transcript:PAN43289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKQQQRRPPRASVPAFGGWEGGGGAAPPDYSLDFTKIRAARMQRRTKALSWSSFVGNAAAAAEAPGGGDEERHHQWSSAASEGDDDHRERRRRRRPRHRRLRSDAADQTDDRQPIRPGRAPAPKGGGKFKGYLFGCVGGLW >PVH34470 pep chromosome:PHallii_v3.1:8:41309042:41309622:-1 gene:PAHAL_8G226900 transcript:PVH34470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKQQQRRPPRASVPAFGGWEGGGGAAPPDYSLDFTKIRAARMQRRTKALSWSSFVGNAAAAAEAPGGGDEERHHQWSSAASEGDDDHRERRRRRRPRHRRLRSDAADQTDDRQPIRPGRAPAPKVSSIYHHIKAPKIAGALHLLHCSDLLIRLFRLVRR >PAN41114 pep chromosome:PHallii_v3.1:8:777094:777987:-1 gene:PAHAL_8G010500 transcript:PAN41114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRFSTSPLPGWRIHTFLSFLPPSPFSLPVAKTLPKPPALRDPAVLTSNSAMDAPGRVVRAHFADAVDATDSDSDDGRRRIVRVIDLLPPSARAAPQRKKLVHYPSNDRPGGERQGQGRGRRQFRGVRHRPWGKFAAEIRDPNVGKRVWLGTFDTAEEAAAVYDAAAIRLRGRRAVTNFPLPSSSATLSSCAVSPAPSPMTSTTIIPTTPPVGSSEAESSSASPPSTQSSSVVDADEDVTRMRWCEDEPFESIEFYLPPVPTGGQCEFGDLGDLDDLFSPEPEPASRNFPGGNFRL >PVH33919 pep chromosome:PHallii_v3.1:8:11533291:11535528:1 gene:PAHAL_8G094400 transcript:PVH33919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCCWLLLLALALVACCGHAATVEHTFDLCQPATVITAVNGQLPGPTIRVHEGDIVVVHVINNSPYNITVHWHGLFQRGTQWADGPEMVTQCPIRPGSRYTYRYNATGQEGTLWWHAHSSMLRATVYGAIVIKPRSGDQGYPFPKPKKEEIILLGEWWNQNVFDLDREAFLTGILVDRADAYTINGMPGDMHKCPGSNRKPRTFKLKVQSKSTYLLRIINAAVNTPMFFKIAGHNFTVVGADASYTTPYETDVVVVAPGQTVDALMVADAAPSRRYYMVASPYNSARPSNQPFRKGTATAVLEYASAHRRTRRPLFARMPRFKDTATAHRFLTSLTALVRPGQPTVPLAVDTRMFVTVGLGFADCRPEQTRCKNQVFAGSMNNASFVLPATISLLEAHFRNVTGVYTRDFPDRPLLEFNYSRPPRNMDVTTTKSTKVKTVRYNATVEMVLQNTALVARESHPMHLHGHNFFVLAQGFGNFHQDTAEKRYNLVNPQERNTLAVPPGGWAVIRFVANNPGMWIMHCHFDAHLPIGLAMVFEVQDGPTQDTALPPPPADLPQC >PVH33905 pep chromosome:PHallii_v3.1:8:10284047:10299671:-1 gene:PAHAL_8G090700 transcript:PVH33905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRRLAVLCSHLRPDGPAPSAGEQSEAAVASAVSTSTCASGGGAATGGDGGGDGDSAGCVFCRIISGEAPAYKVYEDDVCLCILDSHPLAPGHSLIIPKHHFPSLEATPPPVVAAMCSKVPFLSNAIMKATECDSFNLVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESFRRRSIEPNETSGLVSCIKEQLCSTLEGCKTEASSLLKKP >PVH33906 pep chromosome:PHallii_v3.1:8:10284580:10299605:-1 gene:PAHAL_8G090700 transcript:PVH33906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRRLAVLCSHLRPDGPAPSAGEQSEAAVASAVSTSTCASGGGAATGGDGGGDGDSAGCVFCRIISGEAPAYKVYEDDVCLCILDSHPLAPGHSLIIPKHHFPSLEATPPPVVAAMCSKVPFLSNAIMKATECDSFNLVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTEVTSFRRRSIEPNETSGLVSCIKEQLCSTLEGCKTEASSLLKKP >PVH33834 pep chromosome:PHallii_v3.1:8:6655746:6661389:1 gene:PAHAL_8G074400 transcript:PVH33834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHFLKAHMRWESIRVPRICHLIRHRRRRPPASRRARGPLRRASTGKGVDATGHWPRHVFGMFINFGEGEYRLEATYKHFHLWRKAKQRQAQAILNM >PAN41517 pep chromosome:PHallii_v3.1:8:3809392:3812088:1 gene:PAHAL_8G049700 transcript:PAN41517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICKMPTRYMSISGAPLSESDPLQSLVSMLLGSQPSEPHAADPTATLGKWIWQAAHAVAHLSPRTRAPASPRRHRLPKFQSRAEGRIHCRAIAVLAESRAASSLPSRRHPRRERRRAVLAERLSVLAERPSSPSGHPLPSTAPWPSLVERRAVSVLEAAGLQASSSTSASFLEANWELPDYPKQEVGSFQEEPDTSALPQCLRQLVVQPMKAQRWTKCSVVQRCDSLNFTLQQQKLFSKCYSRKQSILLEISNNIICDCPLQNKRFGCTIGRMY >PVH33698 pep chromosome:PHallii_v3.1:8:3613921:3614871:1 gene:PAHAL_8G048400 transcript:PVH33698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVGARESGRAAGLGEEEWETARRGAVYKEAGRGRVVRSTSRRGAEGARGGRGGRSGAAGPPGAKTGVQPCPSSGRHRRRRER >PAN41009 pep chromosome:PHallii_v3.1:8:681534:685992:-1 gene:PAHAL_8G009000 transcript:PAN41009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSAGAMLRLLVWAAFLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGRADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFIGDGYTHCEASGSGRCEINNGGCWKDTRDGRTYSACTDDGCKCPDGFKGDGKHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNGATETGWGFLWVIFFGVVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPSHSHHIEM >PAN41008 pep chromosome:PHallii_v3.1:8:681534:686029:-1 gene:PAHAL_8G009000 transcript:PAN41008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSAGAMLRLLVWAAFLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGRADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFIGDGYTHCEASGSGRCEINNGGCWKDTRDGRTYSACTDDGCKCPDGFKGDGKHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNGATETGWGFLWVIFFGVVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPSHSHHIEM >PVH33891 pep chromosome:PHallii_v3.1:8:8977056:8977364:1 gene:PAHAL_8G085200 transcript:PVH33891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQPQMQMIATLNNTVQALQHIHAQPPPPPPPQPRDRRADFLRGHPPTFSHAMDPLQADDWLHSVER >PAN43505 pep chromosome:PHallii_v3.1:8:42639892:42641069:1 gene:PAHAL_8G240400 transcript:PAN43505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTGISKLVTSTVGKSTNILWHDCPIGQTERQKLMNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRNLLPKSSFIEVFLNAPIEVCEGRDPKGLYKLARAGKIKGFTGVDDPYEPPSDCEIMIQCKIGDCPSPKSMADQVVSYLEANGFLHD >PAN43504 pep chromosome:PHallii_v3.1:8:42637702:42641510:1 gene:PAHAL_8G240400 transcript:PAN43504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARAPPRPSSGAAGDAVRCRHDDAPSRRPWAVPAGRARGGQRRAGAVKLVAARTAVVAASAGERRSAGPEPVAAAPADGAKLANGSAVAGISKLVTSTVGKSTNILWHDCPIGQTERQKLMNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRNLLPKSSFIEVFLNAPIEVCEGRDPKGLYKLARAGKIKGFTGVDDPYEPPSDCEIMIQCKIGDCPSPKSMADQVVSYLEANGFLHD >PAN43746 pep chromosome:PHallii_v3.1:8:43828024:43830342:-1 gene:PAHAL_8G255500 transcript:PAN43746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSSQMVASLICPMALQCSMATHSTPPLCTSASHPVNFSDASAGKYFGLLNEKNNGDPSKHIFMVELDIYKNAELKDIDDNHVGININSAISEKYSTCGFYEDDGGAFRNFTLNGNKGAQLWVDYDEGTTQINVTFAPINMGKPSRPLLSATYDLSTVLSNSTSYIGLSSTASAINSRQYVMGWSFGMNKSAPTLDVSKLPKLPFHGRKAHSKLLEIVLPIATATLILSLCTLVILVVRRRMKYAEVREDWEAEFGPHRFSYKDLFHATGGFKNKHLLGEGGFGKVYKGVLPSSNVEVAVKRMSHESRQGMKEFVTEIVSIGRLRHRNLVPLLGYCRRKGELFLVYSYMPNGSLDKYLHCEEHKAILNWAQRFRVIKGIATGLLYLHEKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHMVGTKGYLAPELLRTGKASPHTDVFAFGMFLLEVSCGQMPVKKNAEGHEFFLVDWVLEHWNNGLLTRTLDSRLQGDYNIDEANLVLKLGLLCSHPLPSSRPRMREVMQYLDGDTPLPELRPTQLSMNMVAMMKTNVLDSSVVPYPQILTSFGTISGLSGGR >PAN41433 pep chromosome:PHallii_v3.1:8:3247923:3252248:1 gene:PAHAL_8G043500 transcript:PAN41433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFFGFLLPFVASLLLTKRKSEKKRGVPVEVGGEPGCAVRNHRFERPIETHWEGVSTLAELFEQSCEQFAYMPLYGTRKLIARELEVAPDGRSFEKLHLGNYEWKSYADAFKTVCNFSSGLLRIGHLKDEHVAIFADTRAEWQIALQACFRQNITVVTIYASLGEGALCHSLNETEVTTVVCGRKELKKLVDISGQLDTVKHVIYINEEGVSTEVSLAEKCTSWTVKSFEEVESLGLERPVEANLPLPSDTAVVMYTSGSTGMPKGVMMSHRNVLAVVSAVTTIVPDLGKKDVYLAYLPLAHILELAAEAIITGVGASIGYGSPLTLTDTSNKIKKGTKGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGLAKKLFDIAYSRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGRIRFILAGGAPLSGDTQRFINICLGAPISQGYGLTETCAGGTFSEYDETSVGRVGPPLPCSYIKLIDWAEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCIEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDQIMVHADPFHSYCVALLVAAHSELKGWASKQGITYSDFADLCQKQETVKEVLQSLAKAAKQARLEKFEIPAKIKLVPEPWTPESGLVTAALKLKREIIKKAYEKNLAQLYC >PVH34411 pep chromosome:PHallii_v3.1:8:40025263:40027161:-1 gene:PAHAL_8G214700 transcript:PVH34411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWVILGRVARFAAADPGDDAEADPADFSLAVALPPRVAVLTAALSAHPDPARPDRYPYILAAGSGCLLSRFSAAPFYGARFGLDPPDTHLVLVHGFGAAAGGSGTTASAVRVPDRPASMPAIRNIEGVGLVDLDDGKGYLIAELQIDSGSDRARLFRVHTGQDRWMETELRNPLPARDREWVPGGVVSLGGKLYWYDLSWGILMCDPFVHAPDLLFCSLPPGRVLDLARPHIHNSRSITVSRGALRYVEIIPEGGDNGEAARILMSTMEPTGWEVPYEERFEEIWNDTSYRKTWLPKEVPELVVVCPSNPDLVYFALEQHIFSVNIRGHEVLEFAEQTHELVNLPWPTPASCRYVLAWDLPSTAAQGLLLKEKRDHPDEETYQYAKFCSSRVETLKGKKDWELCHMCFFQNGCSISVKKTQMGKHCDNVHRNKGFLCPKTGCVVRAATLGEVGLHHHYLHGQSSRGIFSLPCSYLLSHFTSYFRLISSNVLFR >PVH34410 pep chromosome:PHallii_v3.1:8:40025034:40027161:-1 gene:PAHAL_8G214700 transcript:PVH34410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWVILGRVARFAAADPGDDAEADPADFSLAVALPPRVAVLTAALSAHPDPARPDRYPYILAAGSGCLLSRFSAAPFYGARFGLDPPDTHLVLVHGFGAAAGGSGTTASAVRVPDRPASMPAIRNIEGVGLVDLDDGKGYLIAELQIDSGSDRARLFRVHTGQDRWMETELRNPLPARDREWVPGGVVSLGGKLYWYDLSWGILMCDPFVHAPDLLFCSLPPGRVLDLARPHIHNSRSITVSRGALRYVEIIPEGGDNGEAARILMSTMEPTGWEVPYEERFEEIWNDTSYRKTWLPKEVPELVVVCPSNPDLVYFALEQHIFSVNIRGHEVLEFAEQTHELVNLPWPTPASCRYVLAWDLPSTAAQGLLLKEKRDHPDEETYQYAKFCSSRVETLKGKKDWELCHMCFFQNGCSISVKKTQMGKHCDNVHRNKGFLCPKTGCVVRAATLGEVGLHHHYLHGQSSRDWWRSYLEEHK >PVH34545 pep chromosome:PHallii_v3.1:8:42870429:42871339:-1 gene:PAHAL_8G244100 transcript:PVH34545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFSDPLLVPNIRFANGVLGGISKLKRHVSHTFRLCFTLPARVVLYAATQRRHSRAKKTDRSIKLQTMKTRSLSLAVAATAVAAAVIFALSATVLLGAAAAVVEHTFVVSMI >PAN41683 pep chromosome:PHallii_v3.1:8:5119051:5121095:-1 gene:PAHAL_8G063100 transcript:PAN41683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTIPAMILPSLLITCFFSILLISMTATATAETAVKVSTTPIFPEIPLGQARKDFQVLLRVEAPAAAEARAPIDVVAVLDVSGSMSDAEKRPSRLDLLKAAAKFMVAKLDDGDRLSVVAFNDRPVRELSSGLLYMSGDGRRNAMNVVDKLEARGGTALLPALEEAVKILDGRPGDGRNRLGFIVLVTDGEDTSRFSWSERRWEMIHAALCKYPVHTFGLGAAHDPEALLYLAQESRGTYSFVDDENVDKIPGALAVCLGGLTTVAAVDTRVVLKAAELNGVRIDRVDSGGYDSSVSCGGTSCEVAVGVLYAGEAKHFVAHLHVPAASSVEDGYYCDLAVCDRHRRRHEQHLLAVGYSYSHRPGATAITVEGHGVFVQRLPELLGGGRQAALLVPSPVVLQHIVRFELLEVVSGLDHGELVTAKDRAHAGELLQLRWEEFRACHQFWGGLDLSVLEKEVDAMVSSLTTTRGAAAAAYVHAWVSTHRMQRATSMGSPERAVAEFLTPAMRLMLEEARKLPLLLQAQAETTAAGVLQHAVGSAGCAELEMIDRRLELWSKVKRDVQHLLFQPSAAAAADGEEHLAAVFHEASLEAIDRAMHRDIYLAAVFASKQRRCHSVAGK >PAN42643 pep chromosome:PHallii_v3.1:8:28156266:28158800:-1 gene:PAHAL_8G155400 transcript:PAN42643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFATVEATEAALGRSLTFAEAAWFRYSASTPDYCLCFHNFVILFACYTLTPLPLALLELCTPAKLTAPYKLQPRVRLSLVAFLRCYTDTACVLLLLTFGPLLLIPYPVVKISGIRTGLPLPSAGEVAAQLLAYMLMEDYLGYWFHRCLHSEWFYDKIHYVHHEFRAPMGFAAAHAHWSESLVLGFAAFVSIAIVPCHITTCWLWFAIRGAVGVEIHCGFSFPFSPTKLIPFYGGAEFHDYHHRYGGKWNHSNLAPLFTFCDYIYGTHSGYRNHKAEMMKLKDTNVTFKNEGFDGSESWKLE >PAN41641 pep chromosome:PHallii_v3.1:8:4624037:4626620:1 gene:PAHAL_8G058600 transcript:PAN41641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGSYCNTQTVPQDPLQALIESPELPRDQDELKAEARVHPAMEVSKTCASTSCVCVLLFLWSPGLAMAMAEPSPRQLDKAQDSIMRGISSLVSGAMASSPVTGNWNASSDPCQWNGVNCSAPGSRSSMPAVVTQLSLSGFGFSDATILSSICHLDTLQSLDLSKNFFTSLPGQHLPCPMKDGLQALNFSSNRLSGQPGNLSGFPNLEVLDLSFNYLSGNISTQLTYLPKLRTLNLTSNHFEGPVPTTMVPFLEELALSGNRFSGHIPMGLFGYANLTLLDLSRNNLTGKIPDEFLSFPRLSSLLLSGNNLGGAIPQSLLNLTMLCRFAGNQNRFQGSIPKGITKNIRMLDLSYNHLSGEMPPDLLSSDNLEAVDLTANRLEGLIPGNFSQSLQHLRLAGNLLNGRLPETIGNAMSLVYLALNNNQLAGSIPSQLGKCKNLALLDLSSNKLQGALPAELGNLQRLVVMKLQMNNLSGYIPNSFSNMKKLEVFYLGQNSFTGEIPSRVVQLPKLCNIDLHGNKLNGTIPSSISSLQFLITLALGNNELTGTIPTMPTKLNAIELDHNYLQGQIPSSIGMLSNLEFLDISNNNLSGQVPSSLASLQSLTHLFLSYNQLSGPLPDLPRSLILNVTGNPGLKNHTEDSDTPDHMAHTEDDFRSATWVAAVTFVVAFTISFYAVGVRM >PVH34499 pep chromosome:PHallii_v3.1:8:41903167:41907728:1 gene:PAHAL_8G232900 transcript:PVH34499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCIMALAAAGDETTVTEFLRMRNKCGETALHQAVRAGSEASMDELMSVDPELAAVPSEADEGNTTSPLYLAISLGKEDIAEHLIQKTNGKLSCSGPDGRNVLHAAVTRSKALPMLLKLFKDVTVQVQQGEGARRMSTVPLLSQLTMQRDIDGSTPLHLAASTDWWPEVSFVSERFKHIWPRSKSTTTLLLDANICSAYQPDNEGLYPIHIAALADNLDVTKILLQRCPDCANLQDSKGRTFLHVATQPGIYKVARNACAEPELLTILNVRDNNGDTALHHAVHEGNLAAFSCLIQNPKVDLSIANKDELTPLHLSWVKIPQGLHYLTHPRVLISRTLLLVGAPAGGSRSDLFREKYFGEIDVEKKSKDLAKTTQAMGIVSVLIATVTFASAFTLPGGYYQSSSDGGVPGTPILAGSYAFNAFILADALAFICSCLATFSLVFAGVPAMGLSARYRHTWISTMLLHASGASLMASFALGLYLVLAPIAHAIAITVCVISSGALVFGNLEGWRMLCVLNTARARLGTRRLLATWWNLAPVACFSAFSPFVILIVIFDLIALFAIFKTLSNLLARGGSKG >PAN42179 pep chromosome:PHallii_v3.1:8:16731272:16732776:-1 gene:PAHAL_8G117400 transcript:PAN42179 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MAAATASRPLTPLLHRHHPRSWRRASAVVVAAASTPEAEPTAAGSGQEKKKTVDTRIHWSDPDEGWIGGKAKKDGAGGVGGRSKNEPLGGRFADLINAASESHYQFLGIAPEADIEEIKAAYRRLSKEYHPDTTTLPLKVASEKFIRLREVYNVLSKEESRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDIQNYESVPDMVDRLGGRNMKLSDQAMTALTIDFVIIIISVICIIYALFFKEQY >PVH33766 pep chromosome:PHallii_v3.1:8:4902615:4903500:-1 gene:PAHAL_8G061600 transcript:PVH33766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTNALCIPTMVAAAAAPRCRRSLIVARASPAKHDERQDPAVKVDAAGGRRRAMVLFSAAAITASTAAAVRSARAAVSTKSGPGQWVDIENVADPYVQDLGKWAVMEHNSQTGGDLQFGKVVGGKQQVVAGMNYKLEIETKGGPSGFYEAGLFVSLPPKKRTLNSFEPKAG >PAN43294 pep chromosome:PHallii_v3.1:8:41314434:41316930:-1 gene:PAHAL_8G227100 transcript:PAN43294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAEAARKRASPRRPRGGGGPDRLSALPDCLLHVIMSSLKARQAVQTCVLSRRWRDLWRSVPCLDVDIDEFRANTAAPDFDHDAGSGGNSIPDSSDDSSSSDYSSSSWESDDSSDSGLGFDSSSSSDDDDDGNIKEWVEFEDFTANLMSRCNIAELDSFRLHIGSRWAPPFCCLQAQGWLRRAMKYCNPDPASPRKGLSPSPWRLKRLHLCHMFLGDGFAKHVSSVCRNLEDLELHDCKCQIQSVTSDSLKTLVLKNCSWHNLSEIALPTLKTLVIDGGENISHCVLVILTPAVASLHLAVRVDHFYGGISTNQMPSLVKASIHLQGHRYSLPNNKLGGDQFKLLCSISNATSLELSGVGKRVLGKKPTFQEFMNLRNLLLDECDLRNDFRTLGFFLQSSPNLEKLTLRHCKFPNYPKKKKGEPKLNKTSSSEFRGLDFMCENLKVEIICSNSDARELVKVLLHASGNLSMKNIKFTIVN >PVH33681 pep chromosome:PHallii_v3.1:8:3323628:3323807:1 gene:PAHAL_8G044500 transcript:PVH33681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPQQQPWADAARRKRKLWRACVLLRAAGAVVDGAPVVPAPTVPECHCSGVNPCLGSI >PAN41277 pep chromosome:PHallii_v3.1:8:2310293:2311700:-1 gene:PAHAL_8G032100 transcript:PAN41277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELENAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >PAN41278 pep chromosome:PHallii_v3.1:8:2309893:2313675:-1 gene:PAHAL_8G032100 transcript:PAN41278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELENAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >PAN41105 pep chromosome:PHallii_v3.1:8:1007884:1009110:1 gene:PAHAL_8G014700 transcript:PAN41105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKPMVTLLPICFLFLLALASAAEIVDNNGVVVEDLNNGDDIDNHHHKGNNDGKGKLKPSQCGGECRRRCSKTHHKKPCLFFCNKCCAKCLCVPPGTYGNKETCPCYNNWKTKEGGPKCP >PVH33700 pep chromosome:PHallii_v3.1:8:3717175:3718056:-1 gene:PAHAL_8G048800 transcript:PVH33700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLVAAITTRPSPKNQTLEQSKQTQLIAMAGGSFAALSCLLLAVALLAATAVGETKETHLRVFWHDVVSGGPNVSTVAQVAQGPASNASATGFGSVMVIDDPLTEGPDLTSRLLGRAQGTYVSAGKDSLSLMMAMNFVFVGGAYNGSSLAILGANPAERRVREMAVVGGTGAFRFARGYCEATTRWFNATTGDATVEYNLYVRHD >PVH33806 pep chromosome:PHallii_v3.1:8:5754017:5756884:1 gene:PAHAL_8G068500 transcript:PVH33806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGQEIAASDGEKGPEQHAIDVGHVEHAGGRGEDTEQKRVAVAEEVQKKSRRVAALDAFRGLTIVLMILVDDGGGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPNIGAAVKKIAIRTLKMLFWGVLLQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYFVVALIEAFTTKVRPTTVRSGPYAIFDAYRWQWLGGFIAFVIYIVTTFSLYVPDWSFVYHNDGDVNDGKQFTVQCGVRASLDQACNAVGYVDRQVWGINHLYSQPVWIRSKDCTFSSPNMGPLRADAPAWCLAPFEPEGLLSSISSILSGTIGIHYGHVLIHFKGHKERLKHWLLMGFSLLVLGILLHFTKAIPINKQLYSFSYVCFTGGAAGIVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLGAQGILAAFVNGWYYESPDNSLVHWIANHVFVNVWHSQRLGTLLYVIFCEIVFWGVAAGVLHKMGIYWKL >PVH33410 pep chromosome:PHallii_v3.1:8:217574:228820:-1 gene:PAHAL_8G001000 transcript:PVH33410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGPSKALFMDEISTGLDSSTTFQIVSCLQQLAHLSESTILVSLLQPAPETYELFDEIILMAEGKIVYHGSMSCIMSFFESCGFKCPERKGAADFLQEVLSRKDQQQYWNRRETYNFVTVDQFCEKFGVSQIGQNLVGEISTPYD >PAN43535 pep chromosome:PHallii_v3.1:8:42754161:42762444:-1 gene:PAHAL_8G242600 transcript:PAN43535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAIVPGVDDDSKLPLWASSVGLRVEVVERLVAARRDLHSVLTEAQEREIQNPALVRHLREAEHKASLAEDLLSALEYYRIQGEVEQDEHHHDDDSSKLVHDIGDGNLLLSIPATDIQLFHKADREVSNTCLLPHPEGAEEDISRAIEEHVAHCHRIASDVRCFLELEKLDDKWLQIYRSTSSWTDPREMSPFPTEHKVHGRDQDRDCIISKLTSEESAAQNLSVLAIVGNGGVGKTTLAKVVYNNPVVREHFDKALWLHVSVYFNEAKITRELLQLLRGDRHEDISDMKELQNILGYEIKSKRVLLVLDDMWEDNKKENWDVLLTPLCTNDAKGNMVIITTRKSSVARMTGARHNINLHGLKDEEFWPLFKECAFGDENHKGHLKLQEIGRKIADKLKGYPLAAKSVGKLLSRKLDDGHWTRILDNSEWKDQKGDDDVIPALKISYNYLPRHLQKCFSYCSVFPKNQRYHVQWLVNIWIAQGFISLADQHTQAEETGRRYLADLIDWGFFLSEPPRPSLLMHDLVHDLAQIVSSHESFTIEELTPPAGDLNLIRHVTVITESAYYGQFDGTVLPNNEYFMQEFAKTFCTLPRKDLRTLMLFGAHDSSFASTFHHELGNEIRAVRVLNMEVVYPDLNMLIPNISAFINLRYLWLRSFYRGLRLQLPEAICKLYQLQVLDIKDFNSETVVPKGLHKLRNLRHFFASEQLHAQIASVGDLVFLQELVAFRVRKEHEFSIAQLEKLNEIRGSVSIYNLENIGSKEDASKARLSDKQYLTSLRLSWDGMPTSLRKLKIIDGLEPPMCLEKLHIEGYNGSAPSWLGSTAVSLTSLQSLHLEKCQFWSTLPSVDELPLLRELHLIDMRRLDKVPLGRGLKVLELRNIPSLKRFVEMESDQPYENLEVIELKDCNYLEKLPFQLCSSGTRTEHLFPKLRRVQIRDCIKTKLPPFPTADTTTDIDVWNACLDYMSFQLSPAADDESRLCLELEGHREGNMEILDETILRFSKLKNLQELEIRWFPEVRYLPWEGLQHMTALKKFKTEQCPKLFSSSPKLVMPTSIEEMEFGKCDITGKQLSILMSSLPFLKMVKVQYCEGIKCLSVGMFVDAYSEMEEGLWDIPPSSLATLEKLDVSLSDIRFCSKNGLGELGSLKDIVIWKCPMLLSSMVSEAASMVPDPSLLPPSLLKLDITDMVDGLLACSKLASLAELCIGMSRLLISLDLCSCTALQKLKIKDCEQLQSVEGLQSLTLLAKLEILRCSKLTSVQLNLCASLEILNIDGCDALRTLEGSGSLTSLKEVWISTNPVLSNVQFHSCWALENLCITKCPALATWQGFRSLTGIRSLQVSESPGFVSAWKSAAEEMMSEGHYSFSMPLECLDIDDIDVLSMPICRQITSLESLKIRGALYRWSNKVDILLEHHEQALQLLTSLKELTLSQFKHLESLPSKIHALPLLQRLTIEKCPCLTSLPEIHALPLLQRLTIDTCPCLTSLPEEGLPRSLVEMDLIYGTVELDALCRSIWKDGHFRLYINRIEHLGAPAPHSIEAQLD >PAN43534 pep chromosome:PHallii_v3.1:8:42754205:42762444:-1 gene:PAHAL_8G242600 transcript:PAN43534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPTEHKVHGRDQDRDCIISKLTSEESAAQNLSVLAIVGNGGVGKTTLAKVVYNNPVVREHFDKALWLHVSVYFNEAKITRELLQLLRGDRHEDISDMKELQNILGYEIKSKRVLLVLDDMWEDNKKENWDVLLTPLCTNDAKGNMVIITTRKSSVARMTGARHNINLHGLKDEEFWPLFKECAFGDENHKGHLKLQEIGRKIADKLKGYPLAAKSVGKLLSRKLDDGHWTRILDNSEWKDQKGDDDVIPALKISYNYLPRHLQKCFSYCSVFPKNQRYHVQWLVNIWIAQGFISLADQHTQAEETGRRYLADLIDWGFFLSEPPRPSLLMHDLVHDLAQIVSSHESFTIEELTPPAGDLNLIRHVTVITESAYYGQFDGTVLPNNEYFMQEFAKTFCTLPRKDLRTLMLFGAHDSSFASTFHHELGNEIRAVRVLNMEVVYPDLNMLIPNISAFINLRYLWLRSFYRGLRLQLPEAICKLYQLQVLDIKDFNSETVVPKGLHKLRNLRHFFASEQLHAQIASVGDLVFLQELVAFRVRKEHEFSIAQLEKLNEIRGSVSIYNLENIGSKEDASKARLSDKQYLTSLRLSWDGMPTSLRKLKIIDGLEPPMCLEKLHIEGYNGSAPSWLGSTAVSLTSLQSLHLEKCQFWSTLPSVDELPLLRELHLIDMRRLDKVPLGRGLKVLELRNIPSLKRFVEMESDQPYENLEVIELKDCNYLEKLPFQLCSSGTRTEHLFPKLRRVQIRDCIKTKLPPFPTADTTTDIDVWNACLDYMSFQLSPAADDESRLCLELEGHREGNMEILDETILRFSKLKNLQELEIRWFPEVRYLPWEGLQHMTALKKFKTEQCPKLFSSSPKLVMPTSIEEMEFGKCDITGKQLSILMSSLPFLKMVKVQYCEGIKCLSVGMFVDAYSEMEEGLWDIPPSSLATLEKLDVSLSDIRFCSKNGLGELGSLKDIVIWKCPMLLSSMVSEAASMVPDPSLLPPSLLKLDITDMVDGLLACSKLASLAELCIGMSRLLISLDLCSCTALQKLKIKDCEQLQSVEGLQSLTLLAKLEILRCSKLTSVQLNLCASLEILNIDGCDALRTLEGSGSLTSLKEVWISTNPVLSNVQFHSCWALENLCITKCPALATWQGFRSLTGIRSLQVSESPGFVSAWKSAAEEMMSEGHYSFSMPLECLDIDDIDVLSMPICRQITSLESLKIRGALYRWSNKVDILLEHHEQALQLLTSLKELTLSQFKHLESLPSKIHALPLLQRLTIEKCPCLTSLPEIHALPLLQRLTIDTCPCLTSLPEEGLPRSLVEMDLIYGTVELDALCRSIWKDGHFRLYINRIEHLGAPAPHSIEAQLD >PAN41816 pep chromosome:PHallii_v3.1:8:6191360:6192408:1 gene:PAHAL_8G071500 transcript:PAN41816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNHHQVYLLFFLTAAAVAATVSAAAANLTAYEMLERYKFPRGILPQGVQRYVLRPDGSFEVFFSGSGCEFRVGGRYLLRYERRIAGTARAGSIRGLQGVSVKVLFVWLGINEVDRAGDQLNFHVGPLAASFPLRKFAVSPRCRCGFDCATAGDAAVAAS >PVH34345 pep chromosome:PHallii_v3.1:8:38390606:38390926:-1 gene:PAHAL_8G200100 transcript:PVH34345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFFFCSQVSKSSRVPWILSCLWNLLGALHGRNDFLGHPNRLAPPIALLVHVSQHLIFFLLEEIGCALFLVNLQVRLGVGVDFLLVFFSFLFILALIGLVAFLMA >PAN42471 pep chromosome:PHallii_v3.1:8:29299781:29304754:-1 gene:PAHAL_8G159300 transcript:PAN42471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRALLPCTTAIYPRAPSCLHRSPPRCPLRLLPLLAVTTPVPAAAAAAAMDPVAAWGLTPLAGADPEIYDLLEREKRRQRRGIELIASENFTSFAVMEALGSPLTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDPASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALSPGGIRIGAPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEHGKLLKDFNKGLVNNKDIENLKAEVEKFADSFDMPGFTLESMKYKE >PVH34268 pep chromosome:PHallii_v3.1:8:35686940:35690481:1 gene:PAHAL_8G182700 transcript:PVH34268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRDLQSFNLARLAKQVWRLLRDPESLCARVLRARYYPDGKLLNARMKGGSSYTWQSILAGLDCFKLGYIWRVGDGTQIKIWEDNWIPGSHNMKVQTRRGNNLLSTVDELINPVVASWDVDLVRSIFEHIDANPILQIPITTGREDCVAWHYNRNGLFSVWRNLWKLQVPGKIKIFGWRALRGVMPCKAILANRHVIPERGCRVCHNGAEDIKHLIFTCDQARAVWRSIGRRTVPS >PVH33847 pep chromosome:PHallii_v3.1:8:7080685:7081503:-1 gene:PAHAL_8G078600 transcript:PVH33847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRSNAPPTLDEDSDISDIPLPNPMFTSLAEDNENLEQWAGENIGDTHLGKRKTKVLRPRWPEKKGKMIRSPPEEELASNETTPEPSDGGDEGNTDDDDDDDDDNDDGGSGYHVSSQASGRTDRSMSPTRFTRETDFTHVTQDQDHGQPMSQQRTTSNQRRSDPREGDSSSSVSSTFSYPRPPSYPYPYPQPYPYPYPQPYSHPPPYPSHFIQLPVHLGMSTGGQIGELQEYYYGYHTYQQEETEE >PAN42609 pep chromosome:PHallii_v3.1:8:25204189:25215748:-1 gene:PAHAL_8G145500 transcript:PAN42609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGSGGGGGCSGGDRKSSPAPTKPGGGGAAKFLAGMPSRGNFSSGSVSSSLGGFRVYVCEHSTDPPEGQIIQTDSTNILIRHLQLNKQKSEAKDSGSRTPGETTRGKRSAARSLDVNNSAKRANLGTSSGSSVYDETISGFSQHTLQSFTVERLRALLRQSGLSTKGKKDELIARLRESQG >PVH33940 pep chromosome:PHallii_v3.1:8:14504140:14505539:-1 gene:PAHAL_8G106500 transcript:PVH33940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQAGMGGGEGEAAGGRKGGGASGNNDDGTSTTTTTLVSLLRARSERSAREEERVEWVRSQLVGRDAEFETPFGRRALLYADHTATGRSLRYIEDYILKHVLPFYGNTHTEDSYVGSRTTRTAGKAARYIKRCMGAGPGDALLFCGSGATAAARRLQEALGMAPLPPALRLAALPLRPEERWVVFVGPYEHHSNLLSWRRSLADVVEVPAGADGLVDLAALRSALAAPEHADRPMLGAFSACSNVTGVLTDTRAIARILHQHGAFACFDFAARYGPCLALPLLVLGGNCTFLLDLRWLFVRKFH >PAN42393 pep chromosome:PHallii_v3.1:8:18246301:18247673:-1 gene:PAHAL_8G126900 transcript:PAN42393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFRPWFLLSCGLLLAAACHGLQVGFYQKTCPRAEAIVRAEVQKAVRRDPGLGAGLIRMLFHDCFVEGCDGSILLDPTPANPRPEKKGPPNDSSQRSYEVIDAAKRALEKACPGTVSCADVVAFAARDASDLLSGSRISFAMPGGRLDGRRSLESQTSVLPPPFANLNTLAARFAAKGLGVEDLVVLSGAHSVGRSHCSSFVAERIASPSDMDAALAAQLRRQCPASPSLGNDPVVAEDAVTPNALDNQYYRNLLDRKVLFTSDAVLMSSAQTAGMVGDLARPDGTWEKKFAAAMVKLASIGVKTGSDGDIRKKCRVVN >PAN41419 pep chromosome:PHallii_v3.1:8:3147323:3149123:1 gene:PAHAL_8G042600 transcript:PAN41419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCPPMDAAGAAKSQGKKMSRGAAKKTTRSSWMAVGLGFYHSSSSGKNRTQPAQAPAEGKNIDNDKSSSKKKRSISISRSMTCAGSICSTKETSVLSRREDRGGGARSASSRSLRAPDAAEVADAVYAASAAAVSATSSFNSEATAAMTSSSSTATSASSPLSSPASSSFGSSFRGVQQIRKLSGCYECHSVFDPRSLGAAAAFPCAGCDEVFGKAESLELHRASRHAVSELGPDDTSRNIVEIIFQSSWLRKQAPMCKIDRILKVQNSDRTVWRFEQYKESIKERASSGEGRKNPRCVADGNELLRFHCTTLTCSLGAGGGTALCRAPAAQCKLCSIVRDGFRVDGDGKVATMATSGRAHDMAQGLSDGEKKAMLVCRVVAGRVKKTGDRKSSEDSDCDSVSPSSEGVCSDLEELFLFNPRAILPCFVVIYSGY >PVH34170 pep chromosome:PHallii_v3.1:8:30118748:30119838:-1 gene:PAHAL_8G162500 transcript:PVH34170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPDRNFGFKVTEYMTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKECILKKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQCCSACNQIGHTYKRCPINDAGPSYSIRPRYKKYNKNGPGA >PAN43096 pep chromosome:PHallii_v3.1:8:39516595:39516825:1 gene:PAHAL_8G210400 transcript:PAN43096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPVPESWPDLIGMELDAAVRIISSERPDVNIARLLPPGAAPSPPPQDQVRVIIYNDVGPVPDTWMVVPPAPYIG >PAN43463 pep chromosome:PHallii_v3.1:8:42299894:42303368:-1 gene:PAHAL_8G237400 transcript:PAN43463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLSALPRCVALRSKHDNSYLCSVHDESHGGNLVELVGGGGPDGGVMNPRSRFYLEPSKEHEGLMHVRCCYNNKYWVPQQRVLHGGSARWIIGTADEPEEDLSKPSCTLLKHIPIAGEQAEGGSTCRFLHSQLGKYACVSSTPFTSIRSYLHIASREEADDHQDGGLIDAFTVIDVSGQKQLPSYLAFKGDNGCFLGANIIEGYKYLQFSGKDIGDPRVFHTIFTNDDGVVRIKSNYFGLFWRRSPNWIWADSEDATHSNSDTLFRVTTWDDFIALRNLGNNNFCKRLTKEGKTDCLNADVDSITIEARLQCHEPVLSRDIYDVDFHQHEARMYTKDIDGLGSQTVKNQSSTINKTTLTFTYTNTVATTWSTTVSLKIGIKTTLKSGILFVVDGKIEVSSEFSGSYTWGKTETEQKQTSKQITVDVPPMKKVTIKAIGSNGVCDIPFSYKQTDVLTNGQVVTKKFTDGMYFGVKTSSITFQTIEEDL >PVH33585 pep chromosome:PHallii_v3.1:8:1861745:1863101:-1 gene:PAHAL_8G026500 transcript:PVH33585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNKAEAEYWKKSEGYRIGWSDEILGFDCGGQQWVSENCFPTGTIAKPSMNDLDYIEKLLQLIEKEDIPAPAPIEQRWTAHSKSPMSPASSSEEDDVFSWVGIIMYLPTSDARQRKEITEEFFNYRSLAQSLWDDYSAYEHWAKIEVPKDKDELAELQSRLRRRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLEPVRQAK >PAN41181 pep chromosome:PHallii_v3.1:8:1723329:1726203:1 gene:PAHAL_8G023400 transcript:PAN41181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPHAPGQAGAPPNHRKRKAPVPGAAAGDEAETEVHALGREVEELEESLAELDRRVLEHLRGTATRLADAAVDRLAALRPPARQEFLAVSETPSSEEDQEQLQKLNILKSKIEANIADLPKVLEKMHESVARCEKLENLHVNIDSIFRTRRLYHG >PAN41077 pep chromosome:PHallii_v3.1:8:612919:613332:1 gene:PAHAL_8G007600 transcript:PAN41077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELGEWLMMAMWYFGLALTYGSLVVTVILLISEVLAGLQRWRNKLAGERLLESIPGVPYHPLPEGSPPSCVICIEEYERGERCFVMPGCAHMFHRECMRKWLRQGNPTCPICRATLLVAPAAAAEERVSTVENMV >PVH33639 pep chromosome:PHallii_v3.1:8:2669494:2671997:-1 gene:PAHAL_8G036700 transcript:PVH33639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDSDGQRQCRRGVTGDVELDAAMALADMAGAETQPRPGATPHHRPAAAAAPHQAAAEDEEELASTRLSLELGKVGIQSASPCCSSSSSAGHPHPAHHHQHHQPHAVPAPAAAATGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNENMKKEKDMVMQEYLSLKEANKQLKEQVARTTKVVPATTAAVTAAVPVQQAAAEAMAAATTTSPPATPPRPGFLYTAAPPVPYVWGSWPPGPGYEHHHGSPPPICLPPCAWYYPVVADPRGSPSAYPQHQQAQAAAAFQPEPAGSGGGGSPAGGGATAEEDTEDDPCSLTLGLDVADKRSAPISIDARGGAGPSDRDKEATAAEARKRRKELNKLKHMHAAGRPGGEQW >PAN43816 pep chromosome:PHallii_v3.1:8:44534635:44537931:-1 gene:PAHAL_8G265200 transcript:PAN43816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIFGKKKTPAELLRENKRMLDKSIREIERERQGLQNQEKKLINEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVSQVLDEIGIDVNSELVKAPATAVAKPVAAGKVPAQAEAAGGVDGGIDDDLQARLDNLRKM >PVH34085 pep chromosome:PHallii_v3.1:8:22950515:22961327:1 gene:PAHAL_8G139000 transcript:PVH34085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLTRAAKRAGEMAFNAGGGAVNWFPGHRAAASRAIRDRLKLCRPLVIEVRDARIPLSSANEDLQPVLAAKRRILALNKKDLANPNILNMVHSSRVRKSKAVKTFLSSSSTLTKVQSAINEGRLVLPKMQVDKAPLPIHTIDLSNAKVLIRLEQAEWAKGKNVIIGEARLKNSEDKILAREVVLEKTPDGKELQKITVKASKLGGQASSSRASSRPVAQARPVRPVLPTGQAQGRPKMIKPRRPEVGTWKVNESKVQGKFAKHKPTFHQLLNKYMKQKAVPKDRPLKKRPWSPPHQNRPSSPQGESSKRRGDVTTPFPLQKVYATMPWAPPPSCSSCPTWEHGGI >PAN43253 pep chromosome:PHallii_v3.1:8:41062237:41065041:-1 gene:PAHAL_8G223200 transcript:PAN43253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >PAN43314 pep chromosome:PHallii_v3.1:8:42971197:42974070:1 gene:PAHAL_8G245400 transcript:PAN43314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCWSLPGMSVAIAAAAVFFLNAIIPPAAMAAVVEHTFVVSQVKMSHLCNETLVTLVNGQFPGPAIEVTEGDSVTVHVVNMSPYNLTIHWHGVKQRLNCWADGVPMITQCPIQPNHNFTYRFNVAGQEGTLWWHAHVAFLRATVHGAIVIRPRRGASSYPFPKPHKEIPIIIGEWWQMDLAQADWAIAHGVDVYFSASTINGKLGDLYNCSGSIEDGYMLDVEPGKTYLLRIINAALFAEYYLKIAGHKFTVVAADANYVSPYTTDVIAIAPGETMDALVVADAAPGRYYLVALPNQSPKPDPQSPVLITRGMVQYRGVQAPPSDIPVSPEMPDQDDLRASFHFHRNLTSLLRHPQRTPVPTQVDESLFITLGLGTICRRGQSCKRSQSNETITVATMNNISFEHPSVATPLLELHYHNTNRMGMLQALPDKAPRVFNYTDPALIPQGPKEAKLEPTSKATLARRFRQGTVVEVVFQGTALLQSESNPMHLHGHDVFVLAQGEGNYDAARDVARYNLVNPAIRNTVHVPRLGWVAIRFVADNPGIWYMHCHFGFHLSMGMVALFIVEDGLTAGTSLPAPPAGFPSCGHI >PAN41859 pep chromosome:PHallii_v3.1:8:6674986:6680722:1 gene:PAHAL_8G074600 transcript:PAN41859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIESRKGSKGDSMAAAASEVSVDWRGRPCDHRKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFGEMHFPLSQAANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPPCDMMAAAAACEEAGGVKAGIFFAALYLVAVGSGCLKPNIIAHGADQFRRGGGGDARRLSTYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAIGLVSLVAGVAFYRNKPPQGSIFTPIAKVFVAAVTKRKQVCPSTATVQAIASVSAHEMSVNPTNIRHINKFRFLDKACVKAQDGPGGGASSAGKESPWRLCTPAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRLAASFHVPPASLQAIPYLMLVALVPAYEAAFVPAMRRLTGVATGITPLQRIGVGLFAVTFSMVAAALVEARRRHAAADGGRLLSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTSTAGAGGDGGWLANNDLNRDRLDLFYWLLAGLSILNFFNYLFWARWYSKSVETVQVAGVRSGGEQDDQKDTSEC >PVH34104 pep chromosome:PHallii_v3.1:8:24971615:24971860:1 gene:PAHAL_8G144000 transcript:PVH34104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCGQHNLDDYHLPSDAIIAAQGGNTPRPLGPRPQAWAKILLIALWFSTR >PAN43819 pep chromosome:PHallii_v3.1:8:44541993:44543857:1 gene:PAHAL_8G265300 transcript:PAN43819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSRIGVGLAVVSALLLLALTAELYYIFVHKRRLRRRAAAISDAASSPSSSSRELLQLFCFKKPPALASTYAVQEPPAVAVAVGGDGEEDDDETVEAQLMRLGSVVGPTRLLFTIKEETREDLESEDGRSRSRSLGELLHCSETPPFLTPGASPVPTAAMENSYNPLFESPMASPGPAPAPTVSPPPKFQFLKDAEEKLYRRALAEAMMARRSPPPPQQPTSPAAREEDGGYITIVVGKNNRVIPLPSPPTAGGGHQ >PAN40981 pep chromosome:PHallii_v3.1:8:582402:589412:-1 gene:PAHAL_8G007100 transcript:PAN40981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRRLLRARAEAAVASVVTVLPHEIPPLLSAAATFFFILSAYFVVLPLRDEGAISLGLDTLPGLFAGSLLLTVLAAPVASLAFSLPSIPKPRALILIHRFFSISLLVFFVLWFASSPRQSQSVSQSSEDGLSKHAGWENHSWFYIVVRISLFLWVALLNLIAISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWLGPFMLLFSSLLMELAAVSSKGIRIDDIQGSTELSPTGAEKIQNAEADDEMSSLVASPSSPFQSRKSNPEIFIMFEGFWLILRSPYLIYISLFLWLSAVISSFFYFQKVTIIATTISSPAARRRTFALINSFIAVFILAGQLTLTGHILTVAGVTVAICASPLVAASNMVALAVWPTWVAVALTETIRKVVTYVLTRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYSLLFSRLEKKASMVILYALPLCFLWLLTAFHLGRLQTNLARLEAAPVVS >PVH34042 pep chromosome:PHallii_v3.1:8:17370691:17370927:-1 gene:PAHAL_8G122600 transcript:PVH34042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQRQRQDQFLQRLDGGHMPHGNVAVVVNHIAGQWPPPSQHRPPMIRKEASFPCFLKHHPHGGGHIQGAFGVATVVT >PAN42132 pep chromosome:PHallii_v3.1:8:17370166:17371468:-1 gene:PAHAL_8G122600 transcript:PAN42132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQRQRQDQFLQRLDGGHMPHGNVAVVVNHIAGQWPPPSQHRPPMIRKEASFPCFLKHHPHGGGHIQGAFGVATVVT >PAN42483 pep chromosome:PHallii_v3.1:8:29174658:29177334:1 gene:PAHAL_8G158000 transcript:PAN42483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLATKPPFLCCTTCHEMASCSSSPWPPIPLLLLLLLFFFLLASPSPVLAAPAASSQQQAESGGNTTAAAWTPRLRNTFLDGGVERWRGRRLVGRFQVCAVCTCCGGPHGMCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >PVH34156 pep chromosome:PHallii_v3.1:8:29174658:29177334:1 gene:PAHAL_8G158000 transcript:PVH34156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLATKPPFLCCTTCHEMASCSSSPWPPIPLLLLLLLFFFLLASPSPVLAAPAASSQQAESGGNTTAAAWTPRLRNTFLDGGVERWRGRRLVGRFQVCAVCTCCGGPHGMCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >PVH34157 pep chromosome:PHallii_v3.1:8:29174658:29177334:1 gene:PAHAL_8G158000 transcript:PVH34157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLATKPPFLCCTTCHEMASCSSSPWPPIPLLLLLLLFFFLLASPSPVLAAPAASSQQAESGGNTTAAAWTPRLRNTFLDGGVERWRGRRLVGRFQVCAVCTCCGGPHGMCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >PAN42484 pep chromosome:PHallii_v3.1:8:29174658:29177334:1 gene:PAHAL_8G158000 transcript:PAN42484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLATKPPFLCCTTCHEMASCSSSPWPPIPLLLLLLLFFFLLASPSPVLAAPAASSQQQAESGGNTTAAAWTPRLRNTFLDGGVERWRGRRLVGRFQVCAVCTCCGGPHGMCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >PAN43777 pep chromosome:PHallii_v3.1:8:44341018:44344647:-1 gene:PAHAL_8G262500 transcript:PAN43777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPAFLAAAAKLAVLVVAAATAANAASFARYRRRHLRRIPNPIDEAADPVADFRALPSAGTGADDASEEGGFFFGLATAPAHVEDRLEDAWLQFAIEHSCDDKEAVRDHRTADAVMASAAGDGGAQLASRSRGEEKAGEGEKRKPLKVAMEAMLRGFEMFSEGGESDSGDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGISVFRMGIDWTRVMPKEPTDAEFKSSVNFAALERYRWIIQRVHEYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVKYFMDFVRLVVDRVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAIAHAEAYDYIHLKSKNERKPIVGVAHHVSFTRPYGLFDVAAVTLANSLTLFPYIDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFMITENGVSDETDLIRKPYILEHLLAIYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLAREPRPSYYLFSKVVTTGKITRQDRLCAWRELQQAAFQKKTRPFFRAVDKHGRMYAGGLDRPMQRPFILRDWRFGHYEMEGLQDPFSRFIRSIISPISHKKKIHYIEDDDVSYSIS >PAN43800 pep chromosome:PHallii_v3.1:8:44449342:44451182:-1 gene:PAHAL_8G264200 transcript:PAN43800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEGAGRYWRHMCAVAVRPAEGEPEMKCLQCHSGFLEETEINRSVAVADGGGALTEVYPAADRPSSIWAHAILSMVDSSVRRRRSRRQPEPGGFLHDGDEHDFTRRLRCVTVFLRLLHELRERQLQRDAGGLATPRDA >PVH33587 pep chromosome:PHallii_v3.1:8:1883954:1886734:1 gene:PAHAL_8G026700 transcript:PVH33587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAERGKCLARGRVAACGLAGGGLARGGVGAWRRGGECDISNPTEQDINESIAAFATKTSDELDVIKDVLLVLPKAPRSIFCCNQSLGGGDSGGDGTNSSTLIHTERGTTASIEVIPHDISIELARFGSVRSSRCKTIWEKQPLILHDADIVHAAKAINFQVDMMKRITSILQSHPGPVKYFRVDSSDIKNGMEQLEEWFNILRKKAVEEVVIVNSSWPDRMIDFPINDLDCESLRRIGICFFRISDTVLNYVENLSAIDLSCCAITSQDLYTLVSQSKNLKELDIGLYKGAAIRLNSKSLEVLLIWHSTVQNISVQKALKLCKILVAARRRNHDVAPLHSLRRLVLNISLQVKKERFTIKNLMKSCTALKELTLWRNDATSDDEGVDAIFDDWPTVLKDLSCLKLHLEVFNIKNFKGGDIEIAIAAAILENGSCLKQFTLEADVSCHDDIFDHAKIDLQKIHQASVRAVVNYMTGQSDCINFFFEEV >PAN42078 pep chromosome:PHallii_v3.1:8:13575533:13577005:-1 gene:PAHAL_8G101800 transcript:PAN42078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQARNGLPPFPPPGTKPYLIPYGSIPAHSRSRGASGAGGGRRGTSPTGTATTSHFSSAVSAAFSPLAGRVDHVFVDYFDNNPHQICREQKRPPPSAPRRLCEGADFVKELKTYFADVGAFELPEEEVSDSELERELNLIPEYMTFLFFSCIEVVY >PVH33885 pep chromosome:PHallii_v3.1:8:8655996:8656730:-1 gene:PAHAL_8G084200 transcript:PVH33885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMDPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFIYTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRATNAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRAEEESP >PVH34332 pep chromosome:PHallii_v3.1:8:38170550:38179308:1 gene:PAHAL_8G198100 transcript:PVH34332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGACLYALKSACSCLVSQAASSAAKEMALQEGVKDDATFLAEELEEMNKFLADVGRDEAGVLVEQGGYVEDMAFVKKIQDMACDIEDCLRDLAPHRERPSMWRLPLESLAARHTVAAELKDLVSQVDRVSQRRERYRRARERALRKPTTPGPAEEEARPVVPEIDWKVDVGELIARADESLMVISVWLMKDRVSERAISLVGEVYDAAMDFQRRAWVTATHPIGLTEFLRALARQFLGNDPATSQGALGVLTDTETMGAEQLMAVVARHLEERRYLVVVEGVYTRPEWDWIKLIFPDDRNGSRIMVTSRRADVARHCAEGSSRSFNLERVPDGDARLYVSSDKGATTDRGFSEVIKVEPYSEFVGRNEEVEELTRWISLNESECASLSVYGASGIGKSTLVRNVYNSTKLLRMFQRRAWIAMVHPFNKEEFLRDTISQFKAYRVQESKSGIKNKSSDDLVTEVCQLMGDGRSLLVVDGLSAEEEWDQIKSCLWPEFCTNSGSCTAIVTTVSAAVARHCSENRSSLMYKLSPLNRAAAHQLFYQKVFRRDRLIELCKPMVDHANLIIQKCDGNLRAINIISGLLATKTRTSTEWEKLLNRFDSELKNNPDLGVTNAAAKLSYDDLPSHMKYLVQYLSVFPRSYNIMLRRLANAWLAETYMRKTRRDNAEFEDIFDALVMRSMVHPLKRAEITSGRINGCHVDDVFRQHLFSEAKSRGFINILDEHMVNNNLAISQIRHLVVTSGWTRDDDQFKRLDLSCARSLTVLGKWSSCFISPSMKLLRVLDLEETEGLVEHHDLEHIGEFRHLKYLGLRNTGIAHLPKSLGKLHGLEELDIRGTYIAKLPSTFIHLTSLRRLHGGTMAACNSQQEFDSEMTGDCPGLLKNAVSSAFCMCLLCLCQRHKPYGVRVPKKIGELKSLLTMGTIDAAGSRTVMKEVQKLAQLRKLGVMGITKLNSKHLCSTLEHLHHLRSLMVHSDDSLGRLATVTSPPHCLETLKLYGNLGTLPRWTKTLHSLLKLCLRSTLLDGDAVQIIARLPKLIVLRLLAKSLVVEEIAFPSGAFPKLELLQLDQLENLMSLSTQGRALPNLEILQVYRCSFLSEDSLRLFHDLPRIKMVLMDGTLVQRQPDQEFDPFDIPSKGIPDERLRRWRQADLVLNASRRFRYTLDLKKEEEKERIRQRIRSQTLIIRAALRFKEALKADRSEIEE >PVH34319 pep chromosome:PHallii_v3.1:8:37592417:37592893:-1 gene:PAHAL_8G193700 transcript:PVH34319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNFLLLLVATAASSSFTGAHAGVACAPEEREALLAFKQGITGDPGDLLATWKSDEPDCCRWGGVQCSNLTGHVLELHLGDGALTGEISHSLLSLQHLEHLDLSLNNLQGPTGEIPEFLGSFKNLRYLDLFGMPFSGAVPPQLGNLSKLYHLDLSS >PAN42477 pep chromosome:PHallii_v3.1:8:29231218:29231634:1 gene:PAHAL_8G158500 transcript:PAN42477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGREISPHREHLSPAAHLSCSTSRASEGRQEAEQARGTVSMSIEAAPMSSSSSWGGARRAGLEPRRVRREGWASSSSAAGQCRRESELQLCHGLVRPGELERRGGRVADGTGGEGRDRRGERVRGENKERERGEEG >PVH33421 pep chromosome:PHallii_v3.1:8:346137:348753:-1 gene:PAHAL_8G002200 transcript:PVH33421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNRPVTLSKTKKKPGLERKGKVVAEIKDAVDQYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDSGLFFTNLPRDEVERLFREFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELVADHVVCEEGKPLSPEAAQTLRLLGIQMATFQLYLVCRWSCDDFEVYKEGLAHLGANDDSA >PAN42930 pep chromosome:PHallii_v3.1:8:38200638:38201232:-1 gene:PAHAL_8G198300 transcript:PAN42930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKLSTTRIVYLTTALFLVLTTMSPTIPSSEAGCRFPRPICKPKPKSPPPPPPSAMWSSHITHAAYLSCAPNILGCGATSL >PAN41348 pep chromosome:PHallii_v3.1:8:2676762:2677692:-1 gene:PAHAL_8G036800 transcript:PAN41348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMHLQLLQSFANLLQSPSPSSTVCERGSYYRTPSPAGSINTARVLANLQAITPGQGDIVSHHTDHQFVGGKVAIGIMLLQTRMGSGRSSGSDHDPVLPPGYRFYPTEEELLGFYLRHRLAGTRAQVEHFIPVVDIYSYHPAQLQAMAGAANVGDKEQWFFFCPRAERELSGGRPARTTPTGYWKATGSPSYVYSAPASRVIGEKRTMVFYEGRAPTGTKTRWKMNEYKAVAADDAPPPAGAPAVRVRTYVLHVCDLLVNLLVVHL >PAN41349 pep chromosome:PHallii_v3.1:8:2676070:2677786:-1 gene:PAHAL_8G036800 transcript:PAN41349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMHLQLLQSFANLLQSPSPSSTVCERGSYYRTPSPAGSINTARVLANLQAITPGQGDIVSHHTDHQFVGGKVAIGIMLLQTRMGSGRSSGSDHDPVLPPGYRFYPTEEELLGFYLRHRLAGTRAQVEHFIPVVDIYSYHPAQLQAMAGAANVGDKEQWFFFCPRAERELSGGRPARTTPTGYWKATGSPSYVYSAPASRVIGEKRTMVFYEGRAPTGTKTRWKMNEYKAVAADDAPPPAGAPAVRLRNEFSVCRVYTSNGTLRSFDRRPLNPPAGGGLDQAAAHRCQQQEALLVPPPPPPASQMAAVDAVAASNGQSSEHSHGSPSSSGSLGVVVDGAEDAAAAIDWDALIPPVDFLRFSVDDLSHVVWPPN >PVH34631 pep chromosome:PHallii_v3.1:8:44340013:44340171:1 gene:PAHAL_8G262400 transcript:PVH34631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLANAVRLIHLCMSMYYETCPISRPTPTLARTNSIIQLNSPCMATCVNFTK >PAN43428 pep chromosome:PHallii_v3.1:8:42088405:42092146:-1 gene:PAHAL_8G235300 transcript:PAN43428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAMGLLSRLILKVGDLLVGEYKLQNGVKGEIMFLHPELESMQGALEEIATAPPDQLDKQDRIWASEVRELCYDIEDSIDTFVVRCAGGAPAGTPDGMRGFIHRSLDLLTRLRIRRQVAADIRDIKRRVVEAGERRERYRIDVARPAAAVDPRLLAHYRKATELVGIDEARDEVISILMEGDDQVSNQHGKVVSIVGFGGLGKTTLANAVYEKLKENFDCWAFVSVSQTPDMRKIFKGLLYELGKNVNDETLDERQLIDQVRKFLQTKRYCIVIDDIWSVSIWDMIRCALPDGTGGYIIITTTRIFKVAEQVGGAYKMKPLCLDSSRKLLYGRIFSNDEKYKCHDEFLAEVSDRILKKCAGVPLAIVTIASLLANRGRNKMEWYEVCNSIGTGLEYGLDVENMRKILAYSYYDLPSHLRTCLLYLSVFPEDYAIEKFRLIWMWIAEGFVQHEVQGKGLYELGECYFNELINKSLIQPVYDRYDAVIEACRVHDMVLDLVRSISSEENFVTMLNNEHSTSQAKKVRRLLLQSNMVDHDTPCASMSMQQVRSVVAFSLASNLMLALGSFRVLRVLDLGNCYLPQDCDLKHLGNLFHLRYLGVGKTSCAQLPDDVGNLRYLQTLDLVGTRFGCLPSTVVQLRHLMCLRIDQHTIVPNGIGRLTSLEDLSTLYICDSTNITEELCHLTELRVLEIFLVAANDTLGRSLVMSLCKLQKMQSLTVWVSGGECNFDAWVAPRHLRSLQLQCCWFSRLPDWMAPSLLDLTIIQISVRELHQDDLKILGRLPALRHLYLMLDHENLKIPRRFVIGTSSFPCLVGCRLLGFLGAVMFQQGAMMMLTSLAFTFHAREVREITSSDGRLNLGLENLVSLQDVLVYFRTRGASEMEVEEAKAALSHAFEIHPNQPNYDIWC >PAN41988 pep chromosome:PHallii_v3.1:8:7761820:7767570:-1 gene:PAHAL_8G082100 transcript:PAN41988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWHLSILIMATTCFMLFPRSDQSSQSQFLQQLRKQLEYPRQLDAWGNPSSDPCYTQPTAVLAVTCDGNAITELKIVGDRISKPPKFSGFSVPNVTLSEAFVLDSFVTTLARLTTLRVVILVSLGLWGPLPDKIHRLSSLEVLDLSSNFLYGSIPPKLSVMSKLHTVTLDGNYFNVSVPDWLDLFSNLTVLRLQSNQLKGSIPSSIGKAAMLTELALAGNSISGDVPNLGNLSKLEMLDLRDNELDGELPEMPTALVTILLSKNSLKGEIPQKFGQLNRLQHLDLSFNFLVGSPPEGIFALPNISYLNLAANMLSGSLSSSLTCSSTLGFVDLSTNRFTGDLPACLNGNINNKVVKFDGNCFSADPAHQHEAKYCQQSHKGRGSNKDVGLVITVVGILFIVLVLSLVLMASNKRSCQKVLAEQQFLQKHKQDNSTSGMSSELLVNARCISNAVKLGTQIQPSYHIFSLEELKEATKSFERSAFLGEGAIGKLYMGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPGGTLSSYLSGSSPEKTLKWCGRLQVLIAIAKAVHFLHTGIIPGSLYNRLKSSSILLDEHLMAKLSDYGLSIITEEIYKHEVVEGKRYLQNNVAAMEDSEDDVYCFGCIILEVLMGPKLHGKGSPFVLNDLVVSISSQEEREEVLDPVVIGTSSQDSLSVVFSIMIKCLSIESSARPSIEEVLWNLQYAAQVQVTADGDQRSEVSPEAC >PVH34269 pep chromosome:PHallii_v3.1:8:35838308:35841781:-1 gene:PAHAL_8G183400 transcript:PVH34269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKVTITNIAFPLLNCFTDCSKYVCSSGGVCICSIGEFLILPFVSEQEKLVHRKEVGLTDMIILMLIYQIDSTLNNFYSTK >PAN43403 pep chromosome:PHallii_v3.1:8:41974989:41978209:-1 gene:PAHAL_8G233600 transcript:PAN43403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLLHGTLEATIFEAKFNIPASKFLEGLIPRAEGRPTGLPQLYATVDLSRARVGRTRVVDSSPANPRWDESFHVYCAHLTADVVFSVKARLPVDAALVGRAYVPVQDLVGANEGRLVDRWLDILDEGRRPLLNGPKIHVQVRFARAAADPRWGAGVGGAQYPGVPRTFFKQRQGCRLTLYQDAHVPDAFRPGVQLAGGRAYEPRRCWEDLYDAISDARHVVYITGWSVFAHITLTRDGNRPHPGGGVTLGELLKRKAGEGVRVLMLVWDDPTSALNLGLLPSQMGTNDVNTFNYFRGSRVQCVPCPRSPDDAASFAQGLKTFAFSHHQKSVVVDVKGGPGDGGRRRIACFVGGLDLTNGRYDTPEHSLFRTLNAAHSNDFYQGNIPGAGIASGGPREPWHDIHCRIDGPAAWDVLHNFEQRWRKQGGRDDLVHNLLWPWKNKEDVLVDLKGMEDAVVPQSSPAAPAGDQDAWNVQVFRSTDSSACAGFPKTPAEAAQSGLISGKDHVIERSIQDAYIHAIRRAKRFIYIENQYFLGSSFGWKPDGETPENIGALHLIPRELSLKIVSKIEAGEPFAVYVVVPMWPEGNPSSWNVQAILHWQRKTMEMMYADIAAALKAKNIDADPKDYLSFFCLGNREVKLEVPREYEPKGHPPGGSDYERAQKARRSMVYVHSKLMIVDDEYIIIGSANINQRSMDGGRDTEIAMGAYQPSHLNADGQVARGQVHGFRMSLWYEHLAELRDEFKDPGSLKCVRMVNKMASELWQRYASDKLDADLRGHLLSYPVDVARDGTVKELKGVESFPDTDAPVLGSLHLVVSVGSPLTNFILTA >PVH34281 pep chromosome:PHallii_v3.1:8:36340486:36349430:-1 gene:PAHAL_8G185600 transcript:PVH34281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPAPAPAPSPSPREHVERIRRERYFIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQNAEDNEYPSGVAPSLEFLITSKDLTGLGACSTLLIFNNERGFSSTNIDSICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISSEPHIFSNGYQIKFNEKPCAECNIGYIVPEWVESTPSLSDIEAIYGCSKILPSTTIILPLKNEKIDVVKKQLSSMHPEMLLFLTKIRKLSVREDNSDPKSSTVSEISISSERNYQARKNMHADSYTLHLSAEESGKGEEECGYYMWRQKFPVTPENRVDKRAEIDEWVITLAFPHGQRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFMNAFVTLVKSGADAPAMSLPSMFNFLPVNSALIPLLEPVRSGIKNKVLAEDIVPCESYASQKIFCKPSEVARLKPAFWTILGKAREYGMDLKNLSTHGTYILSSHFDKSTYNSVLEFLGVKSVSTEWYAKCIEGSNLVKEAHEQLYLEIIYFVANNWQNCFSGTNMMSIPLLRYVDRNGVLLFWSISRATQGNDRLCIASDRTYRSWLISWNQEFPSANRFFLHPNTQIALEAFSQKTIVENWLQNHARVDFVSVDSYGLTVVRSLGNDWRPLIAFAHFLYHSLKMKHKESDSLKMKHIESDYLSELCGVMPVIDSYGNVVKERNSIIVPAKGSKWVCLLGTNPWRNDGYIELSADYKSAGNFAGNYTSEEQLLEFLKTHLHASDVPFINPPDASFPTVSSPLTVYNAFLLLEWIRNIKSNAVKLPDRFLACIKEGSWLKTSVGYKPPNESFLSSDNWGNLLQSASSFVDIPMIDQQFYRNKLHMYKQELKAIGVRFKFQEASAYIGSCLMSMAAINALTRENVYSLLRLIRFLQEKVLSPSELINSVKGGCWMKSTLGYRRPSDCIIDDDPDWKVASCISNQPFLDVQFYGEAIHAYKPELELLGVIVGFKQNYQLVIDNFKFNSAAITSEATVLILKCIRYVGSCEDFIRKLKDLKWVKTNVGFCAPNVSFLVDPEWECLVNIFKGVPIIDLRFYGSVISSYKEELKKTGLITRFEEVSKAIAQVFKNMVSKTSVTKANVLALLKSYRQLRTHSPLPVELFNCMRSEKWLHTSLGFKSPSDAILFDHEWEYLSPVALLPFIDDGDSCHGLGKDIYGYKDELRKLGVTVEVKFGARFVIAGLSIPDDPSIMSKATILSLLECIKNYFASETAPPKGFQDKICKEWLKTSMGYKCPDECILFDAGQSSVCMEDGPFIDEAFYGSEIASFKDALAKIGVIVDVNCGQDLIAQHLRSHKDRTTQDLIAQHLRSHKDRTTISRIYMYLMKHNWKPDNNTGDWIWIPSETEGGEWVSSRSCVLYDKNNLFSLQLHILDKYYDRKLLDFFSITFDVRRGPCSEDYCKLWATWENSVHMLAMSDCFTFWKFIATNWTKKTEELLSGCVKVPVCTNGKIILQNKENVFVPDDLLLADLFNKLPHQSLFIWYPSSTLPSMSRARLNRIYSSIGVQRISKAVTKNDCLTLESGRFRTVDSSKVIKVGLLQIIIAYLADPALDIPAEERQGMVSCLLNVTVQETDEPITVGYSVSLSSGEVAEVKDCRMIRWERENSKLYMQSSHGESSYEEKIKFATYFADEISKGVLFEMADHIPSLAELIKFGSLLDFQNAAVGFLLMSKNLQLFPEDEDFLKSSMLGGSKNQ >PVH34407 pep chromosome:PHallii_v3.1:8:39924489:39931494:-1 gene:PAHAL_8G213900 transcript:PVH34407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECNREEALRAREIAVKMMENKDFVGAEKIVLKAQKLFPELENASQLLTICNVHSAAELRVNGELDFYGILRVEEGADEALIRKQYRKLAFSLHPDKNCFVGAEAAFKLVAEAHSVLCDPTKRSHYDLKRKNGFRNVPKPAKQQPSKKTESNKRSRPGPGETFWTICSHCQMRYQYFINILNTMVLCVSCQRNFFAYNLYEWPIPTSSSVPNGFQVPANMFRKQHDSHGQQSHPVKPSCAGRDTDVKPNGTQDPSHMFPNQQHGVPCQNAHPVKPSAGGDTDVKLRTNVAQHQHDEYMKGYSRPGCHKKANQPEASRGQFQYSTLKQDKSSVPSENGNMQGRSMPDSADPNIVNKQKSVREDASAEPDATNVSCSAKLSSAGGKTDGDPMITVAGRRSMPDSVDPNITDIRNLDKEDATAVPSAAGSSGTRRSGRRKQDADGNIFLNIDIEKRQRKNDLPSNVRQPDPPHVSNNVGIQETEKAMDTGDQGNIKEEAPETDINDQDNIKEEAPETASGKKPSYSELVTFPDPDIFNFEQFRDINLFAVGQIWALYDNLDGMPRYYARIKQFDASNFKVHLTWLEYDAMDEAEESWIDEELPTACGNFCLGKGSDVSHDRSMFSHIATWTKGKKRNSYVIYPNKGEVWALYKCWSMEWSSDADNHRSYEYEVVEILSNVSVSDGATVIPLVRIKGFVSLFATAKDKSSFVIPSSELLRFSHSIPFYRTNGNEKVGVPGGFLELDNACLPADLDAAFSSVTLDSYMSLGKKESSTFVDTSHRTDPRDEQIAQENHSEAHSCHPMSTDNRKDMSPEQNTTSKKTASDANKFGDFFLQNNISPTVYTYPDSDFHSFEEGRSCEKFTRGQIWALFSDADKFPKFYAWVRKVEQEPFRVDLIWLEACPEHEQEKRWLEQDIPISCGTFRVRTWRGTYDTNETFSHLVCARDTSRMREVTIFPELGAIWAVYMNWAPDWVPSSDGACDLAICMVSERTEASTKLTFLTQVSGYRAVFRLDKQKGILEVPARERLRFSHQIPSICLTEEQGGKLRGFYELDPASVPDSFLYRDT >PAN41340 pep chromosome:PHallii_v3.1:8:2637828:2639753:1 gene:PAHAL_8G036300 transcript:PAN41340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLSQEHPQAWPWGVAMYTNLHYHHYYEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSSESGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYIKEKQLDAGDVVHFERMRGFGMADRLFIGYRRRGESATGAAASPPTVCVAAPAQSAGGEQQPWSPMCYSTSGSYPTSPANSHAYRHSVDYDHSNMQHAGESQWDRDNRSCSAASAPPRRLRLFGVNLDCGPEPEPEPETPTTMYSYMHQSPYAPSTWGSP >PVH34384 pep chromosome:PHallii_v3.1:8:39555439:39555654:1 gene:PAHAL_8G210700 transcript:PVH34384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKVPTSWPDLINMDVYEAVQIIRTERPDLRVVRVLPPNEQPSPPQEGMLRVIIYNDNNQRVVAPAPYIG >PAN42453 pep chromosome:PHallii_v3.1:8:9316812:9321369:1 gene:PAHAL_8G086300 transcript:PAN42453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSALLPTLRLKREVDAAIRDTLDKVLVLRFGRTADAACLHLDDILAKSSWDISKFASVALVDMDSEEIQVYIDYFDITLVPATIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDIVEAIFRGAMKGKLIVSCPLPPERIPRFQLLFKDV >PVH34201 pep chromosome:PHallii_v3.1:8:32150481:32151677:-1 gene:PAHAL_8G170000 transcript:PVH34201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQLLPCPPPCEVLISDLVCFIYLREFGEASDGSKQILSPCAIRHHAALKGSSPGRHFWKEC >PAN42949 pep chromosome:PHallii_v3.1:8:38541763:38545446:1 gene:PAHAL_8G200800 transcript:PAN42949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGSSRRAWVVDVERTLDEADASVEVSRWQRHCIYRVPACIKDLKPKAYRPQVVSLGPFHHGDPELLPMEEHKRRALRHLLRRAKKSLEEFVAAAEEVADQMESAYMDLGDRWRGEEGRERFLEMMIVDGCFLLEVMRAAGLDGKNTGDYAPNDPIFSHHGVLYMVPYIRRDMLMLENQLPLLLLQKLVAVETAKPPNDDVINRMVLRFMSPMSRLPPAGSNLGLHPLDVRRRSMLYGPYQDSPRSRDIVPETDIIRSALELYEAGIRFRNSKTDSLHSIRFRHGVLTMPAVSVDDSTEYMFLNMMAFERLHVGAGNDVTAYIFFMDNIIDSAKDVALLSTSGIIQNAVGSDKAVAQLFNSISKDVVLEPDSELDAVHREVNAYCRKPWNLWRANLIHTYFRSPWAFMSLAAAVFLLVMTVMQTVYTVLPYYQQDQANSSSPVAPAPM >PVH34126 pep chromosome:PHallii_v3.1:8:27414593:27416562:-1 gene:PAHAL_8G152200 transcript:PVH34126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRMADIQALGDVGILNRFVRQVLLGREPGATLAECEIDLRGFSRVDGTCADLWIRHGSRCHVQVLQVRFYAEERVVLADWPLFSRRLVRLELHGIALRESFLDFSSCPVLEDLEITNCLLAADKILSGSLKLLKITGCELIWLFLPTHISARSLISLQLDDYVGVTPILESMPSLEKASVKLGQNNEDYCDYCDNGGSGECTCDMCFIDYNANVAGVVSVFFLEVCQVRHIWNWKLPLK >PAN41442 pep chromosome:PHallii_v3.1:8:3316867:3321884:-1 gene:PAHAL_8G044400 transcript:PAN41442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTAAVALNRRTRSKPPSVASSRRSNDPTGAAANGNGNGNGNGKVSPKPGCPNHAAGGRTVKKLRLSKALTIPDGTTVAEACRMMAVRRVDAVLLTDANDLLSGIVTDKDIATRVIAEGLRVEQTMVSKIMTRNPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLNIAKCLYDAISRLEKAAEQGNALAAAVEGVERQLGGNISSSQNLIETLREQMYKLSLSTIITENTKVATASPSDPVYVATQKMRDLRVNSVVITAGNSLQGIFTSKDVLMRVVAQNLSPELTLVEKVMTAHPDCATLDMTILDALHIMHDGKFLHIPVLDGDGRVVACLDVLQLTHAAISMFEGGPGTANDVANTMMQKFWDSALALEPADEDFDSHSELSLVTPSEAGGGRSSMYPPAVGNSFVFKLRDQNGRMHRFTCGSESLDELISSVMQRLGIGGEKSTVQLLYEDDEGDKVLLTTDSDLAGAVLNAKSSRLKALKLHVDDSKNKVTAQQLSELEPSHGSQLTDVHYGLMACGIALTGVAMMVFLKHSV >PVH33839 pep chromosome:PHallii_v3.1:8:6954678:6955603:1 gene:PAHAL_8G076800 transcript:PVH33839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHLAQIVSSGTKTSTGFKQVHLNGCARALKENMGYHVTGTQVGNHLRKWKKTYGKIQKLKNLSGALWDEETCTISLEREHYLAHIRIHRDDAKYLNCPIEHYHEMATIFGNSLATGAYAKGASDPLASEVTATANAPQEAKDGAETNEQGGANTNGAGSSGTKPPPAKKHKIAAVEDSNIAMVSIMSEGLGNLAAAIEKVVKEDDGIPAGLYDDMMSIPGFDEAHLDHYYAYLCEHPSLARCFYNMRLSSKMVWVARYIKEHL >PAN42141 pep chromosome:PHallii_v3.1:8:17150670:17160533:-1 gene:PAHAL_8G121100 transcript:PAN42141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSMDLNASPLPEDDEQQPYEEAVEVEYAQEERVESAVATMRREREERRRRLKREKQDDGSRLHSQQIRNDYVPQPKRPSRIKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVNKQRKAGRDIGLVIDLTNTTRYYSPAEWTKQGTKHVKIPCKGRDAVPDNESVNVFVYEAMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVSCVAEAISIFAQRRPPGIYKRDYIEALYKFYHEVPENMMIACPPTPEWKRPDDLDLNGEAKQDDDDDNGDLEPPNNESEVKVITNDDVLGDAVPYDQQEALRVLCYRLLEMPLVRGHTQFPGSHPVSLNSENLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHKSLEGLHDMTLVDGEMIIDTVPESGLKRRYLAYDLMALDAVSKTKLPFSERWRMLEDEIIRPRYHEKKQFESGAKSNPLYKYDMELFSVRRKDFWLLSTVMKLLKEFIPKLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPSMNSVDFLFELTNDNRQLVFLYERGKKKLMDGARIAFPDEIDPPSVAGRIVECSWNKEEQCWACMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIDEIRRLPMYADRIAQAHAKMAQHRRR >PAN43477 pep chromosome:PHallii_v3.1:8:42519881:42522370:1 gene:PAHAL_8G238600 transcript:PAN43477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKISMIIVVVDLDCHKCYNKIRKILCQLQDCERIRTISFDDKSKTITIVGPFDPHRLACKLRCKGGKVIRDIHIVDSGGGKPPPQKMAEASPPSPAPAKNGKPPKHKEKPPAAEPPPPPTPPPEPAPAPPPDMPPPSPAHQAPPDREMSAMVPAFVEEKQPRAKPAELEPPPMSPPRKERPMDFPSPMPASLPPPSQPCRPLEQAPVEYVIPTMEIPSWPAPPAGPCGCPCCAPCYQGYYEGCRCCCCGRMYAQPLPAPAGCGYRGCRTFSDEDPTAACSIM >PVH34176 pep chromosome:PHallii_v3.1:8:30292606:30293424:-1 gene:PAHAL_8G163800 transcript:PVH34176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIEGDIPWCMLFADDMVLVDESRAGVNMKLELWRHTLESRRFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCEEKVPQRLKGKFYRTAIRSAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHQLRWFGHVQRRPPEAPVRSGVLKRGDNVRRGRGRPRLTWDETVKRDLKEWNIAKELIMDRRAWRLAINVPDP >PAN43008 pep chromosome:PHallii_v3.1:8:38856039:38857067:-1 gene:PAHAL_8G203800 transcript:PAN43008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSARARALAVTTLVLRIITLGLLAASLAVIASLARTQYDVGHVDIIGDYDAFSYIVGVAPRELFGVNFQDLYTFRYVLSVAAIGCAYTLILIPLAIMSVVKGKRFGSTSAARILIFTDVVFCALFTSGGAAGLGLVVDNQRINGKYFDHGLRKFYTFFDTSCGLLLASAIYTVVIIKVSVYSK >PVH34436 pep chromosome:PHallii_v3.1:8:40374619:40376006:-1 gene:PAHAL_8G218000 transcript:PVH34436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQRRHPFRRLRRPRQRRSRPAGAGDPGPRPGVRGLGLLHGDQPWGARVSPGSGHGGVRGTARFTGGGEGTIHGGRADGPGTFGTGFYSADGSARRRRHYLKMFVHPELHCPAKPENLRDIAGELAARTRDLMLQLGVAISESLGVAGGRVSEALDLGSCFQMLVQNQYPACAGADDVGSIAPWGSPVTPTTASSRSSYRTA >PVH33789 pep chromosome:PHallii_v3.1:8:5436855:5437380:1 gene:PAHAL_8G066100 transcript:PVH33789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLFTSNKFKQDNSKAIDIPFWSGHKGACILRSYITQVTKLTIDKCAQVGINGIHKPCQPKIRNLRLIVIIDQYALGTNVPMKNAGIATVMKPCQSSSSPNGNF >PAN41920 pep chromosome:PHallii_v3.1:8:7192456:7200679:1 gene:PAHAL_8G079100 transcript:PAN41920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSFVSMVEAELPPGFRFHPRDDELIRDYLAPKVCGRAGFSGHRPPMVDVDLNKIEPWDLPRTALVGPREWYFFSLRDRKYATGQRTNRATASGYWKATGKDRAVEHQGMLVGMRKTLVFYKGRAPKGRKTEWVMHEYRVEGSHDQSSNFSKEDWVLCKVICKKKSGGGGSKSRSLATDVLGATSTTASSPPPATLMGITLAQVQAAMDTTSAGARVATLDQVYCFTSFKNCGRNKNVMDAAQRCYLPDGVTGNNAKGLPELGSCIDPTDRDKDLLKALLSDLGDEVVPSLPPEKAASFSSTLMMN >PVH33859 pep chromosome:PHallii_v3.1:8:7316785:7317435:-1 gene:PAHAL_8G080200 transcript:PVH33859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMGKVSSRKERRKYRENKTCRFEADHVDKAGQSKYLCGVMLCSGFCVYIRAFVYLHRSVLFFAVQFRIRVEWRADLLLVLCRTGDARRAGFYYF >PVH34466 pep chromosome:PHallii_v3.1:8:41258892:41259218:1 gene:PAHAL_8G226200 transcript:PVH34466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLLMKVLGCTFTLSRSLQRRDRFIVNGIHLIGVTKECLDEVRGDHGWETLLNDVTSFCAKHDIKVPSMDDIYEPVLRSKGFFRKVKNLHHYRVEIFTSVIDRHFKS >PVH33679 pep chromosome:PHallii_v3.1:8:3264303:3265261:-1 gene:PAHAL_8G043800 transcript:PVH33679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGEDAASKGSSAGGGGGGGGGRRRWSLRGMTALVTGGTRGIGRAVVEELAALGAAVHTCSRNEADLRARLAEWDATDGLRGTVMGSVCDVSTRDQRERLLRDVAARFGGRLDILVNNYTAEDYSFLMATNLESAYHLCQLAHPLLRASGSGSVVLVSSVCGVVAVRTGSVYAMTKGAMNQLARNLACEWAGDGIRTNAVAPWYIRTPLVEGDLSREEYVESILARTPARRVGEPEEISSLVAFLCMPCASYITGQTIAVDGGMTVHGLYLANE >PAN42765 pep chromosome:PHallii_v3.1:8:36674821:36676514:1 gene:PAHAL_8G188100 transcript:PAN42765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSAVSVKAGGFGTATATTPSWKGSRVSGRSLISMAMGATRSRLVSLRAPRFRVYAAKAETVSKVMDIVKQQLALGADAAAALTPESKFTDLGADSLDTVEIVMALEEEFKITVEEDNAQNITTIQEAADLIDKLVG >PAN43792 pep chromosome:PHallii_v3.1:8:44446041:44447219:-1 gene:PAHAL_8G264100 transcript:PAN43792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRELQHRGLRCGVLRCTGYGRAPNTLAEFALNQFNFAIQWRRRARRRQAWQRRSRTSWKRWRLGISGRRRRRRLGAFWSINFKKHCESFIIS >PVH33467 pep chromosome:PHallii_v3.1:8:580349:582401:-1 gene:PAHAL_8G007000 transcript:PVH33467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRIAVVLAVAAAVMVAARPSEAARYTTTASGTSDADALRFPGRPGARTRSPIFPGFPGARPSPPAYGFPGAPSTRPAPPAPVPSAPVFQPPCPKAPPSGFPVVPGFPGLPGSVGDSTPSSPTDCVTPLAGLMTCGTFLTGSESETPTPQSECCSGLGAFLNTSSAAGDGDRTLRCLCPVILGDVNKMLPKPVDPVRMMYLPIACGVVLPPQVLYICFTGQQTPPLVGRIPDVWEKPSAALSP >PAN43420 pep chromosome:PHallii_v3.1:8:42056212:42058194:1 gene:PAHAL_8G234700 transcript:PAN43420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSEMPISASSATTGDGGGGSTSTIAAETTSGWHELRVPGYATTKGGVGKFINSATFVVGGHSWYIRYYPDGNNEKSAGWVSVYLYLDLPAAGGDGDVKARYKLSLIDDDDAGEDLSSYTRTSAGYSFWGPGKPRGYHQFIKGTDMESSLKGHGFRIRCDVTVMKETCVDTTVVAGSLAVPPPELHRHLGALLDSEVGGDLTFDVGGEHLTAHRYVLAARSPVLMAELFGPMKENTTGSIRISDVEPRVFKAMLRFVYTDSLPEVDDEDSKVGMAQHLLVVADRYGLPRLMVMCEATLLEHIDTSVVATTLTLAEQHGCHGLKEGCFWFMRTPGNTKAVMASEGFQHLRTSCPFLIEEMLAKLAS >PVH34357 pep chromosome:PHallii_v3.1:8:39001897:39002808:1 gene:PAHAL_8G205300 transcript:PVH34357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVEVARLDSPAPPARSKAMQRFLVVLNIVLLGVGTTAGQLVARLYYTKGGSRKWLSAWLQTGGWPLALIPLAASYAGRRARDRAAPVVLTPPRILLATAGLGLVLGADDFRYAYGLEFLPVSTSAILFATQLVFTVLFAFIIVRQRLTAATVNAVMLLTVGTVVLGLHVSSDRPPGVTKAKYWLGFALTLGAALLYGP >PVH33470 pep chromosome:PHallii_v3.1:8:658424:658960:1 gene:PAHAL_8G008100 transcript:PVH33470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKFGRLGDARRLFDEMPIKNAVSWNALVGAHGASGDLQGAARVSLATPARNISWWNTEIMRNVRLWDMAEAARIFREMPERDAVSWNSMIGGYAKLGMYDRALDVFREMQENGMEPTELTVVSVLGSCAEMGELELGRRIHNYLESKGIAADGYVGNALIDMYAKCGNLKLARQVF >PAN41978 pep chromosome:PHallii_v3.1:8:16082156:16083463:-1 gene:PAHAL_8G114600 transcript:PAN41978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGVASSMFAMALLLGIIASAPQSVDSIGVCYGMSGSNLPPASTVVGMFKSNGIKAMRLYAPDKAALQALNGTSIGVLVGAPNDVLSNLSSRKAAASWVRDNIQAYPSVMFRYVAVGNEVAGKPADLLAPAMENVHAALAAAKLGHIQVTTSVSQAIVGVFNQPSAANFTKEAQGFMRPVLQFLARTGAPLMANIYPYFTYAYNPGDMDIRYALFTAPATVVKDGKYNYQNLFDTTIDAFYEAMSKLGVSNVSVLVSETGWPSGGGKAATPANAKVYNENLIKHIRGGTPLHPDAIKTYLFSMFNENQKAKGVERNWGLFYPNMKPVYPISLEVTPDPDSPAPAPDPESPAAAPDSY >PAN41047 pep chromosome:PHallii_v3.1:8:397700:402479:1 gene:PAHAL_8G003500 transcript:PAN41047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPADQTGCVRLYCVLQKEKKEGRCMRESGDGNYALVWMCIYSSILYRRWQVGRQGKAAMPMEEEEEEEEGRRRSGGGGGEQRTMEGEEEAWRRWAVLVATVWIQALTGTNFDFSAYSSALKSSLGISQEALNYLATASDLGKAFGWSSGLALLYIPLHAVLLVAAVLGLAAYALQYGCLVSGNLAAIPYPMVFLVCLIAGCSICWFNTVCFVLCIRSFSASNRPLALSLSISFNGLSAAFYTLFANALSPLSPSVYLLLNAMLPFAVSILALPAILLCHKQESQHHSTPNHDRRVFLGLYILAFVTGSYLVVFGSSTATRSAAWVILTGAMVLLALPLIIPACSSCSYVDTHGHDDPHKPLLISNNHQAESNAVMEKTMEHQPQGSCGTLLHKGRLVVLGEEHSAKRLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSQLTMLLAVYSSFSFFGRLLSALPDVLHRKVSLARTGWLAAALVPMPMAFFLMWKQQDGSTLIVGTALVGLSSGFIFAAAVSVTSELFGPKSVGVNHNILITNIPLGSFLYGQIAAMVYDANGQRMSVMDNRTGTIDTMIMCMGVKCYSTTFFVWGCITLLGLASSIVLFKRTKPAYAATASRSSCKHQVSS >PAN41968 pep chromosome:PHallii_v3.1:8:15994755:15996136:-1 gene:PAHAL_8G113500 transcript:PAN41968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIALLALMVSFLLAASPAAHGHVERRSFRATMIRRTETAAINFTQAARQSHHRLSMLASRLNTASSHVTGQTPLRMDGDGGAYDMEFSIGTPRQSLTALADTGSDLIWTKCGACASCAPQGSPSYYPDRSSTFSKLPCSDRLCGALKSEKLATCPASGAECDYTYSYGLEASDTFTQGYLASETITLGGAAMPGVGFGCTNVSEGEYGRSSSGLVGLGRGPLSLVSQLEAGAFSYCLTSDTSKASPLLFGSAASLLGNGVQSTGLLTSTSSTFYTVNLERISIGSSTTPGTNDGVVFDSGTTLTFLSEPAYSQAKAEVLSQTNLARAADRDGFEACFDQYSGGDPAAAVPAMVLHFDGGADMALQVRNYFVDVGDGVACWVVQRSPSLSIIGNIMQMDFHVRYDVDNSVLSFQPADCESLQGNAASASAPLLVE >PAN43022 pep chromosome:PHallii_v3.1:8:38954223:38954901:1 gene:PAHAL_8G204900 transcript:PAN43022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIMYLTAAVFLALSIMSTTIPSSEAGGRCSKGRCLPPPPRPLPPPASFRCFQIRRDEPACKRPKDCENLCAKNDYPKEHAYCRSRRECCCKHPVAQ >PVH34211 pep chromosome:PHallii_v3.1:8:33330696:33331403:-1 gene:PAHAL_8G172900 transcript:PVH34211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDPISYEELSADHKQRYDEIKAKFEADLIGSFERTRNHGIRWRGFSPEGALDGVDLSTPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSLTGPALGSRKGELPFQTRPPLPYALAAAESHGAPAYVVYKVGGDPTDHQFFSEPPKEIPHGYMCAYIPDSSNPIHLSQKVAGGVPGADADKQAWLATYATGPSHDSVHSAPGLQTAEQIGAILRD >PVH33867 pep chromosome:PHallii_v3.1:8:7416203:7417063:-1 gene:PAHAL_8G080800 transcript:PVH33867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSCEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGTLFRNTQVPPENPESSAAAAKENAAAQPSTDGNPEDGDQGSLTLSVPEEGLPRK >PVH34131 pep chromosome:PHallii_v3.1:8:27653902:27655047:-1 gene:PAHAL_8G153000 transcript:PVH34131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRHCFEALDRTLRDILSEEKPANAIVPFGGKPVVLGGDFRQILPVVRKGSRSAIVNASITSSKLWQHVSVLKLHTNMRLHNPSLDATQRAEIESFGKWILSIGDGTIAAEQRGEEREASWITIPDDLLVHTDGDKTAALVAEVFPDFIMNYKNPEYLAARAIVCPNNQDADDINDYIVKLVPGDDVQYLSCDTISKSTEHIPDFDVLYPTEFLNSINTNNFPIHKLVLKKGVIVMLLRNLNQTMGLCNGTRLLVTQLGQRVLCCTILTGCRVGEEVFIPRIALNTTDVKWPFTLQRRQFPVRICYAMTINKSQGQTLSTVGLCLKKLVFTHGQLYVAVSRSTSRSGLRILIENHNGSCGSQTRNVVYREVLDAANTASA >PAN42371 pep chromosome:PHallii_v3.1:8:15343456:15344070:1 gene:PAHAL_8G109800 transcript:PAN42371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLPSVFVAATSLLAAIVYIRSNDTAGSTTTTHLHFFMHDDYTGPRPTAARVVSGRSLLATASSSDGGDIDSNATAAGSALLSRLLSSPRQFGDVVVLNNALTEGPDGGSGRVGTAQGFAVRVSEGGIVSHLTMHMVLEAGEHGGSSVTANGRIDMDAKVRESVVIGGTGKFRFARGYMLTRNYDYDLARGGVVEIDVYVRH >PAN42129 pep chromosome:PHallii_v3.1:8:17382010:17384117:-1 gene:PAHAL_8G122900 transcript:PAN42129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDADSTTTTAMAAALAIAAALLVSLPVLYSLLLDKTSRKKKKAVPPGSFGLPVVGHTLTLLRALRANTAEDWLSRRVAAYGPVSRLSLFRHPTAFLVGPSANKFVFTSPALTTMNSEAFSRMVGRRTVRDVAGDEHTRVRAMMVQFLKLDAVKRHVAAMEAEVRRHLDAHWRGRAAVAVMPSMKALTFDVMSTVLFGMGRDSAVRRELSAEFQQLVQGIWAVPLDLPFTRFSRCLAASRRGRRAVAGVIGERRAKLERGESSPADDIVTHMLCKGLPDEEITDNVMFLMVAAHDTTAALITFLLRHLDANKDAYAKVLQEQQEIARSKAPGEALSWEDLCRMRYTWAAAMETLRMVPPPFSMLRRALDDVEYGGYLIPKGWQVMNATTMTHWDPAIFPDPGRFDPARFEDPSAIPPYGFVPFGGGARICPGNEFAKVETLVAVHHIVTRFRWKLAAGCDGSFSRSPMPYPSQGLLVDIEPIH >PVH33997 pep chromosome:PHallii_v3.1:8:16692827:16693703:1 gene:PAHAL_8G117000 transcript:PVH33997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDTVTASPGSPATVVPVARGTTPLPGDPTTRFGDMYVVDDPLTEGPGVASPAVGRAQGFYLFAAQHELAVMHCLTMVFTAGGHNGSYIVVQARDAVLDKVRELPLPVVGGAGRFRSATGYGLLRTHSFNPSNKNAVLRIDMYLSV >PVH34605 pep chromosome:PHallii_v3.1:8:43915607:43923576:1 gene:PAHAL_8G257100 transcript:PVH34605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANIFPIDNIQSSPCSLTTFSSSNKKPATPPVNLSQQLCLMATILESLVGSCAKKLQDVISEEAILILGVNEELTELQRRMEQIRHFVNDAEQRSTKESAVNNWLNQLRDAMYDADDVIDLARSKGSKLLPDHSLSLSSKSNTCTGLSLSSCFSNIQTRHEVAVKIRSLNKRIDNISKDEVFSSLASRQSTEKVSASKHIRSSNLVEPNLVGKEVRHACRKLVDLLLEHKDKRSYKIAIVGTGGVGKTTLAQKIYNDKKINGCFDKQAWVCVSKDYFEVNILKEILRKFEVQYMQDESIDELQSRLKLAIQEKSFFLVLDDAWQSDIWENLLSTPLHAAATGIILLTSRLDTVAVEIGVDHTHRVDLMSVDVGWELLWKSMGINQEKEVQNLRDLGIDIVRRCGCLPLAIKVVARVLSRKEQTENEWNKFSRKDAWSVSKLEIPSALYISYEELPLCLKPCFLYCAMFPEDAVIYRDDIIRMWVAEGFIDELGGQLLEDTAEEYYYELICRNLLQPDYLAVDLGRCRVHDLLRQLACHLSREECFVGDPESIRVNVMSKFRRILAVTEKDIVVLPSMGKDQYKVRTWRTSYEKSLRVDNTIFRRLPYIRVLDLTGSVIQSIPNCVGRLIHLRLLDLDGTDISCLPESICCLINLQILNLQRCHALHTLPLGITRLCNLRRLGLAGTPINQVPKGIAKLKFLNDLAGFPVGGGSDNCARAQDGWSLEELGPLFELRKLCMKKLERASPCSTDSLLLDKKFLKLLSLCCTERTDEPYSERDIINIERAFEKLIPPQSIEDIHILHFFGRRFPTWLDTATYFPSLTYLKLVDCKSCVHLPPIGQLPNLKYLKIEGATAVTKIGPEFVGYGVGNPGSAEAVAFPKLETLLIKHMPNWEEWTFVVEEEEEATAAGKEGGEDGAAAEQKWEAPPPRLQLLPRLKKLFLEWCPKLRALPRQLGLEATSLKELQLGDVDSIKVVENLPFLSEVLVILGCRGLVRVSNIPKMRELRVSHCPNLRRVEELCNLEQLWLDEGMESLSSYWVPGLKEQRQKLPGETLDIYTWPRT >PVH33985 pep chromosome:PHallii_v3.1:8:16058846:16059088:-1 gene:PAHAL_8G114200 transcript:PVH33985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTKSGARGVRFEGAERGGPKGLLAVAADIFSVAPSLLVVDDKKDGGDTLEYRSFCSDELRPALKDIIWAADPAPAAVV >PVH33722 pep chromosome:PHallii_v3.1:8:4218192:4219591:-1 gene:PAHAL_8G054500 transcript:PVH33722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETPGCRWSTRSAGGSASHHTSMANSETEVPGHRGRRGSKRSSSSSGKGSPSSLPTWLILNRVGARRDDFRGDRTTSAISHTSSGEELAVSFHLAEPPGTSVLTLDWPQGPAPIEPKVVAADKNLVLFEIQLVPAADLLDYFVYEASGDGDPSQRSSLSPLPVFFSKRDSLEGRPMQHLFFMESTGILSLGKGSFVVAELDQRDREEQPSSKVDIYLFVSGSDKWKVLKRHRVRCGNGDFLELSRCRRWSTDRVLPFRRRFMVWVDYYRGMIFMDMEHPWSIHGHGASMQLEGSLMTGTYNNMCATSSGIRFVTVNHQPWSTAFSITTWSLCDDDYTWREEATLDAGELWALDPENRLPDVLPEFPAVNMENPDAICFSLNDISHPFDYGCKIWMVEVDMKKKVLLAVTAYSKEQSLPGDGDTIKSARIRSQGYSFISSELPRYLYGGEGYKKRRQ >PAN41294 pep chromosome:PHallii_v3.1:8:2418748:2419467:-1 gene:PAHAL_8G033500 transcript:PAN41294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHQRSTSLPSFPYSTELNVQLELQILKAHISSPSATIGTACDGLRRLGDVYGCIEEMMCLPSSQALTRQRKMVEEELEKSLVLIDLCNATQESLAELKTSVQELRLVLKRRDNAAAQLKIESFVRLAKKAQKPVKKTTSKATAEGCSCRLVKLLAEGRETAVSLLESTSLLLPKQIGSPIASKWSLVSMKFQKTKVFCEERQLQALERSIGDLEDGVEFLFRRLIQSRVAVLNILSS >PVH34363 pep chromosome:PHallii_v3.1:8:39141882:39143775:-1 gene:PAHAL_8G206300 transcript:PVH34363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLLLAVSFCPPSSWPLSPWFACCSSFLPALPARLVDPYLSLPVPCFPLIDSVADWLRGRGGAAMAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNIAVGPKEDRQLMTGLHTVADIYCHDCREVLGWKYERAYEESQRYKEGKFIFEKAKIVKENW >PAN43483 pep chromosome:PHallii_v3.1:8:42533446:42534612:-1 gene:PAHAL_8G238900 transcript:PAN43483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1-like 4 [Source:Projected from Arabidopsis thaliana (AT5G15600) UniProtKB/Swiss-Prot;Acc:Q9LF22] MGRGRGVSYGGGQSSLNYLFGGGGDDAPAPRAKPPPVAAEQRAAQPAAAASDNEKLKGIPAGARSSQTNNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGK >PVH33545 pep chromosome:PHallii_v3.1:8:1413813:1415189:1 gene:PAHAL_8G020400 transcript:PVH33545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRVCSSSQVMKIEDHADTNHRESQRGNGGGLLVLLLTEERRVGISVAAVETWVLLEPLVPEQLPGPAHHLPVAQRRRVRRQHGLHRAAERAARLAAVGRLVVRRHVRGRLVRLAPAQQDAAVGAGSAVVVALLVVELGAGVGAEEREEVVGDVVGRVDARGAGEVADDGGVREEAGADGARGGGSWRGSTIGDGGADLLLLLVDEAAAVARVRDHGEGRALRHPVHELPEIHVRDEVEVAWHDGLVVLARVVAVGAAVRDERAVAAVVEEERVPGRGAGHHVGERALDVGAGREHAAAVVVGEHGDVGGREAEAGDEGVAHGEDVVDAASQLVGRAGVVAADQGSKHLLLPRLHC >PVH33800 pep chromosome:PHallii_v3.1:8:5724631:5725037:1 gene:PAHAL_8G067800 transcript:PVH33800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTSQQKGHDAAIQQPMGTGLGLWVLDTTRQQISVCASVCAPCPQQCPT >PAN43102 pep chromosome:PHallii_v3.1:8:39570553:39574714:-1 gene:PAHAL_8G211000 transcript:PAN43102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAEAEEEVRLELEAVAAVYGDDVRVLRDLPPHLVVHVRPRTADDSSQQFVELLLGIKASSQYPKEPPHIYAVESKGLDENRQSYLISSIQNKAKELSNYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLVGEDKDGSELPFMKLMSCYHCFHSNCIMRWWEWLQHGDANPKEHNTGGKHYNVNQHKGFCPVCRKVFDEKDIEHVHDLLGESTSQLASLTLDLGEDEKKLLHSEAEQIRRKRIESLFNLQQERNGLIEPKKDLAIQPGMYVTLPPSTPDTAAGENVDPSEDTTTSTSETEQRSQANNTTSNKPKNSGYRRRNRANASRRQPHGQPGRQQWQRKEADTSHH >PVH34417 pep chromosome:PHallii_v3.1:8:40085445:40086796:1 gene:PAHAL_8G215600 transcript:PVH34417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPGQGRRSRPELQRAAAVRGCGEPRPQRTTACSVRGWQRRRRAAASGARSWRHRLRGNRASRGAGRVWRRRRRAAARTAPAAGGIGGAGPRRAAGSGASGGAGGVERGTAGRVWRRRRGARASRARSARCSGSGPRREAAALALAVRGRRRA >PAN41405 pep chromosome:PHallii_v3.1:8:2998039:3000879:1 gene:PAHAL_8G041400 transcript:PAN41405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAQDPFYIVKDEIQESIDKVQDSFHQWKQTPENTGEYVHLTKELLTSCESIQWQVDELDKAISVAERDPAFYGLNEAEIGRRRSWTSTARNQVLSLRRNVEAGRKKILFGHSTNPSESIRSKKHISQDNDEFIASESDQQMLLIKRQDEELDALSASVQRIGGVGLTIHDELVGQEKLLGELNLDMETTSNRLDFVQKRVAMVMKKASLKGQIMMIAFLVILFIILFVLVFLT >PAN41406 pep chromosome:PHallii_v3.1:8:2998245:3000879:1 gene:PAHAL_8G041400 transcript:PAN41406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAQDPFYIVKDEIQESLCLAGCESGEPILLQGGLFMMSDYYLHLYHLDSFGLVQVLVYASAFMHSDLLTDVGNADMLIVHEKIFEVLAFHQSIQIDKVQDSFHQWKQTPENTGEYVHLTKELLTSCESIQWQVDELDKAISVAERDPAFYGLNEAEIGRRRSWTSTARNQVLSLRRNVEAGRKKILFGHSTNPSESIRSKKHISQDNDEFIASESDQQMLLIKRQDEELDALSASVQRIGGVGLTIHDELVGQEKLLGELNLDMETTSNRLDFVQKRVAMVMKKASLKGQIMMIAFLVILFIILFVLVFLT >PVH33661 pep chromosome:PHallii_v3.1:8:2998544:3000879:1 gene:PAHAL_8G041400 transcript:PVH33661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGIYGLFGRCVQLCLAGCESGEPILLQGGLFMMSDYYLHLYHLDSFGLVQVLVYASAFMHSDLLTDVGNADMLIVHEKIFEVLAFHQSIQIDKVQDSFHQWKQTPENTGEYVHLTKELLTSCESIQWQVDELDKAISVAERDPAFYGLNEAEIGRRRSWTSTARNQVLSLRRNVEAGRKKILFGHSTNPSESIRSKKHISQDNDEFIASESDQQMLLIKRQDEELDALSASVQRIGGVGLTIHDELVGQEKLLGELNLDMETTSNRLDFVQKRVAMVMKKASLKGQIMMIAFLVILFIILFVLVFLT >PAN41497 pep chromosome:PHallii_v3.1:8:3581450:3582340:1 gene:PAHAL_8G047700 transcript:PAN41497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRFGFNLPPANKFDPTDADIVAHYLLPRAVGFPNPHGHAIIDADPCSCPPWEFMRRHGHADSDHAFFFGRPRGPEPRKRAARAVDPGEDGVGGTWDGQRSEATRFVLSRGGGAGPGAARLEVTYKRHSLSYYHGPQKSKTSGWVMHDYQIVDPPHLSGTVLYRVRITDRRKQQQQQQEADAVTVAGHQVVPPGPDQPGPSNYCEVQEYGGLVGDTGGCYAGGGRGGNNNYLHDGGVIGETGGYDYVGDGSSHYLNQDLSCYMYHGDGSGGDDDYFFNVGDDNSFECPDGGNGG >PAN43581 pep chromosome:PHallii_v3.1:8:43201951:43202683:1 gene:PAHAL_8G247900 transcript:PAN43581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAKFRYMAGKIVLVLGLLLLLFSFAGAGQAVAAPQGELPQCNPLVGPPDVSPDCDTWCSYGGHPGGYVKGDVCCCNAGAGLAAADS >PVH34518 pep chromosome:PHallii_v3.1:8:42213486:42215229:1 gene:PAHAL_8G236700 transcript:PVH34518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPQHHTGQAQSGSPGSGSASGTSLQLLITHTSRRLCVLLQRRIGELNEIGLYSFACLPLPWCQCERERGASKQEERVRDGRRQLLRHGERRRRSGGREADPDVPQELRAGAEPAGPEPAAHQRDQPEPRVPGARQPHPQRRPHPGAQQQHPPRRRPLRRPLRLLRPQHGRLLRRRLLRHAPLLRRPRRPEARPPRLALLVLAALFPHCE >PVH33530 pep chromosome:PHallii_v3.1:8:1327220:1328146:1 gene:PAHAL_8G018800 transcript:PVH33530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFLLGFGSSLLVLARMNILSCVSTPASQMEFKCNSKRKNLQCSQTHQFGYQLVAGCHCSRMYRTS >PVH33529 pep chromosome:PHallii_v3.1:8:1327220:1327423:1 gene:PAHAL_8G018800 transcript:PVH33529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFLLGFGSSLLVLARMNILSCVSTPASQMEFKCNSKRKNLQCSQTHQFGYQLVAGCHYSRIYRTS >PAN43199 pep chromosome:PHallii_v3.1:8:40508066:40511670:-1 gene:PAHAL_8G219300 transcript:PAN43199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVQQQAEPAGAAGSPTVIRNARHRPSTLKLCYHLAVSNSLYLLLAPFAAALAHRLSRHPLPDLAAAARSALTANPPLAVALLGLAAVLATVYLARRPRAVYLLDFACYKPGPEHVVTRETFMRQSAAAGVFTDENLAFQRKILERSGLGQGTYFPRAVLNSPPNPCMAEARAEAEAVMFGAIDQVLAKTGVRARDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYWGNNRSMLMSNCLFRMGGAAVLLTNRGGAARRRAKYQLVHTVRTHQGADDRAYRCVFQEEDASGRVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQILFLASLVGRRVFGLRSLRPYLPDFKLAFEHFCIHAGGRAVLDTIEGSLGLSAWHMEPSRMTLYRWGNTSSSSLWYELAYTEAQGRVRRGHRAWQIAFGSGFKCNSAVWRALRDVDPAEEVGNPWVEEIHRFPVEVPKVESVVAAPAS >PVH34040 pep chromosome:PHallii_v3.1:8:17312841:17317464:-1 gene:PAHAL_8G122500 transcript:PVH34040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPLRLISPSSSPRPSRDPSPRPVSPLHAWLPRRRRQFPAVRCASLSPPPPSLDLPLLPFQPAEVLIPSESKTLHLYEARYIALLEEALYKRKKSFVHFVLDPVVDSSTKASFAVRYGCLVQIESVQKLEIGALISIRGVCRVNISNLLDMEPYFRGTVSPLMDDPYEGIELGTKISKLRESMCNLHRLQMKLKVPEDEPLQTNIRASLLWSDKEIFEGYNESFIPCLPERLSFAAYQTVSGMSDAELLTLQKYKIQAMDSTDTLDRVNNGVEYVEHNIRMIAARLAIQNI >PAN42533 pep chromosome:PHallii_v3.1:8:30114597:30115471:-1 gene:PAHAL_8G162400 transcript:PAN42533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLWTILTHLHSLAGPTVILLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMVLESLIYWIPIWYELKLLFIAWLVLPNFRGAAFIYDKFVREQLRKHGLTAGGGSSGKSKKDDKSPSSSPKDKEKPMSKFLAFVTPKKDHEAY >PAN42965 pep chromosome:PHallii_v3.1:8:38628033:38631919:1 gene:PAHAL_8G201700 transcript:PAN42965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVKRYSSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSNGSDPENDESRSSKMAIRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQGEPLPGDETVPESNHSNENITQSAQPPVEAPPPAAAPGASKVEEANTDHQSDWPMPDATIANIGVGPSGFGHLTVQVDEDEDYDNED >PVH34349 pep chromosome:PHallii_v3.1:8:38627466:38631919:1 gene:PAHAL_8G201700 transcript:PVH34349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAVKAGRRQKKKRHPSRPKKSRKKLRERERVDYDSVSVRRAGGEAAAAAAAAAKMRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVKRYSSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSNGSDPENDESRSSKMAIRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQGEPLPGDETVPESNHSNENITQSAQPPVEAPPPAAAPGASKVEEANTDHQSDWPMPDATIANIGVGPSGFGHLTVQVDEDEDYDNED >PVH33645 pep chromosome:PHallii_v3.1:8:2771325:2775297:1 gene:PAHAL_8G037900 transcript:PVH33645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITPVSQVRPGRLNYSLHVRISRMWEFRSTNEQNDIKHLDLVLIDQKGSSIYAEIPPEVIADLKPHLQERKIVYMSKITIEPPKLAYRVVDNPYMVKLNKRTVVVEDKDEVPGFPKYTFSLIPLDKLEQYKNKTDRFIDVIAKIRTVTNATKVTTASGDQQMRRVILLEDLKANTIESSLSGKRALEFDGDQVIHVGQHHHVIAIFVGTLVKLYKGHYPFLSGTSACRWYINENDIAEIKVFQKSLPSDPIRVQKTYLQIDADAAQKFEDRTLQELKHVDPFLDMGQRYQCTATIIGIIENQTWCYRACKICNSRMIQKENNYECAKEGCPSTQFEWKYKIPFIASDHTYKLEFMFFEKKGMELIGKSASTLIKQYKPKEIPPEISAWIGYKFTFIVRVLSKKSVNAADPSFEVLMIKERFGKEPIISFTSSNEGVLPESSSSFITEFKDLPLLIPITSKDTKERVQDAGETQDMEIEPFGICEEAQSSNKRSFGELDNHNQEINNNNEDPSEDNTTKRMRSQEKSKN >PVH33695 pep chromosome:PHallii_v3.1:8:3591158:3591883:1 gene:PAHAL_8G048000 transcript:PVH33695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLVSCQNISHRHRILSEPRASQMENQEANPPQGNRVAQPHQGPEKNPLFSQPPSPIQAQLFTSSSVQAQSSATPLTTIPGFAAVSAAGPRPRRRRAPPGPGGTCAAGPRGARRPPPPLPAAAARFRGSRLPSSPPPEAASSGGGGSGRRRRRRRAAAGRGAAPAAGPAAGTAPAATTTRGRPPPWVNHRASSTAAAGTPAGTRPCRGGPGSDSEGGGGGGCRADKGREEGDRLCPGCSL >PVH33696 pep chromosome:PHallii_v3.1:8:3590499:3591931:1 gene:PAHAL_8G048000 transcript:PVH33696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFVPGSALRNFVQISCTNNGEVISRAANFSMKRMLLLWHAAVVKCRVSKKTEERSSRYRIQSVRHRILSEPRASQMENQEANPPQGNRVAQPHQGPEKNPLFSQPPSPIQAQLFTSSSVQAQSSATPLTTIPGFAAVSAAGPRPRRRRAPPGPGGTCAAGPRGARRPPPPLPAAAARFRGSRLPSSPPPEAASSGGGGSGRRRRRRRAAAGRGAAPAAGPAAGTAPAATTTRGRPPPWVNHRASSTAAAGTPAGTRPCRGGPGSDSEGGGGGGCRADKGREEGDRLCPGCSL >PAN42813 pep chromosome:PHallii_v3.1:8:37025329:37028308:1 gene:PAHAL_8G189600 transcript:PAN42813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRLVGEARRRAAPVMAGGERWLSAAAEANRGRLEGKIALVTGGASGLGKAAAHEFIQEGAQAVILADINSELGPETARELGPKAHFVPCDVAVEDSVAAAVDAAVARHGRLDVMLNSAGVVGPLTPGTSQLACLDFAQFDAVMSVNVRGTLAGIKHATRVMAPPAGAGGGSILCMASISGVLGGLGTYPYSVSKFAIAGIVKAAAAELSRLGVRVNCISPYAVPTPMVVDQFSALLGRAADEAQVAAIIRGLGELRGATCEAVDIARAAVYLASDDAKYVSGHNLVVDGGFTSYKNMNLPFPTKPQE >PVH34402 pep chromosome:PHallii_v3.1:8:39847685:39854226:1 gene:PAHAL_8G213300 transcript:PVH34402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQKPKRKSKAPRPRPPPSHPRPAPPPNLFEGGYNIAELYREREDCDDKVALTYATFCWNRKLELSGKKGMEVYDICYFHKGKVESFDKERLEDHCMKDHGGCFLC >PVH33476 pep chromosome:PHallii_v3.1:8:702412:704587:-1 gene:PAHAL_8G009300 transcript:PVH33476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSVPAYLSDLGSSHRAVRTQQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTSDPPLFSVTYFNHHTCSTSSNPMGSTRDTAAQSSSRKAVSICFSPHTASEQPAFLTSSAMPVSPNVHSFGANQQPERSPYASQFQWTDASSPSTSNSQVQMEVDSFSAASASSSSRVALPRTLLPIGQSRCIEYFHFL >PVH33475 pep chromosome:PHallii_v3.1:8:701994:704778:-1 gene:PAHAL_8G009300 transcript:PVH33475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSVPAYLSDLGSSHRAVRTQQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRCTYKNDMKCPATKQVQQKDTSDPPLFSVTYFNHHTCSTSSNPMGSTRDTAAQSSSRKAVSICFSPHTASEQPAFLTSSAMPVSPNVHSFGANQQPERSPYASQFQWTDASSPSTSNSQVQMEVDSFSAASASSSSRVALPRTLLPIGQSRCIEYFHFL >PAN42922 pep chromosome:PHallii_v3.1:8:38702706:38708613:1 gene:PAHAL_8G202200 transcript:PAN42922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTDIEIPEFNVSYKPQKISPKFQNTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >PVH34122 pep chromosome:PHallii_v3.1:8:26455966:26456387:-1 gene:PAHAL_8G149600 transcript:PVH34122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAPTTAISLSVLKCYICNMSYVIKNNVCLVMIYSNQFTSVPCSYKRVAYHMSNNRRSALN >PAN43082 pep chromosome:PHallii_v3.1:8:39415677:39418550:1 gene:PAHAL_8G209300 transcript:PAN43082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALPGTGMPSSNIQKSAPDRTSALPDDLLLHVMYFLTIQEAVQTGLLSRGWQNLWSSLMWLNFDAAKFSSMRTYRKFVNNALLCRSSLPEPVPLDAFWIYAVCDNSDDSLDYSDIHPWIRHAINNEAWALGIMKHSGPKPLSMEGYPFPFSSVYLKILGLSHCSIDDWFVQNLSSCCPVLDDQDLMSCAIHITMFSSTKLKSLTITSTQLTRDHPYLSSCCH >PVH34432 pep chromosome:PHallii_v3.1:8:40318028:40321238:-1 gene:PAHAL_8G217600 transcript:PVH34432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMPKPQDADVEAAGPAADAAGKAAPAAAEEAAKPTRKVAEEADPRLRWAFVRKVYAILSLQFALTAAVSVAACLVRAVPRFFATGSPAAVWPVFIGILVSPLIVMFPMLKYREKHPTNLVLLGVFTLCCSLSIAMTTSTSLGRVVLQSAILTAVAVLGLTLFTFWAVKKGYDFTFMFPFLFTCVNVLLVYLVIQIFFPLGRFGITIYGFLATLVFSGFIVYDTHMLLKRHTYNEYVVAAISLYLDVINLFMAQMSLSFQ >PVH34229 pep chromosome:PHallii_v3.1:8:34612041:34612775:1 gene:PAHAL_8G177200 transcript:PVH34229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWASGVCHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDIMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGQEEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKLTQELEEQRTRAANAEYSSAALQAQMQEYESRKGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH33592 pep chromosome:PHallii_v3.1:8:2026479:2027777:1 gene:PAHAL_8G028600 transcript:PVH33592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKRHHGHLFILHDQLDFPSVQNRSREQDCCRDCCLHICTDLDHRVFEAESLEVSSKKNCCKTY >PVH34291 pep chromosome:PHallii_v3.1:8:36648026:36648641:1 gene:PAHAL_8G187700 transcript:PVH34291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALLRISEAPAARRQEQALGARTTRATTRRPTAACGASSGVSSTEGSACSGGPRHAATWAPKSGASRGVSSRPVSAASGTRLMVSLPSLMARPSTASGSRLSTRRRPSWSVSERGVMSWSGC >PVH34239 pep chromosome:PHallii_v3.1:8:35055641:35057632:-1 gene:PAHAL_8G178900 transcript:PVH34239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRINGNFIDKTSSIVANILLQIIPTTSGEKRAFTYYRDALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >PAN42333 pep chromosome:PHallii_v3.1:8:14416852:14419366:1 gene:PAHAL_8G106000 transcript:PAN42333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTTNTSDGAKSSSCPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLNAHRAHGNKWALIARLFPGRTDNAVKNHWHVVMARRSRERSRLLARATASSSSSPSSAYHSFGTGLPATSSLCFGFSKLGGGGLFSSPAAAPPTSLFKRFGTATGSKGLLGPSYEAARYSYSGNKQPAPPVSITFSSPREALAMDIGHHHGRHEHRQKDYHASDGEEPLKRKDVPFIDFLGVGVSS >PVH34215 pep chromosome:PHallii_v3.1:8:33615998:33616858:-1 gene:PAHAL_8G174000 transcript:PVH34215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLIDTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLAIRKALRYLCRIFEEHLAPAPAKFFPPATRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSETPVPAIGEDHVLLGTPIIGWGPLFGNTHTPPGNPESSAAAVEEDAAAQPLIDENPGNGERGSLTLPTPEEGTTRE >PVH34490 pep chromosome:PHallii_v3.1:8:41781160:41781789:-1 gene:PAHAL_8G231100 transcript:PVH34490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFALLALLALSVSAATAVIAPQCSVATAAATIPQYLSPVTTTGYEHPIVQSYRLQQALAASIVPSLAMFLPQQSAIIQQQSLAHLTVQSIVAQRQQLLLQLNQQAVANPIAYLQQQQLLPFNQLAVANPAALLQQQQLLQFNPLVVANTASFTQQQQQQLLAFNPLALTNPAAFWQQPNIVSTLF >PAN42831 pep chromosome:PHallii_v3.1:8:37170901:37173185:-1 gene:PAHAL_8G190700 transcript:PAN42831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTTADIVSTNGAATRSRASMVTEKEDMKKLEFIEEMTTNVDAVQEHVLVEILDRNGGSEYLEKCGLAAATDRTTFRAKVPMVTYEDLKPYVMRVANGDRSPILSGPSHPISEFLLSSGTSGGEPKLIPTVEEELDRRQLLYSLLMPVMNKFVPGLQKGTGLYFLFVRSETKTPSGLPARPVLTSYYKSDYFKKHASNTCTSPLAAILCADVFQSMYAQMVCGLCQRHQVVRVGTVFASGLLRAIQFLQQHWEQLAANIEHGELSPCVSDPSVRAAVAGILQPDPKLSQLIRTECSNGDWAGIIVRLWPNTMYLDTIVTGSMAQYVTILSYYGGDLPIASTKYVSSECPMGLNLHPMCDPSEVSYTILPNLAYFEFLPTDGAAAAAELVELADVETGREYELVVTTYGGLSRYRVGDVLRVTGFHNAAPQFRFVRRSAALLSVNVDKTDEAELQRAVERAAALLRPHGAAVLDYTSRACTKTLPGHYVVYWELMATNQQEGASGTRSVDSDVLARCCLEMEESLSYVYWVLRVVDGAIRPLEIRVVRPGTFQELVNLAVSHGASVGQYKVPRCVTVSAVIQLLDSRVASSHFSPALPHRAPWTALSSVQNAAEAA >PAN42942 pep chromosome:PHallii_v3.1:8:38464609:38466196:-1 gene:PAHAL_8G200300 transcript:PAN42942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQFGGLAGCLPPYAAAAADCLADEQVRALLAAAAGNKACQYTCAAGVVSGAQSELTCNGGGGGAALPSRKRGREDAFDQYVASSSAALLPIPGMQRAFVKQHSPLPAAAIASRVADSATASTSGRPAAASVADALAAELGQQGAEVDALVRAECERLRAGLEQARKRQCQALVRAAAAGAARALRAKEAELEAARRRAAELEERLRQAAAESQAWCGLARSNEAVAAGLRAALDTLLLRGAAPARPAEEEGFGDCGPGLAAAGADDAESCCFVEAEDAGAGADTSSPAAASRWACRGCGGAEASVLLLPCRHLCLCKACEPRADACPVCLAPKNAAIHVAAN >PVH33417 pep chromosome:PHallii_v3.1:8:263216:266013:1 gene:PAHAL_8G001700 transcript:PVH33417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLFYDLSLLPPSSVGGGGDDNSNPSSSRLQLLAATARALELGYAAVALDHPHRGLLADSNRCRTEPFPPLSSLPLPSSAALHRRRLASPASEPFRQYTRITLSLDSAAAAASAVAPSAARLLRTYDLVAARPLTQAAFDHLCQAPLSAQHLDLISIDFSSHSKLPFRIKLPMLKLALQKGLHFEIAYSPLISADINAKRNLLAEVKLLVDWTKGKNLVISSAAHTASQLRGPYDVINLSDYLLGLPINRAKSAMSTNCRSLILKALRKKHFYKETIRVDRLLPNEQLSSTKFKLVDWIAWNSVSSEGGVNHLEPSSNIDELPGSPIHGIMEGSYEKPHNSDVSLLAKQSEQSNDQEQIPSQTQEETLQVDRTEVLTDCSQSLLPTSFNYQNAVLAKAGNSEVVPDPFVQAGPGCSVSPKGVVKHVKFVKDAMEVDGTESRSLVVGDNIPSTCGTSIKLTCSSGSNLEDHGPCQSSEILANAKSYTKYHTDCASSEREKTLLDHEIPSGSVVFPEDKDLDQSRGMQVDAETYRGTSELVECPPSGVDDEAPLDLAFYSCHKLHRDVTIQQKVMEGKIKQSMDENIERTAENETESNDNKIKTSIPLNHAFHGQEISLTSYKRSTDASCESDELKQLNSEETNASLERSVAKTHELLPKYSYPSGKVEMSTIRSEKQRHKLRLYRPAYLPFLGFLRSVHFKKKICKVRGLIKHT >PAN42339 pep chromosome:PHallii_v3.1:8:14513642:14515451:-1 gene:PAHAL_8G106600 transcript:PAN42339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCRSSGGGNVPGLAVAAPSWLLVVTVVLAGVADGQLQVGFYSKSCPGAESTVASVVRQAGSADSTILPALLRLQFHDCFVRGCDGSVLIKGGGGSAEVDNSKHQGLRGLEIIEGAKTQLEAQCPGVVSCADIVALAARDAVAFTGGPSFDVPTGRRDGKVSNLRDADALPDVHDGIGALRSKFRANGLDEKDLVLLTAAHTVGTTACFFLQDRLYNFPLPGGGRGSDPTIPPGFLSELKSRCAPGDFNTRLPLDRGSGGVFDTSILRNIRNGFAVIGSDAALYNDTATVDVVDSYSGLLSNFFGPYFRQDFADAMVRMGSIGVVTGGAGEVRKVCSKFN >PAN43826 pep chromosome:PHallii_v3.1:8:44572030:44574296:-1 gene:PAHAL_8G265700 transcript:PAN43826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPAAGAQAAEDVQVLVERPYSHEGPHHPRPPPQQLIRARRPYYRRWSPWIVSAATAACVALFLVTMYVNDCPRHNTNCAAGFLGRFAFQPLRENPLLGPSSATLVKMGALDVSKVVHGRQGWRLLTCMWLHAGVVHLLINMLCLLFIGIRLEQEFGFVRIGAVYLISGFGGSLMSALFIRSSVSVGASGALFGLIGSMLSELITNWSLYANKVAALVSLVAVIAVNLALGVLPRVDNFAHIGGLLSGFLLGFVFFIRPQFAWLNNQNQRRVAAGAPPPAARRRKHKTYQYVLWVAAAALLVAGFTAAAVLLFRGYDANQHCSWCHYLSCVPTRRWKCDSSPTICTATQQEDTLSLLCEGSGRNRTYVIARASQDRINDLCNQLCT >PVH33825 pep chromosome:PHallii_v3.1:8:6385590:6389220:-1 gene:PAHAL_8G072800 transcript:PVH33825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTRFSDLHDDLVAAVLARLPLRQVARARLVCRRWRALTADHSFLRITASRRTAAGFFVNDHLSVRTDYVPFPLDCSTAAEDGPSPDLSFIPSAALPGDPPRGGIGDVHVSGSCNGLLLLWCPIHRPAGHYACNPLTRELARIPVVEGLHSLNLAFDPSVSPHYRVVAFGHVSDIHVYSSETRCWGAAVHHDRSLFSGLRSVHGVFWNGSMVWTVGHSLVQFVLEGGHLKTIPMPPKRKKGWFCAYIGVSDRHLQMIGYTKEEKLTACFEILEMQRGRSEWSHLYRVDLGRVKELHPEIQWPTWDSRSEEHKVTGYLALSPVCLVRGTGEAGKHGVLIFSIPGKIMLYDMKDQRISVVGEVRSSVRELHSLCHFEHPWYYFYAYSPSLFTV >PVH34633 pep chromosome:PHallii_v3.1:8:44378622:44383996:1 gene:PAHAL_8G262900 transcript:PVH34633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRNSGGTSDRTVSLIPSPTTLRLSTATASPPPIPARPPTSGGQVVDGRRRGGCCVRVPACWRAAPAARRMATNVYGGATVACRVCYRDQYGTPPRELSCNTPRRGKSTSGHHLISRLCEWKPTGRRSDAQRGCEVWCRSCGSRNSGETTECESSEDGASPYRDFKQHSRGNTQFSDDQVASKKKSAYASQGLAEACKFVYNDAKFVNERAQNDILLLSRGITKLNKRAWEDAAVLGLGFLKLDARARKDTQKIDHSVKERAARLNHFARAFKERAESDLKKAADQHWSDGALEADLRRADLVVKRRAMEDAFMALKFVRDIHDMMVTRLYEQLPKDGASSCTNSTGFITLEKNGKTLELFPGEVSADQIFAIEEAYRSMESAFSEADGIDYTDPEELELLVATLIDLDAMDGKRSVSLIAECSSSPDVNTRKALANALATAPSMWTLGNAGMGALQRLAQDPNYAVARAASRAIDELKKQWELEEGDSLRFVMNQNYASEATDDETSAADDTT >PAN43154 pep chromosome:PHallii_v3.1:8:39942064:39943956:-1 gene:PAHAL_8G214100 transcript:PAN43154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRCVLASVLVAAVAMAADALGVNWGTMSTRRLPPKVVARLLADNGFRKVKIFDADERTMAGLAGTGIETMVAVPNDLLAAVADYGRAREWVKENVTKYTFDGGVNIKFVAVGNEPFLRAYNGLFDRVTVPALRNIQRALDEAGHGTKVKATVPVNADVYDSPPGDPVPSAGRFRADVAGVMAEMVRFLNRSGAPLTVNIYPFLSLYGNDDFPLDYAFFDGASKAKPVVDGRVTYTNVFDANFDTLVSALKRVGLGHLPVMIGEVGWPTDGDKHATPALAKRFYAGLLRRLAARKGTPLRPGARIEVYLFGLIDEDAKSVAPGNFERHWGLFTFDGRPKFPLALRGGRPTMPVPARGVEYLPRRWCVLNPDAGDDAAGRVADNVGYACGRADCTALGYRCSCGGALDARGNASYAFNAYYQAQGQADSACDFQGLAVVVDEDASRGKCNFSVQVVGSEAPAATVVAAAAAVGTAALLLVLL >PAN41451 pep chromosome:PHallii_v3.1:8:3388403:3392020:-1 gene:PAHAL_8G045200 transcript:PAN41451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATLLKSSFLANKAEWGTTRQVAAPKPVTVSMVVRASAYADELVQTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLISAPGLGQYISGAILFEETLYQSTVDGKKIVDILIEQGIVPGIKVDKGLVPLAGSNDESWCQGLDGLASREAAYYQAGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQENGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYYMAENNVMLEGILLKPSMVTPGAECTDRATPEQVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGRPENMKAAQDALLLRAKANSLAQLGKYTSDGEAAEASEGMFVKNYVY >PVH33787 pep chromosome:PHallii_v3.1:8:5391654:5392385:-1 gene:PAHAL_8G065600 transcript:PVH33787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKIAFILLFAVVSPNQVFGSCTTAQKDAILEHCEEYIKLPYYPVILPSYNSVCCHKVRNVPGMDMECIVRLLTHAEKAKHSDKKIKGLRPLCPYHSPPPPHHMHQVVA >PVH34526 pep chromosome:PHallii_v3.1:8:42457849:42458481:-1 gene:PAHAL_8G238300 transcript:PVH34526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDEPRRRAAPAAGGESGLLQLGHGLAEAEVLLQCDEQGSAVKSGVRALKHVPAKYMGFRRESSSLSGVAMFSFLFIVEYRERLD >PAN43524 pep chromosome:PHallii_v3.1:8:42715411:42719709:1 gene:PAHAL_8G242000 transcript:PAN43524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAEQVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSTHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYVMGRANILNGYTQGYNPSPAGGYGMRMDARFGLLSGGRSGYPSFGGSYGIGMNFDPGMNPGIGGGSSFNNSLQYGRQLNPYYNGNSGRFNSSIGYGGVNDNNGSVFNSLARNLWGNSGLNYSSNSASSNSFVSSGNGGLSGIGNTNVNWGNPPVPAQGASGGSGYGTGNFGYGSSENNFGLGSSAYGRNAGSGGVNTFNQSTNGYARNFGDSSAGGGSIYGDTTWRSGSSELDGTSPFGYGLGNSASDVTAKSSAGYMGH >PVH34306 pep chromosome:PHallii_v3.1:8:37143062:37143379:1 gene:PAHAL_8G190400 transcript:PVH34306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLIFQCPFSQSCWNLLNLQIPLQASTLQSLDLLKFELRSPLFKRIQPTVDGCCRTFKKELDLLQHRVKIKHKQHLEEWLNCFP >PAN41003 pep chromosome:PHallii_v3.1:8:670466:671446:1 gene:PAHAL_8G008700 transcript:PAN41003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMMKDNKQSSCRMQAVVALAVVVAAAALVASASAAITCGQVGSALAPCIPYATGKASMLPSSCCGGVRSLNSAARTSADRQAACRCLKSLANTVKSVNMGTVATIPGKCGVSVPFPISMSTDCNKVN >PAN41305 pep chromosome:PHallii_v3.1:8:2460834:2465020:-1 gene:PAHAL_8G034000 transcript:PAN41305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGVDTRPATAASGGGGGGGAGAGTGEGALSFLSRSLREDLRLIRARAGELETFLNAPVAEPELFARLRRAYSSSASSARTRLDLSAIGKAFEAESWRGARGQARWRWEEEAEEWEPVRMVKARLRELERRQGQSASDMLHKVKLSLKSMSFAPEASEDVPPLDLGELFAYFLKQSVPLFDQLGIKRDVCDKLVESLCSKRKDHHAYNFQSTSEPSSLRNDNVGDELDLRIASVLQSTGHRYEGGFWRDEQKSDISDKRHVAIVTTASLPWMTGTAVNPLFRAAYLARSSKQNVTLVVPWLCKSDQELVYPNSMTFNSPEEQENYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVIGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKILRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQQSFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSHEVQSTARKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVARVKEAMTRDPQPLTPEQRYDLSWEAATQRFMEHSELDKVLNDSSNCSGGGSGTELDGSAGRRMRKSVSAPNISDVVDGGLAFAHYCLTGSELLRLSTGAVPGTRDYSKQHSSDLRLLPPQVQNPIYGW >PVH33521 pep chromosome:PHallii_v3.1:8:1167435:1171425:-1 gene:PAHAL_8G016800 transcript:PVH33521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVTNNITLHIKRIVYPSVRLGYQSACDYPVVLGIGIFLLFLHKLCPSLFTFLLSSSPVFLLTALLLGALLSYGEPSAPLIGEETLEKLKKSPPEAKVSVTEFSVEEVQNVAVTRAAKSFESPVVCIEERTSDIFVHDTYCNEENVISVSADTVLSAEASELTKNEVIVEEHGKEICEKVEPQHFESTNTERWHYEVNNQYQFGELMSSCWQPVMRQEPCSDSESDLSESSSDASITGIIPMLDELNPPVNFGTDHPSSTFRDNLNSSSDDEEDELEEDGDLSSDEDRAEEKKDDGNNWKDFMDSNSSDMENNGNMESLMERRKAKNILKFELDRKLMDMQAADALQKMEEASRFRVQVPSISTPRPSEEVVELPQIPDSAPSVILPWRKPFDVPFDQIVDHNSHLQETWTPRSCFPSAQRRKHENLYLRQSTYLRHHNGIKQEKPEVSEKDAGDYHSDSDSEPALNNGKLFGLLEPHVGDEIKILSAAISDICMLEVNHGIKEGTESTASINGKDSFYIQKSISSTSEANGSVSAGCEQLLMCTLSEEYNTEKHIMEADSISEVNSLFKCRMEEVLVQSISESGIDQPLTVKLEHDLNDTSSAESVMPVIEARSVEDLSSQFAQLNGEALECAASDSSYDNEPIQERSSEQLPVENGHTPELPTKDGNSCLTLDNLVAEKVECKSKELLTEDGELPVLEASSVEEMNSLFRQLQDEDAS >PVH33444 pep chromosome:PHallii_v3.1:8:479288:482004:1 gene:PAHAL_8G005100 transcript:PVH33444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVANLSSGSLEPTVKPLAAACYDNNLVNSQGMFLGDQPLRFSLPLLLVQVSVILVLSAAAHLLLRRLGQSRFVTHMLVGVLLGPTVLGRSESFRGVLFSERGTYILESVSLVALILFLFSMGVKTDLSLLRRPSGRAVAVGITGSLVPLAVTLPVFHALQPSLPEDLRGSSLITELAVRLSLSSFPVIADALSELDLLNTDLGRIALTASLITDVTSWFLRACSAAVFLVSEAKSPAFTAQILASFVAFVLFVGFVARPAGRYIAYKRTPAGSLLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALSGYRTDLAELTKEETSEKWCALELFVGLCVSGKLVGCVAAGLFFAMPFRDAIVLALMLNIRGIVEVAAINNWGDTMKATAEHYSILTLSMVLITAVSTPLIKLLYDPAGQFMRAKRRTLEDLRPSADLRLLTCLYSEDHAAPLIDLLEASAGSSRESPVSLIVLHLTELVGRAASVLKPHRQSKSGGGGGSSSSDRIMNAFRHLEQQAAPGAVTVSPYVAQAPYSSMHHDVCSLAHSRKANLILLPFHKSSDGARSTANNSIRSINRAVLQYAPCSVAILVDHGLAAGSACATSANSLLQRAALYFLGGPDDREALAYAARMPDAGNMSLTVVRFKLRNWVGMGGSDEARDEEVLHQFWTRHRDNDRVVYVEKTVEDAEGTASVVRSMSEKFDLLIVGRRGGDDKDLEGSAALTSGLSDWSEFPELGVLGDMLASAEFASRVSILVIQQQPVKNAPAC >PAN41676 pep chromosome:PHallii_v3.1:8:5023541:5026645:1 gene:PAHAL_8G062700 transcript:PAN41676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWVRYALQCKVQELRLSIHGKSPAFLRPEDPPLASRHLTRLSLHGLVFSDDFLDLSRCPVLQYLHIADCSFRCAERISLQSLKYLNITRGMFNRSFRTRIHTPNLASLLLHVTYGRAPVLERMPLLVRALLVISGDCNCDCCSRSINGDCGDESCNGCIRNDTSSVLLHGISQARTLVLAAGAEMFIFRRDLKWCPTFSRLKTLSLNENWCVPDVHPLACTLQHSPVLEKLSLSLFYKGFNVTMKGRIDPEELPPTISAQINRVEVTCGVVDERATKVLKFLSKLNISFSF >PAN41677 pep chromosome:PHallii_v3.1:8:5023541:5026645:1 gene:PAHAL_8G062700 transcript:PAN41677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWVRYALQCKVQELRLSIHGKSPAFLRPEDPPLASRHLTRLSLHGLVFSDDFLDLSRCPVLQYLHIADCSFRCAERISLQSLKYLNITRGMFNRSFRTRIHTPNLASLLLHVTYGRAPVLERMPLLVRALLVISGDCNCDCCSRSINGDCGDESCNGCIRNDTSSVLLHGISQARTLVLAAGAEMFIFRRDLKWCPTFSRLKTLSLNENWCVPDVHPLACTLQHSPVLEKLSLSLFYKLQGFNVTMKGRIDPEELPPTISAQINRVEVTCGVVDERATKVLKFLSKLNISFSF >PAN43132 pep chromosome:PHallii_v3.1:8:39734543:39738431:1 gene:PAHAL_8G212400 transcript:PAN43132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MTRAHLVPAAAAQRRPTVALLLGLALAFCLAVLSIQSSFFAAPGAPGRRLDLDAGDVRELAGFQSRVQQCVASRGLGLTADIIDHCKLVLKFPEGTNSTWYNTQFRIFEPLEYKYDVCEAILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHHAVFRDNEAPVNEKYAKHVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDIPSKEEIRRAHAAAFRLKKHETGQPAEPGPFSNCKVWGTVDPDYGPVSGTSDMSEVRKNSNYSKWELLPLEKLRREAQEHYIQMDGVSLYKMDGNKLDDLVCVRHQRSSS >PVH34394 pep chromosome:PHallii_v3.1:8:39734976:39738432:1 gene:PAHAL_8G212400 transcript:PVH34394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHHAVFRDNEAPVNEKYAKHVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDIPSKEEIRRAHAAAFRLKKHETGQPAEPGPFSNCKVWGTVDPDYGPVSGTSDMSEVRKNSNYSKWELLPLEKLRREAQEHYIQMDGVSLYKMDGNKLDDLVCVRHQRSSS >PVH34154 pep chromosome:PHallii_v3.1:8:29114945:29129425:1 gene:PAHAL_8G157800 transcript:PVH34154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSCPDEKFPSCTGPSGAIKTQVFRLIQFRDLPSMEIPLEAGGAIITKDKVLPTSTMASNKPGHPQSPSTPKSRSLLWRSSNTTIRPTCT >PAN41094 pep chromosome:PHallii_v3.1:8:948864:949666:1 gene:PAHAL_8G013700 transcript:PAN41094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTASLALSRRHGAAEAGEGSAKKVKTENAVVGYEAPPRAAAAQKKKTKKVMTAVSKDQVDFVLASKPILRSRPSSVVQPRQMSEERRRQSAWVDEVVRSTNEIVRKLQENYRQQLDTKGCVHIEVEVTDDES >PVH34196 pep chromosome:PHallii_v3.1:8:31786581:31787812:-1 gene:PAHAL_8G168600 transcript:PVH34196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGPSAFHGVCIYVVLQGIIFIYCMKNEVNELINGMVTRTNKSRVKRMFTEGPVARAQGSRKSSSHPKKINFLFTRHRTNAAEWRWTSTREYSAKSAYKMLHQGPIPLHSHKLISGGPGHL >PVH33567 pep chromosome:PHallii_v3.1:8:1733906:1735086:-1 gene:PAHAL_8G023800 transcript:PVH33567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSQSFLLVTSRLIDASNSICQFDAATPGSGRLYKASRSLEQASVSSSKLSKMDQLTLSASSWFLLSCCSWPVSPPILGP >PAN41552 pep chromosome:PHallii_v3.1:8:4004024:4014564:1 gene:PAHAL_8G052400 transcript:PAN41552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNTSEPRDSREPTSPSPSTSSSISREKGDLAEVDDPESAMSTVARLLEDLHASMVSPSEKESTTRRLLELAKAKKEARILIGSHSQAMPLLISTLRIGSSAAKVNAAALLSALCKEEDLRVRVLLGGCIPPLISLLKSESSEAKKAAAEAIYEVSSGGLSDDHIGRKIFVTEGVVPTLWDMLNPRSRQDRVVEGFVSGALRNLCGDKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARFISAFGDSIPKIIDAGAVKALLHLLNRDNIISVRESAADALEALSSKSSIAKKAVVDAGGLPILIGAVVAPSKECMQGETCHSLQSHAVHALSNICGGTTSLLLYLGELCQAPRSPVPLADILGALAYSLMVFDGTDGKSFDPVEIENTLVVLLKSHDSKLDRILEALASLYGNDCLSGRLDHSNSKKVLVGLITMAPADVQEHLVRALTSLCCDGVGIWEALGKREGVQLLISLLGLSSEQQQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAYIMWNMCSDSDDIRACIESAGAVLALIWLLKSGSPRGQEASVKALKKLIRAADSATINQLLALLLSDSLSSKAHVITVLGHVLVLAPQRALIQNGTPANKGLRSLVLVLESSNEETQEIAATVLADIFTMRQDICDILAIDEIVQPCMKLLTSGNQVIATQSARALGALSCSASAMSKNKMSCLTEGDVRPLIEMAKTSSIDVAETAFAALANLLSDAQIAKEALDDNIVMALTRVLKEGSLEGKISASRSLRQLLNQFPLSEVLPDYSQCCFIIHALLVCLSGISLDNVTSLEPLDVLALMARTKEGAHFSPPLCTAFLEVPESLEPLVRCVSIGPPPIQDKSIQILANLCQGRHSLLGEYLNRSQGCIASLASRVMESKDMEIRISSAVILITAMRDRREQSIDILEASKLLKDLISALVDMLKQHSSLTSLDIEIWNPYTEKSPLNYEQDVLSVPELGKVSEETVALWLLSLICSYHARSKYTVMELGGVDAVSDRLAGCTANRQEQYEDSENIWTCALLLATLFQDSVIVQSSEIMRTVPSLASLLKSDEIINKYFGAQALASLVSTGSRGIQLAIANSGAVLSAVALIGLVESDMPNLVTMAEEFKLAENPSQLILRTLFELEDVCTGAIARRSIPLLVDLLKPMPDRPGAPLIALHLLTQLAEGSETNKVAMAEAGALDALTKYLSLSPQDSTETTITNLLGILYSNPDLLYHESSRSTSNQLVAVLRLGSRSSRLSAVRTLQKLFDSENIRDTEVARQAIQPLLDMLESGTEIEQQAALGALIKLSAGNISKGSAMFDVEGNTLENLYKILSFSSSLELKKDAAQLCCILFENSTIRASPIATECLQPLISLMTSGSSVAVEPAVCALNRLLEEEYNAEVAATGEVIDLLVSFVPGTNYQLSEACIGALIKLGKDRPNCKLDMVKAGIIEHALDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLTPSQIIEPLLSFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGIFELSKVIVQDDPQPSQALWESAALVLCNVLRYNSDNYVKVSMAVLVRLLNSTMESTVTIALSALLVQEKSSSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNSRVRETKVAKYAIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNVDISGQAALLIKYLFSNHTLQEYVSNELIRSLTAALERELLSTSSINEVILRTIYVIFSNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLHCLPGCLTVTIIRGNNLKQTMGGTNAFCCLQIGNGPPRQTKVVNHSICPAWNEGFTWLFDVAPKGQKLYIICKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPSNDSM >PAN43497 pep chromosome:PHallii_v3.1:8:42616093:42617087:-1 gene:PAHAL_8G240100 transcript:PAN43497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHEDMEREQMKMAILKQEQIFRQQVHDLHRLYHVQKQLMQQMPASTVSSSRAPAIADVKPKPQLDIWNGEKATNPQQFISFSSYKAPAPSEECNLELTLATGPSTSSSCNAERPQGKRLKSSSNSDSGTTAVSSTSTDSELAQFREVDVTAPAARFPGESRRMDQMGQGPWMYQCLTLKTA >PAN43187 pep chromosome:PHallii_v3.1:8:42178290:42181906:-1 gene:PAHAL_8G236300 transcript:PAN43187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGAQKRSFVQLVATLTISILIAWPCPCSLARASTLHNSSETDRQALLCLKTQLSSPAGAFDSWREDSLSFCDWRGVSCSGNKAARVVALHLAELNITGKFSPCIADLHFIDTIDMAYNQINGPIPPEIGNLTRLKYLNLSMNSITGAIPDSISFCSKLEVIRLMNNSIEGEIPPSLAKLQLLQQIVLSNNKLGGRIPLGIGLLPKLQYLFLPSNNFVGSIPESLGSSPSLAIVVVRNNSLTGGLPLMLTNCSTLYYLDLMQNKLSGNIPSTLFNSTSLVTLDLSDNGFSGVIPSCSMMSPPIQYISLAKNSFSGVIPATFGNFSFLSTLLLSENNLQGSIPESLARIQVLQELDMAYNNLSGAVPQKLYNKTSLSELGLGVNQLVGRIPSDIGNTLPNIETLVMEGNKFEGPLPVTLVNASKLQALELRDNAFTGVVPSYWSLPNLIQLDLENNFTGTIPSEIGNLKNLTILQLGGNKFLGTIPATLGNLDNLFILSLAHNNLFGEIPQSIGKLERLSELYVQENNLSGSIPPSLGGCKSMVILNLSHNVFQGSIPPELLTISSLSGGLDLSYNKLTGSIPSNVGDLINLESLDISNNQLSGEIPHTLGECLHLESIHLEVNFLSGSIPSSIMSLRGMTEMDLSQNNLSGEIPVFLETFSTLQLLNLSFNNLEGVVPTGGVFGNSSKVHLQGNKKLCAADPVLQLQLPVCMSAASRRNKTSYIISIAVPLASVVMILTACSALIICKKRIQFKNSRLDQPCKELKKISYSDVANATNEFSSDNLVGSGQFGTVYKGTFKFEAHPVAVKVFKLDQLGAPKSFFAECEALRNTRHRNLIRVVSLCSSLDQMGNEFKALILEYMGNGTLESWLHHPKVDTQSKMTRVLDLGSRILVAVDIAAALDYLHNWCRPPLVHCDLKPSNVLLDDDMVAHVSDFGLAKFLHGCDSSARLLMSSTNIAGPRGSIGYIAPEYGMGLEISTAGDVYSYGVILLEMITGKRPTDDIFTDGLNLHRFVESANVGEIQNSSLLAPYHDGVGEARRGDSDCYENRTILGIRSCVEQLAELGLKCSADSPGDRPAIHRVYAEVVAIREAFSSALEF >PVH34366 pep chromosome:PHallii_v3.1:8:39160212:39160837:1 gene:PAHAL_8G206600 transcript:PVH34366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYTPTLSSASMGFGSPTFICSSLMLLAQLCLTSFAQLGKEILAHAGLSCASRVARSLMRSRG >PVH34552 pep chromosome:PHallii_v3.1:8:43071114:43071689:1 gene:PAHAL_8G246600 transcript:PVH34552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEAVDEYGIDLHGRDKDEWMMEEETGLLGAGELTPLSHAPVSVELDGPRPHAAVRLSAPHAAAGQASAGREEKDWGRFLRSVTSPLAKIWLLYQMYK >PVH33779 pep chromosome:PHallii_v3.1:8:5166984:5167480:-1 gene:PAHAL_8G063300 transcript:PVH33779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGKAACFLLVMAVFAAACLDHSVSGIKICTEQDKKGVLVNCKLNIKNGKYTPPAPKARVCCQVVRQMQSKDRKMMDCVVELLTDNEKEEHSAVKMMELVGRCVVPAPAPPPRRHHQVMA >PVH34182 pep chromosome:PHallii_v3.1:8:30647075:30647935:1 gene:PAHAL_8G165100 transcript:PVH34182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVMERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPGSSAAADEGDAAMQPLTDGNPEDGERGPLTLSAPEEDTPRK >PVH33532 pep chromosome:PHallii_v3.1:8:1332826:1334114:1 gene:PAHAL_8G018900 transcript:PVH33532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALSVRLRILSGSGTFQYGPFMCGVQRRWKKPVDSARTRLEGRTRDHRLDKLMVQLRNLKLALAVCELISQQRNEYASLQLLSKWRHEVGLNIEIGAFLKKYPHIFQIYMHPVKRNHCCKITQKMTDLIAEEEAVIRENETSIVQRLKKLLMLSTNGTLNMHALWLVRKELGLPDDYRSSMLPKYPYDLYLETPDTLSLVSRD >PVH34237 pep chromosome:PHallii_v3.1:8:35052630:35053979:-1 gene:PAHAL_8G178800 transcript:PVH34237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSTTIVVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNIHAGAPGITAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGIVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >PVH34589 pep chromosome:PHallii_v3.1:8:43757516:43759296:1 gene:PAHAL_8G254500 transcript:PVH34589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARADSGDDGCGRRNKRRCGSEGDEDDESCRVAAGEHPDAGNGGARDGSGDDDMDNEDGSDVYGTDDDGDYAGEDDIYDCSDDSEEYLEEEETKVDELPAAAAPKKGYTVLTEADILDRQQEAAADVAEVLSIPCSLAAVLLRHFKWRTSRVKDEWFSDDRRVRDAVGLPAEGVPVPMALSRRIAGVCPHYYCDECWRGYIHAAVGDGPRWLSLRCPDPACPAAVVQDLVDAAADAADRDRYARFALRSYVEQSGGDVKWCPAAGCTRAVEFAGCGGAGARDVFCDCWHGFCWGCGEEAHRPVSCDTVRPWLEKNKSDSETANWVLANTKHCPKCRRPIEKNQGCNHMTCRAPCYHQFCWLCSDPWNRHSQCSRYDYDDQPREVGGDKPAAGGQGKAARQEEMLRRQQAKASLDRYLYHYERAHEDMDQLRLSGLEKMAAALEVPAADLGFLTRAYELVADGRRVMRWRRLFDHLRDDANSRLESLHSCAELERMKLAAGAAEERADAMNGMYRAYKKKLEDLTSATRHYFGNLVKAFEADMPEFNLVKN >PAN41154 pep chromosome:PHallii_v3.1:8:1442909:1443621:-1 gene:PAHAL_8G021000 transcript:PAN41154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRARPMTGLLVFMGVNLVLVSTISPVYDFVCFHPYWERRRERRRREREAVQLRGSLKTTN >PAN42013 pep chromosome:PHallii_v3.1:8:9194140:9195280:-1 gene:PAHAL_8G085800 transcript:PAN42013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTQTTSPKTTSRVPSCTQSCLWLRPPLEFRMAQDSDDPLFVASVVVWILVVILAIVALHCPLPRRVVR >PVH34257 pep chromosome:PHallii_v3.1:8:35077137:35077250:1 gene:PAHAL_8G180700 transcript:PVH34257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >PAN43131 pep chromosome:PHallii_v3.1:8:39710224:39719829:1 gene:PAHAL_8G212300 transcript:PAN43131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKTQDKGAASSGAAKPSGAAATEKKKPVLSIPEKKPAPPSLASCDHDPSARRKTSKYFGSKSEKDSDVEMADAAAGKSTDKSAAKRKLQKGNNELKDDSKPLPAKKMAKDDEDDDGDDDFVAPSKKKTPVKPPPSKKPKVESNVEAPGRTTGTDDGEEEDKMDEDAKTPSKGAGRGRGMGGRGAAATPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTSYLLADEDIGGVKSNKAKDLGVPFLTEDGLFDLIRKSKPAKAPVDKHQSSNGSEKLQKSQTKSSPARIDKRAEASAVDKSIASKSNVTSASAENQKAKKIDRGSMQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWDTHFLHSGQKGKGKKPVDNGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYGDNRAKHPKAVLIMDEVDGMSAGDRGGVADLISSIKISKIPIICICNDRYSQKLKSLVNYCLMLNFRKPTKLQMGKRLMEIAKKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRVRLNSSAKDEDISPFTAVDKLFGFNGGRLRMDERIDYGMSDPDLVPLIIQENYINYRPNTIGKDESGVKRMNALARAAESIADGDLVNVQIRRYRQWQLSQAACFASSIVPAALMHGNREVLEAGERNFNRFGGWLGKYSTTNKNIRLLEDAHSHILASQQANLDRETLRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVEISKFKGHPSPMDGIQPAVKSALTKAYKQGSSSRVVRAADLINIPGMKKPLKKRVAAILEPVEESLPDENGAASAEADEEDSSDTENNDELVPGDSKPKLDLKSDKKKGIQVQLDLKSNGNDSGGKKTPAARSRGPGSGGKAAGSSAGKRKR >PAN43622 pep chromosome:PHallii_v3.1:8:43462668:43465054:-1 gene:PAHAL_8G250700 transcript:PAN43622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGCDGDSNCRAALREAFGESSDSDSDAPSGVSSGAGRGRWRWEAVAGIRGLWLCAAFLSADEQARLLAAIQREGWFIDANNQAMRFGDLPSWAIELSTLIREAVCLGDVNVGVGSKMTNEDEDACPLPSDLLWREPFFDQMIANRYNPGEGVCAHVDLMRFDDGIAIVSLESACVMHFSQAEPTGTVLDTGHLKKGDREPTKIPVYLNPGSLVVMSGDARYLWKHEINRKPGVQQWDGRELEQHRRTSVTLRKLLASPS >PAN41104 pep chromosome:PHallii_v3.1:8:1002449:1004260:-1 gene:PAHAL_8G014500 transcript:PAN41104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLTRPAAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEILRLKAFEPIMLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAVAKGLVAYFQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >PAN42700 pep chromosome:PHallii_v3.1:8:35805351:35807014:-1 gene:PAHAL_8G183300 transcript:PAN42700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRFFTYDPYDYYYTTPYHYPYPYYHQQQATPARRTSGFFPVAADAEPAAVRRAAVDPAPRARSVSIPVHFVGSNPEPERKAAGLRAEPVARMAAAPAVVPVNVKRAPSAEEAAVRVQAAARGFMARRSVRAVREVEREAEEVGVKIAREAEALRGDARARIAVGEALMRLLLRLDAVRGAREYRRRVTRRVLALQDAVDVLELKTATATATAPDAVAEEMTEAEADTVAVEIAEESAVVPRLLDAADHSVEDDAKAAAETTDEMEVDGETAAGEPEKNRVEAEPAPRDANLLDVDDKPDDGSDAEGGGWEMVKEEPAPAAGAAATHEAPAQQEAAAGKETRTVAETGVASGDPDATRLMEMVAALCERSAQQCAVIGALAERVDALERAVRRVEDAERRRRRAKKLRKEGKGSNRSKCYSD >PAN42325 pep chromosome:PHallii_v3.1:8:14162365:14169714:-1 gene:PAHAL_8G104700 transcript:PAN42325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRALLALAALLLLSLSLAAAAFQSDELLLNDDEEFEGLGARPASPSPPAAPAVSSSSRRRSADAATAGAGESNAVQFALEHDLGDGKGFVPAGTFSARLKTSAHGTQTLTKLRFTRNELTDDEKDAFKKLLQEDGFYTIRLPSNVLDPTRKDNVVSSIKVRCIPRDSLDEHIVIHMDGVNILAVNYGSVGGCQYPRPMKFPSKWTFSSYTILKTAEQAPRTPSFVDQLLEADNGLGEVIKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEQAAGQGQPGAQRAPAAAARRR >PAN43242 pep chromosome:PHallii_v3.1:8:40953485:40954705:-1 gene:PAHAL_8G222400 transcript:PAN43242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASTKRGGVPALGWWLIAVGAFRSAYVWSCFFGSAAMCSATFSEIPMTGEHGRTVAVWTLLSCTLCFLCAFNLGSKPLYAATFLSFLYALGYLTVECLVYHTIRAARLSLFIFVAGTSMVWMLLQRNSNGHGPRPREATKQH >PVH34175 pep chromosome:PHallii_v3.1:8:30271979:30278452:1 gene:PAHAL_8G163500 transcript:PVH34175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLPIHPSLAPHTASTSKALSLSPRIHSGTATGTQEISSKSCSLRDIMKDTAAAIVNSTAAVLNDASSAAYSSPSSSTHSASSTHSLTVSTSKA >PAN42347 pep chromosome:PHallii_v3.1:8:14569887:14572701:-1 gene:PAHAL_8G107300 transcript:PAN42347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEARKFGCTEFVNPKDHNKPVQQVLADMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFAEINKAFDLMAKGEGIRCIIRMEN >PAN42348 pep chromosome:PHallii_v3.1:8:14569405:14573036:-1 gene:PAHAL_8G107300 transcript:PAN42348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTSLCHTDVYFWEAKTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEARKFGCTEFVNPKDHNKPVQQVLADMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFAEINKAFDLMAKGEGIRCIIRMEN >PVH34504 pep chromosome:PHallii_v3.1:8:42010260:42011375:1 gene:PAHAL_8G233900 transcript:PVH34504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSACAAPAHSASTIAAEEVTSSHVLTVDEYSRTTKGLVAGQFLRSATFAVAGHRWRISHYPVGTNADDSEYISLFLHLEPADAREVAVKAWFSFSLLDASGEPVPTYTYFTYKADTFTCEASSSSPGKGWHKFIKRRVLQQSPYLCNDSFRVRCDVTVLKETAREDAPAAPAAARYVAVPPSDMGRDLGRLLSSGEGADVSLEVGGETVAAHRSILAARSPALRAELFAPAPAGPSAAVCVWIEGVDAEVFRALLHFVYTDSLPELEDDVHEATAMARRLLAPADRYGLERLKLICEDKLCDDIDASTVGTALALAERHGCQGLKRACLDFLMAGSNLKAAIATRGFEHLSNSCPSVLKELLDKVVAL >PVH33637 pep chromosome:PHallii_v3.1:8:2643602:2643940:-1 gene:PAHAL_8G036400 transcript:PVH33637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARHSHSLPPFRFVFLEPARLSSAPFASYVPSPTGCSPDRRPLLLIATPAPGRDPRGGCFAGSCSQPLSAHGAAPRGAQRWRSTECPAGRGTDSLPPALARLQLPSRVLGL >PAN43241 pep chromosome:PHallii_v3.1:8:40949490:40951161:1 gene:PAHAL_8G222300 transcript:PAN43241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAPWSSPPSSSCCAATASTSAPRLLGPGSLKGAAWPAGAGGSSCGGQGMNSRRRLSVRATAAAPPPPVDYADTSATRADADYVASLKVKLLSAVSGLNRGLAASQEDLDRADAAARELEAAGDGPVDLSRDLDRLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDFDNIVELELGAPWPLPPVEATATLAHKFEVIGTSGIKIDFEKTTVKTKGSLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRVTRGDRGELRVFVVA >PVH34230 pep chromosome:PHallii_v3.1:8:34625513:34626315:1 gene:PAHAL_8G177400 transcript:PVH34230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIWYEISNIVKSAPRKGFFVPQHKQIIITRGISKSLGGDDTLESLRWGARDAEKIIRSQGAGCPLPPRPQPAFLRIPPSYSSWEQPVKSLFVVLHENPMKRSRAEGFQSVAYMLDPNYQYGFCLWFSCVYLCACSYAFAFLIGAIYRW >PVH34454 pep chromosome:PHallii_v3.1:8:40899327:40900709:-1 gene:PAHAL_8G222100 transcript:PVH34454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGEGTPADQTRHAPLPLVAAAQARCSTRCVPGLPAPETPRLDDVSEPRHRPWADLPADILGVVVGRLALVEDRARLRSVCHAWRAAARLHWRLPPPLPLLVLSDFSFSSFRAEGTVTGARLRVPLPGSETAGAGSVVRCVGSFEGWLVGVEADQSRDIGDHRCFLMNAFSQDVVRLPAPSAATNSVNTQSKSLSLPIANSSGVMNCVTNTAHRAMSFRKVALSSSPESGTGCVVAAISMAKDTTELALWRPGMESWCVCYGSCVGKFIDVIFCRGKLYMFSSSELTEDLFSFELSEGDNGGLMVSRVERRHMEMPGVTEGYYQNWSIVEWRGKLLVVATYTGGAEVRQRIVEVRVFEASLSTEPVRFTEIKSLDGDCIFISPCSCKSFRSCQYDGVGGDLIYFIDGYLSADKNVRPFDKLVYNVKDGTMAPFAADIPEDKLQAPDGMLMHPTWLFLPE >PAN41549 pep chromosome:PHallii_v3.1:8:3988692:3994355:1 gene:PAHAL_8G052200 transcript:PAN41549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKASSTSDSRLKWRKRKRNPNASPSKPSTSAAAADHSDESDSAAANDDDDAAAHAAAGGGADDDEDAAASEDPVLDLREAEVLLSAEVISAFPAAKRRVVNRPHPSVLALIAAERSAYSGDTSAAAPPPALENISHGQLQVLSGVLPDHPSLATDPDKPSLYVCTPPPLMEGRGVPKQFQGRLHVVPKHSDWFSPGTVHRLERQVVPHFFTGKSPGHTPEKYVMLRNKVIAKYLENPGKRLAFAECQGLVGSTGELYDLSRIVRFLDTWGIINYLAAGSVHRGLRMATSLLREEPSGELQLLTAPLKSIDGLVLFDRPKCSIQAEDISPIASSFSNSEVVDFDAAFAELDGKIRERLSESSCSYCLQPLPSLHYRSQKEADIALCSDCFHDARYITGHSSLDFQKVDGDNDGLENDSDKWTDEETLLLLEGIEKYNDNWDDIAGHVGTKSKAQCIYHFIRLPVEDGLLENVEVPNGSVRFRARSNGYPHSDSNGSTSGIPIQSFHHGNELPFINSSNPVMSLVAFLASAIGPRVAASCAHAALSFLTRDDDPRLSSEGMHADGRGNGANPNFPNHNAVSPENVKHAAMYGLSAAAMKSKLFADQEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKECEQVERVRQRISADRARMRSALLGSTGMPGSSSTMPSNPASMSPRPIGVPGSMPQASMPATYANNMQGHGHPQMPQMPFMHQRPQMLSFGPRLPLSAIQTQPSPQASNLMFNSGMPSSVAPNHHQLLRSSSGNNSSAG >PAN42086 pep chromosome:PHallii_v3.1:8:13529543:13531078:-1 gene:PAHAL_8G101100 transcript:PAN42086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFRSEASYLNHFMGSISYNLCRVIINIQLLATQTTSCFSCQKKVFPYVQIKRELTSQKLKWLLKVTINIGYLCVHLLIMRNAGLCDYLQEGMLEAISI >PAN43850 pep chromosome:PHallii_v3.1:8:44853931:44857096:1 gene:PAHAL_8G268500 transcript:PAN43850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADGSKGDISFAGRFTASAISACFAEICTIPLDTAKVRLQLQKTVVGDATAPAALPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGQDHVGDVPLSKKIAAGFTTGAIAIAVANPTDLVKVRLQAEGKLAPGAPRRYAGAMDAYAKIARQEGFTALWTGLGPNVARNAIINAAELASYDQVKQTILKLPGFKDDAVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKFFVRKPAS >PVH33729 pep chromosome:PHallii_v3.1:8:4306094:4310562:1 gene:PAHAL_8G055200 transcript:PVH33729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKSHLSLLDVPEDLPDCDGGCFRPGGGLCCPDDPLDLVLQLFSTPSPQEASLEALGIRGSPRRQEQPPPLGWDQENGFGGVRLLGGGGCGEPESSRVPEDTEPIDVDMYLVNVAPDGGGEATVRNPSRDAGGIPAAVPAGGVRACGALGGGVSNAAPPLLPPLSAGALHPYTFRAFDGVVSSGAPPLPAPMPAGGGPHSCGALAGVVSDVAPPVPAGALHACRALFVGAVSNDAPPSWAHAPQSAWALPASRTSSGCLTPTTSETSSPAPVWQPLAWVVPRKRRRPPVMCRKRPWSLEFPLHALPAAPPENPGDNNGDEDAKNSCDNAGGGGIRRRRPVPRQRNRQAQRVCSHCHSPDTPQWRAGPDGPGTLCNACGIRYAANKLLPEYRPSTAPSFRSDQHSNRHRKVVKLREQKVKETPKAMPDPVPPPPKSNEFMDVCTYISTG >PVH33538 pep chromosome:PHallii_v3.1:8:1357336:1362716:-1 gene:PAHAL_8G019200 transcript:PVH33538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPPPGAVTVCEINRDLVAAEALSDDRAKDAYGDVLGMVFSPIPFQPDTLPPIREPPAAEQPESTENVPTASVTSTISEFFKRMIFPPLNPNLLQEFDIQKISWNPHKHCLAFVSGKNQVIVHDFEDSDAKEPFILTSDQQTNVKAVEWRPNSGKMIAVACKGGICLWSASYPGDVPFMKTGVTPYSFSAFPRGSGGWWILVDVLRDSSAEQVTALCWKPDGRYLASASCNSPSFTIWDVSQGLGTPIRRGLSNISLLRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSSGYVSGANWDPEGRVALVSFSDSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLSWDASGERLALSFKDGNEMYRGLVAVYDVRRSPLVSVSLVGFIRGPGEGVKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSY >PVH33537 pep chromosome:PHallii_v3.1:8:1354142:1362716:-1 gene:PAHAL_8G019200 transcript:PVH33537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPPPGAVTVCEINRDLVAAEALSDDRAKDAYGDVLGMVFSPIPFQPDTLPPIREPPAAEQPESTENVPTASVTSTISEFFKRMIFPPLNPNLLQEFDIQKISWNPHKHCLAFVSGKNQVIVHDFEDSDAKEPFILTSDQQTNVKAVEWRPNSGKMIAVACKGGICLWSASYPGDVPFMKTGVTPYSFSAFPRGSGGWWILVDVLRDSSAEQVTALCWKPDGRYLASASCNSPSFTIWDVSQGLGTPIRRGLSNISLLRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSSGYVSGANWDPEGRVALVSFSDSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLSWDASGERLALSFKDGNEMYRGLVAVYDVRRSPLVSVSLVGFIRGPGEGVKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSY >PVH33927 pep chromosome:PHallii_v3.1:8:13552524:13556830:-1 gene:PAHAL_8G101500 transcript:PVH33927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFNTLSLSLPPSLAEQSPAPHSRPPLQRSRWSGDPSRPFSCNSSKSAHPSAVDFVPLQSPLKIGVYLFSGKDFSDSVNPPQSPITTACVGSLLAQPHTRLPARSQRTRWAQRVRPPAFRSLQCMNEISPLGNTGVEDEEERCCTEARASTVCSCMIGDGGLKHGFYWGLKQFWSNKASIIWGTMSGSSLASLLALLIRRRNAEMLNRLQLS >PVH33784 pep chromosome:PHallii_v3.1:8:5275784:5278266:1 gene:PAHAL_8G064500 transcript:PVH33784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALPLTAAAVVHHYTRLITAAAASSPSTLRALLPIHARAVVLGVSANPAFATSLLAAAAPASLAYARRVFDAAPERDAYMWNTLLRAHAHSQSHAVDALVLYKRMRAAGVAPDHYTYPIVLPACAAGRTTWLGRAAHGDAVRFALAGDGFVRSALIAMYFQELDVADAERVFAESNGSSRTVVSWTAMVAGAKNGWTLVKWFMGL >PAN41659 pep chromosome:PHallii_v3.1:8:4735948:4739318:-1 gene:PAHAL_8G060400 transcript:PAN41659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRAEFSFPGSPARGLPPRAPPPGNAMAPRYGEEEVRWLQASRQASPDYSSGGGSGTPSPQLWAYQPDLHRPYPASAGSSPSRAQAIAGYRREMLDLVRGLPESAYELSLRDIVEHPASSSPPPPPEPLPQPPNASAASAQASDEPAGTTKDAAAVDAQVQDGKKQSGSSKKQGRKQRTMRKQRSRSLERSVSLDTGLLIKLFLPLSIGGKKKVSPRPDAAKDGKKKDNEKKKKKKKKQPEAPVPAQEEEWWTKSEFSEAGGSSRTSSTGSSSNSSTGSIRNGHANGSVNPRAPARSWSRKRTGCYAFFRANKSKNGVGQD >PVH33772 pep chromosome:PHallii_v3.1:8:4960450:4962558:1 gene:PAHAL_8G062200 transcript:PVH33772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQQQEKQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PVH33861 pep chromosome:PHallii_v3.1:8:7354312:7360497:-1 gene:PAHAL_8G080600 transcript:PVH33861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDASQGESLERQQSLPTLPSKLTLDYLKKITNDFSSDRELGHSEFGTYYKGIRGNGDILAVKKLAENSPLPRKKTFENEVTNLMKLRHENITELVSFCREAQMTLDQRQGRYVAVHVDESLLCYKYLSNGSLDQHLFDEGHNKIDWDKRFKIIKGICQGLRFLHKELDRPLVHMNLVPSSIWLDDNWVPKIADFGLSRLFGQEQTQVYTKNVVGQHGYMAPEYLFNGEITTGLDIYSLGMIILEIVTGERNGANRQDRAATKFVDKVRQDWKTDDDITCKYPSLTVFGLEQVKACIVIALKCLEVDQNKRPSIEDIIDKLNGKFVPIFQQHE >PAN41943 pep chromosome:PHallii_v3.1:8:7354312:7355939:-1 gene:PAHAL_8G080600 transcript:PAN41943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFILTIIIAILPYKESVANVVHYIWSSLSSNTYLTALYFYPDEGHNKIDWDKRFKIIKGICQGLRFLHKELDRPLVHMNLVPSSIWLDDNWVPKIADFGLSRLFGQEQTQVYTKNVVGQHGYMAPEYLFNGEITTGLDIYSLGMIILEIVTGERNGANRQDRAATKFVDKVRQDWKTDDDITCKYPSLTVFGLEQVKACIVIALKCLEVDQNKRPSIEDIIDKLNGKFVPIFQQHE >PAN42343 pep chromosome:PHallii_v3.1:8:14564245:14564960:1 gene:PAHAL_8G106900 transcript:PAN42343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLGGGCCGGVAVAAVSPAAGKQSGGGEGPEAKRQQRRVVREAERMGGDPGEVAAAGRKESKKRDPPMVMHQFPFHSRPGLL >PVH34450 pep chromosome:PHallii_v3.1:8:40855700:40856995:-1 gene:PAHAL_8G221400 transcript:PVH34450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQATEGGSGSGVIAGRDWSGLSEELLVSFLLALDVPGAVRSGAVCTTWNAAYAAFRRLRAPSPRQPPCLLYASGALAPGAAALHCPATGATLQIPFPRAPLALRPLLGSGHGWLVIADEASNLHLLNPITGAQAALPPVTGLHNVMMGTDKQGGPAYAVYSKPGYEPVMLEIDLAHEYMYNRVVLSASPSAGRACVVLLLHMPMGEVSFARLGDDRWTWVASGEGTGLPWRCDYEDAMYSDVDGLFYLVRTDASMVSLDLNGSSPVARKILHVLKSSSPSKYLVQTPAGDILQVWRLKDYVDPQTPVNIPPDYDGLNPYKVDLHGQRLELLQGLPDYALFLGSNASMCLPVKDFHGLKPNCAYVSDDCLEYVHFCKYNRREIGIWSMAEQSMSKLDDVSPVLYRWLYWPSPIWIKPSFL >PVH34493 pep chromosome:PHallii_v3.1:8:41816993:41817595:-1 gene:PAHAL_8G231600 transcript:PVH34493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFSLLALLALLVSATTAVIIPQCSLAASAATVPQYLSPIAAVGYEHPIVQSYRLQQALAASILPSSAIFLQQQSALLQQQSLAQLTVQSIAAQQQRVLSPFSQVALANPAAYLQQQINQLAMVNPAAYLQQQLLPFNQLAVANSAAFLQQQQLVPFNPLAVAHPAAFWQQQQLVNQLALTSPASLWQQPIVGSTLF >PAN42395 pep chromosome:PHallii_v3.1:8:18224823:18230040:-1 gene:PAHAL_8G126700 transcript:PAN42395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSRHDATSTAAHALLLLLVLMLSPSAAADPGFSCGPSSPSRSLPFCDRSLPASRRAADLVSRMTVAEKVSQMGDEAAGVPRLGVPAYKYWSEGLHGLAFWGHGLRFDGAVRGVTSFPQVLLTAASFDEGLWFRIGQAIGREARALYNLGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPATAGKYAVAFVRGIQGSSPAGGAAPAPLQASACCKHATAYDLEDWNGVQRYNFDARVTARDLADTFNPPFRSCVVDGGATCVMCAYTGVNGVPACASSDLLTRTFRGEWGLDGYVASDCDAVAIMRDAQRYAPTPEDTVAVALKAGLDLNCGTYTQEHGIAAIRQGKMSEKDVDKALTNLFAVRMRLGHFDGDPRGSKPYGGLGAADVCTAEHRSLALEAAQDGIVLLKNDVGILPLDRSAVGSAAVIGHNADNPLVLSGNYFGPACETTTPLKVLQSYVKNVRFLAGCNSAACGFAATGQAAALASSSEYVFLFMGLSQDQEKEGLDRTSLLLPGKQQSLITAVASAAKRPVILVLLTGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEDFTKVPMTDMRMRADPATGYPGRSYRFYTGKTVYKFGYGLSYSKFSHQLVTGGKNPAPNTSLLAGLPAASTDTASYYHVDDIGDDGCEKLKFPAEVEVENHGPMDGKHSVLMFLRWPNATDGRPSSQLIGFRSQHLKAGEKASVRIDVSPCEHFSRAREDGKMVIDRGSHFLMVGKDEWEISFEA >PVH34075 pep chromosome:PHallii_v3.1:8:20398296:20398718:1 gene:PAHAL_8G132600 transcript:PVH34075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPAGHLHTNALHWEGFPRLLWESLRSFLYTEPPQYDAVEYQDEGVRRCRVRMTIPQHPFRSQWQPIEVDVVGHRIVDTIEGAALEAIYLFCNQHPREVVGQPIGLFSTTDPNDPEWNLRVVPEGHRLEDST >PVH33892 pep chromosome:PHallii_v3.1:8:9030344:9031167:-1 gene:PAHAL_8G085300 transcript:PVH33892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYKSSFLFLRAQFRQPISFARNCSTDGRRFGRLGSRRACNIILIQYQPGSPWISSRFSLD >PVH34642 pep chromosome:PHallii_v3.1:8:44697179:44697595:-1 gene:PAHAL_8G266300 transcript:PVH34642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRPHAAVATRRGAERGRGGAHRGVRTWIQGRGRTRQRRLTRRRGVAVRRAARRAARRVEHARGVHGRAQRRAWAQGGRARGMRAHRGGKRQPWFGSWGRAALRSRQEGRREKKKKGGKEEGKERKEEKEKEKRKKN >PAN41474 pep chromosome:PHallii_v3.1:8:3492082:3492671:-1 gene:PAHAL_8G046500 transcript:PAN41474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSAAVCRILMAVVLIVATLSSYGEARYNCMGPCDNFYPDCNSWCRNVALFGKGGNCLNWTPTSPSVCCCRT >PAN41193 pep chromosome:PHallii_v3.1:8:1806966:1807225:1 gene:PAHAL_8G025200 transcript:PAN41193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKFAFMYKLHLPRGQEVHTLVLSGLQMKVYGMGRQTGRGGGPGCSCLLEET >PVH34012 pep chromosome:PHallii_v3.1:8:16952954:16957038:-1 gene:PAHAL_8G119200 transcript:PVH34012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTACIWTSAQWSQTVTPNRRASYHGFVRSISTSPQRRRRSTLCVMNAASTSAPVSSQSVTQLPRSSGSGLKSISSNKPSSAMEQLDIERGVCIPFRKYTPEMIIWKLGFYWSSLMYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFAIIEEELGQPLERLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQLSGSRVLVMEWIDGIRCTNPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGGPHPGNIFAMRDGRIAYVDFDNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLPSGTDVAPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKEYVSKMSSNPALKKNSSQAVRSQQLESKLDLTETIKDGARMFFIDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSVALEVLQDLPSVVRDFMLSWSDSILSDRRY >PVH34011 pep chromosome:PHallii_v3.1:8:16952954:16956637:-1 gene:PAHAL_8G119200 transcript:PVH34011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFAIIEEELGQPLERLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQLSGSRVLVMEWIDGIRCTNPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGGPHPGNIFAMRDGRIAYVDFDNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLPSGTDVAPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKEYVSKMSSNPALKKNSSQAVRSQQLESKLDLTETIKDGARMFFIDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSVALEVLQDLPSVVRDFMLSWSDSILSDRRY >PVH34278 pep chromosome:PHallii_v3.1:8:36164448:36167566:1 gene:PAHAL_8G185000 transcript:PVH34278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAPEMAAEVNGAGVSPLYLAVMSRSMRAVEAIVGYQDASAAGPMSQNALHAAVLQSSEMVSLLLRCWPSLATDLDSNKSSPLHFASSDGDCSIIEEILTHAPPSTAYLQDNEGLSALHAAALMGNEPAVRLLLQFYPASAGIRDNHGRSFLHAAALRGHSSIVSQVIKNRTLENLLNQQDREGNTALHLAVESGEYGTVSKLLSSGKVQVHIMNNAGHTPADLIEKSTGFYSMVSLVVKLYVYGAQFRPQRQDLVKKWSGQDLVKWRVATSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLNENRMYTAFLVLDTIAVTTAVMATILLVYGRASQSNRSWLGFIISMHFLWLSLLCMMLGFFTAIAATSDRKSTSIALYRVIYFGLYVLIMLLTSLAMPGSLRGVLRLLLGREGHLKRRIKRQYPFVVVYTFNMLLFIVVNNIGLASVDTIGNLR >PVH34277 pep chromosome:PHallii_v3.1:8:36165427:36167442:1 gene:PAHAL_8G185000 transcript:PVH34277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHDSHAEFELKTRIFISWSEEFSVSWGNHLSTRKKDEMVSLLLRCWPSLATDLDSNKSSPLHFASSDGDCSIIEEILTHAPPSTAYLQDNEGLSALHAAALMGNEPAVRLLLQFYPASAGIRDNHGRSFLHAAALRGHSSIVSQVIKNRTLENLLNQQDREGNTALHLAVESGEYGTVSKLLSSGKVQVHIMNNAGHTPADLIEKSTGFYSMVSLVVKLYVYGAQFRPQRQDLVKKWSGQDLVKWRVATSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLNENRMYTAFLVLDTIAVTTAVMATILLVYGRASQSNRSWLGFIISMHFLWLSLLCMMLGFFTAIAATSDRKSTSIALYRVIYFGLYVLIMLLTSLAMPGSLRGVLRLLLGREGHLKRRIKRQYPFVVVYTFNMLLFIVVNNIGLASVDTIGNLR >PVH34491 pep chromosome:PHallii_v3.1:8:41784424:41785026:-1 gene:PAHAL_8G231200 transcript:PVH34491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVFSLLALLALLVSATTAVIIPQCSLAVSAATIPQYLSPIAAVGYEHPIVQSCRLQQALAASILPSSAICLQQQSALLQQQSLAQLTVQSIAAQQQRVLSPFSQVALANPAAYLQQQINQLAMVNPAAYLQQQLLPFNQLAVANSAAFSQQQQLVPFNPLAVAHPAAFWQQQQLVNQLALTSPAAYWQQPIVGATLF >PAN42006 pep chromosome:PHallii_v3.1:8:12145833:12146468:1 gene:PAHAL_8G096700 transcript:PAN42006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSRNGKAREDQGRRKKMTSKIVAGRTSVLVLLLIVVSALLVCTEGGRELANEKAHKVHPGAASEKGAIGSRDMVKTNDYGRYDPTPAFSKPRFKLIPN >PAN42007 pep chromosome:PHallii_v3.1:8:12145557:12146783:1 gene:PAHAL_8G096700 transcript:PAN42007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKCSLSPPYLFSFPCSRNGKAREDQGRRKKMTSKIVAGRTSVLVLLLIVVSALLVCTEGGRELANEKAHKVHPGAASEKGAIGSRDMVKTNDYGRYDPTPAFSKPRFKLIPN >PAN43431 pep chromosome:PHallii_v3.1:8:42118854:42123451:-1 gene:PAHAL_8G235600 transcript:PAN43431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTGALPSVITKLGELLIGEYNLQKGLKGEIRFLQSELESMKGALEKVSSTPADRLDIQDKIWARDLRELSYDIEDGIDTFMVRGQGKEQGNLHGIKKFIDRSVGLFRKAKIRHGMATEIRDIKTRVEEVAKRHGRYKINIDVAMPVMIDPRLFSQYTEAKELVGIDEARDELIKTLEEENEVSMQQHGKIVSIVGFGGLGKTTLAKAVYEKIRARFDCCAFVSVSQTPNLKKLFKGLLCDLGKTNNEETLDESRLIKVLREFLQEKRYFIVIDDIWDISVWKMIKCALPDNDVGYAIITTTRIFDVAEQAGGAYKLKPLSLNNSRKLLYRRIFGTENKYDNKGIEKCPNEELAEVSDRILKKCSGVPLAITTMASLLACKARNKIDWYEVYNSIGTGLENNLDVKNMRKILSFSYYELPCHLRTCLLYLSMFPEDFEIDKGRLIRMWIAEGFIQCEKHGKSLFELGESYLNELINRSMVQAIYDRHTGMPPSCRVHDMVLDLIRSLSTEENFIAVLSDMDSTSPSDTIRRLSLQNVKNSHIMAHATRSSLQHARSVVIFPSEFAQAPALGSFQVLRVLDLCECDLSQANSLKYLENLYQLRYLGLCETSISQLPGEIGNLQFLQTLDVRGNTISWLPSGVVQLTNLMFLYIDGSTKVPNGIGNLTCLEQLSSLYIDGSTINIVEELGQLAALRRLEIELDEWNNKVLECLRMLQKIQELDISVCSGERSISGLDAWTAPRHIRDLRIVHSCWFSTLPAWVNPSLVPDLTRLYIDVRELHQVDLEILGRLPALRSLWLEVDNKNLGILQGFVVGAGSFPCLVSCCFSQFVWPVVFQQGAMPRLRELYLLALFYVREARGIACSDGGLDLGLGNLPSLQWVAADLQCAGANKEKAEQAKAALTLAARMHPNHPNHDIHIQI >PAN43652 pep chromosome:PHallii_v3.1:8:43609359:43613644:1 gene:PAHAL_8G252900 transcript:PAN43652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTDDSARTIGIDLGTTYCCVAFWQNGRVEIVPNEQGNRTTPSYVAFTDSKRLIGDAAKNQVARNPTNTVFDTKRLIGRRFTDESVQNDIKLWPFKVISGPGDKPMVAVQYRGKEKQFTAEEISSMLLIKMKEIAEAYLGTSIKDAVVTVPACSTDSQRQGTRDAGVIAGLNVIRIVSEPTAAAMAYGLDKKARFDNDYVLVFDLGGGTFDVSLLSILDGIFEVIATAGDTHLGGEDFDNRMVNHFVREFKRKNKKNISDNPRALRRLRTACEKAKRTLSSTAQTTIEIDCLYEGIDFYSTITRAMFEELNMDLFRKCMEPVEMCLKDAKVDKSTVLDVVLVGGSSRIPRIQQLLQDFFDGKELCKSINPDEAVAYGAAVQGAILNAVDWKCENSRIIQDLLLLDATPLSLGLETDGGAMNVLIPKNRLIPTKATVILSTDSDNQTSILIRVYEGEGTRTRDNHLLGEFEFCDIPPAPKGASQITVVLGIDADGILEVSAEDETTGQKKKVILNKRFYFKS >PVH33785 pep chromosome:PHallii_v3.1:8:5337004:5337519:1 gene:PAHAL_8G065200 transcript:PVH33785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMVKKIKFSPVKSMAEDWRSTPTRVGSIEMTSLVTQIAHALDILDGAMVAFLEKERPKITGEHFVQGHMLHVAADGSLIMIYWGYITKVPLPCTRLGLYAIKRLTLSLEEGRPPHPVRRELARHSVSGAGPTTRREPTRHSVSGAGPTTRARTRRMEETAGPSHPQPPP >PAN41149 pep chromosome:PHallii_v3.1:8:1421467:1427241:-1 gene:PAHAL_8G020600 transcript:PAN41149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEERTSPLLKEEYHPGCPGCAYDRRKDLLKGMPYKEFLYVWMVSLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVMFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAENFPNIFSPDSFFGRFPYFLPCLCTSVFAAAVLVSCIWMPETLHKHKVSENENQIVEALEAHLIDPKENVQESGSLDTKKSLFKNWPLMSSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKVLGPINSSRIAAILCIPILFAYPYMTYLSEPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDQMVFFLLNVIELLGLILTFKPFLAVPEQYNRN >PAN41150 pep chromosome:PHallii_v3.1:8:1421467:1428623:-1 gene:PAHAL_8G020600 transcript:PAN41150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEGQSAAPLLEEIKKRTAGGRRTYAVEGCPGCAVDRRKAANPGIPYGSFIYVWIVTLCTALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVMFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAENFPNIFSPDSFFGRFPYFLPCLCTSVFAAAVLVSCIWMPETLHKHKVSENENQIVEALEAHLIDPKENVQESGSLDTKKSLFKNWPLMSSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKVLGPINSSRIAAILCIPILFAYPYMTYLSEPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDQMVFFLLNVIELLGLILTFKPFLAVPEQYNRN >PAN43513 pep chromosome:PHallii_v3.1:8:42667693:42669732:-1 gene:PAHAL_8G241100 transcript:PAN43513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHADDAAAVPLLASPAKAAGDKPPRRNMYAFSCATLASMTTILMGYNLALMSGAQLFIREDLDLSDAQIEVLAGSINVFMLASILAAGWAADALGRRGTLVLANVSLMAGALAMALGGSFPALMLARCVTSVGSGFSIVVTSVYNAEISPASMRGFLSSFLDMFISFGLLLSYVSNYAFAGLPVHLGWRVMYAAGVLPPVLLAAGVLAMPESPRWLVMRGRHAEARAVLVRTSDTPAEADLRLEEIKQQAVVVREPQASDDGGGGSSVWKELLVRPSASVRRILICVVGVHFFQQASGIDAIVLYSPLVFRKAGMSSNKAVLGATVGVGVVKMCFVLVAALFSDRLGRRPLLLASTAGVAASMASLGAALISAGASSLPASVAATVASVLAFMAAFSVGLGPLAGTYSAEVMPLRLRAQGASLGMAVNRLTCALVSMTFISLADAITMPGCFFLYAGVAAAACAFVYARMPETRGRSLEDMDELFAK >PVH34597 pep chromosome:PHallii_v3.1:8:43872064:43875336:-1 gene:PAHAL_8G256300 transcript:PVH34597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRALGHLRRRHRHVQVLAEASVWESAGKDVPGVLHVDTSEFRYICGSICIPAPLEDSINHLAMYQLGFLVSALGCNLSNLLGFMPRTIEMMMKRHKMEKDLIGIGTEVVYSKNSETTKRNRKFGMIHGLPSLANIMSFGSLAMPCTPGTSPAISTCEAVTVGQKPFPCSENCCCLLAVSRMVCKSGGCAEL >PVH34648 pep chromosome:PHallii_v3.1:8:44751336:44753192:1 gene:PAHAL_8G266900 transcript:PVH34648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITTELDGQEQQLGVMASTTPPSSSVFIDLPLAPRIDGGKVPAPDEDDNDLVLPYISRMLMEEDMDDKFPDKYDAALLKAQQPFAEILSNAVQTCPCKISQPLHAATVEANSSLLAAEGNCVDVVSMAFFKGMVEANKFLPIPKDSDCSRKKRLDRDDDEVEADEVAGRSSKQLAAAPQPESEEEAAAREMLDRLMLHGYDPSLLADMLPDHPPYHVMPPPPPRRGRHAVAVDLHTLLIRCAEAVATNDRRGAADLLERIKRHSPPTGDGTHRLAHCFAEGLEARLAGTGSKIYRSLMAKRASVVVAVKAYQLYMASCCFLPVKHLFSNKTIYKAVAGRKKLHIVHYGLGRGLQWPDLLRWLARREGGPPEVRLTGIDNPQPGFRPSQHIEETGRRLSNCARAFGVPFKFHGIAKKSEAVHVEDLDIDPHEVLLVNSILHFQTLMDESVVVERPNPRDMVLSTIRKMRPSVFIHTVNNGSHSSAFFMTRFREALQSYAALFDMMDSIAPRDNDRRLLLERDMFAGCVTNIIACEGMDRVERPQSYKQWQARSQRAGLRQLPLDPEIVQMLKDKVKKEYHRSFLISEDQRWLLQGWKGRVLYALSTWTADDDSDLAQT >PAN41287 pep chromosome:PHallii_v3.1:8:2382622:2386740:-1 gene:PAHAL_8G033100 transcript:PAN41287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFLPPPAPSSSAFGGGGGAPARGHHRRAHSETFIRLPDADLLLDPDADFGFSDIDFPSLSDDSPAASDPTPQPQQPTRPAPPPQPLQQQPSAPRPPSGAHMRSLSLDAAFFDGLSLQGGGVAGHKRSGSMDGATSPFEGESAPPGVLPDYAKKAVPAEKLAELALLDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTTENRELKLRLQAMEEQAKLRDALNDALREEVQRLKIAAGQVPSMNGNPYNGGLPQQQQQMASYFSQPQQMQYFGGHQGQHQHHRNSSNGGGQSLSDSMDFM >PAN41891 pep chromosome:PHallii_v3.1:8:7035429:7036730:1 gene:PAHAL_8G077700 transcript:PAN41891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGGANVPGAYFIGRPANAAEQQPPAAAAAERTPAHTQTPGDYFIGTPENRRPQAVVAEPAQPAGDLKRSRSFLEWFPCLRGGQVAN >PVH34429 pep chromosome:PHallii_v3.1:8:40308997:40309605:1 gene:PAHAL_8G217300 transcript:PVH34429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLAAVTCLARRRRMHCRGSDTAAALELDLGCDDHRHRWLDEPAPVGMPGREHTGLPRAPLPHASRRQGRRRVPRDGGPPALGSRRRRETVAAGAEQAPTQEEARSQPGPGSSSAAVDMKKAADACRDARGGEGTASAPSSPVLCAVCLEGVRGRGEAAEAATTTLPCSHSYHAGCVLPWLAAHGACPCCRAAVPSPENYC >PVH34095 pep chromosome:PHallii_v3.1:8:24533540:24534445:-1 gene:PAHAL_8G142200 transcript:PVH34095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFSKIFWDHEGHAHTNALHWEGFPRLLWESLQLFCYIEPPQYDRVEYGEEGVPRCRVKMTIPQHPFHSLWEPIKISVVGYRLVDTIEAAALEAIHVFYDQHLEEVAAYPIDLFPAVDSRDPEWVFRISHGGHLLGDLAKETLCTMIRFMNVQHHYQILQHRGMNQLTSIAQSHHRNIDQQVTQIEELQATITAKDEVIAQRDEIIIHREDQIIESDAVIIQRNTIVEFLQEQVHDLTLELDDAITHINVLQEQQVPLDVPEEPESEDEEEDPEEIEGVSDLDSEQISRTKSSAQSFFFR >PVH33433 pep chromosome:PHallii_v3.1:8:390553:393830:1 gene:PAHAL_8G003300 transcript:PVH33433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLHAPVGVRSIISSLLAFFIVASSVTFLLDRGQEAQVQMAVEHGRQEMQVKVEAGLQEPAMRGTTDAGDAEECNWSRGRWVYDNMSRPLYSGLKCSFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDATKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDARLDEMEMIDGFEIALKKLTEWLGEHIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMDKAKSYFKTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >PAN41580 pep chromosome:PHallii_v3.1:8:3587031:3587450:-1 gene:PAHAL_8G047900 transcript:PAN41580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPAAAASMRGTEAAGPRLAARRLGRVLDGRRRRAALLLLALAYAAAMLMLATGGGEGLGAGIVVEAAPPAPPGSVYRSHLVFERLLPEMRAFASRPNPVNAQFRASIASSGGEVTILALEFSGVELSSWRLKFLVSS >PVH34378 pep chromosome:PHallii_v3.1:8:39379033:39382590:-1 gene:PAHAL_8G208800 transcript:PVH34378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTSPNVKIFTEDEIKNITSNYSTLIGRGGFGEVYRGVLDADYDLVAVKRYIRQDLREEFMEEVSIHSMMSHKNVVKLIGYCIGESTLMLVTEYISNGNLDGLLHKSDVSIPLDIRLGIAIGCAEALSYMHSMHLSSDNLIYHGDIKPANILLDGNLATKVSDFGLSRLLFSGDTQFTTSLKGSMGYMDPIYFHEGRLTPKSDVYSFGVVLFELITRKRVKHGDSNLIRAFNKACAKGKGLRELFDAAIADENNIKILKEMRKLAAECVTLDIRARPQMNDVAKRLRVLKKDLKGSPQSILATHSSWQNTYKQGSSLPSFKKGFSFFKGNTSNSKILSELGNSIRIFTKEEISEVTQNYSYPLSTAGTSAEVYKGTLEDNTLVVVDKFLHEDSNELFINGGIILSQIVHKNIIKLLGCCLEAKTLIFIYEYIPKGSLFDILASQEDLPLDLRMRIAIKTAEALEHLHSSATGIIGHGGVATSTILLDDNFIPKLTDFSRACKLIKKSEAAAGDIAISSSLLEKVLYNDPSRYGSVLINLERDVYKFGGVLMALISRDKNTDHDELIIKFTKAYETDKSGKAMFDHDITSEEDIGLLEEIGRLALQCTILKGDEMVKRPTMKDVVAHLRMLRRSWKERTTEAGTQVNETDARSLMSVEPRLPNLMRHLFGYRRISPSDPIITCY >PAN43064 pep chromosome:PHallii_v3.1:8:39378690:39382218:-1 gene:PAHAL_8G208800 transcript:PAN43064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTSPNVKIFTEDEIKNITSNYSTLIGRGGFGEVYRGVLDADYDLVAVKRYIRQDLREEFMEEVSIHSMMSHKNVVKLIGYCIGESTLMLVTEYISNGNLDGLLHKSDVSIPLDIRLGIAIGCAEALSYMHSMHLSSDNLIYHGDIKPANILLDGNLATKVSDFGLSRLLFSGDTQFTTSLKGSMGYMDPIYFHEGRLTPKSDVYSFGVVLFELITRKRVKHGDSNLIRAFNKACAKGKGLRELFDAAIADENNIKILKEMRKLAAECVTLDIRARPQMNDVAKRLRVLKKDLKGSPQSILATHSSWQNTYKQGSSLPSFKKGFSFFKGNTSNSKILSELGNSIRIFTKEEISEVTQNYSYPLSTAGTSAEVYKGTLEDNTLVVVDKFLHEDSNELFINGGIILSQIVHKNIIKLLGCCLEAKTLIFIYEYIPKGSLFDILASQEDLPLDLRMRIAIKTAEALEHLHSSATGIIGHGGVATSTILLDDNFIPKLTDFSRACKLIKKSEAAAGDIAISSSLLEKVLYNDPSRYGSVLINLERDVYKFGGVLMALISRDKNTDHDELIIKFTKAYETDKSGKAMFDHDITSEEDIGLLEEIGRLALQCTILKGDEMVKRPTMKDVVAHLRMLRRSWKERTTEAGTQVSVLCCFCLRIRRTGLRL >PAN43063 pep chromosome:PHallii_v3.1:8:39378690:39382592:-1 gene:PAHAL_8G208800 transcript:PAN43063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTSPNVKIFTEDEIKNITSNYSTLIGRGGFGEVYRGVLDADYDLVAVKRYIRQDLREEFMEEVSIHSMMSHKNVVKLIGYCIGESTLMLVTEYISNGNLDGLLHKSDVSIPLDIRLGIAIGCAEALSYMHSMHLSSDNLIYHGDIKPANILLDGNLATKVSDFGLSRLLFSGDTQFTTSLKGSMGYMDPIYFHEGRLTPKSDVYSFGVVLFELITRKRVKHGDSNLIRAFNKACAKGKGLRELFDAAIADENNIKILKEMRKLAAECVTLDIRARPQMNDVAKRLRVLKKDLKGSPQSILATHSSWQNTYKQGSSLPSFKKGFSFFKGNTSNSKILSELGNSIRIFTKEEISEVTQNYSYPLSTAGTSAEVYKGTLEDNTLVVVDKFLHEDSNELFINGGIILSQIVHKNIIKLLGCCLEAKTLIFIYEYIPKGSLFDILASQEDLPLDLRMRIAIKTAEALEHLHSSATGIIGHGGVATSTILLDDNFIPKLTDFSRACKLIKKSEAAAGDIAISSSLLEKVLYNDPSR >PAN43656 pep chromosome:PHallii_v3.1:8:43613645:43616771:1 gene:PAHAL_8G253000 transcript:PAN43656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDHAPIIGIDLGTTYCCVGVWQHDHVEIIANDQGTRTTPSYVAFTDSKRLIGDAAKNQVARNPTNTVFDTKRLIGRRFTDESVQNDIKLWPFKVISGPGDKPMVAVQYRGKEKQFTAEEISSMLLIKMKEIAEAYLGTSIKDAVVTVPACSTDSQRQGTRDAGVIAGLNVIRIVSEPTAAAMAYGLDKKARFDDDYVLVFDLGGGTFDVSLLCMCKPDGIFEVIATAGDTHLGGEDFDNRMVNHFVREFKRKNKKNISDNPRALRRLRTACEKAKRTLSSTAQTTIEIDCLYEGIDFYSTITRAMFEELNMDLFRKCMEPVEMCLKDAKVDKSTVLDVVLVGGSSRIPRIQQLLQDFFDGKELCKSINPDEAVAYGAAVQGAILDRKCANSRIIQDILLVDAIPLSLGLETDGGAMNVLFRRNSNIPNRKTVTLSTDSDNQTSILIRVYEGEGTRTCDNHLLGEFEFCDIPPAPKGAPQITVSLGIDADGILEVSAEDETTGQKKKVILNKRFYFTR >PVH33422 pep chromosome:PHallii_v3.1:8:348921:350980:-1 gene:PAHAL_8G002300 transcript:PVH33422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTENPLVRDAGAAVLTGLAAAVVLRLWEEVASRALLEQKLCRKLVHITVGLVYFLMWPLFSSDDVYAPFLAPLIIVINIIKVTVIGVGVVKDEGVVNSMTRHGDYRELLKGPLYYACAITLTTIVFWRTSPISIAVICNLCAGDGVADIAGRRFGQVKLPHNPEKSYAGSIAMFMAGFIASVLYMCYFNIFGFVEKSWTMVGAFGAISLAAAVVESLPISTRLDDNLTVPLASVLVGALVLYFIGATNLCCMSTDDSSSSSSLSAVAEMVFAGSRSSK >PVH34515 pep chromosome:PHallii_v3.1:8:42163457:42165851:1 gene:PAHAL_8G236100 transcript:PVH34515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTRWLWLLDTGRGDDNVGRLWWLDRPKDAFAVDVEHAGGAVVGVKAGEGLAAEAARNGVVVICTARRIPRLLRRRLVGVSCAASGSLRPTRRATQWPASEARREGRPARRFLPAYGVDAGLDANARRGRGRPRCRRRRRARRCKIERETRSQAASAGNLARQRAGLSNLAVAGRSSASRRKRCKSAPFHRGRSLWSRARRPPLSKQVW >PVH33446 pep chromosome:PHallii_v3.1:8:487814:489113:-1 gene:PAHAL_8G005400 transcript:PVH33446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHETSMESHGATATQRHGKEKKERFLDCLRAAPSKKLWLHRFSVTTLRARTVDWRALRARCKAWARHPTNAALLVWLALVAAGVAFVFLLMTGALNSAVPDASRRRRWTEVANQVLNALFTVMCVYQHPRLCHHLALLLRWRDADARELRGVYCSNAGGARRERLHVGVVLLLLLHATCFAHTPTARCFWSFTVQTRPDWAVNLCMALGLGFPVAAALYMVYGPLGGGKSVLRESSDEAVLALEESTAVNASRSQYSGRVVVTKAEWAGGLFDLADDPAVAALWLTCTFCVLGWNMERLGLGNMYVHVFTFALLCAAPVLVFAVAALNIHDATLGSGFWRAQMRRRLGLPADRSVCGGRPATADYVKWLLCAPCALAQEVRTGNLYDVEQKEKPAMAPLDREGRAVPWTAGNAVAIDAPAVPVMITTTYK >PVH34056 pep chromosome:PHallii_v3.1:8:17994756:18001549:-1 gene:PAHAL_8G125800 transcript:PVH34056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLATVREIRRTQRADGPAAVLGIGTANPTNYVFQEEFPDYYFRVTKKDHLTDLKDTRITGLEKRFFHHTEQLLNSHPALLHGTPSALDARLDVVAKAAPKLAASAAAKAIARWGRPATDITHLVVVSTNAEARSPGADLGLATLLGLRHDVRRTVLQLNGCSAGCAALRLTKDLAENNRGARVLVACVELTITCRISVITSFRAPHEGDSFDTLIPQALFGDGAGAVVVGADAVHPAERPLFEMVSASQALIPGFAAQNLERCLLDAFGVLAVNGVEWKWNDLFWAVHPGSRGILDHIHSALGLEPRKLAASRAVVRGYGNMLSATVIFVLEELLRRMDEEGDEAEWASWWGFGPGFTGESMVMPATKY >PAN40949 pep chromosome:PHallii_v3.1:8:460484:461834:1 gene:PAHAL_8G004600 transcript:PAN40949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRDGTTNLSISGAALAALLHRCAAATGDCDGLLFGRASHLPAPPAALSDYDDLATAPPTPELTISVSGHCSLSHPSSLSDPLGRFHPPSSDPSSAPAPAAVGFFSSRRRTALRPSMRELALAHSLSKTLQGRTTAHPLLFILVSPSASPNFSTHSYDYRAFLLLASRLVPASLTVVNVGPGFRDQYHAFSPESPMPCLPSSPAAAGHAHTIGEQKAVDEMVDGFGIGRLQGLLGSAAGQAAEMDDMYAGMLRNLEKLAREVENSNIRVLEQENRNLLLRFRCAGME >PVH33441 pep chromosome:PHallii_v3.1:8:460485:462147:1 gene:PAHAL_8G004600 transcript:PVH33441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRDGTTNLSISGAALAALLHRCAAATGDCDGLLFGRASHLPAPPAALSDYDDLATAPPTPELTISVSGHCSLSHPSSLSDPLGRFHPPSSDPSSAPAPAAVGFFSSRRRTALRPSMRELALAHSLSKTLQGRTTAHPLLFILVSPSASPNFSTHSYDYRAFLLLASRLVPASLTVVNVGPGFRDQYHAFSPESPMPCLPSSPAAAGHAHTIGEQKAVDEMVDGFGIGRLQGLLGSAAGQAAEMDDMYAGMLRNLEKLAREVENSNIRVLEQENRNLLLRFRCAGME >PAN41071 pep chromosome:PHallii_v3.1:8:870596:871707:-1 gene:PAHAL_8G012400 transcript:PAN41071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHLRRVISLTGFTILSLALLFITSLQAQGASNLGEEKRQSSEEYVIPVRSVVYRPRSVALPAAAATEAVGYEPFEVCEGCRCCSTSNASSCVDTSCCYSIDCNLPGKPFGTCAFTPQTCGCGGSSNCTQPS >PAN42180 pep chromosome:PHallii_v3.1:8:16725068:16731271:1 gene:PAHAL_8G117300 transcript:PAN42180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGASHMLLEEPLRLASVLAPAKPKVFPSLTKIVGTLGPKSHSVQVIQECLTAGMSVARFDFSWMEAEYHQETLNNLRKAAQNVKKLCPVMLDTLGPEIQVHNSTGGPIELKAGNHVIITPDISKALSAEILPIKFGDLAKDVKKGDTLFMGQYLFTGSETTSVWLEVVDTSGENVNCLVKNAATLAGPIFTLHASQVHISLPTLSEYDKQVISTWGSRNNVDIISLSHTRSAEDVRELKSFLQSHDLPETQIYAKIENSEGLDHFDEILKEADGIIISRGDLGIDLPPENVFMFQKTAIHKCNLEGKPVIVTRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPVDAVSTVGRICAEAETVYNQPLQFKKVMWHVGDPMPHEESVASAAVGSAIKVKAAAIVVFTFSGRAARLVSKYRPTMPVLAVIFPREGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGRSVGIVKPFDRVIIFEKIGDSSVVKIIECEG >PVH34264 pep chromosome:PHallii_v3.1:8:35200962:35201737:-1 gene:PAHAL_8G181900 transcript:PVH34264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAGDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWVWRSAKFQERKSYDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQHAH >PAN41682 pep chromosome:PHallii_v3.1:8:4311164:4314520:1 gene:PAHAL_8G055300 transcript:PAN41682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPSQTMSQENGDGEVAGVVNSHGVQEHDHGTTRGGRAGAGAGTEEDEERGGGQEPFYQCLDQEKPSGMVHLDDARAEFLSDDEDGGGDSDDVRCSFATAVGDGDRLLEEQAELDLEWEEEEDTSRYDYGMWMSTEPASIQERRRRLLQGMGLASSRDLLRSRNARARLPPDIPRCAARRHHQQPPAAAGDAPSATIQAPAVAAAPLPPSPEIVTRQPSAVLNRSRSDTRLAVRGGGAARKPPSFRRVYSLPHSLQAPPANKDPGNCPLPSAAPKDDGIGKAGDTGGGGLMTKAQDSRKEFTVNAQINVAQRGVPLSMDEFERFIGSTPFVKQLVRRSHSQPVPAGAVAKGGEKPPEKKRTRWLRNIKLVASAAGLRSEKDKDGDGGSRSARAVPAATMSKSASANAAVSSATGPERLKVHQCGTSSKELTGLYMRQEVRAHEGSIWSIKFSPDGRFLASGGEDHVVRVWEVVDADGASSAVAQELSPASLPPQPPPDSADAGRSPAPGLAAQLSRRMRRGRSSKDVLPEHVVVPESVFALAEQPACAFEGHLDDVLDLSWSKSQLLSSSMDKTVRLWDMDTKTCLKMFPHNDYVTCVQFNPVDDGYFISGSLDCKVRIWSVPDRHVVDWSDLNDMVTAGCYTPDGQAAIIGSHKGCCRFYKTTDCKLNQEAQIDMSISKKRRSQAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTADGRYVVCASEDSHVYVWRRVPAGGSGGAGGAGGGIGVRAKTWLTSRSYEYFFCRDVSVAVPWPGSPSLRCDAPSRGGGRSDTPKKQVDDDVGIAGAPRRPKSGPMGYPGAHLLPQLSRRESSARWHGGAEGGNAWGMVVVTASRGGEIRVYQNFGMPVANLFH >PAN41292 pep chromosome:PHallii_v3.1:8:2400226:2403465:1 gene:PAHAL_8G033400 transcript:PAN41292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPEAAGGGQAEPPDEEEDDPDVDEVDPTGRYLRYKEIVGSGAFKTVYKGFDAVDGIEVAWAKVEITSRIMGSPKELQRLKTEIQLLRSLQHKHILKLYASWVDNKKRTVNIITELFTSGNLRQYRRKHKKVDIKAMRRWAKQILTGLAYLHDQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTQSIQGTLEFMAPELFGENYNELVDIYSFGMCMLEMVTGECPYSECQGFVQIYKKISEGIKPIALSKIKDEEVRSFIESCLGSAADRLPASELLKSPFILKDDIINDKTFNPAQEPIAFPQNLDLDLDATPIFVSLLSNGIACDGKESISLVLRRGVFVLEGDMSIKDPVKLLLRIPVPNGKCKNIEFAFDLEKDTSLSVATEMVEELELPSWSMHGVAKLIDAFLLKTVRGWRPCVQVGQMIQAIHNTAPADGM >PAN43852 pep chromosome:PHallii_v3.1:8:44848110:44851195:-1 gene:PAHAL_8G268300 transcript:PAN43852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALESAWQVLIANFTEFQLATLFTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTSAYQNRCVLRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWTVVVSQVLFYFVLEDFVFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRKVKAIEEKEEGKKNM >PAN43084 pep chromosome:PHallii_v3.1:8:39424428:39427574:1 gene:PAHAL_8G209500 transcript:PAN43084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRALTASSSSSLFLVLLFLASGGQAPQQLRVRAQQPYGSAISDCPNQHNASGLLGYFCGAGSAPSCPAFLTFNARPPYSSLASIAALLGADAAGLAAANGVAAAGAPLAEGTRVLVPATCACTATPEGRFYQRNATYVVKPGDTLLIIAKDTFEGLSSCQALQAQGLRGAAPETLNASQTLPVPLRCACPSAAQAAAGARFLVSYLVVEFDDVSAVAARFGVDVETIKDANRLEPPYTILPNTTLLVPVSAQPNVSRIQTPPSPPPPPPVVAPLPAKKSSSHVGVYIGVAVAVLAVAAIASAGAVLALKARRRRAGAALATGELAKKDGKGKGNDTNTTSSGFTGGGEFSLSTSEAFSSLSVTDIKSSLKVYTYAELRAATDDFSPDRHIGGSVYRAAFNGDAAAVEVVDRNVSTEVEIMRKINHLNLIRLIGLCHHHGRWYLVTEYAEHGALRDRLLAAGAGAAAPLTWAQRVQVALDVAEGLLYLHEYARPPCVHMDVSSGSVLLAGDGPRAKLRSFGAARAITGATAGAEEALFTMTSRIAGTRGYIAPEYLEHGVVSPRADVYSLGVVLLELVTGKDAEELVGDGVGDPFAALRELAEELDGGGDAVLQRLEELVDPALPAGSCPQDAVVMMVRLVERCVRRDAAARPSTGEVARRLLNLSGVSAVSWRNSPESPRSSGSGKGLMY >PAN40951 pep chromosome:PHallii_v3.1:8:471059:475125:1 gene:PAHAL_8G004900 transcript:PAN40951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICCSKAKAPAGDLDDGEQGFPWMHDDLFHHHLWTSAAVSMHTKQGWKGANQDAMTVSQDFAGHKGHIFCGVFDGHGPLGRQVARRVRDTLPLKLSSALKPKTEEEDPSTHTSKLTTEEDHSSNTDLNSCDKSDSTSFSDDTTDEKLLLSTWKNIFMKAFDQVDEELRQHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRMIAVQLTTDLKPDLPSELARILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVVKIVSSASDPSKAARQLIDRAVRSWRRKYPTSMVDDCAVVCLFLNRPASRPDEKAPQAVSSFTGSFRKVVSGGRGVEASEEGTTVWRALEGVARANSVMRLPRIGRVLSWRRRSSNSLMDEDDDDRD >PVH33838 pep chromosome:PHallii_v3.1:8:6906539:6908732:1 gene:PAHAL_8G076400 transcript:PVH33838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMPNFNDVFTMGTDACASGIGVVLLQKGQPIAFFSKELATMHQHLSIYEKEFLAVIMAVERWRPYLQRQEFITITDHKSLSCLGDQYLQSELQKKAMTRLMGLQFKIVYKKGLDNLVADALSRVGHAMAIPAVSEILPLWIQEVINSYVTDSEAQQLSQQLAITSPDNSGYYLNKGVIRQGSKIWVGHNSALRTKLIAAFHDSALGGHSGVQGTYQRVKRMFVWEGLKQDVDSFVKQCGVCQQAKHERSKPAGLLQPLHLLELGRIGQWIS >PVH34554 pep chromosome:PHallii_v3.1:8:43107927:43108638:1 gene:PAHAL_8G247000 transcript:PVH34554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACKSKTLLMAVLLLYAAAAYADATTAAAGDGGELMMRAADEEGPTVSLPTGEYGRCLPGCPGGLDGCDEPCKKEGYDMGGECVASIHQCCCLGLPLPA >PVH33819 pep chromosome:PHallii_v3.1:8:6112911:6114057:1 gene:PAHAL_8G071000 transcript:PVH33819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQFNTQEWILPMSSTMKELEKYNLSGAFTGSMHNMNVPIEPVSPAATASFHHQPTEDLEVQEVDRSQRGKSFSKEEHRALCSAFLHVSTDAIIGTNQTVVGYYARMHQHFKKNVEVSCKRTQVSIENRWTMIQKAVNKFYGFYAAIERRNESGKNEQDRINDAVRMYEETEPWQFHHCWVILRGEPKWHEKMVECNSGQKVNRKQSEIEINSLQTDRTFPERPEGRDNAKKKARVMANTSSSSTAVEMLQKMHERGEKNDEKEDQLRQEMFQMERERLDLQKLN >PVH34405 pep chromosome:PHallii_v3.1:8:39917426:39919959:-1 gene:PAHAL_8G213800 transcript:PVH34405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMSLHLTIWFCGSSFAYRDTSILGKHCWRLAIIVARKDMWPQTAQWKSGRNLALFVGCLGTMQSSARRLVSLAPRDYHSVTWGQDCFICKKGGHMAKDCPDKHKRNDHQSTLCLRCGEIGHDMFGCTNDYPPDDIKQIRCYVCNQNGHLCCFDVADNCPKQISCYNCAKSGHSGLGCAKQRRETSAISTPTECYKCGEEGHFARGCTKNAKFHRLKGKSSSHNQRKEKWKKDSSARSAPQHDRKTSKRKSPHFEDRMDIPRHKSKARGGWTGGDDLDDLPFKKYKSNGWGSPSTPKKPYMNRQISDGDYFTPQSSRRHNHDYTSPNSNYSPSAKKYGFSSRFAASNTHVRFGRS >PVH34406 pep chromosome:PHallii_v3.1:8:39917214:39921071:-1 gene:PAHAL_8G213800 transcript:PVH34406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRWRSRARRDPDVDDDDVGGSSPPSRRPRRGGSGDEEEEEDDDVGNEDLSLEIVARARRRRRKRHGASGGGTPGLADLLQVSSGDEEAGEDAVVELGEAEEPRRKQRKKQRKRQRKKHRKEAAEAAAAAATSAPGEEQKEVGGTQQGPIGIAESVLTEDGADVPASDNMVLRKLLRIPRYFDPGETLLETCYNCSEEGHVAANCPMEKRKKPCFVCGLFGHNAKQCTQGQDCFICKKGGHMAKDCPDKHKRNDHQSTLCLRCGEIGHDMFGCTNDYPPDDIKQIRCYVCNQNGHLCCFDVADNCPKQISCYNCAKSGHSGLGCAKQRRETSAISTPTECYKCGEEGHFARGCTKNAKFHRLKGKSSSHNQRKEKWKKDSSARSAPQHDRKTSKRKSPHFEDRMDIPRHKSKARGGWTGGDDLDDLPFKKYKSNGWGSPSTPKKPYMNRQISDGDYFTPQSSRRHNHDYTSPNSNYSPSAKKYGFSSRFAASNTHVRFGRS >PVH34409 pep chromosome:PHallii_v3.1:8:39989154:39990387:-1 gene:PAHAL_8G214500 transcript:PVH34409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAANPNPKHMPMPPPAAAAAAPRNPQAPSSRASSSSSSAADHNPNKRPHPGNAAAAAAAATSGAAPAPPDPPPSLNGEAGRSPLLPAPHPQAHPPPRGASPLLLPPPPPVPLPPVAAAVEAVMNAIPPPPPYGLEDLDRRTVALSDGTVRTYFALPHEPPPQLRQPPPPIPAHLLAPPPPPPPLVPLRPPLERWAPPPMPALLPTAGLLPMPVPKRKWEDQANGGAPGQSSGRQQQQQKAEARAVKQVKVEETGVDPKALKSSFLKMVKLMNENEADKKNYRANGKLSQLKCPVCQRYAENLKDFALLI >PAN43616 pep chromosome:PHallii_v3.1:8:43426429:43430638:1 gene:PAHAL_8G250200 transcript:PAN43616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGATAALLLLLAALLASASPPAAAAAAAEVEERGVLRTNHSGGGYHYNHTLAHILVEYASAVYTSDLTSLFTWTCPRCKGHTKGFKVIEVIVDVENCLQAFVGVSPDPRSVIVAFRGTQQHSVSNWIEDLFWKQLDVTYPGMPDAMVHHGFYSAYYNTTLRHEILKSIQWAWKTYGKLPINVVGHSMGGALASFCALDLSVKFGSQEVELMTFGQPRIGNPAFAAYFSAQVPRTIRVTHQNDIVPHLPPYYYYLGEWTYHHFAREVWLHVIINGNLVTRNVTICDGSGEDPTCSRSVYGISVADHLEYYGITLHADSRGTCQFVMGAANSVYSYVREVDGAIILSRYPQDTYTLESV >PAN41719 pep chromosome:PHallii_v3.1:8:5432445:5435859:1 gene:PAHAL_8G066000 transcript:PAN41719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLLGAAVSGHATEIGHLASEDPSLLLGTTPQGNTCLHIASIHGHEEFCKEFLALSQSLPLLTTINADGEMPLLAAVTNGRVSLASFLLRFCRDQELSAAILNKDKRECNALHHAVRSGYSQLTFELIAAEPALSRAVNEHDESPMFIAVMRNDQDAFEKLLEIPDSAHGGAYGYNALHAAVRNDNAAIASKIMKTRPGLAREEDKENRTPMHLAVIWDKINVLRVLLEHDPSLGYVVSSKNEGTPLINFAACEGNVSAARELLKHCPDAPYRDASGSTCLHQAIWMTEHTKFVEFVLGSPQLRKLVNMRDSDGETPLHLAVRMCNPKIVAALLRHNKDIDVTMLHNTGEPAIRRLAGGATEHAKTLNWNEVYMVMMQADPNKDASTIFNLHKDVKSEVTRSTRMDVKSLTKTYTTNTSLVAILITTITFAAAFTLPGGYSSTDPGAEGLPIMARKAAFQAFLISDSLAMCSSLAVAFICIIAKREDLEFLIYYRSLTKKLMWFAYVATSTAFATGLYTVLAPRLQWLAVAVCVLTGLLPILTLLLGEWPILKLRFRLGRAFKTELLDMV >PVH34091 pep chromosome:PHallii_v3.1:8:23571876:23572817:1 gene:PAHAL_8G140700 transcript:PVH34091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQRIYWDAEGYAHTACLHWEGFSKFLWDTLRIFHYRYPPQYKGREFIEVGVPRCRGTVTVPQHPILGWKSLEIEVVGYRLVDTFEAAALKAITTFCEQHPEEVAAYPIGLFLAVFVHDAEWNYRTNYFRHLVGNFTKETLKAVIRYMNAQYRFQSLTQKSTDKMVNLAQDFHRDLTMKDDQIYSLGQGIAGRDTTIGHLEVQILEGDAQILQRNTIIDFFQEQVHDLNQELGDALGHIEMLQEQQMPPLVPNELEEEEEDSEEEPKEIEGVSEIDSEHGDPEPNPQPNHSSSGIHSSFGSQSSVGNLDDF >PVH34303 pep chromosome:PHallii_v3.1:8:37122509:37127798:-1 gene:PAHAL_8G190200 transcript:PVH34303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILESLVGSCAKKLQDVISEEAILILGVKEELTELQRRMEQIRHFLNDAEQRSTKESAVNNWLNQLRDAMYDADDVIDLARSKGSKLLPDHSLSLSSKSSTCTGLSLSSCFSNIQTRHEVAAKIRSLNKRIDNISKDEVFSSLASRQSTEKVSAPKHIRSSHLVEPNLVGKEVIHACRKLVDLLLEHKDNRSYKIAIVGTGGVGKTTLAQIIYNDQKINRCFDKQAWVCVSKDYSEVTILKEILRKFEVQYMQDESIDELQSRLKLAIQEKSFFLVLDDAWQSDIWENLLSTPLHAAATGIILLTSRLDTVAVEIGVDHTHRVDLMSVDVGWKLLWKSMGINQEIEVQNLRDLGIDIVRRCGCLPLAIKVVARLLARKEQTENEWNKFSRKDAWSMSKLEIPSALYISYEELPLCLKPCFLYCAMFPEDAVIDRDDITRMWVAEGFIDEQDGQLLEDTAEEYYYELIYRNLLQPNYLSADLRRCRVHDLLRQLACHLSREECFVGDPESIRGNVMSKFRRISAVTKKDILVLPSMDKDQYKVRTWITSSEKSLRVDNTIFRRLPYIRVLDLTGSVIQSIPNCVGRLIHLRLLGLDGTDISCLPESIRCLINLQILNLQRCDALHSLPLGITRLCNLRRLGLAGTPINQVPKGIAKLKLLNDLDGFAVGGGSDNSARAQDGWSLEELGPLFELRKLDLNKLERASPCSTDSLLLDKKFLKQLNLSCTARTDEPYSEMDIINIERTFEKLIPPQSIEDIGILNFFGRRFPTWLGTATHFPSLMCLILVYCKSCVHLPPIGQLPNLKYLRIEGATAVTKIGPEFVGYGVGNPGSAEAVAFPKLETLYIKDMPNWEEWTFVVEEEEEAAAAAAGKDGAAANQKGEAPPPRMQLLPRLKKLFLVCCPNLRALPRQLGQQATSLKELQLRYVDSIKVVENLPFLSETLLIARCRGLERVSNIPQVRELRAQLSPNLRYVERLDSLHQLFLDEDMQDVSSRWLPGLQEKHQQLHGEDMDVYTW >PAN42300 pep chromosome:PHallii_v3.1:8:13860503:13861156:-1 gene:PAHAL_8G102800 transcript:PAN42300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSFYKGKTLHLWGSILHYHSYEQEHAANHHVRGTILLLGSSLTFACWYPIQSMVNKVYPHKYWSSIATCFLGGLQTTLIGIILRRDRNTWKLGWDLQLLTIVYTVKATRFYKL >PAN41523 pep chromosome:PHallii_v3.1:8:3845405:3847988:1 gene:PAHAL_8G050200 transcript:PAN41523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEQAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKFHELFGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRPLAAAAAGAPGQQQQQHYQLEAQKRHAGGAAPGRHHQHQQDRFEVISDSPEACSRSSDDEPRSATPPPPPAQRRHLDIDLNLSISLAPYQPPEESTSSKPPKQEAAAATTAGQNNATAAVCLCLNSLGYRPGVECVCGGAAASRQQEQWARNFLQAAPCYRGQ >PAN43522 pep chromosome:PHallii_v3.1:8:42704518:42708953:-1 gene:PAHAL_8G241900 transcript:PAN43522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRSPEPLDFFIWTVQDVGLWLEEINLGSYRQSFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSTVFVRVAKRNRQSRVVSLKLEA >PAN43523 pep chromosome:PHallii_v3.1:8:42704500:42709085:-1 gene:PAHAL_8G241900 transcript:PAN43523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRSPEPLDFFIWTVQDVGLWLEEINLGSYRQSFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSTVFVRVAKRNRQSRVVSLKLEA >PAN42908 pep chromosome:PHallii_v3.1:8:38001599:38002165:1 gene:PAHAL_8G197000 transcript:PAN42908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERDRWHVAAGAVCLVAATGEREGQGDAVPLAPLADLAGAHLELLYLPGDPAASPERPQQAVRAAEVAERAPPAAAGGGSEVADVAVDAALRVVGEVPDLPHPRADVVVAVAGAGVAGGEVEEEAVHAVHLVPDEAHVAADAAQQLRLVGERRGEAAQERVHRALRRAEHEAVHGGADAPQRPSDPR >PAN41375 pep chromosome:PHallii_v3.1:8:2864266:2868822:1 gene:PAHAL_8G039000 transcript:PAN41375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATSHHLVSVAPSASAAAASRLPRRGLLRSRAASPAPLAAARRICCRSVNSANVLGASSTTSDEAIPVPVVMIDQDSDRDATIVQLSFGDRLGPLLDTMKALKDLGLDVTKGTVATDSAVTQTKFHIMRFGRKVEDPDMLERIRLTIINNLLQYHPEASEKLAMGEFFGIKPPEKKVDIDIATHVVVEDDGPKSMLYIETADRPGLLLEIIKIIADTNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLINCLRYYLRRPETDEDSY >PAN41374 pep chromosome:PHallii_v3.1:8:2864266:2867669:1 gene:PAHAL_8G039000 transcript:PAN41374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATSHHLVSVAPSASAAAASRLPRRGLLRSRAASPAPLAAARRICCRSVNSANVLGASSTTSDEAIPVPVVMIDQDSDRDATIVQLSFGDRLGPLLDTMKALKDLGLDVTKGTVATDSAVTQTKFHIMRFGRKVEDPDMLERIRLTIINNLLQYHPEASEKLAMGEFFGIKPPEKKVDIDIATHVVVEDDGPKRFKMD >PAN42390 pep chromosome:PHallii_v3.1:8:18701888:18704070:1 gene:PAHAL_8G128100 transcript:PAN42390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMSRDPLVVGHVVGDIVDPFITTASLRVFYNNKEMTNGSELKPSQVMSEPRVHISGRDMRTLYTLVMVDPDAPSPSNPTKRENLHWLVTDIPETTDTSFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRSNFNTRDFAAIYNLGSPVAAVYFNCQRENGCGGRRYIR >PAN41332 pep chromosome:PHallii_v3.1:8:2579505:2584478:-1 gene:PAHAL_8G035600 transcript:PAN41332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRNSSGVCPAKSDRNVSGVCPVTGKNQGGSTGNAEGKSSDPRLMPARCPFGYDSGTFKLGPLSCMICQALLHECSRCNPCSHKFCKACVLRFKDCPLCGADIDGIEPDPELQALVDRFIDGHARIKRSHASGDEEVLGGKNKVIYEDVSMERGAFLVQQAMRAFRAQNIGSAKSRLSMCAEDIREELKSSEDNLDLRSQLGAVLGMLGDCCRTLGDSPSAISYYEESAEFLSKLPVKDLELVHTLSVSLNKIGDIRYYDGDLQSVRSYYSRSLDVRRNAVKEHSAVASLVIDLATSLAKVADVDRNLGNESTAAEGFKEAIECLEKLKLGPEQSSLEQRRLSVLDFLHNQLAVK >PAN41330 pep chromosome:PHallii_v3.1:8:2579505:2584699:-1 gene:PAHAL_8G035600 transcript:PAN41330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRNSSGVCPAKSDRNVSGVCPVTGKNQGGSTGNAEGKSSDPRLMPARCPFGYDSGTFKLGPLSCMICQALLHECSRCNPCSHKFCKACVLRFKDCPLCGADIDGIEPDPELQALVDRFIDGHARIKRSHASGDEEVLGGKNKVIYEDVSMERGAFLVQQAMRAFRAQNIGSAKSRLSMCAEDIREELKSSEDNLDLRSQLGAVLGMLGDCCRTLGDSPSAISYYEESAEFLSKLPVKDLELVHTLSVSLNKIGDIRYYDGDLQSVRSYYSRSLDVRRNAVKEHSAVASLVIDLATSLAKVADVDRNLGNESTAAEGFKEAIECLEKLKLGPEQSSLEQRRLSVLDFLHNQLAVK >PAN41252 pep chromosome:PHallii_v3.1:8:2154748:2158169:1 gene:PAHAL_8G030600 transcript:PAN41252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPEDPFNERHGKKSKVDEPTDDTEDAAAAAVVKDGNPRKKDANTKKQPPEKAGAKAKAKAKAIRERATETEEGGVDFDRPSKFLVVCLNAIRDAVAPEDGGGSSIHGAGDWGVELWRCCSAPAPSDVLDTSGASATLEQTAWLVSTACDIVARKERLGMVVSCPFLLYIVPSQEKAAQVRSICKPLKSLGIHSVSLHPGASIEHQISGLKSCEPEFLIATPERLLELVSSKAIDISSVSMLVIDGLRCFLDLNVTDKIYSIRDAISSNPQITIFTDPSDKNVAIMARNLLHGRTTKLSINDSVSSRSAFITQHVHFCPLEKQKTSKVKEILEQILKSHAKKTLKVLLVAANGQKAQNLSSSLKLENCTVTDDTHGNSFMICSSVGLMNVLIKDRETIAMMDIGEFEIVLIVDLPPSVDEYSEILSDVARHVIGGEVHSIFCNTDAPLVKPLAEILAKCGQAVPEFFKNLEPS >PAN42026 pep chromosome:PHallii_v3.1:8:10697050:10698549:-1 gene:PAHAL_8G092000 transcript:PAN42026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLFRCSIVQKRVFLDLAACRQGPAISPVPVSIVCQFTAENSRDYSRRALTAQHVEDCFGAGGGCASGGFAAAAYRRAWRQLPFKAKRGG >PAN41112 pep chromosome:PHallii_v3.1:8:1027349:1028884:-1 gene:PAHAL_8G015000 transcript:PAN41112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPSEPSIIPTTAGAGHVDLHHDMIVNPLPKGNSLRPAACSNTAEVSQLIARRSPFAATDGDSSSSSYASSMDNISRLLNGFMKSSPPQNDAADIKPSATDINPLLSFNQYHMAGGTTLPAFNDMLPSPPPQQPALMEHRGYDEQQHQQGALSPIEKWLFEEAAEQVVDLMDLSDGCCSVPMMF >PAN43404 pep chromosome:PHallii_v3.1:8:43541892:43542399:1 gene:PAHAL_8G251800 transcript:PAN43404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRMFFQFESLDSALRGLDLHIVLRGIHNMVGAGGAKSDEMQQQRSMDVSWWPCRERGHHPEETWSAPTEPCRARALAAGEEEAGGHRPRPARPEAPVAHGRTASGTPTRKHRPPLGSLRAVGSNMSGQD >PAN42548 pep chromosome:PHallii_v3.1:8:33167133:33171378:1 gene:PAHAL_8G172400 transcript:PAN42548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGASDPAAAERTAFRRAEKQYKLYKPPNLKGRSRSRSKPAGVDGDGGDLSAVVDFHALLAADGELPAGIGRRDCAGFDRPVFCFLDRSGFYFIPGALSTEEQCYWIRESLKTFPQPPNRTNLTAIYGSISDLFTAAKNQKILVEVENSDVEEKEQNKGDRKTESKNFKFVEDSEIQKGEECRTTRAITLMRKLRWSTLGLQFDWSKRNYDVSLPHKKIPDALASLAKNMAIPAMPFGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARECFHGVPRIFTESNQQEISALVSQLSGEDDCFILHYVKNSRININIRQVY >PAN41007 pep chromosome:PHallii_v3.1:8:678321:681274:1 gene:PAHAL_8G008900 transcript:PAN41007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRHLAAGPACHHPHGVAAASASLQLRRLPSCPVPLRSRLFTRVYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSTTTFEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGASDSVQGGTKPATLETGAVVTVPSFVNIGDDILVDSRTGQYMNRA >PAN41006 pep chromosome:PHallii_v3.1:8:678321:681273:1 gene:PAHAL_8G008900 transcript:PAN41006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRHLAAGPACHHPHGVAAASASLQLRRLPSCPVPLRSRLFTRVYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGSQFVFMDLTTFEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGASDSVQGGTKPATLETGAVVTVPSFVNIGDDILVDSRTGQYMNRA >PAN43820 pep chromosome:PHallii_v3.1:8:44554302:44555267:1 gene:PAHAL_8G265400 transcript:PAN43820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSCGSSCNCGSSCKCGKMYPDLEEKSTGGAQATVVLGVAPEKKAGQFEAAAESGETAHGCGCGSSCKCNPCTC >PAN42993 pep chromosome:PHallii_v3.1:8:38800490:38801317:-1 gene:PAHAL_8G203100 transcript:PAN42993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSRRWTSWNCNFFPTKATKLPSHHGVHKASFTRTCCKQTPRNSLIPSPRINRRKAAQMEFSSSELAGRGSLRQRRSDRRRLLPPRLLLLLLAVAGHSPAAASIFGGDAASECSRTCESEHCAAAPLMRYGKYCGVSYTGCPGEAPCDALDACCAVHDACVQATDITAQTTT >PAN42992 pep chromosome:PHallii_v3.1:8:38798853:38801446:-1 gene:PAHAL_8G203100 transcript:PAN42992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSRRWTSWNCNFFPTKATKLPSHHGVHKASFTRTCCKQTPRNSLIPSPRINRRKAAQMEFSSSELAGRGSLRQRRSDRRRLLPPRLLLLLLAVAGHSPAAASIFGGDAASECSRTCESEHCAAAPLMRYGKYCGVSYTGCPGEAPCDALDACCAVHDACVQATDNDYLNTWCNQSLLDCVAAARLSAAATFEGNQCNVTEVADEITSVVEAAVYAKGILHKP >PAN41190 pep chromosome:PHallii_v3.1:8:1800811:1802311:1 gene:PAHAL_8G025000 transcript:PAN41190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVPFFGSSSGKKVTRRTRSKDGKSGSFGSTTSSSDECASALTTPRTVLPPPPPSASASGPKRRNPAAPLTREDLETALRRVVSSEEELAEMLAEAAGSGVLLEEIAAEAQAAAADEGELRDTFAVFDADGDGRISAEELLAVLASLGDDRCSVEDCRRMIGGVDVDGDGFVCFTEFARMMAQGV >PVH33643 pep chromosome:PHallii_v3.1:8:2763584:2764458:-1 gene:PAHAL_8G037700 transcript:PVH33643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGAARASRRAGGGRGMRELAGGARRRGNDEEREKGRGGRNLTTLAGVDVLRKDGRRWVAEEDNGRRWKRMGRARLYRRSWRNINETTSVLHRTNVIQRLRIF >PAN42912 pep chromosome:PHallii_v3.1:8:38011754:38012908:1 gene:PAHAL_8G197300 transcript:PAN42912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVPLCSYILLSGIYAPKALSDSPSLQDVCPMAPQGERKVFMNGFLCKHPSTILASDFKTLLLNHAGDLNNMFQSSVNMVTATEFPGLNTQGLAMARTDIAPSGVVLPHSHPRASEMMFVHGGSVAVGFFDTKGRLFQKTLGEGEVFIFPRGLVHYIINYGFGPSTTFSVLNSQNPGVVGITHAMFATDSDVLEGLMARMLKFGEMGLSDNSTAGLPWTF >PVH34556 pep chromosome:PHallii_v3.1:8:43138975:43139463:1 gene:PAHAL_8G247400 transcript:PVH34556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLSSDVRKILLVAVTMIVLLFPTGEAVKYGMCQAQCLQIQPNCNAWCKRIGYPKGGECVEPRNIDCCCWEIPPSEKLNGTVESLLPLHI >PVH34255 pep chromosome:PHallii_v3.1:8:35075314:35075897:-1 gene:PAHAL_8G180500 transcript:PVH34255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWVIHSINIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >PVH33454 pep chromosome:PHallii_v3.1:8:523732:527358:-1 gene:PAHAL_8G005900 transcript:PVH33454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAGSSRIKAYLQLRMGQPDSWRSWTLKNEHKLPDSVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDSAAALSLYWPQLKDKVAGLVLAQSPYGGSPVASDILREGQLGDYVRLRKIMEILVSKVLKGDVQALEDLTYERRKEFLRQHPLPQEVPIVSFHTEASITPSVLTALSHVAHLELPIAADGNSTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPGDEADTSQVCEALLTLLVEVAQKRRHETAMKDE >PAN42498 pep chromosome:PHallii_v3.1:8:28948448:28951470:-1 gene:PAHAL_8G157100 transcript:PAN42498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFVHFVSLYNTVMKLVFLASSFSIVWYMRKHKIVRRTYDKDHDTFRHYFLVLPCLLLALLINEKFTFREVMWAFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGAYRMLYILNWIYRYFTEPHFVHWISWVAGIVQTLLYADFFYYYIMSWKNNVKLELPA >PAN42497 pep chromosome:PHallii_v3.1:8:28949005:28951470:-1 gene:PAHAL_8G157100 transcript:PAN42497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFVHFVSLYNTVMKLVFLASSFSIVWYMRKHKIVRRTYDKDHDTFRHYFLVLPCLLLALLINEKFTFREVMWAFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGAYRMLYILNWIYRYFTEPHFVHWISMNSLHLFSVPMMVDATSIHAATTFCFDQCRLGCRNCANSVVC >PVH33471 pep chromosome:PHallii_v3.1:8:658965:659740:1 gene:PAHAL_8G008200 transcript:PVH33471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRDLTCWNAMIVGLSVHGQSLDALELFDSMKIEPDHITFVGVLSACSHSGLVNEGRAYFNSMTEDYKIVPSVKHYGCMIDMLCRHVKVHEAYRMIKDTPIQANSMLWKMVVAACRVHGQFDLASKAFREQQQLMPDAITVSNVYAEAERWDDVEHLRRKVIGCNVSEHAAWSQVHVR >PVH34061 pep chromosome:PHallii_v3.1:8:18437457:18438323:-1 gene:PAHAL_8G127200 transcript:PVH34061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNIFWDPAGHLHTNALHREGFPHLLWESLNSLLYTEPPQYDAVEYQEEGVRQCRVRMTIPQHPFRSQWQPIEVDVVGYRIVDTIEGAALEAIYLFCNQHPREVAGWPVGLFPTTDPNDPKWNLREVPESHRLAGSTEEALQGTMRFMNVQHHYQLMLHRGMGQLVNIAQGHFRNTDRQATQIAQLQALVTEKDEIIAAREETIHHREDQINESDAMITQRNTIIEFLQEQIHDLILEVDDAQAQTMNSNSSQPLLLYQHPKPKKKIQKKLREFQILIPSMEILS >PAN41662 pep chromosome:PHallii_v3.1:8:4769758:4770402:-1 gene:PAHAL_8G060700 transcript:PAN41662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKRVAALLLVFAIICPPQVAVHGHCTRAQKEAIMLDCASYILRDKPGGAPQPWSRCCRTVRKVPGMDMDCIVKLLKLKQQEAFGKRIRDLEVLCAVYSSPPPPPSPHHKHKAVVQKDAAFIADGV >PAN42687 pep chromosome:PHallii_v3.1:8:35473711:35474115:-1 gene:PAHAL_8G182400 transcript:PAN42687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAGVLLVLAALISCHALTGNAQQIGGTCHLSDIKVSQEKTGKVVQGQPEYRVTFENLCECPQDYVDVRCNRLPSVEPIDSKQIKVMDELCMLATTLFKGSNISFTYAWKTPQDFTVVKATSRCGEGMGRRV >PAN43856 pep chromosome:PHallii_v3.1:8:44805171:44806568:-1 gene:PAHAL_8G267900 transcript:PAN43856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIFPNRIMALIKFLDLEHFPTRGTRCEANCKMAVFVGAHTRILARGIKFRSACRITETVLVYLLGPKLAVQKTYEDIDMLKCNYFTA >PAN41811 pep chromosome:PHallii_v3.1:8:6157659:6158951:1 gene:PAHAL_8G071200 transcript:PAN41811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASPKNLSAAAAAAAVLLLILVILATGEAASAAEQTCRHLSGSYKGICVFNPSCYFACTDESAGNIDGACHILRCWCYTRCPSEIVAAASAPMIQP >PAN43584 pep chromosome:PHallii_v3.1:8:43212741:43221103:-1 gene:PAHAL_8G248100 transcript:PAN43584 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MEETLASLRRPKRGRPPKPREEDHADFEEEADAEALAPPQSKRKRAASATAAAALEDQPLIDIIKHNGRLISHAVKKLVEDYESNKNSVTFQILAMLFEACGAKHEIYPDYLHESDVDDIVLSLVELARKGLVEDNYSTKQKDLKHFKENLVSFWDSLVLECQNGPLFDDTLFQKIKDYVVALSCTPPRVYRQVASLVGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKQSDGPLVESLNNRLALTHENITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYARMIQLADDIDVSVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSQPGARDGENEPSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMRDWRCMISVLLDENPGIELTDMDGTNLVRMLHASAKKAVGERIIPAMDNRKLYYNKGQKETLENSKREITSALLTRYPHLLRKYMSDKAKISPLVDMMVLLKLEMYSFKRQEKHFKAAIDLITDAFFKHGEKDALRSCIKAITFCCTECQADLKDYAENKLKNLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLYELQLSKPVKNDSLFEDMYRILSHLRDMDNEVKSFLLLNMYLQVAWCLHAIDGENPSETSIDELLSKQSSLFDQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCMFKKSKYSSTRLESLGYLPQVDMVQKFWKFCEQQLNISDETEDEDANEEYIEDTNRDAVMIAAAKLVLADTVPKDYLGPEIVSHYASHGASTTEIIKHLITSLKKKADFDMGALFFEALKRAYERYMTHVNDGENQTLTGKSYSECQDLASRLAGSYIGAARTKNKSEILKIIQDGVSFAFADLPNQLSFLEAALLPFVSKLPSADIPDILADVEKRTQDANMDGDQSAWRPYFTFVEHLREKHAKNEVLHEEEKPVKRRGRPRKVRDVPNVPAVRGKKLFEDDGHNSSDEESISGSDHHGHGEDEDSDDDANQPLINTIRSSAAKLRSLKVSQQGTSSRKGAPRPSGSNS >PVH34006 pep chromosome:PHallii_v3.1:8:16903063:16903383:1 gene:PAHAL_8G118700 transcript:PVH34006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYRTQSQVMGPRAPNTQPRSQSTMRAPQSNASLVACENNSVKACFNCRETGHFIANCPYAKNKPATSAFSNTVNGPRPALTGANRVPVRNNDNSQQMKQPQQSFG >PVH34209 pep chromosome:PHallii_v3.1:8:33190344:33191521:1 gene:PAHAL_8G172600 transcript:PVH34209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMLHPRRHAPPPPTAPCPTTATHGHRPLSSTRRRPPTPNAVGPNGRHRAAVPLPHRVAGPLPRTPLARIGDAGPPALLSQRRASTPVRLAACADARRPEADALTLGLRHRLLRPPALLPDTRSAPPSTAPAHAPLDARSGFWTEMCCSLHFS >PAN42960 pep chromosome:PHallii_v3.1:8:38604543:38606708:-1 gene:PAHAL_8G201400 transcript:PAN42960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MPAADPPAAADAASDEGAPARAPTPRPPPRPLSLASALPFWFYLAAAVSLVALLLPRISPGSSSPAPLPPLLRGHLASGSVLKLDPAPGLFAVTSRPGGGGPAPRVLILPGLAAGSFSFRRVLSSLSSRGVLSAALDLPGQGLSPAPPAAPAPARTSVLREIMDRGVFHAFEHLVQTGEVPYQEEPAAPPAPSPHAPAEAAAAVARAVEALGVAPVHLVLHDSALAAGAAFASANPGAVRSMTLIDATVALPAFPAAVFDVPVLGRLVLRVPALFRGLVRLCCARGLGAEEAEAHRAAMQGEGRTQGVIEAWKAMNHSFQLGEWRGSSDEVRRLPMMVLWSGSWSDMWIDEGKKVVAALPDAKFVYHSGGRWPQEDVSEEISGLIADFVTSSEEASGGRIE >PVH34183 pep chromosome:PHallii_v3.1:8:30658675:30659328:-1 gene:PAHAL_8G165200 transcript:PVH34183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTPKSSFQIFCLLIFIHVLLACISLCHGLFEVISSHFNRKPGQNLRTGGLRASGILALIFLKLYLKMWRYVRADFPSNSLSSWICPGRRRHSHAWHHVGSLSRCTRWSLAAACRFLAKATVRSKLPWRRRARRGVLFHLKLWIMATLLPSLPFRSIRTVSLDIGLSDYDQSRTNRREICWLEFLKSGEPGHMSGYSGNIVWSIRKKYPESPGIVV >PVH33808 pep chromosome:PHallii_v3.1:8:5817902:5820321:-1 gene:PAHAL_8G069000 transcript:PVH33808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMCKIRVPAPEWAFLQPPAEKARASCLFRGMICYGITKQCGLRTPFTDFVSSETIADLPQKHILKQLPSRPGPSASRLGFNCASR >PAN41762 pep chromosome:PHallii_v3.1:8:5809587:5813288:1 gene:PAHAL_8G068800 transcript:PAN41762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPRVVGVAALLLLLQLSSPYHAIVAHADPAVLGRKAGVAEEPAAAGKNAPAGPGRYAVIFDAGSTGSRLHVFRFDRRMDLVGIGDDIELFAKVKPGLSSYAGRPQEAANSILPLLEKAKSVVPSRLMKTTPLKLGATAGLRLVGEEKAEEILEAVRDLVHTKSKFQYNPDWINVLEGSQEGHYLWVALNYLLDKLGGDYSQTVGVIDLGGGSVQMAYAISGCAAARAPAVPDGEDPYVTKEYLKGKDYNVYVHSYLRFGAFAARAEILKAKNGAFSSCMLRGFSGTYTYNGQQYDATASPNGADFGKCRKEITKALNLNAPCKTKNCTFDGVWNGGGGAGQNNLYVASSFHYLASQVGFIDSKAPSAKAAPAAFRTAARKACRLGVKKVKVVFPKIEDASVPYLCLDLTYQYTLLVDGFGLHPAKKITFVSKVKHGEYYIEAAWPLGTAIEALSPKKQIGSN >PVH34373 pep chromosome:PHallii_v3.1:8:39279813:39280963:1 gene:PAHAL_8G207800 transcript:PVH34373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVDHFHDVDRPDVRGRHCRLHSVAQLDSPLLDRDHLEHTATPPPERDTPACPPRRQNKSSPCFRTVAPAGAEHADRSESKIVAGRHARGVRELIAEAAAAIAFGTRLVTAQSGLGGALLLTGSRGGEHVTVIK >PVH34141 pep chromosome:PHallii_v3.1:8:28728533:28740945:1 gene:PAHAL_8G156600 transcript:PVH34141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKWNECSSNSYRELSSHVIGESKSPLTETVLSPTSCFDLPTANRMCSLSPQKKDGNVYKRRKMDKDSNSLATNEESKETMQSCTTSDEQSSLVLPAVSSEAMPSNSTANMIGRILDCEGPTGVSLERNSGTNVSNMSPSSMILYKKDAPECSSSNISPTEPITEHMSPRDLCIAILKKDGLITESRARIKDEFTDNDANPLLTCNTCGCLDRSLKMLICDSCEVAFHLPCCIPCIKEPPTDEWYCAPCLCKKPKSLYGKLLEGKVKPSRNTNQRPHGMSHIEYMLKDAEPYVTGVRIGRDFQAEVLEWSGPTSSSDGYFDEPSEFDPAELTNFNLRKTSNQSQSSIGNWIQCRETLNPGDSDKQVVCGKWRRAPLYVVQTDDWECFSCLLWDPAHADCAVPQELKTSEVLKQLKFVNMLKNQLVDQIQKPA >PVH34142 pep chromosome:PHallii_v3.1:8:28732083:28740945:1 gene:PAHAL_8G156600 transcript:PVH34142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKWNECSSNSYRELSSHVIGESKSPLTETVLSPTSCFDLPTANRMCSLSPQKKDGNVYKRRKMDKDSNSLATNEESKETMQSCTTSDEQSSLVLPAVSSEAMPSNSTANMIGRILDCEGPTGVSLERNSGTNVSNMSPSSMILYKKDAPECSSSNISPTEPITEHMSPRDLCIAILKKDGLITESRARIKDEFTDNDANPLLTCNTCGCLDRSLKMLICDSCEVAFHLPCCIPCIKEPPTDEWYCAPCLCKKPKSLYGKLLEGKVKPSRNTNQRPHGMSHIEYMLKDAEPYVTGVRIGRDFQAEVLEWSGPTSSSDGYFDEPSEFDPAELTNFNLRKTSNQSQSSIGNWIQCRETLNPGDSDKQVVCGKWRRAPLYVVQTDDWECFSCLLWDPAHADCAVPQELKTSEVLKQLKFVNMLKNQLVDQIQKPA >PAN42822 pep chromosome:PHallii_v3.1:8:37489890:37491427:-1 gene:PAHAL_8G192600 transcript:PAN42822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKLKDLVPAATNTVNTMFIVFDKAAPAARPPAAPPPPRPSTHPHAQVCSGEEVTCLALVADDTAAAHFLLWGGECGVFEPGDIVRLTGGIFSYHRGNALVLRVGRRGRTEKVGEFTALFVETPNMSEIHWGRDPGGWCRRPSSRPTPRLQHGLLPSAEHLQSSLT >PVH34465 pep chromosome:PHallii_v3.1:8:41255936:41257453:-1 gene:PAHAL_8G226100 transcript:PVH34465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKRDIKASALTCMKTSLSINVIRQSYNSCSPTLFLSFSITRSIVENTLSTLAVAMGRTSTNLRSL >PAN43144 pep chromosome:PHallii_v3.1:8:41750829:41753811:-1 gene:PAHAL_8G230700 transcript:PAN43144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASNDAVKSLVNKLGSLLAQEYTLIRGARDDIQYVTDELASMQAFLNRLKRAQGNHDEKRLDWMKQVSEVSYDIEDCADDVDHRLSGEPRGSGILVYLRKKWYLIGNLKTRAQHVSERRMRYGVKELENNDGGPAGAIAPRDIIAPPPQLIGTTEPVELEDAKEELEPWITEEGLQRFLAIVGFRGLGKTTLAMELYREFGEKFECRAFVLASQNASKNDLVGIENWSEEDLKKKLADQLKKKRYLILIDDIWSVFAWENIRDSFNKKNEKGGTIVVTTRFKSVSEACRRHQGHVYEHQPLHDGYSYSLFLEKISSAASDLCPARPINGGVVKKACRGLPLAIVVLAGLMASKMKSQSKINLDDHLAQVGEDLSKFHCYDHLPPDLKTCLLYMSMFPKGCQISRKRLIRRWIAEGFISDKHGKTVQESAEDCLNELISSNLIRAVNDSSNGKVKSCQIHDMVLEYIVSKSSDENFITVVRRLSVQKSDGKEKEKVERMKLSHFRSLTALGSLRALHSTLHKFQIMQVLDLEGCKDLSFKQLTKICKMHQLKYLSLRQTDVKEIPSKIGRLESLEVLDIREMSIIKLPESVDKLQKMEHLLASNKNKRHALKLTEGITKMMALQTLSGVQICIGFSMELLRALQNLTNLKKFTIYKVGCTTDNYEVLLPAIEHLSSCSLKYLAIDDDFTGFLDTSLNASQAPPEHLHTLGLSGKLSQVPKWIVSLHNLEKLTLSLTSLTTDTLLVLAEAELPELFSLIFSLDSTKRDASVLKILHDNTLKSGGMIFVPSGGFTNLKLLCFAAPVLPPLSFLEGAMPGLQRIELRFRMVEGVYGLENLESLQQVHLTISSQAPEDARTKASQFKKLASKIHRKPAPSVVLDEYNESSEQK >PAN43326 pep chromosome:PHallii_v3.1:8:41449773:41452109:-1 gene:PAHAL_8G228400 transcript:PAN43326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEEQGMGEADTVAPAAPERQHDVDSTVDERRKKKLIEEAIGSLGAGRARCSEMMEAARPGRGTGDLELRCLDFLETELRYIVAGLTALSPQHVDEEMTGWLQYLTDNANFLPRVINQADHQRDNTLLRRASRFFRCISHRYPYKLLGAARFFYRLAEHPCRYRHLLQATSRHGLPPQAAEEGGGLLPMGTTYDFPYEIDLPDLSMIMFPYGHKFETDRLVKKWWYEAGFLLPDDPFSRLVSRNVITHAAPNSRRRTNWPDEAETWQWNVNPIQYQFLASKSAEMGFVFTSATLNLLAAGSSTGHGNEAGQIARRLALHQDAPNIPSLLREIDLSQTRSLAVSGAVSIRVPLDKFVNLVVLDVEGWVNFGDEDLLRVCRSKMFFLEYLSVRNTRVSKLPPEINELCRLEVLDASKTQVTEIPFGVFVATRLDRLDLRGTPIRQLTVPKQILELQSSLHELLLGGETATRLPHDILRFSSLHTLATVDLSQQPASFVKALGDLRYLGVLAITWSFHQSSDRNYCEALLSSIKRWNWLKSLTIHCGLGCSMEFLGSLSDPPRYLEKFKVTLGRFVGVPQWFHGLRRLSFVQIIVCKQGARDLEILRDLPKLKCLILGLDFIPREAIVIGNEGFHELQRFSIDCPVPWLTFESRAMPKLTYLQLEFHACPTSPISVPSGIKNLSSLTEVALWYNVRYANSSSVKMTVEAVREEVANRRNMTQMISLFINGIEQDDAQEVDKETESTTGAPSGPDAGAEGKAVVEKTTAVVDIEITEAES >PAN41492 pep chromosome:PHallii_v3.1:8:3555525:3562318:1 gene:PAHAL_8G047300 transcript:PAN41492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGSGAGGAGAGGLKTYFKTPEGRHKLQYEKTHSPAVVHYNHSGKTVSQMTVAYLKEKPAGQGSTPSTPSAGSGMRSAAARLLGTGNGSRALSFGSNGTSRAVSGSSRIGGGIGASTSASGSQGMANYDGKGTYIIFNTADTLFISDLNSHDKDPIKSIHFSSSNPLCHAFDPEAKDGHDLLVGVFSGDVYSMSLRQQLQDPGKKPVSYQHFINKDKDKDKDPSQGGAASSRCTCVAWVPEREGIFVVSHADGNLYVYDKSKDGNTDWTFPTIKDQSQLLISHAKSSKGNPIARWHICQGAINAISFSPDGAYLATVGRDGYLRVFDFAKEQLIFGGKSYYGALLCCSWSADGKYLLSGGEDDLVQVWSMDDRKIVAWGEGHNSWVSAVAFDPYWSPPNSDETEENVMYRFGSVGQDTQLLLWDLALDEIAVPLRHPSGGSPTFSSGSPSAHWDNACPPTGVLLPSPRMRDVPKLSSLVAHRVHVDPLSGLEFTSESILTICREGLIKIWARPSHSENNQQPDSSDQIIGNATAKDKMLTSSNKAGTSSSSFKQSSAVFT >PAN41235 pep chromosome:PHallii_v3.1:8:2009726:2013401:-1 gene:PAHAL_8G028400 transcript:PAN41235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVTRFLGSSEPAVSMQLADLGHLAYSHTNQALLRPRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVVLVIEAYKTLRDRAPYPASFMLSQLIGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVEGSTVLTSLGGNLRV >PVH33435 pep chromosome:PHallii_v3.1:8:403172:404145:-1 gene:PAHAL_8G003600 transcript:PVH33435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAGWYLKISAVGASIGGAMELFMIHTSFYEKVTVLETEKRAWKSSPEAQAMREALNPWCKHDEQHKK >PVH33616 pep chromosome:PHallii_v3.1:8:2467213:2467806:-1 gene:PAHAL_8G034100 transcript:PVH33616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRRYAELLRRASSLPSLPLVASLHAAVLRRGVPALLAASLIHGYSACGDLTSARAVFDGTPRPERTLSARTALAGALSAHGRCAEALGLFSGLEAEMDDRAVTVLLAACARAGMVSEGRRVFARVPCPALQHYTCMVEMLGRAGEVEEAERLVAGMEARPDRVIFAALLAACRVHGRVDVAERVPGLMRRYSIA >PAN41480 pep chromosome:PHallii_v3.1:8:3499792:3506785:1 gene:PAHAL_8G046700 transcript:PAN41480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGECSTSQPPRPSSAPGSSPASASAAWAKLVPTDPAYPEVEVAEDDAVVCSLVAPAAGGEEVAWCEIRRNGGDASSATIRNLSSDAIIVDGTIVKQEAVDIKPGSEIVSGPQKEGHLVYTFEITPSKDQDKNNVKIVLDIENAKCSICLNLWHDVVTVAPCLHNFCNGCFSEWLRRSSSKSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKSNIVLGKQENQSRKRPFSRSSDEINNADLPCPQCRTEFGGFRCSPGAAHLQCNGCGGMMPARSNVNIPQKCLGCDKAFCGSYWCSQGVNSSHCNLICSQETFKMISQRHISRLPVTVHGGNPYEKDITEKCIEQSGKTLQAVISEWISKFDNMEIDRSRLQLNNVDAITSTTYICNHCYNKFIDFLLYWYRVSMPRNLLPPDAVDRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >PAN41650 pep chromosome:PHallii_v3.1:8:4669846:4675969:-1 gene:PAHAL_8G059300 transcript:PAN41650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGSKNSKVQILTLYVLETLSKNCGDVVHQLIVERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGRYPQYHAAYQELRAAGIDFPPREENSVPLFTPPQTQPLRHPHVYPPPSQSYEDAAIEASLQSAPSVPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVNSTGDESLLFQALGLNDELQRVVQRHDDIAKGVPPGTGATTPASANINQRTAPPRSTGVSFSPLLNVHEDDEPEDEFSVLSRRSARDGTATQSNPPSAPRNERPYPSPLLPPPPASKRPVYREASNIDYLSGDSYKSEKVSDDFINPTAPANISTSSHSKTEAYPPPSYGSKTDSVSDDFINPTAPSFSAPSHTKSEELTRSSAKQQESLPDDDFINPTALPGFSSPAPKGYEDSREDIPKAPWEPQAAAGSLPPPPARYGQRQQYFEQNVYSGGSNGGGYDGLVMETENLSLSQNEKSSVSRPAVAARQAKPEDSLFKDLVDFARTKPSSPSKPANSRRTR >PAN41651 pep chromosome:PHallii_v3.1:8:4669846:4675926:-1 gene:PAHAL_8G059300 transcript:PAN41651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGSKNSKVQILTLYVLETLSKNCGDVVHQLIVERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGRYPQYHAAYQELRAAGIDFPPREENSVPLFTPPQTQPLRHPHVYPPPSQSYEDAAIEASLQSAPSVPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVNSTGDESLLFQALGLNDELQRVVQRHDDIAKGVPPGTGATTPASANINQRTAPPRSTGVSFSPLLNVHEDDEPEDEFSVLSRRSARDGTATQSNPPSAPRNERPYPSPLLPPPPASKRPVYREASNIDYLSGDSYKSEKVSDDFINPTAPANISTSSHSKTEAYPPPSYGSKTDSVSDDFINPTAPSFSAPSHTKSEELTRSSAKQQESLPDDDFINPTALPGFSSPAPKGYEDSREDIPKAPWEPQAAAGSLPPPPARYGQRQQYFEQNVYSGGSNGGGYDGLVMETENLSLSQNEKSSVSRPAVAARQAKPEDSLFKDLVDFARTKPSSPSKPANSRRTR >PVH33701 pep chromosome:PHallii_v3.1:8:3812464:3814230:-1 gene:PAHAL_8G049800 transcript:PVH33701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTIDEAWMFWISYGGKIGFEVRKRYTNKRKSDGKVRSCRYVCANEGHRKEDKMDHLTKCPRAETRTDCQVRMGVILDREEGNYKVADLVLEHNHILQLLEISHLMVSQRKILELQGFEIEIADDAGIGPKAAHELASIQVGGSLNLSYTLRDHKNDLRGKRQREMAYGQAGSMLMYFQDKIAENPSFQYALQMDREEQIANIFWVDAKILTNYAYFGDVVNFDITFGTNKESRPFGVFVGSNQFRETMVFGAVLMYDEIFESFKWLFETFLKAHNGKQPKTIYTDQNSAIGKAVKEVFLEAWHVLCTFHIMQNAVKHLDEVDDEESSTSPKQTAEDNEKEPSILADFSACMFEYEDEETFEQVFNTIKAKASKQSWLDSMYKVKEKWSECYVKDVFALGMRSSQLSESLNSELKRHFKSDFDIIRFLKHFERVVEDKRKNELDAEFESRKKIPRIKMRTPMLIQASKLNTPIIFEAFQGEYERSMVACTTALEGNNCYLVAIGSLDENCTFEEYKVFGDSLEQTSTCSCGMFSRTGIFCSHALKVLDLMNIKSLPAQYVLKRWTRGARSEKVQDNYGRNIIENPR >PVH34082 pep chromosome:PHallii_v3.1:8:22876928:22877407:1 gene:PAHAL_8G138800 transcript:PVH34082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGRKPFLRTVKYQICCSPPLHASVEACAPKAPAPLLSSPGRRRLHSHPNSPLRSGATVLLGPPLLHGMVELGLRLHAERGGGGGDAEEHGEGEIERPEAVREEHDLEDADLHPPSPPHTAEWGRTAGVIELHRHHLPTRRSREQRRPFPSALHPLTL >PAN43645 pep chromosome:PHallii_v3.1:8:43574334:43584692:-1 gene:PAHAL_8G252500 transcript:PAN43645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSAAAAAGKRPSPSPSSSSVPPPKRPKAEAPASPTASAPGRAEEDSAPAAPARSAGSAEDAAAAAQKDQGADKPSGTAAESSKRRKEPEQQQPVAPWAKLLSQCSQTPHQPISAPQFSVGQSKSCNLWLKDQPVSKTLCRLRRLEQGGQCELEVVGKKGSVQLNGRSISPGTKVPLTGGDEVIFSSCRNHAYIFQHPLNDKVHKAVPSSAVSLLEPPVASAKRIRTDKRTPDTSSFAGEEMLAFISDQPKDVSAVPPAENSQRVVRPMASSASDKSKGRAVSPDKECENGENANEVNSNIEDSSMDVTAAPVSPDAAANDTCLQNGFGPDAHLGAEIALEDQRDIIRDLTTSVPPSRCQAFKDGMKQGIISPSDIDVTFENFPYYLSENTKNVLLSCAYIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLVKALAKHFGARLLVVDSLLLPGAPSKDPESQKDVGKSDKSGDKAAGEKFAIFQKHRSSLADAVHFRRPAAPTSSVNADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQPSSLSQRGPSYGYRGRVMLAFEDNGSSKIGVRFDKQITNGNDLGGLCEEDHGFFCSAELLRPDFSAGEEVERLAMTELIEVISEENKSGPLIVLLKDVEKSFTGITESLSSLRSKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGNRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTDWKQQLDRDVETLKAKSNVGNIRVFLNRNGIECNDLEELFIKDQSLSNENVDKIVGYAVSYHLKHNKVETSNSKDAKLVLTSESLKHGLSMLQSMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKFVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGPDVDLDSLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNLAKVEGKPEPSLYGSEDIRPLSIDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >PAN43644 pep chromosome:PHallii_v3.1:8:43575006:43584561:-1 gene:PAHAL_8G252500 transcript:PAN43644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSAAAAAGKRPSPSPSSSSVPPPKRPKAEAPASPTASAPGRAEEDSAPAAPARSAGSAEDAAAAAQKDQGADKPSGTAAESSKRRKEPEQQQPVAPWAKLLSQCSQTPHQPISAPQFSVGQSKSCNLWLKDQPVSKTLCRLRRLEQGGQCELEVVGKKGSVQLNGRSISPGTKVPLTGGDEVIFSSCRNHAYIFQHPLNDKVHKAVPSSAVSLLEPPVASAKRIRTDKRTPDTSSFAGEEMLAFISDQPKDVSAVPPAENSQRVVRPMASSASDKSKGRAVSPDKECENGENANEVNSNIEDSSMDVTAAPVSPDAAANDTCLQNGFGPDAHLGAEIGKIATYKIRPVVRMFTGSTVPEFDLTGDVFKALEDQRDIIRDLTTSVPPSRCQAFKDGMKQGIISPSDIDVTFENFPYYLSENTKNVLLSCAYIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLVKALAKHFGARLLVVDSLLLPGAPSKDPESQKDVGKSDKSGDKAAGEKFAIFQKHRSSLADAVHFRRPAAPTSSVNADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQPSSLSQRGPSYGYRGRVMLAFEDNGSSKIGVRFDKQITNGNDLGGLCEEDHGFFCSAELLRPDFSAGEEVERLAMTELIEVISEENKSGPLIVLLKDVEKSFTGITESLSSLRSKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGNRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTDWKQQLDRDVETLKAKSNVGNIRVFLNRNGIECNDLEELFIKDQSLSNENVDKIVGYAVSYHLKHNKVETSNSKDAKLVLTSESLKHGLSMLQSMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKFVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGPDVDLDSLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNLAKVEGKPEPSLYGSEDIRPLSIDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >PVH34645 pep chromosome:PHallii_v3.1:8:44732868:44734735:1 gene:PAHAL_8G266600 transcript:PVH34645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPEEFFAEGLMDPSPPSPVVFLDFLLIPEGCQGPFSPDDTVLSYVSDMLMEEDSGDKLLYQYSDHSALVQVQQPFAQILSPPSSRVDHDNRNRGDMDEIKDLFQNNNGHQSTLDPAFSRGVDAVGAFLRGMEEAKMFLPNDNGFRRDELVNCMSRESSSHCGVKKRHNRDKHQDEVSRASKAVKMMEQEEIGAHKMFNEMMFRGYEICITSMENLLISMAMESEKNNRKSTSNKTRDVVNLRTLLVLCAQAVAANNHMSARELLKQIKKHASASGDATQRLAQCFAKGLEARLVGTGSHLWQLLITERPSVMEFLQAYTLYLAAGSFIKVALHIVDYGPHFGFHLAGLLRLLASREGGPPEVKVTAIGCPNLRSCPTERTEDTGMWLSKCAREFGLPFKFHAIMMKSDKVCIEDLKTDDDEVLVVNDLFNFSTLMDESVFFDHPSPRDIVLNNIRNMRPNVFIQSIVNYSCGSSFLSWIRGALFYYTSLFDMLDATIPRENQLRVLLEQGLLGHAALNAIACEGVDLMDRPEKYKQWQVRNQRAGLRQLPLKPHIVKELKEKVKQNHHNEFLISEDGQWLLQGWTGHTLFAHSTWVAEDAPSK >PVH33845 pep chromosome:PHallii_v3.1:8:7049976:7050784:-1 gene:PAHAL_8G078100 transcript:PVH33845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRSLRTCLVQLKAVPAPPNTHLRRYRSITVHGSLFSLPISFLPLTICGSSGFGSSDTTACNATVPRQREEPEPLKPG >PAN42666 pep chromosome:PHallii_v3.1:8:31333418:31336750:-1 gene:PAHAL_8G167600 transcript:PAN42666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILCAGHVAPSVHSKFLPGWLLLNNSPRMYCNAFLDEMKLKRRNRNQWVTSFSKGSSLQDTVPSVKPSRLLPTEELKTYPNTVPEDIFSTIRLDDSDAFYMLELRTSREFSSSLLDKNSAMLICLIDLDGDSLLQRVPAIYLGQPTPGVKAEQSMPFQSGSIDAVTFKGSKLQRIKEVWIGIESGSWRLDGLSLKVIHGPVDPPKDISGTHELKFNGLQYTFEKINVPLGEDGASVAEARPVAVMDLSGVSLSDLQEGQLSSENPASVVKELKEDGLRQYANLKQSLLLYDAAIVLTGFSIFTLASNDNAAYSFLVGGIGGFLYLLLLQRSVDGLPVISSPSEVGSTQPSVSGFSGVRRPWLILSLVMVVGAVALKYGAGGDSFELTPTELFVGTAGFLANKVAVLLAAFKPMQSDLKPEDGSGGRT >PVH33663 pep chromosome:PHallii_v3.1:8:3099397:3099924:-1 gene:PAHAL_8G042200 transcript:PVH33663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKRPCRAGSHCTPLSHSLRDSLVQWPPNQGLRWIRHFVEEQKKGGLVDDWWTMDLLAPLLWVKEQE >PAN41510 pep chromosome:PHallii_v3.1:8:3734183:3742654:-1 gene:PAHAL_8G049200 transcript:PAN41510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGLFKPCVHPDLRDVFSKMSFGDKIGFLFVHAFDKRNLWHKMPVPIGLLYLNTRRTLLEKYDLLAVGSSHGALFDPKEFLYRTGDGKYNDPHNAEAGSQYTFFGRNMKPVDQEDELMRPDPFVVATKLLARREYKDTGKQFNILAAAWIQFMVHDWMDHMEDTKQIEITAPKEVANECPLKSFKFLATKELPTNSDGIKTGYYNGRTAWWDGSAIYGNNEKKAQKLRTYVDGKLVIRDDGLLLHEENGVPLSGDVRNNWTGVSILQALFIKEHNAVCDAIKEEHPNLSDEELYRYAKLVTSAVIAKVHTIDWTVELLKTKTMRAAMRANWYGLLGKKIKDTFGHIGGPALGGLVGLKEPNNHGVPYSLTEEFTSVYRMHPLIPSTLKLRDPTGQPDANNSPPYLEDIDIGELVGLKGEEQLSKIGFQKQTLSMGYQACGALELWNYPSFFRDLIPQNLDGTSRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEDLTSDKDAIEAIREIYGDDVEKLDLLVGLLAEKKIKGFAISETAFNIFIVMASRRLEADRFFTSNFNEKTYTKKGMQWVKTTEGLRDVINRHYPEMTAKWMKSSSAFSVWDADY >PAN42887 pep chromosome:PHallii_v3.1:8:37827895:37829579:1 gene:PAHAL_8G195500 transcript:PAN42887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAQPATVLNELRRAQRADGPAAVLAIGTAVPANCVRQDDFTDWYFRVTKSDHLTELKAKMKRMCDKSGIKKRYFHHTEEMIGGHPELLDRAAPSLGARLRISADAVPELTAAAAERAIAEWGRQAADITHLVVTTNSGGNEPGADLRLARLLGLRPTLRRTLLYLHGCSASFIAIRLAKDIAENNRGARVLVACAHSTILPFGAPDEAQLDALVAMAIFGDGAGAAIVGADPTNPVERPIFHIASSSQATLPGTEHAVAINLGEGGVRYRMSAEVPELVRSNIERCLVDSLAPLGQAAASGCGWNGPFWAVHPGSRPLLDSYEAALGLETGKLAASRRVLSEYGNMLAATIIFVLHEMRRRGQDGEKEGEESQWGIMSTLGPGLTIETIVLQASGDRNDG >PAN41245 pep chromosome:PHallii_v3.1:8:1512264:1512902:1 gene:PAHAL_8G022300 transcript:PAN41245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYTGVSDMVVGTRGEDVLNFALAYDLLLVNTLFRKRESHLVTFHSGQYSSQIDFILARREDRRACLDCKVIPGECVVPQHKLVVADFRFRVRTHRDKRAKIARTKWWKFREEEAQTFKERMLGEGPWEEGADVNDMWLKMATYVRKVASEVFGVSRGGKQEVKETWWWNDGVQRAIKEKKECFKRLHLDKSATNIEGYKLAKRSSERSGF >PVH33926 pep chromosome:PHallii_v3.1:8:13277348:13278726:-1 gene:PAHAL_8G099700 transcript:PVH33926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKIPTTSPKTSSRVPSCTQSCLWVRSPLELRMAQDSDDPLFVVSVVVWVFVVILAIVALHCPLPRRVVR >PAN41647 pep chromosome:PHallii_v3.1:8:4654359:4659849:1 gene:PAHAL_8G059000 transcript:PAN41647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYRQQYGGGFSDSRGGHHHQGLPDWHRPHHASSKPASRIRRPGKPAQRRRSPAAAAAVAAALLLLAAVFLLSRRISRGPAEISQGAGAGESLPEWNQSNSWKELKFGHGGGGRSARDSRYWDRDDRRRDEDYTEDEKEKISGGSGTAADASGSGDKGVTAEAGVEDKGLTLETGGGAKDVPDVSEGGKGGTLYNEGGRKELEQYEAAAMGATGTGVKEVDPDDEYDDGIDSQDDFEDAHLHPSDGGRKLGDGGHESAEKKEDVAIERHTETGAGVVDSHDVSSMDKKKASGSSDKKHGSKKKSKHKKSGSTCEMKFLNSTAQLVEPARNEKFASFNLEYVEVEERSGSEYWEPRFAGHQSLQEREESYIAHDQQLKCAFIKGPNGTSTGFDISDDDRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEVTLQTLESEGQKMDSMGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPTSRFSIWLDSKLRLQTDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTRFNPSDPSKLLPSYVPEGSFIVREHTPMSNLFSCLWYNEVDRFTPRDQLSFAYTYLKLRRMNPNKPFRLNMFKDCERRSIAKLFHHRSEERHSGPQLTR >PVH33509 pep chromosome:PHallii_v3.1:8:1070924:1071532:-1 gene:PAHAL_8G015200 transcript:PVH33509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQKLDQMAETGNRDERHGSHTETGICVHSLPPGNMQMQPLRFLQYCSSCNRALGHEADIYIYKGESAFCSTECREKGMMIDHARRNPS >PVH34419 pep chromosome:PHallii_v3.1:8:40115105:40125152:1 gene:PAHAL_8G216000 transcript:PVH34419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRPASCSSTLLLVLALLLPFCANAASNVYIVYMGEKKHDDPAMVTASHHDTLASILGSKDEALKSIVYSYKHGFSGFAAKLTNSQVEELKKHPGVISVKLNTYHEVHTTRSWDFLGLNYNQPSGLLHKANYGEDVIVGIVDTGIWPESRSFDDSGYGPVPKRWKGVCQAADASFNATSCNRKIIGARWYAKDVNHMSPRDANGHGTHAASTAAGVPVRGASRGGLGAGVARGGAPRARLAVYKACWSDDLGVGSCSDAGVLKAMDDAVGDGVDVLSLSLGGLTESHGSLHLAARGVTVVFSAGNWGPVPGTVLNAYPWVISVAAGTMDRSFPTEISLGNGEKLVGQSAYHDDVPAVSSNDFHSLVYAASCDQKALTEVNVTGKVAVCFSPLEAAASPPSNAVITAIAGLYVAKAKGMIFAQYNANQMDTVQLVCEGDLVCVLVDYHLAYRVIAGYIDKASSPVVKISPAKSVVGDWVLSPRVAVFSGRGPSVAFPAVLKPDIVAPGVSILAAKGDSYQFMSGTSMACPHVSAVAALIKSVHPGWSPAMIKSAIVTTASVTDRFGMPIQAEGVPRKVADPFDFGGGHINPERAVDPGLVYDINPKEYTKFFNCTLSPDQDCTQDIGKLYLLNLPSIAVPDLKDSIMVWRTVTNVGPEKATYQAIVEAPAGVTMSVDPSVITFKKGGRQAKTFKVTFKARQRVQGGYTFGSLTWLDDRTHSVRIPIAVRTVIQEFIADAF >PVH33767 pep chromosome:PHallii_v3.1:8:4907484:4908324:-1 gene:PAHAL_8G061700 transcript:PVH33767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTNALCIPTMVAAAAAPRCRRSLIVARASPAKHDERQDPAVKVDAAGGRRRAMVLFSAAAITASTAAAVRSARAGVSTKTVPGQWVDIENLADPYVQDLGKWAVMEHNSQTGEDLQFGKVVGGKQQVVAGMNYKLEIETKRGPSRFYEAGLFVSLPPEKRTLNSFEPLAG >PAN41876 pep chromosome:PHallii_v3.1:8:6843152:6846655:1 gene:PAHAL_8G076000 transcript:PAN41876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAAARAAAADAVVTFLWVLCASALGAATAAVTSFLGVQEVPGAGHYALLVTACLLAALLFAFDLLCGALGGASFNPTDFAASYAAGLDSPSLFSVALRFPAQAAGAVGGALAISELMPEQYKHTLAVAWPALKVDPHTGALAEGVLTFFVTLAVLWVIVKGPRYTVLRILMLSVSIVSLILAGAEYTGPSMNPANAFGWAYVNNWHNTWEQLYVYWICPFIGAMLAGWVFRAVFLPLPPKPKTKKA >PVH34625 pep chromosome:PHallii_v3.1:8:44287020:44287756:-1 gene:PAHAL_8G261400 transcript:PVH34625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFHSTTACKAVVVLAIAAVAALLVSTGYAQATPADSNDPPPCTKSLGEGETCGDWCSKLGYHEGSFANSVCCCGSRLRPPKAS >PAN41141 pep chromosome:PHallii_v3.1:8:1401643:1407377:1 gene:PAHAL_8G020100 transcript:PAN41141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYATGGELFDIIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSEDLQMQPAA >PAN41140 pep chromosome:PHallii_v3.1:8:1401643:1407377:1 gene:PAHAL_8G020100 transcript:PAN41140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYATGGELFDIIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSEDLQMQPAA >PAN41139 pep chromosome:PHallii_v3.1:8:1401919:1407329:1 gene:PAHAL_8G020100 transcript:PAN41139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYATGGELFDIIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSEDLQMQPAA >PVH34228 pep chromosome:PHallii_v3.1:8:34508040:34509352:-1 gene:PAHAL_8G176900 transcript:PVH34228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIVPSPASTLASNGSHEEEDELCMQAQQLMFAYNISLVLRAAIQLGLIDALCAATTTMTADELAEQIKVADRAEVAASVDRILGYLACFNVVRCSAETTAPHGEVLRRYTPAPLCRWITKNDGIGSLGPFALFLTDRDHMLPWHHLADAVVSGGPCAFERIQGAPFYDYLGEKNQRLGNVFDHAMAEHSLILVNKMLESYRGFNSIRRLVDVGGGTGNTLQMITSRYKHITGVNYDLPHVLAQAPSIPGVEHVAGNMYESVPSGDAVLLQWIPLMQTDEQCITVLKNCYKALPDGGKVIIIDGIRPETPDPTSLTARDIFSLDINMLIIFKGKERTEREFTKLARESGFTGPMQTTYIVLNFYAIEITK >PAN41774 pep chromosome:PHallii_v3.1:8:5876668:5881110:-1 gene:PAHAL_8G069600 transcript:PAN41774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHAPSSSTSPEVERKRIIAPMRPHELPVPRRYCSMADVMHRLRPVNKQPPTPRRFSTMADVMRRSRPVDAAPPVARAREAIYEAVVCDTCGSGERGDELLLCDRCDRGRHTFCLRPIAAKVPIGPWFCSDCAPPVKRFKSFPMEQAKIIDFFRIQNDDQDGEPAKCRLSQDVRRRRKSSLVMHKKRRRLLPFVPTEDRATRLKQMASLATALASSNAEFSNELTYVTHMAPRSSNLARFEEGGIQVLHKEDKQTMELCRTMQQRGQCPPLLVVFDSREGFTVQADANIKDMTFIAEFAGDVDYLENRENDDCDCLMTLLLTADPSQRLVISPDKRGNISRFMSGINNHTPDGKKKQNVKCVRYDIDGESHVLLVACRDIACGEKLYCDYNGQENAYPTHHFL >PAN41058 pep chromosome:PHallii_v3.1:8:771947:774140:1 gene:PAHAL_8G010300 transcript:PAN41058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAKEHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPNTVRSFKQYDKPLMDLISTLPEGEKVILVGHGAGGLSVIHAMHEFVDRISQAFFVAATMLPFGFQTDEDKKDGLPTLPENEVELTLGAAADDPPTTVALRLEFQRDRLSQQSPEEESVLASMLMRPWPAAAISTASFEGDDERLNRVKRIFIKAERDHMLNPEQQDSMIKKWPPSEVLVIDTDHSPFFSAPEQLFNLIAKSL >PAN41971 pep chromosome:PHallii_v3.1:8:16037027:16038656:-1 gene:PAHAL_8G113800 transcript:PAN41971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHVLVLPFPAQGHVTPLMELSHRLVDHGFEVTFINTEVDHALVVAALRASSGGEAALGGGIHLASVPDGLTDDEDHKDLNKLIDAVTRHMPGHLERLIAGLEAAGPPRAKWLVGDANMGWSFEVARKFGIRAVSVWPAATAALALNLKIPKLIEDGLIDDKGLLRRQETFELAPGMPPLHTSQLPWTNAGAPEGQRIIFFDLITRNNKLNDLAEMTVANSFHEAEAGASKLFPNILPIGPLSADRKPVGNLLPEDGRCIKWLDGQLDRSVVYVAFGSLAIFDGRQFQELAEGLELTGQPFLWVVRPDFTPGGLSKAWLDDIHQRVAGSRGMVVSWCSQQQVLAHRAVACFVSHCWWNSTMEGVRNGVPFLCWPYFCDQHLNRSYITNVWRTGLAVSPDVDGIVTKEELRTKVEQVIGDAGIKKRARLFADVARRCVREGGSSYENFNRLVNLLSE >PAN43780 pep chromosome:PHallii_v3.1:8:44376727:44378091:1 gene:PAHAL_8G262800 transcript:PAN43780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEGMVWCPNNTWDRQLRQPDDDASPGEKERPHAFIGVRPRPWGTFAAEIRDSTRRGARVWLGTFDTPEAAALAYDQAAFSARGAAAILNFPVERVRESLGPLALTGGAGGSPVLALKRRHSKRRHRQRKKLSRSVVTTTTSKDLISKQQRQPPRHCSDVPSLSSGVVAMAVPQHHAVTVRCGVLELEDLGTDYLDELLRASCELTAG >PAN43484 pep chromosome:PHallii_v3.1:8:42535964:42538997:-1 gene:PAHAL_8G239000 transcript:PAN43484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLISAHAGLRSSLPVRRSSAARPLPASQVPARFTSPPFRSAKVCKSRGLEAAALEVSKDCSSAVLANLQPSKDAIETLRNADAVCFDVDSTVIMDEGIDELADFCGAGKAVAEWTAKAMTGDVPFEEALAARLSLIKPSLSQVEECLEKRPPRISPGMADLIKKLKANSTDVFLVSGGFRQMIKPVAFELGIPAENIIANQLLFGTSGEYAGFDPTEPTSHSGGKAKAVQQIKQNHGYKTVVMVGDGATDLEARQPGGADLFICYAGVQMREPVAAKADWVVFDFQELITKLP >PVH34270 pep chromosome:PHallii_v3.1:8:35878013:35878426:-1 gene:PAHAL_8G183700 transcript:PVH34270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVTATMATAVLVAALMLLAPPPPASAADPLHQCDAMRDVVVTQSVGHRQSNGAKKFAVEVANRGGDAVSGIHLMCGYSFRTVWPVDPGVIVEVGHGDCLLVGGGSVAPGGTVSFNYTNYVRYDMTLLAATCDGGS >PVH33482 pep chromosome:PHallii_v3.1:8:774658:776472:-1 gene:PAHAL_8G010400 transcript:PVH33482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDADEWAVVERRGPHLLESGRPFVVNGFNTYWLMYFAADPATRPTVTAALAEAADAGLKVCRTWAFNDGGYRALQLKPFSCDEDVFQALDFVISEARKHKIRLILSLCNNWRNYGGKAQYVRWGNEAGLDLTSDDDFFTDPTIKSYYKAFVKPRCHSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGVEGFYGPSTPELLHVNPDAYSGTVGTDFIRNHRAVGIDLASIHIYSDTWLPHSVEDNHLQFVNTWMQQHIDDAANLLGMPILIGEFGVSLKDGRFSNEFRESFMETVYTIFSSSWKSGVIGGGCLVWQLFPETAEHMDDGYAVIFAKSPSTLNVLSNHSRTLEC >PVH34463 pep chromosome:PHallii_v3.1:8:41179977:41182033:1 gene:PAHAL_8G224900 transcript:PVH34463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQISNTCFFQKKVVFFPRDEHHLFRNGAGHPHISIQLKRSKWLPRTRPRLQPPRLGPRPPSIPAPGRRRPDRHCAPAASARSGAPPRAPDPATPAPSGSGAGRQLGAPEAVPARWRWWWWRRRGGLRGRGHGAVAAVHGLQAYSTSRRPRPRVGSGQGASARRPRTSFSTRTSRPSSPTSASRSSARRAVTCRWPRP >PVH33562 pep chromosome:PHallii_v3.1:8:1715444:1720564:1 gene:PAHAL_8G023300 transcript:PVH33562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTENAASTKSVELVKQEDHLEFDDPDEEDEEEEVEYEEIEEEVEYEEVEEEEEEEEEKSEVACEGDAKHDSKMVDVDQKDEDEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKNLFESVGEVVEVRIRGKGDNKLYAFVNFRAKELALKAIQKLNNKDLKGKKIKVSSSQEKNRLFIGNVPKHWTQDDFKNVVEEVGPGVLKVNLPKAPRSDRHKGYGFIEYYNQACAEYARQKMSTPEFKLDTNAPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKNLFEHLGEITKVILPPAKAGHENRYGFVHFKERYMAIKALKNTERYELDGQVLDCSLAKADKKDNTVSVPTAKGGPLLPSYTPLGYGLAGANPLGNGLAGAYNPLGNGLAGVYNPLGNGLAGAYGVLPARAAQPILYAPGAPSASTMTPMVLPDGRLVYVPQPAGQQTVHLASPPAQECGRHYGGSGSSGGGSSSGGRRQRGDDRGSNSNYSRRGRHRPY >PVH33564 pep chromosome:PHallii_v3.1:8:1715160:1720564:1 gene:PAHAL_8G023300 transcript:PVH33564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTENAASTKSVELVKQEDHLEFDDPDEEDEEEEVEYEEIEEEVEYEEVEEEEEEEEEKSEVACEGDAKHDSKMVDVDQKDEDEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKNLFESVGEVVEVRIRGKGDNKLYAFVNFRAKELALKAIQKLNNKDLKGKKIKVSSSQEKNRLFIGNVPKHWTQDDFKNVVEEVGPGVLKVNLPKAPRSDRHKGYGFIEYYNQACAEYARQKMSTPEFKLDTNAPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKNLFEHLGEITKVILPPAKAGHENRYGFVHFKERYMAIKALKNTERYELDGQVLDCSLAKADKKDNTVSVPTAKGGPLLPSYTPLGYGLAGANPLGNGLAGAYNPLGNGLAGVYNPLGNGLAGAYGVLPARAAQPILYAPGAPSASTMTPMVLPDGRLVYVPQPAGQQTVHLASPPAQECGRHYGGSGSSGGGSSSGGRRQRGDDRGSNSNYSRRGRHRPY >PVH33563 pep chromosome:PHallii_v3.1:8:1715160:1720564:1 gene:PAHAL_8G023300 transcript:PVH33563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTENAASTKSVELVKQEDHLEFDDPDEEDEEEEVEYEEIEEEVEYEEVEEEEEEEEEKSEVACEGDAKHDSKMVDVDQKDEDEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKNLFESVGEVVEVRIRGKGDNKLYAFVNFRAKELALKAIQKLNNKDLKGKKIKVSSSQEKNRLFIGNVPKHWTQDDFKNVVEEVGPGVLKVNLPKAPRSDRHKGYGFIEYYNQACAEYARQKMSTPEFKLDTNAPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKNLFEHLGEITKVILPPAKAGHENRYGFVHFKERYMAIKALKNTERYELDGQVLDCSLAKADKKDNTVSVPTAKGGPLLPSYTPLGYGLAGANPLGNGLAGAYNPLGNGLAGVYNPLGNGLAGAYGVLPARAAQPILYAPGAPSASTMTPMVLPDGRLVYVPQPAGQQTVHLASPPAQECGRHYGGSGSSGGGSSSGGRRQRGDDRGSNSNYSRRGRHRPY >PAN41749 pep chromosome:PHallii_v3.1:8:5707944:5708246:-1 gene:PAHAL_8G067600 transcript:PAN41749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQMVAGLLLLLVCAMVSPHPVVGGKKRPRCTEEDKAHILKECGHYTKHGHPKEHPPKHSPCCKAAEGHDMQCVVDLLSKDERRDYEQAAIIALEEACD >PAN42463 pep chromosome:PHallii_v3.1:8:27066036:27066659:1 gene:PAHAL_8G151500 transcript:PAN42463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGHPIGLFPTIDSSDPEWNFRIAHYGHMLVDSAEETLRDTIRFMNVQHHYQIQLRRGMGQLTGIAQGHYRNADWQVTQIEELQAFETILHREDQINESDAIITQRNMIIEFLQEQIHDLILEVDDAHAHINKLQQQPVPPAVPVVPEGGEEDPEEIEGVSDLDSEHGDPEPNPQPDHSSSGSQSSVGDLDDF >PVH34344 pep chromosome:PHallii_v3.1:8:38362306:38365061:1 gene:PAHAL_8G199900 transcript:PVH34344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKESTARVQENDTLCSRWVEEMEELLPGTDLLVEKARWSKPSIYRVPEWIKGMMTNNTSAYRPRLVSLGPFHHGEPDLLPMEEHKRRAVLHLVKRSGKPLRDFVAAVEMVADELLDAYQGLDEKWRESSRDRFVQMMVMDGCFLLELMEATKPLGSSVKEDEVINTINTNPHCFSIEIFRTRQSPRAAAVSLSSAPVKDDQWEDYAPNDPVFSAHANHILWPGIRSDVIALENQLPLLVLQRLLAVERGTTPEPAEINMAVVRYLYYCSCEGTPTGKLGLHPLDILHRSMCAPQPDAQHQGGDSASFEEDCMPSAVELREARVLFKSSNTHLIDAITFRNGVLSMPEFKAYGDTENLYLNLFAFEQLHPDTGYELLSYMFFMVGLIESNRDVALLRSRGIIRNMRSSDKELVEMFSVLGRATLMHPSSKLNGVLREVKAHCKKRRNKWRANFVHTYLSSPWVFISLIAAVILLMATLLQTVYAILPFYSKVKPEV >PAN42845 pep chromosome:PHallii_v3.1:8:37395951:37399445:-1 gene:PAHAL_8G191600 transcript:PAN42845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMEKGKLKTGGLSDPRMGTVDRKIKCETCMAGMAECPGHFGHLELARLMFHIGFIKTVLSIMRYVHFICSKILADEVSLGFTQEDNNKFKQALKIRNPKNRLRRIYDACKSTKFCGGGDDPCIQEQQDTDEPVKKRGCCGSMQPNIIVDGMKMVVEFKAPKENDDKEKSSEPVERKQILSAERVLEVLKRIGDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMGTSSRSEDDLTHQLAMITRHNKNLRRQERNGTPAHIITEFAQLLQFHIAT >PAN41547 pep chromosome:PHallii_v3.1:8:3970165:3975929:-1 gene:PAHAL_8G051900 transcript:PAN41547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSRGWSGSAALKRRRGAGACSGSGSTAQSLNDDILRSVFSRLDDHFDLARCAAVCSSWNRIIVTAHLMRELYYKRNPPARGSSSNISVKSYFEALAMDEHTSSLSSGPADAYQWIGHPMRATLCRMRGGSILTGVGDKFLRLWSAETCKFMNEYNVPNAKTLVDFNFDENKIVGLTSSQICIWRRSEPISIFQSGGASFNHGLCMSFADPEVVIGCEDGRAFVYDMYSRSCSSIYRLHSSPVTCLTITDDQLILGGSTFGNVAIADQTSGQKLGVLKSAYAPLAIRSLSFNTNSHMVFAGSSAGYAHCWDLRTLKPLWEPRVSPNVIYSVHHLPGDTTTLAVGGIDGVLRLICQRTGDIIRSIIVDTVCPAESTSRSRHQIEKKRVREVAPGAQVDNISTRLRPQITSLSVGMKKIVTTHGENYIRVLKFGPKKS >PVH33743 pep chromosome:PHallii_v3.1:8:4492222:4492641:1 gene:PAHAL_8G056900 transcript:PVH33743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVLGSHRPLHHLPPPHPEPGRSPPPQAQHHASKPVPGGSPNPGQTNRLSSLLRGRSYPRGRIQGGGVMGGTCGASTHRSSATSAASSTPPAGSSRRSGLRRLSNEQVPCCKAPVLHHQLRAAVVVHFSCALCIDY >PAN42458 pep chromosome:PHallii_v3.1:8:19730142:19730462:-1 gene:PAHAL_8G130900 transcript:PAN42458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMACKPIHPILLPGNLLMALTRTNRACIPAVLISCRSHCMHQMLEPPAASPAASQITRLVSHASLLHFFRHHSLLQCKFLHFYTTLLTACKYYLTNVHMQKLNQD >PAN43057 pep chromosome:PHallii_v3.1:8:39325248:39331692:-1 gene:PAHAL_8G208400 transcript:PAN43057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGRSAPATRRRRRRGAARWSKPPRRRPPPPPPASSPPPPGPDPRTPLPAGAEVEVRVDDPGFHGSWFEATVVGFAPARGPRTPARYTVTYAHLLADDGGGVLAEHFAPTHVRPRPPPPDDSFPPRFRAGDIVEAFHHDGWWSGLVVAAPDSPDPGDAVTVAFPITREVIRFPPCLVRPRRDYVGGAWVPSRAAMAVRPRRAVRVYAVGDKVEVGRDREVYSHSWFPATVARVVDDLSYVVEYFDLEEEGDGGPEKATEYLHWSFIRPAVEHLPRESEFRLGPGAAVEAYCDGAWSPGVVRRVVGDGEFEISIVGKKAELLVTKVVELLKPQYKWNGKQWKIVTAKRWANLRRRSMLGQNLSSPVDVSSSGDDYSHDPESSGTKKSKKELQHAVLAENSEHASVSEIYTPLSALCKSPESNHSDSRLSEKNSFQVTSHGAVSSVPINGLCASGHSIPQNESIPNSTGETVNNEEILTEMMDSDGHVNASGGEAHDMLSIAELRKNMASARRNSSAHLTKKKVLAVKSLKVKKGISKSKECKTHPIQELQGRNDTSDNLKGNINFSSKDIVCALSVSVEGQTTKTLDRRVTRQTNRGSSTYKKLAKRKGHREQCSPLSSLDVTSTARQRGRKKVPGPMKESPLAEQLDNTLKDTLNVTEFSDQDMLPMIPPGFESMYDGKGVDIHGSLSEEEPPSMITNISQANINADACTDHATTQVANCNHLMETSILSVDHPVQEAGRKVDGRLTQTRVQNAGSSECIMDHSPLRSCSASGSSIMPSHLPVSQGHQILFVKKSEMWHLVEARDAFKEVPQRPHFLPLRDLSPALREGTALGLMVAFADLVRDIKEASIDHGIEWFEDKIGTLCYLEGNGFDVQLLQRTLTELLHIKSNRTSYLGEIHKLKAQIVGKTASTSRIDALLDEKDRAIAELEQKLGCLRQESQKIAKDKDLEEVELFRLKAARSRIVEAYSDAEQQFRNTLAKLHQKKLT >PAN43058 pep chromosome:PHallii_v3.1:8:39325691:39331653:-1 gene:PAHAL_8G208400 transcript:PAN43058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGRSAPATRRRRRRGAARWSKPPRRRPPPPPPASSPPPPGPDPRTPLPAGAEVEVRVDDPGFHGSWFEATVVGFAPARGPRTPARYTVTYAHLLADDGGGVLAEHFAPTHVRPRPPPPDDSFPPRFRAGDIVEAFHHDGWWSGLVVAAPDSPDPGDAVTVAFPITREVIRFPPCLVRPRRDYVGGAWVPSRAAMAVRPRRAVRVYAVGDKVEVGRDREVYSHSWFPATVARVVDDLSYVVEYFDLEEEGDGGPEKATEYLHWSFIRPAVEHLPRESEFRLGPGAAVEAYCDGAWSPGVVRRVVGDGEFEISIVGKKAELLVTKVVELLKPQYKWNGKQWKIVTAKRWANLRRRSMLGQNLSSPVDVSSSGDDYSHDPESSGTKKSKKELQHAVLAENSEHASVSEIYTPLSALCKSPESNHSDSRLSEKNSFQVTSHGAVSSVPINGLCASGHSIPQNESIPNSTGETVNNEEILTEMMDSDGHVNASGGEAHDMLSIAELRKNMASARRNSSAHLTKKKVLAVKSLKVKKGISKSKECKTHPIQELQGRNDTSDNIQLKGNINFSSKDIVCALSVSVEGQTTKTLDRRVTRQTNRGSSTYKKLAKRKGHREQCSPLSSLDVTSTARQRGRKKVPGPMKESPLAEQLDNTLKDTLNVTEFSDQDMLPMIPPGFESMYDGKGVDIHGSLSEEEPPSMITNISQANINADACTDHATTQVANCNHLMETSILSVDHPVQEAGRKVDGRLTQTRVQNAGSSECIMDHSPLRSCSASGSSIMPSHLPVSQGHQILFVKKSEMWHLVEARDAFKEVPQRPHFLPLRDLSPALREGTALGLMVAFADLVRDIKEASIDHGIEWFEDKIGTLCYLEGNGFDVQLLQRTLTELLHIKSNRTSYLGEIHKLKAQIVGKTASTSRIDALLDEKDRAIAELEQKLGCLRQESQKIAKDKDLEEVELFRLKAARSRIVEAYSDAEQQFRNTLAKLHQKKLT >PVH34181 pep chromosome:PHallii_v3.1:8:30646202:30646939:1 gene:PAHAL_8G165000 transcript:PVH34181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRRHLQQTPMGFFPPAEGRGRTWIARMRGLRREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLLIKKRSIRTEEESP >PVH34169 pep chromosome:PHallii_v3.1:8:30006679:30008117:-1 gene:PAHAL_8G161700 transcript:PVH34169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRAPRHEVRANDDSLCRVKFSIPPFDGKYDPDAYLTWELSVDQKFACYEFSDDKKVRAATSEFTDFASVWWHEYQTKNPTTIPQTWNALKRIMRNHFVPSYHARDLLHKLQQLRQGNKSVEEYYQELQIGMMRCGLVENEEAAMARFLGGLTREIQDILAYKEYTNVTRLFHLACKAEREVQGRRTRTNTPTDTSNRLSMTTSKSAPPHATDTVKGSTQAAPKTSSSLASTGRMKDIQCLQCKGFGHVRKDCPSKRVLIVRENGEYSSASDLDDETYAMLAAKDARKGNDDEEHIGAEHAEHYESLVVRRVLSTQMSQAEQNQQHNLFQTKCVVLERSCRIIIDGGSCNNLASTTMVEKLGMKTLPHPHPYYIQWFNDSEVCFTPYVSRCYFAG >PVH33823 pep chromosome:PHallii_v3.1:8:6316313:6317398:-1 gene:PAHAL_8G072300 transcript:PVH33823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPATLLRSFSSRLSTRLAPSPAVAPWPPVRSAYDRWLAAELDELRADPLAPCTSAAWLARALSVAVAAQRRLVVALGGGAGIDRRTIDECADDTAELLDACAGLRDRLGALRGYVAATRIALHWLEGHGGEAAARRAAAAFAECEAVERRCGAELAKCGSNLRKLGERAVLSGRQPAGGHRSGGEEALSGARALALLAVGALGAALTFRPRRNVSGHAGGGKAAAQWECALQEVQKHVKEEYDRRRKEGVPCMAELDAAAAAGRAVRCAVAGGQRCPETVAAAARRRCDELEDAVAEFEEKVGELHRELIGVRIVLLEWFQRARGHELLRFDYTPWQLTPTHSEASCPRFSWSRKSDVN >PVH34508 pep chromosome:PHallii_v3.1:8:42065931:42068054:-1 gene:PAHAL_8G234900 transcript:PVH34508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFRRLGAIPWRSIAGEAFHRTFLVAQAFCAIHVVDHHLCSLAIVRGPSMLPAMNLAGDVVAVDRVSVRLGRVEPGDVVLMISPEDPRKAVAKRVVGMGGDSVTYLVDPGNSDATKTVVVPQDHVWVQGDNIYASRDSRQFGAVPYGLIRGKIFCRVWPLEGFGSIDSNQSQ >PAN43421 pep chromosome:PHallii_v3.1:8:42065245:42068126:-1 gene:PAHAL_8G234900 transcript:PAN43421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFRRLGAIPWRSIAGEAFHRTFLVAQAFCAIHVVDHHLCSLAIVRGPSMLPAMNLAGDVVAVDRVSVRLGRVEPGDVVLMISPEDPRKAVAKRVVGMGGDSVTYLVDPGNSDATKTVVVPQDHVWVQGDNIYASRDSRQFGAVPYGLIRGKIFCRVWPLEGFGSIDSNQSQ >PAN42971 pep chromosome:PHallii_v3.1:8:38679508:38680972:1 gene:PAHAL_8G202100 transcript:PAN42971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLRVSAFPAPPPMLAGRARDAVVFAAGVAAAAAVLALLGSASVLAPGSSSLLVAFPVPGPEDGPRTFYDHPELSYEAVGGRRLAGWDAKRAEWLRSRRLSRAPERVVMVSGSQPEPCPGDAGDHLLLRFLKNKLDYCRLHGIELLYNRDVLQPAMTGYWAKIPVVRAAMLAHPEAEWVWWVDSDAVFTDMDFSLPLATRYDGHNFVAYGWPDKIARRSWLGINAGVFLIRNCQWSLDFMDEWARMGPAYPEHARWGEVVRGALSDKGDDAWCDDQSALVYLLLLSNWEGLGKKAYIETEYFFQGYWMDVVGRLDGVAARYEAVERRAPPGLRRRHAEREHLRYAAARNAAVRGAVPGPAGGGEEGWRRPLITHFVGCQPCSGGRNPMYSRESCDDGMRRALGFADDQVLRAYGFRHVAPLNDTVRPLPFDYPAGGLRNGTMGTRQ >PVH34581 pep chromosome:PHallii_v3.1:8:43629221:43637067:1 gene:PAHAL_8G253300 transcript:PVH34581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLALCPQRMQRRWRTHIAVIQLMMTGLTKFSLSELETATNGFSDQNLIGRGSFVSVYKGVLKDGLVVAIKRSRSSIIFSRDHLYDELNLVSKLQHKNIVKLLGYGYPVIQMVAWAADEKDQAEGRLYFSVEEYMPNGNLEENLEGFGLDWFNRFRIIQGIAHGLNYLHVQHIVHSDLKPSNILLDSHINPKISDFGIARMLDHGDMTTRDVNGLAGTMGYMPPEYIMEGILSTKYDVYSFGVILLEIISSLCSFEPTRRQASVEWAWEVRRVGSIKDIDFLPLCDTSEHEQMKRCMEVGLLCTQLNPADRPSMADVLEMLNGKKEVPAPRKPRYTRRRALQGVRNFALSVPKDVKL >PVH33820 pep chromosome:PHallii_v3.1:8:6200789:6201872:-1 gene:PAHAL_8G071600 transcript:PVH33820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSPELSPTTENKLHSDFALSVRMLSSMVAMDLNYRTLHAEYNNSSLQKKVVKMGRCAKSHWALGIDHPNRDAGVTSTLLDWLSATNSGAGKLFILQNCILIRSWIMHDVPRFIERKGHDFRKEFVCGTETTYDLFDICVRRLTQLVSSIYPPPPIPLRWRYILESDFSMHVLANEHPVHSFSIREQFTGAAADYECYAFDLRERYVYIIDPSSSSKDESSVLMVHRGTIKHLLGSLRCTISCLFTGWEIGSDHFDEVVVRFPPISCNRYNLFS >PVH33510 pep chromosome:PHallii_v3.1:8:1074696:1080041:-1 gene:PAHAL_8G015400 transcript:PVH33510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADAEQEKELLSSVVADIRSYSGSDPLRPWLRGVRKMERALPPATLREKLPRFLQKCAQEFQDDVRYRDDPRYLRVWIQLMDYVADAKPLLKKMERNGIGLKRASFYMAYALYYEKHKRFSDAEKMYNLGIQNLAEPIGELHKAHEQFILRMESYKRRKDKLQERMPRKADPSAKMPTKAGPSATSTNQVEGESRNSTQPKSKTTQKSGSSSTTLGCHPPLGPAKVGMLSRGNSGANYNLSRCNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAINSMFLEPVEPETTLKRHSNREKTNSNQQTSAFDIFVDEDEPNHNNPKMVHSNSMKQEHSKFGQQTRGFEIFIDEDSPNGNDQNAGHYKNSKKENMKSNQETSGFQIFVDENEANCNVQNAMCHKKNRCPPRPLHDSSRHKGQNDFQKSFVGGFAILPDDEEEQCEKIDDGVKTNSRTVQPARDNNTLLCPVQADSGTLYHERPHPASSGLQEDTVIHRFVGSTTDDEAKVENACHHGLVDPTVNLKEAMDDINNMFGRPLNFKGERTMKKANTLSDRKAAPVSGFSILADDDLKENTCKASQSNSCKFGDENGLFDPTITTRDVMAEINDMFGMPLDF >PAN41681 pep chromosome:PHallii_v3.1:8:5066010:5067667:-1 gene:PAHAL_8G062800 transcript:PAN41681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSKGKASCFTVILAILFPPLGVLIRFGCGYQFWLCLLLTLLGYLPGIIYAVCTVCEEQ >PVH34190 pep chromosome:PHallii_v3.1:8:31014531:31014833:1 gene:PAHAL_8G166400 transcript:PVH34190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFISSPDLNPSTLHRAFNDGRGPPLCLGDLALPATLLLVVKAPAEPCHAGPVGLPACSGRRCPPSHATYCMPQLASNKRLLLTSPTARTAGATRQVV >PAN42193 pep chromosome:PHallii_v3.1:8:16512995:16515240:-1 gene:PAHAL_8G116200 transcript:PAN42193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKQSKKLRILLMPFLATSHILPLTDLAFHLAAVRPDDVEAIVAVTVANAPIVQSALARREPSHASVEVATYAFPEVDGLPPGVENMSTVKAADSWRLEVASTDEALMRPAQESLIRESSPDAIISDLHFFRWNSGIAAELGIPCVLFNVVGIFTTLATWRLALSGNVKDTPGSSVTVPEFPGPEISLPVTELSEFLRNPPVFDQNAGAQFAMQLKRCGFISNTFIDLEHDYCESYADSGYVKRTYCVGPLSLPIAPPARAGTGRSACLDWLDTKPTHSVVYLCFGSLTNLSDAQLNELALGLEASGVPFLWVVRVKTWEPPVGWKDRVGNRGMVIMGWAPQTDILQHPAVGAFVTQCGWNSVLETIAIGVPVLTWPMVYEQFITERFLTQVLQFGERLWPEGAGLRSTRYVEHDVIPAKAIAQSVAKFMEHGGAAEAARRRVMELSPKAHAAMAEGGTSNRDLHQLIDDFIGARASVVGTTMP >PAN42192 pep chromosome:PHallii_v3.1:8:16513709:16515172:-1 gene:PAHAL_8G116200 transcript:PAN42192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKQSKKLRILLMPFLATSHILPLTDLAFHLAAVRPDDVEAIVAVTVANAPIVQSALARREPSHASVEVATYAFPEVDGLPPGVENMSTVKAADSWRLEVASTDEALMRPAQESLIRESSPDAIISDLHFFRWNSGIAAELGIPCVLFNVVGIFTTLATWRLALSGNVKDTPGSSVTVPEFPGPEISLPVTELSEFLRNPPVFDQNAGAQFAMQLKRCGFISNTFIDLEHDYCESYADSGYVKRTYCVGPLSLPIAPPARAGTGRSACLDWLDTKPTHSVVYLCFGSLTNLSDAQLNELALGLEASGVPFLWVVRVKTWEPPVGWKDRVGNRGMVIMGWAPQTDILQHPAVGAFVTQCGWNSVLETIAIGVPVLTWPMVYEQFITERFLTQVLQFGERLWPEGAGLRSTRYVEHDVIPAKAIAQSVAKFMEHGGAAEAARRRVMELSPKAHAAMAEGGTSNRDLHQLIDDFIGARASVVGTTMP >PVH33434 pep chromosome:PHallii_v3.1:8:395057:396790:-1 gene:PAHAL_8G003400 transcript:PVH33434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMGRMIRRFVNMVAERGSSGMYTLHRLDVWKHLFYPSRAAAEAANKTNVKVPILPWLPAPVMRFESSPTAFWDAGRLEMFALVSPRSGDDRILCCNTAGHTMLYHADSNCIQAMPDLNCGKGFMPMAISIARPGALEEDLYVMNTATNLGDGSSCFEVLKFGSPGSDLGPMGLSGWRWDPLPPPPIAANICSHTVVGGGSTICVSAVTSPSSSAVTFCFDTMKGEWTQAGDGWVLPFDGAAEYVPDLKLWMGFSTDSQQLCAWDLSVMDKPPTLQHSWMDLKTPEEWSASRLSLLNLGGGRFCIAKTFRVMSGTWADSVEDKFAVLTGIEMVTSRGDNDPEEGLKMVRHKSIRYMFTNEMIRWVL >PVH33774 pep chromosome:PHallii_v3.1:8:4968971:4970742:1 gene:PAHAL_8G062400 transcript:PVH33774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGEEAQSGVAPSYIETYIRGHHGANPTQPELLCSEDATQTLARYGDEMVARHGEEYDWRRSDVDVGALYSSGGGKKHGRFSMLNGVIDTSGALSEARCSQSSQNSRGYQRESESESRLQEEIRQHREAMQRQEEWVRQQHEYIQGFFVQQLQIQEMLVATLDSQFNLPPLPSPPPPPPTFVPYARLPSPQVGSTSTHPRGVFASPSTPPSTARNISGGDCGSGHNITPP >PAN42336 pep chromosome:PHallii_v3.1:8:14483477:14493141:-1 gene:PAHAL_8G106300 transcript:PAN42336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLGLRSLSFGPEESPREIPSTADAAPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWLLAAELELEDADDLDGIDKVQEQCQVAATVQGEWQPLVRPAPPSPAASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGGGTNVAAPEDAVGSGGKQPATPSSEDNKLLKRLSIGPKMRDALLFRRSGEKDEEQDRDGFFKRLLRDSRDKEEEDGDREGFFKRLLKDSREKEHEEEEGDKDGFFRRLLRDSKEEDMELTPSSEGLLKRFFRDKEDRQGEDDEKEGFFRRIFKDKNEERRDSIHARHGDEDRGGKSLEDDDREGFFRKIFKEKNEERKDGAHSKQDEREKAGANIEEDKRDGFFRQLFKEKNDERKEGSTPGKKEEDEKGHKNSDDDNFFRRLFKDKNEEKKAAAHGRNEDDKCEEGDKENFFRKLFKDKHEERRIEGLEKNDDDGRSISGIEEEDNPEFLSFRRLFRVHPEDAKGGHIESSQPNNLSEGSPGSESFFKRLFRDRDRSLEDSELFGSKKNPGSTGTNEKQSGKPPLPNNAIAELRKGSYYASLELVQALCDTSYGLVDIFPIEDRKIALRESLTEINSQIASAEKNGGVCFPMGKGIYRVVHIPEDECVLLNSREKAPYLICVEVLKAEAPSHSKGSSDAHKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWETKVKFVNVSFSVEKLGRSRSLAISDTGRRLRHAKTDSHDPPEDSQAIDDQPIEWVKVTLSAVPGVNMDDVDENEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSSQNLDSKATDGGDPKPTDALAGELWAVKKERIRRSSVHGKSSSWDLRSVIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNITNLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >PVH33586 pep chromosome:PHallii_v3.1:8:1874614:1880567:-1 gene:PAHAL_8G026600 transcript:PVH33586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLLSRLLLRRGSSSPTAHHLPLLRALSSAPSPVSSDADLRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFKYAPLPEDLHAVSNWSATHEVHTRVLLQPDSLPALEDALATAHKERRKLRPLGSGLSPNGLALSRAGMVSLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGARLPPVDEQVISMKLATPAKGTIELSKEKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSKWRTPKLTSKYGKDEALQHVRNLYRESLKNYRCVHLLWFGNPSFHASLLAILLPKNQTKCSLT >PAN41124 pep chromosome:PHallii_v3.1:8:1301633:1308381:1 gene:PAHAL_8G018100 transcript:PAN41124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRVYKWKRMGTKEASPRTVLCLFFLFCLGCKCLASEFEATQTATLKVDASPQLARKIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNIVALRMEVLCDDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETTDLTVSLTSADGSQNLASATVTVSGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVVWFDQVSLMPEDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHNDEVDTVAIAPFVKDVLDSLEFARGSANSTWGSVRASMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIRQAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFNMRSTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLENNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMIHPSKISSSYSGSLAASAITWQDSENSFLRVKVVNFGSDAVSLTISTSGLQASVNALGSTATVLTSGNVMDENSFSNPIKVAPVKSALSNAAEQMQVTLAPHSFSTFDLALAQSKLVAEM >PVH34547 pep chromosome:PHallii_v3.1:8:42947134:42952375:-1 gene:PAHAL_8G245100 transcript:PVH34547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRIRSLPDAAAAAMALAVAIVFLFSAAAPLASAESVEHTFVVSQTKMTRLCKETLVTVVNGQLPGPTIEVTDGDSVTVHVVNRSPYNITIHWHGVKQFRNGWFDGVPMITQCPIMPNKNFTYRFNVVRQEGTLWWHAHVPGLRATLHGAFVIRPRHGAESYPFPKPHREVPVIIGDWWEKDLVEVAKNMTKNIFTAYARASTVNGLVGDLFNCSGVAKEGYVLDVEPGKTYLLRIINAGLFSEFYLKIAGHKFTVVAADANYVSPFTTDVIAIAPGETVDALLIADAPPGRYYMAALPNQAPLPDTQTPEYATRGMVQYKVSQGPCSGPTSVSSSRGTEEEGCRGPSSGDVPIVPEMPDIHDTVTSFYFHSNLTSLRHHARLPAQRRVDERMFIVLGLGTICKQGHKFCKRGDSKEDILVATMNNVSFQPPAVTSTPLLEAHYYHTGPCGKVQDLPKMPPKLFNFTDEALIPFGPKEMRLEPTYKATLVRRFQHGAIVEIVFQSTAMLQGDSNPMHLHGHDMVVLAQGLGNYDPVKDGARYNHVNPPVKNTVLVPNLGWIAVRFVANNPGVWFMHCHYEFHLSMGMAAVFIVEDGPTMDTSLPPPPVNFPTCGHDIDLMPKISTSILRKVKPS >PAN42888 pep chromosome:PHallii_v3.1:8:37836328:37839778:-1 gene:PAHAL_8G195600 transcript:PAN42888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATVTVEEVRKAQRASGPATVLAIGTATPANCVYQADYPDYYFRVTKSDHLTDLKEKFKRMCDKSMIRKRYMHLTEEFLNENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLTKLLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPDERVERPLFQLVSASQTLLPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDWNSIFWVAHPGGPAILDQVEAKVSLDKARMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGFDWGVLFGFGPGLTVETVVLHSVPITAGAAA >PVH34267 pep chromosome:PHallii_v3.1:8:35592188:35593624:1 gene:PAHAL_8G182600 transcript:PVH34267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQINAEYEASEEEADIVDISTGEEQARQENPTLEAPENPAMTIDTLVNLQDPILETPENLATTVDTLVHLQDLQEPIVTSSAIKPSQEDGLNLSELLSFDPASVGSAILEVDYHQPQPTGVASPLLRIKDLLSTPIDTLVQDSDEVRQILEEIKPQLPKILQLKLWPTGHLPFFWAKVEKARQKIEAHRSQAPLKADIAERCRLLNKKKAALAAKADTSANSQRLQSLERELKDLKAKVWATEQCIQDEKDLIARSQQELKTELTELSVLSRQIVSGEDKDDEVVITEADRVHLEAIAAIDEFLQ >PVH34630 pep chromosome:PHallii_v3.1:8:44336543:44337610:1 gene:PAHAL_8G262200 transcript:PVH34630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEDEGHVSSLHVPTLNTLHVQSQALSMASVSGTQVLGSSGRTTTVLVGWKLKGSQLMQKGPRCQDKSVPDKLSTCLVTCKFPRTC >PAN41075 pep chromosome:PHallii_v3.1:8:880786:884763:-1 gene:PAHAL_8G012700 transcript:PAN41075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLLPKTKSKKKKEAASSAIPTLDRLHETLEMLEKKERFLQKKCSAEIGKAKDYTKSKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIQQSLNIDDIENAIDESNEQTENMRQIQEALATPVGASADFDEDELEAELEDLEEEELDEELPAPPARISAPVEPLAKATSSSKQRSELSELTKLQAEMAL >PVH34370 pep chromosome:PHallii_v3.1:8:39246236:39246956:-1 gene:PAHAL_8G207500 transcript:PVH34370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVVAVFVLLLSVTISPHHATGECTDTQKEAILENCKGYIRKDAPPRKVPAHKSYCCIKVRDVPQRDMECIYGRLTDPEKAQNIEQRILNLKVICKPIPCKVMM >PAN41649 pep chromosome:PHallii_v3.1:8:4662902:4666303:-1 gene:PAHAL_8G059200 transcript:PAN41649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSAAWISLLLALALALSARAEEAAKAEGEAVLTLDVDTFDEAVAKHPFMVVEFYAPWCGHCKALAPEYEKAAQSLSKHDPPIVLAKVDANEDKNRPLATKYEVQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDAASLIDDKKIYIVGVFTEFSGTEFTNFMEVAEKLRSDYDFGHTLHANHLPRGAAAVERPLVRLLKPFDELVVDSKDFDVTALEKFIDASSTPRVVTFDKNPDNHPYLLKFFQSSAPKAMLFLNFSTGPFDSFKSAYAAVAEEFKDKEIKFLIGDIEAAQGAFQYFGLKDEQAPLILIQDGESKKFLKDHIEADQIVSWLKEYFDGKLSPFKKSEPIPEVNNEPVKVVVADNLNDVVFKSGKNVLIEFYAPWCGHCKKLAPILEEAATTLQSDEEVVIAKMDATANDVPSEFDVQGYPTMYFVTPSGKVTSYDAGRTADEIIDFIKKNKETSGAAQATTTSEKAAEAAESVKDEL >PVH34078 pep chromosome:PHallii_v3.1:8:20999693:21000455:1 gene:PAHAL_8G134500 transcript:PVH34078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPYERTFDSLCNWLMRGLRINQETHIVSVQCVINRTTHALIWELMPLASNEDWLNYLQNGSHWQWPLVLLVSVHQNPLINIEAASGDENIDEEIEGANIEAGGTAAPQCVADEGENIPFIVEQLQDEECELDEAMNADSSDDDDDVPEDWVSSDFNYLVVDDGSSWPSDCRENEIIQGARYHSIEEVKEAVKCCTMWYV >PAN41632 pep chromosome:PHallii_v3.1:8:4562659:4564910:1 gene:PAHAL_8G057600 transcript:PAN41632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKAAPFEVSAEAGNARDAAWLDDDGRPRRSGTVWTASAHIVTAVIGSGVLSLAWAIAQLGWAAGPAVMLLFAFVIYYTSTLLAECYRSGDPVAGKRSYTYMDAVRASLGGAKVRLCGAIQYANLFGVAIGYTIAASISMRAIKRADCFHDKGHKNPCRSSSNPYMILFGAAEVVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLALGVMQTVANGGFKGSLTGVAIGDGVTSTQKVWRSLQAFGNIAFAYSYSIILIEIQDTIKAPPPSEATVMKKATMVSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERWAAAAWPDSAFVSREVRVGPFALSVFRLTWRTAFVCLTTVAAMLLPFFGDVVGLLGAVSFWPLTVYFPIEMYVVQRGVRRGSTRWVCLQLLSAACLLVSVAAAAGSIADVIDALKVYRPFSG >PVH34067 pep chromosome:PHallii_v3.1:8:19268322:19268630:-1 gene:PAHAL_8G129600 transcript:PVH34067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKRSISLMSPPSLFLLFGDLIRLKSPTTSQSLSFNVSVSKRIPSAGIQSSNRADGMPPAEAGTANEGGGAGGGGARSYHRGERDPHLGSWEYRCREPNR >PVH33803 pep chromosome:PHallii_v3.1:8:5732972:5734268:-1 gene:PAHAL_8G068100 transcript:PVH33803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNVGRSRTLARPIGLLKTCPSIPDGGMHLSPSPAAQVLSGYACVACFYLLLEPCMPEMLACLTQQYLAPERSYNRALDSSVHVIIIFSW >PAN41877 pep chromosome:PHallii_v3.1:8:6848562:6851817:1 gene:PAHAL_8G076100 transcript:PAN41877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNAKIKFPLVSRLVRPPTRKLKTTFKASRPNLFM >PAN42060 pep chromosome:PHallii_v3.1:8:17982100:17984507:-1 gene:PAHAL_8G125500 transcript:PAN42060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVSAAIAQETVSQILSCLVQKYEEKEESNVNRNLERLEMAHIRLEAALETSEKWQITDASLLCWRRKLKRASQECDDTLHKCKQRILEDQQMKREVKNSSLPNRIVHATKSFVFSVLNRNNNELIRSTAQRFEWYADGASEFLRFIEFGGTPRCHMPFDSFIKNLFAGKELHHKIVRGNKYPSFQLWLVPIRTSEYGTTVSLTFVQYDGTPEGNIFFSLAVQLSESIDIFGIAIKCLQFFAPHFKCTFENIRNELSQLPAQDFSWGPSFYSYHNEHWDKFNSYLLQWARPNPLCCNGQHEVQRFSNLDMAGLSEVLLEPIINLYLQCQVSISVYSKQKTSLSEDIISLQDYPYLKTGISFSPHGCLEDMLPANRSSKIAAIVREELHCLHTDITLEQLEDIMLPKAIDYFHQNTEAMVHQMIWKSKHGFAYIQVEKPCMSTRRSSMRTQRTFGVASKRKQLQGHDEELFRNRIACYWLDLWVTYVPVRLQRSVKSWVRKEKEFLLAAPQLHLKF >PAN42186 pep chromosome:PHallii_v3.1:8:16618718:16620437:-1 gene:PAHAL_8G116500 transcript:PAN42186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADFKTIIVPTDADLLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHNLGGAASLPNLVTALSLPQTKLPFLRRLMRLLVTSGIFASESGAEVETYRINALSWLLVEGVEAEDHTYQKYFVLGTVSRHYVEAGLSLADWFRKDLPAPLPSPFEELHGVPLVHETTKLLDEELDRIVNEGVAAHDNLAIGTIIRECSDLFKGLQSLTDCGGGDGTTVRGIIKAFPDIKCTVLDLPKVIETAPAHHSVNYVAGDMFHSIPPAQAVMLKLVLHFWNDEDCVKILEQCRKAIPSKEEGGKVIIIEIVLSPSMGPIMYEAQLLMDMLMMVNTRGRQRDENDWREIFIKAGFSDYKIIKKIGARGIIEVYP >PAN41634 pep chromosome:PHallii_v3.1:8:4569840:4570962:-1 gene:PAHAL_8G057800 transcript:PAN41634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASDRAEVDTARPFRSVKEAVAVFGDRILVGEGHSRHSSSAATISTPNANASASANGMPTASAKHEASSSSSTMTFSPNPMAEAEEEIMPAAVPMYSAPSSPPSLTSSPSPMRACSGRGGDDRDREAGGLVVMRSIQKLEAEVAETRQEVAQLRKRGNEMEMAVASLNAQLHQGLSKLAEMEADRAAAAARRSIGGDTDAASTLRSERWGGGDKLGASEYLPSFSHALSLGEIDDAELMGSRRRKAQKVKPIVPLIGDILFSKRKSTKEKGDDGFYSGDLYSVLG >PVH34538 pep chromosome:PHallii_v3.1:8:42735652:42741633:-1 gene:PAHAL_8G242300 transcript:PVH34538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAYASASLHRLPPSVSSIHHRPYNKNLRIRGLVCPVLLVAGGAAFAHRSSLTSRRGRSAAASFSTAASRLTPPLRDWKRRRPAMAIPLVLVVLPLGLLFLLSGLIVNTIQAILFVTIRPFSKSFYRRINRFLAELLWLQLVWVVDWWAGVKVQLHADEETYRSMGKEHALIISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIVPKDSPQPTMLRILKGQPSVIHVRMKRHAMSEMPKSDEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWACLLLFGAIQFFKWTQLLSTWRGVAFTAAGMALVTGVMHVFIMFSQAERSSSARAARNRVKKE >PAN42669 pep chromosome:PHallii_v3.1:8:31388490:31392204:-1 gene:PAHAL_8G167900 transcript:PAN42669 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MLASAAAVAAVPPASTSYSPVKPSGSRGWRTSRSRRAARVEAGYSQLEVRKVTYRPPGTEHNLLNEINLSLREKSFGLIFGRSGSGKTTLLQLLAGLSEPTSGSICIQKYDDSGNLNGMSEMLTSQRVGIVFQFPERYFLADTVLEEVTFGWPRQKADLLFKEQLALNLQNAFNSVGLKTISLDEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKHHTILVVSHDLRELYPLVDRSWRMQMGGSLKEETLPV >PAN42279 pep chromosome:PHallii_v3.1:8:31067855:31070041:1 gene:PAHAL_8G166600 transcript:PAN42279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLAHLQEHASPLALSLLLVLFVIAVRLATLRSRAEKLLNKLPSPPSRLPIIGHLHLVGALPHVSLRNLARRHGPDVMLLRLGAVPTLVVSSPAAAKTVLRTHDHVFASRPHSAVGDILFYGNTNVAFAPYGDYWRRTRKIAAIHLLTTSKVRSFRPAREHEVRLVLARMRDAAAASTAVDLSEVLSNYSNDVVCQAVLGRLPREEGRNKLFRELFKTNSKLLSGFNLDDYFPSLARLDMVRRVVCAKAVKQKKRWDELLDDLIDKHAGQAVTEEEADFIDVLLSVQDEYNLTRDNIKAILIDMFEAGTDTTYISLDYAMAELVRNPHAMAKLQDEVRSCKTKGKEFVTEDDLSGMSYLSAVMKETFRLHPSGSLLLPHFSTADCDVEGYTIPSGTRLLINAWALGRDTTCWGESAEEFMPERFLDEGLEAASDYQGNDFRFLPFGSGRRMCPGVTFATVTFKLIVANLIYHFNWELPQGLPDVDMTEVFGMDVHRKEKLLLVPRVAQDI >PVH33644 pep chromosome:PHallii_v3.1:8:2764605:2765079:1 gene:PAHAL_8G037800 transcript:PVH33644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERGLKNRPRGRALATAVVSAGSGSTGLGARSGRGSGSTGLGAGSGRERGANSAERTGFGRERGRGGTEKDLGCSVAGARRGRRVRVSDVHSALCWVYRARRAPTSARSRKGPQGSDCQSHLERTTNSAFSKQGNPKLCLRTPPS >PVH34136 pep chromosome:PHallii_v3.1:8:27838235:27840846:1 gene:PAHAL_8G154300 transcript:PVH34136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPPTHPNTWGNYNCSWSGQPKKGHVSIVRKWEQRPRVRVPAAVASSEGAHGSNPRRGCSRRPPTTVHVTPLARKLLDGGSHAARLPQHAQDEGEPSVLSYGSWGRGRARAKAEPRLVLQICSHCAGKEKLYGKVAD >PAN42773 pep chromosome:PHallii_v3.1:8:36598999:36600809:-1 gene:PAHAL_8G187500 transcript:PAN42773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKASLATDLVSTTPSFSGFVVTLLCLYVATAFLLAVPVMAIMAGERGPGARRRRRGLSIHMADDRALARHRVLVHQRSAPASWFQHPPPTPAAPGTAGVAATTA >PVH33849 pep chromosome:PHallii_v3.1:8:7164696:7167466:1 gene:PAHAL_8G078900 transcript:PVH33849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTIESDNEYDPSSDIDNQCDSDDDYDDDLNNEDNTETASKANPKTSPISNASRLPSTSPPISTPSGNTEHSTPSPTPILVVFPQVTPTTSVNQSVPIETSLGVQSSRQSNDINDSNDQVDADSEGHTIEGEPEVRKKTIGLGLEKMIKRENKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGAVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPLKMKKEEWIELVKYWCDPKNQEKSAKNKVNRSKVQLHQKTGSRSYIAYRYSLRPKYNNSDPDAVEFFGECMNSSKNGRTPLANEIYERMVAEKDREPEEGEATRSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIQAEFEASLQAEREEAARKREELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLKLQKD >PVH33848 pep chromosome:PHallii_v3.1:8:7164696:7167058:1 gene:PAHAL_8G078900 transcript:PVH33848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTIESDNEYDPSSDIDNQCDSDDDYDDDLNNEDNTETASKANPKTSPISNASRLPSTSPPISTPSGNTEHSTPSPTPILVVFPQVTPTTSVNQSVPIETSLGVQSSRQSNDINDSNDQVDADSEGHTIEGEPEVRKKTIGLGLEKMIKRENKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGAVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPLKMKKEEWIELVKYWCDPKNQEKSAKNKVNRSKVQLHQKTGSRSYIAYRYSLRPKYNNSDPDAVEFFGECMNSSKNGRTPLANEIYVRSKMI >PVH34115 pep chromosome:PHallii_v3.1:8:25943634:25944083:-1 gene:PAHAL_8G147300 transcript:PVH34115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYTINDDLRAYGLPGDDEDDLASDCEALFGSSAAPINVDGGAGIPRQSMMPSSMPSVNTGTGIRGKRVRSGAWNDFEEIFETLPTGKKVRVAAKCCHCSHVLSGCSSAGTGHLLRHQKMCVAKTKHAILVQSPYIGV >PVH33984 pep chromosome:PHallii_v3.1:8:16057454:16058098:-1 gene:PAHAL_8G114100 transcript:PVH33984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGSIATEGTNFDGDFRTSIQGSPESLLQFCLESADPEVQTVEVVDAVFINTGGNPFKILKESINIILLNLDVTTIFLSISKACNPRGMLSRENGGDYVGCCSIVWRGSIFPQIRQVRR >PVH34284 pep chromosome:PHallii_v3.1:8:36470132:36471568:1 gene:PAHAL_8G186500 transcript:PVH34284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDRSTRRQLDFMLSINMAGHTSRQHARKQEADSRDRNQRPVSSHFLRKYQNQYQQRLQRETEEEEYERRTRKCLRKREDTRDHWHCPFFKYCWDSGMKRLPTLEDCPECKSQKQDARSASENSEGEEDKYHRPRWCPNGLNRSQKRRVQRLRNLEEAEAQCLEILRKARPDLAEKVHHAKKAEMSSSKKVWRPKKSKADVKTSANAHMVFVLHAEFHAPGHEKVPVAQLDLGPRPVIFEKPRERNYRHLKVLYLKGHINGQPVSRMLVDTGAAVNIMPYSVLRRLGHSVGDLIKTNITLSDFNGQTLEVQGVLSVDLTIGGKIVPTSFFVVNNKGSYTVLLGRDWIHANCCIPSTMHQCLIQWDGNEVEVVHADDSIEVSHAAMSVWDAVDQEPISGISLEGCDHVEATKNGVRLVLSTGLTE >PVH34539 pep chromosome:PHallii_v3.1:8:42752646:42753511:1 gene:PAHAL_8G242500 transcript:PVH34539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVDVVSEFCTLPRTRRHLRRRKHFQTVEMKVLIDCDGCERKVRRALEGMEGVTAVEVAPREHRVTVTGHVDAGKVMRRVAYKTGKRVEPWPYVPYSAVARPYAPGAYDRRAPPGYVSAAAVADPGAAPLARASSTEARYAAAFSDENPNACAVM >PAN41697 pep chromosome:PHallii_v3.1:8:5265514:5267074:-1 gene:PAHAL_8G064300 transcript:PAN41697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVRGGAVAALLVVALALSSSWDAASAQNLLKRKVKQPPQTSKPGNPKRMPPNAKYTTVVANRYHKRDYEITCTTDYGAACYIKCPARCPNKCLAYCAYCLTFCLCDLMPGTSCGDPRFTGADGNTFYFHGKKDESFCLVSDDRLHINARFMGNRNAESGRDFTWVQALGVTFGDHKLYVGARRAAEWDEDEDHVIVALDGEPVDVEPAKNAHWVSKGVRGLSVTRTDAVNAVTVELDGVFSISANAVPITDEDSRVHSYGKTERDSLVHLDVGYKFHGLTGGVDGVLGQTYRPDYVNKLDIAAKMPVMGGADKYRSSGLFATDCAVSRFNRSATDGFTSFAS >PAN43190 pep chromosome:PHallii_v3.1:8:40457086:40463528:1 gene:PAHAL_8G218600 transcript:PAN43190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene isomerase D27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03055) UniProtKB/Swiss-Prot;Acc:Q7XA78] MLLAAAHAHGSGIQPAAPPSPTKRRGCCYTRRERGLSAVRAVMARPHQDVVAAPPPASRNMVTAAPPSPPPPVRETTTTVYRDNWFDKLAIGYLSRNLQEASGLKNGKDGYEGLIEAALAISGLFRVDQQWQTVATALERAFPSYILTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVRESEVDGRKEKNVVYVPKCRFLESTNCVGMCTNLCKIPCQKFIQDSLGTAVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTKCIAKQNRQVNCSI >PVH34383 pep chromosome:PHallii_v3.1:8:39552752:39552967:1 gene:PAHAL_8G210600 transcript:PVH34383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAEIRRERPDLRVVRVLPPGQTPSPPQPGMTRVIIYNNANHQVIAPAPYIG >PAN42910 pep chromosome:PHallii_v3.1:8:38008366:38011753:1 gene:PAHAL_8G197200 transcript:PAN42910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGRGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAAPAYVAAHALTLALCPPYAIFFEGAAALLFGFLPGVACVFSAKVLGASISFWIGRAVFRYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTIIGCLPMILQNVSIISLAGAAVASTTGSKKSHIYSYLFPAIGIVSSILISWRIKQYSSALAIPDELKSAPANGNSNDDAKLASPTSTNSNTGKTRKRR >PVH33589 pep chromosome:PHallii_v3.1:8:1937544:1939299:1 gene:PAHAL_8G027500 transcript:PVH33589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRRLAASERRGTATDARAGGGWPRRACGGAWPARERQGRTGARGGGRRPVRSRGMAEARSRHGWPARELWRSAAGGRAVGTTGVRAARAGGRRASSRDGGMRAAVEAGANVKAGGEGAAEAGGRCPHGGRARWAVGLPCSMG >PAN42139 pep chromosome:PHallii_v3.1:8:17247339:17251105:1 gene:PAHAL_8G121900 transcript:PAN42139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANAKLQKQALLPPRSPFPTAAAGAPSPYADRGPIARPQGAAAHPRHGHGHHQRTSSESFIEEQPPSWLDDLLNEPETPAARQHGRAGHRRSSSDSFALFDGAAAGASAYANGFEGMGGGGGQPAPWGGVQEYYAKPGSFGRAHGRPWEQGMPNLAGFRHGGGLPMPTKDKVGGHHGPPNTLRDHNHGMDKRTPVDAGHDQKVGVKEGVPPKHAQSEADNKRAKQQYAQRSRVRKLQYIAELEGRVQALQSEGVEVSAEMEFLTQQNIMLDLENKALKQRLESLTQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQQQQAPALVRSNSRDLDAQFANLSLKHKDPNSGRDALSGPLRT >PAN42138 pep chromosome:PHallii_v3.1:8:17246822:17251105:1 gene:PAHAL_8G121900 transcript:PAN42138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANAKLQKQALLPPRSPFPTAAAGAPSPYADRGPIARPQGAAAHPRHGHGHHQRTSSESFIEEQPPSWLDDLLNEPETPAARQHGRAGHRRSSSDSFALFDGAAAGASAYANGFEGMGGGGGQPAPWGGVQEYYAKPGSFGRAHGRPWEQGMPNLAGFRHGGGLPMPTKDKVGGHHGPPNTLRDHNHGMDKRTPVDAGHDQKVGVKEGVPPKHAQSEADNKRAKQQYAQRSRVRKLQYIAELEGRVQALQSEGVEVSAEMEFLTQQNIMLDLENKALKQRLESLTQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQQQQAPALVRSNSRDLDAQFANLSLKHKDPNSGRDALSGPLRT >PVH34340 pep chromosome:PHallii_v3.1:8:38288123:38288933:1 gene:PAHAL_8G199400 transcript:PVH34340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDCSCNLNTRDMMLCCGSGAPPIIPGLLLSSETKFTEGGYGMVYKLQRPADFVISRKPNLLITLCSGVLLPLQIWCIYWQENEETLIL >PAN43269 pep chromosome:PHallii_v3.1:8:41152573:41153193:-1 gene:PAHAL_8G224500 transcript:PAN43269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPKEHRMYLKGWIEHRSTNPLHGCAPPTSTPADNGDWALQPPFLTALDQSARWWPRRRGSEVLKSTDTEARDAVSAAALRRAVEDDAPERGRCLRYRPSGRCFKYRPPPWHVPAVRCDVCGRDWERHRENQPVACGHGEQSFRSLCQRRPPLRGACRISSPGVRLRRCRLRKSDLAAVIIQVGSLAPASSALVSMENRIVGMAE >PAN41878 pep chromosome:PHallii_v3.1:8:6854445:6855710:1 gene:PAHAL_8G076200 transcript:PAN41878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSKGGAGRPQELHGSPPTTLPSLQQQELDEFWRKVVGDIENTVNFNNHTIPMSSVVQIIREHQGGLMMSSETPPVVTKVLEIFVEELTVRAWMCAKSHDRSSILESDIYEAINSSESYVCLNDVRQRAVTNHDQASMSSNAPQLQQEPHFVTATSTLIEGGPTDHPYKSGEEASQIPEDNLAPTTSAQPDEDPNMLTTSSGGTEETKINSTNGGSFNVPPLSHQVHQVL >PVH34628 pep chromosome:PHallii_v3.1:8:44332410:44332955:1 gene:PAHAL_8G262000 transcript:PVH34628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASLPRVRPPRRDLPPPGIHRRHPMPGACRPWRRAVIGNASCLRPRPDRFNPNLLIGFFFHGSRLLQYVPGPFVNVLASEVASTTSYHRTASSMEPAYDDELLSSRDGFLLLSGRAVDDLCLCNPLAGSCGFLPAAGFPASKPLIYALVTDDHDDCSSPPPTGSVWMYSPWCPKRASMAA >PVH34549 pep chromosome:PHallii_v3.1:8:42980221:42980635:-1 gene:PAHAL_8G245500 transcript:PVH34549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAPRALGGSNGGGLGREGRRRPGSGVRWPCAGSRPWLRADGRSSATHRREIEATGRERKREEEGRLA >PVH33854 pep chromosome:PHallii_v3.1:8:7234307:7234600:1 gene:PAHAL_8G079400 transcript:PVH33854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFPSMLPLFCVQVLGRSDWYQGQQSLFFFFFWLQCKVGTEKSVMSLINDFRACSQHLMKRASNKHGTLHLESQVQEFTPAFFVTNKRRAATYPSK >PAN43000 pep chromosome:PHallii_v3.1:8:38823445:38830214:-1 gene:PAHAL_8G203500 transcript:PAN43000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPITLLVVVVPLVVAAAAELPRLEHLPSKEDRSLALLAVGDWGRRGQFNQSLVAEQMGIIGEKMDIDFVISVGDNFYKNGLTGVDDKTFEESFTNIYTAKSLQKPWYTILGNHDYRGDALAQLSPVLRNLESRWICMKSFVVNAEVADFFFVDTTPFVLKYWNEPKDSTYDWRGVAPRETYIAKLLKDLTCGLKQSKATWKIVVGHHAIRSVSEHGDTQELVQQLLPILKANGVDLYINGHDHCLEHISSRDSPLQYLTSGGGSKAWRGIFTPNTDKLEFFYDGQGFMSLRLTNTEAHVAFYDVAGTVLHSWGLTKGAYY >PVH34177 pep chromosome:PHallii_v3.1:8:30310769:30313642:1 gene:PAHAL_8G163900 transcript:PVH34177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGLSPPRRTLSMGSGAMARRAAAATATDSPRPGLSRSMTMGGERTVKRLRLSRALTVPESTTVLEVCRRMAARRADAALLTDSNALLCGILTDKDIATRVIARELKIDDTPVWKVMTRHPIFVLSDTLAVEALQKMVQGKFRHLPVVDNGEVVAMLDIAKCLYDAIARMERVTEKGKAAIANVPDGDDKFSIVEAFKEQMFRPCLSAIASTDSTVVMVSPGDSVLAATKKMVEAHASSAVVAVGNKAQGILTSRDILMRVIAKNLSPDSTPVEKVMTPDPECATVDMPILDALRTMQERKFLHLPVMDRDGSIISILDVIDITHAAISIVESSGEGSDDAAASMIQRFWDSAMALGPLDDETDTQSQMSEATRSQMMSEAHHESVAGSEAVYPSVFSFKLQDRRGRMHRFSCEVQSLTPLVTCILRRLGADIDPDRLPQILYEDEDGDKVVLASDDDLAAAVDHARLAGWKGLRLFLDYSGTTGRRKAVASGGAMAVGMSSRDAWAAAYSGVAAGAALVTGIGVMAYLRRSG >PAN43152 pep chromosome:PHallii_v3.1:8:39936611:39941614:-1 gene:PAHAL_8G214000 transcript:PAN43152 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit theta [Source:Projected from Arabidopsis thaliana (AT3G03960) UniProtKB/Swiss-Prot;Acc:Q94K05] MVGLGAMPGYGIQSMLKEGHRHLSGLEEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLASRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKTIEILEDLVEKGSENMDVRNKEEVALRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLVGGGLHNSTVVRGMVLKNDAVGSIKRAEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLKISSKFELRRFCRTTGAVAILKLSQPNADELGYADSVSVEEIGGARVTVVKNEEGGNSVSTVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETGLDQYAIAKFAESFEMVPRTISENAGLNAMEIISSLYAEHAAGNTKVGIDLEEGVCKDVSVLKIWDLYVTKFFALKYSADAVCTVLRVDQIIMAKPAGGPRRDAQPGGGMDED >PAN43557 pep chromosome:PHallii_v3.1:8:42919356:42922754:1 gene:PAHAL_8G244700 transcript:PAN43557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRVLTAITMAVIAFFFSATPLPVAASVVEHTFVVSQMNVTRLCKETLVTVVNGQLPGPVIDVTEGDSVVVHVVNKSPSNITIHWHGVKQWMNCWADGVPMITQYPIQPNHNFTYQLNITGQEGTLWWHAHVPGLRATLHGAFIIRPRHGASSYPFPKPHKEIPIIIGDWWQMDLALLEKHFKKEIVDDLPVAATINGKIGDLYNCSGAVEDGYVLDVEPGKTYLLRIINAVLFSEYYLKVAGHKFTVVAADANYVNPYTTDVIAVAPGETVDALMVADAPQGRYYMVGLPNQAPLPDPQIPVFITRGMVSYKSDNNHGEEEGDPSNDVPMVPEMPDQHDTTTSFYFHGNLTSLHRPQRTKVPVQVDEHMFITLGLGSFCPHGRSCEKRWRGKSMGAATMNNVSFQLSADMAVPLLDAQYYHCNSTSSSGDGIKLYTLPDNPPRPFDFTNPALTPDGSEAALLQRTIRATAVRRFRYGTVVDMVFQSTSLLQTDSNPMHLHGHDMFVLAQGRGNYNASRDVASYNLVDPPMKNTVLVPRIGWVAIRFVADNPGVWFMHCHYEFHLMMGMAAVFIIEDGPTVDSILPPPPLNHPKCGHVNSLKANELYLLDNKVVSPA >PAN43606 pep chromosome:PHallii_v3.1:8:43350643:43352047:-1 gene:PAHAL_8G249600 transcript:PAN43606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEATFGGKLDILVNNAGQSLFKTAVECTGDDYTRIMATNLESCFQLSQLAHPLLLAGGSGGSVVHISSIAGFIGLPALAVYSMTKGAMNQLTRSLATEWARDGIRVNCVAPGGVKTDISSDKTIDPELVKNEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQVICVDGGRTIT >PVH34102 pep chromosome:PHallii_v3.1:8:24869406:24870660:-1 gene:PAHAL_8G143700 transcript:PVH34102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWKIQTTTPKTSSRVSSCTQSCLWSGPPPEFRMAQDSDDSLFVASVVVWVFVVILAIVALHCPLPRRVVR >PAN43642 pep chromosome:PHallii_v3.1:8:43568757:43570026:-1 gene:PAHAL_8G252400 transcript:PAN43642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVIDLKGLAGDESARSRTMAQLHEACKDWGFFWLENHGVDAAIMEDVKRFVHGHYEEHLEAKFHASDLARNLDAADAGQVDWEAAYSIQHRPTTNIADFPEISPPTRELLDTYIAQTVALAELLAECMSLNLGLDAGHIRRALAPPTVGTKFAMYPACPRPEVVWGLRAHTDAGGIVIMLQDEAVGGLEFLRGEYWVPVAPCGGSRVFVDIGDQIEVVSGGAYRSAVHRVAVGTEGRRLSMATFYNPGVDAVVAPAREAPPEYPGPYRFGDYLEHYHRTKFGDKAARFQAFKKRFHK >PAN43219 pep chromosome:PHallii_v3.1:8:40829326:40832806:-1 gene:PAHAL_8G221200 transcript:PAN43219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATKPPPFICFKWPWGPNPVPSPDPGPSPCGDLELPWLFKSIRTLAQGLVIAGDLPPSPASAAGGGGRRRRGWGRPGAVQVEADRGDAEQRALAAALASGRPATVLEFYSPRCRLCASLQGLVRELEEQTGGAAGFVLADAEDDLWLPELLHYDIRYVPCFVLLDKHGRALAKTGVPTSRQHVIAGLHHLLKMEQPSGHEGNQSVPPS >PVH33419 pep chromosome:PHallii_v3.1:8:329259:334156:1 gene:PAHAL_8G002000 transcript:PVH33419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPPPTATSSPSDPPPPKPRPLGLLSSSARSLLAAARRSPVTTLVVAFFLLALFMYGEDVRTIAELSIDDYLYPDADLYNVSGLPPLVLPPPTCDLSDGRWVFDNVSLPAYREKECTFLTKQVTCLANGRPDDTWQYWKWQPNHCSLPTFDARRFMETMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSLTIFHAKEYRATLEFYWAPFLVESNSDNPKIHSIEHRIIRPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRYWSQHDEVPRIEAYGRVFKTWSEWLNNNIDPARTSVFFMTISPLHISPKNWGNPEGIRCVKETLPYQNYSQPLDLYHDMRMFDLVVKVASSMEKVPVTVINITRMSDYRKDAHTSLYSIRQGKLLTPKQKADPEKFADCIHWCLPGVPDVWNQILYTRILSKSSWHSNFAPPPLQSLPPPQ >PAN42443 pep chromosome:PHallii_v3.1:8:16350185:16352433:1 gene:PAHAL_8G115900 transcript:PAN42443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKQSKKLRILLMPFLATSHILPLTDLAFHLATVRPDDVEAIVAVTAANAPIVQSALARREPSHASVEVATYAFPEVDGLPPGVENMSTVKAADSWRLEVASTDEALMRPAQESLIRESSPDAIISDLHFFRWNSGIAAELGIPCVLFNVVGIFTTLATWRLALSGNVKDTPGSSVTVPEFSGPEISLPVTELSEFLRNPPVFDQDAGAQFAMQLKRCGFISNTFIDLEHDYCESFADSGYVKRTYCVGPLSLPLAPPARAGTGRSACLDWLDTKPTHSVVYLCFGSLTNLLDAQLNELALGLEASGVPFLWVVRVKTWEPPVGWKDRVGNRGMVIMGWAPQTDILQHPSVGAFVTHCGWSSVLETIAIGVPVLTWPMVYEQFINERFLTQVLKFGERLWPEGAGLRSTRYVEHDVIPAKAIAQSVAKFMEHGGAAEAARRRVMELSPKAHAAMAEGGTSNRDLHQLIDDFIGARASVVGTTTS >PAN42444 pep chromosome:PHallii_v3.1:8:16350185:16352433:1 gene:PAHAL_8G115900 transcript:PAN42444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKQSKKLRILLMPFLATSHILPLTDLAFHLATVRPDDVEAIVAVTAANAPIVQSALARREPSHASVEVATYAFPEVDGLPPGVENMSTVKAADSWRLEVASTDEALMRPAQESLIRESSPDAIISDLHFFRWNSGIAAELGIPCVLFNVVGIFTTLATWRLALSGNVKDTPGSSVTVPEFSGPEISLPVTELSEFLRNPPVFDQDAGAQFAMQLKRCGFISNTFIDLEHDYCESFADSGYVKRTYCVGPLSLPLAPPARAGTGRSACLDWLDTKPTHSVVYLCFGSLTNLLDAQLNELALGLEASGVPFLWVVRVKTWEPPVGWKDRVGNRGMVIMGWAPQTDILQHPSVGAFVTHCGWSSVLETIAIGVPVLTWPMVYEQFINERFLTQVLKFGERLWPEGAGLRSTRYVEHDVIPAKAIAQSVAKFMEHGGAAEAARRRVMELSPKAHAAMAEGGTSNRDLHQLIDDFIGARASVVGTTTS >PVH33528 pep chromosome:PHallii_v3.1:8:1318316:1318684:1 gene:PAHAL_8G018500 transcript:PVH33528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRVLLTSRTRCGYGLRQVLAWNPVAGEHHLLGTPHVSDPNWDRYPSRLSGAVICASGDKGPFKVALAWNGSRSALVQSESWFVVCSRDVLVGNSVYWILFSSQLHILEFDLGMKTIVAST >PVH34624 pep chromosome:PHallii_v3.1:8:44275880:44277937:1 gene:PAHAL_8G261300 transcript:PVH34624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPAAVWAPCDFQMSNYWTMDPAVWGPGFPVEYQYATTEIFGSSLRFPRYGSEDLIEKAPEQEFEVDFHEVEVEVKINDYLIREFEEEAQEFRVDMDMMKMKIHRYPPSLRAFEEWYSVPRMVAMGPYHHAHVLPDQLKKVEKAKHVAAYRCVRESGRSLQEVYSAVVSAAHNARRLYDKQVMRGIGDSDFLPMMFYDACFLVQYMLWCTHGASEMEASLRSFFDFNRKVLRHDLMLLENQLPWLVVETVMRFRPVNLVDFIADWRDYLQDRKVLGQKPVVLDESYEPPHLLGLLRFYIVGKSNTKLHTRAKLDSISVSVSAIELAEIGITLTAAKDTIELIHMGVNKRGILSAELSLAPLSLDDERGSFLLNMAALELCTTSNFLAPEAADEDSAVCSYLLLLSMLVHREEDVHELRTKHLLQGGAGLINKEALGFFTKLQSLPLHGSCYVRVMVEIERYKVSRRLRSKVHAFLYKNKKTIFTVFSVISVLVSILGTLMSLKARSKL >PVH34007 pep chromosome:PHallii_v3.1:8:16938837:16940285:-1 gene:PAHAL_8G118900 transcript:PVH34007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYICCHLNNGTNKKIWQMPFNEMWQSSPDPFPCPHYKYLGYATRDDTETPSSIETAMAEGLNRAGGEEEGGLTWGWRAPFGSQE >PAN42234 pep chromosome:PHallii_v3.1:8:20978526:20993738:1 gene:PAHAL_8G134400 transcript:PAN42234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MRLAPREAEKLALHNAGFLAQKRLARGLRLNYTEAIALIAAQILEFVRDGDKTVTDLMDLGKQLLGRRQVLPAVPYLLHTVQVEGTFVDGTKLITVHEPISLDDGNLELALHGSFLPVPSPEKFPGGDVEDYPGEIHYSSGRIVLNLHRRALTLKVVNKADRPVQIGSHYHFIEANPYLVFDRKRAYGMRLNILAGTAVRFEPGDAKSVTLVSIGGNRVIRGGNGIGDGPIDSSQINEVMQKVNSNNFGHEDYPDAREGLIGDGPFDCTVDREKYASIYGPTTGDKIRLGDTNLFAEIEKDFAIYGDECIFGGGKVLRDGMGQATGYPESSCLDTVITNAVVIDYTGIYKADIGIKGGFIVAIGKAGNPDVMDGVHSNMIVGVNTEVIASEGMIITAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDQLPINMGFTGKGNTAKPEGLAEIVKAGAMGLKLHEDWGSTPAAIDNCLSAAEDFDIQVNIHTDTLNESGCVEHTIAAFKDRAIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKLQRGRLPGSGDSDASQDNDNLRIRRYIAKYTINPAIVNGFPDFIGSVEVGKLADLVLWKPSFFGAKPELVVKGGAIAWANMGDPNASIPTPEPVLMRPMFGAFGKAGSSNSIAFVSKVAKEAGIAMEYKLEKRVEAVSGVRCLTKLDMKLNDALPKIEVDPETYTVTADGEVLTCQPAPAVPLSRNYFLF >PAN42235 pep chromosome:PHallii_v3.1:8:20980723:20993738:1 gene:PAHAL_8G134400 transcript:PAN42235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MVLHSHLLFIEGTSLFLKKYSLLIFKKKIKYVLLLQIGSHYHFIEANPYLVFDRKRAYGMRLNILAGTAVRFEPGDAKSVTLVSIGGNRVIRGGNGIGDGPIDSSQINEVMQKVNSNNFGHEDYPDAREGLIGDGPFDCTVDREKYASIYGPTTGDKIRLGDTNLFAEIEKDFAIYGDECIFGGGKVLRDGMGQATGYPESSCLDTVITNAVVIDYTGIYKADIGIKGGFIVAIGKAGNPDVMDGVHSNMIVGVNTEVIASEGMIITAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDQLPINMGFTGKGNTAKPEGLAEIVKAGAMGLKLHEDWGSTPAAIDNCLSAAEDFDIQVNIHTDTLNESGCVEHTIAAFKDRAIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKLQRGRLPGSGDSDASQDNDNLRIRRYIAKYTINPAIVNGFPDFIGSVEVGKLADLVLWKPSFFGAKPELVVKGGAIAWANMGDPNASIPTPEPVLMRPMFGAFGKAGSSNSIAFVSKVAKEAGIAMEYKLEKRVEAVSGVRCLTKLDMKLNDALPKIEVDPETYTVTADGEVLTCQPAPAVPLSRNYFLF >PVH33718 pep chromosome:PHallii_v3.1:8:4141785:4145712:-1 gene:PAHAL_8G053500 transcript:PVH33718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISAKGKDTQVPGHRRSRRDSSPVDSLAAEAASRRAVAQIRPALTERPGPSRRSWRSTRDSSEEDTTAASPFTQIRLSLTGRPGPSQRSGRSTRNSSEEDATAASPPHPTWMILNRAGARRDYFPGDQTTSVFCHTSTGQKISVSFELVEPPGTSVLTLDWPQGPPSICPEVIAADRNLVLFQMVRGIRCPAHPVDYFIYEASSDPSRRSSLSLVPVLYPKRDSNEGRPMQHIMSTDATGVLSLNSGLFIVADLETRKDAVDIYLFVSGSGKSKGYDGWRVLKRLPVRRANGDLLDLSWWSTDRVLPYRHHLIWVNYYKGMIFGNMEDPWREPTLRYVPLPVDATKGICDDRNCGRRWPEASHNIFVTRSAIKFVTISHQYSSSSVMALNRCWSTFRITTWSLCNYSDTWIEEATLDAEEFWDLDAENQLPHVMPEFPVFNMKNPDAICFSLYEGSHTFGYSGKTWMVEVHMKKKLLLNATAYSEEQIFFRQITTKSARVLSQGLPLPFISSEMPDYLPEKRRKLTSKSTTKAVARSALPV >PVH33750 pep chromosome:PHallii_v3.1:8:4600349:4613670:1 gene:PAHAL_8G058300 transcript:PVH33750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPDKMAANMTPALDIFYSLVLCQCALLFVWCVVACHGQRKPASIKGRNLINYAVWLLGSGSQEEYLSGARLLGAFVEMGEDVRSLLLPSRPKIQKLIDTLRWRSSPNENREIRELAAMIVADLAETTQTYWNRNQQVPHPQLHQKRVWPLTTEERRMQEVGEKLQLEELKEKEQYMRKLMQVEERSRQKRMEMEERHRKRQRRMITEERYQQGRIEKQDDDDVHQQEELDRSSGDDREGGTCNQLTLQGLTILERLASGHNNSLPESATVPSASVCAEYPGSDCMDICSAPGLLPKIMAPIYSNTLIQDIGNSGAWADVVSGSFKVLHRLICAPGETSRSLRLEISSSDKPAVSNLQMVLDQGNRTSQELKIEAMEILTELALDPSIELPEKTAKTFIKKQLEAFLAAEGEQAPATVSKPIKAMAGRTLKNTVARLTELLDATNNITYRIIAAEILESLCIQCDLDKQVMEDTLLPKVIIGIPSSKSDQPESQISEGKEKKKRTRRSPTFQKENNQENQRNSEKTSKDLHLELTKRVREIMHRKHVVTKKASDQQNGEQTATNELQEAFLSLALVICDKLISADVVDQEKALGGEAFVLKLKTIIDDNCQSTADSMRIVKLCGHIAASTIQSQQYAEHFRNKEFVQSLSKASKVMSSLESCMMFVGTDFGLKKTVRPLLSEVNRLSNRDIKKNIRART >PVH33822 pep chromosome:PHallii_v3.1:8:6279392:6280964:-1 gene:PAHAL_8G072000 transcript:PVH33822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWLKITGVLLSVGGTMIISLYKGEVLHLWNPILHHHNEEHVDVASHQLRGKILLAGSSFMFACWYLIQSKVLKVYPYKYWSSMATCLVGGFQTAFAGVIVRRDKDAWKIGWDINLLTIVYSGALATAGKYSLNSWAVAKKGPSYPPMFSPLSVVFTVVLGSIFIGDDITVGSLIGTTLVIVGTYVFLWAKANELPEK >PAN41138 pep chromosome:PHallii_v3.1:8:1392882:1397180:-1 gene:PAHAL_8G020000 transcript:PAN41138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAGATRSLLVAVTLLIAVAGAPSSSSSLLRIAAAAATPLNAAAAHGGVTLRVDRRQVLVDNGVVQVTLSKPQGHITGVRYNGERNLLHYAGEENSGGYWDVVWNYPGSDHPRGMIDMLESTEFKVVSSSQEQVELSFRSTYNPSRQDSVRLNVDKRLVMLKGSSGFYCYAIFEHTGNWPAMNISEARLAFKLNTDKFNYMAISDDIQRYMPSAADRDEPHGTPLAYKEAVLLTNPKEPQFKGEVDDKYEYSLDNKDNVVHGWISSCHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYIGDDIVLNIGDGEYWKKVLGPVFIYLNSSPKRGDLRALWDDAKAQAQAEASKWPYSFPKSPDFPKAGDRGSVTGRLLVRDRFMGIDDVPAGMAYVGLAAPGQPGSWATECKGYQFWTTADSCGSFTIGNARAGVYHLYAWVPGVLGDYMYTCQVTVTPGCAIDLGDLVFVPLRSGPTLWEIGVPDRTAAEFFVPDVDPRYANRLFLHKDKYRQYGLWERYAELYPDSDPVLTVGQSDHSKDWFFAHVTRKVGDGYVPTTREIRFSLDRVVADGTYTLRIALAAAQMSRLQVHVNGGGARRGGVFTTPEFGGGNAIARHGIHGVQWSFEFPIRGYLLQEGENSISITQTRAFGEFLGVMYDYVRLEGPPRSWRDPTRRA >PVH34525 pep chromosome:PHallii_v3.1:8:42426070:42427893:-1 gene:PAHAL_8G238000 transcript:PVH34525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAPYYWYYCIIVPSSVESLNDRPAVSYHIQLVSYTTFKRKTRNRRQNHLMASSTSRSPGSPWRRRQVWVPASRQLARRMPS >PAN43021 pep chromosome:PHallii_v3.1:8:38946049:38952327:1 gene:PAHAL_8G204800 transcript:PAN43021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT2G14255) UniProtKB/Swiss-Prot;Acc:Q3EC11] MAEIEVVSDGARRDEEEEERRRQGGAAAAEPDPVVDVYSAAAYGDLERLRGFVERGGAAALREPDGNGYHALQWAALNNYPHVALYVIEHGSDVNATDHAQQTALHWAAVRGSTSVADVLVEHGARVEAADVNGYRAVHVAAQYGQTSFLYHIISKYDADFDALDNDGRSALHWASYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNLEVCTVLVHAGTKEELTLKDSGGLTPVQLAAEKGHRHLSNILSNATKVSFEDKYFPGRSRKIGYAPFLFAYLVICLILFLNSIVFAPNFSRITATAGLWSWAAVSLFFASQVMFYRVSRKNPGYIKANTKRLDPKEPLMGIDLIDSSTWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGKRNKWDFFVFLCMGIATSFLGAAVGFHRLWTEPIILSSSESWTHFMLTNHPGAVLFMFLDVFLLTGALILTGAQAVQIAWNVTTNEQANKSRYSYLRGPDGRFRNPYSRGCQRNCTDFLVNGYTNDEEIAWPTLQQTVQRS >PAN43555 pep chromosome:PHallii_v3.1:8:44424705:44425090:1 gene:PAHAL_8G263600 transcript:PAN43555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNRIGIQLVCSMVAVSMALMIMSSYAGNSILMCRDVGEGHTCEMEQCVKECLSEYGIPRVNGVDCTRDPRRCCCQVKVEVRN >PVH34416 pep chromosome:PHallii_v3.1:8:40067366:40069453:1 gene:PAHAL_8G215300 transcript:PVH34416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGGGRFGGGGGRGGGGGRFGGGGGRFGGGRGGGGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMMEGIIATSYKEGDKFYIDPAKLLPLSRFLPQPKGQSQGAPRGGGRGGRGGGRGRGGSFRGGRGPPRGRGGPPRGGGRGGFRGRGRF >PVH34507 pep chromosome:PHallii_v3.1:8:42061222:42064642:1 gene:PAHAL_8G234800 transcript:PVH34507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDGCSKLMVMEVDGCISSGRFRAGGPSWCIEYFPFGVEVDEDTEWVTVHLNLDSPDANDEDEVNAQYKLTLLNRTEDYTEVKEPSTPHLLEDSFQIRCEVTVVKEITAARSPDLPRHLGGLLVGAYATFQVGGELFAAHRRVLAARSAVFMAEVFGPGKGESAAAAAPMRVDGVEPRVFRALLHFVYTDSLPEVDEAGADKVAMARGLLAAADSSFFKTGCDICGDVLCNHIDARTAMDLLELADSHGCPRLKAACIKVIKDLLAKVAP >PAN42001 pep chromosome:PHallii_v3.1:8:13125231:13125515:-1 gene:PAHAL_8G099200 transcript:PAN42001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCVLIWGNQSIHAICLLNAFCLFLCQVGTRMQFTYLYPSDLFQLKEEYSRTEFGASHQILLT >PVH34139 pep chromosome:PHallii_v3.1:8:27892687:27893573:1 gene:PAHAL_8G154700 transcript:PVH34139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVGFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWVFDARMEEMMKENKKKPKTKPKLKHRIDVNCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFKAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAFYL >PAN42126 pep chromosome:PHallii_v3.1:8:13348747:13348947:1 gene:PAHAL_8G100300 transcript:PAN42126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSASGVLTTKLTTLRLVLSPITQCSVIRAPLSVGRFAAFFTVKLQQAGGRMMEEKGSAGLGLLFE >PVH33830 pep chromosome:PHallii_v3.1:8:6547782:6552328:-1 gene:PAHAL_8G073700 transcript:PVH33830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVMASAATGVMGSVIGKLTAMLGEKYQLARDAEDGIRFLKEELSTMDAVLQNLAEKDDDQIDPLAKDWRSKVRELSYDIEDCIDRFRLNHSHGGSKANFVRKAVRKVKILLQDRGLAEEIQKLKSLVIEQSERAKRYDIHAASPQPVLLDLRAPALFAEARDLVGIDGPRKEIIELLTCEEMQHKVVSIYGTAGQGKTTLAMEVYRKITEAFDCRAFVSVSQTPDIKKLLRDILSEISNNQFDDQTERWEMEQLIRNMRDYLIDKRYLILIDDIWSVEAWELIESALPRNDNGSIVITTTRSKTIAKSCCAGIGAHMYEAQPLGDDDSQRLFFKRLFCSREDCPQDLREVSSDILKKCGGLPLAIISIAGLLANKRQTVEVWVNTLKSISAAGDKDSHIDKMKRILLLSYFDLPRHLKSCLLYLSVFPEDYLIDCRELILLWVAEALIPGQDRESMEQLGRSYLNDLINRSLVQPAKVGADGSTVKTCRVHDVILEFIVSKAVEDNFVTIWNRNGFSQNYTCNKIRRLSIQEDISGPAEEMVKTIKHAHIRSINIFGCNNSGLVKHASKFLSNQVLRVLNIRGRVDAECYLGQVKRISQMKYFGIINFGPSGWGGELPEDLEKLQHLETLDIINTNISKIPASVIQLQRLVRLNVGPWGQLPDGIGNLQALEELSTISLSLQSVKFIQGLSDLTNLKVLEIAWTYAPEVRDVEGHEKERACISSLTKLFTRLRQLLVWEWSDATLSFMSLCVGTPPPLQRLVLGGNLSAVPHQISSLLNLTHLSIGLLGEVSKEGINILASLPILVSLSVSLWPGNEGESSSLPILGIFHPRYAINSEGFQRLVEFTVRCALETAVALEFEPGAMPKLQRLELVLTARCQFKYGDGGLVLGLQNLAGLKYVRLYIDCRAATSDEVDSLEDDIRGVAGVHHNRPIVQVDRWHQDSMAHGCSRDLEFMM >PVH33418 pep chromosome:PHallii_v3.1:8:268299:270695:1 gene:PAHAL_8G001800 transcript:PVH33418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPLAAQQALSPASYGMAAATSPFRRAMSTGDLLVRDRDRDREEEQQVMAAPAPGRYSAEERRERIDKYRSKRNQRNFQKKITYACRKTLADSRPRVKGRFARNAGDCTEADADHHRVQQQGAAAPPPPRSESESEWWPAAQQDDDASIDLDDDMLAAYLGVSSISLYSPSGGAAASTTTTTTLPAHHHHYS >PAN43400 pep chromosome:PHallii_v3.1:8:41963157:41965871:-1 gene:PAHAL_8G233500 transcript:PAN43400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSSSPYLQPASSTLPSSTRRNSFHGVGAATAVGGGRGVGGNVLGPTSSDPLCSLNLKETSGLVRTSFPMATMTRSSSSNGHGRSSHQEASTTSSSSSSTASAQRRRAEPPPQVVPATPGRPLQFFASPAHHHQLVAPRRSVPSKWEDAEKWLRQSSDSDHHHGTGNGKAAFSRQRSSGLGPRGGGEEEKRAAVAVRRSVDALSDAHALALYAPPAEVLLKDKFTDNEEPSKETFVFRSAYCEPAPAKGAAAAAAADGDKRRDIGTEMTPLGSSCHSPLKSTSPARHNTPASRSGPLVPYAGSGGMDISELADCHLAKLDLGARFDAMLVNWSSKEEEEEEVSKSLRHFEATVGAGAGGVPPCNKRGDCRWEDDDRAKSCIRYQREEAKIQAWVNLESAKAEAQSRKLEVWENRGYVVLIFGRGIASEF >PAN43399 pep chromosome:PHallii_v3.1:8:41962113:41965871:-1 gene:PAHAL_8G233500 transcript:PAN43399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSSSPYLQPASSTLPSSTRRNSFHGVGAATAVGGGRGVGGNVLGPTSSDPLCSLNLKETSGLVRTSFPMATMTRSSSSNGHGRSSHQEASTTSSSSSSTASAQRRRAEPPPQVVPATPGRPLQFFASPAHHHQLVAPRRSVPSKWEDAEKWLRQSSDSDHHHGTGNGKAAFSRQRSSGLGPRGGGEEEKRAAVAVRRSVDALSDAHALALYAPPAEVLLKDKFTDNEEPSKETFVFRSAYCEPAPAKGAAAAAAADGDKRRDIGTEMTPLGSSCHSPLKSTSPARHNTPASRSGPLVPYAGSGGMDISELADCHLAKLDLGARFDAMLVNWSSKEEEEEEVSKSLRHFEATVGAGAGGVPPCNKRGDCRWEDDDRAKSCIRYQREEAKIQAWVNLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLRRAAAAGSTTRRLRATSHHRHLPGSDAASCGCFPCSNNVVSGNLLNYY >PAN41983 pep chromosome:PHallii_v3.1:8:16175520:16179681:-1 gene:PAHAL_8G114900 transcript:PAN41983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRRLHGFLLVLPLLLVSLLARWRPAAASALSTFALARAGDATIVCGLLPSASSPLLADLNCTAAGGDHARQETYPSSHPFAALAGGEDFLCAVGPSGERAGAVDMRWWDLSPGAPSRAKRVYSGPPLHALAAGEYRVCGVLGSGELHCWRWRGLGIPGNLRFVAAAVGDGFVCGILRDAESIRCFGNDTADPAVAGAPPGGSYDVVAACGTRACALSKAGAITCWGRGRPELAGAGAAAGYAALALGEDGVCGLRTNGTISCFGDGVASPPGSLADAQYLDVKAQGKAFCGILMANYSLVCWGGREFNDTNRLVFRRVMPGPCAPMSSCNCGVLAGSANICAAGSCVCMDCAFQFNLAVPNASLGTGKGGRSRRAVWIAVAAGAAGFLVLLVALQFALLLWCRRRRRRRRNEQDAAGDVQQALMPQRIGSRSVVERFTLEMLHAATDGFSDDCRIGTGSFGSVYRGTLPDGRDVAIKRAEDSAKASSSAARPARRRDRETAFNSELTALARANHKNIVCLLGCCADSGERVLVYEFMANGTLHDQLHSRTPMAPAVASWRGRLTIALDAARGIEYMHVYAVPPIIHRDVKSANILLDDAWTAKIADFGLSSILDPTAGACDDGGGGTPRAPLYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPHILADDVARVLDPRLPAPTPEEAEALAYVGYLAADCVGPIGCDRPSMTEVVDALERALAACGAAPLSRAGTGRRPALSRSGTDQFDLTDTD >PAN43603 pep chromosome:PHallii_v3.1:8:43295598:43297431:-1 gene:PAHAL_8G249100 transcript:PAN43603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCSRNAAELEECRRRWAEKGLRVTVSVCDVAVRADREKLMDAVRDTFAGKLDILVNNAAQAMVKPAVECTAEDYSGLMATNLESCFHLSQLAHPLLRNSAVAGGGSVVHISSIASFLGFPGVVLYSMAKGGMNQLTRSLAAEWARDRIRVNCVAPGLVMTDMTKGIVKVDPEVMEQEFLQKIPLHRTGEPVEMASVVAFLCMPAASFVTGQVICVDGGRTIGA >PAN41195 pep chromosome:PHallii_v3.1:8:1811407:1813073:-1 gene:PAHAL_8G025400 transcript:PAN41195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPPENGPASRLAHLGRALCECAADVDAGSMEKAARCLSRATGLAAAARDGPLPRLAVPVADCLARRLIRPMVPAVAGALIDPSDHLDRRCVRAARRSFFELSPFPKAAVAVGNRVILEAMENEKNVHVIDLAGPAAQPSQWIQLLREFHSRPEGAPHLRLTIVHDDGEFLAKVSESLTDEADELDVPFQVHCIAGQIETLDLNDLHGVLGLKSGEARAIVCTTRLHRLLAAADDAASSSLGAGHHFNQMASIARLQQMASGSCPPSIRGAACEGDESYSSPATPLGFISPPLTTPPFQMPPALASFLSAARAASPKVVVLAEQEAGHNGVSFRKRFAEALSYYAAAYDSLDAAAAAYRRPAAERAEVERAVLGEEIRDVLLREGARRRERHDRLHQWALRMEVAGFRSVPLSYAALRQGVDTLRRCGVGGCESREHGGCLLLCWRSWPLYSVSAWRPDRGSAYGVGSEELYLSPSAPPAHCFEELMC >PAN43382 pep chromosome:PHallii_v3.1:8:41867357:41874697:1 gene:PAHAL_8G232300 transcript:PAN43382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH2 [Source:Projected from Arabidopsis thaliana (AT5G42870) UniProtKB/Swiss-Prot;Acc:Q9FMN2] MYAVGKFSSLISRSVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKSREKVVNISVNGVEAGFHMYLDGNGEAYFLRNADPNGEEGEFVLSPASSGDEREVPIQEAQLRKSKSTSCDSSTMEADVGEESKILARTTTRQTTILERMFGRKSVKNNAHAVDRVSSLERAEIAAELLDTKWSTNLPRSSKSHSSNDESSKSKLAEASNCDQMETSKTVLPEHSLDHGKEMDSNCNSADCNSCIPRGERNSSADETDQCLQTTSMKEEVVEIHTHETSDFTDRIISTVHQQGSESLSNDLGTDKSIHESVNTEGELLHNSEDVAGREIHTKEAFSNGIFEIHTVETDTTSSKSEVVSQFVTVDSDGANQNLTGANSPAYATTDVPTEKHEVTLIPSAHDPVREKVVILSSSETVEISQDAVQEKMVILSSSETLESSYAVSSISDDKIHDASDISLADSVEREEDPRVSDGSKEQLFSEERSFACCGAPSNKKDMIKVVVEEHEAFILEDPAPQILQDNGPDMDISVDCVSLSHTDAAHDLACQHDVVCPDASSSVIETSSYVPDNDPEDVTNNLTVENKACSIELDVSVTQTPTIGDGSTQCNAQPANFPNTIVESSPTATGSSSLVNDPEDVTNNLIVENKACKREPDVSVTLTSTIGDGPTECTAQSANFPNKLEIAGSPTIPGYSSFVNDPEDATENLIVENKACNREPDVFVTLTSTIGDGPTECTAQSANFPKKIEIENSPTVAGSSSLVNDPEDVTENLIVENEACNREPDVFVTLTSTIGDAPTECITQSATFPNKIGVEGSSTATGSSSLADVEVQNTKTEDETGRSSSVSGGEVGFVLEATAEPEEAAVSFSEYTEEIQFQFSDTEYFAGREAMDDKVADKTAGEGGHDESDCDTDKQEGGGVDLANELENCSDSLRPVTSPVSIPTSDLQTGDNSIEAKSLPNLRSHIHDLERPDSFHLSRSLQPNAENNGVDPVKSTNSSFLEQKPEVTGDSEENSSPPEVTSNVVPDDKHADNLKVDSFTPFAEFSLCRHLLSEGMGEDAACSAFDSEMVTLEKFRTMKQSLMRNNKLVVRIAGRYFPWDAAAPIVLGLISFSEEQVFEPKGMIKVERVEPSAEPGGSWRIWPFSFRRTRTISAVQPVCESTVETSVSTPAKESTPVNKLDRERNKSKAKRIERKVRSLTPTSEELASLDLREGKNVVTFTFSTAIVGKQQVDCHIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHITRRFLFSLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKDLFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPISSSSEQEDYNTWNYWKMPLPDVDM >PAN43383 pep chromosome:PHallii_v3.1:8:41867357:41874725:1 gene:PAHAL_8G232300 transcript:PAN43383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH2 [Source:Projected from Arabidopsis thaliana (AT5G42870) UniProtKB/Swiss-Prot;Acc:Q9FMN2] MYAVGKFSSLISRSVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKSREKVVNISVNGVEAGFHMYLDGNGEAYFLRNADPNGEEGEFVLSPASSGDEREVPIQEAQLRKSKSTSCDSSTMEADVGEESKILARTTTRQTTILERMFGRKSVKNNAHAVDRVSSLERAEIAAELLDTKWSTNLPRSSKSHSSNDESSKSKLAEASNCDQMETSKTVLPEHSLDHGKEMDSNCNSADCNSCIPRGERNSSADETDQCLQTTSMKEEVVEIHTHETSDFTDRIISTVHQQGSESLSNDLGTDKSIHESVNTEGELLHNSEDVAGREIHTKEAFSNGIFEIHTVETDTTSSKSEVVSQFVTVDSDGANQNLTGANSPAYATTDVPTEKHEVTLIPSAHDPVREKVVILSSSETVEISQDAVQEKMVILSSSETLESSYAVSSISDDKIHDASDISLADSVEREEDPRVSDGSKEQLFSEERSFACCGAPSNKKDMIKVVVEEHEAFILEDPAPQILQDNGPDMDISVDCVSLSHTDAAHDLACQHDVVCPDASSSVIETSSYVPDNDPEDVTNNLTVENKACSIELDVSVTQTPTIGDGSTQCNAQPANFPNTIVESSPTATGSSSLVNDPEDVTNNLIVENKACKREPDVSVTLTSTIGDGPTECTAQSANFPNKLEIAGSPTIPGYSSFVNDPEDATENLIVENKACNREPDVFVTLTSTIGDGPTECTAQSANFPKKIEIENSPTVAGSSSLVNDPEDVTENLIVENEACNREPDVFVTLTSTIGDAPTECITQSATFPNKIGVEGSSTATGSSSLADVEVQNTKTEDETGRSSSVSGGEVGFVLEATAEPEEAAVSFSEYTEEIQFQFSDTEYFAGREAMDDKVADKTAGEGGHDESDCDTDKQEGGGVDLANELENCSDSLRPVTSPVSIPTSDLQTGDNSIEAKSLPNLRSHIHDLERPDSFHLSRSLQPNAENNGVDPVKSTNSSFLEQKPEVTGDSEENSSPPEVTSNVVPDDKHADNLKVDSFTPFAEFSLCRHLLSEGMGEDAACSAFDSEMVTLEKFRTMKQSLMRNNKLVVRIAGRYFPWDAAAPIVLGLISFSEEQVFEPKGMIKVERVEPSAEPGGSWRIWPFSFRRTRTISAVQPVCESTVETSVSTPAKESTPVNKLDRERNKSKAKRIERKVRSLTPTSEELASLDLREGKNVVTFTFSTAIVGKQQVDCHIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHITRRFLFSLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKDLFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPISSSSEQEDYNTWNYWKMPLPDVDM >PVH33968 pep chromosome:PHallii_v3.1:8:15537615:15539689:-1 gene:PAHAL_8G111000 transcript:PVH33968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAYLIGLHPGLWEIVCSGFEGPEDPEAPTNDELAAVHLNGQATSILLSALDGNEYNRVMNVDVAKQIWDTLHLAHEGVDKVRKAKIDLLMAKLNRFVIVDGEGPQEMFDRLMILVGKIRGYGGDELDDHKVVKIMLEAYSPRNETVVTLIRDKKKFEHFTPNDVLGRLLTFDMQREEANERRKLGELQARLDGMKIKDVALKANKSSKQGCSSKAKGSKQTSTSQPKKEKEMQQNDDPTSSSSEEEDHGADFKKIDDMALFIKKYHKGLKSNGYKLVQRRFPNKKKRTCYKAHIGHEWDSSKESSEEDMKIATVAIQKTSSTPRLFNNMSDDDDYHSHICLMAKGEKVKLKATSPPSPSLGDISSELSDSSSEDDVSSDEELNEITRKLDPSTKIFIIKTLEDLESVQAELAARDDDLLAQEKMYIACKEALALERSEVSSLRKALANEQREHALTKKANIALNDKYCVLDEKHKDLEMQYNLLWESTSHPSKAKDTSTPSTSQDCGKCHNVDLNIYSTNLANMEAMRKEIIRLNAMLNKSGKDEQKRPKYKDGRLPHIKNGLGHERGNKTNGRKVINGFECVQFISKGKIGTDRPAQCVTQKPTRAAQPVKRSSAAVKGSSVTTPRKGKVTYSSLLMISPRSRSTK >PAN41636 pep chromosome:PHallii_v3.1:8:4578631:4581727:-1 gene:PAHAL_8G058000 transcript:PAN41636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRQDGSSPKPAGPSAHGDVLEAVPVPVVAAEPRPGQIPGGSGALSLAIPMPDVVPSQALDEEVAAVGGASAAMGGGHQEEASTAAADAAARIQTMVAVNDRFVPINDVKAMLQTGRFEGQQVTYKTKRHKLNLLHGVIRGVAYFCSCGDCDHNGKALSARAFEQHALKNRKETHNPNDHIILCSSNISLFEACRKLKEARNETILEATFDAIIKSHHEAVPKQNAEAPVTNTSADDSNLIDIVRNLEKRVESTEKRVENLQSHVDTIEKNIDFFCKEMVRYQELFQDLSKILGKFVDDM >PAN41128 pep chromosome:PHallii_v3.1:8:1290017:1291233:1 gene:PAHAL_8G017800 transcript:PAN41128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVYIKKFFKKHPDSLYHDAIKISGFDPPPARLFAWRVLELKEQGISEDYAMAVADFEYRKEKKAKKKAYKELKEITRSEGKEPPPNPYPSAIKEIQAEEKKYIMDRFYNPKVVVIANKMKEERDMLLRDRAASGQW >PAN41410 pep chromosome:PHallii_v3.1:8:3010152:3014041:-1 gene:PAHAL_8G041700 transcript:PAN41410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGYERSREASMMVEALARVVAGGAPAGARREGASPTPAGAWQGYGYGYGEMSPPSHAAPPHEYGAAATPAQHSPAATASAGPSGEIPSPSSAESGGGGQRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALGFRGSRAKLNFPESATLRTPSAPQAAAAPPPPPPPQRPEALLESQALAGGGGGGEYSEYARFLQGAGEPPRFLEQTVTAPAAAPGSPSFPVFLSFGGASESNGAARHQWWPQGSRSGSDGGAGHPPPPATWADAGSGWWPAPPRDPSAG >PVH34449 pep chromosome:PHallii_v3.1:8:40815940:40818128:1 gene:PAHAL_8G220900 transcript:PVH34449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSDEKCAHPSELPNAVDVGQSDNGIPEHLGSVGVNGAIGHEGYSGMDCSEQTDDEHGGDEDSLVNVVSHADKQENQEKIPMEETAMSDGTSVTSMEDALEPNNDLPSEPEDMSNHTRDLSNGKSSNGNSNVFQSAKSVLNSTKKVKKTSSANTRKPLQSTNRGNQDDGKASNGKPTVPSGPVFRCSERAEKRREFYMKLEEKHQAMEEEKIQLEARLKKEQEEALKQLRKSLTFKANPMPSFYHEAAPSRRAEFKKLPTTRPKSPKLGRRKTASMETSNSSSESEGTRPCCRASRDGLDSNCKCSGGAGSRGGSKAQTTNAKPAAKKQQQQPQPKHRAHKIAGESAINIAVH >PVH33672 pep chromosome:PHallii_v3.1:8:3195838:3199115:1 gene:PAHAL_8G043100 transcript:PVH33672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRRGEESGCSSRVSTPLSAAHDESRPKASCPPPPPSSRRPSWPPPPPKLEPPLPCAEVAFRYPYHMPVGRRSATIQRVEASLPGITGKARPAGLDDVRAPLVVTIGPYYRGAYGPDWSRLHLMEEAKHAALEEFGRVSNQPREALQEKILSVAASARKCYRGDRMVNSYYANSVDEGDRNFAEMLLLDGCFLLQFMVSMCPDDPKAPAELDPLMSRAEVHSCIDAIARDVMLFENQIPWLVLEALMELRPGVPVDRFLTLMASAFQAGNDDSTDPKAQHDHDDHHHNKPPHLLGLFHRRQIGTARTQSLLVPKLSSLSTTAVELAEMGVKLTAGKTKKFGDMSMANRQWRGLGLFGELSLAPVVLNDLTACWLINMAAYEACVSATQADNFAVSSYISVVALLVNREEDVQELRGKGIINSAMSDMGTLEFFKWAAPHLRVGHRYYEVFRGLQEYRQRWAWMAVHRFLYKNYKTIGAVLSIIGVLAGLFKTILSLKQ >PAN43718 pep chromosome:PHallii_v3.1:8:44127989:44130977:1 gene:PAHAL_8G259800 transcript:PAN43718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKLLLAHKLLSAMLSLLFHNGRNNNKQPPISRAAAAPPDDGPSRQPVVRRGALPPAPALQHTTLVVDVDAALLRSGGDLFPYFMLVALEAGGFLRGLVLLLLYPLLRLVGPRGAAAVRTMAAVSFCGLRAGARFRAGRAVLPKWLMEDVAAEAFDALRAAAGEGRAVAVVCATAMPRVMVEGFLREYLGVDAVVAPEMKVLWGFYTGLMEEADHKVVVAAATDEGKDVVGFAGSPEFLQHPLARCCKEIFLVSSDEKRRWRPLARDKYPKPMVFHDGRLAFRPTAADTLAMFAWLPFGAALGAARLAVALAVPYRYSTPLLAATGMSWRLKGGRPALPSGGRGQLFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGAVMARLLDRGDLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGIAVETSMFYATTAGGLKCFDPLYYMVNPRMCYTVQYLDKVDTSPVRSGAAPSTDMANLVQRKMGDALGYGCTMLTRKDKYLMLAGNDGIVRSTDDKSAAIAAGGAATAGKKKDKSTEKKN >PVH33974 pep chromosome:PHallii_v3.1:8:15673888:15674121:-1 gene:PAHAL_8G111700 transcript:PVH33974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVLIGDDMFYRTLEGLLLKCLGPTESNRLLHEVHEGTCGTHQSAHKMKWLIRRSGYYWPTMLEDCFKYYKGCQAC >PVH33556 pep chromosome:PHallii_v3.1:8:1534539:1538212:-1 gene:PAHAL_8G022600 transcript:PVH33556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRLLPRITPLPRRRPSQDPPVTPALAASLARVLASRATDPTWSRSLAALLPPPLSDARLAAAVSSLADPDLALALLSWSRAHHRHHHDAPTPLAHSALLRLLARARRFDAADATLQSMSHAAPPTRACLGALAAAYADAGMDGKAAETCARARELHGALPELRHSNRLLRLLVERRRWEDARRLYDEMLAEEGGADNYSTCVMVRGLCLEGRVEEGRKLIEARWGAGCVPHVVFYNVLIDGYCRRGDIGRALLLLGDMETKGFLPAVVTYGAIINWLGRKGDFDKIGSLLEEMRVKGLCPNVQIYNTLTDALCKWLSASQAMAVLKLMLASGCDPDVVTFNTLISAFCWEGHVRQAEQLLREAIRRELEPNQLSYTPLIHGFSISGEVMVASDLLVEMMGRGHTPDVVTFGALIHGLVVAGQVNAALVVREKMAERQVMPDANIYNVLISGLCKKRMLPAAKNLLAEMLEQNVQPDKFVYTTLIDGFIRSENLNDARKIFEFMEEKGVCPDVVVYNAMIKGYCKFRMMSEAILCMSSMRKVGCIPDEFTYTTLIDGYAKQGNISAALRFLCDMMKRRCSPNVVTYSSLISGYCKIGDMDSAEDLFESMQSEGLFPSVIHYTIIIGSLFKKDEVIKAAAYFENMLLNHCSPNEVTLHYLVNGLTNSMSCIINVTSHSSTVKVHNKSALLDVFKGMASDGLDPRISALNAIIFSLCRHNMLEKALNLKDEMANKGYTPDPVTFLSLLYGFCSVGKPSNWRGVLPNEFQQDEFEIIFRYKTLFDQHVVKSVSLEVYRVLQLYAKEFQLIQQPDRRFVCS >PAN42870 pep chromosome:PHallii_v3.1:8:37594539:37597075:1 gene:PAHAL_8G193900 transcript:PAN42870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MDAATTTAAAARRLLLPLRAAPPPRRGAAAFSRRVSGPRRRLRASPARASLDHAAVLLDAAAAVAAAGGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEGGQLMAPNQVAGEILSFFTRNNFTVSDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >PAN43130 pep chromosome:PHallii_v3.1:8:39703928:39708528:1 gene:PAHAL_8G212200 transcript:PAN43130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 4 [Source:Projected from Arabidopsis thaliana (AT2G35880) UniProtKB/Swiss-Prot;Acc:Q9SJ62] MEEDGAAAAAAAAVENGAVVEAEGYVVVKPDSEGQPAGDRGDLAAEQEAAPAAEGGSEAASPSVAPAEEAAPAPAKAPAKKAGSGDAAGARKGKLPNGRVPAAPAAAAKGKRPGVLSQSASFPARGPAGGAKKAAAVVGAAAAAAAAPKQAKAVVANGSGSAAAPGRAVADKKPKANPARTPVARRTMPAKSGSVDAAAPNDATPTVQESHENAAKPLKQAQPGKTDDDVRSTTSSTNTPRAAARKSAAAGFSFRLEQRAEKRKEFFQKLEEKIHAKEIEQTNLQEKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPITSATAASADGSVCDSPRSTANSGKVNEVAENNKARAPARKPVQRSVTKTASQVSGTAKADPRPVVTKPKTSNSKPKVSRAKAAQVHDNPVEVPPSEPSAPEEPTIEHGVGEATGLDLAAPFVTSNEVPVHG >PAN43129 pep chromosome:PHallii_v3.1:8:39703928:39708528:1 gene:PAHAL_8G212200 transcript:PAN43129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 4 [Source:Projected from Arabidopsis thaliana (AT2G35880) UniProtKB/Swiss-Prot;Acc:Q9SJ62] MEEDGAAAAAAAAVENGAVVEAEGYVVVKPDSEGQPAGDRGDLAAEQEAAPAAEGGSEAASPSVAPAEEAAPAPAKAPAKAGSGDAAGARKGKLPNGRVPAAPAAAAKGKRPGVLSQSASFPARGPAGGAKKAAAVVGAAAAAAAAPKQAKAVVANGSGSAAAPGRAVADKKPKANPARTPVARRTMPAKSGSVDAAAPNDATPTVQESHENAAKPLKQAQPGKTDDDVRSTTSSTNTPRAAARKSAAAGFSFRLEQRAEKRKEFFQKLEEKIHAKEIEQTNLQEKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPITSATAASADGSVCDSPRSTANSGKVNEVAENNKARAPARKPVQRSVTKTASQVSGTAKADPRPVVTKPKTSNSKPKVSRAKAAQVHDNPVEVPPSEPSAPEEPTIEHGVGEATGLDLAAPFVTSNEVPVHG >PVH34233 pep chromosome:PHallii_v3.1:8:34891220:34896282:1 gene:PAHAL_8G178200 transcript:PVH34233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGGAERHRKMTMNQGWLAAGGGEDGHPSNTKKLDELPERFINRYVLVLTVALMTVRGLGVLAFAWSTVVLLGGFVTLLGRLDFWFITLISFAQSARIFGAVADEQYLYFVELLSRPIIGTAKRMLNQVCCGNKKQSATTPQRSYEESNEEPRACCNRFPSLGMKDATTMLIKIGLPIPLVLVVLHPVFVLFNIVASFVRLWRKDYGQEANLKPAVVIFYSLAFSQGVLYLLWLILDVGKVLPVRLVVQKYGFHGTWSTQYVRRYLIDTKFKCLKDLSAIKGRNLITYASGLLDSDYMKDYLCGERILVYFIEREMLPRQDLIRSSRKRIQKLIMMLSWTDEHYQEIRLLASKIVAHVAGHVSIAQFPGTLESVSSLLDPSENNHILFRSSSSPDRRGNDADHTVQIKIDDAHGRQECQLIEHGLIILHKFTLDTNNCIEMCKSDVLIMHILAWICSKPFIPVNEQAEWIDILTRSFKVLNQLTSVRGQAGNEVRKKVKFELEPSWINGYGSHPEIQMLAIDLHMQVFLKHFEEPQEFIINNSNSDKHKQNKPSPKSDKRENFLMVILNIFLSYKDMENEGIGSVASRKVQVKAGEAIAMVSAEEKNYEAMLKVKGDIVIELYHIIFSKTEIEYRAIAADIFKRFYANAVREPKEVLQKVLDLLQTSMESETLGNGDLPVYPDSSKHPDSKCNQQNYVKRLQAALLRIICVIHRSRQITAKDLVDVVGSVSYKSCYVGLLKELVDRNKRGTTAYPIEIVKWAAMIVDLMIQSKTELVQQIREEGIVDSLIEASNIMSGVDSWTIFRHIHRRGVVWNQTLAEIVKDIKNRTY >PVH34180 pep chromosome:PHallii_v3.1:8:30621892:30622440:-1 gene:PAHAL_8G164900 transcript:PVH34180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLYNSIYLSWRLKLSKEQKKITQVKSSCNTMVLLHNHISSLAQGMLRMTLMTKIMLLEMRMMRCKVQRQQHQRLMLLSLHMMLLLEKMSYYIPC >PAN41974 pep chromosome:PHallii_v3.1:8:16065837:16067670:1 gene:PAHAL_8G114300 transcript:PAN41974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSFLRRALHQRWPHAASPFPFTAVIRPRTFSAASATPAPAPSPKDARDAPPPLMPTRPWEEALAASQRAFCLPLAGRVLAASATGNAAVSPAAAHASLALAAAGARGATRRQLLQVLGCGGGGRGAAADAANVASRVVKRVLRDRSPSGGPVLRFAGGIWADASTRLSPAFVEAARDVYGSEARTADFMNEPEAAAKQINSWVIESMKCTVTSLQPDVSFDQNTGLVLGSVLYFGGRWLHRADIRSTAAQKFCCLDGTCVDVPFVEYDRTRLFAVHEGFKVIKLPYQQGRNERKFSMYIFLPDAHDGLFELTKKIFAEPSFLEQHLPTEKRHVDIRVPKFTVSFQINMKEILKEMGLELPFHRDADFADMVKDDESSGPLFLSDVLHKAILEVNDKMIEEASFSMGIGKPSPAEHFVADHPFFFVIREEVSGSVIFMGHILDPSSQS >PAN41842 pep chromosome:PHallii_v3.1:8:6483838:6486198:-1 gene:PAHAL_8G073300 transcript:PAN41842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREAAIQEKMLNEDPQQKLREKATVELRRLGFTGSEQVKAASVFVKMPEQISMLLTLDKTLRREFILNMLSDEERRKRAEGGTRKMSVTEVS >PAN41841 pep chromosome:PHallii_v3.1:8:6484241:6484704:-1 gene:PAHAL_8G073300 transcript:PAN41841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREAAIQEKMLNEDPQQKLREKATVELRRLGFTGSEQVKAASVFVKMPEQISMLLTLDKTLRREFILNMLSDEERRKRAEGGTRKMSVTEVS >PAN41843 pep chromosome:PHallii_v3.1:8:6483856:6486181:-1 gene:PAHAL_8G073300 transcript:PAN41843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREAAIQEKMLNEDPQQKLREKATVELRRLGFTGSEQVKAASVFVKMPEQISMLLTLDKTLRREFILNMLSDEERRKRAEGGTRKMSVTEVS >PAN41844 pep chromosome:PHallii_v3.1:8:6484241:6484704:-1 gene:PAHAL_8G073300 transcript:PAN41844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREAAIQEKMLNEDPQQKLREKATVELRRLGFTGSEQVKAASVFVKMPEQISMLLTLDKTLRREFILNMLSDEERRKRAEGGTRKMSVTEVS >PVH33934 pep chromosome:PHallii_v3.1:8:14160968:14161522:1 gene:PAHAL_8G104600 transcript:PVH33934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSEQPWRLTSTRCSRALLLLTNSFNLWLWCLLPSPSAFLSCIHIHQLNFGDCCSKHFTISPSKFREIREQSVCKVPHCRTPTGVEPRISSATEVLEPLGYGPFRVQ >PAN42826 pep chromosome:PHallii_v3.1:8:37518212:37522141:-1 gene:PAHAL_8G193200 transcript:PAN42826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGTTKSDCYRHRVLGLPRGRLEAVSRIQHGAALFLYEFDTKHLYGPYHADSDGGVDLVPGAFRGHFPAQVKFMIDGDFMPVPESSLRSAIKENYFKGKFSPELTATQLSFLSFRISIGARRSETDFFFYERNRHDVDNWPPAPAFLPPPALPAQPPAYAHYPTAYVAPPAAHPTAYVAPPAAHLMPPEAYAPPCSSTQPTMRTYHVPTTVYGYQAGYAACDPLPSTYQYVEAPLPCSLYDLYSMSQYVLAPVYSPAPYYEHDQYQSGNVNSHYQQSTYERPTYVVGHDMDAANLQHVTYGSTPSGPTAAHGTAVTNL >PVH33640 pep chromosome:PHallii_v3.1:8:2746306:2746992:1 gene:PAHAL_8G037400 transcript:PVH33640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAALPGLLPTPPKCTMLPLLPAPPCAAILPNNSPPRSRPSRADAAGRWDANKTKRAGSPASSSSPSCSDGQLSLDGKSSGPGEASLCERLESNKKSVKRASSASSRRNSRADSEKRWDAHKKTASPAASSSLASSNETKTCRISRRPNGQRSSSSSAERWDAKKKPRAPQSADELDDGQSSTGSNDVESFMPRHPPPPLSLYAGPGFITSPEPSMLPKPSFMVRVA >PAN41299 pep chromosome:PHallii_v3.1:8:2423811:2433157:1 gene:PAHAL_8G033600 transcript:PAN41299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRMESVDDLIEEAKLRTVGWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFRWREQPARKHTYLSQVSKKQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFTDNILRDFVLDLWYSDITPDREAPELIRGLILHALGEVSGRVKEMNLVDLLTRDMVDLIGDHLDIFRKNQILIGADVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPIYMNELIVYLLNNNDASNVGGNANMANTGTVTVVHDHPSYKGSSQGSQKESRNLTVEPSGLVPGNNSGMRTLVTSEGGKSKVLQDDHDSAIQPRQPGWAVVLDAATKRRSEILAPENLENMWAIGRNYQKKMIKADHSSRLKGSGGLDNGPSAGAVGKELSSNFNERIASVDDKYMVNMMHSTNRNVQSTFVTGSHPLALQNKNEVKAKEVNQVSYSSKEKTHETSNIAKDQLKRSSSTPDIEKRYLAKSNQPMVSTESGNARKNQDDKVSGLTSHGEVLLHVPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKTWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSSATAEFIDNRMFLPWNQEETDNHNVHHKNLERVHSLSDGDSNYEDLTSSVNSGCHSDNEVNNKGHTSNDNKHIETYTSFDSQVSEQIQKPVRAYSDSSNMSSPNTFEDPTGIPPEWMPTNVSVPLLNLVEKVFQLKRRGWIRRQVLWISKQILQLVMEDAIDEWIIRQINWLRREGFIVQVIHWIQDTLWPNGIFFTKLDGYKGNAGSSQFDKQSSGTGSGKKSGASSFEFQLEASRNASEVKKLLLDGTPSTLVSIIGYKQYRRSARDMYYFLQSNVCVKQLAYAMLEQVIMTIFPELRQLIDDIHEKGRKEQASFTYHL >PVH33614 pep chromosome:PHallii_v3.1:8:2423811:2433157:1 gene:PAHAL_8G033600 transcript:PVH33614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRMESVDDLIEEAKLRTVGWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFRWREQPARKHTYLSQVSKKQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFTDNILRDFVLDLWYSDITPDREAPELIRGLILHALGEVSGRVKEMNLVDLLTRDMVDLIGDHLDIFRKNQILIGADVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPIYMNELIVYLLNNNDASNVGGNANMANTGTVTVVHDHPSYKGSSQGSQKESRNLTVEPSGLVPGNNSGMRTLVTSEGGKSKVLQDDHDSAIQPRQPGWAVVLDAATKRRSEILAPENLENMWAIGRNYQKKMIKADHSSRLKGSGGLDNGPSAGAVGKELSSNFNERIASVDDKYMVNMMHSTNRNVQSTFVTGSHPLALQNKNEVKAKEVNQVSYSSKEKTHETSNIAKDQLKRSSSTPDIEKRYLAKSNQPMVSTESGNARKNQDDKVSGLTSHGEVLLHVPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKTWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSSATAEFIDNRMFLPWNQEETDNHNVHHKNLERVHSLSDGDSNYEDLTSSVNSGCHSDNEVNNKGHTSNDNKHIETYTSFDSQVSEQIQKPVRAYSDSSNMSSPNTFEDPTGIPPEWMPTNVSVPLLNLVEKVFQLKRRGWIRRQVLWISKQILQLVMEDAIDEWIIRQINWLRREGFIVQVIHWIQDMELHLL >PAN43353 pep chromosome:PHallii_v3.1:8:41692066:41693611:1 gene:PAHAL_8G230200 transcript:PAN43353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVHSSKPVKPAYGPAEAPAPAAGAVLPLTVFDEVNHDEYVPGVFAFHPPAPPAAALEAGLARMLAEYREWAGRLVTLDAASGRRAIALNDAGARLVEAAADVPLAAAVMPLRVGPEAVRLHPSCEDGGGAAVEELMLLQVTRFPCGSFAVGYTMHHSVADGYATCSCLLAWGQAVRGAAFDPVPVHDRASLFVPRDPPLVEFEHRGAEFKPRAEKKEALDVDDDEVVVQTVHFSREFIARLKSEASPPAGERHRPYSAAQCVVAHLWRCVTVARGLGPHEVTRLHIAVNGRGRMPVPEGYTGNAVLWARPAATARELLGAPLRRAAGLVSRAVARVDGRYFRSFVDFASSGAVEREGLVRTAVSPELVARTNLEVDSVLGIPFYDLDFGTGRPFVFAPTYSTPQPVEGAAFLVPAPPGRGGVVAHVPLFRRNVDAFTSCCHSLAPPVPGARL >PAN43724 pep chromosome:PHallii_v3.1:8:44188813:44190883:-1 gene:PAHAL_8G260400 transcript:PAN43724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDIADEETCLHALQLVSSAVLPMTLRTAIELGLLETLVGAGGKALTPKEVAAKLPSKANPAAASMVDRLLRLLASYNVVSCVVEEGEDGSLSRRYSAAPVCKWLTPNKDGVSMAPFHLLANDKLFMHAWSYMTDAVLEGGSPFNRAFGTPSWFDYAGTDARFNSVFNEAMKQHSVILTEKLLELYTGFDGVRTLVDVGGGLGSTIHAITSRYPTIHGINFDLPHVISEAPAYPGVHVQHVGGDMFEEVPSGDAILMKWILNCWGDHHCARLLKNCYDALPLHGKLISVECILPVNPDATNSAQGLIGVDVCLLAYSPDGKERYEREFVELAKGAGFTSVKSTYIYANFWAIEYTK >PAN41335 pep chromosome:PHallii_v3.1:8:2601050:2601865:1 gene:PAHAL_8G035800 transcript:PAN41335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRARGGGGGGRQFPVGRRRYVPVADAGCGCRPRRPRLLSLPSFLKPCHQLGGGGKAAGPRNGGAGEQYSCASTSTAASFSSSSAATHSTGGYSSAYSSDYYDHPPPVFHGAAAATTKQQQAPPSPRLPKQHQQAPSPARAGAPGKSSQQPKAAKKAKKKRREKAGPAAAAVGGGKDADGAGVGVGVAVEKESADPRADFRDSMVQMVVEMGLCDWDGLRGMLRRLLALNAPRHHAAILTAFAEVCTQLAGAAAWPPQQPSPPAYHQYRR >PAN42514 pep chromosome:PHallii_v3.1:8:27021382:27038040:1 gene:PAHAL_8G151200 transcript:PAN42514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEQHRPRQPAPAPSFAAQNPAAQGLPGAGALPGAFANLQISRGAAPPPGAPPRALTPQQAPPAFAAARPGPPPSAAAAAARPAFPGSPPAPPFVRAPTAAAAPSAASPFGGPPGAVSQAPPPLGGPPGAASQQPPPFGGPAGAASRVPPPFGGPPSAASQASPPFGGPPAAVSQASPLLGAPPAATSQGPPPFGGPSIAASQVPPFRGPRGSQPPSFGGPPAVALQPAPPMFGGQRPAFPSQPGPTAATSSQPVPPSFGPPQQPPPPFSGQTQFGGPRSRGQPPFAAQSAPVAQQLPFMGPPRANAPAFGPPSWQTQGTGSGAMQPPMGMPGIPGMQPNTLGPLGTPTIPYSPHAGTQVSTPSKIDPTQIPRPMTEASVIIYDTRQGGQATVPPAASSEFIVKDTGNCSPRLMRCTINQIPCTGDLLTTSGMPLALMVQPFALPHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMRFVDQGRHFICNLCGFRNDTPRDYMCNLGPDGRRRDADDRPELCRGTVEFVATKEFLVRDPMPAVYFFLIDVSMNAIETGATAAACSAIAQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKAGFLAIKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANVTTGDKEAHKLLQPVDNTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPQTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSDKTVMVTFRHDDKLQENQECGFQCALLYTTVYGQRRIRVINLSLSCTSLLSNLFRYADLETQFACFLKQAANGIPTSPLPRIRDEATNTCINILQSYRKHCASVTSSGQLILPEALKLLPLYTLALVKSVGLRTDGRLDERSYWMSLVSSISVVLAVPLVFPRLIPIHDLTSRADDESLIPGPLMLNSENVQEDGVYLLENGEDGLIYVGNMVNPATLEQIFGVSSLAALPVQLVLEQFENELSRKVNEIVNEIRRQRCSYLRLRLCRKGEPSGDFFRSFLIEDKAPGVFSYVEFLVHVHRQIQSKMT >PVH34062 pep chromosome:PHallii_v3.1:8:18540224:18540854:1 gene:PAHAL_8G127600 transcript:PVH34062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMTLCS >PVH33469 pep chromosome:PHallii_v3.1:8:643782:651992:-1 gene:PAHAL_8G008000 transcript:PVH33469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIDECSSVPEPAPAHPDPASISPDDWRRFESATLSVVHKIQPTVSSENLRAAVIDYVQRLFRFHAGYQVFPFGSVPLKTYLPDGDIDLTAFGPAISDETLANEVCAILKSEGRRKDSEFEVKDVLYIHATEVKLVKCLVQNIVVDISVNQIGGLCTLCFLEKVDQNFGKHHLFKRSIMLIKDWCYYESRILGAPHGLISTYALETLVLYIFHIFHKSLDGPLAALLQVLYRFLDYYSKFDWDNKGISLFGPISLSSLPDLITDPPDTHDDGFLPRDEFLRECAEAFTVSPKNSEKDAQVFSRKYLNIVDPLKQNNNLGRSVSKGNFYRIRSAFDFGARKLGKILQVPVCSTVIEVNQFFRNTLKRNCTGLRPDISVSSSDDGLITDHATNDSLSLGLNVEKVNKRSSPLYSNSYGDLSSQFSNIDISDSNNHGLVEQKQCNSMAGHKEIKSVSSGLLDSDATDHTTTDSGSVRNGGDLYEASPTARETCTLASGRCCAPHQFYQSEGGKKDDVRDDTDLPHNGMPTKHFTDRSHHSFEDTKYHNEFLGRFSPSLSLEHNAYSSAGLVGGLATLNSMFTPENSQLRCTTTEVSDLTGDFNTNFHNLMYAQAFQQDIPVNQFYYPMIAPSPPQYQNMRPSNGCGRKKPYGYAGMNGAIPSPPYPHSYLVWRPFYQTDDHMAMRGRGTGTYFPDPNLRKDRPPVGRGERGRNHFVSNN >PVH34468 pep chromosome:PHallii_v3.1:8:41276999:41277739:-1 gene:PAHAL_8G226500 transcript:PVH34468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKQRATAWGSAGERGHVGTQGAWRASPSPQASTIPRGRHARLARDVTAKSGRADCSAASAAAKLDRSCYPAAMELDDPLSRAPRVQLRPERRRAIDGAFLVPASESSPFSPRRGARARWRRAGDARKAAGASRSSPPPRRSAKEKGRGGGRGPVTASPERTTEEEAGRRRRRRAGRERSLGRWVADVPDQGGGRYIICRPGWADFEPYDGISAAVGGSDLAGWSGSVGLGRAKRGPVRCSHSA >PVH34551 pep chromosome:PHallii_v3.1:8:43015478:43016384:1 gene:PAHAL_8G246100 transcript:PVH34551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAGPAAGAGKGRWRGAVGACTEGLGSDSGDVGGAEIDQLPAAAAGGAGVEEAPGVEPIQVAVPPPEEKRRRRGGLLPPAMPRAAEGLAMRAERRGGRLILTEVRAAENERRGLFRASRDGGRLLLRFADSASNDDDDGSSGEPEAAGSSAAAAGGGGFSGGELCQVAAAGAAGRTRVEVGAVIEI >PVH34511 pep chromosome:PHallii_v3.1:8:42129561:42134639:-1 gene:PAHAL_8G235700 transcript:PVH34511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTGALPSVIEKLAGLAAGEYNLQKGLKGEIRFLQSELESMKGALEKVSSTPGDRLDIQDKIWTRDLRELSYDIEDSIDTFMVRGQGKEQGNLHGIKKFIDRSVGLFRKAKIRHGMATEIRDIKTRVEEIAKRHGRYKINSDVAMPVMIDPRLFTQYTEAKELVGVDEARDELIKALEEENEVSMQQHGKIVSIVGFGGLGKTTLANAVYEKIRAQFDCCAFVSVSQTPNLNKLFKGLLYDFGKNINEETLEESRLIKVLREFLQEKRYFVVIDDIWDISVWKMIRCALPDNDVGYTIITTTRNYDVAEQAGGAYKLKPLSLNNSRKLLYRRIFGTENKYDNEGREKYPDEELAEVSDKILKKCAGVPLAVITMASLLACKARNKMDWYEVYNSIGTGLENNLNVKNMRKILSLSYYELPCHLRTCLLYLSIFPEDFGIDKDRLIRMWIAEGFIQSEKQGKSVFELGESYFNVLINRSMIQPIHNSSTGMPHSCRVHDMVLDLIRSLSSEENFVAVLSDMDSTSPTSTIRRLSLQNGKNSHVVAHATTRSLLQHARSVVIFPSAAAQAPALGSCRVLRVLDLCECDLSQANSLKYLGNLYQLRYLGLYCTRISQLPEEIGNLQFLQTLDVRFNTISWLPSGVVQLTNLMFLYIDRWTRVPNGIGNLTCLEKLSVLRIDGSTINIVQELGQLAALRRLQIELEEWNDKLLEGLRMLQKIQELYISVRLERSIGVLDTWIAPRHIRDLDTASSCWFSTLPAWVNPSLVRDLTCLGIAVRELHQVDLEILGRLPALRALWLEVDNKNLGIVQGFVVGAGSFPCLVFCSFLQFVWPVVFQQGAMPRLRALHLWSLFYVREGGGIASELALGNLPSLQEFFASVECEGASKEEAEQAKAALTHAAEMHPNHPRHEIVFELSAN >PVH33929 pep chromosome:PHallii_v3.1:8:13895014:13896437:-1 gene:PAHAL_8G103100 transcript:PVH33929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKGRAVVPRFIRQEGHRKITAASVVSAYERAKHDRQVHELLRQWCCARDKDESVDLLRL >PVH34489 pep chromosome:PHallii_v3.1:8:41770794:41774307:-1 gene:PAHAL_8G230900 transcript:PVH34489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFDQGPLCKEHLYSQAKGQVVNLNAFENTRTLPPPAACTSGDRRHRPTRLPRRPAAMAPRGGQSYGFPIYCAAWLPLGHILKPDPPAGDADADADASSAASPSSPPPPMAALGGGGGEGRSGVPNKLVVAALDPAGEEAALSPEAVVEVKTKEEVPYRMAVHPRGDGVLCAFPNGCRLYRWESQEGDEPRKLALEPDQEALADLKDVGLQLAVSFSGEGSILATGGEDGHLRVFKWPAMESVLTEADTKTSIKDLSFSSDEKFLAVNRSSGPCRVWDLLSSEVVANLPREAGEIFGFCRFSNKIDSSNILFITAMQGDYGKIISWNTTSWTRVGSKKITREAISAFAVSPDGSLLAIGTIEGTIIVLGSKDMRTLITVKKAHLGIVTTLAFSQDSRTLLSTSFDSTARVTSVGSPKGNGASVWPMLLVIILAILVYYCMQHKEELLAMLPR >PVH34488 pep chromosome:PHallii_v3.1:8:41770357:41774479:-1 gene:PAHAL_8G230900 transcript:PVH34488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFDQGPLCKEHLYSQAKGQVVNLNAFENTRTLPPPAACTSGDRRHRPTRLPRRPAAMAPRGGQSYGFPIYCAAWLPLGHILKPDPPAGDADADADASSAASPSSPPPPMAALGGGGGEGRSGVPNKLVVAALDPAGEEAALSPEAVVEVKTKEEVPYRMAVHPRGDGVLCAFPNGCRLYRWESQEGDEPRKLALEPDQEALADLKDVGLQLAVSFSGEGSILATGGEDGHLRVFKWPAMESVLTEADTKTSIKDLSFSSDEKFLAVNRSSGPCRVWDLLSSEVVANLPREAGEIFGFCRFSNKIDSSNILFITAMQGDYGKIISWNTTSWTRVGSKKITREAISAFAVSPDGSLLAIGTIEGTIIVLGSKDMRTLITVKKAHLGIVTTLAFSQDSRTLLSTSFDSTARVTSVGSPKGASVWPMLLVIILAILVYYCMQHKEELLAMLPR >PAN43514 pep chromosome:PHallii_v3.1:8:42670671:42673441:-1 gene:PAHAL_8G241200 transcript:PAN43514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAGGRADATEAPLLPSPAAGAAPRRNGFAFLCATLASMTTMLHGYNQTLMSGAQLFMREDVGLSDAEVEVLAGSMNVFMLASILGAGWVADRLGRRRTLVLANAFLMAGALAMSLGSTYPALMAARFVTSLGAGFARVVAPVYNAEISPASTRGVLSSLVDIFINVGILLSYVSNYAFAGLPVHLGWRVMFAMGVIPPVFIAAGVFFMPESPRWLAMRGRHGDARAVLVRTSDTPAEADLRLAEIKQAVADAEAPQQAVSHGGGVWTELLRPSPSVRRILTCVLGLQFFVQASGVDAILLYSPLVFKAVGVASNSAAIGATVAIGAVKTCFILVGMLLTDRVGRRPLLLASTAGVAVTTASLALTLRLAAAAGGGGAASAAACLASVLAVVATYSVGYGSVVNTYSAEILPLRLRAQGSGLGVAVNRLTCGVVGMTFISLAGGISMAGCFFLYAGVTTAAFVFVYARLPETRGRSLEDMGVLFDK >PVH33961 pep chromosome:PHallii_v3.1:8:15350174:15350665:1 gene:PAHAL_8G109900 transcript:PVH33961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSIISYESESSREPTPEYDPITAYEARAPLHWDEEEWDFRYRSEDDDSLTDGEDLALLLGAELEEDEDDASWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSGDSSDSSTGADGDDSFTSDDNGDDGDSSSDTGDSGPSVVPSPKRRKTSGVYWW >PAN43670 pep chromosome:PHallii_v3.1:8:43751800:43754094:1 gene:PAHAL_8G254400 transcript:PAN43670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGDGEEGGHCKRGRGAGDCKDNDDGENGPDKRCRVAAGSTCDDGEAGDDGTGDYNISGDETDGDGDGNDEDLIYYYSDDDEEAEVGASTIRCDDKRYVVLSEDDVHDRQAKDTAEVAEVLSVPPGFAAVLLRHYKWGKMRLKEEWFSDDRRIRDAVGLPADGIPVPMARSRRELVCGICFGRFSPGEMRSAGCSHYYCDECWRGYIHAAVDEGPRCLSLRCPNPACAAAVVRELVDAVADGADKDRYARFALGSYVDESGGRIKWCPGPGCTHAVEFVGCAGDDATDVLCRCRHGFCWSCGEEAHRPVSCATVRAWLSKNNSDSASAIWVLVNTKHCPKCRRPIEKNQGCNNMTCRAPCGHRFCWICLEPLGVGHTTCHAYRPRLDNVVVAGGNGVPAEEQRRQQAKASLDRYLYHYERWAANHRSLQQVLKDMAALDRSELGKMADTVRASATDLRFLTRAYEQIAGCRRVLRWAYAYGYFLDPVRDAAKRRLFDHLQSDANRSLERLHGCAERERKQLCAVAKCASSADIAGRYRSYKKKLENLTEVTQRYFENLVKAFETDLAEVKPAK >PAN43263 pep chromosome:PHallii_v3.1:8:41123879:41128479:1 gene:PAHAL_8G224100 transcript:PAN43263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQDHVVVDVDGLAKPKDDGVAEKPSEGVATSAAAASPSAVVDVVKEEEEGGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDTTCEICHQEYKPGYTAPPRVEPDETTIDIDGDLIMDPRILAVAAAQRRLLEAEYDGYATTDASGAAFCRSAALILMALLLLRHALSISDNEGNDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEEAALAAAEVAFILQSARGRALQFAPESPATPQQEQEPSPQQQ >PVH33447 pep chromosome:PHallii_v3.1:8:490734:492515:-1 gene:PAHAL_8G005500 transcript:PVH33447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAVASSNDNAPTTGAAALLSPMLLNYRRPSTPPLADAEHHLPNTPQQHVHCLGELPSFRRQKSGNEPFAGRVVLAVSWTSASRTCNISGGMLVLLLLGLFDGAFPAPAERNRWIEINNQVLNALFTIISLYQHPALCHHLFLLCRWRPHDAAELRAAYCKGAPASACTWPSSSRCCTSRWYASTCSAVSTGGTRYTKATRPELTEDGFFVLGVVAPVAAAVYTVCSPLGKDGQCHELACSNSAAETQSQMHPTPIGHVVTEPEWAGGMLDCGGDTSSAWCLSLPCTFCVSGWNMERLGFGNAYVHAVTFALLCMAPLWVLGVSALHIRDYAISDMVGGAGVVLCACGLLYGGYWRIQMRKRFGLPGSRACCGSKSLTDYARWLLCWPCALALEVRTANLYHVDGEILYSKVADGGHEERQPLLLAVSSDHDDVLLSATITVANGPLVVVHDETTTMAPPVHQVAVVQIEDEKPEECSVSLHREMVNSWIPTSVPPGEEYESLSEPSQAMVNDYDHRLSSAGKLEAWRGGGGGGGEGEEAHQYGDSGVSAHSFVHKGNCSLAREAEGIGHVA >PAN41215 pep chromosome:PHallii_v3.1:8:1314169:1316319:1 gene:PAHAL_8G018300 transcript:PAN41215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASALALLLAPRPVSARPTSPHLHSRRLALPPPRPATLSAQAATHLRKAGAGRLQQLHAASCCNNSAPAAGTSGGSATGAKDWRFFLAWYLMSLDKNPIATKAVTSAVLTLAGDLICQLVIDRVPELDLRRTFVFTFLGLALVGPILHVWYLYLSKLVTISGASGAIARLILDQFIFSPIFIGIFMSLLVTLEGKPSLVVPKLKQEWLSSVLANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVIAK >PAN42164 pep chromosome:PHallii_v3.1:8:17010174:17011132:-1 gene:PAHAL_8G119800 transcript:PAN42164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGCIGRSSWLARGLSSTMPGLPLQNSPDFCSLHVEFGDRHVCQLCSGSHQIQENSCQHSRPRGHEELVSACVQLAYRTLIVTRVVHCTRG >PAN42209 pep chromosome:PHallii_v3.1:8:15277568:15278062:-1 gene:PAHAL_8G109700 transcript:PAN42209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISFESESSREPTPEYDPTAAYEVRAPLHWDAKEWDFHSQSEDDESLTDGEDLALLFGAELEEDDEDDASWGEDFSTSEERVDSFSSKEDPTAGDFLFGRSSDETSDDTEEAEDNDGFTSNSSGNDDSGNNSSDDSGASIAPPTKRRKTTGVYWW >PVH33723 pep chromosome:PHallii_v3.1:8:4246503:4250362:1 gene:PAHAL_8G054600 transcript:PVH33723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISAKGKDTQVPGHRRSRRDSSPVDSLAAEAASRRAVAQIRPALTERPGPSRRSWRSTRDSSEEDTTAASPFTQIRLALTGRPGPSQRSGRSTRDSSEEDATAASPPHPTWMILNRAGARRDYFPGDHTTSVICHTSTGEKISVSFELVEPPGTSVLTLDRPQGPPSSYPEVIAADRNLVLFQMVRGIHDPAHPVDYFIYEASSDPSRRSSLSLVPVLYPKRDSNEGRPMQHIMSTDATGVLSLNSGLFIVADLETRKDAVDIYLFVSGSGKSKGYDGWRVLKRLPVRRANGDLLDLSRWSTDRVLPYRHHLIWVNYYKGMIFGNMEDPWREPTLRYVPLPVDATKGICDDWNCGRRWPEASHNIFVTRSAIKFVTINHQYSSSSVVALNRCWSTFRITTWSLCNYSDTWIEEATLDAEEFWDLDAENQLPHVLPEFPIFNMKNPDAICFS >PAN42394 pep chromosome:PHallii_v3.1:8:18240816:18246184:1 gene:PAHAL_8G126800 transcript:PAN42394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAHEGSARRRTAYARTELEELRGAPSEEAQARLWAEVRAALAAAGFSGEYDGLLAAEEEEPRSRRGSKGRKAAGGGGSGAGRKWSEEAAAASRFSGVPEIGAWRNGDLGAPHEHCFDAAAHDPGVAYGVVEEQVEDVEYEDDSDDDYEGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEARQIPKVKVAKVDLSAARKEQTPYMPEIPDIPKCSPDLRASKQWEDAFVNHFSETRLMFSEHVSSGEPSISGGMKNYTKVGSSTEPQTDPTLTMVRNMDAVARAATLRNFIDMIQSLDSLSRNNCLWLFALCVAVDVPLDAETCASLRSLLRKCATILATKSEMDDEVIMLNILMAISGRYFGQYEHRCE >PAN42897 pep chromosome:PHallii_v3.1:8:37934518:37939258:1 gene:PAHAL_8G196400 transcript:PAN42897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALVLACHLALAFSLLAASLSHLLVAAVSHLSPSSLHHRLLRLLRHPLLRLLPPLLALPFAFLPLASPPLLPLLPLPFLPPHLPLLRPLLLSLPLLLLARAAGLLAASFPASDLQQHALAVARLLLLAAASASLASSLSAHAARGAVAAGAHLVAEAGLACAGAVGGLWAAQTGLSLYVDACVPAGCHRLLDAGGAQAPATRCEVEEARLRAVAVMDLALSVHCVVVAAVAAGVLLGVARWFGVDSSAGLGRRHNGSSYDALPTVASAGTMAEMEHLQGKGIVGKSVAQE >PAN42421 pep chromosome:PHallii_v3.1:8:21366479:21366724:-1 gene:PAHAL_8G135800 transcript:PAN42421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLIVVASVIAAGLAVGLASIGPKVGQGTAAGQAVKGIARQPEAKGKIRGTLLLTLAFMEDLTIYGLVVALALLFANPFV >PVH33443 pep chromosome:PHallii_v3.1:8:478601:479157:1 gene:PAHAL_8G005000 transcript:PVH33443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSWTSFLVCLHLHWISWIWPWSFLMGLGWPLELCSCLSYEGLHLKLLPGPFWRK >PVH34532 pep chromosome:PHallii_v3.1:8:42580824:42586139:1 gene:PAHAL_8G239800 transcript:PVH34532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPCSCWCFSWSPGSHREETSCMPSSRNSWCKLSNLSTYLKFGHRCARSSLTWGIFETLSRPSHPAIKSVSERNGRFSTTIMLSTSLNWSSFKLVASCPSCRGRALSLGQRTSTNISKRGSSCILGGGASPFWFAAAPSLPAAAAVASSSSSTTKLSRRPTPDPAGSAAPPPPRPRPAPAPPPPPAAPLLPRSRPRPRPRRSPGRAPAAPLPPQYDGDDRRDGSSWRPQQQQQSQGYGRQQQEGERINDGGWQTVGEKKNHGRPQQSEAWNGYRKPPSEQQYSEDVDHQGVNVEPSREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGEVSQDYEDFKRMLASLWFDLYGRGGNSNCSSAFEHVICISGL >PAN43493 pep chromosome:PHallii_v3.1:8:42581133:42586139:1 gene:PAHAL_8G239800 transcript:PAN43493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPCSCWCFSWSPGSHREETSCMPSSRNSWCKLSNLSTYLKFGHRCARSSLTWGIFETLSRPSHPAIKSVSERNGRFSTTIMLSTSLNWSSFKLVASCPSCRGRALSLGQRTSTNISKRGSSCILGGGASPFWFAAAPSLPAAAAVASSSSSTTKYDGDDRRDGSSWRPQQQQQSQGYGRQQQEGERINDGGWQTVGEKKNHGRPQQSEAWNGYRKPPSEQQYSEDVDHQGVNVEPSREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGEVSQDYEDFKRMLASLWFDLYGRGGNSNCSSAFEHVICISGL >PVH34522 pep chromosome:PHallii_v3.1:8:42370394:42374820:1 gene:PAHAL_8G237600 transcript:PVH34522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALVSVSTGVMKPLLSKLTKLLEEEYIKVKGVRKQIKFLRDELSAMSATLEVLADAEQLNPETRLWRDKLRELAYDLEDCIDGFMARVDDGRHGPTGFKKYFRKLKRLIARHDIADQIEELKACVMEASERHRRYDFAQFKHNSSTSSVDPRLQALHEDIEKLVGIDGPKKYIIELLTMDMKEPSTKLKVVSIAGCGGLGKTTLAKQVYDTIKGQFSCSAFVSASRTPDVRKILIHISSGVGFTGYTQDDGEQQLIDKLRNYLHCKRYIIVIDDVWDTEAWEFIKLALPNNDLGSRIISTTRSVTVAKCCSSQVYEMEPLSFDDSKRLFFKRAFGSETPCYPHLEDVPDRILRKCGGLPLAILTVSSMLTNQLTKAEWDRVLSAMGSGLANKPDAKKMTSIISLSYFDIPHHLRTCLLYLSLFPEDYEIEKQCLINRWIAEGFIHEEEGRSKYEIGEGYFNDLINRSMIQPVDVKYGQANACRVHDIILDYIRCKATEENFVTLLNATEHVYTSSDYKVRRLCVSNHTEENVAIWADLMLSHVRSVTIFGQTVKTSLLPSSSLRSLDLGDCWGIEDHHLESIETLIHLKYLRLSSRLITKLPEKIGELKYLQTLDVRGTRIEELPSTITKLQRLAHLYVDCDTRFSNGVIGQMHSLEEMREYGVRSYEQGKSLQEFSKLTKLRTLKINCDFDSLEGSEGLRQAEGFHSYVGTLLSSCNLYNLHITYCSEDNRYAMSLDSWHPVAPCSLRKLCMKYYLIFKVPNWMASLGNLVVLELQFIICMRPEDMEILEAIPGLLFLKLATIGGTNGRITVHGRNGFRSLKYLNLGIYKCGTALEFQVGSMPKLEHVKLMFPVHKRECLNGASDLGIQHLSTLSKVEVEIWGNCRYIANYNPTEDEDDDAVRWVANAINGAIMTHPNHPTIRFETRHNKKCQHFECFLRKENQQELGGLLTEWLKIWQIEEEQTCAEDREEETDEEEEYSYEEEREEQTDKEKE >PVH34026 pep chromosome:PHallii_v3.1:8:17067397:17067621:1 gene:PAHAL_8G120200 transcript:PVH34026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVPELQSWPDLVGKHTDYAVNVIHQDRPDLRVVGRPEGHDPEPEIEELDRVIVWQFVDTGFNSIVSRVPTQG >PVH33994 pep chromosome:PHallii_v3.1:8:16557235:16557640:1 gene:PAHAL_8G116300 transcript:PVH33994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSYTIGHVKTGTPIAIVSRTEFHPHCVTNAPTAGCWSISVCGAQPMITMPLFPTRSFHPTGGSHVLTLTTHKNGTPDASSPRASSLS >PAN41452 pep chromosome:PHallii_v3.1:8:3392188:3394720:-1 gene:PAHAL_8G045300 transcript:PAN41452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MPPPPSSSPPPRLLAGGEHLPPPTSASSPEHPFLSAHLLLPSPSPSPADLSSPHLPHALAFAFLTQPSPLPRHLLVVLHAAGARFTAFYQAFASALLSLPFPLLLPHPRARLLLAASELARAAAPGFGSLLASLLRRVPFPGDARLLELFTEHASFLADEEPQLLASAVFAFLRLLARNRLAPAPSSAVCKDCEECKSAKSLEECRGKLVSFCVSMLRDHFQVCALIGRDLVRSLHELALVPEFQPLWRDLMPDRVGDVCLMSTPGWCTALAITAEMETQLLFMMNNVKWGDQKRYQLWFVRKHLMMPGGEERIPDIVRFICCGYHPTNEVMHSGVIARWAVIGWLLTFCRKGYVVANAKLALFYDWLFFEEGRGSIMNIEPAMLLMVNSVSQYTDITNMLLEFLFLLIDNYDVRRKHAIACCVRSAFEVLVKKRVISSLEQLTCCEKLSPLLRQKLVAFLSSSNPGAAEDSCGKPTPIVSKETELKKSVCSS >PAN43246 pep chromosome:PHallii_v3.1:8:41023255:41027981:1 gene:PAHAL_8G222600 transcript:PAN43246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLGSTAGVVCDQLLVEANGYARTLILNRPEQLNALSSAMIKALLRCFTTYEKDDGVKLLIMKGKGRAFCCGGDVTASIQSIHNEGWKWAADFFRNQYLLDYIIATYTKPQVSLLTGIVMGGGAGVSLHGRFRVATDKTVFAMPETALGHFPDVGATYFLSRLPGFYGEYVALVGARLDGAEMLKCGLATHFLRSNNLLLLEESLKKVDTSETLAVRRIIDQFAEQPSLKENSSLNRLEIINKCFSKRTVEEIISALEQRASNLADEWVAATIQSLKKASPTSLKIALRSIREGRTQTIGECLRREYRMACHVVRGDFSRDFFEGSRAILIDKDQKPMWMPPRLEQVHDEAVEQYFSRIDDPQWEDLNLPTRHSHGRNIESKL >PVH34479 pep chromosome:PHallii_v3.1:8:41503598:41506149:1 gene:PAHAL_8G228800 transcript:PVH34479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRQRHGRRRLLDLTRLCTCASPTQHPAPSSASSDAAPDASPGGLDPAALAPDDAIAALPALAGSAAALALFRRLAARPDLRLLMRLYATAATAFVARGNLPMAHEAMRAMVAAFAEAGRLREAADMVLEMRSHGLPLCVETANWVLRVGLRHPGLFERAREVFDGMTRGGGVRPDERSFRALVLGCCREGRFEEVDALLVTMRQRGFCLDNATCTVVVRAFCQQGRFKDVSELFRWMSGMGTPPNMVNYTAWIDGLCKKGYIKQAFHVLEEMVGKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLVKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMVEQGLAPNTNTYTTLIDGHCKGGSFDRAFELINKMKLEGFLPNIYTYNAIIGGFCRKGQIQEAYKVLRMATSQGLHLNKVTYTILITEHCKQGHITYALELFNGMAENGCDPDIDTYTTIIAAYCQQRQMEQSQKLFDKCLAIGLVPTKQTYTSMIAGYCKVGKSTSALMVFERMVQHGCLPDSVTYGALISGLCKESRLEEARALYESMLDKHLAPCDVTRVTLAFEYCRREKTSIAVSFLDRLDKRQQAHTADALVRKLSALGNLDAANLFLKNVLDKHYTVDHVTYSSFVNSCYNSNRYALASEISEKISKRISNFQKKDATAIA >PVH33550 pep chromosome:PHallii_v3.1:8:1483261:1484490:-1 gene:PAHAL_8G021700 transcript:PVH33550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGPEIFSRGFRFNPTPLELATYYLPRLVAGAPLQEAVRPVIHQADVYACEPADLARQFRPMPRTGHRFFFTSCKKGVRYACPGFWSLQRMTVIQDGTGAKVGEIKKLRYKKRGVFTDWLMDEFSTCCSEDAVVGDRQYVFCNIYVSPRAAPHSAARQESAAFIEPPAPAPVVIAQAAPPKRPAPQAAEPPCPKRTRGAVVAPTPPVVQPAAGCAASFAPPRPRVPNRGVAPPSTPSVTGSSPASAQPLAPAPTRLATPVPAPPRPLGQPKQQMPPPTLPVVRACHMPVQEVPAHHYRPQPSAQTKKMTRDPFEAAELRDKAEEERVVAASDLPSEESPAADQDDDWDELVESTEDIVPTAEAEEEAAANSEGSTMAEDAPDPASKESLDTICKVDQFACLMEAIG >PVH34106 pep chromosome:PHallii_v3.1:8:25099395:25102794:1 gene:PAHAL_8G144500 transcript:PVH34106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEDMYVFSADGKVLSEPAAKPWPDKPPKCTDCAPLFMKVYQMRGAGAVIHSHGIETCIASMLDPGAKEFRMTHMEMLKEIKGHGYRDELVIPIIENTPYEYDLTDSLSEAIAAYPKATAVLVRNHGIFVWGDSWISAKTQAESYHYLLDAAIKLYQLGIDWTTPEHGPINRAKRSRSILNTGIPNECHAAEPLKHLTSTFYSEETKEDVKLLRVQVSMIK >PVH33791 pep chromosome:PHallii_v3.1:8:5450472:5452052:1 gene:PAHAL_8G066300 transcript:PVH33791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPSVRSPVELQEPAVHETLVFHPLLVLQSSILRPSVVVLQEQPLLQMLASIQELAVLPAARATVTAACSKRIPSPTGSSAVDAAQMGSQDQETIDVVDDDTIQQPARSNARPDARTDRRLNCAWLHNSIDPVEGNDKKSDQYWYDVTSTYNSTTKYDRMRNRNQLKLRWERIKKPVTEFNGCYARISKVHQSVMSDDQKMDQALQLYASEHSDKPFTMVHVWRVLRHEHKWSAHVKKLNNEKDKSAASNPAHVVNVEDDSVPSAARRPKMNATENVQHRSLSTLQEATISQGPNPEMEEVMQRNATIRDRAAHRQLQSDLIEHIRQKFGN >PVH34478 pep chromosome:PHallii_v3.1:8:41479403:41484551:-1 gene:PAHAL_8G228600 transcript:PVH34478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNHVQHGKELIIAPHHFNERVELQLNAALELLKEKGEVEEAAEALFQEAFESMHSLPPRLSELFAAGGPGRRSTTDGDRRWLAFIEKELDEFGLPLTRYRRLEHGGHPHMVKWLRDLKEQAGGIGELLDQCERTERAAHNCCANRPRFWKEEIRYMAEQLYHTLNDPCRYAVHSWTFESHGGEELHEDRAATPRLVGIDGRMKKLLSWLLYAGTRLRILSIFGSGGIGKTALAMELQRRQSQHHQTHVYHISAAVSLSKIRSFLCYIYQQITGRTIREMETADTKLLILRIRENLQPKRYFILIDDLRDASVWGIIKHVFPDNNWSSRIVITTRLGSVARSCCSDLDGIVYKLKPLNESDSTQLLMTTAFGSVKDVCLPEGSRDLILRSCNGIPLLITAFADNIKEQLQTAAPNSYGQSPGFSGRTAELHSVEEGPQLPDQVRCALTRICRDLPVELMTLLQYMRMFPRGYMFEKDYLVMKWMAKGLTHSEGEAECHFSELVDRNIFTLVLPTGEHNLDEAEPCRWQVNNLMLQFISSTTRRPAFVFTGDMLTSLEPPTIRPSSELCMPRLVALHSPEPDIQGLMHTIDWGENVRSLAVSGIVDQVPLNKFNYLVMLDLEDWKNLKDEDLLQICNSKMYLLRYLSVRKTQISKLPPQIKELCGLRTLDVSHTQISELPSQAFELDHLIKLDLRSTKIGKLSEKVVGLQKLQYLLVGGDTTLSLIKYPLSFTAALGDLACLRVLTITCSFQQCADEAYQDVWLSSVKKWRQLESLTIHCGLGSSMEFLQGLTSDPPEKLQKLKVTNGRFVSVPQWIKRLEHLSFLQITICKLAADELTILKDLPKLKSLVLGLEFIPREKIVFESEGFNELLEFSVECPVPWLTFRQGAMPKLEFLQLKLCSGPAARRDSVPSGIRNLRSITDLDLCYNQKWCHNSSSVKMTVEAVKEEVAKHRKPISLVINGTVHDVIVLQEYDYLLRRLASDFIPEI >PAN43736 pep chromosome:PHallii_v3.1:8:43115964:43120008:-1 gene:PAHAL_8G247200 transcript:PAN43736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAQAAARAEEEKSCKANGRAARCHPALAEHKASIRGVVGELLAAAGKGKSLISLGVGDASAHACFRRGGEFAAEAVAAAARSGEFDCYAPSYGLPAARRAVADYLSAGARHRTRDSDVFLTVGGTGAITAITTVLGGAQGANILLPRPGFAPYQAACEIAGAEPRFYDLLPRRGWEADLARVRALADGSTAAIVVINPNNPCGAVYSAHHLLQIVETAGDLGIPVIADEVYAHMAFGGSKFVPMASFAHISPVITIGALSKRFMLPGWRLGWLAFCDPNGALKHVKTATEMLLNVTSGPTSIVQAAVPEVLSNEHHEFHCNVVHLLESAADALYRRMNQIEALQCYSKPEGSMFMMVEINTSILCGVADDIEFARELIKEESVLILPGSVIGLKNWVRIFFGAPVSLIQEACDRIELFCRRRTLKPNN >PVH33648 pep chromosome:PHallii_v3.1:8:2785391:2785639:1 gene:PAHAL_8G038300 transcript:PVH33648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSGGLAHRQDWVQDGTLLDVFGDVVGIFHCKSKNGVSTNVLSKSNHTHGGGIIELNAQDNPRVKLWFICGKLGYMQRSV >PVH34594 pep chromosome:PHallii_v3.1:8:43840671:43844193:-1 gene:PAHAL_8G255800 transcript:PVH34594 gene_biotype:protein_coding transcript_biotype:protein_coding description:stachyose synthase [Source:Projected from Arabidopsis thaliana (AT4G01970) TAIR;Acc:AT4G01970] MAPPNESKPTPKLAAANAAKGGPASSPAPCLFSLQDGELSVGGGNKAALLTGVPGNVTLTPFAEAFDPAASDAPRELAEQAAANARRGAFLGFTLPAPASRAPYRVGRLAGPRRFLSVFRFKTWWSTAWAGRRGRDLQMETQWVLLEVPELAGAGAGYVLVLPLVQGGFRSAIFPGDDDGVVICAESGSEAVTGTDFRRIAYVHAGDDPYKLMQEAYLAARVHLGTFRLIQEKALPAMADRFGWCTWDAFYLTVDPAGVWQGVSEFADAGLPPRFLIIDDGWQSVNRDGDPPHEDAPGLVLGGDQMTARLYRFDECARFRGYREGALIRRPPELFYDKSMPKAVVRKAAEIESTWKARKKAAQGGATDLSGFDVRITQLRRELDQLLVQRDAVLARLCDDGGAGAGAGEVGLKAFLKDMRRRFPGLDDVYVWQALCGGWGGVRPGATPLDARVVPARPSPGLAGTMDDLAVDRIIEGGIGLVRPDQADDLYESMHSYLAGAGVTGVKVDVVHTLEYVCGEHGGRVELARAYYDGLSRSVAKNFAGTGIIASMQQCNDFFFLGTRQVAMGRAGDDFWFEDPNGDPMGVYWLQGAHMVNCAYNSLWMGQFIRPDWDMFQSDHACAAFHAASRAICGGPVYVSDSLGGHDFELLRRLVFPDGTVPRCLHYALPTRDCMFKNPLFDQETVLKIWNLNKFGGVIGAFNCQGAGWDPLEHRVRGYSHCYKPVSGEVRPADVEWSQREDTSAMANAGSYAVYRCQTEELLLMTPHSEPIQFTLQPSSFELFTFAPVATISGAAKVRFAPIGLVDLLNCGGAIVDVEYGSGGEVRMKVKGAGRLLVYSDVKPRRSLVDGCEAEFEWGNGGKLMVDVTWKQEKDGVSDVAFCY >PVH34343 pep chromosome:PHallii_v3.1:8:38343265:38343969:1 gene:PAHAL_8G199800 transcript:PVH34343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLSVNCWCCGRPEAVQELRLLVEEKRPAVMFLMETRMGEERALNLKRSLGFPNATVVKSEGESGGLMLLWRHDVVVAELSKSKSHIDVHLSCESLRISQWRLTSFYGEPRRECRKESWYLMRAQSALPWLCVGDFNEVLMVEEHIGGNDREAWQMAAFQDVVDDCHLTDLGYHGLPYTWDNRQDGSRNVKVHLDHALGDNKFMERLRDTEVYHIPLTESDHCGLLVEVREKV >PAN41710 pep chromosome:PHallii_v3.1:8:5321557:5323805:-1 gene:PAHAL_8G065100 transcript:PAN41710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSTRGRNGGVPPGKWYGALRQQVHGRWIAYVYDLNGKVTIWHSCFNTADEAKHALDRTALGLHGKPTDVNFSGPAAAPPPMSGAALESWQREGGAGYSHSGYMPWQSGGKRETQGPEYIFWQGGEEGPQFPDFHYWEHGNGRSC >PAN43105 pep chromosome:PHallii_v3.1:8:39590083:39592808:1 gene:PAHAL_8G211300 transcript:PAN43105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKAGGDGKEAINEQVIANTYASMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEALPAVKRNKEGLEEVIARMREALERKKKEITEFELKYKIRIHKAGNDAEEAGRKEGTAQGVLVGPAGR >PAN42775 pep chromosome:PHallii_v3.1:8:36592150:36595023:1 gene:PAHAL_8G187300 transcript:PAN42775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYEQEAEENKDK >PVH34161 pep chromosome:PHallii_v3.1:8:29304577:29305095:1 gene:PAHAL_8G159400 transcript:PVH34161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEGERFIQDLRCAGGNPVPRHSFLPPMSRAASANLPCDKFFALSPPQFLSFSSAHIWKPLPILIFFLSNLSSAPFQSRLLPLPISDGSGVGASLAPRRAPMASKQKKGGSRNRPVNLESPPLPPSSMPPPSATPLAWPPQAAAPLPPYASWWPGSGAGNLGGQSTSMNPW >PVH34162 pep chromosome:PHallii_v3.1:8:29304516:29306772:1 gene:PAHAL_8G159400 transcript:PVH34162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEGERFIQDLRCAGGNPVPRHSFLPPMSRAASANLPCDKFFALSPPQFLSFSSAHIWKPLPILIFFLSNLSSAPFQSRLLPLPISDGSGVGASLAPRRAPMASKQKKGGSRNRPVNLESPPLPPSSMPPPSATPLAWPPQAAAPLPPYASWWPGSGAGNLGGQSTSMNPCK >PVH34163 pep chromosome:PHallii_v3.1:8:29304461:29307028:1 gene:PAHAL_8G159400 transcript:PVH34163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEGERFIQDLRCAGGNPVPRHSFLPPMSRAASANLPCDKFFALSPPQFLSFSSAHIWKPLPILIFFLSNLSSAPFQSRLLPLPISDGSGVGASLAPRRAPMASKQKKGGSRNRPVNLESPPLPPSSMPPPSATPLAWPPQAAAPLPPYASWWPGSGAGNLGGQSTSMNPWQVLG >PAN42913 pep chromosome:PHallii_v3.1:8:38014510:38021018:-1 gene:PAHAL_8G197400 transcript:PAN42913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHRPGADAGAGGGDIVEMSSAAAAPPPHEGRDRERVIPHSGPLSKKSGPRKSARFAESVSAPLSAPPPRASPSSSAADDDDYVEITLDVRDDSVAVHSVKPAHHGGPGGAGGAEDPDVTLLARTLENRRSSSYGHSVIRNASSRIKQVSQELRRLASVNRRGGAGGRTLDRSKSAAAHALKGLKFISKAEGAKGWEAVEERFDKLAQNGLLHRSKFGQCIGMREPEFAGELFDALARRRNISGDTISKAELLEFWDQISDTSFDGRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKVQEQSEEYARLIMEELDPGNQGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQSLRPTPEPNPLRRWYRRAGYFLEDNWRRVWVMLLWLSICAGLFAWKFVQYRRRYVFEVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWIRNRTAAARVVPFDDNLNFHKVVAVGITVGAALHIISHLTCDFPRLLHATDAEYAPLAPYFGERRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPGPLRRLTGFNAFWYSHHCFVVVYALLIVHGHFLYLTKKWQKKSTWMYLAVPMALYACERLTRALRSSVRPVRILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPAEGKSGLLRAEYDRDGGAMTNPSFPKVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISIIKDIINNMKMLDGDLEVGAGSTNDASVSAASFRTSRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTNTKFDFHKENF >PVH33941 pep chromosome:PHallii_v3.1:8:14560796:14561469:-1 gene:PAHAL_8G106800 transcript:PVH33941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLVDWLFDVLASLGLWQKEAKILFLGLDNSGKTTLLHMLKDERLTQHAPTQHPTSEELLIGRINFRAFDLGGHRIARRVWRDYYASVDAVVYMVDAADGARLAESRAELGALLSDDALAGVPFLVLGNKIDVPQAAPERELAYYLGLAGCTTGKGAVDLTGTGVRPLEVFMCSVVRKMGYGEGFRWMSQYIK >PAN41256 pep chromosome:PHallii_v3.1:8:2174707:2176500:1 gene:PAHAL_8G031000 transcript:PAN41256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAPHQVSQYQHDCSLPAAVSGNGLYTGRRRSTPAAGPNDALLFLAGPAGWLIRLVVFLGELIASAILSLVFPVATLVGALRALPTVVASNFRRAALGLLAAACTFAALVAALFVSVLLGFVLVRHWVEEPVTVRQPLYFDYTEAQPSAAMALGGARGLALPAGHSVRVSMALLLPDSYHNREVGMFQIKTEAISVSGITMASAAQPYMLRYKSTPVRLAQSALMCVPLTLGVRSETQTANLKVLQYREGHGRHKRTGLIRVLLQPRAAIVQLPQVYKAEVVVQTTPPWTKSLAHGLKWTLCVWVSFSVYIVLVVLAICWVRPLAASARNRRLSELQANGMMASHLGTVDIGESPSQELSEDFAVKRRERRSKRKSQFRMQLHGGSMDLEFTEGLTSSAAVMETDQAMTHE >PVH34089 pep chromosome:PHallii_v3.1:8:23238345:23239274:1 gene:PAHAL_8G140100 transcript:PVH34089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPQYREEGVPRCRVKMKISQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFWTEHLGHMLGDLAEETVRIITRFMDVQHHYQILLRHGMNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPIHPAIPIMPEEEEEDPEGIEGVSEIDSEHGDPVLSPYHSPSSSQSSIGNFDDF >PAN42866 pep chromosome:PHallii_v3.1:8:37536954:37538033:1 gene:PAHAL_8G193400 transcript:PAN42866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIKMIPVSAGEPAVVAAAVPAAAGDVLGGGVATDPASSAHEREGESAVLGEQGDDGLTDEDFIDEEEEDDDSTDEEDVDSDSEFEEECQPPDVGRRAAAAPNVVLVAAVGFLGRPTRAASVQNTTGFMHLAAAEAAPGQDGGGGGEILVHYRYTRFSRARSGGDDGVDMHVLGPKLARVRFHLPFHAATADPASSLRLAGAALAPLVYPSRFSAQLRALWSGLVAMAPVRAPPRAVRLVVTADVGILRPADRTPERMRSMRAALECVARERDGARPLPAAELHLPAPLAAEDDARPAQRRRVTGEDCPICCDALERGLAAWPRCSHIFHGRCLEEHLVRGHQECPMCRSEMNVNATQ >PVH33608 pep chromosome:PHallii_v3.1:8:2177091:2179148:1 gene:PAHAL_8G031100 transcript:PVH33608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKRLKCFELLQFTASWAIPATLARKETSSFPTGSYLIRLVHDSWTRSWTNVQILVNLYQHSCRDRSKTPSISDQ >PVH34133 pep chromosome:PHallii_v3.1:8:27681192:27682357:-1 gene:PAHAL_8G153500 transcript:PVH34133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGGTESMEAAETLSARVGTNVEEELLAGVAEDKQAAPTQHTAAALATGSSVATAPKIDMHGDERFRK >PVH34158 pep chromosome:PHallii_v3.1:8:29252659:29253519:1 gene:PAHAL_8G158800 transcript:PVH34158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYENHGTLRCRVILVVARSNRYPDIQPWRATATGFRHQDTYPLTIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQDNLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTRAPPENPESSAAADEGDAAMQPLTDGNPEDGEREPLTLSAPEEDTPRK >PAN42968 pep chromosome:PHallii_v3.1:8:38633267:38639125:-1 gene:PAHAL_8G201800 transcript:PAN42968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, Primary root developmen [Source: Projected from Oryza sativa (Os11g0544800)] MALMLLRGMSTPISFRSSTGLFFTVLRPRLARFTTRVESAQATEAKAAAKSIQLATKEASEQKLQGFEAIIGIETHVQLSTVTKAFCSCPYNYGSQPNSTICPTCMGHPGTLPVLNEKVVECAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAKKGYVDLDLPVEFGGGHRKFGITRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVRPVGQSEFGTKVEIKNMNSFSEINRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTTDYIDEISKSMPELPEAKRRRYENMGLSMQDVLFLANDDNVAHFFDSTLEHGADAKLACNWIMGDIAAYLKNEKLSIDETKLTPLELSELIASIKNGIISGKIGKEILVELISKGGTVKGVIEEKDLVQIADPAAIEAMVDKVIADNPKQLEQYRAGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLNAN >PAN43392 pep chromosome:PHallii_v3.1:8:41891553:41896007:-1 gene:PAHAL_8G232700 transcript:PAN43392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLRTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPKYHLYTPAEVEAVIARL >PVH34222 pep chromosome:PHallii_v3.1:8:33974141:33977715:1 gene:PAHAL_8G175300 transcript:PVH34222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDGDASNPSSSRKGKRKAQERDLKSYFSPFVSSSINPSTHGSEVGNAIIEEEEVVETHLEDTNTIDQQPGSNENDQNDQGTITEFNPDYIISDPGLRIPIEQFSPNIRDEIRRAFMERGPTQPSSHVFPRGQDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLSRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDIPIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PVH33474 pep chromosome:PHallii_v3.1:8:697287:701839:-1 gene:PAHAL_8G009200 transcript:PVH33474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLAAAARQPKTPHPLLAALHRLLSTTTTSSSSYDYDPTAQFLHPDHRRVLSLPASLRHDALLALACLLKTSPQCHLALHAFSPPPSSGSPSSTSLAARFAAASRLAASASALRPFAAILLAALLPAASPDLLSWSSSYGSTGGSARVRYAALRLALHAFLAAGMAAEALHVLARVRSSGNTPSLSALAALLRLLFRSGEVRSAWNMFEEMATRGPRPSLAIFNAMILGFCHRGMLRIGSGLLGVMEKKFGIIPDLVSYNILIKGHCVFGWSGDAFKLFEEMRSSGCEPTVVTYNILVDVLCHEGRMAEARRLFDEMAKVGIKENTITFNVLIDGYAKTGRMDKASAAYREMKVRGLVPDSCTFNILAAGAYKFGHAAQLVHDREMFASHLPADGLDVLVCRLCWDDRLDDAWELLRGAIEQGVPVSVAGFNALIAAYSKEGLHEAAFELYRIMNEVGLAPSTHTFNYLIMGLCNQGRLDEGQLILEHMVSKGYCLSTSFTIYLDASFREGDAVRALKCWGDMEKIGLQPDFIAFSAYVNGLCRLDYVNEAYQAFAEMTTRGLEPNNITYNSIISAFCRAGNMAEALKLQQKMRQSGLVPDVYTSNILIDGFCRQGNLKMVDSLLLDMCSNGLIPDTVTYNTIINAYCRAQDMNGAMSFMNKMFAAGCEPDIFTYNIWMHSLCSNHMLNQAGKVLDELVTRGCPPNSVTYNTLMDGICSDVLDRAMILTGRLIKMAFQPNTITLNVFLSHFCKQGFGKRALMWAEKLREDSFVFDDATRNIIDWAQREMENDPQVNNEDIDRCLFLEFLMFRTYETMHNNRYSKAGHVPADKGFDPASSNMIKILDTG >PVH34330 pep chromosome:PHallii_v3.1:8:38096117:38098047:1 gene:PAHAL_8G197800 transcript:PVH34330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRLLLAAAAVAALVATPATAAAPRKPVDVPFQRNYVPTWAADHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHMKLVGGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGTGDREQRIYLWFDPTKEYHSYSVLWNLYMIAFFVDDVPIRVFRNSSGDLGVRYPFSQPMKLYSSLWNADDWATRGGREKTDWSNAPFVASYRGFHVDGCEASAEARFCATQGARWWDQPEFRDLDGAQYRKLREVRQRYTIYNYCTDRDRYAAMPPECARDRDV >PVH34205 pep chromosome:PHallii_v3.1:8:32724313:32724531:-1 gene:PAHAL_8G171400 transcript:PVH34205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLGLFRLCCLSPSINTLYQSFFGTHLPAVIDGSYTAVVPTISPILKYQHTQNRCARLLSSDDNGSMNGLLS >PAN43719 pep chromosome:PHallii_v3.1:8:44135583:44138994:-1 gene:PAHAL_8G259900 transcript:PAN43719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRTDSSWYTTVSGCVRCGLDSTAFSLLQDMRERDVPLSGFALASLVTACERQGWEEGAACGAAIHALTHRAGLMGNVYIGTALLHLYGSRGLMSDAQRLFWEMPERNVVSWTALMVALSSNGYLEEALAAYHRMRREGVPCNANAFATVVSLCGSLEDEVAGLQVAAHVVLSGLQTHVSVVNSLITMFGNLGRVQDAERLFGRMEERDRISWNAMISMYSHEGACSKCFMLLSDMRRHGGVRPDVTTLCSLVSVCATSYHLALGSGIHSLCHRSGLHSSVLVGNALVNMYSAAGRVDEAESLFWSMSRRDVISWNTMISSYVQNDSCAEALQTLGQLLRTDEGPPNHMTFCSALGACSSPEALMDGRTVHAMVLQQSLQNNLLIGNSLLTMYSKCNSMKDAERVFQTMTCCDVVSCNVLIGGYSTLEDATNAMRVFFWMRGTGIKPNYISIINLQGSCKSSHDLRSYGMPLHAYITQTGLLSDEYVTNSLITMYATCGNLESSSDIFCRIKNKSVISWNAIIAANVRHGRGEEALKLFTDLRHAGNKLDRFCLAECLSSSASLASLEEGMQLHSLSVKCGLDKDSHVVNAAMDMYGKCGKMDDMLKLLPDPASRPTQCWNTLISGYARYGYFKEAEDKFKHMVSIGRKPDYVTFVALLSACSHAGLVDKGISYYNSMASTYGVSPGIKHCVCIVDLLGRLGRFAEAEKFIEEMPVLPNDLIWRSLLSSSRTYKNLDIGRKAAKNLLELDPFDDSAYVLLSNLYATNARWVDVDKLRSHMKTIKLNKRPACSWLKLKNEVSTFGIGDRSHMHAEKIYAKLDEILLKLRELGYVADTSSALHDTDEEQKEQNLWNHSEKLALAYGLIVVPEGSTIRIFKNLRVCADCHLVFKLVSMVYHREMVLRDPYRFHQFKGGSCSCSDFW >PVH33728 pep chromosome:PHallii_v3.1:8:4301735:4304670:1 gene:PAHAL_8G055100 transcript:PVH33728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGTALDGFSFGLSRWRSSISRSIHLIPGRGRPSPTEPITDPPAPAAAAAAAKDDPRWVLLDSRGCHWNGSLVAGDAKTVAECRTSTGQRLRVAFDLAAPPARSLLYYDSAETAGPGEEKHAQPLSVVAAHGDSVLLRMARRRRREPSSAAAAGPFDHFVYRAGGGARAPSLSLLPALKFLRRHEEGIVEPNCCPLLTEDTGILRRGGGDVEFLMAWIDVLSGGHGMANLCVLRPGSSSQWEHKRLVPIAHEEGDEVMGSLTGPDVAISVGDRFLCWFGHLHCFILCDMADAASPKLRHVPLPGSPYDPNYYTDDLPPLTDSYNLGTAAGASAAVRYVSVEPRCCCGGFGRSSCPRSLHAFTVTTWTLALSMDEPVAWVKDGVIDCEELWAMPGYELRASCACTCSAPS >PVH34103 pep chromosome:PHallii_v3.1:8:24971842:24972166:-1 gene:PAHAL_8G144100 transcript:PVH34103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRIHGKITRVECRSTDPQVGAEERMGWAIFVEGLGNFNLKIMLKATSGFGLCEREVC >PVH34283 pep chromosome:PHallii_v3.1:8:36457916:36458392:1 gene:PAHAL_8G186200 transcript:PVH34283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTCLQDSSTIAPQNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKAYGVHIGFMIL >PAN42997 pep chromosome:PHallii_v3.1:8:38817229:38819559:-1 gene:PAHAL_8G203400 transcript:PAN42997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRTIKVTNIPLSATAENMKEFFSFSGEIEYVEMRRDSETSQVAYVTFKEFHGADTALLLSGSSMCGDVPVNITPVEDYELPPEAYSNTEPGNSSPGTPTGAAVRKAEDVVSTMLATGFVLRKDALRRAQSFDGWHQLLSSATSRVAYLDRRLGLSDKFSVGTAAARGAAHGVDERFQVTERAWGAFAAAGEVVAGSPYASRGAAWVSAAVGAVARAASDVGAMTMEKVGRAEGEGTAADGVEEAEQAHAARVDVHDARAGGGARQGDGHDHKDKAA >PAN42998 pep chromosome:PHallii_v3.1:8:38817425:38819433:-1 gene:PAHAL_8G203400 transcript:PAN42998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLDPGCLRIGVAPAEFCISPPPSSPSPSPQSCTSPNWTIDVSDVRTIKVTNIPLSATAENMKEFFSFSGEIEYVEMRRDSETSQVAYVTFKEFHGADTALLLSGSSMCGDVPVNITPVEDYELPPEAYSNTEPGNSSPGTPTGAAVRKAEDVVSTMLATGFVLRKDALRRAQSFDGWHQLLSSATSRVAYLDRRLGLSDKFSVGTAAARGAAHGVDERFQVTERAWGAFAAAGEVVAGSPYASRGAAWVSAAVGAVARAASDVGAMTMEKVGRAEGEGTAADGVEEAEQAHAARVDVHDARAGGGARQGDGHDHKDKAA >PAN43733 pep chromosome:PHallii_v3.1:8:44232423:44234466:1 gene:PAHAL_8G260900 transcript:PAN43733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDAAWVPWDSQLASWSMEPPGVWIHGLPLDLVAPGLPVEYQYYPATEISSCCLQTSVPTSSTRGKLVDLDHAAAAAAATQNFDPIEKEAAQELEVDLQNMVLKIHVDPIHVFEKAAHDYKAGVDLMRLKIHRYPATIRALGELYTVPTTVAIGPYHHGRNHLKPAEKVKHVAAYHCIRESGRPVQEIYDAVVHAAGDARSLYDGGAVAGVGDDDFLPMMFYDACFLVQYMLTCTRAGLAQMDPALRSFFDANDNDVFRDIMQLENQLPWRVVEAVMRFRPVSVTEFVASLRGCLQDRKVNNSDEKPCALDEGYEPPHLLGLLRFYIVGRSHAKLPALPETDAISFSVSTIELAEIGVTLTPNRTTELIHMGIKEKGNLFAELSLAPLSLDDTRASLLVNMAALELCTTSSFHDAEDEESAVCSYLLLLGMIVDREEDVHELRRRRLLQGGGGLTNREALEFLTSLQGLRLGSRYVRTMEEIENYKLKRRTRTKVHAFVYRNMKTIVAAMSAIGAVAGIVGTLKSLKVVH >PVH34034 pep chromosome:PHallii_v3.1:8:17139007:17139411:1 gene:PAHAL_8G120900 transcript:PVH34034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVTVPCPRLLSDELGNPPTNRCNPIPETRNSCKPFSVANAITHNQRKSQNHFEFQSRATVATINSKNLDTRLRILRLRLGFLKTKLAKFLDDVYKNPPDPGRASSPILYLFDSEEVHEGGGINISYPARGRP >PAN43716 pep chromosome:PHallii_v3.1:8:44097590:44098934:-1 gene:PAHAL_8G259400 transcript:PAN43716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVDDERASDKDRRGHSATQSFLSLFFLALRELTLVHHQLAMASPPARSFFPSYCFLERLFLFLQLLGARPPVHDGYTNDAFPSPRNGDPNQGIIQVSETCAAALEQEGETTVRQDSPGPERRSQQRSHGCISQLNMGSGPLAEPRLILDSGATNHAVGDISLLSSVRMVTPSGIASAYIRIRDGRQLPVAGVGTICRDGFHLPEVLYVPGFCAGVILVSVSKLSERGYIIMFGRGQCHVQDQSSGDVVGKGQLRGEDGLYHLEYLKIPPDGSTVPPNGHLHCHPAIS >PVH34636 pep chromosome:PHallii_v3.1:8:44390834:44391756:-1 gene:PAHAL_8G263100 transcript:PVH34636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLHAPLQPQPLLGLMRSPCVEKPRHVVRSVRVFFFVSDQIEASYDAVMVAAVGTTKQRMVIMPTPLCNLSSAASRRRSPHRLRAPSILDGATGGGTLLQQLGAWIGGAREAAAGGTAGQVSEKGLSWDALLATVDGAPELKRRSAAVGRLTSCHVACQAPANFQKTPWIDLAPVLARPPPAFSPQSATASQKRQVLAPATSRSSPPAGASGTTPRRDSDGIGVKPPAYGEFASFCFRFWTFLRVL >PAN42314 pep chromosome:PHallii_v3.1:8:13966408:13968197:-1 gene:PAHAL_8G103600 transcript:PAN42314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELEIAWVDAEVEKEDEKLILNPPSSTPPLHRPILVGDLKLADFKQFLENEGFQVEFAVGALQCGGNITLRKIVGSSQKGSTGSQHIVIQGALCEDYYKIRKLLYSKFYLL >PAN43207 pep chromosome:PHallii_v3.1:8:40775016:40776278:1 gene:PAHAL_8G220400 transcript:PAN43207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRMLTGGGRRVALVAALLCAAAAAAAAQQASGVRATYNYYRPQNINWDLNAASAYCATWDAGKSLEWRSRYGWTAFCGPAGPTGQAACGQCLQVTNSATGASITVRIVDQCSNGGLDLDYDTAFSKIDTNGDGVRDGHLTVSYQFVNCGDN >PVH33442 pep chromosome:PHallii_v3.1:8:466990:471058:1 gene:PAHAL_8G004800 transcript:PVH33442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAHRNNKRRRFSPAPGPTSATAPPLDSLADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRLLRPLRADLIPAALARYPSASRLDLSLCARVPDAALAAAPSGSSLRAVDLSRSWGFGAAGLAALAGACPDLADLDLSNGVHLGDAAAAEVARMRTLQRLSLSRCKPLTDMGLGCVAVGCPDLRELSLKWCLGLTDLGLHLLALKCKKLTSLDLSYTMITKESFLAIMKLPNLQVLPLVGCIGIDDDALGSLDKECSKSLQVLNMSHCQNVTDVGVSSMVKSTPNLLELDLSYCCPQVTPSMGRSLQTITKLRILKLEGCKFMADGLKAIGSSCVSIRELSLSKCSGVTDTELSFAVSKLKNLLKLDITCCRSITDVSVAAITSSCTSLISLRMESCSHVSSGALQLIGKNCSHLEELDLTDSDLDDEGLKALAGCSNLSSLKIGICLRISDEGLTHIGKSCPKLGDIDLYRCGGISDDGVIQIAQGSPMLESINLSYCTEITDRSLMSLSKCTKLNTLEIRGCPRVSSAGLSEIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLTAALMVCGGLTKVKLHEAFKSMMPPHMLKNVEARGCIFQWINKPFKVEVEPCDVWKQQSQDVLVR >PAN41408 pep chromosome:PHallii_v3.1:8:3004624:3009835:1 gene:PAHAL_8G041600 transcript:PAN41408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYHRVEKPRNDTPISQNEIRITTQGRMRNYISYGMSLLEENGHDEISIKAMGRAINKTVLVVELIKRRVGGLHQNTVTESVDITDTWEPLEEGLLPLETTRHVSMITVTLSKKPLDTSSPGYQPPIPAEEVKPAFDYDHEESYPPGRGRGRGGGRRGRGRGMSNGPPPPAYGYNEEWEEEGDYYNRGRGRGRSRGRGGRGRGYYGGGRRGGYGYDYGYGGRGGYYEEQDEYYDEPEEYGPPPGRGFTGRGRGRRGAAPWRGRGGRGPPRGGRGGYY >PAN43380 pep chromosome:PHallii_v3.1:8:41860018:41864256:1 gene:PAHAL_8G232200 transcript:PAN43380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRHYWGGGRRPSGEGGGDTAAGVVVMFAWLSSQERHVRAYVELYAARGWACLVCHSEFLTLFFPDKAAMLADRVLGELVKELKIRPVPVVFASFSGGPKGCTYKVLQLIEQRCKGQLSMDEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLDPSVLKMSEPPRVLSWMAKGVASGLDTLFINKFEEQRKDYWETLYSSVHVGPILILCSEDDQLAPFSVVENFGHRLLELGGDVNLVKWHSSPHVGHYKYHPEEYRAAVTELLMKASALYMSRRQLKGYEVGTSEHGDMPPSISNHQRTAASSSDRLRRAQIDPTDQFFLPSSMEYHESSEGPKPELFNMPSVESLSLNGVLGQVLYDVCVPKNVEGWDLKPSASSHMRTVARRHGSFNPMKCVRRSRL >PAN43381 pep chromosome:PHallii_v3.1:8:41861057:41864256:1 gene:PAHAL_8G232200 transcript:PAN43381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLDPSVLKMSEPPRVLSWMAKGVASGLDTLFINKFEEQRKDYWETLYSSVHVGPILILCSEDDQLAPFSVVENFGHRLLELGGDVNLVKWHSSPHVGHYKYHPEEYRAAVTELLMKASALYMSRRQLKGYEVGTSEHGDMPPSISNHQRTAASSSDRLRRAQIDPTDQFFLPSSMEYHESSEGPKPELFNMPSVESLSLNGVLGQVLYDVCVPKNVEGWDLKPSASSHMRTVARRHGSFNPMKCVRRSRL >PAN43576 pep chromosome:PHallii_v3.1:8:43036811:43038367:-1 gene:PAHAL_8G246400 transcript:PAN43576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARAPPPPRRPHYLPPLLAAALLLLLLAAPAAEATLHPVDYLALQAVRRALADLPGSRFFASWDFTADPCGFAGVSCSASPDGGRVVALALGDPRAGAPGLSGPFPAAALSRLSALASLSLVPGRVTGALPPAVAALPALRFLALSGNLLSGPLPRAFAPGLRTVDLSKNAFSGAVPPALLQLRDLRTLVLSHNALAGGVPGPVRAPLVHLDLRSNRLSGGVPPLPGTLVYLSLAGNRLSGRVGTVLRRLPRLAFLDLGGNWFSGEVPGEVFAFRIGYLQLRKNAFSGELRPAGRVAPGATVDLSHNALSGRVPPELATAGAVYLNGNRFAGEVPREVAAAAEAGRMRVLFLQDNFLTGIAVGGVPASAAVCAHWNCVAPPPAVVAACPAKGGRGRRRPQAQCGGRRG >PAN41338 pep chromosome:PHallii_v3.1:8:2618030:2628439:-1 gene:PAHAL_8G036100 transcript:PAN41338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDRFIMQPLYTLADGQTKKLFWCIATCVSRAKSLSSREDPG >PAN41503 pep chromosome:PHallii_v3.1:8:3609655:3614200:-1 gene:PAHAL_8G048300 transcript:PAN41503 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 25 [Source:Projected from Arabidopsis thaliana (AT1G71960) UniProtKB/Swiss-Prot;Acc:Q84TH5] MPPNAQDAHGSTGLPVTAAPAAAANKMDCFLTTACTPLNLQFIDVSYRVKQTERSAAAKAPPGRISSHSSAGAAAVPEERTILKGVTGEARAGELLAVLGPSGSGKSTLLSILGGRLAGRHAGTVLAGGRPPCRGVQRRTGFVAQDDVLHPHLTVRETLVFCAMLRLPRAAPAAAKAAAAEAVIAELGLAACADTVVGNAFVRGVSGGERKRVSIGHEMLVNPSLLILDEPTSGLDSTAAARLVATLSALARKGRTVVMSVHQPSSRVYRMFDSVLLLAEGSCLYFGAGRDAMDYFGSVGFAPGFHVNPADFMLDLANGFAQADYNFTAEGGSVKQSLISSYNKVLAPRVKASINAGAAAEHAQTGNDGGAEPQLPAESCSGCTSWANQFTILLRRSLKERRHETFTSLRVFQIIAPALVAGAMWWRSSPLAVQDRLGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPTAFTVIVYLMAGLNPAPAAFALTLAVILSYVLVAEGLGLAVGAVMMDAKRASTLVTVIMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCYRLLIAVQYGGHLRRLLPPEAVDGEAGPGACVAALVAMFFGYRLLAYLALRRVRK >PVH34059 pep chromosome:PHallii_v3.1:8:18077760:18078608:1 gene:PAHAL_8G126300 transcript:PVH34059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIHNFVENFLRLLLVGLHGFIRRAWIAPDMLPTYKGQIYPCPPIRCRRPCSAPPCSVGPCSVHMPSRRQAQLIPAA >PAN42340 pep chromosome:PHallii_v3.1:8:14531281:14532118:-1 gene:PAHAL_8G106700 transcript:PAN42340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGFGGCCGGVAVADGRRVSPAAKPAAEGEGHPQDKKQQQRVVKVKKMKGGGEPGEVAAAGKERKKRDHHKDPPIVMVHQFPFHSRPGLL >PAN43478 pep chromosome:PHallii_v3.1:8:42525285:42528483:1 gene:PAHAL_8G238700 transcript:PAN43478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYIIGAFKPPCDIFVTFSDERTRKQVAIKKDNGKTATVPAFQSLETIAGEVSIAPVPGKRLEHTGVKIELLGQIELYFDRGNFYDFTSLMRELEVPGEIYERKTYPFEFATVEMPYETYNGTNVRLRYILKVTIGRNYVGNIVETRDFCVRNYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLVETPESS >PAN42335 pep chromosome:PHallii_v3.1:8:14439896:14441837:-1 gene:PAHAL_8G106100 transcript:PAN42335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVVALIVGAGPAGMAAAACLGRRSVPYVVVEREGCSASLWRRRAYDRLKLHLAKEFCALPHAPFPDGAPTYVPKREFVAYLDAYAQRFGIEPRYSTSVESAAYDEGAGRWVVAARDTAAGTVVRYAARFLVVATGENAVGSVPEIPGMESFPGEAVHSSAYKSGGRYAGQRVLVVGCGNSGMEIAYDLATHGADTSIVVRSPVHIMTKGLIRLGMTLVQHVPVTIVDCLIVNIASFIFGDLSRHGIVRPKPGPLVLKSKTGRSSVIDVGTVGLIKKGIIKVFGGITKIIGNKVEFEDGKEGSFDAIVFATGYKSTVNLWLKDDKRMLNDDGLPEKGYPNHWKGENGLYCAGFSRRGLAGISMDAKNIANDIAGNFLSG >PVH33603 pep chromosome:PHallii_v3.1:8:2100227:2102239:-1 gene:PAHAL_8G029700 transcript:PVH33603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAPNGSTYNILIGCFCRMGRLELGFAAFGLTLKTGWRVNGIVISQLLKGLCDRKCVSEAMDILLRRMPEFGCPPNVVAYTIVIDGLFREGQVDKAYNLFREIDNQGILPTVVTYNTVINGLCKAQAVDRAEGVLRQMVHKGVKPDNQTYNCLIHGHCSSGRGKEVVRMLKEMSAHGYQPDIVTCNLLLDYLCKSGRCTEARKIFDSMIEKGTKPNVTTYGVLLHGYATKGALSDMHGLLDLMVENGVSPDHRTFNIVLFAYGKGGMINEAMHIFDRMRQQGLSPDGVNYGALIDALCKSGRVDEAMLKFNQMIYEGVTPNIIIFNSLVYGLCTVGKWEKANELFSEMLNQGIHPNASFFNTIMCNLCREGRVTEAQSLLDLMVCVGVRPDVISYNTLMNGHCLAGRMKEAMKLLDDMVRVGLKPDAFSYNTLLHGYCRAGRIDDAVRLFREMLSNEVRPGIVTYNMVLQGLFRSGKFSEAKELYPNMINSGLQLDIYTYNIILDGLCKNNCVDEAFKIFQSLCSKGFQLNIITFNIMIDALLKSSRKEDAMDMFTAISAHGLVPSVSGCTPNSRMLNALVRGLLHRGEIMRAGAYLSKIDEMNFSLEAATTSLLISVFSSEEYQHHAKSLPEKYHFLEAVNK >PAN41126 pep chromosome:PHallii_v3.1:8:1298092:1300457:-1 gene:PAHAL_8G018000 transcript:PAN41126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASVLNNPRLKALIEEERTKALTNELVAKLTHVCWDKCVTGSIGSSFSRSEASCLSNCAKRFAEVKMMTMQRFTER >PVH33904 pep chromosome:PHallii_v3.1:8:10281603:10283883:-1 gene:PAHAL_8G090600 transcript:PVH33904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPFLLCKKAVAFPLLVRALFKCIHLYAVSSHLLPPCFGFRPDSLPPHFPSASGSNSLPSARGCSQKMTTSCSVATPATGTRLGCISRRRQAEDGDELRGSISGGQRAVRDGVALSGPQWGGISWRRRAEDGDELQGSGPQVHAEMQAERQAGRQAGAAGPVTGGRTTEEETTFLCIVSVSSLPESNGG >PVH34657 pep chromosome:PHallii_v3.1:8:44802440:44803862:1 gene:PAHAL_8G267700 transcript:PVH34657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREMNRCEWIPDEWRLLFVRAEDELFKGREMNRKDGAEGKEPAVRSPLQTGVSICHESIRTSSSPAPWTVHLASAPVPCTTAAPRPMHDGDGATVGDTGGFPSSPTQAQYDTESDIANAEPKLLWGEWSPTDEPTTVETKPLWGEGTSNHDKMKLIDPWPPTSNHDIAFYNTTIKTTITVDTVVVEQFIQDIKGNRPPCPMVVALDTEWRKIGTEAYKLALLLLCVGPRCLVFQVHNAGGKLPDVLKSFLTKEGHIFVGALMLSA >PVH34293 pep chromosome:PHallii_v3.1:8:36739992:36742269:1 gene:PAHAL_8G188400 transcript:PVH34293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPLPHQKIQTTSPKTSSRVSSCTQTCLWLGPPLEFRMAQDSDDPLFVASVVVWVLVVILVIVALHCPLPRRVVR >PAN42352 pep chromosome:PHallii_v3.1:8:14654079:14654663:1 gene:PAHAL_8G107600 transcript:PAN42352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFCRPTDRKGPSFHTMDSCRQNPDGFRYFLTVFGRQESADFWSCRPMLVVQGKVLLSLLQLPLKKLKCSCLIIQLLLKILLLLYQLLLLEISLFELVPKEGIFSHNMFKQLKHRGRVPNGCCQRWFIASNAMHKEMQDEHVIIKI >PAN43322 pep chromosome:PHallii_v3.1:8:43028765:43033317:1 gene:PAHAL_8G246300 transcript:PAN43322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIVLLTLVLYALQQASSSSVQPSAVTFGNRTDGGTLLSFKGSLSNQRGALASWNTTTDFCRWQGVSCSVKHKHRVVALRLSSGGLAGTISPSIGNLTFLKTLNLSGNNLHGEIPSEIGRLSRLQYLDLSNNSFHGEVHGNLKNCTSLESIDLGSNKLAGRIPAWLGGLSSLKAIHLEKNNFSGTIPPSLTNLSALKEIYFLYNQLEGPIPEGLGRLSVLEFLSLGVNRLSGTIPTEIFNLSSLIHFSVPQNELNGVLPFDLGNRLPKLQNLLLSENHFTGSIPSSLGNVTGMNTLVITTNNFTGSVPPEIEKLCPDFLSLENNHFVATNTWGWEFMTFLTNCTRLRILVIDNNMFGGMLPSSVANLTAHLQVLYVGFNDISGNIPSGISNLVGLNQLQLSNNRFTGILPDSIGRLHSMQILGFENNLLTGFLPSSLGNMTQLLLLYTNNNMFEGPLPASIGRLQKITAANFSNNKFTGSLPLEIFTLSSLTIYLDLSANYFAGPLPPEVSSLMKLAYLNISKNNLSGNSFNGSIPASINKIRGEIPKEIGLISGMQELYLSHNNLSGHIPESFENMSSLHKLDLSFNQLDGEVPTHGKQKSQSTALEGFHLIDDKYPKVSYAELVQGTNGFDANNLLGRGRYGSVYKCSLQLKNAMTTVAVKVFDLQQSGSSKSFISECEALNSNQIEFKALVFEFMPNGSLDRWIHLDVHSPQPLRGLTLTQRLNIAVDVADAIDYLHSNCDPPIVHCDLKPSNILLNQDFMAHVGDFGLAKVLSDSTSEHLINSKSTVGIKGTIGYVAPEYGEGGQVSPCGDTYSFGIVIHELFTGMAPTDDMFRDGLTLQKHAENMFPGMLMQIVDPVILSEEGAYASNFQSGLNTMEHINSVMFSVTKLVLSCSKQAPTERMRMSDAAAEMRRIRRRREGKLSSTWRADADATNRCTARAARNHVFVVVSDQTAEAYGLPVAVVAVVDTTKQRLARSPLNHCCAVSRCRSLGTELLDGGAGERNSAAAGSRSCSRPFAAAGVIPPEAQSPTRRPLHQQPLDHLLPRLRADAGGGRSHSPRDGAEPAAVWGVVARPSPRLRLPPHRRGMSSDGIGDGLPERRRRILRLFETSLANAPSL >PVH33744 pep chromosome:PHallii_v3.1:8:4495110:4499351:1 gene:PAHAL_8G057100 transcript:PVH33744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRLNVIVKDINALEISKIDSASINRKILMLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSENLSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSSSEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKSLVTHVQGPKLVWVPKTQK >PAN40984 pep chromosome:PHallii_v3.1:8:594521:601620:1 gene:PAHAL_8G007300 transcript:PAN40984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLCSVQRWSRRVATMLPWLVIPLIAIWAITQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVPTGWPCSQDCGYWLDLRCSSGNNNSFLAFSWRLLSSFSSTVVSWFLRKIFRFTSSGDGEGLGPDGKRLAKRGENAGKAEESRVEKARRKAEEKRLARLEREMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKSATPVGEKDARREAERRRQERRKKEDKGSSKSNSDCEDIDRRLGREGDRKRDFDRKSDLDKREGYKPHYFEANNHSNKTVESRTKYFGRMTGGFLSSSRGFGSGSFFGRSAQAAAPQVNKVSRPVVPATDQGNALKRETQHTATQATAKSATAGESRNSWANFNRPVSPNVQPHPTSLKKSWHQLFSRSASVSPCPDVTTSARDMNRKPEPNGAQISNAHIFLSQYPPLESKPCSSQSMQFPGFPALTGAPPIKPLPHFPAGHMPFYDDAESTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPSDLDCGFISSDVTKESHGKPSPIESPLSRSRTVEEKPIKPPHLSVTKGPGSSILPEASSEQGTWQMWSTPLVQETLGLQGPQSQWLRQHTNQFNHSVNLFSGGTESSLSTGLNDNDPWLQKAPFQQLPPDTPSLFLPHEVQGKAIHNDLVFGSPNKSAREHPFGPPGHSWPKEEQVLIGAQEAKHISSPPCAHVGGGGLFSSTGPDVQSVWSFNEKETA >PAN43790 pep chromosome:PHallii_v3.1:8:44433670:44437632:1 gene:PAHAL_8G263800 transcript:PAN43790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKENLKRLAGIDLTILSAQIAQSTDFAELVSQQPWLSTTKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKPMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFMEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGAIEFPLPFGRVLSPTESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLHYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELSIPIEVYGPEATMTGICKQAIECIMAAA >PVH34368 pep chromosome:PHallii_v3.1:8:39164872:39167659:1 gene:PAHAL_8G206800 transcript:PVH34368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGMRKKAAGPDGEDRTSELPDALLHHVLSLLAVDEAVQTSVLARRWRQLWKGMPALRLVGPRTRFPSAEDFDRFVNRLIAVRSDSPLASCEIVEYLTRDDYAGEPDEPEPNVLKVVGDLVGSETELVVPLISQHLTSLDVHHVFSDKDFVDFSSCPVLEELKMLECGFWVQSMSFPSSLKRMCLVECNFPEGYRVRISAPSVVSLRLDDCRGKTPLLESMPLLETASIYLSYGCKDQCRGCGGDESCEGCHGYPVGSYQSVLLNSLSNAVNLELKDQPKVYIYKRDLESCPIFGRLKTLLLDMWCRAIDMHELVRILQHTPIREKLTLQLRSDENFLNAGRGERKHVRIEQSFACAHLKEVSIECEEKLRIKDKVRQIVKILNRSGMLTEQISFKKIPRPEGYYFQVVSPRACDDNWSGFGGN >PVH33439 pep chromosome:PHallii_v3.1:8:429634:432212:-1 gene:PAHAL_8G004300 transcript:PVH33439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRYIYAVLFLLANIFAWVVRQSHVAFFEGQRHTGCHGDRDCLAAEGVLMISLTSFLFFLVMFLSTVCTRKVHDRRNSWHCQWWLAKIVLLAGSIMISTFAPSELIQLYGKVVAPFGAGVFLSTQLLSVIRYITRWNNKWCIRDSENHYLEVIAVSIIMYSGSTVGIILMSLWYTSCWLNIAFIGTTALLVCLMPLIALKTKANGFYMEPGLVGAYGVFLCYSAIKSEPETSCYKKEKAGAGADWKTIISFLGELMSTAASAFSTGKDYKTIQLRNGIVIRLEDDVPYGYGFFHFIFTMGSMYFGMLFLGWDTHHIMEKFSVDVGWMSAWVHIVNEGLAVISFVAILVARIYGIGWLRQLLARIFGIGGQQQQPPPSSEMNILRSSNNDDGTGAAPRSPPPLPQATEEFQEVVSSNDDIAGPPPLPVNIGSRNDDVHAAGSSSSRPAACVTAGRRRVPGGNWWTTIMRRLTTRKLMLFFLCVHVTFILSLAFLYMMYTHRSTQ >PVH33717 pep chromosome:PHallii_v3.1:8:4139633:4140023:-1 gene:PAHAL_8G053400 transcript:PVH33717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLHIIESNPCSEIQSTALFDLLLNNNSSTRQLHQIFLTDDCHLQGS >PAN42400 pep chromosome:PHallii_v3.1:8:24901301:24904325:1 gene:PAHAL_8G143800 transcript:PAN42400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEAPTQEVKLFGRWSFDDVQVNDISLADYLAVNPTKHAIYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVCIVKHAMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >PAN42401 pep chromosome:PHallii_v3.1:8:24901841:24904325:1 gene:PAHAL_8G143800 transcript:PAN42401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEGWWDYIGSIDGRIWYWEYSGCLSSGHYHFISWGLSLNQWFDFCILCMVNDISLADYLAVNPTKHAIYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVCIVKHAMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >PVH33895 pep chromosome:PHallii_v3.1:8:9342978:9343304:1 gene:PAHAL_8G086600 transcript:PVH33895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWGRVRTFMTRPCCVGSQPCLFVGIDCRCSSSCSLRGLRRVTTIWYIRTNISMWYLLGWFTEELVISRVTSHLVYDICWRILLLWGGKCVRHWRSGLRRQLPFVTP >PVH34600 pep chromosome:PHallii_v3.1:8:43899160:43900251:1 gene:PAHAL_8G256600 transcript:PVH34600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRENKVLLYACRNCDHQEVSDSNCVYRNVVDHAAGEFTQVLFEDVASDPTLPRTKSVRCAACGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRD >PVH33777 pep chromosome:PHallii_v3.1:8:5100299:5101957:1 gene:PAHAL_8G063000 transcript:PVH33777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSKGKASCFTVILAILFPPLGVLIRFGCGYQFWLCLLLTLLGYLPGIIYAVCTVCEEQ >PVH33409 pep chromosome:PHallii_v3.1:8:145102:147560:-1 gene:PAHAL_8G000900 transcript:PVH33409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSTSPFGQNSFGTQQGFGQATPAASNPFAPKPFGSPTTTFGAQTGSSLFGTTSTGAFGQQQSTPTFGTTSTAAFGQQQSTPTFGTPSSSLFGSSPAFGASPTPAFGATSSTFGSGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGSSTFGTSTTPTFGTTTTPAFGVTTPAFGTTTTPAFGATTTPAFGSTAPSLFGASSTPAFGSSAPGFGTSATTAFGVSSTTPAFGSSSTPSFGTSTNAFSFGSSPSFGQTAVSSGSSPFGTTSPFGADSSIWLTDSSTRFWASTIW >PAN41236 pep chromosome:PHallii_v3.1:8:1435464:1436574:-1 gene:PAHAL_8G020700 transcript:PAN41236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSSSSLLPRRRLDDDDDDLEEGQFVPTAYQQSSDTDDDDAHPTHVGHYRRRRRLSLEELLALGRGDALPSPTPSCESDGTISDGRTNPSHASVFRAEPNRFVLPLDGGWAATGRRGWTGSKLQLFSEAAAPPLGCPIEPLKLHHQTAGAQQQLPRPVVVPRRAADRPQREYTCKFCGKAYTSHQALGGHVAKHKSGQREAEAAAAGMAMPLQDGGAFLAALRRARRAEAPPHHQCRKCHKVFATGVALGGHMRVHYTGPPIVPARRKNRKRGLALLAAADIVAAPPPPTGLSLALAIKKEEAPPTAPAGAGRVVRLFGIDISPKVKAPSEQQC >PVH34632 pep chromosome:PHallii_v3.1:8:44349708:44350888:-1 gene:PAHAL_8G262700 transcript:PVH34632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAGLNRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIASHLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSARFKDAMRFLWMPRLAERAAAAQSSSSPASPAAYLRQQAISGDGHGLGGSALMTMTNGADRSPSSVVTTTSSPCSSRTSSGSTATVNNDDYYKAAAPAGGDDWAAIQRDQEFWSTASNLQQLAAGGDDQQLLFPLADLPMMQDLSGWVQGFSEGAAPETHQLWSLDDIWRMH >PAN43779 pep chromosome:PHallii_v3.1:8:44349052:44351933:-1 gene:PAHAL_8G262700 transcript:PAN43779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVLQQRAAGGGCRLGPRPAASTAPSAKHEQEQEEVKEAAAGPDAELRRGPWTVDEDLTLINYIAEHGEGRWNALARAAGLNRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIASHLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSARFKDAMRFLWMPRLAERAAAAQSSSSPASPAAYLRQQAISGDGHGLGGSALMTMTNGADRSPSSVVTTTSSPCSSRTSSGSTATVNNDDYYKAAAPAGGDDWAAIQRDQEFWSTASNLQQLAAGGDDQQLLFPLADLPMMQDLSGWVQGFSEGAAPETHQLWSLDDIWRMH >PAN42963 pep chromosome:PHallii_v3.1:8:38612095:38627055:1 gene:PAHAL_8G201600 transcript:PAN42963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAADATAGAAREREAEIEKAMRARVPDFKKQADSLTLEGVRRALEKDLGLETYSLDAHKKFIKQCVDKVFAESDDENTNDNASEDADAKDDNLSKEGPDDAKPTPVSKKTSSSADDQVVRSSETGKDPEGEKDKTSSSDISEDMIKGAIEKRASYFRKNSETLTLQGVRRTLEEDLKLQKKALDAYKNFITTELDKVLQEPANGTKKKSKKGPPMDTDQKTSKGSKRTREDSDSSELNNSQSEMEDSDEDTRPRKKRAEKGKIVKKQKKVADEKKLSKKVAKRDSDRNADEQGGNSAEEDNSHSSAEEDNKRKRQQAPAYGKHVEHLKSIIKSCGMTIPPTVYRKAKQAPEHKREACLIKELEDMLKKEGLSKNPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSNFIPLPPPPKIEADSDDDEDDDAQDNDEYDQENVEGGDKGDNDDAEAGDDAAKDSN >PAN41177 pep chromosome:PHallii_v3.1:8:1149956:1151310:-1 gene:PAHAL_8G016400 transcript:PAN41177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASTTMLAATTLPRLLPGSSYPYASLYLQPHGSSYCGNGRGARNADLRCRRRLLTARGERPPPDDEDEQDQQDPSSAPAGGFDSAVALFNRGEYHACHDVVEELWYGAEDPARTLLHGVLQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLNLDDDDPFSQFREEVADVLQFLYRTQKELAACTDYLCLTMDGSPSSYQLLGNFAAGQQLYRFEADDTDNGASSIIFSVSDDRTAQEAHHRVKLPTLHATEQNLTDLQCAYQHI >PVH34117 pep chromosome:PHallii_v3.1:8:26083531:26084057:-1 gene:PAHAL_8G148100 transcript:PVH34117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALSEFDIRYQPAKAVKGQALADLIAERTSTDIAALSIRAWAMYFDGSVCGDGSSIGILLVSPRGATYSFSIRLPTQCTNNLAEYVAVHKGMELLLEAGAEAVEVFGDSKVVISQLTETYRCESELLFLLWRQCQELMAQWS >PAN42534 pep chromosome:PHallii_v3.1:8:30112850:30114198:-1 gene:PAHAL_8G162300 transcript:PAN42534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLWTTLSHLYSLAGPTVTLLYPLHASVQAMESSSKLDDEQWLAYWILYSFITLMEMVLHSLIRWIPIWYELKLLFTAWLVLPNFRGAVFIYNKFVREHVKKHNVIRAASDPGNVSNNARPDEDRIISTSPKGKKTKRRLLSMVIPKKLRF >PAN42931 pep chromosome:PHallii_v3.1:8:38206450:38217262:1 gene:PAHAL_8G198400 transcript:PAN42931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGACLYALKSACSCLVSQAASSAAKEMALQEGVKGDAKFLAEELDEMNEFLADVGRDEAGVLVEQGGYVEDMAFVKKIQDMACDIEDCLRDLAPHRERPSKWRLPLESLASRHTVAAELKDLVSQVDRVSQRRERYRRARERALRKPTTPGPAEEEARPVVPEIDWKVDVGELIARADESLMVISVWLMKDRVSERAISLVGEVYDAAMDFQRRAWVTATHPIGLTEFLRALARQFLGNDPATSQGALGVLTDTETMGAEQLMAVVARHLEERRYLVVVEGVCTRPEWDWIKLIFPDDRNGSRIMVTSRRADVARHCAEGSSRSFNLERVPDGDARLYVSSDKGATTGRGFSEVIKVKPYSEFVGRDKEVEELTRCISLNESECASLSVYGASGIGKSTLVRNVYNSTTVLRIFQRRAWVAMVHPFNKEEFLRDTISQFKAYRVQESKSGIKNKSSDDLVTEVCQLMGDGRSLLVVDGLSAEEEWDQIKSCLWPNSGSCTAIVTTASAAVARHCSENRSSLMYKLRPLNRAAAHQLFYQKVFRRDRLIELCKPMVDHANLIIQKCDGNLRAINIISGLLATKTRTSTEWEKLLNRFDSELKNNPDLGVTNAAAKLSYDDLPSHMKYLAQYLSVFPRSYNIMLRRLAKAWLAETYMRKTRGDNAEFEGIFDALVTRSMVHPLKRAEITSGRINGCHVDDVFRQHLFSEAKSRGFINILDEHMVNKISQIRHLVVTSGWTRDDDQFKRLDLSCARSLTVLGKWSSCFISPSMKLLRVLDLEETEGLVEHHDLEHIGEFRHLKYLGLRNTGIAHLPKSLGKLHGLEELDIRGTYIAKLPSTFIHLTSLCHLHGGTMAACNSQQEFDSEMTGDCPGLLKNAVSSAFCMCLLCLRQRHKPYGVRVPKKIGELKSLLTMGTIDAAGTRTVMKEVQKLAQLRKLGVMGITKLNSKHLCSTLEHLHHLRSLMVHSDDSLGSLDTVTSPPHCLETLKLYGNLGTLPRWTKTLHSLLKLCLRSTLLDGDAVQIIARLPKLIVLRLLAKSLVVEEIAFPSGAFPKLELLQLDQLENLMTLSTQGRALPNLEILQVYRCSFLSEDSLRLFHDLPRIKMVLMDGTLVQRQPNRRFRYTLDLKKEEEEERIRQRIRAQVGTMRAASRFLEGAKADRSEIDIRE >PAN41810 pep chromosome:PHallii_v3.1:8:6153058:6153351:1 gene:PAHAL_8G071100 transcript:PAN41810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKNLSAVFLLLVITAAWMDVESASVGDYPCTQHLSGNYKGACWSWINDDDCKRVCRGESSDNFDGFCAFFQCWCQTRCTSETVALAANAPIPA >PVH33987 pep chromosome:PHallii_v3.1:8:16102624:16105490:1 gene:PAHAL_8G114700 transcript:PVH33987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPGTGSYCTELQINGALVHRSWNTSNVFWSWGTVRSSAPKLVLLLQSALEINPKSRGLIRVAYVVNSEEEYYTYTSLNESTYIFYVHDISGQTKLNLWSQAKQSWETIYTHPPSPCTAYATCGPFTVCSGNSNPFCGCMESFSQKSPGDWELGDHTGGCVRDTPLDCTDKKNKTSSTDIFHPIARVTLPNNPEIIKDVTTQSKCMEACLRYCSCTAYSYNNSMCYIWNGDLVDVKKNDDIDNNSEDVLYLRLAATNLENFRKNTTRKPVARVILVTSIISFGLLMLIMLLLLIWRNKSKLLYGVALPDNQCSGGGIINFRYTDLNHATKSFSEKLGAGGFGSVFKGVLSDQITIAVKRLDGARQGEKQFRAEVSSIGLIQHINLVRLIGFCCEGDNRLLVYEHMLNGSLDVHLFGNKHSVLNWSARYQIAVRVARGLSYLHHSCRQCIIHCDIKPQNILLDESFVPKIADFGMAAFVGRDFSRVLTTLRGTVGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGKRNKPEQVYTSSNHHVAYFPVQAILKLQGGDVSSLVDPQLHGDFDLEEVERVCKVACWCIQDDESDRPTMGELVQVLEGLQDLDVPPMPRLLAAITQTELTEEPTCDSHAS >PAN41095 pep chromosome:PHallii_v3.1:8:953110:954532:-1 gene:PAHAL_8G013800 transcript:PAN41095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPVRFGLSTNPEYLRRPPKRRRRRSRNSADGLPEMRLTMITLKARHKSLVPTDSPAPLRSRPLCLASRAATPSHLSQSVRPDLHGRRRPRPRARRRTQRPRAGAWAWRGPGSRCCWSCWR >PVH34563 pep chromosome:PHallii_v3.1:8:43301448:43302006:1 gene:PAHAL_8G249200 transcript:PVH34563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREERTYMGKELLVLLAPILFRRTTLTRTRKSHRRTNQRLSIQPPSRRRRRRELAMCALVRNTLLQSVRTAKVTTLPTWSLASLEEHRRWRDFLLADGERIACACSWCWYGKSEVYFGEDRAAEERAACPHHQEESSQRLSTV >PAN41397 pep chromosome:PHallii_v3.1:8:2954046:2954857:-1 gene:PAHAL_8G040600 transcript:PAN41397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPWPWRRPNHPDPAAPPRLDDDVPVAVGTHLHVAAGGDDDDAESTASSERSHRTLPVHADEADPAGDGGLQAVDPRHHEVAGRGSGSEEDGWSWSESDGGEPAEEAAAGHRRRRRAPGRHRRGGGRRRPASAGVPALMVVGPAAAVMLLALVALVAWKRRQRRLL >PAN42829 pep chromosome:PHallii_v3.1:8:37168517:37170267:1 gene:PAHAL_8G190600 transcript:PAN42829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKKVCVVGAGISGLACARELRREGHDVTVMEQSSSVGGQWLYDPRTDSGDPLGVAGVHSSIYSSVRLLSPREVTGISDFPFYPKNGGDDARRYPWHGEFFSNSLRWVVRCARAGEVVTEEEVFDAVVVAAGQYTKPRLPTINGMGNWRRRQLHSHSYRVPDSFHQEVVVVVGCHESGKDIALELREVAREVHISVKSIEDVSPGMSKEVSRHNNLHLHPEIDCLCEDGRVVFADGSCVIADAIIYCTGYSYWFPFLDTAGVVTIDDNSVCPLFEHTFPPALAPTLSFVGVAKRVIVPRFYEMQARWVAQVLSGRRVLPSKEEMMRSIEEHNRRATETAGVPNRLTKNVTFDLDYCDEFGEKHCGFPRLEEWKKELIWSSFARLRDCPESFRDDYVDSDVVREGLRSEGWLVETPRVEADGQEDEPHSHRAHQMPI >PAN42828 pep chromosome:PHallii_v3.1:8:37168517:37170267:1 gene:PAHAL_8G190600 transcript:PAN42828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKKVCVVGAGISGLACARELRREGHDVTVMEQSSSVGGQWLYDPRTDSGDPLGVAGVHSSIYSSVRLLSPREVTGISDFPFYPKNGGDDARRYPWHGEFFRCARAGEVVTEEEVFDAVVVAAGQYTKPRLPTINGMGNWRRRQLHSHSYRVPDSFHQEVVVVVGCHESGKDIALELREVAREVHISVKSIEDVSPGMSKEVSRHNNLHLHPEIDCLCEDGRVVFADGSCVIADAIIYCTGYSYWFPFLDTAGVVTIDDNSVCPLFEHTFPPALAPTLSFVGVAKRVIVPRFYEMQARWVAQVLSGRRVLPSKEEMMRSIEEHNRRATETAGVPNRLTKNVTFDLDYCDEFGEKHCGFPRLEEWKKELIWSSFARLRDCPESFRDDYVDSDVVREGLRSEGWLVETPRVEADGQEDEPHSHRAHQMPI >PAN42830 pep chromosome:PHallii_v3.1:8:37168517:37170267:1 gene:PAHAL_8G190600 transcript:PAN42830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKKVCVVGAGISGLACARELRREGHDVTVMEQSSSVGGQWLYDPRTDSGDPLGVAGVHSSIYSSVRLLSPREVTGISDFPFYPKNGGDDARRYPWHGEFFRYIRDFSDAFRLTNAVRLNTRVVHVGMALPAGGGVSNSLRWVVRCARAGEVVTEEEVFDAVVVAAGQYTKPRLPTINGMGNWRRRQLHSHSYRVPDSFHQEVVVVVGCHESGKDIALELREVAREVHISVKSIEDVSPGMSKEVSRHNNLHLHPEIDCLCEDGRVVFADGSCVIADAIIYCTGYSYWFPFLDTAGVVTIDDNSVCPLFEHTFPPALAPTLSFVGVAKRVIVPRFYEMQARWVAQVLSGRRVLPSKEEMMRSIEEHNRRATETAGVPNRLTKNVTFDLDYCDEFGEKHCGFPRLEEWKKELIWSSFARLRDCPESFRDDYVDSDVVREGLRSEGWLVETPRVEADGQEDEPHSHRAHQMPI >PVH34221 pep chromosome:PHallii_v3.1:8:33957150:33959320:-1 gene:PAHAL_8G175100 transcript:PVH34221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSQLSSLTPKDKLKTICVKVSRKWEFRGLNDDGPLQHVDLVLVDDQGNSIYAEIPASEAERHCSTLEEGKIYIMSRFRVCNAKNYCKSLPGPYMLEITCHTRINLARETATFPEYVYFLTPFDEIPGYIGDKKKFHDVLGFLVQINEPEWVHFANQATPALRRDIVIRDDKHVELKVSIWGRRVRDFLPTDMAIESSNNPIILLLTGCLVKLYQGQPYLSGSSACHWYFNPNIPEAEVLLRSLHGSDIAIRRVGTPLEKGCTCTVTISRLIDDRTWWFPSCNLCNRSCKADGADYTCYECGTTNKYTYKYKLCFIATDGTDEAEMICFGEIGRRIVGKSVETIMRAPRGRDGLPMDIAAIVSSKFTLAVTMSEKSFRNPKKTYQITAIITAFGKQKNIPYHLPNQIQSSQTEIAGSSSCANTPSKLLPINEVYLQTPPSKEVSRTLAR >PAN43368 pep chromosome:PHallii_v3.1:8:41801192:41801851:-1 gene:PAHAL_8G231400 transcript:PAN43368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVFSLLALLALLVSATTAVIIPQCSLAASAATIPQYLSPIAAVGYEHPIVQSYRLQQALAASILPSSAMFLQQQSALLQQQSLAQLTVQSITAQQQRVLSPFSQVALANPAAYLQQQINQLAMVNPAAYLQQQLLPFNQLAVANSAAFSQQQQQLVPFNPLAVAHPAAFLQQQQLVNQLALTSPAAFWQQQQLVNQLALTSPASFWQQPIVGSTLF >PVH33480 pep chromosome:PHallii_v3.1:8:769070:770420:1 gene:PAHAL_8G010200 transcript:PVH33480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLICQEFGDVYDLNFSLGEDHAPTSMVLKKEFQRTILYQQRSQEDTTLASILLRPFPAVLQTARFGCVDDGVKSPVNAVHRVYIKTANDRTLKAEQQEAMIHGWPPRKVMVMDTDHSPFFSAPDHLLELILKSL >PVH33481 pep chromosome:PHallii_v3.1:8:769070:770421:1 gene:PAHAL_8G010200 transcript:PVH33481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLICQEFGDVYDLNFSLGEDHAPTSMVLKKEFQRTILYQQRSQEDTTLASILLRPFPAVLQTARFGCVDDGVKSPVNAVHRVYIKTANDRTLKAEQQEAMIHGWPPRKVMVMDTDHSPFFSAPDHLLELILKSL >PVH34193 pep chromosome:PHallii_v3.1:8:31232192:31232705:-1 gene:PAHAL_8G167200 transcript:PVH34193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTLSRDEENDAPAIERSGQQRPAALKNKQEKELKRPRKNENIVGMMGAYLEMRTKQVEAEAADRAKEMEERERETREREAREKDAAQASNFLIRRCISVLNCYRHNLTGLEVGHGARWAWKTVTINLRIGPCAGEWG >PVH33423 pep chromosome:PHallii_v3.1:8:359954:361536:-1 gene:PAHAL_8G002500 transcript:PVH33423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLDPPVMPAALSSSSIYLTEDYAAKIPDIEFWKDDKDAAPRDEESIVYKLGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLNGMADPMLRSSVPDEDLAALCDVVRLCIHSDEEKRPRGMGEVARLMRGVTALSPEQASPRDSLLWWAELEIASTVE >PVH33494 pep chromosome:PHallii_v3.1:8:916360:916743:-1 gene:PAHAL_8G013100 transcript:PVH33494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLPPSFLNLPHVHSIMSKRHVVIRRQSCKWHDCPTVAAKCFDEALSVMFSYKIGS >PAN42604 pep chromosome:PHallii_v3.1:8:25189270:25193961:-1 gene:PAHAL_8G145300 transcript:PAN42604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAPAPAQAAERDPEHHHGCLAVRTSLPRCALGAGGGSSLAGSSDEASCGSPRWIGRGLSCVCIKRKGAYERICMNLTPVQEERLQRLKHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSTKPRTFVRAIFIQMLSEDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEVLKSTRTQLERELMLDDVMRIEDMPSYSLLC >PAN41165 pep chromosome:PHallii_v3.1:8:1502193:1504729:-1 gene:PAHAL_8G021900 transcript:PAN41165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPTNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >PAN42090 pep chromosome:PHallii_v3.1:8:13474368:13478775:1 gene:PAHAL_8G100800 transcript:PAN42090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRRRFLPVLLLAIQLILLLTGGILVQGGSSEPSGCSYDIATRALVCPGVGGGHHCTRPPCRLFGSP >PVH34000 pep chromosome:PHallii_v3.1:8:16838866:16839090:1 gene:PAHAL_8G118000 transcript:PVH34000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVPQLQSWPDLVGKHTDYAVKVIHQDRPDLRVDGRLEGHDPEPEIEELDRVIVWQFIDTGFNSIVSRVPTQG >PAN42255 pep chromosome:PHallii_v3.1:8:17068184:17083008:-1 gene:PAHAL_8G120300 transcript:PAN42255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELCILQDDVPPVPNQVAFAIIEEELGQPLERLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFSEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQLSGSRVLVMEWIDGIRCTNPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGGPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVAPIIPALEAIWQNSAGKGLADFNFWSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICLTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQIQAYYLNEQQQRHQQYYQSLFQEQVVYSYRVLPQVVEDRR >PVH34235 pep chromosome:PHallii_v3.1:8:34938241:34938463:-1 gene:PAHAL_8G178400 transcript:PVH34235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRASRLQREFRMSSGRGIVCRRGRSSAYNIGLIFRSTRQRRRW >PVH34035 pep chromosome:PHallii_v3.1:8:17237797:17238657:-1 gene:PAHAL_8G121600 transcript:PVH34035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLAIRKTLRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVAIYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPVIGWGTLFGNTQAPPENPESSAAATEDDAAAQPSTNGNPEDGDQGSLTLSAPEEGLPRE >PAN42475 pep chromosome:PHallii_v3.1:8:29240370:29243286:1 gene:PAHAL_8G158600 transcript:PAN42475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQAQLCGATDTDSSGGGGESATDGDSDALAVASALPLCLLHDLVGVAVFLASHPLHAVYLLIFARGLASLAAFFWPLLASTSLLLAVLATAGPYIGGCAEWPGVRSLGRTCGIAVASLCAGLRPDGSGAGAGLVGQLCSFVLGPTDAASVLRVEEIMGEPCDTTASCFVLDEDKSLLLLGGDGCKELAFEVPTMGGAIGERSFLDYDDFGDLKDEIDDKVVISEDLKVSDSWAEQCCPRGTLFVQEIEAGEQEENGIQGQGLILSAIDEISDSVEEKRLECDPVSVEIKKSEPVQALEIKKLKPVEPVVAKILEIKKPEPAHEVQIKKCESMRPMQIKKSEPVESVEIKKPEPAESLETKNSKPEQTVEIKKCEPVQALEVRKSEPVEPVEIKKRERVKPRSSIAQRIKLWEAQVSGNIKPVLEDKEESSVEFSLEKAPIKDVKQCVRFEGDPCVDKRNNEQQAQEVASSSEESTNEQQEQEVKDVKEYTRSETETSSEKCSQNTEAEEIAHAVAQAEEKLQQGGKDAQPETELQEQAHKIEQPEQELQEMEEEVYTDVTASPAMWNGRESPLKSTSIAGRVHSRTSSENLVSEGSPSWKDKEWKRTLACKLYEERMQLRLCRDRAVVEGSDNMDMLWEAYEVGSGGGKGRAGKRSGSKAKGGADNKVEEVVDEGEEEGEDDGDEEEGSVRQLCCLQALKFSTRKMNFGGGKPSLSKISKVLRRMTALSRMGSRRKQSG >PVH34189 pep chromosome:PHallii_v3.1:8:31013682:31013990:-1 gene:PAHAL_8G166300 transcript:PVH34189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRVGAREAPPSPPQSPISRTRSGRETSIRENSCPILIPARSPNPAGPHRANQKFRTSKSNRTRMSKQKYTGQQHPTQVVLARVQNKLH >PVH33942 pep chromosome:PHallii_v3.1:8:14568452:14568925:-1 gene:PAHAL_8G107200 transcript:PVH33942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSSSSGQKKTGKKPNRRTNQSLDSEQPGAGMDGELMHDDRGMLLMIPPPLPLLPAGRSFDRLTCHLLHLPLSNLPLQPRFCISSFPWPLCHRRHSTLPDPVPWPAADLPFNTNTVQPLSADGFLSCVGAFVAGRRALARSFVQEGRVN >PAN41132 pep chromosome:PHallii_v3.1:8:1270414:1271897:1 gene:PAHAL_8G017500 transcript:PAN41132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTAAAVQMDSAHGHGPLPSSSTSPPPPWLPVLADRHQLLREFTASSAPSTTPATAAPPNHHHLRTAATATRRVAKRRPRPSRKLPTTYITADPASFRRMVHQVTGADDLLPVPPEALCRPAPYRAGAAGPAGALMLPTLDTSAFLLGAPGVAAPAVDRAEAGGPAGVACNYSSSCGGFPTLDSWDALF >PAN41709 pep chromosome:PHallii_v3.1:8:5311399:5311788:-1 gene:PAHAL_8G065000 transcript:PAN41709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAGKAASSLLLLAMFVVVSLDPVIAGCCSPIWGDCTEQQKNRIMEACKNPYLKRDNPVRTIPAKTHACCQLVREYQAPKTMNCIVNRFTKKEKKEYDPTLLFYFRSYCALPPSRSPPPHPHKVKVSR >PVH34041 pep chromosome:PHallii_v3.1:8:17311691:17313538:1 gene:PAHAL_8G122400 transcript:PVH34041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVHRQGFSFPSLSSSSSEAPTPKKARKKPKPKQATVKIQPRHDDPPLPFSMPRGATSRSHRVGHVLGEKPKVTVSQSPAAASNVIVIEDDICDDGNAKNVTGGDDDGDANPVTAVTGFRAVAIASSAPAAVLPTARAPAAAIEVIVLDDDSSDDDKPNPTIAVTGIDAVASAESDAEAVQPMSPTLPPAAVATAPGPHAMASVTIEPPGPAAAASASAAAIIPLAHAPAAAIPPAPVPAAAATIATAAPTDAVGVASPIAADTVKGNGLREAGAHYSSNGGGGKAVPGHSSASHGKDIAIGGQSKRDLGNGYSCADCGETFPTPQGLGGHVAGHKHKKSKATPDLQSSSARQSSRHVCTACDKVFPTGQALGWHRKLHYAGLKIGAASDAGSSSAPHRTAAAAAVHGSAGAMRHRPLPASAGSAILALPALMISPVHDPPQGMAVAGNPVAGLVSAPTAATGSSSLPATTSSVLPAEIAVAPGNRRGRTIRIFGVVTFAAFPSQNQEENPPEEVAVAPGNGEHLFGFNISPPFPSQNPPEETVTVNRGPEEVAVAPGNIERRSIRPFGFDASPAFPSQNQEENPPQEIAPSNRGSRTIRLFGVNMAEGPKEMK >PVH33979 pep chromosome:PHallii_v3.1:8:15929000:15929506:-1 gene:PAHAL_8G113000 transcript:PVH33979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSVSSNSPSSVSIISITFLDSETSREATPEFDPMALYEAFAPLHWDAEEWDFSTWSEDDEPLTDDEDLQILLHGDLDEGDDEDSWDDDSFSFLEEDAEDTSTDDNSAAGGFLRGGSSTSEDDGDVSDNSTSNSNSTGGSIGSSDDDTSASPPYKLRKTLGTYWW >PVH34350 pep chromosome:PHallii_v3.1:8:38721899:38724141:-1 gene:PAHAL_8G202500 transcript:PVH34350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAAFCASAAKGGAAGAGGGPRPPHGRLVLPAGRAREAVAFAAGAVAAALALALLCSPSVLAPTPVPNIVTIPSSSHTAGPAAAAVGKGPRTFYDDPSLSYSVRGRVTGWDAKRAEWLRTRGLDPGSAAVSRRVVMVTGSQPEPCKGPGGDHLLLRFLKNKLDYCRLHGVELLYNTALLEPSMVAYWAKIPAVRAAMLAHPEAEWVWWVDADAVFTDMDFALPLERYAGSDLVVYGWEAEVYEERSWVGLNAGVFLIRNCQWALDLMDAWARMGPAYPEHAAWGKALREELSGKPNDESDDQSALVYLLAKDPGGRRWANRTRLETGYYFQGYWAEIVGRLDGVASRYEAVERGRGAAAAGLRRRHAEREHPRYAAARDAALRAAGVPGPAGGGQKGWRRPFVTHFTGCQPCGGAPNRMYTRRRCAEGMRRALAFADDQVLRAYGFRHAAPLSDSVVPLPFDYPAAQ >PAN41200 pep chromosome:PHallii_v3.1:8:1829056:1834951:1 gene:PAHAL_8G025900 transcript:PAN41200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWPSHPPAPSRWASLPFQVTLRRFPFLNSQLSQSRRRRRRRPLNPCALRPPSPPTSEALSSPPTTMASSILSSSAKPCYSATKTPAPIANRLAPPPPSLPSRAAAHGLSAAVRASPSARGLRAPGPRPVRASQQQPQPRRRPEYVPNRIGDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNAPVGEDGHVPVICGLSRCNRKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGNLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLTSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEISDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLAEVQATCGTLGLSTATVKLIGPDGEEKIACSVGTGPVDAAYKAVDQIIQIPTVLREYGMTSVTEGIDAIATTRVVVTGDVSNNSKHALTGQSFNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGAVKASSEVPESARVQSKE >PVH33583 pep chromosome:PHallii_v3.1:8:1829322:1833614:1 gene:PAHAL_8G025900 transcript:PVH33583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWPSHPPAPSRWASLPFQVTLRRFPFLNSQLSQSRRRRRRRPLNPCALRPPSPPTSEALSSPPTTMASSILSSSAKPCYSATKTPAPIANRLAPPPPSLPSRAAAHGLSAAVRASPSARGLRAPGPRPVRASQQQPQPRRRPEYVPNRIGDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNAPVGEDGHVPVICGLSRCNRKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGNLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLTSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEISDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLAEVQATCGTLGLSTATVKLIGPDGEEKIACSVGTGPVDAAYKAVDQIIQIITMIYQRIKHKA >PVH33682 pep chromosome:PHallii_v3.1:8:3342730:3343041:-1 gene:PAHAL_8G044600 transcript:PVH33682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGQQPEDAEPLGKDGAGGPPHSLAYSTGGVVVAGLVAFVALQERGRLAPRRRRTAAPLPANDWRSKKPTHVLLFSFELKPDPSRAELTPRAHPLQTSKGN >PVH34097 pep chromosome:PHallii_v3.1:8:24625475:24626335:1 gene:PAHAL_8G142600 transcript:PVH34097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQDAPGFPSLLINALGSLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVAATGFRHQDAYPLAIRKALRYFCRIFEEHLAPTPVRFFPPAIRTPVWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQIHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQVSLRQARDRRMQEWTQSGTPVPAIGEDHVLLGTPVIGWGPLFGNTQAPTENPESSAAAIERDAAAQPLTDGNPENGEQGLLPPPAPEEGMPRE >PAN43801 pep chromosome:PHallii_v3.1:8:44452185:44455354:1 gene:PAHAL_8G264300 transcript:PAN43801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAIGALSSLLPKLHDLLREEYNLQKGAKKNLQFLERELESMHIALHKVGNVPLDQLDEQVRIWARDIRELSYDMEDVIDTFLVRVEGRHPHQPRSSKDLVKRMVKLFKKGNTHRQILEELKDIKDRIKEVAKRRERYNVAIVSPATTMIDARLRALYAKTTDLVGIDMATNDLIMRFTNGGDGMPTRQQKVVSIVGFGGLGKTTVAKAVYDKLKGQFECAAFVSVSRNPDLKKVLKGILCELDKGRHMSIHNSACDEKQLIDQLRDFLQGKRYFIVIDNIWDIISWNIIRCALDESDIGSCILTTTRNNDIADRVRGWSYRLQPLSHENSKILFYRRIFGSEDKCPKTIIEVSTKILKKCGGVPLAIVSISSLLANKLDNVTEWSNICDCIGSGLENDNDMNGMRKILSLSYYDLPSHLKTCLLDLSTFPEDYEIRKDRLIWRWIAEGFVQPGKMANNLFELGESYFFELINRSMIHPVEMDEQGRAQACRMHDMVLDLICSLSREENFVNISRGVEESTFWRSKIRRLSLQENIRANMNMAQVRSFTIFSSAISSMPSLSCFHVVRVLDLEGCDLKGCGHLNLRYIANLIHLRYLGLRHTFVDELPENIRRLQFLQTLDLSRTLIEELPSGIVQLRQLMCLSVNYNTRLPNGLRNMTSLEVLETVRVDEDSTDIVKDLGLLSQLRVVHIDFNLQRWEGLGERVGKALVESLNSLQKIQSLEITDFSGEDEHMKEGWVPPPRLRRFVMWTASSVSTWISPASLLLLSYLDIEVHKIEGNDIQILGMLPSLRHLWLGASGHLQELPVEERFMVSADAFPCARVCKFFNFVTVPSMFPRGAMPRVEHLEFCLRPSRFFTDGDFDLSDLGMGHLPSLDRVVINLHSERADSKEDVGEVEKGLRHAAGVHPNYPSIDVRHH >PAN41837 pep chromosome:PHallii_v3.1:8:6415055:6416498:1 gene:PAHAL_8G072900 transcript:PAN41837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSMSWWWRGAPLKREDEQVAAAAEPSPFQSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYALSRRPLPPWSPPPPSSVTHLHLDLADPVAVAEALTPLNDVTHVFYAAWSPRATEAQNREVNSAMLRNVLSAVVPSCPAIVHISLQTGTKHYMGPPEAFGRTAALDPPYSEDMPRLDWPNFYYDQEDLLFDAVAQCGDGAAVSWSVHRPNLIFGFSPRSAMNIVCSICVYAAICRKEGVPLRWPGSLGTWEGFSSASDADLIAEQQIWAAVDPTAKNEAFNCSNGDIYKWRQLWPILAERFGLEWVGYEGEENRVKVSEAMAGKEPLWAEIVKENQLVPTQLHEVANWWFVDALFSVELEFLDSMNKSKEHGFLGFRNTIKSFKSWIDRMKAYNIVP >PAN41384 pep chromosome:PHallii_v3.1:8:2897456:2898213:1 gene:PAHAL_8G039500 transcript:PAN41384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVTLGLLASALVFTMFAAHQAWGEPDCYAEKELVLRKCRFTIKIPGDYVRPNPSCRVAVDHADMACICHILTIEEESTVSISKILRLAATVTSQCQQEADAEVLLFQRRYRHRHQKELISA >PVH34314 pep chromosome:PHallii_v3.1:8:37449028:37457121:-1 gene:PAHAL_8G192200 transcript:PVH34314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQVKPTLGVGSITPNKSHNAPLNGSSLTSKYENITLGVACTTPQKSWSVPFYGSSYIPECDESLKPAVGMTFEDIDSAKEFYKAYAAHVGFLVRMGQHKAKNGLVTNKPLYCSREGFRKAKEEIQSEPECSGKRKCERKITRCGCLAMVALKRIKESKYIITTFVEEHTHALVSPNKQHLIRSNREVGKKAKNTLFNFYRASIGTSDAYCFLCVGLGGFENVGCTLRDLQNYHGKLRCLIKSSDA >PVH34299 pep chromosome:PHallii_v3.1:8:37033116:37034216:-1 gene:PAHAL_8G189700 transcript:PVH34299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWGVKNQRALGKWCTERCSQQRDLCLPSNLVFFLEAATPQLPWRSAPMVSMHLLNC >PVH33694 pep chromosome:PHallii_v3.1:8:3511476:3511748:1 gene:PAHAL_8G046900 transcript:PVH33694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAYVCFARSVSCSADDRRRRRAFAGAEDGGGGELVCTCAAGAGCVGVGSGDQRCGAGRRKRKRERDMVDWWRERGQRRRMVVTDERERG >PVH34066 pep chromosome:PHallii_v3.1:8:19065715:19066545:1 gene:PAHAL_8G129000 transcript:PVH34066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPFSIYFFTVKFSRTHPHPHSRIPSRPHENSYEIPVIGNYICCFPCFFEQIAFHVFSHRHPRQGGDLELASEDC >PAN43307 pep chromosome:PHallii_v3.1:8:41377878:41383169:1 gene:PAHAL_8G227900 transcript:PAN43307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPSKATGEDALVLCKDRMRHIRRAIDSRDALSAAHLSYTQSLRTVGTALRRYAESEISPESSLSISEVDKSPSHSSMASPSPSRAVENVASPMHRASPLTTTPATRIHCMKATGTTPLTFMIDPSAAEFVGQESPVSAFVPPPPPLPPELCTSWDFFDPIDAAGSSSSNNENGLTLNFSRLKGLRESKVAEVVPLKEEEEEEELVTSERRHTELPGVNSPSKQEREPKQSVISKPSQLVDVSSKANSSEQVAAKVEESEMEKELCTETEDPSEFITHRAKDFVSSMKDIETRFIRAAEAGNEVSRMLETKKIRLDICPKLPGSPSKLPTARFVSALRVCCNRDIILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSNSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKAYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLFELIQGLIRMWKSMLECHHKQFITITLAYHVKSSTPLQQGEHHRQAAMNLWNEMDSFSSSFRNWVTAHQSYVEALNAWLQKCVLQPPQDRRRRKRKVSFPPRQAVSPPIFILCRDWLTLTESLPSDELCKSIKDVMQLLRVSFEHQDDQNKPKSESQECGMLENNEQEEAKSGSVPAAEGLQSRLTMVLDRLTKFSEASLKCYEELKQNYEIARDDYKRVGPNAQLA >PVH34434 pep chromosome:PHallii_v3.1:8:40340545:40343536:-1 gene:PAHAL_8G217700 transcript:PVH34434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAESGRRLRVGRLRRRAAALLVAVLLAAAMVATAGRPIRLPAAALARRRIDSSTAIDGSAWADGGTAGPARRRWLIGPGSSPPTCRARCGRCSPCRPTRVAIQPGVGPQWEYYPEVWRCKCGNKLFMP >PVH33876 pep chromosome:PHallii_v3.1:8:7446118:7448848:-1 gene:PAHAL_8G081300 transcript:PVH33876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVNVVVNAIYFKGEWSDPFKKENTIDGEFRRLDCSSIDVSFMRSWSHQQIACHEGFKVLKLPYKVMDEALRPGLSWKKWDKIPKFCMCVFLPDANDGPWSLVERMASSPEFLHVHLPMKSVPVGKFWLPRFKLSFGGSIIDDLKSLDLILPFDPFMASMTEMVKDEATEGGMYIDNVIHTAVIEVNEEGSVAAASTESDDDMGFS >PAN42370 pep chromosome:PHallii_v3.1:8:20775120:20775980:-1 gene:PAHAL_8G133700 transcript:PAN42370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLIDTLEGLGVTECPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLRQIQAAHAEARAAAAISSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGTLFGNPQAPPGNPESSAAAVERNAQTQPLAGGNPEDGEQGSLALSAPEEGTPRE >PAN43731 pep chromosome:PHallii_v3.1:8:44218790:44220602:-1 gene:PAHAL_8G260700 transcript:PAN43731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLAQLYEHEHGSPQSLALVVLLFLVAMRLAMPRSRAEKLLSKLPSPPFRLPVIGHLHLVGPLPHRSLRDLARWHGPDVMLLRLGTVPTLVVSSPRAAKAVLRTHDHVFASRPRSAVADVLFYGSTDVAFAPYGEYWRQTRKVVTTHLLTPRKVRCSRAAREQEVRLALARVRDAAAARTAVDLSELFSFFANDVVCQAVTGRLPREQGRNKLFRDLLETNAKLLGGFNLDDYFPSLAGLNVVSAKAVKHRKRWDNLLDSLIDKHVRKTETDEHEDFIDVLLSVQQEYSLTRDNIKAILMDMFEAGTDPTYMALDFAMAELIRNPKAMAKLQAEVRRCAGKGKEIVTEEDLSSMSYLKAVMKESMRLHAPGPLLIPHFSMAECDVEGYTIPSGTRVILNVWALGRNPTCWESADEFMPERFMEEAMDAASDLQGNDFRLLPFGSGRRMCPAIKFTTATFETILANLIYHFNWELPPGTTGIDMTESYGIDVHRKEKLLVVPCVAQDV >PAN42642 pep chromosome:PHallii_v3.1:8:28057638:28059045:-1 gene:PAHAL_8G155300 transcript:PAN42642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYIGSKSRSTAALMLLLVITMMGHIFVEVEARNLLAGSYGEEAMKERHHKWMAKHGRAYSGEAEKGHRFQVFKANAAFVDRSNAAAGKKYHLAINEFADMTSDEFMAMYTGFKPVPTGVKKMPGFIYGNVTLSSDDQQTVDWRQKGAVTGVKNQGHCGCCWAFSAVAALEGIHQISTGNLVSLSEQQVLDCSTDGNNGCNGGFMDNAFQYIIDNGGLTTEDAYPYNAAQGMCQSVQPTVTISGYQDVPSEDEAALAAAVANQPVSVAIDAHNFQFYSGGVLTGDSCGTDLNHAVTAIGYGTAEDGSPYWLLKNQWGQNWGEGGYMRLERGTGACGVAKKASYPVA >PVH33762 pep chromosome:PHallii_v3.1:8:4820701:4825816:-1 gene:PAHAL_8G060900 transcript:PVH33762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLVIGPAIGGYLAQPTEKYPMLFPANSFFGRFPYFLPCLCISVFCFAILLSCIWLPETLHTHKPDKKEDQENQPIIEHLAADSEESVEKNISSATTKDLFKNWPLMSSIILYCIVCFDDMAYSEIFPLWAESDRSYGGLSLSSEDVGQVLAITGASILLYQTFIYPHKVKVLGPINASRVTSIFSMLLLLTFPFMTHLSRPWLLIVLNISSVLKANFAVTVVTSSVILQNNSVPQGQRGIANGLANTLMSFSKAVAPAGAGIVFSWAQKHQYSFIPGDQMVFLFLGTVAIIEFIWTFKPFLAVPDQFRST >PVH34212 pep chromosome:PHallii_v3.1:8:33361745:33363629:1 gene:PAHAL_8G173000 transcript:PVH34212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPTRRRSSLIKGGGAFGPGGVDAFDRLPDDLVLALLAGIAARAGGPADLAAAALTCRRFRELAAHPTVLSRAAAAAVALRAGVWSDAAHRFLRRCAAAGNLHACYFLGMVRFYCLGSRASGVALLARAAAGGHAAALYALAVVRFNGSGGGKADKDPHAGVALCARAAWLGHVPALRELGHCLQDGYGARRDAAAGRHLLLHAAARELAAVSSARRRGRAGEDDDGAAASRFMVEWWVLTSAEKPATAAGEEGSCGAGEEDAEELRLCSHARCGRRETRRHEFRRCSVCGSANYCSRACQALDWKRAHRGQCGAARWLAAGNAY >PAN43778 pep chromosome:PHallii_v3.1:8:44346455:44348550:-1 gene:PAHAL_8G262600 transcript:PAN43778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEASGKRQRACTRSRRWWSSRISSPTAICTLRPTLPSATAHRPPPALKTPTATPLLLVPDDRRTERLRIHKCPAASMHRPRPTMAKPLLVVLAAFLASSLLPRSAAFGVAPGAPGGGGAGFGNWIAMNQQSYATNVALYAMKAAGDGAKALDANLTAAEDNKVSYVVDPSGGGDYATIKAALDDIPAGNTKRVVLNLKPGVVYREKLFLNSSKPFVTFLSDPSSPATIVWNDTAATTGEDGKPLGTVGSTSVAVESDYFVARGVVFKNDAPLAKPGAKGGQAVALRVFGTKAAFFNCTIDGGQDTLYDHKGLHYFKDCTIRGSVDFIFGFGRSLYEGCRIESVVKEVAVLTAQQRTKSIEGAIDSGFSFKNCSIGGVKGGQIYLGRAWGDSSRVVYAYTEMGEEVVPIGWDGWNVAKPESSGIYYGEFKCSGPGADAKKKKRVGWALDLTEAQAKPFVGTHYIFGDSWIQEPSSSTSGSSAGGGKAAGAEKLKQQAEQGAEAPPAEADTATTATKDAKAGKTSAGTTEDAKAEKTTSSATKDAEEETSTSAASEDAKAEKTPAATKGTKEE >PAN42050 pep chromosome:PHallii_v3.1:8:18070592:18072207:1 gene:PAHAL_8G126200 transcript:PAN42050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTLSPRALLPACIMRSRLCKTKVSVESLHLLRSVPDPTFNFVSRVRGQRMLL >PAN43422 pep chromosome:PHallii_v3.1:8:42068252:42073964:-1 gene:PAHAL_8G235000 transcript:PAN43422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQQRMKQAAAAAQQQQQLMQQALLLQQQQQAQPPLFPGHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDTLLQEVFRSIGPVEGCKLIRKEKSSFGFIDYYDRRSAALAILSLNGKQLCGQPIKVNWAYTSTQREDTSGHFNIFVGDLCPEITDAALFAFFSGYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINDLNGKWLGNRQIRCNWATKGANAGEEKQSVDTKVDHTNGSSEAGKENSNEDGPENNPLFTTVYVGGLPHEATNNDVHLFFHSLGVGSIEEVRVTRDKCFGFVRYSTHEEAALAIQMGNGQLIGGRPIRCSWGNKPTPPGTASSPLPPPAPSPFPTGMSAADFLAYQRLALSKIATNPGLVGQHALKQATLGMEAGTSQAIYDGGYPGINAAAAAQQQQQQLMYF >PVH34571 pep chromosome:PHallii_v3.1:8:43512678:43514800:1 gene:PAHAL_8G251400 transcript:PVH34571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDMDFAPSPLPSDDDCPSSDHITTVQVHVIVAVLSMLLLHVLGSLRRLSSHGLLHTIVAGAYTLSFPLVSYTIGRMQSSDWYVDDFGIWAVFLLLLLGNTDSLTACRLNDIDNWKSIYVKHLFKGFLMWYVMIASMRMVSKSYLCKNTKVVAEYMKHKDNNLPFDPVTMEGYRYMVAGEKRCLKRPAAGGKPWYKQDDSCLKVTTVEQIWQCRGSLLLHDQRGKLLKDLCLSMALSKMLNRRFAGFELSEAELEKTHDFVFKGLLAGDKPHQRAFRVVEEELVFVHDLYYTRYSYLDRKGRFFALCLPVIMFALCSWLTCWLAMDRDRVHRTTILITVVLAFLEAYQLYLYIASGWFKVALVRSYVATPFLQRSGCFLETITGLLLRFKGYRPWKRRLGQYCILRDLGRKSRVSNCLHYATLFLVNKTKKGRKNSVMLSENVKKAVDSLLRSNGRLTNGVASLQNNGVHGNLSWAWDATATDGTTVTRTILVWHIATTLCEHHLVDARDREDDAVRTASALSRYCMHLLAFAPNLLPGHSSESESMLDRTLDEASELLKKAKTLERRCDRHDGDADGDHEASIVKQGARLARRLIDDIPETKLRWKVLSDFRAEMMLYVSPSDDARAHLEALARGGEFITHIWALLTHAGVLKRGPAGPKEDV >PAN43075 pep chromosome:PHallii_v3.1:8:39408274:39409333:1 gene:PAHAL_8G209100 transcript:PAN43075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPPRRAALLLLLLSLLLLSAVARAARESAASSRGHAELRGQHGEVDGEEEATGFAAADDDDGPCGGGGGGGGEGGEEAEGECLMRRTLVAHTDYIYTQGGGHN >PAN42328 pep chromosome:PHallii_v3.1:8:14380720:14385026:1 gene:PAHAL_8G105500 transcript:PAN42328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVWWKGRGRSKSSKAGAAAAAAAGEIKEAAGKGKKKASSFDEALLAAGGRGKQQQPEAAAAVGLPLPRPASLPSPLPSAPASASASASSGGGGDSSLGSSAASDEQLDLGVYRLSETSSTLPGRIVAIESRKQSHVVAEGRIFTNNQALEHPRLSETSVSPRKEFHLQNLDLANDRTTHCRGRKSTEIVFSTQVPSSPPGSRGHHYSTSPVPSRTFGQCQASPTAWQDDSRSSSSPQPLPLPPGSPSLPSRSLQWKKGKLLGSGTFGQVYLGFNSEGGQMCAIKEVKVISDDSNSKECLRQLNQEIVLLSQLSHLNIVQYYGSDLSNETLSVYLEYVSGGSIHKLLQEYGPFGEAVLRSYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIRSFKGSPYWMAPEVIMNSNGYSLSVDIWSLGCTILEMATAKPPWSQYEGVAAIFKIGNSKDIPDIPDHLSSEAKSFLKLCLQRDPAARPTAAQLMDHPFVKEYATLRSSRSGITRDVFPTSTEGKNTMAQTSIAISSYRSLSPLRDPDTMIRRNLPDPASPIPSTSNRRIAAINPSNVRMNMSLPVSPCSSPLRQYRQSNRSCLPSPPHPAYSAGAANYSPINNALYPMRPSSGLTDPWLDISQLKTQTFDYPRRL >PVH33956 pep chromosome:PHallii_v3.1:8:15237147:15238469:1 gene:PAHAL_8G109200 transcript:PVH33956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNSVFGCHAARRDTDPAVPAVRRAAARPTSAASRVGASWALAAWEPSPGRPRGRALPGRPRGGAAWPPARPPRLDARGAVRCLDARVGAPPGRPRGRRASTPVGPSRLDARGRRAWLARGRRRGRQLVGASGRMERSTGEIQRWERREPGGWRG >PVH34386 pep chromosome:PHallii_v3.1:8:39560908:39565822:1 gene:PAHAL_8G210900 transcript:PVH34386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVTRLQILQYHGMVNLSTVADWPCLVNTGSTGRIPEFLGSLRNLKYLNLSGINFHGRVLPLFGNLTALRYLDLSGMGATYSTDVSWLAHLRFLQYLNLDSVNLNTVTDWPHVVSRPPSLRFLRLSGCSLSGTLPEWVGQLTSLVSLDLSRNSYAGPLPEFIGNNLTGLRILDLSWNNFTGPLPESISYLGGLRTLDVSYNNLNGVITEEHFRSLKSLQHIDLSSNSLKIEISSKWQPPFRLRSANFATCGMGPLFPAWLQWLVDIDYLDISSTGINDKIPDWLPRAFSDARYLSMSRNKLSGQLPANMEIMSSLEELDLNNNTLTGQLPKLPWNPTYLDICINSLSGSLPANIGLPKLQVLSIASNSITGRLPRSICRCKGLRTLVLANNHFEGELPNCFGNKVMLFIDLSNNGFSGMLPSALQNSEKLRVLDLSGNMFYGSLPEWIGKLKRLRFLRLRQNMFSGNIPMNLTNLACLQYLDISDNNLSGSLPGGLSKLKSLKLKYLKQICSSAFHVKVYSHNFSTFLKGQQIFYGSIPRIVGMNMKVIDLSFNNITGEIPEELTTLDGLLNLNLSRNHFIGDVPSRTGVMQSLESLDFSSNNLSGEIPASLSNLTFLSFMDLSYNNLTGRIPSGSQLDSLYAANPSIYTGNVGLCGPPLKKICSGIDASKQGRSKRTKENPGLEFFYIGLGCGFVAGIWAVFFALLFKQ >PAN41189 pep chromosome:PHallii_v3.1:8:1795208:1799320:1 gene:PAHAL_8G024900 transcript:PAN41189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVEEVGNKMQSQMRLHPEPEDDADLPLPALFDRASRLHGLASSSALDQEGIRKGVELLRRCDEMVSKLGLFSSNETKEDVSTANLKYLLVPYYLGEITEKIAQEDRIPILKASQNHLKEFIALCEVLELIPEDELELSRQKQPDTVANRRAQKMARFRRQKAAETKLQEIKERKERRGRSLRAAALSAPVEAGEEDALEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLLAVKERQEKDGNAFAREMLDERTQKAEAWHHNAANRAPYFKPADPITCATFAQDVLEGRASVSQAHEHKHQPMIFGPASLVGGGLTSERERIAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTAKMIKEANSAWHKDGTSSAQEDEDAEEAKARAWDDWKDDNPRGAGNKKLTPCG >PVH33519 pep chromosome:PHallii_v3.1:8:1156347:1158202:1 gene:PAHAL_8G016600 transcript:PVH33519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGPPYPSLSPPTSCAANASPCRRPPLHRPLPPLRPSPSLGAAPPSPRSTPGRPLCTSRRLICTGRRPLCTDRRPLCAPPHLQERRPLPLARRPGAPSALAAPPLHRSPPPLHRRLPLCTGRLVVAPPPQGHRRTDPAGLILLEVLQDGLSSYYHRLRTHSNLRNMIAKHPRFFRQQILQTADYQKILILR >PAN42932 pep chromosome:PHallii_v3.1:8:38217660:38225609:-1 gene:PAHAL_8G198500 transcript:PAN42932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARDQLLDAIRALMAAQSPPLHALVVPSEDAHQSEYVSEQDKRREFISGFTGSAGLALITMDEALLWTDGRYFLQATQQLSDRWKLMRMGEDPPVEAWIADNLADEAVIGINPWCISVDSAQRYEHAFSRKHQTLFQLSIDLVDEVWMDRPPVEPRPVIVHPVEFAGRSVSEKIKELREKLVHEKATAIIITALDEVAWLYNIRGSDVDYSPVVHSYAIVTLHSAFFYVDKRKVTAKVEKYMVENGIDIREYETVESDVSLLASGNLKSSVHGEKDINEVGGSKIWIDSASCCLALYSKLSPHQVLTLQSPIALPKAIKNPTELDGLRKAHIRDGAAVVQYLYWLDIQMQENYGASGYFSEIKVSYKKGISATKLTEVSVSDKLEGFRATKEHFRGLSFPTISSVGPNAAVIHYKPEASTCSEMDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDIAVFPNGTTGHALDILSRAPLWREGLDYRHGTGHGIGSYLNVHEGPHLISFRPTARNVPLQASMTVTDEPGYYEDGSFGIRLENVLICKEANAKFNFGDKGYLAFEHITWAPYQAKLIDTKLLTPVEIEWVNTYHSDCRKILEPYLNEQEKEWLRKATEPIALSG >PAN41034 pep chromosome:PHallii_v3.1:8:806356:810861:1 gene:PAHAL_8G011100 transcript:PAN41034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQQRHSDSYELHATQPDVQCLVCTRPFSLDTEIANSFEALAICRECKVTVLSDNYRDETTRTNRQTRRSRQRSRVTRDEPMGDTFAQQFSQLINLARQGHEADVDSPTVPRQYASYSSTPNRSQRWHSSDDESDGLNYADSVFGEIESNISFGDDGGESDASLEHQTTMGRDIFIRLDSESYINTDTDIDPMNAGLDQWDSDDPEDDEDEQSEESDLDEAGDTMQEHQQRWHDINPSRLNEQESEDTVWTRRTAGSQGVNRTNLRADTEGREIRRLFIGNPGDYVDARQFEMLLEQFAEDNNTTRGAPPAAISSVENLPSVVISTSNEINGGVTCPVCKDDMHIKTIAKQLPCMHLYHSSCILPWLGSRNTCPVCRYELPTDDTEYERSMRATANEGSIHGVERTHPQETIEETSYEPEVEGSSNTVGGTMEETNTHEHAAHSAQQPNRAPGRHRWLYIAAAPVVSLVSLALVLCFTNSAGNVRRQLYRRSQSTTTTQHVDTRSWWSMF >PAN43349 pep chromosome:PHallii_v3.1:8:41657116:41658798:1 gene:PAHAL_8G230000 transcript:PAN43349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNPSYYQSGVCQEIMQKEHLFHLYMFQQLEGTPDANQKVVVNPGLPMMFGVVAASDWVIRDGLATDSNLVARARGMHLGSGKAEQNWLMCCSISFTDTRFKGSSLKVLGDFAVGGDGYGLDGEWAIVGGTGEFARANGVVRAKEIGDWRRNNGRTWELHISAFCPCISKVTKMGPWGGNGGTACDIPEPPRSLQAVTIRSGEVINSIAFSYTDRTGQNRTAGPWGGDGALTATITLAPSETIKQVLGTTGTVGGVTVVTSLTLVSNIRTYGPFGRANGSAFSSQVPDNKTVVGFHARAGAAVDAIGVYVA >PVH34382 pep chromosome:PHallii_v3.1:8:39530531:39530704:1 gene:PAHAL_8G210500 transcript:PVH34382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFQAVEIIRSERPDLRVVRVLPPGQAPSPPQPGMTRVIIYNNANQQVIAPAPYIG >PAN41663 pep chromosome:PHallii_v3.1:8:4776318:4779103:-1 gene:PAHAL_8G060800 transcript:PAN41663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTRETLDPWSDSEMSLSTFSDSEVPPAVIYAEEEETVSAALVPVNIQDPKMKGLKPVEAVPLRMIPVPYGGKDPVPFVEAELRRLKDQSARVGKREVPIPSKLKSHKSYKEGEWTAFIEFRDDGRKDWTFQHREYRRDFRSKNDVKDFLDFNGPTTGMFKGRKLQKKKTACPDGHHSAGTSKSTRVRKAAANYARENVSASHSIKPDMPTGCL >PAN40990 pep chromosome:PHallii_v3.1:8:219002:222434:-1 gene:PAHAL_8G001100 transcript:PAN40990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPASGLDARAAAIVMRAVKNVSDTGRTVVCTIHQPIIEIFEAFDELMLMKRGGELIYARPLGHHSCEMIQYFQAISGVPKIKDNYNPSTWMLEVTSTSVETQLGVDFAQLYRDSSMYKDKDELVRRLSIPPLGRNNLNFPTRYPQKFREQFKACLWKQCLSYWRTPSYNLVRIVFITVSCIAFGVLYWQQGNINRINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVSIEHSVVYRERFAGMYSPWAYSFAQGMHGKQQSFFGSCIPCFVRYYSTSSTLE >PAN41769 pep chromosome:PHallii_v3.1:8:5847900:5850931:1 gene:PAHAL_8G069300 transcript:PAN41769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELNQWNSESSHPEPDDQDELAYMYQQQEGHAGMQQLFQDPHPRHHTLAMSGFQRFGSASALPNLSFRGAVAAVKNEQGQPSSSSILSFGGLPPVARTLTFSGGEDWPNVAVEGVPPERRSRSHLSTQEHVAAERKRREKMQRQFVALATMVPDLTKTDKISILGSTIQYVKQLEEKVKTLEKQSARRTAASEYTGSEDKGHVSSADTQQASGPSGSTTRGVGSSIPTVEASIHDDTVLLKICCQRRSGVLVMIISELESLGLSIINTSVFPFSNPYFSINITAKIGEGFSTTVELVKNLTTALRGFS >PAN41770 pep chromosome:PHallii_v3.1:8:5847899:5850932:1 gene:PAHAL_8G069300 transcript:PAN41770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGNPRKNLKDQKVMDELNQWNSESSHPEPDDQDELAYMYQQQEGHAGMQQLFQDPHPRHHTLAMSGFQRFGSASALPNLSFRGAVAAVKNEQGQPSSSSILSFGGLPPVARTLTFSGGEDWPNVAVEGVPPERRSRSHLSTQEHVAAERKRREKMQRQFVALATMVPDLTKTDKISILGSTIQYVKQLEEKVKTLEKQSARRTAASEYTGSEDKGHVSSADTQQASGPSGSTTRGVGSSIPTVEASIHDDTVLLKICCQRRSGVLVMIISELESLGLSIINTSVFPFSNPYFSINITAKIGEGFSTTVELVKNLTTALRGFS >PVH33768 pep chromosome:PHallii_v3.1:8:4912589:4913113:-1 gene:PAHAL_8G061800 transcript:PVH33768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTNALCIPTMVAAAAAPRCRRSLIVARASPAKHDERQDPAVKVDAAGGRRRAMVLFSAAAITASTAAAVRSARAGVSTKTVPGQWVDIENLADPYVQDLGKWAVMEHNSQTGEDLQFGKVVGGKQQVVAGMNYKLEIETKRGPSRFYEAGLFVSLPPEKRTLNSFEPLAG >PAN41806 pep chromosome:PHallii_v3.1:8:6090836:6093008:-1 gene:PAHAL_8G070800 transcript:PAN41806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHTTVRFFCSVVAAFARLVRELRRAASSSAMSSPVGLIATAPLPLRRKTKLVAALAPPQPSSVVARPAEVCDAVVSGVGAVDQPQQAESKRKKTTAWSARGRPSRLVIPVADDAGELAAGWGAAAAPVKEADVEVEGEGFWLASRAGPRHAMEDAYSVVTHKNGGDSQLAFYGVFDGHGGRAAVDFVSQRLGQNVVSAVLAAGAERRDEASLVVVEDDDAVSAAIRAAYLATDSELLAQHQGASGGACAATALVTGGDLYVAHLGDCRAVLSRDGGAAAALTADHTCAAEGERARIERGGGFVSRSGSGVWRVQGSLAVSRSFGDAGLKRWVLAEPAVARVPLRAGCEFLIVASDGLWDKVGNQEAVDVVSRSRNAAATSSVARSCAELVDMAQRRGSRDDVTVMVVDLERFVR >PVH34008 pep chromosome:PHallii_v3.1:8:16944164:16944585:-1 gene:PAHAL_8G119000 transcript:PVH34008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSAINNITNLCFKNRLFTHIGFYLKLWRIVDDILDLHESSLSIHGQV >PVH34088 pep chromosome:PHallii_v3.1:8:23118832:23119692:-1 gene:PAHAL_8G139600 transcript:PVH34088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPVWEARMRSLERRRQEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMLEWTQSGTPVPATGEDHVLLGTPVIGWGPLFGNTQAPPENPESSAAAVERDAAAQPLTNGNPHDGEQGLLTLPATEEGPPRG >PVH34408 pep chromosome:PHallii_v3.1:8:39973947:39974271:-1 gene:PAHAL_8G214300 transcript:PVH34408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKIDQVKKQRVSNMSDEKRAELNRKPRESYKRRKAQSAGVENVRAGGSDVDPLTDLNTAEEDADWLHRNESY >PAN43095 pep chromosome:PHallii_v3.1:8:41401035:41403398:-1 gene:PAHAL_8G228100 transcript:PAN43095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTYDFPYEIDLPDLSMIMFPYGHKFETDRLVNKLCYEAGFRLPEEDRLVNNWWDKGSFHLPDDTFSRLVSRNVITHAAPNSRRRTNRLDEAETWQWNVNPIQYQFLASKSAEMGFVFTSATLNLLLAAGSSTGHGNEAGQMARRLALHQDDPNIPSLLQEIDLSQTRSLAVSGVVSIGVSLDKFVNLVVLDVEGWENFGDEDLLRICRSKMFFLEYLSIRNTRVSKLPPEINELCRLMVLDASKTQVTEIPFGVFVGTRLHRLDLRGTPIRQLTLPKQILGLQNSLYELLLGGEGMTNSAETATRLPHDIRRFSSLTILATVDLSEQPASFVKALGDHLDCLEVLAITWSFHQSSDGDYCEALLSSIKRWSRLESLTIHCGLGCSMEFLGSLSDMPELLKFKVTLGRFAGVPQWFHGLWYISFVQIIVCKQGARDLEILRDLPILKCLILGLDFIPIEAIVIKNGGFRELQRFSIDCPVPWLTFESRAMPKLTYLQLEFHACPTSPISVPSGIKNLSSLTEVALWYNVRYANSSSVKMTVEAVREEVANRRNMTQMMSLFINGIEQDDAQEVDKETESTTGAPSGPDAGAEGEAVVEKTTAVVDTEITEAES >PVH34214 pep chromosome:PHallii_v3.1:8:33509621:33509857:-1 gene:PAHAL_8G173800 transcript:PVH34214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEEKQENRGSVEFEVFSFTNKIQRLASHLELHKKDFSSERGLRRLLGKHQRLLAYLAKKNRVRYKKLISQLDIREK >PVH34530 pep chromosome:PHallii_v3.1:8:42562013:42562929:1 gene:PAHAL_8G239400 transcript:PVH34530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRKLQREIRDSRLQTPDRTRTMFFSVRVRQAMHVDDPTSPKHIRPHVHVLALNQTQPHQILEQLRSLLGFSISRPAMPSSPDPVAALGAAPARPSASPPSAAGRPPARTCSASATTPTSPRSAPAASASSRSRSGWAAAGGSSPTTPTATGTRPAAAPPSCSGCWTTASWAGRPTPRQRTRWPSSTARGARCTAPTWSR >PVH34541 pep chromosome:PHallii_v3.1:8:42851190:42854355:-1 gene:PAHAL_8G243600 transcript:PVH34541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQCPIMPNKNFTYRFNVVRQEGTLWWHAHVPGLRATLHGAFVIRPRHGAESYPFPKPHREVPVIIGDWWEKDLVEVAKNMTKNIFTAYARASTVNGLVGDLFNCSGVAKEGYVLDVEPGKTYLLRIINAGLFSEFYLKIAGHKFTVVAADANYVSPFTTDVIAIAPGETVDALLIADAPPGRYYMAALPNQAPLPDTQTPEYATRGMVQYKVSQGPCSGPTSVSSSRGTEEEGCRGPSSGDVPIVPEMPDIHDTVTSFYFHSNLTSLRHHARLPVQRRVDERMFIVLGLGTICKQGHKFCKRGDSKEDILVATMNNVSFQPPAVTSTPLLEAHYYHTGPCGKVQDLPKMPPKLFNFTDEALIPFGPKEMRLEPTYKATLVRRFQHGAIVEIVFQSTAMLQGDSNPMHLHGHDMVVLAQGLGNYDPVKDGARYNHVNPPVKNTVLVPNLGWIAVRFVANNPGVWFMHCHYEFHLSMGMAAVFIVEDGPTMDTSLPPPPVNFPTCGHDIDLMPKISTSVLRKVKPR >PVH33610 pep chromosome:PHallii_v3.1:8:2194193:2195164:1 gene:PAHAL_8G031400 transcript:PVH33610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTLHKAPAVGHGRPTHLRARADFASCPALQAPPSNHPQELERGAREAAAAAAAAVDPRTSTARAGFSPGGATRLHGVRRSSMRDNTMAEEPPLPSGSDLVIEGRSTMAEPQRRRMHGQAGKGRRAWSLLSPRLFQF >PVH34651 pep chromosome:PHallii_v3.1:8:44760717:44761361:1 gene:PAHAL_8G267100 transcript:PVH34651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGGSCSRSSSWDDDKMMWTTTTKLPKHKGGGATGRRHGGGEEAKGKLRRSVSMGGRLASAAREQRARLYIMRRCVSMLVRWKD >PAN43013 pep chromosome:PHallii_v3.1:8:38918799:38919356:-1 gene:PAHAL_8G204300 transcript:PAN43013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTTTALPSAVLILRLLTLALLAASLALIAADKLTVDSDPPQRFTFKDVHAYRYVLAVAVVGCAYTLLHLPFAAASVAWMKRLVAGSGSEDDVALLLIVCADVAFALLLAAGAAAGLGFTHDVKRYFDGVVFRGAAGGSPEVDRLHRDVDRFFDLAYASAGLMLAAAACTALMIMLSVYSLVK >PAN42885 pep chromosome:PHallii_v3.1:8:37802613:37804809:1 gene:PAHAL_8G195400 transcript:PAN42885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAHPGTVLSDIHQKQRADGPAAILAIGTANPANCIEQDEFPDWYFRVTKSDHLTKLKAKMKKICDKSGIKKRHFYHTEEMIDGHPEIIDRAAPSSLGARQGITADAAHNLAAEAASRAIVAWGRPAAAITHLVVCTNAGVHEPGADLRLAGLLGLLTTVHRTLLYLHGCSAGVGALRVAKDIAENNSGSRVLVACTQANILLFSPPDDTHIDQIVAMTLFGDGAGAVIVGADATGPVERPVFHMLSASQTTLPGTDQAVVMNLTESGLTNSYLSVEVPMLVQGSIERCLVDTLAPLGLPNVGDGGWNGLFWAVHPGGRAILDRYEGALGLEPEKLAASRHVLSEYGNMSGATVIFVLDEIRRRRQDDFDKEGKDCAWGIMSGLGPGLTTETVVLHATGSQKDEKY >PVH33653 pep chromosome:PHallii_v3.1:8:2928429:2933357:1 gene:PAHAL_8G040100 transcript:PVH33653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSFGGRGMGTAAVRPLLHLLVGLVLYEVAEEMAVPALVDKVTAALCPAHGKSCPEAIYLTGLQASVGAIFKIIGFPLMGQLADEYGRKPILLLTASTSIVPFDVVEPSKRATAFGFITGIVSASHALGDVFTRFLPKSWIFQVSVILLICSVLYMKIFLVETLQRAPSSPSRHSSLSYLVIRVPQQRWESIKENINIFKNSESLRRIAYVDFFYKLGMSGIIDVRLYYLKSVFGFDKNQFSEILMVVDIGSIFSQILVLPLISHVIGEKGILCISILASIAYAFLYGVAWAWWVPYFSSLFGIIFVMARPAIHAIISREVLSTEQGKAQGFIATVQSIAIMLAPLFMNPLTSYFISQEAPFNCKGFSFLVASVVLVASLCFARTLNRKGTDKSTEVAVSDEPSEEALQAPLLA >PAN43843 pep chromosome:PHallii_v3.1:8:44916449:44918355:1 gene:PAHAL_8G269000 transcript:PAN43843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGTGVVALYGGGSGNNKVKVVDASSKSPAAATFSVKVGLAQMLRGGVIMDVVTPEQARVAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVRALRNMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSSGLGEAMVGINLSDPKVERYAARSE >PVH33662 pep chromosome:PHallii_v3.1:8:3035893:3037041:-1 gene:PAHAL_8G041900 transcript:PVH33662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLPSRSPASTSPRHGTPIVSPPRLLAPPTSVCQVAPHAQTTASDGLPPAAPCTRLTTSTGACSARAAAKAPVHRHLFLIGSPPESWLGPCLCQASTSLMALLPPRRLGHGQQQ >PVH33763 pep chromosome:PHallii_v3.1:8:4826115:4827452:-1 gene:PAHAL_8G061000 transcript:PVH33763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGDGESAAAPLLEKKPAAAYYDGCPGCAVDRRKAESTGIPYKLFFHIWIINLVSCLPILSIYPFLYFMIRDMHIAKKVEDIGFYAGLVGASFMLGRALTSIFWGFVADRIGRKPVILF >PVH33786 pep chromosome:PHallii_v3.1:8:5381183:5385451:1 gene:PAHAL_8G065500 transcript:PVH33786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLLEAATSGDAERPALVEPGVLLGATPRGNTCLHIASIHGHEEFCKVVLTLDNSQDLLAAVNRDGETPLLTAVTRARASLASVLLRSCRDRQLSEAVLKQDKHGCNVLHHAIRGGHGELALELIEAEPALSKAVNNRNESPMFIAAMRNYADVVKKLLETPDPAHGGSWGYNALHAAVTNGNSDLAERILDKHPLLIRQETENNLTPIHLAVSEGKLDVLTALLKHDQSLGYLVSTAGTPLLCIAASEGHVGVARELLKHCPDAPYCDATGSTCLHVAVLSGQAEFVEFILASQQLRQLVNMLDGNRETALHLAVKRCNKKMVAALLLRQDIDVTVLNGNAGPATTGSPGATMGTSLLEAAASGDGASLRQLAHDNPGMLLSANPQGNTCLHIACIHGHERFCEEAIELNPTLLAAVNRDGETPLLIAVTSGNAPLAAFLLRCCNERQQSRAILKQDRHGCNALHHAIRSGHVELAVKLILAEPGLSQAVNTSNESPMFIAVRRDLTGVFEELLRVPYSAHCGTRGYNVLHAAVCNGNAGIVRRIMEARPWLAREEELAQVTPIQAAAYENKIAILRLFLEHDRSLGYLIPTSGEPLLCTAAFVGHVGVARELLNHCPDAPFRKWTNGWTCLHTAVFYGQMEFVKFIMGSKHLRKLVNMRDESGETALHIAVRKCNPKMVAALLHHQDIDITVLSKTGQTATWVLFNAADHAKTLNWNEVYMLLRKADPKIATSTYNLHGAVKDEVTNASRKDVKTLTKKYTSNTSVVAILIATITFAAAFTLPGGYSTDAGNEGHPIMARKLAFQAFLISDTLAMCSSLAVAFVCILARWEDLEFLLYYRSYTKKLMWFAYMATTTAFATGLYTVLAPRLLWLAVAVCLLTVLLPILTMLLGEWPIWKLRFQLRQNFKSELLNMV >PVH34596 pep chromosome:PHallii_v3.1:8:43866204:43871692:-1 gene:PAHAL_8G256200 transcript:PVH34596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09190 [Source:Projected from Arabidopsis thaliana (AT1G09190) UniProtKB/Swiss-Prot;Acc:O80488] MQRYRRSRRSVVSWNLMIAGCARCGRDDMAVWFLEGMVGEGEVAPDDGTLAAVLPACGRTGNVGAGRWAHEYARKTGLLESTVHVANAVVDMYCKCGDVASAREVFEGMRQRSVVSWNTMITGFSLNGHGVEGIELFQEMQRQGMEPNAVTFLGVLGCCVHAGAVEVGRDIFQSMQLEHGIEPAIEHYGCMVDLLGRSGLLEEAHALIQEIPMKPNAAIWGALLSACRAHAGLGIAEVALKELINLEPWNSGNYVLLANLYAETGRWEEAGEVRRLMRTMSVNKAPGQSLIEEARFQTINACQ >PVH33504 pep chromosome:PHallii_v3.1:8:1015565:1017897:1 gene:PAHAL_8G014900 transcript:PVH33504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKESSAAEQFWIAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNKLCHPQALTKFCFFLMDALKERGARMKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRTAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >PVH33577 pep chromosome:PHallii_v3.1:8:1762472:1769071:1 gene:PAHAL_8G024400 transcript:PVH33577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLQDLEKCIVEILDVIADTEHHLLNISCELLVRDCLDIIQQGEKLSKFQDHVEQLVSFFLSLDQLVVHKGKTWPLERLARPLVAQSLPAIMFVDTPSLVKLLSVLVEIFGPIPLFLKNYQNYHGKSDVKSYLELFNADLLPWCFNGKYSTCNSKIDLLLSLFQDECFFDQWCSIIKYTRAEQKHSIDDKTSNIKNRLELLTLLLQKVRERIAGGKLRNLQKNGYLPEHWRHDLLDATATSVLCDLTAANHHVSFLCAALGGSDQEDQICFLSAETVHKVLGSIVKDLASALLASTFEWARLAYSLLLPSEPVHLKLPEENSLPINFEMALFAFKVLQGSFFSLGRLEEDSVFPSILASLFVIEWECSMSLAIDDESDLEGHIKGMDVGSSMSRSSKDYLDEKMHLKGNLAESIHAFCQSLSPSFWNNLPSFTLNRLANILAQSVRYSVFQTRDLHVEKTAALCSEWVAQMLKLICLDDINLQSFFDLLLSEGEYWPLLLKPCLQNGHASVKVQLEPAITDDIELKHERFVAFVDRLILNLGFGEVILGVPGNLRCATSQSIDITSPMSSLSRAWVAGEILCTWTWKGGSALETFLPSLVQYMKEESCLEVSIVSLLLDTLLGGSLTHESGPWVLFNAWHLSDNEIGKIQDRFLRALVALLFTTNTKNCLWRESDALVFFEQLLSNLFKGSTVNTKCLKVLPFVMSTIIKPLPEKLNEDSPYGDLVRKSILSWLEAAISCLSSSPREVPVQDIEDWMQVALSCFPLSITGGAQRLVVTVEREISDAEISLMLTLFQKYQFFYNGAASSLSTSETVIPRTVELLGVKLTAVMVGYCWTKLQENDWHFVFRMVFKCIESSALLVEEMTDGINDAVINQVSSEDALEKLKLMVSTTDKLTLSLAESSLVTLCHLKHLGNLQEVETAKSLQLIRSGDYAESINKMVECILRLFLASGVSEAIAKSFGEEASSVIGSSRHAYLHFWELVASFINNASPEIRRSALESMELWGLTKGSVSGLYSILFSPQPIFHLQFAAFSLLLSEPFCQLSLLKDSSLGENCSSAQRSDISQSTELMPDSEKTLCLRDELSALVEFPTSELLKTDLTERDRVDVFIAWALLLSHLQSLPSSSIIREHVLQYIQEKVSPCILDCIFQHIPVKSAAPSGKKKDAELLPEAEAAAKASKNAIVTRSLLPYVESLSPVGTLRMSSLAGSLYGMMIRLLPSFVRTWFTTLRDRSLSYSIESFTRQWCSPPLLLDEFSQVKDSVYADENFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >PVH33576 pep chromosome:PHallii_v3.1:8:1762472:1769071:1 gene:PAHAL_8G024400 transcript:PVH33576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLQDLEKCIVEILDVIADTEHHLLNISCELLVRDCLDIIQQGEKLSKFQDHVEQLVSFFLSLDQLVVHKGKTWPLERLARPLVAQSLPAIMFVDTPSLVKLLSVLVEIFGPIPLFLKNYQNYHGKSDVKSYLELFNADLLPWCFNGKYSTCNSKIDLLLSLFQDECFFDQWCSIIKYTRAEQKHSIDDKTSNIKNRLELLTLLLQKVRERIAGGKLRNLQKNGYLPEHWRHDLLDATATSVLCDLTAANHHVSFLCAALGGSDQEDQICFLSAETVHKVLGSIVKDLASALLASTFEWARLAYSLLLPSEPVHLKLPEENSLPINFEMALFAFKVLQGSFFSLGRLEEDSVFPSILASLFVIEWECSMSLAIDDESDLEGHIKGMDVGSSMSRSSKDYLDEKMHLKGNLAESIHAFCQSLSPSFWNNLPSFTLNRLANILAQSVRYSVFQTRDLHVEKTAALCSEWVAQMLKLICLDDINLQSFFDLLLSEGEYWPLLLKPCLQNGHASVKVQLEPAITDDIELKHERFVAFVDRLILNLGFGEVILGVPGNLRCATSQSIDITSPMSSLSRAWVAGEILCTWTWKGGSALETFLPSLVQYMKEESCLEVSIVSLLLDTLLGGSLTHESGPWVLFNAWHLSDNEIGKIQDRFLRALVALLFTTNTKNCLWRESDALVFFEQLLSNLFKGSTVNTKCLKVLPFVMSTIIKPLPEKLNEDSPYGDLVRKSILSWLEAAISCLSSSPREVPVQDIEDWMQVALSCFPLSITGGAQRLVVTVEREISDAEISLMLTLFQKYQFFYNGAASSLSTSETVIPRTVELLGVKLTAVMVGYCWTKLQENDWHFVFRMVFKCIESSALLVEEMTDGINDAVINQVSSEDALEKLKLMVSTTDKLTLSLAESSLVTLCHLKHLGNLQEVETAKSLQLIRSGDYAESINKMVECILRLFLASGVSEAIAKSFGEEASSVIGSSRHAYLHFWELVASFINNASPEIRRSALESMELWGLTKGSVSGLYSILFSPQPIFHLQFAAFSLLLSEPFCQLSLLKDSSLGENCSSAQRSDISQSTELMPDSEKTLCLRDELSALVEFPTSELLKTDLTERDRVDVFIAWALLLSHLQSLPSSSIIREHVLQYIQEKDPCME >PVH34546 pep chromosome:PHallii_v3.1:8:42932532:42933693:-1 gene:PAHAL_8G244900 transcript:PVH34546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADVDAGLVPTYICATVGTTSSNAVDPVGAVADVAAPFKAWVHVDAAYAGSACICPEFRHHLNGVERVDSISMSPHKWLMTCLDCTCLWVRDTHRLTGSLETNPEYLKNDASDSGTVTDLKDMQVGVGRRFRGLKLWMVMRTYGATRLQEHIRSDVAMAKMFEESVRADDRFEVVVPRNFALVCFRIKPHTGMSEEATEAANRELMERLNRTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRSAWELIRKKTTEIMSGEVSV >PAN43506 pep chromosome:PHallii_v3.1:8:42641752:42642554:-1 gene:PAHAL_8G240500 transcript:PAN43506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLGRTCMIQRLPSTLGPGHAPRRRAPDSTRRDTYGRTGEDSLVHLDLTFRFHNLTGDVDGVLGQTYGPGYVSKLDIGAKMPVMGGAHKYLSSGLFSTDCAVSKFHSAGAGAGFLSM >PVH33612 pep chromosome:PHallii_v3.1:8:2314824:2317617:1 gene:PAHAL_8G032200 transcript:PVH33612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEELVDQKKYLEERCKPQCVKSLYEYEKCVKRVENDDTGHKHCTGQYFDYWSCIDKCVAAKLFKELK >PAN42009 pep chromosome:PHallii_v3.1:8:12129334:12129955:-1 gene:PAHAL_8G096400 transcript:PAN42009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMDIAEFLAVSETPSSEEDQEQLQKLNILKSKIEANIANLPKVLEKMHESVAHCEKLENLHVNIDPIFRTRRLYHG >PAN43210 pep chromosome:PHallii_v3.1:8:40789639:40790349:1 gene:PAHAL_8G220500 transcript:PAN43210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAGARALAVAALLCAAAAAAAAQQASNVRATYHLYNPAENGWDLNRVGAYCATWDAGRPPAWRQQYGWTAFCGPAGPRGQAACGQCIRVTNRGTGASVTARIVDQCSNGGLDLDFETVFKRIDTDGRGFQMGHLDVDYQFVGC >PAN43855 pep chromosome:PHallii_v3.1:8:44810121:44810899:-1 gene:PAHAL_8G268000 transcript:PAN43855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRFFRYGYAYCINNRVGDHLLWSQPILHLFPGPSRRLAADAPPPSPYQTSPHLLILTGTNHAVVAAAGEPFVFLYPPRTPAGTAASAPSRGLSGLALHLTSRGPALGMVGRFPDCGWLCGEGVTNNLWVQNVFRMHIQSLILQNTSRTTQ >PVH34443 pep chromosome:PHallii_v3.1:8:40499691:40500555:1 gene:PAHAL_8G219200 transcript:PVH34443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEIHGSMMRTRLGSYIFGSFCSPITSVALRLVIRIRSGWPWTFLAPPARTHPPAKYAAARLDLRFLLSQICVLLSSAPH >PVH34484 pep chromosome:PHallii_v3.1:8:41635930:41643339:-1 gene:PAHAL_8G229800 transcript:PVH34484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEREKVVCLAKLAEQAERYDDMVEFVKKLARMDVDMSAEERHLFSVGFKNTIGAKRASWRIICSLEQKLTSGDQAGVMIDAYKKKVEDELRKICNEVLSIIAIHCLPLANSGENVVFFYKMKGDYYRYLAEFSTGTEKKAAADQSLMAYQHAMVVASSELSPAHQIRLGLALNFSVFFYEIMNSHERACQVAKQAFDEALAEINSAGEGVYKDSTLMMQLLKDNLALWTSELTGGEASKDNDIDMEG >PAN41041 pep chromosome:PHallii_v3.1:8:817035:820542:-1 gene:PAHAL_8G011400 transcript:PAN41041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKNGKPILYLVFEFLDTDLKKYLDVYRRGPNPRPLPPHLVKNFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVSGLRDWHEFPQWKPQSLARAVPTLEPEGIDLLSKMLQLDPANRISAIAAMDHPYFNSLDKSQF >PVH34644 pep chromosome:PHallii_v3.1:8:44704856:44707189:1 gene:PAHAL_8G266400 transcript:PVH34644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQFSRFRKMPSTFHSSHSASHRRRFAVPRPARQSRRSLAPLLAVPSSPSPSLTVPGSPPPPLAVAAVPHPCPRPRTFARFATSPSLPCRRRRSQSPPLAVAGSWIRDFAGNRTDDHGEGERPRLIQSRHRKRPLPLDTSLPERPPPLDGSGSFGHLAMQGWPSPTMAQVRASRTPSKAATASSACSSDVRQLPLVNCPYCGKWIIRRQSKQPATLGAYFFKCEDNVQGDPTSCSFYKWENDYRKWLMSTYREYVDAPQCDTREFQQLKHDIGQLKQIVTELKMEIVALRTKSPKPFVIVDSACVLSLVIGCMFGIVVAMYLD >PVH34358 pep chromosome:PHallii_v3.1:8:39013861:39015633:1 gene:PAHAL_8G205400 transcript:PVH34358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPLFTVAFDLASSDDYGAFLAGIRDRLGNPRHVSHDRPVLPPVEPGVPPRRWFHVVLRTPASALTLATRADNLYLAGFQSADGTWWELSHGTFPSRCRGLIPGAVPLGFRGTYRDLVGGAREVANVALGRRRMAEAVDALAARTGSGGAAERRLAKDTLAVLLLMVHEATRFLDVAATVAGLMRPEAAAEGGTIMRCSTSPDLGWRYWSCLLLSADSWPMRHAGDLGPPAHRNKEWVARAAATVGILLFVEVGNGIKAEKALRLFHGNLCGTGSDGAGADITFLVSGESIPAHRYVLAGKSAVFLRQRFIGRSGGKKMTCPVEVTDMDAASFKAMVHFIYTGTVPEFDQQRPAVAEESVAILAYRLLAAAHRYELDRLKVLCRRKLESGAIFVDMAAETLALAEQHGYRRLKAKCISFIVQTPETLNAVLATEGYRHLEASCPSMLTELLKSVHGRKKLMN >PVH33691 pep chromosome:PHallii_v3.1:8:3429286:3430953:1 gene:PAHAL_8G046000 transcript:PVH33691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQATQPPSGSSAYPRWVMLKHYGNREDESSCSSADAKTLAAARTSTGLLIRVAFSLPPPPAMSRLLFHLPDAEKVHAEVVAAHGDSVLIDVHFSKQQRRRDDNAQNYFVYNAGAAAAEPPRPPSLSQLRRLHAGTQISTTVKCKAAKAVAKSNIHVVRSTTWYHRADEAWWRYKPMTRRVIGEGLESRPRLLYGDSNFTWIGTQ >PAN41310 pep chromosome:PHallii_v3.1:8:2497594:2498592:-1 gene:PAHAL_8G034600 transcript:PAN41310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGGAGPPAALVWAMAWLLACAGVVAGDDQQGEPADTNQLCVSKCGTCPTVCTSAPPTILPMTAPPPPPLALLPLSAPPPPYLELVLPPPPAAGDASDVLPPLTPMTSPPESPCSTPPSEPPPSSSPSEPPPSPPPPPPPKSSGGGDGSSGSHFSSPPSPPSSSNPYYYLYLSGGGKARGGASSARTALVLAALLPLVAFFK >PAN41162 pep chromosome:PHallii_v3.1:8:1086029:1089757:-1 gene:PAHAL_8G015600 transcript:PAN41162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKTTSSSRGGEEEAAKVPLLEPRAAADHHGRSSSFSKVEQEEVEDDDSSSCLSLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACSVVLLPVYLFTEPMLVALGQDPKISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLALSWLMTVKFQLGLAGVMGSMVFAMWIPVFGQLAFVFFGGCPLTWTGFSSAAFTDLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIYNVVITSFLIGFVLFVLFLFFRGSLAYIFTESRAVADAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAILGYVLGLHVKGIWIGMLLGTLVQTIVLLFITLKTDWEKQVVIAQERLKRWYMEENRRLLGSRGNP >PAN41351 pep chromosome:PHallii_v3.1:8:2693943:2695073:1 gene:PAHAL_8G036900 transcript:PAN41351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPDLAGLILRLLPCYLDRLRFRCVCRRWRLAERQQRPRLPPAVPFVFLNYRAFLSLPGGEARRAGGDVSCRGCFDGWLLYGPLEHDRYSGDVGRCFLTNPLTGATVEMPLLLSDRARTNVLCMRKVIVCSPDLIAVFLDARSVAFYRPGAASWSLCPSDDDDGGPDRGSYVDVAFYRGKIYALNTADELFVHDVGAAAAGEGAPRAAAASLPQTVDPEERFIRRCHYLVASRTGKLLMVKWTVPPFFRRSSPAAFDGIRVEVFEANLVEGRWLEVSSLDDGEALFVGSGCSKAVRFTGNDERFQGNRVYVLGYSNFFGYCCDAMPSYGFYDLSTGTIGQVLHDRMRVAAWPVSRMQWFFPLE >PVH33793 pep chromosome:PHallii_v3.1:8:5486943:5487266:1 gene:PAHAL_8G066600 transcript:PVH33793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLECCYAKDVWWGLLNSIDCICTFPQQQHFQLQDWWRHLSVLQPREKRKGFHSIFMLTAWHLWKERDNRLFRNSSATAVQLRGLILQEALLWVAAGAAKLGELLPG >PVH33856 pep chromosome:PHallii_v3.1:8:7289449:7290015:1 gene:PAHAL_8G079700 transcript:PVH33856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMCLMKLAQGMHFFFLSILLADFVQSHIYQLCLGYSGSEFSNRVQRN >PAN41584 pep chromosome:PHallii_v3.1:8:4280636:4291039:-1 gene:PAHAL_8G054900 transcript:PAN41584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGRGGKRRGPPPPAPSGAAAKRANPGAPQPPPPAAAAAPAAEEEDMMDEDVFLDESILAEDEAALLMLQRDEALASRLARWKRPALPADLATGCSRPVAFQQLEIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSICCQVHGFEPYFYIGCPSGMGPDDISRFHQTLEGRMKESNRSSNVPRFVKRVELVQKQTIMHYQTQQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYRKAARVMSYCQLELGCLYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTHQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIAEFPFLGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEASAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGEIFVKPDLQKGILPEILEELLAARKRAKADLKEAKDPLERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTVGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFTKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDPATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSVSISTPSNSGIMKFAKKQLTCLGCKAVISGASQTLCSHCKGREAELYCKTVANVSDLEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARLQLDRWDF >PVH33726 pep chromosome:PHallii_v3.1:8:4282929:4291039:-1 gene:PAHAL_8G054900 transcript:PVH33726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGRGGKRRGPPPPAPSGAAAKRANPGAPQPPPPAAAAAPAAEEEDMMDEDVFLDESILAEDEAALLMLQRDEALASRLARWKRPALPADLATGCSRPVAFQQLEIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSICCQVHGFEPYFYIGCPSGMGPDDISRFHQTLEGRMKESNRSSNVPRFVKRVELVQKQTIMHYQTQQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYRKAARVMSYCQLELGCLYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTHQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIAEFPFLGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEASAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGEIFVKPDLQKGILPEILEELLAARKRAKADLKEAKDPLERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTVGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFTKNCCN >PAN43672 pep chromosome:PHallii_v3.1:8:43760580:43762304:1 gene:PAHAL_8G254600 transcript:PAN43672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDGVSENGRSKRFRATAGSTGHHDDGDNTSDGTGEDYDAGSDDYGGYDEETVGYASGEDYFFNDDCNGEETWVTSVVDDCDRRRRQERVVVVLTEDDVRRRQDEVTAKVAEILSFPAGFAAAVLRHCGWDAGRVEDQWFSDDRRVRGAVGLPPTDGAVPVPTALSAAEAPCGICFTRHPAGQMRSAACRAHFYCGECWRGYIAAAVGEGGARCLSLRCPEPACAAAVVRELVDAAAGAADRSRYARFALRSFVEEGAGHRIKWCPGPGCTRAVELAAGGADADADVFCDCRHGFCWRCGEEAHRPLSCETVRAWLEKNSSFSETANWVLANTKPCPSCRRPMEKDQGCMHMTCPPPCGHQFCWVCLDPWDRHRGCVGFRPDGDEEDAAADPGSQEKVAADLSRRRLASASDRYLYHYERWVANFSSLESVFRDMAELEGSEIARIAAVAGLPETEFGFLTRAYEVVAGGRRVLKWAHAYGYYLDPGRDAARRGLLEDLLDQANAWLERLHASAELERRETFCSSAEPAVIREVLLGYYKGRVENLTAVTRTFLGNLVKAFESDDLPKLSH >PVH34073 pep chromosome:PHallii_v3.1:8:20172248:20173174:1 gene:PAHAL_8G132100 transcript:PVH34073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDAAGHLHTNALHWEGFPHLLWESLSSLLYTEPPQYDAVEYQEEGVRRCRVRMTIPQHPFRSQWQPIEVDVVGYRIVDTIEGTALEAIYLFCNQHPREVAGQPIGLFPTTDPNDPKWNLREVPESHRLEGSTEEALQGTMRFMNVQHHYQLLLRRGMGQLINIAQGHFRNTNQQVTQIAQLQALVTKKDEIITAREETIHHREDQINESDAMITQRNTIIEFPQEQIHDLIPEVDDAQAQINELQQQPAPPAVPAPEVEEEDPEEIEGVSDLDSEHGDPVLSPHHSSSGSQSSVGNYDDF >PAN43676 pep chromosome:PHallii_v3.1:8:43782556:43787059:1 gene:PAHAL_8G254900 transcript:PAN43676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWNAVSQVATVTQLAGVDAYGLISMIVEAARTVKRNREICQLLARRARMIGDLLQQLERTQLMQHMETRNPVEQLEATLRHAYVLIASCRDGSYLYSCCMGVKRADQLREVQNEITFYLQLFPLVSFVDNTRSWEQLLSRACPLCSREATDDLHAVHRVEHEDRLGTEALMANGFENLGTHPPSKSEEEKTEGQVMNMRGLANLIGGAKGAELLHFSFSQILAATDNLSDGNLVGNGGFGCVYKGKLSNGVDIAVKRHDVSSFQGPHEFRTEIESIPNLRHRNIISLLGCCVQAEESILIYEYMPNKCLASIIADETKRELLNWSKRLRIIKGIADGLVYLHGHSQMCIVHRDIKASNILLDHEMNAKITDFGLALMLAPNTSAEVVVMGTYGYADPEYVATGVISEKADVYGFGIVLLEIISGKLFRSHKLEAKGYPGLPLPDYAHKYKAELLLHKLVDPLLHAEEHESSQIMECLKVALLCIHHLAKHRPTMSEVVTMLGRT >PAN43747 pep chromosome:PHallii_v3.1:8:43832464:43834869:-1 gene:PAHAL_8G255600 transcript:PAN43747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFVMKPISLMLLYLLLVGVAISTAPLTAGNNDHRQFVYSGFANASLTLDGTASITPSGLLELTNSTAMSMGRAFFPDPLRLRDPSPTGTVQSFSASFVFGIISVYDMMSSHGLTLFVAPSKNFPAMPVQYLGLFDGSNNGNASNHIFAVELDTWLNVDFGDINNNHVGIDVNGLTSVRSYPAGFFHDQNGTFRNLTLSSQEAMQVWVEYDREKTRVDVTLAPLAMAKPRKPTVSAICNLSDVLTDVAYIGFSSSTGKINTQHYVLGWSFAMNSPAPSIDLTMLPKLPRHHPKGRRSWVLEIVLPVATAALLLSLGAIAFLLVRRHFRYAEVRDNWESDFGPHRFSYKDLFHATGGFENKNLLGVGGFGRVYKGVLPRSRLKVAVKKVSHDSRQGMKEFIAEIVSLGRLQNRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLHNGKEGNVVLSWAQRFRIIKGIASGLLYLHEEWEKVVVHRDIKASNVLLDDEMNGRLGDFGLARLYDHGADPQTTHVVGTIGYLAPELARSGNAAPATDVFAFGIFVLEVTCGQRPVNHQNTQDSQVMLVDWVLDKVQKGSFGDTVDSRLKGRHDGGEAYLALTIGLLCSHPFAEARPTMRQVMQYFGGEIQPPDLCFEVLACMQREGLDPYIISSRLSSTTRISAMSDVSGGR >PVH33809 pep chromosome:PHallii_v3.1:8:5857238:5857588:-1 gene:PAHAL_8G069400 transcript:PVH33809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYRRRGLVTRISFVGSYGRRYLRTCVSFVSCYAFHISYDSCICVSQILHAHVQNRSSPHRLHAQETIFHRFKNFKIQHHNSRTSQVIQSSSSKTSSKTITSRTSKTTSKDNIDI >PAN43377 pep chromosome:PHallii_v3.1:8:41844781:41847197:1 gene:PAHAL_8G232000 transcript:PAN43377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGTATAAHASALAPPPSQGRLITVLSIDGGGIRGLIPATIIACLEAKLQELDGPEARIADYFDVIAGTSTGALITAMLAAPDEKKRPLFAAKDISQFYLENGPKIFPQRKIGFLKPAANLLSLMRGPKYDGAFLHDKIKSLTHDVRIADTVTNVVVPAFDVKSLQPVIFSTYEALHEPLKNAHLADICISTAAAPTYFPAHFFRTESADGKKPGREFHLVDGGVAANNPTMVAMSMLTKEVLRHNPDFRPVEFGNFLIVSIGTGAPKQAEKYTAPKCAKWGLLRWLYDGGFTPLIDIFSHASADMVDIHAQVLFEALRCEKNYLRIQDDSLVGHTSSVDIATKENMEALVGIGRELLKKPMARVNIDTGVYEPVPGEGTNEQALERFARKLSDELKLRKKNLNSTSLA >PAN41232 pep chromosome:PHallii_v3.1:8:1991017:1993850:1 gene:PAHAL_8G028200 transcript:PAN41232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDTLKVQICVLKVNIHCDGCEKKVKKILHKIDGVYQSSIDAEQGKVTVSGLMDPDTVIRKLNRAGKPAQLWGGKAPGVVSQLQKLQLGGGGKGQQQKDAGGKGQQPKDAGGKGQPKGGAGAGNGGGGGGGAKDAKMAMPQPTPQQLQQLQQLQQQMQMKGMKLPPQLMGMGGKMPFPAAAPPAKDPKAVRFNVSEDDEFGDDGSEFDDEFDDFDDEDFEDDGLDDIYDDPKMMMKPMAMPPAAGGGDKKGGHGGGNGGKKGGGGNEIPVQIKGNANNGGKDSGAKQNQGGGGGHGKNGGGAQPPQNGKGGAPGGGNQLGQAKKGGGPMMGGMPPPQQPGMMMMRPPNMMGGAGFPGMGQMGGGPMGGMPMGHPHMGGNGMQPGGGSGAVHGMPAGGMMPGAGFYPGGGMPSGPEMVPAAGNPMAQQQQQYMAMMQQQQPPQMMMNGHGHGGAGYPPTGYGYGYGRPAMPYPPPAYYPTPHPHDNMFSDENPTNSCSVM >PAN41233 pep chromosome:PHallii_v3.1:8:1991017:1993850:1 gene:PAHAL_8G028200 transcript:PAN41233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDTLKVQICVLKVNIHCDGCEKKVKKILHKIDGVYQSSIDAEQGKVTVSGLMDPDTVIRKLNRAGKPAQLWGGKAPGVVSQLQKLQLGGGGKGQQQKDAGGKGQQPKDAGGKGQPKGGAGAGNGGGGGGGAKDAKMAMPQPTPQQLQQLQQLQQQMQMKGMKLPPQLMGMGGKMPFPAAAPPAKDPKAVRFNVSEDDEFGDDGSEFDDEFDDFDDEDFEDDGLDDIYDDPKMMMKPMAMPPAAGGGDKKGGHGGGNGGKKGGGGNEIPVQIKGNANNGGKDSGAKQNQGGGGGHGKNGGGAQPPQNGKGGAPGGGNQLGQAKKGGGPMMGGMPPPQQPGMMMMRPPNMMGGAGFPGMGQMGGGPMGGMPMGHPHMGGNGMQPGGGSGAVHGMPAGGMMPGAGFYPGGGMPSGPEMVPAAGNPMAQQQQQYMAMMQQQQPPQMMMNGHGHGGAGYPPTGYGYGYGRPAMPYPPPAYYPTPHPHDNMFSDENPTNSCSVM >PAN43768 pep chromosome:PHallii_v3.1:8:36880069:36882036:1 gene:PAHAL_8G188700 transcript:PAN43768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKADPVPTPAWWSWFNSVLVLSLIPWLSAVAWRNLQRLQLHHLVGRRASRRVRWLAAFIDPYLTVNIDEHESTGRMMRRGDAYYEEVKAYLGASCSRTARHLRAEGARDAAADRLVLSMIDGEEVADHFGGATVWWSAHSNRTAVRNGGAGPEERSFKLHYHERHRELVLDSYLAFVQQRGRDIMVNSRQRKLYTSVSDHRAGWSQMTFKHPMKFDKLAMDPATKKEIMDDLDTFKNGKEHYERVGKAWKRGYLLHGPPGTGKSSMIAAMANHLEYDVYIIELTSVKSNSDLQRLLMEIKSKAVVVIEDIDCSLDLTGAREKKKRAADDTKNGASTSSSAAEADTAGSKVTLSGLLNVVDGLLSACGEEQVIVFTTNHVEELDRALIRRGRMDKHIKMPYCGFEAFRFLAERELGVGSHELFGAVRALLGEVDMTPADVIEELTPKSKDDDADSCLAALVKALEKAKEEKANRGSSA >PAN43600 pep chromosome:PHallii_v3.1:8:43281629:43282824:-1 gene:PAHAL_8G248800 transcript:PAN43600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKSDGSSDAASLALRIATVALSVASAAMMASASQRSCAGCVPASQVSVSYSDYSSLKYSLVANIISAALQAAAAWLAARGREGEGKAARSLAELVDTAAQVFLYSSSALAFSVDDFGTCGHRVAGVCKGSGEFCQRVRASGAVSMAAAVALAASKYLKDVPVSTWFKGDETKKAKSGCGRGCHCHH >PAN42064 pep chromosome:PHallii_v3.1:8:17971865:17974127:-1 gene:PAHAL_8G125300 transcript:PAN42064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVSNAIFQETVSQILSGLVQKYEEKEESNANRYLERLEMAHIRLEAALETSEKWQITDASLLCWRRKLKRASQECDDTLHKCKQRILEDEQMNREVKNSSLPNRIVHATKSFVFSVLNRDNNELITSTAQRFEWYADGASEFLRFIELGGTPRCHMPFDSFIKNLFAGKELHHKIVRGNKYPSFQLWLVPIRTSEYGTTVSLTFVQYDGTPEGNIFFGLVVQLSESTDIFGIAIKCLQFFAPHFNCTFENIRNELSQLPAQDFSWGPSFYSLHKKHWDNLNSFTSQWARPNPFCCKQHGQHEVRRFSNLDMAGLSEVWLEPVISFTLHCQVSMSIYSKQKTSLSEDIISMPDYPYLKAGIFFAPHGSLEDMLPADRSSEIAAIVHKEQHCLHTDITLEQLQEIMLPKAIDYFHQNAEVMVYQMIWKSKHGLAHIQVEKPCMSIRRSSMRTQRTFGVASKRKQLPGHDEELIRNRIRGCHFLNLWVTHVPIQLQRSLKNWVRKEKEILSAAPQLRLKF >PVH33765 pep chromosome:PHallii_v3.1:8:4893691:4894969:1 gene:PAHAL_8G061500 transcript:PVH33765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVGPFGSGGGNSQDIDSDQTPASLKKIEIWSVNGSGGLINAISFTYETVGNDDTMSAIWGTDKGVHNRISIPSHVYVTRLSGKFDSNGVKSLTVFTSDGTTYGPYGDAASGKDFDIPVVKSAIVAFFGRSGQVLHAVGAYVVPKSC >PAN41327 pep chromosome:PHallii_v3.1:8:2558954:2559307:1 gene:PAHAL_8G035300 transcript:PAN41327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWADWNEPCKVMLKSYRDMARAKKDRAVFCRLDVDKFKDVAGRYRVEALPTFVLMKNREEQRRVVGPKVDELNTTIRNSI >PVH34132 pep chromosome:PHallii_v3.1:8:27661992:27662711:-1 gene:PAHAL_8G153100 transcript:PVH34132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGDFMPVPESSLRSAIKENYFKGKFSPELTATQVVF >PVH33732 pep chromosome:PHallii_v3.1:8:4398226:4404644:-1 gene:PAHAL_8G056200 transcript:PVH33732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVQQGTDDGETPPGFIGGCASLCTKARNEDDEVYTNTFDVIRVTGCTGTSGCCQSPVTMISMPSEVQAKRLYSGSAAVEQKKHWPVNVFVVEEGWIDQFNWSVRADEVKEAPFILQWGVTWGLPQAPQHHGLCDDGVRRMLCKSEHSYCSTAFPAEGYTCGCDRGYQGNPYLPGGCQDMDECSLPAEMNGCFGECINTIGSMECRCPYGTFGNPGVKGGCFKINSTATADALLPTVAPAPNGLPDCNITSCGNVSVPYPFGFGPSHCYWPGLNLTCNTSYSPPRLLLDSKGILQVVDVSLHDSTVRVVHHTRIVDDDFTSDPNGTTKATSFQLPDIGESYMLSARNEFLFFGDGVQATLYGNKYRNGGSSSIIAGCVANISSGPYRHCSGSDACCHAPILAGSSPEKMEFKVGVNSVLINDMPLAFISEEGLTKQWWDMIFDTTLNMPMPRYFSSPLVLQWAVKQGFSVPADNSAQCPGDVASQLCKSKDSGCRQENGGFTCHCNKGYQGNPYITDGCKDIDECNITATRCFGGICKNLPGKFKCQCELGTFGNPYKPPGCVSLSTVLSKFITKNKIGLSAASGPVLLLVVLGIMLVPRKIEQHKMKILKQKHFKQNRGQLLQQLISQKADIAERMIIPLDELAKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKITVQKEIDEFINEVAILSQINHKNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPRSLSWGNRLRIATEIATSLVYLHSAVSTPIIHRDIKSSNILLDDTLTSKISDFGASRYIPMDKTGLTTRVQGTIGYLDPMYFCTSRLTEKSDVYSFGVILVELLTRKKPFSFLSTEGDGLVSHFLNLLDEGNLIQVIDPQVTEEGGEEVQEVAMLAASCINLRGEERPTMRLVEHTLEGLRGSKMYKNDDIVAVEFGDDRIVVSCPSSTKEGQRFEESSRRYSLEQEMIMSARYPR >PVH33982 pep chromosome:PHallii_v3.1:8:16045198:16047264:-1 gene:PAHAL_8G113900 transcript:PVH33982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFVGSGGITSGLDWMDLQGYIKRMKMLSKMFDRFLEHVVEEHNQRRLRVGKSFVAKDMVDVLLQIADDPTWRLS >PAN42411 pep chromosome:PHallii_v3.1:8:9860381:9862980:-1 gene:PAHAL_8G089300 transcript:PAN42411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFTALRRKPELVTPARPTPHEYKPLSDIDDQRGLRYYPAGVEFFGARRRLTESAGDVDDDPVRIIRAALAEALVSYYPLAGRLVELPQVTGGKLLVDCTAEGVVFVEADADVRLQELGQPLAMPYPCMEELLCYDIGEPQDAVVAKPLLFFQVTRFSGNDGFAIGYRYCHSVIDGFGMAKFLNSVYALARGELHTEPPVWGRELLIARAAPDVTHKHSAYDQLPAASAAAEDVVRKTPLEHMVTRHFRFGPREMAAMRSQVPASLVQSTTVFELVTAAVWQCRTAALGYEPHQRVRLIICSNARGTWKPRSPLPQGFYGNALVLRAAEATAGELIGRPLGHAIGLLREAKSEVTDDGYMQSMLDLLARRGRPWYSQDWTYMISDATSLSRRVGAPNVGRWERAGGGITTAGRVVTTSLQSYYERCKSRGGEDCAVVSMCLPAAAMEGFSQRISAWGNMSRLTGSLYGSVPSAL >PAN41702 pep chromosome:PHallii_v3.1:8:5287112:5287861:-1 gene:PAHAL_8G064600 transcript:PAN41702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVKVAALLLVCVIMYPHIVASACTKKEKDEVLEQCKKYIGHGPPPPFPRMDMHCIIKLLTPKEDKEYDDHKILDLKHFCELKRRRQVMA >PAN42951 pep chromosome:PHallii_v3.1:8:38554264:38559565:-1 gene:PAHAL_8G201000 transcript:PAN42951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKSVDFPAGADGRSASGANEGGEGHRDIEAGGWASVPAPAPALSTTSRPRGQRLASLDIFRGITVVLMIVVDDVGGLVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKRVPDRALATKKAVIRASKLFLLGLVLQGGFFHSIHDLTYGVDIRKIRFMGVLQRIAIAYLTVALCEIWPRGGASDIGAGGFTLIKRYRHQLFVGLFLTVTYTALLYGMYVPDWEYEVTSQDTTLNHFMVKCGVRGDTGPGCNAVGMIDRHVLGIQHLYTRPVYLKTAQCSINSPRNGPLPSNAPTWCEAPFDPEGLLNSLMAIVTCLIGLQIGHIIVHFKEHGERIVRCSIPSLSLLILGFSLDLFGLRLNKSLYSLSYTCVTTGTAGLFFAGIYLLVDVYGYKKPLFPMEWVGKQALMIFVLVACNIAPILLHGFYWREPQNNLLKFIGIGG >PVH33938 pep chromosome:PHallii_v3.1:8:14479990:14482525:-1 gene:PAHAL_8G106200 transcript:PVH33938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSDSLQLRVEWSTRPSRLPSGAAAASIFQGSSSRRSCTRIRTCCPPLPSNSWSSSRRKRNGAATLPPPRSATRPTAAGAAAPSCRS >PVH33646 pep chromosome:PHallii_v3.1:8:2783327:2784184:-1 gene:PAHAL_8G038100 transcript:PVH33646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPAPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAISSEAVAQENLRQARDQRMQDWTRSGTPVPAIGEDHVLLGTPVIGWGTLFGNPQAPSENPEGSAAATERDGAAQPSADGNPENGGGLLTLSTPEEGQPRE >PAN42131 pep chromosome:PHallii_v3.1:8:17372061:17374293:-1 gene:PAHAL_8G122700 transcript:PAN42131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPPRISKSSCIMAAFMASGRAAMASTRPSFVTPRSFFNWGKGAGGAESPPPPPQLKFQYHDVQLPFPMSLVANTHLRDRELKCCYKATVDGFSATDFHRRCDFKGPCVVVSCTGGGFRFGGFSPEGYRSTDDYYDTLDAFLFYWPEPAPVAEAAEAPPVVLPKVGGSGAALFDYSRGGPQFGADGLLIGPPLTAVMGVFTGPDSSAGVGNLRSARSRLGLSYARRADGKESLFGDEGRAELAEVLVFCSPQIASLY >PVH33445 pep chromosome:PHallii_v3.1:8:482194:485257:1 gene:PAHAL_8G005200 transcript:PVH33445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILRRCFAGGGGDNGDDDYYPYYSYSPSSRPRYELQIGRWENEPAAAASQTAIGFTGRDDDDHYPDDLLQSGSGWVDQLAQDSWLVHHDVASLIQDILYFEYTSMVPEALGQNVTSSKKAQVKWYRNILEAYKNSSSPLKTPAEAAKLVATALSRIQRADLEVNNKCIGDGDGFSAYVGTADPREPANVPMEVHEMVIKRAQARTDRDYQKADALLRSLNKAGYKIITISGEEILARKYRIRMRGVDAPELKMANGNESKNALVKLIGGKRVTIYVYGQDQFGRYVGDIYCDGVFIQEKMLKNGHVWHFKTYDKRPEFAQWEREARAARRGLFASENPEKPWDWRRDQRNANIQVY >PVH34324 pep chromosome:PHallii_v3.1:8:37668720:37669628:1 gene:PAHAL_8G194400 transcript:PVH34324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPHVLLVSAPFLGHVNPLVALGRRLAAKVLLVSLTTLPHAGLKLRHQHGDSSAATADVGRGVLQFEHLCGGEVWTPDDPRYRDPNDVARHLDDVASAALRGLIRRQADAGWPVTFVVANLFAPWAFHAAAAVGVPAAMLWMQSCMVLSLYYHYFHSLTAFPAKDAGPAAQVDVPGLPAMAGGDLPAFLHLPEEHIWRQMLVSEILGLCEAALWVLVNTFDELEHFAIEALLAHMPVLPVGPLIEMEHDSAGDECTAWLDARPPRSVVFVAFGSIVRLSRDEMAEMAAGLASTGRPFLWSQ >PAN41532 pep chromosome:PHallii_v3.1:8:3222053:3231799:1 gene:PAHAL_8G043300 transcript:PAN41532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPRVVGNENDDAQIELLKDTGMQIIAKCDCLPLAIKVMGGLLRQKMTSQRDWENVLNDSMWSVSQMPEELNYAIYLSYEDLSPSLKPCFLHYSLLPKSRVFFTDDIVGMWISEGFVHGTSRNFEEIGKDYFVKLIHRNLIEPDINYVDQVVCNMHDVVRSFARYLARNEALVAQNKQTGISEKMDSQKFFRLSLEIRASESDELEWYSLQAQTSLRTLLSVGPIKIKPGDSFLAFSNLRTLHVEDANFDALVESLNQLKHLRYLSIEGTNTSRLPENISKMKFLQYISLLGCNSLVNLPNSIVTLQHLRFLNLRDTGISSIPQGFHGLTNLRILLLDLILSLEHCMFTLLVCM >PVH33590 pep chromosome:PHallii_v3.1:8:1947718:1951612:-1 gene:PAHAL_8G027700 transcript:PVH33590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRHAEEGGQLQLMEADRVDEEEECFESIDKLISQGINAGDVQKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLLKRKSVVWIITGSQALDELLGGKAQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANTVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLMIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEELNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMPRKGKGEQRVCKIFDAPNLPEGEAISFCFNDIYVYCL >PVH34660 pep chromosome:PHallii_v3.1:8:44865978:44872248:-1 gene:PAHAL_8G268800 transcript:PVH34660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWKIQTTTPKTSSRVSSCTQSCLWSGPPPEFRMAQDSDDSLFVASVVVWVFVVILAIVALHCPLPRRVVR >PAN43679 pep chromosome:PHallii_v3.1:8:43790813:43794109:1 gene:PAHAL_8G255200 transcript:PAN43679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVASVETIVRIALAISKAVGTAQRNIDQCKDVQAQVSVAKDSLLVLQRKGLMDDKGSAVGRALGHLKTTLRRALELVRACQQEDQSFVQRVLRAEDLSSQLRQVKQDILQELSMATFAINAHMAPDAHHDGQRQLQVQHRRHETPAHQKHEHGQGKMPEHRRITDSEHRRTKTSEHRTTKTPECRRNKTPEHRTPNAREHRRATDPEHRRTKTPEHRRPKTPERRRPDTPKHQRPDTSEHRRAGTHVHQRPKMVEKQRPKTPAH >PAN41187 pep chromosome:PHallii_v3.1:8:1206523:1206840:-1 gene:PAHAL_8G017100 transcript:PAN41187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVNLPHARRLADTLRPLLRGNPPLMEGPTPLRETGSNDCGLYVLAVSQAICTWWRDRGRNGSSNSWFPAVLADVNAARVSTMREELLRTFKDQMIGGAPSSSQ >PVH34206 pep chromosome:PHallii_v3.1:8:32758292:32759164:1 gene:PAHAL_8G171500 transcript:PVH34206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEHLGTRRCRVVLSIARSTRHPDIEPWRVTATGFRHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDQRMQEWTNGGTPVPAIGETRVLIGTPITGWGGLFRTPQAPPEGTERTAAAMEGGTVEQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PVH34135 pep chromosome:PHallii_v3.1:8:27829600:27830407:-1 gene:PAHAL_8G154200 transcript:PVH34135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSAGGTARWMSARGQLLRAGADPRANQVPRAGADPRAVQLPASAAPRAVLARVQVHVPTWM >PAN42569 pep chromosome:PHallii_v3.1:8:32142536:32144702:1 gene:PAHAL_8G169900 transcript:PAN42569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAIPIATNTRIAVVTGGNKGIGFEVCRQLASKGITVILTARNEKRGSAAVQELKDAGLHNVIFHQLDITDAPGIARLADFLKARFGRIDFLVNNGALGAVEYVEDPANIAATSEEELRGMSKEEREVWMYSKVRETLPAAKEGIRTNYYGTKDVTEGLLPLLKAAPDGRILFVSSDFGLIGQLKDEQLKKELDDIDNLTEKKLDEMLTTYLKDFEAGALEARGWPTHFSAYRMGLVAMNAYSRIIARRHHELCINCANPGYIKTDMSVYTGTLTPAEGANNLLKVLLLPQGGPTGKYFDEGTEAPFV >PVH34197 pep chromosome:PHallii_v3.1:8:31793917:31794315:-1 gene:PAHAL_8G168800 transcript:PVH34197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSTVANIFLFALLCQCSHARMNPSPRNSNATSSDERALLSFKSLLLSAQSGLLASWNTSSHFCGWPGVYCDRRHPERVVALRIYRRLQSIRAPLAVLGQPVLPQGARSSRQPAHGRDTLRTWSSRQASVS >PAN43159 pep chromosome:PHallii_v3.1:8:39979425:39982279:1 gene:PAHAL_8G214400 transcript:PAN43159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWSGLRAAVVAVVFVLSAIHVLPAAAAAAVEHTFVVSRVNMTHLCKGDAGDSGDGQLPGPAIEVTEGDSVAVQVINMSPYNVTIHWHGVKQRLNCWADGVPMITQCPIQPGHNFTYRFNVVGQEGTLWWHAHVSCLQASVHGPLIIIRPRQGASSYPFPKPHMEVPIVIGEWWETDLVQVARNLTHGFFGFNPSAATLNGKLGDLYNCSGAMEESFVLDVERGKTYLLRLINAALMSEYYLKIAGHKFTVVSADANYVNPYTTDIIVIAPGETVDALLVADAPPGRYYMVALANQPSIANPPVPKTITRGTSNDPDNGVAGHGSRSCDEGDEEAASNNTDVPSAPEMPSLHDMAPSYYFHGNLTSSRRSQQHRRSVPAHVDERLIVPLSVGSVCRHGQSSCKRSGCIESIIVVTMNNVSFQIPDAATVPLLEAHYHGCDGKAAGMELYTLPDRPPMPFNFTDAPSSRLDFGPIEARPEPTEKAMTARRFRHGHGNYDAVRDVAQYNLVDPPVRNTVLVPRLGWAIVRFVTDNPGVWYLHCHYEFHVAAVFIVEDGPTVNSTLPPPPCRSSKVWRP >PAN41653 pep chromosome:PHallii_v3.1:8:4694312:4695070:-1 gene:PAHAL_8G059500 transcript:PAN41653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSATLCFLAVLALLGSCTHAEHCEYHRIKMAACKRSSCNESCHLQYSNKRITDAYCTGKWFDSYCNCMVCDYK >PAN42077 pep chromosome:PHallii_v3.1:8:13578336:13591771:-1 gene:PAHAL_8G101900 transcript:PAN42077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVSEGSSPRLRSVNNLLGRQVWEFDPDLGTPEERAKVEKARREFAEHRFERKHSSDLLMRMQFAKENPQKLDLPAVKLGENEEVTEEAVWNSLKRAVSRVCNLQADDGHWPGDYGGLLFLLPGLIITLYVTGVVNTVLSPEHQKEILRYIYNHQNEDGGWGMHIEGHSTMLGSSLNYVALRLLGEGPNAGDGAIEKCRKWILDHGGATFTASWGKFWLSVLGVFDWSGNKPVPPELWLLPYHLPFHPGRMSCYIRMVYLPMSYIYGKKFVGPVTPVVLELRNELYKVHYDEIDWNKARTECAKEDMYIPHSSVQDILWSIIHKFVEPVLMHWPGRKLREKALATAIRHIHYEDECTRYINLGAVPKALNTLACWVEDPNSVAFKRHIARVYDYLWIAEDGMKMQIYDGSQVWDTGFTVEALVATDLVKEIGPTLNRAHSFLKNSQLLDNCPGDFENWHRHISKGGWTFTTADDGWQVSDCTGTALKACLLLSKISPEIISEPLETERQYDAVNCLMSFMNDNGGFSSFERIRSYTWLEYINPSDAFGCVMIEYPYVECTSSSIQCLALFRKLNPGHRKEEVEYCINKGASFIESSQRRDGSWYGSWGVCFTYATWFAVAGLISAGRTFENSATIRKACEFLLSKELPSGGWGESYLSSHDEVYTNLKGNQPHGTHTAWAMLTLIDAGQAERDPMPLHRATRVLLNLQLEDGEFPQQEIIGVFLQTAMASYSQYRNIFPVWALTEYRRRVLLAGKK >PAN43029 pep chromosome:PHallii_v3.1:8:41050430:41054616:1 gene:PAHAL_8G223000 transcript:PAN43029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTRMSCCCRHATKRSAVTDDDLRGFLTVSFDDLTAHCDQTGSVHLLTRALEFAKATKAWAYWLCPVCDNIFLDANSFVSHVEGEYIHELQELQPLMPKRAALDADELQYSLKWTPFELGEEDPERRRNLDKIKQVFSCLNTFKALSVGLMDKVIKLARGRSKKPLPYCIPSCATSLDPRELQRLVKPLDQLLKHLSRGWEFVRTLGNEGNSKGRSEIISLVHDGSLVLSLDAEKIVTRKKDGTCEEDALFRWLLNSLEEVAMPWASLRQKCVYHGNEVLERIYEISDSLLRQSNLKCAGKEKNHRGYSLTEADSIDVEMLLLDNELGYLKNKLVEVCTFDYCAAILPLIRAYIWDKLNNSPGEGSQDGVDKGAVDNRDGFDSLHGESLFDDKIPDTDSDVQFTISRTDECENSSLSQSDSSNFSTVETESFSMDSGVATVLHITADDLQILNVTLRALWHSREFHDRFLNMPLVLPHFTIEVHCIVCLLRKIFNAWDNDKDYGVTTFPSDVRTAFSDILNDRNLFGKEGVNIVSEIVSTIFGALHKSHASLHSDNPTFEHQAISTTRCLDFVCVAHNVFGLPIREQKKCNCLNESSDEKDYTTFFHSVDVSTIQTMEMKSFGQLLRDADKQNQYDSENCPCGNKTEHSLRSAPPVFSVVFNWAIDKESHIDMSEVLRNITTPLQFDVLYEVLRQEEYTLATAVCCVEEEHLCFAWKEGKWIIYGSKTIEFADSWESLLHRYRHRSLRPQILFFDCVRYSSIR >PVH34614 pep chromosome:PHallii_v3.1:8:44070919:44072302:-1 gene:PAHAL_8G259000 transcript:PVH34614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKGRSKDQLSMTFTDDALVRTGDGPNNNALNVKDLFDLFNFRPMDIALVKFYELYLCRAKQVSPPKDFAFLDPAGVSTGSPDDIVGYISNALTKMRGKKYLMIPFNKRDHWILIVIVPLYSVALYLNSLPSLCIHDEISQLIKRAYDQYLKRIHWDTFGIEFKQRYNVECHQQGSTDLCGFYVCHHMRLIMEKLEGNPEDFKLPSRQLTNHELSCVREELSLFINTEVIDTRGLYHPRSLDLE >PAN42082 pep chromosome:PHallii_v3.1:8:13549413:13552139:-1 gene:PAHAL_8G101400 transcript:PAN42082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQYPKFQEMDHMLVLAVCFLALLSGWACGLGSMSSISVAYGEDGPVFCGLSSDRSRIVTCFGVDASVLYGAPRNIPFLGLTAGDGFVCGLLLDSRQPYCWGSNSYVKSGVPQPMIEGAKYSELSSGDNHLCALRAAADEIHGPNAATSLIDCWGYNMTATHVIDEAVSTISAGSVFNCGLFARNRTVFCWGDETVSGVVGLAPRDVRFQSIGAGGYHVCGVLENAQVLCWGRSLEMQQVAPASAIGDGDVNIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFALRNSTSPPKGLKMYALVAGDYFTCGVPAETSLMPRCWGNSGAMALPMAVPPGICVPNACSHGYYNYENHDEVGNSKVCKPANSRLCLPCSAGCPEEWYESSPCNATADRVCQYDCSKCVTGECISFCLSQKQTKSRKLIPFQLRIFVAEIVFAIILLLSVSVIACLYVRHKLRHCQCSNNKLKLVKSTAYSFRKDNMRIQPDVEDLKIRRAQEFSYDELEQATGGFPEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDMKKSSKEFHNELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIFAILDPVLSSPSDLEALKKIASVACKCVRMRGKDRPLMDKVTTALEHALALLMGSPCVEQPILPTEVVLGSSRMHKVSQMSSNHSCSENELAEGEDQRIEYRAPSWITFPSVASSQRRKSSASEADIAGRTTTDGRNIGSSIGDGLRSLEEEIGPASPQENLYLQHNF >PVH34262 pep chromosome:PHallii_v3.1:8:35196643:35197178:1 gene:PAHAL_8G181600 transcript:PVH34262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLTKTRFHSTFLFLNNNFSHQLFCVFKVINCPIPFFIFRLYGVTYLMQIEF >PVH33638 pep chromosome:PHallii_v3.1:8:2666651:2668247:1 gene:PAHAL_8G036600 transcript:PVH33638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAMDAAAGAAAGTATAIEDLPADVLALVLRRLDGASLATVGCACSGLRDLAADPGTWRGLCLAVWPSVRDVPLCAGGGHRALFADAFPFPAAPVPAAAPRPLPARLISAVDLHHGGVCILSRVVETDAASDWFLGSPFRVDALVQEGFSAPSAFAPADLALSWILVDPATGRALNASSRRPVSVDRKWLTGDTVARFAVALGGGVALEAAVVCDERYGHVREVSLCAEDGDGGGVSGRDALAAVAAAMAGARRGSRGAEGEARLRYEEFVRGKRARKEWKARREGMLDLCCSGVGAAAFLGFLVMLTLR >PVH34557 pep chromosome:PHallii_v3.1:8:43139496:43140905:-1 gene:PAHAL_8G247500 transcript:PVH34557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSGSRARPTRVPRGSAVAAAGPCALFSTATARARPPRLSCRTAGSAAPRRLSLNSTRAEAPILLARYARLIPNAVVSARLILCPGVSLVGAH >PAN43433 pep chromosome:PHallii_v3.1:8:43690439:43700372:-1 gene:PAHAL_8G253900 transcript:PAN43433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILESLVGSCAKKLQDVISEEAILILGVKEELTELQRRMEQIRHFVNDAEQRSTKESAVNNWLNQLRDAMYDADDVIDLARSKGSKLLPDHSLSLSSKSSTCTGLSLSSCFSNIQTRHEVAVKIRSLNKRIDNISKDEVFSSLASRQSIEKVSAPKHIRSSNLVEPNLVGKEVRHACRKLVDLLLEHKDKRSYKIAIVGTGGVGKTTLAQKIYNDQKINGCFDKQAWVCVSKDYSEVTILKEILRKFEVQYMQDESIDELQSRLKLAIQEKSFFLVLDDAWQSDIWENLLSTPLHAAATGIILLTSRLDTVAVEIGVDHTHRVDLMSVDVGWELLWKSMGINQEKEVQNLRDLGIDIVRRCGCLPLAIKVVARVLSRKEQTENEWNKFSRKDAWSVSKLEIPSALYISYEELPLCLKPCFLYCAMFPEHAVIYRDDITRMWVAEGFIDELGGQLLEDTAEEYYYELIYRNLLQPNYVFPDLSRCRVHDLLRQLACHLSREECFVGDPGSIRVSVLSKFRRISAVTEKDIVVLPSMDKDQYKVRAWRTSYEKSLRVDNTIFRRLPYIRVLDLTGSVIQSIPNCVGRLIHLRLLDLDGTDISCLPESICCLINLQILNLQRCHALHTLPLGITRLCNLRRLGLAGTPINQVPKGIAKLKLLNDIGGFPVGGGSDNSARTQDGWSLEELGPLFELRKLDMDKLERASPCSTESLLLDKKFLKLLCLHCTERTDEPYSERDIINIERAFEKLIPPQSIEDIVIVDFFGRRFPTWLNTATHFPSLMYLNLLDCKSCVHLPPIGQLPNLKYLRINGATAVIKIGPEFAGYGVGNPGSAQAVAFPKLETLYIKDMPNWEEWTFVVEDEEEATAAAAGKEGGEDGAAAEQKWEAPPPRLQLLPRLKELFLTRCPNLRALPRQLGLEATSLKVFHLRDVDSIKVVENLPFLSEILLIAGCEGLGRVSNIPKMRELRVSRCPNLRRVEELCNLERLWLGEGMESLSSYWVPGLKEQCQKLHGETLDIYTWPRT >PVH34658 pep chromosome:PHallii_v3.1:8:44804396:44804954:1 gene:PAHAL_8G267800 transcript:PVH34658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAGKMLHKPKQYLVEEVLSWSVHDILIQKPPPFMVETIPTEFKIGVDYSKAFHNLILLEIWHNICSAMDDISSGMDVEVSENRSGFIIKLHVAKGKDCPNKGDIMLLSSRESKSRDQILKDDGLCTIVVVKNTTLWYERSDNSKRGWMTVSLWKS >PVH33478 pep chromosome:PHallii_v3.1:8:751895:752653:1 gene:PAHAL_8G009900 transcript:PVH33478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARGRREITLKLRLDLTLDRRRGDDSGGGLRRRRRRSLVEAAQEPREGSGGEQGEERGRGERREQGQERGERGERGERGAARAARPGRGERRERGAGASREKRGEGASGGRVGSGGERGPAASREKRGEGASGASRERGAGRAEAERASGERGRAGTGGEQGEEKGRGERREQGEGSGASGATGRAGTGGERLEKRGEGVGREGRVGKNR >PAN41046 pep chromosome:PHallii_v3.1:8:840524:840879:1 gene:PAHAL_8G011900 transcript:PAN41046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAGAHCCGLVMIWGATGTYVAVSSCTWQVGMPLSQDIADVLGNLQHAISGCSRVLALEYLTNVNKWLFLWWESRLLFRGAVENDPGPWNREYPQR >PAN42969 pep chromosome:PHallii_v3.1:8:38639939:38646856:-1 gene:PAHAL_8G201900 transcript:PAN42969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRAAVRRWTPPLLRRLSSDAAAASKAPRQRVAALWGNGDYGRLGLGALESRWSPAACPFFLARAADPPASLACGGAHTLFLTESGRVFSTGLNDFGQLGIGSSVTHRLEPVEVSGFHERVVEISAGNHHSCAITVDGKLFVWGRNSGGQLGLGKGAGKVVSTPTKVDCLTDFRVKMVALGSEHSIAVTDEGEVLSWGAAGSGRLGHGHQSSILGFSLSSSEYTPRLIKNLEGIKIKKIAAGMLHSACIDEKGTLFIFGQKAEKGFGRSNEAFRPAVVEEILFAEEVACGGYHTCAVTDNGDLYSWGSNENGCLGLGSTDMVRAPEILESSLFKLPVSKVSCGWKHTAVISGDDIYTWGWGGANGTFFEEGHSSGGQLGHGNDVDYFEPMMVPFSKNARAVHVSCGFNHTGAIYEYSED >PAN41217 pep chromosome:PHallii_v3.1:8:1917494:1919565:-1 gene:PAHAL_8G027000 transcript:PAN41217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEGRHQRKIRHHFLLVSYGFQSHINPGRVLAHRLARLGGVDGGPISVTLSVPAATYRCMFPSPDADAAEATTTDGVISYVPYSDGVDDGSMPRDAADRAVRRRATSASLSAAAARLAGSGRPVTCIMCTVVSLPVVDVARELDIPVAVYWIQTATLLAINYHYFVHGYSELVAAHAADPAHELRLPGLSRPLQISNLPSYLTDMSGSESAKAFSEVFQEFFQYMGQWQPKVLVATLDELEPDALAEMKRHVEVFTVAPMVGSSTEARIHLFKHDSADKKRYMGWLQAHPEKSVVYVSFGSLSKYTKHQMDEIVGGLRQCGRPYLLVVRRDGIADDDDESGSLEKSTQSQGMVVDWCNQLEVLSHPAVGCFVSHCGWNSTMEAMVSGVPIVGVPNMFDQPTNVLFIEEEWEVGIKAERSGDGVLMGTELARCVELVMGEGAKAMAIREKAKALKEVAQAAAGVGGSAERNLRDFVKAIPCQNQ >PVH34165 pep chromosome:PHallii_v3.1:8:29780766:29782882:-1 gene:PAHAL_8G160700 transcript:PVH34165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSNSLIFLCFLLLPGVLAFAGPHGESYPCPYDCPPQKETHLHMYLHQFPAWANVTNPNEVAWQGWVADHWLLTKGPDPNNNIVGRARGFHLLTGETSKDWYISHIYVFQDDRFAGSTIQVLGMLDGEWSIIGGTQAFYNARGYIKYKEIPSTMSSITDIVRELDVHIFTPDTSTAVHGIAVSI >PVH33908 pep chromosome:PHallii_v3.1:8:10495007:10496759:1 gene:PAHAL_8G091000 transcript:PVH33908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNGCNNFPIRVVSRQMVKASDPSIKTHILAVSNLDLVPQSLPIAMVCIYSRAPTAGGVNDVTASFEAGLPSLLNHYFPLAGRIVTNPSSGLPEIHCNNHGAELVVGEAGVSLASLDYDAMNVSLRKVLLPCGGQDVALSVQLVSFACGGFTVAWRSNHLVVDGTALTSLVGAWSELARSGGTLAAGARPNHDRSVFRPRATPAYSASLDKAFTPLDARWQVNVLTTSESFVQRFYYIDASDIARLRDLASRDGGERVTRVQALSAYLWKALAGVVGAADARCRMGWWVNGRPRLTAPELRDATRNYVGNLITLVEREVSVQEVQRMPLPEVAAMAREAIAAPAYEEHFQELVDWVELHKTRRYMKTTNLGLGSPTLVLSPITSFPVDTDFGFGSAVIALPVTMPAARMCTGFVQTLAKPGSDGSWIAAAVLWPRLAAALEADEPRIFRPLTAEYLGLFAPQLKRGRL >PAN43213 pep chromosome:PHallii_v3.1:8:40796413:40800252:-1 gene:PAHAL_8G220700 transcript:PAN43213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAGGRDSSSVALRALLIATACGLVFALLNLPDGRAGSTPPGGERGAGGGGGARLSVEQGLIREARRLNTVAEEIDGQTDEIAAEEDERISKSPPDTKEKIWMMQDQLIMAKAYLHFASPQGSAHLVRELKLRIKEIERAISHSSGGSRIPGSALQKMKAMEQTLSKAQRTYPRCSQMTSKLRAMTHNSEELVRAHQSESSFLEQVAVRTLPKGHHCLAMRLTTEYFSLDPKEREFPKRDNRQLDDYYHYAIFSDNVLASAVVVNSTIAASKDPGRIMLHIVTDALNYPAMRMWFLTNPPTPAAIEVESLDDFKWLPGDFSSRFKLKGVRDPRYTSALNHLRFYLPEVFPSLSKVLLLDHDVVVQKDLSGLWNIDMKGKIMGAVETCTSGEGYHRLDSLVDFSNPSISNKFDAKACTFAFGMNIFDLSEWCKQGLTATYHKWFQVGKRQRLWKAGSLPLGQLVFYNQTLPLDRRWHVLGLGHDFSIGRDELESASVIHYSGKLKPWLEISIPKYRDNWHIHLNYDNAYLQQCNIHG >PVH33680 pep chromosome:PHallii_v3.1:8:3281558:3283702:-1 gene:PAHAL_8G044000 transcript:PVH33680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVDGVRAPALHFGQTLASAAPLDWSPAASQGSAPPPKPLLPLHHLGHGARGAADRRGSGAMSSSPLPSADPGRQTAGAPVVQQWKVNSRRMRAGWSSSHSLQRLHWAIPPRRQRM >PAN41079 pep chromosome:PHallii_v3.1:8:917885:920303:1 gene:PAHAL_8G013300 transcript:PAN41079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWRAAASRLLLRRRSPSPPTAASSYALLLHARPFSPRPAQAEVTPAEARRLVRLVAVEALKRRLRDSRGEVVGYGELLDACVEAGAARTHADAEALARAMDDAGVVLLFRDKAYLQPEKVVDLVRRAVPLALEPENDPRKEEFKQLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFLSRQRKLCAAQKFDMERYLELQKHCRCPLEVHHSHGPKLHGL >PVH34514 pep chromosome:PHallii_v3.1:8:42151624:42152336:1 gene:PAHAL_8G236000 transcript:PVH34514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDEPRRRAAPAAGGENGLLQLGHGLAEAEVLLQCDEQGSAAKSGVRALKHVPAKYMGFPRESSNLSGVAMFSFLFIVEYRERLD >PVH34404 pep chromosome:PHallii_v3.1:8:39881905:39882613:-1 gene:PAHAL_8G213600 transcript:PVH34404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVQRRKGHDVVGSFGLLQPLADGFKLILKEPISPSSANFSLFRMAPMATFMLSLVAWAVVPFDYGMVLSDLNIGLLYLFAMKLSPYQRADC >PVH34105 pep chromosome:PHallii_v3.1:8:25102795:25112044:1 gene:PAHAL_8G144600 transcript:PVH34105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTDQKVTSLKQLQGHIWRTGLERKEIQGIVFEDVPETLKNWNSRNIKVYIYSSGSREAQKLLFGNTVHGDLRPFLRGYFDTTIGNKKETRSYLETAQTLGVDNPSQILFVTDVLQEAIAAKNAGFEVVISIRPGNAPVPENHGFRTIKSLSEVWER >PVH34527 pep chromosome:PHallii_v3.1:8:42468385:42473619:1 gene:PAHAL_8G238400 transcript:PVH34527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIRDIKTRVEEVAKRHGRYKINSDVAMPVMIDPRLFSQYTEAKELVGIDEARDELIKILEEENEVSMQQHGKIVSIVGFGGLGKTTLAKAVYEKIRARFDCCAFVSVSQTPNLKKLFKGLLCDLGKKNNEETLDESRLIKVLREFLQEKRYFIVIDDIWDISVWKMIRCALPDNDVGYTIITTTRNYDVAERAGGAYKLKPLSLNNSRKLLYRRIFGSKNKDNNEDREKCPEEELAEVSDRILKKCSGVPLAIITMASLLACKARNKIDWYEVYNSVGTGLENNLDVKNMRKILSFSYYELPCHLRTCLLYLSIFPEDFEIDKDHLIRMWIAEGFIQSEKQGKSVFELGESYFNVLINRSMIQPIHNSSTGMVNSCRVHDMVLDLIRSLSSEENFIAVLSDMDSTSPSSTIRRLSLQNGNSHVVAHATTRSLLQHARSVVIFPSAVAQVPALGSCRVLRVLDLCECDLSQANSLKYLGNLYQLRYLGLCETSISQLPGEIGNLQFLQTLDVRGNTISWLPSGVVQLTNLMFLYIDGSTKVPNGIGNLTCLEQLSQLRIDGSTINIVEELGQLTELRQLDIILDEWNDKLLEGLRMLQKIQKLYILVHPGQRSIGGLDAWVAPRHIRDLCTVYSGWFSTLPAWVNPSLVPDLTRLKIAVRELHQVDLDILGRLPALRSLLLEVDNKNLGILQGFVVGAGSFPCLVSCWFSRFVWPVVFQQGAMPRLRELLLWLLFYVREGGGIASSDGGLDLGLGNLPSLQRVRAKLIRCEGANKEAVEQAKAALTLAARMHPNHPNHDINIQI >PVH34528 pep chromosome:PHallii_v3.1:8:42468385:42473619:1 gene:PAHAL_8G238400 transcript:PVH34528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIRDIKTRVEEVAKRHGRYKINSDVAMPVMIDPRLFSQYTEAKELVGIDEARDELIKILEEENEVSMQQHGKIVSIVGFGGLGKTTLAKAVYEKIRARFDCCAFVSVSQTPNLKKLFKGLLCDLGKKNNEETLDESRLIKVLREFLQEKRYFIVIDDIWDISVWKMIRCALPDNDVGYTIITTTRNYDVAERAGGAYKLKPLSLNNSRKLLYRRIFGSKNKDNNEDREKCPEEELAEVSDRILKKCSGVPLAIITMASLLACKARNKIDWYEVYNSVGTGLENNLDVKNMRKILSFSYYELPCHLRTCLLYLSIFPEDFEIDKDHLIRMWIAEGFIQSEKQGKSVFELGESYFNVLINRSMIQPIHNSSTGMVNSCRVHDMVLDLIRSLSSEENFIAVLSDMDSTSPSSTIRRLSLQNGNSHVVAHATTRSLLQHARSVVIFPSAVAQVPALGSCRVLRVLDLCECDLSQANSLKYLGNLYQLRYLGLCETSISQLPGEIGNLQFLQTLDVRGNTISWLPSGVVQLTNLMFLYIDGSTKVPNGIGNLTCLEQLSQLRIDGSTINIVEELGQLTELRQLDIILDEWNDKLLEGLRMLQKIQKLYILVHPGQRSIGGLDAWVAPRHIRDLCTVYSGWFSTLPAWVNPSLVPDLTRLKIAVRELHQVDLDILGRLPALRSLLLEVDNKNLGILQGFVVGAGSFPCLVSCWFSRFVWPVVFQQGAMPRLRELLLWLLFYVREGGGIASSDGGLDLGLGNLPSLQRVRAKLIRCEGANKEAVEQAKAALTLAARMHPNHPNHDINIQI >PVH34414 pep chromosome:PHallii_v3.1:8:40055341:40057561:-1 gene:PAHAL_8G215100 transcript:PVH34414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWVILGRVARFAAADPGDDAEADPADFSLAVALPPRVAVLTAALSAHPDPARPDRYPYILAAGSGCLLSRFSAAPFYGARFGLDPPDTHLVLVHGFGAAAGGSGTTASAVRVPDRPASMPAIRNIEGVGLVDLDDGKGYLIAELQIDSGSDRARLFRVHTGQDRWMETELRNPLPARDREWVPGGVVSLGGKLYWYDLSWGILMCDPFVHAPDLLFCCLPPGRVLDLARPHIHNSRSITVSRGALRYVEIIPEGGDNGEAARILMSTMEPTGWEVPYEERFEEIWNDTSYRKTWLPKEVPELVVVCPSNPDLVYFALEQHIFSVNIRGHEVLEFAEQTHELVNLPWPTPASCRYVLAWDLPSTAAQGLLLKEKKDHPDEETYRYAKFCSSRVETLKGKKDCELCHMCFFQNGCSIPVKKTQMGKHCYNVHRNKGFLCHKTGCVVRAATLGEVGLHHHYLHGQSSRDWWRSYLEEHK >PVH33514 pep chromosome:PHallii_v3.1:8:1114407:1117356:-1 gene:PAHAL_8G016000 transcript:PVH33514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAFKSTTRRDLHASSSSTSRSDPPPCPRRSRSRSVSAAPRARGHESLQLQEDYANTRTNPLFDSAASPSASPSPPPGTTTSAGGGDVPRRGRGREPLKGGGRGGGGRARSVSVTPQRRHAVSVPSADAAGAVGARRASRPRSVADDARPYRGSETDAETMDVAMKLQSWRSRHSNSEGKNRGIDASCSSQGSTNGVGSQQMDETAHSEASTAASSTGQHLEHAIWQQNNSNVPVDPVLEIPPEFDPDSAEFIFDISDYATEYRKKDVVEIPLEFDTDAAELVSDTRNSAEKQHWEQTEIPLEFDTDASELVSDIWHHESNQQLGQLEASLEFDPDTYELTPDITEYTIKLKESHERARKLRADLAVEEQREQELSRMLKDIVTTPQFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSPEDPQPNSIMNAQPKSNSRFFHKGRSSFQEPHTLADQHSHHE >PVH33515 pep chromosome:PHallii_v3.1:8:1113685:1117570:-1 gene:PAHAL_8G016000 transcript:PVH33515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAFKSTTRRDLHASSSSTSRSDPPPCPRRSRSRSVSAAPRARGHESLQLQEDYANTRTNPLFDSAASPSASPSPPPGTTTSAGGGDVPRRGRGREPLKGGGRGGGGRARSVSVTPQRRHAVSVPSADAAGAVGARRASRPRSVADDARPYRGSETDAETMDVAMKLQSWRSRHSNSEGKNRGIDASCSSQGSTNGVGSQQMDETAHSEASTAASSTGQHLEHAIWQQNNSNVPVDPVLEIPPEFDPDSAEFIFDISDYATEYRKKDVVEIPLEFDTDAAELVSDTRNSAEKQHWEQTEIPLEFDTDASELVSDIWHHESNQQLGQLEASLEFDPDTYELTPDITEYTIKLKESHERARKLRADLAVEEQREQELSRMLKDIVTTPQFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSPEDPQPNSIMNAQPKSNSRFFHKGRSSFQEPHTLADQHSHHEDLDKQTQCSISITGSDVSDNAIFVHTNTHGLKVRSNSNDDLDGFDTPRSRSSCFSFTHEPVKNVENCNVQQYLGNFGRGNNKELRETRPSYFADDYVSQRVNLELLKDMSTFQNRMDYGGLLICNIRTF >PAN42088 pep chromosome:PHallii_v3.1:8:13513406:13518327:-1 gene:PAHAL_8G101000 transcript:PAN42088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALLLSRIRLPAVKMPSTRSNASARLVRASPADLNPVVREVKRESSVSFDVSKCESTASVKRKRVKRELEVNGEYPKKQVGFVPDIEDFRYDRTKAVPSSSKATLSSVKVEEKVRVSSVMKAKAPENWEAVLGGIKNMRLSGQAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSENGLLDPDAIVRIDEATLANLIKPVGFYQRKAQFIKEASKICLERFGGDIPDSLNELLALRGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNLCPSAYKESSSPNPKQKKTRSS >PAN43688 pep chromosome:PHallii_v3.1:8:43923577:43927680:1 gene:PAHAL_8G257200 transcript:PAN43688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFAGSCIQKLQEIITEEAIQIQHVKQELSDLQQTMMQIQCFLKDVDRRRIEDLAVSNWLGELKDAMYDADNIIDLAWFKGSKLLGEQPSSPSRKLTACNGFPLVSCFSTILTRRDIAVQIKSLNKRIERIAELGTKFKFETEPVASVSDMRKTSHLVEPNIVGKEIIHATGTLVGMVLDHREKKAYKIGIVGTGGVGKTTLAQKLYNDHRVKGSFKKQAWICVSQQYSPVGLLKEILRNVRVNQEQGESVGELQAKLAEAIEGNSFFLVLDDLWESDVWTNLLRIPLDAAAQVTTVATTRHDIVAKAIGVEHMHRVELMSEEVGWELLWRSMNISDEKIVHNLRDTGMEIVQNCGGLPLAIRIMASVLATKKTTEREWRKILSSDAWSMSKLPPELSGALYLSYDQLPPNLKQCFVYLALYPEDWVMHRDDLVRLWIAEGFVEKQDNLLMEDIAEDYYYELISRSLLLPDPLHFDQDRCKLHDLIRQLAHHLSREGCFFGDPRLLEDKNISEVRRLSVVTDKDMVVLASVKKQQLRVRTLINPYTFSIIDVLEIFGSSPT >PAN43285 pep chromosome:PHallii_v3.1:8:41263312:41269730:-1 gene:PAHAL_8G226300 transcript:PAN43285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDQRMVSALDSASSSSSAPDLTLSEELSSGSSNCVTESLQVAWAEKGGQVEAVVNCYSKKNKVGSVSGNPATESWGSGMEDADQNGEVNTNELIGGIGRELTISCLLRLPRSYYYDVACVNRSFYSLVRSGELYRLRREVRIVEQMIYCSCNVLEWDGFDPCRQRWFSITSMPAIECFTLADKESLAVGTNILVFGRRVEAHVVLRYSLLTNSWTTGEMMNTPRCLFGSASFGEKAIVAGGIGENGTLSSAELYDSEMQTWTTLPSMNKARQMCSGFFMDGKFYVIGGKAEKHNEILSCAEEFDLENGTWRLIPDMARGLNGGSGAPPLVAVVNNELYAADYASKEVRKYDKVNNAWITLGSLPGRYTSVHGWGLAFRSCGDMLIVIGGMSVGGSGVIEICSWVPNNGLPDWKIIGTRHSGSFVYNCAVMSC >PVH34312 pep chromosome:PHallii_v3.1:8:37427107:37430913:1 gene:PAHAL_8G191900 transcript:PVH34312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTSPKFRTKGSLVICTSLSSLSTVLLLPAQSTVLHLHTNTGAGALPCQRENSDDSAGPLSSAALPSAPAPLRGHSHPKKARTPSPSRGAAMLPPFGNPLWAQDGCGAQQQRQQDAPPPTPMMLGTTQPLGQQQQNLLALAQVADLGGGVFSTPPVLDDDWYFNSAAGAGAQGSLLLAPPGQGPPGLSLGAGSSQMFSLFNMGGGATYDLHGFDLGLSGGGGVSGGEMVSFAGAGSVSNSSPLPLIPAGNAGLLGSFGGFGTAPAQMPDFGGLVGFDMFSNGTGAGSSAPAPPASASLTAPFSARGKAAVLRPLEIFPPVGAQPTLFQKRALRRNAGEEDDDKKRKAEALAAAAGAASAGGGDTVLDDADDDDGGSIDASGLNYDSEDARCVEESGKKDDKDSNANSTVIAGGAGDGKGKRKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESPPSTASLPPTPTSFHPLTPTLPTLPSRVKEELCTSAMPSPTSQQPRVEVRMREGQAVNIHMFCARRPGLLLNAMKAIEGLGLDVQQAVVSCFNGFTLDVFKAELCKDGPGLMPEEIKTVLMQSAGFHGVM >PVH34365 pep chromosome:PHallii_v3.1:8:39153856:39155656:-1 gene:PAHAL_8G206500 transcript:PVH34365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDPSAFPCFRTAPEAVDAVAGALRSGPGSTTPTPPASAWNQHAAIEVVCSALARHGANILLPRPSYLFHEARAVFNGMEARYFDLVPERGWEVDLDGLQALADGNTVAMVIVNPGNPWGNVYNYEHLGKIAEMAKKLGIFVIADEVYAHLTFGEKKFVPMGVFGLVAPVLTLGSISERWVVPGWRLGWIVVDSIKSYLDISSDPPTFIQVKLDLSCLKDIKDDMDFCCRLAKEELVVVLPGCAVGYKNWLWITFAIAPSSLEVGLDRLKSFCLRHSKQK >PVH34647 pep chromosome:PHallii_v3.1:8:44746795:44749145:1 gene:PAHAL_8G266800 transcript:PVH34647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITPEEFFVEGLIEQSPPSPSIFLHVSMKPDGRSEGDHDAPRDMILPYISRVLMEDDIDDKISDHPRLLQVQQPFAQILSSPSFGANTDNTANSSGENALDSALSKGAGVVRAFLKGMEEANMLLPKDNGVNESSSKSGVKKRYNSDEHLEEEEEVRRTRKSVVMIKEPEDICAHEMLDDMMLRSDETYIRGMEKLRIAMAKEVEKSSRKGVRKAVRNLLDIHELLTLCAQAVATNDRRRAHELLKQIKQHASETGDATQRLAQCFAKGLEARLVGTGSQLSQFLMEDHLSIVEFLKAYNLYMAACCFNNVLLIFSRMTIMQAMVGKRRLHIVDYGMRHWFHWAGLLHLLATREGGPPEVKITAIGHPHLRPCPAEQVEEIGCRLTKCAHKFGVPFNFHAMRKNWDAVCIEDLNTDTEEVLIVNDHFNFSSLMDESIFFDDPNPKDTVLHNIRKMRPHVFIQSILNCSYGSSYLSRFREVLFYYTAIFDMFDATMPRESKSRVVLEQGLFGRYALNIIACEGVDLVERPERYRQWQARNQRAGLRKLPLEPNIVKVLKDKVRSCHHKDFFICEDDQWLLQGWMGRILFAQSTWGQGYDDIIIRMREREAK >PAN42890 pep chromosome:PHallii_v3.1:8:37890869:37893417:1 gene:PAHAL_8G195900 transcript:PAN42890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYITTLPFMYIVDKVFTLLNMTFQKCKYVVLCEEVGLSPESQPAVRSNPKPSNPYVLTTPSSHVPEPATPINIVNLRGAGATTPANVNPQAPLNSTFQGAGTTTPVHVSAQGAGSAAVVKVSPQGARSKDAWPTCKCTAGKCKVLRVDGEDYYVCPIPKGQGACNHKVPVHAHAVANDLLQTGDDNRRGDKDLKDKPAEKEAHGNNHLVQLGDNNANGTVNPTHADDNEWLFDVINEEIVPTAEATPRAEVHQGSPSMLRQPIATETPTKSPAPPYSTRSPMTPRSNDICFRCREKGHYMRDCPKPSPTPRTGCFHCGMDGHWVRDCPQLRGS >PAN42889 pep chromosome:PHallii_v3.1:8:37888374:37893970:1 gene:PAHAL_8G195900 transcript:PAN42889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATADSYPESVGSCRPPSPLTPTPTPPAPPAHRGASVRRQLDFADADADAGAIAGGDLNVDEFFLSAMDDIERGYSEAKRRAPPCVCRRGECAVWQDEQSGRWMYVCSAQPKCKYVVLCEEVGLSPESQPAVRSNPKPSNPYVLTTPSSHVPEPATPINIVNLRGAGATTPANVNPQAPLNSTFQGAGTTTPVHVSAQGAGSAAVVKVSPQGARSKDAWPTCKCTAGKCKVLRVDGEDYYVCPIPKGQGACNHKVPVHAHAVANDLLQTGDDNRRGDKDLKDKPAEKEAHGNNHLVQLGDNNANGTVNPTHADDNEWLFDVINEEIVPTAEATPRAEVHQGSPSMLRQPIATETPTKSPAPPYSTRSPMTPRSNDICFRCREKGHYMRDCPKPSPTPRTGCFHCGMDGHWVRDCPQLRGS >PVH33977 pep chromosome:PHallii_v3.1:8:15703489:15703668:1 gene:PAHAL_8G112100 transcript:PVH33977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAIHDPLIEGPTQRPALSGVVQGFHLMASRPADQLMLSANVVFTAGRPSSTRSGSSLS >PVH33780 pep chromosome:PHallii_v3.1:8:5174097:5174555:1 gene:PAHAL_8G063400 transcript:PVH33780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARTYKEGCMAAAVRGRVSRTLDWRGSRSGAGGGGGGARAGLAAAGCFSVYVGAGRERFVVPVARANNRLFRRLLDDAELEYGYAAQGPLALPGCDVAAFLGVMSQMEHHDDGGGSGGGDDVFSPMMCGLIPRSCGTNVGRLAARRQGTGR >PAN41491 pep chromosome:PHallii_v3.1:8:3547384:3554255:-1 gene:PAHAL_8G047200 transcript:PAN41491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMAHATTWWGRLAELALDSADAVSAGAFEALARLFQELEGRRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARTVVMPVESFRVTVYPLVHAAKMVASGVVNTLRQISKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRVKEDLNSVTSKNLFREELVASLVESCFQLSLPLPELKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCNGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTDSSSTTSNRIQDVQAVLICAQRLGARNARAGQLLSKELEEFRASTSADSVTKHQSRYVLQVIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIRELWRPNPSQLTLLQTKGIGALHKELPKACTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQMMRQKRSLRPELGEPVVLRCQPYKIPLAELLLPLECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFLGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTEQEEEEERKQSEELDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >PVH33842 pep chromosome:PHallii_v3.1:8:7001939:7002764:1 gene:PAHAL_8G077400 transcript:PVH33842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYFTDTLPLNKFSKELQSGTAAPTLFPFSFQQYFFPDYSIFKLSPTWFISRYFVFSTWLYAAWHHTC >PVH34192 pep chromosome:PHallii_v3.1:8:31232706:31233776:-1 gene:PAHAL_8G167300 transcript:PVH34192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLNKLFLYKAMVGKGSPRFNMSSSPKLCRYMPTTSASKKKPSSKCSGAKKSGSSPRVKQRADWNPALERSLVDILHEYKDSGYRGDNGWNSEGWNTMVKEFHLRNKYVFYTKAQIQEKEGQLKRDYKMLKAAKQQSGSSWNEKRNIVEEPPTMWTNLMVTFPKIKKFNNKATFPLFDALRELYDGHLAEGIYNVTSLETLQEEEPPEQLQDAKMSHKALMTMWFMR >PAN43089 pep chromosome:PHallii_v3.1:8:39454309:39456196:1 gene:PAHAL_8G210000 transcript:PAN43089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKPSNSGAGSSSSGGGSGPEAVVLADATDASHFGYFQRSAAREFIVFIAEPSRSAPSGASGSPSSTKNTRSIHTQQKWSLCGGIHGRSLSNHYSRDSAHVIAFCLGRLTNYRKCLVLLLLDPYTSGHHKWASSDMLSYFHFCIRH >PAN43090 pep chromosome:PHallii_v3.1:8:39454309:39456196:1 gene:PAHAL_8G210000 transcript:PAN43090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKPSNSGAGSSSSGGGSGPEAVVLADATDASHFGYFQRSAAREFIVFIAEPSRSAPSGASGSPSSTKNTRSIHTQQKWSLCGGIHGRSLSNHYSRDSAHVIAFCLGRLTNYRYSLPVKRGSAWCCCSWIHTQVGITSGHRVICYLIFISA >PAN42428 pep chromosome:PHallii_v3.1:8:19946980:19948992:-1 gene:PAHAL_8G131700 transcript:PAN42428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCLLLAAVLVPALELASARGIPFTEEDLASEESLRGLYERWRRHYMVARTGLQEDDKARRFNVFKENVRYIHEANKKERPFRLALNKFADMTTDEFRRTYASSRMRHYRALSGGRRAEGSFMYADAGNLPPAVDWRQRGAVTGIKDQGQCGSCWAFSAVAAVEGINKIRTGKLVSLSEQELVDCDDGDNQGCNGGLMDYAFQYIIRNRGITTESNYPYLAEQRGCNRAKERSHDVTIDGYEDVPANNEDALQKAVANQPVAVAIDASGQDFQFYSEGVFTGSCGTDLDHGVPAVGYGTTPDGTKYWIVKNSWGEDWGERGYIRMQRGVSDSNGLCGIAMEPSYPTKSAPHGTIMEGNLKDKL >PAN42529 pep chromosome:PHallii_v3.1:8:30170680:30171590:-1 gene:PAHAL_8G162900 transcript:PAN42529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIALSHNLYLYGVAVHVINFIPSSDVLPLCQELKGQPYLIKKVLLNKSILGGDQAPKHPSHQKYPNDESPVQ >PAN43245 pep chromosome:PHallii_v3.1:8:41002858:41007376:1 gene:PAHAL_8G222500 transcript:PAN43245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPRGSTAGVDCDQLLVEANGYARTLILNRPKQLNALSSAMIKALLRCFTTYEKDDGVKLLIMKGKGRAFCSGGDVTACIQSIHNEGWKWAADFFRNQYLLDYIIATYTKPQVSLLTGVVMGGGAGVSLHGRFRVATDKTVFAMPETALGLFPDVGASYFLSRLPGFYGEYVALVGARLDGAEMLKCGLATHFLRSNNLLLLEESLKKVDTSETLAVCRIIDQFAEQPSLKENSSLNRLEIINKCFSKRTVEEIISALEQRASNFADEWVAAIIQSLKKASPTSLKITLRSIREGRKQTIGECLHREYRMACHVVRGDFSRDFFEGSRAILIDKDQKPMWMPPRLEQVHGEAVEQYFSRIDDPQWEDLNLPTRHSHGRNIESKL >PAN43265 pep chromosome:PHallii_v3.1:8:41131476:41136004:1 gene:PAHAL_8G224200 transcript:PAN43265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAASSAPAASLERRLGLGRRGGGMGLGLLGPPADGAGPAPPMPGLLRLRCAVQHYEWGRRGAGSLVARLAAGDAGPGAASDDRPCAELWMGTHPSAPSSLAPDVSLRDWIARNPAALGRAVAARWGGDLPFLFKVLSVAKALSIQAHPDRGLAAALHALRPATYRDANHKPEMAVAVTEFHALCGFAATQELKEVLRTVPEVQELVGKEESRKLLSVKEQDGGIGVRSYLKSAFTKLMVAGEEAVSEAIAKIKSRLNAESKVRALTKKEQLVLSLEKQYPGDVGVLAAYFMNYVKLSPGEALYVGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYDQTFPEVLRGVPVQPYVTRYTPSTDEFEVDRYLLPSGKSVTMSPVPGPSIFLVMAGEGEIQAGTMPDNTKAKEGDIFFVPAHTEVKLYTSGPRSMQLYRAGVNSRFLS >PVH33429 pep chromosome:PHallii_v3.1:8:375736:376557:-1 gene:PAHAL_8G002900 transcript:PVH33429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCHASQVRSRLPRPLPLNYACRQSCFHRQTTTQSLRLTFKVKFDPSLRAHPHGPPLHISLLLVVMF >PVH33501 pep chromosome:PHallii_v3.1:8:998714:1001196:-1 gene:PAHAL_8G014400 transcript:PVH33501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVSGILKVLGSKLAPLLIKEYSLIVGVQKHLEELNDQVQEINCWLEAVGDEVARNGPALNWLTKLKDIAYGVDDIIDEFQLEAERHDAHGIGGVVSNYLYAKPKSLILQRKAASKLTAVKKIFDGTVKQRTEFSTIANSLLASHPARDMNHNTANMTSLPTVDVASVLGRDQEKHQIISELVETNDQQRIKTVSIIGLAIKALAGVLRGKGLIGEWQAMRDSNLLHFMGEERGVSVSACLRMSYFHLSSHLKQCFTICSLLPKGHKIDKEQLIDLWIAHDMITFESGVDYLDYIGHKCFNSLVKMSFLQDAQYPPPKNLFQKARSIYVDNCDDAIFGALKNARHLRSITMGPIHMEAIPIAILQHLDLSLSNEQLLQSPRECNGSSSFSGFSHLKKLLPRDITGCGRGWELLQHMTALQSRAVSNGAL >PVH33878 pep chromosome:PHallii_v3.1:8:7856835:7857653:-1 gene:PAHAL_8G082400 transcript:PVH33878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCNFSPTRHEDGDVSLEGQVKDGDIDEDVRHRISTGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRYVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGYVQRRPPEAPVRSGVLKRGDNVKRGRGRARLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPES >PAN42188 pep chromosome:PHallii_v3.1:8:16601898:16602419:1 gene:PAHAL_8G116400 transcript:PAN42188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGVRSGAARWRRRICRSPARGAGKGGARTGSSSAARQTHIVGQRGVWPECGAGRGGAAMAAAAARVPFPHARGCAPAIPPARSSGSPGAVVQMGQAAGSGSVPACVRLPMDCVSHDK >PVH33609 pep chromosome:PHallii_v3.1:8:2182396:2184866:1 gene:PAHAL_8G031200 transcript:PVH33609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASSLLLPSSVRDLASCVSDGAVRVACTTPASTLVAASAAGSSSPATLSVAVTYRATPLSPSSPPLLLRLTWSHSPLGPPTLSFAGPAASSPAVLLRRRKGTRSLPSDDQQHPPLALFWDLTAAKYAAAASSPEPVSGFYFVAVANAEVVLAVGDLAAEFVKAKFEGQIPKARFLPVSRADRVVAAPNAMHSARVRFAEGAPEHEVSVGCATTSGGSEELWVSVDGKRAVHTRRLRWNFRGNQTVFVDGAPVDVLWDLHGWWFREQPGCAVVMLRARSALESRLWLEEEAAAPGFALVVQAMKTPP >PAN41258 pep chromosome:PHallii_v3.1:8:2182394:2185261:1 gene:PAHAL_8G031200 transcript:PAN41258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASSLLLPSSVRDLASCVSDGAVRVACTTPASTLVAASAAGSSSPATLSVAVTYRATPLSPSSPPLLLRLTWSHSPLGPPTLSFAGPAASSPAVLLRRRKGTRSLPSDDQQHPPLALFWDLTAAKYAAAASSPEPVSGFYFVAVANAEVVLAVGDLAAEFVKAKFEGQIPKARFLPVSRADRVVAAPNAMHSARVRFAEGAPEHEVSVGCATTSGGSEELWVSVDGKRAVHTRRLRWNFRGNQTVFVDGAPVDVLWDLHGWWFREQPGCAVVMLRARSALESRLWLEEEAAAPGFALVVQAMKTPP >PAN43480 pep chromosome:PHallii_v3.1:8:44046026:44047269:-1 gene:PAHAL_8G258600 transcript:PAN43480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWKTQTTSPKTTSRVSSCTQSCLWLGPPLEFRMAQDSDDPLFVVSVAVWVLVVILAIVALHCPLPRRVVR >PVH34564 pep chromosome:PHallii_v3.1:8:43395980:43396714:1 gene:PAHAL_8G249800 transcript:PVH34564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERIGIMEPPEYTYREYISGGTLRCDMMIFMERSTRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHYLQRTPMGFFPPAERSGRTWIARMRELGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIHGVEKLTQELEEQRTRAATAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRYLPIKKRSIRTEEESP >PAN41300 pep chromosome:PHallii_v3.1:8:1807677:1809449:-1 gene:PAHAL_8G025300 transcript:PAN41300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMPLMQDQGKVDHLAQLGPVLYACAAHVTEGSFEKTDIGLSQIKRLTSIVDGPLQRLSLIIADSLARRLLCPIQGFAGALIHPSDYFEQSVLQTARCNLAILSPYISSGFVTINRAILESMEVEKVVRIIDLSCSTAHPRQWLKLLHDFHRRQGGPPEVRLTVVHDDNDYLANMRTLLSNEADKLKIPFQFSSVIGRLETLDFSNLRNTLDIKYGEAIAISCSLQMHRLLVVDDKVSCSGIGQLQKMANIAQLKQMASSVYSPASTLSYPPTPSPQCQTPNLLDSFLNAVRVLKPNIMLVMEQDANHNALLFCDRFVEALNYYAALFDCLNALVAANPRRADERARVERMVLGEEIKNILVCEGVHRHERHERLSQWAIHMDRSGFDHVPLSFRAIWEGKQKLMSFGLNGCQSKVESDCLLLCWGSRHLYSISAWRPHKGSASGSREHMLVQPQRRII >PAN42762 pep chromosome:PHallii_v3.1:8:36709023:36716961:-1 gene:PAHAL_8G188300 transcript:PAN42762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAHSSHSSVSTAGGEDDDEEEPSAAAAPSSAGPPAPRRPALEPAPVRVPPAASASKVLEQEPEVLPCLAADSPLSPQPSAAGTPRLLAGPGIKVWDPCHVLLPPPPAAHAGRHADAAAAVEVVVVSHGECAAAMRPDLVGGRWPAAALTARGERQARALAVFLRSRGARLAAAFASPLDRARATAALICRELDFPEEQIQLSDSLTEMSQGQWEGCPKSEIYTPEMVNLMESSQPDFSAPSGESLRQVQFRMMEFLNRTILRLPEKVAMGDTLSQQNEPKGFSRQSSSNSVQDGPPWDLLYRLNRHSLQKKKSGKSRLQFVTSGDNDPEDDFSPKDINQRHLLHEGSLGSSVATSIAIFSHATPIRCLIAGLLDCNPMMSQRICIDDSSVTVLEHSSRTGWQIKRLNDTAHLRLL >PAN41109 pep chromosome:PHallii_v3.1:8:1013052:1013890:1 gene:PAHAL_8G014800 transcript:PAN41109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKQDRRRSVANGTASRKETNKVVHYRECQRNHAAAIGGYAVDGCREFMASGAEGTAAALMCAACGCHRSFHRREVEADLDCSSTTTSG >PVH34114 pep chromosome:PHallii_v3.1:8:25894647:25895506:-1 gene:PAHAL_8G147000 transcript:PVH34114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERPALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEPRAAAAVSSEAVAQESLRQARDRHMQDWTQSGMPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSAAAVERDAQAQPLAGGNPEDGEQGSLALSTPEEGLPRE >PAN41371 pep chromosome:PHallii_v3.1:8:2849014:2850281:1 gene:PAHAL_8G038700 transcript:PAN41371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLVIQDRKEIKIMSVDGGEVLKMPSPGSLKVQDEALTEPGVLPVKAPAAGVDPAGAVRVKLVISKHELKKMLDKEGMSLDDMVSLMRKEAIDREQQEECCGGWRPALESIPEGRDL >PAN43812 pep chromosome:PHallii_v3.1:8:44517118:44517690:1 gene:PAHAL_8G264900 transcript:PAN43812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWSTLTSPAAYKSPAFIALVTVLCVALVLLLHHCVLVACCRSGGGAERRRRRQHHHRRGASEGEDEEEDEVSVSVEVSAATSRTHLVQAAAASPALVCQYRKEEAWKEPTCAVCLAEFDDGEAVRVLPECMHYFHAECIDTWLRGSTSCPMCRAETTPTPSPASMHHHHHHPRRLELSVSLEEILVRT >PAN41493 pep chromosome:PHallii_v3.1:8:3566382:3572069:1 gene:PAHAL_8G047400 transcript:PAN41493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MAAAPPGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKGRGGPGMASGADSQKQLAGTSVGGDTSLHQPVGLPPAIHAASVMAGVLGGAQTAIVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMAELKALTTQNKELSKKLLQGIPQLPKALFQAQIMIGMVTPQMMQMAKNQQPSSSLAQSSSHINEPSPANMPPNPTVLQEQTATLHNFPQYQHASQPPVKSFPHGHQSGLAIHPPMLSQPLGVSSIPAQPLVASVGLMSQVQHPFMPQHPRPPVMQTSVQSVPLTHPHSQVAAVPETLPNEDQASHLAEYAHPSKLRKLEDGTSVLGMVNSSHPAYAAPPQAVGPSGPSGSYSAQLTPDVESALLQQVLQLTPEQLSSLPPEQQQQVIELQKMLSAGK >PVH33918 pep chromosome:PHallii_v3.1:8:11436917:11437846:1 gene:PAHAL_8G094100 transcript:PVH33918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYCEEGVPRCRVKMIIPQHPVRSSWHPIEVEVVGYRLVDTIETVALEAIKLFYNQHPTEVAAYPIGLFPTIDPRNLEWNFRTEHLGHMLGDLAEETVRSLTQFMDVQHHYQILLRHSMGQLTSAAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDAQAHIEELLQHHILPAAPVMPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFEDF >PAN43325 pep chromosome:PHallii_v3.1:8:41453210:41459593:1 gene:PAHAL_8G228500 transcript:PAN43325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASNDAVKSLVSKLGSLLAQEYTLIGGVSDDIQYINDELASMQAFLNRLKQEAKHDEQRQDWMKQVREVAYDIEDCMDNAGYRLSREPRGTGKLASLRRAWYLLTTLYARHCIATEIGNLKARAQHVSERRTRYGVENLARDSASEGENSPIDRPAPHPQLIGTVAPVGIEGAKVELMPWFLEVKEQSTNDQPKFLAIVGFGGLGKTTLAMALYRAFGDEFDCRASVLASQKFHLQMVLRSLIKQFHDQQAGASKNDIEGIEEMGLEALKNQLACQLEEKRYHILIDDIWSVSAWESIRDSFPKSKKGSSIMVTTRFKSVAEACRRQQGRVYELKPLHDDNSYKLFRQIISSAPTVPTNGARALLKKCGGLPLAIILVAGLVASKLRSESNKIRVEDHHLTQEDKDVGGELKKSKDQEGKDFSERLDKALVVEELEKNSPQEGNDISKKLENFLDQVSNDLGEELKKNLSTEGVSHIVHHCYYQLPAELKTCLLYLSMFPKGCLISRKRLIRRWIAEGFIAEKHGKMVEEIAEDCFNELISRNLIRAVNSSSNGKVKSCQVHDMVLEYIVVKSSDENFITVDGGHWHTPFPTYKVRRLSVQKSDRQEKETIERMKLSHVRSLTALGSFKAIHSTLSKF >PAN42832 pep chromosome:PHallii_v3.1:8:37178773:37180480:-1 gene:PAHAL_8G190800 transcript:PAN42832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPRTSFLKQSLSTCCLHLLHYPTTNTAHRPARSTKEGMGSGDGTGAALLMEIPKVDLRGLEPDTPGWARARAAVTASMTAHGCVVVVHDALGAELRQALFGRALPQLFALPFEAKKRSGCFINGPHRGYVGQVPSEALESVPIQDAGDPGSIRAFSDDLWPQGNQEFCDTVVEFAKNMLELEQTVERLILEGLGTRDESIASHLGSLSHLVRMTLYGTPPDKETGISLRAHRDEHMTTVLAQHEVGGLEVQVGDGRWVAVPPEPGTLTVMAGDQFRVVTNGRVPGCVHRVRTPSGRVRFSALLNRRCKGQAVLRAIDELVDDDHPLMYNPCGPEEYRAFRLSEEGRNLSDPLKAFCGVER >PAN42435 pep chromosome:PHallii_v3.1:8:22043041:22046433:-1 gene:PAHAL_8G136900 transcript:PAN42435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVNKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHRQTEPVIDYYYKKGIVANLHADKPPKEVTAEVQKALS >PVH33846 pep chromosome:PHallii_v3.1:8:7057639:7057932:-1 gene:PAHAL_8G078400 transcript:PVH33846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKIMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQNFIVWLISLIPR >PAN41101 pep chromosome:PHallii_v3.1:8:986523:989913:-1 gene:PAHAL_8G014200 transcript:PAN41101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWAVLAVLLVAAQATSAALVVAPAFLWAPKNYGFRSDDAKEVVHYQTVSPKGLAKSVLEKGGWSNLMCSKEDAQKNVDVAIVFLGSKLQSSDISKDKQVDPALVDTLKLSFTSSEFSMAFPYVSTSDDEKLENSLLSGFAENCNSAFERNRVTYTDTCTVTGQDLKKHRSIDSIRDLVTSRMGNNPSGQTDLVVFCSGGFEDLDPAKSEGELLSELVAMLKKSGAKYTILYASEPSGLLENPSSLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >PAN43180 pep chromosome:PHallii_v3.1:8:40094473:40095639:-1 gene:PAHAL_8G215900 transcript:PAN43180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHDVDFSSCVSLQDLKMECSSICCNRIASPSLKRLRISECRFLGDVRTQISAPNLISLLLDSCTQRTPLLESMPVLEEAVVSLQNCSDFCRNGYEVGDCGDESCWGCQDSNYGKNTCVLLQGLSSCTNLELISATAPTKPTSSFIFRKDLTQCPVFSKLKTLLLDDWCITTNHGALICFLQHSPVLEKLILHFSKTHGNLVEMGASYDLRKQPLALKDLSEVQFDEGDERVLKVLDVLCSYGLPPEKIKIQYPLELIGI >PAN40978 pep chromosome:PHallii_v3.1:8:572980:575166:1 gene:PAHAL_8G006900 transcript:PAN40978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAASSAARCGSGAAVLLMLLAVLAGTSSAQLSTGFYSYSCPGVYDAVKSVMQAAIAREQRMGASILRLFFHDCFVQGCDASLLLDDTASFQGEKMATPNNGSVRGFEVIDAIKSAVEKVCPGVVSCADILAIAARDSVVSLGGPSWDVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHVYNDTNIDGAFARTRQSGCPRTSGSGDNNLAPLDLQTPTVFENNYYKNLVCKKGLLHSDQELFNGGSTDAQVQSYVSSQSAFSADFVTGMIKMGDIMPLTGSNGEIRKNCRRIN >PVH33549 pep chromosome:PHallii_v3.1:8:1477711:1482561:1 gene:PAHAL_8G021500 transcript:PVH33549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNKPPLAAGQAAIDTDHGRLRELGYKQELKRHLSVLSNFSISFTVISVLTGVTTLYNTGLAFGGPATMTLGWFVVGAFTMAVGLFMAEICSAFPTSGGLYYRSARLSGDRWAPFASWITGWFNIVGQWAGTASIDFSLAQLIQVIVLLSTGGNNGGGYLASKYVVFAFHAAILLSHAIINSLSITWLSFFGQFAALWNMLEGQAKFVFTHFNTDNSAGIHSNLYIFVLGLLMSQYTLSGYDASAHMEWPIGIISAIGISLIVGWGYILGITFAVKDISYLLSPDNDAGGYAIAEVFYLAFKYRYGNVVGGIICLWIVAIAIYLCGMGSMTSNSRMTYAFSRDGAMPFSSLWRKVNKLEVPINAVWLSAFISLCMALPYLGSLVTFRPGPGGGGGGPPPLTWIAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFTLVLLSWIVSAQHWFKGPITNWGG >PAN41369 pep chromosome:PHallii_v3.1:8:2841721:2842940:1 gene:PAHAL_8G038500 transcript:PAN41369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRAGDPPESTRLRLGDDIAWSEINGVYDRDDSLKENTNPKCLLKSHPHHNGSSQRFSGNLKPTAAPIIGLSGKLGAQGGGARRQQQHHPPAIFPKKARTGGGGRAPRAAVPEPESPKVSCIGKVLSDRERARFGRPPRPRGGSRPPGCCGGLGFLMRRSRSRNSAVECVGQSPPAPSLPPLAEAARRSEAKVAEAEAEEETAPAPGLGGMLRFASGRRAPEWAAAMEEDDGRVARSGPL >PVH33671 pep chromosome:PHallii_v3.1:8:3180390:3186308:1 gene:PAHAL_8G043000 transcript:PVH33671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLDALVSYVQNMLTEMARDEVQMLLGVSGEIQKLDIKLKDLKNFLLDADKRSITDQSVQAWVLELREAMYDATNILDICQIQAMERGPSHDAGCFNPLLFCMRNPIHAHKIGSRIKNLNQRLEDIKKRSLDFNFINLNSYEDRSRRVASSRPGSRETSGELDESSLVGENIEEDTRNLVEMLTTAALPKCENNKILVFAMVGVGGIGKTTLAKKIFNHDIIQQEFAKKIWLSVNQDFSEIELLRRAVIEAGGEHQSNGNTRGALERALKEVLNRQKILLVMDDVWNHRAWEDVLQTPLITAALAPGSCVLVTTRHDTVARGMMATRPYHHVNKLDPEDAWLLLKKKVVGNGNDEAQIELLKDIGMEIITKCDCLPLAVKVIGGLLRQKTARRREWENVLNDSIWSVSQMPEELNYAIYLSYEDLSPSLKPCFLHYSLLPKSRVFFTDEIIGMWISEGFVYGTSCDLEKIGKEYYDELIQRNLIEPNLEYVDQVVCNMHDVVRSFAQYVARHEAFVVHNKEIHIADKINSQKFLRLSLETRGSESDELEWYSLQAQTSLRTIISVGNIKMKPGDSLLAFSNLRTLHMQDANFDALSESLNQLKHLRYLSIKGTNTSRLPENIGKMKLLQYIILYGCNSLVKLPNSIVTLKHLRFLNIHIKGISSIPKGFHRLTNLRSLYGFPAHMDGDWCSLEELGPLSQLTRLRISGLENVSSSSFATKARIGEKVRLSYLFLECTSRIGHDGQLVKDEEGIPEEQQRQIEEVFNELHPPSSLENLVIRWYFGQRLPRWMMSTAIVPLGSLRVLMMDDLVSCSELPNGLCQLPCLELLQIVCAPAIKRIGPEFLQPNHHCHHHSQVGVPFPRLSKLKFNDLVDWEEWEWEEQVKAMPILEELILKKCKLRHVPPGLAFHAMALHSMPILHSMLWL >PAN42959 pep chromosome:PHallii_v3.1:8:38601637:38604621:1 gene:PAHAL_8G201300 transcript:PAN42959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAATAAAPDPSCSPFPSARRAPSLALARRRATASPGPSLRCQSLPSRCRCHAWWGNGRARRGGRVRHCRAVASAPDHMDELPARGRYHPFEDIPEAAKLDDGEPAHLTDAESARTIVEVNNKATVMISTLVGDGVHERIILPEFPYLTDENGDIYFEVDNEDALLESIMGEDKIAHVIIGLDNTQVFADLDLAAASATDFAQEDDDDDEDDDGSEDDEESDFDDDFNDVEGVFAVDDEDDDDGEDDEDDDLPSWSNLETVNSCHPLYFARMIVETATKSNIDWLDRPPASLVVEGQLRPAFAEESTMVAKHLSSDEAQKDKKESGATFFKVEVLSIELITAYGTEPKVKIEEYRKARPDIIAHSAPNIISRLRAGGDKIAQALKSLCWRCKAIQVEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPTKATSEFSAEKQIHELLFPRNTHQEGQSPQARHKS >PAN41766 pep chromosome:PHallii_v3.1:8:5819135:5825930:1 gene:PAHAL_8G069100 transcript:PAN41766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGTPRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRKRLFVMINNLPTIYEVVTGTAKKQTKEKTPKSSSKSNKSGTKPPRQPEPNSRGSKMPPPKDEDDSGGEEDEEEEDHENTLCGSCGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSGSSKRARA >PVH33917 pep chromosome:PHallii_v3.1:8:11166759:11167551:-1 gene:PAHAL_8G093300 transcript:PVH33917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVYILQKREIKVWDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNQEASRKLYEATKQTKNPWVFEPEYSGKSIIFDGRIGDLFEQPVLIGKSYILKLIHQVDEKIHGCSAGPYSLVTQQPVRGRAKQGGQRVGEMEVWALEGFGVAHILQEILSYKSDHLIPRQEILNATIQGKRVPNHKDPAESFQVLVPELRSLALELNHFLIPEKNFQVNREDV >PVH34072 pep chromosome:PHallii_v3.1:8:19578751:19581423:-1 gene:PAHAL_8G130400 transcript:PVH34072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRCPCCGVSFPPSHGSSLLCRTCSHEDPADCRSVMAPSVTTVRSRRSGGGRGCRPARTWSKGAPQPATGAQGRGNSGGNLSQLSSGGGKICGRRLRQRAQGREWWRGDGHPHAQQWDLPAANRSSCPSRHVSGQAAMCPSPYLPLRRSTSPEPALLPQVAASLPHPSLPRSSPLPLIPSHSFGREKQRDGEITPVKSACRVQHLASDKRLQGPSIEVAWSHPILLACFYYFSLIHSVGRAVDLLIPSTVR >PAN41802 pep chromosome:PHallii_v3.1:8:6064724:6068503:1 gene:PAHAL_8G070600 transcript:PAN41802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITTTAAAAAASSSFLRRLPGAAHHALAAAVSLRACHHRYRLSCRAAEVSGAEPSAAPAAAEAAGGASWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFTYRVKTDDENIYISISGADSAGSAEIIFSGKAQPGVTASDVNVEEVRMIVDEDVGGFGFTSANELINGKAAIIGFLLLIDFELLTGKGLLKGTGFLDFIYAVSGAFN >PVH34093 pep chromosome:PHallii_v3.1:8:24141308:24142057:-1 gene:PAHAL_8G141500 transcript:PVH34093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEINMMGYRIVDTIEGAALEAIYRFCNQHPKEVAGQPIELFSMTSPDEPEWNLRIVPESHRLDGPPEEALQGMMRFMNVQYHYQLLLRREMGRVIHVARSHYREADRQNTQVDQLRALVTQKDEIIAARDETILHREDQINESDHIITQRNTIIEFLQEQIHDLILAADDAQAQLEELQQPPVPPVALAVPEAEEEDPEEIEGVSELDSEHGDLVLSPHHSSFGSQSSVGNFDNF >PVH34486 pep chromosome:PHallii_v3.1:8:41721202:41727002:-1 gene:PAHAL_8G230500 transcript:PVH34486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYRAFGDEFDCRASVLASQKFHLQMVLRSLIKQFHSQQAGASKNDIEGIEEMGLEALKNQLTRQLEEKRYLILIDDIWSVSAWESIRDSFRKSKKGSSIMVTTRFKSVAEACRRQQGDVYELKPLHDDNSYKLFRQIISSAPTDPTNGTRALLKKCGGLPLAIILVAGLVASKLRLESNKIRVEDHHLSQEDKDVGGKLKKSKDQEGKDFSERLDKALVVEELEKNSPQEGNDISKKLENFLDQVSNDLGEELKKNLSTEGVSHIVHHCYYQLPAELKTCMLYLSMFPKGCLISRKRLIRRWIAEGFIAEKHGKMIEEIAEDCFNELISRNLTRAVNSSSNGKVKSCQVHDMVLEYIVVKSSDENFITVVGGHWHTPFPTYKVRRLSVQKSDRQEKETIERMKLSHVRSLTALGSFKAIHSTLSKFQILQVLDLESCKDLSLMNQLEKICDMHQLKYLSLRKTDIKRVPKEIGRLEYLQVLDIRDTKISQLPPSVEKLQHMVHLLAGSKSKRMGLTLTEGITKMMALQTLSGVEIRGSSVNAARVGSTNEEAKKYPRYKAASTGSAKGLRALENLTNLKKLTIYGLIDFTKKDNILLLSAIEHLSSCSLKFLAINDDFTEFLDSSLSTSQAPPEHLKTLGLSGKLSRVPDWISHLHNLEKLILSLTSLKESTLPVLGGLPELFAVIFTLDNSAKKYSNVLQILSKNAMESEGMIFVQPGGFKRLKLLRFVTPVLPPLSFLEEAMPKLESLELKFIMAEGIFGLENLASLGQVLLTVSNQASEVAKVKVSQIKALASMNPKQPSVVVNEYNDL >PAN43355 pep chromosome:PHallii_v3.1:8:41721202:41728584:-1 gene:PAHAL_8G230500 transcript:PAN43355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASNDAVKSLVSKLGSLLAQEYTLIGGVSDDIQYINDELASMQAFLNRLKQEAKHDEQRQDWMKQVREVAYDIEDCVDNAGYRLSREPRGTGKLASLRRAWYLLTTLYARHCIATEIGNLKARAQHVSERRTRYGVENLARDSASERENSPIDRPVPHPQLIGTVAPVGIEGAKVELKPWFLEVKEQSTNDQPKFLAIVGFGGLGKTTLAMALYRAFGDEFDCRASVLASQKFHLQMVLRSLIKQFHSQQAGASKNDIEGIEEMGLEALKNQLTRQLEEKRYLILIDDIWSVSAWESIRDSFRKSKKGSSIMVTTRFKSVAEACRRQQGDVYELKPLHDDNSYKLFRQIISSAPTDPTNGTRALLKKCGGLPLAIILVAGLVASKLRLESNKIRVEDHHLSQEDKDVGGKLKKSKDQEGKDFSERLDKALVVEELEKNSPQEGNDISKKLENFLDQVSNDLGEELKKNLSTEGVSHIVHHCYYQLPAELKTCMLYLSMFPKGCLISRKRLIRRWIAEGFIAEKHGKMIEEIAEDCFNELISRNLTRAVNSSSNGKVKSCQVHDMVLEYIVVKSSDENFITVVGGHWHTPFPTYKVRRLSVQKSDRQEKETIERMKLSHVRSLTALGSFKAIHSTLSKFQILQVLDLESCKDLSLMNQLEKICDMHQLKYLSLRKTDIKRVPKEIGRLEYLQVLDIRDTKISQLPPSVEKLQHMVHLLAGSKSKRMGLTLTEGITKMMALQTLSGVEIRGSSVNAARVGSTNEEAKKYPRYKAASTGSAKGLRALENLTNLKKLTIYGLIDFTKKDNILLLSAIEHLSSCSLKFLAINDDFTEFLDSSLSTSQAPPEHLKTLGLSGKLSRVPDWISHLHNLEKLILSLTSLKESTLPVLGGLPELFAVIFTLDNSAKKYSNVLQILSKNAMESEGMIFVQPGGFKRLKLLRFVTPVLPPLSFLEEAMPKLESLELKFIMAEGIFGLENLASLGQVLLTVSNQASEVAKVKVSQIKALASMNPKQPSVVVNEYNDL >PVH34574 pep chromosome:PHallii_v3.1:8:43617878:43619769:1 gene:PAHAL_8G253100 transcript:PVH34574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVPIQNIKKYMLLQLGTVINSQKYRAGYEAPSASLGATKSIDASTESWISFYFKRSMKNAKCTVLDYRALTSLNMLSCPFSFNFLAFWHEYTMICLLTI >PVH34403 pep chromosome:PHallii_v3.1:8:39872917:39873659:1 gene:PAHAL_8G213500 transcript:PVH34403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVPIYTYLNQLLPTQQHDPYQYLHKGRKININMGGRYIELIFQYLKGPGNRNASSAYNSESTTVTQALCPSSCSFLTCNGLPTNIYLPQ >PVH34534 pep chromosome:PHallii_v3.1:8:42644798:42645216:-1 gene:PAHAL_8G240700 transcript:PVH34534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEERLDLCWLDKVVLLETPKGFVLFNIKSKILNAPKNVWSWFAHRETAEHVGISESTMHAFVSCMFIWFSSIVLVAPRID >PVH33489 pep chromosome:PHallii_v3.1:8:844992:846927:-1 gene:PAHAL_8G012200 transcript:PVH33489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVPPRSSPSNIATGKRVHPSDADALHHSCPAAADDDLRHQPQPALYTVWKRSSMGFQGTDGFSVYDDAGALAFRVDNYSRRRKLFAGELLLMDGQGAPLLALRPQILSMCDQWNCYRASEEAGDKSSSRRQHLFSMRKCSLVKTNDEAEVHISGCTNSSSDHDSQAPSFRVQGSFWRRSCKIRKGNGEEVARITRKKAGAVSETVTLGEDVFSLTIMPNVDRSMIMAFVVILDRICQKPYKPLMCSS >PAN43059 pep chromosome:PHallii_v3.1:8:39332371:39332763:1 gene:PAHAL_8G208500 transcript:PAN43059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNKKLAVSAFTMGLLLVSCNKILSAQQTRALCEEKIDWFKCLGDWMCKPMCFGEGMTGSRCSKKLHSDPNSVAVTIVCVCFCMKPCHGEDDPRPKKQPMPRIRGMGMLH >PAN43097 pep chromosome:PHallii_v3.1:8:41407558:41410844:1 gene:PAHAL_8G228200 transcript:PAN43097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASNDAVKSLVNKLGSLLAQEYTLIRGARDDIQYITDELASMQAFLNRLKRAQGNHDEQRLDWMKQVREVSYDIEDCVDDVDHRLSGEPRGSGILVYLRKKWYLVTTLYARHCIATEIGNLKTRAQHVSERRMRYGVKELENNDGGPAGAIAPRDIIAPPPQLIGTTEPVGLEDAKKELEPWFTEEGQQRFLAIVGFGGLGKTTLAMELYREFGEKFECRAFVLASQKFHLPTVLRSLIKQVHEQQSSASKNDLVGIENWSEEDLKKKLADQLKKKRYLILIDDIWSVFAWENIRDSFNKKNEKGGTIVVTTRFKSVSEACRRHQGHVYEHQPLHDGYSYSLFLEKISSAASDLCPARPINGGVIKKACRGLPLAIVVLAGLVASKMKSESKTNLDDHLAQVGEDLSKLLGNTLPTEGVTHILSHCYDHLPPDLKTCLLYMSMFPKGCQISRKRLIRRWIAEGFISDKHGKTVQESAEDCFDELISSNLIRAVNNSSNGKVKSCQIHDMVLEYIVSKSSDENFITVVGGHWQTPFPSYKVRRLSVQKSDGKEKEKVERMKLSHVRSLTALGSLRALHSTLHKFQILQVLDLEGCKDLSFKQLKKICNMHQLKYLSLRQTDVKEIPSKIGRLESLEVLDIRETSIIKLPASVDKLQKMEHLLAGNKNKRHALKLTEGITKMVALQTLSGVEICTGFSMELLRALQNLTNLKKFTIYKVGCTTDNYELLLSAIEHLSSCSLKYLAIDDDFTGFLDTSLNASQAPPEHLHTLGLSGKLSQVPKWIVSLHNLEKLTLSLTSLTTDTLLVLAEAELPELFSLIFSLDSTKMDASVLKILHDNTLKSGGMIFVPSGGFTNLKLLCFAAPVLPPLSFLEGAMPGLQRIELRFRMVEGVYGLENLESLQQVHLTISSQAPEDARTKASHIKKLASKIHRKPSPSVVLDEYNESSEQK >PAN43346 pep chromosome:PHallii_v3.1:8:41625733:41635955:1 gene:PAHAL_8G229700 transcript:PAN43346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVLGLAKTAVEGTVAIARTAIEEEDKLQKSVKRDLILISDELEMMNSFLNVARDRVTDDVTGTLVRQVRNMALDVEDCIESVVHLDDDKTHWWRRLLPSCVPAAAPAVHLDSAVADLELLKARVEAMGQRNLRYSRIGDPAGSKPTGDEQTHHRQGAAASTTASKSILLRSAASSSERFCHTNLLSSLITHWRCSSCVHHQVISVFGTVGVTSVIKRAYEDQEILEDFRCRAWVKLTHPFNPREFIRSVVAQFCRNRVDLAVATEDDVVIKEFMEQMSSHKYLVVLEDVSSMDDWEAVRVYLPHNNYGSCIVVSTHELEVASLCVGHPRGVYELQKLSAHHSVYAIAKKDAPERTVDKLKIAREWLDKHPLVGPELDLLLHFRFNVARGGFGGGVFSVWGISGVGRSFLVKHLYYDMLTTLGRSDRFKYGWVNVSRPFDLMDLSWSLLLDLNDGSLQDRMSVMQDPIQECHEYMCNHRCVVVIDGLQSTEEWDLIKIALELGTSRHCVVVVTSEESVAKYCATDRDRVWNVKGLGVDHAFEIIQKRYKRHHQAATEDEMHILHKCGGLPKVICAVAGMCYQEGYSWKSLVKDNFVSELKAKPSLEDLFVWLLSYFHSCPDFLKPCIFYLSIFPLNHIIRRRRLVRRWIAEGYSRDSKESTAEKNGEMSFSKLVNLSMIQVPRTTERTVEQKSMRMPLCQVNGFFREYIVSQSMEDNLVFALEGHCDKNLHRTGRHLAIEMSWDRDQNVYESIDFARLRSLTVFGQWESFFVSDKMRLLRVLDLEDVSSGLTNRDVEQMVKLLPRLKFLSLRGCREISHLPDSLGGLWQLQTLDIQGTSVTMLPPSIIKLEKLQYLRAGITKHEHHHHQANTEAADQKPAQSASPMSRTLGSCLLSKLSRHRLLGGSSHNGVKVPGGIGKLSDMHTLGMVDIGTAGGDAILEELKKLTQLHKLGVSGINRNNIQKFYSVISGLAHLESLSLRVQVDEDDEAGWLDLDDISFEPLVNLRSLKLYGLVRKLPTWTKQLKNLRKLSLQMTMLPKEGIDDIIDSQSQLDHIRLFLSEFQDGELHFGREFIFLGLLEISCNSRVQATITFHDNFLLKVLRIRCWGESSLRFSGLQSLKALEEVWLSSPYDDGLKQHLESELAERPEEWSVLRLEEPGSWIPWLLTSS >PAN43649 pep chromosome:PHallii_v3.1:8:43600088:43600684:1 gene:PAHAL_8G252700 transcript:PAN43649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAVHLMATSFLVLLMISSNPSSCQACIGRWCQPPAPCFPPTSNDHCTKAVCPSVCAINGHETNRAYCKKGHGRRHSEWLCICHHHACLMEIK >PVH34027 pep chromosome:PHallii_v3.1:8:17104736:17105924:-1 gene:PAHAL_8G120500 transcript:PVH34027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFACRIHETTTHQNSLAIHAAAASYIYKHTLRPQHEHLLFRIDGIAREGGPAAPTMAAEAVRSQEASSAAVLAAHRTTGGNRKAAMTMMSESVSTGAGVDGRVRGGGVPAVAARGSCKVEASARGPGEGGDGGSGGGAAFLVARRNAASRRLLPRFVHASWCKPTVFYTFKIRHVYVQA >PVH33405 pep chromosome:PHallii_v3.1:8:17552:18360:1 gene:PAHAL_8G000300 transcript:PVH33405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFQQPAPTQTPNMFSFQPPPQPASTSGFPGFSNTANQALIGQQTPSQSNMVMQPAPVSNPFGTLPAMPQMSIGNGG >PVH34325 pep chromosome:PHallii_v3.1:8:37776851:37778969:1 gene:PAHAL_8G195300 transcript:PVH34325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAPKVVISLLEVSKRSGGLLQALTCYSYYIHLKNSELQLILCKLFNDALVNLIYVLWLLVVLMNIYMLLYLWLGDVHDGLME >PAN43787 pep chromosome:PHallii_v3.1:8:44420078:44421106:1 gene:PAHAL_8G263500 transcript:PAN43787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVKKGTGVLLLAALVVDLVVIATVFSSCHAAADYCHAIVPCSDETCTNYCQKNNFKNFQTYCTPGQYYPSCCCRVPDA >PVH34271 pep chromosome:PHallii_v3.1:8:35882186:35885275:-1 gene:PAHAL_8G183800 transcript:PVH34271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSMGMLNCADTSSGAKLQQQQASTSPTASVSESNIVVSSTDPDANDALAGLQALKFDGDIDVEIQSPDIAMWESLFAEQMGASGADFLMFSPKRDFMATGSPRRDFMVSSPKRDYMVSSPKRDYMMSSPKRDYMMSSPKREYMVTSPRRDSSPRRSTFSNLYSAGSSHQQAYVHGVHGAEGGSGASQPLYGGLANHGKGKSQSPLHKVYINNAHSNSGKSNGPSSLSCSSSYVHGENLPLPSMDPFLNDGGYLAGYQLPGKPGMESGAASATVTTVAPSSLSQLPTLSECLAMPEPVYGGGEEAVAAAAAGGLQMGGGLPAELYYGGQFGGDGLTLQHQMSKSDQWAADSSLHSMLGSVIQTEAEQEQDSGLQLVHLLLACADFVSKGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLSLSSNPSSSSSSSGAATPRGGAGAGVAPYTFPPSPDTLKIYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPAAAVRETGRHLASLAASLRVPFEFHAAVADRLERLRPAALQRRVGEALAVNAVNRLHRVPGVHLGPLLSMIRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVVACEGAERVARHERLDRWRRLMEGRGFEPVPLSPAAVGQSQVLLGLYGAGDGYRLTEDKGCLLLGWQDRAIIAASAWRC >PAN43601 pep chromosome:PHallii_v3.1:8:43286499:43287377:-1 gene:PAHAL_8G248900 transcript:PAN43601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDEEKKDSKCATAVSIAGRILGMGLAVAAAALMATASQCTVYAAYGARPRTVTYSDFPPFVYLVIASAMAAFLEAIAIFLAVWKKGKGKKAAVLMPLLGAAVPALLYSSTGAAFAKSADMSYCSAYGQRVSVCAGSAAGGSSNFCSQVHIAVYLALAAAIVVSAAEVVRALGGAAALGGSDSDSSSSSESGGCDHGCHHKH >PAN41353 pep chromosome:PHallii_v3.1:8:2698270:2701445:-1 gene:PAHAL_8G037000 transcript:PAN41353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHSHNKPPFPCFCTILLLLCSPLCCSGRSNQQDYFEAYTLGSTFKETDGFLFLANSSSTVSGGSRVTLGSYMETDHPAFNLSSNGWFFILQPIFLWKYRDNGTGLNEASFNFRFTMSINQTKAAGSSLAFAIHPSLDDYLGYPVSFPPNPNGASDSDSEPTSTTVSGGHVSAQISTVYGTASDPRNISLVQMAMESPGNSSLRSNYSVWIDYDHVEHRMSVSVDAVHGTPSTATANAIVKMSDIMPSTASFGFYSSMEQLLQLDSWSLTAERLPYSYPLPHLVGKPKGNGNTILFSVLGSAAAVAATAAVAYLYFNSKYRRWKKEQDKLAKTMQRLPGVPTQVDYADIKRATKSFHETMKLGKGGFGAVYRCTLPAASLRRGRAMEVAVKKFMRDVEDQRYDDFLAEVSIINRLRHKNIVPLVGWSYNKGEPLLIYEYMTNGSLDQHLFRRGSTTRQQQQEETTCLRQWHTRYGIARDIATGLHYVHHEHEPMVLHRDIKASNIMLDSTFRARLGDFGIACTVAVNRSSVTGVAGTFGYIGPDYAMRHKATRQTDIYAFGVLILEVVTGKKNGDVGPDDDHITDWVWRLHREGMLLEAAHGVLTAADRQLDDVVDEARRLLLLGLACTNPNPSDRPSMVEVVQVLTKLAPAPVVPLYRPTFVWPPEDWRSRDSVYSTAGSNWDGSSASTVELGQISQEQPLSASGFGGYTFVHSTETSGSCDGTVAQDSFGADTKHTAASLIL >PVH34616 pep chromosome:PHallii_v3.1:8:44126009:44126461:-1 gene:PAHAL_8G259700 transcript:PVH34616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSMATLTGCAGPRDVPRHRSRHGTRAGSSCRDGPLSPTAHVGLLAAGGAERLAATGVEATEEGWSGGRGSGEAAREAGSAAGGAAKPCGRPERRPGERGGRAGGRISGRRSGEAVRKAGAAAGGAGRPRGRPDQRTASTCGRPSCGQ >PVH34208 pep chromosome:PHallii_v3.1:8:33129870:33130178:1 gene:PAHAL_8G172300 transcript:PVH34208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDPQEQNNQGNGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQHIHAQPPPPPPPQPRDRRADFLRGHPPTFSHATDPLQADDWLRSVER >PVH34415 pep chromosome:PHallii_v3.1:8:40058373:40065107:-1 gene:PAHAL_8G215200 transcript:PVH34415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAANPNPKHKPMPPPAAAAAAPRNPQAPSSRASSSSSSAADHNPNKRPHPGNAAAAAATATSGAAPAAPDPAPSLNGEAGRPPLLPAPHPQAHPPPRGASPLLPPPVPLPPSRPLLTVAAVEAVMNAIPPPPPYGLEDLDRRTVALSDGTVRTYFALPHEPPPQLRQPPPPIPAHLLAPPPPPPPLVPLRPPLERWAPPPMPALLPTAGLLPMPVPKRKWEDQANGGAPGQSSGRQQQQQKAEARAVKQVKVEETGVDPKALKSSFLKMVKLMNENEADKKNYRANGKLSQLKCPVCQRLDSVDLHALLNHAYHAKNAEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTAAAEANQGDLILWPPTVIIENTYKSKNDGQKDVMSNKELESKLREMGFAVVDVEPLPGKDGHRSMQAKFPASLDGLNKASQLVELFERQGHGRATWARIRSIAPTADGGNNPMLVKVDGKGERTWVLYGYLATAWDLDMLDPESKQSVTVKSRKELDLD >PAN43735 pep chromosome:PHallii_v3.1:8:43121240:43121875:1 gene:PAHAL_8G247300 transcript:PAN43735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSAVCKILLITVNIAAAVLFSTGEAQKKVCEHEQPCSFYLDCDLWCKGHSYPKGGNCFSSDHTKVLSRPEQGGAPPDLYGTCCCFV >PAN41286 pep chromosome:PHallii_v3.1:8:2369912:2371288:-1 gene:PAHAL_8G033000 transcript:PAN41286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMSYPCSPLLSFPIHEESSYSLWSPQLAHHENSPVDPCEFFDTTAIDSSDTHGQNAFDVDVFTHCPERLLCQESGNLATIQEELMEENSLSDLLLTGAEAVEAGDSSLASVVFSKLDELLPVTFENAAASSFDRLAYHFSQGLQSRMSGASSPCYPPEPEQSGTMSVHQMIQELSPFVKFTHFTANQAILDATTGDTDVHVIDFNLSEGIQWSSLMSDLARQGGKSFHLTAIIMDADHNNNTHHTAARCLAEFAESLNLPFQFSFLCIHNKEDLEDFSRNREGSVVFSCDTTNLCYKSWSKLQMLLLGCVKNLQPKLVVIIEEELVRIGKEVSLSHASFVEFFFEALHHFTMVFESLVSCFSTSNNRVCLKLVERDMVGPKIQDFVGQYRSVTLEVAAPKDLERFVPCGLSARNIAQARMLVGLFNRSFGITHEKGRLQLCWKSRPLISVSVWTPL >PAN43427 pep chromosome:PHallii_v3.1:8:42084379:42087031:1 gene:PAHAL_8G235200 transcript:PAN43427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALLAFRLLPLLALLPLLRPSALAAEDGADAAALLRLKQSFSDPTGRLEAWSASSPSTPCDAKSPWPGVQCYKGNLAGLRLTHMNLSGSFDFGAVAKLPGLHSINLKHNNFSGPLPASLGTVRSLRALYLSFNAFSGPLPADVFVNMRWLKKLYLDGNNITGPLPADAIAAAPRLIELHLDRNEIDGPVPFKLPASLKLFNVSHNRLTGSIPPDIAKRYDKSAFAGNAGLCGSPGSDSAVCVAAGPAPPPKMPPPTAADFMAVQEETSVFVVIGIILLVILLVSGAMVLMLRQDERNSAAPAYDYYAAGATGASAAGAAAGSSKPAAGSRSGEMVSVDVAGGGSSSHGGSGAGSRRMGEFVLLTEDIPAFGLPDLMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRVGRDEFEQHVHMLGELRHPNVLPPVGYHYRKEEKLIVSEYMARGSLLYMLHGDQSPNRVVLDWPARVRIAVGVARGLAFLHEKLGIPTGRLVSMDGADFDAPPPPPPHGNLKSGNILLDADLEPRLVDYGFFPLVNSAQAPQAMFAFRSPEGTTRAVVSARSDVYCLGVVLLELVTGRFPSQYLLTARGGTDVVHWAAGAVAEGGERDLVDPAIAAGGGDAAVRLLRVGVHCAKPEPECRPSVAEAARMMEDIAAAGAS >PVH34562 pep chromosome:PHallii_v3.1:8:43288266:43288958:-1 gene:PAHAL_8G249000 transcript:PVH34562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIYTHECLYADHHLIDSHSLDRSRSSITMCDEKKSGGGGKWRHPVSLVFRIAGMGLAVAAAAVMATASECTVYGDYYGVRRPRTVTYRDFPAFVYLVVAASIAAALEAVAIFLGVCRKGKARKAGAVLAPLLAAAAPALLYTSAGAAFAAGWDIYYYMEPSGRRLSVCASSVGARFCALVHVSMWLSLSAAVAVSLAEWAAAARGCGGGSDSDSDSDDSVCGHGCHSKH >PAN41357 pep chromosome:PHallii_v3.1:8:2704745:2706399:1 gene:PAHAL_8G037100 transcript:PAN41357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSTSGGRRRRPSPRWSDLPPEIGGLVLCRLLSHVDRLSFGAVCRRWRLAARRHRHRLPPALPWLSWSTPPSRADCPSPARGKLLPRGLMEPGALPAPVLTACFCSLDGWLMCEYYGAYTTARALVKASSGAATKLPPPSHRRLDGGADPHGGTTAAVVSTTSLKRKMTMAPPSSPEGWGYSRGHGPWYEDVAFHRGKLYALTAGEELFALEVAGGEIAGESRDERVISTGPPSPPTPAADPRERPTNMRYLVASSCGGKLLMVKWSVPRRVSESTAAKITRRRIKMRVFEADLAAGRWLEVRSLGADEALFVSRGCSRALRLTGGDRRFQGNRVYFLGIDLAACCKEALWPGCGRRGLLELPSYGFYDLGSRRSSPVFLDGFNSVVQLSSAMLSSEWCFPCPQE >PAN41596 pep chromosome:PHallii_v3.1:8:4337399:4338469:1 gene:PAHAL_8G055500 transcript:PAN41596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPPPPPPNCAWGWPCHAPSVGWAPLPREEEAVVCDPLPDLEAPPTSPREEEAVVCDPLPDLEAPPPPPAIPGATDERVVDCSAEPAGSFTVINFLRGVLVTLWVGMIYAMVHYAWSNYHEKGWGIMVVLEFAIAVGVTPYFDIAVEALDDAESSQ >PAN41099 pep chromosome:PHallii_v3.1:8:977366:979248:1 gene:PAHAL_8G014000 transcript:PAN41099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATSRLPNLPAGFRFHPTDEELIVHYLMNQASSLPCPVPIIAEVNIYQCNPWDLPAKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILLTPTSENIGVKKALVFYSGKPPKGVKTDWIMHEYRLTGANKTTKRRGSSMRLDDWVLCRIHKKSNNFQFSDQDQEGSTVEEESLNNNMNGTIAASPKSEANDDHDHQFHQTTMTMSKSYSITDLLNSIDYSALSQLLDAPAEPDEPPLIYPTTTQTHHAPLNYNNNVNRNSHFNLPQVDACSSDYVAPNNCNGLKRKRVMTMDGAESSFDDGSSNSSRKLKLPSDSRSGGHSHLGSTTSSYCNQQLVDTSGFQYSSLLSYPFLEMQ >PAN42582 pep chromosome:PHallii_v3.1:8:31758116:31762884:-1 gene:PAHAL_8G168300 transcript:PAN42582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulation of shoot gravitropism and tiller angle, Regulation of polar auxin transpor [Source: Projected from Oryza sativa (Os11g0490600)] MKLLGWMHRKLRQNSNDVFKDFNNGGGGTCNCITGLASPDPVTFLSSANEYFADDAFTTNHPSPPSADLFTFGGSGLLTIGTLGIAAVAVPTDADDDEYDVDVVDAESDSDDKDDNVDEEDDVDGGAVTPTFTYPPPPPTEAAVVVEKAVAAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGRNSGTASDLVASARVSFAMGVDCPLQGFLFGSPVSDAESRLEQPRDSNGGRRTSLGELFMRTRFADEKVALVAVEEGEDCGDGAAGGERVDGKAGKEGGGGHKIMKKRRVKDGKVAGGEGAPASAAVTKSKFQKILQIFHRKVYPESTALARSPTKKSRKRGSSGGADDPVPLSPKLRCRKEQRTPGFGCCANRASFGGAASPIDDDELNGSKSGHWIRTDAEYLVLEL >PVH34195 pep chromosome:PHallii_v3.1:8:31759443:31762885:-1 gene:PAHAL_8G168300 transcript:PVH34195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulation of shoot gravitropism and tiller angle, Regulation of polar auxin transpor [Source: Projected from Oryza sativa (Os11g0490600)] MKLLGWMHRKLRQNSNDVFKDFNNGGGGTCNCITGLASPDPVTFLSSANEYFADDAFTTNHPSPPSADLFTFGGSGLLTIGTLGIAAVAVPTDADDDEYDVDVVDAESDSDDKDDNVDEEDDVDGGAVTPTFTYPPPPPTEAAVVVEKAVAAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGRNSGTASDLVASARVSFAMGVDCPLQGFLFGSPVSDAESRLEQPRDSNGGRRTSLGELFMRTRFADEKVALVAVEEGEDCGDGAAGGERVDGKAGKEGGGGHKIMKKRRVKDGKVAGGEGAPASAAVTKSKFQKIWHTS >PVH34285 pep chromosome:PHallii_v3.1:8:36540677:36543219:1 gene:PAHAL_8G186800 transcript:PVH34285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFCCIREFYDRSAGANRTRIGCKAMTRMYRFGEQHQWMVNAFVSAHNYGLKRDFSHTSNFRLHNHIDDGTKSILAEMVDKGVPRSNMYGLVAGLHVNRLVYDLRKDECSGDVQKTLDFSREQQLQNRNFFYTVQVDSASKIKNIFWVHASSRLSFEHFGDVVTFDTTYHTNRYNMPFGIFVGVNNHYQLVMFGCALLREETVESFKWLFKTFTKAMQGKEPVPIFTAISEVWPRAKHRVCKWHVLKKAKENIGNIYSRKRSTFKDEFHEAINLPNTPDEVFFAKMSTTQCSESMNHVLKKYVKPSSSINGFAKRYKNFFYDRIQAENTEEFHFCSYYFDININHQGKVSTTTSSPIESHAASVHTRGAFIKFKEQFCASFSFKVERTSSDNELCVVYNGNKTKKLWETDAYDLDANFAEEKFYSVLVHYGFKEIPKKYVLKRWTKTARDEIPDHLEGFLKDKEAAESRGYRHALLHTRALEFVRIGDTSIDTCQMAVEGLLKLIENLKLRCTESDSSMKDRWKCDRILWKMPDTVEMDDTDKSDGSISEPEEVGEDNGEFCEGTEEYDYMLETEIQPSEMRRWRGRPKSSRYVSNAESASVQISKKKKKTAYGSSPEDSGRRMQIRYCSKCGQTGHNWTTCGRESTYKRKD >PVH33561 pep chromosome:PHallii_v3.1:8:1712808:1714397:1 gene:PAHAL_8G023200 transcript:PVH33561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGDDAAPAKAAMEVSSSSTSTSTAPAPSPAPPPSVLRSVLLSYAYVGIWISLSFSVIVYNKYILDPKMYGWPFPISLTMIHMAFCATLAAALVRVLRVVDVPASPPMTPRLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVTLQLAAVAAEATRLVLIQILLTSRGMSLNPITSLYYIAPCCLVFLAVPWCAVELPRLRAAAASAAGLARPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAKEAERKAAATAVPKPEDAEAATRLLPEKDGGDGDRKN >PVH33749 pep chromosome:PHallii_v3.1:8:4589721:4593107:-1 gene:PAHAL_8G058200 transcript:PVH33749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETELPTTGQTPDTITEQTQEISQDETTDQRAHNKSQNGTNQQQDQETKGKHEPTPTSDRLMLELRKYILLLATLVATVTYAAAFSPPGDVWQETAAGHLAGEPIIRDTHRHRYLVFFYCNATAFAASLVVIVIILILASLDDVEGSTSAIVRKYKDNRWVAVRPLQVAMVLDLLSLMGAYAAGTCRDTFTTIYSSVLVGVVIAYLLIQMGVACFTDSGGSGSVPARKVDERPPEETIASLPGKNSDSGSGGVPKVEEEKEKEKEKEEEGFRKRKVLMLLATFAVSITYVAGLSTPGGFWDSTDDGHRPGDAILKDLHNTRLAAFFGFNTMSFVASLLIIVVLLNRKLRETHANIFIVVALVSLIGAYTAGSCRQTDTTVYVVSLVGAVLVCISFLLLVVWAIDFRRKQKSAAQPEMTNHHGGDNRNGREDETNHPAVAKHEPTEKEKATDQARSLVLLLATLAATITYQAGLNPPGGVWQDNMDGNKAGDPILLTTNARRYRAFYYCNSVSFVASLVATILAEKKLLLKHHVLEAVMILDLFGLIGAYAAGSCRDVSTSIYAMALAGAVLVYVVIHVIFITLDHTGRSKEDDDELVEKTRKRLLLFAILAATITYQAGLTPPGGFLLQDDQSGHHAGDPILLYNFPRRYKSFFYCNSVSFMLSIALVLLLVNPNLYRPAIRSHALSVCTAAGLFGLLGAYAAGSTQHLKTSIYTFVLVAVVICFIGLLFLVFLFAGSSTQAAVPTIGNNNTTQEQAMEVSTEQEEATKKKKEKKRIHAMRKYLMLLGILVASVTYQAGLEPPGRAWQSGGDGHEAGNPVMHDNRRPRYLAFFYSNSTSFMASIVVILLLLVPKKIFENQTELRRWLVVMNTAIVLDLLGLLGAYAAGSSRGWKTSVYVFVLVIAVAAYMAIHLFLSWISKRSNPITQEDNQKQHDAQV >PVH34014 pep chromosome:PHallii_v3.1:8:16971089:16971400:1 gene:PAHAL_8G119400 transcript:PVH34014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWNGLSSFYIIPYIILVLKSNRLHLYHFLSLFGDVGTIMCLGPSCLVLPILKYTFLFIVCTFISTIRDMIGHATRTQCSSLMKMGEDQFCGKVTLYTGRLLT >PVH33946 pep chromosome:PHallii_v3.1:8:14892618:14893022:-1 gene:PAHAL_8G108400 transcript:PVH33946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFQVPHAGLPDLRPNGWRKKPHRCGNEVFLEDPAKVAESLSDSQGIGIPMLKSCGSHSPQLDVGVAGASGNGKNHPTAKRAEVFVLSAVVPWALSNTEALQGVDQKAGETRLFLENALGPTILGHLKMKVE >PAN41960 pep chromosome:PHallii_v3.1:8:15983895:15988348:1 gene:PAHAL_8G113400 transcript:PAN41960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIASCAIVGEVVGRIFSGIIDHNKDRSDEGDNSSLERLEMACIKLDATIETSEKWQITDVALLRWRKKLKRVALECDDSLRRCKQRSLEDKETREKLRQSYPRRVAHTTKSFISSVVGHKNEGEPISKAAVQRFERLAHGADEFLRFVELSGTPRRYMFFDPLVQHLFAGKYVQYQMLQQHQGSMRNCFSIRPMSFEERGLEAMLAFIHEDGEAPKKNFRLGLMLRLSESTDVIGITVKCLQLLMPHFNSITEVVIGELTQLPTQDFNWLPMYDEPGNMEHWKHHDTLTRWFRPDPLCCKQYELQDMAAACSRSSSTSSLRLSSIFPEQVIGVFLQRNILPSEYGKLQASKMMTCYSTSSSQGDVSPLKLGVLFKPHHPSEDIKFAPEAESSAIEAIDGGKQQLLHVNVHPNELDKLLLPKAVDYLHHNAESAIYQISWKSAHGSVHLCVEEPSKEMTGTSRPVTLQDWKNKRNGFMALKGERGTTEVVESGMVAQRVVSLLLTLALVRATLTAG >PVH34119 pep chromosome:PHallii_v3.1:8:26119643:26120069:1 gene:PAHAL_8G148600 transcript:PVH34119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLNKLFLYKAMVGKGSPRFNMSSSPKLRRYMSTTSASKKKPSPKCSGAKKSGGSPRVKQRAYWNPALERSLVDILHECKDSGYRGDNEWNSEGWNKMVKELHLRNK >PVH34494 pep chromosome:PHallii_v3.1:8:41828336:41829141:1 gene:PAHAL_8G231700 transcript:PVH34494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILALLALLALSVSMATAVFIPQCSLASTAATIPRYIPPVTAVGFENPIVQSYRLQQALVSSIIPSALWQQQSSAHLTVQSIMAQQQLQQLSPAFNLLATANSATYLQQQQLFQALNEQAVANTVAYSQQQMSPFNQLAVANPAAILQQQLLTLNPLGPRLDRKFV >PVH34593 pep chromosome:PHallii_v3.1:8:43838737:43840596:1 gene:PAHAL_8G255700 transcript:PVH34593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAVAGGGLAAEVLTARFARQVLSGRWFTLFACLLILSASGATYAFGIYSRALRASLGYDQRAVATLAFFKDLGSNVGVLAGLLSEVAPPRAVLAVGATMNLAGYLMVYLSLAGRVARPPVWLMCAYVCAGANSQAFAGTGALVTCVRNFPGSRGAVLGLLKGYVGLSSAILAQLHLALDGEGDATSLVLLIAWLPAAVSVAFLGTVRVVPPPRRRTRQQSAASHAGGGGGGGVFFCLLYVSIALAAYILVMIVVQRQASFSRAAYAASAAGLLILLFLPLAVVVRQEYRIKKELEESLRAAPTTVTVVEKTAASLPMTEVATPASTIDTPPPPSSCGLTSFLRHTFNPPAHGEDYSIPQALVSVDMLILFVAITCGAGGTLTAIDNMGQIGQSLGYPPKSVDAFVSLISVWNYAGRVAAGYASEALLSRYRFPRPLALTAVLLASCAGHLLITLGVPRALYAASVLTGFCFGAQWPLLYAIISELFGLRRYPTLYNLGAVASPVGAYLLNVRVAGRLYDAEAARQHGGALPPAGGDRTCLGVECFRRSFLIITAATAAGALVSLVLVWRTREFYRGDIYARFRDAAVAEESPGGGASVAEQRPAHGGAGGSGVNGDG >PAN42130 pep chromosome:PHallii_v3.1:8:17377381:17379288:-1 gene:PAHAL_8G122800 transcript:PAN42130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVASLNALTLRASSPSPAAPSPRGGSGAALAFPTPPRLWSLRAARRVRARAVAASGDPEDEWGPEPEGGSAVTGPAVAEAPAPEASEVAELKARLKEALDSTERGLLASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSRRLPELVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEIRSPKRVQIKFDEGVIGTPQLTDSIVLPEKFELFGQSIDLSPLKGIFSSIENAASSVAKTISGQPPLKIPLQTNHAESWLLTTYLDEELRISRGDGSSIFVLLKEGSTLLN >PVH34558 pep chromosome:PHallii_v3.1:8:43175992:43176312:1 gene:PAHAL_8G247800 transcript:PVH34558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVGGKQQLQPSYESAKQSKDCHLLTPSHRISFYSLQLMSLLRCVLAAGTTAMGEQSHGRSRFGTAAIGLGFSWRRAEFHCGSTAGRRPSIRRTPLQQSTIGG >PAN41592 pep chromosome:PHallii_v3.1:8:4349148:4352725:-1 gene:PAHAL_8G055800 transcript:PAN41592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWERRPGTGCVTRKTGKESSGRGRLVSGARFELSQCLYVVAVPPGSHSYLFYSRSGELRGPSPNTFPTPPQLWRRRPGPARGDRRLARHQHGLLRSSDARSGGQRRAWRSGGGRGVVGEAELRLLLLAAPQRPPCRSLPPPSACSSLSKLFQHIDDGFVALDRMPLQEDDRGMPLQEDDRGRLIVARFRWLQEIVGDQIHAASAAVLEKIPQDSEVVFAVLDVSLDLNLNEGLREQDEHTGFCSCGTFEGGDCPILCSIWRIAPDQMLHLLSFLLLPGARCASQCWEDYCRSASISSLLVLWIHGLLRLRIQ >PVH33976 pep chromosome:PHallii_v3.1:8:15687484:15688694:-1 gene:PAHAL_8G111900 transcript:PVH33976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFRRTTPTRTRKSHRRTNQRLRRQPLLRRKRRRELAMCALVRITLLQSARTAKATTPPTWLLASLEEHRDRRDFLLADGERIACACSWCWYGKSEVYFGEDRAAEERAACPHHQEESSQRLSTV >PAN41250 pep chromosome:PHallii_v3.1:8:2152601:2153670:1 gene:PAHAL_8G030500 transcript:PAN41250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHMRVTHRDEEGRKVVENVPVPETRRPDTARHLERKLEEQGLHRLERHPANAPRGVGIGAPPPKSGRGGKYTWDGPGGLVEDELDPAPPAIDPDDPNYEEDEDGAAREAVVGEVEVAKVAGERGGVARVDVAPPLLQEQQQQASS >PAN43732 pep chromosome:PHallii_v3.1:8:44226063:44231287:1 gene:PAHAL_8G260800 transcript:PAN43732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDHTAAGGDRVEDVVRAEGDALPCDDGLSKSKDRDKDREKDKDRDRRRDRDRDRGRDRDRDRDKDRDRDRDKDRDRQSRHHREKREQRDRPDDHRGRDSERRRDRDRDGHRRHRSRSRSRSRGRDGRSRSRSKRVSGFDAPPPQAMGSTFPVIPTPSQLPGSSLPGIGGMFPNMLPFGVAGQFNPLVIQPQAMTQQATRHARRVYVGGLPPSANEQTVAVYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTAGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGASQPKPEQESVLLQAQQQVQIQKLVYQVGAFPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGNLVKVVIPRPDPSGQPVAGVGKVFLEYADIDGAAKAKTALHGRKFGGNPVVAVCYAEDKFANGEYDG >PVH34258 pep chromosome:PHallii_v3.1:8:35078011:35078232:1 gene:PAHAL_8G180800 transcript:PVH34258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNTEGGFSMRDIKTYLSVAPVLSTLWFGALAGLLIEINRLFPDALSFPFFHSSYSYARDRILRDMTKIFPF >PVH34553 pep chromosome:PHallii_v3.1:8:43084713:43088084:1 gene:PAHAL_8G246800 transcript:PVH34553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPAVEAAAARGGGDHDGGRRKAAAWEKTYLDVLGICCPAEVALVERLLSPIDGVRAVTVVVPSRTVIVEHDAAAVSQLHIVKVLNKAGLEASIRAYGSGGGGGARRWPSPFIVACGALLAASIFAPLLPALRWLALAAACVGSQPMLLRAFSAAGNLTMDINIVMLIAVAGAVALGNYTEAGAIVFLFTVAEWLETLACTKASAGMLSLMSAVPKHVVLAETGQVVGMRDVGVGTIVAVKAGEVVPVDGVVVGGQSEVDESSLTGESFPVPKQPQSEVWAGTMNLDGYIAVRTTALAENSTVAKMERLVEEAQNSRSKTQRLIDSCAKYYTPAVVVVAAGVVLVPLLLGAHDLKQWFQLSLVLLVSACPCALVLSTPVATFCALLRAARMGLLIKGGNILESLGEVRIAAFDKTGTITRGEFSISAFHVVGNKVEMDQLLYWVSSMERKSSHPMAAALVEYSQTKSIRPEPENVTEFHIYHGEGIYGVISGKHIYIGNKKIMARSSCQEPVQEMDDHKGVSIGHVICDGDLVGLFSLSDDCRTGAAKAIKELRSMGIKSVMLTGDSAAAAKHAQEQLGGVLEELHSELLPEDKVRLIAKLKATAGATLMVGDGMNDAPALGMADVGLSMGLSGSAAAVETSHATLMSSDILRVPRAVRLGRRTRRTIAANVAFSIGAKAAVLVLAVAWRPVLWVAVLADVGTCLLVVLHSMLLLRDAAAARRACCGGAPSKAHACCGASKSMACCSTARSSHLAGAPNAKGPGDNDCHCCQKQCKPSEHSVVITIPAQAVAEHRKEADAHSMAGEGGGCCGGGASSAAAQGNGEDEVCIIISARTSCSSKARSRCSSPKDAVCCSGGKDSISSPLVELSPCWDLGRDTSQ >PAN41895 pep chromosome:PHallii_v3.1:8:7046512:7047872:1 gene:PAHAL_8G078000 transcript:PAN41895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGQQGDVPGGYFVGRPTNHALERTEEPAAGSGGGSGEHTPGGYFVGRPENHRKQEQEPAQKPATKQSTPGFLAKCWPCLPGGGAAD >PVH34282 pep chromosome:PHallii_v3.1:8:36437488:36437738:1 gene:PAHAL_8G186000 transcript:PVH34282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELITNSLKNHILISKVWTVLKSLNNRGAKNVVDLYCDTLKCCKDMQRCGKDEQISRTVARKTSTR >PAN43283 pep chromosome:PHallii_v3.1:8:41244806:41249121:1 gene:PAHAL_8G225900 transcript:PAN43283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKAPVDDQQWLTYWVLYSLITLFELTFASIIQWLPFWPSLKLIFICWLVLPYFNGAAYVYQNYVRPAFIKNQMVNIWYVPQKKGLFGKSDDFLTALDKFVEENGTEALKKLANKAGKSFKQSGKSSKDSKESKPAKDSKESKSSKDSKEPKASKDAKQPKPSKDLKEQKPSKDLKSPKDTKEQKKAAPKDPKKASLKDSKELKKALKDSKEQEALKDPKEYTPKKRVTFAEVEPEKELKASNSEWHPSSDFHSTYPEQNSWTSSFMIFEDENTYWNQGPLV >PAN41100 pep chromosome:PHallii_v3.1:8:982391:984326:1 gene:PAHAL_8G014100 transcript:PAN41100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERCTVKSEQGGDLFLPPGFRFHPTDEEVITSYLLHKFLNPSFDPRAIGEVDLNKCEPWDLPSKAKMGEKEWYFFCHKDMKYPTGMRTNRATKEGYWKATGKDREIFKPAAAAAGGAGRELVGMKKTLVFYMGRAPRGSKTNWVMHEFRLEGKSRNSNSNLRFNPKDEWVVCKVHHKNGETSINKPAEEYSVATPNVSSVVSDGTGEGDEFLESMINPMCFNSASSLPGTTTTINAAPPHNADYSVSSTAAGATTTTTTSSFVDLPNYGFNDATASYNLHQVAVANSAASANSSSSYSSLWNMLLHADHNQAIMGSYNLHHQALAAKALGGNFAGGLPSSSSVTGILQHNYSQGVPQQKLGNSYGDSSTAAIGPAATKNLGAPPAMRY >PAN41840 pep chromosome:PHallii_v3.1:8:6474921:6480359:1 gene:PAHAL_8G073100 transcript:PAN41840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGNEWINGYLEAILDAGVKLRGEQGGALALTTPRLLQAPALAEGAAYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRSSQERNNRLENLCWRIWHVARKKKQVEWEYSRQVARRRLEQELGSREAAEELSDSEKDRPDGAPALQPPSVAAAADGEQQQQSRLARINSETRIVSEDEDEVAKDDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATAGVHRVDLLTRQISCPDVDWTYGEPVEMITRPSDADDAGGDGGAYIVRLPCGPRDRYLPKESLWPHIPEFVDRALAHVTNVARALGDQLSDGGAAAPVWPYVIHGHYADAAEVAAHLASALNVPMVMTGHSLGRNKLEQLLKLGRMPPAEIQGTYRIARRIEAEETGLDAAEVVVTSTKQEIEEQWGLYDGFDVMVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDLADGDGDLQALMSPGKAKKPMPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQNDVPHIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATQNGGPVDILKALHNGLLVDPHDAAAITDALLSLLADKARWLECRRDGLRNIHRFSWPHHCRLYLSHVAANCDHPAPHQLLRVPASPRAASADGSLSDSLRGLSISIDASHDLKTGAGESAAAIMDALRRRHAAADRPASAAARAAGFAPGLRQSLIILAVDCYGGDGKLDAERLKKAVDMALAAGAAAGGRLGCVLSTGMTIAEAADALGACGADPAAFDALICSSGAELCYPWKELAADEEYAGHVSFRWPGAHVRSAVPRLGKADGAQEADLAADDTACSAHCHAYAAAGASKVKKVDSIRQSLRMRGFRCNLVYTRACTRLNVIPLSASRPRALRYLSIQWGIDLSKVAVLVGDKGDTDRERLLPGLHKTLVLPGLVSHGSEELLRDEDGFLTEDVVAMDSPNIVTLAEDQAAADILKAI >PVH33886 pep chromosome:PHallii_v3.1:8:8662229:8666099:1 gene:PAHAL_8G084300 transcript:PVH33886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPRAAGPPPPSGPRASLPPLRRPAPEPPQRRACTAPHPSGPPLPAAPPPSGPALPTSPHLHSRRLALPPPRPATLSAQAATHLRKAGAGRLQQLHAASCCNNSAPAAGTSGGSASGSSWHGSSILRSVCLMLCLQLLLLRPPPDSDMGEGGEEKSFNFLQVLLEGSIAGGTAGVVVEIALYPKPGFRLLEVEAELNGKASILD >PVH34510 pep chromosome:PHallii_v3.1:8:42113764:42117634:-1 gene:PAHAL_8G235400 transcript:PVH34510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRCALPDNDVGYTIITTTRNYDVAEQAGGAYKLKPLSLNNSRKLLYRRIFGTENKYDNEGREKYPDEELAEVSDKILKKCAGVPLAVITMASLLACKARNKMDWYEVYNSIGTGLENNLNVKNMRKILSLSYYELPCHLRTCLLYLSIFPEDFGIDKDRLIRMWIAEGFIQSEKQGKSVFELGESYFNVLINRSMIQPIHNSSTGMPHSCRVHDMVLDLIRSLSSEENFVAVLSDMDSTSPTSTIRRLSLQNGKNSHVVAHATTRSLLQHARSVVIFPSAAAQAPALGSCRVLRVLDLCECDLSQANSLKYLGNLYQLRYLGLYCTRISQLPEEIGNLQFLQTLDVRFNTISWLPSGVVQLTNLMFLYIDRWTRVPNGIGNLTCLEKLSVLRIDGSTINIVQELGQLAALRRLQIELEEWNDKLLEGLRMLQKIQELYISVRLERSIGVLDTWIAPRHIRDLDTASSCWFSTLPAWVNPSLVRDLTCLGIAVRELHQVDLEILGRLPALRALWLEVDNKNLGIVQGFVVGAGSFPCLVFCSFLQFVWPVVFQQGAMPRLRALHLWSLFYVREGGGIASELALGNLPSLQEFFASVECEGASKEEAEQAKAALTHAAEMHPNHPRHEIVFELSAN >PAN43249 pep chromosome:PHallii_v3.1:8:41045441:41048183:-1 gene:PAHAL_8G222900 transcript:PAN43249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAELPRAAAALPRSAKKGPTRGQGSSLARPAWAVAEFGRRGRCGDEKGPAITGCSDAPGCVAFGQQAMARFFLCLAAAACCLVLLVPPVHGRLGIGRAGGLGAANRHTAAEEQQRGTAVKAASSAWSTSWTAAAASGRVRPELRSVPGGPDPLHHHGSPWRPELEPTTP >PAN43566 pep chromosome:PHallii_v3.1:8:42982763:42986106:1 gene:PAHAL_8G245600 transcript:PAN43566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQLSMAASILCMAIVAMAAVGEAAVVEHTFVVHEMNMTHLCNTTKVYVVNGQLPGPQLDVTDGDTVIVHLVNQLPHGVTIHWHGIRQLRNCWADGAGYVTECPVAPGGNRTYRFDVSGQVGTLWWHAHVTCLRASIHGAIVVRPKDGRYPFPTPARDVPIVIGEWWTLDLVELDRRNLDGNFEDDPLSATINGKLGDLSNCSGVPEESFVLDVERGKSYLLRFINAALFSEYFFKVAGHTFTVVGADANYLTPFRTDMVTIAPGETMDVLMVADAPPGHYHMTAVANQPPPPDLQIRALSSRGLVRYAGVRGDNNGLPVPAPLMPGQHDTMPSYYFRGNFTGLAYPGRHRVPMHVDERLFITLGLGSICRDGKKDCKLRRSNESITVATMNNVSFHHQTAASLLERYYDGNGEGVYTEDFPDNPPRPYNYTDMDLVPTGPLEEVLEPAPKAIRLRRFKYNTSVEIVFQSTALLQSDPNPMHLHGFDFFVLAQGLGNFDPKKDVKKFNYYNPQLRNTVHVPRVGWVAIRFVTDNPGMWYLHCHFEFHIVMGMATAFIVEDGPTPETSLPPPPADFQRCGTNGFSKP >PAN42499 pep chromosome:PHallii_v3.1:8:28936758:28946032:-1 gene:PAHAL_8G157000 transcript:PAN42499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVSAPVLPAEVEMGLIRDITVAAEAQAKEGDTFFLITTRWWQSWIDYVIQDLTSVTSNGSHHHEFGSKTPRRPGAIDNTDLIDDAALEVSNMGIEIHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGFSQTDLAIEVYPLRLQLLLMSRGERTFIRISKKDTVGQLHKKACEAFDLIPDEVCIWDYYGRTKHTLMDNLEKTLDDANIQMDQDILVEVTTDANGGADGGCMSSVKGNDFFERESTSLIADAPRSGLPNENFAANNYASRSYNTSLTPNLYPRSPNGDLDNVHGSSGMITRGSPLGLTGLLNLGNTCYMNSAIQCLVHTPQFTRYFCEDYHREINRQNPLGNVGELALAFGELLRKLWAPGGRGPVSPRPFKMKLSRFASQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYINSGDADGRSDEEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQFASTRSMTAVIFSCDGSVAPTQFTVNVPKQGRCRDLLQALGNACSLKNGEKLLIAEIRNHKIYRFLDDPVLQLSTVSDDDRLAVYRLPKLEKRANYIQFVHRREDLDHANNNSLTSWKPYGVPLLAQIPRNETVTGFDIHELVHKMLVPMLRNQDSPQLAAQNSLSTRMHSYNTDSSKFQLQLIDDSNTVIEKSNDSIRVPQSSLATVFFINWSKADIKKINTDHLEYLPEVFMYAPPAKRTRGEALSLYACLDAFLKEEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDLDLTNYIANKKSSERQMYELYAVSNHYGSMASGHYTAHIKLVDENRWYNFDDSHVAAIDEDEVKTAAAYVLFYRRVREQDGATSNGSQLYAKRSHRSSHR >PVH34307 pep chromosome:PHallii_v3.1:8:37150388:37153391:-1 gene:PAHAL_8G190500 transcript:PVH34307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILESLLGSCAKKLQDVISEEAILILGVKEELTELQRRMEQIRHFVNDAEQRSTKESAVNNWLNQLRDAMYDADDVIDLARSKGSKLLPDHSLSLSSKSSTCTGLSLSSCFSNIQTRHEVAVKIRSLNKRIDNISKDDVFSSLASRQSTEKVLAPKHIRSSNLVEPNLVGKEVIHACRKLVDLLLEHKDKRSYKIAIVGTGGVGKTTLAQKIYNDQKINGCFDKQAWVCVSKDYSDITILKEILRKFEVQYMQDESIDELQSRLKLAIQEKSFFLVLDDAWQSDIWENLLSTPLHAAATGIILLTSRLNTVAAEIGVDHTHRVDLMLVDVGWELLWKSMGINHEKEMQNLRDLRIDIVRRCGCLPLAIKVVARVLARKEQTENEWNKFSRKDAWSVSKLEIPSALYISYEELPLCLKPCFLYCAMFPEDVVIYRDHIIRMWVAEGFIDELGGQLLEDTAEEYYYELIYRNLLQQIDVAADLTQCTVHDLLRQLACHLSREECFVGDPGSIRVSVMSKFRRISAVTEKDIVVLPSMDKDQYKVRTWITSYEKSLRVDNTIFRRLPYIRVLDLTGSVIQSIPNCVGRLIHLQLLDLDRTDISCLPESIGSLENLQTLNLQRCHALHSLPLGITRLCNLRRLGLAQTPINQVPKGIAKLKLLNDLEGFSVGGGSDNSARTQDGWSLEELGPLFELRKLDMYKLERASPCITDLLLLDKKFLKQLNLCCTERTHEPYSERDIINIERVLEKLIPPQSIEDIGIADFFGRRFPTWLDTATHFPSLMYLKLVGIEEATTVTKIGPEFVGHGVGNPGSAEAVAFPKLETLYIEDMPNWEEWTFVVEEEEEATAAAAGKDGAAANQKGEAPPPRMQLLPRLEKLELECCPKLGALPRQLGQEATSLKELQLRDVDSIMVVENLPFLSETLLIAGCEGLERVSNIPEVRELRAQRLHQLFLYEGMQDVSSRWLPGLQEKHQQLHEEDMDVYTWW >PAN42937 pep chromosome:PHallii_v3.1:8:38369256:38374341:1 gene:PAHAL_8G200000 transcript:PAN42937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVSAGEAAEENGIGSSSVVETETQDIKTLQHDVRAKEKLSSMDDDEGSGGGTTRPPEEMKGSCGSWIVEMEELGFKADTPGDTATACCQQKPSIYRVPEWIQGFTNRSAYRPRLVSFGPFHHGAPDLVPMEEHKLQAVLHLVKRSGKPLAEFTASIEEVVEDLLGAYDKLDVKWRWKSRGGFVKMMVMDGCFLLEFMQSSCDYAPNNPIFCYHGLLTMCSDIRSDMVVMENQLPLLVLQRLVAVRDDRDPSAAQINSMVLGFLDSKYHETNAFESLGLYPLDLCLHPLDLLHRSFCGPPPFHVSGSGEWEATMPPAAELSEAGIHFKGSKTHFIHDIDFEDGVLSMPRFEAHDGTEKNLLNLLAFEKLHPGTGYAVLSYVFFMDNMISTERDVALLRSKELVENLLSSDKELAKLVNSLGNGAVLNPSSKLNDVQRMVKGHCKKPWNRWRASFVHKYLSSPWVFISLMAAIFLLAITVLQTVYSVLSFYTKS >PAN41253 pep chromosome:PHallii_v3.1:8:2163692:2164552:1 gene:PAHAL_8G030700 transcript:PAN41253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCGGPSSPAPAPALLLLLLICFFHCAAAAARPLPAAAVPLQVHQENGVRVAADDEPAVLQKGAAGSGDGLSLSVSGVTGAEEDPAAECEEGNDGCLQRRLLRDAHLDYIYTQHKGKP >PAN42326 pep chromosome:PHallii_v3.1:8:14173333:14178086:-1 gene:PAHAL_8G104800 transcript:PAN42326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRLEQLVFLLCCFAAITCRLRAQAQAQTTLQHPTGAVGRISSETGNRSESALSVRTRRIDPLDGLRKYEGGYNITDKHYWSSTIFTGRSGYVIAALWVIGGIIFLGTILVSKIFCTKRKERYTDFDYFLERYQILTVILCIILAVFVIVASAVTLRGTVQFHSRAESVKEIIGTTALEATATIYNITGAIEMMQNTSKLYNYTSQAWDHLNTTVDTLNSEAMEIQAKAEKNMRLVSRGINTLELVTILTVVLNLVAVLVLLVGSPLRLQNLCYLCMAFCWILIALFWMYFGLYYFFDKFASDTCMALDEYQLNPQNSTLGTIIPCSEKLSGTIILHDVGAGIHDIIDQVNSNIYTIKSEYPVKQLDYICNPFTGPPEYQYRPQNCPSGAVTIGDIPQILKRLTCSDFGGGANCRPADLSSAIDYDKVQSYTSSIQNVLDIFPGTERLVSCELVKAGFADIVGNQCAPLRRGARTAWAALAALSAAMVLLLLVLVLAGASGARRHPGDDRLSVRHLTSSTNSEISEAEFAEMHAKKVRIRVGP >PVH34074 pep chromosome:PHallii_v3.1:8:20264747:20265004:1 gene:PAHAL_8G132300 transcript:PVH34074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHFASLVLFPWSPVCRKAEAGEIGEGGDATRPGMQGRRSESTGARGHQDARRSPVPRCISFVSGMLVLPCVVMFMLMPAKAS >PAN42867 pep chromosome:PHallii_v3.1:8:37925489:37934445:1 gene:PAHAL_8G196300 transcript:PAN42867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARTPPPPAPHPHPLLLLRLRPPPARILPARLPPLRRLRHLALAATATAAAASVAPDDISQINYRLRAIVRRRDAAAAPAAPVDPAQAEAFLRTIRDQQRLGLRQLRGEEAEGDGDGDEEGGERARKGVAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFACISAEDGIDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKKKPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQSRPPYPKPVEMDEFAAEFPYEPTPDQCQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVVSAGYQAMVLAPTIILAKQHYDVMTERFANYPEIKLAIFSGAQSKEEKDGLITKIKNGDLHIIVGTHALLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSKERALSAIKFELQRGGQVFYVVPRIKAIDDVLQFLKDSLPDVPIAVAHGKKMSKNIQFAMEKFASGEMKILVCTHIIESGIDIANANTMIVQFAELFGLAQLYQLRGRVGRSGREGFTYLFYMDKSLLSRVATDRLGAIEEHSELGQGFHVAEKDMGIRGFGSLFGDQQSGDVANVGIDLFFDMLFDSLSKVDQFCLVPIPYKDVQLDINISPHLSSEYISYLENPVELLNEAARAAEKDLWTLIQFTEDLRRRYGKEPRDMELLLKKLYVRRMAADLGISRIYPSGKTIFMKTNMNKKVFRLMTEAMTSETHRNSLSFVGKEIKAELLVSLPDTLLLNWLFHCLADCYAVIPALVKY >PVH33884 pep chromosome:PHallii_v3.1:8:8536487:8536813:1 gene:PAHAL_8G084000 transcript:PVH33884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFYFSSFSICMRTTQLDFDGKPKGRYWLRILFFVAKQLPPELPHQVLHSHHCFCPCKFISRAHILGPPPNGTNTATYLSNSTRRVLVLGGNTRQVPVPRPVQTERY >PVH34173 pep chromosome:PHallii_v3.1:8:30265216:30268106:1 gene:PAHAL_8G163400 transcript:PVH34173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDGARLDAMSGTVGRSGSSKGIYPQYAERDRSPKPTGRERSSPTRARVPCPSPPDATRLQFAGRSLAGGTKATCTPQKMDKKQQLLLYTAAAAAHMLLSMMAMVIQSRRRKRREPIGTDKSEGMSNGDDAIAESDGLNHGIGKSVINDDISSSSRPAKRAKTTDDTGRKTDCLVEAFYRGSQRLAKAIEKASNALPDGLFEAVDSLPGFELHHKTRYYHHLVRHPNDAHAFVNLPSDWKLSWFSSFVSENF >PVH33831 pep chromosome:PHallii_v3.1:8:6563339:6564073:1 gene:PAHAL_8G073800 transcript:PVH33831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREHIAGGTLRCDVMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYGHHLQRTPIGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKLTQELEEQRTRAASAEYSLAALQAQMQEYENRNRIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN41692 pep chromosome:PHallii_v3.1:8:5216481:5218152:-1 gene:PAHAL_8G063900 transcript:PAN41692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRDVDYSCGSCGYPLNLSSSNRSTSEVGSSYKKSLKKGLISFVSVDLSRFTQVDEISCFPLTWRGYRPKTKLLCRKCGASIGYGYGEPAVLCSFDPASSSSSTSQKYLIKIQALQPSDGTQ >PAN41606 pep chromosome:PHallii_v3.1:8:3690803:3691995:1 gene:PAHAL_8G048700 transcript:PAN41606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALLTFYCQFVSSGNPKFPTQLSSALQTFRSNSANSLEGLRISPNSNPRSTNCSGKSPSQLSHSTATPNNNKVHRPKNHSSTSGRRRRRRRRRLRLDVDGVLHDGLPGGGAGVDGVRLHVPARHPELAGAAHDAHLADVGQHLVPAHHRQAAALVLPGGEHEVHQHQEPERLLVRERVRALRAPDLRARGARGARGQRVVDGYHRAEEGGGGVGRRRLRDADRRRARPAHDVVEVELERREPGGVVRRGGRRRQRAQEEEEELAAAAGHGGVGVGARAASGGGRDEAAS >PAN41696 pep chromosome:PHallii_v3.1:8:5256903:5260815:-1 gene:PAHAL_8G064200 transcript:PAN41696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MAAAPSPSVPACGDLPAAVSAFADAFVDFAVSGIFFPTSSSSAAAAASAATSSPTPAPTTPTTFLPSPSRLVAIGDLHGDLPKSLSALRLAGLVPASSGPDPPSASTSWASTSWAAGPTLAVQLGDILDRGGDELRLLYLLRRLALSAEARGGALLPILGNHEVMNVSGDFRFATPQGLQEFSAWAGWYRAGLAIKRRCGEHLDPQPRNPFLGVPKSFPGVKPEFWDGMRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGEGGENARAPEYVRGRDAVVWLRRFSDGFDCDCQRLEGVLGMIPGAKRMVMGHTIQTVGINAVCGAQAVRVDVGLSKGCGNGLPEVLEINGGGSEVRVITTPPSEAWMYRKQEAEKAAAAVNEKRGEVKDGLALLVRESHGLKEVEAKA >PVH34015 pep chromosome:PHallii_v3.1:8:16972410:16972772:1 gene:PAHAL_8G119500 transcript:PVH34015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCMKGIWPHGKSWLPSSNRCQSKMNLFFPTCLIFSIRGQNRRAKISKGKRRITAISGTQVKNYQKEKEGSLRSLPALQNYLCLSKLPA >PVH34226 pep chromosome:PHallii_v3.1:8:34126853:34127879:1 gene:PAHAL_8G175800 transcript:PVH34226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHKWLLANTDCCALWVRQPALLVAGLGTEQEYILKDAAAEGHDIVDYKDWSVTLTRRFRALKLWLVLRCYGVEGLRDHVRAHVRMAAAFEEMVRADERFEVVVDRQFSLVCFRLRPPPEKLGGEKAANELNRSLLEEVNATSSGPYMSSAKVGGTYMLRCAVGSTLTEERHVTEAWRVVQDRAAELLGKMEITNSVVA >PAN41254 pep chromosome:PHallii_v3.1:8:2166683:2170062:1 gene:PAHAL_8G030800 transcript:PAN41254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTLNHLAATAAAAGGNGKPLSPAAPAAARSVRLPKARLPGPVSAATAAARADPAEDRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFEEIDGLESNGVSCDGRILVSDRAHLLFDLHQVVDGLREAELENSFIGTTKRGIGPCYSSKVTRNGLRVCDLRNMDTFGDKLDVLFKDAASRFQGFEYSKSMLKQEVERYKRFAERLEPFIADTVHVLNESIQHKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSDLPEIKVGISYNTTDGQKLQSFPGDLDTLEKVQVSYEVLPGWQSDISSVRSYNELPQAARCYVERIEELVGVPVHYIGVGPGRDALIYK >PAN41673 pep chromosome:PHallii_v3.1:8:4863507:4863911:-1 gene:PAHAL_8G061300 transcript:PAN41673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTNALCIPTMVAAAAAPRCRRSLIVARASPAKHDERQDPAVKVDAAGGRRRAMVLFSAAAITASTAAAVRSARAGVSTKTVPGQWVDIENVADPYVQDLGKWAVMEHNSQTGEDLQFGKVVGGKQQVVAA >PVH33727 pep chromosome:PHallii_v3.1:8:4297667:4299016:-1 gene:PAHAL_8G055000 transcript:PVH33727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGIRTDASFVADARTVAYARTSKGHHLRVSLGRAPPPASSFVYYDFPDTAPGVEEEDDDVDERDKDDGVDDDDECDEDDDDDECEMSNQRCPYGFEDEHFLYRAGAAAGSPSMSLLPDRDFPRQSEQVCEPYMSPPIRPIVHSGTTALLRRGDGDVLLVQLHCKYDRDARRDTAEFCLLRRGAPRWELKEPVPIVVHDDGGSKGGVEQLRGRCTNTIVPVGDRFLCWVKYESGFLLCDMADDALPKVRYTPLPAGVIWDPKNYGDDKDDRRRRPSTPRAWAPPAPARRGSAWSTDLAMDEPPAWVEDGEIACEELWALPGYEGLPRANLQCPVVCLDDPDAVCFLVSNAHFVSSYKERKTWMVQLNIKNKTLLSVAEFTADPWQAYSHSPAKLMC >PVH34013 pep chromosome:PHallii_v3.1:8:16968698:16969822:-1 gene:PAHAL_8G119300 transcript:PVH34013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFACRIHETTTHQNSLAIHAAAASYIYKHTLRPQHEHLLFRIDGIAREGGPAAPTMAAEAVRSQEASSAAVLAAHRTTGGNRKAAMTMMSESVSTGARVDGRVRGGGVPAVAARGSCKVEASARGPGEGGDGGSGGGAAFLVARRNAASRRLLPRFVSCVVV >PAN43007 pep chromosome:PHallii_v3.1:8:38849360:38852738:-1 gene:PAHAL_8G203700 transcript:PAN43007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVAILLTAVVAVAASLLAPAAAELTRVEHPPKSEGSLSILAVGDWGRRGQFNQTLVAEQMGLVGEKLDIDFVISTGDNIYDDGIANTSDPLFKECFTNIYTAQSLQKPWYIVLGNHDYTGNALAQQDPAIREVDSRYLAIAKSFIVNSEIADFFLVDTSPFYLKYWNSSKYDWRNVAPRDTYIETLLKDLDDALTQSEAPWKIVVGHHPISSGCEHGNTTELQQLLRPILEARGVDMYVNGHDHCLQHISSRNSPVQFMTSGGGSKAWAGKFKTTSDKIEFIYDGQGFMSMQLSKTEAHLVFYDVAGNVLHTYDSTKTEDDEH >PVH33771 pep chromosome:PHallii_v3.1:8:4935661:4936398:-1 gene:PAHAL_8G062100 transcript:PVH33771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPLEYAYREYISGGTLRCDIMIFVERSTRYPDVDPWFISTVGFHFPDTYRRAARKALRRLRVLYRHNLQRTPMGFFPPAERRGRTWIARIRGLGREEEDLEDTVSHLSIYLTGLDALYREQTAQLRKLIHGIEKLTQELEEQRTRAASAEYSLTALQAQMQEYENRNGIGGWIEEEEEEEEPMETHWDRGTQTEEMDQSLPIKKRPIRIKGESP >PAN42560 pep chromosome:PHallii_v3.1:8:32773916:32775975:1 gene:PAHAL_8G171600 transcript:PAN42560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGGGGVGSRMLPPKQLLTIIIVIFSTLSFIKLLLLTSSSSGASSPRSPAWDAGGGGNGTARSALAAKELALLRSLVTARAPCRLLVFGLSPQLLALARLNSGADAGSGAAAATAFVTDSAEDADAARRVLGGGGAARAGSVAVHRARYRDAAAEAWPLLRRARGSPACRRPTGTVRKSGCPLALTSLPREVLDARWDVVVVDGPSGAAAGEPGRMGTIYTAAALARTSAAAAGGDDMVDVAVHDVDRTVERWYAWEYLCEDNLVAAKGRLWHFRVGASSPPDAFCNTGPVQIL >PAN43172 pep chromosome:PHallii_v3.1:8:42027331:42028488:-1 gene:PAHAL_8G234100 transcript:PAN43172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTPRRSTTTTSSARSYSACRRTPPRSPARFLRRFRDHHRRAPLLGFFEQCLIPFGSEQGPSGNDDLVFHPILEPPDRVPPRCFSLGRALAGAGRAIELLACRHGRVAFLDTSRMSGFAVLVCDPVTGGHVRLPPVQPEFANVFVFNGTVLCADGGEQGHGHGACHSSPFKVVAVSRSTNDCCPMACVYSSETGMWGDIICHDRPCRSVDFIEPGTLIAHALYCKISTGSQEEEDVMAEPDGLLEFDLDRHSSTVIKRPPINHTDYRRIIKTVHGGVGLAALSCRTSQLQVWHRNANCHNVATWVLFKTVDLHDIFGLQEHERWPRERRHDVMGYDEDDGVIFICVDSSLFMLQLDSMQFTRHYERMETRYLNTYHPFRSFYFY >PVH34629 pep chromosome:PHallii_v3.1:8:44335310:44336257:1 gene:PAHAL_8G262100 transcript:PVH34629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDHVLLDKSTCGVSFVTVKVPEFILVDIYIRTLSYEPYHRSISSRQGEPYHTIYLYSCINESWRLYGEG >PAN43206 pep chromosome:PHallii_v3.1:8:40542600:40543085:-1 gene:PAHAL_8G220000 transcript:PAN43206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGIRYYSRAPASAVLLAVLLALASWTLPSASAQGASGVTATYIAYNAPSVNWELGAVSASCAARDEDKPPEWRSRYWWAAFCGPAGPRGDAACGRCVRVANAATGAQATVRVVDDCGRANGGAALGMDTPVFYQIDTDGSGMANGQLEVNYQFVDCQD >PAN42321 pep chromosome:PHallii_v3.1:8:14093978:14103700:1 gene:PAHAL_8G104100 transcript:PAN42321 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MASPPPAEPAPAPSAGLESMEGLVLDTVISKAGARPAAALACASTRLRAAVTDDSLWRRFCAEDLGLDAPIDPDGRPLPSFQVAYKVWLESFGMYPLPLVKRVKEFWSSMKTWLSENFPEAAKTLCKGVTEAQLKSAEDDLGFKLPMPTKLLYRFCNAQLPFGENHEANKRISTHGIIGGYAFYDHWVNVHLSPLEQIVEETTEFYREFPDVFSGHKLIIVATSWFHPKTFLLNCSNGELYVGTNNLLLGEMLPCVPKALIKPTDNELPQDGLLLWLEEHLRRLQNGMIKTRLLMKSRYISLYPEAPPSCTSAVTNGVKVRGSAVFVPEHPGDPPRSCMYTYSIRLSVPEACMLGGVYYSSCQLNSRHWIIRSRDRVDSDVRGEGVIGQYPVLSPGQDEFVYESCTSLPKGPGSVEGSFSFVPGKLSRPEGKPFEVMVAPFPLEVPEYIF >PAN42977 pep chromosome:PHallii_v3.1:8:38742029:38747369:1 gene:PAHAL_8G202600 transcript:PAN42977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7N [Source:Projected from Arabidopsis thaliana (AT1G59540) UniProtKB/Swiss-Prot;Acc:Q9S7P3] MEKISVAVRFRPPNPAAADPSPGGAGGGGDREWRIDDTRVTLVHRAAGPAPGAPFVFDHVFDRTATNERVYGTVVRDLIGAVVDGFNATAFAYGQTSSGKTFTMNGSDADPGIIPRAVRDVFDTVRQTDDREFLVRVSYMEIYNEEINDLLTLEGRRLPIKENLERGVYVAGLREEIVNSAEQVFELLQLGEVNRHFGETNMNARSSRSHTIFRMVIESSAKNQIDSEDPIRVSVLNLVDLAGSERNNKTGTEGVRLNEAGHINKSLLMLGNVINKLSESGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEMHIEETRGTLKFASRAKCVSNCAQVNEILTDAALLKRQKREIEELRKKLQGSHSEGLEQVVLKLRNDMHKSELERDRLAMELEEERKLRMTLEHHLTEQQKRIEGLDNTSISADQFTDSTQLDALKTPDSKYIPDGFVAHRSRYSNDVEFSPLPENLDNIANDDLWTCLNKGCVTDLDMLEMTPGLKREASLLQDATSAAPLEEPTDARCQRLEKDCVSDRQQLEESNVRCAALEKERDLLRDKNSSLQQELSDSKREANLLIAEKQTQLDDSIARCVALERELSVSRQDAERLAAEKQELAGELGVERQKMEELKQDIRVISRAFSQREGQLTSLYTKSKAILENCKASHVATLP >PAN43279 pep chromosome:PHallii_v3.1:8:41208405:41210251:-1 gene:PAHAL_8G225400 transcript:PAN43279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRRSCSLLLLLLLVLLSLLAVGSLAATGPGDIAVFWGRNKDEGTLREACDTGAYTTVIISFLTGFGGHGGGANAYSLDLSGHPLAGVGNDIKHCQSKGILVLLSIGGPAAAGGAGANYSLPTARSAAELAGHLWDAYLGGSRPGVTRPFGDAALDGVDFYIDQPGGTAGHYDELARRLSAHNRSYRGRPGVTLTATVRCAFPDPDLSAALATGLFARVHVRLYGGDLRCEWGQFDSWNRWAAAYPGSRVFVGVVAAPEADRDAYLFQKDLYYGILQFAQKVPNYGGLMIWDRYYDKINHYISSS >PAN41539 pep chromosome:PHallii_v3.1:8:3938352:3940406:-1 gene:PAHAL_8G051600 transcript:PAN41539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPCDGRVSSGLLGTVMWARSARRRHGFGCNHLDRCHASHCPHLSPFLALSCALHKHQMPPVNHRPGLRPPKPAPPPLPSSRTLATVGRPGIVGWCSGAAPPETTDHSSEISSTWSTRVRGHSFARRRAPGRRCFNSPPNTTTQTRDLYVNMWINIFTQTPESDRDY >PAN42546 pep chromosome:PHallii_v3.1:8:33171707:33175096:-1 gene:PAHAL_8G172500 transcript:PAN42546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAGQESMMTREQLLHLFSRFSFLTSLPEVKQRIADAVRDKQEAVAVTTEIQEEILREMGVDPGFGIGCLGKVNLVYENDKDLMIKFYQFVAKEEMAIDEAELEPREMAEKLHAQQILQEQQLNMLVEMRKCSPESQSVILGNLRKQLEEANFDINASILSPEQIQEIIQK >PAN42881 pep chromosome:PHallii_v3.1:8:37765169:37767198:-1 gene:PAHAL_8G195100 transcript:PAN42881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAGVDSVLQECQQRQRPDGTAAVLAIGTANPANCLHQDEYPDWYFRVTKSDHLTTLKNKMKRICEKSGVRKRYFHCTEEMLAGHPEFLDPALPSLDARLAITADAVPELAAAAAARAIAEWGRPAADITHLVLSTSSSAQSPGPDLRLADLLGLRPTVRRTLLFFHACFGGSSALRVAKDIAESHRGARVLVAVCEVNSLLSFGPPQEARLDGLVAAALFGDGAGAVIVGAADDDQAEPVECPIFYMMSASQATLPGTEDALSMQLGDAGYDIGLSAKAPALVRDHIEACLANMVAPLGLTSGGCGGWNSLFWAVHPGGRAILDSCEAALALEPGKLAASRHVLSEYGNVYGASIVFVLDEIQRRRRRNGDQTERDDMLDCEWGVMLGVGPGVTVETMVLLHAAGKQDEY >PAN43193 pep chromosome:PHallii_v3.1:8:40472300:40475368:-1 gene:PAHAL_8G218800 transcript:PAN43193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMGVDEDEERRMDERPMHQGCMAGFLHLFDRPQILSGRRIHGSPRRLLSSGSSGSATPSERSMPLDRATPAPSSPDMTPPAAPRPSLQLPPLDPKDGGGAGGWAAPSWRLPRLSLDSRAVVDARGKLRPREIRTAPAPGAPPSPSAGGGAGDERRSPSVVARLMGLDALPHGQAAAAAGDGAASPAALRRSASERVPRDPSHFRFVDPAFFERPASPLPPLMERSSPPAAPAPAAEAAMRRAPDPGCPRAFQRRSRFDAHEVFPEPAKRADPAAAGSHGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLHHTPTPPPPVRTAPPPPPIVVMRPNHRAQPQVQPPARLTPARRLRVDVDRARRPRSPDPSASPARSPASPARRGPHSPQRRVSPVQSPKQQQPPPPFRRPSGSDSAGARARIGRRAAHNAASISPDDEASTTFSDGGSSSSFSASSRWDLEPQPDSRTDRGLLERCGKLLSSIQAFTGSDAAGSDQQPSPVSVLDAAAFLADEDSPSSSSGSKRAIDFSSSVGRAGPKPAASTASDPEDDEWALGTWPAGPEASGDPDYAYVAELVRLFGGARGRLRDPADVYKAAEQRRGQRGGDPGDTWHHRRLLCGAVGEALERQRAACPWEPAAWLRGAELVDYVWAEVRRAAEPAPRLPAGEEEAEDLNAVTCSAIRRDMAADEGRWAATQRRVVSGAEAAEAVLQIERMVFRDLVADTIRELADADRPLPRRKLVF >PVH34481 pep chromosome:PHallii_v3.1:8:41520008:41521092:-1 gene:PAHAL_8G229100 transcript:PVH34481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGERGLIQVANIKDHAATSIRPVTEGQQQPTTATAARRAAWATGTRVPRQAAAGERWGNGRPATSPVGRSGG >PAN41432 pep chromosome:PHallii_v3.1:8:3243402:3245087:-1 gene:PAHAL_8G043400 transcript:PAN41432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTRSPFLPLLLIATTCIANAGAGDVLLPQEPAQEAQPASFPGEWQLLHASIGLSAMHMQLLPGDFVLMFDRTDTGPSNISLAAMAPCAATADGADCTAHSVLLDLRSNVLHPYPLATNPWCSSGALLPNGTLLQTGGFSNGDRVARLFSPATGWVELPSFLAARRWYATDMILPDGRVIVLGGRRQFNFEFFPHDDAAPALTFFPFLDETTELDAEDNLYPFLHLLPDGTVFVFANDRAIVFDPYNRAPLRRLPAVPGGVPRNYPSSGSSVLLPLRPDSPAHAEVLVCGGAPRGAYHLALRNATFVPADRTCARIAPTDRDPAWAIEEMPLARVMGDMVLLPTGDVLIVNGAAAGTAGWELGREPVTRPVLYRPDAQLGARFEALAASAIPRMYHSSATLDTYGRVLVGGSNPHVGYVFANATYPTELSLEAFLPPYMDARLDGARPRVLAAPGEVGYGEATAVRFEVPAPSGAAAAGEVRVAAVAPAFATHSFGMNQRVVDLAVGRVAQLDVGVYEAEVAAPPTPGVAPPGYYMWFVVLAGVPSSAAWVRMRPLGPAP >PVH34003 pep chromosome:PHallii_v3.1:8:16872200:16872985:1 gene:PAHAL_8G118400 transcript:PVH34003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARASTSISPLTSELSSISVSSRIPSTAAVPWIFGRAWPAFQILREITDGFSEERKLGQGAFGVIYRGVTRNGDDVAVKLLPKSSQDYGPLRNEFYNLRKLNHQNIVQVLGYCFETEQKPIMQGGIKVFVDEIRGALCLEYMHNGSLQQHLSDEFSGLECHTQNNHGDL >PAN41407 pep chromosome:PHallii_v3.1:8:3001220:3004148:1 gene:PAHAL_8G041500 transcript:PAN41407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDDPKKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVIRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQKFYGKVKA >PAN41655 pep chromosome:PHallii_v3.1:8:4701929:4706454:-1 gene:PAHAL_8G059800 transcript:PAN41655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHFYALMAVLLLFGFFIHLNVVVTQPSAVPPPSSWKVSNMQLQRIINMDNGSSILPILGHRIMSYTTYFGFYTIDDGHSFVLSIVIFGTQAPVIWSANPDNPVNHDATLSFTREGDLLLQDGNGAMVWSTATKSKSVVAGMRLDVSGNLVLTNTDNSSVWQSFDHPTDTLVMGQSLCTGMNLSVMTSDTKWPSGRVYLSAWWNGLLYSFEPAGYTRLFETDTVSPCSDFRNGSFGLLEKMFSLPLARSLQFMRLEPDGHLRLYEMQGDGQGSLMVFDVLSTKMNFCDYPMACGDYGVCSKGQCSCPSLSNFKFQIERLPNAGCKPLSSPTCNQAQQYHQLVQVNNVSYFSKSVFTLFAASTSENVCKKSCLIDCSCKAVVFEKNIYGIDGGYCLLVSENMAISFAENSSDHFSVFVKIQGNHSEKRRISIIVASSISGVFLISILVCVVVWKKYKKDEEPPFDVIPGIPKRFSLDELEVATGHFSIKLGGGGFGSVFKGKIGKQTIAVKRLEGLEQGMEEFLAEVKTIGRIHHFNLIRLVGFCAEKSHKLLVYEYLSNGSLDKWIFPKSTVFTLSWKTRRDIILGIARGLSYLHEECEQKIAHLDIKPQNILLDDKFSAKVSDFGLSKMMNRDQSKVMTRMRGTRGYLAPEWLGSKITEKADIYSFGIVMMEIICGRENLDESQPEDSIHLISLLQEKARIGRLSDLVDCSSNDMRLHMQEVVELMKLAMWCLQVDSSRRPLMSTIAKVLEGVMSMEAIPDCTLVLSFASNNTVGSGSSYVPSESHLSGPR >PVH33775 pep chromosome:PHallii_v3.1:8:4978607:4978981:1 gene:PAHAL_8G062500 transcript:PVH33775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIWGTDKGVHNRISIPSHVYVTRLSGKFDSNGVKSLTVFTSDGTTYGPYGDAASGKDFDIPVVKSAIVAFFGRSGQVLHAVGAYVVPKSC >PVH33724 pep chromosome:PHallii_v3.1:8:4250974:4251257:1 gene:PAHAL_8G054700 transcript:PVH33724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSVDQCQAVSSLIIITPILHGSFQADGPWHPLPESSTLPDEWTREHQSKTWFQNKL >PVH33541 pep chromosome:PHallii_v3.1:8:1381107:1385720:-1 gene:PAHAL_8G019900 transcript:PVH33541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSPDHISVGSAPKKSSTSSRGRQRNFSSSTCKDFLRKFVDNELLTSSLEDWFSGHSEDCDFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALYASTSDVFEATAYLALEDFLHAGIKGLWETFWGPDEAMPFSVACIHSTSSKFYPAEKAISSGKLDGVCATAVLLKNLKHSQGRWDHIVVLALLRPDIGMVSAQGDQEPSSAVLGEALFFALRVLLSRSLSRSSTVLRNSDCVYLLLVDSQFGGVVKVQGDLNKLDFDLNNVYDCAAEWIKKHAKISVSSIDRVWNKLGNANWGDIGTLQVLIALFHSMIQFYGEPKYSLDELATEHSSRLQSRRSERHLVDRQANGNGLFRFQQRSHSPEIVEVQEGAAVDLKPQVTLKLEIGSVVLMDDAYSQKGFQINDILTDSDPPIYTSTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYIPQMVSSGRVMHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIQNGLFGVEEALRCCHDCLSALAAAASSGIRHGDIRPESVIRVNNGSRHPYFVLIGWGHAILEDRDRPVMNLFFSSTFALQEGKLCAASDAESLIYLLYFCCGGVCPELDSVESALQWRETSWSRRVIQQRLGDVSAVLKAFADYVDSLCGTPYPMDYDIWLKRLRRTINEDHGKEVDTSSS >PAN43552 pep chromosome:PHallii_v3.1:8:42886661:42888276:1 gene:PAHAL_8G244300 transcript:PAN43552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGGGGGGDRATSAAGGMCDRFLTFLAKNLTMSRVKSIADGPKDGAGGGHQPTEGGKEAGEEDEFAIPIERAEFDYEFGGHGDGSAATILEESAVATTTARDVPAEQKTGAAADDGPVAVEETTKVRKTVRIKEDRPEQEGAGAPAATLERKISSLFKKRQASASGGGGDEQRVPRRSRMPPVLRVPSNINERSSTFIEERRRGFGGRGGKAAPDK >PVH34329 pep chromosome:PHallii_v3.1:8:37955318:37957269:1 gene:PAHAL_8G196600 transcript:PVH34329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASGSRRITIKSISCRGVKAFVPFQKPPLYAAVSLGGRREKTPPDADGGECPDWDDAAFAFDLDGDGGGQQQLVEFEVKAQVPLLGTKLVGTASVPVSDLAAHGGGAGAGAGAALRHVSYQVSAPDGKPNGTLSFAYAISGGPGAGACPQPQQLYPAPDGARPDQNPSFCCAPPPTAPYLAPAAANFAPPSGGYPPPPQPSPPPASAPLYPPLQDLLPPISYPPPAAPNPQFPSPNSSSSYPPPPTAAVIAYPPPPASCTACPAPPAEYTSYPPPPTVAYPPPPLASCAACPSAPPAPYSSYPPPPSTTYLPPPPSGYPPTPASNLAPPTSTYPPPAESGSAYPVYPRSAPSPPPSTVDRALPYYPAPPGGSYYPPPGTRHPELDGAARTPHYYPPPGTRYL >PAN43553 pep chromosome:PHallii_v3.1:8:42899156:42902607:1 gene:PAHAL_8G244400 transcript:PAN43553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSLLPAVAITIMSFLYTTALPVTAVLVEHTFVVSQMNMTHLCKKTPVTVVNGQLPGPVIDVTEGDSVAVHVINKSPYNITIHWHGVKQWLNCWADGVPMITQPAIQPSHNFTYRFDVVGQEGTLWWHAHVPCLRATLHGALIIRPRNGVASYPFPKPHKEVPIIIGDWWEMDLGQVGRNMMHGLFDDFASASTINGKLGDLFNCSGTPEDGYVLDVEPGKTYLLRVINAGLFSEFYLKIAGHKFTVVAADANYVSPYTTDVIAIAPGETVDALVVADAPAGRYYMVALPNQAPLPDTQTPEHATRGMVRYGNSHLPGTGAPAPISPKMPDQHDIVTSLYFHGNMTSRHHRQQSPVPVDVNERLFIVLGLGAVCPQGRTCERGAFDSSNNLLVATMNNVSFQPPATMTPLLEAHYYHTGSINKTQELPDRPPVLFNFTDPALIPFGPKERRLEQSSRETVVRRFRHGSVVEMVFQSSAMLQGDSNPMHLHGHDMFVLAQGLGNYDAAKDVASYNLLNPPMKNTVLVPNLGWVAVRFVANNPGVWFMHCHYEFHLAMGMAAVFIVEDGPTVDTSLPPPPMGFPTRGNDHNLMPDGFYLQTKKSESSSINGI >PAN42536 pep chromosome:PHallii_v3.1:8:29851811:29858052:-1 gene:PAHAL_8G161200 transcript:PAN42536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAAVNVFLSCFMHVGLALVLLVYLPAASLCRLLARVFVRPFARGEDLRGKVVLVTGASSGIGEHLVYEYARKGACVALVARTEIALRAVAKTARDLGAPDVLVVPADITKVDEAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILMPGYVVSNLTKGKGLQKDGHVGIDEEARDINVGPLPVGKTESLAAAVVASVRRGDYYVTWPGWYWPFHMVMCAAPELVDWFSRTFYVSKSGEQDGGAALSKKILEAVGGKKFLYPKTIRSQAAMAAH >PVH34137 pep chromosome:PHallii_v3.1:8:27845246:27845575:1 gene:PAHAL_8G154400 transcript:PVH34137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFFFCSQVSKSSRVPWTLSRLWNPNLLGALHGRNDFHGHPNKLVPCLALLVDHVSHHHVFFLLEELECALFLVNFQARLGVGVDFLLIFFPFLFVFALIGLVVFLMA >PVH34437 pep chromosome:PHallii_v3.1:8:40364028:40374880:1 gene:PAHAL_8G217900 transcript:PVH34437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIGEGGGPWLRSASNFLGRQVWEFDPDAGTQEERTEVERMRQEFTEHRFEKRVAQDLLLRFQCAKQIHHQVDFPVIKLVNSAEVTEEILLTSLRRALAQHSTLQAHDGHWPCNYSGVMFIMPILVFALYVTGSLNVVLSKEHQREICRYIYNHQNEDGGWGMQELGQSTMFSSCLNYVTLRLLGEMCTHEALTRGSAWILSHGSAAAIPQWGKIWLSVIGLYDWNGNNSIIPELWLVPYFLPIHPGRFWSFCRLIYMPMAYLYGKKFVGPITPTILSIRNELYNIPYSEIDWNKARDTCAKEDLLYPRSLVQNAAWTCVNKILEPVLNCWPVNKLRDTALRNIMKHIHYEDESTNYLCICPVNKALNMICCWIENPNSDAFKRHLPRIYDYLWIAEDGMKLQVYDGTQTWDTAFIVKAYCSADLIHEFAPTLSKAHEFIKCLQILENQPDYENYYRHRSKGSWAHSIIDNGWSVSDATAEALQALLLLSKISPNLVGDPIKEERLYDAVDCLLSFMNKDGTFSTYDCKRTTSLLEVLNPSETFLNIVVDHPSVECTSSALQTLAMFRELYPGYRDEEIGKCIKGGSKFIENKQRKDGAWFGNWGVCFTYGTLFAVEGLVAAGRTYENSSSIRKACSFLLSKQLSTGGWGETYPSSENAVYAGTGRPHAVNTAWAMLSLIFAGQVERDPEPLYRAAKELINMQLESGEFPQQEHVGSFNCSFYFNYGNYRNLFPIWALGEFRSRFLAQKNRNNGHDYLSSCSYLLQKVGN >PAN41994 pep chromosome:PHallii_v3.1:8:8028750:8031830:-1 gene:PAHAL_8G083000 transcript:PAN41994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARWERRLEEPVRRWRIPARESRAEGGRSRPLGHTGRTRSAGDEEPEKRCAALLATWGGRSCQGSNGGEGGAARWGAQAGPDPPAMRNRRRWARPCSWRGAVDPAGEATEGRAEPACGRRHPAGKRYTPPEATIFFNGHRARARESLVESPNSRNPQSRRGVALFRTVVLLRHPLPRSFLSGKHFRSSCVLCLGRLITVGNKLCLHFCDLACWTSGLSSDLKFLQFSAPI >PAN43410 pep chromosome:PHallii_v3.1:8:42025531:42026652:1 gene:PAHAL_8G234000 transcript:PAN43410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTSAAGSGDGARETTTTSEFVATEVSGSHVLTINGYSRAKGIPTNEFISSSFTFAGHSWSIRYFPNGSSSSGGTAAAAAAAAAASASVYLACADDVVARFTICFLDEAEERPICSMSTGEFRRMSEQRGDGFQMFITRVALERWRNVRDDRLRIRCDITVLKVIQQNEHATTMAKYVDVPPPDLFRSLGYLLSTGDGADVVFEVGGETFSAHRCILAARSPVFRAELFGPMKEGAAASVGIHDMEARVFKALLDFIYTDVFPDIDEGETMAAMASHLLVAADRYGLERLKLICEEKLCGYIDTSTAGTIFALAEQHGCHGLKKACSDFLMSGNNLTAAIATGGFEHLKISWSSVLQELLVKLIVKSSS >PAN41986 pep chromosome:PHallii_v3.1:8:21914300:21920624:-1 gene:PAHAL_8G136700 transcript:PAN41986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPAASADAFIAAPGGVDGRSGYCEATRTFRSLRPPVPLPPKDAPLSFPAFAFSLLPSPPPAHPALLDAATGEAVSFPAFRSQVRALAGALRSRLGLQRGDVAFVLAPARLDVPVLYFALLSFGAVASPANPALTAAEVARLVALSGASVAFAVSSTAAKVPAGLPTVLLDSDQFRSFLRSEGRGEGLAPEKVAVRQSETAAIQHSSGTTGRVKAAALSHRNFIAMAAGSHALATKPRHGCNRMLLGAPMFHSLGFYFALKAVALGQTTVLVTDAVARRGVKGVVEAAERWAVTEMTASPPVVMAMAKEPCVLEALERVVCGGAPLPTTAAERFRLRFPNVDLCMGYGSTEAGGISLMIGREECSRIGSAGRVSENVEVKIVDHVTGEPLSVGQKGELLVRGPAVMTGYVGDDVANASTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQTLPEVVDAAVMPYPHEEAGQIPIALVVRLPGSKITEAQVMDHVAKRVAPYKKIRKVLFVDSIPKSPAGKILRWQLANNVQYSAVSRL >PVH33430 pep chromosome:PHallii_v3.1:8:377245:377914:1 gene:PAHAL_8G003000 transcript:PVH33430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSGSSSAPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILLCVQMQHDGHATASASASPPSLQRRQQQLMESEAYGSLLMQNGLMNSTGAHQQQMLGSAGNTALMLQEACLKKESLWA >PAN42585 pep chromosome:PHallii_v3.1:8:26101017:26109952:1 gene:PAHAL_8G148400 transcript:PAN42585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGCGCEAAAGMGAGAGATASEAYLRGEPVREARELVAELCRHFYTQGWVTGTGGSITVKVNDPAVPLADRLIVMSPSGVQKERMVPEDMYVMAADGKVLSAPVAKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATLLNPGAKEFRMTHMEMIKGIKGHGYRDELVIPIIENTPYEYELTDSLSEAIAAYPKATAVLVRSHGIYVWGDSWINAKTQAECYHYLLDACIKLYQLGIDWTTPEHGPLNSAKRLRSILSPEIPNGCHAAEASKCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLVSTFDSEETKEDIKSLRIQIEDDLRNGIAGAVPVPHDEAGKEEVINSLVANVESMIKADRKITSLKQLQGHIWRTGFEKKELQGVVFEDVSVALKNWHASGIKVYIYSSGSREAQRLLFGNTTYGDLRKFLCGYFDTTTGNKRETKSYFEISQSLGVDSPSQILFITDVYQEAIAAKNAGFDVIISVRPGNAPLPENHGFRTIKSFSEI >PAN41783 pep chromosome:PHallii_v3.1:8:5945908:5948275:1 gene:PAHAL_8G069900 transcript:PAN41783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAPSKILGTQLNFAGSSRYGTAAPTPGAQKIVSLFSKKPAPKPKPAAATSSSPDISDELAKWYGPDRRIFLPDGLLDRSEVPEYLTGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGAVIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLVVAVIAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAAILKVKEIKNGRLAMFSMFAFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERTPSL >PAN41790 pep chromosome:PHallii_v3.1:8:6026108:6027695:1 gene:PAHAL_8G070200 transcript:PAN41790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPVIARKAKLRNHLVSAKAKLKHHVTPRRLVLLAAAGCSVFLVLLTLRTLHSAARAGDETIKAAAAASTPAAGAGAAQHAQQPQPQQQQRECAKLPASVTGALVHYATSNETPRQTEAEAGAAARVLAGRAPCNLLVFGLGPDAALWAALNHGGRTLFLEADAGRIAAARAAHPAGVDDLEAHLVAYHDHQHASATVSGDGLLALVRNSSDCAAASPPKPLSPDHLERSPCALAPRGLPPAFYEAEWDVIMVGAPVPGAIYTAGVAARARRPGTGETDVLVHGVDGAAEESFARAFLCEGYIKEEAGRLRHFAIPSHRDKEAMPFCP >PAN41358 pep chromosome:PHallii_v3.1:8:2719904:2720612:1 gene:PAHAL_8G037200 transcript:PAN41358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVARVHLAMAHAALPGLLPTSPRYTLLPLLPAPPCAIILPNGSPARPSRADAAERWDAYKIKQSGGVVAAACSLDSRSSSPGGASSSEKWVSKNKKSAASSSSSSSSSNGHADSEERWDAHKKPAASPASSSSTSASRSKRRDSSKRSNHSRASSSSAERWDAHKNPRALQANELDDGESSTGSNDMEFDNAAQPPPPLQRAFYAGPGFVKSPEPSMLPMPSFSIRVA >PVH34298 pep chromosome:PHallii_v3.1:8:36952321:36952686:-1 gene:PAHAL_8G189400 transcript:PVH34298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHIFYFLMWTDTTAWGKYRFCKLSFPAAEVTPKKRGNRDEASVGTALWVFNWFLCVCILSVYDIYAVGYVIQEILFGEKHSPSTPSSELSTF >PVH34643 pep chromosome:PHallii_v3.1:8:44705307:44711719:1 gene:PAHAL_8G266500 transcript:PVH34643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTAAFFRGVEEATKFLPADTAEKPLRSKESAGGRGRRDRYSGGGGEQEAEAGRASKLATAESAEAGAREMFDEMMLRGFDACSKGMGGLTISMENVPATDNKKAGKRTRTRGKRRAAKVVDLHTLLHCAKAVIDDRRSADELLKQIKVHASPTGDATQRLAYCFAQGLEARLAGTGSQVYRSLTADRTPLLEFLKAYQLFMSTCCFRKNCDGMELINPVDYGLHSGFQWPELLRLLGSSDGGPPEVRITSIDLPQPGFRPANHIAEMGHRLSNCARQFCVPLKFGAVVAQWHTICIDDLNVEPDEVLVVNDLFNFRTLMDESVVIDRSSPRDVVLSNIAKMKPDVFIQGIINEALYYHSAMFDMLDATMPRESRLRLVLERDISLGEDRVERGETYKQWQIRNRRAGLRQLPLNRESVNMVRNIVKNQYHKDFVIQEDQQWLLQGWKGRILLAHSMWVADGASSGW >PAN41937 pep chromosome:PHallii_v3.1:8:7291450:7292033:1 gene:PAHAL_8G079800 transcript:PAN41937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYSNTTRFAPVCNTSSKIIEFIQSSGGFPIRTSLATILSWQWLEIGIKSTLFIVQVQSDFCCLS >PVH33742 pep chromosome:PHallii_v3.1:8:4483781:4486478:1 gene:PAHAL_8G056800 transcript:PVH33742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFVGLLISKLGMALGKEAATYGASQISKEASDLRGLVDEIHKAKEELESIKAYLHDTEKFKDTNETTSIFARRIQDLAFQIEDVVDEFTYKLEDDKHIGFASKTKKRIRHINIWGRLALELRRIDVELEDATKRRDRYTMLRIGKDNGSDHHVRSNNQIVCLARKEDLVGIEDSVDELKRWLVSDFDAGVGKTTLVDHVYNIVKKDFDAAAWVTVSKNYQVEDLLKKIAREFGISVDANNMELRSLVADIRKYLEGKRYILVLDDVWEKDVWINIMDVFPINCISRFVLTSRKHEVASLATSNCAIQLEPLGENDSWKLFCNVAFRNDVDKRCPSELNDLATKFLQKCEGLPLDIACIGRLLSCKPPTYSAWKNLYDELELQSTTNVIQGVNIILKVSFEDLPYELKNCFLHCVIFPEDYHIKRRRLIRHWITAGFIKKQEKTALEQVAEGYLNELVSRSLLQVAKTNEFGRVKCCRMHDVIRCLALEKAERESFAKVYGGSGRFWIGTARRLSIQSTNIATLSESDTQIKMLPNEVFNLFNLRFLGLRNTGIEILPEAVGSLQNLEVLDAFGTALLSLPEGVAKLKKLRYLYASALLTEGTVTDFGGVKVPRGIRNLTGLHALQKVKASSETLCDVEALVELRTFSVCNVTSENSLNLCHAIMSMRHLVHLSISASNETEILPLQALNLPGSLSKLYLDGQLEKKRLPQIFSTWSYLNNLTRLSLALSKLDENTFSKLVVLSGLCYLRLFKAYDGKKLCFSAQSFPVLRQLEICDAPHLNHIEIEEGALESLVELELAECPELKCLPEGIVYLRALEQLRLADTAEELIEKVRQESEANGCNEEVAIMLSEKNRLEILP >PAN43052 pep chromosome:PHallii_v3.1:8:39319406:39319785:1 gene:PAHAL_8G208200 transcript:PAN43052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTKLAVVVGLSLAFLHVASFGEAAPCGEERTGVPCTSDAMCKALCTGKEKAAGYTDGRCSLEFIVGDPSCVCSKPCAAGRP >PAN42434 pep chromosome:PHallii_v3.1:8:21985316:21986317:1 gene:PAHAL_8G136800 transcript:PAN42434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVMCGQPCSGKSAAVACLAAALRTSSTDLTVRIIDESSLHLGRNDCYKDMVVEKNLRGVLRSEVDRSASRDSIIIVDSLNSIKVGQYQILHPIFANLL >PAN42160 pep chromosome:PHallii_v3.1:8:17018412:17019260:-1 gene:PAHAL_8G119900 transcript:PAN42160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFACRIHETTTHQNSLAIHAAAASYIYKHTLRPQHEHLLFRIDGIAREGGPAAPTMAAEAVRSQEASSAAVLAAHRTTGGNRKAAMTMMSESVSTGARVDGRVRGGGVPAVAARGSCKVEASARGPGEGGDGGSGGGAAFLVARWNAASRRLLPRFVSCVVV >PVH34435 pep chromosome:PHallii_v3.1:8:40352379:40355549:1 gene:PAHAL_8G217800 transcript:PVH34435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQSCEVLRSSDNQTIWRSEDFRNNSSISQRLKILPSHAQQFFATCCGLTRGFFESPRAHARHSRSLSRGEEREGEPNQIGGPCLSALSSAAAASLCLPAPPAAASSLSFSRCGPSLTPRRCSAAPRQVLPPLPLSRSRHPCRTLPTATKLRGKILTAEVERLRADKQHATRRPRGPHQLRGDGRMMDPQTCSPPAFKADTPSSCFLQALLSSPSSHRVPHLQQPWPVHEF >PVH33910 pep chromosome:PHallii_v3.1:8:10638214:10639638:1 gene:PAHAL_8G091400 transcript:PVH33910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLNFALGINMAGVASCRQIEDGEADSSNRPQNEKGEYITERQVRYVRNQRPTSSDLLGKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIKDCPECRPRRPEARDSVFQRIGPAPLRQARVRSSQKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHARPQEELSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTVGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNRVRLVLSTGLTE >PVH33753 pep chromosome:PHallii_v3.1:8:4701081:4702392:1 gene:PAHAL_8G059700 transcript:PVH33753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMEAALASGLLKVAGDKLVSLIKSEFASITGVKKDLSDLQDIHGEITSWLSLVHINDKGDSEPRRDTRTRQQHSHLGSNFDK >PAN42929 pep chromosome:PHallii_v3.1:8:38197631:38198065:-1 gene:PAHAL_8G198200 transcript:PAN42929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNISTSRNMYLLTAALFLVLATMSSTFPSCQAVRRGIIRRHQPPPPPLSPSPPGETCFIFQEYKLSVCSKACVSKGHRGVGAHCVEIKQTGTDECCCRIKHRVL >PAN42127 pep chromosome:PHallii_v3.1:8:17420985:17422417:-1 gene:PAHAL_8G123100 transcript:PAN42127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRPSPPAAALLPLAFLLLSCLSGAATAKQTGQLTVFWGRNAGEGTLREACDTGLYTTVVISFYSVFGHGRYWGDLSGHPLAGVGADIKHCQSRNILVLLSIGGPGNGYSLPSSQSAERVADNLWNAHLGGRRRGVFRPFGDAAVDGIDFYIDRGGPGHYDELARRLDGYNRFYRGRKGVRLTATPRCGFPDPLLDGALRTGLFERIHVRFYGNDTRCSYGAGAGTWGVAEEWKKWTARYTKSEVYLGLAPAESGVPEGAQGTVAVYLKYLYYDLLPKVQEAPNYGGVMVWDRFSDKKTRWSTVVKGWA >PVH34232 pep chromosome:PHallii_v3.1:8:34691562:34692059:-1 gene:PAHAL_8G178000 transcript:PVH34232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSASSAISFESESSREPTPEYDPIAAYEILAPLHWDAEEWDFQSWSEDDVSLTDGEDHTVLLGAELEEDDKDDASWEEELSSSEEKADSSSTEEDSVIGNFLLSESSEDAAEDNEETEDDGGFTSNSSGGDDSSNNSGEDSDVSTVPPTKRRKTSGLYWW >PVH34471 pep chromosome:PHallii_v3.1:8:41339262:41339691:-1 gene:PAHAL_8G227200 transcript:PVH34471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHSSRALPPAIQTTMREPAACSPSRRRGSTASLDEEEISGKERGRGKRGTAWSAAVPLRRAARAPRADRRRKGITQRTSGVRRRAPASRGARTGLRSECFYKTPLNNFK >PAN42349 pep chromosome:PHallii_v3.1:8:14576695:14579962:-1 gene:PAHAL_8G107400 transcript:PAN42349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINVDRGVMIGDGKSRFSINGQPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPVKGSTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDHNKPVQEVLIEMTNGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPHREDQFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVEMYMKKELEVEKFITHSVPFSEINTAFDLMLKGEGLRCIMRMED >PAN42329 pep chromosome:PHallii_v3.1:8:14385160:14386452:-1 gene:PAHAL_8G105600 transcript:PAN42329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRTKLGPLLRANAALRVLPPGSHRRIHFPPGPASSSDEEQYRPPASRRWFHAAYARLLRHAGSLNGVDHAEGLPRHAATGSVVACPHVAARAAHFDALAGEFVAAAAAASRGHPPPKMKGTSLSSLTRVCDVLGVSAQRRKSVRLTVCPQVTQHHVWRGALEAVLGDLQADLASLDGPSPATQMAEQIASACTRFLSGTADAATSSTPSWMRPTPFKKPAEPPPPAKKWQEVLDMFTDLARSLETDDRLAGHAQKVEAMKEGLYQIRDVVIERDIAFKEARRQDCLVQRKLSKSLGHSSRCLYTLLLFYLYGTVRDIEVHVGKCVSGKGGRDVAAHAAKFITGGDELAVRGSIKQLSRALGVFRFVWDAANTEFDAANDNGKDAVVKKKNEVSKGVLELQGHLWGFGVEEKAVTYRGDVFHVHQIQLP >PVH34341 pep chromosome:PHallii_v3.1:8:38331969:38334397:-1 gene:PAHAL_8G199700 transcript:PVH34341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLLLVGAPTLPARAAPSSSRDRRHRRLWAGVEWGAAALKSPRNTRASRHTYYSRPDPAPLAPAARRPRAPAARAARSAARPPAPRPAPRPAATAAAPLQPPRAAPLRRPRGPPPPPRGPPPPPRPPPPPCRRPARPPLRRPRGPRRRPGGPRRPARPPLRRPRGAAHLLHLCSIYPLPLPRPRHAPTRHISQRTPALRREGDAMAAANGSGGGKGFEVPKVEVRFTKLLINGHFADAVSGTPIALPLPALELSASSS >PAN41418 pep chromosome:PHallii_v3.1:8:3137594:3139900:-1 gene:PAHAL_8G042500 transcript:PAN41418 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/TrEMBL;Acc:A0A178W1L1] MASENVETIVAGNYMEMERAGGGGGEAGGDGGDQQAGGTASRRGGGQALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGIVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNMGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIAAIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLVATLYVLTLTLPSASAVYWAFGDALLDHSNAFSLLPRSGFRDAAVVLMLVHQFITFGFACTPLYFVWEKLVGVHEARSVALRAAARLPVVLPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMATFAPAAARENAVERPPRGLGGWAGMYAANCFVVAWVLVVGFGFGGWASTVNFVRQIDTFGLFTKCYQCPPKH >PAN42143 pep chromosome:PHallii_v3.1:8:17133846:17138299:-1 gene:PAHAL_8G120800 transcript:PAN42143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRLRRALAAASTAAPLLRPSASLARPLPLGPPSASPAAPLPRAPWRLLPGGAAGFRSTAAAAARGGADYGADDSKISPDEILFEGCDYNHWLITMEFPDPKPSREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYIGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYDNGVITPRPPPVHYSKPSRTDRNRNYRGNPQDGPPQQGNYQNSRPPQGGYQNSPPQQGNFQTYRSQQDGRSYSPQQNYAQGVQDARGFGGNDYADRSGYSGPPGGFQGQAPRYPGNPAGQGQGYSNPQERRNFSQGQGGGFRPGGTSPPGSYGQPSTPGSYGQPSPPGSYGQPSPPGSYGQPSPPGSYGQPSPPGNYGQGPPSAYPGGSRVPGVNPSYDGDSRQGTGPAYGGDNWQRGSGQYPSPDEGQGNWQGRQ >PVH33896 pep chromosome:PHallii_v3.1:8:9492746:9493684:1 gene:PAHAL_8G087200 transcript:PVH33896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARGAERSAAHGAILAVAAPGTSAGFGVGGPALLSGESWAARTSARPPTARRLGCHQGPTVHGRSKQACASHPQLRSRRPGSGGSSSNRGAPLSVPLLHCSNPIQVMRFYSWIHAYACYCILDLRPHYDGSYIFFHECCQCLLHVRSDNSQS >PAN41188 pep chromosome:PHallii_v3.1:8:1790541:1794812:1 gene:PAHAL_8G024800 transcript:PAN41188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWNRSRYVRRQLDRSRRPSHPPLPPPDYGHEHCSVPLWEREFCSYVGNISWHRFCENKQYVSVYNNLEQWDDSGAFENFQNSKARFWANYHGQPSDIPLPDPDMYIDKVDHHCKVDPELVADLDKVRLPFDSDYSSAPATGSGKAGSDNKCTQNRSGNWDIYIEKPAEVNKWDWDANAIWGGKDESSSKWGNSNSGWGASLEEPSWRGWSNNQYASNNRNNNFYDASNSNRYREGDPSHTSGRKRNRGGYFQQRNNKQRGQHEGQHQGSSWQDHRGRNREWRPLQT >PVH33716 pep chromosome:PHallii_v3.1:8:4108508:4110101:-1 gene:PAHAL_8G053200 transcript:PVH33716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSNIFCWNVRGLNSRARQETVCTLVTSCQADIIYLQETKIAAISRGVLLSMLGSEFTNWVEVPAIGASGGIIIAWQHGLGQALASRIDQYSVSMNQYGLQGDDSKIIFLQEIKNLRTCCQGPWLMIEDFIFITSNEDKNNGNINRAMMGRFCLLPPMSQITAHSC >PVH33988 pep chromosome:PHallii_v3.1:8:16209474:16212921:-1 gene:PAHAL_8G115100 transcript:PVH33988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGGGSSNNTEWRLNQTLRNVQRMIIGRCFPGKVLLSQRSEPLSRPVYSSIFDRDEDEPNEGSQEGEGPSPGNSIDNTSAKKSNCPSTSSTNSLLDAQGIAFGARATDSARIAKFTKELSRPAVILDKLRELAWSGAPPYMRPNIWRLLLGKRLEYVEYVSQYYDIPDSDRVDEEIDMLRQIAVDCPRTAPDVTFFQNPQIQKSLECILYTWSIRPPASGYVQGINDLVTPFLVVFLSEHLEGNIDTWSTDDLSPQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHCIDEPLSKQIEEQGLEFLQFSFRWFNCLLIREVPFHFATWLWDTYLAEGDYLPEFLLYIAASFLLTWSAKLQKLDFQEMVMFLQHLPTRTWGHHELEMVLSRAYLWHTMFKSSPSHLTS >PAN43567 pep chromosome:PHallii_v3.1:8:42987614:42990048:1 gene:PAHAL_8G245700 transcript:PAN43567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAASVLCMALVALTAAASVGEAAVVEHTFVVHEMNVTHLCNTTKIYVVNGQFPGPTLDVTDGDTVVVRVVNRLDHGLTIHWHGVRQIRSCWADGAGFVTECPIPPGGDHTYRFNLTGQVGTLWWHAHVTCLRATINGAIIIRPKDGRYPFPTPAREVPVLIGEWWQLDLIELDRRMADGNFDDNPLSATINGKLGDLSNCSGAPEESFVLDVERGESYLLRIINTALFSEYYFKVAGHTFTVVGADGNYLTPFETDMITVAPGEAIDVLMTADAPPAHYHMIALANQPPEPDPQIPVFISRGLVRYAGVRGDNNGLPVPAPLMPSQHNTMPSYYFHGNLTGLAYPGRHRVPMHVDERFFITLGLGSLCRGGKKVCKRRRSNETIVVATMNNVSFHHPTTTSLLERYYDSTGEGVYTEDFPENPPHPYNYTNRDLIPPGPLEEALEPTFKATKLRRFKYNTSVEIVFQSTALLQSDSNPMHLHGYDFFVLAQGLGNFNPKRDVKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIVMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTQP >PVH34321 pep chromosome:PHallii_v3.1:8:37600382:37602570:1 gene:PAHAL_8G194000 transcript:PVH34321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLRRALLLRRVLPSPSPTPPSPGPPPAASSPRSPPPSRTPPPPSTSPPTRAAAAYSTGARPYPCFRRLVYRSKQRGFLELDLVLGTWVEQHVHSMDEPNIRALLQVLDLENPDLWKWLTGQEQPPEDLNSNPVFTAIKSKVTDNLTKHASPETRSTPGQPWVRGWDDIKKGKDGPKYGNQ >PVH33802 pep chromosome:PHallii_v3.1:8:5731906:5732514:-1 gene:PAHAL_8G068000 transcript:PVH33802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSCLRSQGRVVSSLASSFLILSLSPFIDAVTTDKYAPNAFDLVLETNSKVKCTHPMS >PAN43708 pep chromosome:PHallii_v3.1:8:44080683:44082365:-1 gene:PAHAL_8G259100 transcript:PAN43708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRATMRPHLLSLALLLAATATVPLASAVCVSRYEKAERKTLAVDEYAPTTKKVDEKPKEEKPYDYEEATSAKASTAEEAEAASTSTSDEKPDKYEESSASPEKVKKEKSKEKGKSDDWDVSAFLKKKKKEKEKKHADLDDSLASPKKEKSDDSDESSSSSSSKKKKKKKEEEEEDKSDGSDDYVSPKKEKNKSDDSYDDDASSKKEKKEKKTKKKEKSKEEKPDEDATPVDVSTNGEYVPPKEDKGDGDASSQPMGGMDAPDELPAAAKSSASSDAYASPTQPMGGMDSPDELPPSAKSSASSQPMGGMDSPDELPPSAKSSASSQPMGGMDSPDELPPSAKSSASSQPMGGMDSPDELPPSAKSSASKDPYAGQPTDPAKSSLSMESFGGMIKTTPPNINMLNPVIKRVCSRTTYPYDCEASIASLHGNAMPAQPGDGEGVLRLAMEAVREKVIVAMNAATDRMNTPGIEVTTKEALDDCTQSYSDIKSSLENVDAALKRGDMATARTNLDSVETDVTTCDEGFNERGTPSVMTDHDKELQKLASDLISIGATAIRH >PAN41826 pep chromosome:PHallii_v3.1:8:6288960:6289991:-1 gene:PAHAL_8G072200 transcript:PAN41826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPSALLRSFSSRLSTRLGPSPAVAPWPPVRSAYDRWLAAEIDELRADPLAPCTSAAWLGRALGLAVAAQRRLVASASGTATAAAGIDRKTIDECVDDTAELLDACAGIRDRLDMLRSYVTAMRIALHWLEGNGGEEAAARRAAAAFAECEAVERRCGAELAKCGSNLRKLGERALVHARQPTGAAHQDGEELSGARALALLAVGALGAAVAFRPRRAVSGVAYRAGGCKSMAQWELELQDVQKHVREEYDRRRKDGVPCMAELEAVAAAGSAVRCAVAGGRRCPETVAAAARRRCDELEETVSAFEEKVGELRRELIAVRMVLLERAQRARGHELLRLPRI >PVH34619 pep chromosome:PHallii_v3.1:8:44159132:44160735:1 gene:PAHAL_8G260200 transcript:PVH34619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPLEAMMPLDPETFAGESGAVVGFLADYYRNVDKYPVMANPEPGSIRKLLPDAAPELGESMDRILDDVQRDILPGLTHWQSPSFFAYFPANASSAGFAGEMLSAGLNVVPFVWTASPVATELEQVVVDWMASLLGLPERFHFKGGGGGVLHGSTCEAVVCTLAAARDRALSKLGHEGILKLVVYASDQTHATFQKGASIVGIPPANFRILRTSAESGYGLTADTVQRAVEEDVARGLVPLYLCATIGTTGLGAIDRVRELGHVARRYGTWLHIDAAYAGSAAICPEFQGNLDGAELADSVSMNPHKWLLTNMDCCCLWVANPTTMTDALSTDPEYLRNVGGASKMTTDTIDYKDWQIALSRRFRAIKLWVVLRRYGTAGMRAHIRRHIRMAEWFERVVAADERFEVVVPRSFSLVCFRLRPRFMEDKAVESLNRELLVAVNASGRAFMTHFVVDGKFVIRLAVGGSMTEMRHVRGAWELIKEKANELLAGC >PVH33601 pep chromosome:PHallii_v3.1:8:2078512:2079029:-1 gene:PAHAL_8G029500 transcript:PVH33601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLWKIPTTSPKTSSRVPSCTQSCLWVRSPLELRMAQDSDDSLFVVSVVVWVLVVILTIVALHCPLPRRVVR >PVH33491 pep chromosome:PHallii_v3.1:8:873631:873824:-1 gene:PAHAL_8G012500 transcript:PVH33491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLDDPTSVCRPQRSFADKITFRMTIIATDSS >PVH34112 pep chromosome:PHallii_v3.1:8:25487938:25489339:-1 gene:PAHAL_8G146300 transcript:PVH34112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGHQNAVTTRPDAPPSPKSAAIPVQNPASHPFLNPDAPFRRRSPVAPLAVPAFHRRIPSPTPRRPFRRRFLLRRSPSIAARAWLSRGSPRAPRGT >PVH34348 pep chromosome:PHallii_v3.1:8:38534984:38536327:-1 gene:PAHAL_8G200700 transcript:PVH34348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLKLMTALAFFTALFAHHLPLAIADHIRASSNSSAAAFSLPLFAKQGPGHTLLLEVHGTAPITWIQCRPCHPVATQYSPLFDGELSPTFRHVDARVCGAPFVPDPAIHRCQFHLTGPSGLSVKGLVSVDQYTREDGRVFPRFFFGCAHETWHFHNINTYAGILAYRAIATQAAAHGLTRASYCLFREADRQGFLRFGADADILSHPHYQTARILPGRDDGAHESAYHVSLVGVSLGGRRLGGVRPEMFAHRKDGGQGGCIIDLGTPLTIMAREAYRVRHGAARVDRAGYGLCVRATEAIKGRLQSLSLHFAGEEEEATLVVSPKQLFLMVDDKRAGQVACLAMVPGSRTVIGALQQVDTRFVYDLKENKLSFAPESCVQDTVPVV >PAN43811 pep chromosome:PHallii_v3.1:8:44515909:44517102:1 gene:PAHAL_8G264800 transcript:PAN43811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSSKKTCLEALMISAITVFAAAAGQPSPGAPATANLTLHNLCPYPVWPLVTANSGVPSIPTDADGEPVGRLDGNGEGLATLAFPAGAWSGRVVARTGCAADDEDGDDVVGRCATGDAPPVTVAQVSVGGPGGLAAYSVSLVDGFNVPVVVTPHGFAEGRRCPTLGCAADLAAGCPVGARAPSGGCGAGASQAATFKARCPDTRTNATDVEATPQDCVAPGEIKVVFCPAPDSVTSHASLG >PAN41496 pep chromosome:PHallii_v3.1:8:3579156:3579932:1 gene:PAHAL_8G047600 transcript:PAN41496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRFGFDPSLPSACKFDPTDADIVAHYLLPRAAGVPDPPYAHAVIEDDTCSCPPWELLRRHGHGGSDHAFFVGPPGDPAANGGRACRRVRGGGGLWRRQKGEESDLVVARGGRGGAELRLRYRRYNLAYYRAGDPSTSGWVMHEYHILRPKLLPGAVLSRIRITDKGKEERKKQQEAAAAAAKKVAPGPAHQPGRSNYLVDDHAAAVASDAEGTSSGAQSGVIPQGDDGNGDGGGVGETTGGYRTNFLSVGEGDGY >PAN41160 pep chromosome:PHallii_v3.1:8:1073438:1074726:1 gene:PAHAL_8G015300 transcript:PAN41160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAYPVQFLHLPAAGGGGGQWRNLGAAYAAVRFLCPQGQSLVLYAADPDGQQQQPQRIVFVYPILPGDAFERLDGATLSWAEPESGNEFALCFLDDAACGAVCGAIAPVVRSPAVDGIADTLAGLRVAREQGAPAPGGGDIAARLAQLSIARP >PAN42579 pep chromosome:PHallii_v3.1:8:31784788:31786744:1 gene:PAHAL_8G168500 transcript:PAN42579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRCNEVRYVGGMDTASKWADRLRRPVAGLPRRPARGTAQNAPASGRSPRVERPWAAYWRNQVSHQPGGCGAENEGSRGTTAGDMPPFSVTGRGRAMHRFSLGSWAKNPDHLPIYFLRFKYNATFIF >PVH34523 pep chromosome:PHallii_v3.1:8:42403988:42404379:-1 gene:PAHAL_8G237700 transcript:PVH34523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQMNLSAVLLVVVLLPVVMAAGEPASAGNKYAYCPEHLSGNYKGVCIGLINDAKCKRVCIEESSGNHGGSCNFFQCWCESLCTSEEAATASAPIRH >PVH34536 pep chromosome:PHallii_v3.1:8:42684780:42689041:-1 gene:PAHAL_8G241500 transcript:PVH34536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVDHEKLDLEGRIERRVVALYGLIVTALLGLGGAYAAGSCRDSKHSAYVLLLLLPVAACIYLQKALVRVWRRMTTNKLLPCTKEGPQGCCGSFIKLFQNSKIASYICAVGMGGTSEDLKRTREYIQLLATLVATIAYQAGLDPPGGVWASNGEGHSVGDSILLTINPGRYKVFFYFNSAAFVASIVIVIMLQNEHLVRGHALEVALILDFFGLIGAYAAGSCRNTTTSIYTVALAGGVLIYVVIHIVFFTLDHGEDTGEKKDKYESHRVVLLLLAILAATLTYQAGLTPPGGFWEEDNSELGHRAGFPVLQDKYPRRYEAFFYCNTASFMASVALIVLLLNPNLYRPGIRCYALVVCMVAGVLGLLGAYAAGSSLHLRTSIIFLVLVVVGFAIVVGLAIHRHCVPPPPVTTTTTTATKVPEPNSGDPAPPNNVLEKQERPVTTTTTKVAEPNSGDPAPPNNVLEKQERSVTTTTTKVSESNSSDPAPPNNMLEKQERLVTTTKVPEPNSGNPALPPNNVLEKQERHVTTTNNKVSEPNSSDPAPPNNVLEKQERPVTTTTKVSEPNSGDPAPPNNVLEIQVRSVTITTTTTKVPEPNSSHPAPPNNGAEETAMYLMLVGILAASVTYLTGLKPPGGMWRGDGNGHSAGNPVLYDTNKLRYNIFFYSNSISFIASVVVIALLLLRMMLLPATAMVLGPIHAAMVLDMLFLLVAYAAGSVRDSHRSWKVIMIFPAVVLVVFFFFFVSRKQTVSRNQTEKAEESTVDGGEKGECKGPHSIRIIQL >PAN43441 pep chromosome:PHallii_v3.1:8:43742121:43743797:1 gene:PAHAL_8G254200 transcript:PAN43441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDDSVGGESSRYRRHERDSGCVGDDGESGSHSGGAISGDCCSDDEDDAYDYEHDDGGGGSDYGDISDAEDTKVEAAAPRTYLVLTEDEVLRRQADDTAKVAEVLSIPPAFAAFLLRRYKWVASSLQDDWFSDERRVRDAAGLPADGGVPVATALSASPLACAICFDTFPAGRTRSAGCSHYYCDDCWRGYVRAAVGDGPRCLSLRCPDPGCSAAVVRDLVDAVAGGGDDGERYARFALRSYVEESGERIKWCPGRGCAHAVEFVGCAGDDAADVLCRCRHGFCWSCGEEAHRPVSCGTVRAWLAKNKSDSETANWVLANTKHCPKCRRAIEKNQGCNHISCPPPCGHHFCWLCFKPAGTREHYACYVYSPPPPDAGGKVETKEEATARQARASLDRYLFHYERWAGNLRSLEKARHDMDELERPSVLEGMAAAVGLNSVMELHFIVEAYEQIAHGRRVLRWSHAYGYYLDPARDGKKRELFNYLQGEANAALERLHKLAEVDRKEVFCSEVGEADVAKRFKDYRDTVVNLTVATRTFMGNLVKAFETDLCEVSMR >PAN40998 pep chromosome:PHallii_v3.1:8:659741:660787:1 gene:PAHAL_8G008300 transcript:PAN40998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAGKQQQQLAVVVVAVALLLLLMAAPSVINARVTCGQVLSCVTPCISYAMGRGSEPPPACCSGVSNLNAAANNTADRQATCKCLKQITSTMPALKPDIVAGIPSKCGVDIPYPIASSTDCSKVQ >PVH33415 pep chromosome:PHallii_v3.1:8:240359:245820:-1 gene:PAHAL_8G001400 transcript:PVH33415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSGNGGGRDDGGGEDDDVLDHEYQEEYVRNSRGMSLFACRWLPGKRWRNKDPHRPPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFQALVQDCDDYFASVVRSHADVRHKSRRFLVGESMGGAVALLLHRARPDFWTGAVLVAPMCKIADDMRPHPVVVNILRAMTSIIPTWKVVPTADVIDAAYRMQEKRDEIRGNPYCYKDKPRLKTAFELLKVSLDVEENLLHKVSLPFLIVHGGADKVTDPSVSELLYQSAASQDKTLKLYPGMWHALTSGESPNNIRTVFQDIIAWLDHRSSMEEPPEVEQKARHDDQRHHQRHHAGNSK >PAN43519 pep chromosome:PHallii_v3.1:8:42695407:42696937:-1 gene:PAHAL_8G241700 transcript:PAN43519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLLADTHIHSPQHRSASKESKVMAHGGVTAAPLLPSAAVVPGTPHRNRFAFLCATLASMTTILMGYTLALMSSAQLLIREDLGLTGVQVEVLTGSMNLFMLVSVLGAGWAADLLGRRGTLVLANAFLMAGALAISAGGSYASLMAARFVTSVGVGFARVVAPVYNAEISPASTRGVLSSLLDMFVNVGILLSYVSNYAFASVAVGVVKTCFILVATFLSDRVGRRPLLLASAAGAATALASVALTLCAGGATSSAACVASVLAFVAAFSVGFGPVVPTYSSEAMPLQGTSLGTAVNRVTCGVVTMTFISLAGWITMPWCFFLCAGVAAAAWAFVCAQLPETSGRGLEDMDVLFSK >PAN41883 pep chromosome:PHallii_v3.1:8:6898137:6902712:1 gene:PAHAL_8G076300 transcript:PAN41883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVMASAATGVMGSVIGKLTAMLGEKYQLARGAEEGICFLKEELSTMDAVLQNLAEKDDDQIDPLAKDWRSKVRELSYDIEDCIDRFRLNHSHGGSKANFVRKAVRKVKILLQDRGLAEEIQKLKSLVIEQSERAKRYDIHAASPQPVLLDLRAPALFAEARDLVGIDGPRKEIIELLTCEEMQHKVVSIYGTAGQGKTTLAMEVYRKITEAFDCRAFVSVSQTPDIKKLLRDILSQISNNQFDDQTERWEMEQLIRNMRDYLIDKRYLILIDDIWSVAAWELIESALPRNDNGSIVITTTRSKTVAKSCCAGIGARMYEAQPLGDDDSQRLFFKRLFCSREDCPQDLRKVSSDILKKCGGLPLAIISIAGLLANKRQTVEVWVNTLKSISAAVDKDSHIDKMKRILLLSYFDLPRHLKSCLLYLSVFPEDYLIDCRELILLWVAEALIPGQDRESMEQLGRSYLNDLINRSLVQPAKVGVDGSTVKICRVHDVILEFIVSKAVEDNFVTIWNRNGFSQNYICNKIRRLSIQKDISGPVEEMVKTIKHAHIRSINIFGCNNSGLVKHAFKFLSNQVLRVLNIRGLRVDGECYLGQVKRISQMKYFGIINIGPSRGCELPEDLEKLQHLETLDISKINIRKPASIIQLQRLVRLNVGYWMQLPDGIGNLQALEELSSIDLSFQSVKFIQGLSDLTNLKVLAIVWTDATEVRDVEGHEKQKACISSLTKLFTRLRQFSVVGHPVATLSFMSLCVSTPPPLQRLVLGELISAVPHQISSLLNLTHLRIGLWGEVSKEGINILASLPILVSLSVFLFPGNEGDSGIFHPRHAIKSQGFQSLVKFTFRCWCEGALEFEPGAMPKLQRLKLVLPARCQFKYGDGGLVLGLQNLAGLKYVDLHIDWKAATSDEVDSLEDDIRGVAGVHHNRPIVQVERWNQNLMAPRVQP >PAN41729 pep chromosome:PHallii_v3.1:8:5487850:5489034:-1 gene:PAHAL_8G066700 transcript:PAN41729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGYLFREYIGAQFTGVQFSDVPINAMLSFHFILAFAIDYTPVGQQPTPPAPTNGVFSPFWDTGRLSPSAVAAIKAAHPNVAVMAGLGGDSVQDVVKAVFTPASVDSWVANAASSLTSIINTYRLDGVDVDYEHFAAGADVDTFVECVGRLLTRLKKDMPWITTSIAPFEDTEIQRYYQPLWRKYAGVIDYVNFQFYGYGANTDVPLYVRFYDNQTANYPGAKVLASFMTGNTTGLISPDLGISAAKELQRQNKLPGLFIWSADSSKKSSYGFKYEVQGQQIIANH >PVH34216 pep chromosome:PHallii_v3.1:8:33631218:33633151:1 gene:PAHAL_8G174200 transcript:PVH34216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSRSTSCSSPRPCPHSLLWNCPALRTFPIIPRTRIKWWIELPGAAFKNIGNSNISICQVDCPKNDNMTRPIPLNCSQQI >PAN43637 pep chromosome:PHallii_v3.1:8:43547501:43549945:-1 gene:PAHAL_8G252000 transcript:PAN43637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLTGDELLSDSFPYKEMENGVLWEVEGKWVIQGAVDVDIGANPSAEGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFIKRYIKNLTAKLEPEKAEEFKKGIEGATKYLLGKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAHGLKEIKC >PAN42323 pep chromosome:PHallii_v3.1:8:14144531:14147759:-1 gene:PAHAL_8G104400 transcript:PAN42323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYHPYHPTLYTAPRTPCFSGSSSLGQTVHNVITARVSRKQSVKAAIDCGTKLSAWTNVRREHWEGDLAVEGHLPAWLNGTYLRNGPGLWDVGEHSFHHIFDGYATLVRISFRRGRATGAHRHIESEAYKAAMVNGRPHHREFSQLCPRRPGSLLDRLRNVIGLSSGTMLSDNANISVFPLGDGRVICLTETTKSSVLVDPETLDTIGKFRYADRLWGPLQSTHPVVTRTEFLTLLPDLFRRGHRVVRMAAGSNERKVLGRVHCRGGMTPGWVHSFAVTEKYIIVPEMPLRYSVTGVLKSELTPWYIFDWVPESGSYMHVICRLTGKTVASVEVPLFMALHFINAYEQREDDGDRTGVLIADCCEYYADPSIIKALALHRLRSPGINKDTFPDARVARFRIPLDGTPVGELETVVDPDVHGRGVELCSINPAYQGKEYRYVYACGAQRPCNFFNSLTKIDLVKKEAKNWYEAGSVPSEPFFVARPGGTDEDDGVVISIVSTTQDEGYALLLDAASFEEIARVRFPYGLPYGFHGCWIPKKI >PVH34249 pep chromosome:PHallii_v3.1:8:35071105:35071662:1 gene:PAHAL_8G179900 transcript:PVH34249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf4 [Source:Projected from Arabidopsis thaliana (ATCG00520) UniProtKB/Swiss-Prot;Acc:P56788] MNWRSEHIWIELLKGSRKRGNFFWSCILFLGSLGFLAVGASSYLGKNMISVLPSQQILFYPQGVVMSFYGIAGLFISSYLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRIFLRFLVRDIQSIRIQVKEGLYPRRILYMEIRGQGVIPLTRTDDKFFTPREMEQKAAELAYFLRVPIEVF >PAN43085 pep chromosome:PHallii_v3.1:8:39428108:39431464:1 gene:PAHAL_8G209600 transcript:PAN43085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADADDVAPPTASGYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSLSVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAAQGTKGVDVVVADMLDLPFEPESFDLVIEKGTMDVLFVDSGDPWNPNPTTVNNVMKMLECIHRVLKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEYSTFGDGFHYFFYMLQKGKRSLESNSYQNIIPAAPRINMVHEELESEDFIFRTNVEEL >PAN41884 pep chromosome:PHallii_v3.1:8:6921120:6925723:1 gene:PAHAL_8G076500 transcript:PAN41884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVMASAATGVMGSVIGKLTAMLGEKYQLARDAEEGIRFLKEELSTMDAVLQNLAEKDDDQIDPLAKDWRSKVRELSYDIEDCIDRFRLNHSHGGSKANFVRKAVRKVKILLQDRGLAEEIQKLKSLVIEQSERAKRYDIHKYQAASPQPVLLDLRAPALFAEARDLVGIDGPRKEIIELLTCEEMQHKVVSIYGTAGQGKTTLAMEVYRKITEAFDCRAFVSVSQTPDIKKLLRDILSQISNNQFDDQTERWEMEQLIRNMRDYLIDKRYLILIDDIWSVAAWELIESALPRNDNGSIVITTTRSKTVAKSCCAGIGAHMYEAQPLGDDDSQRLFFKRLFCSREDCPQDLREVSSDILKKCGGLPLAIISIAGLLANKRQTVEVWVNTLKSISAAVDKDSHIDKMKRILLLSYFELPRHLKSCLLYLSVFPEDYMIDCRELILLWVAEALIPGQDTESMEQLGRSYLNDLINRSLVQPAKVGADGSTVKTCRVHDVTLEFIVSKAVEDNFVTIWNRNGFSQNYTCNKIRRLSIQEGISGPVEEMVKTIKHAHIRSINIFCYNNSGLVKHASKFLSNQVLRVLNIRGLRVDGECYLGQVKRISQMKYFGIKIIRPGGCELPEDLEKLQHLETLDFSSTNISKLPASIIQLQRLVRLNVGFWVQLPDGIGNLQALEELSTISLSFQSVKFIQGLSDLTNLKVLAIVWTDATEVRDVEGHEKEKACISSLTKLFTRLRQFSVVGCPDATLSFMSLCVGSPPPLQRLVLGNLSAVPHQISSLLNLTHLRIGLRGEVSQERINILASLPILVSLTVFLFPGNEGESSSLPILGIFHPRYAINSEGFQRLVKFTLDCALETALEFEPGAMPKLQRLELLLPARCQFKYGDGGLVLGLQNLAGLKYVNVYIDCKAATSDEVDSLEDDIRGVAGVHHNRPIVQVERMNQDWMAPRVQP >PVH34543 pep chromosome:PHallii_v3.1:8:42862598:42862877:1 gene:PAHAL_8G243800 transcript:PVH34543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPSLVWITRVVYVLAFSKSQHGNYPCCPSFASNTDIPHLLSGWHRRAICTNVRWASMDDVLSNSVCRMEEPI >PVH33523 pep chromosome:PHallii_v3.1:8:1251082:1252632:1 gene:PAHAL_8G017200 transcript:PVH33523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDDAGRRRPDEAEESSDEDGDESGMLAWSWDGINCALLDCSKHGDGSIYRGTQFWHSLLKPMMMLTPTDCQPNMWACKVHRGCAMMQIFSLKLAHTSAATDGPVQLYGFLAVRDRLNPLRNYIFNRTREDPFIVGRQGGNSSSFIQMAGPKRGIEMRASVLIEYDMKIKRGGGQENDLQLIDGAACFSELVSLHRRVYTQRIEGDCGAVDICFALLRNAVEATSVIAEPCDLNKFVVAVVRGSAMIVYLTVGQIGGSDRARPCYAFRANVHGFDVQEFKLDFATILVMVSWSTLVPFSPWPFCNLNIHKN >PAN41285 pep chromosome:PHallii_v3.1:8:2357237:2364090:-1 gene:PAHAL_8G032800 transcript:PAN41285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEGDAGGGGGPGGAEEGVGESSSPPRAPAPAPAASGGSGGGGRGAGGGVGGRDVCREVFERLVADGHVEAAGDSGPELRAQLEAHFARLPTSYQLDVNVDKAEDVLIHQKVLAEAKDPDRRPAFVVRFLRLEEVNVAETTNSDAHEEGADIGEALSTRSKAFTHIHEILFSTTDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDAFVVDGWPIEDTDGLHKALEASILRNEGSWSGSDSSTSGKSLPFLSEDYESDIDTRLLKIGKKVASGSCGDMFLGTYGGEEVAVKVLHPENFNQNAWSEFKQEIYMLREVDHPNIVRFIGSCTKPPQFYIITECMSRGSLFDFLHNEHNVLDLPTILKFALDICRGMSYLHQKGIIHRDLKSANLLLGKDHVVRVADFGLARFQDEGGAMTAETGTYRWMAPEVINHQPYDNKADVYSFAIVLSELMTSKIPYTTMSPLQAAVGVRQGLRPQLPENAHPRLLILNKRCWEALPSNRPSFADIITELEDIQAQAQETSGESSQKQKDGDE >PVH34100 pep chromosome:PHallii_v3.1:8:24752705:24752998:1 gene:PAHAL_8G142900 transcript:PVH34100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PVH34531 pep chromosome:PHallii_v3.1:8:42576953:42578291:-1 gene:PAHAL_8G239700 transcript:PVH34531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPISSNMHGGFQMYLWNLMALLYGLKAFFVQVSNLLFMCSKEEEPSATKCSLQFPFTPPHLVSLHPALLKKLCWQCANFWWIIDSRDPIGSKIICLETYKSPYAAL >PVH34519 pep chromosome:PHallii_v3.1:8:42230314:42232066:-1 gene:PAHAL_8G236800 transcript:PVH34519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELLDKCFSYIGFPSPEKVEEMQRKILQLMLLLEATTEDCPQRARLEQWMKELKTAFHEAEVILDAIDYDRLKSHVIPFQAVSRTKQVVETVMDFSVPIESRTELEPGGIIK >PVH34608 pep chromosome:PHallii_v3.1:8:43930706:43931088:-1 gene:PAHAL_8G257500 transcript:PVH34608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPITYEGSRIRPIASTYNVMITLVCPFLVVQRTVAPPTRKGRSCGQVLAW >PAN43136 pep chromosome:PHallii_v3.1:8:39762531:39767198:1 gene:PAHAL_8G212700 transcript:PAN43136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolycopene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06820) UniProtKB/Swiss-Prot;Acc:Q9M9Y8] MPPLAARVHASPLLAPVIPTARPAARLRASSGPRGGGSVRRGALASEKPAAVAVAEKAGEDRGGEQSPYDAIVVGSGIGGLVAATQLAAKGARVLVLEKYLIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALKAVGRKMEVLPDPSTVHFHLPRDLSVLVHRKYEDFINELISKFPHEKEGILKFYGICWKIFNSLNSLELKSLEEPLYLFGQFFRKPLECLTLAYYLPQNAGDIARNFIKDQALLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIATSLADGLVEKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKDKELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPAGTDCHHFVLEDDWNNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRNEYEGKKEVVANEIIRRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEQKSPVLDAGLLGLLRWLRTLA >PAN41302 pep chromosome:PHallii_v3.1:8:2445526:2452676:-1 gene:PAHAL_8G033900 transcript:PAN41302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDALRRLCEEIGWSYAVFWKAIGAADPVHLVWEDGYCGHTSCPVGSEPSEALPSDTGCSVFAADTICSLVNKVMASEVHVVGQGTVGRAAFSGNHQWIVHGTANGHGLSSEVAAEMNNQFRVGIQTIAIIPVLPRGVLQLGSTGLVMENTNFVMFAKKLCSQLNNRSSMAASASVKNASSQHGQSRPVHGMFHVRSDDSSSRICSKFPVTSDQNSCPESATVSTSTLPNSSLLKVAQQNGHPVVENFVYAKPDIRFIQQASYCGSRLRSNAQSVAMSSGLISPGLTSMKKQSLLMNSSGQLEFSNNAHSSADLARNVILRSLVRQDPSVRENTDINIHHGRYVVSNDINGPGDFDFLPVGARSSRANLCTSVPSQVLDHTPGTLQQKQSQVPSKVPQSSGISKKMENPERGSFRVPSAPASESDGQVSNSLNVGQDNQLSRSNHLRPDQKINRVNDPSVSVKNLDACELPGMPSERASSLLLEPAADNDLFGMFGAEFNQFTHSVGADLVTWSGAESQNSDRNVPESSIYLDSSPLFSSLDTDLHCSGMFSLTETDQLLDAVISNINPSGKQCPDDSASCKTALTDVPSTSHLGPVDLKCCETSGVPSILIKPESAQSVKQPCFLEKSEDGCLSHNNGMHKSQIRLWIESGQNMKCESASASNSKGVDTPSKANRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDGLLEKTVKHMLFLQSVTKNADKLKDSTESKILGGENGPLWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEMRKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDGSGAAENTNNMNKPLGLAHQPVIPATGGIQ >PAN42320 pep chromosome:PHallii_v3.1:8:14033650:14037388:1 gene:PAHAL_8G103900 transcript:PAN42320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRKPKSNLGSQRRFELLDLNKSSSSLNMSASSLRSVGEETRNCGKVVKGSRRATMVRFAPPPLSAAAAAPAKAVARPATASGARPGSASGQRCRTSAGRLPEPGPKAMRRSWGWTGDVDAKEKGGNPVAAKVVAKTQPRSSSVPRRLPPPEDKEKPLTKRGSKIMTNSTTETNPCTPPKMETEGSISPPDTARKSMKAPNSVSLKNMDMVSPPTRTSVATIGTSWDSLPSDLQNLGLEVMGYRDDVEVAAVEALKEASAAEILLRCLSAFAELTSAAAKQSPQQTVDAFLALHTAIKSSDAAIPGDDKQNRHAGDWLRTAVSTELAPFSLYSPLRKTSHTAGSPASSPPPPSTRWPVAAGAVAAAEETWLEAARRRLGQEMRAWFLGHVERLLDGDVAGTLGQLKRVSDWLDAVGLEPESDAVERVRKKIYGYLLDHVESAVVALNGGTAPGGRRK >PVH34456 pep chromosome:PHallii_v3.1:8:41090230:41090646:1 gene:PAHAL_8G223500 transcript:PVH34456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIKVISEAPKFSRPMGRDRAKRLRGSPGVGSSASSTACLEVLQKIQLDRAKYDERQEIASKDEAQEVAARYERKLSLVQEQIDIQRKMLELQEKDRVDKIMFMDLDKVQPWVRDFYIREQKKIAGWNDEASGAPPS >PVH33933 pep chromosome:PHallii_v3.1:8:14104322:14105185:-1 gene:PAHAL_8G104200 transcript:PVH33933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASGAKAASGLCQWTPTQSTFVLTFLTNIVADGTKTSTGFKKVHLNACAKALNDHFKLTRTGDQVSNHLKIWKKKYARINYLKNLSAALWDEDEFIVSLDHDHYKGHMADPKNKADDEYLNKPLPYYDFLATIFGNSVATGQYAKSSNDPIGTDRSEGVSHGGDATVENDGLNHGIDKSVINDDISSSARPAKRAETIDDTGRKTDGLVEAFQRGTQTLAKAIAQASSALPHGLFEAVDSLPGFELHHKTRYYQYFG >PAN42686 pep chromosome:PHallii_v3.1:8:35471021:35471585:1 gene:PAHAL_8G182300 transcript:PAN42686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQVRRFGLRNMEVHHGRPAARRGRWKKITQAGSTVLVAVSGNHLMEVKDHEKEQIEDNKCQNEKIPLPIGHHLRLSSNRQ >PAN42493 pep chromosome:PHallii_v3.1:8:29014031:29014906:-1 gene:PAHAL_8G157400 transcript:PAN42493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVSEHQQLKEPGTQAATSHHAPAVPSEEVAIGDVVNANQEEQQRQAAKRERDFLAGIRKLIKSFKSLSQIFEIYKDDDDEIEDDMDVGIEIGFPTDVQHVAHIGLDGSTNLSSLRGLEGARDLLPLSNLTTLEQFELAMASLAAAPSTDRDGVLDRASRD >PAN42532 pep chromosome:PHallii_v3.1:8:30122518:30125421:-1 gene:PAHAL_8G162600 transcript:PAN42532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRPEDAMEEVEAEAEAAPKAAAGPELGFWLAARRRLAPDDPFFAAGDLERELLAKHVALDLSEDDRNQLEKMEVASTCTLFCPIAGCGVHLDCLEDFEDHYVTRHTASCSVCSRVYPTSRLLSIHVSEAHDSYFQAKVARGFPMYECLVEGCGVKLKSYKSRQQHLIDKHQFPKSFEFFKKARPSQRQRQKSHRRQTAHKGEETRDNSMDVDGKGTRQTNWRYRPKQHDHKESIENDRQHKEAKENEMEVEQKIDGLTSAVSKLSTADSTPANVTFGHRRSRGLTFVPRSIRQNKKAASQPEAK >PAN43532 pep chromosome:PHallii_v3.1:8:42745346:42750953:1 gene:PAHAL_8G242400 transcript:PAN43532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAPSSSAPSLPAHLPCKAHARLLRRKAPPAAAAPRPLLLSFPAPARRRSAGLRAPAAQQRSDEYQFEHDEEDYEGDEEEWDEEDEEEEDEDEVGEEMDVEAMEEEARGAAADLAKRLARELHIDDDVREKRKNIRESMSKHIPDNLLPKVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAVTTTVGMDGIPLATREAAIARMPSMIEKQAVAAVDEAAVVLFVVDGQAGLVAADIEISDWLRRNYSDKCVILAVNKCESPRKGQLQALDFWSLGFSPLPISAITGTGTGDLLDLVCAELRKFEGLDGVEEEKNKVPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTADGEKYKLIDTAGIRRRAAVVSAGSTTESLSVKRAFRAIRRSDVVALVIEAMACVTEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHESTTHYEQDVREKLRILDWAPIVYCSATNGTSVEKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAVGPPTFVLFVNDAKLFSDTYRRYMEKKLRSDAGFPGTPIRLLWRSRRRPDKRGKSADSKLQSPGAPSRMVVAA >PVH34326 pep chromosome:PHallii_v3.1:8:37886067:37886777:-1 gene:PAHAL_8G195800 transcript:PVH34326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEENVIYGPNGVDLSEFNCAVRGITRPHKRTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPLINIEAAPVDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRKNEIIQGARYHSIEEVKEAVKCWSLSLM >PVH34446 pep chromosome:PHallii_v3.1:8:40533988:40534937:-1 gene:PAHAL_8G219700 transcript:PVH34446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRAAGLKAAAALAVFAVLVMSSQGLPRKKPLCSDCSPLCNTSCTAIAAANCSSYCSTFPWEQCKSQVLQACCRDVCSSSTGTSSISCCPNDCIDGNCVTCSCDNCNTGVQNVCSDASDLRCQACKNGIGQDCLSSCINTCEDHCVKKDC >PAN41981 pep chromosome:PHallii_v3.1:8:16122342:16125241:1 gene:PAHAL_8G114800 transcript:PAN41981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLYTLLGTLVLFSPQHASCFAAANHDTLTVGQELSVGDKLVSRNGKFAVGFFTFQSGPSTSSKSSNTTASPRWYLGIWYNKIPVFTTVWVANRDNPITHDAKLSLTQLKISRDGNLVILDGATKSRIIWSTVIINSTETGRLNTSAVLLNSGNLVVITENSSNPVTLWQSFDYPTDVVLPGAKIGWNKVTGLTRQYISRKSMIDPGTGSFCTELQINGALVHRSWNTSNVFWSWGTVRSSAPKLVPLLQSLLDINPETRGLIRPDYINNSEEEYYNYTLLNESIYIFYVHDISGQTKLNVWSQAKQSWQTLYTHPISPCTAYATCGPFTVCSGNSNPFCGCMESFSQKSPGDWELGDHTGGCVRDTPLDCTDTKNKTSSTDIFHPIAHVTLPNNPEIIKDATTQSKCIEACLSYCPCTAYSYNNSICSIWNGDLVDVKKNDGTENNSEDVLYLRLAATDLEKLRKNTKRKPVARVIVVTSIITFGSLMLIMLLLLMWRNKSKWYGVGLRDSQCGGGIITFRYSDLNHATKSFSEKLGTGGFGSVFKGVLSDQTTIAVKRLDGARQGEKQFRAEVSSIGLIQHINLVRLIGFCCEGDNRLLVYEHMLNGSLDAHLFGSNPSVLDWNARYQIAMGVARGLSYLHHSCRECIIHCDIKPENILLDASFIPKIADFGMAAFVGRDFSRVLTTLRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGKRKTHEQVYTGSNHHVAYFPVQAILKLQAGDVSSLVDPQLHGDFDLAEVERVCKVACWCIQDDESDRPTMGELVQVLEGLQELDVPPMPRLLAAITHGIL >PVH34254 pep chromosome:PHallii_v3.1:8:35075192:35075308:-1 gene:PAHAL_8G180400 transcript:PVH34254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit beta [Source:Projected from Arabidopsis thaliana (ATCG00570) UniProtKB/TrEMBL;Acc:A0A1B1W4V6] MTIDRTYPIFTVRWLAVHGLAVPTVFLLGSISAMQFIQ >PAN42387 pep chromosome:PHallii_v3.1:8:18724640:18744459:-1 gene:PAHAL_8G128200 transcript:PAN42387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTINSLTYKGSIPDAINQSRREKKLFVVYISGEDEASSSLEQSTLVDENVVEVIGRCCIFLHLKQGNVDASQFSAIYPQKSVPSISVIGLNGVMLWNHEGHISSENMKESIEKAWAALHLQETAATLLTASLASRNAEPVNTTSTTTTLLPQGGSATSENPSVSSSAPDISGASGVAHSAELVSQLPSSTNHDELVEINEKQGEGSQSDSGGIAVEKIDSASTEVECDLRVSSMSATMGSYADPEDEDTTPSLKRKNKDDGTCAAVPVVATPSTSSTRGVSTQLLVQQDNITSSAPVEPVSNPVKSDDIQLSIRMPSGNRLEMKLTKQDVLRKVKNFVDENKGSGFGSYDLSLVYPKRIFSEQDMETTLYELGIQNRHAMIVVPHRQPVQVSRLQSSSSSSSDAGDSSGGGGYFGYLRTIMSYANPLSYLRGNPTASRPELEPNEGRPSGPWSERRPLPGNRGQEATDESSANTLRRRSRPFGANVHTLGSDDQGPSNDRNVFWNGNSTEFGGGDRK >PAN43509 pep chromosome:PHallii_v3.1:8:42658319:42658688:-1 gene:PAHAL_8G240800 transcript:PAN43509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIVLESPSKSDPFEKMFLLMPLIPIEKFAHQHHVKFRWDMLLMNVYGEDIYNRLGANYTCEKKRRVGADFLLNLHLSGPAVLLAHAVLKVEHQIEPNELENM >PAN41325 pep chromosome:PHallii_v3.1:8:1928682:1929041:1 gene:PAHAL_8G027100 transcript:PAN41325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >PVH33827 pep chromosome:PHallii_v3.1:8:6481676:6482083:-1 gene:PAHAL_8G073200 transcript:PVH33827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKMRTTCTWIERPYEALLFSGIGFGPFLRSLDGRRRRPPSGGGGGGGEPSGQSQVDSFYGA >PAN40946 pep chromosome:PHallii_v3.1:8:434299:435251:-1 gene:PAHAL_8G004400 transcript:PAN40946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVICHLLATKYILRNLSSIMDARYVALCFFLVLVLHGNPTLAAETCRQFVKVHPFCFKAYCKANCFIQGKYTDGSYVKGYRCEGNIFQSVCVCLLCKH >PAN43823 pep chromosome:PHallii_v3.1:8:44566269:44570445:-1 gene:PAHAL_8G265600 transcript:PAN43823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYSPSYHSPPRRGHGGRGRSPPPRRGYGGGGGGGRGGRGDQGSVSLLVRNIPLRCRPEELRVPFERFGPVRDVYLPRDYHTGEPRGFGFVEFVDAYDASEAQYHMNRQMFSGREITVVLAADTRKRPEEMRRRMGPRGYSDHEGRRSSRRGRSRSYSRSRSPRPRGRARSRSYSPAPRRRDDYSASPPRAKEEHRRSSKQPKEHDGDKKRRSYTPEDRNDRLGADNGHDERKRSPGAAAEEDEEPRRGRRRSPRLASVSPPGSRSRSASPASSG >PAN41163 pep chromosome:PHallii_v3.1:8:1492079:1498861:-1 gene:PAHAL_8G021800 transcript:PAN41163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGAGTGAMSSLLGKLTTLLSDEYTLLKSVRKEIAFLERELRSMHALLETLAEMHKLDPLEKDWKDNLRELSYDIEDCIDRFTHRLGNGDAKRGFVKRTVHRLKTLWKRHDIATEIQELKARVMEESKRRARYEFDNRNPRKPVVEIDPRLATFHDEAKNLVAINGPVNQVIAWLAEESMELKVVPIVGCAGLGKTTLAMEVYRKIGGDYQYRASVSVSRILHLEKLLKDVLSQIHKDEFSKCQTERWGKEQIIRAISQILTGKRYLIVIDDVWREEDWKIIKASFPGNHNGSRIIATTRITNVAKSCCSNSGGQLYQMAHLNSVDSERLLLKRIFGPDNACPPHLKDISAKILKKCGGLPLAIITLASLLANKPPTKDEWERLQDSIGIDSSQNDSLKAMRDILLLSYWDLPQHLKTCLLYLCIYPEDHSIECEELKWKWIAEGFIDKQWGNLDQEAENYLNELANRSMLQLVDTNYDSSIKYCQVHDMVLDLIISLSDEENFATVLNGRVCNSLPSKIRRLSMHSNGQQHKVAIHATARSKLHARSLHVFGEFKQITPLVNFLSLRVLDIREGYSSNSCCFWLEKNQIKNIGSLSQLRYLRLDSSKLTELPEEVGMLRYLETLDLRHCNSHSFTVRPSTMVRLRKLVRLLVHERLMLHSDMFRRMDALEVVSAVSNVDNPMKFAEELGNLTNLRKIYMPCSVALLQAKNGGYAEAFVEILVSSLNELGKYNLKYLHISGSVGENMFRDTCCAFPHLQDLEICTLIERIPKGMASLNNIVRLLIKVRLFDEEDLRLLMGMPSLTHLELTLYHRIGVRKKHTIGSNGFKLLKVLHYEIALSPGTGISFAPGALPALRSLHLSWTARDVMSRHCNGANLGIEHLAGLAQLHVETNCRCATLGEVLAVEASIGKAIALHPNRRTLQAHVRRLGASYIYKDVKERDMESHEDTTGDSDEEYGNSEIDMSDSPPPSTSRLLQEDQVTWREKHLSNSAILRVCKWPDE >PAN43009 pep chromosome:PHallii_v3.1:8:38881138:38882010:-1 gene:PAHAL_8G204000 transcript:PAN43009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSERGLAAASLLLRVLTLLLLIASLVIIVTNKVYAPFTDVVDPPNLTFRDFSAYRYVLSAAVIGCAYTLLVLPFAAIHVAQGKRFGRGRGIALIIFTDVVLAVLIATGAAAGLGLTVEFQRSSQDSDFKNFLNLVDVSCGLMLGATICMVIMIMISVHPLT >PVH33947 pep chromosome:PHallii_v3.1:8:14966854:14967219:-1 gene:PAHAL_8G108500 transcript:PVH33947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRIIDGRCAGFGQNDGARGPGSAAVQGAEGQRVGHAGARARGTPTEEVGGALGRWCRGGAGGGTGGSAGGALRRGGGGGGSGMDMHKGRGGGGGTGAADVETAAAKIENGEGRRGGRDI >PVH34236 pep chromosome:PHallii_v3.1:8:35050347:35051476:-1 gene:PAHAL_8G178600 transcript:PVH34236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTNGPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >PVH34375 pep chromosome:PHallii_v3.1:8:39307223:39310582:-1 gene:PAHAL_8G208000 transcript:PVH34375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAGNGVAVAAAAAWNFAPNETLLDLTALSVRGVLGRVKAGMVADGGGARAVVPMGHGDPSAFPCFRTAPEAVDAVAGALRSGEHNSYATSVGLKPARRSIAKYLSRDLPYELSPDDIYLTSGCSQAIEIICSVLARPGANILLPRPGYLFYEARATFNGMEARYFNLLPEKDWEVDIHGVQALADDNTVAMVIVNPGNPCGNVYTYEHLAKVAETAQKLGIFVITDEVYAHLTFGERKFVPMGVFGTVVPVFTLGSISKRWVVPGWRLGWIVTNDPNGVFQRTKVVDCMKSYLDISSDPPTFVQGAIPKLLENTKEEFFNKTVKILRETADICWEKLKGINSITCPSKPEGSMFVMVKLDLSCLQDIKDDMDFCCRLAKEELVVLLPGCAVGYKNWLRITFAIDPSSLEDGLDRLKSFCLRHKKPTK >PVH34320 pep chromosome:PHallii_v3.1:8:37594373:37595582:-1 gene:PAHAL_8G193800 transcript:PVH34320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSAVPIGHGGGILDHVNQRRKEEGVPFSRQRTSPLHYHYPHHTALAVTSGKEGRTKLASELITRARMHGTNN >PVH33557 pep chromosome:PHallii_v3.1:8:1532701:1534622:1 gene:PAHAL_8G022500 transcript:PVH33557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPEMQSSAALSLLILLLPILLYISYHLGGTLTKKKPTTHGLKSHPLLGHLPAFLRNRHRFLDWSTELIVASPDQRMGFWIPGMRTGIVTANPADVDHVLRANFANYPKGEHATSMLRDFLGRGLFNSDGDQWLWQRKNASLQFTSRSLRRFVVDVVQAEVANRLLPLLRRNNAAGGGEAGVAVLDLQDVLERFAFDTICMVAFGHDPGCLADGGTLDEASSDFMHTFGEAQDLIVGRFLEPIEVSWKVKKWLNVGTERRLKKAIADVHAFAMDIVRARRRSASVDARDDVLSRFVASDDAHSDDEALRDIVLSFLIAGRETTSSALTWFFWLVSSRPDVVARIADEVRAARESAGTRAGEPFGFDALRGLHYLHAALTESMRLYPPVPIDSQSCAADDTLPDGTHVGAGWSVTYSAYAMGRLAAIWGEDCAEYRPERWLGEDGAFRPESPFRYTVFHAGPRMCLGKEMAYVQMKSIVASVLEEFVVDVKKKDAAGGVPEPEHVLSVTLRMKGGLPVQVRRRVVHGGAE >PVH34584 pep chromosome:PHallii_v3.1:8:43678913:43679282:-1 gene:PAHAL_8G253600 transcript:PVH34584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSADPSAASLPSITNHRALTLHRAQWEQIGSDQIRCSSGLTEQESIRSSLEQNRNQGEIVDARS >PVH34238 pep chromosome:PHallii_v3.1:8:35051477:35052629:-1 gene:PAHAL_8G178700 transcript:PVH34238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSFTRKTYCSCHLGSSFWSTRGAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFH >PVH33745 pep chromosome:PHallii_v3.1:8:4493644:4496569:1 gene:PAHAL_8G057000 transcript:PVH33745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGVLIGKLGEILVKEAATYSASLLSKEVSSLKGLFGEIRRAEQELKSIKAYLRDSEKFKDTDETTGIFVESIRELSFRIEDVVDEFMYKFEGDKHGGFAVKIKKKIKHVKIWRRLALELNNINVELKGAAERRDRYARPGMENYTGNTDHHAKSTNQTLCFAREEDLVGIKDHATKLKGWLVGDLEERSPKITTVWGMGGIGKTTLVDHVYKIVKPEFDASAWVTVSKSYQVEDLLKKIAVEFGIPVDSSNMDTRRAVEVIRNHLEGKRFLLVLDDVWEQDVWINKIMPVFPTNCTSRFVLTSRISEVAFLATNNCSIKLEPLQEYHSYMLFCKVAFCNNDEKGCPSELKDLSAKFLLKCGGLPIAIACIGRLLSCKPPTHSQWENVYQKLELQSTKNVIHGVDTILKVSLEDLPYELKNCFLYCAIFPEDCDLKRRRLIRHWITAGFIKEKENRTLEEVAEGFLNELVNRSLLQVTKENEFGRVKSFRMHDILRCIALDKVEKECFCKVYQGSRTFSADGTRRLSIQSSSVVPLCQFGATHL >PVH33996 pep chromosome:PHallii_v3.1:8:16653420:16654007:1 gene:PAHAL_8G116700 transcript:PVH33996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRAPAPPLPPLPIPSSRFIHAPDTPSLLFRLPPPLASPPTPAPVPPLAAQARADADLPLHLPLAAADAAGAPHLGEHRRRPPSVPVRTLLRAQSLAGVAQPHPTTRGPLPHAAIRCPPELPALLGRAAKLSRGSPACRFTARAIAELSR >PVH34524 pep chromosome:PHallii_v3.1:8:42406464:42406873:-1 gene:PAHAL_8G237800 transcript:PVH34524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQMNLSAVLLVVVLLLPVVMAAGESASAGKYVYCPEHLSGNYKGPCWGLINDAKCKRVCIEESSDNYDGFCDFIQCWCQGTCTSEEAATAGAPIPAA >PVH34002 pep chromosome:PHallii_v3.1:8:16866429:16866921:-1 gene:PAHAL_8G118300 transcript:PVH34002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFACRIHETTTHQNSLAIHAAAASYIYKHTLRPQHEHLLFRIDGIAREGGPAAPTLAAEAPEPGGVAAMTMMSESVSTGARVDGRVRGGGVPAVAARGSCKVEASARGPGEGGDGGSGGGAAFLVARRNAASRRLLPRFVSCVVV >PAN43443 pep chromosome:PHallii_v3.1:8:42199393:42200497:1 gene:PAHAL_8G236400 transcript:PAN43443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRQVMLFIINGAKMPTTDGRRQSFPPALLPLLLLVLLSGCPPPCKGDLKNSAGPSLAPTPAPTLALDLAPAPSLGFETVGFNISRALCTGHVHGRITPKRTSGGQPSRQAGSRTSGPKRPCDSPPCTPPPRTGAAGINVNRA >PAN42734 pep chromosome:PHallii_v3.1:8:36662639:36663373:1 gene:PAHAL_8G187800 transcript:PAN42734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMESPEYAYREYVAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKTAPLRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKQLIRGIERITQELEEQRTRAANAEYSLAALQAQMQEYENSNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN40976 pep chromosome:PHallii_v3.1:8:561162:562288:-1 gene:PAHAL_8G006700 transcript:PAN40976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKPPPAAGGKQELEEALLHIVQQHHHHQSFRQRQQTERAKKDALRSAVRVSDLLVDTVDGGVQELFVNEKRIEHEARALLITIARYRKQTDQWLAATNEINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >PVH33939 pep chromosome:PHallii_v3.1:8:14501173:14502839:-1 gene:PAHAL_8G106400 transcript:PVH33939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYDAVFLSPHKFVGGPGTPGILLMNRALYRLAGHPPSTCGGGTVAYVNGFSEEDTVYYDDIEEREDAGTPPIVQKVRAALAFWVKEHVGRGGAVALRERAYAEAAMARLRANPDVEVLGNVTARRLPIFSFLVYPPGGKSGRRLPLHGRFVARLLNDLFGIQARGGCACAGPYGHALLGVGRELSLRIRAAIVRGYHGVKPGWTRVSLAYYMSREEVRFVLAAVDFVAAHGHRFLPLYGFDWATGDWAFRRRTFKHHVMREELLRGGDHRRDDAESYRKKKSTAAGGGDEHGLGDRYERYLEIATRIALSLPDTYDELVSSVPKGIDPDIILFRV >PVH33424 pep chromosome:PHallii_v3.1:8:356399:359953:-1 gene:PAHAL_8G002400 transcript:PVH33424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASASTHPPPSTPNDHDEDEDDEFDDDDDLDDEADDEPSASPSEEARLEAVLRRLTADEVRIRVYQVTIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAAAGDRVRRLGAFDTVSITLDAAPPGIPGSAVVVLVDVAEARGRAAAEFGVFANTQTRSCSLEGSLKLKNLFGYCEAWDAAGALELDQTTELSAGVEMPRIGVIPTPLVARISFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLTWRKLTDPARMSSNSVQEQLGHSLLSSVKYAYTVDQRDSSIRPTRGYAFLSSSQVGGLAPGSKYSRFLRQEFDLRVALPLGVLNGALNAGVAAGIIHPLERGSTVSPLSERFYLGGNRSLVCRLGGPSSLLGFKTRGLGAMEFRTCDPNNSENGTSSCPELIGLGGDIAVAAFADLSFDIPLKPIRDLGIHGHAFVCAGNLGKLTECDLRKFPLTNFLQTFRSSAGFGVVLPTRLFRIEINYCHILKQFDHDKGKTGIQFNFASP >PVH33566 pep chromosome:PHallii_v3.1:8:1732950:1733816:-1 gene:PAHAL_8G023700 transcript:PVH33566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAPGRRKRGTAAGARARRRSLAPAWPAGRHSSAPPCRVRYRIHQEPSIRLIPRGEDGDDQKLPAGWPLLFSRAARLLLNHPFWRPMSP >PVH34500 pep chromosome:PHallii_v3.1:8:41949703:41950429:-1 gene:PAHAL_8G233200 transcript:PVH34500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQERLIIVYAVVPDASLISQSPARRMCARACLHALLNKVPHPTSCPMGPLCATCPYHRIQDACHVC >PVH34626 pep chromosome:PHallii_v3.1:8:44288695:44289250:-1 gene:PAHAL_8G261500 transcript:PVH34626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLRSPTTCNAIAVLLAIVTITALHLVSTGHARGYFADLKECAEWCNGQGFYGGGFVHMLCCCGPRIPPTPPKPAATN >PAN42267 pep chromosome:PHallii_v3.1:8:34293478:34293789:1 gene:PAHAL_8G176500 transcript:PAN42267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASATLPISPGTPATSPATYPTPTGPITRSRAQKIQQEVHALLCEFQLNINENFVLPKSCMFPLLRFTKEGDKHTPRTNQRERLRSNQSSVTEQPERNSHIF >PAN42000 pep chromosome:PHallii_v3.1:8:13120898:13124948:1 gene:PAHAL_8G099100 transcript:PAN42000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNQRDRDRERAAARKPNAKGPQDGLTPEQRRERDKKALEEKAAKKAQQAAAGATGTSTDNKNKGGGKK >PAN42954 pep chromosome:PHallii_v3.1:8:38575275:38576834:-1 gene:PAHAL_8G201100 transcript:PAN42954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLDGAEPVGTKEKWKRHSIFRVPHQFKAVHGKVFAPQTVALGPFHHHDAALRPMEEHKLRAVRRLLRRAGDRPLRELAAAVGDMAEELEDAYAGLGAEWRGGNRGRFLEMMVADGCFLLEVMRRKFKDYDPEDPVFGEHAGKHIAAFVQRDMLMIENQLPLALLRRIVAVESGKLPDERSINNLVAEFLCEDGWCATEVGSRLGLHPLDVYRRSLLRPWPLPSRQEGQRTYCCFPTIGGAARACQQHCSSCCLPTRSETGAAPPSPRSRGAPTAASASRPPPLPPRSAQRLWEAGIRFRRSETRLLDDIRFDRCTRRLRMPKIILDDSTEYKFSNLMAFEALHAGAGSGGVTAFVLFLRDMGGVLDHDLAGSDWAVVRLVNRLSRDVAKFSDSYLCCVRREVEDYCNGDKWRVFVFNSWAKLKGTYLSSPWAFIALVVTIWLVGTDMTQTLYAVETYEREYGGNATKSP >PVH34351 pep chromosome:PHallii_v3.1:8:38913903:38914451:-1 gene:PAHAL_8G204200 transcript:PVH34351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSWERPQPPTGILAAMLPEWPCLNNASELANPRASRLPRPSASDDVVIIAIHGSAASHNKQCSAGACIDLFDANRIT >PVH34512 pep chromosome:PHallii_v3.1:8:42147862:42151396:-1 gene:PAHAL_8G235900 transcript:PVH34512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLCDLGKNINEETLDESRLIKVLREFLQEKRYFIVIDDIWDISVWKMIKCALPNNDVGHAIITTTRIFDVAEQAGGAYKLKPLSLNNSRKLLYRRIFGTENKYDNKGIEKCPNEELAEVSDRILKKCSGVPLAIITMASLLACKARNKIDWYEVYNSVGTGLENNLDVKNMRKILSFSYYELPCHLRTCLLYLSMFPEDFEIDKGRLIRMWIAEGFIKSEKQGKSLFEIGDSYFNVFINRSMVQPIHDSITCMVDGCRVHDMVLDLIRSLSSEENFVAVLSDMDSTSPSDTIRRLSLQNVKNSHIMAHATRSSLQHARSVVIFPSDFAQAPSLGSFQVLRVLDLCQCDLSQANSLKYLGNLYQLRYLRLYCMHISQLPEEIGNLQFLQTLDVRENTISWLPSGVVQLTNLMFLYIDGSTKVPNGIGNLTCLEQLSRLCIDGSTTNIVEELGQLAALRQLDIEFDEWNNKVLECLRMLQKIQKLYISVLHISVLDTWIAPRQIRDLRTIGSCWFSTLPAWVNPSLGFLHCRLESFTSMSS >PVH33993 pep chromosome:PHallii_v3.1:8:16298660:16299157:-1 gene:PAHAL_8G115800 transcript:PVH33993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYTSLVNPTLREELCEVSPPRCYTSTPWPSTILIPFPCGGGNRPLETSRGSPDTWVLNGQHLAV >PAN42355 pep chromosome:PHallii_v3.1:8:14763326:14764321:1 gene:PAHAL_8G108000 transcript:PAN42355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELYTSSASCWYPAAEPAASPTFDSGATDGGVLLQLEAFLLDGIDAEPAEVCSDWFSPSSSSTASNSSEVGAAMGSSATIGDSQHWMPGTDDTDDMLLQLDAFLLGTDADAAEECSDWLSPSSPSSSEAATVSRSTPGQHQLPNADASPGEKRQAFIGVRKRPWGKFAAEIRDSTRKGARVWIGTFDTPEAAALAYDQAAFSARGAGAVLNFPVERVRESLGALALPGAGAGCGGSPVLALKRRHSKRTRRRKLSPTTDNSKNAMAQQPLAHQSSDVSGMAAAVPLQQTTTPPTTSASQCQCGTVELDDLDDEYLEELLLVVSSELGEY >PVH33412 pep chromosome:PHallii_v3.1:8:230824:233481:-1 gene:PAHAL_8G001300 transcript:PVH33412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPANVVACTALGVAAALLAVLLPGPRLATREARDKTRAYRELAAERVRVMADAAIVVIGEAAAACSRQRRWQMAARTSEANRLASASTALLHRVNAIKEDVQWERGAAAAVDDGMAAMVEMPLTGMQMALAMMMDNKCKQQLLDHHHHADLIMEMRDQIRLALLTPNNKQTAAAAAPGGGGFIRRSSSKPQISLCLPKTTTLQPQQLAPWLFLFSLYQLRGAASGLLVANNGANAGVNKKVAPAATAAQESDLPGDDDDEEEEERQQAATAGEGKRLANNDADCSTTTKNNHPCWFWRRLVAAAKCGLSLGLAVLLGLLFSNDHGFWSGLIVATTITTGRESTWAVAAARAHGTALGSVYGALGCLLISQQRLLTMDLRFLALLPWMVLATFLKRSRAYGPAGGVAAALSGIIIMGRRYGEPAMAFTVARLVETFIGISCAVLADIIFQPGARPSVQAREQLTRCIAALAAADDPSQSQLKSLQSQLALLRRHAAEAGSEPSYLWLPPFPAACYDKIQGSLGRMAQMLHLYHQARMAVDVGDDDMFQRRFRSLVSSSLRHCHRMLQAPADAIMSSSSSSPDHQEAKDLEAGNAITSSTSSCCCCFKEDDDREEEATTPEEVVDSFLAHAGEAAALLDDDDDGDEAHAEEEEKGLLVCCLASMGLCMGEIIREALRLEANIIDLNNLQRPHH >PAN42257 pep chromosome:PHallii_v3.1:8:34661913:34667353:1 gene:PAHAL_8G177700 transcript:PAN42257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGGAEPHRKMTMNEGWLAAGGGEDGHPSNTKKLDELPERIINHYVLVLTVALMTVRGLGVLAFAWSTVVLLGGFVTLLGRLDFWFVTLISFAQSARIFGAVADAQYLYLVELLSRPIIGTAKRMLNQVCCRNKKQSATTPQRSYEESNEEPRACCNRFPSLGMKDATMMLIKIGLPIPLVLVVLHPVFVLFNIVASFVRLWRKDYGQEANLKPAVVIFYSLAFSQGVLYLLWLILDVGKVLPVRLVVQKYGFHGTWGTQYVRRYLIDTKFKCLKDLSAIKGRNLITYASGLLDSDYMKDYLCGERILVYFIEREMLPRQDLIRSSRKRIQKLIMMLSWTDEHYQEIRLLASKIVAHVAGHVSIAQFPGTLESVSSLLDPSENNHILFRSSSSPGGNDADHTVQINIDDAHGRQECQLIEHGLIILHKFTLDTNNCIEMCKSDVLIMHILAWICSKPFIPVNEQAEWIDILTRSFKVLNQLTSVRGQAGNEVRKKVKFELEPSWIYGYGSHPEIQMLAIDLHMQVFLKHFEEPQEFIINNSNSDKHKKKKPSPKSDKRENFLMVILNIFLSYKDMENEGIGSVASRKVQVKAGEAIAMVSAEEKNYKAMLKVKGGIVRELYNIICSEIEIEYRAIAADIFKRFYANTVREPKEVLQKVLDLLQTSMESETLGNGDLPVYPDSSKHPDSKCNQQNYVKRLQAALLRIICVIHRSRQITAKDLVDVVGSVSYKSGYVGLLKELVDRNKRGATAYPIEIVKWAAMIVDLMIQSKTELVQQIREKGIVDSLIEASNIMSGVDSWTIFRHIHRRGLMWNQTLAEIVKDIKNRTY >PAN42800 pep chromosome:PHallii_v3.1:8:36418823:36420864:-1 gene:PAHAL_8G185700 transcript:PAN42800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQFAAAAVLISCLIALATLASCNSEGDILYKQRQAWKDRNNVLQSWDPTLVNPCTWFHITCNNDNAVIRVDLGNAGISGPLIPDLGGLTNLQYFELYDNSLSGTIPATLGNLTKLVSLDLYGNHLTGPIPATLGAIGTLRYLRLYENKLTGAIPTSLGNLMNLQELKLQMNALSGSIPSSLGNIKTLQFLKLNENMLSGRVPLEILSLIISGNLTEINIAKNHLAGTVRSSGFRVTAIIQDKLKAA >PAN41153 pep chromosome:PHallii_v3.1:8:1437820:1439804:1 gene:PAHAL_8G020800 transcript:PAN41153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEESGARRWLVDIARWRPSPAQFDAAAALLPPHERPAISRFVKEDDRKRALVSRLLQYSLVHHVLRIPFHQISICRTPEGKPYLNNCSTFPNFNFNTSHQGDYVGIASELICLVGLDIVSISKPQGETTTEFISNFSSYLTDHEWDCIVHDATPSEVLTEFYRYWCLKEAFVKAIGAGVGFGLHRLEFHHEHWTNISIHVDGELSKKWRFWIFKLDEMHLASIAKGHPEDAVSSYKKTLSNANVVEEQLHSTLGSSEEAFTFWTVEQLTQSLE >PAN41511 pep chromosome:PHallii_v3.1:8:3783794:3784099:-1 gene:PAHAL_8G049300 transcript:PAN41511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVRRSASLASKPAMPALKKAQINLCHQLGLLYDERAPIEQVLIDYINMYSGPLPQHIVAVLSSFFGIHDEFAIQLDEAMMELAGVGIDDVQGVINDNDV >PVH33923 pep chromosome:PHallii_v3.1:8:12132129:12134053:1 gene:PAHAL_8G096600 transcript:PVH33923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSSTDIKRGCDEDPTFDMDVDGNLELEIVGQDEPDARLDLNMQGQVHVRGISQFHERWERRPTFWPWWTGSSRVHLTVANLSKFDMC >PAN41566 pep chromosome:PHallii_v3.1:8:4136787:4141531:1 gene:PAHAL_8G053300 transcript:PAN41566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLMFEDASDIYGDDLPVPSIAKKTQVFVKHLHGTLSLQVDLTKDVEVLKEVVEKRLQRNVSDEYFAYYLKELEPRNSLLSYGIKRDSTISLTPRLRGGRRRLKTLLRFFLKHKEELVKLVELTDGSDSVELTYMGCKFLSSWLCCFLRAFASGRSWGGSFDLVSFLVPRQSVHIFKTPSKELNPRNLHMDCTTFTRFVRVMFVLNNQHPPYLLHLLSMLDNLTMEDLSADMLFSLTTHISLMPSLNRVCLVYLIKRRYDGWHPSERKRFRNYVNACQLHEIWLLNLRFVPMFGDVLVETLRRSAVSHNDWHQLFVIIRNYNTHGPEYCWRGNVQWYNYSNNGMELIVPKYTADFLAQMLDVLIERKFDIKDELMACSVSCCARKIWKRRFRENSDRRSSSPIDSERSVKRRFRENSDRRSSSPIDSERSVKTNKRRRAESYDRRGSKKHKKL >PVH33522 pep chromosome:PHallii_v3.1:8:1204655:1205718:-1 gene:PAHAL_8G017000 transcript:PVH33522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFCRLQKVMEAKTFTEGAKKQNHQNSGSYQQKEKGHQRFQLKQFIIKAIRSLKSTIKQQVRLFVTAHSSPPPKKNVLTN >PVH34079 pep chromosome:PHallii_v3.1:8:21004330:21004962:-1 gene:PAHAL_8G134600 transcript:PVH34079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKNIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFDSLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLNYLQNESHWQWPLVLLVSVHQNPLINIEAAPGDENIDEEVEGANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPEDWVSSDFSHLVVDDGSSWPSDCREN >PVH34125 pep chromosome:PHallii_v3.1:8:26802479:26806054:1 gene:PAHAL_8G150800 transcript:PVH34125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTAGSIPKFGEWKANDGGSPYTMYFENARKRRSNSGIAPPPGASPARIISAPAGPRTPPRAPDAKPVKPEDRANRSRNQAKAGQGGSGSVPTWGEWNESNSSAGAQQYTLVFNQLREERRSAPPTPSIEHVQRPTPTRATHHDLYDHAPKGFKCCGLF >PAN41221 pep chromosome:PHallii_v3.1:8:1936819:1937028:-1 gene:PAHAL_8G027400 transcript:PAN41221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGSAHRCICWCAAEVTSTSLAESTTVPCDSGKDSGEGRSRGAAKRARLRVAGKPSPRAAHSALHTKP >PAN40985 pep chromosome:PHallii_v3.1:8:602850:603948:1 gene:PAHAL_8G007400 transcript:PAN40985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADDDKASESGSPRAPVPLPSKVGSAVKTGFWTLVDMASGRYLWRTLVAQPAQSESEKAR >PAN43729 pep chromosome:PHallii_v3.1:8:44198197:44200032:-1 gene:PAHAL_8G260600 transcript:PAN43729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLAQLYEHEHASPQSLVLVFLLFLVAMRLATPRSRAEKLLSKLPSPLFRLPVIGHLHLVGPLPHRSLRDLARWHGPDVMLLRLGAVPTLVVSSPRAAKAVLRTHDHVFASRPRSAVADVLFYGSTDVAFAPYGEYWRQTRKVVTTHLLTPRKVRCSRAAREQEVRLALARVRDAAAARTAVDLSELFSFFANDVVCQAVTGRLPREQGRNKLFRDLLETNAKLLGGFNLDDYFPSLAGLNVVSAKAVKHRKRWDNLLDSLIDKHVRKTVNDEHEEDFIDVLLSVQQEYSLTRDNIKAILMDMFEAGTDTTYIALDFAMAELMRNPKTMAKLQAEVRRCASKGKEIVTEEDLSSMSYLKAVMKESMRLHAPGPLLIPHFSMAECDVEGYTIPSGTRVILNVWALGRDPTCWESAEEFMPERFMEEAMDAASDLQGNDFRLLPFGSGRRMCPGINFTTATFETILANLIYHFNWELPPGTTGIDMTESYGMDVHRKEKLLLVPCAAQDV >PAN43530 pep chromosome:PHallii_v3.1:8:42732561:42734126:1 gene:PAHAL_8G242200 transcript:PAN43530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTVVLIVGAGPAGLATAACLTQLSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKDQFVQYIDNYIERFDIRPKYQTAIESCSYDEVRKCWFSMVRDMKTSVVVRYTAKFLVVASGENSAENIPVITGLHHFAGEAIHSSRYKSGASYSGKNVLVVGCGNSGMEISYDLASHGANTSIVIRSPVHVVIKEIIRLGMTSVQHIPVNVVDGLLVRLSNFVFGDLSKHGIVRPKLGPLLLKAKTGQSAVIDVGTISLIKNGTIKVLGNISKIEGNTVEFEGRKKSTFDAIVFATGYKSTANTWLKNGESMLNDDGLPKQEFPNHWKGANGLYCAGLAKRGLAGIAMDAKNIASDILSSYHA >PAN43113 pep chromosome:PHallii_v3.1:8:39614373:39617989:1 gene:PAHAL_8G211500 transcript:PAN43113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAIALFFSLFFCSHALVSLGSSNATGDELALLSFKSMLSGPSEISLASWNTSSHFCSWKGVVCGRRHPDRVVALRLSSFSLSGRISPFLGNLSFLQKLELDNNQLVGTIPPELGRLSKLQELNLSANLLQGSIPVAMGDYTNLMVLDLSNNQLQGEIPTVIGASLKNLVHLYLRKNLLTGVIPQSLAELPSIEFLFLSYNNLDGEIPSALGNLTNLFNIDLSDNKLSGVIPSSFGMLPNLSVLSLGFNNLTGPIPNSIWNISSLGVLSVQRNMLNGTIPPNAFSNLPNVQRLYMDHNHFHGHIPASLGNASNLIMIVLGANPFSGIVPQEVGELTSLNLLVLTDTLVGAKEPKDWEFITALTNCSQLEVLIFANCEFSGTLPDSLSSLSASLKYLSLSENAISGSIPKDIGNLFNLQVLDLADNSFTGNLPSSLARLKILQKFYASDNSITGPVPWEIGNLTDLVSLYLRSNAFSGRLPSTLANLTMLSELYLANNNFVGPIPSGLFNISTLSIGLDLSYNSLEGSIPQEIGNLKSLVEFHAESNKLSGEIPAALGDCQGLRYLYLQNNILTGNITGHLSQLKSLEIFDLSSNNLSGQIPKFLGNLTMLSYLNLSFNNFMGEVPTFGVFANATAISIQHNGKLCGGIPVMHLPPCPFHLPKNKHKLLVIPIVTSLVGTLIILALLYKLLTWHTRNKVSNPSTTTMQGHPLISYSQLVKATDYFSATNLLGSGSFGSVYKGELDGQAGESTNFVAVKVLKLQTPGAVKSFVAECEALRNLRHRNLVKIVTICLSIDHNGNDFKAIVYDFMPNGSLEGWLHPDTDGQMQQRLLNLIERVSILLDVAFALDYLHCDGPAPVIHCDIKSSNVLLDDDMVAHVGDFGLAKILVEESATVQQSASSMVFKGTIGYAAPEYGAGNMVSTNGDIYSYGILVFEMVTGRRPTDSIFREGLSLREYVELALHNGTMDAVDMRLSLSLNNEPQGAGEGDSSHKRKTDCLIALLRLGLSCSEELPSSRMSTGDIIKELFVIKRSLL >PAN41268 pep chromosome:PHallii_v3.1:8:2251924:2255937:1 gene:PAHAL_8G031700 transcript:PAN41268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELATGAVNTLLGVIRNEARRLGRVQGDVLFIQEEMESMRSFLAHLARIRREEHDEQVRTWTNQVRILANDCNNCLDVYLYRRNPDFHRPRRGLRRYLWWGWALWWLREMVARHHAAGQLRELKERARDVGERRLRYGVKVSDAATPGHSSTPGAAGDPRAGLTEAAPSSSYTVAAAEDEDEDDDKDLEADGGGELLASTAADGGIITKNAKVKDYFKDKLLGWIQSLGKARVLVEEESIKASETPSQPMSIPSIIFELPVADVGDEVQVPEDVIACEGRCRFSRSILVDIPEVHPEDYLHVPLRPKDILFYILQALTGQPIQQPSWLQKLHVYIKKKELLREIKEYFDQKLKVAEKMEGIIRRIGNQLPQDKEIMKDKKQLEDSIKSKILDLDDLLWLLITFTEAVDVAEQQDQAWKKVNIRTLAMHYDNVIQQALGKLKSLVEAGTDSQFPLDCEDYKRILEEVFPRTPTGPGISSSTSTSVEAEIKEMICKVKDMIQELQEHSNRETADQIQKSTFQQAARKKIDEIKLKIREQLKIKKIMDRIQSQLKTDDRIMIILKTHHKYGWEAIKNTLSLLGSSGRFVGAAILMTTTTTKGARQAQEYNLHFPQVELIELSLVGCYLDIVRQHTGKHMHRGHFEIVRNILEKCGPNEFCMNIFVQAMKANPRRSTEELRRLHSILQATPPERLPSSIARKMLKFSYNDLSKQHKSCLLYLAIFNPAESGYKIRRSTLVGRWVAEGLITTEDWSWFSSVTEADKCFDALANRQFVHPVDIGATGRIKSCTVHPIVHRFITKIAKKQHIVEARLSIHLARHFSIFNDVRLRGSEDIGIFLNKNMHDLPQFSKLKVLDLEGCHYFANKEYLRDICSKILMLKYLSLRNTDVNQLPREINNLHELEVLDIRQTEIRASATRHVLLLKLKRLLAGDITDPLPCSTDTGTAKHCCSVDIPSFIEKMVDLEVMSNVKPRRDRDLKDIGGLSQLRKLGVVINKERHLQSLLGTISDLSICLLRSLSITLNIPTYSTDLKDLKNPPNSLESLTIKGRTQKEKLLKFLVPAPEDSNLAKVTLSGALDKDDLKVVAKLKNLGCVRLRHATYADSELTFGNDEFPKLKVLIVENSGITKISFAHEFPVLEKIVWSFNQDFCTRDKEYLPLSGIDKLQGLKELVLIGDVIAPEVDEAFKKYSNENKFVYKHKEPENQDLALGNGARKRRHGVARYPSIWQVVKGQHGKN >PAN43789 pep chromosome:PHallii_v3.1:8:44425343:44432574:-1 gene:PAHAL_8G263700 transcript:PAN43789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETSAAPAAALAGDELRRLLAATLSPDKASVDAAAAGLDRAAADPRFPLAILAVAAGDGDQGVRVAAATYLKNFTRRNMEGSLSSSQLYKEFRDQLAQTLLQVEPAILRVLIEAFRQVVEKDFVKDNLWPELIPQLKLVIQSSNLISPGQHPEWNTINALKVLQSVVRPFQYFLNPKVAKEPVPQQLEQIAAEILVPLQLTFHHFADKVLLSPDANKLEYEQLLLITCKCMYFTVRSYMPSRVKQILPSFCKDMYRILDSLDFNSLPEDGAMMRLKIAKRCLVIFCALVTRHRKHADDQMPHIVNCAIKISKQSINLSGLDSLPNRIFSLAFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNEKDIAEWEEDTDEYVQKNLPSELDEISGWTEDLFTARKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKSERSSIGELLVIPFLSKFHIPSHGEDASSRAVRNYFGVLMAYGGLQDFLTEKKELTVTLIRNRILPLYSMDPCSPYLISTANWVIGQLAICLPEAMNTSIYHSLMKALTMEDMEDITCYPVCASASGAIAELIENSYAPPDWLILLQTVVKRISTGDENESALLFKLLGTIVEGGQEKVLPHIPEIVSNIANTVMKLLPPVPDPWPQVVEQGFTSLVAMAQAWESSAPDENRKHEKRVWQSGQSSIAQTFSLLLQRAWLLPVEHTENSCSSLPPPSCVNDASVLLEFVMRSVTCMEETARMKVFELVPIWADTIANWDSWEEMEDQEVFNTIKEAINFHQRFDLTGSFLKMLPSLSENGSQSSVIGRVSNFVTRAIAAYPSATWRACSCIHTLLHAPNFSLGTEDARKAIAVSFAQAAFSRFKSISDSPAGIWKPLLLAISSCYICYPDAIEQVLNNYDGNGYTIWASALAQASSSSFSPGLSSESEIKLAVLTLSMVIERLLVLSMGGTKVLQDCYVSLMDSCIQLKELQEDGDNDDDDDGAEDLDDDEEEEDTEDDDEDSEDDDVREETEEEFLERYALAAAGESIEVVEEGDLDEETQDIELGSLDEVDIQQVVLSLMQKQPALQAQTLPDGLIERIAETFPEYKHLCQARRQA >PVH33922 pep chromosome:PHallii_v3.1:8:12131010:12131996:1 gene:PAHAL_8G096500 transcript:PVH33922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIVKGAHPGPICIHSRKQTKTLNSTSSLPRASPPGSPSLASSPREADSLPRHRSPLIATGTPRVRFAVGTRSLVRSPPLPPRRGWSRWSSAPSHDHPPPLQPPEPDSAHLNRTLRCRRRPPLPDREGTSQTRDEVDLIFWFQGNYSTGLITNEHCAANQVAWCFCHTLGRCGGQLSSQSCLCHRPGPSRF >PVH34248 pep chromosome:PHallii_v3.1:8:35069989:35070273:1 gene:PAHAL_8G179800 transcript:PVH34248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSYDNGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRCMIITLQLGILFHFYFLN >PAN42985 pep chromosome:PHallii_v3.1:8:40386041:40391730:1 gene:PAHAL_8G218100 transcript:PAN42985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLFFSESACKETKLHSAPHSWLPLERGSLSKSSGHASGGTSIESLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAISDLLSSCGKCSQEFRLLDFVSQVSTGAHDMSYDEESDEFRGSAVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKQQRLTMVGNASFSLYCLLSEVSMSTNPTSDVTLSFLEKLVESASDSRQKQLALHQLACTRFLRKDYAEAEPLFSAAFSAGHLYSVVGLARLTSLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQLTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDTSKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYDEMTKLIEKARNNASAYEKRSEYCDRELTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIEELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >PAN43516 pep chromosome:PHallii_v3.1:8:42681478:42683616:1 gene:PAHAL_8G241400 transcript:PAN43516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAEQHRGASDVGVATPLLPSPAVEPAPAPPRRNMFAFVCATLASMTTILMGYNLALMSGAELFMREDLGLTDEQVEVLSGSMNLFMLASILAAGWAADAIGRRGTIVLANAFLMAGALAMSLGGSYASLLAARFVTSVGVGFAVVVAPVYAAEIAPASSRGLLSSLVDLFITGGILLSYISNYALAGLPLRLGWRVMFALGVPPPLLLAAGVLAMPESPRWLAMRGRDDEARAVLERTSDTPAEAHARLEEIGRAVAAQVGGAGVWRELFVTPSPMVWRILTNVLVLYSFQQASGIDAIVLYSPLVFKQAGISSNNTVLAATVGVGVVKTLSIFVATFLSDRLGRRPLLLASAAGIAVSLTALGVTLCASGEATTTAGAAACVASVVAFVTAFSIGLGPLAPTYGAEILPLRLRAQGMSLGIAANRLTCGVLSMTFISLANTITMAGCFFLYASTAVAAWVFVYVRLPETKGRNLEDIGVLFAK >PVH33468 pep chromosome:PHallii_v3.1:8:614977:615384:-1 gene:PAHAL_8G007700 transcript:PVH33468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSRMDAVFLALFIIAAVLVIICLCSKNNHQDQQQADARLPEEMKPVAIAMLDSVTYPRRNAGGDDPLSAVEDCAICLGPFEDGELCSIMPVCRHEFHRDCTVDWLIMACKNTCPLCRAQLLQCSAAAAENMV >PVH34464 pep chromosome:PHallii_v3.1:8:41183003:41188956:1 gene:PAHAL_8G225000 transcript:PVH34464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAVLLVLGFMLSSTATNAGDPLDQFCGISSNNNTTKSTELLSHMLVKNASANPSPFAKGSISAVSGTIYGLFLCRGDVGAFDCSQCATIGFQDAQRLCEFTKEATIFYDQCLIRFSNKDFLDHVSPLVRDYIVVRTTSDALPGLLDPNRPNSVTFINDIIKVLLQETAKQAAYNSTWRFATGRMDVNGNFAPLYSLMQCLPDLTPTDCWECLKNISEMAMSRQGNQVLTVPCNLRYGMVQFYEGRPMWRIVIPSADAVVPPDHVMPTNQKHKRSMSKVLVTALIVPPLASIFCFLYFIYSRKHRKGSQKRVKMKVKEDEALDWGLEGRSSEFTVYDFSQVLEATCHFSEENKLGQGGFGPVYKGRFPDGMEIAVKRLASHSGQGFTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKLLIYEYLPNKSLDFFIFGNETRRALVDWNKRLVIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDHEMNPKISDFGLAKIFSKNDTEQNTKRIVGTYGYMAPEYASEGLFSVKSDVFSFGVLILEIISGQRTSRFHRYGDFINLLGHAWQLWKEERWLQLVDASIAEYRTPGMMRCINIALLCVQENAANRPTMSDVVAMLSSENVTLPEPKHPAYFHVRVTEEEESTLVEPCSLNDVTISGIDGR >PVH34480 pep chromosome:PHallii_v3.1:8:41512609:41513238:1 gene:PAHAL_8G229000 transcript:PVH34480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQELATMVCSRIFSLSFLSIWAMQGAGGSFRLPKKVVFACLVLFWARTKATVLFKEKRKNQSYLSILKCLFFPSKPPVFPHATNSHYSTKGKQETQTINPQRSEAGNHSNGEQAIQSSKQMPKEDDDKDDDDQEVIQAVLVCEHARKVGKNLPRSRGELEVCQASGVLQASENFLSFCCLPGWSLAELGRGEKSSFSALRGAEEQVWS >PAN49986 pep chromosome:PHallii_v3.1:9:63853244:63860871:-1 gene:PAHAL_9G490000 transcript:PAN49986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MASGSRATPTRSPSSARPAAPRQAHHHHHSQSSGGSTSRAGGGGGGGGGGGRAATESVSKAVAQYNLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSHIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSTAPPPVSLGADARLLFSPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDIGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAECRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTARGGISSAMKLWGLVVCHHTSPRFIPFPLRYACEFLMQAFGLHLNMELQLAHQLSEKHMLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDVAEGTSNSKAIVNGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGEEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRSEVIGKLLIGEVFGNICRLKGPDALTKFMVVLHNAIGGQDYEKFPFSFFDKNGKYVQALLTANTRSKMDGKSIGAFCFLQIASAELQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETSSACEKQMSKIVKDASLQSIEDGSLVLEKGDFSLGSVMNAVVSQAMILLRERDIQLIRDIPDEIKEASAYGDQYRIQQVLSDFLLSMVQFAPTENGWVEIQVRPNVKRNSDGTDSALFIFRFACPGEGLPPDIVQDMFSNSRWSTHEGIGLSTCRKILKLMGGEVQYIRESERSFFLIILELPQPRAAASREIS >PAN49987 pep chromosome:PHallii_v3.1:9:63856126:63860871:-1 gene:PAHAL_9G490000 transcript:PAN49987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MASGSRATPTRSPSSARPAAPRQAHHHHHSQSSGGSTSRAGGGGGGGGGGGRAATESVSKAVAQYNLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSHIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSTAPPPVSLGADARLLFSPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDIGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAECRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTARGGISSAMKLWGLVVCHHTSPRFIPFPLRYACEFLMQAFGLHLNMELQLAHQLSEKHMLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDVAEGTSNSKAIVNGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGEEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRSEVIGKLLIGEVFGNICRLKGPDALTKFMVVLHNAIGGQDYEKFPFSFFDKNGKYVQALLTANTRSKMDGKSIGAFCFLQIASAELQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETSSACEKQMSKIVKDASLQSIEDGHAIAKMKPVQIALLQQLHWCSCNPNHPDYCT >PVH33372 pep chromosome:PHallii_v3.1:9:73506613:73511226:-1 gene:PAHAL_9G637700 transcript:PVH33372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSRAARRRTLHPVVLDDFFRDVVEIDYGEEDQQEEPHDDGGSEEEGQDESEGSSDEDEADDDEEDEEEEREREEFAGGDCGPSAQARVSSVAAAGGSAERANMPTCPVCMEPWTSQGPHRISCIPCGHVYGRSCLERWLTQRGNRSATCPQCGKRFKDKDIINLYAPEVAIPKNELEKEISYLRERNDSLEKKVLHHDKLFEEMTKRQIDMEQRIVDAVSSKRQKVTDHSDGAAHLEPSTSTTVNFSLQNELFLDGARVISIDASNQIILASGRASSVGAEHVLTKISMFSTHEARKIHLPPNTKAVRDMCILPGGSAIFTSLGKRLSLFSMTTDSVVLQCDLPVPGWSCSADVSSSRHIYAGLQNGMLLVFDIRQTARPLHSMMGLSTQPIHTLHSVIDNNGCRKILSASAVGPCMWDADDNQSRPHLLTGMDNQRVCISLACAPPSSDLLVASFRPKVGASEDASASQVYLSQTPSRPVGSGKLGHHSLIRRTSDASFAEGTTCYGNVSEVRMSKSAIIPYGDNQHLFAYGDESLHGVRTWQLPSFAIHADLCSHRQPILDLRYAESPGGGRYLGCLSEEKLQVFRIS >PVH33371 pep chromosome:PHallii_v3.1:9:73505475:73511226:-1 gene:PAHAL_9G637700 transcript:PVH33371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSRAARRRTLHPVVLDDFFRDVVEIDYGEEDQQEEPHDDGGSEEEGQDESEGSSDEDEADDDEEDEEEEREREEFAGGDCGPSAQARVSSVAAAGGSAERANMPTCPVCMEPWTSQGPHRISCIPCGHVYGRSCLERWLTQRGNRSATCPQCGKRFKDKDIINLYAPEVAIPKNELEKEISYLRERNDSLEKKVLHHDKLFEEMTKRQIDMEQRIVDAVSSKRQKVTDHSDGAAHLEPSTSTTVNFSLQNELFLDGARVISIDASNQIILASGRASSVGAEHVLTKISMFSTHEARKIHLPPNTKAVRDMCILPGGSAIFTSLGKRLSLFSMTTDSVVLQCDLPVPGWSCSADVSSSRHIYAGLQNGMLLVFDIRQTARPLHSMMGLSTQPIHTLHSVIDNNGCRKILSASAVGPCMWDADDNQSRPHLLTGMDNQRVCISLACAPPSSDLLVASFRPKVGASEDASASQVYLSQTPSRPVGSGKLGHHSLIRRTSDASFAEGTTCYGNVSEVT >PVH31121 pep chromosome:PHallii_v3.1:9:3540536:3542407:1 gene:PAHAL_9G062200 transcript:PVH31121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKAARLKEKPTSIKISDICAWIETMDEALRCIQKDVSEVKDLIKKTTPLWWVQIWHRLRSLRNLSKNRLQQLLPLLVT >PAN49397 pep chromosome:PHallii_v3.1:9:60935513:60940654:-1 gene:PAHAL_9G446400 transcript:PAN49397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQGSDRSKWTVHSNHSITCFTKGEIEIITDNYNTILGRGAFGEVYKGVLEDQSKVAVKRFICNVKQNFAKELAVHREINHRNVVRLIGYCVEENAVMMVTEYIANGNLSEALHHDNSPIPLDVRLRISIECAEALAYMHSHMYTHVIHGDIKPANILLDGKFHAKLTDFGISRLVNTDKTLYTENVVGSIGYMDPLFARDGLLTSKSDVYSFGVVLLELIARKRAITVVDNVNIVSAFTNALARGTRGAGEMFDAEIANKNTMKIVQGVAKIAGECLIMEREKRPEMIDVVERLRVLRKALHQHQGQHRVDLFSWVRKSKPVPPAAVTVRTKFLPSGLCRQFSLAEMKAATNNFNWSLLVGQGAFGPVFRGKIDGRKTEVAIKRRDPNSMHGEDEFHTEIEMSSKILHHNVMPLVGYCYEMGEMILVYSYMAHGCLRDHLYRTKKPPLTWNRRLEICIGAARGLHCLHASQVIYRNLKTTDILLDKEWVAKVTDLALCKTGPSTNEMTRVMGSGGILDPEYVATGRLTEKSDVYSFGGVLLEVLCARSVLDLTLPKEQVVLVDWALQCKTEGKLSEIVDPYLKGSIDQRSLETFVGIAERCLASEGIHRPAMGDVLLDLELALRAQGTFQGRKSPVL >PVH32630 pep chromosome:PHallii_v3.1:9:60935778:60939863:-1 gene:PAHAL_9G446400 transcript:PVH32630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQGSDRSKWTVHSNHSITCFTKGEIEIITDNYNTILGRGAFGEVYKGVLEDQSKVAVKRFICNVKQNFAKELAVHREINHRNVVRLIGYCVEENAVMMVTEYIANGNLSEALHHDNSPIPLDVRLRISIECAEALAYMHSHMYTHVIHGDIKPANILLDGKFHAKLTDFGISRLVNTDKTLYTENVVGSIGYMDPLFARDGLLTSKSDVYSFGVVLLELIARKRAITVVDNVNIVSAFTNALARGTRGAGEMFDAEIANKNTMKIVQGVAKIAGECLIMEREKRPEMIDVVERLRVLRKALHQHQGQHRVDLFSWVRKSKPVPPAAVTVRTKFLPSGLCRQFSLAEMKAATNNFNWSLLVGQGAFGPVFRGKIDGRKTEVAIKRRDPNSMHGEDEFHTEIEMSSKILHHNVMPLVGYCYEMGEMILVYSYMAHGCLRDHLYRTKKPPLTWNRRLEICIGAARGLHCLHASQVIYRNLKTTDILLDKEWVAKVTDLALCKTGPSTNEMTRVMGSGGILDPEYVATGRLTEKSDVYSFGGVLLEVLCARSVLDLTLPKEQVVLVDWALQCKTEGKLSEIVDPYLKGSIDQRSLETFVGIAERCLASEGIHRPAMGDVLLDLELALRAQGTFQGRKSPVL >PAN49396 pep chromosome:PHallii_v3.1:9:60935513:60940653:-1 gene:PAHAL_9G446400 transcript:PAN49396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQGSDRSKWTVHSNHSITCFTKGEIEIITDNYNTILGRGAFGEVYKGVLEDQSKVAVKRFICNVKQNFAKELAVHREINHRNVVRLIGYCVEENAVMMVTEYIANGNLSEALHHDNSPIPLDVRLRISIECAEALAYMHSHMYTHVIHGDIKPANILLDGKFHAKLTDFGISRLVNTDKTLYTENVVGSIGYMDPLFARDGLLTSKSDVYSFGVVLLELIARKRAITVVDNVNIVSAFTNALARGTRGAGEMFDAEIANKNTMKIVQGVAKIAGECLIMEREKRPEMIDVVERLRVLRKALHQHQGQHRVDLFSWVRKSKPVPPAAVTVRTKFLPSGLCRQFSLAEMKAATNNFNWSLLVGQGAFGPVFRGKIDGRKTEVAIKRRDPNSMHGEDEFHTEIEMSSKILHHNVMPLVGYCYEMGEMILVYSYMAHGCLRDHLYRTKKPPLTWNRRLEICIGAARGLHCLHASQVIYRNLKTTDILLDKEWVAKVTDLALCKTGPSTNEMTRVMGSGGILDPEYVATGRLTEKSDVYSFGGVLLEVLCARSVLDLTLPKEQVVLVDWALQCKTEGKLSEIVDPYLKGSIDQRSLETFVGIAERCLASEGIHRPAMGDVLLDLELALRAQGTFQGRKSPVL >PAN44138 pep chromosome:PHallii_v3.1:9:1124029:1131321:-1 gene:PAHAL_9G020000 transcript:PAN44138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MQQLQPKQMHWVRADSSDFGGDRPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDVSVYDVENKLWYSPECTVNGSDGQAGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDLWQWSEMAGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELAVTGSVPPPRCGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGITEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLPTSNEPPPPRAYHSMTSIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDSGPNIGSNSKPSTMTGDARQSNLKKSQALGSPITELAKRLGIPLSEEVSTSSVDEINDKELLVLFSRLAGQSLPDSDQVASIQILRDHWKNSPASSLQLHELGPLLRDYQRLILRRYSENPLTAFHEMEALRFFHLKSASQLRMDDIPILLSEYGRLLST >PAN45631 pep chromosome:PHallii_v3.1:9:7895179:7911834:-1 gene:PAHAL_9G131500 transcript:PAN45631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMEGNGGADKITIGVCVMEKKVFSSPMEQILERLRAFGEFEIIIFGDKVILDDPIEIWPNCDCLIAFYSSGFPLQKVQAYAALRRPFLVNELEPQFLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFLKPFVEKPVNGDDHRIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPMEKQMARDVCSAFRQMVCGFDLLRSDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPNLPWKSEPVQPTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRTETKLKSAIQLQDLLDATRQLVPPTRSGRESDSDADDIEHVEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPKRNGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASTEMDEAKARLHEIIISNTKAQDTEGSAEFPWMVDGAGLPVNASQLLPKMAKLTKEVTAQVKLLAEGEDEKLALTSSFSKYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWRKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLDLEGLEELFKVAQTLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVAEPKFIEDEAIFLPTKEAEHQQKIHARNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTKELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSESSSLLQEHTLPIMGPERLQEVGSCLTMDKFEKMVRPFAMPPEDFPPAAPPQALGYFSKGAGVLERLASLWPFHKGASATNGK >PVH31968 pep chromosome:PHallii_v3.1:9:25198930:25199307:1 gene:PAHAL_9G276100 transcript:PVH31968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPSISITPPSLPPPAHVLPTPPPSPSYAPPTPPPPAHAPPPPPPPHPFSKPPITRVFSVIRKPPPNFLLVQPPRPVLMCPLLMVLIIILLSHILFLMRHRLLQDIIYKITVLFILKTSMVFPV >PVH33124 pep chromosome:PHallii_v3.1:9:69427251:69429453:1 gene:PAHAL_9G573900 transcript:PVH33124 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MPLAGIALAPLLVSPLAPSPRRGSVATAAARRPSALRRARCSAAAASGESGEAGELPRATLLWRAAKLPIYSVALVPLTVGSACAYHHAGLFFAKRYFIILAAAVLVITWLNLSNDVFDSDTGADKNKKESVVNIIGSRAVTQNAANVSLFLGFAGLFWAFAEAGDARFIFLVTCAIFCGYVYQCPPFRLSYQGLGEPLCFAAFGPLATTAFYFSSSNVNISSGTALLPLTKTVIASSVLVGLTTTLILFCSHFHQIDGDLAVGKMSPLVRVGTEAGSRIVMVAIAMLYILLAAFGVCKALPSACTVLCALTLPVGKLVVDYVLKNHEFEIAPSGQC >PVH33125 pep chromosome:PHallii_v3.1:9:69426984:69430878:1 gene:PAHAL_9G573900 transcript:PVH33125 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MPLAGIALAPLLVSPLAPSPRRGSVATAAARRPSALRRARCSAAAASGESGEAGELPRATLLWRAAKLPIYSVALVPLTVGSACAYHHAGLFFAKRYFIILAAAVLVITWLNLSNDVFDSDTGADKNKKESVVNIIGSRAVTQNAANVSLFLGFAGLFWAFAEAGDARFIFLVTCAIFCGYVYQCPPFRLSYQGLGEPLCFAAFGPLATTAFYFSSSNVNISSGTALLPLTKTVIASSVLVGLTTTLILFCSHFHQIDGDLAVGKMSPLVRVGTEAGSRIVMVAIAMLYILLAAFGVCKALPSACTVLCALTLPVGKLVVDYVLKNHEDNAKIFMAKYYCVRLHALFGMALACGLVLSRNGILA >PAN45155 pep chromosome:PHallii_v3.1:9:5603737:5605798:1 gene:PAHAL_9G097500 transcript:PAN45155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKVRMQNTLHQKACRGGACFLVRASSGGDLAVIIMGEAAAAMAGSPFPTVEKCSSSDRDGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLIALAPLAGLLYYFVSEPAGIQVLIFASMAGARVSDIEAVARAVLPKFYCADLHPESWRVFSACGRRCVLTANPRVMVEAFLKEYIGTDVVVGTELVVWRGRATGLVLSPGVLVGEQKADALRKAFGDAAPEVGLGDRKTDYPFMRLCKEGYVVPPTPKLKPVPREDLPRPVVFHDGRLVQKPSPALALLTVLWIPIGFLLACLRIAAGALLPMRMVYHAFRALGVRVTIKGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPAELTCSGGKSSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGTVVSKPNIDKKKVMGC >PAN45154 pep chromosome:PHallii_v3.1:9:5603427:5605798:1 gene:PAHAL_9G097500 transcript:PAN45154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKVRMQNTLHQKACRGGACFLVRASSGGDLAVIIMGEAAAAMAGSPFPTVEKCSSSDRDGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLIALAPLAGLLYYFVSEPAGIQVLIFASMAGARVSDIEAVARAVLPKFYCADLHPESWRVFSACGRRCVLTANPRVMVEAFLKEYIGTDVVVGTELVVWRGRATGLVLSPGVLVGEQKADALRKAFGDAAPEVGLGDRKTDYPFMRLCKEGYVVPPTPKLKPVPREDLPRPVVFHDGRLVQKPSPALALLTVLWIPIGFLLACLRIAAGALLPMRMVYHAFRALGVRVTIKGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPAELTCSGGKSSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGTVVSKPNIDKKKVMGC >PAN47108 pep chromosome:PHallii_v3.1:9:15657317:15659851:-1 gene:PAHAL_9G234800 transcript:PAN47108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQPPAFLPCFTAAAAARALSVSARPHHPTPYPSPAAAAPASSPHAFHAHLASVAPQLPSLLAALSRARAARLPLPPATRALAATALLRHGRLPDALAHFRLLPDSDPTAPLPAPLCNSLLAALASSAGSLAHARKVLDRMLAGAVELDTVGFGVFVKAVGRKDDGLTEVLRLVDVVGRQGSRINRSVVAAMVIDGMCREGRIEGAWRALEELRLRGWKPDFVAYRIVAEEFRVAGRVEEEGLILKQKRKLGVAPRKAEYRECVLELVSNRLVTEAKEMAEAVVLGDFPIDDDVLNVLVGSVSDIDVDGAAMFCKFMMGKGRFPSTEVLVNLCENLCKSNKGDEMWEIFRVLLEKGYCKNARDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNALMEALCRNDLLRPAKKLWDEMFTSGCCPNLHTYNILITKFTEMGESQQVQQLFDHMFQKGVAPDCATYTSFITMLCQENKYEQALEIFKKSLTQDAEVASSVLSMFILALCNQGLELQFYLCSHLGRFHLSL >PAN47110 pep chromosome:PHallii_v3.1:9:15657848:15659791:-1 gene:PAHAL_9G234800 transcript:PAN47110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQPPAFLPCFTAAAAARALSVSARPHHPTPYPSPAAAAPASSPHAFHAHLASVAPQLPSLLAALSRARAARLPLPPATRALAATALLRHGRLPDALAHFRLLPDSDPTAPLPAPLCNSLLAALASSAGSLAHARKVLDRMLAGAVELDTVGFGVFVKAVGRKDDGLTEVLRLVDVVGRQGSRINRSVVAAMVIDGMCREGRIEGAWRALEELRLRGWKPDFVAYRIVAEEFRVAGRVEEEGLILKQKRKLGVAPRKAEYRECVLELVSNRLVTEAKEMAEAVVLGDFPIDDDVLNVLVGSVSDIDVDGAAMFCKFMMGKGRFPSTEVLVNLCENLCKSNKGDEMWEIFRVLLEKGYCKNARDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNALMEALCRNDLLRPAKKLWDEMFTSGCCPNLHTYNILITKFTEMGESQQVQQLFDHMFQKGVAPDCATYTSFITMLCQENKYEQALEIFKKSLTQDAEVASSVLSMFILALCNQGNFKAALSVMCSVPSHVENLNSHVILLKNLIDVGEVEMAVEHLEWIRSNCSSNFQNIMNELMASLSTSASLQNVTKLIQCLHSQRLVDDADPWMKLM >PAN47109 pep chromosome:PHallii_v3.1:9:15657848:15659791:-1 gene:PAHAL_9G234800 transcript:PAN47109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQPPAFLPCFTAAAAARALSVSARPHHPTPYPSPAAAAPASSPHAFHAHLASVAPQLPSLLAALSRARAARLPLPPATRALAATALLRHGRLPDALAHFRLLPDSDPTAPLPAPLCNSLLAALASSAGSLAHARKVLDRMLAGAVELDTVGFGVFVKAVGRKDDGLTEVLRLVDVVGRQGSRINRSVVAAMVIDGMCREGRIEGAWRALEELRLRGWKPDFVAYRIVAEEFRVAGRVEEEGLILKQKRKLGVAPRKAEYRECVLELVSNRLVTEAKEMAEAVVLGDFPIDDDVLNVLVGSVSDIDVDGAAMFCKFMMGKGRFPSTEVLVNLCENLCKSNKGDEMWEIFRVLLEKGYCKNARDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNALMEALCRNDLLRPAKKLWDEMFTSGCCPNLHTYNILITKFTEMGESQQVQQLFDHMFQKGVAPDCATYTSFITMLCQENKYEQALEIFKKSLTQDAEVASSVLSMFILALCNQGNFKAALSVMCSVPSHVENLNSHVILLKNLIDVGEVEMAVEHLEWIRSNCSSNFQNIMNELMASLSTSASLQNVTKLIQCLHSQRLVDDADPWMKLM >PAN47107 pep chromosome:PHallii_v3.1:9:15657848:15659791:-1 gene:PAHAL_9G234800 transcript:PAN47107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQPPAFLPCFTAAAAARALSVSARPHHPTPYPSPAAAAPASSPHAFHAHLASVAPQLPSLLAALSRARAARLPLPPATRALAATALLRHGRLPDALAHFRLLPDSDPTAPLPAPLCNSLLAALASSAGSLAHARKVLDRMLAGAVELDTVGFGVFVKAVGRKDDGLTEVLRLVDVVGRQGSRINRSVVAAMVIDGMCREGRIEGAWRALEELRLRGWKPDFVAYRIVAEEFRVAGRVEEEGLILKQKRKLGVAPRKAEYRECVLELVSNRLVTEAKEMAEAVVLGDFPIDDDVLNVLVGSVSDIDVDGAAMFCKFMMGKGRFPSTEVLVNLCENLCKSNKGDEMWEIFRVLLEKGYCKNARDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNALMEALCRNDLLRPAKKLWDEMFTSGCCPNLHTYNILITKFTEMGESQQVQQLFDHMFQKGVAPDCATYTSFITMLCQENKYEQALEIFKKSLTQDAEVASSVLSMFILALCNQGNFKAALSVMCSVPSHVENLNSHVILLKNLIDVGEVEMAVEHLEWIRSNCSSNFQNIMNELMASLSTSASLQNVTKLIQCLHSQRLVDDADPWMKLM >PAN49850 pep chromosome:PHallii_v3.1:9:63000338:63005775:-1 gene:PAHAL_9G479100 transcript:PAN49850 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os03g0329900)] MRNFNLRQSENSRIFGAMSSSLPILPNSLKESFPRPHNPQHIRMPRQLPNDSMPLRNGTPQSATLHPRTRVIEASYSGYSANPLDSRSNHESQSMVAPFISQSSSVDVFQSLSDNTPGAHTEATWFPSSMDVLPVYTDNIAAPDNQIQSGNSAMTSNEVAKQNDWWAEIMNDDWKDILDATATDSQSKAMMQPSNSTASLPAVNQSASSHSGEICPVASPPNSGNASAAKQRMRWTPELHECFVDAVNQLGGSETTPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTTTEELSLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQCKSSTEKVQDPSSGDTSANPSSDPSHSANKDSSSAMDQNRIGDSPGTAKLGERSTQLGVKQKITEIDSDSDAAADGGSKISKEKRRKLQDS >PAN49848 pep chromosome:PHallii_v3.1:9:63000601:63004116:-1 gene:PAHAL_9G479100 transcript:PAN49848 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os03g0329900)] MRNFNLRQSENSRIFGAMSSSLPILPNSLKESFPRPHNPQHIRMPRQLPNDSMPLRNGTPQSATLHPRTRVIEASYSGYSANPLDSRSNHESQSMVAPFISQSSSVDVFQSLSDNTPGAHTEATWFPSSMDVLPVYTDNIAAPDNQIQSGNSAMTSNEVAKQNDWWAEIMNDDWKDILDATATDSQSKAMMQPSNSTASLPAVNQSASSHSGEICPVASPPNSGNASAAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTTTEELSLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQCKSSTEKVQDPSSGDTSANPSSDPSHSANKDSSSAMDQNRIGDSPGTAKLGERSTQLGVKQKITEIDSDSDAAADGGSKISKEKRRKLQDS >PAN49849 pep chromosome:PHallii_v3.1:9:63000338:63005775:-1 gene:PAHAL_9G479100 transcript:PAN49849 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os03g0329900)] MRNFNLRQSENSRIFGAMSSSLPILPNSLKESFPRPHNPQHIRMPRQLPNDSMPLRNGTPQSATLHPRTRVIEASYSGYSANPLDSRSNHESQSMVAPFISQSSSVDVFQSLSDNTPGAHTEATWFPSSMDVLPVYTDNIAAPDNQIQSGNSAMTSNEVAKQNDWWAEIMNDDWKDILDATATDSQSKAMMQPSNSTASLPAVNQSASSHSGEICPVASPPNSGNASAAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTTTEELSLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQCKSSTEKVQDPSSGDTSANPSSDPSHSANKDSSSAMDQNRIGDSPGTAKLGERSTQLGVKQKITEIDSDSDAAADGGSKISKEKRRKLQDS >PVH33166 pep chromosome:PHallii_v3.1:9:70026640:70030669:-1 gene:PAHAL_9G583100 transcript:PVH33166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPSGLVVEVASVFNPSTKLGNPGGRWSRTFAVYRSTGLFLGDHSISQILHIPIRYHVSPEYLFWQQKHLCLRSFLVGYCCCGDGPKGGIHGKKKFIVDFQLLFGLLKLLLFIGSVGTLAIIFAILHLTVGDISQASLHLHPQDGAFCRYHRQVYRHTGGRGIWAVGICQGSLEGVRVPDGDHHLRPGGSAGMVPICLGIQDENAIQSGLQQRA >PAN46151 pep chromosome:PHallii_v3.1:9:10342824:10347907:-1 gene:PAHAL_9G165900 transcript:PAN46151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGVHARPGALPRIPFLPGAAPAPSSPGRRPGLGAALRRSKTKSRLRFGGPAAGDLCKRAHREKLRVAVLVSKPTLQSEHGVSLQNGYSVLEGAKAAGFQISADELASIVESRDSEKLAVHGQLDGIAGKLATSLTDGINTAEYSLNQRQDIYGVNKFTESEARSLWEFVWEALQDTTLIILIACALISFVVGIATEGWPKGAYDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKIFVQVTRNSLRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVVNEDNPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAAITESGDDETPLQVKLNKVANIIGNIGVFFSLLTFVVLSQGLVAQKYLDGLLLSWSGDDVLEILQHFSVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKEKALVRQLAACETMGSATIICSDKTGTLTTNRMSVVKACVCGNTMQVNSPPAPSNFSSKLPEAALEILLESIFNNTAGEVVINQDGHRQILGTPTEVALLDFALLIGGGIKEKRQQTKVVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEVVLAACDKFIDARGSIVALDNTTTNKLNGIIETFSKEALRTLCLAYREMDDGFSIDEPIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGIEVRMITGDNINTAKAIARECGILTEDGLAIEGAEFREKNHKELIEFIPKMQVLARSSPLDKHALVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKAPVGRRGKFITKVMWRNILGQSIFQFAVMWYLQTQGKYLFGLEGSDADTVLNTIIFNTFVFCQVFNELSSRDMEEINVLKGLPDNSIFMGILAGTVIFQFIIVQFLGDFADTTPLTQLQWLVSILFGLLGMPIAAAIKLIPVEPHEEGN >PVH31513 pep chromosome:PHallii_v3.1:9:10341070:10348031:-1 gene:PAHAL_9G165900 transcript:PVH31513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGVHARPGALPRIPFLPGAAPAPSSPGRRPGLGAALRRSKTKSRLRFGGPAAGDLCKRAHREKLRVAVLVSKPTLQSEHGVSLQNGYSVLEGAKAAGFQISADELASIVESRDSEKLAVHGQLDGIAGKLATSLTDGINTAEYSLNQRQDIYGVNKFTESEARSLWEFVWEALQDTTLIILIACALISFVVGIATEGWPKGAYDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKIFVQVTRNSLRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVVNEDNPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAAITESGDDETPLQVKLNKVANIIGNIGVFFSLLTFVVLSQGLVAQKYLDGLLLSWSGDDVLEILQHFSVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKEKALVRQLAACETMGSATIICSDKTGTLTTNRMSVVKACVCGNTMQVNSPPAPSNFSSKLPEAALEILLESIFNNTAGEVVINQDGHRQILGTPTEVALLDFALLIGGGIKEKRQQTKVVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEVVLAACDKFIDARGSIVALDNTTTNKLNGIIETFSKEALRTLCLAYREMDDGFSIDEPIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGIEVRMITGDNINTAKAIARECGILTEDGLAIEGAEFREKNHKELIEFIPKMQVLARSSPLDKHALVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKAPVGRRGKFITKVMWRNILGQSIFQFAVMWYLQTQGKYLFGLEGSDADTVLNTIIFNTFVFCQVFNELSSRDMEEINVLKGLPDNSIFMGILAGTVIFQFIIVQFLGDFADTTPLTQLQWLVSILFGLLGMPIAAAIKLIPVEPHEEGN >PVH31516 pep chromosome:PHallii_v3.1:9:10342824:10347907:-1 gene:PAHAL_9G165900 transcript:PVH31516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGVHARPGALPRIPFLPGAAPAPSSPGRRPGLGAALRRSKTKSRLRFGGPAAGDLCKRAHREKLRVAVLVSKPTLQSEHGVSLQNGYSVLEGAKAAGFQISADELASIVESRDSEKLAVHGQLDGIAGKLATSLTDGINTAEYSLNQRQDIYGVNKFTESEARSLWEFVWEALQDTTLIILIACALISFVVGIATEGWPKGAYDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKIFVQVTRNSLRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVVNEDNPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAAITESGDDETPLQVKLNKVANIIGNIGVFFSLLTFVVLSQGLVAQKYLDGLLLSWSGDDVLEILQHFSVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKEKALVRQLAACETMGSATIICSDKTGTLTTNRMSVVKACVCGNTMQVNSPPAPSNFSSKLPEAALEILLESIFNNTAGEVVINQDGHRQILGTPTEVALLDFALLIGGGIKEKRQQTKVVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEVVLAACDKFIDARGSIVALDNTTTNKLNGIIETFSKEALRTLCLAYREMDDGFSIDEPIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGIEVRMITGDNINTAKAIARECGILTEDGLAIEGAEFREKNHKELIEFIPKMQVLARSSPLDKHALVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKAPVGRRGKFITKVMWRNILGQSIFQFAVMWYLQTQGKYLFGLEGSDADTVLNTIIFNTFVFCQVFNELSSRDMEEINVLKGLPDNSIFMGILAGTVIFQFIIVQFLGDFADTTPLTQLQWLVSILFGLLGMPIAAAIKLIPVEPHEEGN >PVH31512 pep chromosome:PHallii_v3.1:9:10342824:10346448:-1 gene:PAHAL_9G165900 transcript:PVH31512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVVNEDNPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAAITESGDDETPLQVKLNKVANIIGNIGVFFSLLTFVVLSQGLVAQKYLDGLLLSWSGDDVLEILQHFSVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKEKALVRQLAACETMGSATIICSDKTGTLTTNRMSVVKACVCGNTMQVNSPPAPSNFSSKLPEAALEILLESIFNNTAGEVVINQDGHRQILGTPTEVALLDFALLIGGGIKEKRQQTKVVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEVVLAACDKFIDARGSIVALDNTTTNKLNGIIETFSKEALRTLCLAYREMDDGFSIDEPIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGIEVRMITGDNINTAKAIARECGILTEDGLAIEGAEFREKNHKELIEFIPKMQVLARSSPLDKHALVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKAPVGRRGKFITKVMWRNILGQSIFQFAVMWYLQTQGKYLFGLEGSDADTVLNTIIFNTFVFCQVFNELSSRDMEEINVLKGLPDNSIFMGILAGTVIFQFIIVQFLGDFADTTPLTQLQWLVSILFGLLGMPIAAAIKLIPVEPHEEGN >PVH31514 pep chromosome:PHallii_v3.1:9:10342419:10348031:-1 gene:PAHAL_9G165900 transcript:PVH31514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGVHARPGALPRIPFLPGAAPAPSSPGRRPGLGAALRRSKTKSRLRFGGPAAGDLCKRAHREKLRVAVLVSKPTLQSEHGVSLQNGYSVLEGAKAAGFQISADELASIVESRDSEKLAVHGQLDGIAGKLATSLTDGINTAEYSLNQRQDIYGVNKFTESEARSLWEFVWEALQDTTLIILIACALISFVVGIATEGWPKGAYDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKIFVQVTRNSLRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVVNEDNPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAAITESGDDETPLQVKLNKVANIIGNIGVFFSLLTFVVLSQGLVAQKYLDGLLLSWSGDDVLEILQHFSVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKEKALVRQLAACETMGSATIICSDKTGTLTTNRMSVVKACVCGNTMQVNSPPAPSNFSSKLPEAALEILLESIFNNTAGEVVINQDGHRQILGTPTEVALLDFALLIGGGIKEKRQQTKVVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEVVLAACDKFIDARGSIVALDNTTTNKLNGIIETFSKEALRTLCLAYREMDDGFSIDEPIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGIEVRMITGDNINTAKAIARECGILTEDGLAIEGAEFREKNHKELIEFIPKMQVLARSSPLDKHALVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEEMLH >PVH31515 pep chromosome:PHallii_v3.1:9:10342432:10348031:-1 gene:PAHAL_9G165900 transcript:PVH31515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGVHARPGALPRIPFLPGAAPAPSSPGRRPGLGAALRRSKTKSRLRFGGPAAGDLCKRAHREKLRVAVLVSKPTLQSEHGVSLQNGYSVLEGAKAAGFQISADELASIVESRDSEKLAVHGQLDGIAGKLATSLTDGINTAEYSLNQRQDIYGVNKFTESEARSLWEFVWEALQDTTLIILIACALISFVVGIATEGWPKGAYDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKIFVQVTRNSLRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVVNEDNPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAAITESGDDETPLQVKLNKVANIIGNIGVFFSLLTFVVLSQGLVAQKYLDGLLLSWSGDDVLEILQHFSVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKEKALVRQLAACETMGSATIICSDKTGTLTTNRMSVVKACVCGNTMQVNSPPAPSNFSSKLPEAALEILLESIFNNTAGEVVINQDGHRQILGTPTEVALLDFALLIGGGIKEKRQQTKVVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEVVLAACDKFIDARGSIVALDNTTTNKLNGIIETFSKEALRTLCLAYREMDDGFSIDEPIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGIEVRMITGDNINTAKAIARECGILTEDGLAIEGAEFREKNHKELIEFIPKMQVLARSSPLDKHALVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEEMLH >PAN46188 pep chromosome:PHallii_v3.1:9:10514864:10520208:-1 gene:PAHAL_9G168600 transcript:PAN46188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MKNLRLVTRLPQQLQLQLGGETLVASAIDPERRRAFFASSVNFIYTVQLPAASAQGQQPLPWSKITAQHSDVEEVVLEPGDCIVAMDYLMERESLLLGSSAGCLLLYNVEEKTTEVVGRLEGGVNTIASSPDGALLSVTTGLGQLLVITQDWEVLFEASLDPHDATIGDKDSTGCQIRSSISWRGDGKYFATLGALDGAIGPTKLTIWERESGKVHSSSDAKTFMGALLDWMPSGAKVATAHDRRAEGKCPLIVFYEKNGLERSHFSIDEPAEVAIHALKWNCNSEILAALVSSEQHDVIKIWSCRNNHWYLKHELRYTKEERVKFFWDPTKPMHLICWTQGGQVIIHRFSWTTAVSETSVALVIDGSHVLVTPLNLGLMPPPMSLFHLAFPCAVNEVSFVSSNSKTHLAAYLSNGSLCVLELPAPDSWEEFEGNRINVDPCCSGFTLDNCMHLAWIDTHTLIGICCHSERCCSTPTGSSETSNLVHKHDSLFSINEIELVRSEDSVPGSVISSGWQARVSKKVPMQSSVIGVSQNPAKKGSAFIQISGGRIVEYCSSLNLLKMSAQLNEVDSDHGFPAACPWMTAVLCHENGMAQPFLFGLDDNSNLYMGKRLLSNNCSSFTFYSSAYGTTERVMSHLLVTTKKDLLFIVDINEIFLKDNEVTVDSHVSSHPRGKQSKEHITVWEKGAKLVGVLHGDEAAVIMQTTRGNLECTYPRKLVLVSIVQALAQRRFKDAMDMVRRHRIDFNIIVDYCGCNVFIKLAADFVKQVNNLSHITEFVCSMKNSNSSSKLYEAYMSFPDHSTDSMVDNECNKVTSVLMAVGKALEEQMEESSSRELCVLTTLARSEPPLLEESLNRIKAIRELELLGVDDARRKLYPSAEESLKHLLWLTDTEAVFGAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECLPPAVMRYTIDLRLGRYESALRNIVSAGNEYHEDCMKLLNANPQLFPLGLQLFNESDKRNEILEAWGDHLSEEKCFGDAALTYQCCLSYQKSLKAYRACGDWKGVFTVAGLLELEKEEITQLAHELCDEFQALGKPGDAARVALEYCSDAERGVSYYITAREWEEALRVAYMLSRHDLVGTIRDAALDCAASLISEYQEGLLKVGKYVARYVAVRQRRLSLAAKLQSEDRFMDVEDDSVSEVSTSFSEMSAYTTRSTKESSASVISSSASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMALTDGAENELKNLLVVLIQLGKEETARQVQQAADSFEVSQRAAVKLSEDTVCNDKVDENVHTLEHYIRMLRAHGSGHSETGSWRIKALSPP >PAN47888 pep chromosome:PHallii_v3.1:9:34263694:34267804:-1 gene:PAHAL_9G305600 transcript:PAN47888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPNPELKLAALNISIRDSWSDEELVRFLAERKAEDPLPQDVLVGLDFSLVDPRIFTVDLWYLCWSDDQQPNNSAENSIRKAKNGYWKHVESCKVPTSIGIMGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQDYKSLCRVFFQGDKKLDDKSQQNSLNVDAPNDRLETYLQYLAKLEEPKVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PVH32073 pep chromosome:PHallii_v3.1:9:34263720:34267794:-1 gene:PAHAL_9G305600 transcript:PVH32073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVRQYLGLQSLFPFLSKQEYLRISEAGTLLIIVVSSLTTMCPPNPELKLAALNISIRDSWSDEELVRFLAERKAEDPLPQDVLVGLDFSLVDPRIFTVDLWYLCWSDDQQPNNSAENSIRKAKNGYWKHVESCKVPTSIGIMGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQDYKSLCRVFFQGDKKLDDKSQQNSLNVDAPNDRLETYLQYLAKLEEPKVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PAN47896 pep chromosome:PHallii_v3.1:9:34264027:34266849:-1 gene:PAHAL_9G305600 transcript:PAN47896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPNPELKLAALNISIRDSWSDEELVRFLAERKAEDPLPQDVLVGLDFSLVDPRIFTVDLWYLCWSDDQQPNNSAENSIRKAKNGYWKHVESCKVPTSIGIMGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQDYKSLCRVFFQGDKKLDDKSQQNSLNVDAPNDRLETYLQYLAKLEEPKVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PAN47886 pep chromosome:PHallii_v3.1:9:34264027:34265440:-1 gene:PAHAL_9G305600 transcript:PAN47886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQDYKSLCRVFFQGDKKLDDKSQQNSLNVDAPNDRLETYLQYLAKLEEPKVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PAN47889 pep chromosome:PHallii_v3.1:9:34263720:34267804:-1 gene:PAHAL_9G305600 transcript:PAN47889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPNPELKLAALNISIRDSWSDEELVRFLAERKAEDPLPQDVLVGLDFSLVDPRIFTVDLWYLCWSDDQQPNNSAENSIRKAKNGYWKHVESCKVPTSIGIMGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PAN47895 pep chromosome:PHallii_v3.1:9:34263720:34267805:-1 gene:PAHAL_9G305600 transcript:PAN47895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPNPELKLAALNISIRDSWSDEELVRFLAERKAEDPLPQDVLVGLDFSLVDPRIFTVDLWYLCWSDDQQPNNSAENSIRKAKNGYWKHVESCKVPTSIGIMGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PVH32074 pep chromosome:PHallii_v3.1:9:34263720:34267805:-1 gene:PAHAL_9G305600 transcript:PVH32074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPNPELKLAALNISIRDSWSDEELVRFLAERKAEDPLPQDVLVGLDFSLVDPRIFTDLWYLCWSDDQQPNNSAENSIRKAKNGYWKHVESCKVPTSIGIMGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQDYKSLCRVFFQGDKKLDDKSQQNSLNVDAPNDRLETYLQYLAKLEEPKVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PAN47894 pep chromosome:PHallii_v3.1:9:34264027:34265440:-1 gene:PAHAL_9G305600 transcript:PAN47894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQDYKSLCRVFFQGDKKLDDKSQQNSLNVDAPNDRLETYLQYLAKLEEPKVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PAN47893 pep chromosome:PHallii_v3.1:9:34264027:34265440:-1 gene:PAHAL_9G305600 transcript:PAN47893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQDYKSLCRVFFQGDKKLDDKSQQNSLNVDAPNDRLETYLQYLAKLEEPKVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PVH32075 pep chromosome:PHallii_v3.1:9:34264027:34266849:-1 gene:PAHAL_9G305600 transcript:PVH32075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPNPELKLAALNISIRDSWSDEELVRFLAERKAEDPLPQDVLVGLDFSLVDPRIFTDLWYLCWSDDQQPNNSAENSIRKAKNGYWKHVESCKVPTSIGIMGMKIILQFYEGHAPCGKRTGWVMHEYQVEQNDEANLPQDYKSLCRVFFQGDKKLDDKSQQNSLNVDAPNDRLETYLQYLAKLEEPKVTADANEEDVCSSKVQFEQKPLSALDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMVSEDYFDSDAFLREILKGSNTTDGLNQDHKFSIAAPTKSANVVLSPSEQGLVQFHDNNGMVPGTSHQKPVPEGDRGEHSRQGFQQQGPSMSSYFPSSHVKPSHSNSSSSSQSSMKSHKEQSTSKFGMIGKKYCCFGSF >PAN50428 pep chromosome:PHallii_v3.1:9:65886391:65890346:-1 gene:PAHAL_9G519300 transcript:PAN50428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNLERDDALDTVLEVPIPEEMFSGGGGGGSRGSRFGCTNVKAWMRPHAADRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVHHAKQSPSSVLCEQLKADPIESSSAKYIVQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSRDGDGGGGGGGGGTGNGHRGGKKGGKGGGGGEVGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPQLTASLFADSVCVGERSVDGEDCFVLKVEAEASSLRARNSGSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSAGGAGGSVFWETTMESRLHDYRAVDGVNIAHAGRTAVSLVRFGDCQDGNTRTRMEEAWNIEEVDFNIWGLSMDCFLPPSDLREGKEGQDVAIVKADARPPPLRIPAVTVRVGPSQVAAVNMDDSDSLIARS >PAN50427 pep chromosome:PHallii_v3.1:9:65883345:65890200:-1 gene:PAHAL_9G519300 transcript:PAN50427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNLERDDALDTVLEVPIPEEMFSGGGGGGSRGSRFGCTNVKAWMRPHAADRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVHHAKQSPSSVLCEQLKADPIESSSAKYIVQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSRDGDGGGGGGGGGTGNGHRGGKKGGKGGGGGEVGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPQLTASLFADSVCVGERSVDGEDCFVLKVEAEASSLRARNSGSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSAGGAGGSVFWETTMESRLHDYRAVDGVNIAHAGRTAVSLVRFGDCQDGNTRTRMEEAWNIEEVDFNIWGLSMDCFLPPSDLREGKEGQDVAIVKADARPPPLRIPAVTVRVGPSQVAAVNMDDSDSLIARS >PAN46735 pep chromosome:PHallii_v3.1:9:13637147:13645983:1 gene:PAHAL_9G211400 transcript:PAN46735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLRATSTTTTSPLLLRCRPPPSRKTLALALAPPPLLVPFFLRPRLFVAASSSCASAASPRRRATAAAAAAKSAEKKPPAARAKRAGAVTAPAAAGMSASGGGAGGKRTVADVLMGNARAAASKAKKAAPSPRKPRAQPDGAEAEPEDAAVSEKPPSPARSKRASSPAKSPQSLADGAAGEKKRSTSPAKSKGAAAAAQLDGAKEDSPSPKRSKTLAAKSDFVSRTDGKKKRSPSPTKAKGQVASQAEEKKQPASPKKARTKDSPKSEEKNTTLELKKKGTEFDPMAAAYWNPVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKMMFKPKPLTISRVLSTFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSDKKSSPPKVQSPFEEAAKIIKQVYSVLPIYDKIVPALLEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKQKILPFQILSTRARKGVTINDIKISVCTFGFDILYINGQPLLQEQLKVRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFYGRGKRAGVFGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSSSLRSKVIEKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRLRDDKSPEQATTSEQVADMYRAQKINHGYNQEDEDDD >PAN46736 pep chromosome:PHallii_v3.1:9:13637147:13645983:1 gene:PAHAL_9G211400 transcript:PAN46736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLRATSTTTTSPLLLRCRPPPSRKTLALALAPPPLLVPFFLRPRLFVAASSSCASAASPRRRATAAAAAAKSAEKKPPAARAKRAGAVTAPAAAGMSASGGGAGGKRTVADVLMGNARAAASKAKKAAPSPRKPRAQPDGAEAEPEDAAVSEKPPSPARSKRASSPAKSPQSLADGAAGEKKRSTSPAKSKGAAAAAQLDGAKEDSPSPKRSKTLAAKSDFVSRTDGKKKRSPSPTKAKGQVASQAEEKKQPASPKKARTKDSPKSEEKNTTLELKKKGTEFDPMAAAYWNPGEPVPFLFLALALDLISNESGRIVITEILSNVFRTVMATTPDDLLATVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKMMFKPKPLTISRVLSTFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSDKKSSPPKVQSPFEEAAKIIKQVYSVLPIYDKIVPALLEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKQKILPFQILSTRARKGVTINDIKISVCTFGFDILYINGQPLLQEQLKVRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFYGRGKRAGVFGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSSSLRSKVIEKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRLRDDKSPEQATTSEQVADMYRAQKINHGYNQEDEDDD >PAN46695 pep chromosome:PHallii_v3.1:9:13637147:13642385:1 gene:PAHAL_9G211400 transcript:PAN46695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLRATSTTTTSPLLLRCRPPPSRKTLALALAPPPLLVPFFLRPRLFVAASSSCASAASPRRRATAAAAAAKSAEKKPPAARAKRAGAVTAPAAAGMSASGGGAGGKRTVADVLMGNARAAASKAKKAAPSPRKPRAQPDGAEAEPEDAAVSEKPPSPARSKRASSPAKSPQSLADGAAGEKKRSTSPAKSKGAAAAAQLDGAKEDSPSPKRSKTLAAKSDFVSRTDGKKKRSPSPTKAKGQVASQAEEKKQPASPKKARTKDSPKSEEKNTTLELKKKGTEFDPMAAAYWNPVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKMMFKPKPLTISRVLSTFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSDKKSSPPKVQSPFEEAAKIIKQVYSVLPIYDKIVPALLEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKQKILPFQILSTRARKGVTINDIKISVCTFGFDILYINGQPLLQEQLKVRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSRLYRSKFQRKINKTLDHSIDFFII >PVH32006 pep chromosome:PHallii_v3.1:9:29909799:29927753:1 gene:PAHAL_9G287800 transcript:PVH32006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSADLVLKPACEGCGNTSDLYGTGCKHTTLCSDCGKSMARSRARCLVCSSPITRLIREYNVRANAITDKTYSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPDNMREKYNRKPWILEDETGQYQYQGQMEGSQSATATYYLLMRHGKEFNAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAAANGPAAFGSDMKKLEATPGGEKESARPKKGKSNEEGNNSDKGEEDEEEEAARKNRLGLTKKGLDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRAAGLNESDADEDEEEEEEDESSPVLAPKQKDQVKDEPVDNSPVKPTPSGHARSTPPASKSKQKRKSGADDAKTSGSAASKKAKVEWDTKASNIKEEAPSSAKPTLKSSASSKSATNVLPVTEDEIRSVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >PVH32007 pep chromosome:PHallii_v3.1:9:29909799:29927753:1 gene:PAHAL_9G287800 transcript:PVH32007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSADLVLKPACEGCGNTSDLYGTGCKHTTLCSDCGKSMARSRARCLVCSSPITRLIREYNVRANAITDKTYSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPDNMREKYNRKPWILEDETGQYQYQGQMEGSQSATATYYLLMRHGKEFNAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAAANGPAAFGSDMKKLEATPGGEKESARPKKGKSNEEGNNSDKGEEDEEEEAARKNRLGLTKKGLDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRAAGLNESDADEDEEEEEQEDESSPVLAPKQKDQVKDEPVDNSPVKPTPSGHARSTPPASKSKQKRKSGADDAKTSGSAASKKAKVEWDTKASNIKEEAPSSAKPTLKSSASSKSATNVLPVTEDEIRSVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >PVH32840 pep chromosome:PHallii_v3.1:9:64672108:64672419:1 gene:PAHAL_9G501700 transcript:PVH32840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLVETAKRRVTFYSNSTRNRASAARLCACFAGWVEHSTNCNFVMSRMRNPFCM >PAN45311 pep chromosome:PHallii_v3.1:9:6376854:6377644:-1 gene:PAHAL_9G109400 transcript:PAN45311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGRGKAKPAAKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIAAGGVMPNIHQTLLPKKAGGHKGDIGSASQEF >PAN49369 pep chromosome:PHallii_v3.1:9:60809967:60813495:1 gene:PAHAL_9G444400 transcript:PAN49369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESMYGFSIAEVRTLNMEGKKRTRGGIVGAKPDYKKAYVTLRAPLTVSPDLYPIGVILGERERKASAAAARRKAVEGAEIEGQREGKGKHWMEDEREGFSRAGCGKVVYGNPGRLNQRRRRGRANAKDGAGEEGAKFPWTGMQLATEKPRRVRHSPPKKKAGIALKQKSRKVSLQRRSKKLEA >PVH32312 pep chromosome:PHallii_v3.1:9:53662991:53663546:-1 gene:PAHAL_9G368600 transcript:PVH32312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKIHRKEGRKRGREEKQRGSVSGGRLVDQTRVRDGRTARTANTPPPHTQSTGE >PAN52085 pep chromosome:PHallii_v3.1:9:73740177:73742140:-1 gene:PAHAL_9G640900 transcript:PAN52085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSRAVAAALLCLLVAHGTSCSKQHKTGHKAHAAPTTPPPGTPPAATTPPPPSSTSNSSSSSNAGDGWLNARATWYGAPNGAGPDDNGGACGFKGVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCLAHPACSGIPETVIITDMNYYPVAPYHLDLSGTAFGAMAKDGRNDELRHAGIIDIQFKRVACQYPGLTVTFHIERGSNPNYLAVLVEYSNGDGDVVQVDLMESRAEDGEPTGVWEPMRESWGSIWRMDTRRPLQGPFSLRVTNESGKTLVADQVIPADWQPDSVYSSIVQFD >PAN45362 pep chromosome:PHallii_v3.1:9:7279131:7279999:1 gene:PAHAL_9G121900 transcript:PAN45362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRSRLTWNETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN51319 pep chromosome:PHallii_v3.1:9:70154692:70158403:1 gene:PAHAL_9G585300 transcript:PAN51319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPHLTENGTIMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNDHNDQSGNHDGYSKSDENKMMSALSLGNPETAYVHPKTDRSQSFAISYPYADSYYGGAVAAYGQHAIQMHPQLVGMVSSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHLHAMKRARGTGGRFLNTKQQSDSPGGGGTSDAQRMPANGGLFTKHEHNLPPGDRHYHARGGA >PAN51318 pep chromosome:PHallii_v3.1:9:70154692:70158403:1 gene:PAHAL_9G585300 transcript:PAN51318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPHLTENGTIMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNDHNDQSGNHDGYSKSDENKMMSALSLGNPETAYVHPKTDRSQSFAISYPYADSYYGGAVAAYGQHAIMHPQLVGMVSSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHLHAMKRARGTGGRFLNTKQQSDSPGGGGTSDAQRMPANGGLFTKHEHNLPPGDRHYHARGGA >PAN51321 pep chromosome:PHallii_v3.1:9:70156012:70157680:1 gene:PAHAL_9G585300 transcript:PAN51321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNGTIMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNDHNDQSGNHDGYSKSDENKMMSALSLGNPETAYVHPKTDRSQSFAISYPYADSYYGGAVAAYGQHAIMHPQLVGMVSSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHLHAMKRARGTGGRFLNTKQQSDSPGGGGTSDAQRMPANGGLFTKHEHNLPPGDRHYHARGGA >PAN51320 pep chromosome:PHallii_v3.1:9:70156012:70157680:1 gene:PAHAL_9G585300 transcript:PAN51320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNGTIMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNDHNDQSGNHDGYSKSDENKMMSALSLGNPETAYVHPKTDRSQSFAISYPYADSYYGGAVAAYGQHAIQMHPQLVGMVSSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHLHAMKRARGTGGRFLNTKQQSDSPGGGGTSDAQRMPANGGLFTKHEHNLPPGDRHYHARGGA >PVH31785 pep chromosome:PHallii_v3.1:9:15467043:15467999:1 gene:PAHAL_9G233100 transcript:PVH31785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRLALAILVLLSVGMVATAAGTRKLGYGPGGGGGGGGGGSGGGGGSGYGGSGYGSGSGYGEGGGSGGAAGGYGHGGGGGGGGGEGGGAGGSGHGSGQGSGYGSGSGGAGGYGSGGGGGGGGGQGGGSGYGHGGGEGYGSGSGYGGDASGGGGGGGHGGGGGGGQGGSGYGSGSGYGSGEGYGQGGAHGGGYGSGGGGGGGGGQGSGSGYGSGSGSGHGSGGGHY >PVH31493 pep chromosome:PHallii_v3.1:9:9928246:9929415:-1 gene:PAHAL_9G159600 transcript:PVH31493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVTFFLAVLLRFYYATIVSPLLHSPTIPYLRLRAEFDRSQTTEETSNPCHDGRCEAEEPCLCLFSTAPCFVVAVVAERDFSKWRLRLDVSLLAVLYSGFMVTGVSYYLQTWCIEMRGGPIIVGGILLVGSLYTMVSEMVQRKMGIRDPQRIARKSKSRRNQH >PAN51562 pep chromosome:PHallii_v3.1:9:71180160:71184361:1 gene:PAHAL_9G603400 transcript:PAN51562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCVVAEARNAKQPKCVTPRETDALPQNARREVRPLREASHRVVISTAWGSPRTSPPAVSIPDSESAAQASRRGTPGPPSSPIPPGRLTAAAEMGFVSFVGRVLFVAAFLLSAYQEFNEFGTDGGPAAKALQPKFNVFVKNISSHLGVAVPHIELKHVIAATIALKGLGGLLFILSSSLGAYLLLLYLAFITPIVHDFYNYDMEKAEFAQIFGKFTQDLALIGALLFFLGMKNSIPKRQAKKKAPKAKTN >PVH30996 pep chromosome:PHallii_v3.1:9:1820368:1823056:1 gene:PAHAL_9G032400 transcript:PVH30996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFAHVDKQRRVRGVLSDFRYSNGSTDHLSLDIGEFKHKSSYGGSARRLQSEINVTETSHMQPSVMAELDGFDAAGAVVADSQKTSIPWPRENKTSSNIELCHVGSMVSGLPIRHKYCLNNPSSSLKNPPTVKSCSHMSDFSNQLVRSAERSASAKMGLTSANSSLSEKMSLLRQPRYGGNHQNQNRISALNRRHKIVNSRGANDLLNTEKFHDRLDSSLGRHSQVLLNNALVREKQLCCSDLLNQKATEELWSSAYSESEKIVCFFSGDSIDDLQVSSSSDTSDSSNLSSLGVVANDQWKMTFKKVYCPHAARLDSTSVIYRKEVGQASPISVLEPPSEDCSDSENIRREPADLYDLQLRLELGTFAPTETAAEASSIGRTSDYLFSEVESGNDVPMQLVEDILGDFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLENKFTKVVNWSRSERKLLFDMVNTVLSQILAPCLSMQPWVNTARNLAPLWGSEGLLEKVLQVLAQRQEELSPSDTKPEKKGFDQKWLDLADCIDRAGRDIEKMIKDDLLDELVLELLSV >PAN44292 pep chromosome:PHallii_v3.1:9:1819427:1823540:1 gene:PAHAL_9G032400 transcript:PAN44292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSDAGGRRRWARRSCRTGGGSGVVRRTVAAPAGGASTAPRRVAEGFAHVDKQRRVRGVLSDFRYSNGSTDHLSLDIGEFKHKSSYGGSARRLQSEINVTETSHMQPSVMAELDGFDAAGAVVADSQKTSIPWPRENKTSSNIELCHVGSMVSGLPIRHKYCLNNPSSSLKNPPTVKSCSHMSDFSNQLVRSAERSASAKMGLTSANSSLSEKMSLLRQPRYGGNHQNQNRISALNRRHKIVNSRGANDLLNTEKFHDRLDSSLGRHSQVLLNNALVREKQLCCSDLLNQKATEELWSSAYSESEKIVCFFSGDSIDDLQVSSSSDTSDSSNLSSLGVVANDQWKMTFKKVYCPHAARLDSTSVIYRKEVGQASPISVLEPPSEDCSDSENIRREPADLYDLQLRLELGTFAPTETAAEASSIGRTSDYLFSEVESGNDVPMQLVEDILGDFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLENKFTKVVNWSRSERKLLFDMVNTVLSQILAPCLSMQPWVNTARNLAPLWGSEGLLEKVLQVLAQRQEELSPSDTKPEKKGFDQKWLDLADCIDRAGRDIEKMIKDDLLDELVLELLSV >PAN44294 pep chromosome:PHallii_v3.1:9:1819427:1823449:1 gene:PAHAL_9G032400 transcript:PAN44294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSDAGGRRRWARRSCRTGGGSGVVRRTVAAPAGGASTAPRRVAEGFAHVDKQRRVRGVLSDFRYSNGSTDHLSLDIGEFKHKSSYGGSARRLQSEINVTETSHMQPSVMAELDGFDAAGAVVADSQKTSIPWPRENKTSSNIELCHVGSMVSGLPIRHKYCLNNPSSSLKNPPTVKSCSHMSDFSNQLVRSAERSASAKMGLTSANSSLSEKMSLLRQPRYGGNHQNQNRISALNRRHKIVNSRGANDLLNTEKFHDRLDSSLGRHSQVLLNNALVREKQLCCSDLLNQKATEELWSSAYSESEKIVCFFSGDSIDDLQVSSSSDTSDSSNLSSLGVVANDQWKMTFKKVYCPHAARLDSTSVIYRKEVGQASPISVLEPPSEDCSDSENIRREPADLYETAAEASSIGRTSDYLFSEVESGNDVPMQLVEDILGDFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLENKFTKVVNWSRSERKLLFDMVNTVLSQILAPCLSMQPWVNTARNLAPLWGSEGLLEKVLQVLAQRQEELSPSDTKPEKKGFDQKWLDLADCIDRAGRDIEKMIKDDLLDELVLELLSV >PAN44295 pep chromosome:PHallii_v3.1:9:1819427:1823448:1 gene:PAHAL_9G032400 transcript:PAN44295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSDAGGRRRWARRSCRTGGGSGVVRRTVAAPAGGASTAPRRVAEGFAHVDKQRRVRGVLSDFRYSNGSTDHLSLDIGEFKHKSSYGGSARRLQSEINVTETSHMQPSVMAELDGFDAAGAVVADSQKTSIPWPRENKTSSNIELCHVGSMVSGLPIRHKYCLNNPSSSLKNPPTVKSCSHMSDFSNQLVRSAERSASAKMGLTSANSSLSEKMSLLRQPRYGGNHQNQNRISALNRRHKIVNSRGANDLLNTEKFHDRLDSSLGRHSQVLLNNALVREKQLCCSDLLNQKATEELWSSAYSESEKIVCFFSGDSIDDLQVSSSSDTSDSSNLSSLGVVANDQWKMTFKKVYCPHAARLDSTSVIYRKEVGQASPISVLEPPSEDCSDSENIRREPADLYDLQLRLELGTFAPTETAAEASSIGRTSDYLFSEVESGNDVPMQLVEDILGDFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLENKFTKVVNWSRSERKLLFDMVNTVLSQILAPCLSMQPWVNTARNLAPLWGSEGLLEKVLQVLAQRQEELSPSDTKPEKKGFDQKWLDLADCIDRAGRDIEKMIKDDLLDELVLELLSV >PAN44293 pep chromosome:PHallii_v3.1:9:1819427:1823448:1 gene:PAHAL_9G032400 transcript:PAN44293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSDAGGRRRWARRSCRTGGGSGVVRRTVAAPAGGASTAPRRVAEGFAHVDKQRRVRGVLSDFRYSNGSTDHLSLDIGEFKHKSSYGGSARRLQSEINVTETSHMQPSVMAELDGFDAAGAVVADSQKTSIPWPRENKTSSNIELCHVGSMVSGLPIRHKYCLNNPSSSLKNPPTVKSCSHMSDFSNQLVRSAERSASAKMGLTSANSSLSEKMSLLRQPRYGGNHQNQNRISALNRRHKIVNSRGANDLLNTEKFHDRLDSSLGRHSQVLLNNALVREKQLCCSDLLNQKATEELWSSAYSESEKIVCFFSGDSIDDLQVSSSSDTSDSSNLSSLGVVANDQWKMTFKKVYCPHAARLDSTSVIYRKEVGQASPISVLEPPSEDCSDSENIRREPADLYETAAEASSIGRTSDYLFSEVESGNDVPMQLVEDILGDFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLENKFTKVVNWSRSERKLLFDMVNTVLSQILAPCLSMQPWVNTARNLAPLWGSEGLLEKVLQVLAQRQEELSPSDTKPEKKGFDQKWLDLADCIDRAGRDIEKMIKDDLLDELVLELLSV >PAN44291 pep chromosome:PHallii_v3.1:9:1819427:1823448:1 gene:PAHAL_9G032400 transcript:PAN44291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSDAGGRRRWARRSCRTGGGSGVVRRTVAAPAGGASTAPRRVAEGFAHVDKQRRVRGVLSDFRYSNGSTDHLSLDIGEFKHKSSYGGSARRLQSEINVTETSHMQPSVMAELDGFDAAGAVVADSQKTSIPWPRENKTSSNIELCHVGSMVSGLPIRHKYCLNNPSSSLKNPPTVKSCSHMSDFSNQLVRSAERSASAKMGLTSANSSLSEKMSLLRQPRYGGNHQNQNRISALNRRHKIVNSRGANDLLNTEKFHDRLDSSLGRHSQVLLNNALVREKQLCCSDLLNQKATEELWSSAYSESEKIVCFFSGDSIDDLQVSSSSDTSDSSNLSSLGVVANDQWKMTFKKVYCPHAARLDSTSVIYRKEVGQASPISVLEPPSEDCSDSENIRREPADLYETAAEASSIGRTSDYLFSEVESGNDVPMQLVEDILGDFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLENKFTKVVNWSRSERKLLFDMVNTVLSQILAPCLSMQPWVNTARNLAPLWGSEGLLEKVLQVLAQRQEELSPSDTKPEKKGFDQKWLDLADCIDRAGRDIEKMIKDDLLDELVLELLSV >PAN44290 pep chromosome:PHallii_v3.1:9:1819427:1823450:1 gene:PAHAL_9G032400 transcript:PAN44290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSDAGGRRRWARRSCRTGGGSGVVRRTVAAPAGGASTAPRRVAEGFAHVDKQRRVRGVLSDFRYSNGSTDHLSLDIGEFKHKSSYGGSARRLQSEINVTETSHMQPSVMAELDGFDAAGAVVADSQKTSIPWPRENKTSSNIELCHVGSMVSGLPIRHKYCLNNPSSSLKNPPTVKSCSHMSDFSNQLVRSAERSASAKMGLTSANSSLSEKMSLLRQPRYGGNHQNQNRISALNRRHKIVNSRGANDLLNTEKFHDRLDSSLGRHSQVLLNNALVREKQLCCSDLLNQKATEELWSSAYSESEKIVCFFSGDSIDDLQVSSSSDTSDSSNLSSLGVVANDQWKMTFKKVYCPHAARLDSTSVIYRKEVGQASPISVLEPPSEDCSDSENIRREPADLYDLQLRLELGTFAPTETAAEASSIGRTSDYLFSEVESGNDVPMQLVEDILGDFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLENKFTKVVNWSRSERKLLFDMVNTVLSQILAPCLSMQPWVNTARNLAPLWGSEGLLEKVLQVLAQRQEELSPSDTKPEKKGFDQKWLDLADCIDRAGRDIEKMIKDDLLDELVLELLSV >PAN51582 pep chromosome:PHallii_v3.1:9:71272256:71279563:1 gene:PAHAL_9G605100 transcript:PAN51582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >PVH33233 pep chromosome:PHallii_v3.1:9:71272807:71279563:1 gene:PAHAL_9G605100 transcript:PVH33233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >PVH33234 pep chromosome:PHallii_v3.1:9:71277402:71278652:1 gene:PAHAL_9G605100 transcript:PVH33234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVCSSNFDQCLES >PAN51580 pep chromosome:PHallii_v3.1:9:71272256:71279563:1 gene:PAHAL_9G605100 transcript:PAN51580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >PAN51583 pep chromosome:PHallii_v3.1:9:71273269:71279563:1 gene:PAHAL_9G605100 transcript:PAN51583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >PVH33237 pep chromosome:PHallii_v3.1:9:71273269:71279563:1 gene:PAHAL_9G605100 transcript:PVH33237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >PVH33236 pep chromosome:PHallii_v3.1:9:71277402:71278652:1 gene:PAHAL_9G605100 transcript:PVH33236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVCSSNFDQCLES >PVH33238 pep chromosome:PHallii_v3.1:9:71272198:71279563:1 gene:PAHAL_9G605100 transcript:PVH33238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >PAN51581 pep chromosome:PHallii_v3.1:9:71272256:71279563:1 gene:PAHAL_9G605100 transcript:PAN51581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >PVH33235 pep chromosome:PHallii_v3.1:9:71272807:71279563:1 gene:PAHAL_9G605100 transcript:PVH33235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGIFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPESNGEKEKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >PAN49787 pep chromosome:PHallii_v3.1:9:62752028:62754503:-1 gene:PAHAL_9G475200 transcript:PAN49787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREATRFFLTRSLLPLALPKSQRQRGRLGSGICAARAFAMAASGFGGGEAFRLSAAPGAGALKLHKGDITLWSVDGATDAIVNAANERMLGGGGVDGAIHRAAGPELVQACRKVPEVKPGVRCPTGEARITPAFKLPVSRVIHTVGPIYDMDKHPEVSLKNAYENSLKLAKENGIQYIAFPAISCGVFRYPPKEASKIAVSTAQQFSEDIKEVHFVLFSDDLYEIWRETAQEMLSQFQK >PAN46528 pep chromosome:PHallii_v3.1:9:12809283:12811411:1 gene:PAHAL_9G201300 transcript:PAN46528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAHPGAVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSVNGGFMTSYNASERRESSKGGKETNRRTSVPSHTPAKSTSTGHKAQPSSHGTKRGSGQAANAPQRSAKPSLANSGGPAYDEQITELKLLVDSLEKERDFYFSKLRDIEILCQSPEVEHVPVHAIQNILYTSEDDPATVAEAQAMVSKQQQPALSPILEASDERPKQEVANKRKSISDLEEFGMASSSRQRLSDISDVQLCGSPLMSFS >PAN46646 pep chromosome:PHallii_v3.1:9:12808964:12811411:1 gene:PAHAL_9G201300 transcript:PAN46646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSVNGGFMTSYNASERRESSKGGKETNRRTSVPSHTPAKSTSTGHKAQPSSHGTKRGSGQAANAPQRSAKPSLANSGGPAYDEQITELKLLVDSLEKERDFYFSKLRDIEILCQSPEVEHVPVHAIQNILYTSEDDPATVAEAQAMVSKQQQPALSPILEASDERPKQEVANKRKSISDLEEFGMASSSRQRLSDISDVQLCGSPLMSFS >PVH30902 pep chromosome:PHallii_v3.1:9:735247:739528:1 gene:PAHAL_9G011900 transcript:PVH30902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PAN44020 pep chromosome:PHallii_v3.1:9:735247:739528:1 gene:PAHAL_9G011900 transcript:PAN44020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTGSSSLAFFSRCPPHRESHRRHAVVQCGTSSSTPRISEPEVSPAESSAVIQGGTAPLVQALQSTASQDVACFHFPGHNRGKAAPSSLSKLIGSGAFLHDLPELPELDDLFSPKGVILDAQKRAAQLFGASKTWFLVNGTTCGIQASVMATCFPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSSWDIAGGITPLQVDEAVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30905 pep chromosome:PHallii_v3.1:9:735247:740046:1 gene:PAHAL_9G011900 transcript:PVH30905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30904 pep chromosome:PHallii_v3.1:9:735243:740252:1 gene:PAHAL_9G011900 transcript:PVH30904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTGSSSLAFFSRCPPHRESHRRHAVVQCGTSSSTPRISEPEVSPAESSAVIQGGTAPLVQALQSTASQDVACFHFPGHNRGKAAPSSLSKLIGSGAFLHDLPELPELDDLFSPKGVILDAQKRAAQLFGASKTWFLVNGTTCGIQASVMATCFPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSSWDIAGGITPLQVDEAVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30912 pep chromosome:PHallii_v3.1:9:735247:739528:1 gene:PAHAL_9G011900 transcript:PVH30912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30915 pep chromosome:PHallii_v3.1:9:735287:739462:1 gene:PAHAL_9G011900 transcript:PVH30915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCFPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSSWDIAGGITPLQVDEAVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30907 pep chromosome:PHallii_v3.1:9:735247:739528:1 gene:PAHAL_9G011900 transcript:PVH30907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30911 pep chromosome:PHallii_v3.1:9:735247:740046:1 gene:PAHAL_9G011900 transcript:PVH30911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30908 pep chromosome:PHallii_v3.1:9:735247:739528:1 gene:PAHAL_9G011900 transcript:PVH30908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30909 pep chromosome:PHallii_v3.1:9:735243:740252:1 gene:PAHAL_9G011900 transcript:PVH30909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30910 pep chromosome:PHallii_v3.1:9:735243:740252:1 gene:PAHAL_9G011900 transcript:PVH30910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCFPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSSWDIAGGITPLQVDEAVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30901 pep chromosome:PHallii_v3.1:9:735243:740252:1 gene:PAHAL_9G011900 transcript:PVH30901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30903 pep chromosome:PHallii_v3.1:9:735247:739528:1 gene:PAHAL_9G011900 transcript:PVH30903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCFPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSSWDIAGGITPLQVDEAVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30913 pep chromosome:PHallii_v3.1:9:735243:740252:1 gene:PAHAL_9G011900 transcript:PVH30913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PAN44019 pep chromosome:PHallii_v3.1:9:735287:739462:1 gene:PAHAL_9G011900 transcript:PAN44019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTGSSSLAFFSRCPPHRESHRRHAVVQCGTSSSTPRISEPEVSPAESSAVIQGGTAPLVQALQSTASQDVACFHFPGHNRGKAAPSSLSKLIGSGAFLHDLPELPELDDLFSPKGVILDAQKRAAQLFGASKTWFLVNGTTCGIQASVMATCFPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSSWDIAGGITPLQVDEAVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30914 pep chromosome:PHallii_v3.1:9:735243:740252:1 gene:PAHAL_9G011900 transcript:PVH30914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTGSSSLAFFSRCPPHRESHRRHAVVQCGTSSSTPRISEPEVSPAESSAVIQGGTAPLVQALQSTASQDVACFHFPGHNRGKAAPSSLSKLIGSGAFLHDLPELPELDDLFSPKGVILDAQKRAAQLFGASKTWFLVNGTTCGIQASVMATCFPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSSWDIAGGITPLQVDEAVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH30906 pep chromosome:PHallii_v3.1:9:735247:740046:1 gene:PAHAL_9G011900 transcript:PVH30906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCARFHDCLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHTAGDLVNVDQVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFEEPLAIASETKDQLVIIPGISVLDLPCFASDFPAIDQLRLTLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFTVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENCVCRPLDKISVHLTPREAFFSKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAADVELNSILVCNL >PVH31907 pep chromosome:PHallii_v3.1:9:18851678:18854414:-1 gene:PAHAL_9G257900 transcript:PVH31907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRAPILSCLLPYVLSPASRWLGRAEADDMPPACPAERVVRRRRGAHPAAALRRKCHTPALLTQG >PAN48370 pep chromosome:PHallii_v3.1:9:54788669:54792397:1 gene:PAHAL_9G378200 transcript:PAN48370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFRRGVELGMASFQRCHPLSCQMAESAPFDAQKAAIRCKEKSRRSKCCHPEELPVIPEQAMEYLSRTWSPSSSDLFQILSPSSLGSSPEDSGRDEAGDRGEDEEKHVDTIHSDGGTSQLFNQTWRVVASGKPSSRQRRHKLVQPAWLNVGNMKAMLRGFLLDSVPVTGSRRRRRRDELRLHSAQAHAAVSVAQLAAAVAGIVSVCELRPAATASASDKRLDTVLASAAALVATVCAEAAETAGANRGRVTSAVRTGLESRSSAELLTLTATAATCLRGAAALKQRVADLRGISSGSNATAMSISAGIQKGTTLRVCLPCGRVRVRTVSVFPQRGGGGSAVALRLGKKRLHGAFATYKDYVVAAVREGGGEAVVEGRPAFPVALVTEEEGATVQLLFEHQTPCKVWKAAIEGMLAEQKLKRGSY >PAN46290 pep chromosome:PHallii_v3.1:9:10987067:11003448:1 gene:PAHAL_9G175100 transcript:PAN46290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPSSALPPIRPASPHRPPPFASAPPHPFPLLLASASASAPAPTPSSSSAPRSLLVLPPPPPPPPRRPGPPSLRAVACAQPRRGSRRCRAKLRGAGLGVPPLAAAGMEAGEMEDVRAAAAAEQVISSRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMEGIANVLNHIGAKKKGKQTRILWHSLREEPVIYINGRPFVLRDVEKPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPSGQMVDQWESVVSDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDLETEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTGSIGKVFYAGNDVDDYMPSSEEAILRGEYAVIRSLVRVLEGGVEGKRQVDKVIDKCDSMQNLREAIATYRNSILRQSDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHQTKFSVEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPPLTKIVESADGRPQDMDVVAAMRNGEVLGRQTVLKSDHCPGCHNLNLPERVEGAPNFREIPGFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVIYINGKPFVLREVERPCKNMLEYTGIDRERVERMEARLKEDILREAERYGGAIMVIHETDSGEIFDTWENVDNNAVLTPLEVFKHLESEGLQIKYARVPITDGKAPKSSDFDTIAFNVASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPACQNNHEDANDAVYSTGEDTADYNGHLSSESWKSRTLTKLTSGFGIKDILLLRKITRLFDNGIECRQTLDTVIDRCSALQNIRQAVLKYTKVINQQHVEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGEAKISFKGWLQQRPEIQTMKWSIRLRPGRFFTAPHEQKATYRPPQGDVTMETVVKARNGSVLGKGSILKMYFFPGQKRSSSVNFRGAPHVFKVDGYPVYSMATPTIDGASDVLSYLGSKDTTGRSSAQKVIVTDLREEVVVYIKGMPFVLRELDQPVDTLKHVGISGPMVESIETRLKEDIVAEVKQLGGRLLLHQEEFNADTNQCNVVGYWEHIDLEDVMTPAEVYGTLRDKGYCIDYKRIPLTREREALAADVDAIQSLIDESARYYLFISHTGYGGVAYAMAITCLGLGADAKFVMEETAETHFVSTSLTKNVSIKTSTDIALRQGDYRDILNLTRVLVHGPKSKEEVDTVIDRCSGAGHLREDILQYRKALQDCSHDDDDDDDEEHSYLTDMGTKALRRYFFLITFRSYLYCAAPREATFTSWMKARPELGHLCDNLKLDK >PAN49057 pep chromosome:PHallii_v3.1:9:58843647:58851386:-1 gene:PAHAL_9G422700 transcript:PAN49057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MASPSPASARPQRSPDEVEDIILRKILLVSLAPPANPSPAVAYLELTAAELLSESRPLLALRDAAERLLIDRLSLPDPPAASPPPFTFLAAAFGRAADEARKISTIRDAGLRARLADSIVHLRGLILSYARIVAGNPDTFPSTPGAPHPAAELLVFLLSEAADPLDPTPVPGAPPPPGFIDEFFGGADYESIEPAMGELYERLRQSVEKVSALGDFQRPLRVLRRLVGMPNCAKALVNHPKWIPKNQIMLIGEGRVMELSSVLGAFFHVSAIRDREFASKPDVGQQCFSEASSRRPADLLSSFTTIKSVMNGLYDGLKDVLLILLKNLDTREKVLEYIAEVINKNASRSGMQVDPLKCASSGMFVNLSAVMLRLCEPFLDNMESKKDKIDVNYLFCNNRIDFKDLTAINASSDEVSSWIESIKNEHDQNSASGEARLIESQEATSSGKNSTSLVRCAKKENFSFICECFFMTARVLNLGLMKAISDFKHISQQLARFEDDLESNRAMRDRGGSPQLEQDINRLEKIVEILTQDKFCYEAQILRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKKFSCIPEHFLDDAMDLLVLTSRIPKALESFVLDDFLSFIIMFMGSTSYIKNPYLRAKMVEVLNCWMPQRSGLSSTASLFEGHQLCLDYLVRNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVEWERRLPQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHISRGDKEAVFPAAISKDGRAYNDQLFASAANILWRIGGDPQIIKEFMQLAGKAKAAASEAMDAEAILGDIPDEFLDPIQYTLMKDPVILPSSKVTIDRPVIIRHLLSDSIHLTDPTSLKTC >PAN49058 pep chromosome:PHallii_v3.1:9:58843937:58851278:-1 gene:PAHAL_9G422700 transcript:PAN49058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MASPSPASARPQRSPDEVEDIILRKILLVSLAPPANPSPAVAYLELTAAELLSESRPLLALRDAAERLLIDRLSLPDPPAASPPPFTFLAAAFGRAADEARKISTIRDAGLRARLADSIVHLRGLILSYARIVAGNPDTFPSTPGAPHPAAELLVFLLSEAADPLDPTPVPGAPPPPGFIDEFFGGADYESIEPAMGELYERLRQSVEKVSALGDFQRPLRVLRRLVGMPNCAKALVNHPKWIPKNQIMLIGEGRVMELSSVLGAFFHVSAIRDREFASKPDVGQQCFSEASSRRPADLLSSFTTIKSVMNGLYDGLKDVLLILLKNLDTREKVLEYIAEVINKNASRSGMQVDPLKCASSGMFVNLSAVMLRLCEPFLDNMESKKDKIDVNYLFCNNRIDFKDLTAINASSDEVSSWIESIKNEHDQNSASGEARLIESQEATSSGKNSTSLVRCAKKENFSFICECFFMTARVLNLGLMKAISDFKHISQQLARFEDDLESNRAMRDRGGSPQLEQDINRLEKIVEILTQDKFCYEAQILRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKKFSCIPEHFLDDAMDLLVLTSRIPKALESFVLDDFLSFIIMFMGSTSYIKNPYLRAKMVEVLNCWMPQRSGLSSTASLFEGHQLCLDYLVRNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVEWERRLPQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHISRGDKEAVFPAAISKDGRAYNDQLFASAANILWRIGGDPQIIKEFMQLAGKAKAAASEAMDAEAILGDIPDEFLDPIQYTLMKDPVILPSSKVTIDRPVIIRHLLSDSTDPFNRSHLTQDMLIPNTELKLQIEEFVRSQQSRKRTAAELEIGEPDGTADMVE >PAN50477 pep chromosome:PHallii_v3.1:9:66076851:66083069:-1 gene:PAHAL_9G523000 transcript:PAN50477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGDAFGSSTAPLAWHDFLERMRQPSAAEFVRSIKSFILTFSNRAPDPEKDSASVQEFLENMEGAFRAHTPWAGSSDEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLSCILNCCKVINNLLLNASIVSNDNPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQTRLVSEAQYFFTNILSAESFIWNIDGESLSMNELDFQRKMDWARERLLGLSADLENRDNQANPDVQERRSQNLKANRSSDVSLSLKDPIQGSGQDMRRDNDVSVGGKPVERVQSVSDLEKKGATELLNDDDLNKKFQEYPYLFARAGDLTIADVESLLNSYKQLVVRYVALAQGMGVSPETTLAQTAQTSSDLVASEEPENVSSVVNNNDNSEGVSKTSDDVASENYHTEAYEQMTQKTAVGSSDDPKVTKDEALHHPENA >PAN50475 pep chromosome:PHallii_v3.1:9:66076022:66083068:-1 gene:PAHAL_9G523000 transcript:PAN50475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGDAFGSSTAPLAWHDFLERMRQPSAAEFVRSIKSFILTFSNRAPDPEKDSASVQEFLENMEGAFRAHTPWAGSSDEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLSCILNCCKVINNLLLNASIVSNDNPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQTRLVSEAQYFFTNILSAESFIWNIDGESLSMNELDFQRKMDWARERLLGLSADLENRDNQANPDVQERRSQNLKANRSSDVSLSLKDPIQGSGQDMRRDNDVSVGGKPVERVQSVSDLEKKGATELLNDDDLNKKFQEYPYLFARAGDLTIADVESLLNSYKQLVVRYVALAQGMGVSPETTLAQTAQTSSDLVASEEPENVSSVVNNNDNSEGVSKTSDDVASENYHTEAYEQMTQKTAVGSSDDPKVTKDEALHHPENA >PAN44607 pep chromosome:PHallii_v3.1:9:3239037:3243200:1 gene:PAHAL_9G057000 transcript:PAN44607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDAGPGGGGEASPPHHAAASGDRARDMAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSRPHSIEVPESDIGYHFGTLLDTQEGVDVIFRVAGEEFHAHKLVLAARSSFFRSEFFDHESDEEKNEAETRNEIKEIVIDDMDPKVFKAVLHFMYRDNLVNDDELSASSSDCSIFDTLAGKLMAAADKYELPRLRLLCESYLCKHISVNSVATTLALADQHHAMELKSVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTVAGCEEQCSSGGKSQSVWAQLSDGGDTSGRRVRPRV >PAN44926 pep chromosome:PHallii_v3.1:9:4693631:4700786:1 gene:PAHAL_9G081100 transcript:PAN44926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MATNASPPLQLATAFRPLASASAAGGGGGGGGVGLLAGGGVAAGRGRGRTQRRVVAARSVASDRDVQGPVSPEEGLPSVLNSMDSCAIASNIKHHAEFKPLFAPEHFSPLNAYHATAKSVFDALLINWNATYNYYNKMNVKQAYYLSMEFLQGRALTNAIGNLELTGEYAEALKQLGHNLEDVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAENWLEMGYPWEIVRNDLSYPVKFYGKVVEGTDGRKHWIGGENIKAVAYDVPIPGYKTRITNNLRLWSTTVPAQDFDLGAFNAGDHTKAYEAHLNAEKICHVLYPGDESPEGKVLRLKQQYTLCSASLQDIIARFEGRAGDSLNWEDFPSKVAVQMNDTHPTLCIPELMRILIDFKGLSWDEAWRITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDEELMNNIVSKYGTTDTALLKKKLKEMRILDNVDLPASIAQLFVKPKEKKKSPTKSNKKLLVKSLETIAEVEEKTKLEEEEAEVLSETEEEKVESEEVEAEEEDSEDGLDPFVNSDPKLPRVVRMANLCVVGGHSVNGVAEIHSEIVKQDVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSTIISKWIGSDDWVLNTDKLAELKKFADNEDLHSEWRAAKLANKMKVVSLIRDKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIIYRYKKMKEMSAEERVKRFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDSDIGDLLKVIFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIVGLRKERAEGKFVPDPRFQEVKEFVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYRDQKLWTKMSILNTAGSSKFSSDRTIHEYAKDIWDISPVTLP >PAN44925 pep chromosome:PHallii_v3.1:9:4692738:4700786:1 gene:PAHAL_9G081100 transcript:PAN44925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MDSCAIASNIKHHAEFKPLFAPEHFSPLNAYHATAKSVFDALLINWNATYNYYNKMNVKQAYYLSMEFLQGRALTNAIGNLELTGEYAEALKQLGHNLEDVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAENWLEMGYPWEIVRNDLSYPVKFYGKVVEGTDGRKHWIGGENIKAVAYDVPIPGYKTRITNNLRLWSTTVPAQDFDLGAFNAGDHTKAYEAHLNAEKICHVLYPGDESPEGKVLRLKQQYTLCSASLQDIIARFEGRAGDSLNWEDFPSKVAVQMNDTHPTLCIPELMRILIDFKGLSWDEAWRITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDEELMNNIVSKYGTTDTALLKKKLKEMRILDNVDLPASIAQLFVKPKEKKKSPTKSNKKLLVKSLETIAEVEEKTKLEEEEAEVLSETEEEKVESEEVEAEEEDSEDGLDPFVNSDPKLPRVVRMANLCVVGGHSVNGVAEIHSEIVKQDVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSTIISKWIGSDDWVLNTDKLAELKKFADNEDLHSEWRAAKLANKMKVVSLIRDKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIIYRYKKMKEMSAEERVKRFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDSDIGDLLKVIFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIVGLRKERAEGKFVPDPRFQEVKEFVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYRDQKLWTKMSILNTAGSSKFSSDRTIHEYAKDIWDISPVTLP >PAN48112 pep chromosome:PHallii_v3.1:9:52725934:52729301:1 gene:PAHAL_9G359600 transcript:PAN48112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNTVAGVLLLFLLGGLTPSPAAADVCDNIQQVAATLPKNTSSSPVHFATTIFGQAPDVVYALALCRGDVLNDTACGECVANTFAKVLSQTPPPQQQCRTAAYYYGGSCRLFYSVDDILARSNNTTLPNVDDEPFTLWNVNNFTGDADDIRRIVGLKQELLLETVQRAAGAEPRRFATGVMESGTTFPPVYSLAQCTPDLSAGDCQACLRRLLGTVNSTMALRMGAQIHVIRCYFRYETYLFYDSQPMLRVGPSSPPAPAPNPAAVAKHKRRMSKLWAIPIVAVPLAAAAFLCFLFYSPWFRRYRKGRAMRLQAGSRRTQDLQGDEELVWDGKNSEFTVFDFEQVLVATDHFSEENKLGQGGFGAVYKGQFPDGLQIAVKRLASHSGQGFTEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILVYEYLPNKSLDFFVFDESRRALMDWSKLLVIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDGEMNPKISDFGLAKIFSSNNTDRNTTQRVVGTYGYMAPEYASEGIFSIKSDVFSFGVLVLEILSGKRNSGSQQCGGFINLIGYAWQLWEEERWIELVDASLFPKSHSADMMRCINIALLCVQENADDRPTMADVVSMLSSETTTILAEPKQPAYFNVRVGNEEGSTTATESCSINDMTVSVITPR >PAN48111 pep chromosome:PHallii_v3.1:9:52725934:52729301:1 gene:PAHAL_9G359600 transcript:PAN48111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNTVAGVLLLFLLGGLTPSPAAADVCDNIQQVAATLPKNTSSSPVHFATTIFGQAPDVVYALALCRGDVLNDTACGECVANTFAKVLSQTPPPQQQCRTAAYYYGGSCRLFYSVDDILARSNNTTLPNVDDEPFTLWNVNNFTGDADDIRRIVGLKQELLLETVQRAAGAEPRRFATGVMESGTTFPPVYSLAQCTPDLSAGDCQACLRRLLGTVNSTMALRMGAQIHVIRCYFRYETYLFYDSQPMLRVGPSSPPAPAPNPAAVAKHKRRMSKLWAIPIVAVPLAAAAFLCFLFYSPWFRRYRKGRAMRLQAGSRRTQDLQGDEELVWDGKNSEFTVFDFEQVLVATDHFSEENKLGQGGFGAVYKGQFPDGLQIAVKRLASHSGQGFTEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILVYEYLPNKSLDFFVFDESRRALMDWSKLLVIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDGEMNPKISDFGLAKIFSSNNTDRNTTQRVVGTYGYMAPEYASEGIFSIKSDVFSFGVLVLEILSGKRNSGSQQCGGFINLIGYRKGGLSSLMHRCFPRATRQI >PAN46885 pep chromosome:PHallii_v3.1:9:14508150:14518669:-1 gene:PAHAL_9G221800 transcript:PAN46885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQSSQRQQSTPAASPLFHLRTQRPTHKTIQALPTPHPCLLLLPTSAASLPSATAPRPAGWPLAGGQTLGDRGELTTLQARSAAAAAAAAAAMQGFPGGAPDPQQLQATMVAIEQACSLIQLHMNPSEAEKVITSLHSSLMPYQACRFILETSQMPNARFQAAGAIGDAAIREWGILTDDNKRCLILFCLNYVMEHANSPDGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFFEVEQSIRGIHGPNRQFAAINFLETLVSEFSPSTASAMGLPKEFHEQCERSLELHFLKDFYCWAQSAVFNTADKILNSNVTIPEERACSAALRLMFQILSWNFKHTVEHESSDAKINSGLRIDTINLKKFERSLVKPGSMWRDILISSGHATWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGSVFPNDNGDAQIKHLMMILSAVVLWIEPPDVIAASIRNGGSESEFIDGCHALLSMASLTTGSLFDNLLKSIRHYSTVHLLSALTSEAVKSVLDSQSEEETWGVDSLDILLETWNVILGDVDADKSPISVDGALAASSLFKIIVESHLKAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAPDSTIPFLEQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPEALQAGFSNVIDAAQHPVVALSWSIINFSRQCLDPGIRAKYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVSRGEIDSIGTNGSQHSRKLLNSFAWENNQGELVLDFVVLISMLALTTYQGENELQTLTCQKLLATVVRRKHTCAYLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAQYLRDLMGPIAGCLVENASRSDLKSVAQQADVIYMVCCLLERLRGAARAAQPRTQKVLFEMGRTVMNPLLTLLEVYKNQSTVVYMVLKFVVDFVDGQAVFLDAKETSALVSFCLRLLQIYSSHNIGKHVAEGWFAGL >PAN46884 pep chromosome:PHallii_v3.1:9:14504487:14518677:-1 gene:PAHAL_9G221800 transcript:PAN46884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNARFQAAGAIGDAAIREWGILTDDNKRCLILFCLNYVMEHANSPDGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFFEVEQSIRGIHGPNRQFAAINFLETLVSEFSPSTASAMGLPKEFHEQCERSLELHFLKDFYCWAQSAVFNTADKILNSNVTIPEERACSAALRLMFQILSWNFKHTVEHESSDAKINSGLRIDTINLKKFERSLVKPGSMWRDILISSGHATWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGSVFPNDNGDAQIKHLMMILSAVVLWIEPPDVIAASIRNGGSESEFIDGCHALLSMASLTTGSLFDNLLKSIRHYSTVHLLSALTSEAVKSVLDSQSEEETWGVDSLDILLETWNVILGDVDADKSPISVDGALAASSLFKIIVESHLKAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAPDSTIPFLEQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPEALQAGFSNVIDAAQHPVVALSWSIINFSRQCLDPGIRAKYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVSRGEIDSIGTNGSQHSRKLLNSFAWENNQGELVLDFVVLISMLALTTYQGENELQTLTCQKLLATVVRRKHTCAYLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAQYLRDLMGPIAGCLVENASRSDLKSVAQQADVIYMVCCLLERLRGAARAAQPRTQKVLFEMGRTVMNPLLTLLEVYKNQSTVVYMVLKFVVDFVDGQAVFLDAKETSALVSFCLRLLQIYSSHNIGKVMLSVSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDCDGEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFVLMSHLLEVYPEKVAHLNRDAFARIIGSLDFGLRNQDTDVIERCLAAVNALASYHFKERMGGRGGLNSQLMKSEGSGGKVQESISSHFLRILLQILLFEDFRLELAGHAADALLPLLFCEQELYQRLVHELLEKQQNPTVKSRLATAFHNLTSSNNLSSSLDRPNRQRFRKNLLSFLVDVSSFMQIK >PAN46882 pep chromosome:PHallii_v3.1:9:14505100:14518669:-1 gene:PAHAL_9G221800 transcript:PAN46882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQSSQRQQSTPAASPLFHLRTQRPTHKTIQALPTPHPCLLLLPTSAASLPSATAPRPAGWPLAGGQTLGDRGELTTLQARSAAAAAAAAAAMQGFPGGAPDPQQLQATMVAIEQACSLIQLHMNPSEAEKVITSLHSSLMPYQACRFILETSQMPNARFQAAGAIGDAAIREWGILTDDNKRCLILFCLNYVMEHANSPDGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFFEVEQSIRGIHGPNRQFAAINFLETLVSEFSPSTASAMGLPKEFHEQCERSLELHFLKDFYCWAQSAVFNTADKILNSNVTIPEERACSAALRLMFQILSWNFKHTVEHESSDAKINSGLRIDTINLKKFERSLVKPGSMWRDILISSGHATWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGSVFPNDNGDAQIKHLMMILSAVVLWIEPPDVIAASIRNGGSESEFIDGCHALLSMASLTTGSLFDNLLKSIRHYSTVHLLSALTSEAVKSVLDSQSEEETWGVDSLDILLETWNVILGDVDADKSPISVDGALAASSLFKIIVESHLKAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAPDSTIPFLEQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPEALQAGFSNVIDAAQHPVVALSWSIINFSRQCLDPGIRAKYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVSRGEIDSIGTNGSQHSRKLLNSFAWENNQGELVLDFVVLISMLALTTYQGENELQTLTCQKLLATVVRRKHTCAYLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAQYLRDLMGPIAGCLVENASRSDLKSVAQQADVIYMVCCLLERLRGAARAAQPRTQKVLFEMGRTVMNPLLTLLEVYKNQSTVVYMVLKFVVDFVDGQAVFLDAKETSALVSFCLRLLQIYSSHNIGKVMLSVSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDCDGEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFVLMSHLLEVYPEKVAHLNRDAFARIIGSLDFGLRNQDTDVIERCLAAVNALASYHFKERMGGRGGLNSQLMKSEGSGGKVQESISSHFLRILLQILLFEDFRLELAGHAADALLPLLFCEQELYQRLVHELLEKQQNPTVKSRLATAFHNLTSSNNLSSSLDRPNRQRFRKNLLSFLVDVSSFMQIK >PVH31728 pep chromosome:PHallii_v3.1:9:14507925:14518677:-1 gene:PAHAL_9G221800 transcript:PVH31728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNARFQAAGAIGDAAIREWGILTDDNKRCLILFCLNYVMEHANSPDGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFFEVEQSIRGIHGPNRQFAAINFLETLVSEFSPSTASAMGLPKEFHEQCERSLELHFLKDFYCWAQSAVFNTADKILNSNVTIPEERACSAALRLMFQILSWNFKHTVEHESSDAKINSGLRIDTINLKKFERSLVKPGSMWRDILISSGHATWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGSVFPNDNGDAQIKHLMMILSAVVLWIEPPDVIAASIRNGGSESEFIDGCHALLSMASLTTGSLFDNLLKSIRHYSTVHLLSALTSEAVKSVLDSQSEEETWGVDSLDILLETWNVILGDVDADKSPISVDGALAASSLFKIIVESHLKAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAPDSTIPFLEQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPEALQAGFSNVIDAAQHPVVALSWSIINFSRQCLDPGIRAKYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVSRGEIDSIGTNGSQHSRKLLNSFAWENNQGELVLDFVVLISMLALTTYQGENELQTLTCQKLLATVVRRKHTCAYLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAQYLRDLMGPIAGCLVENASRSDLKSVAQQADVIYMVCCLLERLRGAARAAQPRTQKVLFEMGRTVMNPLLTLLEVYKNQSTVVYMVLKFVVDFVDGQAVFLDAKETSALVSFCLRLLQIYSSHNIGKHVAEGWFAGL >PAN51468 pep chromosome:PHallii_v3.1:9:70770087:70774722:1 gene:PAHAL_9G596700 transcript:PAN51468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGSNTTKKSKLSWSKSLVRKWFNIRSKARDFHADDVAAVGRTGGADDEWRGSSFTRREPSTVKKSKTERSSRRSNGHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNWPGTSGNGSFRTPSPAPNPVVEIDDDFEGLSSRQNNAPFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENTCRWGGSSDDENNTGESPSTIYSPMSYGYGNASSLEDSQRRAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEIIRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >PAN50650 pep chromosome:PHallii_v3.1:9:66905829:66909427:-1 gene:PAHAL_9G534900 transcript:PAN50650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRQVGGGRARPRRSAGAEWVAPCDRAIYSTPQLLALITTRVCLCVVLSSWLLGLPCSLAADSFLLHSRSLKPRAEEAVELPRSGAAMRTPRFAPRAVAGFVVLWMLLHCGGELVAAVPPDGWYDYSAYTDCRGQPEPALYNGGILKFGSSDDPDGYRTTETGVFSPAFVVYNLNKTTMYTFSCWVKLEGAYNALITARLAPDNTGVRCIATVLARSDCWAFVKGGFVLDWPSQTAVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRVATIHVADPQGARVVGASVSVQQTSKDFPIGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPTSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTSPDDLRAAVNARIQGLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYAAKLKELRAGGAILEGIGLEGHFSRPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQAAYLEQVLREAYAHPAVSGVMLWTALHPSGCYQMCLTDWGLNNLPTGDVVDRLLNEWRTLQAGGQTDAHGAYSFSGYLGEYVLTVTYNNRTTQSTFSLSPGDETRHINVQM >PAN50649 pep chromosome:PHallii_v3.1:9:66905829:66908138:-1 gene:PAHAL_9G534900 transcript:PAN50649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTFSCWVKLEGAYNALITARLAPDNTGVRCIATVLARSDCWAFVKGGFVLDWPSQTAVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRVATIHVADPQGARVVGASVSVQQTSKDFPIGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPTSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTSPDDLRAAVNARIQGLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYAAKLKELRAGGAILEGIGLEGHFSRPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQAAYLEQVLREAYAHPAVSGVMLWTALHPSGCYQMCLTDWGLNNLPTGDVVDRLLNEWRTLQAGGQTDAHGAYSFSGYLGEYVLTVTYNNRTTQSTFSLSPGDETRHINVQM >PVH32958 pep chromosome:PHallii_v3.1:9:66906080:66907750:-1 gene:PAHAL_9G534900 transcript:PVH32958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTFSCWVKLEGAYNALITARLAPDNTGVRCIATVLARSDCWAFVKGGFVLDWPSQTAVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRVATIHVADPQGARVVGASVSVQQTSKDFPIGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPTSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTSPDDLRAAVNARIQGLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYAAKLKELRAGGAILEGIGLEGHFSRPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQAAYLEQVLREAYAHPAVSGVMLWTALHPSGCYQMCLTDWGLNNLPTGDVVDRLLNEWRTLQAGGQTDAHGAYSFSGYLGEYVLTVTYNNRTTQSTFSLSPGDETRHINVQM >PAN45320 pep chromosome:PHallii_v3.1:9:6419463:6421820:-1 gene:PAHAL_9G109900 transcript:PAN45320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRMSNRRGAGAPGAATGGAAEDENNPRNAKKPRTDSSGMASLLDKYRREFAVPFAINNDSNKEDYCSTTNEEDTNKSVEFYDFLQVNANSSDTKCGSSIEEQEENRDDQAEGQVQFIDFLEVGAASHRQ >PAN45318 pep chromosome:PHallii_v3.1:9:6419463:6421820:-1 gene:PAHAL_9G109900 transcript:PAN45318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRERMRMSNRRGAGAPGAATGGAAEDENNPRNAKKPRTDSSGMASLLDKYRREFAVPFAINNDSNKEDYCSTTNEEDTNKSVEFYDFLQVNANSSDTKCGSSIEEQEENRDDQAEGQVQFIDFLEVGAASHRQ >PAN45321 pep chromosome:PHallii_v3.1:9:6420032:6421356:-1 gene:PAHAL_9G109900 transcript:PAN45321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRMSNRRGAGAPGAATGGAAEDENNPRNAKKPRTDSSGMASLLDKYRREFAVPFAINNDSNKEDYCSTTNEEADTNKSVEFYDFLQVNANSSDTKCGSSIEEQEENRDDQAEGQVQFIDFLEVGAASHRQ >PAN45319 pep chromosome:PHallii_v3.1:9:6420032:6421356:-1 gene:PAHAL_9G109900 transcript:PAN45319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRERMRMSNRRGAGAPGAATGGAAEDENNPRNAKKPRTDSSGMASLLDKYRREFAVPFAINNDSNKEDYCSTTNEEADTNKSVEFYDFLQVNANSSDTKCGSSIEEQEENRDDQAEGQVQFIDFLEVGAASHRQ >PVH32799 pep chromosome:PHallii_v3.1:9:63885646:63887153:-1 gene:PAHAL_9G490500 transcript:PVH32799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIPATERPRLTLEDYIVFFTTRSGKGLSLHHINQIIYMHAFAKLHRVPKPAMVDALGSVELMRPRRSTVPLNATAPPPGAAPAAAGALSAGEATRDIEDIGWRECPVGSLLSVRAGLRSPAAAAAETPMPISVIAPGSTERISPPSLLSASSPLPPALPAAARKKRSLTGRGKTAIRTRRRCVVELLTLPSVEMATSA >PAN44661 pep chromosome:PHallii_v3.1:9:3403599:3418118:-1 gene:PAHAL_9G059800 transcript:PAN44661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSFVDMLSPFSLFKKIDVPVRTASEVPYRLQMFKIRMVYASDVQKEDYEVSDERIKPVVSEANEKALPDLLSDPPQLEDVLGKPKAELCPLWIKKFNRELMRTLSFSEHETFDHPVACLLVVSSMDKEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQEGPQDIAVNILAEMRSTLGLNDCKLLCINSSTEADGSNPDNTWLPYTALGLENREGTSFLSTDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAPNGPMYTFTSIESQIRVLGDFAFMLRDYELALSNYRLLATDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWAEMLKTREQYREASSVYFRVSNEEPSLHSAVLLEQAASCYLLSSPPMLRKYGFHLILAGNSYYMSDQRQHAVRAYRNALFVYKQNPWSYINDHVHFNVGRWYGVLGILDVAIKHLLEVIACSHQSLITQNMFLSDFFHYVQSMGKKFDVYKLQLPVFNMSSLRVIHEDHRTYASDADVDVSEGTWQELEEELIPSSSVVRTNWLDTQPKSSLRKYKDSCVCVAGEAVKVNVELKNPLQIPVDVSGISLICQLSTNLDALSFDVSGLTLDAGEDKVNAEPSVSILETDEDNFIVSKLDIILGGGESKIVQLDVTPKVEGILKLVGVRWTLSNSVVGYQYFEFGAQKKNKKGKRGRRRSFNNSLVVIKGLPKLTGSIDRMPTKAFAGDLQLLKLNLRNLSQFAVKGIKMKISNPRFVIPGDSPDIDLEFPDCLKKHTQSEINLVPYKSMKENFKGLLFAFPQDIEIQAGGTLSWPIWFHAATPGNVSLYISLYSEMESSCDIKYRTLRMHYNLEVLPSLDISFAISKSSSRLQEYIVRMDVMNKTPSESFVLHQLSCVGSKWAVSALSSCNSISSVETVSANQAVSCFFKIKDFEADSCKESESGSYRSDMALYPGSNGDVFDIARSPLADFHFQERYRQGKLAKVTCSLLDFVLISKAAGYSSKPSPDLQLLSHHTCHCSALSQSPIWWLMEGPRAITHDFSKSCCEVSIQLVIHNSAAHKSSVRVVTSDVMPEKSQTVHPHDSTSVQGGWHDVSLENDIKAISSAKGTRHEKQSSKSISPYVWCSLSSAQIELQPDSCSRVPLKVCIFAPGTYNFSNYELQWKVLPSKEAQVDENGSSGGGPGHPFYVTVLQSV >PVH31359 pep chromosome:PHallii_v3.1:9:7408832:7409427:-1 gene:PAHAL_9G124400 transcript:PVH31359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAAAAAERPEGITPVPGRFGVRRRRAEGNGNPGEEKKEKSRGARVGAWRALGLVGASSATCARNVVVVPVPPPPPHRPLPSRAGPQSPSPLPVPVDLLLSPPFPSSLALSPVLPPLLFFSAVILSRRLVWSDGAWRRLRAISLGGDELRLLLLLVSS >PAN49826 pep chromosome:PHallii_v3.1:9:62911815:62916666:1 gene:PAHAL_9G477600 transcript:PAN49826 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAPPLAAAAAAVSSSSPLFSPSSSRPLIRRHAPPSYVSMRTRGRSQPAATVPPPAAESSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLVDMEPEERSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKVDDLKMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVAIAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSIATQINEGGFKSIALV >PAN49179 pep chromosome:PHallii_v3.1:9:59674115:59678879:1 gene:PAHAL_9G432100 transcript:PAN49179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAREVGSSSMGCRVIAQGYKVLDERRHAVDYRPSVWGDHFIKNPTLPHTNEKSLEWMEERRDVLINEAKKALTGTHDPMGEMKLIDAVQRLGISYHFQDEISASLQKLKSTEFDNESFYQIALQFWLLRQERYYVSCDVFQSFMDNQQNLKISLHSDVRALLALYEAAHLGTPNEQLLTDAQRQTTSLLKSMVDRLEKPLADKVRHALQTPSFRRMKRLEARQYIPLYEQDKEDCNELVLELAKLDFYLLQRIHREEVKEICEWYHGLESPQKLFYARHRPTEAYFWALGVYYEPQYAEARKLLAKFIATITPYDDTFDNYGIWEELQPFADVMQRWDMKEVEKLNGCYSDFARFMFGTMIEIENALPKDTGRRNVNFIRAIVGVNGSLLSTLIPIYKISQFSFDLFVFFIILMKFAYRFYISFAHSLYQG >PAN48524 pep chromosome:PHallii_v3.1:9:55868175:55869021:-1 gene:PAHAL_9G387700 transcript:PAN48524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIFLFGLQFLKIMSSGTQSEGSPQPASKRAVNSCRKNVPGSSFVSDLRDHIHEFIHASADEHRTCFTKTMKKMFGMSKSAAEKSSGVEEAGPESVLPLQTTVSR >PAN46002 pep chromosome:PHallii_v3.1:9:9680223:9681563:1 gene:PAHAL_9G156700 transcript:PAN46002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYAAMASRPTKAMTVDKLSYEIFSLLESKFLFGPANGCLFSGPGTPARAFLDGGRVRVLAIDGCGAGAEDALLAAAALARLEAGLREQAGDPDARVADFFDLAAGAGAGGVLAAMLFLRGPDGRPRYSAQEALAFVAGSVGGKGWGSRRGRWAKLFRGSRSGERTLRRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVESDTFDFRLRDVCAATCAAGGEPVAVRSVDGRTAIAAAFAGVAAMSNPAASAITHVLHNKQEFPLATGAEDLLVLSIGSGTSATASDGARTPMPTRSPSPREVACVTAEGVADMVDESVAMAFGHACGSNYVRIQAGKAPTPLHVDTVAAAAGAMLAQRNVESVLFRGRRLSERTNAEKVDALATEPVKEQERRRCSPLPNVAIKEVAAARLSSATTASSGTATARTASTMPSPASWDSRR >PAN43994 pep chromosome:PHallii_v3.1:9:608957:611307:1 gene:PAHAL_9G010000 transcript:PAN43994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLLPVAFLLLLLCLPEAPPASAASGDPNPTPWPPQFHAKLVMDYHGNLSVADLWYDWPGGRNLHVTRYQLAADAPFYDNEWNNGTSFFYTPSRRACHSAAVGVGILRPDWLRPGAVYLGRRDAGGFDCHVWAKADFITYYEDVKTKRPVKWVFYTGRIAYVMSFEVGAVLEDAAWQAPEYCFNKDGGIAETAGGHRDDSFAPWSVL >PVH32175 pep chromosome:PHallii_v3.1:9:46756597:46756901:-1 gene:PAHAL_9G340200 transcript:PVH32175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERGFRARLKARQASIFGCFLLLGGFGGKLPTEREGKDKWPHMEPAVSR >PAN46193 pep chromosome:PHallii_v3.1:9:10549821:10553548:-1 gene:PAHAL_9G169000 transcript:PAN46193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTVMLRALVLALAVAAAAAGKRKTYIVHMAKSAMPAEYADHGEWYGASLRSVSAANKMLYAYDTVLHGFSARLTPAEAAGLACVEGVLAVNPEARYELHTTRTPEFLGIAGADLLPQSGTAADVVVGVLDTGVWPESRSYDDAGLGEVPAFWKGQCAAGAGFNASACNRKLVGARFFNRGYEAAMGPMDTDRESRSPRDDDGHGTHTSSTAAGAAVPDASLFGFAAGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGAADYSRDSVAIGAFAAMEQNVLVSCSAGNAGPGSSTLSNVAPWITTVGAGTLDRDFPAYVALGNGKNYTGVSLYSGKPLPSTPLPIIYAANASNSTAGNLCMPGTLTPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTAANGQELVADAHLLPAAGVGEREGIAIKSYVASNPNPTATIVVAGTQVDVHPSPVVAAFSSRGPNMVTPEILKPDIIAPGVNILAAWTGKAGPTGLAADTRRVGFNIISGTSMSCPHVSGLAALLRSAHPEWSPAAVRSALMTTAYATYSGGGSPLLDAATGATATPFDYGAGHVDPARAADPGLVYDLGARDYVDFLCALKYSPNMIAAVARSRNVSCAENRTYSVGGLNYPSFSVPFSTANGDGGESSAAATVTHTRTLTSVGGAGKYRVSTSLSGAAQGVAVAVEPAELAFAAAGEKKSYTVRFTSRSQPSGTAGFGRLVWSDGKHSVASPIAFTWT >PAN47382 pep chromosome:PHallii_v3.1:9:17851053:17854936:1 gene:PAHAL_9G253900 transcript:PAN47382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MFLRRRLLSTAAAAARGPPPIRVDLTVSAGRGVFATRPVPAGELLHSAQPLVCHPSPSLLHEVCYSCLRRKPGEGRVSSGGYYFCSDACREHAKGFHDVEKNADWTSFDDHCSSRGLKYPYMAKRLACMVISGAANADCLNILQPASLHQGTLIEMEEEFELLESIFRKAGFQEVTTFLTKEWYINVLARIRINAFRIELVASSYEDLLSSAAASVSCDASVGNAVYMLPSFYNHDCDPNTHIVWLENVDVKLKALRDIEEGEELRICYIDTSMDVNARQKILADGFGFECHCLRCLSGD >PVH31165 pep chromosome:PHallii_v3.1:9:4132578:4133977:-1 gene:PAHAL_9G071500 transcript:PVH31165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGRLRWLWRAPVRALGRARDLYVRGLTGCARYVPSDAAFGYPVFVPAASLSRSHSAAGSDWGAGSAAGEDLRELVRAASERRVEQRQAELRAVARSQSMAAALSMARIDEDAPCEFGAGAGALGARSQSCAGGDAGRRARGHRKVAALV >PAN51560 pep chromosome:PHallii_v3.1:9:71161757:71168462:-1 gene:PAHAL_9G603200 transcript:PAN51560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPKSLLLACLSRPLRVRPPLPPLHPPPPPHHARPRRLPFSTQTLTRAPPPPPPPDAAAAKPAGLAFLEAAELHESEGDHRKALDLALRAIAPLQDSHGGWSLPVARALRLAGAAASRVGLAGDGIESLGAAAEIVDYLAPARRGEHEVAAVGAAVHEQLARAKMAVGRRWDAVGDLRRALELRSGCLDEGSAELGDAYRDVAEAYAGVLDFDKALSLCLKALGIAEARFGVDSTEVAKVRRLLAVIYTGLGRHVDALEQIELAKMVYEPLGLNVELSQVEIDGANIRTLLGRSEEALNDLKRVMKRADKVSEERALAYVTMAKILSSQERIGDAKRCLEIAHGIIDTKDSVNPGRISEAYAEISMLHESMGEFETSLSLMKKTLAVLEGAKDMQHVEGSISARMGWILLHTGRVAEAVPYLETAVDKLRNCFGPQHFGLGFTYKHLGEAYLQMDKHESAVKFLTLAKGIIHATFGPTHEDSIDMNQSLANAYGLMGRYKLAMDFQEQVIDAYKSCGTDSSDDLREAYRLREQLKMKAKGLRHAVFPANSLPVLPEHND >PAN44267 pep chromosome:PHallii_v3.1:9:1684606:1686674:1 gene:PAHAL_9G030200 transcript:PAN44267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLPPSLPPHLRRRRFSTAPASHHPPFAARAAEQHCLRLLVCSSTPAAVLQSLAFLLKSGLHSNPLVLTRLFASTASAAPALLEPLVAALLGPSVPIDAFLVNTLIRAHATSPLHSARLRAAFFFPFMLRSSVVPNKFTFPFLLKACAALPGSPGVGLQAHAAALKFGFATDQYVSNTLIHMYSCFGGGFLGDARNVFDRMPKSSAVSWSAMIGGYVRGGLSSDAVGLFREMQASGVRPDEVTVLGVLAAAADLGALELARWVGRFVEREGIGKSVTLCNALIDALAKCGDVDGAVAVFQGMEERTVVSWTSVIDALAMEGRGKEAVGLFEEMKIAHVTPDDVAFIGVLTACSHAGMVDEGCGYFDSMKMEYGIEPKIEHYGCMVDMFGRGGMVERAVEFVRTMPMKPNPIIWRTLVAACRAHGRLELGENITRKLLNEYPAHEANYVMLSNVYALTQRWKEKSEIRREMSKRGIKKVPGCSVVELDGEVHEFIAGDESHPQWKEIYMMVEEMARELRRIGHISATSEVLLDLDEEDKEGALQWHSEKLAIAFLLLRTPPGTQVRVVKNLRVCSDCHAAIKCISQIYNREIVVRDRSRFHRFKNGSCSCNDFW >PVH30929 pep chromosome:PHallii_v3.1:9:902785:903779:1 gene:PAHAL_9G015400 transcript:PVH30929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRPARRAAVKATGDPITMRRSRGAPSARAATARRSCSSPARAPLLLTSRAALALPSDGKPLRREGWRRLEQGGGEGSTLTHTRSTCTALLLPAPP >PAN50432 pep chromosome:PHallii_v3.1:9:65902614:65905753:-1 gene:PAHAL_9G519700 transcript:PAN50432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNILLWNAVIFGPDDTPWDGGTFKLTLQFTEEYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >PVH32033 pep chromosome:PHallii_v3.1:9:31896264:31897353:1 gene:PAHAL_9G297700 transcript:PVH32033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHEVTETAALSPTGGVGSSLGPRVSRVKVPADGGVMAENVLSDSSSPCWCPLQRRRRARGGWSSCVGALFRSPPLEIHRPDREVSSPAFCLVGREAARDLVVVWRVEPVQSSPRWLRSSSVDLPPGSPELEACLWPMHLQRWLYLVDDGVYCGLFQSFQATELWLIWVSRELIRTTAGDGRRVWIPASKGSRDPFVVFLSSKGVCVICLVVLLPSVPSSMYLYAYSSLYRIFMVNTGTL >PAN50376 pep chromosome:PHallii_v3.1:9:65649409:65653219:-1 gene:PAHAL_9G515700 transcript:PAN50376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCHLWPSTSPTAQHMHPSPSPFLATVHLFSRGGGSSRLDTTCSVPLSLSRSDRGWGCGMGAKEEAEYAAAADAEESASTNQRTLSLKGCSSSVEKIYTMKSPHKKRKSQYELVDPRLLSLKYKFRNRLSHQEEDSATTESLGNDIIFMNKNSGTDMVSIPEELDSCENTLSLFGGCIEVDSKNGIQGQSMRKMYEIRTSASSSSSNIFSSEAFSCSHSSGTRETDSWVMHDVEHDHSSLMLQPHDDLERIYNVLEQYDDLMKDELVNGNAYGSAAHIMEEKLYSNGVDDLQILPAGQTGYHGEKKLTIDQEFEQYFSNLML >PAN44856 pep chromosome:PHallii_v3.1:9:4351878:4354680:1 gene:PAHAL_9G075400 transcript:PAN44856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRITVSYSGYVAQNLAASFGLRCTSAAAAGAGAAPGPGSRFLQDALSRPFCLFATSRRADPHHDAEDHNHPKPRPRALPPAAPAGGGHSLLLPRSSFTKPPVDDPPTSLAVGLLSVFASGMGSTGGIAGASSLAASPSISAGFNPAALLPFLQATKWLPCSDIVTAATASRGSGRPAVASTAPAPRAAGASTAPAPRAAVPSPGHAPRRAVPSPGPALVPSPAVAAPSKVGIQALVGSASIASGSAGIGSGAIASGAAGMVRKSGASLAAGARVSRKAGWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEVLDIVIFRAPPILQALGYSSSDVFIKRVVAKGGDIVEVRDGNLLVNGVVQDEEFVLEPADYEMDPLTIPEGYVFVLGDNRNNSFDSHNWGPLPVKSILGRSVLRYWPPSKITDTI >PAN46665 pep chromosome:PHallii_v3.1:9:13236205:13237810:1 gene:PAHAL_9G206100 transcript:PAN46665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSFPTSPSSSASSHLSYLIPARPPAPPPPLAMGQGYCAAGGISVPASVAVDAAAAAAPRQGARNAGAGHPPLPRPPPRQCPRCQSGNTKFCYYNNYSRAQPRYLCKACRRHWTEGGTLRDVPVGGGRKNRRGGNKGSASAAKASASADAAAMTQGGSAAVGADTFPDLLRQLVHFQPGAAAVGGGGYAIDLSAWQQMAAATAPPQGTGEVSALGGAAAAEANCSALQYWGGWQQDDMPGLDGAC >PAN44746 pep chromosome:PHallii_v3.1:9:3872695:3880496:-1 gene:PAHAL_9G067100 transcript:PAN44746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGADADAGDRENADPNRCSTADAPPPPEDTGDKLDLDINSTLSTQLLEPKPRENKELGSHDIHSNSANTSRNGDERNNTPKKRDVFRPSVFDRIAGHHDQRCDDATKPNSDSHRNRWREMEKEHSDMNKMEWHCDDSKQYLDSHLSPRERWGSSSSKEGNFDQRRDNKWDARWGVSSKDSENWRDRSSDSDRKDDTPREKVFSHNTGNGKDVSDPEKGNERDNNISRSWNSSYFASRGTGGTSDHHSLAPQKSSASLGYSRERQESDNPNSTSSHRRLTSVTSRVNTRTTRPFHLGVLSDRPGGAARDSLRYSRMKLLEIYRSTDVTNFAMPLDDAEEISLWQEDPMEPFALIAPNSEEAVILKGIERGDVTNSGAQACKDGSAEKTGREDQAGSSEDLKGEITISIRGIPVDADLSKRLKSDKSPYTAPQESESICGRIHGPSAEFGHQYNVLDPGTKVGEMDGVGDIISPENLSLYYKDPKGQTQGPFSGSDIIGWFEAGFYGIDLLVRVASAPCDSPFLLLGDVMPHLRAKVRVPPGFSNTKPRSMPETSHLGPAYLDISDYGSINKNGSVTEAENHFLESPMSSNTLNPRAETSPVAGGMNEWSCSKFSNLSISGGENANSINYLAAQKKGLLERGNPFQIESDIVSVEQTQNKDSVQSTSHSTSFPQVVDPSSEAPQSQNVNLLSVLLPAGKHQAPTANSGLPLWSTPESGNLHPGMCGIDLAQEVHVRQNLHNSQQIGIDGQQHYSVTQNQPTVACLNSQTLQPEKFLSEISQDPQLLNILQQQYLPSRLQLQLLMPGISQPQPSLSNNMLQLRQQEHQELQQQHQYISQVLLHDCSTRQLYHPSYGTKHISLSSGDCLKLCLQRTQEILELAQKLPCHGMHEMQLPRGTEVSGFSESWVPALPLPHEMMGYAPQKECSAGLTQGFAVIDPSGKENIVDSTSKKTLSSGSNEDSKVTVLEAKGFPQSYQDLANLENVSSHISNQVHDLEISSIHPHPWKPTPEVRTKSLSEIQAEEQLKAQRETAMESAKVTTTAPLVSSIPWASLAQTSEQQFGDETKSKGGRENVNVSWNKRSQLHDLLAEEVLVKSNDKDAVIIDSADNTSFPPLAPYATQCGAHYLDDSDFIEVKDTRKKRNRAEKSKGSAVKAPASLDSFNPSVMPLPIGKGKPGKQAQQEEEELPPLPNAPSLGDFVPWKSDEANVVPGPAWSTDPPQVHKPLSLRDIQMEEQESGSLQQLVPASSHAEEPMNQQSRGNDSSCQDSESPPLGVNGTLQMTSQVSSHSNTHSDEDLFWGSHEHAKQDKSEFQSASQSGGASMFNATSAALDIQKKGKKGKRLSSSVLGFKVHSNRIMMGEIMHAED >PAN44747 pep chromosome:PHallii_v3.1:9:3872695:3880496:-1 gene:PAHAL_9G067100 transcript:PAN44747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGADADAGDRENADPNRCSTADAPPPPEDTGDKLDLDINSTLSTQLLEPKPRENKELGSHDIHSNSANTSRNGDERNNTPKKRDVFRPSVFDRIAGHHDQRCDDATKPNSDSHRNRWREMEKEHSDMNKMEWHCDDSKQYLDSHLSPRERWGSSSSKEGNFDQRRDNKWDARWGVSSKDSENWRDRSSDSDRKDDTPREKVFSHNTGNGKDVSDPEKGNERDNNISRSWNSSYFASRGTGGTSDHHSLAPQKSSASLGYSRERQESDNPNSTSSHRRLTSVTSRVNTRTTRPFHLGVLSDRPGGAARDSLRYSRMKLLEIYRSTDVTNFAMPLDDAEEISLWQEDPMEPFALIAPNSEEAVILKGIERGDVTNSGAQACKDGSAEKSNPDVVPLEQSNITGREDQAGSSEDLKGEITISIRGIPVDADLSKRLKSDKSPYTAPQESESICGRIHGPSAEFGHQYNVLDPGTKVGEMDGVGDIISPENLSLYYKDPKGQTQGPFSGSDIIGWFEAGFYGIDLLVRVASAPCDSPFLLLGDVMPHLRAKVRVPPGFSNTKPRSMPETSHLGPAYLDISDYGSINKNGSVTEAENHFLESPMSSNTLNPRAETSPVAGGMNEWSCSKFSNLSISGGENANSINYLAAQKKGLLERGNPFQIESDIVSVEQTQNKDSVQSTSHSTSFPQVVDPSSEAPQSQNVNLLSVLLPAGKHQAPTANSGLPLWSTPESGNLHPGMCGIDLAQEVHVRQNLHNSQQIGIDGQQHYSVTQNQPTVACLNSQTLQPEKFLSEISQDPQLLNILQQQYLPSRLQLQLLMPGISQPQPSLSNNMLQLRQQEHQELQQQHQYISQVLLHDCSTRQLYHPSYGTKHISLSSGDCLKLCLQRTQEILELAQKLPCHGMHEMQLPRGTEVSGFSESWVPALPLPHEMMGYAPQKECSAGLTQGFAVIDPSGKENIVDSTSKKTLSSGSNEDSKVTVLEAKGFPQSYQDLANLENVSSHISNQVHDLEISSIHPHPWKPTPEVRTKSLSEIQAEEQLKAQRETAMESAKVTTTAPLVSSIPWASLAQTSEQQFGDETKSKGGRENVNVSWNKRSQLHDLLAEEVLVKSNDKDAVIIDSADNTSFPPLAPYATQCGAHYLDDSDFIEVKDTRKKRNRAEKSKGSAVKAPASLDSFNPSVMPLPIGKGKPGKQAQQEEEELPPLPNAPSLGDFVPWKSDEANVVPGPAWSTDPPQVHKPLSLRDIQMEEQESGSLQQLVPASSHAEEPMNQQSRGNDSSCQDSESPPLGVNGTLQMTSQVSSHSNTHSDEDLFWGSHEHAKQDKSEFQSASQSGGASMFNATSAALDIQKKGKKGKRLSSSVLGFKVHSNRIMMGEIMHAED >PVH31147 pep chromosome:PHallii_v3.1:9:3872252:3880643:-1 gene:PAHAL_9G067100 transcript:PVH31147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGVSVVKILKIGVTGHQIQIEKMILPVKKFFLTIQESDNPNSTSSHRRLTSVTSRVNTRTTRPFHLGVLSDRPGGAARDSLRYSRMKLLEIYRSTDVTNFAMPLDDAEEISLWQEDPMEPFALIAPNSEEAVILKGIERGDVTNSGAQACKDGSAEKTGREDQAGSSEDLKGEITISIRGIPVDADLSKRLKSDKSPYTAPQESESICGRIHGPSAEFGHQYNVLDPGTKVGEMDGVGDIISPENLSLYYKDPKGQTQGPFSGSDIIGWFEAGFYGIDLLVRVASAPCDSPFLLLGDVMPHLRAKVRVPPGFSNTKPRSMPETSHLGPAYLDISDYGSINKNGSVTEAENHFLESPMSSNTLNPRAETSPVAGGMNEWSCSKFSNLSISGGENANSINYLAAQKKGLLERGNPFQIESDIVSVEQTQNKDSVQSTSHSTSFPQVVDPSSEAPQSQNVNLLSVLLPAGKHQAPTANSGLPLWSTPESGNLHPGMCGIDLAQEVHVRQNLHNSQQIGIDGQQHYSVTQNQPTVACLNSQTLQPEKFLSEISQDPQLLNILQQQYLPSRLQLQLLMPGISQPQPSLSNNMLQLRQQEHQELQQQHQYISQVLLHDCSTRQLYHPSYGTKHISLSSGDCLKLCLQRTQEILELAQKLPCHGMHEMQLPRGTEVSGFSESWVPALPLPHEMMGYAPQKECSAGLTQGFAVIDPSGKENIVDSTSKKTLSSGSNEDSKVTVLEAKGFPQSYQDLANLENVSSHISNQVHDLEISSIHPHPWKPTPEVRTKSLSEIQAEEQLKAQRETAMESAKVTTTAPLVSSIPWASLAQTSEQQFGDETKSKGGRENVNVSWNKRSQLHDLLAEEVLVKSNDKDAVIIDSADNTSFPPLAPYATQCGAHYLDDSDFIEVKDTRKKRNRAEKSKGSAVKAPASLDSFNPSVMPLPIGKGKPGKQAQQEEEELPPLPNAPSLGDFVPWKSDEANVVPGPAWSTDPPQVHKPLSLRDIQMEEQESGSLQQLVPASSHAEEPMNQQSRGNDSSCQDSESPPLGVNGTLQMTSQVSSHSNTHSDEDLFWGSHEHAKQDKSEFQSASQSGGASMFNATSAALDIQKKGKKGKRLSSSVLGFKVHSNRIMMGEIMHAED >PAN47905 pep chromosome:PHallii_v3.1:9:33997785:34002072:-1 gene:PAHAL_9G304900 transcript:PAN47905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAVSQVPAGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEEDDKFDCENMQWNSSDMASDDAQSPKAQRSRSRQHRGFHRKSLSRSMSCDSHSKTSVSSASRAHTKVDLSKLELTALWRYWRHFNLDASPNPSREQLIDAVQRHFMSQQLDELQVIIGFVQAAKRLKTSMKSEA >PAN47904 pep chromosome:PHallii_v3.1:9:33997816:34002072:-1 gene:PAHAL_9G304900 transcript:PAN47904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAVSQVPAGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEEDDKFDCENMQWNSSDMASDDAQSPKAQRSRSRQHRGFHRKSLSRSMSCDSHSKTSVSSASRAHTKVDLSKLELTALWRYWRHFNLDASPNPSREQLIDAVQRHFMSQQLDELQVIIGFVQAAKRLKTSMKSEA >PAN48980 pep chromosome:PHallii_v3.1:9:58448423:58452967:1 gene:PAHAL_9G417000 transcript:PAN48980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGRRDGPLMLRGGGGGKPLSRGSRIAVAVAVGIGLGCVCAFLYPDGLFRPSASALQWSRHVDSTACESSGRVTSLKSQLASLERENAEMRRQINELSMKLQLAGQGKDETLYKPGPFGTVKALRTNPTVMPDESVNPRLAKIMEEVAVKKELIVALANSNVREMLEVWFTNIKRVGIPNFLVVALDDNIESFCKSKGVPVYRRDPDEGIDNIAKTGGNHAVSGLKFRVLREFLQLGYSILLSDIDIIFLRNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVAYRLSHEKAWDQAVFNEELFFPSHPGYEGLHASRRTMDIYLFMNSKVLFKTVRKDAQLKKLKPVIVHLNYHPDKLDRMKAVIEFYVNGKQDALQRFPDGSE >PAN44050 pep chromosome:PHallii_v3.1:9:817164:817535:-1 gene:PAHAL_9G013400 transcript:PAN44050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHRELLLLLLAAAVIAALAAVASADDAKPTILTPVAQTPLGSFDGDTPASDDDSVDDDDDAAPVGAPTGATMTEPKPELISPPGTEATAGGAAASGAPADLGVLAARVGAVAAVAAGVFAF >PAN49794 pep chromosome:PHallii_v3.1:9:62781549:62784834:-1 gene:PAHAL_9G475600 transcript:PAN49794 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MQQQQQPEPADPPGRAFPPPPAPAARGAHHRRARSEVAFRLPDDLGLGGGGGPDGDGFDEIGSEDDLFSTFMDIEKISSSGPSDRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGVGGGTGKDAAASLAEVMEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDVSCNMKQ >PAN49792 pep chromosome:PHallii_v3.1:9:62780468:62784834:-1 gene:PAHAL_9G475600 transcript:PAN49792 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MQQQQQPEPADPPGRAFPPPPAPAARGAHHRRARSEVAFRLPDDLGLGGGGGPDGDGFDEIGSEDDLFSTFMDIEKISSSGPSDRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGVGGGTGKDAAASLAEVMEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGFGHWKGASGCEVGEQLDLCKRK >PAN49793 pep chromosome:PHallii_v3.1:9:62780912:62784540:-1 gene:PAHAL_9G475600 transcript:PAN49793 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MQQQQQPEPADPPGRAFPPPPAPAARGAHHRRARSEVAFRLPDDLGLGGGGGPDGDGFDEIGSEDDLFSTFMDIEKISSSGPSDRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGVGGGTGKDAAASLAEVMEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGETTNSSETYNMRFQHVPYNSSFFPLSQHNTALHPGSAQLAPSFNPPHPNVPNHQMLSHPHTLPDIMQQDSLGRLQGLDIGKGPLVVKSESSSISASESSSTF >PVH32092 pep chromosome:PHallii_v3.1:9:35252140:35256804:1 gene:PAHAL_9G308900 transcript:PVH32092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGWRWWWTSPTGWSTPESWLCLAEDGGSIPNDSLMKHRVRRGEWGIWRRESAGSSEPLG >PAN49096 pep chromosome:PHallii_v3.1:9:59157459:59161232:-1 gene:PAHAL_9G425600 transcript:PAN49096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGCKFLLCMSTIQGYVLFGPYIVRMAWLPDQFEMDNLHWYSRSPIVPEFWIPIVASFTVGLVGLWTFWYFFSLWRRKISLSWMKIIAKSKRKNFERNHKIPTSEHVWNTESLIRAKGLKCCVCLEFISPVQPLGQMLTSENMVHRCNVCGVAAHMVCSPNSQKDCKCVSMFGSKHVIHQWTVLWTDVADQSEEDQYCCYCEELCSESFLGGPPIYCCMWCQRLVHVDCQSAMAAETGDICDLGPFKRLILSPLFVRTISKPGGILSSITQGANEFASTVRVHLGSRSRKVKHHKGMPSESAHEDSNDDSSSETTLTSNQKAKESKATGGSAQRSADNEHYSSESDGRELVPEPIRLSNDDTGGVKLKYALSELPADARPLLVFINKRSGAQRGDSLKHKLHFLLNPVQVFELSSSQGPETGLILFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVTVEDKKSKNVLLVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDKTFLDLPWQVRLEVDGTEIDIPEVTRKVCLSQTSQATWEVLTCGKMRVRILKTLTRSQSMTRCSKWLVFPELGISERSRLDFLGHGGSRKASRSRCRCLLLSLFKWMANPGSSSPARSRYPITDRLSC >PAN49094 pep chromosome:PHallii_v3.1:9:59157459:59161232:-1 gene:PAHAL_9G425600 transcript:PAN49094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGCKFLLCMSTIQGYVLFGPYIVRMAWLPDQFEMDNLHWYSRSPIVPEFWIPIVASFTVGLVGLWTFWYFFSLWRRKISLSWMKIIAKSKRKNFERNHKIPTSEHVWNTESLIRAKGLKCCVCLEFISPVQPLGQMLTSENMVHRCNVCGVAAHMVCSPNSQKDCKCVSMFGSKHVIHQWTVLWTDVADQSEEDQYCCYCEELCSESFLGGPPIYCCMWCQRLVHVDCQSAMAAETGDICDLGPFKRLILSPLFVRTISKPGGILSSITQGANEFASTVRVHLGSRSRKVKHHKGMPSESAHEDSNDDSSSETTLTSNQKAKESKATGGSAQRSADNEHYSSESDGRELVPEPIRLSNDDTGGVKLKYALSELPADARPLLVFINKRSGAQRGDSLKHKLHFLLNPVQVFELSSSQGPETGLILFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVTVEDKKSKNVLLVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDKTFLDLPWQVRLEVDGTEIDIPEVTRKVCLSQTSQATWEVLTCGKMRVRILKTLTRSQSMTRCSKWLVFPELGISERSRLDFLGHGGSRKASRSRCRCLLLSLFKWMANPGSSSPARSRYPITDRLSC >PAN49092 pep chromosome:PHallii_v3.1:9:59156831:59163639:-1 gene:PAHAL_9G425600 transcript:PAN49092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGCKFLLCMSTIQGYVLFGPYIVRMAWLPDQFEMDNLHWYSRSPIVPEFWIPIVASFTVGLVGLWTFWYFFSLWRRKISLSWMKIIAKSKRKNFERNHKIPTSEHVWNTESLIRAKGLKCCVCLEFISPVQPLGQMLTSENMVHRCNVCGVAAHMVCSPNSQKDCKCVSMFGSKHVIHQWTVLWTDVADQSEEDQYCCYCEELCSESFLGGPPIYCCMWCQRLVHVDCQSAMAAETGDICDLGPFKRLILSPLFVRTISKPGGILSSITQGANEFASTVRVHLGSRSRKVKHHKGMPSESAHEDSNDDSSSETTLTSNQKAKESKATGGSAQRSADNEHYSSESDGRELVPEPIRLSNDDTGGVKLKYALSELPADARPLLVFINKRSGAQRGDSLKHKLHFLLNPVQVFELSSSQGPETGLILFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVTVEDKKSKNVLLVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDKTFLDLPWQVRLEVDGTEIDIPEDSEGVLVANIPSYMGGVDLWQNEGEDPENFDPQSIHDKMLEVVSISGTWHLGTLQVGLSRARRIAQGQSIKMQMFAPFPVQVDGEPWVQQPCTLKISHHGQAFMLRRAIEEPLGHAAAIITDVLEHAESSHVITASQKRALLQEVALRLA >PAN49093 pep chromosome:PHallii_v3.1:9:59156831:59163639:-1 gene:PAHAL_9G425600 transcript:PAN49093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGCKFLLCMSTIQGYVLFGPYIVRMAWLPDQFEMDNLHWYSRSPIVPEFWIPIVASFTVGLVGLWTFWYFFSLWRRKISLSWMKIIAKSKRKNFERNHKIPTSEHVWNTESLIRAKGLKCCVCLEFISPVQPLGQMLTSENMVHRCNVCGVAAHMVCSPNSQKDCKCVSMFGSKHVIHQWTVLWTDVADQSEEDQYCCYCEELCSESFLGGPPIYCCMWCQRLVHVDCQSAMAAETGDICDLGPFKRLILSPLFVRTISKPGGILSSITQGANEFASTVRVHLGSRSRKVKHHKGMPSESAHEDSNDDSSSETTLTSNQKAKESKATGGSAQRSADNEHYSSESDGRELVPEPIRLSNDDTGGVKLKYALSELPADARPLLVFINKRSGAQRGDSLKHKLHFLLNPVQVFELSSSQGPETGLILFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVTVEDKKSKNVLLVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDKTFLDLPWQVRLEVDGTEIDIPEDSEGVLVANIPSYMGGVDLWQNEGEDPENFDPQSIHDKMLEVVSISGTWHLGTLQVGLSRARRIAQGQSIKMQMFAPFPVQVDGEPWVQQPCTLKISHHGQAFMLRRAIEEPLGHAAAIITDVLEHAESSHVITASQKRALLQEVALRLA >PAN45789 pep chromosome:PHallii_v3.1:9:8737479:8741561:-1 gene:PAHAL_9G142400 transcript:PAN45789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVSQLALLRLLSPPSAAAAARRAAPVTAEAVSGGGSILLPRGGGAGVAASIWSGGGPGIRLARRLCTYDERDDRALEEEAEKKFGWILKIFFLGTAGLVGYQFFPYMGDNLLQQSISLLRVKDPLFKRMGASRLTRFAVDEEAAGFLDKAGAYAIVSSTPNSPEYAEIETYKTSLLTAIDKLKS >PAN45790 pep chromosome:PHallii_v3.1:9:8737858:8741399:-1 gene:PAHAL_9G142400 transcript:PAN45790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVSQLALLRLLSPPSAAAAARRAAPVTAEAVSGGGSILLPRGGGAGVAASIWSGGGPGIRLARRLCTYDERDDRALEEEAEKKFGWILKIFFLGTAGLVGYQFFPYMGDNLLQQSISLLRVKDPLFKRMGASRLTRFAVDDQRRMKVVEMGGAQELLNVLEGAKDDKTRKEALKTLVALSKSEEAAGFLDKAGAYAIVSSTPNSPEYAEIETYKTSLLTAIDKLKS >PAN50360 pep chromosome:PHallii_v3.1:9:65566260:65569420:1 gene:PAHAL_9G514800 transcript:PAN50360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAGVEGNAEINPSFSAPNSSGTGSKSSSKNATDTSTFGTKTSGSSSSVPPTPRTETEILESSNVRKFTFSELKGSTRNFRPDSLLGEGGFGSVFKGWMDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCLEDEQRLLVYEYMPRGSLEHHLFRRGSHFQPLPWNLRMKVALEAARGLAFLHGDQAKVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKTAALALQCLSMDARCRPGMDQVVTVLEGLQETKGAVKSGK >PVH32422 pep chromosome:PHallii_v3.1:9:56633101:56641259:1 gene:PAHAL_9G395500 transcript:PVH32422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MPETAAEANLRRQLEQTLAADPSIPLHHYNLGVFLWARAEAEQEGDGDEARRLRAAAAEHFLAAAKLNPNDGVPFRFLGNHYARGGDTQRAAKCYQRAVALNADDAEAGEALCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQVHQRKWSDAIQSLQHAIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIESGNIQLMLGYFRKGVEQFRSALEMAPHNHSAYFGLASALLAWSRNCVTTGAFGWAASLLKEASEAAKICASLTGNLSCVWKLHGDVQLALARCFPWVDEKIKRGVDTQMFKDSVQEWRNAILSAANGAKLSYQRALHLTPWEANVHNDAAICLDLIYSMDDNNRHDPNVWELSEKMSLGALILEPVNKDFWVTLGSMSSDLALKQHSFIRALHLDMSLSEAWAYLGKIYRQSGDKQLAKEAFDRARSIDPSLALPWAGMSAENYHQSGTVNESFESCLRAAQILPLPEFQIGLGTIAARTGNLLSPQVLMAVRQAVHRAPHYPESHNINGLVSEVRSDFQSAIRFYQQARSALGMMNNSKSDNKYVFADVSVNLARSLYKAGLATDAVRECEELRSQGLLSMDGLQIYALALWKTGRSEEALSVSRNLAENLSGMKPESASVALGFICTLTYAISGKDSAAAVIHKLPGQLNYSSQLKFIISALDALHPNKRLQLPQLSMPPRLTSYEVMSEVHSNIALGKAIEGEMDKPLRVDASLSYLKKVLHMYPDCSLVRNQLGSLLLWSGDWMASHKAIRVTSLTHGHTSSMGLRSAHQIQASAMVCCYATCTSYPKFSFPTCEHQYLSGHDEIHHLQRWVHREPWNQDARYLLVLAIFQKAREEKYPKHMCIILKRLIMQVLSNASNSHENKVVQYEVFLLLLLSSEICLQSLDYENCIAQAKEALRMTASRCVDTFFAHFQLCRAYAVKGDLLNSRNEYMNCLKKHANTEMGWVMLKHLESACSLETSSDEIYKNLCECIERNGSDLSKWTSLFNLVSAQCFIGDENFASAEEALAQACAEGDPDSCILFLNGATCMEIARRFAAPQFISRAAPSLRKAQQKSHASLPLVSLLLAQAEGSLGSKTKWEKNLRLEWFSWLPELRPAEVYFQMHLLARQSAAAASQQNQLVETMQSPESWLLRAIHLNPSCSRYWKALLQLMDA >PAN48616 pep chromosome:PHallii_v3.1:9:56633101:56641259:1 gene:PAHAL_9G395500 transcript:PAN48616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MPETAAEANLRRQLEQTLAADPSIPLHHYNLGVFLWARAEAEQEGDGDEARRLRAAAAEHFLAAAKLNPNDGVPFRFLGNHYARGGDTQRAAKCYQRAVALNADDAEAGEALCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQVHQRKWSDAIQSLQHAIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIESGNIQLMLGYFRKGVEQFRSALEMAPHNHSAYFGLASALLAWSRNCVTTGAFGWAASLLKEASEAAKICASLTGNLSCVWKLHGDVQLALARCFPWVDEKIKRGVDTQMFKDSVQEWRNAILSAANGAKLSYQRALHLTPWEANVHNDAAICLDLIYSMDDNNRHDPNVWELSEKMSLGALILEPVNKDFWVTLGSMSSDLALKQHSFIRALHLDMSLSEAWAYLGKIYRQSGDKQLAKEAFDRARSIDPSLALPWAGMSAENYHQSGGSTVNESFESCLRAAQILPLPEFQIGLGTIAARTGNLLSPQVLMAVRQAVHRAPHYPESHNINGLVSEVRSDFQSAIRFYQQARSALGMMNNSKSDNKYVFADVSVNLARSLYKAGLATDAVRECEELRSQGLLSMDGLQIYALALWKTGRSEEALSVSRNLAENLSGMKPESASVALGFICTLTYAISGKDSAAAVIHKLPGQLNYSSQLKFIISALDALHPNKRLQLPQLSMPPRLTSYEVMSEVHSNIALGKAIEGEMDKPLRVDASLSYLKKVLHMYPDCSLVRNQLGSLLLWSGDWMASHKAIRVTSLTHGHTSSMGLRSAHQIQASAMVCCYATCTSYPKFSFPTCEHQYLSGHDEIHHLQRWVHREPWNQDARYLLVLAIFQKAREEKYPKHMCIILKRLIMQVLSNASNSHENKVVQYEVFLLLLLSSEICLQSLDYENCIAQAKEALRMTASRCVDTFFAHFQLCRAYAVKGDLLNSRNEYMNCLKKHANTEMGWVMLKHLESACSLETSSDEIYKNLCECIERNGSDLSKWTSLFNLVSAQCFIGDENFASAEEALAQACAEGDPDSCILFLNGATCMEIARRFAAPQFISRAAPSLRKAQQKSHASLPLVSLLLAQAEGSLGSKTKWEKNLRLEWFSWLPELRPAEVYFQMHLLARQSAAAASQQNQLVETMQSPESWLLRAIHLNPSCSRYWKALLQLMDA >PAN46722 pep chromosome:PHallii_v3.1:9:13577542:13578355:-1 gene:PAHAL_9G210100 transcript:PAN46722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARAAAAMAIFVLVALSASHMASSLRPGAGLGVCRASGYLPGRSGNCEKSNDPDCCEDGKRYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSVGMVDITWSEE >PVH32300 pep chromosome:PHallii_v3.1:9:53121583:53122045:1 gene:PAHAL_9G363400 transcript:PVH32300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMDFPSSDAEKFSVLVKGDYYSCICMALPKYWVRIATYHFIWNSVLWLQA >PAN44715 pep chromosome:PHallii_v3.1:9:3671013:3672983:1 gene:PAHAL_9G064100 transcript:PAN44715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLLGRIQSAGRLSSGHGRRQLLGFSGGEGLRRPPPPAGVRSVCSSSAYGQIKTDARITDHEPHLDRFSDPQVAHEDRQFIQFLDRMLDAIRNPQSLAQIRSGRIANGLKVLDDDI >PAN44714 pep chromosome:PHallii_v3.1:9:3671013:3672429:1 gene:PAHAL_9G064100 transcript:PAN44714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLLGRIQSAGRLSSGHGRRQLLGFSGGEGLRRPPPPAGVRSVCSSSAYGQIKTDARITDHEPHLDRFSDPQVAHEDRQFIQFLDRMLDAIRNPQSLAQIRSGRIANGLKVLDDDI >PAN45586 pep chromosome:PHallii_v3.1:9:7709283:7711479:1 gene:PAHAL_9G129100 transcript:PAN45586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLAVLLLPLLLAAGAAAAAAAGPATPPSASPAPPPPTPPPPPPPPHKNATLAELLPLYGLPPGVFPSTVTAFSLADNGSLSVDLAGPCYVHFEYLTYFAPRVTGVLRYGSLSDLQGVQVRRFLFWLNVIRIKVDLPPPPRYVYLDIGWITRKLPASDFQSVHSCEESNRCRLSSALAVAARWFQDFFAQF >PAN51686 pep chromosome:PHallii_v3.1:9:71767646:71770643:1 gene:PAHAL_9G612500 transcript:PAN51686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSGSGGRRPTPFLTPTASFSSSSYSKRSRPFRRLPSLPKPPLAPPPPRFAGRRRKKAPARLWMRMDRWGGCEAFMCDKAFVAERSGVHTRELRVIGPLLSRCPIILAREKAIVINLEFIRAIVTVDEVLLLEPLAQEVIPFIDKLRHHFPPKSLEVDAGATQVDSLDGNRDQTGAECELPFEFQVLELALEAVCLSFHSSLSDLNKHALFVLDDLTKNVSANNLERVRSLKRNLTSLLAGVQKVRDEVQHLLDDNENMAQLHLSWKQTKNQQDQALLASAAINRNFPSKTSLARPNHIINQAMGIDTSVTLDTDAGTLEMLLESYFMQLDGIRIRIVMVREYIIDTEDYINIQLDNQRNQLIQFHLILIIVSFGIAMNTLIAASFGMNLPHNGDRNTAVGPFWPFVGATSSFCLLVIIMLFGYAWRNTLLSS >PAN51687 pep chromosome:PHallii_v3.1:9:71767652:71770612:1 gene:PAHAL_9G612500 transcript:PAN51687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSGSGGRRPTPFLTPTASFSSSSYSKRSRPFRRLPSLPKPPLAPPPPRFAGRRRKKAPARLWMRMDRWGGCEAFMCDKAFVAERSGVHTRELRVIGPLLSRCPIILAREKAIVINLEFIRAIVTVDEVLLLEPLAQEVIPFIDKLRHHFPPKSLEVDAGATQVDSLDGNRDQTGAECELPFEFQVLELALEAVCLSFHSSLSDLNKHALFVLDDLTKNVSANNLERVRSLKRNLTSLLAGVQKVRDEVQHLLDDNENMAQLHLSWKQTKNQQDQALLASAAINRNFPSKTSLARPNHIINQAMGIDTSVTLDTDAGTLEMLLESYFMQLDGIRIRIVMQVREYIIDTEDYINIQLDNQRNQLIQFHLILIIVSFGIAMNTLIAASFGMNLPHNGDRNTAVGPFWPFVGATSSFCLLVIIMLFGYAWRNTLLSS >PAN47784 pep chromosome:PHallii_v3.1:9:25487975:25488505:1 gene:PAHAL_9G276800 transcript:PAN47784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMHRRIYNYTKALITCLGEKSQKLHAADDGLR >PVH31830 pep chromosome:PHallii_v3.1:9:16537123:16544727:1 gene:PAHAL_9G243500 transcript:PVH31830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKVLVRAAAASPSSSSPLPPFLLRQLSLSAAAAPIAALDLEILCCRLSRRHRLACLPQPPADALLVFQGCQSREAAEAVSEIAAAFPGATVGEEEELVCSGELVAKAVGCELRSMMLEHGWTCLGESIYVDSKFHQSEERTDLSAVNVEVRLGRNDDFEFVVSPDAFRYTSHKISDVASPNIIETFQHSNEVVLDICNFLTVCTTLPALQEGHVIGYSKMLPSEQCLDKFIELCLFKHGLDTDYNYHVAVKLTDGASLEMKWWPSSLVLQGPGLQPALKSIRVSKAMSALQSFVELLKAWSFFGHNKLIIKEQVLLNCSSTLPTWDKAASNLILHSSKNDNIENLDLGHTNIMSKGQSFILDFRTPKPAVLCSLRAKLLNTEVHKAAHSSGDNANLSGASPINDDFQSQLVTPNSSYKSQATLLKPSFSRSKPAEKRKLRHSSEHPDADNSNKSSHPDAVANHANPVSSSSAILDMQVIQVSENLGRNHAELLKARSHGGGRITRTQQDYLETKNLDTRRKSKDYTPSIQEVTKAIPDIAKNVLTTKVTNTKLNSVVAKNEVTAEAKRKANQDLGNTMLTAVTTQKTIPEVVKNEFAIKARDNQNDDLNKKVSKSRAKAVDKDLLNSTRTKAKPDVASEELIAKVVDHHRRGELRLLTVADLKCFLSAKKAKVGGTKCVLIQRVTELLA >PVH31833 pep chromosome:PHallii_v3.1:9:16537138:16544406:1 gene:PAHAL_9G243500 transcript:PVH31833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKVLVRAAAASPSSSSPLPPFLLRQLSLSAAAAPIAALDLEILCCRLSRRHRLACLPQPPADALLVFQGCQSREAAEAVSEIAAAFPGATVGEEEELVCSGELVAKAVGCELRSMMLEHGWTCLGESIYVDSKFHQSEERTDLSAVNVEVRLGRNDDFEFVVSPDAFRYTSHKISDVASPNIIETFQHSNEVVLDICNFLTVCTTLPALQEGHVIGYSKMLPSEQCLDKFIELCLFKHGLDTDYNYHVAVKLTDGASLEMKWWPSSLVLQGPGLQPALKSIRVSKAMSALQSFVELLKAWSFFGHNKLIIKVLLNCSSTLPTWDKAASNLILHSSKNDNIENLDLGHTNIMSKGQSFILDFRTPKPAVLCSLRAKLLNTEVHKAAHSSGDNANLSGASPINDDFQSQLVTPNSSYKSQATLLKPSFSRSKPAEKRKLRHSSGFRKSWKKSCRVTEGQKSWRWTNYENPTGLFRNKEFGHKKEE >PVH31829 pep chromosome:PHallii_v3.1:9:16537123:16544727:1 gene:PAHAL_9G243500 transcript:PVH31829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKVLVRAAAASPSSSSPLPPFLLRQLSLSAAAAPIAALDLEILCCRLSRRHRLACLPQPPADALLVFQGCQSREAAEAVSEIAAAFPGATVGEEEELVCSGELVAKAVGCELRSMMLEHGWTCLGESIYVDSKFHQSEERTDLSAVNVEVRLGRNDDFEFVVSPDAFRYTSHKISDVASPNIIETFQHSNEVVLDICNFLTVCTTLPALQEGHVIGYSKMLPSEQCLDKFIELCLFKHGLDTDYNYHVAVKLTDGASLEMKWWPSSLVLQGPGLQPALKSIRVSKAMSALQSFVELLKAWSFFGHNKLIIKEQVLLNCSSTLPTWDKAASNLILHSSKNDNIENLDLGHTNIMSKGQSFILDFRTPKPAVLCSLRAKLLNTEVHKAAHSSGDNANLSGASPINDDFQSQLVTPNSSYKSQATLLKPSFSRSKPAEKRKLRHSSGFRKSWKKSCRVTEGQKSWRWTNYENPTGLFRNKEFGHKKEE >PVH31831 pep chromosome:PHallii_v3.1:9:16537138:16544406:1 gene:PAHAL_9G243500 transcript:PVH31831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKVLVRAAAASPSSSSPLPPFLLRQLSLSAAAAPIAALDLEILCCRLSRRHRLACLPQPPADALLVFQGCQSREAAEAVSEIAAAFPGATVGEEEELVCSGELVAKAVGCELRSMMLEHGWTCLGESIYVDSKFHQSEERTDLSAVNVEVRLGRNDDFEFVVSPDAFRYTSHKISDVASPNIIETFQHSNEVVLDICNFLTVCTTLPALQEGHVIGYSKMLPSEQCLDKFIELCLFKHGLDTDYNYHVAVKLTDGASLEMKWWPSSLVLQGPGLQPALKSIRVSKAMSALQSFVELLKAWSFFGHNKLIIKEQVLLNCSSTLPTWDKAASNLILHSSKNDNIENLDLGHTNIMSKGQSFILDFRTPKPAVLCSLRAKLLNTEVHKAAHSSGDNANLSGASPINDDFQSQLVTPNSSYKSQATLLKPSFSRSKPAEKRKLRHSSEHPDADNSNKSSHPDAVANHANPVSSSSAILDMQVIQVSENLGRNHAELLKARSHGGGRITRTQQDYLETKNLDTRRKSKDYTPSIQEVTKAIPDIAKNVLTTKKTIPEVVKNEFAIKARDNQNDDLNKKVSKSRAKAVDKDLLNSTRTKAKPDVASEELIAKVVDHHRRGELRLLTVADLKCFLSAKKAKVGGTKCVLIQRVTELLA >PVH31834 pep chromosome:PHallii_v3.1:9:16537138:16544406:1 gene:PAHAL_9G243500 transcript:PVH31834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKVLVRAAAASPSSSSPLPPFLLRQLSLSAAAAPIAALDLEILCCRLSRRHRLACLPQPPADALLVFQGCQSREAAEAVSEIAAAFPGATVGEEEELVCSGELVAKAVGCELRSMMLEHGWTCLGESIYVDSKFHQSEERTDLSAVNVEVRLGRNDDFEFVVSPDAFRYTSHKISDVASPNIIETFQHSNEVVLDICNFLTVCTTLPALQEGHVIGYSKMLPSEQCLDKFIELCLFKHGLDTDYNYHVAVKLTDGASLEMKWWPSSLVLQGPGLQPALKSIRVSKAMSALQSFVELLKAWSFFGHNKLIIKVLLNCSSTLPTWDKAASNLILHSSKNDNIENLDLGHTNIMSKGQSFILDFRTPKPAVLCSLRAKLLNTEVHKAAHSSGDNANLSGASPINDDFQSQLVTPNSSYKSQATLLKPSFSRSKPAEKRKLRHSSEHPDADNSNKSSHPDAVANHANPVSSSSAILDMQVIQVSENLGRNHAELLKARSHGGGRITRTQQDYLETKNLDTRRKSKDYTPSIQEVTKAIPDIAKNVLTTKVTNTKLNSVVAKNEVTAEAKRKANQDLGNTMLTAVTTQKTIPEVVKNEFAIKARDNQNDDLNKKVSKSRAKAVDKDLLNSTRTKAKPDVASEELIAKVVDHHRRGELRLLTVADLKCFLSAKKAKVGGTKCVLIQRVTELLA >PVH31832 pep chromosome:PHallii_v3.1:9:16537138:16544406:1 gene:PAHAL_9G243500 transcript:PVH31832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKVLVRAAAASPSSSSPLPPFLLRQLSLSAAAAPIAALDLEILCCRLSRRHRLACLPQPPADALLVFQGCQSREAAEAVSEIAAAFPGATVGEEEELVCSGELVAKAVGCELRSMMLEHGWTCLGESIYVDSKFHQSEERTDLSAVNVEVRLGRNDDFEFVVSPDAFRYTSHKISDVASPNIIETFQHSNEVVLDICNFLTVCTTLPALQEGHVIGYSKMLPSEQCLDKFIELCLFKHGLDTDYNYHVAVKLTDGASLEMKWWPSSLVLQGPGLQPALKSIRVSKAMSALQSFVELLKAWSFFGHNKLIIKVLLNCSSTLPTWDKAASNLILHSSKNDNIENLDLGHTNIMSKGQSFILDFRTPKPAVLCSLRAKLLNTEVHKAAHSSGDNANLSGASPINDDFQSQLVTPNSSYKSQATLLKPSFSRSKPAEKRKLRHSSEHPDADNSNKSSHPDAVANHANPVSSSSAILDMQVIQVSENLGRNHAELLKARSHGGGRITRTQQDYLETKNLDTRRKSKDYTPSIQEVTKAIPDIAKNVLTTKKTIPEVVKNEFAIKARDNQNDDLNKKVSKSRAKAVDKDLLNSTRTKAKPDVASEELIAKVVDHHRRGELRLLTVADLKCFLSAKKAKVGGTKCVLIQRVTELLA >PVH31696 pep chromosome:PHallii_v3.1:9:13859398:13861166:-1 gene:PAHAL_9G214300 transcript:PVH31696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPPPERAARVTRFLKPYLLRMHFSNKYVSAQVIHTPTATVACSASSQEKLLRPNMESTRDVSAAAKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAVIDSVREAGVKLL >PAN50176 pep chromosome:PHallii_v3.1:9:64873111:64877131:-1 gene:PAHAL_9G503800 transcript:PAN50176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT3G08920) UniProtKB/Swiss-Prot;Acc:Q9SR92] MAMIVAAAASAGIKCASPVPPRSRVRAQSTSWAGGAEALVRSGAVKAVRPKDAAEALGGEGFRLLDVRPPWERARAGVRGSAHVPLFVGDDDMGPVTLLKKWVHFGYIGLWTGQAFTKMNDRFVDDVAAAVAGDGGKDAKLLVACGEGLRSLIAVRMLHDDGYRNLAWLAGGFTKCADGDFPDVEGESKLQYATIGGVSYIFLQILLLLGVVK >PAN45745 pep chromosome:PHallii_v3.1:9:8528100:8531222:-1 gene:PAHAL_9G139200 transcript:PAN45745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTQFMQWALSTLQHEQLPPGTPAPAAGAYDDGCGTTVSSIPVLGYSASVDSMVPGEPPAREGQRATNSWSSVDTDSGSGGGSASATAWSPPQHSSIRCAVATAPGSCSSGTNQPVSWDFNSASAQLIKEAIPNSAAAAAALQAESGGGGGGGGGGGAVPQMTRNGSPPTRRASAKISASSSSAPYSQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVRELQEKLRALQQDGGGGGGRGMESAVLASKKPRIAAPDDDEDGGAHPYAAGGPAATTGNNNALPEIEVRISEGDAVMVRIHCRDAKGVVVRLLAEVEGLHLRITHTNVVQFSASVLIVNVMAKVEQGFSSTADDIVGRLNAALHALPLACQ >PAN45744 pep chromosome:PHallii_v3.1:9:8527675:8532003:-1 gene:PAHAL_9G139200 transcript:PAN45744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTQFMQWALSTLQHEQLPPGTPAPAAGAYDDGCGTTVSSIPVLGYSASVDSMVPGEPPAREGQRATNSWSSVDTDSGSGGGSASATAWSPPQHSSIRCAVATAPGSCSSGTNQPVSWDFNSASAQLIKEAIPNSAAAAAALQAESGGGGGGGGGGGAVPQMTRNGSPPTRRASAKISASSSSAPYSQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVRELQEKLRALQQDGGGGGGRGMESAVLASKKPRIAAPDDDEDGGAHPYAAGGPAATTGNNNALPEIEVRISEGDAVMVRIHCRDAKGVVVRLLAEVEGLHLRITHTNVVQFSASVLIVNVMAKVEQGFSSTADDIVGRLNAALHALPLACQ >PAN49163 pep chromosome:PHallii_v3.1:9:59592149:59595630:-1 gene:PAHAL_9G430600 transcript:PAN49163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTTLFVAVSAIIFLAVALKQLLSGLTLTPQLKNERAAARLPPGSTGLPLLGDMLRLISAYRLPNPDLFIHERIVARHGAMSTTHLLGERAVFSADPSFNRLVLSGDGRVVDTSYPSSVTTLLGARSVLVTRGPTHKGLHALALARLGYPALPPLVARVDRLVLAAVAQWEPAGAAVRLVDEARKIAMSVNVHLLGIEPGPWSESLRREFNAISDGFVSLPFPLASLLPFTTYGKALKARKNVALALQEVVRKRMDEKAMDAGADGETAAGKKGKKDMVDLLLEADGGSFSMEQMVDFCVNLLAAGYDTTSLTMTLAVKFLTETPTALAQLREEHDSIRKGKGENQSLEWSDYQSMAFTQCVINETLRVGNIVNGVLRRANTDIHFKDYIVPKGYKIFVSFGAVHLSSEHYENARTFHPWRWQSKNSKVQDVPASNLFTPFGGGPRQCPGNELARVVISVFLHHLVTRFSWDEAEEDKVVFFPTTRTLKGYPINVRLRSGSIS >PVH32343 pep chromosome:PHallii_v3.1:9:54486646:54494855:1 gene:PAHAL_9G375600 transcript:PVH32343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGWRGAEECDGRRRRLVRLMWPAARVEAEAPPPPAQGLPTSPSSSSVPPSRTTSYPPESAARKQDFVDSPRPVSPDSFIKDGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPADVKLNKGIQLNAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMRAAVQSGGRSPKRLNGPSASQQPKAAPDGTQNGGLSKGKKRDRGEQGIEPAKRDRDRLVKVDDSEPGSFNLEDIKSEVAKITEKGGLPNVEAVEKLVHLMQLDRTEQKIDLAGRVVLADVIAATESPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDDLLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLDIQKKAKCLVENWKKRVDAEMKSSDAKPLVSGQSVSWSGKAGFQEISNAGNKRGGSSENSPKNPVPTVSSSKVSTDKPGGTDAAAKLSPVVSASSKLQHVQPTNVATNLKDQPCKSTGGTGGSELPTVREEKSSSSSQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSRSHRRANNGLVSGNLKEASVGRSVSLDRSLLQDKSSQTGTASEKGVDMPSDHGNNHRLIVRFPNPVRSPARSASGGSFEDPSVTGGRASSPVVGDRHEQTDRRVKMKTESSRPHLPSDANAESWHSNDIKVAAGSDEGDKSPCAMVDDDNSRTPDDSVKDAHVPRVACSYMNEKGVCSSETRVGNSLSPMNTLIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELVSPSSSPRSSSAKKLVREGDSSGKFKVESDVGPSQDPGPIDAKKVIVGKEVKNDACLVVKEEQHQTVPSPELADPKAVGSSAKVESHEGRANKCNSQPASVDSKGENLDACSVPGKVEDGCADKDGAVESALGSQCSLVVSNRNSRSVLAGESSLSAADKQDQGLLKSSNHKQLPGVPDQPGAFDRRDTMSGKLDLMAAEVKKADAVGDSSTVQNEDQKKEHAISSLADVTKLVETASPLGVANVIKEMKETKDSSSESNSHVKSEGLNSQRSEHSAKQSSMKSSDGVSGKEDGKEDLVSSDEGSSLAAHTKSNATAKLDFDLNEGIPGDDGHQSEPAVSPVICTSAIHLPGLSPFTSPITSGLQPAPITVAAPAKGPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRKVLDMPITTRDIPVSHASGKQPRPTLGFDLNVADDQALEEDVPQSSAQTTCSESGNTRSRDGSSRSAGIELDLNRADEVADNGQFVPNASHRVEVPLLPARSLPGVFSNAGTNSSRDFDLNSGPCLDDASTEPTPKNLPTKNTGSIQFIPQVPGVRMNNAAMSNISPWFASANPCGPVPIQSFLPSREQPYPIEAAPGTQRIIAPTADTGQFGGDPSRAPVISSSPAMVFHPPAYQYAGFPFPPSVHLQTPAFSIGTATFANSAPAGVPYFPTISPSLVGPTGALPAHHSRQYAINLAEGSSSSGRDNNRKWESQGLDLNSGPGSIDLEGKDERAPLPIRQNLITPPHGFAEEQGRIYHQLPVVGTKRKEPDGSWDTERSTYKQLSWQ >PVH32344 pep chromosome:PHallii_v3.1:9:54486632:54495174:1 gene:PAHAL_9G375600 transcript:PVH32344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGWRGAEECDGRRRRLVRLMWPAARVEAEAPPPPAQGLPTSPSSSSVPPSRTTSYPPESAARKQDFVDSPRPVSPDSFIKDGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPADVKLNKGIQLNAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMRAAVQSGGRSPKRLNGPSASQQPKAAPDGTQNGGLSKGKKRDRGEQGIEPAKRDRDRLVKVDDSEPGSFNLEDIKSEVAKITEKGGLPNVEAVEKLVHLMQLDRTEQKIDLAGRVVLADVIAATESPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDDLLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLDIQKKAKCLVENWKKRVDAEMKSSDAKPLVSGQSVSWSGKAGFQEISNAGNKRGGSSENSPKNPVPTVSSSKVSTDKPGGTDAAAKLSPVVSASSKLQHVQPTNVATNLKDQPCKSTGGTGGSELPTVREEKSSSSSQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSRSHRRANNGLVSGNLKEASVGRSVSLDRSLLQDKSSQTGTASEKGVDMPSDHGNNHRLIVRFPNPVRSPARSASGGSFEDPSVTGGRASSPVVGDRHEQTDRRVKMKTESSRPHLPSDANAESWHSNDIKVAAGSDEGDKSPCAMVDDDNSRTPDDSVKDAHVPRVACSYMNEKGVCSSETRVGNSLSPMNTLIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELVSPSSSPRSSSAKKLVREGDSSGKFKVESDVGPSQDPGPIDAKKVIVGKEVKNDACLVVKEEQHQTVPSPELADPKAVGSSAKVESHEGRANKCNSQPASVDSKGENLDACSVPGKVEDGCADKDGAVESALGSQCSLVVSNRNSRSVLAGESSLSAADKQDQGLLKSSNHKQLPGVPDQPGAFDRRDTMSGKLDLMAAEVKKADAVGDSSTVQNEDQKKEHAISSLADVTKLVETASPLGVANVIKEMKETKDSSSESNSHVKSEGLNSQRSEHSAKQSSMKSSDGVSGKEDGKEDLVSSDEGSSLAAHTKSNATAKLDFDLNEGIPGDDGHQSEPAVSPVICTSAIHLPGLSPFTSPITSGLQPAPITVAAPAKGPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRKVLDMPITTRDIPVSHASGKQPRPTLGFDLNVADDQALEEDVPQSSAQTTCSESGNTRSRDGSSRSAGIELDLNRADEVADNGQFVPNASHRVEVPLLPARSLPGVFSNAGTNSSRDFDLNSGPCLDDASTEPTPKNLPTKNTGSIQFIPQVPGVRMNNAAMSNISPWFASANPCGPVPIQSFLPSREQPYPIEAAPGTQRIIAPTADTGQFGGDPSRAPVISSSPAMVFHPPAYQYAGFPFPPSVHLQTPAFSIGTATFANSAPAGVPYFPTISPSLVGPTGALPAHHSRQYAINLAEGSSSSGRDNNRKWESQGLDLNSGPGSIDLEGKDERAPLPIRQNLITPPHGFAEEQGRIYHQLPVVGTKRKEPDGSWDTERSTYKQLSWQ >PAN46771 pep chromosome:PHallii_v3.1:9:13789827:13792790:1 gene:PAHAL_9G213100 transcript:PAN46771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRNRNAAAAPPLHHHNRGGVPAMGKQKDVAAGRTDAMNRRAPLGDIGNFVSVRAAEGKPQPKEQVNRRPITRSFAAQLVKNAQQAQANAAAIKQNVAIPPARPAPRLERKAPSKPPPPEHVMEISSDSDQSKPQSESSASSVRSRKKVINTLTSVLSARSKAACGITDKPREVIEDIDKLDANNQLAVVEYIEDIYTFYKTAEHECRPCDYIAAQVEVNPKMRAILADWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNEFILISDSAYSREQILSMEKGILNRLEWNLTVPTSYMFLVRFIKAACSGIKTDKEMENMVFFFAELSLMQYGLVTHRPSMVAASAVYAARLTLKRAPLWTDTLKHHTGFRESELMECTKMLVSAHVTAPESKLRVVYKKYSSEQYGGVALRPPAKEICK >PAN46770 pep chromosome:PHallii_v3.1:9:13789827:13792791:1 gene:PAHAL_9G213100 transcript:PAN46770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRNRNAAAAPPLHHHNRGGVPAMGKQKDVAAGRTDAMNRRAPLGDIGNFVSVRAAEGKPQPKEQVNRRPITRSFAAQLVKNAQQAQANAAAIKNVAIPPARPAPRLERKAPSKPPPPEHVMEISSDSDQSKPQSESSASSVRSRKKVINTLTSVLSARSKAACGITDKPREVIEDIDKLDANNQLAVVEYIEDIYTFYKTAEHECRPCDYIAAQVEVNPKMRAILADWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNEFILISDSAYSREQILSMEKGILNRLEWNLTVPTSYMFLVRFIKAACSGIKTDKEMENMVFFFAELSLMQYGLVTHRPSMVAASAVYAARLTLKRAPLWTDTLKHHTGFRESELMECTKMLVSAHVTAPESKLRVVYKKYSSEQYGGVALRPPAKEICK >PAN49091 pep chromosome:PHallii_v3.1:9:59152538:59156161:-1 gene:PAHAL_9G425500 transcript:PAN49091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYVAGRDLLHDNMLFTHEKNADQFDLLSYGNMRGLDSSGNSEESNFRNGCKDSSSVSPENFSFPWLPVENCQSATLNHDKRPHSDVNPCQVACKRPKQTDHDAWLYSFEEHPFTREAEISASALADELVKTRQLDHIPANNGATTCSVSSGIPCPNREQSVGVENLHLPDWVTSFPGYFEDCGPVAGYNLVDDIDLPVHEHLPRKAVPIGPEHQADIPEWRPRVSVSVPGGSGFCADLGCSTASTSEPVSRGYDCESDKWVRDTIIPISSCSSPADWVGDNKIDCDCSDEGSVRCARQHVIEARGTLKMSLGQDKFRELGLCEMGEDIAQRWTDDEEKRFERVVFSKSVSLGKNFWDHLPHAFPSKTSKDLVSYYFNVFMLRKRAQQNRSDLLRVDSDDDELHGDSPVPGQEEEDSAVIPPKHEYFINNSLPIEGNHKEYEGEHIAGPSFHGDEIENAGECRHLPNQMPLYSTAENIAHVYNQNESRASFDG >PVH32547 pep chromosome:PHallii_v3.1:9:59152525:59156315:-1 gene:PAHAL_9G425500 transcript:PVH32547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTHEKNADQFDLLSYGNMRGLDSSGNSEESNFRNGCKDSSSVSPENFSFPWLPVENCQSATLNHDKRPHSDVNPCQVACKRPKQTDHDAWLYSFEEHPFTREAEISASALADELVKTRQLDHIPANNGATTCSVSSGIPCPNREQSVGVENLHLPDWVTSFPGYFEDCGPVAGYNLVDDIDLPVHEHLPRKAVPIGPEHQADIPEWRPRVSVSVPGGSGFCADLGCSTASTSEPVSRGYDCESDKWVRDTIIPISSCSSPADWVGDNKIDCDCSDEGSVRCARQHVIEARGTLKMSLGQDKFRELGLCEMGEDIAQRWTDDEEKRFERVVFSKSVSLGKNFWDHLPHAFPSKTSKDLVSYYFNVFMLRKRAQQNRSDLLRVDSDDDELHGDSPVPGQEEEDSAVIPPKHEYFINNSLPIEGNHKEYEGEHIAGPSFHGDEIENAGECRHLPNQMPLYSTAENIAHVYNQNESRASFDG >PAN49090 pep chromosome:PHallii_v3.1:9:59152538:59155316:-1 gene:PAHAL_9G425500 transcript:PAN49090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTHEKNADQFDLLSYGNMRGLDSSGNSEESNFRNGCKDSSSVSPENFSFPWLPVENCQSATLNHDKRPHSDVNPCQVACKRPKQTDHDAWLYSFEEHPFTREAEISASALADELVKTRQLDHIPANNGATTCSVSSGIPCPNREQSVGVENLHLPDWVTSFPGYFEDCGPVAGYNLVDDIDLPVHEHLPRKAVPIGPEHQADIPEWRPRVSVSVPGGSGFCADLGCSTASTSEPVSRGYDCESDKWVRDTIIPISSCSSPADWVGDNKIDCDCSDEGSVRCARQHVIEARGTLKMSLGQDKFRELGLCEMGEDIAQRWTDDEEKRFERVVFSKSVSLGKNFWDHLPHAFPSKTSKDLVSYYFNVFMLRKRAQQNRSDLLRVDSDDDELHGDSPVPGQEEEDSAVIPPKHEYFINNSLPIEGNHKEYEGEHIAGPSFHGDEIENAGECRHLPNQMPLYSTAENIAHVYNQNESRASFDG >PVH32999 pep chromosome:PHallii_v3.1:9:67534994:67535818:1 gene:PAHAL_9G544700 transcript:PVH32999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVRYQQATATAGRGFVIRPRTTSLHDAREESGTDCCCCLAGGEPQTHWGFGGKAPRRSSHSFQL >PAN47585 pep chromosome:PHallii_v3.1:9:18498073:18499790:-1 gene:PAHAL_9G256500 transcript:PAN47585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLVPRLRQADVHTLLARAAARPPLARATARPPPAAGRRSSPARPQIDSRPPPSSHGRRRLIRCPRSSRRRRSMTGARFAAPGACFAALGGRAAAGARFAAPGGRAVAGGRAHRRSICAIGAHWSATKRIVLARRSGWSGSTQERGAKRSGAGLERCRTRPN >PAN48914 pep chromosome:PHallii_v3.1:9:58116035:58118562:-1 gene:PAHAL_9G412500 transcript:PAN48914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLWAPAPALVCGGKRSKGEDAVADPLGTVGEWLCRAALQPPPPPRMCGTPGGPPVTARRVRLSDGRHLAYEESGVPKETARYRIVFSHGFTGSRLDSLRASQEVAEELGVYMVGFDRAGYGESDPNPSRSVRSAALDMEELADALGLGDRFYAVGFSLGCHAVWGALRYIPHRLAGAAMLAPVVNYWWPGLPAGVAAEAYGRQARGDQWALRVSHHAPGILHWWMGQRWLPTSTVVDNTTHLPNRRDAEVRRELAADGTLRRKREVATQQGIHESYYRDMTVMFGKWEFDPMGLPEPPCPVHLWQGDEDGLVPVELQRHVAGKLGWVNYHELPGTGHFLSAVPGLGDTVLRTLFG >PAN50027 pep chromosome:PHallii_v3.1:9:64036458:64040929:-1 gene:PAHAL_9G492600 transcript:PAN50027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEHILQQNFKLGVFCSPFISFPLSRLFPPSLSSLRSAQHAAASDGGRSPFSSPLPPTVHGAGQTAAPRRRRGSAGLRGSPSARQPGQGVAAPRRRKGRPRDHAMVIPAALSSSLVVRSAVGASLAALIAARAVRRRSLDASGGIAGFVVMAVHIACGYRYGAVLMAFFFSSSKVTKIGVDRKRRVEEDFKEGGQRNWIQVLANSTVATILVVIFEIMTGGQDQCLDSNGSKIITGIIGGIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATGGGLIIGLTFVIVGLLTADCSFDMALRQLLVLPISAAAGLLGSLIDSLLGATLQFSGYCSVRKKVVSKRGPTVTKISGITVLDNDAVNAVSVLITTVLTAYACIYIF >PAN50030 pep chromosome:PHallii_v3.1:9:64039260:64040929:-1 gene:PAHAL_9G492600 transcript:PAN50030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEHILQQNFKLGVFCSPFISFPLSRLFPPSLSSLRSAQHAAASDGGRSPFSSPLPPTVHGAGQTAAPRRRRGSAGLRGSPSARQPGQGVAAPRRRKGRPRDHAMVIPAALSSSLVVRSAVGASLAALIAARAVRRRSLDASGGIAGFVVMAVHIACGYRYGAVLMAFFFSSSKVTKIGVDRKRRVEEDFKEGGQRNWIQVLANSTVATILVVIFEIMTGGQDQCLDSNGSKIITGIIGGIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKVTVPFHVGYTELLMESMCSLHSLYM >PAN50028 pep chromosome:PHallii_v3.1:9:64037247:64040929:-1 gene:PAHAL_9G492600 transcript:PAN50028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEHILQQNFKLGVFCSPFISFPLSRLFPPSLSSLRSAQHAAASDGGRSPFSSPLPPTVHGAGQTAAPRRRRGSAGLRGSPSARQPGQGVAAPRRRKGRPRDHAMVIPAALSSSLVVRSAVGASLAALIAARAVRRRSLDASGGIAGFVVMAVHIACGYRYGAVLMAFFFSSSKVTKIGVDRKRRVEEDFKEGGQRNWIQVLANSTVATILVVIFEIMTGGQDQCLDSNGSKIITGIIGGIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATGGGLIIGLTFVIVGLLTADCSFDMALRQLLVLPISAAAGLLGSLIDSLLGATLQFSGYCSVRKKIASRGHYCIEIELSVVKSSSAL >PAN50031 pep chromosome:PHallii_v3.1:9:64036458:64040929:-1 gene:PAHAL_9G492600 transcript:PAN50031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEHILQQNFKLGVFCSPFISFPLSRLFPPSLSSLRSAQHAAASDGGRSPFSSPLPPTVHGAGQTAAPRRRRGSAGLRGSPSARQPGQGVAAPRRRKGRPRDHAMVIPAALSSSLVVRSAVGASLAALIAARAVRRRSLDASGGIAGFVVMAVHIACGYRYGAVLMAFFFSSSKVTKIGVDRKRRVEEDFKEGGQRNWIQVLANSTVATILVVIFEIMTGGQDQCLDSNGSKIITGIIGGIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKAARFGHFS >PAN50026 pep chromosome:PHallii_v3.1:9:64039260:64040929:-1 gene:PAHAL_9G492600 transcript:PAN50026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEHILQQNFKLGVFCSPFISFPLSRLFPPSLSSLRSAQHAAASDGGRSPFSSPLPPTVHGAGQTAAPRRRRGSAGLRGSPSARQPGQGVAAPRRRKGRPRDHAMVIPAALSSSLVVRSAVGASLAALIAARAVRRRSLDASGGIAGFVVMAVHIACGYRYGAVLMAFFFSSSKVTKIGVDRKRRVEEDFKEGGQRNWIQVLANSTVATILVVIFEIMTGGQDQCLDSNGSKIITGIIGGIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKVTVPFHVGYTELLMESMCSLHSLYM >PAN50029 pep chromosome:PHallii_v3.1:9:64037451:64040929:-1 gene:PAHAL_9G492600 transcript:PAN50029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEHILQQNFKLGVFCSPFISFPLSRLFPPSLSSLRSAQHAAASDGGRSPFSSPLPPTVHGAGQTAAPRRRRGSAGLRGSPSARQPGQGVAAPRRRKGRPRDHAMVIPAALSSSLVVRSAVGASLAALIAARAVRRRSLDASGGIAGFVVMAVHIACGYRYGAVLMAFFFSSSKVTKIGVDRKRRVEEDFKEGGQRNWIQVLANSTVATILVVIFEIMTGGQDQCLDSNGSKIITGIIGGIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATGGGLIIGLTFVIVGLLTADCSFDMALRQLLVLPISAAAGLLGSLIDSLLGATLQFSGYCSVRKKIASRGHYCIEIELSVVKSSSAL >PAN49140 pep chromosome:PHallii_v3.1:9:59477926:59490450:1 gene:PAHAL_9G429000 transcript:PAN49140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPAAEALDGHKDRFDLGVFVGDLALDEEVTSDDESLEGLQQELDDCKNDQEVANILANGVKLREYTKGVENNIRQIELDSIQDYIKESENLVSLHDQIIDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIGIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIIFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQMDIATSTDLLGVETRSTGFLFSIGKESLKTRSSVFALGERINILKDLFSQTAKCNYSSVNSVCAFWYQEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFTDDFFGEESIFHDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFRRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLVHLNVEHGDGQLDLNLERLRMAIEDLLVKLAKMFSKPKLQTIFLINNYDLIIAILKEAGTEGGKAQLYFEEVLKSNIAIYVEELLQEHFSDLIRFVKTRPADETATSSEKVGISEVEPLVKDFASRYKAAIELMHKDVITSFSNFLCGMEILRAALAQLLLYYTRLTECAKRINGGSALNKDLVSISSILFEIKKYSRTF >PAN49139 pep chromosome:PHallii_v3.1:9:59477926:59490449:1 gene:PAHAL_9G429000 transcript:PAN49139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPAAEALDGHKDRFDLGVFVGDLALDEEVTSDDESLEGLQQELDDCKNDQEVANILANGVKLREYTKGVENNIRQIELDSIQDYIKESENLVSLHDQIIDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIGIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIIFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQMDIATSTDLLGVETRSTGFLFSIGKESLKTRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFTDDFFGEESIFHDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFRRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLVHLNVEHGDGQLDLNLERLRMAIEDLLVKLAKMFSKPKLQTIFLINNYDLIIAILKEAGTEGGKAQLYFEEVLKSNIAIYVEELLQEHFSDLIRFVKTRPADETATSSEKVGISEVEPLVKDFASRYKAAIELMHKDVITSFSNFLCGMEILRAALAQLLLYYTRLTECAKRINGGSALNKDLVSISSILFEIKKYSRTF >PAN48361 pep chromosome:PHallii_v3.1:9:54738535:54741722:-1 gene:PAHAL_9G377500 transcript:PAN48361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQKRKKEKELETGEEGEELHPKHRQIDWYWAIDWSIGGGGRGRPGGGGEMAACRGFFECLLRLLNFFLTVAGLAMVGYGIYLLVEWMKISGGGGGGSPAQVLVLGRPLLGAVALGDSFLDKLPKAWFIYLFIGVGAVVFLVSLFGCIGAGTRNTCCLCFYAFLVLLLILAEAGAAAFIFFDHSWKDVIPKDKTRNFDVMYGFLKDNWEIARWVALGVVVFEAVLFLLALVVRAMNKPAEYDSDDEIIAIGRSPTMRQPLMHAQNVPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPQAEERSRCTIM >PAN48359 pep chromosome:PHallii_v3.1:9:54738971:54741253:-1 gene:PAHAL_9G377500 transcript:PAN48359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACRGFFECLLRLLNFFLTVAGLAMVGYGIYLLVEWMKISGGGGGGSPAQVLVLGRPLLGAVALGDSFLDKLPKAWFIYLFIGVGAVVFLVSLFGCIGAGTRNTCCLCFYAFLVLLLILAEAGAAAFIFFDHSWKDVIPKDKTRNFDVMYGFLKDNWEIARWVALGVVVFEAVLFLLALVVRAMNKPAEYDSDDEIIAIGRSPTMRQPLMHAQNVPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPQAEERSRCTIM >PAN48360 pep chromosome:PHallii_v3.1:9:54738535:54741666:-1 gene:PAHAL_9G377500 transcript:PAN48360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACRGFFECLLRLLNFFLTVAGLAMVGYGIYLLVEWMKISGGGGGGSPAQVLVLGRPLLGAVALGDSFLDKLPKAWFIYLFIGVGAVVFLVSLFGCIGAGTRNTCCLCFYAFLVLLLILAEAGAAAFIFFDHSWKDVIPKDKTRNFDVMYGFLKDNWEIARWVALGVVVFEAVLFLLALVVRAMNKPAEYDSDDEIIAIGRSPTMRQPLMHAQNVPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPQAEERSRCTIM >PAN51087 pep chromosome:PHallii_v3.1:9:69149637:69152064:-1 gene:PAHAL_9G568800 transcript:PAN51087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPDETAKMIDQVNLEPSTRTPNPQQPRALHRATTITYSIRVLSPFPPSPAAASSLRLPLHLGTSLESHHRHHHSSNRSETSQVLQLRLAMARPGHTAWLLLGAAMLLFASAATAQDCLSATFSGGRTFGRCNSMPTLGASLHWTYHPENGTADIAFRARSGTDGWVGWGINPGRLGMAGSSVFIASQDGSGTASVLMTNLDTTSPSLQPATLKFGVPAGPTVEYSGGAYTIFATILLPGNTTQQNTVWQAGPLSGGNIQAHPTAPANLASTQRLDFLSGSSTGASNSRLRRRNIHGVLNAVAWGILIPTGAIIARYLRVFESADPAWFYLHIACQCSGYILGVAGWGLGLKLGSESVGVTYHPHRNIGIAIFCLATLQVFALLLRPDKKNKYRFYWNIYHHSVGYSVIILSAINIFKGFDILKPATGYKTAYIVVLATLGGIALFLEAITWPIAIRKRKRDADKASNGTAGWQQGA >PVH32518 pep chromosome:PHallii_v3.1:9:58551170:58558475:1 gene:PAHAL_9G418300 transcript:PVH32518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIMKLLEDDEDESLHSGADVEAFTAALNREVEASASSSTTISAPAAASSSQPMDHGAALLPQENKSLLNHGHGQWQDPVKNETANQDSQQQEQTYLHRNDQPSRPEMVSQGSDNKHLPSNTPKECELLKVKQEPGNTSQQGIVAQQQPLQQMKSEQTSVVAQQQPMQQMKNEQTPIVAQQQPMQQMKSQQTPHTNQTNGATTTAKAPVVTFHMLLPILRRYIDKDKDMQVQSIFAKLRKNEVSKEHFLKVVRNIVGDKVLKLALSEYQMQAQRNSQTNPSNYSLLSQVSGQQTVPSGSMTDEQEAYPGAQTIPTKQAIDSLRAPQFRPSSSGQMQSIRGFSPSQSNAHKANETGNISDGKGVHVLQTRPPNNLLPVQTMQHHVQRPQTSSPMFGTNSIHARPFPRPVGSPAASFRPQMTDSNQRAQLVQGAVTTVAGSVPTQSIVPGNAATNQPKWQQSANKEQKTNSFAPTALNKETISQNSESSQNSFVAMHTKQVNQSLGSSKGGGGMENQPKLSASKSSTTTSISQTQSHGTQADPKLQVQSSVQAPPAAASKTPQRKASGQKKPLEALGSSPPPSSKKQKTSGGFHEQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARRVVQLEEEKLILQKGPLTKKLAEIMRKCNLKVIGTDVERCLSMCVEERLRGFISNIIRFSKQRVDVEKSRHCFYPLSSDVRSHIMRVNREAKEQWDKKQAEDAERIRKQNDGDGCTNIDLEKDKNETRASSKHAKYKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSLPGNMLQHKPSPKSGKDSREEQENEKRGYSTMLGSVLRVVGFQVCTPWFMRRLLPAIPLASQDATRF >PAN49000 pep chromosome:PHallii_v3.1:9:58551170:58561830:1 gene:PAHAL_9G418300 transcript:PAN49000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIMKLLEDDEDESLHSGADVEAFTAALNREVEASASSSTTISAPAAASSSQPMDHGAALLPQENKSLLNHGHGQWQDPVKNETANQDSQQQEQTYLHRNDQPSRPEMVSQGSDNKHLPSNTPKECELLKVKQEPGNTSQQGIVAQQQPLQQMKSEQTSVVAQQQPMQQMKNEQTPIVAQQQPMQQMKSQQTPHTNQTNGATTTAKAPVVTFHMLLPILRRYIDKDKDMQVQSIFAKLRKNEVSKEHFLKVVRNIVGDKVLKLALSEYQMQAQRNSQTNPSNYSLLSQVSGQQTVPSGSMTDEQEAYPGAQTIPTKQAIDSLRAPQFRPSSSGQMQSIRGFSPSQSNAHKANETGNISDGKGVHVLQTRPPNNLLPVQTMQHHVQRPQTSSPMFGTNSIHARPFPRPVGSPAASFRPQMTDSNQRAQLVQGAVTTVAGSVPTQSIVPGNAATNQPKWQQSANKEQKTNSFAPTALNKETISQNSESSQNSFVAMHTKQVNQSLGSSKGGGGMENQPKLSASKSSTTTSISQTQSHGTQADPKLQVQSSVQAPPAAASKTPQRKASGQKKPLEALGSSPPPSSKKQKTSGGFHEQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARRVVQLEEEKLILQKGPLTKKLAEIMRKCNLKVIGTDVERCLSMCVEERLRGFISNIIRFSKQRVDVEKSRHCFYPLSSDVRSHIMRVNREAKEQWDKKQAEDAERIRKQNDGDGCTNIDLEKDKNETRASSKHAKYKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSLPGNMLQHKPSPKSGKDSREEQENEKRGYSTMLGSGGVRRSSLTKVARRLSVKDVIAALEREPQMSKSSLLFQLYGRLSTEPAAK >PVH32519 pep chromosome:PHallii_v3.1:9:58551170:58561830:1 gene:PAHAL_9G418300 transcript:PVH32519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIMKLLEDDEDESLHSGADVEAFTAALNREVEASASSSTTISAPAAASSSQPMDHGAALLPQENKSLLNHGHGQWQDPVKNETANQDSQQQEQTYLHRNDQPSRPEMVSQGSDNKHLPSNTPKECELLKVKQEPGNTSQQGIVAQQQPLQQMKSEQTSVVAQQQPMQQMKNEQTPIVAQQQPMQQMKSQQTPHTNQTNGATTTAKAPVVTFHMLLPILRRYIDKDKDMQVQSIFAKLRKNEVSKEHFLKVVRNIVGDKVLKLALSEYQMQHTAQAQRNSQTNPSNYSLLSQVSGQQTVPSGSMTDEQEAYPGAQTIPTKQAIDSLRAPQFRPSSSGQMQSIRGFSPSQSNAHKANETGNISDGKGVHVLQTRPPNNLLPVQTMQHHVQRPQTSSPMFGTNSIHARPFPRPVGSPAASFRPQMTDSNQRAQLVQGAVTTVAGSVPTQSIVPGNAATNQPKWQQSANKEQKTNSFAPTALNKETISQNSESSQNSFVAMHTKQVNQSLGSSKGGGGMENQPKLSASKSSTTTSISQTQSHGTQADPKLQVQSSVQAPPAAASKTPQRKASGQKKPLEALGSSPPPSSKKQKTSGGFHEQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARRVVQLEEEKLILQKGPLTKKLAEIMRKCNLKVIGTDVERCLSMCVEERLRGFISNIIRFSKQRVDVEKSRHCFYPLSSDVRSHIMRVNREAKEQWDKKQAEDAERIRKQNDGDGCTNIDLEKDKNETRASSKHAKYKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSLPGNMLQHKPSPKSGKDSREEQENEKRGYSTMLGSGGVRRSSLTKVARRLSVKDVIAALEREPQMSKSSLLFQLYGRLSTEPAAK >PVH32520 pep chromosome:PHallii_v3.1:9:58551170:58561830:1 gene:PAHAL_9G418300 transcript:PVH32520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIMKLLEDDEDESLHSGADVEAFTAALNREVEASASSSTTISAPAAASSSQPMDHGAALLPQENKSLLNHGHGQWQDPVKNETANQDSQQQEQTYLHRNDQPSRPEMVSQGSDNKHLPSNTPKECELLKVKQEPGNTSQQGIVAQQQPLQQMKSEQTSVVAQQQPMQQMKNEQTPIVAQQQPMQQMKSQQTPHTNQTNGATTTAKAPVVTFHMLLPILRRYIDKDKDMQVQSIFAKLRKNEVSKEHFLKVVRNIVGDKVLKLALSEYQMQNSFVAMHTKQVNQSLGSSKGGGGMENQPKLSASKSSTTTSISQTQSHGTQADPKLQVQSSVQAPPAAASKTPQRKASGQKKPLEALGSSPPPSSKKQKTSGGFHEQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARRVVQLEEEKLILQKGPLTKKLAEIMRKCNLKVIGTDVERCLSMCVEERLRGFISNIIRFSKQRVDVEKSRHCFYPLSSDVRSHIMRVNREAKEQWDKKQAEDAERIRKQNDGDGCTNIDLEKDKNETRASSKHAKYKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSLPGNMLQHKPSPKSGKDSREEQENEKRGYSTMLGSGGVRRSSLTKVARRLSVKDVIAALEREPQMSKSSLLFQLYGRLSTEPAAK >PVH31557 pep chromosome:PHallii_v3.1:9:11200434:11204244:1 gene:PAHAL_9G178100 transcript:PVH31557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTHLHPSPTPKPRCPSPLHSYSHHHLAVHIPLPRRHRHLARPPAAAAAPDLLASVESVASAASVLAAIVLVHESGHFIAAASRGIHVSQFSIGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPESGFAPDDPDLLRNRPVPDRLLVVSAGVAANLAFAFLIVYAQALTVGVPVQAQLPGVLVPEVLPGSAAARAGLLPGDIILAVPGAAPDPSVPVLVDLIKASPSKKVPITVSRTAPGAVDRQSVELTVVPDTSVDGTGRIGVQLSPNFRVTRVRPQNLADATVLAVREFTLLTGTVFDGLRQTLLNFSQSAEKVSGPVAIIAVGAEVARSSADGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGRKIPREVEQGIMSSGILVVLMIGIFLIVRDTLNLDFIKEIL >PAN46337 pep chromosome:PHallii_v3.1:9:11200434:11204244:1 gene:PAHAL_9G178100 transcript:PAN46337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTHLHPSPTPKPRCPSPLHSYSHHHLAVHIPLPRRHRHLARPPAAAAAPDLLASVESVASAASVLAAIVLVHESGHFIAAASRGIHVSQFSIGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPESGFAPDDPDLLRNRPVPDRLLVVSAGVAANLAFAFLIVYAQALTVGVPVQAQLPGVLVPEVLPGSAAARAGLLPGDIILAVPGAAPDPSVPVLVDLIKASPSKKVPITVSRTAPGAVDRQSVELTVVPDTSVDGTGRIGVQLSPNFRVTRVRPQNLADATVLAVREFTLLTGTVFDGLRQTLLNFSQSAEKVSGPVAIIAVGAEVARSSADGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGRKIPREVEQGIMSSGILVVLMIGIFLIVRDTLNLDFIKEIL >PVH31556 pep chromosome:PHallii_v3.1:9:11200434:11204244:1 gene:PAHAL_9G178100 transcript:PVH31556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTHLHPSPTPKPRCPSPLHSYSHHHLAVHIPLPRRHRHLARPPAAAAAPDLLASVESVASAASVLAAIVLVHESGHFIAAASRGIHVSQFSIGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPESGFAPDDPDLLRNRPVPDRLLVVSAGVAANLAFAFLIVYAQALTVGVPVQAQLPGVLVPEVLPGSAAARAGLLPGDIILAVPGAAPDPSVPVLVDLIKASPSKKVPITVSRTAPGAVDRQSVELTVVPDTSVDGTGRIGVQLSPNFRVTRVRPQNLADATVLAVREFTLLTGTVFDGLRQTLLNFSQSAEKVSGPVAIIAVGAEVARSSADGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGRKIPREVEQGIMSSGILVVLMIGIFLIVRDTLNLDFIKEIL >PAN49634 pep chromosome:PHallii_v3.1:9:61828996:61831473:-1 gene:PAHAL_9G461600 transcript:PAN49634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKRRRSWFERIRRLFASSEPKEKPKPDKKAKSKRWLPGKLRTQQSFALPAPAPADQQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGQQPATPTTGQELEEEEHAAVLIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGPDHPAALDGAYDDDDAFLLRRGRELYAAAVHEQQQQQEAGSKGWDSSILSKDEMRAVTRSREEAALKRVRALQYASLQSERLGVRRPPLPRDEEADALHRRWSWLEEWVGAQPPFDKDVPVAHQSPYSRDDGAAKGRQTPGRAADQLTGLGGDADRLGCSARRSFVRPRRAPARAGDYFYEDAAPCSPAPFPGYMASTASAKAKFRSMSTPKERSAGADAFSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPAAQRSPRVAAKGPMTPARSRSRRSPSHHSFGSEAALHQLQMEHYTPVR >PVH32689 pep chromosome:PHallii_v3.1:9:61828299:61832613:-1 gene:PAHAL_9G461600 transcript:PVH32689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKRRRSWFERIRRLFASSEPKEKPKPDKKAKSKRWLPGKLRTQQSFALPAPAPADQQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGQQPATPTTGQELEEEEHAAVLIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGPDHPAALDGAYDDDDAFLLRRGRELYAAAVHQQQQEAGSKGWDSSILSKDEMRAVTRSREEAALKRVRALQYASLQSERLGVRRPPLPRDEEADALHRRWSWLEEWVGAQPPFDKDVPVAHQSPYSRDDGAAKGRQTPGRAADQLTGLGGDADRLGCSARRSFVRPRRAPARAGDYFYEDAAPCSPAPFPGYMASTASAKAKFRSMSTPKERSAGADAFSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPAAQRSPRVAAKGPMTPARSRSRRSPSHHSFGSEAALHQLQMEHYTPVR >PVH32686 pep chromosome:PHallii_v3.1:9:61828298:61832397:-1 gene:PAHAL_9G461600 transcript:PVH32686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGYLSLGWEARPAGLPLASPVLLSSDVARSSATACWLSEPPRRLSVTLRVLSCPASSPRETESDGALGSPRDPGVRTIQVLDSRHSVLGRQSVATLTPPPPPPPPPRGGMEKEKRRRSWFERIRRLFASSEPKEKPKPDKAKSKRWLPGKLRTQQSFALPAPAPADQQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGQQPATPTTGQELEEEEHAAVLIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGPDHPAALDGAYDDDDAFLLRRGRELYAAAVHQQQQEAGSKGWDSSILSKDEMRAVTRSREEAALKRVRALQYASLQSERLGVRRPPLPRDEEADALHRRWSWLEEWVGAQPPFDKDVPVAHQSPYSRDDGAAKGRQTPGRAADQLTGLGGDADRLGCSARRSFVRPRRAPARAGDYFYEDAAPCSPAPFPGYMASTASAKAKFRSMSTPKERSAGADAFSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPAAQRSPRVAAKGPMTPARSRSRRSPSHHSFGSEAALHQLQMEHYTPVR >PVH32690 pep chromosome:PHallii_v3.1:9:61828299:61832613:-1 gene:PAHAL_9G461600 transcript:PVH32690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKRRRSWFERIRRLFASSEPKEKPKPDKAKSKRWLPGKLRTQQSFALPAPAPADQQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGQQPATPTTGQELEEEEHAAVLIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGPDHPAALDGAYDDDDAFLLRRGRELYAAAVHEQQQQQEAGSKGWDSSILSKDEMRAVTRSREEAALKRVRALQYASLQSERLGVRRPPLPRDEEADALHRRWSWLEEWVGAQPPFDKDVPVAHQSPYSRDDGAAKGRQTPGRAADQLTGLGGDADRLGCSARRSFVRPRRAPARAGDYFYEDAAPCSPAPFPGYMASTASAKAKFRSMSTPKERSAGADAFSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPAAQRSPRVAAKGPMTPARSRSRRSPSHHSFGSEAALHQLQMEHYTPVR >PVH32687 pep chromosome:PHallii_v3.1:9:61828299:61832397:-1 gene:PAHAL_9G461600 transcript:PVH32687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGYLSLGWEARPAGLPLASPVLLSSDVARSSATACWLSEPPRRLSVTLRVLSCPASSPRETESDGALGSPRDPGVRTIQVLDSRHSVLGRQSVATLTPPPPPPPPPRGGMEKEKRRRSWFERIRRLFASSEPKEKPKPDKAKSKRWLPGKLRTQQSFALPAPAPADQQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGQQPATPTTGQELEEEEHAAVLIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGPDHPAALDGAYDDDDAFLLRRGRELYAAAVHEQQQQQEAGSKGWDSSILSKDEMRAVTRSREEAALKRVRALQYASLQSERLGVRRPPLPRDEEADALHRRWSWLEEWVGAQPPFDKDVPVAHQSPYSRDDGAAKGRQTPGRAADQLTGLGGDADRLGCSARRSFVRPRRAPARAGDYFYEDAAPCSPAPFPGYMASTASAKAKFRSMSTPKERSAGADAFSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPAAQRSPRVAAKGPMTPARSRSRRSPSHHSFGSEAALHQLQMEHYTPVR >PVH32688 pep chromosome:PHallii_v3.1:9:61828996:61832283:-1 gene:PAHAL_9G461600 transcript:PVH32688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGYLSLGWEARPAGLPLASPVLLSSDVARSSATACWLSEPPRRLSVTLRVLSCPASSPRETESDGALGSPRDPGVRTIQVLDSRHSVLGRQSVATLTPPPPPPPPPRGGMEKEKRRRSWFERIRRLFASSEPKEKPKPDKKAKSKRWLPGKLRTQQSFALPAPAPADQQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGQQPATPTTGQELEEEEHAAVLIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGPDHPAALDGAYDDDDAFLLRRGRELYAAAVHEQQQQQEAGSKGWDSSILSKDEMRAVTRSREEAALKRVRALQYASLQSERLGVRRPPLPRDEEADALHRRWSWLEEWVGAQPPFDKDVPVAHQSPYSRDDGAAKGRQTPGRAADQLTGLGGDADRLGCSARRSFVRPRRAPARAGDYFYEDAAPCSPAPFPGYMASTASAKAKFRSMSTPKERSAGADAFSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPAAQRSPRVAAKGPMTPARSRSRRSPSHHSFGSEAALHQLQMEHYTPVR >PAN45251 pep chromosome:PHallii_v3.1:9:6117104:6124447:1 gene:PAHAL_9G105400 transcript:PAN45251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox protein [Source: Projected from Oryza sativa (Os03g0727000)] MEEITHHFGVGASGHGHGQHHHHHHHPWGSSLSAVVAPPPQPPPRAGLPLTLNTAATVNSGAGGNPVLQLANGGSLLDACIKAKEPSSSSLYAGDVEAIKAKIISHPHYYSLLAAYLECQKVGAPPEVSARLTAMAQELEARQRTALGGLGAATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRRVESQLSSLSISGRSLRNILSSGSSEEDQEGSGGETELPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLSWWDLHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTGAFYMDGHFINDGGLYRLG >PAN45252 pep chromosome:PHallii_v3.1:9:6117104:6124447:1 gene:PAHAL_9G105400 transcript:PAN45252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox protein [Source: Projected from Oryza sativa (Os03g0727000)] MEEITHHFGVGASGHGHGQHHHHHHHPWGSSLSAVVAPPPQPPPRAGLPLTLNTAATVNSGAGGNPVLQLANGGSLLDACIKAKEPSSSSLYAGDVEAIKAKIISHPHYYSLLAAYLECQKVGAPPEVSARLTAMAQELEARQRTALGGLGAATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRRVESQLSSLSISGRSLRNILSSGSSEEDQEGSGGETELPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLSWWDLHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTGAFYMDGHFINDGGLYRLG >PVH32929 pep chromosome:PHallii_v3.1:9:66469013:66477344:1 gene:PAHAL_9G528200 transcript:PVH32929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPSMDYPSGDSDHVSKRSRPVGIAEEQVNLPVNMLPVTYPQSHNYQQDDFHKAVARTLSQGSAPMSMDFHPIQQTLLLVGTNVGDIGLWDVGTKDRLVVKNFKVWELGKCSMALQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGIKILANADGLRLLRTLENRSFDASRNAAETVTKPLINPLTAAANAAAASSSGTPAPAAITAMNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESTQCRSIKLADNMRTSKISRLIYTNSGVAILALTASAVHLLWKWPRSDRNTSGKATASVSPQLWQPPSGIFMTNDMTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQIAIYDTTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPNISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSVSNLSTPPPNGASSSDQPER >PAN50565 pep chromosome:PHallii_v3.1:9:66469013:66477344:1 gene:PAHAL_9G528200 transcript:PAN50565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPSMDYPSGDSDHVSKRSRPVGIAEEVNLPVNMLPVTYPQSHNYQQDDFHKAVARTLSQGSAPMSMDFHPIQQTLLLVGTNVGDIGLWDVGTKDRLVVKNFKVWELGKCSMALQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGIKILANADGLRLLRTLENRSFDASRNAAETVTKPLINPLTAAANAAAASSSGTPAPAAITAMNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESTQCRSIKLADNMRTSKISRLIYTNSGVAILALTASAVHLLWKWPRSDRNTSGKATASVSPQLWQPPSGIFMTNDMTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQIAIYDTTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPNISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSVSNLSTPPPNGASSSDQPER >PAN50566 pep chromosome:PHallii_v3.1:9:66469260:66477344:1 gene:PAHAL_9G528200 transcript:PAN50566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPSMDYPSGDSDHVSKRSRPVGIAEEQVNLPVNMLPVTYPQSHNYQQDDFHKAVARTLSQGSAPMSMDFHPIQQTLLLVGTNVGDIGLWDVGTKDRLVVKNFKVWELGKCSMALQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGIKILANADGLRLLRTLENRSFDASRNAAETVTKPLINPLTAAANAAAASSSGTPAPAAITAMNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESTQCRSIKLADNMRTSKISRLIYTNSGVAILALTASAVHLLWKWPRSDRNTSGKATASVSPQLWQPPSGIFMTNDMTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQIAIYDTTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPNISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSVSNLSTPPPNGASSSDQPER >PVH32930 pep chromosome:PHallii_v3.1:9:66469258:66477344:1 gene:PAHAL_9G528200 transcript:PVH32930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPSMDYPSGDSDHVSKRSRPVGIAEEVNLPVNMLPVTYPQSHNYQQDDFHKAVARTLSQGSAPMSMDFHPIQQTLLLVGTNVGDIGLWDVGTKDRLVVKNFKVWELGKCSMALQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGIKILANADGLRLLRTLENRSFDASRNAAETVTKPLINPLTAAANAAAASSSGTPAPAAITAMNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESTQCRSIKLADNMRTSKISRLIYTNSGVAILALTASAVHLLWKWPRSDRNTSGKATASVSPQLWQPPSGIFMTNDMTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQIAIYDTTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPNISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSVSNLSTPPPNGASSSDQPER >PAN44152 pep chromosome:PHallii_v3.1:9:1181626:1188325:-1 gene:PAHAL_9G021000 transcript:PAN44152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAVGASEATIKSLLTKLGTLLAAEYTLVRGVRGDIQFIRDELASMQAFLSNLSHSKDGHDDQTEDWMKQIREVAYDIEDCVDDFIHSLDPDRRGDDLWSIIVKALYELRTWWPRRNIAAKIAELKERAQHVGERRNRYGVPNPDPAKKKSSMGGYFAAEHQEITPRLVGISEPVGVNEMTTLQEWLISDKKELRVLSIFGFGGVGKTTAAMALYRKCGVKFKRRAMVTVSHGTDPDEVLKDILKQVKESNVHQQGQIRSTANTSAKKDQASPDPQRQSMMSFFQKHTSRLSQITRCARSQVDDGTTSKEHEAITRELREHLQGNRYLLLIDDVWSSSTWQTIWSCLPKNAEGSRVMVTTRFEAVANTSVTHHKHFHKMQVLSRSDAQKLFEESMEDRQPGLKDRVPARIWEMCGGLPLPIVTMAGLVASKPLTEQNEWIEVCDSLFPQPQVCHKPEEFMRIINYCYTALPSDLKACSLYLCLFPKGRKIRRKRLIRRWIAEGFVSEKHGLSEEDVAETCFNQLVDRKIIRPIEHSSNGKVKRCQVHDMVLEYIISKAGEENFATVVGGQWSMPTRSNKVRRLSLHSTDSKRAKEADRMNLSHVRSLTVFGSLEHLHFKSFKTGIVQVLDLEGCGGFNENRVSVSDICKMTLLRYLSLRGTDVRKLPSNIGDLKYLETLDVRETKVCELPPSVGQLERISNILGGDKETRAALKVPREIKGTAKTLHVLSGIEIAEGSNTASELNYFTGLRKLAIYKLRTSDQSLISKKKSDQMLEDLISSIQYLSGYYLKTLVIDVESSDFLSMLDDMESPPIYLTALELSGRFVALPKWLPDLSNLVKLALSVTALHTDTLKLLSNLGALFSLTFSVCAAKHDPDTASILGTNKSKYRGEIFVPAGGFLKLKLLRIFIPFLPSLNFSAGAMPAVERLELRFKRLEGLHGMDNLESIHDVILSVDGQAGGTTKVILDELKRAQHKYALNVNE >PAN46986 pep chromosome:PHallii_v3.1:9:15003792:15006184:1 gene:PAHAL_9G229000 transcript:PAN46986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATLKDAAARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKADTPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHSNVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL >PAN46648 pep chromosome:PHallii_v3.1:9:12811679:12818184:-1 gene:PAHAL_9G201400 transcript:PAN46648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYAGGVQSPSPAAAAQQQQQNHSLAFRVMRLSRPSLQPDPAALLRFDPRDVFLPEDALTGPDPSAAADFLHSILHPPDPTATVPGEFTFRDRFLLRDPADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVVIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFSVSNPLSVRTKVRTIKDITYLEACIENHTKSNLYMDQVDFEPAQQWKATRLEADEHPSAVKSEIGDLCKQPILIRAGGGIYNYLYQLRSSSDEAGQPKLELSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPIIYAERPFMVNLCLTNQTDKTVGPFEVFLAPCMTGEERVVLVNGLQKLILPLVEAFESIKFDLSMVATQLGVQKISGITMYAVQEKKYYEPLPDIEIFVDAE >PVH31650 pep chromosome:PHallii_v3.1:9:12811679:12818179:-1 gene:PAHAL_9G201400 transcript:PVH31650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYAGGVQSPSPAAAAQQQQQNHSLAFRVMRLSRPSLQPDPAALLRFDPRDVFLPEDALTGPDPSAAADFLHSILHPPDPTATVPGEFTFRDRFLLRDPADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVVIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFSVSNPLSVRTKVRTIKDITYLEACIENHTKSNLYMDQVDFEPAQQWKATRLEADEHPSAVKSEIGDLCKQPILIRAGGGIYNYLYQLRSSSDEAGQPKLELSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPIIYAERPFMVNLCLTNQTDKTVGPFEVFLAPCMTGEERVVLVNGLQKLILPLVEAFESIKFDLSMVATQLGVQKISGITMYAVQEKKYYEPLPDIEIFVDAE >PVH31315 pep chromosome:PHallii_v3.1:9:6410281:6410617:-1 gene:PAHAL_9G109800 transcript:PVH31315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDVNTIEANTMSNDMYGQPSTTAVPSMPGFNMHMNSFMMDALGIQGEYTSFLFGVDKDAASAIRKLYFDGVLDNENI >PAN50723 pep chromosome:PHallii_v3.1:9:67298843:67300947:1 gene:PAHAL_9G541500 transcript:PAN50723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPGKPRGPNAPRTGGPPKVLPVDVPAMSMGELNSITGKFGQKALIGEGSYGKIYKAVLASGEPVAIKKLDPSVSSDSLADFSSQLSMVSRLKNEYFLQLMGYYLDDSHRILVYQFASNGSLHDTLHGKKGVKDATPGPVLSWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLLFDGYESKIADFNLTSQPPDGAARLHSTRVLGTFGYHAPEYAMTGVLTQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLGEDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKALQPLVRAAAAAAEGPSST >PAN50722 pep chromosome:PHallii_v3.1:9:67298138:67300947:1 gene:PAHAL_9G541500 transcript:PAN50722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICCCGGAEEETYAAAPASRHPAAPTSQNNAAPGKPRGPNAPRTGGPPKVLPVDVPAMSMGELNSITGKFGQKALIGEGSYGKIYKAVLASGEPVAIKKLDPSVSSDSLADFSSQLSMVSRLKNEYFLQLMGYYLDDSHRILVYQFASNGSLHDTLHGKKGVKDATPGPVLSWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLLFDGYESKIADFNLTSQPPDGAARLHSTRVLGTFGYHAPEYAMTGVLTQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLGEDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKALQPLVRAAAAAAEGPSST >PAN49972 pep chromosome:PHallii_v3.1:9:63741818:63760996:-1 gene:PAHAL_9G488900 transcript:PAN49972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAGHLAPSSGSLHRLLSSRHYPPASQAPPPRPLLLPKPLSAAMQLQRRGRRGVVAAAASASAPSPSSPGTEVAEGPAWGKVSAVLFDMDGVLCNSEKPSRQAGVDVFAEMGVEVTVDDFVPFMGTGEANFLGGVARVKGVKYFNPESAKKRFFEIYLDKYAKPNSGIGFPGALELIIECKNAGLKVAVASSADRIKVDANLAAACLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVDTSECIVIEDALAGVQAAKAAEMRCIAVTTTLEEDALQQGSPSLIRKNIGDISINDILYGGSNARHNEGAEGSENSSSIGNASPESLNGATNAGVSGTKSSPISKTEGLLGSRREILRYGSLGVAVSCLFVAARNWKAMQFASPKGLLNFFMGGNSSIFVNNEGDSLSSRAQQIKKYLADFESGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFVENKYKDKPFTVVGVHSAKFDNEKDLDAIRNAVLRYKITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLARISGEGHRKDLDDVVGAALEFYEDKKLLRNDPLPLALEKDKDSRLLTSPLKFPGKLALDVENNRLFISDSNHNRIVVTNLEGQFICQVGSSEEGLLDGPFDAALFNRPQGLSYNSKKNILYVADTENHALREINFVDETVRTLAGNGTKGSDYKGGGQGTDQVLNSPWDVCYDPSQETVYIAMAGQHQIWKHTIRDGVTNVLSGDGYERNLNGSSASRTSFAQPSGISLAPELQELFVADSESSSIRAVNLKTGGSRLLAGGDPVFPENLFRFGDYDGTGSDVLLQHPLGVVYASDNQVYVADSYNHKIKRLDPVTGKVTTVAGTGRAGYKDGPGLSAQLSEPAGLVEVGDGRLLVADTNNNAIRYITLNEKGAEVKTLDLIGVQPPSPKPKTLKRLRRRLSVDTDVINVDGGSSMEGFLSLAITVPDGYHFSKEARSKFDVETEPANAIEIEPANGFLDSEGLASLKFKRISSSASMGRINCKVYYCKEDEVCLYQSVAFDVKFHEGTEPSPAQITLSYSVTPRDNSGGAQLIAGRKNAKV >PAN49973 pep chromosome:PHallii_v3.1:9:63742181:63760702:-1 gene:PAHAL_9G488900 transcript:PAN49973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAGHLAPSSGSLHRLLSSRHYPPASQAPPPRPLLLPKPLSAAMQLQRRGRRGVVAAAASASAPSPSSPGTEVAEGPAWGKVSAVLFDMDGVLCNSEKPSRQAGVDVFAEMGVEVTVDDFVPFMGTGEANFLGGVARVKGVKYFNPESAKKRFFEIYLDKYAKPNSGIGFPGALELIIECKNAGLKVAVASSADRIKVDANLAAACLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVDTSECIVIEDALAGVQAAKAAEMRCIAVTTTLEEDALQQGSPSLIRKNIGDISINDILYGGSNARHINADEGAEGSENSSSIGNASPESLNGATNAGVSGTKSSPISKTEGLLGSRREILRYGSLGVAVSCLFVAARNWKAMQFASPKGLLNFFMGGNSSIFVNNEGDSLSSRAQQIKKYLADFESGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFVENKYKDKPFTVVGVHSAKFDNEKDLDAIRNAVLRYKITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLARISGEGHRKDLDDVVGAALEFYEDKKLLRNDPLPLALEKDKDSRLLTSPLKFPGKLALDVENNRLFISDSNHNRIVVTNLEGQFICQVGSSEEGLLDGPFDAALFNRPQGLSYNSKKNILYVADTENHALREINFVDETVRTLAGNGTKGSDYKGGGQGTDQVLNSPWDVCYDPSQETVYIAMAGQHQIWKHTIRDGVTNVLSGDGYERNLNGSSASRTSFAQPSGISLAPELQELFVADSESSSIRAVNLKTGGSRLLAGGDPVFPENLFRFGDYDGTGSDVLLQHPLGVVYASDNQVYVADSYNHKIKRLDPVTGKVTTVAGTGRAGYKDGPGLSAQLSEPAGLVEVGDGRLLVADTNNNAIRYITLNEKGAEVKTLDLIGVQPPSPKPKTLKRLRRRLSVDTDVINVDGGSSMEGFLSLAITVPDGYHFSKEARSKFDVETEPANAIEIEPANGFLDSEGLASLKFKRISSSASMGRINCKVYYCKEDEVCLYQSVAFDVKFHEGTEPSPAQITLSYSVTPRDNSGGAQLIAGRKNAKV >PAN49974 pep chromosome:PHallii_v3.1:9:63741818:63760882:-1 gene:PAHAL_9G488900 transcript:PAN49974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAGHLAPSSGSLHRLLSSRHYPPASQAPPPRPLLLPKPLSAAMQLQRRGRRGVVAAAASASAPSPSSPGTEVAEGPAWGKVSAVLFDMDGVLCNSEKPSRQAGVDVFAEMGVEVTVDDFVPFMGTGEANFLGGVARVKGVKYFNPESAKKRFFEIYLDKYAKPNSGIGFPGALELIIECKNAGLKVAVASSADRIKVDANLAAACLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVDTSECIVIEDALAGVQAAKAAEMRCIAVTTTLEEDALQQGSPSLIRKNIGDISINDILYGGSNARHNEGAEGSENSSSIGNASPESLNGATNAGVSGTKSSPISKTEGKESCRLLGSRREILRYGSLGVAVSCLFVAARNWKAMQFASPKGLLNFFMGGNSSIFVNNEGDSLSSRAQQIKKYLADFESGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFVENKYKDKPFTVVGVHSAKFDNEKDLDAIRNAVLRYKITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLARISGEGHRKDLDDVVGAALEFYEDKKLLRNDPLPLALEKDKDSRLLTSPLKFPGKLALDVENNRLFISDSNHNRIVVTNLEGQFICQVGSSEEGLLDGPFDAALFNRPQGLSYNSKKNILYVADTENHALREINFVDETVRTLAGNGTKGSDYKGGGQGTDQVLNSPWDVCYDPSQETVYIAMAGQHQIWKHTIRDGVTNVLSGDGYERNLNGSSASRTSFAQPSGISLAPELQELFVADSESSSIRAVNLKTGGSRLLAGGDPVFPENLFRFGDYDGTGSDVLLQHPLGVVYASDNQVYVADSYNHKIKRLDPVTGKVTTVAGTGRAGYKDGPGLSAQLSEPAGLVEVGDGRLLVADTNNNAIRYITLNEKGAEVKTLDLIGVQPPSPKPKTLKRLRRRLSVDTDVINVDGGSSMEGFLSLAITVPDGYHFSKEARSKFDVETEPANAIEIEPANGFLDSEGLASLKFKRISSSASMGRINCKVYYCKEDEVCLYQSVAFDVKFHEGTEPSPAQITLSYSVTPRDNSGGAQLIAGRKNAKV >PAN46114 pep chromosome:PHallii_v3.1:9:10230165:10232125:-1 gene:PAHAL_9G164300 transcript:PAN46114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPEFADVYSSENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLGFCRKYANQYLPSESQILRLQQHGKVWQVLLRATKRNSIRFCRGWKEFVSDNRLQIGDICLFELLKNEKMLAMNIHIIRK >PAN46120 pep chromosome:PHallii_v3.1:9:10230165:10232125:-1 gene:PAHAL_9G164300 transcript:PAN46120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLGFCRKYANQYLPSESQILRLQQHGKVWQVLLRATKRNSIRFCRGWKEFVSDNRLQIGDICLFELLKNEKMLAMNIHIIRK >PAN46113 pep chromosome:PHallii_v3.1:9:10230165:10232125:-1 gene:PAHAL_9G164300 transcript:PAN46113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPEFADVYSSENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLGFCRKYANQYLPSESQILRLQQHGKVWQVLLRATKRNSIRFCRGWKEFVSDNRLQIGDICLFELLKNEKMLAMNIHIIRK >PAN46121 pep chromosome:PHallii_v3.1:9:10229914:10233015:-1 gene:PAHAL_9G164300 transcript:PAN46121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLGFCRKYANQYLPSESQILRLQQHGKVWQVLLRATKRNSIRFCRGWKEFVSDNRLQIGDICLFELLKNEKMLAMNIHIIRK >PAN46118 pep chromosome:PHallii_v3.1:9:10230165:10232125:-1 gene:PAHAL_9G164300 transcript:PAN46118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPDVYSSENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLGFCRKYANQYLPSESQILRLQQHGKVWQVLLRATKRNSIRFCRGWKEFVSDNRLQIGDICLFELLKNEKMLAMNIHIIRK >PAN46115 pep chromosome:PHallii_v3.1:9:10229914:10233015:-1 gene:PAHAL_9G164300 transcript:PAN46115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPEFADVYSSENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLKICQSVSSK >PVH31504 pep chromosome:PHallii_v3.1:9:10229914:10232323:-1 gene:PAHAL_9G164300 transcript:PVH31504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPEFADVYSSENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLGFCRKYANQYLPSESQILRLQQHGKVWQVLLRATKRNSIRFCRGWKEFVSDNRLQIGDICLFELLKNEKMLAMNIHIIRK >PAN46117 pep chromosome:PHallii_v3.1:9:10229914:10233015:-1 gene:PAHAL_9G164300 transcript:PAN46117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLGFCRKYANQYLPSESQILRLQQHGKVWQVLLRATKRNSIRFCRGWKEFVSDNRLQIGDICLFELLKNEKMLAMNIHIIRK >PAN46119 pep chromosome:PHallii_v3.1:9:10230165:10232125:-1 gene:PAHAL_9G164300 transcript:PAN46119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPDVYSSENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLGFCRKYANQYLPSESQILRLQQHGKVWQVLLRATKRNSIRFCRGWKEFVSDNRLQIGDICLFELLKNEKMLAMNIHIIRK >PAN46116 pep chromosome:PHallii_v3.1:9:10230367:10232125:-1 gene:PAHAL_9G164300 transcript:PAN46116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRCKYFFKVMMGDFRSRMTIPDKFAQRFRDKIRGKIKLKVYNGSTCTVVVARHRNKLVLEAGWEAFISTHDIRLADLLVFRYNGNFQFEVLVFDPSCCVKESSNVAQNCCDHVQVQQRHRDLLDISSDSGDDQEPMQSSGSEDPTPAEKKGPNQSTKKTNTSSLTCHLNAPEFADVYSSENDQEISSMTSYIFSPFTHLTQKQRMKVEKRVQDICSSIPIFGSVMTKCNITRNPRYLKICQSVSSK >PAN45619 pep chromosome:PHallii_v3.1:9:7842259:7844065:-1 gene:PAHAL_9G130800 transcript:PAN45619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSLQVKFGVLFNDDRCANIFEALVGTLRAAKKRKILKYDGELLLQGVHDNVEITLLPPPAVAAA >PAN45618 pep chromosome:PHallii_v3.1:9:7841921:7844312:-1 gene:PAHAL_9G130800 transcript:PAN45618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEVGKLKEEIQRLGQQQPDGSYKVKFGVLFNDDRCANIFEALVGTLRAAKKRKILKYDGELLLQGVHDNVEITLLPPPAVAAA >PAN45906 pep chromosome:PHallii_v3.1:9:9204286:9209798:1 gene:PAHAL_9G149600 transcript:PAN45906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGWNWQTILIGASFLGFLLVTKYIGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PAN45896 pep chromosome:PHallii_v3.1:9:9204950:9209794:1 gene:PAHAL_9G149600 transcript:PAN45896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGWNWQTILIGASFLGFLLVTKYIGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PAN45900 pep chromosome:PHallii_v3.1:9:9204418:9209793:1 gene:PAHAL_9G149600 transcript:PAN45900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PAN45904 pep chromosome:PHallii_v3.1:9:9204417:9209793:1 gene:PAHAL_9G149600 transcript:PAN45904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGWNWQTILIGASFLGFLLVTKYIGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PVH31456 pep chromosome:PHallii_v3.1:9:9204417:9209793:1 gene:PAHAL_9G149600 transcript:PVH31456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PAN45899 pep chromosome:PHallii_v3.1:9:9204416:9209797:1 gene:PAHAL_9G149600 transcript:PAN45899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PAN45898 pep chromosome:PHallii_v3.1:9:9204337:9209797:1 gene:PAHAL_9G149600 transcript:PAN45898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGWNWQTILIGASFLGFLLVTKYIGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PVH31455 pep chromosome:PHallii_v3.1:9:9204418:9209793:1 gene:PAHAL_9G149600 transcript:PVH31455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGWNWQTILIGASFLGFLLVTKYIGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PAN45903 pep chromosome:PHallii_v3.1:9:9204950:9209794:1 gene:PAHAL_9G149600 transcript:PAN45903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PAN45897 pep chromosome:PHallii_v3.1:9:9204286:9209798:1 gene:PAHAL_9G149600 transcript:PAN45897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGSDVGGSRALSHYSMDSTGYSVSFLPKKSLLEEFSSALKDMFFAGDDPLRQYKEQPSWSKRVWLSLQHVFLVLEWGRHYTLSKFKGDFIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGSSRDLAIGPVAVVSLLLGSQLQNEFDPKTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGVRKFTTNTDIVSVMKSIFKSAHHGGKKKKKLFWMSATSPLISVIISTFFVYITRADKHGVAVVKNIEKGINPPSASRIYFSGPFLLKGFKIGVVAGLIALTEVIAIGRTFAAMKDYQLDGNKEMVALGTMNVVASLTSCYITTGGFGRSAVNCAAGCKTAASNIIMSIVVLLTLLFITPLFKYTPNAILSSIIISAVLGLIDYKASYLIWKVDKLDFLACLGAFLGVVFSSVEYGLLIAVSISIAKILIQATRPKTALLGNLPRTAIYKKIEQYQEVTTVPGVVIVQVDSAIYFTNSNYVKERKEVLCSLNLEVAGRGGRTTTTTEISPN >PVH31395 pep chromosome:PHallii_v3.1:9:8051576:8052757:-1 gene:PAHAL_9G133600 transcript:PVH31395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTLAALKIFGSQLAGSTEAPSSEGSSPAQMLFGIRFQRAWMQGVLLSANYNEAGEGRLVLDDGSCVADLFVLPKEAEGRLWQPGMYVMVIGAYIAEQSKDNYPAIKVSSPSLDISSLSLSSCMPCSIFTENWRYPVCDLTSILFNVIAYSILNDSKSSIQQT >PVH31394 pep chromosome:PHallii_v3.1:9:8051105:8052757:-1 gene:PAHAL_9G133600 transcript:PVH31394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTLAALKIFGSQLAGSTEAPSSEGSSPAQMLFGIRFQRAWMQGVLLSANYNEAGEGRLVLDDGSCVADLFVLPKEAEGRLWQPGMYVMVIGAYIAEQSKDNYPAIKMLI >PAN45662 pep chromosome:PHallii_v3.1:9:8050239:8052846:-1 gene:PAHAL_9G133600 transcript:PAN45662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTLAALKIFGSQLAGSTEAPSSEGSSPAQMLFGIRFQRAWMQGVLLSANYNEAGEGRLVLDDGSCVADLFVLPKEAEGRLWQPGMYVMVIGAYIAEQSKDNYPAIKVHKMVDLSDQTDREAMWYMEVAEAYNLFYLPFSVACPPS >PAN45663 pep chromosome:PHallii_v3.1:9:8051576:8052757:-1 gene:PAHAL_9G133600 transcript:PAN45663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTLAALKIFGSQLAGSTEAPSSEGSSPAQMLFGIRFQRAWMQGVLLSANYNEAGEGRLVLDDGSCVADLFVLPKEAEGRLWQPGMYVMVIGAYIAEQSKDNYPAIKVSSPSLDISSLSLSSCMPCSIFTENWRYPVCDLTSILFNVIAYSILNDSKSSIQQT >PVH32185 pep chromosome:PHallii_v3.1:9:46959315:46960549:-1 gene:PAHAL_9G341200 transcript:PVH32185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARGRRTEEDDAPGAEWVNRAALGLAPGCDVEGRRRKKKENGREGKVGRQVWRRDKATVEEVERIGSALELGRRVENLQQEVARAVRNSGELQSSAGVKVAAGAGVPRHCGPRGSPAHAPAVPLAAGRKPALTPSGEPDTVDPPDLGTQPRLAPSSMCRLPARGRAGLQGRLRAGPVPPRPGRPRGRCSSSTAGMACGARGCRLRRSSPAAGPAR >PAN45483 pep chromosome:PHallii_v3.1:9:7320941:7326148:1 gene:PAHAL_9G122700 transcript:PAN45483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWGRKQSSSSGSPSSSSGRRRGGTDASMDSSSRGGGGSGSRGRSPRLDRRNAAKRIEYEVGAGASASVGASWSSSSSAEQQRSPGLRPSRSLDLAPCADLRISGSAEGEVDELCRSLGLSGPEEFAIPLAAWEARKSRSNSDLLPRPRLVPSPAADELSPIARAVSAPNVQPALSVPAPIPEESLHSSSTSTATESAEEPTVAAPEESPKAAPAVAVVPPVGGLPLPSPKRGGGEVGIRGTRPPLLSPPPPITALAPPPVRRPVVADDMTGSAWDIVQSFAPSEERSEFGGAHERAENRHVSDTEEEEAEDGVAAVEGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQRYKLRESQVSAYTRQILNGLLYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWTNAFFMIGRGEQPPIPNYLSKEAQDFIGQCVRVDPENRPSASQLLEHPFVNRPLRASFESSPPGIPS >PVH32757 pep chromosome:PHallii_v3.1:9:63099768:63101372:-1 gene:PAHAL_9G479700 transcript:PVH32757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQIGQHQADELKQRRNTRVRKGKQAFNTSTLSNKTHGWVQRSILQTRLPSSRPFGHFQL >PAN46479 pep chromosome:PHallii_v3.1:9:11981680:11986727:1 gene:PAHAL_9G188800 transcript:PAN46479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHGGGGGGGGGGGGGGGGGPAQQFGAQQVEMPPPFSPAGGAGQRISLAEAPSPISSRPPAPAQQYDELGASSAGAGGFDAEGLAAAAAGEEGASGGSAGNRWPRQETLELLKIRSEMDAAFRDATLKGPLWEQVSRKLAEKGYTRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFSQLEALHGTAGAGGPAPVASLVPPATSVGVSGAAGPSAVRVPAEPPPAVAAGAGMPTMAFGNQMSFSTSNTEEYSDEEDSDDEGTQELGGGADERGKRKRVSEGGGAASGGRSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMTRLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPSIAAPTINAMPPPPHPKPPPPQPHPTAIASVSPAAPPPAQQPPASQPPPAQQPQQQRSPIPATPQQSTDIVMTPAETPHADTPGYDGSGGGPTSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALSSSGAGGGGPAVHASAPSTQPQETVTVTAAAPISQTPPPPPPPTLPSQSSQHAAKNGGSSNAAGSGNGNGGGVSNRGASGGGSGGTQAQASNGSVAASRFFGEGGAGAGGSASAAKKPEDIMKEMMEQRQPQPQAAVSGYNRIDGADSDNMDEDEDDYDDDDDDDDVDGNKMQFEIQFQRQHQPPPQHQHQNVVRPNSGAGASNPPGTNAPSTAAAATTTAGSFLGMVQ >PVH31602 pep chromosome:PHallii_v3.1:9:11981679:11986727:1 gene:PAHAL_9G188800 transcript:PVH31602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHGGGGGGGGGGGGGGGGGPAQQFGAQQVEMPPPFSPAGGAGQRISLAEAPSPISSRPPAPAQQYDELGASSAGAGGFDAEGLAAAAAGEEGASGGSAGNRWPRQETLELLKIRSEMDAAFRDATLKGPLWEQVSRKLAEKGYTRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFSQLEALHGTAGAGGPAPVASLVPPATSVGVSGAAGPSAVRVPAEPPPAVAAGAGMPTMAFGNQMSFSTSNTEEYSDEEDSDDEGTQELGGGADERGKRKRVSEGGGAASGGRSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMTRLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPSIAAPTINAMPPPPHPKPPPPQPHPTAIASVSPAAPPPAQQPPASQPPPAQQPQQQRSPIPATPQQSTDIVMTPAETPHADTPGYDGSGGGPTSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALSSSGAGGGGPAVHASAPSTQPQETVTVTAAAPISQTPPPPPPPTLPSQSSQHAAKNGGSSNAAGSGNGNGGGVSNRGASGGGSGGTQAQASNGSVAASRFFGEGGAGAGGSASAAKKRTS >PAN46481 pep chromosome:PHallii_v3.1:9:11981680:11986727:1 gene:PAHAL_9G188800 transcript:PAN46481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHGGGGGGGGGGGGGGGGGPAQQFGAQQVEMPPPFSPAGGAGQRISLAEAPSPISSRPPAPAQQYDELGASSAGAGGFDAEGLAAAAAGEEGASGGSAGNRWPRQETLELLKIRSEMDAAFRDATLKGPLWEQVSRKLAEKGYTRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFSQLEALHGTAGAGGPAPVASLVPPATSVGVSGAAGPSAVRVPAEPPPAVAAGAGMPTMAFGNQMSFSTSNTEEYSDEEDSDDEGTQELGGGADERGKRKRVSEGGGAASGGRSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMTRLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPSIAAPTINAMPPPPHPKPPPPQPHPTAIASVSPAAPPPAQQPPASQPPPAQQPQQQRSPIPATPQQSTDIVMTPAETPHADTPGYDGSGGGPTSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALSSSGAGGGGPAVHASAPSTQPQETVTVTAAAPISQTPPPPPPPTLPSQSSQHAAKNGGSSNAAGSGNGNGGGVSNRGASGGGSGGTQAQASNGSVAASRFFGEGGAGAGGSASAAKKPEDIMKEMMEQRQPQPQAAVSGYNRIDGADSDNMDEDEDDYDDDDDDDDVDGNKMQFEIQFQRQHQPPPQHQHQNVVRPNSGAGASNPPGTNAPSTAAAATTTAGSFLGMVQ >PAN46480 pep chromosome:PHallii_v3.1:9:11981680:11986727:1 gene:PAHAL_9G188800 transcript:PAN46480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHGGGGGGGGGGGGGGGGGPAQQFGAQQVEMPPPFSPAGGAGQRISLAEAPSPISSRPPAPAQQYDELGASSAGAGGFDAEGLAAAAAGEEGASGGSAGNRWPRQETLELLKIRSEMDAAFRDATLKGPLWEQVSRKLAEKGYTRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFSQLEALHGTAGAGGPAPVASLVPPATSVGVSGAAGPSAVRVPAEPPPAVAAGAGMPTMAFGNQMSFSTSNTEEYSDEEDSDDEGTQELGGGADERGKRKRVSEGGGAASGGRSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMTRLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPSIAAPTINAMPPPPHPKPPPPQPHPTAIASVSPAAPPPAQQPPASQPPPAQQPQQQRSPIPATPQQSTDIVMTPAETPHADTPGYDGSGGGPTSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALSSSGAGGGGPAVHASAPSTQPQETVTVTAAAPISQTPPPPPPPTLPSQSSQHAAKNGGSSNAAGSGNGNGGGVSNRGASGGGSGGTQAQASNGSVAASRFFGEGGAGAGGSASAAKKPEDIMKEMMEQRQPQPQAAVSGYNRIDGADSDNMDEDEDDYDDDDDDDDVDGNKMQFEIQFQRQHQPPPQHQHQNVVRPNSGAGASNPPGTNAPSTAAAATTTAGSFLGMVQ >PVH31603 pep chromosome:PHallii_v3.1:9:11981680:11986727:1 gene:PAHAL_9G188800 transcript:PVH31603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMAFGNQMSFSTSNTEEYSDEEDSDDEGTQELGGGADERGKRKRVSEGGGAASGGRSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMTRLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPSIAAPTINAMPPPPHPKPPPPQPHPTAIASVSPAAPPPAQQPPASQPPPAQQPQQQRSPIPATPQQSTDIVMTPAETPHADTPGYDGSGGGPTSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALSSSGAGGGGPAVHASAPSTQPQETVTVTAAAPISQTPPPPPPPTLPSQSSQHAAKNGGSSNAAGSGNGNGGGVSNRGASGGGSGGTQAQASNGSVAASRFFGEGGAGAGGSASAAKKRTS >PAN46482 pep chromosome:PHallii_v3.1:9:11981680:11986727:1 gene:PAHAL_9G188800 transcript:PAN46482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMAFGNQMSFSTSNTEEYSDEEDSDDEGTQELGGGADERGKRKRVSEGGGAASGGRSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMTRLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPSIAAPTINAMPPPPHPKPPPPQPHPTAIASVSPAAPPPAQQPPASQPPPAQQPQQQRSPIPATPQQSTDIVMTPAETPHADTPGYDGSGGGPTSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALSSSGAGGGGPAVHASAPSTQPQETVTVTAAAPISQTPPPPPPPTLPSQSSQHAAKNGGSSNAAGSGNGNGGGVSNRGASGGGSGGTQAQASNGSVAASRFFGEGGAGAGGSASAAKKPEDIMKEMMEQRQPQPQAAVSGYNRIDGADSDNMDEDEDDYDDDDDDDDVDGNKMQFEIQFQRQHQPPPQHQHQNVVRPNSGAGASNPPGTNAPSTAAAATTTAGSFLGMVQ >PAN46225 pep chromosome:PHallii_v3.1:9:10732891:10735477:-1 gene:PAHAL_9G171200 transcript:PAN46225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMCCLRYPDDGSAAAPVCCFCLPWPFAYHGVDSGSAARHRGDTRVAPDRGRIPLAACTSAGQVDSMDTFRAPPRPLPYDDPQFSPPTVQHPIVSEHDKASTHFQKPGQIIERKNTDTASTCTSQKIDGPSVKHHSGGSRIDGIQASDSSDSEDDCPICLEEYDYENPKIALQCNHNFHLSCIYEWMERSQACPVCAKVMLFNEDE >PAN46226 pep chromosome:PHallii_v3.1:9:10732891:10735831:-1 gene:PAHAL_9G171200 transcript:PAN46226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMCCLRYPDDGSAAAPVCCFCLPWPFAYHGVDSGSAARHRGDTRVAPDRGRIPLAACTSAGQVDSMDTFRAPPRPLPYDDPQFSPPTVQHPIVSEHDKASTHFQKPGQIIERKNTDTASTCTSQKIDGPSVKHHSGGSRIDGIQASDSSDSEDDCPICLEEYDYENPKIALQCNHNFHLSCIYEWMERSQACPVCAKVMLFNEDE >PAN46227 pep chromosome:PHallii_v3.1:9:10733372:10735198:-1 gene:PAHAL_9G171200 transcript:PAN46227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMCCLRYPDDGSAAAPVCCFCLPWPFAYHGVDSGSAARHRGDTRVAPDRGRIPLAACTSAGQVDSMDTFRAPPRPLPYDDPQFSPPTVQHPIVSEHDKASTHFQKPGQIIERKNTDTASTCTSQKIDGPSVKHHSGGSRIDGIQASDSSDSEDDCPICLEEYDYENPKIALQCNHNFHLSCIYEWMERSQACPVCAKVMLFNEDE >PAN48746 pep chromosome:PHallii_v3.1:9:57149564:57151728:-1 gene:PAHAL_9G402200 transcript:PAN48746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQRVALLYLQVAAVAVLLTATGLRAQLRVGFYDSSCPAAEIIVQQEVSKAVGANPGLAAGLLRLHFHDCFVRGCDASVLIDTTSGNTAEKDAGPNTSLRGFEVIDRIKARVEQACSGVVSCADILAFAARDSVALAGGNAYQVPAGRRDGAVSRASDTNGNLPPPTANVAQLTQIFGTKGLTQRDMVVLSGAHTIGSSHCSSFSSRLSRSGTTAGQDPTMDPAYVAQLARQCPQGGDPLVPMDYVSPNDFDEGFYKGVMANRGLLSSDQALLSDRNTAVQVVTYANDAATFQSDFAAAMVKMGSVGVLTGSSGKIRANCRVA >PAN46530 pep chromosome:PHallii_v3.1:9:12269010:12272260:1 gene:PAHAL_9G192200 transcript:PAN46530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPKKELTRILCDYIMSIDDAIILERLWVRSFHPYNITLTIKDLQETLRIDKGISKQRFNLRVHYYVVHEYRKSNYYNRAMSKHLMDLRFCTMCDLTRDPKFCKNYSSKDLGALQILSPTMVHRERLHSICL >PVH31607 pep chromosome:PHallii_v3.1:9:12155431:12162748:1 gene:PAHAL_9G190500 transcript:PVH31607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLGQTQRYAAGALLALALRQAQTHQTVLLGSHGLDEEPHPDEATAVEPDARDLWTHESRGLLRPVLRFLEIDPKAWAGVEKTAASSDPKHHIGAFLRKIFEDEDDDEKARSERSDQELALAKAVDAMAMGLECGIIESAAEALKLASCSSDDDDSASSARGKDYRKMAVLFMLLSACVADVNMAEEGMGSPRVTKGYDARHRVALRLLATWLNVKWGKMEAVEIMVACSAMAAAKEEEQSRESSSPRSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPALHTLVPIIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMATRIGNVKEFEFKTIGENHNQGRLAVGIGISGFAFTEEDYSKPWEGWKTNLERYVLQWESKHVFAVSTAIQDWLASRVALELMREGAMQTVLSGIISAFAWPATLVSAADFIDSKWTVAIDRADKAGKMLADVLLNGLQGSRPVTLVGYSLGARVVFKCLQELAQLGNNEGIVERVVLIGAPVSVQGEMWESARKKTPFLPPNGHVSLQMVAGRFVNVYSTNDWILGITFRASLLTQGLAGIQAVEVPGVENVDVTELVFGHSSYRSLLQEILGHLELNSYFPVFHPCTPREK >PAN46508 pep chromosome:PHallii_v3.1:9:12155431:12164506:1 gene:PAHAL_9G190500 transcript:PAN46508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLGQTQRYAAGALLALALRQAQTHQTVLLGSHGLDEEPHPDEATAVEPDARDLWTHESRGLLRPVLRFLEIDPKAWAGVEKTAASSDPKHHIGAFLRKIFEDEDDDEKARSERSDQELALAKAVDAMAMGLECGIIESAAEALKLASCSSDDDDSASSARGKDYRKMAVLFMLLSACVADVNMAEEGMGSPRVTKGYDARHRVALRLLATWLNVKWGKMEAVEIMVACSAMAAAKEEEQSRESSSPRSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPALHTLVPIIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMATRIGNVKEFEFKTIGENHNQGRLAVGIGISGFAFTEEDYSKPWEGWKTNLERYVLQWESKHVFAVSTAIQDWLASRVALELMREGAMQTVLSGIISAFAWPATLVSAADFIDSKWTVAIDRADKAGKMLADVLLNGLQGSRPVTLVGYSLGARVVFKCLQELAQLGNNEGIVERVVLIGAPVSVQGEMWESARKMVAGRFVNVYSTNDWILGITFRASLLTQGLAGIQAVEVPGVENVDVTELVFGHSSYRSLLQEILGHLELNSYFPVFHPCTPREK >PAN46509 pep chromosome:PHallii_v3.1:9:12155430:12164504:1 gene:PAHAL_9G190500 transcript:PAN46509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLGQTQRYAAGALLALALRQAQTHQTVLLGSHGLDEEPHPDEATAVEPDARDLWTHESRGLLRPVLRFLEIDPKAWAGVEKTAASSDPKHHIGAFLRKIFEDEDDDEKARSERSDQELALAKAVDAMAMGLECGIIESAAEALKLASCSSDDDDSASSARGKDYRKMAVLFMLLSACVADVNMAEEGMGSPRVTKGYDARHRVALRLLATWLNVKWGKMEAVEIMVACSAMAAAKEEEQSRESSSPRSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPALHTLVPIIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMATRIGNVKEFEFKTIGENHNQGRLAVGIGISGFAFTEEDYSKPWEGWKTNLERYVLQWESKHVFAVSTAIQDWLASRVALELMREGAMQTVLSGIISAFAWPATLVSAADFIDSKWTVAIDRADKAGKMLADVLLNGLQGSRPVTLVGYSLGARVVFKCLQELAQLGNNEGIVERVVLIGAPVSVQGEMWESARKMVAGRFVNVYSTNDWILGITFRASLLTQGLAGIQAVEVPGVENVDVTELVFGHSSYRSLLQEILGHLELNSYFPVFHPCTPREK >PVH31749 pep chromosome:PHallii_v3.1:9:14616059:14620278:1 gene:PAHAL_9G223800 transcript:PVH31749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLYLCYDDLPCHFKQCFLYCSLFPSDYAMDRRVLVQQWIAEGFVQIRADAGVEEVAEEYYDELVRRHLLQPAEEDEHGVAARCTVHDMLRALAHLLAQGEGLTGDSYRLLVDSDASFAPRRISFPRRNLAVIPEKILKLEGLRTLFLQKNPLTIEGSIFTRLEHLKVLDLSETEVELIPDNLGNLVYLRFLNLSHTRIQAIPESIGNLWSLKFLLLRGCKSLHTLPKGIEHLRGLRDLDLAGTVIDDAAFRVGHLRSLTSLRCFAVTSKEARAAQDRSGWPLDELKNLSQLRTLHIQKLEKAAGRSEATEMSLAAKKGLRELELSCSGTVRPLQTPELVRKIEDIFEEMNPPLCLESLKLVNYFGTRFPRWLSVTFLPNLRDLDIVKCNLCQSFPPLGRLPELRSLYIADSSALNDIGAEFMGTNHPHQVPFPKLENLHLQGLQQLTTWRDIEPGAFPSLQVLQLESCPKLQHLPAGLIHVTSLTVLRIADMASLKAVNDIATLRELSAWNTPSLKRISNLPSLEDINMCHCPVLEIVENVDGLRTVHIFDHDLQEMPIWIEAHASKLQSLNFTSTVGLLKRCLVDGPDWPVIKDIKEVHGYSTGSSYIYYTRSPYIFQSNVSAEDNLDAKENSADPDNVDDVSVSSSGTGYLEIRGFFDSKAVESGATRTEDNVSRRNMEGSIPRFTCHRLHKISEVVPEDDEDEDEADSAVLFPTDPTRGATVLERGHPAITDVHTNNDDLGSLSRETTHESRAITNDGTHDAIGSSVFTRRRGSVTVNDVPSDAGTDADTYVTKSAASIGRNLVRQGSRAISITEIDQALNFSTVQSKEHTSKKGEDIAADVNIAEDISPAHSKKVRFKQGKDFAETTTATICSSNMVIQKHIKNQTANCANGSANAAPMPENPSREEASKKSTGVIGSSLIHEASHKVSVTATTQRLDATSLCGQQQPPDKGEEGFVALCAAGAVDQKEDSNISSPLKPNDEEYKAISATETNCDSGSCKLPTSLAFRNQQAHKTQRTVFAYPSDDLSMGSGIPKEVSSKCAVGSVKDSSVEAAKSIVPSVSRPMRTISHALDVNEAPMIPEANTASRFPSKAAVSHGCTRDDVPCSIDAREEGESHQAPKVYTAIWADTDTDTLRARLLDSMRHLRTMASRRRHRRRKRGSKNKWSIGPALVAVLLLVSVVQLFFILWLCRRLPNKPDRM >PAN48120 pep chromosome:PHallii_v3.1:9:52756755:52763888:1 gene:PAHAL_9G359900 transcript:PAN48120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKSLHQEGSCEPRFQEVEAEEVQDPSVHPWGGLSTTKDGILTLLDCFINAKSLHVVQNVFDSARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRKEPRCTSWFCVADTAFKCEVFEDAVLVDWHQSFLEQGEIYSRFELAIGTDEGKSDILNFEDVGMNGQVHRKGLDLDQFEDYFITLKACRPDGRCSELCVKAHALKGQSCVHRRLIVGDGFVTITKGESIRSFFEHAEEAEEEDEDDAMDRDSNDPDGDVAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKNRRKERLKGKDRDREKIVVQSKVSDDTSPSSLSNRAAPSNEALNILDLRYSDSEEEDNAVAREHSSPDSSVDQASSRDSDERSNEHECNATIGYDGSFSCEESISSRRNLRYRRDFPQEQDASYWYEDCQDDSGDIPQHSRERIRNNTRGYNTVFSANNRTRDRYNPCSCGHQEEYRYFSNTARPSRDMKMARKTVEKPRLQYRRCYPLDSFIVPKGGRVGGAPTKSAGPKQVWEPMDARKKASLGNESNTTEVADDADRSDQVECSKDISECEKLEKVCEPLAEVCSERSEVVCKSGTDQPCRGEKSQSACNDGPHVMDKPDSCLTKDTGRTANLTSSDSSSCLSEGDRDSSMSSMTSLSAQNAESSSTSDSEDSSDRNNSSPGDPPVKSASSRSLLEMCAGNGFREYQPKGLHPPDGNQFGFRVGPFQDQMLHHQKAHAPPYSAPFMGFHDHPLPVPTNGYLSYPQPGHFFPGPVAPVGYGVPGNQCVDFPMQYSNNIYPYLGPEFGFLPSPPVHKTPVSFHAVPVPVPPPTPQCRSGVPVVMNQERQESHPLLPKLNQAVLVAENGCAEDNATKQKQKDDDSMPFSLFQFNLLIAPPAPAASKEEQVGGALAPSRLPPPIAQAQPCSREETNIKEYKLFSGCNDGVMFRL >PAN48121 pep chromosome:PHallii_v3.1:9:52756378:52763888:1 gene:PAHAL_9G359900 transcript:PAN48121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAAPSAAAAAIWSRRRDEITFDRLHKFWSALSPRARHELLRLDKQTLIEHARKNLYCSRCNGLLLESFTQIVMYGKSLHQEGSCEPRFQEVEAEEVQDPSVHPWGGLSTTKDGILTLLDCFINAKSLHVVQNVFDSARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRKEPRCTSWFCVADTAFKCEVFEDAVLVDWHQSFLEQGEIYSRFELAIGTDEGKSDILNFEDVGMNGQVHRKGLDLDQFEDYFITLKACRPDGRCSELCVKAHALKGQSCVHRRLIVGDGFVTITKGESIRSFFEHAEEAEEEDEDDAMDRDSNDPDGDVAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKNRRKERLKGKDRDREKIVVQSKVSDDTSPSSLSNRAAPSNEALNILDLRYSDSEEEDNAVAREHSSPDSSVDQASSRDSDERSNEHECNATIGYDGSFSCEESISSRRNLRYRRDFPQEQDASYWYEDCQDDSGDIPQHSRERIRNNTRGYNTVFSANNRTRDRYNPCSCGHQEEYRYFSNTARPSRDMKMARKTVEKPRLQYRRCYPLDSFIVPKGGRVGGAPTKSAGPKQVWEPMDARKKASLGNESNTTEVADDADRSDQVECSKDISECEKLEKVCEPLAEVCSERSEVVCKSGTDQPCRGEKSQSACNDGPHVMDKPDSCLTKDTGRTANLTSSDSSSCLSEGDRDSSMSSMTSLSAQNAESSSTSDSEDSSDRNNSSPGDPPVKSASSRSLLEMCAGNGFREYQPKGLHPPDGNQFGFRVGPFQDQMLHHQKAHAPPYSAPFMGFHDHPLPVPTNGYLSYPQPGHFFPGPVAPVGYGVPGNQCVDFPMQYSNNIYPYLGPEFGFLPSPPVHKTPVSFHAVPVPVPPPTPQCRSGVPVVMNQERQESHPLLPKLNQAVLVAENGCAEDNATKQKQKDDDSMPFSLFQFNLLIAPPAPAASKEEQVGGALAPSRLPPPIAQAQPCSREETNIKEYKLFSGCNDGVMFRL >PAN46222 pep chromosome:PHallii_v3.1:9:10724068:10728246:-1 gene:PAHAL_9G171000 transcript:PAN46222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASGGLILHVAVSTLLQLLPMDHSSPGGMGNFFYWSASLTVMKGANEFGQLGDGTEESAQEPKKVKSLETELVKSVSCGAHCTAAVAEPRENDGTLSKSRLWVWGQNQGSDYPRLFWGAFTPNTVIKQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGFTSQGLQGARVLTAYARFLDDAPEQVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRIVALDRIVVTDVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQSGFFSCSLNGSDMLLRNIPVLVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNLSNAELIEDVASRTGADALARLCEKLREHVHEQGECELLEKQVPEEAEAKAD >PAN46224 pep chromosome:PHallii_v3.1:9:10723644:10730446:-1 gene:PAHAL_9G171000 transcript:PAN46224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDDVLCNLRVVGVPTKSAIYIWGYNHSGQTARKGKECHLRIPKSLPPKLFKLGNGKSLRWIDIACGREHTAAIASNGSLFTWGANEFGQLGDGTEESAQEPKKVKSLETELVKSVSCGAHCTAAVAEPRENDGTLSKSRLWVWGQNQGSDYPRLFWGAFTPNTVIKQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGFTSQGLQGARVLTAYARFLDDAPEQVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRIVALDRIVVTDVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQSGFFSCSLNGSDMLLRNIPVLVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNLSNAELIEDVASRTGADALARLCEKLREHVHEQGECELLEKQVPEEAEAKAD >PAN46220 pep chromosome:PHallii_v3.1:9:10724068:10727697:-1 gene:PAHAL_9G171000 transcript:PAN46220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFFYWSASLTVMKGANEFGQLGDGTEESAQEPKKVKSLETELVKSVSCGAHCTAAVAEPRENDGTLSKSRLWVWGQNQGSDYPRLFWGAFTPNTVIKQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGFTSQGLQGARVLTAYARFLDDAPEQVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRIVALDRIVVTDVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQSGFFSCSLNGSDMLLRNIPVLVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNLSNAELIEDVASRTGADALARLCEKLREHVHEQGECELLEKQVPEEAEAKAD >PAN47756 pep chromosome:PHallii_v3.1:9:48025679:48026865:-1 gene:PAHAL_9G344400 transcript:PAN47756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPARHLSLLTVVSNGSESGGSTGGTRRSQPSCARLIGGRSVGGGRLSQPGHGSSERISGAPCRVRGQGGWTRGPFDGRPPACAWTSTTSSTLPFRRTKILGCRIGGAGDAGGGLACCRGAGGGCRRWVCSGCGQANVGAACGR >PVH32410 pep chromosome:PHallii_v3.1:9:56537620:56538495:1 gene:PAHAL_9G393900 transcript:PVH32410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSRGDPWTDCDCGFFAGSVQFFFDGFCTVPSCGGLFIHFFNQPRAGEPPPHGEEDLHRTRWPGAADPQASLPPSTASILAASEKAAAPPCSSHPRQPPPACRPAPPRLLPACSICSPRSPPTRPIHVRAAPPSRAPLWDSASTASGAGRVLLVLLVGPRRRGRACSPLSTSVRHRTTPPPPHAAVVAPSLPPLAVGRLPAPRFTRP >PVH32326 pep chromosome:PHallii_v3.1:9:54266550:54277971:1 gene:PAHAL_9G372600 transcript:PVH32326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGSLWLLLGIWCRGVPPRSFLHSYEHGEACRPLRGRAAPRRPPHCRSQVSIYHISWERDYGFCCHIDEECAKELADVPGVLSVRPDTNFGSDNKDYKGNDGFKSSEGTGAADIKTKRLFVTGLSFYTSEKTLRAAFQPFGELVEGTSATSKHQREFCGLTVNRPGMLVHIVVEHPSSTLGSAKVTVIVQRKKNWSYQLIANMILQSFLKIVPKK >PAN49008 pep chromosome:PHallii_v3.1:9:58587357:58588760:-1 gene:PAHAL_9G419000 transcript:PAN49008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQEHPISCSLPPSNQNSKKPSMASQERTAPTGRSGGADDVRSHTDAGSFGNDPASSAAPEDFEFCILSSGGLALAGEDAADMCVADEVFSGGKLLPFRLSSATSGDALLRSDSLDGSATTASTSGFSSSSVSRSASSSSSSSCVSRSTSSKSASSDPAGLGSCPPNRGATADAAVPPRRSLSGSVFYAHPSPSPRPPRRSARPAAAPAPRRSTGSAPPASWGLIRLGVVGAAEVYAPRPAGYRKAAAASARGGSRSARFDQPRTAPKEDKKLALGLLGAGLVCSCSPDAVAPVGSAEVAAAEARRRRKMAEEKKRAAKQSGRSTARRSRILEWLEELSISKDKSVV >PAN46586 pep chromosome:PHallii_v3.1:9:12534820:12541306:1 gene:PAHAL_9G195700 transcript:PAN46586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKSAVPAELRRAVGEGAAADLPTTTSRLLAFFDSLPLFHQVMRELTDPELALCRKDKGRAAELKGKGNACFSRREFGEALGFYSQALRHVPTNSDGMDVNLVSAIYANRASTMHKLGLFKESLRDCDRAIAVSSNYSKAWYRKGMVKASLKNYSSAIHDLEVALSTEMTSLGKSNIEQELKLILQKQENVNEVGTSDCGSKDADVPLAEQPQKVIIDSISTPNKGRGMASINDIPPASLIHVEDPLAVIIMKSSRETHCHFCFNEAPADVVFCPLCTIPIYCSKRCQEQAVGDISCNQDTYLEYDDHATSLAKLSITSSCKSPSSKLFAEHRHECGGAHWAAVLPADIVLAGRIMARDREKRMLYGKSVASSGPNLDLVHHYDHHSPDNKLESQIYAIILLLCLQNHYRSDLSWTEDSLSQLVLLIFQIKVNSIAIVRMKSMDGGPELTVNKGVSAAEGANMCSVEQVRVAQAIYVSGSLFNHSCRPNVHAYFLSRTLVLRSTEFIKSGSPVELSYGPQAGEMHLPDRQKSLQENYYFSCQCSSCSELNLSDLVMNSFCCPQSNCLGAISESTYYRSKENFVHVSLGGSYVWKLSLPDVSKVGKDMEKVARSLLGNSGASLNIDPGCCMNCRSHIEVSSAAATSHREASKINRLKEITLLDKTLIPEALQSLKQLKKLRHPYSKVLAQAQDTIAEAFVKVGDQEQAQKHCKASIQILEKVYHPKHITIAHELIKLVSILLSLGDGASAAATFARAEAIFSLYYGSHMEKILAYMGTLKRALRCESTTGAC >PVH31633 pep chromosome:PHallii_v3.1:9:12534820:12541306:1 gene:PAHAL_9G195700 transcript:PVH31633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKSAVPAELRRAVGEGAAADLPTTTSRLLAFFDSLPLFHQVMRELTDPELALCRKDKGRAAELKGKGNACFSRREFGEALGFYSQALRHVPTNSDGMDVNLVSAIYANRASTMHKLGLFKESLRDCDRAIAVSSNYSKAWYRKGMVKASLKNYSSAIHDLEVALSTEMTSLGKSNIEQELKLILQKQENVNEVGTSDCGSKDADVPLAEQPQKVIIDSISTPNKGRGMASINDIPPASLIHVEDPLAVIIMKSSRETHCHFCFNEAPADVVFCPLCTIPIYCSKRCQEQAVGDISCNQDTYLEYDDHATSLAKLSITSSCKSPSSKLFAEHRHECGGAHWAAVLPADIVLAGRIMARDREKRMLYGKSVASSGPNLDLVHHYDHHSPDNKLESQIYAIILLLCLQNHYRSDLSWTEDSLSQLVLLIFQIKVNSIAIVRMKSMDGGPELTVNKGVSAAEGANMCSVEQAGEMHLPDRQKSLQENYYFSCQCSSCSELNLSDLVMNSFCCPQSNCLGAISESTYYRSKENFVHVSLGGSYVWKLSLPDVSKVGKDMEKVARSLLGNSGASLNIDPGCCMNCRSHIEVSSAAATSHREASKINRLKEITLLDKTLIPEALQSLKQLKKLRHPYSKVLAQAQDTIAEAFVKVGDQEQAQKHCKASIQILEKVYHPKHITIAHELIKLVSILLSLGDGASAAATFARAEAIFSLYYGSHMEKILAYMGTLKRALRCESTTGAC >PVH31636 pep chromosome:PHallii_v3.1:9:12534820:12541306:1 gene:PAHAL_9G195700 transcript:PVH31636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKSAVPAELRRAVGEGAAADLPTTTSRLLAFFDSLPLFHQVMRELTDPELALCRKDKGRAAELKGKGNACFSRREFGEALGFYSQALRHVPTNSDGMDVNLVSAIYANRASTMHKLGLFKESLRDCDRAIAVSSNYSKAWYRKGMVKASLKNYSSAIHDLEVALSTEMTSLGKSNIEQELKLILQKQENVNEVGTSDCGSKDADVPLAEQPQKVIIDSISTPNKGRGMASINDIPPASLIHVEDPLAVIIMKSSRETHCHFCFNEAPADVVFCPLCTIPIYCSKRCQEQAVGDISCNQDTYLEYDDHATSLAKLSITSSCKSPSSKLFAEHRHECGGAHWAAVLPADIVLAGRIMARDREKRMLYGKSVASSGPNLDLVHHYDHHSPDNKLESQIYAIILLLCLQNHYRSDLSWTEDSLSQLVLLIFQIKVNSIAIVRMKSMDGGPELTVNKGVSAAEGANMCSVEQVRVAQAIYVSGSLFNHSCRPNAGEMHLPDRQKSLQENYYFSCQCSSCSELNLSDLVMNSFCCPQSNCLGAISESTYYRSKENFVHVSLGGSYVWKLSLPDVSKVGKDMEKVARSLLGNSGASLNIDPGCCMNCRSHIEVSSAAATSHREASKINRLKEITLLDKTLIPEALQSLKQLKKLRHPYSKVLAQAQDTIAEAFVKVGDQEQAQKHCKASIQILEKVYHPKHITIAHELIKLVSILLSLGDGASAAATFARAEAIFSLYYGSHMEKILAYMGTLKRALRCESTTGAC >PVH31634 pep chromosome:PHallii_v3.1:9:12534820:12541306:1 gene:PAHAL_9G195700 transcript:PVH31634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKSAVPAELRRAVGEGAAADLPTTTSRLLAFFDSLPLFHQVMRELTDPELALCRKDKGRAAELKGKGNACFSRREFGEALGFYSQALRHVPTNSDGMDVNLVSAIYANRASTMHKLGLFKESLRDCDRAIAVSSNYSKAWYRKGMVKASLKNYSSAIHDLEVALSTEMTSLGKSNIEQELKLILQKQENVNEVGTSDCGSKDADVPLAEQPQKVIIDSISTPNKGRGMASINDIPPASLIHVEDPLAVIIMKSSRETHCHFCFNEAPADVVFCPLCTIPIYCSKRCQEQAVGDISCNQDTYLEYDDHATSLAKLSITSSCKSPSSKLFAEHRHECGGAHWAAVLPADIVLAGRIMARDREKRMLYGKSVASSGPNLDLVHHYDHHSPDNKLESQIYAIILLLCLQNHYRSDLSWTEDSLSQLVLLIFQIKVNSIAIVRMKSMDGGPELTVNKGVSAAEGANMCSVEQAGEMHLPDRQKSLQENYYFSCQCSSCSELNLSDLVMNSFCCPQSNCLGAISESTYYRSKENFVHVSLGGSYVWKLSLPVGKDMEKVARSLLGNSGASLNIDPGCCMNCRSHIEVSSAAATSHREASKINRLKEITLLDKTLIPEALQSLKQLKKLRHPYSKVLAQAQDTIAEAFVKVGDQEQAQKHCKASIQILEKVYHPKHITIAHELIKLVSILLSLGDGASAAATFARAEAIFSLYYGSHMEKILAYMGTLKRALRCESTTGAC >PVH31635 pep chromosome:PHallii_v3.1:9:12534820:12541306:1 gene:PAHAL_9G195700 transcript:PVH31635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKSAVPAELRRAVGEGAAADLPTTTSRLLAFFDSLPLFHQVMRELTDPELALCRKDKGRAAELKGKGNACFSRREFGEALGFYSQALRHVPTNSDGMDVNLVSAIYANRASTMHKLGLFKESLRDCDRAIAVSSNYSKAWYRKGMVKASLKNYSSAIHDLEVALSTEMTSLGKSNIEQELKLILQKQENVNEVGTSDCGSKDADVPLAEQPQKVIIDSISTPNKGRGMASINDIPPASLIHVEDPLAVIIMKSSRETHCHFCFNEAPADVVFCPLCTIPIYCSKRCQEQAVGDISCNQDTYLEYDDHATSLAKLSITSSCKSPSSKLFAEHRHECGGAHWAAVLPADIVLAGRIMARDREKRMLYGKSVASSGPNLDLVHHYDHHSPDNKLESQIYAIILLLCLQNHYRSDLSWTEDSLSQLVLLIFQIKVNSIAIVRMKSMDGGPELTVNKGVSAAEGANMCSVEQVRVAQAIYVSGSLFNHSCRPNVHAYFLSRTLVLRSTEFIKSGSPVELSYGPQAGEMHLPDRQKSLQENYYFSCQCSSCSELNLSDLVMNSFCCPQSNCLGAISESTYYRSKENFVHVSLGGSYVWKLSLPVGKDMEKVARSLLGNSGASLNIDPGCCMNCRSHIEVSSAAATSHREASKINRLKEITLLDKTLIPEALQSLKQLKKLRHPYSKVLAQAQDTIAEAFVKVGDQEQAQKHCKASIQILEKVYHPKHITIAHELIKLVSILLSLGDGASAAATFARAEAIFSLYYGSHMEKILAYMGTLKRALRCESTTGAC >PAN46611 pep chromosome:PHallii_v3.1:9:12657825:12661579:1 gene:PAHAL_9G197900 transcript:PAN46611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWGTLDFGLLKSNFIQTRASPYIWVDDRSDKRLARILRVAMAISCGNVNCMIFHYNLYMKDEHLHFISERSPHLKRLVMPAWNRITRVGICQAIQRWQELESLTMPTIGHPPYIMEEIGRSCKNFTELKVMGSFDHQFASAILQFLPKLKVLSLRCSKVTMDALQSLLHSMEYLEVLNISHSLLLAIAANGRKQVVHELDGQILERASRLREFHYCQSRTCIACQRMVVDEGIMRWYRYEDWFWRRDEVRSLDLQDYGKLFDAGCERLTSVE >PAN48035 pep chromosome:PHallii_v3.1:9:28051494:28055595:1 gene:PAHAL_9G282600 transcript:PAN48035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGTWRKVMPYMAMVFLQFGFAGMFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERKTRPKMTLLVFFKILALGLLEPVLDQNFIYMGVNNTSASFSSALTNILPAVTFVNAITLRMERINIKERRSQAKIAGTAITVGGAMLMILFKGPIVNFPWTKHISHVFSDSGAHNSGHWLMGTFMILLSCFCWSAFFILQSYTLRSYPCELSLTTLICALGATESGAVALVMERDPKAWSIGFDMRLFTAVYSGIMCSGIAYYVQGIVIKERGPVFVTAFSPLCMIIVTLLGSIILSEVVTLGRLIGAAVIVVGLYALIWSKNKDHVNALDAENNFEKQKTFELPFSTTDVNKTSSLGNI >PVH31983 pep chromosome:PHallii_v3.1:9:28051494:28055596:1 gene:PAHAL_9G282600 transcript:PVH31983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGTWRKVMPYMAMVFLQFGFAGMFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERKTRPKMTLLVFFKILALGLLEPVLDQNFIYMGVNNTSASFSSALTNILPAVTFVNAITLRMERINIKERRSQAKIAGTAITVGGAMLMILFKGPIVNFPWTKHISHVFSDSGAHNSGHWLMGTFMILLSCFCWSAFFILQRAARSL >PVH31982 pep chromosome:PHallii_v3.1:9:28051574:28054960:1 gene:PAHAL_9G282600 transcript:PVH31982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGTWRKVMPYMAMVFLQFGFAGMFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERKTRPKMTLLVFFKILALGLLEPVLDQNFIYMGVNNTSASFSSALTNILPAVTFVNAITLRMERINIKERRSQAKIAGTAITVGGAMLMILFKGPIVNFPWTKHISHVFSDSGAHNSGHWLMGTFMILLSCFCWSAFFILQSYTLRSYPCELSLTTLICALGATESGAVALVMERDPKAWSIGFDMRLFTAVYSVRNNVFRHCLLRARNSDQGEGPSVCNCI >PVH32060 pep chromosome:PHallii_v3.1:9:33507035:33508095:-1 gene:PAHAL_9G303800 transcript:PVH32060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGAAAAAVSAAATAAASAAYPSTTVHQGSSPAREDGAGRRRRSGAAAAADERFAPRFDGLRFIETLVTAHR >PVH30933 pep chromosome:PHallii_v3.1:9:917293:923472:1 gene:PAHAL_9G015800 transcript:PVH30933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASALASRALQPPHGHHPAAPPPRARSSIAATRRRVAAASARCRAVAADERPADPAFPEGQNGGFSGAVERPEADVVVIGSGLGGLCCAGLLARYGQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYIPEGQFLSRIGPTEFYKDLETFVGVDAVQEWKKLLDAVIPMSAAAMALPPLSIRGDLGILSTAAGRYAPSLLKSFIQMGPQGALGATKLLRPFQEIVDSLGLKNPFIRNWIDLMCFLLAGVKSDGALSAEMVYMFAEWYKPGCMLEYPLGGTGAIIDALVSGIKKFGGRIALRSHVEKIIIENGRAVGVKLQSGQVVRAKKAVVSNASMWDTLDLLPPEVVPKSYEDKVKATPQCDSFMHLHLGFDVENAREDLGIHHIVVDDWNRGVDGEQNVVLISVPSVLSKDLAPPGKHVLHAYTPGTEPFSLWEGLDRKSADYRRLKEERSEVMWKAVELALGPKFSREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >PVH32379 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKVGSSIVESGNGNGICTSATCGSPLVISCSEPSPQEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESVGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSANLGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PAN48513 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PAN48513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESVGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32372 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSANLGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32375 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKVGSSIVESGNGNGICTSATCGSPLVISCSEPSPQEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32376 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKVGSSIVESGNGNGICTSATCGSPLVISCSEPSPQEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESVGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32377 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKVGSSIVESGNGNGICTSATCGSPLVISCSEPSPQEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSANLGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32371 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSANLGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32378 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKVGSSIVESGNGNGICTSATCGSPLVISCSEPSPQEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESVGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSANLGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32380 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKVGSSIVESGNGNGICTSATCGSPLVISCSEPSPQEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32370 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESVGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32381 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKVGSSIVESGNGNGICTSATCGSPLVISCSEPSPQEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSANLGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32374 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESVGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSANLGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PAN48512 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PAN48512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKVGSSIVESGNGNGICTSATCGSPLVISCSEPSPQEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESVGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32368 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32373 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESVGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSANLGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PVH32369 pep chromosome:PHallii_v3.1:9:55800775:55813490:1 gene:PAHAL_9G386600 transcript:PVH32369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDSDFQSQNFQLVGEDSNRFPSGLRPFTLPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHESNWIEVLSTGSSVVDFSSSAAESCSVSKTNNVWSEATSTESVEMLLKSVGENETTDNMDSNAHLQLSGTDSQIDQSNVHPESTNSPTDSTVVPTEKDQSQSLRAPFLMDKKAEQTTGSVAEKCIASEKLSSSNNTSGSCPAVGSYFEGVQEDLSLDKLNVPSTKVDSRNLNNEPFTGLAPLQNIYVTDSYHFEQDNKEPEVDVVPQDSKVCHFNENKVEGGLPELQSLSCAGQSLGAVNLSSQVSNETLLSESSDGLLEAITNPVKLHRSDGTCNIVNSTLQPSFSPVQHGTEGLSSSVDRSNELIVNEFGISSTSALSHRSEADSRNSNPHLVSSLSPKRKVTDATDVPEETMNAGASSTNISCTGDESKLEVLEHHKDSVDNLESGAMEEKTMEKIPVVSGNMEQMVENNHEENASGATGTSKDKVDSSDSIAPENSSADAFNASEDPTIPSINHEGSFNERDTPGIEEEPESSHLVLSTSGPHEKMSAPVISSSSGITSTTVTDTFGTPADKNGCSIGVSAADSSALPDEKDLIVSTINHEVPSKEGAKSALGDEDNNVISPGSEPGGVMSAVPADSNIDVYGSTVSVAKKEEYKEQANSLGGLPTGEAQDKSGNHPDGSSPKCQTDRSSVRCEHHTDPATPPALGISSGKVAEKVVETPQTASNDLNAHVQDAVLNHGTNHSPGTVTSQGKEGGQGSSALLHHTLDGQSGDPKDCEASADAAQSSKQCSTRNVESASGSEETNTAGGDRSFSFEVGAPPNASEKAHSPVWSPFPRYKASQSTEITPGNPQPGSSLKSISDDSKETSIAKAGKEQLSERKLAESAGGPSDNSNIGDGTKSNSSPPEQSQQQPTPDCSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGESGGGGKPTWEAAWRAALERFQYQKSLYTGLETPTSSRIGSSVPEKASKGTTVRTAPASKKGGKTVVPAHSTATLHSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYTQSPGPRSAPWLIPPQNLIFDSSMQPAGPTNETAKGASSKNISISHTVSPVLVPPSPAPSIVSSPAAVVNDEKQKVPASSSKHGTASQKPRKRKKASASPEQQSVTASPQLKTDVTSSTPATKHTSGFTLSTHSPSNALVSRVVPNTGQITSLPNYQITGGMDSEQRIIFSEQIRGAIEQSTGQAKGASMHSVEAVRHKEGIWSHLSTISRNKLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSTSLKSMHHEAGEFNISSNPPGLSSSTAASSLKIKDNSRTPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSESVRNKAGSGNHNPVTETLEVDAPANFSKSGRKRGRKPKYDQALPNLEPSSSGKELQPEGIHSGHGVEDVPTTMALDGNRNSTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPHEERVPLIPDGDKPPQIRLPYPATLTKFKTRKRRRETAGSCLWVIGDHVDAWVNDSSWREGVIAQNYEGDETKYVVHFSVGGGGESLVVDSWNLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGGDLSIVGEAGGPSKDKNTNNTKKPEDLKPLGLSQREMVFNVGKSVVENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNSSSRFAKHSMPQLPRPRENTSKVDHNRGRRVAEMRSRIPKPTKSQNVAANSVHDKDSLPMSVPNSGVSERSFTFAGSTTSTSNTQKPTVEKNNSALGTSLRTEVPSVSEMQAASTDPTSKQNVSTNNRAKRKYVPAVGNVNRGTLRTSEKTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNYKSASSRGRAHG >PAN51810 pep chromosome:PHallii_v3.1:9:72379305:72386985:1 gene:PAHAL_9G622200 transcript:PAN51810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAGPSPRRRLAAAVVALALALVLAPAAARPDKEMREKFYGTLVTNGTHNATGDGSIAEMFGRVLDKEFADSDTPDAPDKSSFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKSKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFVAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYFGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPTENSMQNELPLQEKAVMLEAHNRSL >PVH33306 pep chromosome:PHallii_v3.1:9:72379913:72385786:1 gene:PAHAL_9G622200 transcript:PVH33306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAGPSPRRRLAAAVVALALALVLAPAAARPDKEMREKFYGTLVTNGTHNATGDGSIAEMFGRVLDKEFADSDTPDAPDKSSFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKSKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFVAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYFGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGL >PAN51809 pep chromosome:PHallii_v3.1:9:72379305:72386985:1 gene:PAHAL_9G622200 transcript:PAN51809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAGPSPRRRLAAAVVALALALVLAPAAARPDKEMREKFYGTLVTNGTHNATGDGSIAEMFGRVLDKEFADSDTPDAPDKSSFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKSKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFVAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYFGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPTENSMQNEEKAVMLEAHNRSL >PVH33305 pep chromosome:PHallii_v3.1:9:72379913:72385786:1 gene:PAHAL_9G622200 transcript:PVH33305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAGPSPRRRLAAAVVALALALVLAPAAARPDKEMREKFYGTLVTNGTHNATGDGSIAEMFGRVLDKEFADSDTPDAPDKSSFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKSKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFVAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYFGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGL >PAN51404 pep chromosome:PHallii_v3.1:9:70454082:70457820:-1 gene:PAHAL_9G591800 transcript:PAN51404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRVALEPLAEEPGGGEEEASRRRSGLHAALHRWARLLSGGAAGDDARPAADLRVLLSVLACPLSPVPLLPRLPRHVASSAQYIIEQFRATTGCGKLEDGAVKSMYASGRVRLSMLQEPSGGGGGVIGGGGRAHEGSFVLWQLAPSMWLVEMSVAGQSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKLVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGAPAMYWETTIASAMSDYRAVDGAVAVAHAGTSTAHLARFGVGVRAARVVTRMEESWNIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAAIAAGK >PVH32448 pep chromosome:PHallii_v3.1:9:56846475:56850116:-1 gene:PAHAL_9G398400 transcript:PVH32448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPWRHPGPAAAAAAVARRHGAADLCLREVGDLLPRHFARRAAGSEDLVMRLQIHRKLDRHTGCVNTVGFNEVGDTLISGSDDQMVMLWDWDTGAVKLEFHSGHGGNVFQARFMPCRDDRTIVTCAADGEVRLAKIQDGGDVSTTLLGEHGGRAHNLAVEPSSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSLRKSGLASCVHLNAIAIDPRNPNLFAVGGSNAYARVYDIRKHKWDGSSDFAHPSDCYCPPHLVDDKRVGITGLAFSHLSELLVSYNEENIYLFPKNGGLGSDPKSSIKIGANEGYKSTMAASGQDIAQSAPQLRPRKRRAKLWHFDLPELLIQHLLASERRQQSTEEDSSEDLEDSTGLLSLVLRAADGSVSSTDDEEISDGSEEFTVN >PAN48678 pep chromosome:PHallii_v3.1:9:56847518:56849915:-1 gene:PAHAL_9G398400 transcript:PAN48678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPWRHPGPAAAAAAVARRHGAADLCLREVGDLLPRHFARRAAGSEDLVMRLQIHRKLDRHTGCVNTVGFNEVGDTLISGSDDQMVMLWDWDTGAVKLEFHSGHGGNVFQARFMPCRDDRTIVTCAADGEVRLAKIQDGGDVSTTLLGEHGGRAHNLAVEPSSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSLRKSGLASCVHLNAIAIDPRNPNLFAVGGSNAYARVYDIRKHKWDGSSDFAHPSDCYCPPHLVDDKRVGITGLAFSHLSELLVSYNEENIYLFPKNGGLGSDPKSSIKIGANEGYKSTMAASGQDIAQSAPQVYVGHCNRKTVKRVTFIGPNDEYVASGSDCGRIFIWRKRDGRFLRAMEGDECIVNCIEPHPHDMTIASSGIDNDVKMWTPSAIERAPVVNIEELRPRKRRAKLWHFDLPELLIQHLLASERRQQSTEEDSSEDLEDSTGLLSLVLRAADGSVSSTDDEEISDGSEEFTVN >PVH32450 pep chromosome:PHallii_v3.1:9:56848164:56849915:-1 gene:PAHAL_9G398400 transcript:PVH32450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPWRHPGPAAAAAAVARRHGAADLCLREVGDLLPRHFARRAAGSEDLVMRLQIHRKLDRHTGCVNTVGFNEVGDTLISGSDDQMVMLWDWDTGAVKLEFHSGHGGNVFQARFMPCRDDRTIVTCAADGEVRLAKIQDGGDVSTTLLGEHGGRAHNLAVEPSSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSLRKSGLASCVHLNAIAIDPRNPNLFAVGGSNAYARVYDIRKHKWDGSSDFAHPSDCYCPPHLVDDKRVGITGLAFSHLSELLVSYNEENIYLFPKNGGLGSDPKSSIKIGANEGYKSTMAASGQDIAQSAPQTVDGYLFGEREMGGFYEPWRVMSA >PVH32446 pep chromosome:PHallii_v3.1:9:56846474:56850116:-1 gene:PAHAL_9G398400 transcript:PVH32446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPWRHPGPAAAAAAVARRHGAADLCLREVGDLLPRHFARRAAGSEDLVMRLQIHRKLDRHTGCVNTVGFNEVGDTLISGSDDQMVMLWDWDTGAVKLEFHSGHGGNVFQARFMPCRDDRTIVTCAADGEVRLAKIQDGGDVSTTLLGEHGGRAHNLAVEPSSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSLRKSGLASCVHLNAIAIDPRNPNLFAVGGSNAYARVYDIRKHKWDGSSDFAHPSDCYCPPHLVDDKRVGITGAGI >PVH32449 pep chromosome:PHallii_v3.1:9:56847181:56850116:-1 gene:PAHAL_9G398400 transcript:PVH32449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPWRHPGPAAAAAAVARRHGAADLCLREVGDLLPRHFARRAAGSEDLVMRLQIHRKLDRHTGCVNTVGFNEVGDTLISGSDDQMVMLWDWDTGAVKLEFHSGHGGNVFQARFMPCRDDRTIVTCAADGEVRLAKIQDGGDVSTTLLGEHGGRAHNLAVEPSSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSLRKSGLASCVHLNAIAIDPRNPNLFAVGGSNAYARVYDIRKHKWDGSSDFAHPSDCYCPPHLVDDKRVGITGLAFSHLSELLVSYNEENIYLFPKNGGLGSDPKSSIKIGANEGYKSTMAASGQDIAQSAPQLRPRKRRAKLWHFDLPELLIQHLLASERRQQSTEEDSSEDLEDSTGLLSLVLRAADGSVSSTDDEEISDGSEEFTVN >PVH32447 pep chromosome:PHallii_v3.1:9:56848164:56849915:-1 gene:PAHAL_9G398400 transcript:PVH32447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPWRHPGPAAAAAAVARRHGAADLCLREVGDLLPRHFARRAAGSEDLVMRLQIHRKLDRHTGCVNTVGFNEVGDTLISGSDDQMVMLWDWDTGAVKLEFHSGHGGNVFQARFMPCRDDRTIVTCAADGEVRLAKIQDGGDVSTTLLGEHGGRAHNLAVEPSSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSLRKSGLASCVHLNAIAIDPRNPNLFAVGGSNAYARVYDIRKHKWDGSSDFAHPSDCYCPPHLVDDKRVGITGLAFSHLSELLVSYNEENIYLFPKNGGLGSDPKSSIKIGANEGYKSTMAASGQDIAQSAPQTVDGYLFGEREMGGFYEPWRVMSA >PAN47424 pep chromosome:PHallii_v3.1:9:30587250:30589586:-1 gene:PAHAL_9G289700 transcript:PAN47424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPEHCLRLLPATALAFVAASAPFSCPLSRPRRGATLLRLPHGRAPQLRGKPSSTLRAPAMPDAAVAPAPEMPQREVARALEDQAVARLAPRLLPSAVPDDVAEFRNGAGNAVGSLDVRRGAPGSSIDFMLQSSLHCKVPNGAIDITSILIFLNAATDAPHFLLELIQGSPTSIVVLLDLLPRKDLSLHPDYLQKYYENTQIDEQRAKIEELPQARPYRSPSLFVRSAVSPTAVMVTIDCGQGGEGTLEEIVHGRLAAVAKEVLQIWLDCCAESTTAMDDIERDCLVKRDQIVRSKSIEVDLTASLPRMFDPDVSSRVISEIRKAFGVQEP >PAN48080 pep chromosome:PHallii_v3.1:9:52307356:52310742:1 gene:PAHAL_9G357000 transcript:PAN48080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLRSSFLSAEEVFSGCLLVAGDLQPPRGSGGSHHGSNSDPLMSPDYASISYTCNGSVDIRGNPASKNHTGKWRACYSILGGEFCGALAYYGVGTNLVSYLTKVQQQSNVAAASNIASWQGTCYLSPLLGAFLADSYWGRHRTIVISLTTFTIGMILLTLSAIAPASIHPVVISLQHALPFLGLFLTALGLGGIWPCVPTFGADQFDDTDGAEKVQKEIYYNWYYFAVNGGFFFASTVLVWVQDNCGWGLGFGIPTLFSVIGIIGFLASMKLYRYQKPGGSALTRICQVVVAMTRKINVDVPNDSSLLYEIPGKESAIVGSRKLMHTDGLSFFDRAATITSSDEKFLDSPNPWKLCTVTQVEELKVLARMLPVLLAGIIFNTAEAFFPLFIEQGEVMDNRIDSFLIPPASLTAFNCLCIIILAPLYNKVLMPMVSRITGTKRGLSELQRIGVGMVFAILSLFSAAIVEMVRLDIAKKKDLVSQSALVPMNILWQAPQYFFVGVAKVFSVVGFIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSVMLKFINSITEGSGSHGWIPVNLNEGRLDQLFWLMAGLHLLNLLAFTYCAMRYKRKIAT >PAN48082 pep chromosome:PHallii_v3.1:9:52308245:52310742:1 gene:PAHAL_9G357000 transcript:PAN48082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGFHFSNHTLQDYASISYTCNGSVDIRGNPASKNHTGKWRACYSILGGEFCGALAYYGVGTNLVSYLTKVQQQSNVAAASNIASWQGTCYLSPLLGAFLADSYWGRHRTIVISLTTFTIGMILLTLSAIAPASIHPVVISLQHALPFLGLFLTALGLGGIWPCVPTFGADQFDDTDGAEKVQKEIYYNWYYFAVNGGFFFASTVLVWVQDNCGWGLGFGIPTLFSVIGIIGFLASMKLYRYQKPGGSALTRICQVVVAMTRKINVDVPNDSSLLYEIPGKESAIVGSRKLMHTDGLSFFDRAATITSSDEKFLDSPNPWKLCTVTQVEELKVLARMLPVLLAGIIFNTAEAFFPLFIEQGEVMDNRIDSFLIPPASLTAFNCLCIIILAPLYNKVLMPMVSRITGTKRGLSELQRIGVGMVFAILSLFSAAIVEMVRLDIAKKKDLVSQSALVPMNILWQAPQYFFVGVAKVFSVVGFIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSVMLKFINSITEGSGSHGWIPVNLNEGRLDQLFWLMAGLHLLNLLAFTYCAMRYKRKIAT >PAN48081 pep chromosome:PHallii_v3.1:9:52307353:52310754:1 gene:PAHAL_9G357000 transcript:PAN48081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSSISEEELGGLLPLLHGSLLQDYASISYTCNGSVDIRGNPASKNHTGKWRACYSILGGEFCGALAYYGVGTNLVSYLTKVQQQSNVAAASNIASWQGTCYLSPLLGAFLADSYWGRHRTIVISLTTFTIGMILLTLSAIAPASIHPVVISLQHALPFLGLFLTALGLGGIWPCVPTFGADQFDDTDGAEKVQKEIYYNWYYFAVNGGFFFASTVLVWVQDNCGWGLGFGIPTLFSVIGIIGFLASMKLYRYQKPGGSALTRICQVVVAMTRKINVDVPNDSSLLYEIPGKESAIVGSRKLMHTDGLSFFDRAATITSSDEKFLDSPNPWKLCTVTQVEELKVLARMLPVLLAGIIFNTAEAFFPLFIEQGEVMDNRIDSFLIPPASLTAFNCLCIIILAPLYNKVLMPMVSRITGTKRGLSELQRIGVGMVFAILSLFSAAIVEMVRLDIAKKKDLVSQSALVPMNILWQAPQYFFVGVAKVFSVVGFIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSVMLKFINSITEGSGSHGWIPVNLNEGRLDQLFWLMAGLHLLNLLAFTYCAMRYKRKIAT >PVH32263 pep chromosome:PHallii_v3.1:9:52307843:52310626:1 gene:PAHAL_9G357000 transcript:PVH32263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLRSSFLSAEEVFSGCLLVAGDLQPPRGSGGSHHGSNSDPLMSPDYASISYTCNGSVDIRGNPASKNHTGKWRACYSILGGEFCGALAYYGVGTNLVSYLTKVQQQSNVAAASNIASWQGTCYLSPLLGAFLADSYWGRHRTIVISLTTFTIGMILLTLSAIAPASIHPVVISLQHALPFLGLFLTALGLGGIWPCVPTFGADQFDDTDGAEKVQKEIYYNWYYFAVNGGFFFASTVLVWVQDNCGWGLGFGIPTLFSVIGIIGFLASMKLYRYQKPGGSALTRICQVVVAMTRKINVDVPNDSSLLYEIPGKESAIVGSRKLMHTDGLSFFDRAATITSSDEKFLDSPNPWKLCTVTQVEELKVLARMLPVLLAGIIFNTAEAFFPLFIEQGEVMDNRIDSFLIPPASLTAFNCLCIIILAPLYNKVLMPMVSRITGTKRGLSELQRIGVGMVFAILSLFSAAIVEMVRLDIAKKKDLVSQSALVPMNILWQAPQYFFVGVAKVFSVVGFIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSVMLKFINSITEGSGSHGWIPVNLNEGRLDQLFWLMAGLHLLNLLAFTYCAMRYKRKIAT >PAN45358 pep chromosome:PHallii_v3.1:9:6626406:6638110:1 gene:PAHAL_9G113000 transcript:PAN45358 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDAVELVAGVPGRIEAVASHAGKLLVAASDSEGFLRGSDCSLRIYSSPDSSPEGGGEIRRDGPYALERHEQRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAVVSNKTKGANVFAWDDRRGLLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVVIHRPYAVARLPRHVEIRSLRAPNALVQTVVLRDVQRLVQTGNCILAALSNSVCGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGRYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGEHDKLLDMPELATESSDVTDEMESYSLQLHESDDKSPLEAKKMSHNALVALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSEPYKPKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALQLLNQLVEKSKSEMENTDFNKKFNPHMIIEYLRPLCRSDPMLVLESSLYVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVIDWYNILKEEGNWTEKTYSATRKKLISTLENNSGYNTDLLLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIIPVASQYPGIHKSSSAKFKGGRTGKKVVEIEGADEIRFSPSGTDSGRSDGDGDDASDGGPIMLNEALELLSQRWDRINGSQALRLLPRDTKLQDLVSFLEPLLRNSSEHLRNYLVIKNLIFRANLQVKEDLYKRRQAVVKIDGNSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGANSVKRR >PAN45225 pep chromosome:PHallii_v3.1:9:6626406:6638110:1 gene:PAHAL_9G113000 transcript:PAN45225 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDAVELVAGVPGRIEAVASHAGKLLVAASDSEGFLRGSDCSLRIYSSPDSSPEGGGEIRRDGPYALERHEQRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAVVSNKTKGANVFAWDDRRGLLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVVIHRPYAVARLPRHVEIRSLRAPNALVQTVVLRDVQRLVQTGNCILAALSNSVCGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGRYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGEHDKLLDMPELATESSDVTDEMESYSLQLHESDDKSPLEAKKMSHNALVALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSEPYKPKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALQLLNQLVEKSKSEMENTDFNKKFNPHMIIEYLRPLCRSDPMLVLESSLYVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVIDWYNILKEEGNWTEKTYSATRKKLISTLENNSGYNTDLLLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIIPVASQYPGIHKSSSAKFKGGRTGKKVVEIEGADEIRFSPSGTDSGRSDGDGDDASDGGPIMLNEALELLSQRWDRINGSQALRLLPRDTKLQVKEDLYKRRQAVVKIDGNSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGANSVKRR >PAN45478 pep chromosome:PHallii_v3.1:9:7294448:7295791:-1 gene:PAHAL_9G122300 transcript:PAN45478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMVSVPIASSSLPLSTRGRSSSVSFPVPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKITKDLSEEEVITLRKEVGKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSPSSQEE >PVH30895 pep chromosome:PHallii_v3.1:9:598136:598861:1 gene:PAHAL_9G009600 transcript:PVH30895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQFPSDRARPRPGDSSVPAVKRAPPASLSAAASAKIALRASAPDLSALSAARPRPPPSAAAAKLVVSPPDRKPVRPMPPPPPQHRGLAPHGARPRPPSTPAKNNGAQQPRGTPMPSVPRRPAPHDGGPGKPASYMAPRGAQTVRPARRLAPGTAVYVRTEFRPRNINCRILLWLPARVVSASDAYHLTVKYAADLNDMFAGKIVSKPVDHIRVAPHRTAARADQRKPETKRHALSCRR >PVH32087 pep chromosome:PHallii_v3.1:9:34743356:34744631:1 gene:PAHAL_9G307300 transcript:PVH32087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILERRESTSLWGRFCNWITSIENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLCGNNIISGAIIPTSAVIGLHFYPIWEAACVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGTRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISSTFNFMIVFQAEHNILMHPFHMLGVPGVFGGSLFSAMHGSLETSSLIRETTENESANEGYKFGQEEETYNIVAAHGYVGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTTLGISTMAFDLNGFNFNQFVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >PVH32180 pep chromosome:PHallii_v3.1:9:46934651:46935503:-1 gene:PAHAL_9G340800 transcript:PVH32180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPWPPASSDDGMPIPSPTLARRPAAAARLTRLLPFSPPSAPHFGTKDDGCDGLDFNLPHRGGLRLGIWLHRVLCRLSDLPKAGYHDQEREGSDEGRNGVVPT >PAN48416 pep chromosome:PHallii_v3.1:9:55147621:55151514:-1 gene:PAHAL_9G380600 transcript:PAN48416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHHHHLPTAAASAPEPAAAAADPSPPPVPDPSSLRIHIPSSPHHALPSTPHKRPVVMTSSSSSSTPTRPSPSPFTPPRRRKVPAPAPPAGAAAARHLLRCLHLRLRILLLISLPTLYFLSPTPAILPRSLLADFLSAAAFSCALLLLLCLSLPRLPFALPLPLPLRRARRSPILWSIGSSPSASATTPTTGHFVQVYSNGDVYEGQFHRGRCTGSGVYYYYMSGRYEGDWVDGKYDGFGVETWARGSRYRGQYRQGLRHGYGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYSFRNGETQAGHWQNGVLDTLSTQNFIPGSPIAVNHSKVLNAVQEARRAAEKAYDIPRVDDKVNRAVAAANKAANAARVAAVKAAQKRIPSNGDDLPLSVV >PVH32169 pep chromosome:PHallii_v3.1:9:45771536:45775610:1 gene:PAHAL_9G338300 transcript:PVH32169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLMLTSLLVLVLILPAGAGAGAGGDARRALHEPLFPIEWTPPPSTTAPPAPGFAADPSTPVPPVDNGGPALLPAPPPPPDTITADASSSRTGPAPRSRGGGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHSAHTHKPLGLAAAAHPGPASAVVLHADAVGTSAAGSSSGATPYRKVRSERARRGMCRDVDTVPSPELRPLPPLRRAGSSDEDAAYYTPGQRSAGSGGAEGVGTWSEASASSPRTTTPSRRSLPSLTSDFFPPTPAAASVAPPPPAPPVPRSRRTLPRTRFSAGSASDMIKQMVSPPHNPPPPPPPPPPPLPAPRCNNATPKPPPPPPGPPGGLSARRLLKPEQTEGPSVTAPRAPFTSVKRDNDGMLIRTQDDAAVDEARPKLKPLHWDKVRACSNRDMVWDRLKSNSFQLDEDMIEVLFTNNAANAPPRDTPKKAGVPQCRSEEKVLDPKKAQNIAILLRALNVTQEEVSDALLDGNAECLGADLLETLVKMAPTKEEELKLRNFTGDLSKLGSAERFLKAVLDIPFSFKRVDAMLYRANFESEINYLKKSFETLEAACDDLKGSRLFLKLLEAVLRTGNRMNVGTNRGQAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIVRSEDAKSEKAPESQSRNIVKDEQFRKQGLKVVSGLSTELGNVKKAASMDFDVLHGYVSKLEAGLGKIKSVLLLEKQCTQGQNFFGTMHDFLKEAEMEIEQVRSEEKRALGRVKEITEYFHGDAAKEEAHPLRMFMVVRDFLSMLDHVCREVSQQDRTVVGSARSFRMSTATTAMLNLHNQHGRESNSDDESSSL >PVH31476 pep chromosome:PHallii_v3.1:9:9557341:9557909:1 gene:PAHAL_9G155200 transcript:PVH31476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSLYISSSRKGLISVLLLGHEVINTDDIKICYIKYFTRQTEATFFQP >PVH32529 pep chromosome:PHallii_v3.1:9:58720488:58721733:1 gene:PAHAL_9G421300 transcript:PVH32529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFWSQFSSQGQFNGEVNYTPTIDLTAMNGTPPDVTANVKQQDAQSGEAASMAATGGKKKDTASRSKNFAQDEDEALCSAYLNVSKDAAVGTYKSYWTRISDYYNEVSRNPTVRSLSSLQHRWGDIQKDTARFCGFYSEIVRRNQSGQSEDDKVKDALQMYAGIAGSPFKLIHCWLILRHSAKWNDWLAKEWNKGTKDKASVQDVTQDSPGASGQEGTGGSNVPTRPIGRDKAKRLRTSPAGSSSSSSAYINVLQKIYEDRSKYDARVEAATIEEAQAIATRAERKLALQENLISIQGKQLEIATELLNLQKEDREDRVMSLDVEKMSPWVTDYYIRKQKEIAARAASRAGLSDLS >PVH32420 pep chromosome:PHallii_v3.1:9:56624104:56627266:1 gene:PAHAL_9G395300 transcript:PVH32420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MPQVKVAPALVNGLLPAPSLLKLRARRLYSHGHLHSTSRVSCSSEFSDRGSAKELEASENSDETYAENAADRDEEGECLGWSKEEIDAISALFDRPMRQRPLKPPNPARQRALPLPLPHKTRLPVGPAPKQHVRLAARAALSSRASFSDQVRKNPEFLLGIAREIAGLPPEHGVSTVLNRWARFLRKGSLSLTIRELGHMGLPERALQTLCWAQRQKAVPLFPDDRVLASTIEVLARFGQLKVESALEQCVPTASRAVLEAMVSGFMRAGKVGLARKLLELARIHNRTLHPSIYVKLMLEATRTPEGYGLASALVDELGERSDLELRPQDCTAVMKVCVKLRRYAAVESLLSWFRESGGSPTLVMYTTVIHSRCRDGMHREALSLVWEMEQAGCLLDLPAYRVIIKLCVALRDPERALRYLSRMKEAGFVPTGDMYNDLIEGYAAEGRLAKCRQLIREAESVGLKLDRRLLARLSETGDAHSC >PVH32418 pep chromosome:PHallii_v3.1:9:56624104:56626996:1 gene:PAHAL_9G395300 transcript:PVH32418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MRQRPLKPPNPARQRALPLPLPHKTRLPVGPAPKQHVRLAARAALSSRASFSDQVRKNPEFLLGIAREIAGLPPEHGVSTVLNRWARFLRKGSLSLTIRELGHMGLPERALQTLCWAQRQKAVPLFPDDRVLASTIEVLARFGQLKVESALEQCVPTASRAVLEAMVSGFMRAGKVGLARKLLELARIHNRTLHPSIYVKLMLEATRTPEGYGLASALVDELGERSDLELRPQDCTAVMKVCVKLRRYAAVESLLSWFRESGGSPTLVMYTTVIHSRCRDGMHREALSLVWEMEQAGCLLDLPAYRVIIKLCVALRDPERALRYLSRMKEAGFVPTGDMYNDLIEGYAAEGRLAKCRQLIREAESVGLKLDRRLLARLSETGDAHSC >PVH32419 pep chromosome:PHallii_v3.1:9:56624104:56626996:1 gene:PAHAL_9G395300 transcript:PVH32419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MRQRPLKPPNPARQRALPLPLPHKTRLPVGPAPKQHVRLAARAALSSRASFSDQVRKNPEFLLGIAREIAGLPPEHGVSTVLNRWARFLRKGSLSLTIRELGHMGLPERALQTLCWAQRQKAVPLFPDDRVLASTIEVLARFGQLKVESALEQCVPTASRAVLEAMVSGFMRAGKVGLARKLLELARIHNRTLHPSIYVKLMLEATRTPEGYGLASALVDELGERSDLELRPQDCTAVMKVCVKLRRYAAVESLLSWFRESGGSPTLVMYTTVIHSRCRDGMHREALSLVWEMEQAGCLLDLPAYRVIIKLCVALRDPERALRYLSRMKEAGFVPTGDMYNDLIEGYAAEGRLAKCRQLIREAESVGLKLDRRLLARLSETGDAHSC >PVH32421 pep chromosome:PHallii_v3.1:9:56624104:56626996:1 gene:PAHAL_9G395300 transcript:PVH32421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MPQVKVAPALVNGLLPAPSLLKLRARRLYSHGHLHSTSRVSCSSEFSDRGSAKELEASENSDETYAENAADRDEEGECLGWSKEEIDAISALFDRPMRQRPLKPPNPARQRALPLPLPHKTRLPVGPAPKQHVRLAARAALSSRASFSDQVRKNPEFLLGIAREIAGLPPEHGVSTVLNRWARFLRKGSLSLTIRELGHMGLPERALQTLCWAQRQKAVPLFPDDRVLASTIEVLARFGQLKVESALEQCVPTASRAVLEAMVSGFMRAGKVGLARKLLELARIHNRTLHPSIYVKLMLEATRTPEGYGLASALVDELGERSDLELRPQDCTAVMKVCVKLRRYAAVESLLSWFRESGGSPTLVMYTTVIHSRCRDGMHREALSLVWEMEQAGCLLDLPAYRVIIKLCVALRDPERALRYLSRMKEAGFVPTGDMYNDLIEGYAAEGRLAKCRQLIREAESVGLKLDRRLLARLSETGDAHSC >PAN48613 pep chromosome:PHallii_v3.1:9:56624104:56627267:1 gene:PAHAL_9G395300 transcript:PAN48613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MPQVKVAPALVNGLLPAPSLLKLRARRLYSHGHLHSTSRVSCSSEFSDRGSAKELEASENSDETYAENAADRDEEGECLGWSKEEIDAISALFDRPMRQRPLKPPNPARQRALPLPLPHKTRLPVGPAPKQHVRLAARAALSSRASFSDQVRKNPEFLLGIAREIAGLPPEHGVSTVLNRWARFLRKGSLSLTIRELGHMGLPERALQTLCWAQRQKAVPLFPDDRVLASTIEVLARFGQLKVESALEQCVPTASRAVLEAMVSGFMRAGKVGLARKLLELARIHNRTLHPSIYVKLMLEATRTPEGYGLASALVDELGERSDLELRPQDCTAVMKVCVKLRRYAAVESLLSWFRESGGSPTLVMYTTVIHSRCRDGMHREALSLVWEMEQAGCLLDLPAYRVIIKLCVALRDPERALRYLSRMKEAGFVPTGDMYNDLIEGYAAEGRLAKCRQLIREAESVGLKLDRRLLARLSETGDAHSC >PAN47458 pep chromosome:PHallii_v3.1:9:31186196:31187123:-1 gene:PAHAL_9G293000 transcript:PAN47458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAPPSGGTGSAWPAATSRFAAACGALSHYVKAAEAERAQAAPAVPVRRPLPLMPGADVDARDPGAEAAPAQMTIVYGDQVLVLDGVPAEKAAGLLRLATAAARGGPAAADLPVARKASLQRFMEKRRGRAAARGAPYRRPDACPAPDDQQYLKLAL >PAN49827 pep chromosome:PHallii_v3.1:9:62917475:62919058:1 gene:PAHAL_9G477700 transcript:PAN49827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIAPMASRALAAWRQLVLLALLPHLLLLLDAAAARQCFWPGQAPEDPGCLSWRVMVEANNARGWRTVPAQCVGYVKGYMTRGQYLRDLAGVMEQAADYADQVAAGAGADPDGLDAWVFDIDDTCLSNLPYYETKQFGAYDPSAFKAWASKEACPGIPPVLGLFTALLDKGFKVFLLSGRDEEILGPCTAGNLEAEGFSGYERLIMRTPEYRGQSSSVFKSAMRKQLVDEGYRIRGNVGDQWSDLQGDCAGDRVFKIPNPMYFVP >PAN46449 pep chromosome:PHallii_v3.1:9:11821687:11822894:1 gene:PAHAL_9G186800 transcript:PAN46449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIRDWASLEGGLLRDIFLRLPADAEAVRFRRVCHGGRVAAGAGAPVPRPWFALQPSGDGPARHAAVVRPRLAAAAPVRADADAAVTGEWPPASAIRGASRGWLAVDEGKRFLLRDPFSLAEVPLPAFDAGYQMFDVFLSDDPLAAPGRWMAFAFFRSADYTNLGHVLAFCRPGDAEWARFDADDDGQVGQQIRLYRGLEFFRGRAYVFVMNPGRIAVCDVEARRLVVSSVQLPLPPGGEWEWQECLVECGGDLLVVQVWRRLELRLSSYCMGGRIYRDRVWFLSSLTRVVFDAGGSGMPVASSVVASTGDYALFVAPQGHAFALPASGFPSVRPSCVYFFATDPTRRVEGRVAIDLKADRARVDKFVRKLPLAGNWRILSWFCPRSPVLNTTPARGRR >PAN46469 pep chromosome:PHallii_v3.1:9:11913774:11922858:-1 gene:PAHAL_9G188100 transcript:PAN46469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MGFGTWAWGNQLLWGYQESMDSELRECFNLALRNGINLFDTADSYGTGKLNGQSERLLGRFIRPIKSPDDVVIATKFAAYPWRLTSGQFVGACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYDKGLVRAVGVSNYGPKQLLKIYSYLASKGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGIRVIAYSPLGLGMLTGKYDSSNLPKGPRSVLFRQILPGLESLLSCLRRIAEKKGKTMSQVAINWCICKGAIPIPGVKTVRHVEENLGALGWRLSPAEIEELESAAMAAPKKMIQNVFQTA >PAN46468 pep chromosome:PHallii_v3.1:9:11915203:11916985:-1 gene:PAHAL_9G188100 transcript:PAN46468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MGFGTWAWGNQLLWGYQESMDSELRECFNLALRNGINLFDTADSYGTGKLNGQSERLLGRFIREFEGPIKSPDDVVIATKFAAYPWRLTSGQFVGACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYDKGLVRAVGVSNYGPKQLLKIYSYLASKGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGIRVIAYSPLGLGMLTGKYDSSNLPKGPRSVLFRQILPGLESLLSCLRRIAEKKGKTMSQVAINWCICKGAIPIPGVKTVRHVEENLGALGWRLSPAEIEELESAAMAAPKKMIQNVFQTA >PVH31391 pep chromosome:PHallii_v3.1:9:8031470:8032622:1 gene:PAHAL_9G133200 transcript:PVH31391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAVYATAHFSIRRRRPGHNSVSTTILFKCVLVVMASLTATSKEREGGWFRQCSGFAWKKYMEEIIMLKII >PVH31056 pep chromosome:PHallii_v3.1:9:2642755:2643094:1 gene:PAHAL_9G047100 transcript:PVH31056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDEKEHQEDTYEPTKDVRSMVDHLIHDESWGGALYVKLLTIMHLLLGQLNMKKRWIV >PVH32388 pep chromosome:PHallii_v3.1:9:55933566:55952249:1 gene:PAHAL_9G388000 transcript:PVH32388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRALIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDELLLNVGRAKNPQPRHDLVAIIFRYCLDKPYFSTSFCEAVSTIPVNDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTDSLSKHMDSFTNIISLLNPKERPFYVPVSLQEANSNQANSSRHTELCIGSLDDDFDSLLCEIGKQISLPNIITELGYGCASDITHCKEILSHFEPLDDMAISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTVDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVVSPNDTFTFAHCTKKMAFPDLGTLNQGNQAWYCLDLLEVLCQLAELGYAKPVRSMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPAMLKNNTHSRLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQSATFLEECVKFLKEIMISTNYGAVEGSIQHPQATISSICQDSCPVFIKVLRSHSGQLLSNELVDELRRVEAVYESRNHGDVGRDMPSPDVGEDIEAQANIYFQQMFAGQISVDAMIQMLLRFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PAN48530 pep chromosome:PHallii_v3.1:9:55933566:55952249:1 gene:PAHAL_9G388000 transcript:PAN48530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRALIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDELLLNVGRAKNPQPRHDLVAIIFRYCLDKPYFSTSFCEAVSTIPVNDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTDSLSKHMDSFTNIISLLNPKERPFYVPVSLQEANSNQANSSRHTELCIGSLDDDFDSLLCEIGKQISLPNIITELGYGCASDITHCKEILSHFEPLDDMAISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTVDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVVSPNDTFTFAHCTKKMAFPDLGTLNQGNQAWYCLDLLEVLCQLAELGYAKPVRSMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPAMLKNNTHSRLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQSATFLEECVKFLKEIMISTNYGAVEGSIQHPQATISSICQDSCPVFIKVLRSHSGQLLSNELVDELRRVEAVYESRNHGDVGRDMPSPDVGEDIEAQANIYFQQMFAGQISVDAMIQMLLRFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQYAAPIRLPSNSMVEDDKVALIMPEQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLVGELATTTKDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PVH32393 pep chromosome:PHallii_v3.1:9:55933566:55952249:1 gene:PAHAL_9G388000 transcript:PVH32393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRALIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDELLLNVGRAKNPQPRHDLVAIIFRYCLDKPYFSTSFCEAVSTIPVNDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTDSLSKHMDSFTNIISLLNPKERPFYVPVSLQEANSNQANSSRHTELCIGSLDDDFDSLLCEIGKQISLPNIITELGYGCASDITHCKEILSHFEPLDDMAISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTVDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVVSPNDTFTFAHCTKKMAFPDLGTLNQGNQAWYCLDLLEVLCQLAELGYAKPVRSMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPAMLKNNTHSRLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQSATFLEECVKFLKEIMISTNYGAVEGSIQHPQATISSICQDSCPVFIKVLRSHSGQLLSNELVDELRRVEAVYESRNHGDVGRDMPSPDVGEDIEAQANIYFQQMFAGQISVDAMIQMLLRFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQYAAPIRLPSNSMVEDDKVALIMPEQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKQSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PVH32390 pep chromosome:PHallii_v3.1:9:55933566:55952249:1 gene:PAHAL_9G388000 transcript:PVH32390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRALIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDELLLNVGRAKNPQPRHDLVAIIFRYCLDKPYFSTSFCEAVSTIPVNDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTDSLSKHMDSFTNIISLLNPKERPFYVPVSLQEANSNQANSSRHTELCIGSLDDDFDSLLCEIGKQISLPNIITELGYGCASDITHCKEILSHFEPLDDMAISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTVDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVVSPNDTFTFAHCTKKMAFPDLGTLNQGNQAWYCLDLLEVLCQLAELGYAKPVRSMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPAMLKNNTHSRLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQSATFLEECVKFLKEIMISTNYGAVEGSIQHPQATISSICQDSCPVFIKVLRSHSGQLLSNELVDELRRVEAVYESRNHGDVGRDMPSPDVGEDIEAQANIYFQQMFAGQISVDAMIQMLLRFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQYAAPIRLPSNSMVEDDKVALIMPEQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLVGELATTTKDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKQSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PVH32389 pep chromosome:PHallii_v3.1:9:55933566:55952249:1 gene:PAHAL_9G388000 transcript:PVH32389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRALIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDELLLNVGRAKNPQPRHDLVAIIFRYCLDKPYFSTSFCEAVSTIPVNDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTDSLSKHMDSFTNIISLLNPKERPFYVPVSLQEANSNQANSSRHTELCIGSLDDDFDSLLCEIGKQISLPNIITELGYGCASDITHCKEILSHFEPLDDMAISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTVDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVVSPNDTFTFAHCTKKMAFPDLGTLNQGNQAWYCLDLLEVLCQLAELGYAKPVRSMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPAMLKNNTHSRLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQSATFLEECVKFLKEIMISTNYGAVEGSIQHPQATISSICQDSCPVFIKVLRSHSGQLLSNELVDELRRVEAVYESRNHGDVGRDMPSPDVGEDIEAQANIYFQQMFAGQISVDAMIQMLLRFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLVGELATTTKDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKQSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PVH32395 pep chromosome:PHallii_v3.1:9:55937967:55952249:1 gene:PAHAL_9G388000 transcript:PVH32395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILWLSRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQYAAPIRLPSNSMVEDDKVALIMPEQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLVGELATTTKDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKQSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PVH32391 pep chromosome:PHallii_v3.1:9:55933566:55952249:1 gene:PAHAL_9G388000 transcript:PVH32391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRALIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDELLLNVGRAKNPQPRHDLVAIIFRYCLDKPYFSTSFCEAVSTIPVNDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTDSLSKHMDSFTNIISLLNPKERPFYVPVSLQEANSNQANSSRHTELCIGSLDDDFDSLLCEIGKQISLPNIITELGYGCASDITHCKEILSHFEPLDDMAISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTVDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVVSPNDTFTFAHCTKKMAFPDLGTLNQGNQAWYCLDLLEVLCQLAELGYAKPVRSMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPAMLKNNTHSRLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQSATFLEECVKFLKEIMISTNYGAVEGSIQHPQATISSICQDSCPVFIKVLRSHSGQLLSNELVDELRRVEAVYESRNHGDVGRDMPSPDVGEDIEAQANIYFQQMFAGQISVDAMIQMLLRFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKQSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PVH32392 pep chromosome:PHallii_v3.1:9:55933566:55952249:1 gene:PAHAL_9G388000 transcript:PVH32392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRALIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDELLLNVGRAKNPQPRHDLVAIIFRYCLDKPYFSTSFCEAVSTIPVNDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTDSLSKHMDSFTNIISLLNPKERPFYVPVSLQEANSNQANSSRHTELCIGSLDDDFDSLLCEIGKQISLPNIITELGYGCASDITHCKEILSHFEPLDDMAISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTVDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVVSPNDTFTFAHCTKKMAFPDLGTLNQGNQAWYCLDLLEVLCQLAELGYAKPVRSMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPAMLKNNTHSRLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQSATFLEECVKFLKEIMISTNYGAVEGSIQHPQATISSICQDSCPVFIKVLRSHSGQLLSNELVDELRRVEAVYESRNHGDVGRDMPSPDVGEDIEAQANIYFQQMFAGQISVDAMIQMLLRFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQYAAPIRLPSNSMVEDDKVALIMPEQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PVH32394 pep chromosome:PHallii_v3.1:9:55933566:55952249:1 gene:PAHAL_9G388000 transcript:PVH32394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRALIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDELLLNVGRAKNPQPRHDLVAIIFRYCLDKPYFSTSFCEAVSTIPVNDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTDSLSKHMDSFTNIISLLNPKERPFYVPVSLQEANSNQANSSRHTELCIGSLDDDFDSLLCEIGKQISLPNIITELGYGCASDITHCKEILSHFEPLDDMAISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTVDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVVSPNDTFTFAHCTKKMAFPDLGTLNQGNQAWYCLDLLEVLCQLAELGYAKPVRSMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPAMLKNNTHSRLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQSATFLEECVKFLKEIMISTNYGAVEGSIQHPQATISSICQDSCPVFIKVLRSHSGQLLSNELVDELRRVEAVYESRNHGDVGRDMPSPDVGEDIEAQANIYFQQMFAGQISVDAMIQMLLRFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPVDQRGSGSQSIENIEASEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKPMMPIGQPPLASTSSELGANPKATVSLSSQASPHHSSSASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEVKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMSHVELQPEISSTSRATSLPNMLNQVTSHSLTQAAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRAAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQISQILVNDNLDLGCALTETVATRKAVEMIDGEIKQPFSQLRRQKELQGSAYYDVSPYTQGLTRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNASATTPATTVPVAPPDSSIASVHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKMDSATTQLSSVSAQVSTTDSSGQVSGITNVASVFPPMASGDLLVGELATTTKDIGAAIQASPAVAINRLGSAFPELLNTGDALDRYQLVWQKLETLIANNGKDAEIQSVIAEVTDILLRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNLDIIIGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDFSSVSELFNVVDALSKLATRPGSPDSLQNLIEIARSTFNNNANYAASKDEKVIQSRDKKVLSGRPLMNNEEDNADGIAFASAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDVTDRFFFSLTELAIAHSIVSEQTIAPTGLSQQSSPQQQISYFSIDSYSKLVASVVKSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLNELTTSDLHHDGANFQVLTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLADGGH >PAN47057 pep chromosome:PHallii_v3.1:9:15213067:15216603:1 gene:PAHAL_9G230800 transcript:PAN47057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGTEQHGDRSCEHYRRGCRVVAPCCGEVFGCRHCHNDAKNSLEVDPRDRHEIPRHEIKKVICTLCSEEQDVQQNCSNCGACMGEYFCEICKFFDDDLSKGQYHCDGCGICRTGGADNFFHCDKCGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHHFSCPVCSRSACDMTDTWRKLDEEVAATPMPEIYLTKMVWILCNDCSATSSVRFHVLGQKCPGCSSYNTRETRAR >PAN50806 pep chromosome:PHallii_v3.1:9:67748511:67754751:1 gene:PAHAL_9G547800 transcript:PAN50806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKRKPGSGATDTSALFQLLQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVENIAVARGKKGLGDGTVGMLSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAERKILESKKDLLLKEISRAHSLEVSILKDRLVKLESEKPHPYGTLQAIQEVYHESGIRGFWKGLVPTLIMVCNPSIQFMIYETLAKCLQSKGSGKQLPKRNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSIYTGTIDAIIKMVRYEGFHGFYKGMGTKVVQSVFAASVLFMVKEELVKLAVLLVARSRTMLLTTSKKR >PVH33012 pep chromosome:PHallii_v3.1:9:67748511:67754751:1 gene:PAHAL_9G547800 transcript:PVH33012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKRKPGSGATDTSALFQLLQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVENIAVARGKKGLGDGTVGMLSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAERKILESKKDLLLKEISRAHSLEVSILKDRLVKLESEKPHPYGTLQAIQEVYHESGIRGFWKGLVPTLIMVCNPSIQFMIYETLAKCLQSKGSGKQLPKRNLTAMEVFLLGAIAKLGATVVTYPLLVVKVASKTRNWQECDVHIHRYNRCDNKDGPLRRISWVL >PAN48958 pep chromosome:PHallii_v3.1:9:58324388:58329478:-1 gene:PAHAL_9G415500 transcript:PAN48958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEIADNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIRERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVKVVDEEDINHVRNLPMKQDSEHGLNGRSHMNGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIVDGTVGGSLLLELFTRDGVGTMIARGMYEGTRMAREEDLSGIRKIIHPLEESGVLVRRTDKELLEALTSFIVVERDGSIIACAALFPYLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKLFLLTTRTADWFVRRGFKECSIESLPAARRKRIDLSRGSKYYMKRLQAAEIGHMAVNGFAMR >PAN48959 pep chromosome:PHallii_v3.1:9:58324388:58331192:-1 gene:PAHAL_9G415500 transcript:PAN48959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLAAAAASASASASARFVAGGEASPARPWAAAARVPCVAGRRRRPAAGVRCGGARAPGGGVLPEEAEAEEGGRFVGWFREAWPYIRGHRGSTFVVVVSGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEIADNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIRERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVKVVDEEDINHVRNLPMKQDSEHGLNGRSHMNGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIVDGTVGGSLLLELFTRDGVGTMIARGMYEGTRMAREEDLSGIRKIIHPLEESGVLVRRTDKELLEALTSFIVVERDGSIIACAALFPYLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKLFLLTTRTADWFVRRGFKECSIESLPAARRKRIDLSRGSKYYMKRLQAAEIGHMAVNGFAMR >PAN44069 pep chromosome:PHallii_v3.1:9:866392:872425:-1 gene:PAHAL_9G014700 transcript:PAN44069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLDPDSSSSPPPAQHRDWFFPPAPPFLPSSRARTPRTPFPSTYRSSKPYSVYSLADRRPPPTPRSRSRSPHPPPEQQQQTPPPPSAPRRRDPRYAGVRRDDARSTAASEKAAPAMAAPVHGRKPAASAITPRWSGMLSAAVILLCLASLLRRNFSLHDQVYHLQEQLAVATAKLQSCIIGSSSDMSDNNFLYQDDNITMPNRSLKNFSLLISLSVLYAPLVILKYIDLVSKIRSSRDSEEVPINKRFAYRVDIFLSLHPYAKPLVLLVATLLLIALGGLALYGVTDDSLTDCLWLSWTFVADSGNHANAVGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPKLDGMRFEDVLISFPDAVPCGIKVASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVRRGYLPKDFVVPKSPERILFCGWRRDIEDMIMVLDAFLAPGSELWMFNDVPEVDRERKLIDGGLDFSRLDNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPCREAMVSNVPGGTFSEGSWIGEMQQASVKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQFAT >PAN44071 pep chromosome:PHallii_v3.1:9:865525:872527:-1 gene:PAHAL_9G014700 transcript:PAN44071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLDPDSSSSPPPAQHRDWFFPPAPPFLPSSRARTPRTPFPSTYRSSKPYSVYSLADRRPPPTPRSRSRSPHPPPEQQQQTPPPPSAPRRRDPRYAGVRRDDARSTAASEKAAPAMAAPVHGRKPAASAITPRWSGMLSAAVILLCLASLLRRNFSLHDQVYHLQEQLAVATAKLQSCIIGSSSDMSDNNFLYQDDNITMPNRSLKNFSLLISLSVLYAPLVILKYIDLVSKIRSSRDSEEVPINKRFAYRVDIFLSLHPYAKPLVLLVATLLLIALGGLALYGVTDDSLTDCLWLSWTFVADSGNHANAVGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPKLDGMRFEDVLISFPDAVPCGIKVASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVRRGYLPKDFVVPKSPERILFCGWRRDIEDMIMVLDAFLAPGSELWMFNDVPEVDRERKLIDGGLDFSRLDNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPCREAMVSNVPGGTFSEGSWIGEMQQASVKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPSDLYLRDEEELNFFEVILRARQRKEIVIGYRLEGAERAIINPTDKVSRRRWSPKDVFVVISEKE >PVH33048 pep chromosome:PHallii_v3.1:9:68252139:68257679:1 gene:PAHAL_9G555100 transcript:PVH33048 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MDAPHQQGEAAAGWVTVEEWSGSSASALSRTAVLTASDSSLTSRRFGSRWGRIGGRMLGAFVPEGFPGSVTPDYVPFQTWDTLQGLSTYIRAMLSTQALLGAIGVGEQSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMTGMGLGMLLAHITRGHALSVWASFLSLTVFHMYANYKAVESLSLTTLNCERASILLQYFKECSEVLAPKQVSRQEHILPFWSNWRKLIRIKLPHERVHLGAKASMLTHTDMLEIAKTRSHYENNNYLLLDKEGSVHIFIHKQATPADVLKSFIHGLVLTNLAQNGKSQHTEARRWMDNNYTTFISKERNSFPFFSLLQLQVKGYSTERLLSHSIVWRAHWLHGQLDEKLK >PVH33045 pep chromosome:PHallii_v3.1:9:68252133:68257679:1 gene:PAHAL_9G555100 transcript:PVH33045 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MDAPHQQGEAAAGWVTVEEWSGSSASALSRTAVLTASDSSLTSRRFGSRWGRIGGRMLGAFVPEGFPGSVTPDYVPFQTWDTLQGLSTYIRAMLSTQALLGAIGVGEQSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMTGMGLGMLLAHITRGHALSVWASFLSLTVFHMYANYKAVESLSLTTLNCERASILLQYFKECSEGWRLQKRDPTMKTTTTFCWIRKAVFTFSSTSRQPQLMF >PVH33046 pep chromosome:PHallii_v3.1:9:68252139:68257679:1 gene:PAHAL_9G555100 transcript:PVH33046 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MLGAFVPEGFPGSVTPDYVPFQTWDTLQGLSTYIRAMLSTQALLGAIGVGEQSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMTGMGLGMLLAHITRGHALSVWASFLSLTVFHMYANYKAVESLSLTTLNCERASILLQYFKECSEVLAPKQVSRQEHILPFWSNWRKLIRIKLPHERVHLGAKASMLTHTDMLEIAKTRSHYENNNYLLLDKEGSVHIFIHKQATPADVLKSFIHGLVLTNLAQNGKSQHTEARRWMDNNYTTFISKLQVKGYSTERLLSHSIVWRAHWLHGQLDEKLK >PAN50895 pep chromosome:PHallii_v3.1:9:68252132:68257679:1 gene:PAHAL_9G555100 transcript:PAN50895 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MDAPHQQGEAAAGWVTVEEWSGSSASALSRTAVLTASDSSLTSRRFGSRWGRIGGRMLGAFVPEGFPGSVTPDYVPFQTWDTLQGLSTYIRAMLSTQALLGAIGVGEQSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMTGMGLGMLLAHITRGHALSVWASFLSLTVFHMYANYKAVESLSLTTLNCERASILLQYFKECSEVLAPKQVSRQEHILPFWSNWRKLIRIKLPHERVHLGAKASMLTHTDMLEIAKTRSHYENNNYLLLDKEGSVHIFIHKQATPADVLKSFIHGLVLTNLAQNGKSQHTEARRWMDNNYTTFISKLQVKGYSTERLLSHSIVWRAHWLHGQLDEKLK >PVH33044 pep chromosome:PHallii_v3.1:9:68252139:68257679:1 gene:PAHAL_9G555100 transcript:PVH33044 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MDAPHQQGEAAAGWVTVEEWSGSSASALSRTAVLTASDSSLTSRRFGSRWGRIGGRMLGAFVPEGFPGSVTPDYVPFQTWDTLQGLSTYIRAMLSTQALLGAIGVGEQSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMTGMGLGMLLAHITRGHALSVWASFLSLTVFHMYANYKAVESLSLTTLNCERASILLQYFKECSEGWRLQKRDPTMKTTTTFCWIRKAVFTFSSTSRQPQLMF >PVH33047 pep chromosome:PHallii_v3.1:9:68252139:68257679:1 gene:PAHAL_9G555100 transcript:PVH33047 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MLGAFVPEGFPGSVTPDYVPFQTWDTLQGLSTYIRAMLSTQALLGAIGVGEQSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMTGMGLGMLLAHITRGHALSVWASFLSLTVFHMYANYKAVESLSLTTLNCERASILLQYFKECSEVLAPKQVSRQEHILPFWSNWRKLIRIKLPHERVHLGAKASMLTHTDMLEIAKTRSHYENNNYLLLDKEGSVHIFIHKQATPADVLKSFIHGLVLTNLAQNGKSQHTEARRWMDNNYTTFISKERNSFPFFSLLQLQVKGYSTERLLSHSIVWRAHWLHGQLDEKLK >PVH31422 pep chromosome:PHallii_v3.1:9:8735626:8736655:1 gene:PAHAL_9G142300 transcript:PVH31422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALKLEIWSGKTDTRQFEYPIFRVRVPVFPKYPRNTMDPGSDRAQELRQENDFGRLKPEDEHRVDLGVPRRVRGSRSRRGRASCGGRAAAAAGVDPLAAAELLARPPNVEQAHPWIRPAAPRRWPLAWRQLAGGEIRDAPRQREQTRDSFAF >PAN51204 pep chromosome:PHallii_v3.1:9:69683947:69685361:-1 gene:PAHAL_9G577900 transcript:PAN51204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWPLLGAAVPALVAGQFIRIKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLM >PAN51203 pep chromosome:PHallii_v3.1:9:69683310:69685406:-1 gene:PAHAL_9G577900 transcript:PAN51203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWPLLGAAVPALVAGQFIRIKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLM >PVH32134 pep chromosome:PHallii_v3.1:9:41847373:41849069:1 gene:PAHAL_9G326900 transcript:PVH32134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAATLLRFIGYPACKPNAPAGRNRYCNPHTTAGNGVIAINRSSWRCRNRATASREVTAAAGRRRDKEDEWPSYLTPDRLDLLREMEPWVEEHVLTLLKPVEASWQPSDMLPDPAALGSDGFHAACLELRAGAAEVPDELLVCLVANMVTEEALPTYPSGLNRFEVVRDTTGADPTPWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVERTVQRLIRDGMSFHAPVRSPYHGFVYLTFQERATAIAHGNTARLVGARGAGDAALARICGTVAADEKRHEAAYTRIMGKLFEVEPDAAVRAMAYMMRHRIDMPTGFINDGRHSGRDFYDRFIAIAQLAGTYTVSDYRSILEHLIGQWGVKELASGLSGEGRRARDYLCALPNKMQRMEEKVTERAVKAKNKPTPIPINWVFDRTISVVLP >PAN46217 pep chromosome:PHallii_v3.1:9:10720070:10722571:1 gene:PAHAL_9G170900 transcript:PAN46217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVTVPGASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAHTAVSHGLWLNIPDYDAPTQLVKPKERNERYVDAVMTIPKGTLFPMCGMNLAFDRNLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVTIPKECDTVQKCYIYLSGQVKEKLGKIDPYFVKLADAMVTWIEAWDELNPTAAAAENGKAK >PAN48502 pep chromosome:PHallii_v3.1:9:55740182:55740865:1 gene:PAHAL_9G385900 transcript:PAN48502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLLRSCAVARSGLVQASEAYAIVVSEKNGRGSAAALARPPPQARRDAEASRRWPTPTSCISTATGVAAARASTDLA >PVH32480 pep chromosome:PHallii_v3.1:9:57625436:57626296:1 gene:PAHAL_9G408000 transcript:PVH32480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYSCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPIIGWGTLFRNTQAPPENPESSAAADEGDAAIQPLTDGNPVDGEREPLALSAPEEDTPRK >PVH32560 pep chromosome:PHallii_v3.1:9:59471444:59472506:1 gene:PAHAL_9G428900 transcript:PVH32560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPRRHPPGFSRERGPGGGAGNVKDGADSWSPAAALAVLSLFGGVAGPPATAASEGVGLGLTLTRFIPILGRSWKEQRKPSRRIRIRRARWPGRAACRISSSFLLALPPGGRFCSVSSIPIGAPEARPRACAASQSTMLDLSEQFIVCVDHVADDRSSGRACSPFLDQRLAYPVNQYPVPGQ >PAN44525 pep chromosome:PHallii_v3.1:9:2805966:2810387:-1 gene:PAHAL_9G050200 transcript:PAN44525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVLACEATGSAAAPGAGGDGGVGDVVRLKRSALAACLTCPLCDRLLRDAATITECLHTFCRKCISEEFINKEVCCCPICSIDLGCAPLEKLRIDHSLQYVRSKIFPKKQKFEGPEVTSSVTSPIKRKERSLSSLTIPAPQVSMQKCLTKRRTKASCLRNLSLHTKLRGSNITKKVGGWRPLGSQSKAAKNKRSLRSKSEDVKMTENKSDDPVDETPTSQSKTKRQFTRRGNLEKRTGSKKLLILKGKQKKIKPKLPNKKRKLRALWFYLVAAFDQKGQPPLPQVASKFLRIKDVDLPASFLQKYLVQKLNLSSESEVDILCGGKPVSPGMTLHDLADCWLNKGQKGRVRSSLGTPAAGFIAKVFYGRSGSSAPETENNQG >PAN46562 pep chromosome:PHallii_v3.1:9:12385290:12392473:1 gene:PAHAL_9G193900 transcript:PAN46562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MASTPEPEDGRELYALLHLSPDASDEEIRRAYRQYAQIYHPDKYQDPQMKEVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLTKPEEIREQLERLRRRKEEEKLLVHARPNGSIIANFSVPQYLDGDGIMKGMGMSSEVELPVSKQNTVIVGGNLVVNGSAGTGAASTVLRHQLSSVSHIDFMATAGLRSVIGMQTFRQISPHSTATSGLAVSLRDGSINLSNAWTRQLSENAVGNIQLVLGDESSISVGWQKKDEKRTATGEIKFGTNFFGASAYYIHRFSSKSHARVAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDSNALFVTSAFAIPSTLYFLLQTYVVKPYYLKREKQKTLEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENNGLVITKALYGNRRKIKESSELNEINDDVASQVFDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >PVH31621 pep chromosome:PHallii_v3.1:9:12386396:12392473:1 gene:PAHAL_9G193900 transcript:PVH31621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MAQLELEQQVLCCGTSCLLFPISILWQQLDYALLLACRHFATSGLAVSLRDGSINLSNAWTRQLSENAVGNIQLVLGDESSISVGWQKKDEKRTATGEIKFGTNFFGASAYYIHRFSSKSHARVAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDSNALFVTSAFAIPSTLYFLLQTYVVKPYYLKREKQKTLEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENNGLVITKALYGNRRKIKESSELNEINDDVASQVFDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >PVH31622 pep chromosome:PHallii_v3.1:9:12385329:12392473:1 gene:PAHAL_9G193900 transcript:PVH31622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MAQLELEQQVLCCGTSCLLFPISILWQQLDYALLLACRHFATSGLAVSLRDGSINLSNAWTRQLSENAVGNIQLVLGDESSISVGWQKKDEKRTATGEIKFGTNFFGASAYYIHRFSSKSHARVAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDSNALFVTSAFAIPSTLYFLLQTYVVKPYYLKREKQKTLEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENNGLVITKALYGNRRKIKESSELNEINDDVASQVFDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >PAN46563 pep chromosome:PHallii_v3.1:9:12386396:12392473:1 gene:PAHAL_9G193900 transcript:PAN46563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MKEVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLTKPEEIREQLERLRRRKEEEKLLVHARPNGSIIANFSVPQYLDGDGIMKGMGMSSEVELPVSKQNTVIVGGNLVVNGSAGTGAASTVLRHQLSSVSHIDFMATAGLRSVIGMQTFRQISPHSTATSGLAVSLRDGSINLSNAWTRQLSENAVGNIQLVLGDESSISVGWQKKDEKRTATGEIKFGTNFFGASAYYIHRFSSKSHARVAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDSNALFVTSAFAIPSTLYFLLQTYVVKPYYLKREKQKTLEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENNGLVITKALYGNRRKIKESSELNEINDDVASQVFDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >PAN45808 pep chromosome:PHallii_v3.1:9:8839713:8844403:1 gene:PAHAL_9G144000 transcript:PAN45808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQEHGGGHGGDQRCAASSNARTGAAAQALSGWRGRGFEGPARGGRWGEAWDSARTDGHAAPRLRARAVREGEGSGGASRVGEMEYWGQPSSGNFQELGAHNHDPGGAAMTRGPGARPHVSAGRPLASAPEARAESAALAAGRQRSRCPRQACMADGSRTVGRLPRGAGARAALLATEFSGRFGARHDCAALLESHREQ >PAN47459 pep chromosome:PHallii_v3.1:9:31190561:31191399:-1 gene:PAHAL_9G293100 transcript:PAN47459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGSVQRQGRGSARFAAACGVLSQYVKAAATAAEARPVAVLPLMPGADVPVAHEAEAAGPAPQQLTISYGGGVVVLEDVPADRAAELLRLAAAQGAAPRALAAGDLPVARKASLQRFMEKRRGRAAARGTPYSRPDAASPHSDHLALAL >PVH32657 pep chromosome:PHallii_v3.1:9:61292790:61294631:1 gene:PAHAL_9G452600 transcript:PVH32657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTVDDPSAAGAMVSSSFPDADAYGNGDSDDLDFPVDPHPTPVFSSPAAAPASASAAPPAAGAGERRPLFQRLWTDEDEIVILRAFAEFTAQRGTSFASHQYDTTPFYEDMRRRLNTGFTKNQLVEKIRRLKRKYRNCVERLRVAGAGFTFRSPHEQAIFEIARTIWRPDSDKHGRDSDDEGAANAHDGPLALDANGESTKSPTSRPRRGGRRRRTGDFPADAAAETLALPPAPMPVMAEDALPSFPAAGMPAMDAGVGMGGISMDPAAGLPAAMSAAAAASAVTGGGTAENPILAALFKEMVRAMLSIGGGVTAAPLGLEPPPAIAGMPMEGEKWRQQRIRELEVYLRRIDLLQDQARAALEELRSAPQARGGMNT >PAN43939 pep chromosome:PHallii_v3.1:9:386463:388542:1 gene:PAHAL_9G004600 transcript:PAN43939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAAAAGRSAVLAYSPALRGMDAVSFRVSASFPRQRASFPSIRVQRIPKRFQVSCSAKQETIEKVCEIVKGQLALPEDSTVTGETKFVDLGADSLDTVEIVMGLEEAFQISVDESSAQEIQTVGDAAALIDKLITEKDA >PAN43940 pep chromosome:PHallii_v3.1:9:386463:388542:1 gene:PAHAL_9G004600 transcript:PAN43940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAAAAGRSAVLAYSPALRGMDAVSFRVSASFPRQRASFPSIRVQRIPKRFQVSCSVEIVMGLEEAFQISVDESSAQEIQTVGDAAALIDKLITEKDA >PAN49602 pep chromosome:PHallii_v3.1:9:61693711:61695545:1 gene:PAHAL_9G459200 transcript:PAN49602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARTIGSLPVANVQELAEACNNGVDGKVPERYLSKDPSAEEVVAGDDSARAIPVIDLRRLLDARSSEEECAKLASACHDWGFFQLINHGVPDEVIRNLMSDVAGFFEQPLEAKKECSQQADSLEGYGQAFVVSDDQKLDWADMLYLQVHPSESRDMRFWPTRPDSFRHSVDVYSSEARQLAYRLLEFMAKGVGADPASLRGVFEGQAQGMRVNYYPPCRPAADRVVGLPPHTDPNGLTLLLQMSHGDVQGLQVKKDGRWFAVRALDGAFVVNVADALEIVSNGLFRSVEHRAVIHPTRERISVALFHYPYQDRMLCPLPELVKKGGGARYGPTSYRDFLTQYFTAQLDGRKHLERLKLDC >PVH31576 pep chromosome:PHallii_v3.1:9:11455139:11459045:-1 gene:PAHAL_9G181200 transcript:PVH31576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYIYMYARTRPGISGEQGSQIRAPFGCSCERKRQATMAGTASPAAGYGSDGVYRSPRPAAHDASDPALSLSDLVLHRAAACPSALALVDAATGRALTFEALRSAVLATAAALSSRARVRRGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTLREIAKQVADARAKLVVTVSNLLPKIADLRLPAILLDSDGASAPSNYASNVILYSDLVAGVQEMEYRRPPTRQSDKAALFYSSGTTGVSKGVVLSHGNFIAAVTAATSDQDELGEERNVFLCFLPMFHIYGMSIVTLGQLQRGNTVVVMARFDLDAVLAAVERHRVTYLFCAPPVMIALARHGRGGRYDLSSLRCISSGGAPLGKDVMEAVANKFSGAEIIQGYGMTETCGMISLEYPQKGRAREFGSTGALVSGVEAKIVDAKTMKHLPPNQLGEICIRGPSVMRAVH >PVH31577 pep chromosome:PHallii_v3.1:9:11455490:11459045:-1 gene:PAHAL_9G181200 transcript:PVH31577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYIYMYARTRPGISGEQGSQIRAPFGCSCERKRQATMAGTASPAAGYGSDGVYRSPRPAAHDASDPALSLSDLVLHRAAACPSALALVDAATGRALTFEALRSAVLATAAALSSRARVRRGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTLREIAKQVADARAKLVVTVSNLLPKIADLRLPAILLDSDGASAPSNYASNVILYSDLVAGVQEMEYRRPPTRQSDKAALFYSSGTTGVSKGVVLSHGNFIAAVTAATSDQDELGEERNVFLCFLPMFHIYGMSIVTLGQLQRGNTVVVMARFDLDAVLAAVERHRVTYLFCAPPVMIALARHGRGGRYDLSSLRCISSGGAPLGKDVMEAVANKFSGAEIIQGYGMTETCGMISLEYPQKGRAREFGSTGALVSGVEAKIVDAKTMKHLPPNQLGEICIRGPSVMRGYFNNVQATELIIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIP >PVH31575 pep chromosome:PHallii_v3.1:9:11454573:11459045:-1 gene:PAHAL_9G181200 transcript:PVH31575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYIYMYARTRPGISGEQGSQIRAPFGCSCERKRQATMAGTASPAAGYGSDGVYRSPRPAAHDASDPALSLSDLVLHRAAACPSALALVDAATGRALTFEALRSAVLATAAALSSRARVRRGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTLREIAKQVADARAKLVVTVSNLLPKIADLRLPAILLDSDGASAPSNYASNVILYSDLVAGVQEMEYRRPPTRQSDKAALFYSSGTTGVSKGVVLSHGNFIAAVTAATSDQDELGEERNVFLCFLPMFHIYGMSIVTLGQLQRGNTVVVMARFDLDAVLAAVERHRVTYLFCAPPVMIALARHGRGGRYDLSSLRCISSGGAPLGKDVMEAVANKFSGAEIIQGYGMTETCGMISLEYPQKGRAREFGSTGALVSGVEAKIVDAKTMKHLPPNQLGEICIRGPSVMRGYFNNVQATELIIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPYPDPEAGEVPIAYVVRSPNSSLSEVDVQKFIESRSRTTRD >PAN43916 pep chromosome:PHallii_v3.1:9:280821:285347:1 gene:PAHAL_9G002800 transcript:PAN43916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPPPLGRRAMEAVSSDQYRSSSSSASSPARRYYLPKPGALRRPISFEDSPDWDDIHLDDNIHLATAASASASINSSAYPSPSPSLPPGPSASASGAAACRERKVAGATLVWKDLTVSSLSTSTNRFSDRLVKSSNGYALPATLTVIMGPARSGKSTLLRAIAGRFSAAERMYGEVSVNGAKSRLPYGSYGYVDRDDVLIDSLTVREMLYFSALLQLPGFLSSKKSIVEDAIAAMSLGDHADKLIGGHFFTKKLPNGERRRVSIARELVMRPHVLFIDEPLYNLDSVSALLLMVTLKKLASTGCTIIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKQSADSVAVESMIAKLTEKEGPYLKSKGRASDAIRIVVLTWRSLLIMSRDWKYYWSRLALYMFIALSIGTIFSDIGHSLSSVMVRVSAIFAFVSFVILLSFSGVPAHIDEVKIYCHEETNRHSGAMVFLLGHFLSSIPFLFLVSISSSLVFYYLIGLRNEFSFLMYFVLTIFMCLLANEALMMIVAYIWLELYKCTLTLACLHVIMMLVAGYFRKRETLPYAVWTYPLSFISFHTYAVQGLVENEYVGTSFAVGEIRSIPGVQAVRGSYDISSSANAKWVNLLVLLLMAIGYRIVLYMLLRLNVRKQVGMGRAAWWSRIQRGASAK >PVH33198 pep chromosome:PHallii_v3.1:9:70518656:70519959:1 gene:PAHAL_9G593000 transcript:PVH33198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSELSVLSLAPFLLLAALAEVAGGELSTFIVHVQPPEGQVLASADDRDAWYRSFLPEDGRLVHEYRHVASGFAARLTREELHALSAAPGFVAAVPDETYELYTTHTPLFLGLDAHQAQIGNRGSERGAGVIVCMLDTGVFPTHPSFGDDGMPPPPPRWKGRCDFTGGAVCNNKLIGARSFMSNDLRVLPIDDAGHGTHTASTAAGAVVPGAQVLGQAAGVAAGMAPCAHVAMYKVCNETNCLSSDILAGVDAAVGDGCDFISMSIGGPSKPFYRDTIAMGTFGAVEKGIFVTTSAGNYGPNISSVTNEAPWMLTVAASTMDRSIRSTVRLGNGVLLDGESVYQPQVSTSTFYPLVYAGASGKPYAELCGNGSLDGLDVGGKIVLCEGAGRDTTSRGS >PVH32881 pep chromosome:PHallii_v3.1:9:65502224:65507442:-1 gene:PAHAL_9G514000 transcript:PVH32881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTGGTGWLARMAERSYTWRGRSLSLSRLLQIPFPTLRHHARSPATVLRAGRGPGLSPSCAAMDEEAKAGPKSDTNANAAAAVEAAAAAAPLPPSSSEAAEREAKAEGAEEEGEVVERLVELVGEIASISDFRNSYRRQFCNLSRRIRLLVPMLEEAKEAPTPLPAASEAALRRLRDALHGAGELLRLGSSGSKIFLVLEREKIMKSFQDITARLEQALAGISFDELNISDEVREQVELVHAQFKRAKERSDSSDDDLFNDLMSVYNSSSSANVDPEILRRLSEKLQLVTIHDLNQESLTLHEMASGGDPGAIVEKMSMLLKRIKDFVQTQDPEMGAQASTTDISPKDNSTCPVIPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHHTCPKTQQKLPNKSLTPNYVLRSLITQWCEANGIEPPKRSAQHSNAPVSCTAAEHNNVVELLQKLSSQNLEDQRSAAGMLRQLAKRSAENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSIVDENKVTIGASGAIPALVQLLSNGSQRGKKDAATALFNLCIYQGNKGKAVRAGLVPILLELLTETESGMVDEALAILAILSGHPEGKAAIGAASAIPVLVGVIRNGSARSKENAAAVMVHLCNGEQQQQHLAEAQEQGIVALLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQAQAEAMAQAQAQALVQAQGQADMRSLLPTSSHIPDR >PVH31390 pep chromosome:PHallii_v3.1:9:8012207:8012383:-1 gene:PAHAL_9G132700 transcript:PVH31390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSIDNALMPMDSHDCLSDSESCRVGVPAAHAIWHLCLVDPFGVWKGARLGLVWSC >PAN52048 pep chromosome:PHallii_v3.1:9:73578666:73581341:1 gene:PAHAL_9G638700 transcript:PAN52048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion transporter, Silicon efflux transporter, Arsenic species (As) uptak [Source: Projected from Oryza sativa (Os03g0107300)] MALASVSKVVLGSIAFGVFWVLAVFPSVPFMPIGRTAGALLSAVLMIIFHVISPDDAYASVDLPILGLLFATMVVGTYLKNAGMFKHLGTLLAWKSQGGRDLLCRVCIVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKIPFPKFLLGILPAMLAGMAVNMVMLLCMYWKDLAGTSGPDDKQMEAVEEGLAAASKTPSPSPGQLQLMKSPKLRTNGGGYCSPLMTEDISTKHPWFMQCTEVRRKLFLKSFAYIVTVGMVIAYMVGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFITVSGFNKTGLPGAIWNFMAPYSKVNSVGGISVLSVIILLLSNLASNVPTVLLMGGEVAAAAALISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWNHIVFGVPSTLIVTAIGIPLIGKINV >PAN47048 pep chromosome:PHallii_v3.1:9:15135213:15138384:1 gene:PAHAL_9G230100 transcript:PAN47048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGSGRRPPNTSLQFYFPTRRRLVPGTAQPNKPPLPGLRALAIFPPRTSTKAAAAAASPFHAPPPLLPRSTQRRWASARRSSPDRRRHCLSSSDRRAAGAQHCLPFSSGGKMSLISQNTQKRRLEKSGADDGNDEGIGSPVARDGEVGKGTKLKNHNKEKKKKRTKVSEAQQNKEEEEIRQLESSLFGALYTPLEFGTEVAGAVAAPDKDAPLFFTDRSAADGVDDLPIYEEDLAHDEEEDEVVIKGRKPVWIDEEEERTEVDIAKVARLRKLRKEADEHLISGKEYEARLRGQHAKLNPFTGWANMDRKTSIPGASDGESDDEGGVDDILQNNDELVVKDTVKLLPGMLEFSRLVDANIQDPSSGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIGDCPVLKASFLPDGSEVILAGRRKFFYSFDLVKAAVSKIGPLTGREEKSLESFEISPDSRTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAMDDGSLAGISLCTSRDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDVGEMKFNHDAQLLAITSRKERNGMRLVHVPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >PAN47824 pep chromosome:PHallii_v3.1:9:47263775:47269044:1 gene:PAHAL_9G342200 transcript:PAN47824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEATMICVDNSEWMRNEDYRPSRFAAQSDAVAMVCSAKMQANLESSVGVLAMAGRRGVSVLVTPTNDFGEVLARMQDLEIVGEVNLTAAIQVAQLALKNRQNKQQQQRIIVFVGSPVIDAKNVLEAIGEKLKKNNVALDVVDFGESDDEKPEKLEALVAAVSSGGNSHIIHVLPGEDFLSNVILSSPILAEDQKSVSAAAARRASGFEFCVDPNVDPELALVLQVSMEEERARQQAAAEESSETESTCQSSTSNDETVMADTEPELNLYTEDQRNLQKDEDQLWQQAHAMSIEDGNPGTLRAADAELLKAVADEVEFVLQMSVQEQGTGAQSDMNEVFWDQPFSQSMTYALPGAGADLDDLLLYNKDLQEWHELLIGPHEARKQRRKQRKKQQKQQQRKHEEKEDAPEGDKSIVSGPLAGSIVRFH >PAN51220 pep chromosome:PHallii_v3.1:9:69772477:69775351:-1 gene:PAHAL_9G579300 transcript:PAN51220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSLNRPIKADPSAGGLAKGNRILDTMSAGWTDERHMLYISSMEASFVHQLYNHGNHPHNANGNDFKVLRRGVWEYIKYERTNPRVQSGTKCCLPANPWIQHFRPRDCGSNAQSDGLEASVGDHESGTQTNRKRLSVSYGREWVACKGDNKLLGESTEVSDQNFADDKAEVEAESSKACKKRRLSSTSHYCDE >PAN50212 pep chromosome:PHallii_v3.1:9:64977623:64980479:1 gene:PAHAL_9G505400 transcript:PAN50212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMAPQPHPLPLAQPTLAAAAAFGDTTLTKVFVGGLAWETHKDTLREHFERYGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRPQPPHLLRPSPPATPAPHAHAPALPSPHQPAPAIALGSRGVSPVPWYYHPSTTPPPAAAAHYAHGAHQQYHGVLPFYPAATTYGYSPNYVADLSYNAKLGHAAAAGTPGSYLQGHFTYPAAAQGGMVAPNGMMPVYPFYHYQYHGSQGLGVPAAHFFPPASAAAAVPAIISKPTVMAPPPKVEQVTGCS >PVH31674 pep chromosome:PHallii_v3.1:9:13361068:13361340:-1 gene:PAHAL_9G207700 transcript:PVH31674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAACPAPARLLLLPLPLPSPSPSRSLPLPASNPSPPPAAASAPSPPPAAPRPSARAASPLRPPLPPRPPQTRSTASAPARTSRTLLPS >PAN48766 pep chromosome:PHallii_v3.1:9:57273413:57275729:1 gene:PAHAL_9G403600 transcript:PAN48766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGEKLHHKEANVAHGSAAVYGGAGKGAAKSSSFLYGFFLYVVLPVLVLYFVVIAASQFYNPRCSPEGNVVMASHFMVAKPNVSLNASSSVPPPPAPAAKARVTAEEAPTGLRHIAFGIGASASLWQSRKEYIKLWWRPGRMRGFVWMDRPVQEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISHALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPSTPSRAGALRRLFDGPVRLDSAAVAQQSVCYDRAHQWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPHVYYMRGSRMERRRNVTVTEYERHRVKHPACRWRIADPGALLDHIVVHKKPDPDLWKRSPRRNCCRVVSSPKKGKDRSMTIDVGVCRDGEFAKV >PAN48765 pep chromosome:PHallii_v3.1:9:57273413:57275730:1 gene:PAHAL_9G403600 transcript:PAN48765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGEKLHHKEANVAHGSAAVYGGAGKGAAKSSSFLYGFFLYVVLPVLVLYFVVIAASQFYNPRCSPEGNVVMASHFMVAKPNVSLNASSSVPPPPAPAAKARVTAEEAPTGLRHIAFGIGASASLWQSRKEYIKLWWRPGRMRGFVWMDRPVQEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISHALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPSTPSRAGALRRLFDGPVRLDSAAVAQQSVCYDRAHQWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPHVYYMRGSRMERRRNVTVTEYERHRVKHPACRWRIADPGALLDHIVVHKKPDPDLWKRSPRRNCCRVVSSPKKGKDRSMTIDVGVCRDGEFAKV >PAN51030 pep chromosome:PHallii_v3.1:9:68837221:68840278:1 gene:PAHAL_9G564800 transcript:PAN51030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTEVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >PVH32792 pep chromosome:PHallii_v3.1:9:63676738:63677210:1 gene:PAHAL_9G488100 transcript:PVH32792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFKHTSLFRHFGRSSAGPRRAPHVAAEESRDKRPLHVRLVAPSRSTFLPKITGQTTSCSTAAMPKLPASSGLAPNRNAQEPWSQASLYRSPEAANTAVGRVFVSGNGK >PVH32863 pep chromosome:PHallii_v3.1:9:65155094:65160287:1 gene:PAHAL_9G508900 transcript:PVH32863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIFLQLSSMARDMCTKVRIEAFGTLGKMQQVSEDVLLQSLSKKVIKTDTMSGCIINGQKLPPKLKIPCAAGIFAHGIEDEFYQVRTAACTSLGALAKFSSQYAEKALDLLMDMMNDDTEAVRLQTLQALFHMATYGYLSMQEKHMHMFLGLLVDANASIRNAAHKILGLVNLPKLQMFKSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEITMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSIIFSHAISLLEKVSSALGEEVNQDSILSYLCHKGGMPFWGNRPISTEFGESESCKVETVEVGVKIENTAKAAKYLDRVLQSMESILQTVEGAWTMKMSSDIFEAKTILRTCKEELKVLTENSSGSIGAFSSFLCDYLHAIHLIVEIWQLIKLDNSYTFGLTSLAILLEKLNISIRRLEYCYAGLNGELEVQVLELSLLANLFGLSKIGACSKVVLGELLWIISRLEGLCADGSCELSDFSREIKKAFDANPIGDTLIGNIHTLFELFRVKPTRDFGMLKEISAVLQVCDNSSENPLSYICGLPVMRS >PAN50267 pep chromosome:PHallii_v3.1:9:65155094:65160287:1 gene:PAHAL_9G508900 transcript:PAN50267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPHLLSSAHSAAASSSSARKHPGPIDERFSDPSPSITKRPRVAAEPPAPRDSAPYPEAEVRSLFMMAGGIYPLARAEALRGLAAVLEKVDAGCGVGARIADCCYECAVELMRDDDEGVRLAVVRLVGLCAQKFAARTDVDAKGHGDLMDLIFLQLSSMARDMCTKVRIEAFGTLGKMQQVSEDVLLQSLSKKVIKTDTMSGCIINGQKLPPKLKIPCAAGIFAHGIEDEFYQVRTAACTSLGALAKFSSQYAEKALDLLMDMMNDDTEAVRLQTLQALFHMATYGYLSMQEKHMHMFLGLLVDANASIRNAAHKILGLVNLPKLQMFKSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEITMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSIIFSHAISLLEKVSSALGEEVNQDSILSYLCHKGGMPFWGNRPISTEFGESESCKVETVEVGVKIENTAKAAKYLDRVLQSMESILQTVEGAWTMKMSSDIFEAKTILRTCKEELKVLTENSSGSIGAFSSFLCDYLHAIHLIVEIWQLIKLDNSYTFGLTSLAILLEKLNISIRRLEYCYAGLNGELEVQVLELSLLANLFGLSKIGACSKVVLGELLWIISRLEGLCADGSCELSDFSREIKKAFDANPIGDTLIGNIHTLFELFRVKPTRDFGMLKEISAVLQVCDNSSENPLSYICGLPVGNEELKNGSMVVPLDATPMAHSFVLRVCLLMECSYGISINQGGHGGPTDCFVQLSDELDVYFVSTGQR >PVH32862 pep chromosome:PHallii_v3.1:9:65155094:65160288:1 gene:PAHAL_9G508900 transcript:PVH32862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIFLQLSSMARDMCTKVRIEAFGTLGKMQQVSEDVLLQSLSKKVIKTDTMSGCIINGQKLPPKLKIPCAAGIFAHGIEDEFYQVRTAACTSLGALAKFSSQYAEKALDLLMDMMNDDTEAVRLQTLQALFHMATYGYLSMQEKHMHMFLGLLVDANASIRNAAHKILGLVNLPKLQMFKSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEITMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSIIFSHAISLLEKVSSALGEEVNQDSILSYLCHKGGMPFWGNRPISTEFGESESCKVETVEVGVKIENTAKAAKYLDRVLQSMESILQTVEGAWTMKMSSDIFEAKTILRTCKEELKVLTENSSGSIGAFSSFLCDYLHAIHLIVEIWQLIKLDNSYTFGLTSLAILLEKLNISIRRLEYCYAGLNGELEVQVLELSLLANLFGLSKIGACSKVVLGELLWIISRLEGLCADGSCELSDFSREIKKAFDANPIGDTLIGNIHTLFELFRVKPTRDFGMLKEISAVLQVCDNSSENPLSYICGLPVGISFNISLWNISSHHRLWFRMSVGESVQHAFLEFSSFEGNEELKNGSMVVPLDATPMAHSFVLRVCLLMECSYGISINQGGHGGPTDCFVQLSDELDVYFVSTGQR >PVH32861 pep chromosome:PHallii_v3.1:9:65155094:65160287:1 gene:PAHAL_9G508900 transcript:PVH32861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPHLLSSAHSAAASSSSARKHPGPIDERFSDPSPSITKRPRVAAEPPAPRDSAPYPEAEVRSLFMMAGGIYPLARAEALRGLAAVLEKVDAGCGVGARIADCCYECAVELMRDDDEGVRLAVVRLVGLCAQKFAARTDVDAKGHGDLMDLIFLQLSSMARDMCTKVRIEAFGTLGKMQQVSEDVLLQSLSKKVIKTDTMSGCIINGQKLPPKLKIPCAAGIFAHGIEDEFYQVRTAACTSLGALAKFSSQYAEKALDLLMDMMNDDTEAVRLQTLQALFHMATYGYLSMQEKHMHMFLGLLVDANASIRNAAHKILGLVNLPKLQMFKSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEITMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSIIFSHAISLLEKVSSALGEEVNQDSILSYLCHKGGMPFWGNRPISTEFGESESCKVETVEVGVKIENTAKAAKYLDRVLQSMESILQTVEGAWTMKMSSDIFEAKTILRTCKEELKVLTENSSGSIGAFSSFLCDYLHAIHLIVEIWQLIKLDNSYTFGLTSLAILLEKLNISIRRLEYCYAGLNGELEVQVLELSLLANLFGLSKIGACSKVVLGELLWIISRLEGLCADGSCELSDFSREIKKAFDANPIGDTLIGNIHTLFELFRVKPTRDFGMLKEISAVLQVCDNSSENPLSYICGLPVMRS >PVH32864 pep chromosome:PHallii_v3.1:9:65157666:65159639:1 gene:PAHAL_9G508900 transcript:PVH32864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEITMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSIIFSHAISLLEKVSSALGEEVNQDSILSYLCHKGGMPFWGNRPISTEFGESESCKVETVEVGVKIENTAKAAKYLDRVLQSMESILQTVEGAWTMKMSSDIFEAKTILRTCKEELKVLTENSSGSIGAFSSFLCDYLHAIHLIVEIWQLIKLDNSYTFGLTSLAILLEKLNISIRRLEYCYAGLNGELEVQVLELSLLANLFGLSKIGACSKVVLGELLWIISRLEGLCADGSCELSDFSREIKKAFDANPIGDTLIGNIHTLFELFRVKPTRDFGMLKEISAVLQVCDNSSENPLSYICGLPVGISFNISLWNISSHHRLWFRMSVGESVQHAFLEFSSFEGNEELKNGSMVVPLDATPMAHSFVLRVCLLMECSYGISINQGGHGGPTDCFVQLSDELDVYFVSTGQR >PAN50268 pep chromosome:PHallii_v3.1:9:65155094:65160287:1 gene:PAHAL_9G508900 transcript:PAN50268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPHLLSSAHSAAASSSSARKHPGPIDERFSDPSPSITKRPRVAAEPPAPRDSAPYPEAEVRSLFMMAGGIYPLARAEALRGLAAVLEKVDAGCGVGARIADCCYECAVELMRDDDEGVRLAVVRLVGLCAQKFAARTDVDAKGHGDLMDLIFLQLSSMARDMCTKVRIEAFGTLGKMQQVSEDVLLQSLSKKVIKTDTMSGCIINGQKLPPKLKIPCAAGIFAHGIEDEFYQVRTAACTSLGALAKFSSQYAEKALDLLMDMMNDDTEAVRLQTLQALFHMATYGYLSMQEKHMHMFLGLLVDANASIRNAAHKILGLVNLPKLQMFKSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEITMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSIIFSHAISLLEKVSSALGEEVNQDSILSYLCHKGGMPFWGNRPISTEFGESESCKVETVEVGVKIENTAKAAKYLDRVLQSMESILQTVEGAWTMKMSSDIFEAKTILRTCKEELKVLTENSSGSIGAFSSFLCDYLHAIHLIVEIWQLIKLDNSYTFGLTSLAILLEKLNISIRRLEYCYAGLNGELEVQVLELSLLANLFGLSKIGACSKVVLGELLWIISRLEGLCADGSCELSDFSREIKKAFDANPIGDTLIGNIHTLFELFRVKPTRDFGMLKEISAVLQVCDNSSENPLSYICGLPVGISFNISLWNISSHHRLWFRMSVGESVQHAFLEFSSFEGNEELKNGSMVVPLDATPMAHSFVLRVCLLMECSYGISINQGGHGGPTDCFVQLSDELDVYFVSTGQR >PVH32860 pep chromosome:PHallii_v3.1:9:65155094:65160287:1 gene:PAHAL_9G508900 transcript:PVH32860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIFLQLSSMARDMCTKVRIEAFGTLGKMQQVSEDVLLQSLSKKVIKTDTMSGCIINGQKLPPKLKIPCAAGIFAHGIEDEFYQVRTAACTSLGALAKFSSQYAEKALDLLMDMMNDDTEAVRLQTLQALFHMATYGYLSMQEKHMHMFLGLLVDANASIRNAAHKILGLVNLPKLQMFKSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEITMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSIIFSHAISLLEKVSSALGEEVNQDSILSYLCHKGGMPFWGNRPISTEFGESESCKVETVEVGVKIENTAKAAKYLDRVLQSMESILQTVEGAWTMKMSSDIFEAKTILRTCKEELKVLTENSSGSIGAFSSFLCDYLHAIHLIVEIWQLIKLDNSYTFGLTSLAILLEKLNISIRRLEYCYAGLNGELEVQVLELSLLANLFGLSKIGACSKVVLGELLWIISRLEGLCADGSCELSDFSREIKKAFDANPIGDTLIGNIHTLFELFRVKPTRDFGMLKEISAVLQVCDNSSENPLSYICGLPVGNEELKNGSMVVPLDATPMAHSFVLRVCLLMECSYGISINQGGHGGPTDCFVQLSDELDVYFVSTGQR >PVH33189 pep chromosome:PHallii_v3.1:9:70384501:70389967:-1 gene:PAHAL_9G590300 transcript:PVH33189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTALSVGKSVLNGALGYAKSTIAEEVALQLGVQRDQVFIRDELEMMQSFLMATHEEKDEHMVITTWVKQVRDVAYDVEDCLQDFAVRLEKQSWWRIPRTLLDRHRVAKQMKDLRAKVEDVSQRNLRYGIIKSSKVKTAADKFSMAGTATMFGIEEARREQDKAKVDLVQLISRKNEDLRVIAVWGTSDVLRETSIVKRAFDDPKMCNKFQCRAWVKLMHPFDPIEFLQGIIRQFYIYSLRGAGEIRVTMGAEVLQKMRKMKADDLAQEFKGHLNDKSYLIVLTDLSTIEEWNQIVACFPNNREGSRIIVCTEHVEVASLLCFGKEGGVPEHMQLSVDHSLYAFCEKGSEYGINLTEPGSSSNAAATSINNSVDRKNLTRIESMVASFKESQLIGRENEKADIIKLISNEDSQKLEVISVYGMGGLGKTTLAKDVYQSQELSGMFQKRACLTITRPFDPEEILRSLAMQLDAENLGNTWTGQSLAGLFEGNKYLIVLDDLSSTHEWDTIMQHFPTTETASRIIVTTRVEKIAKHCSKNLENLYKLKALGYKGSCDLFTEKVFGKITDLDDQYPELVEEAKLILRKCNGLPLAIVTIGGFLAKQPKSPMEWRKLNEHISAELEMNPEIGMIRTVLLKSYDGLPYHLKSCFLYLSIFPEDHSISRKRLVRRWTAEGYSSEVRGKSAEEIADSYFMELIDRSMILPFKASFGSTKGIDSCQVHDLIREISISKSMEENLVFRLEDCSVINTQGTMRHLVISSNWEGDQSEFENTVDLSRVRSLTVFGKWRSFFISDKMRSLRVLDLEGSSGLADHHLGQIGKFLHLKYLSLRGSNDVSYLPDSFGDLRQLETLDIRDTNIMMLPKTITKLRKLRHLHAGRKLTYVHEPEYIEKCLNLHACCAALPSFMLGLNGASVIPRGTRKLKALQTLRVVHLAWGNAVLQEIKKLTQLRKLGVVGINKENGPEFCTTISNLKRLESLSVQSDGEQGLHECLDGIHFPPKNLQSLKLNGVLVKLPKWIGSLHNLVKLKLRGTKLLDLNAAIQVLGNLPNLAILRLWWNSFQGGELHFQSGAFRNLMVLEFWELWGTELVNFDDGATPKLELLRIRYYSGISVTDFFGLEFLPSIKEVRLNIYFIPNMRNPYMDAILIGVDHEAKFKEDLRVQLARNPNQPILKFE >PVH33187 pep chromosome:PHallii_v3.1:9:70383132:70390119:-1 gene:PAHAL_9G590300 transcript:PVH33187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTALSVGKSVLNGALGYAKSTIAEEVALQLGVQRDQVFIRDELEMMQSFLMATHEEKDEHMVITTWVKQVRDVAYDVEDCLQDFAVRLEKQSWWRIPRTLLDRHRVAKQMKDLRAKVEDVSQRNLRYGIIKSSKVKTAADKFSMAGTATMFGIEEARREQDKAKVDLVQLISRKNEDLRVIAVWGTSDVLRETSIVKRAFDDPKMCNKFQCRAWVKLMHPFDPIEFLQGIIRQFYIYSLRGAGEIRVTMGAEVLQKMRKMKADDLAQEFKGHLNDKSYLIVLTDLSTIEEWNQIVACFPNNREGSRIIVCTEHVEVASLLCFGKEGGVPEHMQLSVDHSLYAFCEKVFGKITDLDDQYPELVEEAKLILRKCNGLPLAIVTIGGFLAKQPKSPMEWRKLNEHISAELEMNPEIGMIRTVLLKSYDGLPYHLKSCFLYLSIFPEDHSISRKRLVRRWTAEGYSSEVRGKSAEEIADSYFMELIDRSMILPFKASFGSTKGIDSCQVHDLIREISISKSMEENLVFRLEDCSVINTQGTMRHLVISSNWEGDQSEFENTVDLSRVRSLTVFGKWRSFFISDKMRSLRVLDLEGSSGLADHHLGQIGKFLHLKYLSLRGSNDVSYLPDSFGDLRQLETLDIRDTNIMMLPKTITKLRKLRHLHAGRKLTYVHEPEYIEKCLNLHACCAALPSFMLGLNGASVIPRGTRKLKALQTLRVVHLAWGNAVLQEIKKLTQLRKLGVVGINKENGPEFCTTISNLKRLESLSVQSDGEQGLHECLDGIHFPPKNLQSLKLNGVLVKLPKWIGSLHNLVKLKLRGTKLLDLNAAIQVLGNLPNLAILRLWWNSFQGGELHFQSGAFRNLMVLEFWELWGTELVNFDDGATPKLELLRIRYYSGISVTDFFGLEFLPSIKEVRLNIYFIPNMRNPYMDAILIGVDHEAKFKEDLRVQLARNPNQPILKFE >PVH33188 pep chromosome:PHallii_v3.1:9:70384501:70388240:-1 gene:PAHAL_9G590300 transcript:PVH33188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASFKESQLIGRENEKADIIKLISNEDSQKLEVISVYGMGGLGKTTLAKDVYQSQELSGMFQKRACLTITRPFDPEEILRSLAMQLDAENLGNTWTGQSLAGLFEGNKYLIVLDDLSSTHEWDTIMQHFPTTETASRIIVTTRVEKIAKHCSKNLENLYKLKALGYKGSCDLFTEKVFGKITDLDDQYPELVEEAKLILRKCNGLPLAIVTIGGFLAKQPKSPMEWRKLNEHISAELEMNPEIGMIRTVLLKSYDGLPYHLKSCFLYLSIFPEDHSISRKRLVRRWTAEGYSSEVRGKSAEEIADSYFMELIDRSMILPFKASFGSTKGIDSCQVHDLIREISISKSMEENLVFRLEDCSVINTQGTMRHLVISSNWEGDQSEFENTVDLSRVRSLTVFGKWRSFFISDKMRSLRVLDLEGSSGLADHHLGQIGKFLHLKYLSLRGSNDVSYLPDSFGDLRQLETLDIRDTNIMMLPKTITKLRKLRHLHAGRKLTYVHEPEYIEKCLNLHACCAALPSFMLGLNGASVIPRGTRKLKALQTLRVVHLAWGNAVLQEIKKLTQLRKLGVVGINKENGPEFCTTISNLKRLESLSVQSDGEQGLHECLDGIHFPPKNLQSLKLNGVLVKLPKWIGSLHNLVKLKLRGTKLLDLNAAIQVLGNLPNLAILRLWWNSFQGGELHFQSGAFRNLMVLEFWELWGTELVNFDDGATPKLELLRIRYYSGISVTDFFGLEFLPSIKEVRLNIYFIPNMRNPYMDAILIGVDHEAKFKEDLRVQLARNPNQPILKFE >PAN51380 pep chromosome:PHallii_v3.1:9:70383132:70390119:-1 gene:PAHAL_9G590300 transcript:PAN51380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTALSVGKSVLNGALGYAKSTIAEEVALQLGVQRDQVFIRDELEMMQSFLMATHEEKDEHMVITTWVKQVRDVAYDVEDCLQDFAVRLEKQSWWRIPRTLLDRHRVAKQMKDLRAKVEDVSQRNLRYGIIKSSKVKTAADKFSMAGTATMFGIEEARREQDKAKVDLVQLISRKNEDLRVIAVWGTSDVLRETSIVKRAFDDPKMCNKFQCRAWVKLMHPFDPIEFLQGIIRQFYIYSLRGAGEIRVTMGAEVLQKMRKMKADDLAQEFKGHLNDKSYLIVLTDLSTIEEWNQIVACFPNNREGSRIIVCTEHVEVASLLCFGKEGGVPEHMQLSVDHSLYAFCEKGSEYGINLTEPGSSSNAAATSINNSVDRKNLTRIESMVASFKESQLIGRENEKADIIKLISNEDSQKLEVISVYGMGGLGKTTLAKDVYQSQELSGMFQKRACLTITRPFDPEEILRSLAMQLDAENLGNTWTGQSLAGLFEGNKYLIVLDDLSSTHEWDTIMQHFPTTETASRIIVTTRVEKIAKHCSKNLENLYKLKALGYKGSCDLFTEKVFGKITDLDDQYPELVEEAKLILRKCNGLPLAIVTIGGFLAKQPKSPMEWRKLNEHISAELEMNPEIGMIRTVLLKSYDGLPYHLKSCFLYLSIFPEDHSISRKRLVRRWTAEGYSSEVRGKSAEEIADSYFMELIDRSMILPFKASFGSTKGIDSCQVHDLIREISISKSMEENLVFRLEDCSVINTQGTMRHLVISSNWEGDQSEFENTVDLSRVRSLTVFGKWRSFFISDKMRSLRVLDLEGSSGLADHHLGQIGKFLHLKYLSLRGSNDVSYLPDSFGDLRQLETLDIRDTNIMMLPKTITKLRKLRHLHAGRKLTYVHEPEYIEKCLNLHACCAALPSFMLGLNGASVIPRGTRKLKALQTLRVVHLAWGNAVLQEIKKLTQLRKLGVVGINKENGPEFCTTISNLKRLESLSVQSDGEQGLHECLDGIHFPPKNLQSLKLNGVLVKLPKWIGSLHNLVKLKLRGTKLLDLNAAIQVLGNLPNLAILRLWWNSFQGGELHFQSGAFRNLMVLEFWELWGTELVNFDDGATPKLELLRIRYYSGISVTDFFGLEFLPSIKEVRLNIYFIPNMRNPYMDAILIGVDHEAKFKEDLRVQLARNPNQPILKFE >PAN51382 pep chromosome:PHallii_v3.1:9:70384501:70389967:-1 gene:PAHAL_9G590300 transcript:PAN51382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTALSVGKSVLNGALGYAKSTIAEEVALQLGVQRDQVFIRDELEMMQSFLMATHEEKDEHMVITTWVKQVRDVAYDVEDCLQDFAVRLEKQSWWRIPRTLLDRHRVAKQMKDLRAKVEDVSQRNLRYGIIKSSKVKTAADKFSMAGTATMFGIEEARREQDKAKVDLVQLISRKNEDLRVIAVWGTSDVLRETSIVKRAFDDPKMCNKFQCRAWVKLMHPFDPIEFLQGIIRQFYIYSLRGAGEIRVTMGAEVLQKMRKMKADDLAQEFKGHLNDKSYLIVLTDLSTIEEWNQIVACFPNNREGSRIIVCTEHVEVASLLCFGKEGGVPEHMQLSVDHSLYAFCEKGSEYGINLTEPGSSSNAAATSINNSVDRKNLTRIESMVASFKESQLIGRENEKADIIKLISNEDSQKLEVISVYGMGGLGKTTLAKDVYQSQELSGMFQKRACLTITRPFDPEEILRSLAMQLDAENLGNTWTGQSLAGLFEGNKYLIVLDDLSSTHEWDTIMQHFPTTETASRIIVTTRVEKIAKHCSKNLENLYKLKALGYKGSCDLFTEKVFGKITDLDDQYPELVEEAKLILRKCNGLPLAIVTIGGFLAKQPKSPMEWRKLNEHISAELEMNPEIGMIRTVLLKSYDGLPYHLKSCFLYLSIFPEDHSISRKRLVRRWTAEGYSSEVRGKSAEEIADSYFMELIDRSMILPFKASFGSTKGIDSCQVHDLIREISISKSMEENLVFRLEDCSVINTQGTMRHLVISSNWEGDQSEFENTVDLSRVRSLTVFGKWRSFFISDKMRSLRVLDLEGSSGLADHHLGQIGKFLHLKYLSLRGSNDVSYLPDSFGDLRQLETLDIRDTNIMMLPKTITKLRKLRHLHAGRKLTYVHEPEYIEKCLNLHACCAALPSFMLGLNGASVIPRGTRKLKALQTLRVVHLAWGNAVLQEIKKLTQLRKLGVVGINKENGPEFCTTISNLKRLESLSVQSDGEQGLHECLDGIHFPPKNLQSLKLNGVLVKLPKWIGSLHNLVKLKLRGTKLLDLNAAIQVLGNLPNLAILRLWWNSFQGGELHFQSGAFRNLMVLEFWELWGTELVNFDDGATPKLELLRIRYYSGISVTDFFGLEFLPSIKEVRLNIYFIPNMRNPYMDAILIGVDHEAKFKEDLRVQLARNPNQPILKFE >PVH31205 pep chromosome:PHallii_v3.1:9:4739187:4740416:-1 gene:PAHAL_9G082100 transcript:PVH31205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLHTSRLLWNNAGAPEGQPGVFQLVTRNNEAKDLAEVIVGNSFRDAEPGAFKLHPEILPIGPLVADLQFKKPVGHFLPENARCLEWLDVQPGRSVVYVAFGSFTVFSPHQFEELALGLELTGRPFLWVVRPDFAAGLSKAWLDEFQHRVGGSGMIVSWCPQQQVLAHGAVACFVSHCGWNSTMERVRNGVPFLCWPYFTDRFQNESYICNMWRTGLALEPGADGVVTKEELSGKVERVLGDDGIRERVGALRDAARRSIAEGGSSHQNLIRGAPQGMTHFPFPWWAELTFTEVLNIREWLARFSCRIPWAVRIPHGLHSPSLFFRESKSLLTTKQGVSMLSSV >PAN46897 pep chromosome:PHallii_v3.1:9:14565561:14568654:1 gene:PAHAL_9G222900 transcript:PAN46897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGYPVKLHIYDLSQGMARQLSATILGKAIEAIWHTGVVVYGREYYFGGGIQRGQPGRTPYGTPILVEDLGVTHVPREVFEDFLREIGPRYTPATYNLLSHNCNNFSDEAAQFLAGAKLPSYILELPNEVMNSPIGALIMPMIQGLETSLRAGAVPQPPQIRPAPAPVAAAATATRPSANDVEARSPAADKPEAPSANDVEARSPAADEPEAPSANDVEARPTAADKPEAGKTAGNGDDDGGTVVPPAVQPAAAPAAAAHVGAAPAVVAAKTAAPPDPLAEAKSRVQEEIKREFAAIMAVGTARAGEAAALATRRVMERHGLQRG >PAN46894 pep chromosome:PHallii_v3.1:9:14566047:14568654:1 gene:PAHAL_9G222900 transcript:PAN46894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGYPVKLHIYDLSQGMARQLSATILGKAIEAIWHTGVVVYGREYYFGGGIQRGQPGRTPYGTPILVEDLGVTHVPREVFEDFLREIGPRYTPATYNLLSHNCNNFSDEAAQFLAGAKLPSYILELPNEVMNSPIGALIMPMIQGLETSLRAGAVPQPPQIRPAPAPVAAAATATRPSANDVEARSPAADKPEAPSANDVEARSPAADEPEAPSANDVEARPTAADKPEAGKTAGNGDDDGGTVVPPAVQPAAAPAAAAHVGAAPAVVAAKTAAPPDPLAEAKSRVQEEIKREFAAIMAVGTARAGEAAALATRRVMERHGLQRG >PAN46896 pep chromosome:PHallii_v3.1:9:14565561:14568654:1 gene:PAHAL_9G222900 transcript:PAN46896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGYPVKLHIYDLSQGMARQLSATILGKAIEAIWHTGVVVYGREYYFGGGIQRGQPGRTPYGTPILVEDLGVTHVPREVFEDFLREIGPRYTPATYNLLSHNCNNFSDEAAQFLAGAKLPSYILELPNEVMNSPIGALIMPMIQGLETSLRAGAVPQPPQIRPAPAPVAAAATATRPSANDVEARSPAADKPEAPSANDVEARSPAADEPEAPSANDVEARPTAADKPEAGKTAGNGDDDGGTVVPPAVQPAAAPAAAAHVGAAPAVVAAKTAAPPDPLAEAKSRVQEEIKREFAAIMAVGTARAGEAAALATRRVMERHGLQRG >PAN46895 pep chromosome:PHallii_v3.1:9:14565561:14568654:1 gene:PAHAL_9G222900 transcript:PAN46895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRSRFAFPRFGCFGGQARAEKMAENGYPVKLHIYDLSQGMARQLSATILGKAIEAIWHTGVVVYGREYYFGGGIQRGQPGRTPYGTPILVEDLGVTHVPREVFEDFLREIGPRYTPATYNLLSHNCNNFSDEAAQFLAGAKLPSYILELPNEVMNSPIGALIMPMIQGLETSLRAGAVPQPPQIRPAPAPVAAAATATRPSANDVEARSPAADKPEAPSANDVEARSPAADEPEAPSANDVEARPTAADKPEAGKTAGNGDDDGGTVVPPAVQPAAAPAAAAHVGAAPAVVAAKTAAPPDPLAEAKSRVQEEIKREFAAIMAVGTARAGEAAALATRRVMERHGLQRG >PAN50815 pep chromosome:PHallii_v3.1:9:67818998:67823624:-1 gene:PAHAL_9G548700 transcript:PAN50815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNENKDHEHSGSLDDTDRNRPNNNDNEYASSANDGGDGSWKSQKKKREKEEDEADLESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIPHPFVAPASSAKVAPLGGLEFQALAASGQIPPQALAALQDELLGRPTSSLALPGRDQSSLRLAAIKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPGLGGLPSFGAWPNNKLGMTDSPSALGNVSNSQNSNLLLHELQQQPDSLLTGTLQNIDVKPSGIVMPSQSLNAFPASEGISPNQNPLVIPSQSQSFLASIPPSMKHEPLLASSSPSNSLLGGIDMVNQASTSQPLISTHGANLPGLMNRSSNAMPSSGICNFQSGNNPYVVNQNAMGVSSRPPGVLKTESTDSLNRSYGYIGGSTSVDSGLLSSQSKNPQYGLLQNPNDITGSWSPSQDIDSYGNTLGQGHPGSTSSNYQSSNVALGKLPDQGRGRNHGFVGKGTCIPSRFAVDEVESPTNNLSHSIGSSADIVNPDIFGFSGQM >PAN50817 pep chromosome:PHallii_v3.1:9:67818998:67825112:-1 gene:PAHAL_9G548700 transcript:PAN50817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEARGGDFPVGMKVLVVDDDPTCLVVLKRMLLECRYDVTTCPQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNENKDHEHSGSLDDTDRNRPNNNDNEYASSANDGGDGSWKSQKKKREKEEDEADLESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIPHPFVAPASSAKVAPLGGLEFQALAASGQIPPQALAALQDELLGRPTSSLALPGRDQSSLRLAAIKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPGLGGLPSFGAWPNNKLGMTDSPSALGNVSNSQNSNLLLHELQQQPDSLLTGTLQNIDVKPSGIVMPSQSLNAFPASEGISPNQNPLVIPSQSQSFLASIPPSMKHEPLLASSSPSNSLLGGIDMVNQASTSQPLISTHGANLPGLMNRSSNAMPSSGICNFQSGNNPYVVNQNAMGVSSRPPGVLKTESTDSLNRSYGYIGGSTSVDSGLLSSQSKNPQYGLLQNPNDITGSWSPSQDIDSYGNTLGQGHPGSTSSNYQSSNVALGKLPDQGRGRNHGFVGKGTCIPSRFAVDEVESPTNNLSHSIGSSADIVNPDIFGFSGQM >PAN45112 pep chromosome:PHallii_v3.1:9:5389757:5391520:1 gene:PAHAL_9G094100 transcript:PAN45112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYLYHSLLLSVAAVALLQLVKLTLRPRAPRLPPGPWMLPVIGSMHHLVNVLPHRALRDLAAAHGPLMMLRLGGTPLVVASSKETARAVLKTHDTNFATRPKLLAGEIVGYDWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVALKLEEIRAAGPSAPVNLSVMFHSLTNSIVSRAAFGKKRRNAAEFMAAIKAGVGLSSGFNIPDLFPTWTTVLAKVTGMKRSLHDIHRTVDSILQEIIDERKAVLEEKVRSGAGNAEENLVDVLIGLQEKGGFGFHLNNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPAVMKKLQGQIREAFRGEAVVTEADLQQQAGNLRYLKLVIKEALRLHPPAPLLVPRESIEACELDGYTIPAKSRVVINAFAIARDPRYWDDAEEFKPERFEAGTVDFTGSSYEFLPFGSGRRMCPGFNYGLASMELALVGMLYHFDWSLPEGVEEVDMEEAPGLGVRRRSPLMLCATPFVPVAVSTE >PVH32776 pep chromosome:PHallii_v3.1:9:63359082:63364463:1 gene:PAHAL_9G483500 transcript:PVH32776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKAMSSHGSGGGGGAVSRQGSVCSLTFGDADAQLHGVNLDDLLRAGRKTVDEVWRDIQGAAACPRAQMTLEDFLSRAAGPGTGAPADAGARGWAHQLYQPGPAPQLGHHHHPAVGRPVPWPLGVGAGPVLDALYYDGHEGGGAAAAAGGKRAGPERGVAERSNERRKKRMIKNRESAARSRARKQAYTKDLEIKISQLEEENLRLRGHKAPEPVAQYVPPQEVKSQLRRTNSANF >PAN50713 pep chromosome:PHallii_v3.1:9:64524134:64531292:-1 gene:PAHAL_9G499600 transcript:PAN50713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPGPRLPLPLLLLAAACSCGLAGAGGGGSCELSVARGGDLYSFALAAPTPAHRHGVLSEDGFYKVAVNDSILWFQLCDEMLFNFDPPICLNCEDCGGPLRCGTQCSALVSNNIGGYDVCTTIGSLSKSHLSLIDESNPQKGVVVKMFSSKCSISVSVLCDSIVAQVPDKFFISGDCDYATTFKHPSGCARSVSASGSGWGWLGTLFITILSLLGGYILIGAVYRYYFLGIHSVEAIPNLEFWIGLPQRIKTMFVPATRSRVSYSRDALGAYTSGNH >PAN50616 pep chromosome:PHallii_v3.1:9:66746182:66757665:1 gene:PAHAL_9G533000 transcript:PAN50616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAPPPTPPESDPRLVEVFTPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQKPPPPPAAEAQAPSTPTSAPSTPTSSSAQPGPLRSLSLADSELLLAPVTSALGSGSAKLAEAALELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALGLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSAVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADMIELPDAGPGSSPTADPNVVQGFISKIIGDFDGALTPLARTTSSTGAGATVAHDGAFETTAAAEEGTNPADLLDSTDKDMLDAKYWEISMYKTAIEGRKDELGVEGAVVGTLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPILMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKMIVLRFLEKLCGDSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPASVPTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPASPIVESEKNDNDGGNELPLTDNNGDESSEASDSHSELSNGISEAASLEQRRAYKMELQEGISLFNRKPKKGIEFLVKASKVGESPEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHAYVDSFEFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEDEFVPQQQQSTSSNKILGLDNILNIVVRKRGSSMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDRSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIRQKNVEAIKAILLIADEDGNYLQEAWEHILTCISRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKAPNAASASKRGSYDSAGVGGKASGVDQMNNEVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFNDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSRLKDNPTSNSNPPSPHSASDGKQEGAVLADKDDHIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGSSPQGQSVENDPAELDQDAWLYETCTLALQLVVDLFVRFYSTVNPLLKKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSMFVDEKWLEVVLSLKEAATETLPDFTYISSGAYLQNVPTENGGSSEQREDESQPLENDNEESSRSRNLYFAIGDAKCRAAVQLLLIQAVMEVYNMYRAQLSAQNTVILFEALHAVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDSAPNHGSTEVVESHLIGLCKEVLEVYLTTARPAQLSSGTQPLGHWLIPVGSSKRRELAARAPLVVATLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPLVLQSC >PAN50617 pep chromosome:PHallii_v3.1:9:66746182:66756874:1 gene:PAHAL_9G533000 transcript:PAN50617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAPPPTPPESDPRLVEVFTPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQKPPPPPAAEAQAPSTPTSAPSTPTSSSAQPGPLRSLSLADSELLLAPVTSALGSGSAKLAEAALELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALGLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSAVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADMIELPDAGPGSSPTADPNVVQGFISKIIGDFDGALTPLARTTSSTGAGATVAHDGAFETTAAAEEGTNPADLLDSTDKDMLDAKYWEISMYKTAIEGRKDELGVEGAVVGTLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPILMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKMIVLRFLEKLCGDSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPASVPTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPASPIVESEKNDNDGGNELPLTDNNGDESSEASDSHSELSNGISEAASLEQRRAYKMELQEGISLFNRKPKKGIEFLVKASKVGESPEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHAYVDSFEFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEDEFVPQQQQSTSSNKILGLDNILNIVVRKRGSSMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDRSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIRQKNVEAIKAILLIADEDGNYLQEAWEHILTCISRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKAPNAASASKRGSYDSAGVGGKASGVDQMNNEVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFNDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSRLKDNPTSNSNPPSPHSASDGKQEGAVLADKDDHIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGSSPQGQSVENDPAELDQDAWLYETCTLALQLVVDLFVRFYSTVNPLLKKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSMFVDEKWLEVVLSLKEAATETLPDFTYISSGAYLQNVPTENGGSSEQREDESQPLENDNEESSRSRNLYFAIGDAKCRAAVQLLLIQAVMEVYNMYRAQLSAQNTVILFEALHAVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDSAPNHGSTEVVESHLIGLCKEVLEVYLTTARPAQLSSGTQPLGHWLIPVGSSKRRELAARAPLVVATLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPLVLQSC >PAN51557 pep chromosome:PHallii_v3.1:9:71149090:71150132:1 gene:PAHAL_9G602900 transcript:PAN51557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDKKEGKYRGVRKRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPAGAATMSGGGSSSSAPAAAAGAASRGRTTRVPDSEKVELEYLDDRILEELLAEDKYSKNY >PVH32721 pep chromosome:PHallii_v3.1:9:62390255:62391745:-1 gene:PAHAL_9G469000 transcript:PVH32721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARLQPGRRKDISGGGPWLTSSGFPWPQARRIATVLVLAAFAFGIRPAFSSARGVVCVAADRDNIVRQVVVVGLSYRRVRAAAATGDTGRTTPPAGGDGGAYVLPVGVLVTVRVVGGCMQQLGRNCGHPPRHRSTFATPAGRNSAAGGGRDSNDLSSASACMAAAYIAGCGFRTPREGSWVSPRRRRIIAIPMMQQGGMGGTAGLLLTSQHHTHTSGVLFPDFL >PVH31789 pep chromosome:PHallii_v3.1:9:15495897:15501888:-1 gene:PAHAL_9G233600 transcript:PVH31789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESSRSRSRGDVEDSIDAMGSWKEDEEREDADGRKSQSGKAKKHGSADRVEDPDDARRGSSTNRNGSRRKSVSGSAQAYSDDEDDYDVRRDSRVSKVPRRSPKEKTEKDGDNSRRRRKDENDWDSDEADGRPSSGQSNHKNAREAHDHRDGSSQRDDKHRTAEWEEKDEKLRHQDECTYESNVNLGTKGKVPYLEDGANAREQSINMKEKTRDDFTSHRGRERNKESEESREYMRNHQREESKGANDYGTTLEWIHGHERLDGGSFQGWSAYRKDSRGRYESSKGPSSYGNRYDGSDSIEIRPNRNLDFGRESSVSGRRTSMNAHQDLTAGTNDTAEEDKRNYRSEEDSKERYYDDAQNTNQNTGKGSVDSPIARAGMKGPMTSDTPVAVQSGSSSLVSPIPQQGSKGGRLSRGIRGRPNGREPQRMGGPVPMMPPPPFGPLGLPPGPMQPMGPNMSHSPSPLGPGIFMPPFPGPLVWAGGRGMDVNMLSVPPKLLMPPLAAGPGFSPSVGAGPSHNIQLDQTTTGRGGPTDAPVPGFNPIATPSHEMLHDKPPGGWTPQRNSGSARKAPSRGEQNDYSQNFVDTGLRPQNFIRELELTSVVEDYPKLRELIQRKDEIVANSASAPMYYKCDLKEHVLSPDFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWTAEEIMNLKIEVISDTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPGLRHDSNTLFQRSKVQQKSLKTCIGSLSILRLVGGVLNSLVRTTTFVQVGLLLVKICPHLTLIKRHTSRTLQIGMEKYGREVGVVTRLLKLLISW >PVH31788 pep chromosome:PHallii_v3.1:9:15495919:15501888:-1 gene:PAHAL_9G233600 transcript:PVH31788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESSRSRSRGDVEDSIDAMGSWKEDEEREDADGRKSQSGKAKKHGSADRVEDPDDARRGSSTNRNGSRRKSVSGSAQAYSDDEDDYDVRRDSRVSKVPRRSPKEKTEKDGDNSRRRRKDENDWDSDEADGRPSSGQSNHKNAREAHDHRDGSSQRDDKHRTAEWEEKDEKLRHQDECTYESNVNLGTKGKVPYLEDGANAREQSINMKEKTRDDFTSHRGRERNKESEESREYMRNHQREESKGANDYGTTLEWIHGHERLDGGSFQGWSAYRKDSRGRYESSKGPSSYGNRYDGSDSIEIRPNRNLDFGRESSVSGRRTSMNAHQDLTAGTNDTAEEDKRNYRSEEDSKERYYDDAQNTNQNTGKGSVDSPIARAGMKGPMTSDTPVAVQSGSSSLVSPIPQQGSKGGRLSRGIRGRPNGREPQRMGGPVPMMPPPPFGPLGLPPGPMQPMGPNMSHSPSPLGPGIFMPPFPGPLVWAGGRGMDVNMLSVPPKLLMPPLAAGPGFSPSVGAGPSHNIQLDQTTTGRGGPTDAPVPGFNPIATPSHEMLHDKPPGGWTPQRNSGSARKAPSRGEQNDYSQNFVDTGLRPQNFIRELELTSVVEDYPKLRELIQRKDEIVANSASAPMYYKCDLKEHVLSPDFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWTAEEIMNLKIEVISDTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPGLRHDSNTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIITEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKDLSTSNFNKEAYIKNFADRDGKVWQGGGGRNPPPEAPHLLVTTPEIESLRPKSPQKNQQSIPTIGSSSSTNRRPGANTLQNVVTVVGSETMMPAPWASTPVAGFGTREGGVAPDSGPSDSYGFSAPFGRPSSDHIDFDTPRML >PAN47089 pep chromosome:PHallii_v3.1:9:15495799:15501120:-1 gene:PAHAL_9G233600 transcript:PAN47089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESSRSRSRGDVEDSIDAMGSWKEDEEREDADGRKSQSGKAKKHGSADRVEDPDDARRGSSTNRNGSRRKSVSGSAQAYSDDEDDYDVRRDSRVSKVPRRSPKEKTEKDGDNSRRRRKDENDWDSDEADGRPSSGQSNHKNAREAHDHRDGSSQRDDKHRTAEWEEKDEKLRHQDECTYESNVNLGTKGKVPYLEDGANAREQSINMKEKTRDDFTSHRGRERNKESEESREYMRNHQREESKGANDYGTTLEWIHGHERLDGGSFQGWSAYRKDSRGRYESSKGPSSYGNRYDGSDSIEIRPNRNLDFGRESSVSGRRTSMNAHQDLTAGTNDTAEEDKRNYRSEEDSKERYYDDAQNTNQNTGKGSVDSPIARAGMKGPMTSDTPVAVQSGSSSLVSPIPQQGSKGGRLSRGIRGRPNGREPQRMGGPVPMMPPPPFGPLGLPPGPMQPMGPNMSHSPSPLGPGIFMPPFPGPLVWAGGRGMDVNMLSVPPKLLMPPLAAGPGFSPSVGAGPSHNIQLDQTTTGRGGPTDAPVPGFNPIATPSHEMLHDKPPGGWTPQRNSGSARKAPSRGEQNDYSQNFVDTGLRPQNFIRELELTSVVEDYPKLRELIQRKDEIVANSASAPMYYKCDLKEHVLSPDFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWTAEEIMNLKIEVISDTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPGLRHDSNTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIITEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKDLSTSNFNKEAYIKNFADRDGKVWQGGGGRNPPPEAPHLLVTTPEIESLRPKSPQKNQQSIPTIGSSSSTNRRPGANTLQNVVTVVGSETMMPAPWASTPVAGFGTREGGVAPDSGPSDSYGFSAPFGRPSSDHIDFDTPRML >PAN44900 pep chromosome:PHallii_v3.1:9:4552280:4555259:1 gene:PAHAL_9G078400 transcript:PAN44900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAVARCCGHWPPSAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPSDTLVGVYDGHGGADASRFLRSRLFPHVQRFAMEQGGMSTEVIRRAFSETEEEFHKQVRQEWKKRPRMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLSSEHNAASEEVRRELAAFNPDDAQIVVHTRGAWRVKGIIQVTRSIGDFYLKKPEYSLDPLFRQVGPPIALKRPALSAEPSIQVRKLNPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVRYRDLRTIERGVRRHFHDDISVVVVYLDRHRERRHTRVIDSSSNCTSAPIDIYSSNTQSYKS >PVH31660 pep chromosome:PHallii_v3.1:9:13025976:13031440:1 gene:PAHAL_9G204400 transcript:PVH31660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHELWPSGLRVLVIDNNSSYLKVMEELLIKCSYKVTIYNNVREAMSSIYGNQQTVDLIICDLFFPTEDSLLILQEVTSKFDIPTINTSTVMKCITNGASDFLIKPPRIEELKNIWQHVFRKQIFGAEHRKCSNAQQHVDHQLSYRAMGITEEATATLDSEIRGNNGTVTDIRDLRKSRLSWTMQLHRQFIAAVNSLGADKAVPKKILEIMKVKHLTREQVASHLQKYRLHLRNSTQALHKDDRPSSSGYPNNESSILRTQLNSSSNSLYFDQDGCMEITDYSLPKDDLSSGSECILGERNNYSPECFQDFRWDSEKQGSETTTYLWNFEAE >PAN47018 pep chromosome:PHallii_v3.1:9:13025976:13031440:1 gene:PAHAL_9G204400 transcript:PAN47018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHELWPSGLRVLVIDNNSSYLKVMEELLIKCSYKVTIYNNVREAMSSIYGNQQTVDLIICDLFFPTEDSLLILQEVTSKFDIPTIIMSSNGDTSTVMKCITNGASDFLIKPPRIEELKNIWQHVFRKQIFGAEHRKCSNAQQHVDHQLSYRAMGITEEATATLDSEIRGNNGTVTDIRDLRKSRLSWTMQLHRQFIAAVNSLGADKAVPKKILEIMKVKHLTREQVASHLQKYRLHLRNSTQALHKDDRPSSSGYPNNESSILRTQLNSSSNSLYFDQDGCMEITDYSLPKDDLSSGSECILGERNNYSPECFQDFRWDSEKQGSETTTYLWNFEAE >PAN47016 pep chromosome:PHallii_v3.1:9:13025976:13031440:1 gene:PAHAL_9G204400 transcript:PAN47016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHELWPSGLRVLVIDNNSSYLKVMEELLIKCSYKVTIYNNVREAMSSIYGNQQTVDLIICDLFFPTEDSLLILQEVTSKFDIPTINTSTVMKCITNGASDFLIKPPRIEELKNIWQHVFRKQIFGAEHRKCSNAQQHVDHQLSYRAMGITEEATATLDSEIRGNNGTVTDIRDLRKSRLSWTMQLHRQFIAAVNSLGADKAVPKKILEIMKVKHLTREQVASHLQIY >PVH31659 pep chromosome:PHallii_v3.1:9:13025976:13031440:1 gene:PAHAL_9G204400 transcript:PVH31659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYGNQQTVDLIICDLFFPTEDSLLILQEVTSKFDIPTINTSTVMKCITNGASDFLIKPPRIEELKNIWQHVFRKQIFGAEHRKCSNAQQHVDHQLSYRAMGITEEATATLDSEIRGNNGTVTDIRDLRKSRLSWTMQLHRQFIAAVNSLGADKAVPKKILEIMKVKHLTREQVASHLQKYRLHLRNSTQALHKDDRPSSSGYPNNESSILRTQLNSSSNSLYFDQDGCMEITDYSLPKDDLSSGSECILGERNNYSPECFQDFRWDSEKQGSETTTYLWNFEAE >PVH31661 pep chromosome:PHallii_v3.1:9:13026856:13030798:1 gene:PAHAL_9G204400 transcript:PVH31661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHELWPSGLRVLVIDNNSSYLKVMEELLIKCSYKVTIYNNVREAMSSIYGNQQTVDLIICDLFFPTEDSLLILQEVTSKFDIPTIIMSSNGDTSTVMKCITNGASDFLIKPPRIEELKNIWQHVFRKQIFGAEHRKCSNAQQHVDHQLSYRAMGITEEATATLDSEIRGNNGTVTDIRDLRKSRLSWTMQLHRQFIAAVNSLGADKAVPKKILEIMKVKHLTREQVASHLQIY >PAN47017 pep chromosome:PHallii_v3.1:9:13025976:13031440:1 gene:PAHAL_9G204400 transcript:PAN47017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYGNQQTVDLIICDLFFPTEDSLLILQEVTSKFDIPTIIMSSNGDTSTVMKCITNGASDFLIKPPRIEELKNIWQHVFRKQIFGAEHRKCSNAQQHVDHQLSYRAMGITEEATATLDSEIRGNNGTVTDIRDLRKSRLSWTMQLHRQFIAAVNSLGADKAVPKKILEIMKVKHLTREQVASHLQKYRLHLRNSTQALHKDDRPSSSGYPNNESSILRTQLNSSSNSLYFDQDGCMEITDYSLPKDDLSSGSECILGERNNYSPECFQDFRWDSEKQGSETTTYLWNFEAE >PVH31860 pep chromosome:PHallii_v3.1:9:17074732:17076248:-1 gene:PAHAL_9G248200 transcript:PVH31860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEESKDVLQAQVELWNQSFSFMKSVALAVALDLRIADTIRHHGGAATLPQILSGIGINPCKVAGLRRLMRALTVAGTFAIQPPETSSGGHDEPLYKLTTVSCLLTNDGDGESTASCLFPMWNHVLNPFHDSVLSMGLAAWFRHEEPGKCPYALMRGATVWEMCESSDALNASINNAMAADSRFLMRIVVEECGGIFRGIDSLVDVAGGVGGAAAAIAAAFPYLKCSVLDLPHVVAKAPSVSNVQFVAGDMFESIPPANAIFLKYVLHDWGDDKCIKLLKNCKQAIPSRDAGGKVIIIDMVVGYRPSDVKLLETQVLCDLDIMKIGGVEREEHEWKKIFLAAGFKDYNIMPLGLRSLIELYP >PVH31861 pep chromosome:PHallii_v3.1:9:17074436:17077057:-1 gene:PAHAL_9G248200 transcript:PVH31861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIEMRNYIVLTVTVIYPQHNTMALSEESKDVLQAQVELWNQSFSFMKSVALAVALDLRIADTIRHHGGAATLPQILSGIGINPCKVAGLRRLMRALTVAGTFAIQPPETSSGGHDEPLYKLTTVSCLLTNDGDGESTASCLFPMWNHVLNPFHDSVLSMGLAAWFRHEEPGKCPYALMRGATVWEMCESSDALNASINNAMAADSRFLMRIVVEECGGIFRGIDSLVDVAGGVGGAAAAIAAAFPYLKCSVLDLPHVVAKAPSVSNVQFVAGDMFESIPPANAIFLKYVLHDWGDDKCIKLLKNCKQAIPSRDAGGKVIIIDMVVGYRPSDVKLLETQVLCDLDIMKIGGVEREEHEWKKIFLAAGFKDYNIMPLGLRSLIELYP >PVH31858 pep chromosome:PHallii_v3.1:9:17074732:17076248:-1 gene:PAHAL_9G248200 transcript:PVH31858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEESKDVLQAQVELWNQSFSFMKSVALAVALDLRIADTIRHHGGAATLPQILSGIGINPCKVAGLRRLMRALTVAGTFAIQPPETSSGGHDEPLYKLTTVSCLLTNDGDGESTASCLFPMWNHVLNPFHDSVLSMGLAAWFRHEEPGKCPYALMRGATVWEMCESSDALNASINNAMAADSRFLMRIVVEECGGIFRGIDSLVDVAGGVGGAAAAIAAAFPYLKCSVLDLPHVVAKAPSVSNVQFVAGDMFESIPPANAIFLKYVLHDWGDDKCIKLLKNCKQAIPSRDAGGKVIIIDMVVGYRPSDVKLLETQVLCDLDIMKIGGVEREEHEWKKIFLAAGFKDYNIMPLGLRSLIELYP >PVH31862 pep chromosome:PHallii_v3.1:9:17075335:17078227:-1 gene:PAHAL_9G248200 transcript:PVH31862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEESKDVLQAQVELWNQSFSFMKSVALAVALDLRIADTIRHHGGAATLPQILSGIGINPCKVAGLRRLMRALTVAGTFAIQPPETSSGGHDEPLYKLTTVSCLLTNDGDGESTASCLFPMWNHVLNPFHDSVLSMGLAAWFRHEEPGKCPYALMRGATVWEMCESSDALNASINNAMAADSRFLMRIVVEECGGIFRGIDSLVDVAGGVGGAAAAIAAAFPYLKCSVLDLPHVVAKAPSVSNVQFVAGDMFESIPPANAIFLKVS >PVH31859 pep chromosome:PHallii_v3.1:9:17074350:17078227:-1 gene:PAHAL_9G248200 transcript:PVH31859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEESKDVLQAQVELWNQSFSFMKSVALAVALDLRIADTIRHHGGAATLPQILSGIGINPCKVAGLRRLMRALTVAGTFAIQPPETSSGGHDEPLYKLTTVSCLLTNDGDGESTASCLFPMWNHVLNPFHDSVLSMGLAAWFRHEEPGKCPYALMRGATVWEMCESSDALNASINNAMAADSRFLMRIVVEECGGIFRGIDSLVDVAGGVGGAAAAIAAAFPYLKCSVLDLPHVVAKAPSVSNVQFVAGDMFESIPPANAIFLKYVLHDWGDDKCIKLLKNCKQAIPSRDAGGKVIIIDMVVGYRPSDVKLLETQVLCDLDIMKIGGVEREEHEWKKIFLAAGFKDYNIMPLGLRSLIELYP >PAN46836 pep chromosome:PHallii_v3.1:9:14206081:14212011:1 gene:PAHAL_9G218800 transcript:PAN46836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) UniProtKB/Swiss-Prot;Acc:Q9SKN2] MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALAQRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSALKQLVRDEANSSNEPENNDEQEQIRIARALLNYRLKEKMAMANYPHASPFPKKFPMQPERKPSFGQSSQSNYSKILPLFRPKSNSRCRPESPASTDGASQTAVRIMESLNPKSRFPAAEAAPYVPVGHYRMPCHSMAPSVTIRTAVPVFSAPPLPPPAARTQQQQQLPPLMSHPPPIRIASPVRIRSASPMFGPSAPVQGPKPVMSVQLKDVQQQSRREPVSPVIPVQVKDVQYRPMKGSVSPVIPVQVKDAQHQPLVGSLSAAIPIQMKDVQTQAPKPKESLAAPIPAIKPSVKIEAPAQAKEASAVVTSEAPCSAAGNTTAVECTTSSDITPTRQSSTVDGDVSKAEAEHEAEAQAVAEAAIRQLEIN >PAN50011 pep chromosome:PHallii_v3.1:9:63977415:63978833:-1 gene:PAHAL_9G491900 transcript:PAN50011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAAQGARRAAVATEEERRRNRMTSNRLSARKSRMKRQQHVDDLTAEAERLRRENEAMRAGAGEALRRGRALEQENRVLAAHARQLCAALLLRNSQLRLLGGVAGVALDVPGVPDHLVQLYGGPHQMPVMPLAPPPPLQLPMEIQMLLQPDVMDAVGMVV >PAN46074 pep chromosome:PHallii_v3.1:9:10005790:10008760:1 gene:PAHAL_9G161000 transcript:PAN46074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G08780) UniProtKB/Swiss-Prot;Acc:Q9M4B5] MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELQEDIKLAKEANENLDDAGNELILSDEDVVRFQIGEVFAHMPRDGVETRLEQMKEDAAKKLEGLEEEKESILAQMAELKKILYGKFKDAINLEED >PVH32192 pep chromosome:PHallii_v3.1:9:47463263:47464211:1 gene:PAHAL_9G342500 transcript:PVH32192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESKSAAGQTVWTASQSTFVHTFLANIIDEGLKTSTGFKKVHLNKCAEALNEKFKLNRTGDHIGNHLKTLRRRYVKINQLRSLSGALWDEEQYIISLDHEHYQNHFKNPKNKGDDEYINKPLPYYGNLATIFGNSVATRQFAKSSNEPLGVDADCTAENDDNGAAIAMTNGQAQSDVNDANGASCSAATRPYKKAKVVEAANESLAGVLERSTQTLANAIKEAAVANRALPEGLFSIVDNLPGFEIQDKSRYYAHLVANPDIARAFADLPLLYKISWMTMFINANF >PVH31265 pep chromosome:PHallii_v3.1:9:5757390:5758160:-1 gene:PAHAL_9G099400 transcript:PVH31265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASMKLSLAFVLLLSGLVVFGEVGGAAARTPSRVDCSLVRCIQGGYIVCDNYPGQKLDGCICGCAPRNGKNCVLYLQSGSRQECGKSE >PVH31427 pep chromosome:PHallii_v3.1:9:8810158:8811780:-1 gene:PAHAL_9G143600 transcript:PVH31427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRCSCTHATGKAPAHPSPGLHLNSTALDAIQALRTTIRVCWTQFEHCRPQFEYCGPRFELAELDPERGRRVLDGRVRVSRLRGVQAGAMSSCSLQPCGRPTPMVPSSLDKWTEMANEETKFGTQGLKTFSVVAGMELRTFLMAHKELPLLL >PAN47453 pep chromosome:PHallii_v3.1:9:31013649:31020224:1 gene:PAHAL_9G292500 transcript:PAN47453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFENVVKDITLLSDDSWSYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPIPHKLSLGIGKKRRLRQNPEVVITSSNMSHGKKVCIDRLPDNAKADEMGITGGNAAHQVVDNITVQNVSGGSQQLRPNNCSQDAARMLMSQSGIQQTVSYSAVGSDRVAGSPANFAGINSSISSPQSMMGYNDSVTANGLLSVKREMQDAPLQDPKRIKPSGGIDDVQQQHIRPQPLGGQEMQWKNPQLHPQLDVKGMQYASSLSGQRYPPSMMNNMQDSGSSFYFNQQGLRYGAKQEQMDGSDRSKDALQSMAPENSGLDQQQPQAQHLSQQSTARNNLPNMAQWQNTRFAAEKDLKKDEIIQRRKLAPSSRAPSGPMVQSPVSSKSGEISSSSMGGQFGSAVTSAVIGAQKDKFAANSNAAVGYPSVASSPSDSMHRMQQPAVAPSKRKTNSVPKTQPPVSAVGSPASVSNMHAPLNASSPSIGTTPMGDQAILDKFAKIDNLSHRYQLHSKKNKVDKIPQRKPMINASQDVARCLSSCFHTEDYIDTIRPLCNSMISGTINTCKTRVINFVSSNRMYQGHPRPFQVVFKEMPDETVRMQYGDLEDFDGPNSYDCVFILPTKYCADLLAEQLIPLMLQDGHSKADDKVVRGTPSANLNTLSGILPDNLASDVKQEGGVSQQLNAAAHANVAPGTPMQQLPVNRMLSSANSNQVLAMQQGYMQGAAMPPRSQQLDQTLVQQPQQQQTQQQPLQQNAQAQMQQPSSLPLNQMQRPQLLPTSPLSQMLAPGSNLPMGSQMGNNKTTPTSLQLQMLQQQAQQQQPMSRKVMMGLGSAMNMGNMVNNVVGIGGLGNVMGMGNVRPISSPMGSMSGLGNSSNPMNMGMASNLSAAGLRPGMSPAALAKMRMGLAQQRAAGMYPQTGMVGMPGSSSPILPSSAGLSMMGHPLNRSNLSPLQRAMMSSMGPPKMPGGNFQLNPQQQMQLQQQLQQQQQQLQQNPQQQQQHQQNPQQQQQQLQQIQQQQQLQQQLQQQQQQQQQQQLQQQQLQQQQQQQQQQQQQMGSPLQQAQVGSPAGSQQSMMMQQQQISPQQMGQHAAMSPQLSSGTLQQMSNNMVNPVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGSNKGGPGSM >PAN47452 pep chromosome:PHallii_v3.1:9:31011149:31020224:1 gene:PAHAL_9G292500 transcript:PAN47452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFKLSKVGVRVHPAARSASASPAQAEKPAAVETEGSVSDSRRERAKDVNGIKISPVCSREILPEHEVSFTFSLYDRGYLISKSASMDPSQTSIQDGKTLHPYDRASEKLFSAIEAGRLPGDILDEIPSKYYNGSVVCEIRDYRKHVSNQVPASSAELGLPIVNKVRLRMTFENVVKDITLLSDDSWSYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPIPHKLSLGIGKKRRLRQNPEVVITSSNMSHGKKVCIDRLPDNAKADEMGITGGNAAHQVVDNITVQNVSGGSQQLRPNNCSQDAARMLMSQSGIQQTVSYSAVGSDRVAGSPANFAGINSSISSPQSMMGYNDSVTANGLLSVKREMQDAPLQDPKRIKPSGGIDDVQQQHIRPQPLGGQEMQWKNPQLHPQLDVKGMQYASSLSGQRYPPSMMNNMQDSGSSFYFNQQGLRYGAKQEQMDGSDRSKDALQSMAPENSGLDQQQPQAQHLSQQSTARNNLPNMAQWQNTRFAAEKDLKKDEIIQRRKLAPSSRAPSGPMVQSPVSSKSGEISSSSMGGQFGSAVTSAVIGAQKDKFAANSNAAVGYPSVASSPSDSMHRMQQPAVAPSKRKTNSVPKTQPPVSAVGSPASVSNMHAPLNASSPSIGTTPMGDQAILDKFAKIDNLSHRYQLHSKKNKVDKIPQRKPMINASQDVARCLSSCFHTEDYIDTIRPLCNSMISGTINTCKTRVINFVSSNRMYQGHPRPFQVVFKEMPDETVRMQYGDLEDFDGPNSYDCVFILPTKYCADLLAEQLIPLMLQDGHSKADDKVVRGTPSANLNTLSGILPDNLASDVKQEGGVSQQLNAAAHANVAPGTPMQQLPVNRMLSSANSNQVLAMQQGYMQGAAMPPRSQQLDQTLVQQPQQQQTQQQPLQQNAQAQMQQPSSLPLNQMQRPQLLPTSPLSQMLAPGSNLPMGSQMGNNKTTPTSLQLQMLQQQAQQQQPMSRKVMMGLGSAMNMGNMVNNVVGIGGLGNVMGMGNVRPISSPMGSMSGLGNSSNPMNMGMASNLSAAGLRPGMSPAALAKMRMGLAQQRAAGMYPQTGMVGMPGSSSPILPSSAGLSMMGHPLNRSNLSPLQRAMMSSMGPPKMPGGNFQLNPQQQMQLQQQLQQQQQQLQQNPQQQQQHQQNPQQQQQQLQQIQQQQQLQQQLQQQQQQQQQQQLQQQQLQQQQQQQQQQQQQMGSPLQQAQVGSPAGSQQSMMMQQQQISPQQMGQHAAMSPQLSSGTLQQMSNNMVNPVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGSNKGGPGSM >PVH32366 pep chromosome:PHallii_v3.1:9:55742896:55745682:1 gene:PAHAL_9G386000 transcript:PVH32366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGAACRVCGGAGECGACHGHGIGGGRCGVAVADLNRGFPGMWHQAAEEEHGHGIVVGGGAAAAAGLQEFQFFGHDEDHESVTWLFNDPAPHLHRGPAAAAVGNGVAEAAEQRRAPPLFDGYAHVQYGQMPGHGLTFDVPLSRGGEAAAAAVLEAGLGLGGGGSNPATSSATIMTFCGGSTFTDAASSVVPGEVAAAANGSSSGGGGDPAMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGEAPAPPAAAGYEPGRLDLGWFRS >PAN44494 pep chromosome:PHallii_v3.1:9:2660131:2667918:-1 gene:PAHAL_9G047500 transcript:PAN44494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKQHGGGGEPAAEGDAATGGTGGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAEKGSETSRGFGFVQFATVQDAERAIQEKNGFPVAGRKIRVKLAMNRAPLKERLQKKENMQAKDSDAIDEANDTSAAAKLNEKTIKADPEKPQLLAKDAIVSKEASIGDTDKVKSSEKQRVAKTVIFGGLQDFAMASEVFRQAGEIGPVVSVNYPLPKEEMEIHGLARDGCTSDAAAVLFSSVKSAWDSVVRLHRKKVKGAIVWARQLGGEGSKIRKWRVIVRNLPFKITEKEIMDMFSSAGFVWDVSIPHKSDEGLSKGFAFVSFTRKQDAENAITNINGKFVAKRPVAVDWAVPKKVYTVAAKSDAKDNELADVIDSGSDDDTSEENLVGEDDSSELDQEVSNRPSEDDFKTEVDISRRVLENLIKSSEKSEPSGIDGSNIDTDTETENNTSEKKKPESPIAGKLAKLKRVTDAKITDPASKPEKKDTDLDRTIFISNLPFDISNEEVTVRFSVFGNVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFMKSRALNVMKAMDKESAHKKALEKAKTEVEDRRNLYLAKEGEILAGTPAAEGVSDADMNKRNWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRATKQNPVIRKVNILKNEKKGVQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALEDVEKVRLQKIRKERQQKSAESAQGQQNPSGDQPASDGRHADSSRTFRKGNKRKSHDRPSKPSDSGEGPAKDPSVAGDRSSVEVIRKGRPAKRSRKSNEGTVLPERDRQDATPNADGKQAVSGEHDQSVAPKKRKNMKDSQTDQKRGKGTKRTKKEPAGEGGVDKSLVEQYRSKFLQHGVSKTKG >PAN44495 pep chromosome:PHallii_v3.1:9:2660464:2667639:-1 gene:PAHAL_9G047500 transcript:PAN44495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKQHGGGGEPAAEGDAATGGTGGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAEKGSETSRGFGFVQFATVQDAERAIQEKNGFPVAGRKIRVKLAMNRAPLKERLQKKENTVQAKDSDAIDEANDTSAAAKLNEKTIKADPEKPQLLAKDAIVSKEASIGDTDKVKSSEKQRVAKTVIFGGLQDFAMASEVFRQAGEIGPVVSVNYPLPKEEMEIHGLARDGCTSDAAAVLFSSVKSAWDSVVRLHRKKVKGAIVWARQLGGEGSKIRKWRVIVRNLPFKITEKEIMDMFSSAGFVWDVSIPHKSDEGLSKGFAFVSFTRKQDAENAITNINGKFVAKRPVAVDWAVPKKVYTVAAKSDAKDNELADVIDSGSDDDTSEENLVGEDDSSELDQEVSNRPSEDDFKTEVDISRRVLENLIKSSEKSEPSGIDGSNIDTDTETENNTSEKKKPESPIAGKLAKLKRVTDAKITDPASKPEKKDTDLDRTIFISNLPFDISNEEVTVRFSVFGNVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFMKSRALNVMKAMDKESAHKKALEKAKTEVEDRRNLYLAKEGEILAGTPAAEGVSDADMNKRNWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRATKQNPVIRKVNILKNEKKGVQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALEDVEKVRLQKIRKERQQKSAESAQGQQNPSGDQPASDGRHADSSRTFRKGNKRKSHDRPSKPSDSGEGPAKDPSVAGDRSSVEVIRKGRPAKRSRKSNEGTVLPERDRQDATPNADGKQAVSGEHDQSVAPKKRKNMKDSQTDQKRGKGTKRTKKEPAGEGGVDKSLVEQYRSKFLQHGVSKTKG >PVH31841 pep chromosome:PHallii_v3.1:9:16785254:16786677:-1 gene:PAHAL_9G246000 transcript:PVH31841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGHGRWGRGTSGGRVPAAPCWISRGSCSRLTSSFKSSSRKCRTPWDYMSCPSATTQTLLPTEIAFTPKTATCSCIQCRRGSYYWLASWSCRVPGALPIKR >PAN45733 pep chromosome:PHallii_v3.1:9:8451732:8453342:-1 gene:PAHAL_9G138300 transcript:PAN45733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQMAGLVRQVTGLSPRPRAADGSSGANGQHCVVVRTGRARPGRVVAAAAHVAARPRYCRLRVAASVAVADTSSQLLEQQHLRPGNTASNQLITTIWKDKFLEIEMKVLDDELDEYGVVNNAIYASYLHRGRAVLLEKLGFSVDYWTSTGNALTLSELNLKYLSPLRSGDRFVVKVKPVQIKGVRIIVEHMIETLPDRKLVVEARATVVCLNNDFRPTRVFPELSARLMEVFSCKVA >PAN50349 pep chromosome:PHallii_v3.1:9:44875968:44879359:1 gene:PAHAL_9G337100 transcript:PAN50349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQQADNLGANGGGLVWPSAMSSYMLQHLAQLVSSGMKTSTGFKQVHLNGCARALMENMGYHVTGTQVGNHLRKWKKIYGKIQKLKNLSGALWDEETCTISLEREHYLAHIQIHRDDAKYLNCPIEHYHEMATIFGNSLATGAYAKGASDPLASEVTATANASQETKDGAETNEQGEGSPLEAEEMTFSANTNGAGSSGTKPPPAKKHKVAAVEDPNIAMVSIMSEGLGNLAAAIEKVGKEDDGIPERLYDDMMSIPGFDEAHLDHYYAYLCEHPSLARRFYNMRLSSKMVWVARYIKEHL >PAN44222 pep chromosome:PHallii_v3.1:9:1477363:1479997:-1 gene:PAHAL_9G026100 transcript:PAN44222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLTQVKRTQVINQKEALLGIGEDASWHAKFKDSAYVFVGGVPFDLTEGDLLAIFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVVGRIIRVDHVSKYKKKEEEDEEERQQKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEDSGARWEHDKHHDIPKSRGICYAFQKGECNRGASCKFSHDEQKNSNTGWSSRDGESSRSERYGGRDSRSRHDDRRPEDRDRYRHDKSPERSRGERHRNDDRYSQGREERSERHRYDDMDRKRSRYDENSERHERRG >PAN47125 pep chromosome:PHallii_v3.1:9:15830968:15831517:1 gene:PAHAL_9G235800 transcript:PAN47125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRIPLKTDVYSFGVVLLELLTGRTSWDVTMDPPVLVLWATPLLRKGGVEQWIDPKLGTQYPAAGTLELGRIALQSVHDSPRSRPTMGAVAQLISGFVVGD >PVH31894 pep chromosome:PHallii_v3.1:9:17912534:17913030:1 gene:PAHAL_9G254600 transcript:PVH31894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEGKGNHAPGHAATHAGEPRRSIYQEAGHLITYAAHQATAPVGCHVEMLDAAHTVASASHAGRNARASHHRARCSAARRCARLLLALPHAPPRRA >PAN52009 pep chromosome:PHallii_v3.1:9:73376439:73384375:-1 gene:PAHAL_9G636100 transcript:PAN52009 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G09860) UniProtKB/Swiss-Prot;Acc:Q93VM9] MAEPSPPSSNAGLRILLAKDRPPPSPPSTAVSTVSSHADRDRIIGVFRSALSRTEPPETFALPTVQEAIKPQKETVLVLEENQSLENALRTLLQELASSAVQSGKKIMQYGNSLDSGESNCLITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDIFGYIESQQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNVTKYEKDAMDGISVDFNFYKTLWSLQEHFSNPALTSTNPAKWQRFSSNLAVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPTGGMKEEIKSCEELVKKLLEVIPPKGKEFLKSIEHILEREKNWVWWKRDGCPAFEKTPFEKKSGQAGGRKRKPRWRLGNKELSQLWKWAEQNPNVLTDPDRVRMPSITEYWKPLAEDMDPSAGIEEEYHHKSNRVYCWKGLRFSARQDLDGFARFSDYGIEGVVPSELLPPEVNAKFSSKPAEKVKRLKREDSKGASAQPKEPQVAATPETDGGGSGGDPEEGAVPMDSDNGAAEDGQKQSPEEVSGPESGQCEPETDADDNMKTETTSRDARAGEK >PAN46976 pep chromosome:PHallii_v3.1:9:14959260:14960313:-1 gene:PAHAL_9G228500 transcript:PAN46976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMSLYGGVGSGRTRVSRGSSGAARQSPAAPVSRLLRRLRSGLRRRSAARPRRAPARSFGYDLHSYSQNFDDGLAGSSGGHRL >PAN49895 pep chromosome:PHallii_v3.1:9:63338497:63343537:-1 gene:PAHAL_9G483100 transcript:PAN49895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFASRKEEERNERIVRGLLKLPPNRRCVNCNGLGPQYVCTSFWTFVCVSCSGIHREFTHRVKSVSMSTFSTPEVEALQKGGNQRARESFLKDFDTQKMRLPDSSNIGNLREFIKAVYVERRYAGGRFSERPPRDKQNQKAHEEEHRRASSYHSFSQSPPYDYQYEEQRNGKQSAFLSRKPGSDRGLDGKISGFAYSSHSLHERMSEDRFAGESCGSRTSNFSGSSMSDTVRTAPQSPNFPDNGWFSAPVLQDQSNQQSSYGLTSSQITMSAGNIDSISPKLGKSSLSDLIFEDDNVQRTQKSANSAAPSFIAFSDAISAPNQDHVNSTAAKKHGVTTLEQPIDLFANMPTETLSADKVIPAAAAPSIDNSGWATFDTPPEQKQPSVTGLSYVAATSNDKQALSHDLFSFESNDEPTWFQSSKDNASVTNQSTTTSPDTGSSQLWHSFDDVDGVVSHDQCYAQPQNDDHRNVVNISLSTSNPFMCSVVSKFMDMSSLQEALPNPDLPTFLDGLPETWFSSSSRAYVPSASHGGLPCLVEQAPNSPLRNIPLPVGTASTGNPFA >PAN49896 pep chromosome:PHallii_v3.1:9:63338614:63342936:-1 gene:PAHAL_9G483100 transcript:PAN49896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFASRKEEERNERIVRGLLKLPPNRRCVNCNGLGPQYVCTSFWTFVCVSCSGIHREFTHRVKSVSMSTFSTPEVEALQKGGNQRARESFLKDFDTQKMRLPDSSNIGNLREFIKAVYVERRYAGGRFSERPPRDKQNQKAHEEEHRRASSYHSFSQSPPYDYQYEEQRNGKQSAFLSRKPGSDRGLDGKISGFAYSSHSLHERMSEDRFAGESCGSRTSNFSGSSMSDTVRTAPQSPNFPDNGWFSAPVLQDQSNQQSSYGLTSSQITMSAGNIDSISPKLGKSSLSDLIFEDDNVQRTQKSANSAAPSFIAFSDAISAPNQDHVNSTAAKKHGVTTLEQPIDLFANMPTETLSADKVIPAAAAPSIDNSGWATFDTPPEQKQPSVTGLSYVAATSNDKQALSHDLFSFESNDEPTWFQSSKDNASVTNQSTTTSPDTGSSQLWHSFDDVDGVVSHDQCYAQPQNDDHRNVVNISLSTSNPFMCSVVSKESHDDDPHEVLMDGLSPNTLLAASAQPSVEGTSTEHTPLNPFDLPFDTHSGTPDLFMDMSSLQEALPNPDLPTFLDGLPETWFSSSSRAYVPSASHGGLPCLVEQAPNSPLRNIPLPVGTASTGNPFA >PAN49899 pep chromosome:PHallii_v3.1:9:63340408:63343537:-1 gene:PAHAL_9G483100 transcript:PAN49899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFASRKEEERNERIVRGLLKLPPNRRCVNCNGLGPQYVCTSFWTFVCVSCSGIHREFTHRVKSVSMSTFSTPEVEALQKGGNQRARESFLKDFDTQKMRLPDSSNIGNLREFIKAVYVERRYAGGRFSERPPRDKQNQKAHEEEHRRASSYHSFSQSPPYDYQYEEQRNGKQSAFLSRKPGSDRGLDGKISGFAYSSHSLHERMSEDRFAGESCGSRTSNFSGSSMSDTVRTAPQSPNFPDNGWFSAPVLQDQSNQQSSYGLTSSQITMSAGNIDSISPKLGKSSLSDLIFEDDNVQRTQKSANSAAPSFIAFSDAISAPNQDHVNSTAAKKHGVTTLEQPIDLFANMPTETLSADKVIPAAAAPSIDNSGWATFDTPPEQKQPSVTGLSYVAATSNDKQALSHDLFSFESNDEPTWFQSSKDNASVTNQSTTTSPDTGSSQGLMHYEVLL >PAN49897 pep chromosome:PHallii_v3.1:9:63338614:63342936:-1 gene:PAHAL_9G483100 transcript:PAN49897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFASRKEEERNERIVRGLLKLPPNRRCVNCNGLGPQYVCTSFWTFVCVSCSGIHREFTHRVKSVSMSTFSTPEVEALQKGGNQRARESFLKDFDTQKMRLPDSSNIGNLREFIKAVYVERRYAGGRFSERPPRDKQNQKAHEEEHRRASSYHSFSQSPPYDYQYEEQRNGKQSAFLSRKPGSDRGLDGKISGFAYSSHSLHERMSEDRFAGESCGSRTSNFSGSSMSDTVRTAPQSPNFPDNGWFSAPVLQDQSNQQSSYGLTSSQITMSAGNIDSISPKLGKSSLSDLIFEDDNVQRTQKSANSAAPSFIAFSDAISAPNQDHVNSTAAKKHGVTTLEQPIDLFANMPTETLSADKVIPAAAAPSIDNSGWATFDTPPEQKQPSVTGLSYVAATSNDKQALSHDLFSFESNDEPTWFQSSKDNASVTNQSTTTSPDTGSSQLWHSFDDVDGVVSHDQCYAQPQNDDHRNVVNISLSTSNPFMCSVVSKEGTSTEHTPLNPFDLPFDTHSGTPDLFMDMSSLQEALPNPDLPTFLDGLPETWFSSSSRAYVPSASHGGLPCLVEQAPNSPLRNIPLPVGTASTGNPFA >PAN48178 pep chromosome:PHallii_v3.1:9:53393243:53401077:-1 gene:PAHAL_9G365500 transcript:PAN48178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSVYEGWMVRYGRRKIGRSFVHTRYFVLEPRMLSYYKRKPQHKADKVGGKLPIKSLPIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHNRITMAAFNIQEALIWKEKIEMVIDQQQGAAQIDGNRAISSSQQKASLENGRKSSSSDHESQYSNEEEEEEEDNQRSLLRRTTIGNGPPESLHDWTRENDLGISNQGSPDQVFSRGHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPMVVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAHIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLLHMLNSVAGLREWFSQSDESQVLPRIPVMVNMTPSVSSKKGRKAQENTTQTSLPMDPSRHSTVLEEESDEDDEFLIPESEQEPSTREDAEDVRQPGRDDEDSDHIDLSGFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFVYDKSKVPAGKPLMELVAVDWFKDTKRMDHVARRKGCAVQVAAEKGLFALAINLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRMSHIELSSAIVPVLED >PAN48180 pep chromosome:PHallii_v3.1:9:53393243:53401078:-1 gene:PAHAL_9G365500 transcript:PAN48180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSVYEGWMVRYGRRKIGRSFVHTRYFVLEPRMLSYYKRKPQHKADKVGGKLPIKSLPIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHNRITMAAFNIQEALIWKEKIEMVIDQQQGAAQIDGNRAISSSQQKASLENGRKSSSSDHESQYSNEEEEEEEDNQRSLLRRTTIGNGPPESLHDWTRENDLGISNQGSPDQVFSRGHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPMVVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAHIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLLHMLNSVAGLREWFSQSDESQVLPRIPVMVNMTPSVSSKKGRKAQENTTQTSLPMDPSRHSTVLEEESDEDDEFLIPESEQEPSTREDAEDVRQPDHIDLSGFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFVYDKSKVPAGKPLMELVAVDWFKDTKRMDHVARRKGCAVQVAAEKGLFALAINLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRMSHIELSSAIVPVLED >PAN46909 pep chromosome:PHallii_v3.1:9:14620380:14621845:1 gene:PAHAL_9G223900 transcript:PAN46909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGVVLKFKQSWRQNVSLAPRSSCFPKSVCGCGEQKTKRVEVGMKRQQHRKNYPSGIRSAGGQTTLQSFLVKPRVVDDDVKPSPLPPPEVGEEEAQICPPEPPKREIVRVIRTTIKEKASAFSSVGSAGKDGGGEAGGALSAAVFKRFHSSAPVARAEGDRAEAGEDGERDFGGGGDVRLDVEEIGAASRPEPRNKRKSPLGGDEHGGDAKARRVVVLGDDPRPRPAWRRGRARPTRGGGEGGRALYNHYASGGGWWHGDMEGVDGEEVGWTDDMWEGMGSVTLGGLEWH >PVH31750 pep chromosome:PHallii_v3.1:9:14620380:14621845:1 gene:PAHAL_9G223900 transcript:PVH31750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGVVLKFKQSWRQNVSLAPRSSCFPKSVCGCGEQKTKRVEVGMKRQQHRKNYPSGIRSAGGQTTLQSFLVKPRLRIKSTRRVVDDDVKPSPLPPPEVGEEEAQICPPEPPKREIVRVIRTTIKEKASAFSSVGSAGKDGGGEAGGALSAAVFKRFHSSAPVARAEGDRAEAGEDGERDFGGGGDVRLDVEEIGAASRPEPRNKRKSPLGGDEHGGDAKARRVVVLGDDPRPRPAWRRGRARPTRGGGEGGRALYNHYASGGGWWHGDMEGVDGEEVGWTDDMWEGMGSVTLGGLEWH >PAN51594 pep chromosome:PHallii_v3.1:9:71324763:71330331:-1 gene:PAHAL_9G605900 transcript:PAN51594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPASSYDCSFKVLLIGDSAVGKSSLLVSFVSAAHIDEDITPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAHGIILVYDVTKRESFTNLADVWTKEIELHSTNKECVKILVGNKVDKDEDRVVTREEGLAFAQEYGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNTLKQKQENANQSGGCCQ >PAN46640 pep chromosome:PHallii_v3.1:9:12782700:12786244:-1 gene:PAHAL_9G200900 transcript:PAN46640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEKEEETGRPCAGYRHGPPWVFNGSALYQLHLVKASTARAFVPRDLRLVEAFGYTLGGMFLARYHDSPAGAFDELVVIAGIVWNPPTSCAWAARVLVNSVEACRHGRKEVGLPSHVATFSKTEASALGDEPLAKPNGFLSVLGIGSTVPKQENRREIEISETKGSSTKHLCNISMPLTGSHKHHKWMGPAIRMSLPSFSGQTEDHPDLLKYSCKVECRVRPVKPARIWNPRTSEPQECSDGKINSVGSNVLADSDAQSQSISVLLSKPIFALEFSSLRMHVDAPKIVVPQCKKKEVGYSST >PAN46639 pep chromosome:PHallii_v3.1:9:12782768:12786148:-1 gene:PAHAL_9G200900 transcript:PAN46639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEKEEETGRPCAGYRHGPPWVFNGSALYQLHLVKASTARAFVPRDLRLVEAFGYTLGGMFLARYHDSPAGAFDELVVIAGIVWNPPTSCAWAARVLVNSVEACRHGRKEVGLPSHVATFSKTEASALGDEPLAKPNGFLSVLGIGSTVPKQENRREIEISETKGSSTKHLCNISMPLTVATGSHKHHKWMGPAIRMSLPSFSGQTEDHPDLLKYSCKVECRVRPVKPARIWNPRTSEPQECSDGKINSVGSNVLADSDAQSQSISVLLSKPIFALEFSSLRMHVDAPKIVVPQCKKKEVGYSST >PVH32160 pep chromosome:PHallii_v3.1:9:44030590:44032078:1 gene:PAHAL_9G335100 transcript:PVH32160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKPIKKMLKKISSASSSQHSQSLASPWDDMSVDSSRRTSVSKEDMTPKVPRSHVLIRIRILEMIEQIVARNDYERRR >PVH32457 pep chromosome:PHallii_v3.1:9:57006315:57008318:1 gene:PAHAL_9G400400 transcript:PVH32457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRERVEGAVRQYNRSKVPRLRWTPDLHRRFVQAIHNLGGQHKATPKRVLQMMGVGGLTISHVKSHLQMYRNMRTDDLDMKEMQQVVDRTQMFAGGVRVWTDMAEQDQHGYYCWWCCYSQKESLLHDLQLKRPVSDSEMRTTQKARLQLQVQRQEGLLRGHGMRDGDVPFGMRCGRRSDYHHQAGVGYHCTRATVDEGQQLRSRAWRCPTPTAAAADGGEQHAAPAPDTPPGPLCFLQEQGGEAICGHDATAGNSRWPAAVKKRGGEDRERSSPSLSLTLDSGCCGSRDEADSGRRNSQGSFASSPSTGSAGRGARGCSGQHGGRDRISLDLSLSMPMYT >PVH32627 pep chromosome:PHallii_v3.1:9:60924019:60927281:-1 gene:PAHAL_9G446000 transcript:PVH32627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTARASAVACLLLALAASAAGAGSHSPAPAPAVDCFAAASSLIDCMGYVSPGSTEKTPSKACCGEVKTAVANPTTVSCLCTLAGSKDFPVPIDMKRVLALPGACGASNAAFSKCHISAGSPTGAPAPSATGGSSSGGATTTPPPQPAAASSPTTATALVAAVAAPLLAYCYLF >PVH32436 pep chromosome:PHallii_v3.1:9:56759431:56764112:1 gene:PAHAL_9G397100 transcript:PVH32436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPQVLMLREKNESLEKQQAKLLEEIKEHKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPAPGWSCSGDHTSSTHLYTGLQNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32440 pep chromosome:PHallii_v3.1:9:56759431:56764112:1 gene:PAHAL_9G397100 transcript:PVH32440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPCPQCGKRYKENNIINLYVPEIAVPNNDLETQVLMLREKNESLEKQQAKLLEEIKEHKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPAPGWSCSGDHTSSTHLYTGLQNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDSSNVQVWALFRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32443 pep chromosome:PHallii_v3.1:9:56759431:56764113:1 gene:PAHAL_9G397100 transcript:PVH32443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPCPQCGKRYKENNIINLYVPEIAVPNNDLETQVLMLREKNESLEKQQAKLLEEIKEHKVLAQKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32437 pep chromosome:PHallii_v3.1:9:56759431:56764112:1 gene:PAHAL_9G397100 transcript:PVH32437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPQVLMLREKNESLEKQQAKLLEEIKEHKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPAPGWSCSGDHTSSTHLYTGLQNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDSSNVQVWALFRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32441 pep chromosome:PHallii_v3.1:9:56759431:56764112:1 gene:PAHAL_9G397100 transcript:PVH32441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPCPQCGKRYKENNIINLYVPEIAVPNNDLETQVLMLREKNESLEKQQAKLLEEIKEHKVLAQKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPAPGWSCSGDHTSSTHLYTGLQNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDSSNVQVWALFRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32439 pep chromosome:PHallii_v3.1:9:56759431:56764112:1 gene:PAHAL_9G397100 transcript:PVH32439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPCPQCGKRYKENNIINLYVPEIAVPNNDLETQVLMLREKNESLEKQQAKLLEEIKEHKVLAQKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPAPGWSCSGDHTSSTHLYTGLQNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32434 pep chromosome:PHallii_v3.1:9:56759431:56764112:1 gene:PAHAL_9G397100 transcript:PVH32434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPQVLMLREKNESLEKQQAKLLEEIKEHKVLAQKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPAPGWSCSGDHTSSTHLYTGLQNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32442 pep chromosome:PHallii_v3.1:9:56759431:56764113:1 gene:PAHAL_9G397100 transcript:PVH32442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPCPQCGKRYKENNIINLYVPEIAVPNNDLETQVLMLREKNESLEKQQAKLLEEIKEHKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32435 pep chromosome:PHallii_v3.1:9:56759431:56764112:1 gene:PAHAL_9G397100 transcript:PVH32435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPCPQCGKRYKENNIINLYVPEIAVPNNDLETQVLMLREKNESLEKQQAKLLEEIKEHKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPAPGWSCSGDHTSSTHLYTGLQNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PVH32438 pep chromosome:PHallii_v3.1:9:56759431:56764112:1 gene:PAHAL_9G397100 transcript:PVH32438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRRRRPRRAGPRLTVVLVSSSSSDEEGGEEEEEEEEEEEEEESEEEEEEEEEEEEEEEEEEESEGPRRRGGGGGEARVSATEGKAVASGGEAEGPNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLLQCGKKKAPQVLMLREKNESLEKQQAKLLEEIKEHKVLAQKRQIMLHQNVIYESTSKKQKMTELTSDGTPDAEPIASLTEDIDHRNLCSFVLQNEFLVDGARVMGIDASSQVILTSGRGPGVGAEHILTKISMFARQGMQKIDLPPDTKAIRDICILPRGHAVFASLGRKLSLFSMATNNVVLQYNLPAPGWSCSGDHTSSTHLYTGLQNGMLLVFDIRQTSAPLHCMMGLSTHPVHTIRSAVDGSGSRKVFSASSIGPCIWDVDGGEDSSNVQVWALFRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELPDDSATPQAITPQSPAPTGSGKLGHHTLLRRATTTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSAEKLQVFTVR >PAN49644 pep chromosome:PHallii_v3.1:9:61892012:61894342:-1 gene:PAHAL_9G462600 transcript:PAN49644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEEEAVEEEEYGEEMAASESEAEDVVVGQMPTVMVPKHINKRSLKNKALSVTLDKKALKDFVTGFHKRKKKRRKEAQKVLQEKERKKRIEERKRRKQEKEIALYGRVLSSDDAGLENEDIGDDGEEINDESLSEIKIYEDDGTRITVTTSEITPEDDDIGPRTISGPMSTSYTSKNPSSVAKKNSSLGVKKKPQKRMFKNKSKAKKGDKKRGAVKGKRKGKGRK >PAN45197 pep chromosome:PHallii_v3.1:9:5836112:5844636:-1 gene:PAHAL_9G100900 transcript:PAN45197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAPPSQPGQTTTYVSQPTKSSAAISGRPATASSMSHSQGFHQGSSGVFGYSSDGFDRPDSSQDHPQQHVAQQSRRDKLRVQGFDPAAAAGHGLLPIDGDEHAEPGAMYEHAAAAAGASNMLSEMFNFPAPPSGPSATELLASQMNANYRFGLRQGPGGVGGLSGDGGWFGAGAAGRAGLVLGGANMGSLGETSSPKQQGSMAGLATDPAAAMQLFLMNPQQSRSSPTSPQPSDVQQHHEAFQAFGGAAAPFGGGGGAGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQGPSVQQQQQLPMALHGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARSGRGASASNPSAGKGGGGASSSGAAQSPSSASKEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSAGGGGPESGNDPSGADDTHSPTTTGAVAVQQLSQQQQQQHGTAAPGMMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRMRYGPGATGAAAGDVSLTLGLQHAGAGNAGPDGTDRFSLRDYSGC >PAN45198 pep chromosome:PHallii_v3.1:9:5836821:5845528:-1 gene:PAHAL_9G100900 transcript:PAN45198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAPPSQPGQTTTYVSQPTKSSAAISGRPATASSMSHSQGFHQGSSGVFGYSSDGFDRPDSSQDHPQQHVAQQSRRDKLRVQGFDPAAAAGHGLLPIDGDEHAEPGAMYEHAAAAAGASNMLSEMFNFPAPPSGPSATELLASQMNANYRFGLRQGPGGVGGLSGDGGWFGAGAAGRAGLVLGGANMGSLGETSSPKQQGSMAGLATDPAAAMQLFLMNPQQSRSSPTSPQPSDVQQHHEAFQAFGGAAAPFGGGGGAGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQGPSVQQQQQLPMALHGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARSGRGASASNPSAGKGGGGASSSGAAQSPSSASKEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQKSKQGKPVQYYKKK >PVH31270 pep chromosome:PHallii_v3.1:9:5836044:5845508:-1 gene:PAHAL_9G100900 transcript:PVH31270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFRLGMEEDLSIFFSIWFLGECNSPVHRLVGFVLVLFYFWCFSLIRCRGGCCCWSAVQVVAAGGVRDRDPADDDDSGAAGMGIAAPPSQPGQTTTYVSQPTKSSAAISGRPATASSMSHSQGFHQGSSGVFGYSSDGFDRPDSSQDHPQQHVAQQSRRDKLRVQGFDPAAAAGHGLLPIDGDEHAEPGAMYEHAAAAAGASNMLSEMFNFPAPPSGPSATELLASQMNANYRFGLRQGPGGVGGLSGDGGWFGAGAAGRAGLVLGGANMGSLGETSSPKQQGSMAGLATDPAAAMQLFLMNPQQSRSSPTSPQPSDVQQHHEAFQAFGGAAAPFGGGGGAGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQGPSVQQQQQLPMALHGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARSGRGASASNPSAGKGGGGASSSGAAQSPSSASKEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSAGGGGPESGNDPSGADDTHSPTTTGAVAVQQLSQQQQQQHGTAAPGMMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRMRYGPGATGAAAGDVSLTLGLQHAGAGNAGPDGTDRFSLRDYSGC >PAN45196 pep chromosome:PHallii_v3.1:9:5835490:5845692:-1 gene:PAHAL_9G100900 transcript:PAN45196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAPPSQPGQTTTYVSQPTKSSAAISGRPATASSMSHSQGFHQGSSGVFGYSSDGFDRPDSSQDHPQQHVAQQSRRDKLRVQGFDPAAAAGHGLLPIDGDEHAEPGAMYEHAAAAAGASNMLSEMFNFPAPPSGPSATELLASQMNANYRFGLRQGPGGVGGLSGDGGWFGAGAAGRAGLVLGGANMGSLGETSSPKQQGSMAGLATDPAAAMQLFLMNPQQSRSSPTSPQPSDVQQHHEAFQAFGGAAAPFGGGGGAGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQGPSVQQQQQLPMALHGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARSGRGASASNPSAGKGGGGASSSGAAQSPSSASKEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSAGGGGPESGNDPSGADDTHSPTTTGAVAVQQLSQQQQQQHGTAAPGMMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRMRYGPGATGAAAGDVSLTLGLQHAGAGNAGPDGTDRFSLRDYSGC >PAN45199 pep chromosome:PHallii_v3.1:9:5836852:5844636:-1 gene:PAHAL_9G100900 transcript:PAN45199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAPPSQPGQTTTYVSQPTKSSAAISGRPATASSMSHSQGFHQGSSGVFGYSSDGFDRPDSSQDHPQQHVAQQSRRDKLRVQGFDPAAAAGHGLLPIDGDEHAEPGAMYEHAAAAAGASNMLSEMFNFPAPPSGPSATELLASQMNANYRFGLRQGPGGVGGLSGDGGWFGAGAAGRAGLVLGGANMGSLGETSSPKQQGSMAGLATDPAAAMQLFLMNPQQSRSSPTSPQPSDVQQHHEAFQAFGGAAAPFGGGGGAGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQGPSVQQQQQLPMALHGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARSGRGASASNPSAGKGGGGASSSGAAQSPSSASKEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQKSKQGKPVQYYKKK >PVH31508 pep chromosome:PHallii_v3.1:9:10275798:10276695:-1 gene:PAHAL_9G164900 transcript:PVH31508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVHIKEEPTDGQHVSSESSMKEISDGSLNNNDSGGPSDPPYIVPSRSCLSRSQKKIVEAKVRAIQSEAPIYIVIMKSSSIVVSKQMLEFGAHYAAAYLPAREQTMVLQCKGKIWNTDMVIRNGHRLFLRGGWPKFVCDNGLRLGDICLFQLKKNESKLTMEVHVISREEF >PVH31509 pep chromosome:PHallii_v3.1:9:10275798:10276695:-1 gene:PAHAL_9G164900 transcript:PVH31509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQVRRWLPQSILRRSRQMVSRMNTTTIRSFLDHPFLMVEKLFAGQHVSSESSMKEISDGSLNNNDSGGPSDPPYIVPSRSCLSRSQKKIVEAKVRAIQSEAPIYIVIMKSSSIVVSKQMLEFGAHYAAAYLPAREQTMVLQCKGKIWNTDMVIRNGHRLFLRGGWPKFVCDNGLRLGDICLFQLKKNESKLTMEVHVISREEF >PVH32291 pep chromosome:PHallii_v3.1:9:53014959:53015165:1 gene:PAHAL_9G362300 transcript:PVH32291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIVALLIASLFVDKADSELSFLPRITSIHALQIISLEFTTSSIANPTLSRQSHKPLIHSRSIAVGE >PAN49815 pep chromosome:PHallii_v3.1:9:62888633:62894236:1 gene:PAHAL_9G477300 transcript:PAN49815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKKRNKKKKGNQGKNAVDVTSNAGEAAPQHHNHESAPKDHYKGSDADDAMSSVGEGVPQYQNHEPTLHSDHNGTNAHDSTSSIGEGIPCYQDTEPTLTQENHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHVTIKQLEDEKSLWLQKGNTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDELIKKEEVLGNKVRCIDDINGTLTRHEALLKERLSELEETNKNLVAQVKVLEEASSNTSAENHTLVKKMDELDSRLQALEARAALSEASEKGNELIAERDLSSSVELITDNSYRQINNTPSNAYASNHPQETSIQLPEIGTSNSIAQAHVDVNEHRFDGPTSEEIVPVPLDDIQIHEDDPRQPGAEDEIDEVPFSDAPITGAPFRLISFVARYVSGADLVNKK >PVH32747 pep chromosome:PHallii_v3.1:9:62888633:62894261:1 gene:PAHAL_9G477300 transcript:PVH32747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKKRNKKKKGNQGKNAVDVTSNAGEAAPQHHNHESAPKDHYKGSDADDAMSSVGEGVPQYQNHEPTLHSDHNGTNAHDSTSSIGEGIPCYQDTEPTLTQENHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHVTIKQLEDEKSLWLQKGNTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDELIKKEEVLGNKVRCIDDINGTLTRHEVKVLEEASSNTSAENHTLVKKMDELDSRLQALEARAALSEASEKGNELIAERDLSSSVELITDNSYRQINNTPSNAYASNHPQETSIQLPEIGTSNSIAQAHVDVNEHRFDGPTSEEIVPVPLDDIQIHEDDPRQPGAEDEIDEVPFSDAPITGAPFRLISFVARYVSGADLVNKK >PVH32748 pep chromosome:PHallii_v3.1:9:62888633:62894261:1 gene:PAHAL_9G477300 transcript:PVH32748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKKRNKKKKGNQGKNAVDVTSNAGEAAPQHHNHESAPKDHYKGSDADDAMSSVGEGVPQYQNHEPTLHSDHNGTNAHDSTSSIGEGIPCYQDTEPTLTQENHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHVTIKQLEDEKSLWLQKGNTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDELIKKEEVLGNKVRCIDDINGTLTRHEVKVLEEASSNTSAENHTLVKKMDELDSRLQALEARAALSEASEKVSDNKVIDPMNLSSPLHQQTIGFTEAMIKGNELIAERDLSSSVELITDNSYRQINNTPSNAYASNHPQETSIQLPEIGTSNSIAQAHVDVNEHRFDGPTSEEIVPVPLDDIQIHEDDPRQPGAEDEIDEVPFSDAPITGAPFRLISFVARYVSGADLVNKK >PAN49816 pep chromosome:PHallii_v3.1:9:62888633:62894236:1 gene:PAHAL_9G477300 transcript:PAN49816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKKRNKKKKGNQGKNAVDVTSNAGEAAPQHHNHESAPKDHYKGSDADDAMSSVGEGVPQYQNHEPTLHSDHNGTNAHDSTSSIGEGIPCYQDTEPTLTQENHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHVTIKQLEDEKSLWLQKGNTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDELIKKEEVLGNKVRCIDDINGTLTRHEALLKERLSELEETNKNLVAQVKVLEEASSNTSAENHTLVKKMDELDSRLQALEARAALSEASEKVSDNKVIDPMNLSSPLHQQTIGFTEAMIKGNELIAERDLSSSVELITDNSYRQINNTPSNAYASNHPQETSIQLPEIGTSNSIAQAHVDVNEHRFDGPTSEEIVPVPLDDIQIHEDDPRQPGAEDEIDEVPFSDAPITGAPFRLISFVARYVSGADLVNKK >PVH32749 pep chromosome:PHallii_v3.1:9:62888633:62894261:1 gene:PAHAL_9G477300 transcript:PVH32749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKKRNKKKKGNQGKNAVDVTSNAGEAAPQHHNHESAPKDHYKGSDADDAMSSVGEGVPQYQNHEPTLHSDHNGTNAHDSTSSIGEGIPCYQDTEPTLTQENHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHVTIKQLEDEKSLWLQKGNTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDELIKKEEVLGNKVRCIDDINGTLTRHEVKVLEEASSNTSAENHTLVKKMDELDSRLQALEARAALSEASEKVSDNKVIDPMNLSSPLHQQTIGFTEAMIKGNELIAERDLSSSVELITDNSYRQINNTPSNAYASNHPQETSIQLPEIGTSNSIAQAHVDVNEHRFDGPTSEEIVPVPLDDIQIHEDDPRQPGAEDEIDEVPFSDAPITGAPFRLISFVARYVSGADLVNKK >PVH32746 pep chromosome:PHallii_v3.1:9:62888633:62894236:1 gene:PAHAL_9G477300 transcript:PVH32746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKKRNKKKKGNQGKNAVDVTSNAGEAAPQHHNHESAPKDHYKGSDADDAMSSVGEGVPQYQNHEPTLHSDHNGTNAHDSTSSIGEGIPCYQDTEPTLTQENHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHVTIKQLEDEKSLWLQKGNTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDELIKKEEVLGNKVRCIDDINGTLTRHEALLKERLSELEETNKNLVAQVKVLEEASSNTSAENHTLVKKMDELDSRLQALEARAALSEASEKGNELIAERDLSSSVELITDNSYRQINNTPSNAYASNHPQETSIQLPEIGTSNSIAQAHVDVNEHRFDGPTSEEIVPVPLDDIQIHEDDPRQPGAEDEIDEVPFSDAPITGAPFRLISFVARYVSGADLVNKK >PVH32745 pep chromosome:PHallii_v3.1:9:62888633:62894236:1 gene:PAHAL_9G477300 transcript:PVH32745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKKRNKKKKGNQGKNAVDVTSNAGEAAPQHHNHESAPKDHYKGSDADDAMSSVGEGVPQYQNHEPTLHSDHNGTNAHDSTSSIGEGIPCYQDTEPTLTQENHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHVTIKQLEDEKSLWLQKGNTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDELIKKEEVLGNKVRCIDDINGTLTRHEALLKERLSELEETNKNLVAQVKVLEEASSNTSAENHTLVKKMDELDSRLQALEARAALSEASEKVSDNKVIDPMNLSSPLHQQTIGFTEAMIKGNELIAERDLSSSVELITDNSYRQINNTPSNAYASNHPQETSIQLPEIGTSNSIAQAHVDVNEHRFDGPTSEEIVPVPLDDIQIHEDDPRQPGAEDEIDEVPFSDAPITGAPFRLISFVARYVSGADLVNKK >PAN44144 pep chromosome:PHallii_v3.1:9:1153381:1158613:-1 gene:PAHAL_9G020500 transcript:PAN44144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKPASKGHGFFGLFDWGKKSKKRIFVGSTSSSPDPKNAGDGKDVDDSTPSTQSNSILEDAPSLKESSEHSCSSSVIDEETQARRCPTVVARLMGLDSMPAASSSESNPMPSTAQQPFQANNRDDSTGRSYVGSPHKMPGSPIDRFKMEALPPRLAKRTLSVAQYKLLSPMKNPNNISSRNAADIMEAASRIIRPGVENISSYRVHDVGHANAARAYNPGEIIGVQQRSQKLNKALGKRDGPASFRPPNEKHLDGRSRSSEGASSSRISQSNGCAPVGPKVKPSNRSSNVAQAIRAQGKEGTRKGGRRLETRRNPENSLVERYGFNQQKDNNQMVTTSSSSMLVPNNRKQNAVVTKHKVNSNPANPSRQRSNIHPVNASPRKVGAAGTFAGISTQASRKVDLQPTAHANVRNNSIAKAIPKPRRLQNRRLYSDTSQSSDSINSDRSQRRIRHNIVIDEQSSFSTNKKKISTEIVSFTFTSPVDKSLHGTHFPNHSVEKQFKNLNAVSTSSNTSNTKLDVIDGDYLGLLLEQKLRELTSGVRSPYSKAAKGVKVYGTSTALEDTASACETSSIASTDYDRESLQSFNAGKATVPQTDLATKSGQPFQPAKDDHDATDRAEFEHLHLSPLSMWEASISTETCSSSESWRSANGTRLFSSTEGATTSGSTHFNKFLEADASSEYSDTASSITVATAEIPRSESSSSCHMDHRQEVEFIREILKDSSSCLERFGDSDILDPHLLEELNGSTRLLAGNEGKGYRLRRRLLFDCVNELLTVKCAYYFNAGYSSWFMGMAVLQNLSAEEIHRDMTSLKVAEEWMVDELVYREMSTPLGSWVDFKMDSYQAGGDIVSELLGSLIDEVVGDLLTDSFL >PVH32777 pep chromosome:PHallii_v3.1:9:63372821:63373741:1 gene:PAHAL_9G483800 transcript:PVH32777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDSSPVMTDSERRAYRYGHPAAPRLRGMRKSWSNDSLAGYGRASCVCAPTTHPGSFRCKHHRHAATNLGAAAAAPAPDAEAKHDEAQQTAPDADQQADKSS >PAN49911 pep chromosome:PHallii_v3.1:9:63372801:63373829:1 gene:PAHAL_9G483800 transcript:PAN49911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDSSPVMTDSERRAYRYGHPAAPRLRGMRKSWSNDSLAGYGRASCVCAPTTHPGSFRCKHHRHAATNLGAAAAAPAPDAEAKHDEAQQTAPDADQQADKSS >PVH32778 pep chromosome:PHallii_v3.1:9:63372801:63373777:1 gene:PAHAL_9G483800 transcript:PVH32778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDSSPVMTDSERRAYRYGHPAAPRLRGMRKSWSNDSLAGYGRASCVCAPTTHPGSFRCKHHRHAATNLGAAAAAPAPDAEAKHDEAQQTAPDADQQADKSS >PVH32614 pep chromosome:PHallii_v3.1:9:60759528:60762123:1 gene:PAHAL_9G443500 transcript:PVH32614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLRERFGFPADCILVLTQEDGDPYRVPTRGNLMRALRWLVDGATAGDSLVFHFSGHGVQKLDNNGDEADGYDEALCPVDFEDPRGGVILDDEINATIVRPLGRGVKLHAIVDTCHSGTILDLPYLCRLSRTGYWQWENQQTRFSSEQKCTSGGLAISISGCGDSQTSQDTTAFSGSTSTGAMTYSFIKAVESEPGTTYGRLLTAMRATIRDNGGELGIPGPIGTFFRRVITFSCAQEPQLCASETFDIYRKPFLL >PVH31207 pep chromosome:PHallii_v3.1:9:4760851:4761669:1 gene:PAHAL_9G082600 transcript:PVH31207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEVQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMVYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRNAWRLAINVPEP >PAN45249 pep chromosome:PHallii_v3.1:9:6102487:6106809:1 gene:PAHAL_9G105200 transcript:PAN45249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to HOS13 protein (Fragment) [Source: Projected from Oryza sativa (Os03g0727200)] MEDLSDLGGRGHSGAARPTPRPSLPSSSKVSVQVYTVPSSASGGGAGAQVVAPATLHESGGSGHGGRAVDLDPVKAKIVSHPRYHGLLAAFLDCHKVGCPPEAAAEIAAAAREREAWQRAAAAGDDARTGPDPELDQFMDSYCELLVAWKEELSRPLREAKEFLTTVESQLNSITSTGPPLGALISADDKVGLNDLSDDNEEEGSGMESEEALGIDPCSDDKELKRRLLKKYRGSLGNLRKELCKKRKKGKLPKEARQKLLSWWELHYRWPYPSEMEKIALAESTGLEPKQINNWFINQRKRHWKPSEEMQFAVMDRFHPAPQSAAAFYVDARLVGAAAPAMFFARPDHEAHDPWHN >PAN45766 pep chromosome:PHallii_v3.1:9:8635574:8636818:-1 gene:PAHAL_9G140700 transcript:PAN45766 gene_biotype:protein_coding transcript_biotype:protein_coding description:dUTP-PYROPHOSPHATASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT3G46940) UniProtKB/TrEMBL;Acc:A0A1I9LM85] MAGNFGAICSRAAASLFSPRRRRRLLLLSTPAPPRFLPFSHRLHPGTFSTTAMAASNGAAATDAVQEPPQKISKLAPLLKVKKLSDKAVLPSRGSALAAGYDLSSAAEMVVPARGKALVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDTDFAVKPGDRIAQMIIEVIAAPEVAEVEDLDATVRGEGGFGSTGV >PVH32769 pep chromosome:PHallii_v3.1:9:63273962:63277097:-1 gene:PAHAL_9G482100 transcript:PVH32769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAASCRSPLAWLFALAAALFFASWYLLLDSTAGPAAAARAYQGLRLGVSGGRRPGPGRKCDPARALLRVYMYDLPPEFHFGLLDWKPPGFGGGVWPDVRGAVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCAAVRVRRHADADVVFVPFFASLSFNRHSRVVPPARDSEDRALQRRLLEFLAARPEWRRTGGRDHVVLAHHPNGMLDARYRLWPCVFVLCDFGRYPPSVANLDKDVIAPYRHVVANFANDTAGYDDRPTLLYFQGAIYRKDGGSIRQELYYLLKDEKDVHFSFGSVAGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPVIISDEIELPFEDVLVYSKFSVIVRGADAVKKGFLMNLIRGIGREEWTLMWNRLKEVEKHFEYQYPSQTDDAVQMIWKTIARKVPSIRLKINRLRRFPWFETNKTDESPLHSSSWLQNQGP >PVH31798 pep chromosome:PHallii_v3.1:9:15726920:15730966:-1 gene:PAHAL_9G235500 transcript:PVH31798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQAQDDDALFVLQLLEEADLDEWLSGGLHQSPLQDTVSHHKMEGRKSGRRGMRKRRISPWDTFIFGGTTLGRNRKYITRKNNSRWTGQVAKFVESLPGKCTKIYAAGSGATIGRTDQEAGSQTSISETKAQLVHLEIKVQQNPSFQKHLESAVNLTIWRAILQQDMNIERMVFFPSFSDLYFI >PVH31799 pep chromosome:PHallii_v3.1:9:15726961:15730075:-1 gene:PAHAL_9G235500 transcript:PVH31799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQAQDDDALFVLQLLEEADLDEWLSGGLHQSPLQDTVSHHKMEGRKSGRRGMRKRRISPWDTFIFGGTTLGRNRKYITRKNNSRWTGKEVKLLVQGVSKFGVGRWSEMKKKYFKTSVRTSVNLKDKWRNLLRAYQENVQKYTLLDLEPPLVEQIRKLAAKHPYPKQRHNWYI >PAN47121 pep chromosome:PHallii_v3.1:9:15726962:15730899:-1 gene:PAHAL_9G235500 transcript:PAN47121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPEIKFCSPEMEQTGLFWAPVQQEEQGLDGHLGDLQFSLASGTACELQMENYQAQDDDALFVLQLLEEADLDEWLSGGLHQSPLQDTVSHHKMEGRKSGRRGMRKRRISPWDTFIFGGTTLGRNRKYITRKNNSRWTGKEVKLLVQGVSKFGVGRWSEMKKKYFKTSVRTSVNLKDKWRNLLRAYQENVQKYTLLDLEPPLVEQIRKLAAKHPYPKQRHNWYI >PVH31800 pep chromosome:PHallii_v3.1:9:15727165:15730899:-1 gene:PAHAL_9G235500 transcript:PVH31800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPEIKFCSPEMEQTGLFWAPVQQEEQGLDGHLGDLQFSLASGTACELQMENYQAQDDDALFVLQLLEEADLDEWLSGGLHQSPLQDTVSHHKMEGRKSGRRGMRKRRISPWDTFIFGGTTLGRNRKYITRKNNSRWTGKEVKLLVQGVSKFGVGRWSEMKKKYFKTSVRTSVNLKDKWRNLLRAYQENVQKYTLLDLEPPLVEQIRKLAAKHPYPKQRHNWYI >PVH31801 pep chromosome:PHallii_v3.1:9:15727965:15729213:-1 gene:PAHAL_9G235500 transcript:PVH31801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQAQDDDALFVLQLLEEADLDEWLSGGLHQSPLQDTVSHHKMEGRKSGRRGMRKRRISPWDTFIFGGTTLGRNRKYITRKNNSRWTGKEVKLLVQGVSKFGVGRWSEMKKKYFKTSVRTSVNLKDKWRNLLRAYQENVQKYTLLDLEPPLVEQIRKLAAKHPYPKQRHS >PVH31803 pep chromosome:PHallii_v3.1:9:15727613:15730899:-1 gene:PAHAL_9G235500 transcript:PVH31803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPEIKFCSPEMEQTGLFWAPVQQEEQGLDGHLGDLQFSLASGTACELQMENYQAQDDDALFVLQLLEEADLDEWLSGGLHQSPLQDTVSHHKMEGRKSGRRGMRKRRISPWDTFIFGGTTLGRNRKYITRKNNSRWTGQVAKFVESLPGKCTKIYAAGSGATIGRTDQEAGSQTSISETKAQLRITTQSLYRF >PAN47118 pep chromosome:PHallii_v3.1:9:15727965:15730620:-1 gene:PAHAL_9G235500 transcript:PAN47118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPEIKFCSPEMEQTGLFWAPVQQEEQGLDGHLGDLQFSLASGTACELQMENYQAQDDDALFVLQLLEEADLDEWLSGGLHQSPLQDTVSHHKMEGRKSGRRGMRKRRISPWDTFIFGGTTLGRNRKYITRKNNSRWTGKEVKLLVQGVSKFGVGRWSEMKKKYFKTSVRTSVNLKDKWRNLLRAYQENVQKYTLLDLEPPLVEQIRKLAAKHPYPKQRHS >PVH31802 pep chromosome:PHallii_v3.1:9:15727165:15730135:-1 gene:PAHAL_9G235500 transcript:PVH31802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQAQDDDALFVLQLLEEADLDEWLSGGLHQSPLQDTVSHHKMEGRKSGRRGMRKRRISPWDTFIFGGTTLGRNRKYITRKNNSRWTGQVAKFVESLPGKCTKIYAAGSGATIGRTDQEAGSQTSISETKAQLRITTQSLYRF >PAN46309 pep chromosome:PHallii_v3.1:9:11071983:11075848:-1 gene:PAHAL_9G176000 transcript:PAN46309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVATVTSPSPASRRLLPSCSAAPSLLRLPRPGRRLRRALLVVSAAAAAGDEADVLPGPGGEAEAAVPGRLEEPRDEPLGGSQLDIGGLAFQGDVGGGFAGGGGGAGSGGGGGNKTLDRGINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHICEALLPFKDWWVVPAKVAFDQTIWSAVWNSIYFVVLGFLRLESPTTIYKELKSMFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARNSDGISTPDASKDNSR >PAN48497 pep chromosome:PHallii_v3.1:9:55641644:55645122:-1 gene:PAHAL_9G385500 transcript:PAN48497 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MAQWDALVDAALARLETRSLLRATRPIALAPPPAAPETFAGPGPWDRAAVEIRLDLGTLHKWLAEGGETVEQEENLDGNLILFSGNDYMGLSSHPAVREAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMMALGSISSLLAVGRKPAEDERIAIFSDALNHASIIDGIRLLERQQEALVFVYKHCDMFHLDFLLSSCSMVKKVVVTDSLFSMDGDFAPFPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAAELFDCENDIDISVGTLSKAAGCQGGFIACSCPLCIKEGEMAKISGLEACAIFCLFDQS >PAN48499 pep chromosome:PHallii_v3.1:9:55641644:55645121:-1 gene:PAHAL_9G385500 transcript:PAN48499 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MAQWDALVDAALARLETRSLLRATRPIALAPPPAAPETFAGPGPWDRAAVEIRLDLGTLHKWLAEGGETVEQEENLDGNLILFSGNDYMGLSSHPAVREAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMMALGSISSLLAVGRKPAEDERIAIFSDALNHASIIDGIRLLERQQEALVFVYKHCDMFHLDFLLSSCSMVKKVVVTDSLFSMDGDFAPFPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAAELFDCENDIDISVGTLSKAAGCQGGFIACSTRWKSLIQSRGRSFIFSTALPVPVVASVHAALYVSRKERWRRSVVWRHVQYFASLTRVDITSPIISIVVGSEEAALRAGRHLLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALTPWLPDKHAEQSYAAVSKL >PVH31434 pep chromosome:PHallii_v3.1:9:8942549:8943146:1 gene:PAHAL_9G145700 transcript:PVH31434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKVYKEPSVFNPWRWKGTPEPVGGSKNFLAFGGGVRQCVGADFAKLQMSIFLHCLLTKYRWKAISGGTMVFHPGLRFPDGFHTHLLPKD >PAN46651 pep chromosome:PHallii_v3.1:9:12837904:12840314:-1 gene:PAHAL_9G201700 transcript:PAN46651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEQQVEHRHIPIRGLNLHVAQAGKGDKGTVVFLHGFPEIWYSWRHQMVAVAAAGYRAVAPDWRGYGLSDQPPVEEEVSYDDLLDDLLGILDALSIPKAFLVGKDFGAVPAYDFALRRPDRVCGVMCLGIPFSPFTSSFATMPEGFYMLRWLEPGRAEADFDRYDVKRVVRTIYVLFSSSEIPIAKEDQEITDLADLSTPLPEWFSEEDLAVYASLYEKSGFRYPLKMPYRSLHKRHTIEDPKFQVPVFVVMGEKDYVYKLPGFASVLKDGIMGMFAPDLKIAYVPEGSHFVQEQFPDKVNELLVGFLKDHPLPVAA >PAN47991 pep chromosome:PHallii_v3.1:9:26725604:26727482:1 gene:PAHAL_9G280000 transcript:PAN47991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIMGSCRAAVSRSPVLPASSLVLARDSWKPAVAAFRPCAGVKCRRPLTVTCALPEKERPPAFSIPPTALLCPVPPPDGKERWDIKEEEDRVTLWLQVPGLSASDIEVTTGEDVLEIKRKVTAQQPAAAVDAHGVGAFHIRLLMTKEYDGTRVTADLKAGMLEVTVPKDPQRGSERVELGATVPRGKETTKKGGPDGTKPDQTPGKQKTGGLAS >PAN51639 pep chromosome:PHallii_v3.1:9:71541318:71546857:1 gene:PAHAL_9G609000 transcript:PAN51639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEQQPQLGATRVSSSSSTGSGRLVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGEYFEGQDREKMVLLEVGCGAGNTIFPLLSTYPDIFVHACDFSPRAVDLVKKHKDFRPDRLNAFVCDITSEQLTENMEPYSADIVTMIFVLSAVAPDKMPLVLQNVRSVLKHGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSKCGFTLEEICVHNKQVENRSLDLVMNRNWIQATFTLYSSGSQSLNGQRDLHVCEGKEDKLVADSSKNKSSSEEIDLSEDFCNMFGTSHKLNEVQIIGIKAKGHDFKIQMLTKEYQHTCKLTGLMLWESAQLMCSLLAENPSIIAGKRVLELGCGSAGICSMVAASSAQFVVATDGDAESLDLLRQNISSNLEPNLLDRIMIRKLFWGKKDDVREVRELSGNDTGFDCIIGTDVTYNPDAILPLFKTARELISDKSNEDARAAFILSYIQRRVDENSILSNAMAQGFKLVDKWINGVHDSNGIISSWFSGNDVCSAYQNTTLSILYFEL >PVH32002 pep chromosome:PHallii_v3.1:9:29835885:29836812:-1 gene:PAHAL_9G287100 transcript:PVH32002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKMRTTCTWTERPYEALLFPRIGFGPFLRSLGGRRRRPPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVDSFYGA >PAN50728 pep chromosome:PHallii_v3.1:9:64588792:64591039:1 gene:PAHAL_9G500800 transcript:PAN50728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAAPVSAPSPSPFMSPPEGSPSPSPHATRSMPRQSPSQHRPTAAPPRLDRPRPTTKQDEHDRSVETPVHSSHKHSWTTYGVVAAGIAVFILVSAASVLCFRAKKMGTVKPWATGLSGQLQKAFVTGVPSLKRSELETACEDFSNIIGSTSSCMLYKGTLSSGVEIAVASSLVTSAKDWSKENESQYRKKITSLSKVSHKNFMNLLGYCEEEHPFTRAMVFEYAPNGTLFEHLHIREAEKLDWNTRLRISMGIAYCLEHMHQLKTPVAPRNFDSTMIHLTDDFAAKVSDLEFWNDAKGHSSTNGDVTASLDLENVVRKYGIVLLEILTGRVPHSEEDGLLEHWASRYFNGEVRLEELIDPGIGSFPEDAARALCEVARSCIDPDPKKRPQMAEVAARMRGITALGPDGATPKVSPLWWAELEIMSSES >PAN50134 pep chromosome:PHallii_v3.1:9:64587964:64591039:1 gene:PAHAL_9G500800 transcript:PAN50134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRSPRLLLQVLFLVAASWPLGSAGIGGLGASPENNGASVSSRNSRRLLQNGDRNEDGLFHLPRTRTFSHRSRSRRRAPMPVAAPVSAPSPSPFMSPPEGSPSPSPHATRSMPRQSPSQHRPTAAPPRLDRPRPTTKQDEHDRSVETPVHSSHKHSWTTYGVVAAGIAVFILVSAASVLCFRAKKMGTVKPWATGLSGQLQKAFVTGVPSLKRSELETACEDFSNIIGSTSSCMLYKGTLSSGVEIAVASSLVTSAKDWSKENESQYRKKITSLSKVSHKNFMNLLGYCEEEHPFTRAMVFEYAPNGTLFEHLHIREAEKLDWNTRLRISMGIAYCLEHMHQLKTPVAPRNFDSTMIHLTDDFAAKVSDLEFWNDAKGHSSTNGDVTASLDLENVVRKYGIVLLEILTGRVPHSEEDGLLEHWASRYFNGEVRLEELIDPGIGSFPEDAARALCEVARSCIDPDPKKRPQMAEVAARMRGITALGPDGATPKVSPLWWAELEIMSSES >PVH33138 pep chromosome:PHallii_v3.1:9:69591300:69592050:1 gene:PAHAL_9G576700 transcript:PVH33138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPWCWRALPIDRSIPSATCESSSRWSHGTVKGPGRGWCQAGPVIFIFRVADYRSKEMCFYLTDFFGTLPWIVGALGRPATANRGIKCYHLVAADERPRKCW >PVH33194 pep chromosome:PHallii_v3.1:9:70460055:70464811:1 gene:PAHAL_9G591900 transcript:PVH33194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPEDDDDDMDRLFVRSLSFDNLSTLDTLESPTALLDALTSKRLIIRGSLSFETTDSDPFQAETTLSMVSPEPAKKSGNYKPINLPRHGSLDNLPPNSPVIAMVSPKHQAAAIKVQKVYRSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFYEVHKPETALSRWSRARTKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDIGEGKEVNMEGQCPRWKLLQQCIRYLGPKEREIYEVVVEDGKMMYRLSRKIVDTIEGPRNAKWIFVLSTTRILYIGTKSKGTFQHSSFLAGGATSAAGRLVVENGILKAVWPHSGHYRPTEANFREFMDYLKKRNVDLTNVKLSPAEDQEDEGLRHRGSLSQLNLIESSDPARQEDSKPQTPGADQDKAIANATPVTPPSTSGDTATTAGVGGGTPVMKRSSSGNRLQRKRPPRLTVNKNQLGKGAAEHGAGAFGDCLDFCKENLFRGGEGGEELVVVPQEKILHRINSKMTLHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRAGAGPARFGTSPRQSPCAPLVSPTPGGLVPPTYGAAGTPTSRLQHGAA >PAN51409 pep chromosome:PHallii_v3.1:9:70460055:70464811:1 gene:PAHAL_9G591900 transcript:PAN51409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPEDDDDDMDRLFVRSLSFDNLSTLDTLESPTALLDALTSKRLIIRGSLSFETTDSDPFQAETTLSMVSPEPAKKSGNYKPINLPRHGSLDNLPPNSPVIAMVSPKHQAAAIKVQKVYRSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFYEVHKPETALSRWSRARTKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDIGEGKEVNMEGQCPRWKLLQQCIRYLGPKEREIYEVVVEDGKMMYRLSRKIVDTIEGPRNAKWIFVLSTTRILYIGTKSKGTFQHSSFLAGGATSAAGRLVVENGILKAVWPHSGHYRPTEANFREFMDYLKKRNVDLTNVKLSPAEDQEDEGLRHRGSLSQLNLIESSDPARQEDSKPQTPGADQDKAIANATPVTPPSTSGDTATTAGVGGGTPVMKRSSSGNRLQRKRPPRLTVNKNQLGKGAAEHGAGAFGDCLDFCKENLFRGGEGGEELVVVPQEKILHRINSKMTLHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRAGAGPARFGTSPRQSPCAPLVSPTPGGLVPPTYGAAGTPTSRLQHGAA >PAN51408 pep chromosome:PHallii_v3.1:9:70460055:70464811:1 gene:PAHAL_9G591900 transcript:PAN51408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPEDDDDDMDRLFVRSLSFDNLSTLDTLESPTALLDALTSKRLIIRGSLSFETTDSDPFQAETTLSMVSPEPAKKSGNYKPINLPRHGSLDNLPPNSPVIAMVSPKHQAAAIKVQKVYRSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFYEVHKPETALSRWSRARTKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDIGEGKEVNMEGQCPRWKLLQQCIRYLGPKEREIYEVVVEDGKMMYRLSRKIVDTIEGPRNAKWIFVLSTTRILYIGTKSKGTFQHSSFLAGGATSAAGRLVVENGILKAVWPHSGHYRPTEANFREFMDYLKKRNVDLTNVKLSPAEDQEDEGLRHRGSLSQLNLIESSDPARQEDSKPQTPGADQDKAIANATPVTPPSTSGDTATTAGVGGGTPVMKRSSSGNRLQRKRPPRLTVNKNQLGKGAAEHGAGAFGDCLDFCKENLFRGGEGGEELVVVPQEKILHRINSKMTLHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRAGAGPARFGTSPRQSPCAPLVSPTPGGLVPPTYGAAGTPTSRLQHGAA >PAN51407 pep chromosome:PHallii_v3.1:9:70460977:70464811:1 gene:PAHAL_9G591900 transcript:PAN51407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPEDDDDDMDRLFVRSLSFDNLSTLDTLESPTALLDALTSKRLIIRGSLSFETTDSDPFQAETTLSMVSPEPAKKSGNYKPINLPRHGSLDNLPPNSPVIAMVSPKHQAAAIKVQKVYRSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFYEVHKPETALSRWSRARTKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDIGEGKEVNMEGQCPRWKLLQQCIRYLGPKEREIYEVVVEDGKMMYRLSRKIVDTIEGPRNAKWIFVLSTTRILYIGTKSKGTFQHSSFLAGGATSAAGRLVVENGILKAVWPHSGHYRPTEANFREFMDYLKKRNVDLTNVKLSPAEDQEDEGLRHRGSLSQLNLIESSDPARQEDSKPQTPGADQDKAIANATPVTPPSTSGDTATTAGVGGGTPVMKRSSSGNRLQRKRPPRLTVNKNQLGKGAAEHGAGAFGDCLDFCKENLFRGGEGGEELVVVPQEKILHRINSKMTLHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRAGAGPARFGTSPRQSPCAPLVSPTPGGLVPPTYGAAGTPTSRLQHGAA >PAN51406 pep chromosome:PHallii_v3.1:9:70460055:70464811:1 gene:PAHAL_9G591900 transcript:PAN51406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPEDDDDDMDRLFVRSLSFDNLSTLDTLESPTALLDALTSKRLIIRGSLSFETTDSDPFQAETTLSMVSPEPAKKSGNYKPINLPRHGSLDNLPPNSPVIAMVSPKHQAAAIKVQKVYRSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFYEVHKPETALSRWSRARTKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDIGEGKEVNMEGQCPRWKLLQQCIRYLGPKEREIYEVVVEDGKMMYRLSRKIVDTIEGPRNAKWIFVLSTTRILYIGTKSKGTFQHSSFLAGGATSAAGRLVVENGILKAVWPHSGHYRPTEANFREFMDYLKKRNVDLTNVKLSPAEDQEDEGLRHRGSLSQLNLIESSDPARQEDSKPQTPGADQDKAIANATPVTPPSTSGDTATTAGVGGGTPVMKRSSSGNRLQRKRPPRLTVNKNQLGKGAAEHGAGAFGDCLDFCKENLFRGGEGGEELVVVPQEKILHRINSKMTLHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRAGAGPARFGTSPRQSPCAPLVSPTPGGLVPPTYGAAGTPTSRLQHGAA >PAN44064 pep chromosome:PHallii_v3.1:9:855397:856704:-1 gene:PAHAL_9G014300 transcript:PAN44064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTAVAGGQVLDASNPAVARVRQLICGPEYSQDGWSRCWEEGVTPWDLGQPTPAVVQLAKSGTLPSGDAATVLVPGCGAGYDVVALSGPGRFVVGLDISESAVAKAKQWSAADGSLFAFVAADFFTWEPPELFDLIFDYTFFCAFHPSMRPAWAKRMADLLKPSGELITLMYLAEGQEAGPPFNTTVLDYEEVLKPLGFVITCIQDNDVAVKPRQGMEKIARWKRMANPNTLNSAE >PVH31298 pep chromosome:PHallii_v3.1:9:6128527:6133335:1 gene:PAHAL_9G105600 transcript:PVH31298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGGDEERQGRQRLGRGRGRRLWSACLPRPGCFTVSAAGEDEGTSAAGAEEGGTRPTPSHLVVTVNGIVGSAENWRFAAKHFIKKHPEDVVVHCSGCNTAARTFDGIDVMGRRLAEEVTTVVESRPELRKISFVGHSLGGLIARYAIALLYERETQKDSHEECEKHAIDYHSNQHSSGGKIAGLEPINFITFATPHLGTRSHKQMPILRGSNKLEKMAYRMSWIAGKSGKHLFLKDIEDEKPPLLLQMVTDYGGLHFMSALRSFKRRVVYSNVCSDFIVGWRTSSIRRQHELPERKSFINDGRYPHIVYVEEPKVQDVDFSDAMIYQAKTTSEMEVMLKGLNRLPWERVDVSFKKSRQRFFAHSTIQVKTYFLNSDGADVIFHMIDHFIY >PVH31299 pep chromosome:PHallii_v3.1:9:6128527:6133335:1 gene:PAHAL_9G105600 transcript:PVH31299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLAEEVTTVVESRPELRKISFVGHSLGGLIARYAIALLYERETQKDSHEECEKHAIDYHSNQHSSGGKIAGLEPINFITFATPHLGTRSHKQMPILRGSNKLEKMAYRMSWIAGKSGKHLFLKDIEDEKPPLLLQMVTDYGGLHFMSALRSFKRRVVYSNVCSDFIVGWRTSSIRRQHELPERKSFINDGRYPHIVYVEEPKVQDVDFSDAMIYQAKTTSEMEEVMLKGLNRLPWERVDVSFKKSRQRFFAHSTIQVKTYFLNSDGADVIFHMIDHFIY >PAN45254 pep chromosome:PHallii_v3.1:9:6128527:6133335:1 gene:PAHAL_9G105600 transcript:PAN45254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGGDEERQGRQRLGRGRGRRLWSACLPRPGCFTVSAAGEDEGTSAAGAEEGGTRPTPSHLVVTVNGIVGSAENWRFAAKHFIKKHPEDVVVHCSGCNTAARTFDGIDVMGRRLAEEVTTVVESRPELRKISFVGHSLGGLIARYAIALLYERETQKDSHEECEKHAIDYHSNQHSSGGKIAGLEPINFITFATPHLGTRSHKQMPILRGSNKLEKMAYRMSWIAGKSGKHLFLKDIEDEKPPLLLQMVTDYGGLHFMSALRSFKRRVVYSNVCSDFIVGWRTSSIRRQHELPERKSFINDGRYPHIVYVEEPKVQDVDFSDAMIYQAKTTSEMEEVMLKGLNRLPWERVDVSFKKSRQRFFAHSTIQVKTYFLNSDGADVIFHMIDHFIY >PAN47488 pep chromosome:PHallii_v3.1:9:31523455:31526653:-1 gene:PAHAL_9G295500 transcript:PAN47488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQKLGTPFPQNFKEVVKTIFKRLFRVYAHIYHTHFQKIMSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >PAN44778 pep chromosome:PHallii_v3.1:9:3993344:3996683:1 gene:PAHAL_9G069500 transcript:PAN44778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDANAPSRRLARVAAHLNPQMEEGAPALRPAACRAKGGAPGFKVAILGAAGGIGQPLSMLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGAQQLDAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRTLCEGVARFCPDAIVNLISNPVNSTVPIAAEVFKKSGTYNPKRLLGVTTLDVVRANTFVAEVLGVNPRDVSVPVVGGHAGVTILPLLSQVTPPSSFTQEEIKYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGDAGIVECAYVASEVTELPFFATKVRLGRAGAEEILPLGPLNEFERVGLEAAKKELSESIQKGIAFVNK >PVH31135 pep chromosome:PHallii_v3.1:9:3659583:3661757:1 gene:PAHAL_9G063700 transcript:PVH31135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRFKTCRLLPGNVRNRELSLIQRRILRSLRNNRRSTKRNLSQRENINSNIKSQTTRKLSLYYGDLPIMEMHRGRKRTSYIPFLLNQETRSDVIPVRLRFSDTLPQARKPISHRRVCLNNGLVTVTHLKVSHGPSFR >PVH31057 pep chromosome:PHallii_v3.1:9:2669134:2672922:1 gene:PAHAL_9G047600 transcript:PVH31057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGAAAALGCALRSPSPSPSPAPQWPPLLPCPRRGPPGPPRPLLPPALRFRPLDMKKGQSSNSLKTFSSSGHSGVRQNDDDLVNGKLLIDCGEDQDCVLGGIVALGKFDALHIGHRELAMHASKAGTPFLLSFVGMAEVLGWTYRPPIVAQCDRKRVLSSWAPYCRNVIPLEYQVEFSKVRSLSPRQFVERLSKDLRIKGVVAGENYRFGYKASGDAAELVKLCEEFGLSAFIVRSVMDTAKRSHNGAAAAINSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLMVNQKCLHERKKIVLPNSCMLNMPPAEGLYENCNLVNGEYLGPCRVIINSDTIVIEMKDENSLSPNPIQEVGQLGEDFRRNTAREVKDCVQVCSLPHHD >PAN44197 pep chromosome:PHallii_v3.1:9:1361492:1361758:-1 gene:PAHAL_9G024000 transcript:PAN44197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAILTTLLAVAMAIILSVHGARTLERVDQVTILEVSSVAKPPSSTSLEVAALIPFDGPFEEAADGPIAVGYHTTDCTHRIPVFIP >PAN48474 pep chromosome:PHallii_v3.1:9:55528928:55533770:-1 gene:PAHAL_9G384400 transcript:PAN48474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEEAVVPESSSSSDPPITIGLAVSSSKSSKYAVKWALKNFSARERTRFMLIHVRQKVTLVPTPMGNYIPVDQVRDDIASAYEKEVECEAQNMLLMYKNMCNGKVEAEILVVKGDDVAETISGVVSACQIHKLVVGVSSQGNFMRKSKGTRTSSRICKSVPSFCMVYAVSKGGLSMVYSPGSEGNNSYEIFQVNESSNSELYSDDKSSVSDITPSRISGSSLPGGNLDSSSSAEHNRPRSLQEYLTGSTLAAIVDKDQSGSPRGADQITESSNLRISEKSPTVSRALQELMRSEDKASTLCAGHISAPTNLPVSDKAASVKSALQELMLSEDKANTPCASDQISGSSNLPITDKATTVSNALQELMLSEDKDNVNFEREKLKIKLGHMRGVCKLVEDESTSASQQMIDLIEKRAQEEARLVEVHSRINTAIEAARKEREQRYAAEAQARHVRDLANEEALKKQHVQLTASREADDMQKLEKLLELGGKPYILFTWEEMESATSSFSEALKIGSGANGTVYKGKIHQTTVAIKLLKSDDSRVTKHFKQELEVLSKTRHRHLLLLLGACLDRACLVYEYMENGSLEDRLQCKGGTSPLPWYYRFRIAWEIALALIYLHSSRPKPIIHRDLKPANILLDSNFTSKIGDAGIATLLPLSEASSTHTIRKHTDLVGTLFYMDPEYQRSGQVSAKSDVYALGMVFLQLLTAKSPMGLADIVERAVEQRRLVDILDQRAGKWPVKAAYELAQLGLSCLEMRGKNRPDLKSNVLVVLERLNKIASTARDSVRPVPTAPPSHFICPILKRVMQDPCIASDGYSYERVAIEMWLHENDVSPLTKTQLPDKNLVPNHALLCAINSWKGEAGTGGITG >PAN48475 pep chromosome:PHallii_v3.1:9:55528146:55534539:-1 gene:PAHAL_9G384400 transcript:PAN48475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEEAVVPESSSSSDPPITIGLAVSSSKSSKYAVKWALKNFSARERTRFMLIHVRQKVTLVPTPMGNYIPVDQVRDDIASAYEKEVECEAQNMLLMYKNMCNGKVEAEILVVKGDDVAETISGVVSACQIHKLVVGVSSQGNFMRKSKGTRTSSRICKSVPSFCMVYAVSKGGLSMVYSPGSEGNNSYEIFQVNESSNSELYSDDKSSVSDITPSRISGSSLPGGNLDSSSSAEHNRPRSLQEYLTGSTLAAIVDKDQSGSPRGADQITESSNLRISEKSPTVSRALQELMRSEDKASTLCAGHISAPTNLPVSDKAASVKSALQELMLSEDKDNVNFEREKLKIKLGHMRGVCKLVEDESTSASQQMIDLIEKRAQEEARLVEVHSRINTAIEAARKEREQRYAAEAQARHVRDLANEEALKKQHVQLTASREADDMQKLEKLLELGGKPYILFTWEEMESATSSFSEALKIGSGANGTVYKGKIHQTTVAIKLLKSDDSRVTKHFKQELEVLSKTRHRHLLLLLGACLDRACLVYEYMENGSLEDRLQCKGGTSPLPWYYRFRIAWEIALALIYLHSSRPKPIIHRDLKPANILLDSNFTSKIGDAGIATLLPLSEASSTHTIRKHTDLVGTLFYMDPEYQRSGQVSAKSDVYALGMVFLQLLTAKSPMGLADIVERAVEQRRLVDILDQRAGKWPVKAAYELAQLGLSCLEMRGKNRPDLKSNVLVVLERLNKIASTARDSVRPVPTAPPSHFICPILKRVMQDPCIASDGYSYERVAIEMWLHENDVSPLTKTQLPDKNLVPNHALLCAINSWKGEAGTGGITG >PAN48473 pep chromosome:PHallii_v3.1:9:55528928:55533770:-1 gene:PAHAL_9G384400 transcript:PAN48473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEEAVVPESSSSSDPPITIGLAVSSSKSSKYAVKWALKNFSARERTRFMLIHVRQKVTLVPTPMGNYIPVDQVRDDIASAYEKEVECEAQNMLLMYKNMCNGKVEAEILVVKGDDVAETISGVVSACQIHKLVVGVSSQGNFMRKSKGTRTSSRICKSVPSFCMVYAVSKGGLSMVYSPGSEGNNSYEIFQVNESSNSELYSDDKSSVSDITPSRISGSSLPGGNLDSSSSAEHNRPRSLQEYLTGSTLAAIVDKDQSGSPRGADQITESSNLRISEKSPTVSRALQELMRSEDKASTLCAGHISAPTNLPVSDKAASVKSALQELMLSEDKANTPCASDQISGSSNLPITDKATTVSNALQELMLSEDKDNVNFEREKLKIKLGHMRGVCKLVEDESTSASQQMIDLIEKRAQEEARLVEVHSRINTAIEAARKEREQRYAAEAQARHVRDLANEEALKKQHVQLTASREADDMQKLEKLLELGGKPYILFTWEEMESATSSFSEALKIGSGANGTVYKGKIHQTTVAIKLLKSDDSRVTKHFKQELEVLSKTRHRHLLLLLGACLDRACLVYEYMENGSLEDRLQCKGGTSPLPWYYRFRIAWEIALALIYLHSSRPKPIIHRDLKPANILLDSNFTSKIGDAGIATLLPLSEASSTHTIRKHTDLVGTLFYMDPEYQRSGQVSAKSDVYALGMVFLQLLTAKSPMGLADIVERAVEQRRLVDILDQRAGKWPVKAAYELAQLGLSCLEMRGKNRPDLKSNVLVVLERLNKIASTARDSVRPVPTAPPSHFICPILKRVMQDPCIASDGYSYERVAIEMWLHENDVSPLTKTQLPDKNLVPNHALLCAINSWKGEAGTGGITG >PVH30917 pep chromosome:PHallii_v3.1:9:763844:764278:1 gene:PAHAL_9G012400 transcript:PVH30917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTRVQNKSNASRAGVRQQDARIFSRRFEFANEATFANREPGRTPRAID >PAN44324 pep chromosome:PHallii_v3.1:9:1918039:1920234:1 gene:PAHAL_9G034500 transcript:PAN44324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSVAQGRKARSTAAGAGCGGLEEAWLLVQAPATKQHHARAAVWGVTVGVGLRGRFYSLVLLVLVLLLVLTASVTTVDDNGEGGAKPQAATTTVPRSHGTGDGAGGVHHHAAGECDMSSGRWVYDGAAYPVYRESACRFMSDQTACEKFGRTDRRYQRWRWQPHGCDLPRFDAARLLLKLRDKRLAFVGDSLNRNQWVSMVCLIDTATPTLHKSMANNGSLVSFKIHEYNASVDFYWSPLLVESNSDHPVHHRVADRIVRAGSIAKHARRWADADVLVFNSYLWWRRPAIKVMWGSFEQAAAAEGDHGAAYEVADGLRAFELAIRTWSEWLELHVDRARTQLFFTSMSPTHLRSDEWEAGDDGASTNHQCYNETEPILAEGHRGRDTDPAFARAVEAEVARLAERGVAVRVLNVTQLSEHRKDAHPSVHRRQWSPPTAAELAARARDPSSGADCIHWCLPGVPDVWNQMLYAHIVAA >PVH32030 pep chromosome:PHallii_v3.1:9:31743789:31746096:-1 gene:PAHAL_9G296500 transcript:PVH32030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEAEKKAEEKPAPAAEEKDAKRAEEKAAVLWQGRRRGSGCCCCPSPSSIARASRRSTTSLGGPDVEGARSGLPSLGGDADAAPSFSFQHARRVFVAPETTPKFELLGLGGGDAEVEGPDLASAAAEQRQRRGGAEAARGTDEALPAGHLEPQALDPFVCAQMHLLTPLLSATADALVLQLASAR >PAN46451 pep chromosome:PHallii_v3.1:9:11827617:11829238:1 gene:PAHAL_9G187000 transcript:PAN46451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIKYRSLEGPLRKRRLPPKASSLAVPSFLPLVSRPPPTTLQTLDAPHPPAAMADPRMFPSGSDDRAESSDAGRRLYNPYQDLNMPYSYRTLYDLPTSPEFLFQEEALAQRRSWGENLTFYTGVGYLSGAVGGAALGLRDAARGAEPGETAKIRANRVLNSCGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINSVAAGLGTGALFRAANGPRSAVVAGALGGVLAAAATGGKQLAKRYVPVI >PVH33281 pep chromosome:PHallii_v3.1:9:71949836:71953791:1 gene:PAHAL_9G615900 transcript:PVH33281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup domain containing protein [Source: Projected from Oryza sativa (Os03g0133400)] MLSLAARLAVPAAALLFLLAAPAWATNFTCASPGTTCRSAIGYAVPNATTYAGLAARFNATTTLAELLGANGLPADTSPSAPVAAKATVRVPFRCRCGSDGVARSDGGPVYVVQPQDGLDHIARDVFGAFVTFQEIATANDIKDVNVIYPGQKLRIPLPCTCDPVDGATVMHFAYSVAKGDETSGIAARFGVNERTLLTLNKIADPKDLKQEQILDVPLPVCHSSISNTSADYNLLVPNGSYALTAGDCMRCSCSANNYEQLDCSAVHRSGCPAVPSCDGGLKLGQTTNGTGCEAKICAYSGYSNTTSSLSIHTALVTANQTACDQKGGAARTEFAGSMWRMSVISFHMVLILICFL >PAN52026 pep chromosome:PHallii_v3.1:9:71949836:71953456:1 gene:PAHAL_9G615900 transcript:PAN52026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup domain containing protein [Source: Projected from Oryza sativa (Os03g0133400)] MLSLAARLAVPAAALLFLLAAPAWATNFTCASPGTTCRSAIGYAVPNATTYAGLAARFNATTTLAELLGANGLPADTSPSAPVAAKATVRVPFRCRCGSDGVARSDGGPVYVVQPQDGLDHIARDVFGAFVTFQEIATANDIKDVNVIYPGQKLRIPLPCTCDPVDGATVMHFAYSVAKGDETSGIAARFGVNERTLLTLNKIADPKDLKQEQILDVPLPVCHSSISNTSADYNLLVPNGSYALTAGDCMRCSCSANNYEQLDCSAVHRSGCPAVPSCDGGLKLGQTTNGTGCEAKICAYSGYSNTTSSLSIHTALVTANQTACDQKGGAARTEFAGSMWRMSVISFHMVLILICFL >PAN52027 pep chromosome:PHallii_v3.1:9:71949781:71952849:1 gene:PAHAL_9G615900 transcript:PAN52027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup domain containing protein [Source: Projected from Oryza sativa (Os03g0133400)] MLSLAARLAVPAAALLFLLAAPAWATNFTCASPGTTCRSAIGYAVPNATTYAGLAARFNATTTLAELLGANGLPADTSPSAPVAAKATVRVPFRCRCGSDGVARSDGGPVYVVQPQDGLDHIARDVFGAFVTFQEIATANDIKDVNVIYPGQKLRIPLPCTCDPVDGATVMHFAYSVAKGDETSGIAARFGVNERTLLTLNKIADPKDLKQEQILDVPLPVCHSSISNTSADYNLLVPNGSYALTAGDCMRCSCSANNYEQLDCSAVHRSGCPAVPSCDGGLKLGQTTNGTGCEAKICAYSGYSNTTSSLSIHTALVTANQTACDQKGGAARTEFAGSMWRMSVISFHMVLILICFL >PAN50974 pep chromosome:PHallii_v3.1:9:68632728:68634292:1 gene:PAHAL_9G561700 transcript:PAN50974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHAMIGSASGLIAQLDVAISGRAGDCLGLEFSLLVVGCFFFSRCDDCLLDLDMQVAETSGPGGRIVGLIRGTVKSVATGESRPGAPAFANVGYILGLRVAPSHRRMGIALLLVRQLERWFELMGTEYAYMATDRSNEASLRLFTARCGYSKFRTPSLLVHPVHSHRLRAPRRATVVRLGARDAERLYRSRFAHVEFFPADIGAVLGNALSQGTFLAVVDGAGYEWRGVDRFLSSPPASWAVASAWDCGGVFRLEVRGASRLRRGAAAATRALDRLAKWLRVPSVPDFFRPFAGWFVYGLGGDGRDAAVAAEALFASIVNMARGAAAAVAVEVAALDPLRSRIPHWRRLSCAEDLWCMKRLGGHADGWDWARSAPARSIFVDPREV >PAN50975 pep chromosome:PHallii_v3.1:9:68631921:68634292:1 gene:PAHAL_9G561700 transcript:PAN50975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEEDEAVVIREYDPKTDRDGTDAVDRECEVGPGGGMSLHADLLGDPVARIRRSPRYLMLVAETSGPGGRIVGLIRGTVKSVATGESRPGAPAFANVGYILGLRVAPSHRRMGIALLLVRQLERWFELMGTEYAYMATDRSNEASLRLFTARCGYSKFRTPSLLVHPVHSHRLRAPRRATVVRLGARDAERLYRSRFAHVEFFPADIGAVLGNALSQGTFLAVVDGAGYEWRGVDRFLSSPPASWAVASAWDCGGVFRLEVRGASRLRRGAAAATRALDRLAKWLRVPSVPDFFRPFAGWFVYGLGGDGRDAAVAAEALFASIVNMARGAAAAVAVEVAALDPLRSRIPHWRRLSCAEDLWCMKRLGGHADGWDWARSAPARSIFVDPREV >PAN47737 pep chromosome:PHallii_v3.1:9:48431240:48432057:-1 gene:PAHAL_9G345500 transcript:PAN47737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKSLVAAFAVVSMALAIATTASAQNTPQDFVNLHNRARAADRVGPVTWDARVARYAQDYAARRAGDCQLVHSGGPFGENLFWGSAGRAWSAADALRSWVDEKKNYHLDTNTCDPGKVCGHYTQVVWRKSTRIGCARVVCAANRGVFIICSYDPPGNFNGERPFLALDAAAK >PAN49491 pep chromosome:PHallii_v3.1:9:61310389:61314796:1 gene:PAHAL_9G452900 transcript:PAN49491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLPMSPELEQIDGEIHDIFRALQNGFQKMDKIKDSNRQAKQLEDLTGKMKECKRLIKEFDRILKDEESNNPPEINKQLNDRKQFMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQMASAMTNQQLIDAGRNQMDQTDQAIERSKMVVAQTVETGAQTAATLTQQTEQMKRIGNELDSVHFSLKKASQLVKEIGRQVATDKCIMAFLFLIVLGVIAIIVVKIVHPNNKNIRDIPGLAPPAQNYQINNRRLLWTEAFIGV >PVH31282 pep chromosome:PHallii_v3.1:9:5946414:5948648:-1 gene:PAHAL_9G102900 transcript:PVH31282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVSAFASSSSALLRGPPARVRRLLVAAATRAHSSAASRARGGLPLFHIPSLPSSKGEVVRIQGDEFWHMTRVLRLGVNDRVELFDGAGGLVEGSITKVDKGGTDVELLEDARLVAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRIHEMSLKPPIQIGNLLPVIMTDY >PVH31281 pep chromosome:PHallii_v3.1:9:5946618:5948018:-1 gene:PAHAL_9G102900 transcript:PVH31281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITLQGEVVRIQGDEFWHMTRVLRLGVNDRVELFDGAGGLVEGSITKVDKGGTDVELLEDARLVAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRIHEMSLKPPIQIGNLLPVIMTDY >PVH31279 pep chromosome:PHallii_v3.1:9:5945261:5948649:-1 gene:PAHAL_9G102900 transcript:PVH31279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITLQGEVVRIQGDEFWHMTRVLRLGVNDRVELFDGAGGLVEGSITKVDKGGTDVELLEDARLVAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRIHEMSLKPPIQIGNLLPVVSQSKLAFLASAEAPPLLRVLPKSSNEQNGLLIIGPEGDFTEEEVHALKSAGAAPVGLGPCRLRVETATISLLSALMLWSDANHQEIQQCS >PAN45221 pep chromosome:PHallii_v3.1:9:5945259:5948649:-1 gene:PAHAL_9G102900 transcript:PAN45221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVSAFASSSSALLRGPPARVRRLLVAAATRAHSSAASRARGGLPLFHIPSLPSSKGEVVRIQGDEFWHMTRVLRLGVNDRVELFDGAGGLVEGSITKVDKGGTDVELLEDARLVAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRIHEMSLKPPIQIGNLLPVVSQSKLAFLASAEAPPLLRVLPKSSNEQNGLLIIGPEGDFTEEEVHALKSAGAAPVGLGPCRLRVETATISLLSALMLWSDANHQEIQQCS >PVH31280 pep chromosome:PHallii_v3.1:9:5945261:5948648:-1 gene:PAHAL_9G102900 transcript:PVH31280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVSAFASSSSALLRGPPARVRRLLVAAATRAHSSAASRARGGLPLFHIPSLPSSKGEVVRIQGDEFWHMTRVLRLGVNDRVELFDGAGGLVEGSITKVDKGGTDVELLEDARLVAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRIHEMSLKPPIQIGNLLPVIMTDY >PAN45302 pep chromosome:PHallii_v3.1:9:6343600:6350029:-1 gene:PAHAL_9G108900 transcript:PAN45302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGMVVAVPNGVKAEVAPAAATADSPKSVLEEEKISDPKNGNASLATEPTKQEESSDDFVDASSSLPVDLEIDSASKHPVKEEEQLLEPVKEEEVDGFVDESLSMPIDLEAKNGDASMITEAMKKEEEQLEEVRIKAEEEEEARKREEATRLAFDPEAKYSKLDELLTKTQLFSEFLLQKMDQIADQEVVEPQAEEPPAEEKKKGRGRKRKAKAVPQYNDKKAKTAVAAMLTRSREERLADDCTLSEEERWKKEQANLVPLMTGGNLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGTHGPYMIIAPLSTLSNWVNEISRFVPSVTSIIYHGDKVARAEIRRKFMPKTKDAVSPDFPIVVTSYEMAMSDARFLAHYKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHEEFESWFDFSGKASEEKEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTELQKRIQDHLVEKTFDVYLNEESDIVLRRPGIKAKLNSLFIQLRKNCSHPDLLEAAFGTTILYPPVDKLLEQCGKFQLLDRLLTSLLARKHKVLIFSQWTKILDIIEYYLDSKGLEVCRIDGSVSLEERRRQIAEFNDLNSNLNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLKLEHVVIGKGQFEQERAKPNVLEEGELLALLRDEQAEEDKMIQTDISDEDLLKLMDRSDLSGPPGAVDAAPLIPLKGPGWEVVVPTKSGGGMLSSLTS >PAN45300 pep chromosome:PHallii_v3.1:9:6343576:6350049:-1 gene:PAHAL_9G108900 transcript:PAN45300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGMVVAVPNGVKAEVAPAAATADSPKSVLEEEKISDPKNGNASLATEPTKQEESSDDFVDASSSLPVDLEIDSASKHPVKEEEQLLEPVKEEEVDGFVDESLSMPIDLEAKNGDASMITEAMKKEEEQLEEVRIKAEEEEEARKREEATRLAFDPEAKYSKLDELLTKTQLFSEFLLQKMDQIADEVVEPQAEEPPAEEKKKGRGRKRKAKAVPQYNDKKAKTAVAAMLTRSREERLADDCTLSEEERWKKEQANLVPLMTGGNLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGTHGPYMIIAPLSTLSNWVNEISRFVPSVTSIIYHGDKVARAEIRRKFMPKTKDAVSPDFPIVVTSYEMAMSDARFLAHYKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHEEFESWFDFSGKASEEKEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTELQKRIQDHLVEKTFDVYLNEESDIVLRRPGIKAKLNSLFIQLRKNCSHPDLLEAAFGTTILYPPVDKLLEQCGKFQLLDRLLTSLLARKHKVLIFSQWTKILDIIEYYLDSKGLEVCRIDGSVSLEERRRQIAEFNDLNSNLNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLKLEHVVIGKGQFEQERAKPNVLEEGELLALLRDEQAEEDKMIQTDISDEDLLKLMDRSDLSGPPGAVDAAPLIPLKGPGWEVVVPTKSGGGMLSSLTS >PAN49530 pep chromosome:PHallii_v3.1:9:58654783:58656012:-1 gene:PAHAL_9G420200 transcript:PAN49530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWCWTGEHGAVQERRAADTEAAAPAFANVALVVGSTGTVGAALVDMLQSTDAPAGPWKVYALCRRPLPPWCTSGPNAVVVSLQVDLANAAAVAAALAPLADITHVFYAAWSPQRGSPDAVACETNRAMLRNVLSAVVPSCPALAHVCLQTGRDQFVDPFDPVMGTTSATRPYSEDLPRLEHPDLEDVLLIELPGFVASRRDRAPVTWSVHRPATVFGFSSRSGRNVVSSLCVYAAICRKEGAALRWPGSRVAWEGFSDASDAELVAEHALWAALELRCKNEAFNCTNGDVFKWKQLWPALAKHFAVEWSGYDGEDKRFKLEEAMAGKEAVWTEIVRENGLLETKLDDTTTWWSVDAVLNADQEQVDTMNKSKELGFFGFRHTVRSFDTWITKLKASGIVP >PAN46484 pep chromosome:PHallii_v3.1:9:11999074:12001580:-1 gene:PAHAL_9G188900 transcript:PAN46484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGAEPTVLGMGLRSGSGAWGAGGGRAAASAMDAAVDLAVHPSGLVPTLQNIVSTVNLDCQLDLQQIANSARNAEYNPKRFSAVIMRIRDPKTTALVFASGKMVCTGAKSEEHSRLAARKYARIVQKLGFPARFKDFKIQNIVGSCDVKFPIRLEGLALASGMFANYEPEIFPGLIYRMADPKVVILAFVSGKVVLTGAKVREDIYRAFENIYPMLVQFRKRQQYR >PAN46372 pep chromosome:PHallii_v3.1:9:11996099:12001580:-1 gene:PAHAL_9G188900 transcript:PAN46372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGAEPTVLGMGLRSGSGAWGAGGGRAAASAMDAAVDLAVHPSGLVPTLQNIVSTVNLDCQLDLQQIANSARNAEYNPKRFSAVIMRIRDPKTTALVFASGKMVCTGAKSEEHSRLAARKYARIVQKLGFPARFKDFKIQNIVGSCDVKFPIRLEGLALASGMFANYEPEIFPGLIYRMADPKVVILAFVSGKVVLTGAKVREDIYRAFENIYPMLVQFRKRQQYR >PAN48494 pep chromosome:PHallii_v3.1:9:55621168:55622407:-1 gene:PAHAL_9G385200 transcript:PAN48494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVWEVLDANETARSAYLRILSRPTRREVAQNAICLLLWLETTMGFDILRSVAAMVPDDISLARIVFEANALCTYVLHGYYAMPPPFEGFPAITALCGGGRLIDHRFFRFHKDLVSRGVTMIRDTVAALVFNDNLHAMLRRFEDDSNSLFIPNPVPAPELMAPFIISTTTPPEDSQTVFVAFPEYHPLSSQDIKDYFERTLGFGHCIERIATERPCTRQSAKHGVVVFRSAELRDEAMHGEAAVFFRVDGRDMWVQPYMPPY >PAN48990 pep chromosome:PHallii_v3.1:9:58477292:58479667:-1 gene:PAHAL_9G417400 transcript:PAN48990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVSLHQHHHHHPQAPPAPSSPDQHHHHHHHQSPYSSRSTSRSTTSTGSRSSPASHHTHTHHYYPHSHSHYNNSSNSSGSYYYYYDPAAGAGGGGGGYYYDHHQPAPYQEECGNDHGFYMDEDFSSSSSSRHFHHQPSSAAPPAAPANPPPASSPAPTPPQPPPAQSSAGGHGGLFEAADFSFPQVDIDLDFSSPASSSGAAGGSGTAAASASSGGGGAGRWAAQLLLECARAVAARDSQRVQQLMWMLNELASPYGDVDQKLASYFLQGLFARLTTSGPRTLRTLAAASDRNASFESTRRTALKFQELSPWTSFGHVAANGAILESFLEAAAGASSSSSSSSSQPPRLHILDLSNTFCTQWPTLLEALATRSSDDTPHLSITTVVPTAAPSAAAQRVMREIAQRLEKFARLMGVPFSFRTVHHAGDLAELDLDGLDLREGGATTALAINCVNALRGVAPGGARRRDAFVASLRRLEPRVVTVVEEDADLVASESDASSEEANTDAAFMKVFTEGLRFFSAYMDSLEESFPKTSNERLALERAAGRAIVDLVSCPASESAERRETGASWARRMRSAGFSPVAFSDDVADDVRSLLRRYREGWTLQEPGTDDSAAAGVFLAWKEQPVVWTSAWRP >PAN49134 pep chromosome:PHallii_v3.1:9:59446257:59453088:1 gene:PAHAL_9G428500 transcript:PAN49134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSGSKRKDKNKVILPPELPPEVDDDAVDVSDEDIEFYSRNEFHHFDQEPIDRYVKRTAGDEAEVERLYEEREKRKALRRPREENDDLEVDPVDALPIKNLQGELVYNRAKKARSEENTGSTKSQENGADAKQGINKDEQTGKSKNKKGGDKVKNTQSHIEVPKGKLHSDVLEEVKEELSAEELFEKKKAQLAELGMAMLEEPESNIRSLNDMLSISNDKDQKVVKLGLMSLLAVFKDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRCYKAYLQKLISLEKQPHFYSVAVRCMCALLDTAPHFNFRESLLASVAKNLSSSDDVVRKMCCETIRSVFINEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDEDLGEDETEEQKVKPKKNKHRQNQEAPKPLPVSDKKKTRRELISKAREEVDADLRAVSFTLDPKERKGIQRETLSALFETYFRILKHSMSTSNLRSKANIVSPGASHPLLAPCLEGLGKFSHLIDLDFMGELIACLKKLSGYSDRQDGTPHDNTLSVSERMQCCIVAFKVWRSNLEALNVDLQDFFVQLYNLILEYRPDRDRGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAIAALVTLKHLLQKNSKCRNMLENDSGGGSLSCLVAKYNPEAKDPYLSGGLASVLWELSLLEKHYDISVSSMASNILSMATLNPTQNPVPILNVNPLEAYRDLSIERELSKPASKALSLNLKKRRRGKEFVALNPEVLRKADCSVDKQELEEKLQSHFAVLRGISENERLRAELNHTLSSINMYKEYKKQKKKNMKSKIIRKKVARV >PAN44416 pep chromosome:PHallii_v3.1:9:2279080:2285218:1 gene:PAHAL_9G041200 transcript:PAN44416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGSGAANSGKHGAGQVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPVHGEENEDVDADDVSDYNYPASGNQDQKQKIAERMLTWRTNSRGSDVGLAKYDSGEIGHGKYDSGEIPRGYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHQFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPIPSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPIKKKRPGFFSSLCGGRIKTSKSKKKSSEKKKSHKHADSSVPVFNLEDIEEGIEGSQFDDEKSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPLTSIPLLLYCILPAVCLLTGKFIIPEISNFASIWFILLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKATDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIVKCGINC >PVH31035 pep chromosome:PHallii_v3.1:9:2280094:2285218:1 gene:PAHAL_9G041200 transcript:PVH31035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTWRTNSRGSDVGLAKYDSGEIGHGKYDSGEIPRGYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHQFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPIPSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPIKKKRPGFFSSLCGGRIKTSKSKKKSSEKKKSHKHADSSVPVFNLEDIEEGIEGSQFDDEKSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPLTSIPLLLYCILPAVCLLTGKFIIPEISNFASIWFILLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKATDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIVKCGINC >PAN45315 pep chromosome:PHallii_v3.1:9:6386100:6406838:-1 gene:PAHAL_9G109700 transcript:PAN45315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQETAVEEVLRAAAAEVSTSSVTRRLRLFRLTLPSLVAKASESPSDTALLVDLIFQTLPIYDDRASRKAVDDMVIQALGEPTFMKPIAAALVQSMEKNLKVTNPLTSFKLLRWSHFLLKWSQFATLSKGAFSRLANAQAVLCQVLMNGSFRRRRTCKQLFIHLFSEPTGIYKMYIEEVRDLRISMRDSPAFLNLILDFTITSPSLSAEYKSMFLDLYVKTILSSKDQPPKAAIEAFKPLFLEIGHEDFKNTVMPSCIKMLKRNPEIVLQSIGYLLKTVPLDLSKYCMEFMPVVLHQARHSDEERRINALSIIGTLSEKSSDPDALPSMVNAIKAILGGSEGKLSLPYQRVGTINALEQLSRSPPKQIGKLAPSVSSFLLTCYKDDGIEEVKLAILSALGSWASTSAEAVQPDVVSFIAAGLKEKDTLRKGHLKLLRVICKKSDSLTKVTSLLDHLIQLTKTGFSKATQRLDGIYALFAVLRLAAVDTKADGTVLKEKLWPLIAQNEPSLISLQLLPKLADDDCLAAVDLLQSLLVEHLFRVQEYFSIQALLQVLIYLACHPSWEVRKVAYDAAKKVLSSSSGLAEDTLFLFTDWLSLVGERLSMLKQGDMDSSSDSQLPFIPSNEVLVKCLFLVAPYAVVHSRRSYSRLILCSHHPCLSGSASPAGVYKRLQRRLKQQQIVFVDLITPNISVICKELLSQDGLFSSNKQVQSAALCSLSTLMTITPNDTFLEFEKHFIGLQERTLHDSFSENDIKIFYTPEGQLSTEQGVYVAEAVASKNTKLAKGRFRAYDDQDVDMSRSVVPAKTEKRESSGTGKRETGKSTKKTVFFPAPVDKAKTAKEEARELLLKEEASVRMKVEQVQKNLSLMLDALGELAIANPIFAHGQLPSLVNYVEPLLGSAIVSDAAFRTMLRLARCTAPPLCNWAPEIAAAIRVISVGDFEMVLDLMPVIMEEDSKKKPSSGLFEQIVNGLTIACKAGPLPADSFTFIFPIMERILLSSKKTCLHDDVLHILSMHLDPILPLPRPKMLSVLYHVLSTVPAYHPSVGPMLNELCLGLRSHELAQALVGVYAKEVHVRLACLNAIKCVPIHSVQRDLQVSTSLWIAAHDPEKVVAELAEELWDLYGFDIITDYSGIFDALSHKNYNVRAASAEALAAALDENPDKMQDALSTLFSLYIRDLGPGGEFGDTHWLGRQGIALALHSIADVLASKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDRHGKENVPLLFPIFESYLNKTASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVIEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEGQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGICSLKKYGIAAKLRQNLEDRMSAKSREGALLGFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVLAVREAAECAARAMMSQLTGPGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQEVGSVIKNPEISALVPILLSALTDPNDHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVETKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLISGMGEEIFPDLVPWLLDTLKSDNSNVERSGAAQGLSEVLAALGQVYFDRILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYAASSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIIEVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPNASRRQGVCIGLSEVMGSAGKHQLLSFMDELIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDDTSATALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVLLVIDEEGIETLIPELLRGINDSQASMRRGSAYLIGFLFKNTKLYLADEASDMMSTLIILLSDTDKATISAALEAFSRVVGSIPKEQLPTHIKLVRDAVSTARDKERRRRKGVPVLVPGLCLPKALQPFLPIFQQGLISGTAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIITKGGIALKPFLPQLQTTFVKCLQDANRSVRTRAATALGKLSALSTRVDPLVSDLLSMLQSGDESVKESVLSALKGVIKHAGKSVSAAIRSRGCDLLKDLLQADADDVRSCAAKVIGTLSLYMEETEISDLVQILLNLSTSPDWCTRHGALLGFSSISMHSPSKCCHLASFPSLVDLLKDSLKDDKFPVREVATRTLGRILCFQLQSEAGTSHLVQLLVLAMRDDSSEVRRRSLSCLKAAAKINHSALAAHHQILGPAIADALKDSSMPVRLAAERCALHVFQLTKGPDNVTAAQKYLGMTGLEVKKIAKLNEESDGSESSDDDKRT >PAN47456 pep chromosome:PHallii_v3.1:9:31174197:31175627:-1 gene:PAHAL_9G292800 transcript:PAN47456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTAAAPEAGTGGGPATTTSRFAAACGALSQYVRAAEAERIRAGARPAVPVQPLALMPGADVDHTGEPEAGPAPAAQMTIVYGGRALVLDDVPADRAADLLRLAASASASASAGRLSSAADLLPVARKASLQRFMEKRKGRAAARAEPPYRRRDDLTLAL >PAN49003 pep chromosome:PHallii_v3.1:9:58571734:58573208:-1 gene:PAHAL_9G418700 transcript:PAN49003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKAKMARERNMEKSKAAKGSQLETNKKAMSIQCKVCMQTFMCTTTEVKCREHAENKHPKSDVYQCFPHLKK >PAN49777 pep chromosome:PHallii_v3.1:9:62740411:62747173:-1 gene:PAHAL_9G474900 transcript:PAN49777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRGPLAPAPAPEAVPAAAAAAAGAAADEVVRRVRPTEASERRRAEVVDYARRLVGSALGCEVLAFGSVPLKTYLPDGDIDLTVLGNTSYDSTLVNDVSCILESEEQNSDAEFVVKNLEQINAEVRLIKCTIGNIIVDISFNQTGGICALCFLELVDRKVGKNHLVKRSIMLIKAWCYYESRLLGAQHGLISTYALEVLILYIFNLFHKSLHSPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLNVEATFTHTDDLLFDEEFLKSSVDKATVPPRNSDACYTRFRPKHLNIIDPLKECNNLGRSVNRASFHRIRTAFLYGARKLGHILMLPPEVIPDEIYGFFTTTLGRNGRGVRPDTSSNSAFHASFGTGEALLEDIYSMKISYDEQHENTTSYYLSKSLGDKNLYVGKNGPTHLNSSFPRVHNTALSTGLSTRSSNSVHHAPEQNLSFYQGNGHAGSRKCYSNHEVEQVPHCTAKAFHMDDRPSIQSQIPVEKQYLPRSPLSLPDLSGDLDSQFRCLRQVQYHLEYLFDGFLQSVHEASSADTFHIPAHSILFNRDAGMPSLLLPSSAKSNGGNTSPVPCSQSIEYVSQHLQNENPLDRTCQQNVSLPSGTNVPSTRLSPSSCADSEVSSVSWCYSSEDSAEMHGSGKDMHFSRKRCDTHKEQLASSRENGKTLSNQPVRFESNQNSGPGTRFVSHREQVALDTRTKELTIDQALKIQGYIRSDRKIVEKLNCHTRKEFVRHEDEARHVPKYCQDVCSNKNFLQKQYDNDMESTQAPSAMNQMPKHQSFNIPNTTECAGASLCKNLPIKQSFGTRKEHEIFDWPTKQRPIYEPLNLENRRSGWDCSKKISAGKQNYYNHKEHLSFVGGTGDMPCSNAVNSPNGLEREVNSNNLVQNGSRLRPLLPEVSLSCHHINSQKMSPVSTSQSYFPVANGQPLETIEFGSLGPFALKSNRTTNTQTAITDAPPLVLQRYRAATTENRPPGSCKVGDEDEFPPLSPGIRIFMGA >PAN49776 pep chromosome:PHallii_v3.1:9:62740695:62747118:-1 gene:PAHAL_9G474900 transcript:PAN49776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRGPLAPAPAPEAVPAAAAAAAGAAADEVVRRVRPTEASERRRAEVVDYARRLVGSALGCEVLAFGSVPLKTYLPDGDIDLTVLGNTSYDSTLVNDVSCILESEEQNSDAEFVVKNLEQINAEVRLIKCTIGNIIVDISFNQTGGICALCFLELVDRKVGKNHLVKRSIMLIKAWCYYESRLLGAQHGLISTYALEVLILYIFNLFHKSLHSPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLNVEATFTHTDDLLFDEEFLKSSVDKATVPPRNSDACYTRFRPKHLNIIDPLKECNNLGRSVNRASFHRIRTAFLYGARKLGHILMLPPEVIPDEIYGFFTTTLGRNGRGVRPDTSSNSAFHASFGTGEALLEDIYSMKISYDEQHENTTSYYLSKSLGDKNLYVGKNGPTHLNSSFPRVHNTALSTGLSTRSSNSVHHAPEQNLSFYQGNGHAGSRKCYSNHEVEQVPHCTAKAFHMDDRPSIQSQIPVEKQYLPRSPLSLPDLSGDLDSQFRCLRQVQYHLEYLFDGFLQSVHEASSADTFHIPAHSILFNRDAGMPSLLLPSSAKSNGGNTSPVPCSQSIEYVSQHLQNENPLDRTCQQNVSLPSGTNVPSTRLSPSSCADSEVSSVSWCYSSEDSAEMHGSGKDMHFSRKRCDTHKEQLASSRENGKTLSNQPVRFESNQNSGPGTRFVSHREQVALDTRTKELTIDQALKIQGYIRSDRKIVEKLNCHTRKEFVRHEDEARHVPKYCQDVCSNKNFLQKQYDNDMESTQAPSAMNQMPKHQSFNIPNTTECAGASLCKNLPIKQSFGTRKEHEIFDWPTKQRPIYEPLNLENRRSGWDCSKKISAGKQNYYNHKEHLSFVGGTGDMPCSNAVNSPNGLEREVNSNNLVQNGSRLRPLLPEVSLSCHHINSQKMSPVSTSQSYFPVANGQPLETIEFGSLGPFALKSNRTTNTQTAITDAPPLVLQRYRAATTENRPPGSCKVGDEDEFPPLSPGIR >PAN47940 pep chromosome:PHallii_v3.1:9:39430385:39431122:-1 gene:PAHAL_9G320500 transcript:PAN47940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPAERGGRAWIARMRRFGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQQTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH33102 pep chromosome:PHallii_v3.1:9:69171783:69173955:1 gene:PAHAL_9G569300 transcript:PVH33102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQARGVLLVAVAVASVVPDGAGAMAAGRCTTSTPVKAYDKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNADAPAMTGARVLAAFSDPSTGALLALPFVLSPDVKIQASPLVSRPLDIPLLASSASLLAPARTVRDGARVTIAATIRLSPNRTRIHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPIASTALQWLHGSLNALSWGLLLPVGAAVARYLRPCASTGPAWFYAHAAIQATGYTMGAAGFALGLVMGAASPGVTYRLHRGLGIAAATAGSLQTLAVFFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCLALATLIGACVALEVNAWVVFCRRQQEEKLTRREVEDVVVKDRAAAF >PAN47586 pep chromosome:PHallii_v3.1:9:18500345:18505411:-1 gene:PAHAL_9G256600 transcript:PAN47586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAAAAAAWALRAAVWACLAASAMLVAEAAYMGLASLVAAVALWRRPGRRYRWEPMPGGVGGDVEAGPAAAAEFPMVLVQIPMYNEREVYKLSISAACALTWPPDRIIIQVLDDSTDPIIKELVELECLDWASKKINIKYEVRNNRKGYKAGALKKGMEHIYAQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIALIQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSFVHSFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSIWKKHHLLYSFFFVRRVIAPLVTFLFYCVVIPLSAMVPGVSIPVWGLFYIPTAITCMNAIRNPGSLHLMPFWILFENVMSMHRMRAALSGLLETARANDWVVTEKVGDPAKDDLDVPLLEPVKPTECVERIYIPEILLALCLLICASYDLVLGSHKYYLYIYLQAFAFIIMGFGFVGTRTPCS >PAN48365 pep chromosome:PHallii_v3.1:9:54767581:54772170:-1 gene:PAHAL_9G377800 transcript:PAN48365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRMNPGDRRTRSTMTIVIVMGLCCFFYILGAWQKSGTGRGDSIALRVNQETDCTILPNLHFETHHSISGANPLIMTNKVIEPCHIRYSDYTPCQDQNRAMAFPRENMTYRERHCPSENEKLQCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAVQNWIQFQGDVFKFPGGGTMFPNGASAYLDELASIIPLADGTIRTALDTGCGVASFGAYLMDRNILTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRSFDMAHCSRCLIPWESDGGMYMMEVDRVLRPGGYWILSGPPINWNKYYQTWKKSKQDAEEDQRRIENIAEMLCWDKIYEKEDIAIWQKKANSHLCHQMNGHASKMCKAQDADDVWYKNMESCITPPGEPAQLKKFPERLSAIPPRILEGHALGVTEEDYEEDNKLWKKHVNTYKRVNKLIGSSRYRNIMDMNAGLGSFAAALDSPMSWVMNVVPTISERNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHGYGIFSLYQNKCDVEDILLEMDRILRPEGAVILRDSVDVLNKVRSTVSGMRWKSKLLDHEDGPHVPEKILISVKEYWVGSEEENSS >PAN51547 pep chromosome:PHallii_v3.1:9:71097754:71101230:1 gene:PAHAL_9G601900 transcript:PAN51547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSVPARSTGGLNTVSNDTSSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITSPESFSKAQYWVKELQKHGSPGIVMVLVGNKADLHENRSVSSQGAQEYAEKNNMFFIETSAKTADNINQLFEEIAKRLPRPTPS >PAN44702 pep chromosome:PHallii_v3.1:9:3597653:3601086:1 gene:PAHAL_9G062500 transcript:PAN44702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHAGSSAAAGEAPTTGEHRIGTTIVGVCYDGGVILGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIIGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALLDHEWREGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHEEMEPHNSLLDILAAGNPDPMVQ >PAN45710 pep chromosome:PHallii_v3.1:9:8318712:8319515:-1 gene:PAHAL_9G136200 transcript:PAN45710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRSAHPASQPAEVDVPGRPDYLDSYMQDNRPIPAPAELVVAPEPRTTRRETAIVYMPEAPPEMKYFARCFAHAYITPAGAPCKADPAPFIRAAAGAMLSSLRYELLPPGHGADRMVLFRSLENREAAMERQPFALDGASVRLVREGETSDLQRVNLDTLAHVALRGYPREQQSVEDICSNCCSFGHLLEVDPACFSVPNLSPVRAVVSTEHAREIPCQVRIRLPCGAFRHVVPVQILRVWDRSESTDAANWEYVPIYGPAAVVPP >PVH31949 pep chromosome:PHallii_v3.1:9:22744329:22753832:1 gene:PAHAL_9G270900 transcript:PVH31949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSQSMETVGAKDYKDPAPAPLVNAGELGKWSLYRAIIAEFVATLLFVYVALATVIGHKRQDEAQPCGGVGVLGIAWSFGGMIFVLVYCTAGVSGGHVNPAVTFGLLLARKVSLVRAVLYVVAQCLGAICGAGLVRAFHGSANYMRYGGGANELSAGYSKGAGLAAEIVGTFMLVYTVFSATDPKRKVRDTHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGPAVLYNQRKAWEDHWIFWVGPLIGAAAAMVYHQLVLRAGAAKAFASWRNSNHT >PAN47565 pep chromosome:PHallii_v3.1:9:18120666:18123676:1 gene:PAHAL_9G255000 transcript:PAN47565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMATNGMAPSFFPANFLLQMQQPLPHHPQQQEHHHHHHHHHHDAGHEHEAHHLLAPPPPALVSPFLHDFGAAMAAPPMLAGGGGLGKRMYPDGVGGDDSNLHAADPQQQDGGAASDDEEGSAAAGGGCGGERKRRLSVEQVRTLERSFEVANKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALRRQLDAARAENDALLAHNKKLHAEIMALKGGGSGGGGRQEAASELINLNVKETEASCSNRSENSSEINLDISRPPAPAAADESPAAMNSHRGLPFYASAAVDQLLHNGHPSPAAAPKMELGHGATADTPSATAGGSFGSLLCGAVVDEQPPFWPWADGHHNFQ >PAN49475 pep chromosome:PHallii_v3.1:9:61258676:61258900:-1 gene:PAHAL_9G451700 transcript:PAN49475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLEQWRGGEADGKDAPAPAGCRTPGGAQAAGGDCPAAPRKRRAPAGVVAQQRRRDYYAGADVEAFFAAHNL >PAN48928 pep chromosome:PHallii_v3.1:9:58173231:58177988:1 gene:PAHAL_9G413300 transcript:PAN48928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVDDKVSYFQAVTGISDPDLCTEILAAHNWDLQVAVSSITANPSSPSASASTSSREQGPSAPLVDAEFVAPPPPMPPAPQQLQQQQPGIAWKLVTLPFYVVSGGVGLVAGTFRLGAWVAGGVLSRSLSLLGLAGQGGGDRLLELPPSAAEAAEFVAEFEREFGAGRGPRFVAEGFADALQRAQREFKLLFVYLHSPDHPDTPAFCGGCLCSEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIMLLQQVEGPKSPEEMITILQRVVEECATSLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERERLEELERREREAAEAERKRKEEEEALARAAQEAAEKEAALARRRQEKAMALGAEPDKGPGITRVLIRFPTGERKERRFHSSAPISSLYDYVDSLDCLKAEMYSLVSNFPRVTYGPEKHSLTLEEAGLHPQASLFIEIEQ >PVH32238 pep chromosome:PHallii_v3.1:9:50281360:50287155:1 gene:PAHAL_9G351400 transcript:PVH32238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRGGNTQVQRQPAVVVVAVAEPARRRVRRRRTTMPVKSSASFRMTALPMVVAAQLLAAAVLALALVWALHFRGGVSWGRTSNPLLVYTAHPLFMVIGFVICTGEAVMAYRIVLGPRPAKKAVHLLLHLVAMAFAAVGLYAAFKYHHDAVLPDLRSLHSWLGIATIALYALQWLVAFVYFVFPGAVMTMRADYVPWHIFFGIVIFLMAILTAETGLAKFIFPLIDYPSEVFVINFTGLAILIFGVVVVLAVILPSRY >PAN45297 pep chromosome:PHallii_v3.1:9:6328917:6332213:-1 gene:PAHAL_9G108400 transcript:PAN45297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGWVVLALLGVVQLLRLPLAAPQSFIGINYGDVADNLPPPSSTARLLQSTTISKVRLYGTDPAVVSAFAGTGISLLLGATNGDIANLASSPAAAAAWVAAHIPASSPAVSTVSVGNEVLFADASLASQLVPAMQNLYNALPPNSSIKVSTVHAMDVLASSDPPSSGAFKPELDTALDPVLAFLSKTGSPFLINPYPYFAYLSDPRPETLAFCLFQPNAGRPDAGSGLTYTNMFDAMVDAVRAALDAKGYKDVEIVVAETGWPHKGDPDEAGATAENARAFVSGLVSHLRSLAGTPRVPGKSVDTYIFAVYDEDLKPGKGSERYFGLFQTSLTEAYPTGLVRNGTAALGPAMAPAQAPTSVQPAPALPKPGQQPQVTPAQPGSAAVAGPSGLCTPGTATARGAAVACSRHNSAESSRTFSVPTIIAGLLFTALQMLI >PVH32483 pep chromosome:PHallii_v3.1:9:57729215:57729991:1 gene:PAHAL_9G409600 transcript:PVH32483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSPLRRWKPFFAAFGLVDAAIEGAGPALCRDELRSARGDVVELLCGVPGGDGAEELCVVLDGFMAESLVTLQAVPAEAVPRMLASSADLARAVGSLRCHQSARISGLAREIIRGWSVAVDEDIARTSAAMKKLDDLCRVKASDASPPLPSMTKPIAGSHDLRTEKTKAATTIPKSLPKTTPPSEEKMEATKRKLREGYRDVEDAKRQRKIHVIEAPKMLKQRQRKMHPIVRERSQARCRSSMAVRRCLVSSFERV >PAN47377 pep chromosome:PHallii_v3.1:9:17758646:17761416:-1 gene:PAHAL_9G253300 transcript:PAN47377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPAVDDNMGRLFIRSLSFDDNDMEDAADSPSALSPSYGHGNLMIEGSLSFKRREADHVQMQTLLSVRSPKPDRDCCNTSNGAGTAGSSRFGPARDRPPDHPVDENNSPRHQAAALRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYQCWLHCQSKQPFFYWLDVGEGKDVSLEDHCPRSKLHKQCIKYLGPKERESYEVIVEDKRLMYKLSRQIVDTAGSATGTKWIFVLSTCKKLYIGQKRKGVFQHSSFLAGGATSAAGRLVAENGVLKAVWPHSGHYRPTEQNFQEFMNFLKDRSVDLSDVKLSPSEGEEDGDFSSLRGNHSQLDLTELCQQKEGSQEQDAQAAQGHGEQEAETRNDEPAQSSTETSAPAAMRKASSDNRLHGKRPPRLLISSDNRVPLPPAPHPSTRPLPSPGGKEIDPDSATFGECLDFCKRNLFAEDLYEDHGLDDLAEVPEELILSRINSKRAMRSYQLGKLLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGRPARFPSPRPGALTPNSIPAGKCGSLTADGDGVSMSLKPRQRSATWTAF >PAN47376 pep chromosome:PHallii_v3.1:9:17758646:17761416:-1 gene:PAHAL_9G253300 transcript:PAN47376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPAVDDNMGRLFIRSLSFDDNDMEDAADSPSALSPSYGHGNLMIEGSLSFKRREADHVQMQTLLSVRSPKPDRDCCNTSNGAGTAGSSRFGPARDRPPDHPVDENNSPRHQAAALRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYQCWLHCQSKQPFFYWLDVGEGKDVSLEDHCPRSKLHKQCIKYLGPKERESYEVIVEDKRLMYKLSRQIVDTAGSATGTKWIFVLSTCKKLYIGQKRKGVFQHSSFLAGGATSAAGRLVAENGVLKAVWPHSGHYRPTEQNFQEFMNFLKDRSVDLSDVKLSPSEGEEDGDFSSLRGNHSQLDLTELCQQKEGSQEQDAQAAQGHGEQEAETRNDEPAQSSTETSAPAAMRKASSDNRLHGKRPPRLLISSDNRVPLPPAPHPSTRPLPSPGGKEIDPDSATFGECLDFCKRNLFAEDLYEDHGLDDLAEVPEELILSRINSKRAMRSYQLGKLLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGRPARFPSPRPGALTPNSIPAGKCGSLTADGDGVSMSLKPRQRSATWTAF >PAN47375 pep chromosome:PHallii_v3.1:9:17758368:17762299:-1 gene:PAHAL_9G253300 transcript:PAN47375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPAVDDNMGRLFIRSLSFDDNDMEDAADSPSALSPSYGHGNLMIEGSLSFKRREADHVQMQTLLSVRSPKPDRDCCNTSNGAGTAGSSRFGPARDRPPDHPVDENNSPRHQAAALRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYQCWLHCQSKQPFFYWLDVGEGKDVSLEDHCPRSKLHKQCIKYLGPKERESYEVIVEDKRLMYKLSRQIVDTAGSATGTKWIFVLSTCKKLYIGQKRKGVFQHSSFLAGGATSAAGRLVAENGVLKAVWPHSGHYRPTEQNFQEFMNFLKDRSVDLSDVKLSPSEGEEDGDFSSLRGNHSQLDLTELCQQKEGSQEQDAQAAQGHGEQEAETRNDEPAQSSTETSAPAAMRKASSDNRLHGKRPPRLLISSDNRVPLPPAPHPSTRPLPSPGGKEIDPDSATFGECLDFCKRNLFAEDLYEDHGLDDLAEVPEELILSRINSKRAMRSYQLGKLLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGRPARFPSPRPGALTPNSIPAGKCGSLTADGDGVSMSLKPRQRSATWTAF >PAN46195 pep chromosome:PHallii_v3.1:9:10563929:10568309:1 gene:PAHAL_9G169100 transcript:PAN46195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPASSSPPPTGAADGEGDGDARCSSPTPAPLASSSPPPPSAADEDGVGCAAARCSSPTPKPRRRTSPNRSGGSARKSPGSRDSGGGSVLKSVNKSTVQFKKSRNRRSGSPINWTPRKKTESYMKRKIKHLQETDGMTASLHETLGNANPHYTRMAREKIAAREAARKATEARKAAMVEASWCRILRAARIQNKNAEEDREKAMLRATEAFEEARAMGVMMYDRPDCPHQQYEVESSSHTGGRSTHKVTASFQTAFQVDMEVSAAVKQAFVRLANSPDSAKREEFKELLWKISQNPDLTEADLNSDDKQQLGDCSNEGTSSSKLNKEDITGSSAPSDFNTTTVQESIDVVSIMLERLKALHEDELASLAVIVATSGLNTALQSERGKYHETDPVNNVSAGSLRSQSRRYSTAASLVDVQGPKKEVASELPSLDKFLVKHLSKLEREVQEAREASRKATSIKSVAHDAPSQFSSSNAKAAESTSDLGSILVKHVSKLEKEVLEAKKNNQSIHLKGSYKDVKASDVQSANKEPEFNRTQLEAEDKSDLKGSCDSKRSGEDSNHIQDFSDYVQEDKENRSLYSHQLPPSGAMGRQGGKRLTRIEAAKLEALKSFCTVDGNTLDVGLDKVFIKPIHRLEKEKREAREGQTNVHKHPEKHGQSTTVSEGLDDILVKHVSRLEREKIDYKKRNPLGEGWTNVPHDQRKNGNNAQPFESLDQVLVKHVSRLEREKMEYEKRNVLGGGTNMQNEKQRPCNSDIAVDSLDQVLVKNISRLEKEKIEHEKNGGKILLKKSDAQCTDGAAGSLADIFVKRPTKLEQAKLASAAEETPASGLNPVLERRRAREKELLDAWGGMGLGNSMKPHVSKIERAKAAWRIAENEQKQMVAAREL >PAN50294 pep chromosome:PHallii_v3.1:9:65252012:65253890:-1 gene:PAHAL_9G510500 transcript:PAN50294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MASLQNLICSVSKQLVAPNFAVTAKLNGASHSVVSASSSGASSHEKNVTKRQLALLGAGALATGLLKTSSAFAEEVPKNYKSYVDAKDGYSYLYPAEWRDFDFLGHDSAFKDRNLALQCVRVGFIPTDKTDIRDLGPMDEAIFNLVNNVYAAPNQKPSIYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKISDLTA >PAN44512 pep chromosome:PHallii_v3.1:9:2757748:2759470:-1 gene:PAHAL_9G049100 transcript:PAN44512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MENDRSQLGQIDPRRARFPCCIVWTPIPFITWVVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNCDECYKLLEAEGDATWDGALKKGTQEFQNRNYNLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASVLKTFLPFAVVLSIGTLLGSMTFLIGIVAFAAVMTGWFLVGTYCIKGLIEL >PVH31064 pep chromosome:PHallii_v3.1:9:2757763:2759463:-1 gene:PAHAL_9G049100 transcript:PVH31064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MENDRSQLGQIDPRRARFPCCIVWTPIPFITWVVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNCDECYKLLEAEGDATWDGALKKGTQEFQNRNYNLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASVLKTFLPFAVVLSIGTLLGSMTFLIGIVAFAAVMTGWFLVGTYCIKGLIEL >PAN48774 pep chromosome:PHallii_v3.1:9:57314076:57315702:1 gene:PAHAL_9G404100 transcript:PAN48774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPSRSDSLSRGGWPRCKARPTPSLERLDVHAGGLVESFNSSTASFIDMDPEELLFSMRWTSSDDGGLDLGPLCAGACSPLLASAGLVFADEGLLPREPSGIAARDAGSACYADASAGSSPAFHTARSTPASAIGSARPSGGGGARPLLPATRRLLLRYLRFLVPLCRKARALRLPARVFSAPGSRPPAAATPARRSTSSASSAAEHWCHGNADTAVRDAILHCKKSLLTARTEC >PAN50297 pep chromosome:PHallii_v3.1:9:65264598:65270823:-1 gene:PAHAL_9G510800 transcript:PAN50297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MAQTPNPSRRSLVGPAPMPFLTPRPERRHLEMRWADGGSQSAVRRSGVGAVAGNGGGWGGGDRDREVNVQVVLRCRPLSEDEQRANVQSAISCNDPKREVTVLHSLFKQADKTFTFDKVFGPKSQQKLVYDHAVAPIVNDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKVSELPDTAGVIPRAVRHIFDILEAGKAHYSMKVTFLELYNEDITDLLALEDQNRFPEDRQKRHITLMEDGKGGAVIRGLEEIVVYSPRDIYSLLERGSARRRTADTALNKQSSRSHAVFSINIHVKETTVGNEELMKCGRLNLVDLAGSENIARSGVREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSVHSLEETLVTLDYASRAKSIRNKPEPNRKTCKSVMLKDIYQEMERMKQDVKAAREKNGVYIPHERFVLDEAEKKSMREKMEHLELSLEKQNKEVEKFKGLYLAEQERRLDFESQNKDLKVNLESWKGKFLDLQEAHCRANMLLREKDFVISNLLCSENSIVEHAKDMRNNLENAYGDITLLLSKLERQSKTEAANEGLLSSFRDALDQSLGVLHNTVVGSVCEQHKILESMNEQTKSYFSAKTESANQLERRITKAKDMYVSGVQCMKELADTLRQRSIIDAEQMRLNISTHAITVDNFLAMMVLEAEQILDDVLKSTSELKELLAFSAELQQAGLKRSLTSAQAMSKTSIDFFEHIRIHVSRLIKLMEQNQIERSSKLLGFENEFKEICVEDEQAAMNKIAAILAGLTAKKTTMVSAYVGQLNERYSEEQKHLNLEICNLQQISDNGKDESVAYVGVVESQFQEDMSSHAKLNDQMEGILQQCLKKGGHSVSYWSHTQSSLHDLCKSSIMEADDFIEERRNKNENIFQEKLMFSSQNDAEFNAITSDVLTSSKNSLLLDHETRKMIESASTTFSDHLELLNERHSEGTESIRNISSNCLEKNYMANSPIRHRPRELLTNANSLEAIEELRASVPDLVAKFRSENKLDEIDKGKQFSDQRTRTSRSPLMPVNQNVE >PAN50296 pep chromosome:PHallii_v3.1:9:65264081:65270983:-1 gene:PAHAL_9G510800 transcript:PAN50296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MAQTPNPSRRSLVGPAPMPFLTPRPERRHLEMRWADGGSQSAVRRSGVGAVAGNGGGWGGGDRDREVNVQVVLRCRPLSEDEQRANVQSAISCNDPKREVTVLHSLFKQADKTFTFDKVFGPKSQQKLVYDHAVAPIVNDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKVSELPDTAGVIPRAVRHIFDILEAGKAHYSMKVTFLELYNEDITDLLALEDQNRFPEDRQKRHITLMEDGKGGAVIRGLEEIVVYSPRDIYSLLERGSARRRTADTALNKQSSRSHAVFSINIHVKETTVGNEELMKCGRLNLVDLAGSENIARSGVREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSVHSLEETLVTLDYASRAKSIRNKPEPNRKTCKSVMLKDIYQEMERMKQDVKAAREKNGVYIPHERFVLDEAEKKSMREKMEHLELSLEKQNKEVEKFKGLYLAEQERRLDFESQNKDLKVNLESWKGKFLDLQEAHCRANMLLREKDFVISNLLCSERQSKTEAANEGLLSSFRDALDQSLGVLHNTVVGSVCEQHKILESMNEQTKSYFSAKTESANQLERRITKAKDMYVSGVQCMKELADTLRQRSIIDAEQMRLNISTHAITVDNFLAMMVLEAEQILDDVLKSTSELKELLAFSAELQQAGLKRSLTSAQAMSKTSIDFFEHIRIHVSRLIKLMEQNQIERSSKLLGFENEFKEICVEDEQAAMNKIAAILAGLTAKKTTMVSAYVGQLNERYSEEQKHLNLEICNLQQISDNGKDESVAYVGVVESQFQEDMSSHAKLNDQMEGILQQCLKKGGHSVSYWSHTQSSLHDLCKSSIMEADDFIEERRNKNENIFQEKLMFSSQNDAEFNAITSDVLTSSKNSLLLDHETRKMIESASTTFSDHLELLNERHSEGTESIRNISSNCLEKNYMANSPIRHRPRELLTNANSLEAIEELRASVPDLVAKFRSENKLDEIDKGKQFSDQRTRTSRSPLMPVNQNVE >PAN50553 pep chromosome:PHallii_v3.1:9:66395945:66396547:1 gene:PAHAL_9G527200 transcript:PAN50553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDGAGMDGGHVYPLPLPPMMARPHGNVDGNHQQQQQLPLPANIWAGNDANTLLVVATLLTALTYQLGSSVPGGYWQDTQPAEAGGKQPHAAGDPVMRDLQPQRYWVFMAASWMGFAGSMLMTLSLLVRMPVDSRRVRWSFAAAYASLVLTFRLSQPKTHISLDILIWVAVTAFLWLMVSVRAEHRARIARLLCGAGDN >PAN44411 pep chromosome:PHallii_v3.1:9:2269026:2271703:1 gene:PAHAL_9G040900 transcript:PAN44411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAAPCGDRAASCRRGLNAPPAAAAATTMRVMVRTLRGERVALDVDGAATVSRLKGMVMAREGVAADAQRFFFAGRHLDDDALPLAHYGVRHGSVVFLSLRLRAAAEQQETMRDVQPQPEQPVTVRQLIDQQQQQLMLFDDHHHGRDAARRGGEEAVIKRKPVSRRSLRKILSRLHVDVWTAQHDAKFLDLLLRHTRGGGGGRTVGDLTADDWRAIRAELNAATRSAFPVEELQRRLAEYRREFEAVSRIKDHRGFSYDARRRVVVATEAEWKRYVLENPEAVAYEGRSPHFGRLRAIFSGAGGSSETRGRGGATRSRESRAKRCLSKLLRSFGLQCKL >PAN44412 pep chromosome:PHallii_v3.1:9:2269027:2270794:1 gene:PAHAL_9G040900 transcript:PAN44412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAAPCGDRAASCRRGLNAPPAAAAATTMRVMVRTLRGERVALDVDGAATVSRLKGMVMAREGVAADAQRFFFAGRHLDDDALPLAHYGVRHGSVVFLSLRLRAAAEQQETMRDVQPQPEQPVTVRQLIDQQQQQLMLFDDHHHGRDAARRGGEEAVIKRKPVSRRSLRKILSRLHVDVWTAQHDAKFLDLLLRHTRGGGGGRTVGDLTADDWRAIRAELNAATRSAFPVEELQRRLAEYRREFEAVSRIKDHRGFSYDARRRVVVATEAEWKRYVLENPEAVAYEGRSPHFGRLRAIFSGAGGSSETRGRGGATRSRESRAKRCLSKLLRSFGLQ >PAN46160 pep chromosome:PHallii_v3.1:9:10367358:10370843:-1 gene:PAHAL_9G166200 transcript:PAN46160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDNPAKFANVHRVFGASNVSKLLNELPQAQREDAVNSLAYEAEARLRDPVYGCVSYISVLQLRIKQARDDLAAARKELAGYIGPAAFAPFVAPPQYHHHHHHQYAGVPLAAGMGLGVGVAQPQHGHGHGHPHQQIMVQHQHLHHQQQMAEAQQLAAAVEVAREQDLMMRQAAAYAHAVPGSSAGATVAVVPPDAVPYEGGFLFQQQQPQPSQAQTAVALTYQMEQSPPPSSSGQSHPEVSHQQNTDGSDEGSGGGGVPPA >PVH33300 pep chromosome:PHallii_v3.1:9:72332728:72333114:1 gene:PAHAL_9G621600 transcript:PVH33300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPPKPPPARTHPPVHGLVLLPSRLLRFQWQGAVNRAQLPARGHRALPLPACRLPLPLPSHRIALSPSPPAQLKNLPSDVPSTVRVAPITKTITPNQCGASRPAASPSTGLRRWLSRSFAAPLSTV >PVH32972 pep chromosome:PHallii_v3.1:9:67076836:67080509:-1 gene:PAHAL_9G537800 transcript:PVH32972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARAGMAAALALLAAAALCCGTAAAALPRLEHPARNDGTLSLLVVGDWGRGGTHNQSLVAEQMGRVGEKLGIDFVISTGDNFYDNGLKGVHDQAFEESFTDIYTAQSLQKPWYLVLGNHDYRGDALAQLSPVLRKIDSRFICMRSFIVNAEIVDFFFVDTTPFQKKYWTHPGKHHYDWRGVAPRGKYIANLLKDLDEAMQKSTAMWKIVVGHHTMRSVSDHGDTKELLKLLLPVLKENGADFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFQPNKDKLRFFYDGQGFMSLQLNQEQAQFTFYDVSGNSLYQWSSRKTGHSQPSTYLDDEE >PAN50682 pep chromosome:PHallii_v3.1:9:67068513:67071681:-1 gene:PAHAL_9G537600 transcript:PAN50682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSDAMAAAAALTLLAAALCAPAAAELTRMEHPAKNDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGELLDIDFVISTGDNFYENGLTGTDDEAFEQSFTNIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLQKIDNRFICMRSFIVNAEIVDFFFVDTTPFQLKYWTHPKDDHYDWRGVAPREKYLANLLKDLDEAMKKSTATWRVAVGHHTMRSVSDHGDTKELLQLLLPVLQANGVDFYINGHDHCLEHISSKNSPIQYFTSGGGSKAWRGVFQPNEDNLEFFYDGQGFMSLQLDHNQAEFTFYDVNGNVLYQYTRSSLRKTGHLQPSGYATEE >PAN46525 pep chromosome:PHallii_v3.1:9:12261790:12262541:1 gene:PAHAL_9G191900 transcript:PAN46525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSRLLAGVLLILAAALVCSSAERRSTGHGQDRDASAPQLPRPPRFGPQDHRPESSVPPLRPLGLRKPVAAPPPPRPATPRASIRPYHPS >PVH31092 pep chromosome:PHallii_v3.1:9:3142994:3146191:1 gene:PAHAL_9G054800 transcript:PVH31092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIVCKKADIDMNKRAGELSPDELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >PAN51952 pep chromosome:PHallii_v3.1:9:73126852:73130921:-1 gene:PAHAL_9G632300 transcript:PAN51952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MAGGGAAAASALSSPWRALLQRALDANAHLRHSTYFQLATVGAGGRPANRTVVFRGFQEHSDKIQINTDARSNKIGEIRNCPFGEICWYFADSWEQFRISGSIDAIDGSSADPAKLQNREKAWFASSVRSRLQYLGPQPGVPIIDDEQVKDVHLDPSAVPVEAFCLLVLDPEMVDYLNLKSNQRLIFTRSHKDDGSVDWMAEKVSP >PVH33343 pep chromosome:PHallii_v3.1:9:73128884:73130961:-1 gene:PAHAL_9G632300 transcript:PVH33343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MLRQHSGYPSLTCGTHGSERIWSANLHPPPRFLKARGGERSGSGDKQRDGRRWRRRRVGAFEPLEGAAPASAGRQRPPQALHLLPTRHGGRRRQARESHRRLQEHSDKIQINTDARSNKIGEIRNCPFGEICWYFADSWEQFRISGSIDAIDGSSADPAKLQNREKAWFASSVRSRLQYLGPQPGVPIIDDEQVKDVHLDPSAVPVEAFCLLVLDPEMVDYLNLKSNQRLIFTRSHKDDGSVDWMAEKVSP >PAN51953 pep chromosome:PHallii_v3.1:9:73128884:73130816:-1 gene:PAHAL_9G632300 transcript:PAN51953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MAGGGAAAASALSSPWRALLQRALDANAHLRHSTYFQLATVGAGGRPANRTVVFRGFQEHSDKIQINTDARSNKIGEIRNCPFGEICWYFADSWEQFRISGSIDAIDGSSADPAKLQNREKAWFASSVRSRLQYLGPQPGVPIIDDEQVKDVHLDPSAVPVEAFCLLVLDPEMVDYLNLKSNQRLIFTRSHKDDGSVDWMAEKVSP >PVH33342 pep chromosome:PHallii_v3.1:9:73126852:73130968:-1 gene:PAHAL_9G632300 transcript:PVH33342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MLRQHSGYPSLTCGTHGSERIWSANLHPPPRFLKARGGERSGSGDKQRDGRRWRRRRVGAFEPLEGAAPASAGRQRPPQALHLLPTRHGGRRRQARESHRRLQEHSDKIQINTDARSNKIGEIRNCPFGEICWYFADSWEQFRISGSIDAIDGSSADPAKLQNREKAWFASSVRSRLQYLGPQPGVPIIDDEQVKDVHLDPSAVPVEAFCLLVLDPEMVDYLNLKSNQRLIFTRSHKDDGSVDWMAEKVSP >PVH33344 pep chromosome:PHallii_v3.1:9:73126852:73130968:-1 gene:PAHAL_9G632300 transcript:PVH33344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MLRQHSGYPSLTCGTHGSERIWSANLHPPPRFLKARGGERSGSGDKQRDGRRWRRRRVGAFEPLEGAAPASAGRQRPPQALHLLPTRHGGRRRQARESHRRLQEHSDKIQINTDARSNKIGEIRNCPFGEICWYFADSWEQFRISGSIDAIDGSSADPAKLQGESLVRELSKVKIAVLGTSARCSHYR >PAN51951 pep chromosome:PHallii_v3.1:9:73126852:73130890:-1 gene:PAHAL_9G632300 transcript:PAN51951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MAGGGAAAASALSSPWRALLQRALDANAHLRHSTYFQLATVGAGGRPANRTVVFRGFQEHSDKIQINTDARSNKIGEIRNCPFGEICWYFADSWEQFRISGSIDAIDGSSADPAKLQNREKAWFASSVRSRLQYLGPQPGVPIIDDEQVKDVHLDPSAVPVEAFCLLVLDPEMVDYLNLKSNQRLIFTRSHKDDGSVDWMAEKVSP >PAN46810 pep chromosome:PHallii_v3.1:9:13991822:13992835:-1 gene:PAHAL_9G216400 transcript:PAN46810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRLLLLLAVAAASLLAADARPCRTFLVAFPADPNPNPSDGDGAAHHYRGVPHVATVVTVFRVRRLGPHLRHGHRNHPHLHSIPANVQIRRPELPHPAHAAAAGPQERARDILVVVVGLLFGVACGALTAASVYLVWSMVAGAAAASPYEELYDDGDEASDTESPKKVGYVVIQELEVHDGGKN >PVH32685 pep chromosome:PHallii_v3.1:9:61810858:61811978:1 gene:PAHAL_9G461100 transcript:PVH32685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWWNPRNAVLFSPNVNEECFKGSMLLKMDSKISIHRDAKARQRCHAKQNQDGSKLVKTHHSSGKRSQFRGEHWPVI >PVH31698 pep chromosome:PHallii_v3.1:9:13904852:13905703:1 gene:PAHAL_9G215000 transcript:PVH31698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQRKKSATQMEMKPIRNSLGRNPLSDALHRNPGVVLNGQGPGRHPLRGASYRDPGTMISDLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAETTRIRLGSWNVGSLTGKLRELIDVAIRRRVNILCVQETKWKGQKEKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLILNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PAN48434 pep chromosome:PHallii_v3.1:9:55255155:55256296:1 gene:PAHAL_9G381800 transcript:PAN48434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPLERASVATVIAFLLLLACHGPAGVIAGGEPPYSSAAETKKHAEMHARKMLINATTGGHPRGVAAAAAAAYAKAAERTTAALDEDDDCSEGVVQVSQVNAGPLPNGIPTYSVAITNTCLDCTVRDVHVSCGEFASTVLVDPRSFRRLAYGDCLVGDGGPIGPGETVCFEYSNSFIYSMDVASVSCGDI >PVH32633 pep chromosome:PHallii_v3.1:9:60956315:60957876:-1 gene:PAHAL_9G446900 transcript:PVH32633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVVRCDQASRLRSVVVVLGAARVAETDAVDGAQAALGLRDDGGREPGLREPAGRPTTAAGVSSAMARSALHRHSAGDGRQLPAARVLHVPQRDREQEGCERDAGAAAGPTGRVPAAARERAQRDGLPRSWRRPWRWRRAGRRTGGDGG >PVH31807 pep chromosome:PHallii_v3.1:9:15963163:15963417:1 gene:PAHAL_9G237200 transcript:PVH31807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSYADFIRSRAPNKTIVEHHYRYDIFIVAIDQQAQELNSRFSEQAIELLILCTSLDPSDSFNIDKVCSLASKFYPPTSENKR >PVH31569 pep chromosome:PHallii_v3.1:9:11379715:11383062:1 gene:PAHAL_9G180200 transcript:PVH31569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCGQAYGAHRYETLGVYMQRSFVPLAAVYAFSRPIFVLLGESPEIAAAAAVFVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAAALAAHLALSYLAVYRLGMGLLGASLVLSLSWWLIVAAQFAYIVTSERCRRTWTGLSCRAFSGLPEFLKLSTASAVMLCLETWYFQILMLIAGLLANPQLALDSLTVCMTLAGWVFMISIGLNAATSVRVGNELGAGHPRAAAFSLVVVTALSFVITAAMAAVFLAFRGRISYIFTEGEAVARAVSDLCPLLAATTTPKQQDVRCKQSETSF >PAN46360 pep chromosome:PHallii_v3.1:9:11379715:11383062:1 gene:PAHAL_9G180200 transcript:PAN46360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRPHELSGRLEGILADREAPWARRASRAAMIELRLLAPIAAPAVAVYVLNNVLSISTRIFSGHLGNLELAASSLGNNGIQVFAYGLMLGMALCGQAYGAHRYETLGVYMQRSFVPLAAVYAFSRPIFVLLGESPEIAAAAAVFVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAAALAAHLALSYLAVYRLGMGLLGASLVLSLSWWLIVAAQFAYIVTSERCRRTWTGLSCRAFSGLPEFLKLSTASAVMLCLETWYFQILMLIAGLLANPQLALDSLTVCMTLAGWVFMISIGLNAATSVRVGNELGAGHPRAAAFSLVVVTALSFVITAAMAAVFLAFRGRISYIFTEGEAVARAVSDLCPLLAATTTPKQQDVRCKQSETSF >PAN45566 pep chromosome:PHallii_v3.1:9:7628790:7638069:1 gene:PAHAL_9G127700 transcript:PAN45566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGGDDGVPDGIGMARLAWTRLPTADAEGAGPSTSAAAAGDELFSAAAVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYTATFAIIKHSYFVGFFVYTVFNLALVFSSVYIVTNYAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELFPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQIFEACLISLVTSTISFVLPLLRKCSPCPQLGANSGIECPHPPGTDGNFVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKYYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNAKDACKNQKVIYLPRVSRVIDIVSVLRTNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQAKVDFQNSPFPCGPGVLNRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRKDLLLEEDGNTVMAELQSTSVRGLLNGRLLGGNAHLERPLLDNLVIE >PAN45567 pep chromosome:PHallii_v3.1:9:7628790:7638069:1 gene:PAHAL_9G127700 transcript:PAN45567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGGDDGVPDGIGMARLAWTRLPTADAEGAGPSTSAAAAGDELFSAAAVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYTATFAIIKHSYFVGFFVYTVFNLALVFSSVYIVTNYAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELFPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQIFEACLISLVTSTISFVLPLLRKCSPCPQLGANSGIECPHPPGTDGNFVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKYYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNAKDACKNQKVIYLPRVSRVIDIVSVLRTNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQAKVDFQNSPFPCGPGVLNRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRKDLLLEEDGNTVMAELQSTSGLAEWQTAGR >PVH31562 pep chromosome:PHallii_v3.1:9:11328681:11331562:1 gene:PAHAL_9G179500 transcript:PVH31562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRKDADAEAVVRVVAEPDVLQDLLYLGFPPEDVVLFGFPRRVLRLPRHDRGIVPLRHDVLVHGRAPVRSLRHGLECFSQSVQIDAAGLRLGAFAVAAAAAASYCISRRAEAEQEPERAARLPRRGGGGLAGLPGARPVSRRVQGRGLDVVEGIVRGVGGVEQVLHGAPAEVHGARVCGVQHAPHGREEALEAAQVARGGLVELGQQLEALADEAGKHGGDEAGRRPSRR >PVH33399 pep chromosome:PHallii_v3.1:9:73819330:73832078:-1 gene:PAHAL_9G642800 transcript:PVH33399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALPTLPFPRRLSDARRRGRLYLFLIVLVAVSAAAALAYLSFPSAAPTPPPAASSTVRADADCCRGIQGLELWGPAVKWGSNHRLPSAAACCASCKAMCPHAEDGACRCNSWVFCGDERRCKDRLGECWLKKQKDVMAPAVIARGEDVMWTSGLVFGKGEGIVGLETNFGTLHIQLLPGCAPRSVDYFIELLGLHSCAGCRFYRAEGRENVWDAEGNHKKNAAFGPPYALLQGTLEVDGLPFKDIAREACPAVKRGSMAWVGSGPEFLISLANHEEWKDAYTVFGHVLPEDMAIAEEMALLPASTDVWSNVTVKVLRDPVYLKVKRSSHASSV >PAN47208 pep chromosome:PHallii_v3.1:9:16352902:16356708:-1 gene:PAHAL_9G242300 transcript:PAN47208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKKRQPPAAAPSSSSAAAAAPREAPEEVVPAAAAAAPASEAGAGRKVRLYIVFYSMYGHVESLARRAAAGAGAVDGVEAVLRRVPETLPPEVLEKMQAPAKDPAVPVIASAADLQEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWQEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLFVPIGYTFGANMFEMDDIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAHHA >PAN51134 pep chromosome:PHallii_v3.1:9:69361441:69363177:1 gene:PAHAL_9G572900 transcript:PAN51134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQSALLPEASKRPPCLSLVGSAAVASTATSKKRKRDGGDDRDDSRGEVVDGIELNFDAAPLPPEWQRCLDIKSGQIHYYNTRTQKRTWKDPRGEPDYRAAPAAAADEEESANCAPPGLDLELNLTFSPRPALAHQEKKKPKPAAPPPAPARTAAERRPPAEAAEDSREMVAAVCVRCHMLVMMCRASPACPNCKFLHTPSRAAPPPPEPAAPLKLGLQLLCCRD >PVH32539 pep chromosome:PHallii_v3.1:9:58932800:58933428:1 gene:PAHAL_9G423400 transcript:PVH32539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTTVPRCRSPSPIVEQAAKQPPPARHHQFSRFILRLEFGPRQWPPSPTKHAAATTSSGMHLCCALYA >PAN47163 pep chromosome:PHallii_v3.1:9:16060751:16062176:1 gene:PAHAL_9G238400 transcript:PAN47163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRRLPPAVFFLAVVALLAAPAAVAAWSRGTATFYGGSDASGTMGGACGYGNLYTTGYGTATTALSQVLFSGGASCGQCFQIACDSQTDGRWCRPGAGPVTVTATNLCPPNYALPSNNGGWCNPPRAHFDMAQPAWVKIGVYQGGIIPVLYQRVACVRQGGVRFTITGFNYYELVLISNVGGSGSVASAWVQGTSTNRVPMSRNWGANWQSLAGIAGQALTFGVTTTGGQYIVFQNVVPVNWAFGMSFMSNLQFSY >PAN48927 pep chromosome:PHallii_v3.1:9:58168400:58172067:1 gene:PAHAL_9G413200 transcript:PAN48927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDVVVSDPAAAVSSPSPSPSASSFAETRVICRVCQKQFAQYTCPRCNSRYCSLPCYKGHSLQCTESFMRENVMDELKQMQPEDESKKKMLDILKRLHLEEEMESDGEDESMLSEELIQKVMSGEEVKIEDLSDDEIKRFRQALASGELSKMIEPWTPWWKKPSARLVSLSPDGSQLIRQVREEDTATSDPTTDQEPSINEIPEGPESPLPSLKQLTRVEPSPLLAIHLVDILYSYCFTLRLYNGDWHSDPLGASTVALSMSKVMGEDAKPETVPEALTACIEETCSPAYRHTGGFRFAIGLVDDIISILSLGHNALVCALSDFHRLIEAGKSMLKVEKVGKTESAQSSSKLRGAARKLFFMTCWVHEQPEEAWPPLARIVEVQKASLEELDTGSRKADIKSRPQSKVLIEEL >PAN44178 pep chromosome:PHallii_v3.1:9:1282058:1285109:1 gene:PAHAL_9G022600 transcript:PAN44178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPLLRLLSGCGGVWPTSPAPGSASASGEDSEGHDGLLWWRDLARCHAGEVSVAVAQANQVLEDQCRLESAPPLGTVIGVFDGHGGPDAARFACDHLFPNLREATSGTQGVTANAVREAFLATEAGFLELVSRLWETQPDIATVGTCCLVGVVHKRTLFIASLGDSRAVLGKKVGRTGQIAAEQLSSEHNANQEAVRQELMAQHPDDPQIVALKHGVWRVRGLIQVSRSIGDVYLKHAKYNTERIKPKFRLSESFTRPILSADPSIISRNLQPSDSFIIFASDGLWEHLSNQDAVEIVHNHQRAGSARRLIKAALQEAARKREMRYSDLTKIDKKVRRHFHDDITVIVLFINYDLLVKGAARGQPLSIRCALDY >PAN51608 pep chromosome:PHallii_v3.1:9:71392718:71396378:1 gene:PAHAL_9G607200 transcript:PAN51608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATPRLGSTSHLLFPLSFSLALLCCIAVSNAASDEAAALLAIKASLIDPLEKLGGWTSASVSSHCTWKGLRCNARGVVTGLNLAGMNLSGTIPNDILGLTGLTSIILQSNAFEHELPLALVSIPTLQELDVSDNNFAGHFPAGLGACPSLTYLNASGNNFAGPLPADIANASALETLDFRGGYFSGTIPKSYGKLQKLKFLGLSGNNLGGALPAELFDMSALEQLLIGYNEFSGAIPAAIGKLANLQYLDLAIGKLEGPIPAELGRLPYLNTIYLYKNNIGGPIPKEIGNLTSLVMLDLSDNALTGTIPAELGQLAKLQLLNLMCNRLKGGIPASIGELPKLEVLELWNNSLTGPLPPSLGSAQPLEWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPAGLTTCSTLVRVRAHNNRLNGTVPAGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPTLQTFAAADNELVGGVPDEIGDCPSLSALDLSGNRLSGAIPASLASCQRLVSLSLRNNQFTGQIPGAIAKMSTLSVLDLSNNFFSGEIPSNFGTSPALEMLNLAYNNLTGPVPTTGLLRTINPDDLAGNTGLCGGVLPPCGGASSLRASSSEASGLRRSHMKHIAAGWAIGISALIVACGVVLLGKQLYQRWYVNGGCCDDAALEEDGSGSWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEEAATVDGHQDMEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVLYEYMVNGSLWEALHGRGKGKMLVDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDTNMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGDSTDIVGWIRERLRTNSGVEELLDAGVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >PAN48056 pep chromosome:PHallii_v3.1:9:24695425:24696674:-1 gene:PAHAL_9G275100 transcript:PAN48056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSCFLTSKPSPKTPASVVVAKPKPHLLFGTRRAGSSSVTCCSFNARPSEDGAVPMIGPDWRSFRAQLYFTEQHAKSVNSSVTAIPSLPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVLGPVGVILNRPSLMSIKEAESIFEDDANIAGAFSGRPLFFGGPLEECFFLLGPRAAAGSDVVARTGLFQEVMPGLHYGTRESVGCAAELAKRGVVGVRDFRFFDGFCGWEREQLRDELRAGLWRVAAGSPAVLGLASVVKGGLWEEVQGLVRERRVW >PVH33107 pep chromosome:PHallii_v3.1:9:69216503:69218353:-1 gene:PAHAL_9G570400 transcript:PVH33107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALHFVMGSRAWRVVFVELLRAGSFDELKVIVNVACESGPPRSTSRMMHAGGDGISRGSLQGGGAAHRTAPAAHQLPPERSPVPPQERPESSPRSLTRILRDVLLSEFGWKSMLECFVASRNPENQEIEELIVSHTVQFAKGKYSNNYLQEVLERGSDQLQENITGRVLTGNLVILSLDKHGSHVVRACFLRTG >PVH33105 pep chromosome:PHallii_v3.1:9:69183685:69184668:1 gene:PAHAL_9G569800 transcript:PVH33105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTAAVLSPPSVAGLRLAPSPRARVSFRAAPARRSVAARAELSPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPKQNGKTHFDAGDERAKEFAALLKSNDPVGFNLVDVLAWGSLGHIVAYYILATSSNGYDPNFF >PVH33292 pep chromosome:PHallii_v3.1:9:72237695:72238291:1 gene:PAHAL_9G619500 transcript:PVH33292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTQAAARRGPLPVPARGGSAPLLLPTANKEARAAARAPAILGGARSSRLVQPSSPRRCSSSPAPTAHQACPTAHHQLRSPASSAALPTCSPPAPSAPPVRGPAVFALAAVPVRRRAGAQPHPSMAAAGPRAPLPVCGHGRPARARSWPRSVPSCGGLAPPPPVPGRGHRGTARRPGVEQRDGQKNSKGIEDMLQRP >PAN46368 pep chromosome:PHallii_v3.1:9:11417992:11423611:1 gene:PAHAL_9G180600 transcript:PAN46368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPPPPAQQQQWAMAPPPPPQYYQAGHPPPPPPQFYQAGPPPPAMWGQPPPQAAPAPAPAPAPSGGGGGDEARTLWIGDLQYWMDENYLYSCFSQAGEVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNGNQPFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRLGPASNKKNTGGQQQPSSATYQNTQGTDSDNDPNNTTVFVGGLDPSVTDELLRQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGTQLGGQSVRLSWGRSPANKQPQQEQNQWSSGYYGYPQGYDPYGYARPPQDPAMYAYTAYPGYGNYQQQPPQQPPPQQ >PVH32209 pep chromosome:PHallii_v3.1:9:48898518:48900105:-1 gene:PAHAL_9G347000 transcript:PVH32209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSLCPFQPAMVTAATRAAAAPFVAVRRGECFFVPPQRWRMRPARAGRWPGRARATTDPKASSSPVQGGGPPELPRSSTALRVVGAGVALAVALGGVSWAAARGRGAAAGPVPPPALVLCDVLNNNAAAGAPMKKRLVDFMDNELSVVMRSSDMLRNTDPRDREKIMVGREEHFSKTGNADEGLKLTQALILLGNWRKAEATCQKLTNLYPDDPKPRLISVMETLLSTDPTTTHNDKEEMANKINEMTKNAINDAWKKYRK >PVH32210 pep chromosome:PHallii_v3.1:9:48898519:48900104:-1 gene:PAHAL_9G347000 transcript:PVH32210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSLCPFQPAMVTAATRAAAAPFVAVRRGECFFVPPQRWRMRPARAGRWPGRARATTDPKASSSPVQGGGPPELPRSSTALRVVGAGVALAVALGGVSWAAARGRGAAAGPVPPPALVLCDVLNNNAAAGAPMKKRLVDFMDNELSVVMRSSDMLRNTDPRDREKIMVGREEHFSKTGNADEGLKLTQALILLGNWRKAEATCQKLTNLYPDDPKPRLISIVINVTQVMETLLSTDPTTTHNDKEEMANKINEMTKNAINDAWKKYRK >PAN48200 pep chromosome:PHallii_v3.1:9:53589614:53595451:1 gene:PAHAL_9G367200 transcript:PAN48200 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 6 [Source:Projected from Arabidopsis thaliana (AT4G19010) UniProtKB/Swiss-Prot;Acc:Q84P24] MQDGVAAHGPSNGATANAAAATFYCAASGAYASTHPPVRLPADPSLSLVPHIFARAPPAAGARPALVDAATGEALSLADLRRLVAALAAGLRVRAGLRAGDAVLLALPNSVAFPVAFLAVLAAGGVATSMSPSSTPAEIAARVRDTSPALVLAAPENAGSLPPLCVPVVLVPETFRVAGAGAPEFAPFRALLLHDPGVPAAPPVGQDDAAAVLYSSGTGGRSKGVVLTHRNLIATVELFVRFEASQYASPACDNVYLAALPMFHVYGLSLFAVGLLSLGTTVVVMKRFDVGEAVKAIDRFRVTHFPLVPPIMEALVHAVQPPALDSLVQVSSGAAPTSGRLINDFVKAFPHVDFIQGYGMTESAAVATRGFNTSKQKKYASVGLLAPNMHARIVDLETGCYLPPGSCGELWLHGPAIMRGYLNDEDAHAVNNGWLRTGDVAYFDSDGYLYIVGRLKEVIKYKGFQIAPADLEAVLVEHPEIVDVAVTSAEDEEAGEIPVAFVVRKSGSNLSCMQVMEYVAKQVSAYKKVRKVVFVESIPRSPAGKVLRRLLKDSLAAAGPTSYSKSNPKRHSSL >PVH33120 pep chromosome:PHallii_v3.1:9:69349756:69353866:1 gene:PAHAL_9G572700 transcript:PVH33120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNRVGHGTGIGWGQGLVHPDLAESYLLSRHTGAEFFSPSHIALDVRGGPKLQYAYGVPVADNGFARNVNQFEAFRCENSLMFDGKKNMNFLERGRERRFQQFVNNRAPELGNSRTMRYENMVRVKEYIYFMAKDQYGCRYLQQKFEEGKHHVDVIFEGIINHTADLMINSFANYLVQKMLDVCDEEQRLRIIAVLTQDPVRLVAICINLHGTRAVQKLIETVTTREQIVLITLALQPAFMELVNDPNGNHVIQKCLTNFGAEENKFIYEAAAANCFDMAIHRHGCCVLQRCISSARGAYQAKLIVEICARGFELAQDPFGNYVVQYVLNLKIPSANAHLASQFEGGYVYLSKQKVSSNVVEKCLKIFPDDAKAVIVRELLNGSHFEQLLQDPYANYVIYTALLNTRGHLHNALVEAIRPHEDAIRTSPCCKRISRALSRR >PVH33297 pep chromosome:PHallii_v3.1:9:72300169:72300513:-1 gene:PAHAL_9G620700 transcript:PVH33297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAASVARLAGTWRLSPVSIRRWHWQLLSLSIDRSNWMHQSSDHRRICRGGRTGVAGSWKLLPAGNSRPACQHLPDPRQNEKERFQGKQTRSSARLHITSLPNGVCFSGCESP >PVH33394 pep chromosome:PHallii_v3.1:9:73801936:73814132:-1 gene:PAHAL_9G642600 transcript:PVH33394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPERAVAALESCFRALPADAVPAVVDCVLASSASTSPAQLFHALLRSPTCPEEQEQEQGLQAQSDFHASISHAASLRHLLARFDNPPKAKDALRLLLWRVFLPLLRDTIEPNLHQVIALMCDAVSDTGSWDLLGATIAPFCIRSSAVAMGLSTRHDSMLYHSMMEADFAGDNLPPMLTLSKASSVLASLLGDILVRRRTILSVDRLDSQEGAIDLDAFVQNLTWDLSALVLKMFAHGQEYRSCATRTLLQPLLISLADNPCVTVMLGAVQHKLSRFGFLECIWDSCISLFSLGCGERLDAYKILSLYFSTLKLGHEVAVLGADKLQEFDLRNVREFWNQLRKGLVDKDSFVRKQAFYVLTISLSIFTSSGNDGSQHCSSKSSAALPAQTKSNTATTKRERWANKEAKSLGVREMEQSDERCSNGLDRWKIFLLLYEMLQEYGTHLVEAAWTLQVMLLFESIPQTDYLNHTSHGIFHAQMESWEGILQWMTVLWERGFTHDNPQVRCLVMQSFLDIAWERYKVCTQIIPRGFVLGSLIRGLNDVVHHKDFGFGGVYNSKTIKGAESFFSTYAQNLTRRDRMHLVWSLASAAKHDSFGRAGLMTLASCVASCTCQSDINDVPCATPGKEASKSDGDVPAEVRSADLLDALWILSERSKQHFNPKYRLKVCEQVIKVATSLINATEVPLNQLLHFISTIPREFTDYFGPLRVIVQEWFVQKKECSPGSTLLSKLIDFPTTFMKHNKQDQGSNLFDDEDVNAWEAEARRWARTLFLVTSDEQHLKRILGFIEAHGYKLSESEQCPVGECVPVKFFIIVLSFIEELEVGQRKLVCQDKTILKGGSDRANGLELHDLNEKLAESLSLVLETMVVFSKLSCSVFWLRNIENMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVASWCNHYSSGDSFSSTFSFLWDFYWKVIEHSTYATETGAELHLAAYETLAYVLAALSAARNSQYLDLVETKQTNQARNFSLDISMTTFLNNINHLLTNGILTRSRRAVLMTWKWFCVDSLLSISWCLSENESQLKRLDPLFSDSTLRCIFHDVIESLENAGENSVLFILRCVRSVLGLLHLNMGNRNFTSVGISYETMMQLAKSSWILHLSCNKRRVAPIAALLSAILHPAMFCNLEMHQANEKGPGPLKWFIENLLNEGSKSPRTIRLAALHLSGLWLMYPKTLRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKQIKENNISETEEALQSGKLFLLKLLDSAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDTVREVISSTHTCLYRNNLPAVRQYLETFAILLYLKFPTMAEEQLIPIFHDKGMRQQALSSYVFIAANIILHSRQLSVQINHLNQLLPPILPFLTSHHHSLRSFTQLLVHSVLSRLWPTLHLETSEDVIFERRCFQELKDYLAENSDCVRLRVSIEGFLDVFDPNTSGTPSGIFSARSEVSEFECVPMSVMERVIDFLNDVREDLRHAIAKDTVTIKNEGLTVEEHGNEDNSGESVSELSQPGCQDALDFQKKITPRRDSEQALSLNARGPSRLISEIEEDDQLFNLALEARLHAVETIKQSQQELIVVASLVDRIPNLAGLTRTCEVFRAAGLVVADKSILQDKQFRLISVTAEKWLPISEVPVDSVKAYLERKRAQGYSVIGLEQTAHSRPLDQFSFPRRTVLVLGREKEGIPVDIIHALDGCVEIPQLGVVRSLNVHVSGAIAVWEYTRQHNQPCT >PVH33396 pep chromosome:PHallii_v3.1:9:73801758:73814189:-1 gene:PAHAL_9G642600 transcript:PVH33396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPERAVAALESCFRALPADAVPAVVDCVLASSASTSPAQLFHALLRSPTCPEQEQEQEQGLQAQSDFHASISHAASLRHLLARFDNPPKAKDALRLLLWRVFLPLLRDTIEPNLHQVIALMCDAVSDTGSWDLLGATIAPFCIRSSAVAMGLSTRHDSMLYHSMMEADFAGDNLPPMLTLSKASSVLASLLGDILVRRRTILSVDRLDSQEGAIDLDAFVQNLTWDLSALVLKMFAHGQEYRSCATRTLLQPLLISLADNPCVTVMLGAVQHKLSRFGFLECIWDSCISLFSLGCGERLDAYKILSLYFSTLKLGHEVAVLGADKLQEFDLRNVREFWNQLRKGLVDKDSFVRKQAFYVLTISLSIFTSSGNDGSQHCSSKSSAALPAQTKSNTATTKRERWANKEAKSLGVREMEQSDERCSNGLDRWKIFLLLYEMLQEYGTHLVEAAWTLQMESWEGILQWMTVLWERGFTHDNPQVRCLVMQSFLDIAWERYKVCTQIIPRGFVLGSLIRGLNDVVHHKDFGFGGVYNSKTIKGAESFFSTYAQNLTRRDRMHLVWSLASAAKHDSFGRAGLMTLASCVASCTCQSDINDVPCATPGKEASKSDGDVPAEVRSADLLDALWILSERSKQHFNPKYRLKVCEQVIKVATSLINATEVPLNQLLHFISTIPREFTDYFGPLRVIVQEWFVQKKECSPGSTLLSKLIDFPTTFMKHNKQDQGSNLFDDEDVNAWEAEARRWARTLFLVTSDEQHLKRILGFIEAHGYKLSESEQCPVGECVPVKFFIIVLSFIEELEVGQRKLVCQDKTILKGGSDRANGLELHDLNEKLAESLSLVLETMVVFSKLSCSVFWLRNIENMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVASWCNHYSSGDSFSSTFSFLWDFYWKVIEHSTYATETGAELHLAAYETLAYVLAALSAARNSQYLDLVETKQTNQARNFSLDISMTTFLNNINHLLTNGILTRSRRAVLMTWKWFCVDSLLSISWCLSENESQLKRLDPLFSDSTLRCIFHDVIESLENAGENSVLFILRCVRSVLGLLHLNMGNRNFTSVGISYETMMQLAKSSWILHLSCNKRRVAPIAALLSAILHPAMFCNLEMHQANEKGPGPLKWFIENLLNEGSKSPRTIRLAALHLSGLWLMYPKTLRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKQIKENNISETEEALQSGKLFLLKLLDSAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDTVREVISSTHTCLYRNNLPAVRQYLETFAILLYLKFPTMAEEQLIPIFHDKGMRQQALSSYVFIAANIILHSRQLSVQINHLNQLLPPILPFLTSHHHSLRSFTQLLVHSVLSRLWPTLHLETSEDVIFERRCFQELKDYLAENSDCVRLRVSIEGFLDVFDPNTSGTPSGIFSARSEVSEFECVPMSVMERVIDFLNDVREDLRHAIAKDTVTIKNEGLTVEEHGNEDNSGESVSELSQPGCQDALDFQKKITPRRDSEQALSLNARGPSRLISEIEEDDQLFNLALEARLHAVETIKQSQQELIVVASLVDRIPNLAGLTRTCEVFRAAGLVVADKSILQDKQFRLISVTAEKWLPISEVPVDSVKAYLERKRAQGYSVIGLEQTAHSRPLDQFSFPRRTVLVLGREKEGIPVDIIHALDGCVEIPQLGVVRSLNVHVSGAIAVWEYTRQHNQPCT >PVH33397 pep chromosome:PHallii_v3.1:9:73805054:73814189:-1 gene:PAHAL_9G642600 transcript:PVH33397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPERAVAALESCFRALPADAVPAVVDCVLASSASTSPAQLFHALLRSPTCPEQEQEQEQGLQAQSDFHASISHAASLRHLLARFDNPPKAKDALRLLLWRVFLPLLRDTIEPNLHQVIALMCDAVSDTGSWDLLGATIAPFCIRSSAVAMGLSTRHDSMLYHSMMEADFAGDNLPPMLTLSKASSVLASLLGDILVRRRTILSVDRLDSQEGAIDLDAFVQNLTWDLSALVLKMFAHGQEYRSCATRTLLQPLLISLADNPCVTVMLGAVQHKLSRFGFLECIWDSCISLFSLGCGERLDAYKILSLYFSTLKLGHEVAVLGADKLQEFDLRNVREFWNQLRKGLVDKDSFVRKQAFYVLTISLSIFTSSGNDGSQHCSSKSSAALPAQTKSNTATTKRERWANKEAKSLGVREMEQSDERCSNGLDRWKIFLLLYEMLQEYGTHLVEAAWTLQVMLLFESIPQTDYLNHTSHGIFHAQMESWEGILQWMTVLWERGFTHDNPQVRCLVMQSFLDIAWERYKVCTQIIPRGFVLGSLIRGLNDVVHHKDFGFGGVYNSKTIKGAESFFSTYAQNLTRRDRMHLVWSLASAAKHDSFGRAGLMTLASCVASCTCQSDINDVPCATPGKEASKSDGDVPAEVRSADLLDALWILSERSKQHFNPKYRLKVCEQVIKVATSLINATEVPLNQLLHFISTIPREFTDYFGPLRVIVQEWFVQKKECSPGSTLLSKLIDFPTTFMKHNKQDQGSNLFDDEDVNAWEAEARRWARTLFLVTSDEQHLKRILGFIEAHGYKLSESEQCPVGECVPVKFFIIVLSFIEELEVGQRKLVCQDKTILKGGSDRANGLELHDLNEKLAESLSLVLETMVVFSKLSCSVFWLRNIENMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVASWCNHYSSGDSFSSTFSFLWDFYWKVIEHSTYATETGAELHLAAYETLAYVLAALSAARNSQYLDLVETKQTNQARNFSLDISMTTFLNNINHLLTNGILTRSRRAVLMTWKWFCVDSLLSISWCLSENESQLKRLDPLFSDSTLRCIFHDVIESLENAGENSVLFILRCVRSVLGLLHLNMGNRNFTSVGISYETMMQLAKSSWILHLSCNKRRVAPIAALLSAILHPAMFCNLEMHQANEKGPGPLKWFIENLLNEGSKSPRTIRLAALHLSGLWLMYPKTLRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVSSFEIIMLGIGFPDLVTFEINIPV >PVH33395 pep chromosome:PHallii_v3.1:9:73801758:73814189:-1 gene:PAHAL_9G642600 transcript:PVH33395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPERAVAALESCFRALPADAVPAVVDCVLASSASTSPAQLFHALLRSPTCPEEQEQEQGLQAQSDFHASISHAASLRHLLARFDNPPKAKDALRLLLWRVFLPLLRDTIEPNLHQVIALMCDAVSDTGSWDLLGATIAPFCIRSSAVAMGLSTRHDSMLYHSMMEADFAGDNLPPMLTLSKASSVLASLLGDILNLTWDLSALVLKMFAHGQEYRSCATRTLLQPLLISLADNPCVTVMLGAVQHKLSRFGFLECIWDSCISLFSLGCGERLDAYKILSLYFSTLKLGHEVAVLGADKLQEFDLRNVREFWNQLRKGLVDKDSFVRKQAFYVLTISLSIFTSSGNDGSQHCSSKSSAALPAQTKSNTATTKRERWANKEAKSLGVREMEQSDERCSNGLDRWKIFLLLYEMLQEYGTHLVEAAWTLQVMLLFESIPQTDYLNHTSHGIFHAQMESWEGILQWMTVLWERGFTHDNPQVRCLVMQSFLDIAWERYKVCTQIIPRGFVLGSLIRGLNDVVHHKDFGFGGVYNSKTIKGAESFFSTYAQNLTRRDRMHLVWSLASAAKHDSFGRAGLMTLASCVASCTCQSDINDVPCATPGKEASKSDGDVPAEVRSADLLDALWILSERSKQHFNPKYRLKVCEQVIKVATSLINATEVPLNQLLHFISTIPREFTDYFGPLRVIVQEWFVQKKECSPGSTLLSKLIDFPTTFMKHNKQDQGSNLFDDEDVNAWEAEARRWARTLFLVTSDEQHLKRILGFIEAHGYKLSESEQCPVGECVPVKFFIIVLSFIEELEVGQRKLVCQDKTILKGGSDRANGLELHDLNEKLAESLSLVLETMVVFSKLSCSVFWLRNIENMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVASWCNHYSSGDSFSSTFSFLWDFYWKVIEHSTYATETGAELHLAAYETLAYVLAALSAARNSQYLDLVETKQTNQARNFSLDISMTTFLNNINHLLTNGILTRSRRAVLMTWKWFCVDSLLSISWCLSENESQLKRLDPLFSDSTLRCIFHDVIESLENAGENSVLFILRCVRSVLGLLHLNMGNRNFTSVGISYETMMQLAKSSWILHLSCNKRRVAPIAALLSAILHPAMFCNLEMHQANEKGPGPLKWFIENLLNEGSKSPRTIRLAALHLSGLWLMYPKTLRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKQIKENNISETEEALQSGKLFLLKLLDSAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDTVREVISSTHTCLYRNNLPAVRQYLETFAILLYLKFPTMAEEQLIPIFHDKGMRQQALSSYVFIAANIILHSRQLSVQINHLNQLLPPILPFLTSHHHSLRSFTQLLVHSVLSRLWPTLHLETSEDVIFERRCFQELKDYLAENSDCVRLRVSIEGFLDVFDPNTSGTPSGIFSARSEVSEFECVPMSVMERVIDFLNDVREDLRHAIAKDTVTIKNEGLTVEEHGNEDNSGESVSELSQPGCQDALDFQKKITPRRDSEQALSLNARGPSRLISEIEEDDQLFNLALEARLHAVETIKQSQQELIVVASLVDRIPNLAGLTRTCEVFRAAGLVVADKSILQDKQFRLISVTAEKWLPISEVPVDSVKAYLERKRAQGYSVIGLEQTAHSRPLDQFSFPRRTVLVLGREKEGIPVDIIHALDGCVEIPQLGVVRSLNVHVSGAIAVWEYTRQHNQPCT >PVH33393 pep chromosome:PHallii_v3.1:9:73801758:73814189:-1 gene:PAHAL_9G642600 transcript:PVH33393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPERAVAALESCFRALPADAVPAVVDCVLASSASTSPAQLFHALLRSPTCPEEQEQEQGLQAQSDFHASISHAASLRHLLARFDNPPKAKDALRLLLWRVFLPLLRDTIEPNLHQVIALMCDAVSDTGSWDLLGATIAPFCIRSSAVAMGLSTRHDSMLYHSMMEADFAGDNLPPMLTLSKASSVLASLLGDILVRRRTILSVDRLDSQEGAIDLDAFVQNLTWDLSALVLKMFAHGQEYRSCATRTLLQPLLISLADNPCVTVMLGAVQHKLSRFGFLECIWDSCISLFSLGCGERLDAYKILSLYFSTLKLGHEVAVLGADKLQEFDLRNVREFWNQLRKGLVDKDSFVRKQAFYVLTISLSIFTSSGNDGSQHCSSKSSAALPAQTKSNTATTKRERWANKEAKSLGVREMEQSDERCSNGLDRWKIFLLLYEMLQEYGTHLVEAAWTLQMESWEGILQWMTVLWERGFTHDNPQVRCLVMQSFLDIAWERYKVCTQIIPRGFVLGSLIRGLNDVVHHKDFGFGGVYNSKTIKGAESFFSTYAQNLTRRDRMHLVWSLASAAKHDSFGRAGLMTLASCVASCTCQSDINDVPCATPGKEASKSDGDVPAEVRSADLLDALWILSERSKQHFNPKYRLKVCEQVIKVATSLINATEVPLNQLLHFISTIPREFTDYFGPLRVIVQEWFVQKKECSPGSTLLSKLIDFPTTFMKHNKQDQGSNLFDDEDVNAWEAEARRWARTLFLVTSDEQHLKRILGFIEAHGYKLSESEQCPVGECVPVKFFIIVLSFIEELEVGQRKLVCQDKTILKGGSDRANGLELHDLNEKLAESLSLVLETMVVFSKLSCSVFWLRNIENMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVASWCNHYSSGDSFSSTFSFLWDFYWKVIEHSTYATETGAELHLAAYETLAYVLAALSAARNSQYLDLVETKQTNQARNFSLDISMTTFLNNINHLLTNGILTRSRRAVLMTWKWFCVDSLLSISWCLSENESQLKRLDPLFSDSTLRCIFHDVIESLENAGENSVLFILRCVRSVLGLLHLNMGNRNFTSVGISYETMMQLAKSSWILHLSCNKRRVAPIAALLSAILHPAMFCNLEMHQANEKGPGPLKWFIENLLNEGSKSPRTIRLAALHLSGLWLMYPKTLRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKQIKENNISETEEALQSGKLFLLKLLDSAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDTVREVISSTHTCLYRNNLPAVRQYLETFAILLYLKFPTMAEEQLIPIFHDKGMRQQALSSYVFIAANIILHSRQLSVQINHLNQLLPPILPFLTSHHHSLRSFTQLLVHSVLSRLWPTLHLETSEDVIFERRCFQELKDYLAENSDCVRLRVSIEGFLDVFDPNTSGTPSGIFSARSEVSEFECVPMSVMERVIDFLNDVREDLRHAIAKDTVTIKNEGLTVEEHGNEDNSGESVSELSQPGCQDALDFQKKITPRRDSEQALSLNARGPSRLISEIEEDDQLFNLALEARLHAVETIKQSQQELIVVASLVDRIPNLAGLTRTCEVFRAAGLVVADKSILQDKQFRLISVTAEKWLPISEVPVDSVKAYLERKRAQGYSVIGLEQTAHSRPLDQFSFPRRTVLVLGREKEGIPVDIIHALDGCVEIPQLGVVRSLNVHVSGAIAVWEYTRQHNQPCT >PAN52106 pep chromosome:PHallii_v3.1:9:73801936:73814132:-1 gene:PAHAL_9G642600 transcript:PAN52106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPERAVAALESCFRALPADAVPAVVDCVLASSASTSPAQLFHALLRSPTCPEQEQEQEQGLQAQSDFHASISHAASLRHLLARFDNPPKAKDALRLLLWRVFLPLLRDTIEPNLHQVIALMCDAVSDTGSWDLLGATIAPFCIRSSAVAMGLSTRHDSMLYHSMMEADFAGDNLPPMLTLSKASSVLASLLGDILVRRRTILSVDRLDSQEGAIDLDAFVQNLTWDLSALVLKMFAHGQEYRSCATRTLLQPLLISLADNPCVTVMLGAVQHKLSRFGFLECIWDSCISLFSLGCGERLDAYKILSLYFSTLKLGHEVAVLGADKLQEFDLRNVREFWNQLRKGLVDKDSFVRKQAFYVLTISLSIFTSSGNDGSQHCSSKSSAALPAQTKSNTATTKRERWANKEAKSLGVREMEQSDERCSNGLDRWKIFLLLYEMLQEYGTHLVEAAWTLQVMLLFESIPQTDYLNHTSHGIFHAQMESWEGILQWMTVLWERGFTHDNPQVRCLVMQSFLDIAWERYKVCTQIIPRGFVLGSLIRGLNDVVHHKDFGFGGVYNSKTIKGAESFFSTYAQNLTRRDRMHLVWSLASAAKHDSFGRAGLMTLASCVASCTCQSDINDVPCATPGKEASKSDGDVPAEVRSADLLDALWILSERSKQHFNPKYRLKVCEQVIKVATSLINATEVPLNQLLHFISTIPREFTDYFGPLRVIVQEWFVQKKECSPGSTLLSKLIDFPTTFMKHNKQDQGSNLFDDEDVNAWEAEARRWARTLFLVTSDEQHLKRILGFIEAHGYKLSESEQCPVGECVPVKFFIIVLSFIEELEVGQRKLVCQDKTILKGGSDRANGLELHDLNEKLAESLSLVLETMVVFSKLSCSVFWLRNIENMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVASWCNHYSSGDSFSSTFSFLWDFYWKVIEHSTYATETGAELHLAAYETLAYVLAALSAARNSQYLDLVETKQTNQARNFSLDISMTTFLNNINHLLTNGILTRSRRAVLMTWKWFCVDSLLSISWCLSENESQLKRLDPLFSDSTLRCIFHDVIESLENAGENSVLFILRCVRSVLGLLHLNMGNRNFTSVGISYETMMQLAKSSWILHLSCNKRRVAPIAALLSAILHPAMFCNLEMHQANEKGPGPLKWFIENLLNEGSKSPRTIRLAALHLSGLWLMYPKTLRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKQIKENNISETEEALQSGKLFLLKLLDSAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDTVREVISSTHTCLYRNNLPAVRQYLETFAILLYLKFPTMAEEQLIPIFHDKGMRQQALSSYVFIAANIILHSRQLSVQINHLNQLLPPILPFLTSHHHSLRSFTQLLVHSVLSRLWPTLHLETSEDVIFERRCFQELKDYLAENSDCVRLRVSIEGFLDVFDPNTSGTPSGIFSARSEVSEFECVPMSVMERVIDFLNDVREDLRHAIAKDTVTIKNEGLTVEEHGNEDNSGESVSELSQPGCQDALDFQKKITPRRDSEQALSLNARGPSRLISEIEEDDQLFNLALEARLHAVETIKQSQQELIVVASLVDRIPNLAGLTRTCEVFRAAGLVVADKSILQDKQFRLISVTAEKWLPISEVPVDSVKAYLERKRAQGYSVIGLEQTAHSRPLDQFSFPRRTVLVLGREKEGIPVDIIHALDGCVEIPQLGVVRSLNVHVSGAIAVWEYTRQHNQPCT >PAN49585 pep chromosome:PHallii_v3.1:9:61617329:61619319:-1 gene:PAHAL_9G457900 transcript:PAN49585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELLLRHAGLPRPVAPRRRLRVVAVALRTRPTSLAVPGLPPAPAAPPSLSPLPAPEPVLPSPPVAADAAAVLLAAGVPPADLRRAAGMCPELLSVPAETIAAALRFLTEEAGVPEADLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDLHRRADLLSFSVEDKLLPRIEFLESLGLPSRAARSMARRFPALFCYGVEGNMRPKAEYLLGAMGRDAGELLEFPEYFSYALATRIAPRHEVCAARGVRLPLPAMLRPGEAKFRATLAGCVGSTPPRRRSPLWHATWVDDGDHGASAAAV >PAN49584 pep chromosome:PHallii_v3.1:9:61618157:61619062:-1 gene:PAHAL_9G457900 transcript:PAN49584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELLLRHAGLPRPVAPRRRLRVVAVALRTRPTSLAVPGLPPAPAAPPSLSPLPAPEPVLPSPPVAADAAAVLLAAGVPPADLRRAAGMCPELLSVPAETIAAALRFLTEEAGVPEADLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDLHRRADLLSFSVEDKLLPRIEFLESLGLPSRAARSMARRFPALFCYGVEGNMRPKAEYLLGAMGRDAGELLEFPEYFSYALATRIAPRHEVCAARGVRLPLPAMLRPGEAKFRATLAGCVGSTPPRRRSPLWHATWVDDGDHGASAAAV >PAN44200 pep chromosome:PHallii_v3.1:9:1370448:1373482:1 gene:PAHAL_9G024300 transcript:PAN44200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRPGGLAASLLVLLCLVASAAAAATPGVGLVGVDGTQFVVGGGKTVYFSGFNAYWLMLVASDPPRRGQVVAAFRQAAGHGLNLARTWAFSDGGDTPLQSAPGVYDEAMFQGLDFAIAEARRHGIYLLLCLTNNFHDFGGKRQYVAWAREAGHHLATADDFFNSTVVKGYYKNHVKTVLTRVNTVTGVAYRDDPTILGWELMNEPRCDADPTGAMVQAWVEEMAPYVKSIDGDHLVTAGLEGFYGDGAHESKDLNPWGIYYGTNFVETHRAHGVDFATIHLYPDVWLWGSGAAAQLAFFRNWTRSHARDTELYLGKPLLVTEYGKFLWDGVANRTQRDRFLGQVLDSIYASAAGGGPLVGGAFWQLLDPGMDTLRDGYEIILPEDQRAATIIGNHSRQLAELNGQDVEAVRRRRRRRRGAQRKVHVGSSSGRSRSSSDTPRLHALLVRFISLFRSISSLFRPAASRP >PAN44999 pep chromosome:PHallii_v3.1:9:5642477:5644214:1 gene:PAHAL_9G098200 transcript:PAN44999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALYKAATLGEVASLRKLVDPEDPSALSSTTPQLNTALHLAALHGHAAFAGEVLVRNEELLVARNDDGDTPLHLAAKAGKLEAAELLVGRALAWPQDRKSPLIMTNKAGNTALHEAVRNRRSAVAEALLDADPSHGHDLNERMESPLHMAAREGLVHVVRKIFDFTWVEAEYVPSVAVSGTALHQAVLGGHTKIVEIMLEKHAWLLDLTDSDGNNALHYAAQKNKSQLVELLLNKQAQLAYKPNGERQTPLHVAAHYGSTAAIKALLRCCPDVAEMVDSCGRNAFHISVASGKTNALRCLLRHVRPAELLNRVDNDGDTPLHLAAKMSRVQSALLLLNDHASTHASATTTATRLVEVKLHAGEMDAYEMYLWKQLKQQELKRCREQQLPPLAPYSSRKTSNDNVPGGYGDDGTAVHCDKIAFNIFIISNTVAMCSSIVVVFCFIWAWLDPVRFKVDQLLWGHRLTVIACLAMLVSLMTAVYITVDPESRWPAYVVIVIGMSTPAVVFLMLGKEVIFVPM >PAN46249 pep chromosome:PHallii_v3.1:9:10802172:10802924:-1 gene:PAHAL_9G172600 transcript:PAN46249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHILQLHVEHHSSLSLKRCDCYPGVARFRKGVDASRAVLALAAVASLALILPASFSMGGRPLPSASTLPPAWLLAAAGSLVTTRTALFLLSNAILALLAADCRWFFAAGASASGVADACELPGDVLGKQQAQRHLEEARRCAAQPWVTCSDCLLQEKNSGTASEEAFTEPLVVSDTDSPTALEALEEQEDAPATSTPELETLVEEPACETARGLDELEINELNKKFDEFIRSRRNKWIKEEAYLQWHQA >PAN51833 pep chromosome:PHallii_v3.1:9:72484456:72485004:-1 gene:PAHAL_9G623600 transcript:PAN51833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHKMTVIGTVDPVDVVSKLRSKSWAAHIDSVGPAKEPEKKEEKKEGDGAKKEGEGKKEEGDGKKEGDGKKEGEGKKEEGGDGKKDGEGKKEEGDGKKEGDGKKEGDGKKEEGKKPAAVAPLPFPLHHLPPPYMNMITADYMNQYRPPPPPPPAYHPYAPPPYYYVRNMSMEENPNSCAIC >PAN51834 pep chromosome:PHallii_v3.1:9:72484066:72485996:-1 gene:PAHAL_9G623600 transcript:PAN51834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIVVRLDLHDNKDKQKALKAVSVLVGIDAISVDMAAHKMTVIGTVDPVDVVSKLRSKSWAAHIDSVGPAKEPEKKEEKKEGDGAKKEGEGKKEEGDGKKEGDGKKEGEGKKEEGGDGKKDGEGKKEEGDGKKEGDGKKEGDGKKEEGKKPAAVAPLPFPLHHLPPPYMNMITADYMNQYRPPPPPPPAYHPYAPPPYYYVRNMSMEENPNSCAIC >PAN44646 pep chromosome:PHallii_v3.1:9:3348842:3353312:1 gene:PAHAL_9G058800 transcript:PAN44646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKAKMESKLSSAAAFVEGGVQDACDDACSICLDAFCDSNPSTVTNCKHDYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNMRANRSHSTALFRHPMLGDFEIPVGADDAELEERIIQHLAAAAAVRRSHRHHRRDGHHSRSGANSHPQLLVLSTDEHTASGQEADYEQAPAVVSGRPLATLVEQERTTRGLDCVTNPPHYYSTPADSSARTSNRISGIQSTPVDQDRAGPSDLPSFSDTLRTRLQSASMKYRDSITKSASGWRERWFSRSNTISDIGSEVRREVNAGIAVVSRMMERLDTRDGNGTGPSATSASGSGSQ >PAN44647 pep chromosome:PHallii_v3.1:9:3349101:3353203:1 gene:PAHAL_9G058800 transcript:PAN44647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKAKMESKLSSAAAFVEGGVQDACDDACSICLDAFCDSNPSTVTNCKHDYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNMRANRSHSTALFRHPMLGDFEIPVGADDAELEERIIQHLAAAAAVRRSHRHHRRDGHHSRSGANSHPQLLVLSTDEHTASGQEADYEQAPAVVSGRPLATLVEQERTTRGLDCVTNPPHYYSTPADSSARTSNRISGIQSTPVDQDRAGPSDLPSFSDTLRTRLQSASMKYRDSITKSASGWRERWFSRSNTISDIGSEVRREVNAGIAVVSRMMERLDTRDGNGTGPSATSASGSGSQ >PAN44648 pep chromosome:PHallii_v3.1:9:3348751:3353465:1 gene:PAHAL_9G058800 transcript:PAN44648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKAKMESKLSSAAAFVEGGVQDACDDACSICLDAFCDSNPSTVTNCKHDYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNMRANRSHSTALFRHPMLGDFEIPVGADDAELEERIIQHLAAAAAVRRSHRHHRRDGHHSRSGANSHPQLLVLSTDEHTASGQEADYEQAPAVVSGRPLATLVEQERTTRGLDCVTNPPHYYSTPADSSARTSNRISGIQSTPVDQDRAGPSDLPSFSDTLRTRLQSASMKYRDSITKSASGWRERWFSRSNTISDIGSEVRREVNAGIAVVSRMMERLDTRDGNGTGPSATSASGSGSQ >PAN46593 pep chromosome:PHallii_v3.1:9:12571594:12572459:1 gene:PAHAL_9G196200 transcript:PAN46593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVAVLVALLLVAAASAGGAAAQQCDAAGLAVCAPAIVGGAPPTGACCSSLRAQQGCFCQYARNPAYSRYIKSPAARGALTACGIAIPRC >PAN46964 pep chromosome:PHallii_v3.1:9:14916840:14921561:-1 gene:PAHAL_9G227400 transcript:PAN46964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSGVEVAAAVSVPEGKSAKKGKRNAEVEIEKAVSAKKQKTVPVKVEPVKKQPPPKKVESSSSEEDSSDSEEEVKVQPKKATQPKKGTQPAKEETSDDSSDESSSDDEPSKKQVSSNEPSAAAAGSSSSDESSDSDDDSSSDDEPAKKPTTLTKKPAAVVSNGSKKVKSDSSSSDSSSDDESDEDEKPAAQLKKPSVASAQTKTQESDSSDSDSDDESDEDVPVKAPVAAKKKEESSDSSGSESEDEDNNAKTVKPAKAAAPKKKEESSDSSESESDSDSDEPEKPTVAAKRPLATDKKNKQSSDESEDSSDESSDESDEEPPQKKPKPATKVSKKESSSDEEEDSEEESDKQAKTPKTKLAQNEDKTPAKNQSQSAGSKTIFVGNLSYSVDREQVKQFFEEAGEVVDVRLSTFEDGSMKGYGHVEFATAEAAQKALEFANHDLMGRPVRVDIAVERGAYTPGSGRDNSSFKKSAPRSGNTVFIKGFDTSSGEDQIRSALEEHFGSCGEIVRISIPKDYETGASKGMAYMDFKDPDSLNKAYELNGSDLGGYSLYVDEAKPRPDNNRDGGFSGGRRGSFSGRGGRSDRGRGGGRGRDGGRGRGFGGRGGRGDRGRGGRGTPYRQSAGTVSTGKKMTFGDDE >PAN46963 pep chromosome:PHallii_v3.1:9:14916499:14922144:-1 gene:PAHAL_9G227400 transcript:PAN46963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSGVEVAAAVSVPEGKSAKKGKRNAEVEIEKAVSAKKQKTVPVKVEPVKKQPPPKKVESSSSEEDSSDSEEEVKVQPKKATQPKKGTQPAKEETSDDSSDESSSDDEPSKKQVSSNEPSAAAAGSSSSDESSDSDDDSSSDDEPAKKPTTLTKKPAAVVSNGSKKVKSDSSSSDSSSDDESDEDEKPAAQLKKPSVASAQTKTQESDSSDSDSDDESDEDVPVKAPVAAKKKEESSDSSGSESEDEDNNAKTVKPAKAAAPKKKEESSDSSESESDSDSDESSDESEDSSDESSDESDEEPPQKKPKPATKVSKKESSSDEEEDSEEESDKQAKTPKTKLAQNEDKTPAKNQSQSAGSKTIFVGNLSYSVDREQVKQFFEEAGEVVDVRLSTFEDGSMKGYGHVEFATAEAAQKALEFANHDLMGRPVRVDIAVERGAYTPGSGRDNSSFKKSAPRSGNTVFIKGFDTSSGEDQIRSALEEHFGSCGEIVRISIPKDYETGASKGMAYMDFKDPDSLNKAYELNGSDLGGYSLYVDEAKPRPDNNRDGGFSGGRRGSFSGRGGRSDRGRGGGRGRDGGRGRGFGGRGGRGDRGRGGRGTPYRQSAGTVSTGKKMTFGDDE >PVH32143 pep chromosome:PHallii_v3.1:9:42620635:42621593:-1 gene:PAHAL_9G328600 transcript:PVH32143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRHRTLILFKGVSSFLIIPFLCIILSADCSFSGWFRTQIMTLWSNKMWRRR >PAN44734 pep chromosome:PHallii_v3.1:9:3833563:3837409:1 gene:PAHAL_9G066400 transcript:PAN44734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCLFGCFRASGDGGEVKGGGDGGLLVPPSLAPATSYKDAAARRTRQPSRNALSAVFQREDEGAAAEQTASSWADQSDWRKGMDQELEPQETTNETQRAPKNADSVHQKETHSGCLPAMSDDVHFMEALKVENCETPRSHQSSTVPDAMSSSKTNDELQSSATSLATNVEELMNGSITEACAQDEEHQTLDPAKDLEECGVSKEDFLHPGQSEEDPKCAKNDNVVAMEISISDEYSLFQSSEDSISSSNKIRDSVTTPSMEKSLETEATIHGTRKKVLKNNDSELELPSLSHWLKPPNPKKPFRDEALTGNRSHSAKSSDEDRPIIGMVAAHWKDKEPVNCTPKWFDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEEKILSERNCSSGKTSQFLGVEDEESDTAESNRLYATAYA >PAN44735 pep chromosome:PHallii_v3.1:9:3833443:3837409:1 gene:PAHAL_9G066400 transcript:PAN44735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCLFGCFRASGDGGEVKGGGDGGLLVPPSLAPATSYKDAAARRTRQPSRNALSAVFQREDEGAAAEQTASSWADQSDWRKGMDQELEPQETTNETQRAPKNADSVHQKETHSGCLPAMSDDVHFMEALKVENCETPRSHQSSTVPDAMSSSKTNDELQSSATSLATNVEELMNGSITEACAQDEEHQTLDPAKDLEECGVSKEDFLHPGQSEEDPKCAKNDNVVAMEISISDEYSLFQSSEDSISSSNKIRDSVTTPSMEKSLETEATIHGTRKKVLKNNDSELELPSLSHWLKPPNPKKPFRDEALTGNRSHSAKSSDEDRPIIGMVAAHWKDKEPVNCTPKWFDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEEKILSERNCSSGKTSQFLGVEDEESDTAESNRLYATAYA >PAN44736 pep chromosome:PHallii_v3.1:9:3833563:3837409:1 gene:PAHAL_9G066400 transcript:PAN44736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCLFGCFRASGDGGEVKGGGDGGLLVPPSLAPATSYKDAAARRTRQPSRNALSAVFQREDEGAAAEQTASSWADQSDWRKGMDQELEPQAIIQENYGALQETTNETQRAPKNADSVHQKETHSGCLPAMSDDVHFMEALKVENCETPRSHQSSTVPDAMSSSKTNDELQSSATSLATNVEELMNGSITEACAQDEEHQTLDPAKDLEECGVSKEDFLHPGQSEEDPKCAKNDNVVAMEISISDEYSLFQSSEDSISSSNKIRDSVTTPSMEKSLETEATIHGTRKKVLKNNDSELELPSLSHWLKPPNPKKPFRDEALTGNRSHSAKSSDEDRPIIGMVAAHWKDKEPVNCTPKWFDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEEKILSERNCSSGKTSQFLGVEDEESDTAESNRLYATAYA >PVH32725 pep chromosome:PHallii_v3.1:9:62422604:62423275:1 gene:PAHAL_9G469700 transcript:PVH32725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQEQGQRQCITRFQFQASSTPSGLRFKAFLPLLVPSTAQAASRASRASTSCTVHRPVAGSPQRVVSKHRRQRPPMASSRHALPLLLLFLLAAVVAAAAGELTTALHARMAAEWAWSAAGASDDDSCWGSPEECPVVFDVDAEGGAGVVGAAARARLRLQEAAYYDVNTAADLLPTAQHISYGALIRDSVPCSIPGASYYNCQPGAEANSYTRGCSEIAQCRG >PAN45546 pep chromosome:PHallii_v3.1:9:7567202:7571153:1 gene:PAHAL_9G126700 transcript:PAN45546 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCES1 [Source:Projected from Arabidopsis thaliana (AT4G22330) UniProtKB/TrEMBL;Acc:A0A178UXI2] MADSMVASFWGPVTSTTELCEENYAHSSYIAEFYNTISNVPCVLLALIGLVNAFRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYMYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFFARFQLVFKLHYIGLCLLCIPRMYKYYIQTKDVAAKRLAKLWVLTLTLGTLCWLVDRICCKKLSHWYVNPQGHAWWHVLMGLNSYYANTFLMFCRAQQRGWEPRITHLLGFLPYVKVQKPEKRE >PAN45547 pep chromosome:PHallii_v3.1:9:7566822:7571437:1 gene:PAHAL_9G126700 transcript:PAN45547 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCES1 [Source:Projected from Arabidopsis thaliana (AT4G22330) UniProtKB/TrEMBL;Acc:A0A178UXI2] MADSMVASFWGPVTSTTELCEENYAHSSYIAEFYNTISNVPCVLLALIGLVNAFRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYMYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFFARFQLVFKLHYIGLCLLCIPRMYKYYIQTKDVAAKRLAKLWVLTLTLGTLCWLVDRICCKKLSHWYVNPQGHAWWHVLMGLNSYYANTFLMFCRAQQRGWEPRITHLLGFLPYVKVQKPEKRE >PAN49351 pep chromosome:PHallii_v3.1:9:60731844:60736088:1 gene:PAHAL_9G443100 transcript:PAN49351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os03g0390200)] MNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILGVQYSIPDYVRVSMECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTEEYQQNMQLAGMNTPEQSLEEVMAIIQEARRPGEAMKVAGQVSCLGSMDLDDIDLDYIDDIDVENSGDFVCGM >PAN49350 pep chromosome:PHallii_v3.1:9:60731439:60736088:1 gene:PAHAL_9G443100 transcript:PAN49350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os03g0390200)] MERYEVIRDIGSGNFGVAKLVRDVRTKELFAVKFIERGQMIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILGVQYSIPDYVRVSMECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTEEYQQNMQLAGMNTPEQSLEEVMAIIQEARRPGEAMKVAGQVSCLGSMDLDDIDLDYIDDIDVENSGDFVCGM >PAN49349 pep chromosome:PHallii_v3.1:9:60731844:60736088:1 gene:PAHAL_9G443100 transcript:PAN49349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os03g0390200)] MNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILGVQYSIPDYVRVSMECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTEEYQQNMQLAGMNTPEQSLEEVMAIIQEARRPGEAMKVAGQVSCLGSMDLDDIDLDYIDDIDVENSGDFVCGM >PAN49713 pep chromosome:PHallii_v3.1:9:62474169:62478788:1 gene:PAHAL_9G470400 transcript:PAN49713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPSSWQRTPPALSDNRRKRRPDASPPSHHFHGPQSHSRLSQRLRRWFPLPPQARSVVFDMGNYVSGLFGGRQPKDNGLGLLKSWYDARSRDLTVATDEETGTAQRLLDRRVKDSRKAALEAVKRQQRQKSEPYYKKALEEARRNDGRLEEIGIEVRLKEEKLAEIRKLDKAAKEDLSELFKPLTEEEENEVHDCFHARGPSSKVLVLHEPSNIEVSKEKFQCLRPRHWLNDEVINLYLELLKEREKREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTHRKLGYELIECDKIFVPVHKDVHWCLAIINMKENTFHYLDSLGGTDHNVLNMLARYIAEEVKDKSNKVIDTSSWHEEIVDGIPLQQNGWDCGMFMLKYIDFHSRGLSLSFSQEHMEYFRKRTAKEILKLRAD >PAN50281 pep chromosome:PHallii_v3.1:9:62474169:62478788:1 gene:PAHAL_9G470400 transcript:PAN50281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPSSWQRTPPALSDNRRKRRPDASPPSHHFHGPQSHSRLSQRLRRWFPLPPQARSVVFDMGNYVSGLFGGRQPKDNGLGLLKSWYDARSRDLTVATDEETGTAQRLLDRRVKDSRKAALEAVKRQQRQKSEPYYKKALEEARRNDGRLEEIGIEVRLKEEKLAEIRKLDKAAKEDLSELFKPLTEEEENEVHDCFHARGPSKVLVLHEPSNIEVSKEKFQCLRPRHWLNDEVINLYLELLKEREKREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTHRKLGYELIECDKIFVPVHKDVHWCLAIINMKENTFHYLDSLGGTDHNVLNMLARYIAEEVKDKSNKVIDTSSWHEEIVDGIPLQQNGWDCGMFMLKYIDFHSRGLSLSFSQEHMEYFRKRTAKEILKLRAD >PAN48346 pep chromosome:PHallii_v3.1:9:54618347:54619372:-1 gene:PAHAL_9G376700 transcript:PAN48346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGATYGAESSDWVPQQRGHRKDALTRTDLSLEANSAGPIVLLENLVSIRVVLLELCCCRNCHSGLLTLR >PVH32361 pep chromosome:PHallii_v3.1:9:55417179:55419457:1 gene:PAHAL_9G383400 transcript:PVH32361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGKGKGKISQKGLKSYFGTGSSGSSRQPSTRGSGIAQQEVEDGQDHFALVTTGVEDEFAQATTGVEDEVAQAELQEGIIEFNPDYIISDPGFRISIDCFAPNIRDEVRRAFIVKGPFQPMDHKFPTTNDNRSFQKKWFKQYSLLEYSVEKNKAYCFYCYLFRHDRIEEKFGHDAFTKVGYLQWKNGYLALPKHVGGPSSIHNFAATSYHDFDNQRSSVRNRVSTHTKDALVKYETRVEASLSIVAYLALQGEPFRGHDETSTSLNKGNFLEMLDWYKERNEEVKRAFDELCPKNAKMTSGTIQKDLANSCAQAILKAIKEEMGGCRFSILIDESRDISIKEQMAIIVRFVNKKGEVIERFLGIKHVKYTTSESLKKALLEVLNDHGLVVANIRGQGYDGASNMRGEFNDLQKLIRDENPFAFYIHCFAHQLQLVVVAVSKCASSIEDFFEYVTLIVSSTSTSCKRKDLLLDRHRLNLLSKLESGEISSGRGKQQETSLARPGDTRWGSH >PVH31122 pep chromosome:PHallii_v3.1:9:3544335:3547673:-1 gene:PAHAL_9G062300 transcript:PVH31122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGTELRFDHGAPYFTVTNDEVARVVGGWEARGIIAEWKAMFACFDRATGKFTDFEKEGSTKKYVGVPGMNSICKSLCSEDGVVAKFGVTVGKMDWLQDRSSWSLASLDGKDLGNFDYVIATDKIVASPRFSGLTGRPPPLDLSLFPRLSVMVQDIPVRPCFALMVAFSEPLAMVPVHGFSFNNSDSLSWAFCDSSKPGRACVPPNRQSWVLHSTAEYASKVINNIGPRKPSVDALAKVAEELFREFQATGLSIPQPIFMKAHRWGSAFPAIAIGGDDKCVWDKSTKLVICGDFCASPSIEGAVLSAVRGASKIMGCLNCPSGL >PAN44700 pep chromosome:PHallii_v3.1:9:3544335:3547672:-1 gene:PAHAL_9G062300 transcript:PAN44700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATAAANVAVIGAGISGAVCASLLAGRGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVTNDEVARVVGGWEARGIIAEWKAMFACFDRATGKFTDFEKEGSTKKYVGVPGMNSICKSLCSEDGVVAKFGVTVGKMDWLQDRSSWSLASLDGKDLGNFDYVIATDKIVASPRFSGLTGRPPPLDLSLFPRLSVMVQDIPVRPCFALMVAFSEPLAMVPVHGFSFNNSDSLSWAFCDSSKPGRACVPPNRQSWVLHSTAEYASKVINNIGPRKPSVDALAKVAEELFREFQATGLSIPQPIFMKAHRWGSAFPAIAIGGDDKCVWDKSTKLVICGDFCASPSIEGAVLSAVRGASKIMGCLNCPSGL >PAN49950 pep chromosome:PHallii_v3.1:9:63638277:63646299:1 gene:PAHAL_9G487300 transcript:PAN49950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAISHLRRGAQRHALQCLSLSRRRFSSSAASPLAAAARRLLSTTVDAGTSSSGEHYKPPPFDPFRAATLSPSAPPLESPPIEDEPPSSPPPPPDEAVASEAAHEQATLACQEVELEGLKAGVEAMKSREESPEEKEAWWLLGRAVVNYCGSALGTLAANDPSTSQMLNYDQVFIRDFVPSAIAFLLKGESEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYTLQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMIGVNDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPVKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLARRAVEVAEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPEMASILICDEDFELLEGCACSLNKSARTKCSRRAAKSQVLV >PAN47315 pep chromosome:PHallii_v3.1:9:17598694:17602336:1 gene:PAHAL_9G251300 transcript:PAN47315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTKKRGAAENGDGAIGLGLAAFIANKEELGPIVRHAFESGKPEALLHSLRNIVKMKEVEIEEICRLHYEEFILAVDELRGVLVDADDLKGTLSDENLRLQEVASALLLKLDELLELYSVNRSVGEALATLKICLKVTSLCKICNRDIAQAKLHSALKTLELIEKDYMQNIPLKLLKKVIQKQIPVMKLYIEKKVCSEFNEWLVYIRRMGKEIGQAAIDQASLGRQKDEGMCARQREAEECSHVGFDEHAYSLDMENMDEEAMLEFDLAPVYRAHHIHIGLGHGEKFREYYFNNRLMQLNLDLQLSTSHPFVESHQPFLAQIAGFFIIEDRVLRTADGLLSESQVETMWETAISKVTSILEQQFSLMDTANHLLNVKGYISLLGTTMKKYGYQATSLVEILGKNRDKYLELLISDCRKQIKNILSNDSYERMVIKKENEYDMYIAALQLEPTDVAPDLPYVAPFSSSVPNACRIVRSFIEDLVRYLSFGSSMNSYDVVKSYLDKFLIEVLNDAFLNLIHGGSLEFQQMVQIAGNIAVLEQSCDMFLCHAAQICGVPRRLLEKPHSGLTAKAVLKASRNAAYSGLITLVNSKVDEFMLQLPSINWTVEEAPEHANDYMNEVIIYLHDVVSSGQQIVPRDALYKVVSGALSHIADSIITVLLSDRVKRFNANAVAGIDIDLKMLEGFADDTYHSTGLSDLRKDTSFKDCLVEIRQLIDLLLSNQPESFMNPVTREKNYGSLDHKKVAIICDKFKDAPDSLFGSLSSRATVQNARKKSLDVLKRRLKDFS >PVH33001 pep chromosome:PHallii_v3.1:9:67567162:67568839:-1 gene:PAHAL_9G545200 transcript:PVH33001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPCTDPESEDNQCFAIGTNLLASLDHAMDFDEPIIFPMSNVGVQEGVQLYNSAGDTQLSRNMNTGKRLKGGKRKGSGEDSSSLHSIDETGALLQREVSRECPDEKPGDAGTNREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPELNRDLDLQDILCSQDAHAAFAGYSPQMSSVHLNLFRASQQGFSRPEPYGMTPNPANVHVARPVQQLLQLPAFPQRGVVWDEEHRTILPDAFTSDVGIQ >PAN50773 pep chromosome:PHallii_v3.1:9:67566754:67570843:-1 gene:PAHAL_9G545200 transcript:PAN50773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPCTDPESEDNQCFAIGTNLLASLDHAMDFDEPIIFPMSNVGVQEGVQLYNSAGDTQLSRNMNTGKRLKGGKRKGSGEDSSSLHSIDETGALLQREVSRECPDEKPGDAGTNREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPELNRDLDLQDILCSQDAHAAFAGYSPQMSSVHLNLFRASQQGFSRPEPYGMTPNPANVHVARPVQQLLQLPAFPQRGVVWDEEHRTILPDAFTSDIQ >PVH33002 pep chromosome:PHallii_v3.1:9:67566754:67570844:-1 gene:PAHAL_9G545200 transcript:PVH33002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPCTDPESEDNQCFAIGTNLLASLDHAMDFDEPIIFPMSNVGVQEGVQLYNSAGDTQLSRNMNTGKRLKGGKRKGSGEDSSSLHSIDETGALLQREVSRECPDEKPGDAGTNREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPELNRDLDLQDILCSQDAHAAFAGYSPQMSSVHLNLFRASQQGFSRPEPYGMTPNPANVHVARPVQQLLQLPAFPQRGVVWDEEHRTILPDAFTSDVGADSMKVE >PVH31179 pep chromosome:PHallii_v3.1:9:4303261:4305859:-1 gene:PAHAL_9G074600 transcript:PVH31179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHESQSVNWMAQAARDKGAKAYSAWFKWPTLKICTTELRKLISTKKRRRKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIIASFYRVFGADPTGFGCLLIKKSVMACLQSQSRDTGAGIVRIVPVFPQYLSDSVDGLDGALDWLEDDTNIPIEEGSASNSHHASQLPAFSGAYSSAQVREVIESEMDQDNSDRDGASTIYEESESASVGEVMKSPVFSEDDLSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLSASWFSGRKNVKKASPKVPSKLARSPFHANHVISFDAAVRSVSLESGPAKVVPDEYHSHNGIKNFIPVSEIEEDKDAKRNKRFVKFSHANGPAEGSAAAFLGSCTTCENGSTLEICSESQAETRESAIRRETEGDFRLLGRREVHSGRFNGGRFFGVEVLESMGRKVSFTMDDSRLCRNSDAGETSGYAMADDGGDAYSDYDEPHDGRKEPEIICKHLDHVNMLGLRKTTLRLRYLINWLVTSLLQLRLPDSGDGKVVPLVYIYGPKIRYDRGAAVAFNIKDCNTGTSLINPEIVQKLAEKEGLSLGVGFLSHIRLTDNQKHDAVDVGLSSSSPAANGRREKKNSKNAIIGTEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEEERLSCMPEDA >PAN50732 pep chromosome:PHallii_v3.1:9:67331535:67334628:1 gene:PAHAL_9G542000 transcript:PAN50732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLDNMLGASAGSDDSSGSPSPPSDDTSSPPPSSSPPSTPSAPPSNSSGSGSPPPSPPSPSQPAASLSPPTATPQNSSALSPPAPADRGLPAAPSRDSPSTPAAKRGGGDSDKSGHSKSGSSRNGAPPVAAIVAGVVIGVLAFGLLMCIAACVCCARRRKRKKPPHMNMPYYTDQHGNVFYANSMPKWKNSTAMMDHGGGWHAPYSPGSGNTTSGSHGPGQMPSSSSPGMPSLGFSKSSFSYDELASATGGFASGNVLGQGGFGYVYKGVLPGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNKTLEYHLHGKGVPVMNWPTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGKRPVDPTNYMEDSLVDWARPLLARALSEGGTFDELLDPRLENNVDRLELERMCAAAAAAVRHSAKRRPKMKQIVRALEGDALLDDLNEGVKPGQSMMFSSSSEYDAGGGNYTSDMSRFRKVAFESGEYSNEYGATSESGEAVATRRQQHH >PAN51641 pep chromosome:PHallii_v3.1:9:71550802:71554220:1 gene:PAHAL_9G609200 transcript:PAN51641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWSWLCCGRSNAGCAAVQLPEPFHLPAPLPEWPQGGSFAKGTICIGELDVVNITKFRSIWSCSGASFYEPEGVPDGFHCLGHYAQQNNRPLQGFLIVAREVASHQQINSKPALEKPLDYSLVWTNANLNEDENSDCGCFWLPSPPNGYKALGYVVTKGPKKPSLEAVRCVRDDLTDTCEHVRSIVNIENACQIWKTRPCHRGVKGHGIPVGTFSCETDSTDSKKSRVPCLKNFDSNLRAMPNLEQINALIKHYGPTVFFHPQETYLPSSVSWFFENGATLHKKDIKMGDAILPGGSNLPAGGTNDGEYWIDLPDDDRKEHVKVGNLKSAELYAHVKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHIGDWEHYTLRVSNFSGELSSIYFSQHSGGEWVDACDLEFISSNKAIVYSSRNGHASYPHPGCYLMGSEKLGVGVRNDVARSNFSVDSSMHYKIISAGHLGGVVVEPCWLQYMREWGPTITYNSRSEIDTVLSFLPFFLRFTAEAIFNSLPGELYEEEGPTGPKEKNNWEGDERS >PAN51605 pep chromosome:PHallii_v3.1:9:71370595:71372689:-1 gene:PAHAL_9G606900 transcript:PAN51605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEQEHGGRGAGHLLLFPFLAQGHLIPFLNLARRLESLGRRGGSGQRRLEVTIVSTPRNVAGLRRAVPAGSSIGFAELPFSPPDHGLPPDAESTDAVPLRSFAAFYFATELLRPPFEELVAEVTGREGRQNVCVLADIFLGWTAESARALGVQHRVFLTSGAYASAVTFSIWLRPPAFPRPTGPDDEQALQDFPDVRVRYVEFLNVVVTEDYATNPMLAYLCRMVSLHFCHSGGLVINTSEEIEPRGLHLIRKLSGLPTFAVGPLTGGRTPSSADTAGDEDACIRFLDSKPPASVLYVSFGSQNTIPASQMMELARGLEASGRPFIWAVRPPVEFDGTEEFRGEWLPDGFEDRVAAAARGVVVRGWAPQVAILAHASTGAFLSHCGWNSVLESLWHGVPVVGWPLMGDQMFDSRMLEQLGVGMEVASGRMFGGLGKGWDHVRDVVDTVLGDGEKARGMRRKAAELKELARAAVSVRDDGQVKGSSVLAMERLLDGAFG >PVH32885 pep chromosome:PHallii_v3.1:9:65653786:65657874:-1 gene:PAHAL_9G515800 transcript:PVH32885 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRADIEAGGFPGFAPDRRAMRIHAGGRPVHSNSLAFLVTVLVLFMVLNSHQMSPNFLLWIVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHVPSMSEEEINTLPVFKYKVQAQQGSTSTRESDGLPQLSTSLTGSGNEVLTNTDLFLKKKQADRTSKTLEDELTCSVCLEQVAVGDLLRSLPCLHQFHVNCIDPWLRQQGTCPICKHQVSDGWHGAGNGEEDASYMV >PAN45169 pep chromosome:PHallii_v3.1:9:5667262:5671961:-1 gene:PAHAL_9G098800 transcript:PAN45169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPAADDHDPRPPPADGPAAAGGGDASASAAAEALMSAASEQLTMVYQGDVYVFDPVSPQKVQAVLLVLGGYEVPPDLVNRAVPTANDEKSTTVAARRFASLMRFREKRKERCYDKRIRYNVRKEVAQKMKRRKGQFAGRSDFGDGACSSVACGSPANGEDDFKETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLHAPKMTLQHPANPSKMGDTDDKISIDLPEEHNQAMVKTDSGMMPEQEQEQKLDICPPTEEDIKSVS >PAN45642 pep chromosome:PHallii_v3.1:9:7975073:7978740:-1 gene:PAHAL_9G132300 transcript:PAN45642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIMEFAENMILRMMEDPDKRDQVRREHVYRMKERCERTKAAWSLPLRPYGFWTFDRFNSQLSWDPQISQAAGRRDPYDDLITRHSGSPPSS >PAN46089 pep chromosome:PHallii_v3.1:9:10094374:10106155:1 gene:PAHAL_9G162500 transcript:PAN46089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDAELRRACAAAVAASGARGEEVVFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGEANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEILSYLPKVVGIDIVELALWAKENTLTIDNQVSTQDGQETSVATQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKALMEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKKAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNGSGHNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVNKSKSGGNDADDDLGLMDPDGNDLKPDSTSAELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVSRIYGNEPIMSVAEMKEILASF >PVH32290 pep chromosome:PHallii_v3.1:9:53014276:53014557:1 gene:PAHAL_9G362100 transcript:PVH32290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFTAPPEIPSAPTILQLGSFHRLSRVEPWDLTADLKSHLQTLYAQSFRITLASSILLRLLAQS >PVH32158 pep chromosome:PHallii_v3.1:9:44009343:44009870:1 gene:PAHAL_9G334900 transcript:PVH32158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRAPAAPRRSKPCHVPPQCPRRRFPKLRWPLPALPRYPTIYPSRSPPPLIVIAARPASLVCGWLCTYPSLFTALRLPIKPDRSLSRFPSLSSSPRESSQAAEPSAGKILAAPSCPSQFQHAKASSPPLLAPRTTLAKPALLLGRNRRKPCRLRRRRNPSRLTVDDPLPPHLRPN >PAN49966 pep chromosome:PHallii_v3.1:9:63713152:63716533:-1 gene:PAHAL_9G488600 transcript:PAN49966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSDPAATSKPTQMDFEEQTDAKGTGKGKSEEGSMKSEELADSIGGLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVCDMQASNKRGYVVNLDPAVMTLPFGANIDIRDTVRYKDVMKEYGLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEWMEDFEAFQTALESDKSYSATYTRSLSLVLDEFYKNLRSVGVSAVAGTGVNTFYEAIEASAKEYMETYRADLDKRIAEKERLEAEHRKENMEKLQRDMMKSKGQTVVLNTGLKDKNPASDMMDDAEGEEDEEFEKSGFIVDDEEDEDEGEDEEVAHFGF >PAN50125 pep chromosome:PHallii_v3.1:9:64549482:64552935:1 gene:PAHAL_9G500200 transcript:PAN50125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPRPRRLLLPVALLLLAAGGAFLPRSPAAVEGAEKVSLELYYESLCPYCSRFMVNRLAGIFEDGLIEAVDLRLVPYGNARIGANGDISCQHGPYECLLNTVEACAIDAWPDLDVHFRFIYCVEDLVVKRQYKEWESCFQKLGLAPEVVTECYKSEQGHKLELKYANQTDALVPPHRYVPWVVVDGQPLLEDYENFEAYVCKAYKGSPPKVCEGLVQPPVALETVVARNGVTYNSGGIELATAEEEGNGQQD >PAN50126 pep chromosome:PHallii_v3.1:9:64551114:64552556:1 gene:PAHAL_9G500200 transcript:PAN50126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLAVPALLLLLLASSPAAAAGDEGPEKVAVALYYESLCPYSARFVVDRLARVFEDGLLDAVDLTLVPYGNARVHAGGEISCQHGPYECLLNTVEACAIDAWPDLDVHFRFIYCVEDLVVKRQYKEWESCFQKLGLAPEVVTECYKSEQGHKLELKYANQTDALVPPHRYVPWVVVDGQPLLEDYENFEAYVCKAYKGSPPKVCEGLVQPPVALETVVARNGVTYNSGGIELATAEEEGNGQQD >PVH31242 pep chromosome:PHallii_v3.1:9:5361142:5361527:-1 gene:PAHAL_9G093600 transcript:PVH31242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHERRVQRFMAVEGNDVRSEDACGGEPARHHAASDQASFPMTHGMAAIPRALSVCRRDLIRSPGPLASATTYAWSSRGDGTHPPDRTSGTEHRRRYMRDLPCNCN >PVH31243 pep chromosome:PHallii_v3.1:9:5360401:5362020:-1 gene:PAHAL_9G093600 transcript:PVH31243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHERRVQRFMAVEGNDVRSEDACGGEPARHHAASDQASFPMTHGMAAIPRALSVCRRDLIRSPGPLASATTYAWSSRGDGTHPPDRELSIAAATCETCPATATEG >PAN46657 pep chromosome:PHallii_v3.1:9:12875758:12879589:-1 gene:PAHAL_9G202200 transcript:PAN46657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAAGRGGRPLLGGVGGGGGGKRGGRPSMAVVAALLLACAALLLLLALGALSLPGASDGAGPRGAGLARPRPRARFRRSATDSGLEVRGEKGEPWTEVLSWEPRAFVYHNFLSKEECDYLISLAKPHMKKSTVVDSATGGSKDSRVRTSSGMFLRRGQDKIIRTIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFHDDYNTKNGGQRIATLLMYLSDVEDGGETVFPSAPVNSSSSPFYNELSECAKNGLSVKPEMGDALLFWSMKPDGSLDPTSLHGGCPVIKGNKWSSTKWMRVHEYKI >PAN51964 pep chromosome:PHallii_v3.1:9:73160196:73163898:-1 gene:PAHAL_9G633100 transcript:PAN51964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRILGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAVTLVLPVVTNFLVPPSSVKGGSMSFGGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMIGEDEPLNP >PAN45756 pep chromosome:PHallii_v3.1:9:8596701:8599306:1 gene:PAHAL_9G140100 transcript:PAN45756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRRLAGDVYLIPRTLYFIRWQAMSSFSAGHGRRPKKKLYYREPGLDKAMDLQKKPALLLRLRDLILVQRTGSLLVRDLEKEVGFVQKWNFVSLIERHPNIFHVSGGSASREPIAVTLTEKAKKISSEEDQARELMEPILVKNLRKLLMMSMDCQIPLEKIELIQSELGLPKNFKNNLLPRLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVESATPQARKRAVAVLHEILSLTMERRLTSDKLDVFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTVFLKEAYENSNLIDKCPLLKFHEQFASLIGRPCSNSDNSLAI >PVH32750 pep chromosome:PHallii_v3.1:9:62902327:62906057:-1 gene:PAHAL_9G477500 transcript:PVH32750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMRRALLFACVCLLVNSARAEDPYRFFDWDVTYGEISPLGVSQQGILINGQFPGPTVESQTNDNLIINVHNCLPDPFLLSWNCLQQRKDSWQDGLSGTNCPIPPGQNFTYRMQAKDQIGSFFYFPSLAFHKAAGGFGAIRIHSRPLIPVPFPSPDDEFTVLIGDWYTTSHKALQDLLDSGKELPSPDDLLINGKRGSNGSDFNVEQGKTYRLRISNVGLQNTLNFLIQGHSMTLVEVEGTHTVQNSYTSLDVHTGQSLSVLFTADRPARDYRIVVSTRFTNTTLRSTAVIRYAGSSGPAFEPLSAGPSDVDFSLSQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLASSAVWSAGGGRLRYAVNGVSFAEADTPLKLADYFNISVVFRLGGIPDAPPPEGRRPCGARRPS >PVH32245 pep chromosome:PHallii_v3.1:9:51008083:51009214:-1 gene:PAHAL_9G353400 transcript:PVH32245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYDTQCVESKTSHANSYSAGGGGAPPIAMAAGDAGRRGTTTAAVVVPAVALSSGKPMPRVGFGTATATLAQAEGHAGVTEAVLRAIDSGYRHFDTAAVYNAEAALGDAVAQAVRAGTIASRDEVYVTSKLWIADAHPGRVLPALEKTLQNLRMEYVDLYLIHHPLSQRTPEAEGGSAVVVKKNLVAMDMKGVWEEMEECHRRGLARAIGVSNFACKKLEHLLSFARIPPAANQVEVHPYCRQNKLREFCREKGIQLCAYSPLGGNGTPWANNSVMDSPVLKQIAQDRGKTVAQRSRCRCALGGCTSRATA >PVH32244 pep chromosome:PHallii_v3.1:9:51007422:51009411:-1 gene:PAHAL_9G353400 transcript:PVH32244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYDTQCVESKTSHANSYSAGGGGAPPIAMAAGDAGRRGTTTAAVVVPAVALSSGKPMPRVGFGTATATLAQAEGHAGVTEAVLRAIDSGYRHFDTAAVYNAEAALGDAVAQAVRAGTIASRDEVYVTSKLWIADAHPGRVLPALEKTLQNLRMEYVDLYLIHHPLSQRTPEAEGGSAVVVKKNLVAMDMKGVWEEMEECHRRGLARAIGVSNFACKKLEHLLSFARIPPAANQVEVHPYCRQNKLREFCREKGIQLCAYSPLGGNGTPWANNSVMDSPVLKQIAQDRGKTVAQVCIRWVYEQGDCVIAKSFNESRMRENLDIFGWELTDDDHRKISDLPESRGNYNFLVHESGPYKTVEELWDGEITAGHCVQTALVSSD >PAN45849 pep chromosome:PHallii_v3.1:9:9009657:9012306:1 gene:PAHAL_9G147000 transcript:PAN45849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSRDLLCSPLRRRRHPPPRALLPRPSGSRAHLRAPRWELARSRMPPLPALPLASAASPNPSCFPRPTSALAREIVPNPPPPPHIPAPPLTSGARRRGGSSVSVGGMSRRGKSVPQLGGGPEKRGGGTPPAVPTPPAAPPQSQSAPPPPNGPWFPGCGGSSGQSSFSHGIEPGNPCTYTTDR >PAN45852 pep chromosome:PHallii_v3.1:9:9009657:9012306:1 gene:PAHAL_9G147000 transcript:PAN45852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSRDLLCSPLRRRRHPPPRALLPRPSGSRAHLRAPRWELARSRMPPLPALPLASAASPNPSCFPRPTSALAREIVPNPPPPPHIPAPPLTSGARRRGGSSVSVGGMSRRGKSVPQLGGGPEKRGGGTPPAVPTPPAAPPQSQSAPPPPNGPWFPGCGGSSGQSSFSHGIEPGNPCEERPIEREAAKAECKGKRKAEQVMDGIFMLGYNISKIIEVTQERKKEREKMTEAQVEISRLNLEDCKGAKGGWTGAKRIKFARSL >PAN45850 pep chromosome:PHallii_v3.1:9:9009657:9012306:1 gene:PAHAL_9G147000 transcript:PAN45850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSRDLLCSPLRRRRHPPPRALLPRPSGSRAHLRAPRWELARSRMPPLPALPLASAASPNPSCFPRPTSALAREIVPNPPPPPHIPAPPLTSGARRRGGSSVSVGGMSRRGKSVPQLGGGPEKRGGGTPPAVPTPPAAPPQSQSAPPPPNGPWFPGCGGSSGQSSFSHGIEPGNPWQVLG >PAN45848 pep chromosome:PHallii_v3.1:9:9009763:9010299:1 gene:PAHAL_9G147000 transcript:PAN45848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSRDLLCSPLRRRRHPPPRALLPRPSGSRAHLRAPRWELARSRMPPLPALPLASAASPNPSCFPRPTSALAREIVPNPPPPPHIPAPPLTSGARRRGGSSVSVGGMSRRGKSVPQLGGGPEKRGGGTPPAVPTPPAAPPQSQSAPPPPNGPWFPGCGGSSGQSSFSHGIEPGNPW >PVH31438 pep chromosome:PHallii_v3.1:9:9009657:9012306:1 gene:PAHAL_9G147000 transcript:PVH31438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSRDLLCSPLRRRRHPPPRALLPRPSGSRAHLRAPRWELARSRMPPLPALPLASAASPNPSCFPRPTSALAREIVPNPPPPPHIPAPPLTSGARRRGGSSVSVGGMSRRGKSVPQLGGGPEKRGGGTPPAVPTPPAAPPQSQSAPPPPNGPWFPGCGGSSGQSSFSHGIEPGNPCNHIFHHRHIRLEKISILLGLQRTSTHHLQHLHHRQIRSALILISMMVMRKLGQLRKDIGHMMRKSDWQVLG >PVH32933 pep chromosome:PHallii_v3.1:9:66503739:66504042:1 gene:PAHAL_9G528900 transcript:PVH32933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNLPTFLSSQKFLAMTFERSYISFKPEVPIHTREVGGPKSCHGVPSRSLINLEMNICDWRSQSP >PVH32050 pep chromosome:PHallii_v3.1:9:32623619:32624745:-1 gene:PAHAL_9G301100 transcript:PVH32050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKRIVTLPPCAALVALAAPSVRHLLLLPRSAPGTRPCPSPVPRGQAFPSWAAACHGGGARSWQEMEEPPLRFFRDIFPENHADLSWVPDRATPTRTITSVSTPSPMTRSMSRRLLQQTRSTPTRAITSMQTPSPMTRSRKKVAGI >PVH32047 pep chromosome:PHallii_v3.1:9:32622146:32624908:-1 gene:PAHAL_9G301100 transcript:PVH32047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKRIVTLPPCAALVALAAPSVRHLLLLPRSAPGTRPCPSPVPRGQAFPSWAAACHGGGARSWQEMEEPPLRFFRDIFPENHADLSWVPDRATPTRTITSVSTPSPMTRSMSRRLLQQTRSTPTRAITSMQTPSPMTRSRKKVAGI >PVH32048 pep chromosome:PHallii_v3.1:9:32623619:32624745:-1 gene:PAHAL_9G301100 transcript:PVH32048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKRIVTLPPCAALVALAAPSVRHLLLLPRSAPGTRPCPSPVPRGQAFPSWAAACHGGGARSWQEMEEPPLRFFRDIFPENHADLSWVPDRATPTRTITSVSTPSPMTRSMSRRLLQQTRSTPTRAITSMQTPSPMTRSRKKVAGI >PVH32049 pep chromosome:PHallii_v3.1:9:32622146:32624908:-1 gene:PAHAL_9G301100 transcript:PVH32049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKRIVTLPPCAALVALAAPSVRHLLLLPRSAPGTRPCPSPVPRGQAFPSWAAACHGGGARSWQEMEEPPLRFFRDIFPENHADLSWVPDRATPTRTITSVSTPSPMTRSMSRRLLQQTRSTPTRAITSMQTPSPMTRSRKKVAGI >PAN48669 pep chromosome:PHallii_v3.1:9:56804476:56807375:-1 gene:PAHAL_9G398000 transcript:PAN48669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPSPSSAPEPCQWAGAGLGSAACGLAGRVLCVVATCVFAAVGSLVGAVTGSMIGLATESGVLRGAGIGAISGAVFSIEVAEASRDLWHSGDSGVWTVLYMISAISSPFAETSDLFDTGGSRGLPADAVRRLPAMEIAADSAVDAAGEALCCSVCLQDFRVGEPARRLPGCRHVFHVPCIDCWLHRHGSCPLCRRDI >PAN48670 pep chromosome:PHallii_v3.1:9:56804958:56807198:-1 gene:PAHAL_9G398000 transcript:PAN48670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPSPSSAPEPCQWAGAGLGSAACGLAGRVLCVVATCVFAAVGSLVGAVTGSMIGLATESGVLRGAGIGAISGAVFSIEVAEASRDLWHSGDSGVWTVLYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFDTGGSRGLPADAVRRLPAMEIAADSAVDAAGEALCCSVCLQDFRVGEPARRLPGCRHVFHVPCIDCWLHRHGSCPLCRRDI >PAN48671 pep chromosome:PHallii_v3.1:9:56806182:56807198:-1 gene:PAHAL_9G398000 transcript:PAN48671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPSPSSAPEPCQWAGAGLGSAACGLAGRVLCVVATCVFAAVGSLVGAVTGSMIGLATESGVLRGAGIGAISGAVFSIEVAEASRDLWHSGDSGVWTVLYMRRQLFSSGKKSRERGMSQFPVESKTLLHSVPRYLSD >PAN46581 pep chromosome:PHallii_v3.1:9:12508670:12509588:1 gene:PAHAL_9G195200 transcript:PAN46581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCGFFPLARLLLLVVLLGAVLHGGGAVARPLLGIAEPPASPGAAAAGPAGDAAQAGAGGGPDRSEAGGEVILAGFAAALIVVIVCYIRVTRENRSSGVGEKQGRLGGF >PAN50486 pep chromosome:PHallii_v3.1:9:66126372:66130876:1 gene:PAHAL_9G523700 transcript:PAN50486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPAAQDAAPSPSGGSGSGSSRRRLRRLDRRNASKNISYDATNFCQFPPSPQPASAPASGPASLAGSAACSLDLVNSFRIGGSGDGGGDVQLLCQSLGLSGPDDFAIPLADWEAHKAVRSSASASPSSARHKPEPPARDSPLRHEGAEEPTRPADADHELPAKEPAARDATIEALERPARLDPLESTRPDVKRAVGEGGIKGLRPPPVLKLPPSMTLPAVCGAGSTWDILRSFAPDEKEHSPASRSGRSFAHQDAEEDEDAAVVLTLEDLRLGESSEGFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRKKIRSWNRGVLLGSGSFGTVYEGISDEGGFFAVKEVSLYDQGSNAKQCITQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHEKNIVHRDIKCANILVHANGSVKLADFGLAKEITKFSAIKSCKGTVYWMAPEVVNPKKTYGPAADIWSLGCTVLEMLTQKIPYPDLEWTQALYRIGKGEAPAIPSGLSKDARDFISQCVKPNPEDRPSASKLLEHPFVNRSIRSVRSIRTSSRSNSSTRGIN >PVH32629 pep chromosome:PHallii_v3.1:9:60930436:60931431:-1 gene:PAHAL_9G446200 transcript:PVH32629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEAVSSCSKIYVEAYTSLLSLGLDTTAVRVPSLEKLYSNKITVASQEMVKEHVDQVPLEPADTDVAFLVLGPKALFCIGQSVVFHTREVPKVKV >PAN50443 pep chromosome:PHallii_v3.1:9:65936802:65938861:-1 gene:PAHAL_9G520400 transcript:PAN50443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLSNYSSFEGVPYCKAHFEQLFKETGSYNKSFQSQSQSPAKITPEKTGPELTRTPSKAARMFSGTQDKCATCGKTAYPLEKVTVEEKAYHKSCFKCSHGGCAITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASIKRTEAQPEQPAQPTADSS >PAN45712 pep chromosome:PHallii_v3.1:9:8332003:8334141:-1 gene:PAHAL_9G136400 transcript:PAN45712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAAAVDRARPRRRRVTHGRLHRPDAQPSRSRPERASCLLFSKGSATKFAGSSIRRARGRTDSATLQRCVRPADLGVRSGLRPWRYQAPAVPPDEHRGRDGRLLRGAIPHVSDPTAGKIQGWTVSAPRRREEAASSACRGRGSLGSPRACPGRWLPPPRRGVSIRGHWPGIPSPLARANSEHPWFSCASRTTCRVAMPRAARTRAARLPVPNDDHGRRAMNAASALRLAGAPPHLDVPRFPRLPPARRQQCADREARAKQQEHEA >PAN50964 pep chromosome:PHallii_v3.1:9:68582346:68587288:-1 gene:PAHAL_9G560900 transcript:PAN50964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASRPVVHPMEVAAPANPAQQPQGVLMKDLPGMPGTPSGLALRVTQLLLAAVSLAVMSSTSDFATVSAFCYLIAATILQCVWSLSVAIVDIYALLVKRCLRNRRLVAVFAIGDAITCGVIFSGACAAAGITVLIDEDLNMCSENHCSSFQTAVSMAFMCSFSLSPSFVLNFWSAAFAYSRVVAAG >PAN47721 pep chromosome:PHallii_v3.1:9:49223843:49230087:1 gene:PAHAL_9G347900 transcript:PAN47721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47728 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PAN47728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47730 pep chromosome:PHallii_v3.1:9:49223843:49230087:1 gene:PAHAL_9G347900 transcript:PAN47730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32216 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PVH32216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32220 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PVH32220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32215 pep chromosome:PHallii_v3.1:9:49223844:49230087:1 gene:PAHAL_9G347900 transcript:PVH32215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47727 pep chromosome:PHallii_v3.1:9:49223844:49230087:1 gene:PAHAL_9G347900 transcript:PAN47727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32214 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PVH32214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32219 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PVH32219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32217 pep chromosome:PHallii_v3.1:9:49223844:49230087:1 gene:PAHAL_9G347900 transcript:PVH32217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32221 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PVH32221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47723 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PAN47723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32218 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PVH32218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32222 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PVH32222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47724 pep chromosome:PHallii_v3.1:9:49223843:49230087:1 gene:PAHAL_9G347900 transcript:PAN47724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47726 pep chromosome:PHallii_v3.1:9:49223844:49230087:1 gene:PAHAL_9G347900 transcript:PAN47726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47725 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PAN47725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47729 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PAN47729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH32213 pep chromosome:PHallii_v3.1:9:49223854:49230087:1 gene:PAHAL_9G347900 transcript:PVH32213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47731 pep chromosome:PHallii_v3.1:9:49223844:49230087:1 gene:PAHAL_9G347900 transcript:PAN47731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PAN47722 pep chromosome:PHallii_v3.1:9:49223844:49230087:1 gene:PAHAL_9G347900 transcript:PAN47722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHPPALWRSTPAPPPPISRRVCPEQARPRALDSVECWLSATPAPLLYYTASSRPCVDRRQEARRSRQHRRPLAARFIASEASPTESTAPQVSTHRTAPAPPLLVAPPPRERRRPRTAAPAAARLKNPAILVVLRAE >PVH30952 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEENLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGAWKSFPICKIISK >PVH30958 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEGAYIQNLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIGNNHGSGIIATTYKLDVAESIGGVYSLPLLSDEDSKLLFYRRTCFRSEAGCPSSYRDVSKRLLNKCSRLPLAIITITRLLPASLNSEEEWKKVCNSIDSGFELGDRVSDMRRILSRSYHDLPQHLRTCFLYLSIFPENYDIRRDSLVQRWLSEDLIRGDHGQNLHELGESYFYQLLDTGMIQPIEFDNDGNALACRVPLVMIDLIAYLLIKEKHNTTSASQRRTDPPNKVERLSLQVSKKEKHAVVAEASKSFREGSLSISCSSDSVGTCPIIKNLRVLVLEGSLEDRHVAKYLGRSSRQLRYLILASTQITVIPKKVGNLQFLQTLDLRATRVIELPRTFVRLKQLHCLLINRSTKVPAGISNLQALKELQDIDISKSPDILEGICTLSKLRVLKVALWSWDDSSSKLLPETLCKLSTSELEHLSISTCCSLEFKPNDDVQKVFQHITKLEVQHSTFNTLPTWIDKLKKLSSLSIEVYLLEEDALRILGGLPALLFLSLTAKKTPEARPTAKRTPDGRVVTEDKLVVHSNGFGCLKTFHLFSRAMVIKFEKGSMKSLERLKLSFQASLATEDFSFGLGNLSSLKHIQVEIICFSATEKAVKKAEDAIRDMIWGSSGQPRPALDIRRSAEEYKIEDKKEFREEVRVLSLASRDGRTASVQKQNQKQKID >PVH30962 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEGAYIQNLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIEEHVLEVKLVVLLHIETYPRDY >PVH30963 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEENLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIGNNHGSGIIATTYKLDVAESIGGVYSLPLLSDEDSKLLFYRRTCFRSEAGCPSSYRDVSKRLLNKCSRLPLAIITITRLLPASLNSEEEWKKVCNSIDSGFELGDRVSDMRRILSRSYHDLPQHLRTCFLYLSIFPENYDIRRDSLVQRWLSEDLIRGDHGQNLHELGESYFYQLLDTGMIQPIEFDNDGNALACRVPLVMIDLIAYLLIKEKHNTTSASQRRTDPPNKVERLSLQVSKKEKHAVVAEASKSFREGSLSISCSSDSVGTCPIIKNLRVLVLEGSLEDRHVAKYLGRSSRQLRYLILASTQITVIPKKVGNLQFLQTLDLRATRVIELPRTFVRLKQLHCLLINRSTKVPAGISNLQALKELQDIDISKSPDILEGICTLSKLRVLKVALWSWDDSSSKLLPETLCKLSTSELEHLSISTCCSLEFKPNDDVQKVFQHITKLEVQHSTFNTLPTWIDKLKKLSSLSIEVYLLEEDALRILGGLPALLFLSLTAKKTPEARPTAKRTPDGRVVTEDKLVVHSNGFGCLKTFHLFSRAMVIKFEKGSMKSLERLKLSFQASLATEDFSFGLGNLSSLKHIQVEIICFSATEKAVKKAEDAIRDMIWGSSGQPRPALDIRRSAEEYKIEDKKEFREEVRVLSLASRDGRTASVQKQNQKQKID >PVH30957 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEGAYIQNLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIGNNHGSGIIATTYKLDVAESIGGVYSLPLLSDEDSKLLFYRRTCFRSEAGCPSSYRDVSKRLLNKCSRLPLAIITITRLLPASLNSEEEWKKVCNSIDSGFELGDRVSDMRRILSRSYHDLPQHLRTCFLYLSIFPENYDIRRDSLVQRWLSEDLIRGDHGQNLHELGESYFYQLLDTGMIQPIEFDNDGNALACRVPLVMIDLIAYLLIKEKHNTTSASQRRTDPPNKVERLSLQVSKKEKHAVVAEASKSFREGSLSISCSSDSVGTCPIIKNLRVLVLEGSLEDRHVAKYLGRSSRQLRYLILASTQITVIPKKINKSASWD >PVH30959 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEGAYIQNLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIGNNHGSGIIATTYKLDVAESIGGVYSLPLLSDEDSKLLFYRRTCFRSEAGCPSSYRDVSKRLLNKCSRLPLAIITITRLLPASLNSEEEWKKVCNSIDSGFELGDRVSDMRRILSRSYHDLPQHLRTCFLYLSIFPENYDIRRDSLVQRWLSEDLIRGDHGQNLHELGESYFYQLLDTGMIQPIEFDNDGNALACRVPLVMIDLIAYLLIKEKHNTTSASQRRTDPPNKVERLSLQVSKKEKHAVVAEASKSFREGSLSISCSSDSVGTCPIIKNLRVLVLEGSLEDRHVAKYLGRSSRQLRYLILASTQITVIPKKVGNLQFLQTLDLRATRVIELPRTFVRLKQLHCLLINRSTKVPAGISNLQALKELQDIDISKSPDILEGICTLSKLRVLKVALWSWDDSSSKLLPETLCKLSTSELEHLSISTCCSLEFKPNDDVQKVFQHITKLEVQHSTFNTLPTWIDKLKKLSSLSIEVYLLEEDALRILGGLPALLFLSLTAKKTPEARPTAKRTPDGRVVTEDKLVVHSNGFGCLKTFHLFSRAMVIKFEKGSMKSLERLKLSFQASLATEDFSFGLGNLSSLKHIQVEIICFSATEKAVKKAEDAIRDMIWGSSGQPRPALDIRRSAEEYKIEDKKEFREEVRVLSLASRDGRTASVQKQNQKQKID >PVH30956 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEGAYIQNLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIGNNHGSGIIATTYKLDVAESIGGVYSLPLLSDEDSKLLFYRRTCFRSEAGCPSSYRDVSKRLLNKCSRLPLAIITITRLLPASLNSEEEWKKVCNSIDSGFELGDRVSDMRRILSRSYHDLPQHLRTCFLYLSIFPENYDIRRDSLVQRWLSEDLIRGDHGQNLHELGESYFYQLLDTGMIQPIEFDNDGNALACRVPLVMIDLIAYLLIKEKHNTTSASQRRTDPPNKVERLSLQVSKKEKHAVVAEASKSFREGSLSISCSSDSVGTCPIIKNLRVLVLEGSLEDRHVAKYLGRSSRQLRYLILASTQITVIPKKINKSASWD >PVH30953 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEENLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIEEHVLEVKLVVLLHIETYPRDY >PVH30964 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEENLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIGNNHGSGIIATTYKLDVAESIGGVYSLPLLSDEDSKLLFYRRTCFRSEAGCPSSYRDVSKRLLNKCSRLPLAIITITRLLPASLNSEEEWKKVCNSIDSGFELGDRVSDMRRILSRSYHDLPQHLRTCFLYLSIFPENYDIRRDSLVQRWLSEDLIRGDHGQNLHELGESYFYQLLDTGMIQPIEFDNDGNALACRVPLVMIDLIAYLLIKEKHNTTSASQRRTDPPNKVERLSLQVSKKEKHAVVAEASKSFREGSLSISCSSDSVGTCPIIKNLRVLVLEGSLEDRHVAKYLGRSSRQLRYLILASTQITVIPKKVGNLQFLQTLDLRATRVIELPRTFVRLKQLHCLLINRSTKVPAGISNLQALKELQDIDISKSPDILEGICTLSKLRVLKVALWSWDDSSSKLLPETLCKLSTSELEHLSISTCCSLEFKPNDDVQKVFQHITKLEVQHSTFNTLPTWIDKLKKLSSLSIEVYLLEEDALRILGGLPALLFLSLTAKKTPEARPTAKRTPDGRVVTEDKLVVHSNGFGCLKTFHLFSRAMVIKFEKGSMKSLERLKLSFQASLATEDFSFGLGNLSSLKHIQVEIICFSATEKAVKKAEDAIRDMIWGSSGQPRPALDIRRSAEEYKIEDKKEFREEVRVLSLASRDGRTASVQKQNQKQKID >PVH30961 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEENLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIEEHVLEVKLVVLLHIETYPRDY >PVH30951 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEENLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGAWKSFPICKIISK >PVH30960 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEENLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIGNNHGSGIIATTYKLDVAESIGGVYSLPLLSDEDSKLLFYRRTCFRSEAGCPSSYRDVSKRLLNKCSRLPLAIITITRLLPASLNSEEEWKKVCNSIDSGFELGDRVSDMRRILSRSYHDLPQHLRTCFLYLSIFPENYDIRRDSLVQRWLSEDLIRGDHGQNLHELGESYFYQLLDTGMIQPIEFDNDGNALACRVPLVMIDLIAYLLIKEKHNTTSASQRRTDPPNKVERLSLQVSKKEKHAVVAEASKSFREGSLSISCSSDSVGTCPIIKNLRVLVLEGSLEDRHVAKYLGRSSRQLRYLILASTQITVIPKKINKSASWD >PVH30955 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEENLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIGNNHGSGIIATTYKLDVAESIGGVYSLPLLSDEDSKLLFYRRTCFRSEAGCPSSYRDVSKRLLNKCSRLPLAIITITRLLPASLNSEEEWKKVCNSIDSGFELGDRVSDMRRILSRSYHDLPQHLRTCFLYLSIFPENYDIRRDSLVQRWLSEDLIRGDHGQNLHELGESYFYQLLDTGMIQPIEFDNDGNALACRVPLVMIDLIAYLLIKEKHNTTSASQRRTDPPNKVERLSLQVSKKEKHAVVAEASKSFREGSLSISCSSDSVGTCPIIKNLRVLVLEGSLEDRHVAKYLGRSSRQLRYLILASTQITVIPKKINKSASWD >PVH30954 pep chromosome:PHallii_v3.1:9:1210219:1218824:1 gene:PAHAL_9G021100 transcript:PVH30954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEHHNKCSAFPNLLLPSLAACSSTIMAAVADAFASKLIGILKRMPKKEVETLLRAPGEITKLETALRDLSPILADAERRRFRDSSEERWMREVKDAMYDADDILDICQILESGEDPMLAERSAPWRRNILLSSCFSNQVVPHQIGIEIKALNRRLEDVMRRSYKFQFTLQAIGSTRYSSYSETGPVFLEQDIVGLKIQEDRRKLCDLLVNSMDAPTRSVIDNVVVVAITGAAGIGKTTLARMVFNDPMVVANFDVKIWLSVTQEVNGIRLLRESIAYVDGNADGVADSMHMLQERLNRALMGRKRFLLVMDDVWSDKVWNDILGPPLNGGRPGSRVLVTTRNDEAARGMRAQHLHRVTTLDPDDSWLLLKKQVSLAEDERDIDDLKYTGMMIVEKCNGLPLAIKVIGGLLRRKARTRESWFGVLNHYLGSSGAQFGEVFHSLYLSYQDLSPQLKQCFLYCSLLPKGVNFDSPNVINMWISEGFIHQADRRADELEYIGTEYHQDLIMRHLIEPGASSSMHDSVRSFAQHMAREEALVVHRELQTEVSSPLLSKLRRLSIESAESVAVEWADYLQKLVALRVLIINRTINFKPGDSWSSFSSLRVLHVVRLAESDRLVRSICQLKHLRYLYLYDSDISSLPDDIHRMKFLQHIGIVNCGRFVELPVNIIKLTQLRSLDLVGSSVGVVPRGFGRLTNLRSLFGFPVHRDGEGQGWCSLEELAPLRKLRSLRLEGIEKVGVSYLAEEAMISSMEHLISLHLNCSTSISANVTNSERQLIQEVYEHLFPPRCVENLVMETYIGRWLPNWMWGAEAAAFGSLRYMVLRNLASCVKLPDGLCQIPCLERLEINKAPLVKHVGHEFLRHGDLQCAFPRLQELVLHGMVQWRGWVWDPLNDVQVMPALEVLLVERCRLRSFPPGLSCHATALRHLVIAHARRLESLEAFSSLVELDICLSPRLSKIANLPRLQKLTIKICPKLRVLEGVPALRSLVLEDYTMETLPGYLQLQDDVCPVYLTLDCTIKLLKSISMGKGGPEWPKFSHIQHVNAYAEDGGHQRKWSVLYTREPFSFGAYMPDSDSDSDSEGAYIQNLADDKLVRSDIRSDGSTDSQTDDELDDNDDIPTAGSTGVDLFNDDSELVGIESPREQIIKMLMEGRHTDKQQLQVVSIYGIGGLGKTTIARSVYRQISNQFECCAQVSVSERPDIVGIMKSIIDQVRCPYSSMEEFSNMQDNLQVIINVLKEFLEDKRYLIFIDDIWEVSVWETIKPTFIEEHVLEVKLVVLLHIETYPRDY >PVH31990 pep chromosome:PHallii_v3.1:9:29601837:29603903:-1 gene:PAHAL_9G285500 transcript:PVH31990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRQPPLPAPSSSAPSPAAALLHQQHRHHQRHLAVKAVAATAIVAVALVAALVTALWWRRRRKRAAAEPEGTKGTVRCLSYRQLRRATAGFAAGNKLGQGGFGPVFRGALPPEKGHGGRGRERPVAVKVMDAAGSLQGEREFHNEIAVASHLLSSGSASTSEEALCSNILLPFAYSMPACGGEARRPRRMMLVYDLMPNGSLQDALLGAGSRRHRDLVSEWPRRLAVARDVAAALRYLHSVVKPPVVHGDVKPSNVLLDADLKARLADFGLARVCSDPDPEGKPVSGVIAEGDGMIAGAYANGNPNGGCDDDVSVVAESTVTTTVNGEGNGAPKSPEDDEAFTSASPAEAASTSGFDRTSVDSGTNSRSCNGGSRTGGATASGTGSDWWWPQDNGAPNIGVKDYVMEWIRSEIKKERPKSDWVAGTAVNNPVAERKKSKRRAREWWREDYVDELAKKQKRRALAKSKSQQVGLQWWERDIDDDFDEKGQSKWNLVKSWSRRSSNSTSNCHGSINWWVNGARSSRDWASGELVPKSGGTVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSYGVLLLVLVSGRRPLQVMASPMSEFEKASLISWARHLARASRLLDLVDPALRDVNRDEALLCITIALLCIQRSPAYRPSSEELLQMLSCEGELPNLPLEFSPSPPGGFPFKSRKKVR >PAN47812 pep chromosome:PHallii_v3.1:9:29597042:29604069:-1 gene:PAHAL_9G285500 transcript:PAN47812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRQPPLPAPSSSAPSPAAALLHQQHRHHQRHLAVKAVAATAIVAVALVAALVTALWWRRRRKRAAAEPEGTKGTVRCLSYRQLRRATAGFAAGNKLGQGGFGPVFRGALPPEKGHGGRGRERPVAVKVMDAAGSLQGEREFHNEIAVASHLLSSGSASTSEEALCSNILLPFAYSMPACGGEARRPRRMMLVYDLMPNGSLQDALLGAGSRRHRDLVSEWPRRLAVARDVAAALRYLHSVVKPPVVHGDVKPSNVLLDADLKARLADFGLARVCSDPDPEGKPVSGVIAEGDGMIAGAYANGNPNGGCDDDVSVVAESTVTTTVNGEGNGAPKSPEDDEAFTSASPAEAASTSGFDRTSVDSGTNSRSCNGGSRTGGATASGTGSDWWWPQDNGAPNIGVKDYVMEWIRSEIKKERPKSDWVAGTAVNNPVAERKKSKRRAREWWREDYVDELAKKQKRRALAKSKSQQVGLQWWERDIDDDFDEKGQSKWNLVKSWSRRSSNSTSNCHGSINWWVNGARSSRDWASGELVPKSGGTVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSYGVLLLVLVSGRRPLQVMASPMSEFEKASLISWARHLARASRLLDLVDPALRDVNRDEALLCITIALLCIQRSPAYRPSSEELLQMLSCEGELPNLPLEFSPSPPGGFPFKSRKKVRCRKGDGNLNSRCTRTVSP >PAN47813 pep chromosome:PHallii_v3.1:9:29597042:29604069:-1 gene:PAHAL_9G285500 transcript:PAN47813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRQPPLPAPSSSAPSPAAALLHQQHRHHQRHLAVKAVAATAIVAVALVAALVTALWWRRRRKRAAAEPEGTKGTVRCLSYRQLRRATAGFAAGNKLGQGGFGPVFRGALPPEKGHGGRGRERPVAVKVMDAAGSLQGEREFHNEIAVASHLLSSGSASTSEEALCSNILLPFAYSMPACGGEARRPRRMMLVYDLMPNGSLQDALLGAGSRRHRDLVSEWPRRLAVARDVAAALRYLHSVVKPPVVHGDVKPSNVLLDADLKARLADFGLARVCSDPDPEGKPVSGVIAEGDGMIAGAYANGNPNGGCDDDVSVVAESTVTTTVNGEGNGAPKSPEDDEAFTSASPAEAASTSGFDRTSVDSGTNSRSCNGGSRTGGATASGTGSDWWWPQDNGAPNIGVKDYVMEWIRSEIKKERPKSDWVAGTAVNNPVAERKKSKRRAREWWREDYVDELAKKQKRRALAKSKSQQVGLQWWERDIDDDFDEKGQSKWNLVKSWSRRSSNSTSNCHGSINWWVNGARSSRDWASGELVPKSGGTVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSYGVLLLVLVSGRRPLQVMASPMSEFEKASLISWARHLARASRLLDLVDPALRDVNRDEALLCITIALLCIQRSPAYRPSSEELLQMLSCEGELPNLPLEFSPSPPGGFPFKSRKKVRCRKGDGNLNSRCTRTVSP >PAN48190 pep chromosome:PHallii_v3.1:9:53526292:53529580:-1 gene:PAHAL_9G366400 transcript:PAN48190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFTNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSSSS >PAN48189 pep chromosome:PHallii_v3.1:9:53526748:53528464:-1 gene:PAHAL_9G366400 transcript:PAN48189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFTNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSSSS >PVH31019 pep chromosome:PHallii_v3.1:9:2146612:2151368:-1 gene:PAHAL_9G038700 transcript:PVH31019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGYHSPRFSEDIAWLPPWLQPHRPPPVGEHRTHSTGVSSPSCQNCVFIGDPAQERQNARVNAAGYSGFILRLSGDEEMAGITPISSNALPFSLRLSSESTAEPSPAESNTNTQMQNSGTLKGPSEDFFADGQKQEVNAVSQNQFEGKDPQADSPTEVFKVTSKAIRKPLDANRHKRHDVSGGKVDIRRLRNADVNDAIELSIAASEAMVIAEMILDDSQSDKLAAAAIEAALHVKEARKQFYFEETEHACGSSGNDLDETDWLTELDDAEMVGVFQDIGLSLVHTVRPSQDQNTGVLKQQNSHPNYPPCDADAHILASCSSEKQNKRCNSQNADSDDHVSDSFPTNQSADVLPNEPTPCSDSLKQAVLGKTFSCSRNKKTGLQASTENNAAMHGASGALVTYQNIHKDVGRVSAQMNVGTKKHVKGLFEEETSFISESISIDECCPTSRASSMEIAASSRASFYCRTEGSCEENHGAETEEVCCQVVCSSMSHVDPLCSIVPCSISCDEGPSDQAPVCKKSEGYESPTCLAPERELGKGEEKGFMHPRMQDLDGEAGPSCTPLVKSLEPDVPFRRRIYSSLRPFSTISPKSNILGSTSNFDAHLTVYRQEKFTPITLNKNIQQVQAAKQFIENNVKTESLQYFSKVKKKPYYPQDDNEDQIREQQVCRSAVNLNAGKQCLKRKRVQFSEAKLSSRRTKSNRRVPAKSRFSRSDSRREETLETREDIDNREATFQGVEFMLTGFPNQKEKEIESLIRKCGGYVLSKVPPFSLDKRKNMDEFPSWKPPIVLSPKKVSTAKFLYGCAIDAWMLNPNWFFDSLQAGVLLPPGKYLIRQRNAQKHTSAFGHSFQPKCSTLIFDGVGFLIHGKISFCSKFSNIIKHGGGQVFVSLQGLVQSLKEGSTSHGIILVASQASASRHLSHCGLEHGIKTAVSSMFNVPRPFSI >PAN44385 pep chromosome:PHallii_v3.1:9:2146183:2151368:-1 gene:PAHAL_9G038700 transcript:PAN44385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGYHSPRFSEDIAWLPPWLQPHRPPPVGEHRTHSTGVSSPSCQNCVFIGDPAQERQNARVNAAGYSGFILRLSGDEEMAGITPISSNALPFSLRLSSESTAEPSPAESNTNTQMQNSGTLKGPSEDFFADGQKQEVNAVSQNQFEGKDPQADSPTEVFKVTSKAIRKPLDANRHKRHDVSGGKVDIRRLRNADVNDAIELSIAASEAMVIAEMILDDSQSDKLAAAAIEAALHVKEARKQFYFEETEHACGSSGNDLDETDWLTELDDAEMVGVFQDIGLSLVHTVRPSQDQNTGVLKQQNSHPNYPPCDADAHILASCSSEKQNKRCNSQNADSDDHVSDSFPTNQSADVLPNEPTPCSDSLKQAVLGKTFSCSRNKKTGLQASTENNAAMHGASGALVTYQNIHKDVGRVSAQMNVGTKKHVKGLFEEETSFISESISIDECCPTSRASSMEIAASSRASFYCRTEGSCEENHGAETEEVCCQVVCSSMSHVDPLCSIVPCSISCDEGPSDQAPVCKKSEGYESPTCLAPERELGKGEEKGFMHPRMQDLDGEAGPSCTPLVKSLEPDVPFRRRIYSSLRPFSTISPKSNILGSTSNFDAHLTVYRQEKFTPITLNKNIQQVQAAKQFIENNVKTESLQYFSKVKKKPYYPQDDNEDQIREQQVCRSAVNLNAGKQCLKRKRVQFSEAKLSSRRTKSNRRVPAKSRFSRSDSRREETLETREDIDNREATFQGVEFMLTGFPNQKEKEIESLIRKCGGYVLSKVPPFSLDKRKNMDEFPSWKPPIVLSPKKVSTAKFLYGCAIDAWMLNPNWFFDSLQAGVLLPPGKYLIRQRNAQKHTSAFGHSFQPKCSTLIFDGVGFLIHGKISFCSKFSNIIKHGGGQVFVSLQGLVQSLKEGSTSHGIILVASQASASRHLSHCGLEHGIKTAPASWIIGSLFSGKLIPLKKDRCASFRRIKMPSFHQQHVYDMSQEL >PAN44384 pep chromosome:PHallii_v3.1:9:2145824:2151753:-1 gene:PAHAL_9G038700 transcript:PAN44384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGYHSPRFSEDIAWLPPWLQPHRPPPVGEHRTHSTGVSSPSCQNCVFIGDPAQERQNARVNAAGYSGFILRLSGDEEMAGITPISSNALPFSLRLSSESTAEPSPAESNTNTQMQNSGTLKGPSEDFFADGQKQEVNAVSQNQFEGKDPQADSPTEVFKVTSKAIRKPLDANRHKRHDVSGGKVDIRRLRNADVNDAIELSIAASEAMVIAEMILDDSQSDKLAAAAIEAALHVKEARKQFYFEETEHACGSSGNDLDETDWLTELDDAEMVGVFQDIGLSLVHTVRPSQDQNTGVLKQQNSHPNYPPCDADAHILASCSSEKQNKRCNSQNADSDDHVSDSFPTNQSADVLPNEPTPCSDSLKQAVLGKTFSCSRNKKTGLQASTENNAAMHGASGALVTYQNIHKDVGRVSAQMNVGTKKHVKGLFEEETSFISESISIDECCPTSRASSMEIAASSRASFYCRTEGSCEENHGAETEEVCCQVVCSSMSHVDPLCSIVPCSISCDEGPSDQAPVCKKSEGYESPTCLAPERELGKGEEKGFMHPRMQDLDGEAGPSCTPLVKSLEPDVPFRRRIYSSLRPFSTISPKSNILGSTSNFDAHLTVYRQEKFTPITLNKNIQQVQAAKQFIENNVKTESLQYFSKVKKKPYYPQDDNEDQIREQQVCRSAVNLNAGKQCLKRKRVQFSEAKLSSRRTKSNRRVPAKSRFSRSDSRREETLETREDIDNREATFQGVEFMLTGFPNQKEKEIESLIRKCGGYVLSKVPPFSLDKRKNMDEFPSWKPPIVLSPKKVSTAKFLYGCAIDAWMLNPNWFFDSLQAGVLLPPGKYLIRQRNAQKHTSAFGHSFQPKCSTLIFDGVGFLIHGKISFCSKFSNIIKHGGGQVFVSLQGLVQSLKEGSTSHGIILVASQASASRHLSHCGLEHGIKTAPASWIIGSLFSDKIVTITWARGIVVTETRLVRTSCDDLLLTVEFLTAPFYHAEVPLSCANADFYCFCHFRNE >PVH31018 pep chromosome:PHallii_v3.1:9:2145824:2151523:-1 gene:PAHAL_9G038700 transcript:PVH31018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGYHSPRFSEDIAWLPPWLQPHRPPPVGEHRTHSTGVSSPSCQNCVFIGDPAQERQNARVNAAGYSGFILRLSGDEEMAGITPISSNALPFSLRLSSESTAEPSPAESNTNTQMQNSGTLKGPSEDFFADGQKQEVNAVSQNQFEGKDPQADSPTEVFKVTSKAIRKPLDANRHKRHDVSGGKVDIRRLRNADVNDAIELSIAASEAMVIAEMILDDSQSDKLAAAAIEAALHVKEARKQFYFEETEHACGSSGNDLDETDWLTELDDAEMVGVFQDIGLSLVHTVRPSQDQNTGVLKQQNSHPNYPPCDADAHILASCSSEKQNKRCNSQNADSDDHVSDSFPTNQSADVLPNEPTPCSDSLKQAVLGKTFSCSRNKKTGLQASTENNAAMHGASGALVTYQNIHKDVGRVSAQMNVGTKKHVKGLFEEETSFISESISIDECCPTSRASSMEIAASSRASFYCRTEGSCEENHGAETEEVCCQVVCSSMSHVDPLCSIVPCSISCDEGPSDQAPVCKKSEGYESPTCLAPERELGKGEEKGFMHPRMQDLDGEAGPSCTPLVKSLEPDVPFRRRIYSSLRPFSTISPKSNILGSTSNFDAHLTVYRQEKFTPITLNKNIQQVQAAKQFIENNVKTESLQYFSKVKKKPYYPQDDNEDQIREQQVCRSAVNLNAGKQCLKRKRVQFSEAKLSSRRTKSNRRVPAKSRFSRSDSRREETLETREDIDNREATFQGVEFMLTGFPNQKEKEIESLIRKCGGYVLSKVPPFSLDKRKNMDEFPSWKPPIVLSPKKVFNSTKECSEAYFSIWPFIPTKMQHIDI >PAN44386 pep chromosome:PHallii_v3.1:9:2145824:2151536:-1 gene:PAHAL_9G038700 transcript:PAN44386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGYHSPRFSEDIAWLPPWLQPHRPPPVGEHRTHSTGVSSPSCQNCVFIGDPAQERQNARVNAAGYSGFILRLSGDEEMAGITPISSNALPFSLRLSSESTAEPSPAESNTNTQMQNSGTLKGPSEDFFADGQKQEVNAVSQNQFEGKDPQADSPTEVFKVTSKAIRKPLDANRHKRHDVSGGKVDIRRLRNADVNDAIELSIAASEAMVIAEMILDDSQSDKLAAAAIEAALHVKEARKQFYFEETEHACGSSGNDLDETDWLTELDDAEMVGVFQDIGLSLVHTVRPSQDQNTGVLKQQNSHPNYPPCDADAHILASCSSEKQNKRCNSQNADSDDHVSDSFPTNQSADVLPNEPTPCSDSLKQAVLGKTFSCSRNKKTGLQASTENNAAMHGASGALVTYQNIHKDVGRVSAQMNVGTKKHVKGLFEEETSFISESISIDECCPTSRASSMEIAASSRASFYCRTEGSCEENHGAETEEVCCQVVCSSMSHVDPLCSIVPCSISCDEGPSDQAPVCKKSEGYESPTCLAPERELGKGEEKGFMHPRMQDLDGEAGPSCTPLVKSLEPDVPFRRRIYSSLRPFSTISPKSNILGSTSNFDAHLTVYRQEKFTPITLNKNIQQVQAAKQFIENNVKTESLQYFSKVKKKPYYPQDDNEDQIREQQVCRSAVNLNAGKQCLKRKRVQFSEAKLSSRRTKSNRRVPAKSRFSRSDSRREETLETREDIDNREATFQGVEFMLTGFPNQKEKEIESLIRKCGGYVLSKVPPFSLDKRKNMDEFPSWKPPIVLSPKKVSTAKFLYGCAIDAWMLNPNWFFDSLQAGVLLPPGKYLIRQRNAQKHTSAFGHSFQPKCSTLIFDGVGFLIHGKISFCSKFSNIIKHGGGQVFVSLQGLVQSLKEGSTSHGIILVASQASASRHLSHCGLEHGIKTAPASWIIGSLFSDKIVTITWARGIVVTETRLK >PVH31017 pep chromosome:PHallii_v3.1:9:2145824:2151536:-1 gene:PAHAL_9G038700 transcript:PVH31017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGYHSPRFSEDIAWLPPWLQPHRPPPVGEHRTHSTGVSSPSCQNCVFIGDPAQERQNARVNAAGYSGFILRLSGDEEMAGITPISSNALPFSLRLSSESTAEPSPAESNTNTQMQNSGTLKGPSEDFFADGQKQEVNAVSQNQFEGKDPQADSPTEVFKVTSKAIRKPLDANRHKRHDVSGGKVDIRRLRNADVNDAIELSIAASEAMVIAEMILDDSQSDKLAAAAIEAALHVKEARKQFYFEETEHACGSSGNDLDETDWLTELDDAEMVGVFQDIGLSLVHTVRPSQDQNTGVLKQQNSHPNYPPCDADAHILASCSSEKQNKRCNSQNADSDDHVSDSFPTNQSADVLPNEPTPCSDSLKQAVLGKTFSCSRNKKTGLQASTENNAAMHGASGALVTYQNIHKDVGRVSAQMNVGTKKHVKGLFEEETSFISESISIDECCPTSRASSMEIAASSRASFYCRTEGSCEENHGAETEEVCCQVVCSSMSHVDPLCSIVPCSISCDEGPSDQAPVCKKSEGYESPTCLAPERELGKGEEKGFMHPRMQDLDGEAGPSCTPLVKSLEPDVPFRRRIYSSLRPFSTISPKSNILGSTSNFDAHLTVYRQEKFTPITLNKNIQQVQAAKQFIENNVKTESLQYFSKVKKKPYYPQDDNEDQIREQQVCRSAVNLNAGKQCLKRKRVQFSEAKLSSRRTKSNRRVPAKSRFSRSDSRREETLETREDIDNREATFQGVEFMLTGFPNQKEKEIESLIRKCGGYVLSKVPPFSLDKRKNMDEFPSWKPPIVLSPKKVFNSTKECSEAYFSIWPFIPTKMQHIDI >PAN46180 pep chromosome:PHallii_v3.1:9:10461034:10462077:1 gene:PAHAL_9G167800 transcript:PAN46180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVASSRTVSATVFLALMVTGCCLASAVPVAANARRLQQIPVSGAGGGLTDQLQALTAGLQKLSDQVKLLLGTVALPADLQGQLQQVALSFATAQAQVQTEVRDLLLVVPHPGTLPVLQDLLNQLATKLETVSTQFQNAQPVGGCFSDLQASLMDEISVLKDKLMSTAVALPTNLVALIAALRNLGHAVSQVDACAPGLQSLPSNGRGVILALGELVAGIQGS >PAN44944 pep chromosome:PHallii_v3.1:9:4755069:4757412:-1 gene:PAHAL_9G082500 transcript:PAN44944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHVLVVPMPCQGHVTPLMELSHRLVDHGLEVTFVNTEVDHAMVVAALRASGGEATLGGGIRLASIPDGLAGDEDRKDIVKLVDAYTRHMPGHLERLIAELEAAGRPRVKWLVGDVNMGWSYEVARNFGIRVVSFWPAATACFAFMLKIPELIEDGLIDDKGLPRRQETFQLAPGMPPLHTSQLSWNNAGAPEGQHIIFDLVTRNNKLNDLAEMTVGNSFHEAEPGACKLFPGILAIGPLFADGEFRKPVGSFLPEDERCVKWLDAQPDGSVVYVAFGSMAIFDPRQFEELAEGLELTGRPFLWVVRPDFTPGLSKAWLAEFHQRVAGRGMIVSWCSQQQVLAHRALACFVSHCGWNSTLEGVRNGVPFLCWPYFCDQYLNRSYITSVWRTGLAASPDADGVVTKAEVRSKVEQVVGDGEIRERARLFRDAARRCVGEGGSSYENFKKLVDLLSE >PAN46283 pep chromosome:PHallii_v3.1:9:10959178:10964047:-1 gene:PAHAL_9G174700 transcript:PAN46283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASSVGAGFGPQPRPALGFRHSQLRAPTCSCLRTPRPASRLLCGGGGARPRGAIATASVDQRRQQLGELEAEAEAGSALGPPRSSPREVREEMARCFDLVRRLGRGAVYLGSSRVPPTHPHFLQTTELAREIARLLDCTTWTGAGPGLMDAAIQGALEADKPIGGLKIAKEAGEWTSSGFHPYLPSETYLTCRFFSARKHGLVDAAVRSSAADRTAVIALPGGIGTLDELFEIMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCQDWGTVAPGEVASLWKVCDGNYEALEYLAEFYNVPAAKRNYQISPPLKQHRTSYATS >PAN51462 pep chromosome:PHallii_v3.1:9:70734348:70735728:1 gene:PAHAL_9G596100 transcript:PAN51462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPGSGRCRCRGLSATVLLLLLLLLNFSALGTCTSARGRVAPEEGYSWDPGTAAARRGLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFPLEYYPEAWRCKCGNKLFMP >PAN49799 pep chromosome:PHallii_v3.1:9:62799656:62806328:-1 gene:PAHAL_9G476000 transcript:PAN49799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPAWRALLVVLALALADAAAGRFVVEKNSIQVTSPDELKGKYECAIGNFGVPQYGGTLHGWVEYPRTNRKGCQSFDQFDISFKPKKAGGRPNFVLLDRGECFFTIKAWNAQNAGAAAVLVVDDKSEPLITMDNPEEGKEHLENITIPSVLITKKLGDQLKKSAEAGDMLSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKNFRGTAQVLEKKGYTQFTPHYITWYCPEAFVTSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKIANETGKPWLWWDYVHDFALRCPMKDKKYTHDCASDVIKSLGLDVEKVNKCVGDPDADEENEILKAEQDAQIGHGKRGDVTILPTLVINNRQYRGKLDKVAVLKAICSGFEETSEPAICLSEDIQTNECLDNNGGCWLHKANNVTACKDTFRGRVCECPIVKGVKFVGDGYTHCEASGIGRCEISNGGCWQETRDGKTISACSNEVSEGCKCPAGFKGDGVKSCEDIDECQEKRFCQCKGCSCKNTWGSYECSCGDEKMLYMREHDTCISKEGTTATVGWSFLWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGANQQHHVTHADDI >PAN47139 pep chromosome:PHallii_v3.1:9:15875855:15880624:-1 gene:PAHAL_9G236400 transcript:PAN47139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGEPSASASDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPETMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRQNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKEVDLNALAKYTQGFSGADITEICQRAVKYAIRENIEKDIERERRRKDNPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSEQSATAATTAAADPFASTGAADDDDLYS >PVH32802 pep chromosome:PHallii_v3.1:9:63984567:63995065:1 gene:PAHAL_9G492000 transcript:PVH32802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEDPLIVKVDHLKEMPYDKDDDVIKATSFEVISTLRDVLKTNSLWKDQVQTYTQHMGDFNYPRLADFGAAISGANKLLCQEVLEELDICKRLKLTLELVKRELEISKLQESIAKTIEEKVTGEQRRYLLNELLKAIKKELGLETDDKTALSEKFRERIEAKKDKCPPHVLQVIEEELTKLQLLEASSSEFSVTRNYLDWLTLLPWGDYSDENFDVYHAQFILDEDHYGLANVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIISIAGYITDEKMHIARDYLEKNTREVSGIKPEQVEVTDDALLALIENYCQEAGVRNLQKHIEKIYRKIALKLVRQGVSNGPPRDITIVEAKEDLASFDVAIKVEDEKSKDSLAKDASVDVNPIDSSLENINAIPLTTESEIGHNEHSNEDPIEKNLQETTKLFNTSSAQEANKSAQRTTEALADKSVEKIIVNASNLGDFVGKPVFQTERIYDQTPVGVVMGLAWNSMGGSTLYIETAKVEESEGKGALVVTGQLGDVMKESAQIAHTVCRAVLLEKEPNNPFFAKLKLHLHVPAGAIPKDGPSAGCTMVTSMLSLAMGKSVKKDLAMTGEVTLTGRVLPIGGVKEKTIAARRSGVKTIIFPSANRRDFDELASNVKEGLEVHFVDTYNEIYDIAFASDAETQES >PVH32803 pep chromosome:PHallii_v3.1:9:63984567:63995065:1 gene:PAHAL_9G492000 transcript:PVH32803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEDPLIVKVDHLKEMPYDKDDDVIKATSFEVISTLRDVLKTNSLWKDQVQTYTQHMGDFNYPRLADFGAAISGANKLLCQEVLEELDICKRLKLTLELVKRELEISKLQESIAKTIEEKVTGEQRRYLLNELLKAIKKELGLETDDKTALSEKFRERIEAKKDKCPPHVLQVIEEELTKLQLLEASSSEFSVTRNYLDWLTLLPWGDYSDENFDVYHAQFILDEDHYGLANVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIISIAGYITDEKMHIARDYLEKNTREVSGIKPEQVEVTDDALLALIENYCQEAGVRNLQKHIEKIYRKIALKLVRQGVSNGPPRDITIVEAKEDLASFDVAIKVEDEKSKDSLAKDASVDVNPIDSSLENINAIPLTTESEIGHNEHSNEDPIEKNLQETTKLFNTSSAQEANKSAQRTTEALADKSVEKIIVNASNLGDFVGKPVFQTERIYDQTPVGVVMGLAWNSMGGSTLYIETAKVEESEGKGALVVTGQLGDVMKESAQIAHTVCRAVLLEKEPNNPFFAKLKLHLHVPAGAIPKDGPSAGCTMVTSMLSLAMGKSVKKDLAMTGEVTLTGRVLPIGGVKEKTIAARRSGVKTIIFPSANRRDFDELASNVKEGLEVHFVDTYNEIYDIAFASDAETQES >PVH32801 pep chromosome:PHallii_v3.1:9:63984567:63995065:1 gene:PAHAL_9G492000 transcript:PVH32801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEDPLIVKVDHLKEMPYDKDDDVIKATSFEVISTLRDVLKTNSLWKDQVQTYTQHMGDFNYPRLADFGAAISGANKLLCQEVLEELDICKRLKLTLELVKRELEISKLQESIAKTIEEKVTGEQRRYLLNELLKAIKKELGLETDDKTALSEKFRERIEAKKDKCPPHVLQVIEEELTKLQLLEASSSEFSVTRNYLDWLTLLPWGDYSDENFDVYHAQFILDEDHYGLANVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIISIAGYITDEKMHIARDYLEKNTREVSGIKPEQVEVTDDALLALIENYCQEAGVRNLQKHIEKIYRKIALKLVRQGVSNGPPRDITIVEAKEDLASFDVAIKVEDEKSKDSLAKDASVDVNPIDSSLENINAIPLTTESEIGHNEHSNEDPIEKNLQETTKLFNTSSAQEANKSAQRTTEALADKSVEKIIVNASNLGDFVGKPVFQTERIYDQTPVGVVMGLAWNSMGGSTLYIETAKVEESEGKGALVVTGQLGDVMKESAQIAHTVCRAVLLEKEPNNPFFAKLKLHLHVPAGAIPKDGPSAGCTMVTSMLSLAMGKSVKKDLAMTGEVTLTGRVLPIGGVKEKTIAARRSGVKTIIFPSANRRDFDELASNVKEAEIA >PAN45074 pep chromosome:PHallii_v3.1:9:5211899:5229195:1 gene:PAHAL_9G091200 transcript:PAN45074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSTLLSKVVFAAGQQQEQQPPPPPPPPPGSPLHRQQADQDLGTPRLSSASTGGDEGGFDAAAGSSPSAAASLARGKNELESDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKQHSSVAQLLAKLVEAHVFSFVIGRAFVTDVEKLRIHSKGRALRVADVIGFFSEITELGICPGSNLLYAVEVLVTETNDKQPLLDSGILCCLIYILNSLLSPNEYSPSISPVHQAGSKIEKSKNLDPTQSRRLEIEGSVIHIMKALASHQSAAPSLIEDDALQVLFHMVANGSLTVFSQFRDGIVPLHTIQLHRHAMQVLGLLLANDNGTSAKYIRKHQLIKVLLMAVKDFNPHSGDAAYTMGIVDLLLECVELSYRPESGSIRLREDIHNAHGYQFLVQFALTLCSLHKNQTLQSSSKLVTGEHGFDPSHRLEQDVFSCDLSPQLSRLLDVLVNLSQIGPSENGGGKSLKSSHTKGTGHNRSRTPSADKFDEVMEVSSPKVKDLDAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGSFPAALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTVLSFFVKLLSFDQQYKKVLREVGVLGVLLDDLKQNKLFFGDEQHNKAYDSTERMSNASNFQKTVNNKDAILSPKLMASSSAKFPMFEDEGTITVAWDCLFYLLKRAEPNQQSFRSSNGVNIILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSSGSQFKLDNDAKCDAFGALWRILGVNSSAQRIFGEATGFSLLLTTLHSFQNDGESEETESSLHTHMKIFGFLLRAMTAAVCNNSVNRIKLHTTLSSNTFYDLLSESGLLCVDCEKQVILLLLELALEIVLPPTSNLQVECISSETSEDESSFLSAASFGLSRLDKERVYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETISPFLEGSSPILNHALRIVELLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMDKLIQMEDVRKGNVSLAPFIEMDMSKAGHASIQVSLGERTWPPVSGYSFVCWFQFQNFFKCQPKEAEKASKGAYGKRSGHVLRIFSVGAVDDANTLYAELYLNDNGVFTISTGNSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQASVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTSTIRGKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVMAILDSLEVEVPASSSSQRVDSSMKQGNSRLESSGIVWDMERLRNLSLQLSGRKLIFAFDGTSSDAFRASGTFSLLNLVDPTSAAASPIGGIPRYGRLSGDVYICNQCTIGDTVQTVGGMPVVLALVEAAESRDMLHMALELLALSLQQSHQNVKNMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSKINRTASYASGMSPDASLDDLTLPKFGDDVSSGGSHGDLDDFSVQKDSFSRLSELENAEIAGETSEFIVLSNADMVEHVLLDWTIWVAAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEELMEQWHKVVSSRLVTYFLDEAVHPTSMRWITTLLGVCLMSSTTFALKFRASGGFQGLNHVLPSFHDSPEIYYILFCLVFGKPVYPRVPEVRMLDFHALMPSDGNYGELKFVDLLDTIIAMAKATFDSLIMKSVLAHENNNFSHLNGTLVADLDEATSDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLAKMCPPFSAVCRRHDFLENCIDLYFSCVRSDCALRMAKDLTTAATDEKNVHDDDNGSSKDTFPSLPQDQEQSAKTISVTSFPQEQKSSSSESTSMRNSFETAEVKADDSSNQKLSTKILNGEENQMFNNTHDQGRITAPSSNGIAESHQVTDSPNSVSMNNVGSPVLSEKSTHRAASTPSASPMAPFTSWPGSVGSYSDGRHLTASPSMASSISGIDLDSSPDPKSNIQSSPAVNTLFPISSKLLLDIDDLGYGGGPCSAGATAVLDFVAQILADIISDQFKAALFIETFLESVPLFVDIDSGLVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSVNLDPLCWMIVDRVYMGCFPTPLRVLQTLEFLMSMLQLANKDGRIEDAVPPGKGILSIARGSRQLDPYIHAILKNTNRMIMYCFLPTFLKSMGEDDLLANLAFLTETGRSLASKPNQEDFSVDICTVLQLLIANKRLVICPSNVDTDLMCCFCINLMALLHDKRSTAQNLAVDLLKYLVVHRRQSLEDLLVCKPNQGQQLDVLHGGLDKLLTGSTSMFFEWLQGSQQTISKVLDQCALIMWVQYIAGSAKFPGIRIKGMEVRRKKEMGRKSRESAKLDVRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQELVHERGIFPMRQSSTEPAWQLCAVEGPYRMRKKLEHSKFKIDTIQNVLTSNLGFDDAKMTSREDADLLMTSGSDTMSGLNLLPYDTEQKDLDAAEFASFKDDDDIFKGGSTISAPIGWTDDKSSINEQSLHSATEFGAKSSSLSFHMTESHHGKSELSSPRRAPSVKGTDARTSDDKSEKELLDNGEYLIRPYLEPYEKIRHKYNCERVAGLDKHDGIFLIGELCLYIIENFYIDDSNCICEKGSEDELSVIDQALGVNKDIMGSSESHLKSPSMWGATANVLLGGRAWAYNGGAWGKENLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKNLIAMNLPRNSMLDTTILASSKQDSGEGSRLFKVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEEGEEEFRKRYDSWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQKLQGGQFDHADRLFNSVKDTWVSAAGKSNTSDVKELIPEFYYLPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSVREFVRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDAVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVPPHPLRYSSYLTQQEIRKTASSVSQIVSYNDKILIAAANSLLKPVTYSEYISWGFPDRSLRILTYDQDRLLSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFVKDGIRRLLRMEKALCAHTGKITCVYVSQPYSLIVSGSDDCSVILWDLTSLFFVKQLPKFPASVSALHVNNLTGEILTGAGVLFAVWSINGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCSSDEAVNSKSKSPAVTSGGLSLNGQTPEYRLLLQKVLKSHKHPVTALCIPPDLKQLLSGDAGGHLLSWSLKDDSFKGS >PAN49204 pep chromosome:PHallii_v3.1:9:59998880:60003743:-1 gene:PAHAL_9G433600 transcript:PAN49204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKRTMLSECKKKGKQNGQDLEEFSIETLTEEATLEANMVLCCSCKVGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMVGQPVSPHSAYLPPHNGHPSFSPAPPHPAHMQYPGFPQALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49207 pep chromosome:PHallii_v3.1:9:59998880:60003743:-1 gene:PAHAL_9G433600 transcript:PAN49207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKRTMLSECKKKGKQNGQDLEEFSIETLTEEATLEANMVLCCSCKVGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49201 pep chromosome:PHallii_v3.1:9:59998880:60004279:-1 gene:PAHAL_9G433600 transcript:PAN49201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGGHSGIGDSFGNGTKRHDSNKENNAFRMQKERQTEWARPGRVFNRNINRGGYSRSQYGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMVGQPVSPHSAYLPPHNGHPSFSPAPPHPAHMQYPGFPQALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49200 pep chromosome:PHallii_v3.1:9:59998880:60004279:-1 gene:PAHAL_9G433600 transcript:PAN49200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGGHSGIGDSFGNGTKRHDSNKENNAFRMQKERQTEWARPGRVFNRNINRGGYSRSQYGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMVGQPVSPHSAYLPPHNGHPSFSPAPPHPAHMQYPGFPQALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49196 pep chromosome:PHallii_v3.1:9:59998557:60004477:-1 gene:PAHAL_9G433600 transcript:PAN49196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGGHSGIDSFGNGTKRHDSNKENNAFRMQKERQTEWARPGRVFNRNINRGGYSRSQYGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PVH32586 pep chromosome:PHallii_v3.1:9:59998556:60004477:-1 gene:PAHAL_9G433600 transcript:PVH32586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKRTMLSECKKKGKQNGQDLEEFSIETLTEEATLEANMDSHRNFVLSKTTEVNRKRLVRLCQKHLTTGILAMNIVFQMLEIKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49194 pep chromosome:PHallii_v3.1:9:59998557:60004477:-1 gene:PAHAL_9G433600 transcript:PAN49194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGGHSGIGDSFGNGTKRHDSNKENNAFRMQKERQTEWARPGRVFNRNINRGGYSRSQYGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49199 pep chromosome:PHallii_v3.1:9:59998880:60004279:-1 gene:PAHAL_9G433600 transcript:PAN49199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGGHSGIDSFGNGTKRHDSNKENNAFRMQKERQTEWARPGRVFNRNINRGGYSRSQYGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMVGQPVSPHSAYLPPHNGHPSFSPAPPHPAHMQYPGFPQALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49206 pep chromosome:PHallii_v3.1:9:59998557:60004477:-1 gene:PAHAL_9G433600 transcript:PAN49206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKRTMLSECKKKGKQNGQDLEEFSIETLTEEATLEANMVLCCSCKVGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PVH32585 pep chromosome:PHallii_v3.1:9:59998880:60003743:-1 gene:PAHAL_9G433600 transcript:PVH32585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKRTMLSECKKKGKQNGQDLEEFSIETLTEEATLEANMDSHRNFVLSKTTEVNRKRLVRLCQKHLTTGILAMNIVFQMLEIKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMVGQPVSPHSAYLPPHNGHPSFSPAPPHPAHMQYPGFPQALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49195 pep chromosome:PHallii_v3.1:9:59998557:60004477:-1 gene:PAHAL_9G433600 transcript:PAN49195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGGHSGIGDSFGNGTKRHDSNKENNAFRMQKERQTEWARPGRVFNRNINRGGYSRSQYGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PVH32587 pep chromosome:PHallii_v3.1:9:59998880:60003743:-1 gene:PAHAL_9G433600 transcript:PVH32587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKRTMLSECKKKGKQNGQDLEEFSIETLTEEATLEANMDSHRNFVLSKTTEVNRKRLVRLCQKHLTTGILAMNIVFQMLEIKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMVGQPVSPHSAYLPPHNGHPSFSPAPPHPAHMQYPGFPQALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PVH32584 pep chromosome:PHallii_v3.1:9:59998556:60004477:-1 gene:PAHAL_9G433600 transcript:PVH32584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKRTMLSECKKKGKQNGQDLEEFSIETLTEEATLEANMDSHRNFVLSKTTEVNRKRLVRLCQKHLTTGILAMNIVFQMLEIKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN49198 pep chromosome:PHallii_v3.1:9:59998880:60003743:-1 gene:PAHAL_9G433600 transcript:PAN49198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKRTMLSECKKKGKQNGQDLEEFSIETLTEEATLEANMVLCCSCKVGFTQEFRVVKDNRSKQKEAGETLPKASHNRNSSNEHSVPNVGDKSSTLKLPAQHRLVTPNVNGHEAAQADNGIKSAAQSHDKEVKPSSVRKLEQSEGMQATLVGSNAVLGKGNQSRVAIVSSGNTNFGGELCCSSSDPIHVPSPGLKSAGTFGAIKREVGVVGARQWPSDSAATNTSTSNSLARVTLAPKDNPLNEQQSGLPTASLRNGRSNLPVLLSGRASHHVSHTKVSPHLEWKPKSVSPRCVNHEVSVMTPAVDASQAEVAGLSKKLSQANVSQDEHVIIPEHIRVPDSERTHLIFGTFESEIDPKASVAASHTIVTKEDLNDHSPSSLTALNSIISTDVAHDDKTDHVGSCSPLQQPESVVSVYELQQSLHESVEDPSHGVVGEYGTSEMISSKVTHSQPQLQHQDNPAIQNFKAYEPDSRFVMPLITKIVDGQTAQSTAYPSEAMGLHPANAYQLPASGAAQHPVPQMYPEQFQVPQYPNFLPYRHVYSAQYGSPMVVPNYSNIPAYPQFPHANSYLVMPNGASQLAANGMKYGSPHQYNQVFQGTPAGYGGYANHNGYPVSNGVIGSTGAIEDANMNKYKDNSIYAPNPQAETADLWVQGQREIPNMPSAPFYNMVGQPVSPHSAYLPPHNGHPSFSPAPPHPAHMQYPGFPQALHPTSMTMVQNRQAMVHQPGAPPLAGNLGLDMAAMVPGSQVGAFQQNQLSHLGWAPQSF >PAN46619 pep chromosome:PHallii_v3.1:9:12694575:12697873:1 gene:PAHAL_9G199000 transcript:PAN46619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCLASPAGAALCRPRRPRCRVACSAADAGEKSTEPAWFSGGAKNAGRLACGVLAAWAMASASNPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLTGAIFKNTVLSGSTFNGAKMEDVVFEDTIIGYIDLQKLCTNTSISADSRLELGCR >PAN47282 pep chromosome:PHallii_v3.1:9:17281473:17284134:1 gene:PAHAL_9G249100 transcript:PAN47282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRLFDRQLLRISPAERLPSAGAAEKDEAEPSSVCLDGMVRSFLEDGVGAGAEKPGHGGRYCNCFHGGDNSDDEEDEEAAAAASDVAETIKGLVHCATLRERNLLADVCAHLERHRTAGARRRDLLRLVAASLRAAGHDAAVCVSRWDKSPSHPAGEHAYIDVLLPAASDRGARERVLVDVDFRSAFEVARPTKAYRSLLQRLPAVFVGKDDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYEREREAPPAEEAASAAAASEVGVEGTAAP >PAN47191 pep chromosome:PHallii_v3.1:9:16256987:16258110:-1 gene:PAHAL_9G241100 transcript:PAN47191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLMAVDDSDFSWHALAWVLDHLFFPSPAADQPAAAEHRPELVLVHALEPLRHVMYPVGPGSAVYGAPSMMESVRAAQAENARSLLDRANRICHQRGVSAEAVVVEGEPREALCRAAADMGAGLLVVGSRGLGAIKRAFLGSVSDYCAHHVSCPIMVVKPPRDDDSARRTAS >PAN48806 pep chromosome:PHallii_v3.1:9:57578421:57579729:-1 gene:PAHAL_9G407000 transcript:PAN48806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNDELKLVGQWASAFVTRVKLALHLKGLSYESIEEDLRNKSELLLSSNPVHKAVPVLIHNGKPICESQIIVQYIDETFVGTGPSSLLPVDPYERAVARFWAAYIDEKLVAPWDRVFRVKTDEERDEAMKQMVAAVEVLEGGLEECSKGKRFFGGDNVGYVDVVLGGAVSYAKANDALFGAKLFDAAKTPLLAAWMERFSELDAAKAVLQDVDRVVENAKFLIAKNAARASNN >PAN44667 pep chromosome:PHallii_v3.1:9:3767312:3773180:-1 gene:PAHAL_9G065500 transcript:PAN44667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGGGGGSSKVRPRSFDEKTRSACWRKAAVVAGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWVDEAEMRGFSCDIKFTVRTCTGR >PAN44728 pep chromosome:PHallii_v3.1:9:3769366:3773180:-1 gene:PAHAL_9G065500 transcript:PAN44728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGGGGGSSKVRPRSFDEKTRSACWRKAAVVAGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWVDEAEMRGFSCDIKFTDKELDIIEMAVYGDVIRPGKQCQCRTVAEMLGQVKSKNRMAACELPDKNTA >PAN47441 pep chromosome:PHallii_v3.1:9:30837257:30843817:-1 gene:PAHAL_9G291200 transcript:PAN47441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MVCVGSKAISPKGSRQNGFIDGGSDDSSPKNRGRKDKGEKPRKGGHGSSKGPFPGKPQHGKVKKQRRSDDGKKGKGRGKDHSGSSVVMNPGDLKNQDALPSSNTSKPVQNILRKRVDPETAKYFMEISNLFDNKEIDLDERSTICANALEETRGKELELATDAVISHTLQLLVEGCELEQLCTFLRNCIGSFLVIAMDKNGSHVAEAALKSLATHLEDETSRIMIEEILNKICKVIAADAANVMSSCYGSHVLRTLLCLCKGVPLESLQDFHTTKRSAVLAERLSCGTNQSGGHGPKNFENGFSDMFKSFVREMLHNAKADIANLRIDKNSSLVLQTALKLSSGDDNELYHIISILLGYDEDDTVEKRDYSERKEEIVALLEESAYSHLLEVIVEVAPEELRNGMLVGTLRGALFAISSHHCGNYVVQALISSAKTSDQMNQIWEELGPKIKELLELGKTGVVASILAACQRLETYRLESSQALSAALSSDSESPDSIVAHVLFLENYLRERSYWKWPLGAKMSVLGCLMLQSIFQYPHQYIRPYVASLLAMEDDQVLQISKDSGGSRVLEAFLGSSATAKRKFKVFGKLQSHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVAELLAVQSELSKTRHGFHLLKKLDVDRYARRPEQWRASQTSKETTHRQFEVEFGSNSKSVAQNFKEKFPSQSPVKKRKQKSDKITEDASNNKPDFSQNGNSKKPKSAKATSEKEFLKDSGKRKSPGFLSDKPSLKKQKHHRPTSGKPDAKRFVQGSSASMPFVKNTGKPKQSIADLADLAGKEQLSASEVRRLLKPEMSGKS >PAN44709 pep chromosome:PHallii_v3.1:9:3645115:3646051:1 gene:PAHAL_9G063400 transcript:PAN44709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKLLYVLLAILCAGPGHALRHLNDVDGGREFSFGSKAAAAGAETEPLDPSLSNDYENEISHVEFEPELGSTAPFAAAAAPVPAATTTVTVAAAAGPAAEPLRVRKAAATAAAEAAGARSMKWWLPPSTMPSFPLFPNPGGMPGLPGLPMPGMPFHPIGGWGVPAPPDQSPPTPPAAATTNANDPHANGGIN >PAN50525 pep chromosome:PHallii_v3.1:9:66264308:66266651:-1 gene:PAHAL_9G525300 transcript:PAN50525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFVKTLTGKTAVLEVESGDTVAAVKAEIQDKEGVAADEPRLIFAGTQLEDGRTMADYGIQKESTLHMELGLLGGYCWIEPNLRALVYKHTINKMICRKCYATLPPGSRNCRKKKCGHSNQLRRRKLGFFKYSFRSCT >PAN44099 pep chromosome:PHallii_v3.1:9:1013273:1019412:1 gene:PAHAL_9G017300 transcript:PAN44099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASAVSAMLSRRRCFAAAANRIRPLARAFCDAPASRADAAPGGVPGSQDRSQEVGGVKAAPDVLDVAVVGGGMVGLAVACALSNMPLTKNLRVAIIDSNPALKSRNYLTKSSIPDSRVSTVTPATISFFKDIGVWEHVQQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLRLQEELDDIENVIYPTRLVSLTFPSKSKQAGMKPTSSEPLSAGHSTEELHRSNLVKLDLSDGRSLYSKLVIGADGSKSNVRQIAGIKTTGWNYPQSAIICTVEHVMENDCAWQRFLPSGPIALLPIGDNFSNIVWTMSPEESLRHKSMSPEEFVMSVNRALDFGYGPHPRPNALDHFMEKFFSGTGNTAASTKESFEVPPKATGVVSERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAMTAVLDGFQKIYAVDFGPLNVARAAAFHGAQYISPLKRNIISYAMGDTKWPIFS >PAN44100 pep chromosome:PHallii_v3.1:9:1014445:1019235:1 gene:PAHAL_9G017300 transcript:PAN44100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLARMRPLAGCPGRRIAPRRLVASRLHLMCSTLLSLVEAWWAWLLLVHCVANMPLTKNLRVAIIDSNPALKSRNYLTKSSIPDSRVSTVTPATISFFKDIGVWEHVQQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLRLQEELDDIENVIYPTRLVSLTFPSKSKQAGMKPTSSEPLSAGHSTEELHRSNLVKLDLSDGRSLYSKLVIGADGSKSNVRQIAGIKTTGWNYPQSAIICTVEHVMENDCAWQRFLPSGPIALLPIGDNFSNIVWTMSPEESLRHKSMSPEEFVMSVNRALDFGYGPHPRPNALDHFMEKFFSGTGNTAASTKESFEVPPKATGVVSERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAMTAVLDGFQKIYAVDFGPLNVARAAAFHGAQYISPLKRNIISYAMGDTKWPIFS >PVH31195 pep chromosome:PHallii_v3.1:9:4607943:4611155:-1 gene:PAHAL_9G079700 transcript:PVH31195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEEEIVAEAGGRGYMDLLGLGEEDYLLCLSPSSYFSSSVVSTTTTSATPAAASSPTCASYLDLAPAYHHMLSFAGQEQYHGSDGVFGFQYHGGDQAGIPVAVPQKSSPTTECSSSISSMSSSPPATTISAISSPKPQAFKKKGSRISDQRKAAPAAVATTAAATNKRPRVRREKLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQAQEGAAGTVVEPPRASDLRSRGLCLVPISCTEHVAGGGHGHGNGADLWSVAAGAAKAAAENKGAAAAAAGTLPGAGGHPGYLA >PVH31196 pep chromosome:PHallii_v3.1:9:4609250:4610811:-1 gene:PAHAL_9G079700 transcript:PVH31196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEEEIVAEAGGRGYMDLLGLGEEDYLLCLSPSSYFSSSVVSTTTTSATPAAASSPTCASYLDLAPAYHHMLSFAGQEQYHGSDGVFGFQYHGGDQAGIPVAVPQKSSPTTECSSSISSMSSSPPATTISAISSPKPQAFKKKGSRISDQRKAAPAAVATTAAATNKRPRVRREKLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVRCLLPSLYGLCA >PAN44912 pep chromosome:PHallii_v3.1:9:4608600:4610811:-1 gene:PAHAL_9G079700 transcript:PAN44912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEEEIVAEAGGRGYMDLLGLGEEDYLLCLSPSSYFSSSVVSTTTTSATPAAASSPTCASYLDLAPAYHHMLSFAGQEQYHGSDGVFGFQYHGGDQAGIPVAVPQKSSPTTECSSSISSMSSSPPATTISAISSPKPQAFKKKGSRISDQRKAAPAAVATTAAATNKRPRVRREKLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQALSSPYMQRLPVSAHAPAQEGAAGTVVEPPRASDLRSRGLCLVPISCTEHVAGGGHGHGNGADLWSVAAGAAKAAAENKGAAAAAAGTLPGAGGHPGYLA >PAN50444 pep chromosome:PHallii_v3.1:9:65943932:65945224:1 gene:PAHAL_9G520600 transcript:PAN50444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNRSDAHLSPADEAAREAEVREYFDDAAPKRHTKPSRSEHSAVYADAIVPDSSHPELDKFQELEAHTERLVYEGGKVGEEFVETEYYKDLGGVGKQHHTTGTGFIRMDRDKGASFKLSEDPDAAERHDSCKGNPATNEWIPSADSVYPASDKPSRSDS >PAN48935 pep chromosome:PHallii_v3.1:9:58208586:58210732:-1 gene:PAHAL_9G413600 transcript:PAN48935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPKEATNTAASGGLPGGVAARKKSNVRFAFASAILASMTSILLGYDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFFVGAFMMGFSVNYPMLMAGRFIAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSHLSLKLGWRLMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADARVVLDKTSDTPEEAALRLAEIKEAAGIPADLDGDVVTVPKRAGGEKLVWKELILSPTPGVRRVLLSALGIHFFQQSSGIDSVVLYSPRVFQSAGITDKNKLLGTTCAVGVTKTLFILVATFTLDHFGRRPLLLTSTGGMVVSLVGLGIGLTVIGHHPEGTTIPWAIGVCIASILGVVAFFSIGLGPITWVYSSEIFPLHLRALGCALGVGLNRVTSGVISMTFLSLSKGITIGGSFFLYAGIASLAWIFFFTYLPETRGRTLEQMGELFGIPNMTGDSDSYQQQQSPEKEKNNVEMSSTATSDVRNE >PAN51343 pep chromosome:PHallii_v3.1:9:70239762:70244099:-1 gene:PAHAL_9G587000 transcript:PAN51343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MAAGTVFPVLRSSEYFTRPSINDLVEREVADPGYCSRVPNFIIGRAGYGQVRFLGNTDVRGIDLNDIVRFDKHSVVVYEDETGKPPVGHGLNKAAEVSLLLNLKDLQEPSILVEVLKCRARKQGARFLSFNPVNGKWKFEVDHFSRFGLVDEEEDVVMDEAAVRQPIAELRERDPPSNGYEIELSHSLPAHLGLDPAKMQEMRMAMFYNEEDDEYMEDGFPSDERYLSRERMNVDSPTSSGKGPRLRSVSPLHMSSQKAGRRSGMPSRKEPHALLEYSMNSSELGPSTQGMLMSGQNKGFPVKMTKVEGFKLPAEQETPVAGKMYANCVVDAALFMGRSFRVGWGPNGMLVHSGSLVNSPGTGLSSVIHIEKVASDKVVRDDKNKIKEDLAELVFSDPMDLHKSLDHEFLETESDSFRLKLQKVVANRLVLPDICRSYIDIIERQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERANGNQLEPIGDDDQEGMVLDKKEGSVNIDLDALPLVRRADFSNWLQDSVCHRVQGEVSSLSDARYLEHILLLLTGRQLDPATEVAASRGDVRLAILLCQAGGSMSNRSDLSQQLDLWKMNGLDFNYIEEDRLKVYELLAGNIQAAFLDSSIDWKRYLGLIMWYQLPPDTSLDTIIRFYDHLLSKAKVPYPVPVYIDEGPLDEALKWSPGDRFDISFYLMLLHASQDEKFGLLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFNSNDLHLLDLSFVYQLLCLGKCHWAIYVILHMPYLDDAPYIHEKLIREVLSQYCELWSKDDAQRQYIVELGIPEEWMYEALALYHEYYGDQQGALENFIRCGNWKKAHTIFMTSVAHTMFLSSNHQEIWEITSALENHKSEIADWDLGAGIYIDFYILKNSMQEESAMDDSDPLSKKNESCKSFFGRLNDSLLVWGSKLPVESRACYSKMADELCELFMKAPGVAMNLHMGCFQTMLSAPVPDDRKSSYMQEAVSLFTEILCSDS >PAN51745 pep chromosome:PHallii_v3.1:9:70239763:70244862:-1 gene:PAHAL_9G587000 transcript:PAN51745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MAAGTVFPVLRSSEYFTRPSINDLVEREVADPGYCSRVPNFIIGRAGYGQVRFLGNTDVRGIDLNDIVRFDKHSVVVYEDETGKPPVGHGLNKAAEVSLLLNLKDLQEPSILVEVLKCRARKQGARFLSFNPVNGKWKFEVDHFSRFGLVDEEEDVVMDEAAVRQPIAELRERDPPSNGYEIELSHSLPAHLGLDPAKMQEMRMAMFYNEEDDEYMEDGFPSDERYLSRERMNVDSPTSSGKGPRLRSVSPLHMSSQKAGRRSGMPSRKEPHALLEYSMNSSELGPSTQGMLMSGQNKGFPVKMTKVEGFKLPAEQETPVAGKMYANCVVDAALFMGRSFRVGWGPNGMLVHSGSLVNSPGTGLSSVIHIEKVASDKVVRDDKNKIKEDLAELVFSDPMDLHKSLDHEFLETESDSFRLKLQKVVANRLVLPDICRSYIDIIERQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERANGNQLEPIGDDDQEGMVLDKKEGSVNIDLDALPLVRRADFSNWLQDSVCHRVQGEVSSLSDARYLEHILLLLTGRQLDPATEVAASRGDVRLAILLCQAGGSMSNRSDLSQQLDLWKMNGLDFNYIEEDRLKVYELLAGNIQAAFLDSSIDWKRYLGLIMWYQLPPDTSLDTIIRFYDHLLSKAKVPYPVPVYIDEGPLDEALKWSPGDRFDISFYLMLLHASQDEKFGLLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFNSNDLHLLDLSFVYQLLCLGKCHWAIYVILHMPYLDDAPYIHEKLIREVLSQYCELWSKDDAQRQYIVELGIPEEWMYEALALYHEYYGDQQGALENFIRCGNWKKAHTIFMTSVAHTMFLSSNHQEIWEITSALENHKSEIADWDLGAGIYIDFYILKNSMQEESAMDDSDPLSKKNESCKSFFGRLNDSLLVWGSKLPVESRACYSKMADELCELFMKAPGVAMNLHMGCFQTMLSAPVPDDRKSSYMQEAVSLFTEILCSDS >PAN51160 pep chromosome:PHallii_v3.1:9:69483782:69484836:1 gene:PAHAL_9G574900 transcript:PAN51160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFAVVAAIVALLAVTAAAQAPAATPTPAPRMAPLPPPPARSPATAPAPAAKPPTASAPSPLASPPAPPAEGPAATAPSAMTPTTSVSAPAGAPTATPTGNGAATSAVSFVAVAAAVAAAVVF >PAN44641 pep chromosome:PHallii_v3.1:9:3335068:3336230:-1 gene:PAHAL_9G058500 transcript:PAN44641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTVAMPSSEASSSPSKRGLRGPRPQPLKVTASSSSKPASSSKKPVIVYEHTPKVVHARPQEFMTVVQRLTGKPAPATSSSLPPPYVPGFSPPPAAEEGGDPLLLTLGQREAAPAPAPTLPSPMAAELLLSPGFIFSPNTMQAIQELSPLF >PAN44333 pep chromosome:PHallii_v3.1:9:1965951:1968241:-1 gene:PAHAL_9G035500 transcript:PAN44333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGRSSERQKQGACTFSPSASSKYSPQQMVTPAKPPKSADSRSSPYSAESVGSDSGAAPFDICVSGSKGPIKLNPSLLDINRAKRRDREFSKDVAPFQYLRPGMVLLKRFIKPTDQVKIVKVCQQLGVGSGGFYRPGYRDGAMLRLWMMCLGKNWDPDSRSYGDTRPFDGAQPPTIPEEFRKYVQDAIQASHELSTQHIGAVNAVEELPLMSPDICLVNFYNSSGKLGLHQDKDESRSSLDKGLPVVSFSLGDTAEFLYGDVRDEGKVSRVDLESGDVLIFGGKSRLIFHGVSNMKPKTAPKWLMDETNLRPGRLNLTFRQY >PAN44334 pep chromosome:PHallii_v3.1:9:1965951:1968554:-1 gene:PAHAL_9G035500 transcript:PAN44334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEKTPASSAQPRKAPTPHASVYGRSSERQKQGACTFSPSASSKYSPQQMVTPAKPPKSADSRSSPYSAESVGSDSGAAPFDICVSGSKGPIKLNPSLLDINRAKRRDREFSKDVAPFQYLRPGMVLLKRFIKPTDQVKIVKVCQQLGVGSGGFYRPGYRDGAMLRLWMMCLGKNWDPDSRSYGDTRPFDGAQPPTIPEEFRKYVQDAIQASHELSTQHIGAVNAVEELPLMSPDICLVNFYNSSGKLGLHQDKDESRSSLDKGLPVVSFSLGDTAEFLYGDVRDEGKVSRVDLESGDVLIFGGKSRLIFHGVSNMKPKTAPKWLMDETNLRPGRLNLTFRQY >PAN45861 pep chromosome:PHallii_v3.1:9:9061044:9066633:1 gene:PAHAL_9G147800 transcript:PAN45861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYDEASSSSSAATSAASSADTAGAPASPSQSSSRRAAPTLLLLASLAALIILSSGDDGTAHPLKDVSLENPEVSFVPSPIGGQFCERVRLSGVPRLHLESYASQIRVKMNVSQSIPEKFHWKIEVCFHRNASMGLCQCEAGEWQGFQDGLWTAVNSPYGNKYIDVKLADKKPARFTLSIQEEFQKWRLACLGIGFLLLFLSPTVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGKKSLFYLTIYGSVIGVGSYVIHYFSTLVSSILENLGLSEEMHNPVSLFLMVTIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVATFFVMQSTLDPRLAMVALASCWSVCALLTGKKVQRPTTKKQKQSKFSSQLMLTQGSPSSPQVQFLSPSSKVGFGRTASRSSATQYGWSNLANGGLVSSPLRKQVVPKQDKDEDYYSTFHKIQPRKYSEQEWEDFTQESTRKALAECTATPEFAQWVADNAHRLRVEKDDDHSEETIESSSSSSEETGEEADGAPGLLRLWS >PVH32949 pep chromosome:PHallii_v3.1:9:66622690:66626508:-1 gene:PAHAL_9G531000 transcript:PVH32949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDAATAGTAAVKKLPKEEEEEDDDELDNVPLAVSRAKKAGNASASKVKKEDDDDDEEDNLPISHSRAKKGNEKQKGTVNSNTKTSKVKKQEVESDDDDFMKKNASAGASNAKASKVKKLKDEDLEDLKENKKRKKRVGVKEGAKMSVVKGEKVKKERKVYELPGQKHDPPTERDPLRIFYESLYEQIPTSDMAATWLMEWGLLPLDVARKVFEKKQGQKLKSPVKTTVSKRKPTSPTKTPASSAMKSVSAKNSARKPTSQKKRKASSESDDADDFVMAPKAKTKRQKVSS >PVH32950 pep chromosome:PHallii_v3.1:9:66622691:66626508:-1 gene:PAHAL_9G531000 transcript:PVH32950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDAATAGTAAVKKLPKEEEEEDDDELDNVPLAVSRAKKAGNASASKVKKEDDDDDEEDNLPISHSRAKKGNEKQKGTVNSNTKTSKVKKQEPTSQKKNASAGASNAKASKVKKLKDEDLEDLKENKKRKKRVGVKEGAKMSVVKGEKVKKERKVYELPGQKHDPPTERDPLRIFYESLYEQIPTSDMAATWLMEWGLLPLDVARKVFEKKQGQKLKSPVKTTVSKRKPTSPTKTPASSAMKSVSAKNSARKPTSQKKRKASSESDDADDFVMAPKAKTKRQKVSS >PAN50596 pep chromosome:PHallii_v3.1:9:66623597:66626250:-1 gene:PAHAL_9G531000 transcript:PAN50596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDAATAGTAAVKKLPKEEEEEDDDELDNVPLAVSRAKKAGNASASKVKKEDDDDDEEDNLPISHSRAKKGNEKQKGTVNSNTKTSKVKKQEVESDDDDFMPTSQKKNASAGASNAKASKVKKLKDEDLEDLKENKKRKKRVGVKEGAKMSVVKGEKVKKERKVYELPGQKHDPPTERDPLRIFYESLYEQIPTSDMAATWLMEWGLLPLDVARKVFEKKQGQKLKSPVKTTVSKRKPTSPTKTPASSAMKSVSAKNSARKPTSQKKRKASSESDDADDFVMAPKAKTKRQKVSS >PVH31432 pep chromosome:PHallii_v3.1:9:8919945:8923303:1 gene:PAHAL_9G145200 transcript:PVH31432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVLLYEKCLLSSSYISLLFPFRTASPCDHRHEPHRPRFKQLPLRAPTPAETEARGHGAAQRRDPQNNFKPRVGRRAHFKPGKTPSAPRKRRRWSLSPLTPLHSKADKPKHAPNPIRVSFSPPIPSPWRDLAAAMPLGEGAAGDFALPDEVLAALPRDPYEQLDLARRITAMAVAGRVSGLEREAGRLRAEAAEKDRENAELRERVGLLDTALQETNARLRAALEDNIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSSSPQETVDITTCDQSVASKASSCGDGGSFSHPTPSILSESLDVGSTNREGTATRPPIQKYALSSHITPRLTPEATPKILSTSTSPRRMSTTATPKLMSGATSPTRVRIEGHMSMTPWYPSSKQSSAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDNKDLYLSFQGLLNRSMP >PVH33239 pep chromosome:PHallii_v3.1:9:71281716:71282313:1 gene:PAHAL_9G605200 transcript:PVH33239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPIETPPPDQNRTIAKGYKLPYLISREVKLAVVDDAGGHGRHSSTRGVASRQLHGHKPTPPPYGRPRRRHPLPRHAPE >PAN45373 pep chromosome:PHallii_v3.1:9:6690813:6693165:1 gene:PAHAL_9G114000 transcript:PAN45373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGPIQTKVLIPFALLYFFSQMRTVKVSNLSLNAVKREITEFFSFSGDIEYVEMQSESEWSQLAYVTFKDSQGAETAVLLSGATIVDRAVIITPAENYQLTPEAHKQLSGASPTTESAVRKAEDVVSSMLAKGFVLSKDALNLARSFDERHNILSNATATVASIDRQYGLSEKINLGRTIVGSKVKEVDERYQVSELTKSALAAAEQKASIAGSAILSNQYVSAGASWLTSAFGMVTKAAGDMTSMAKDKVERAEEEREAIMWEERNGLVSEYAKIHLDEPSPWEPAVLPLESVDEQKLQAI >PAN45372 pep chromosome:PHallii_v3.1:9:6688618:6693165:1 gene:PAHAL_9G114000 transcript:PAN45372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRTVKVSNLSLNAVKREITEFFSFSGDIEYVEMQSESEWSQLAYVTFKDSQGAETAVLLSGATIVDRAVIITPAENYQLTPEAHKQLSGASPTTESAVRKAEDVVSSMLAKGFVLSKDALNLARSFDERHNILSNATATVASIDRQYGLSEKINLGRTIVGSKVKEVDERYQVSELTKSALAAAEQKASIAGSAILSNQYVSAGASWLTSAFGMVTKAAGDMTSMAKDKVERAEEEREAIMWEERNGLVSEYAKIHLDEPSPWEPAVLPLESVDEQKLQAI >PVH31376 pep chromosome:PHallii_v3.1:9:7660335:7660750:1 gene:PAHAL_9G128300 transcript:PVH31376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSRFLRF >PVH31369 pep chromosome:PHallii_v3.1:9:7660156:7662217:1 gene:PAHAL_9G128300 transcript:PVH31369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSRFLRF >PVH31370 pep chromosome:PHallii_v3.1:9:7660335:7660750:1 gene:PAHAL_9G128300 transcript:PVH31370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSRFLRF >PVH31373 pep chromosome:PHallii_v3.1:9:7660156:7662217:1 gene:PAHAL_9G128300 transcript:PVH31373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSSCTELTLHVSSGPLLWRWWCSWLVPWWCYIALPFL >PVH31371 pep chromosome:PHallii_v3.1:9:7660196:7661651:1 gene:PAHAL_9G128300 transcript:PVH31371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSRFLRF >PVH31368 pep chromosome:PHallii_v3.1:9:7660199:7662183:1 gene:PAHAL_9G128300 transcript:PVH31368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSRFLRF >PVH31372 pep chromosome:PHallii_v3.1:9:7660156:7662218:1 gene:PAHAL_9G128300 transcript:PVH31372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSSCTELTLHVSSGPLLWRWWCSWLVPWWCYIALPFL >PVH31375 pep chromosome:PHallii_v3.1:9:7660210:7662183:1 gene:PAHAL_9G128300 transcript:PVH31375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSRCACDAALTPRSN >PVH31374 pep chromosome:PHallii_v3.1:9:7660210:7662183:1 gene:PAHAL_9G128300 transcript:PVH31374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEALLALQLVPAESGWKSFAWRNLKEEGSVQTAELRRERSAAFSVPAPPPRPQRRFPPSAVLGGAPKWPPQGGGMVPHEGGCGMKRKSAPWSSRFLRF >PVH32838 pep chromosome:PHallii_v3.1:9:64620080:64621348:1 gene:PAHAL_9G501400 transcript:PVH32838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALVQGRRAQCGEVWRSPHLGPAQAGIPRSPAQLKCVTSNPMQLSQSPFPSPASRLPPSRAPAAAGPAPPPAPRRRRRPRAPPAPCALRLRAAGHARRTAPRAGRPAPPARCRPPGPASCTAPACAPHCPRLRAAGPARRTAPAAPPARCRPPGPARRTAAVRLCRRPRAAARRRPRALQGCRPRAPQAPPPAPRASAYARAASREDRTSLPRLLFAVHQKHLYKPRAPPRIRK >PAN44517 pep chromosome:PHallii_v3.1:9:2775110:2776570:-1 gene:PAHAL_9G049600 transcript:PAN44517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVADAPVPLAEAAPDAAAEAPAAAAGDAKPAKAKKAAAPRKRANPTHPPYAEMVSDAITSLKERTGSSQYAIAKFLEDKHKDKLPPNFRKLLLVQLKKLVAAGKLTKVKNSFKLPSGRAPAADKPKPKPKAKPAAAKPKSKPKPAAKKPKAAAKPKAKAPAKAKPAAKPKAAAKPAAKPKAVAAKPKPAAKPAAKPRPAAKPKAKPAAKPKPKAAAAKPKPAAKTKAPATPSRTTRPAKAAKTSAKDTPGKKAAPAAKKPAAAAKKSPAKKPAPAKKAAAPARKVPARKAKK >PAN50619 pep chromosome:PHallii_v3.1:9:66757995:66772641:-1 gene:PAHAL_9G533100 transcript:PAN50619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAQMMTNSLGAKRENAGTKKSPQQIQMLEKFYSDVQYPKPEEMGQYATCVGLTYNQVRIWFKERRRKERREMEAIGSHMERQLSARSSGPRSSSSSSSCDQDPMYGTSCSRPEFGSRSTSIVGEESTVRSQILFPKDYILRKIFRKDGPPLGSEFDPLPQSERDRIRDTTCHHSSQNQRSVKKRKIMESTSQRSDGPYEDTVPVRKHGIGKGLMTVWHAMYSHNVECQSGPNFIDETGCLRSLRPFDDCDGLEDNGKTTQNQGMVQKKVDKRSKPPANRRKVPCKRVTSPKEHPPMDCHLSVDKSEFSELLTEQVTLVDDEELELSELQAGPNPLRCSAHLTSSGRHGCPLCKDLLAKFPPQSVKMKQPFSAKPWDSSPEMVKKLFQVVRFVYTHFGSVDVHPFTFDEFAQAFHDKDSLLLGEVHIGLLKLLLLNAEIGSGGVFAPRSSKDCRFLSFLNFVREQEFDVNFWIRSLNSLTWVEILRQVLVASGFGSKQHMLNRDFFNKEKNQMVKYGLRPRTLKGELFALLSKAGSGGLKVSVLAKSSEIVDLNISVTLELEQLICLTLSSDITLFEKIAPSAYRLRVDPQIKGKEDAKSDSEDSGSVDDDEDASSSDDESSGSQKMKLLEHDNRIAPRKKQKNAIGSPNKCSEIDESYPGERWLLGLMEGEYSDLSIDEKLDCLVALIDIVSGAGSVPRLEEPQRVSHNMSRAQPHQSGGKIKKSTKNLYQSSDESLNGPGNLYSLDCSQQGRSSSRRNQSHIIDSGRNDLSGVAHEPQVVLLGSDRRYNSYWLFLGPCRADDPGHRRVYFESSEDGHWEVVDSPQELLSLLSVLDSRGTREAHLLASMEKRQACLFEAMKKHVEGGNAVRLPASSDSFHSETSIGDGASPKTSSASGASPVSDVENASVPTDLEDSNLDSSSAIVIENGRRRDERIFMWDRSQAFDKWIWTSFYSALTAVKFGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAVHVATCREPEDAHEVPNHKVLPSQLQALKAAIHAIEASIPEVAFTGSWMKSAHKLWVKRLRRTSSLPELLQVLVDFVGAMDEDWLYKSSASVSFSSYLDDIIVYFQSMPQTTSAVALWVVKLDALIAPYLDKAYTSRALAIKEPAQDHRLVQGS >PAN50618 pep chromosome:PHallii_v3.1:9:66757995:66770415:-1 gene:PAHAL_9G533100 transcript:PAN50618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLHIFTDTTCHHSSQNQRSVKKRKIMESTSQRSDGPYEDTVPVRKHGIGKGLMTVWHAMYSHNVECQSGPNFIDETGCLRSLRPFDDCDGLEDNGKTTQNQGMVQKKVDKRSKPPANRRKVPCKRVTSPKEHPPMDCHLSVDKSEFSELLTEQVTLVDDEELELSELQAGPNPLRCSAHLTSSGRHGCPLCKDLLAKFPPQSVKMKQPFSAKPWDSSPEMVKKLFQVVRFVYTHFGSVDVHPFTFDEFAQAFHDKDSLLLGEVHIGLLKLLLLNAEIGSGGVFAPRSSKDCRFLSFLNFVREQEFDVNFWIRSLNSLTWVEILRQVLVASGFGSKQHMLNRDFFNKEKNQMVKYGLRPRTLKGELFALLSKAGSGGLKVSVLAKSSEIVDLNISVTLELEQLICLTLSSDITLFEKIAPSAYRLRVDPQIKGKEDAKSDSEDSGSVDDDEDASSSDDESSGSQKMKLLEHDNRIAPRKKQKNAIGSPNKCSEIDESYPGERWLLGLMEGEYSDLSIDEKLDCLVALIDIVSGAGSVPRLEEPQRVSHNMSRAQPHQSGGKIKKSTKNLYQSSDESLNGPGNLYSLDCSQQGRSSSRRNQSHIIDSGRNDLSGVAHEPQVVLLGSDRRYNSYWLFLGPCRADDPGHRRVYFESSEDGHWEVVDSPQELLSLLSVLDSRGTREAHLLASMEKRQACLFEAMKKHVEGGNAVRLPASSDSFHSETSIGDGASPKTSSASGASPVSDVENASVPTDLEDSNLDSSSAIVIENGRRRDERIFMWDRSQAFDKWIWTSFYSALTAVKFGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAVHVATCREPEDAHEVPNHKVLPSQLQALKAAIHAIEASIPEVAFTGSWMKSAHKLWVKRLRRTSSLPELLQVLVDFVGAMDEDWLYKSSASVSFSSYLDDIIVYFQSMPQTTSAVALWVVKLDALIAPYLDKAYTSRALAIKEPAQDHRLVQGS >PAN51077 pep chromosome:PHallii_v3.1:9:66758985:66772390:-1 gene:PAHAL_9G533100 transcript:PAN51077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAQMMTNSLGAKRENAGTKKSPQQIQMLEKFYSDVQYPKPEEMGQYATCVGLTYNQVRIWFKERRRKERREMEAIGSHMERQLSARSSGPRSSSSSSSCDQDPMYGTSCSRPEFGSRSTSIVGEESTVRSQILFPKDYILRKIFRKDGPPLGSEFDPLPQSERDRIRDTTCHHSSQNQRSVKKRKIMESTSQRSDGPYEDTVPVRKHGIGKGLMTVWHAMYSHNVECQSGPNFIDETGCLRSLRPFDDCDGLEDNGKTTQNQGMVQKKVDKRSKPPANRRKVPCKRVTSPKEHPPMDCHLSVDKSEFSELLTEQVTLVDDEELELSELQAGPNPLRCSAHLTSSGRHGCPLCKDLLAKFPPQSVKMKQPFSAKPWDSSPEMVKKLFQVVRFVYTHFGSVDVHPFTFDEFAQAFHDKDSLLLGEVHIGLLKLLLLNAEIGSGGVFAPRSSKDCRFLSFLNFVREQEFDVNFWIRSLNSLTWVEILRQVLVASGFGSKQHMLNRDFFNKEKNQMVKYGLRPRTLKGELFALLSKAGSGGLKVSVLAKSSEIVDLNISVTLELEQLICLTLSSDITLFEKIAPSAYRLRVDPQIKGKEDAKSDSEDSGSVDDDEDASSSDDESSGSQKMKLLEHDNRIAPRKKQKNAIGSPNKCSEIDESYPGERWLLGLMEGEYSDLSIDEKLDCLVALIDIVSGAGSVPRLEEPQRVSHNMSRAQPHQSGGKIKKSTKNLYQSSDESLNGPGNLYSLDCSQQGRSSSRRNQSHIIDSGRNDLSGVAHEPQVVLLGSDRRYNSYWLFLGPCRADDPGHRRVYFESSEDGHWEVVDSPQELLSLLSVLDSRGTREAHLLASMEKRQACLFEAMKKHVEGGNAVRLPASSDSFHSETSIGDGASPKTSSASGASPVSDVENASVPTDLEDSNLDSSSAIVIENGRRRDERIFMWDRSQAFDKWIWTSFYSALTAVKFGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAVHVATCREPEDAHEVPNHKVLPSQLQALKAAIHAIEASIPEVAFTGSWMKSAHKLWVKRLRRTSSLPELLQVLVDFVGAMDEDWLYKSSASVSFSSYLDDIIVYFQSMPQTTSAVALWVVKLDALIAPYLDKAYTSRALAIKEPAQARSQACAR >PAN50640 pep chromosome:PHallii_v3.1:9:66862297:66865097:1 gene:PAHAL_9G534300 transcript:PAN50640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGAKAMLAKPIQLADQVAQQAGYQCLRTDCTELRARAKSLGDLLRQAARADLYERPAARVMADTERALAKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTIAMLDTALEDIDWLIRISSPQADDDGDLRGLPNIAQNEPVLAMIWDNIARLHTGGLAARADSAATLASLARDNPHYAKYIIEEDGVPPLVKLLKEGTDDGQEAAATALGLLCRDEESVEKLLHTGVCSVFAAALKEPPMRVQAAVADAIASLAQHSHKCQELFAQNYAVRHLVSHLAAGTIQEHSKYSVGGYGARNTPTAAVAMTSLDKLHSVVLAKSLSVRQGGPASSTNEPPNPSEASNGQQQRGRSNQMQSVVKSAIAAKTTTNVVAPPPGRPQLNSNGSSGRGSREAEDPATKAHMKAMAAKALWMLARGHVGVCTSITESRALLCFARILENGDGGAGTHLQFYSAMALMEITCVAEHNLALRQSAFKPSSPAAKSVVEQLLRIVSKGDDDDLLRPCVTALGCLSRTFTASETRVIGPLVQLLDDREIPVTKEAVVALTKFACTENHLHVNHCKAIVDAGGARHLVQLVYLGDHLQVEALILLCYIALHVPESEELAQAGVLAVLLWASKQAHMAQDLRVEALLPNAKARLDLFQSRASR >PAN49936 pep chromosome:PHallii_v3.1:9:63544630:63550326:-1 gene:PAHAL_9G486100 transcript:PAN49936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASGREEDVAAVDGDGADVEDGGGDSSVRSSERGFPPYGSGGANNVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQTPVPPLQRAADVTPVFNQILMNEQEEEYDGPPPKEIPALIVWTLGGKNVYVEGSWDNWKSRKAMQKSGKDHSLLLVLPSGVYRYRFVVDGERRCLPDLPCETDAMGNAVNLLDVNDFVPESVESVTEFEPPPSPDSSYSFQAPEDKDFAKEPPALPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >PAN49935 pep chromosome:PHallii_v3.1:9:63544630:63546718:-1 gene:PAHAL_9G486100 transcript:PAN49935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRGIVSILIRPGTPVLQTPVPPLQRAADVTPVFNQILMNEQEEEYDGPPPKEIPALIVWTLGGKNVYVEGSWDNWKSRKAMQKSGKDHSLLLVLPSGVYRYRFVVDGERRCLPDLPCETDAMGNAVNLLDVNDFVPESVESVTEFEPPPSPDSSYSFQAPEDKDFAKEPPALPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >PAN47974 pep chromosome:PHallii_v3.1:9:41426723:41428152:1 gene:PAHAL_9G326500 transcript:PAN47974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPCIATLLLLSCSLTAATTPLGTIERVTKQRILASIPPGGGLPVLFLTSPSGKYAAYFVRTHTLPGAGGLGADFCYVEVVDATTAGAHGGAVEGEEEGGVAAADSGKSVWESECRPISTVNTCSLLFSWDGLEVFDGSEEVWHGETNRDGTNFLETLELVDDGDMRIRDKDGELAWRASDEPRHAQHCGAPGSPGLAAALPPFAEPLGSHSSNLPFGQEPDGNGHSAELPQAADLGSGAAAFGGAPGVAGPGRGQGEHDAAAEVGSGAAAFGSAAGVADPGLGQGEHDVAAEGGAVAGFGAQPLVDNSPYDSRAWKEGRGTHITAMGVALCVSAVLGAMGVGL >PAN51570 pep chromosome:PHallii_v3.1:9:71228512:71230592:-1 gene:PAHAL_9G604100 transcript:PAN51570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRNPFRILDLSSSSATAQVQPKAPLSEEPVLPPPVPAPPASHVDDAVAALMLLSTPPSEVCLQSCAWTTHPHALNPEELNDDDGLVGDAWREPRENPGLSYTNVDFLLRQDEEETESEVEEEIFLVKRTKVEGKIGKQQQQQQQQQEEEEVNEKLKRDGNEQKKGSKSKTMKAKVIAADEPPRCKKTDGRKWNCRSSAVLPHTLCEKHLSRSRSYYSSGKEAPGEASSKSAPQKASAGSGAAPAKASSGSKRARASAPLSITEAAFASDAPVTSKAAPSAAAGARPSSKRPQKKTKEDVYYSGGAFYYPEPFGPFRGKQRGHYSRPAIVEEEEERSTTQGDGSTSEAPKEGLFPEAKLSSKATRKPLKIRTLESLH >PAN51569 pep chromosome:PHallii_v3.1:9:71228512:71229842:-1 gene:PAHAL_9G604100 transcript:PAN51569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKQARIGAAGCELNDDDGLVGDAWREPRENPGLSYTNVDFLLRQDEEETESEVEEEIFLVKRTKVEGKIGKQQQQQQQQQEEEEVNEKLKRDGNEQKKGSKSKTMKAKVIAADEPPRCKKTDGRKWNCRSSAVLPHTLCEKHLSRSRSYYSSGKEAPGEASSKSAPQKASAGSGAAPAKASSGSKRARASAPLSITEAAFASDAPVTSKAAPSAAAGARPSSKRPQKKTKEDVYYSGGAFYYPEPFGPFRGKQRGHYSRPAIVEEEEERSTTQGDGSTSEAPKEGLFPEAKLSSKATRKPLKIRTLESLH >PAN50123 pep chromosome:PHallii_v3.1:9:64541962:64545342:1 gene:PAHAL_9G500000 transcript:PAN50123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEPSAAGAGAGGESASQATAVEPIRMPTAEEIKGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALENPIMAEEMTARQQIVYTAKQMGSRSISNAKTFAVMGLIFSAAECVIEKARAKHDTTNTAVAGCVTGGALAVKGGPKATCVGCAGFAAFSVAIEKFFDRHT >PAN46623 pep chromosome:PHallii_v3.1:9:12710464:12715276:-1 gene:PAHAL_9G199300 transcript:PAN46623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPVDLPNELEGVSHSARVELFRDSSSNIAKEVLASLGNDQDSNAAVTNPGFRVGEIRFLNGDIYSGTLLGNTPEGSGRYLWSDGCIYEGEWRRGMRHGQGKTRWPSGATYEGEYSGGYIYGEGTYTGLDKIIYRGRWKLNRKHGLGCQTYPNGNMFEGSWIQGEIQGHGKYTWANEGTYVGNMKSGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTRGLKDGKGTFYPKGCRVPVNDELYINNLRNRGVLPDIRRQNHNSRILQSASVDMGNMKVGMTRQSSDVSYKRNSTDQPLKNVSLERRWSLEVAIEKFIGHESSESSGLESLENLSDSRLPILEREYMQGVLISEVVLERSFSDSSKKGKRRQKKMVRDTKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMNFPKEGSRLTPSHSAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLNQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYRRSMAADRLTVVSEVDAQEDDALNYPEGLVLVQSSGENSVVVGPHIRGSRLRASAAGFGEVDLLLPGTARLQIQLGVNMPARAEQIPKEDESKPFGEVYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTVFPENS >PAN46624 pep chromosome:PHallii_v3.1:9:12710488:12715535:-1 gene:PAHAL_9G199300 transcript:PAN46624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPVDLPNELEGVSHSARVELFRDSSSNIAKEVLASLGNDQDSNAAVTNPGFRVGEIRFLNGDIYSGTLLGNTPEGSGRYLWSDGCIYEGEWRRGMRHGQGKTRWPSGATYEGEYSGGYIYGEGTYTGLDKIIYRGRWKLNRKHGLGCQTYPNGNMFEGSWIQGEIQGHGKYTWANEGTYVGNMKSGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTRGLKDGKGTFYPKGCRVPVNDELYINNLRNRGVLPDIRRQNHNSRILQSASVDMGNMKVGMTRQSSDVSYKRNSTDQPLKNVSLERRWSLEVAIEKFIGHESSESSGLESLENLSDSRLPILEREYMQGVLISEVVLERSFSDSSKKGKRRQKKMVRDTKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMNFPKEGSRLTPSHSAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLNQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYRRSMAADRLTVVSEVDAQEDDALNYPEGLVLVQSSGENSVVVGPHIRGSRLRASAAGFGEVDLLLPGTARLQIQLGVNMPARAEQIPKEDESKPFGEVYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTVFPENS >PAN50847 pep chromosome:PHallii_v3.1:9:68023753:68028303:-1 gene:PAHAL_9G551200 transcript:PAN50847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSDPDLSGGAPDVSELFCHYNSLYFHDSLGSCAVSWAEDPLPDRDVSTCDYYPGGGGCIILLSKSLCECHDESDLKNALLHEMIHAYICIKDNNNNHSDHGAKFRKLMNSINLSSVADPHRPVDGYSITLLHEIRKKYYHYKCQSCGDLIKSIKLRVPSGDDCIERKGVDDPCQNSKCHWHRHKQRCPGSYCRVQDSLPGGPKVEDALDEGKAEEAVCRSWHFTYTSDKGGRSNKNEREDASTEFLHVTDNTVGSSLDSSSRDRSNKKIKISKDDCCDHQIIETVHEAPKRPRTAVLENQEYSRRKKRKQRKVDGYSVIIEWLNYYCFSDSDEDEVPLINKRTERRRRQKLHDMSIARLSSNDVVSVSSPSDTVGSCLLDPGDNSKLEIVPASEPEKRSWQIHPVGSNLVAGNQAGHESLSSPLDSPIRGEIVDISDG >PAN44486 pep chromosome:PHallii_v3.1:9:2625512:2625941:-1 gene:PAHAL_9G046800 transcript:PAN44486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRRQPSFLEGCLAALCCCCLIDECCCDPSIIFVS >PVH32254 pep chromosome:PHallii_v3.1:9:51277116:51279172:1 gene:PAHAL_9G354600 transcript:PVH32254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFWTMPECAGLMKKIIHLVKLDLAAAVHPRGHCGAITTRSGKTTTDPLLPTPPLYIPPAFRPSQPMASPQDQQLPFPEQFEKSSEDKQFAKFLELMKDAQITIPILDVVLHVPMYAKFFKELLTKKQNINELEVVTLTKECSAVIQNKRPSKLDDPDSFYIPCLIGSKSFRALCDLGSSVSVIPLFVCEALPLGDVGILVDVPVIVGNFAFPVDFVVLEMEDKSKPIILGIPFLATIGAIIDVKDTKLTLQFGEEKDDVKPTREHSVGLILICKKL >PAN48801 pep chromosome:PHallii_v3.1:9:57560177:57561548:-1 gene:PAHAL_9G406600 transcript:PAN48801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDELKLLSTWFSPFGSRVKLALHLKGLRYEYVEEDLTNKSQLLLESNPVHKKVPVLFHGGRALCESVVIVDYIEEAFAGAGPPLLPADPYERAVARFWVAFIETKLVEPWFRMLDGTRTRAEMTEGVKQMLAAVAVLESALGHCAKGRPFFGGDSVGYVDVALGGLLVWVRASEVLLGVRFLDAARTPLLAAWAERFASLGAARAALPDFGRVVEHAKMRRGAAAGALAADKN >PAN51531 pep chromosome:PHallii_v3.1:9:69178242:69181002:1 gene:PAHAL_9G569500 transcript:PAN51531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYHTGLVPEPQLLNITQKATNFVSDTTRKDKPIGYLDVFVHQARDIHNVCIYHKQDVYAKFCLTSSSDVSCSTKVINSAGRNPVFEESLRLDVQTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMGDGKLVQEFSLTSTDLFHTPAGFVQLSLSYAGCSPDVILISSPNNSVSRAADSGNDYVVPSQLEKIEFPDLNVVKEDEIMVSKYLEMGSLDSENPMKAENGKLLHSGNDNDIPSEFGKADLPENTVKAENGKLLQFGAAVPGTAICADKLEEHQDESPLSCVSTTGSSTALSATGQSVSEPSSEPSETTVEASPRQSQREKSQDITDGEADSSEAPPRDEVAKPVISINLQPEQSVVQQDIVDMYMKSMQQFTESLAKMKLPLDVENNSPSNDDSDTITIEKPSPSPSPSSSASKGSRVFYGSRAFF >PAN51093 pep chromosome:PHallii_v3.1:9:69178242:69181002:1 gene:PAHAL_9G569500 transcript:PAN51093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYHTGLVPEPQLLNITQKATNFVSDTTRKDKPIGYLDVFVHQARDIHNVCIYHKQDVYAKFCLTSSSDVSCSTKVINSAGRNPVFEESLRLDVQTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMGDGKLVQEFSLTSTDLFHTPAGFVQLSLSYAGCSPDVILISSPNNSVSRAADSGNDYVVPSQLEKIEFPDLNVVKEDEIMVSKYLEMGSLDSENPMKAENGKLLHSGNDNDIPSEFGKADLPENTVKAENGKLLQFGAAVPGTAICADKLEEHQDESPLSCVSTTGSSTALSATGQSVSEPSSEPSETTVEASPRQSQREKSQDITDGEADSSEAPPRDEVAKPVISINLQPEQSVVQQDIVDMYMKSMQQFTESLAKMKLPLDVENNSPSNDDSDTITIEKPSPSPSPSSSASKGSRVFYGSRAFF >PAN46580 pep chromosome:PHallii_v3.1:9:13035088:13036860:-1 gene:PAHAL_9G204700 transcript:PAN46580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACFLASVVALLGLLARPSECARAFFVFGDSLVDNGNNNYLMTTARADSPPYGIDFPTHRPTGRFSNGKNIPDIISEHLGAEPTLPYLSPELRGQKLLVGANFASAGVGILNDTGIQFVDILRMSRQLRYFGEYQRRLRALVGAARARQLVRRSLTLITLGGNDFVNNYYLVPFSLRSRQFALPDYVRYLVSEYKKILIRLYAMGCRRVLVTGTGPLGCAPAILAQRSRNGECAAELMRAASLFNPLLARALEGLNRRLGAGTFIAANAFRVHFDFVSDPGAYGFATAKVACCGQGPHNGLGLCTAASSLCADRGRYVFWDPYHPTERASRIIVSQFMRGSLDYVSPMNLSTALEMDARLGA >PVH33212 pep chromosome:PHallii_v3.1:9:70872832:70876185:1 gene:PAHAL_9G598000 transcript:PVH33212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAVVAIFVPLRSPAIILDSTVSALSAEALDLGIWNDGVSWWSGGGGGAHPTLPLTGNCSHRQKGALSLYFCSRQNAYRNIILGMTYFGSNCLLVMRGSARQFENKKTKEFVRS >PAN50142 pep chromosome:PHallii_v3.1:9:64602463:64612801:-1 gene:PAHAL_9G501200 transcript:PAN50142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGISDQLFVSVKLESPRLAELDLDPHLFGSHPVAGSWDPSKALPLERAAAALWDLSCVVPSQHEPLDFKFVLKQKNDSSQCIVEEGPNRSLGCQSNEVEMRSAVFKLDEGNDVLECKIQVETEMLSPFDLAASWRAHQEYLQPSTVRGAHDITINTGLEGRAKNGFASGLELDLEKYVVPTPNMGSGVVYAANLTENPRSLLQTGSSSNNDTTKDILYNSTKGDSSPNHYAKTMKGATEGHEPSLEEHRAMFVDRGVGSPNFGRPTKETFSVSNFKLDSEAKQDMPAAEGAVAAAAVADQMYGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRGDNREGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRIRRNMLMKMAEGKCKIIFLETICNDKDILERNIRLKVQQSPDYAEQTDFEAGVQDFKERLAYYEKVYEPVEEGSYIKMVDMVSGKGGQLQINDISGYLPGRIVFFLVNCHLTPRPILLTRHGESMDNVGGRIGGDSSLSEAGQLYSRKLASFVEKRLKSERTASIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGVCDGMTYDEIKKSKPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRALYAYFADKPLEEVPNIEIPLHTIIEIQMGVAGVQEKRYKLMDAVHHTMGL >PAN47250 pep chromosome:PHallii_v3.1:9:16664945:16667339:-1 gene:PAHAL_9G244900 transcript:PAN47250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTTQEAEAAAAAERPSSALALRSLADYTVGAIPTLFYVPDFVSQSEQSQLLHHIYQAPAPKWRSLKNRRLQNWGGVVHEKGLLPQALPSWLTRITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYYPVVAIISLASPVVIDFTPHQRLKEQEHTDRQNLQINELLGPVKMESNGSGSHECGATNESDPASSSLVLMPCSLLIFKDQAYTDYLHGIQDNELHNLDKVMNLSRCPELKHLSPDSIQGIMDEQHGTFRRTATRVSLTCRLVLKVHKKLFKI >PAN47248 pep chromosome:PHallii_v3.1:9:16664554:16666754:-1 gene:PAHAL_9G244900 transcript:PAN47248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHQDGPAYYPVVAIISLASPVVIDFTPHQRLKEQEHTDRQNLQINELLGPVKMESNGSGSHECGATNESDPASSSLVLMPCSLLIFKDQAYTDYLHGIQDNELHNLDKVMNLSRCPELKHLSPDSIQGIMDEQHGTFRRTATRVSLTCRLVLKVHKKLFKI >PAN47251 pep chromosome:PHallii_v3.1:9:16664554:16667383:-1 gene:PAHAL_9G244900 transcript:PAN47251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSSPSPSSPSSSTTFTRRRRPSGDPSRTGGCRTGEEWCMRKGSCRRPPHQDGPAYYPVVAIISLASPVVIDFTPHQRLKEQEHTDRQNLQINELLGPVKMESNGSGSHECGATNESDPASSSLVLMPCSLLIFKDQAYTDYLHGIQDNELHNLDKVMNLSRCPELKHLSPDSIQGIMDEQHGTFRRTATRVSLTCRLVLKVHKKLFKI >PAN47249 pep chromosome:PHallii_v3.1:9:16664554:16667384:-1 gene:PAHAL_9G244900 transcript:PAN47249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSSPSPSSPSSSTTFTRRRRPSGDPSRTGGCRTGEEWCMRKGSCRRPINELLGPVKMESNGSGSHECGATNESDPASSSLVLMPCSLLIFKDQAYTDYLHGIQDNELHNLDKVMNLSRCPELKHLSPDSIQGIMDEQHGTFRRTATRVSLTCRLVLKVHKKLFKI >PAN50570 pep chromosome:PHallii_v3.1:9:66493633:66497841:1 gene:PAHAL_9G528700 transcript:PAN50570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLAAVLGSLALGGAVLVLFFGKWWQPLADTDWRVKELADAVEALLRQRAEVLGHDPSPSSDPVRAWLRRVQEAQDELASIKERHDGGQLYVVRLVQYLLLPTGPVAGLAEQQLKAVRALREQGAAILEAALATPQAPPPLLCDPEELRDLPAEAGPARAYLNEALRFLGDCDAALGVWGAGGVGKTTVLKLVREVCGRVARFDHVLLVAASRDCTVAKLQREVVSVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMANGKVRKVIVASRSEALCADMGCRNKIKMECFNEEDACRLFEANVGGDAIHRHTEILTLARQVAAECKGLPLALVTVGRAMSNKRTPDEWADALDALKASQLSTTPASDKSTHALVKFCYDNLENDTVRECFLTCALWPEDHNITKDELAQSWMGLGLLPDLGGDAVDEAHRFTHSVIAILEAARLLEAGDNHRYNMFPSDTHVRLHDVVRDAALRLAPGKWLVRAGAGLREPPREEALWRGAQRVSLMHNSIEDAPAKAGSALAEAQPASLMLQGNRALPKRMLQAIQHFTKLAYLDLEDTGIQDAFPMEICCLVNLEYLNLSKNRILSLPVELGNLSQLKYFYLRDNYYIQITIPPGLVSRLGKLQVLEVFTASIVSVADDYVSPVIDDLESSGARVASLGIWLDNTRDVERLARLAPGVRARSLHLRKLDGARALPLLSAEHTPVLGGVQESLRELVAYSSDVEEIVADAHVPGLEVIKFGFLTKLRAMAWSHAAASNLREVAMGACHSLTHLTWVQHLPCLESLNLSGCNGLTTLLGGAEDGGSAAAEVVVFPRLRLLALLGLPKLEAVRVEGECAFPELRRLQTRGCPRLKRIPLRPARGQQGTVRIECDKHWWNALQWAGEDAKACFVPVL >PVH32131 pep chromosome:PHallii_v3.1:9:41061165:41061524:1 gene:PAHAL_9G325500 transcript:PVH32131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTSSKAQVDPIVEVEKEKSFEESEDKKKNRQQEEEVEDLETTDDEMSFQEWKAWKKKKKLQRKKEKSSTKIIIKSCDDSDTDYKRRSSSSSKGKKRVNYHRVGHDYTFQIPSEYNA >PVH32365 pep chromosome:PHallii_v3.1:9:55595815:55596801:-1 gene:PAHAL_9G385000 transcript:PVH32365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSRSVSPATPFLPMASPRAESRRQGLGRTPHERKADARAGGGGGGGAGASRAYTPERARPIAAARQLREEEEREERVKTRGRRQDLESGGAPPPSSRSRFFRSADSSLLLLLHASTASDLRSTRPPIEASACRSAPPTSASSSSSPTNPGGLPPLLLHPRAPGSSSASLPALPPAAASHGQGFLFLSSSFPLLGC >PVH32576 pep chromosome:PHallii_v3.1:9:59644876:59646650:-1 gene:PAHAL_9G431600 transcript:PVH32576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32570 pep chromosome:PHallii_v3.1:9:59644876:59647614:-1 gene:PAHAL_9G431600 transcript:PVH32570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32573 pep chromosome:PHallii_v3.1:9:59644876:59647614:-1 gene:PAHAL_9G431600 transcript:PVH32573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32569 pep chromosome:PHallii_v3.1:9:59644876:59647614:-1 gene:PAHAL_9G431600 transcript:PVH32569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32568 pep chromosome:PHallii_v3.1:9:59643002:59647927:-1 gene:PAHAL_9G431600 transcript:PVH32568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32575 pep chromosome:PHallii_v3.1:9:59644876:59647614:-1 gene:PAHAL_9G431600 transcript:PVH32575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32574 pep chromosome:PHallii_v3.1:9:59643002:59647927:-1 gene:PAHAL_9G431600 transcript:PVH32574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32571 pep chromosome:PHallii_v3.1:9:59643002:59647927:-1 gene:PAHAL_9G431600 transcript:PVH32571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PAN49173 pep chromosome:PHallii_v3.1:9:59644876:59647614:-1 gene:PAHAL_9G431600 transcript:PAN49173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32577 pep chromosome:PHallii_v3.1:9:59644876:59647614:-1 gene:PAHAL_9G431600 transcript:PVH32577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PVH32572 pep chromosome:PHallii_v3.1:9:59644876:59647614:-1 gene:PAHAL_9G431600 transcript:PVH32572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFADGDPSSSSSCSSWDSDDEYQKFIQKMNPPRVTIDNASCANATIIHVDSANKYGILLEVVQVLTDLKLIVRKAYISSDGGWFMDVFNVTNQSGQKIMDESVLEGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQITDRKTGLAIPDAERLGRIKERLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRSKLLFDTVCTLTDMQYVVYHGSVDTEGPQAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGNKAINTFYVRDTAGSLVELKTLEAIRQDIGQTVLQVKGHPDHLKSTAQESLTRFLFSSLFRPRSP >PAN51963 pep chromosome:PHallii_v3.1:9:73158022:73159652:1 gene:PAHAL_9G633000 transcript:PAN51963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRLFPSGSDDRRDDSGRRLYNPYQDLNIPYKQLYDLPTSPEFLFQEEAIAQRRSWGENLTYYTGIGYLGGAVVGAALGLRDAARGAEPGEPAKIRANRVLNSCGSSGRRFGNTLGVIGLMYAGIESAMVAARDRDDWINSVAAGLGTGALFRAANGPRSAAVAGAVGGVLAGAAAAAKQVGKRYVPAL >PAN47856 pep chromosome:PHallii_v3.1:9:19161827:19170331:-1 gene:PAHAL_9G259200 transcript:PAN47856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVPKWKIEKTKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDPRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPASIALPLRGSDSGMLLHITAQLLNTKTGFREFEQQRETGARSSQQLLNQRSHDPAEVAAASSDIGTDKVNARIKLKETSLGFPLVEDSAGSTEDYENSSHTSDGIFTEKNDPYGAHEISSFRSSGDLPLCPTSRSPTPEKGACWGKHLSPQGSNDWTHGWSPEYSADKDLAAAHDENNRLRTRLEVAESAFSQLKTEATSLEHVTDKLGTETQGLAQQLAVELMSRNQLTTEVSLLRTECSKLKKELEEIRSSKLLQKKSNAEDNTMKKYGNDILATDPVHHLQTEWLQGLLLLESKLQQTRNNALHGLQASDLDFLLADLGALQRVIENLKQGVQPGQVKENHYAENLVPLSNTSHLSNSAHHDTLKKSSGGNTGTMEEKMCELLQKLENSKTEKENLLEKMSHMERYYESFIHKLEESQKQTAIELENLRKEHNSCFYTVSVLQAQKQKVHEEMNDQLMRFVEDRTALEAQNKELERRAVATETALKRVRFNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSFLEDCDSLPEEHSAVADLCSNKEPEQYRVGVKQIGPERLYAEKEPQVFLENNCTMDKIDGQKNLRALKIEELRVRSEFHVHGNTDSRGNHSNMVGPKRVSCTMESELLEMFIANMECQVFSDVLRESHYAALDIIKCMQERLHMLGKKLHDSNDAKQSLGLKLSSALDQATSVKESEAGYILKCDDLTVKNQILEAKLHDITVENALFMEKLTESERLVQEHRACESKYKVCAEERERFENLLIKESLLTDQLHGELRSLREGFEAMKDELDNQSSINNDTWMVSTSLQDQLGGLCSKIMSFNKEVNISGLDEASFQHELESKNYAAVLKSLEFFHQQTCNKVLHVQQEKEAVVEMCDALQRRSEKAESELHDMKQKYVCDLDATNQKLNFSEELIEKLQQELQDMAHKLRISSDSQEKYSVTNSGLTSKLSLMEVELQHATSKNLALVEKLKEFGATVEELERTKISLAQYEKDTRTLTQSLQSKDEMLVHMESEIKLLHDDLRFTGENLLREKSLKEELESALANLTSQLCEKDRVLLSFNEQKTESVHLKDQLFDMEKANSIMQDALLQSEQIQRDLNRKNRSLHSQISNLENQLGIVLEVMLSTEIEVIYMRSQVREAVVQLNMLRNDFEKLQLKHKDADELLRVHMSTQAELADRNSMLQAAIHSLEINLCSVSQEKKGLEELMKGHEETLTEANNNKSCDIAVDNSEKIVEDHDEISQLRVLLADLEEQVDNVKSTKDETEILNIILRSKLEEQHTVMSSLLQNQRQELTNLIEHNKDLTQKLAEQSLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLHAMQDSLRIAFIKEQYESKVQELKGQVFVNKKYAEEMLLKLQNALDDVETGKKNEIALAKRIEELSMKISEMEVEMHDLSADKKELSNAYDSMMTELECTKLNLDCCNEEKLKIEVSLEECCEERNRIRVELDLVKKLLENMALTDKNTSHDSSGSCTPGTTSIRHILGDGEAESASKATPSTIEMDSELQECEIQSRSLTSNLSRADDIGKFGEDEASKSLENCDKECESSIENHFNGNSIKDISREHKKLATELNLFQKELERLKNENLSPLLPRDINLIDPSLSGLERTLSQLDTANEHLQSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKEMQGRYSELSVQFAEVEGERQKLEMNLKNQSPWKS >PAN47448 pep chromosome:PHallii_v3.1:9:30919063:30921013:1 gene:PAHAL_9G292000 transcript:PAN47448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRCYGLSSTATVVQRRKTLRTTMIRVSIDACSSSESKKSINSVSFTGKINKVYEDKNMGIVCYTDENGELVCEGLDEGPRLTWQVMEKLNMEKKTKNQEDQRQRTLPIEVGIDWSSLHTAVSMGKN >PVH32079 pep chromosome:PHallii_v3.1:9:34686562:34704222:1 gene:PAHAL_9G306700 transcript:PVH32079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRMTLLLGPPSSGKSTLLLALAGKLDPQLKKNGEVRYNGISLKEFCVQRTSAYISQTDNHIGELTVRETLDFAVRCQGASENWQECLKDLLDLENKKGIRPTPEIDAFMKTASVGGQKHNLVTDYVLRVLGLDVCADTPVGTDMARGVSGGQKKRVTTGEMVVGPRKTLFMDEISTGLDSSTTFQIVKCMRNFVHEMEATVLMSLLQPAPETFDLFDDLILLSEGQIIYQGPIVHVVDYFKSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDKSRQYSFISASTMASAFKQSQYGRSLDSTLSDPYVATNSPQALARSKFAVSKLSLVRACFARELILISRNRFLYTFRTCQVAFVGVITCTIFLRTRLHPVDEQNGNLYLSCLFYGLVHMMFNGFTELPITISRLPVFYKHRDNYFHPAWAFSIPNWILRIPYSLIEAVVWSCVVYYTVGFAPTADRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIANTFGSAALLAIFLLGGFIVPKEAIKPWWQWAYWVSPLMYGQRAISVNEFSASRWSKVSVAGSNSTVRANVLISHNLPTEDYWYWIGVGALLAYTVLFNGLFTLALAFLNPLRKAQTMIPSDSEETNAAGIDNVSNGHVVAENNGRNYEFKRQTEGELNKGMILPILPLTMTFYNVNYFVDMPKEIKARGVPEKRLQLLSEVSGVFRPNVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSILRLPKDISRETRHEFVEEVMALVELDQLRHALVGRQGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSIDMIGYFQSIPGVPRIPEGYNPATWMLEVSTQACEESLGLDFATLYKNSDQFRNVEGIIEALSAPADGTKPLEFSSEFSQNFLTQFKACLRKQSLIYWRSPEYNVVRLFFTAIAAVIFGSIFWNVGMKRETTEDLMLVMGALYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMINYERNIGKLLLYLVFMFLTFTYFTFYGMVAVGLTPTQQMAAVVSSAFYSLWNLLSGFLIPQSRIPCWWIWFYYICPVAWTLRGIITSQLGDVQTRIVGPGFDGTVQEFLEESLGFKQGMAGATVAVLACFSLFFFAIYAISIKVINFQRR >PAN47830 pep chromosome:PHallii_v3.1:9:34686562:34704222:1 gene:PAHAL_9G306700 transcript:PAN47830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGVAPGRLSPPSLRQPALYIPRSHTAFRIPLRRNQKPHSLLDRGGGGRRGPMAFAASGVQHHVAVDVEAGNEERRRALEEDEADLLWAAIEERPFAKRRNLAIVLPDPDCAGDGTSGGGGAGEGKVVDVRRLDRPGVQRVLRRALGTADLDNANLLHGIKARFDAVGLEVPRVEVRFRNLTVSTEVHVGRRALPTLVNYVHDVAERLLITCHLLHPNKRKLTILDDVSSIVRPGRMTLLLGPPSSGKSTLLLALAGKLDPQLKKNGEVRYNGISLKEFCVQRTSAYISQTDNHIGELTVRETLDFAVRCQGASENWQECLKDLLDLENKKGIRPTPEIDAFMKTASVGGQKHNLVTDYVLRVLGLDVCADTPVGTDMARGVSGGQKKRVTTGEMVVGPRKTLFMDEISTGLDSSTTFQIVKCMRNFVHEMEATVLMSLLQPAPETFDLFDDLILLSEGQIIYQGPIVHVVDYFKSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDKSRQYSFISASTMASAFKQSQYGRSLDSTLSDPYVATNSPQALARSKFAVSKLSLVRACFARELILISRNRFLYTFRTCQVAFVGVITCTIFLRTRLHPVDEQNGNLYLSCLFYGLVHMMFNGFTELPITISRLPVFYKHRDNYFHPAWAFSIPNWILRIPYSLIEAVVWSCVVYYTVGFAPTADRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIANTFGSAALLAIFLLGGFIVPKEAIKPWWQWAYWVSPLMYGQRAISVNEFSASRWSKVSVAGSNSTVRANVLISHNLPTEDYWYWIGVGALLAYTVLFNGLFTLALAFLNPLRKAQTMIPSDSEETNAAGIDNVSNGHVVAENNGRNYEFKRQTEGELNKGMILPILPLTMTFYNVNYFVDMPKEIKARGVPEKRLQLLSEVSGVFRPNVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSILRLPKDISRETRHEFVEEVMALVELDQLRHALVGRQGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDESIPGVPRIPEGYNPATWMLEVSTQACEESLGLDFATLYKNSDQFRNVEGIIEALSAPADGTKPLEFSSEFSQNFLTQFKACLRKQSLIYWRSPEYNVVRLFFTAIAAVIFGSIFWNVGMKRETTEDLMLVMGALYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMINYERNIGKLLLYLVFMFLTFTYFTFYGMVAVGLTPTQQMAAVVSSAFYSLWNLLSGFLIPQSRIPCWWIWFYYICPVAWTLRGIITSQLGDVQTRIVGPGFDGTVQEFLEESLGFKQGMAGATVAVLACFSLFFFAIYAISIKVINFQRR >PVH32081 pep chromosome:PHallii_v3.1:9:34687478:34704223:1 gene:PAHAL_9G306700 transcript:PVH32081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVYMTIMYPCFRLLIIIAVALVDMYVVPCACIQRLLITCHLLHPNKRKLTILDDVSSIVRPGRMTLLLGPPSSGKSTLLLALAGKLDPQLKKNGEVRYNGISLKEFCVQRTSAYISQTDNHIGELTVRETLDFAVRCQGASENWQECLKDLLDLENKKGIRPTPEIDAFMKTASVGGQKHNLVTDYVLRVLGLDVCADTPVGTDMARGVSGGQKKRVTTGEMVVGPRKTLFMDEISTGLDSSTTFQIVKCMRNFVHEMEATVLMSLLQPAPETFDLFDDLILLSEGQIIYQGPIVHVVDYFKSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDKSRQYSFISASTMASAFKQSQYGRSLDSTLSDPYVATNSPQALARSKFAVSKLSLVRACFARELILISRNRFLYTFRTCQVAFVGVITCTIFLRTRLHPVDEQNGNLYLSCLFYGLVHMMFNGFTELPITISRLPVFYKHRDNYFHPAWAFSIPNWILRIPYSLIEAVVWSCVVYYTVGFAPTADRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIANTFGSAALLAIFLLGGFIVPKEAIKPWWQWAYWVSPLMYGQRAISVNEFSASRWSKVSVAGSNSTVRANVLISHNLPTEDYWYWIGVGALLAYTVLFNGLFTLALAFLNPLRKAQTMIPSDSEETNAAGIDNVSNGHVVAENNGRNYEFKRQTEGELNKGMILPILPLTMTFYNVNYFVDMPKEIKARGVPEKRLQLLSEVSGVFRPNVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSILRLPKDISRETRHEFVEEVMALVELDQLRHALVGRQGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDESIPGVPRIPEGYNPATWMLEVSTQACEESLGLDFATLYKNSDQFRNVEGIIEALSAPADGTKPLEFSSEFSQNFLTQFKACLRKQSLIYWRSPEYNVVRLFFTAIAAVIFGSIFWNVGMKRETTEDLMLVMGALYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMINYERNIGKLLLYLVFMFLTFTYFTFYGMVAVGLTPTQQMAAVVSSAFYSLWNLLSGFLIPQSRIPCWWIWFYYICPVAWTLRGIITSQLGDVQTRIVGPGFDGTVQEFLEESLGFKQGMAGATVAVLACFSLFFFAIYAISIKVINFQRR >PAN47831 pep chromosome:PHallii_v3.1:9:34686562:34704222:1 gene:PAHAL_9G306700 transcript:PAN47831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGVAPGRLSPPSLRQPALYIPRSHTAFRIPLRRNQKPHSLLDRGGGGRRGPMAFAASGVQHHVAVDVEAGNEERRRALEEDEADLLWAAIEERPFAKRRNLAIVLPDPDCAGDGTSGGGGAGEGKVVDVRRLDRPGVQRVLRRALGTADLDNANLLHGIKARFDAVGLEVPRVEVRFRNLTVSTEVHVGRRALPTLVNYVHDVAERLLITCHLLHPNKRKLTILDDVSSIVRPGRMTLLLGPPSSGKSTLLLALAGKLDPQLKKNGEVRYNGISLKEFCVQRTSAYISQTDNHIGELTVRETLDFAVRCQGASENWQECLKDLLDLENKKGIRPTPEIDAFMKTASVGGQKHNLVTDYVLRVLGLDVCADTPVGTDMARGVSGGQKKRVTTGEMVVGPRKTLFMDEISTGLDSSTTFQIVKCMRNFVHEMEATVLMSLLQPAPETFDLFDDLILLSEGQIIYQGPIVHVVDYFKSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDKSRQYSFISASTMASAFKQSQYGRSLDSTLSDPYVATNSPQALARSKFAVSKLSLVRACFARELILISRNRFLYTFRTCQVAFVGVITCTIFLRTRLHPVDEQNGNLYLSCLFYGLVHMMFNGFTELPITISRLPVFYKHRDNYFHPAWAFSIPNWILRIPYSLIEAVVWSCVVYYTVGFAPTADRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIANTFGSAALLAIFLLGGFIVPKEAIKPWWQWAYWVSPLMYGQRAISVNEFSASRWSKVSVAGSNSTVRANVLISHNLPTEDYWYWIGVGALLAYTVLFNGLFTLALAFLNPLRKAQTMIPSDSEETNAAGIDNVSNGHVVAENNGRNYEFKRQTEGELNKGMILPILPLTMTFYNVNYFVDMPKEIKARGVPEKRLQLLSEVSGVFRPNVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSILRLPKDISRETRHEFVEEVMALVELDQLRHALVGRQGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSIDMIGYFQSIPGVPRIPEGYNPATWMLEVSTQACEESLGLDFATLYKNSDQFRNVEGIIEALSAPADGTKPLEFSSEFSQNFLTQFKACLRKQSLIYWRSPEYNVVRLFFTAIAAVIFGSIFWNVGMKRETTEDLMLVMGALYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMINYERNIGKLLLYLVFMFLTFTYFTFYGMVAVGLTPTQQMAAVVSSAFYSLWNLLSGFLIPQSRIPCWWIWFYYICPVAWTLRGIITSQLGDVQTRIVGPGFDGTVQEFLEESLGFKQGMAGATVAVLACFSLFFFAIYAISIKVINFQRR >PVH32078 pep chromosome:PHallii_v3.1:9:34686562:34704222:1 gene:PAHAL_9G306700 transcript:PVH32078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRMTLLLGPPSSGKSTLLLALAGKLDPQLKKNGEVRYNGISLKEFCVQRTSAYISQTDNHIGELTVRETLDFAVRCQGASENWQECLKDLLDLENKKGIRPTPEIDAFMKTASVGGQKHNLVTDYVLRVLGLDVCADTPVGTDMARGVSGGQKKRVTTGEMVVGPRKTLFMDEISTGLDSSTTFQIVKCMRNFVHEMEATVLMSLLQPAPETFDLFDDLILLSEGQIIYQGPIVHVVDYFKSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDKSRQYSFISASTMASAFKQSQYGRSLDSTLSDPYVATNSPQALARSKFAVSKLSLVRACFARELILISRNRFLYTFRTCQVAFVGVITCTIFLRTRLHPVDEQNGNLYLSCLFYGLVHMMFNGFTELPITISRLPVFYKHRDNYFHPAWAFSIPNWILRIPYSLIEAVVWSCVVYYTVGFAPTADRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIANTFGSAALLAIFLLGGFIVPKEAIKPWWQWAYWVSPLMYGQRAISVNEFSASRWSKVSVAGSNSTVRANVLISHNLPTEDYWYWIGVGALLAYTVLFNGLFTLALAFLNPLRKAQTMIPSDSEETNAAGIDNVSNGHVVAENNGRNYEFKRQTEGELNKGMILPILPLTMTFYNVNYFVDMPKEIKARGVPEKRLQLLSEVSGVFRPNVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSILRLPKDISRETRHEFVEEVMALVELDQLRHALVGRQGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDESIPGVPRIPEGYNPATWMLEVSTQACEESLGLDFATLYKNSDQFRNVEGIIEALSAPADGTKPLEFSSEFSQNFLTQFKACLRKQSLIYWRSPEYNVVRLFFTAIAAVIFGSIFWNVGMKRETTEDLMLVMGALYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMINYERNIGKLLLYLVFMFLTFTYFTFYGMVAVGLTPTQQMAAVVSSAFYSLWNLLSGFLIPQSRIPCWWIWFYYICPVAWTLRGIITSQLGDVQTRIVGPGFDGTVQEFLEESLGFKQGMAGATVAVLACFSLFFFAIYAISIKVINFQRR >PVH32080 pep chromosome:PHallii_v3.1:9:34687478:34704223:1 gene:PAHAL_9G306700 transcript:PVH32080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVYMTIMYPCFRLLIIIAVALVDMYVVPCACIQRLLITCHLLHPNKRKLTILDDVSSIVRPGRMTLLLGPPSSGKSTLLLALAGKLDPQLKKNGEVRYNGISLKEFCVQRTSAYISQTDNHIGELTVRETLDFAVRCQGASENWQECLKDLLDLENKKGIRPTPEIDAFMKTASVGGQKHNLVTDYVLRVLGLDVCADTPVGTDMARGVSGGQKKRVTTGEMVVGPRKTLFMDEISTGLDSSTTFQIVKCMRNFVHEMEATVLMSLLQPAPETFDLFDDLILLSEGQIIYQGPIVHVVDYFKSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDKSRQYSFISASTMASAFKQSQYGRSLDSTLSDPYVATNSPQALARSKFAVSKLSLVRACFARELILISRNRFLYTFRTCQVAFVGVITCTIFLRTRLHPVDEQNGNLYLSCLFYGLVHMMFNGFTELPITISRLPVFYKHRDNYFHPAWAFSIPNWILRIPYSLIEAVVWSCVVYYTVGFAPTADRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIANTFGSAALLAIFLLGGFIVPKEAIKPWWQWAYWVSPLMYGQRAISVNEFSASRWSKVSVAGSNSTVRANVLISHNLPTEDYWYWIGVGALLAYTVLFNGLFTLALAFLNPLRKAQTMIPSDSEETNAAGIDNVSNGHVVAENNGRNYEFKRQTEGELNKGMILPILPLTMTFYNVNYFVDMPKEIKARGVPEKRLQLLSEVSGVFRPNVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSILRLPKDISRETRHEFVEEVMALVELDQLRHALVGRQGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSIDMIGYFQSIPGVPRIPEGYNPATWMLEVSTQACEESLGLDFATLYKNSDQFRNVEGIIEALSAPADGTKPLEFSSEFSQNFLTQFKACLRKQSLIYWRSPEYNVVRLFFTAIAAVIFGSIFWNVGMKRETTEDLMLVMGALYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMINYERNIGKLLLYLVFMFLTFTYFTFYGMVAVGLTPTQQMAAVVSSAFYSLWNLLSGFLIPQSRIPCWWIWFYYICPVAWTLRGIITSQLGDVQTRIVGPGFDGTVQEFLEESLGFKQGMAGATVAVLACFSLFFFAIYAISIKVINFQRR >PAN50160 pep chromosome:PHallii_v3.1:9:64747058:64751273:1 gene:PAHAL_9G502600 transcript:PAN50160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAVLGCADCSQAQRARVLACSRRLKHRGPDWSGVYQHEGNFLAQQRLAVVSPLSGDQPLFNEDRTVVVVANGEIYNHKKIRKQFTGKHVFTSGSDCEVIIPLYEEYGENFVDMLDGVFAFVLYDTRNKTFMAARDAIGVNPLYIGWGGDGSVWFASEMKALNEDCVRFEIFPPGHLYSSAAAGFRRWYNPAWFLEQVPATPYDPLVLRAAFEKAVVKRLMTDVPFGVLLSGGLDSSLVASVTKRHLVETEAAKKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKSLGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFIDVAMGMDPEWKMYDKSLGRIEKWVMRKAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTEQQVTDEMMNNAAKLYPYNTPVNKEAYYYRMIFERLFPQDSARETVPWGPSIACSTPAAIEWVEQWKASNDPSGRFISSHDAATDHAGTGAHANGNGVAALANGHGPTNGAVNGGEVAVANGHGAANGTEVAVAIAV >PAN49705 pep chromosome:PHallii_v3.1:9:62428465:62433477:1 gene:PAHAL_9G469800 transcript:PAN49705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTFLSLRLLTPSPAHAASSPFLQLPLLRQARGGAPSSALVARAAPGAPSPLFNPRGDPFLSTLAAASPEDLAAAAGGERRGEDHLPFLEIFQNAKLMATPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKAEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVIIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMSDMLSPDEWDKVAGVRRPDLM >PAN51351 pep chromosome:PHallii_v3.1:9:70270843:70278317:1 gene:PAHAL_9G587600 transcript:PAN51351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA-binding protein-like [Source: Projected from Oryza sativa (Os03g0171300)] MGCGRQIIGQTNQHQDTAESDVQCEVTTLREMSSRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >PVH33180 pep chromosome:PHallii_v3.1:9:70276626:70278317:1 gene:PAHAL_9G587600 transcript:PVH33180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA-binding protein-like [Source: Projected from Oryza sativa (Os03g0171300)] MSSRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >PAN51352 pep chromosome:PHallii_v3.1:9:70270843:70278317:1 gene:PAHAL_9G587600 transcript:PAN51352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA-binding protein-like [Source: Projected from Oryza sativa (Os03g0171300)] MGCGRQIIGQTNQHQDTAESDVQCEVTTLREMSSRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >PVH33179 pep chromosome:PHallii_v3.1:9:70271169:70278317:1 gene:PAHAL_9G587600 transcript:PVH33179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA-binding protein-like [Source: Projected from Oryza sativa (Os03g0171300)] MGCGRQIIGQTNQHQDTAESDVQCEVPSARVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >PVH32994 pep chromosome:PHallii_v3.1:9:67461137:67462587:-1 gene:PAHAL_9G543700 transcript:PVH32994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPLTHWAGILTPRTTAPHPRTGAPESKSGAPKAASQRSTSPSARAVHGRPAPGFSLCRVPEAYDATAAVGYYAPAADLDGASRAQRSMARLGRRARWRRVFLRSCATSLRLYLNDGGRRGEPYQFGRRTARSREPRPSPSHRRGVPDLDESCGSTCSLSNGERKERDRAERERKLDLGSIQRDNIRGRGVLLCGMKNFF >PAN51208 pep chromosome:PHallii_v3.1:9:69698787:69701969:-1 gene:PAHAL_9G578300 transcript:PAN51208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVVTGATGYLGGRLCAALADAGHAVRALARRSSDVSGLAPGVELAYGDVTDADSLAAAFDGCDAVFHAAASVEPWLPDPSVFLKVNVRGLENVLKAAKRTPTVKKIVYTSSFFAIGPTDGYVADETQMHPGKAFCTEYEKSKVLADRIALQAATEGVPITIVYPGVIYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVSGHIAAMEKGRVGERYLLTGENMSFKQIFNMAANITNTKAPLFHVPLWLIEVYGWISVFVSRITGKLPLISYPTVHVLRHQWSYSCDKAKTELGYSPRNLTEGLSEVLLWLKDEKQIKF >PVH31045 pep chromosome:PHallii_v3.1:9:2426753:2428102:-1 gene:PAHAL_9G044200 transcript:PVH31045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSLLLLPRASRPFGTTAVSLRSAGLGGARVVHPRRRRHGAGRRLSTVSVAALGAPRAEQAPVPAEKQFDWLDQWYPLAPVGELDPRAPHGSASASSPGTTAAPARGACSTTPARTASRRSPRAASTARAASSACTTAGASTAPAPASSSPRPPPSAPRRTGTAGRARRRTPAWSRTKSCGSTRGRRPSTRTCCGSEEEAPAVLRRDRRPVVAHRVRHKGFPLRVRHHDREPHRPCSCPIRAQGDATYFPQRARSRKS >PAN44713 pep chromosome:PHallii_v3.1:9:3667061:3670036:-1 gene:PAHAL_9G064000 transcript:PAN44713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEVKDSRPSRSPSETNLFLQWGSRKRLRCVKTRDDGSPSPSPSEVLRRAVPRASRPLLGADVAPFRSPRRPSTLQRRKSDSQANEYKQSMALSPEKDRYYSTRGSPFPFEGNGFDFGGLTEEKGTTALPRFFIALSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKSSKKRARGLKALSLESDSE >PAN44861 pep chromosome:PHallii_v3.1:9:4384901:4387178:-1 gene:PAHAL_9G076000 transcript:PAN44861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDVAEIGMGLDLGLDLRLFAARSAGGMAAAAAKGAPAGIEACIRSLEEERRKIEVFRRELPLCVRLLADVIEELKEEAARKGSDLELRPDDGDKRKWMSTAQLWVDSDAKSKSEKEQRNAMTSPEPKLLGGPMPIRAVPAVPPPPPPCFRRDDNAAGTVGLPGLSLLPPAAKTSISPVPAVDEHRQNAAARLSATMSPSGPGLNLHAQTQQQQQQARKARRCWSPELHRQFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKIARRGSGEPVDSAGGWPLGFSGAKQLTVRVSSGPPSVLWVRGGRLCSHRRRRQQQQQRRR >PAN44862 pep chromosome:PHallii_v3.1:9:4385280:4386808:-1 gene:PAHAL_9G076000 transcript:PAN44862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDVAEIGMGLDLGLDLRLFAARSAGGMAAAAAKGAPAGIEACIRSLEEERRKIEVFRRELPLCVRLLADVIEELKEEAARKGSDLELRPDDGDKRKWMSTAQLWVDSDAKSKSEKEQRNAMTSPEPKLLGGPMPIRAVPAVPPPPPPCFRRDDNAAGTVGLPGLSLLPPAAKTSISPVPAVDEHRQNAAARLSATMSPSGPGLNLHAQTQQQQQQARKARRCWSPELHRQFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGVAPVSQSILLVGGLWGSQEQSSSLSGSPQGPLQFSGSGAAVSAATVGGDSSSSSDEDDKSDEGYSRK >PVH33112 pep chromosome:PHallii_v3.1:9:69229610:69230000:-1 gene:PAHAL_9G570900 transcript:PVH33112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLNSILHKTYKMTCLDWVVHLACFALLFQTSACLLSLDQLSLTRAMIPTNFKLTH >PAN44137 pep chromosome:PHallii_v3.1:9:1131348:1137597:1 gene:PAHAL_9G020100 transcript:PAN44137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGLAYPDRFYAAAAYAGFGPGGATSSAAISRFQNDVALLLYGLHQQATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRISEFNSEPVVDIQMHKPKEEPQIVPASTNGTSISEPKIISENGSSVETQDKDVILEGLSTVSSHDEWTPLSISGHRPKPRYEHGATVLQDKMYVFGGNHNGRYLSDLQALDLKSLTWSKIDAKLQAESSDSAKTSQIAPCAGHSLITWGNKFFSIAGHTKDPSEGITVKEFDPHTCTWSIVRTYGKSPVSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDIETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLNVLDLQTMEWSRPKQQGLTPSPRAGHAGATIGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYNGFDYLISFGGYNGRYSNEVYTLKLSLKSDSKSTAKEETVSDTTSRVVEPEVETSQDGKIREIAMDSADSDMKNSSDEASEQLLAALKAEKEELEASLNREQLQTVQLKEEIAHAENRNVELTKELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEKEVELLRRQRAASEQAALDAKQRQSSGGMWGWLVGTPPDKSES >PVH32697 pep chromosome:PHallii_v3.1:9:61905615:61909602:1 gene:PAHAL_9G462900 transcript:PVH32697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASLAAGYGPDGVYRSPRPVAPIAADPELSITDLVLHRAAACPSALALVDAATGRALTFGALRSAVLVAAAALSSRARVRRGDAVLLLAPNCILYPVCFLAVTALGAVATTANPLYNPREIAKQAADALAKLVVTVSDLLPKIADLRLPTILLDGDGASLPNVTLYSDLVAGMQETEYRRPPTRQSDTAALFYSSGTTGESKGVVLTHRNFIAAATMVTSDQDQLGEGRNVLLCFLPMFHIFGMSVVTLGQLQRGNTVVVMARFDLDAVLAAVERHRVTYLFCAPPVMIALAKHGSGGRYDLSSLRCIGSGAAPLGKDVIEVVADKFPDAEIIQGYGMTETCGIISLEYPQKGRARQFGSTGALVTGVEAKIVDVKTMKHLPPNQLGEICLRGPNIMRGYFNNVQATEFTIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHAEILDAVVIPYPDLEAGEVPIAYVVRSPKSSLSEVDVQKFIEKQVTYYKRLRKVTFVESVPKSASGKILRRELIAQVRSSKL >PVH32967 pep chromosome:PHallii_v3.1:9:67065479:67070140:1 gene:PAHAL_9G537500 transcript:PVH32967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTASPPPAAGDHPRVLLRGLLSRETCKELEFVHRSCGAAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESFFDCLFDVFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNNHGEDYKGGILQFQDGDPSSIVPVAGDVVIYTADNRNVHCVTEVTEGERLTLTLWFTRDRAYNEDPKLLTFLSQTSLSCEPTKQKFYIPMPASDNMYWFPCDQSGFDIRCARVHVLGFSFHSSSGEYSTSVLPTEDDPVELLGKQLRLGRGDDVFDKIFSNSLHALQVVQFYYWKAPELAARREQSAVGSRTVRYPVIIHHSRGTELPLPCNHTLAQTIFGSVNTAEIAFKWNDFALGVAMWENYSEDLKKQLLTFLPFWLSNESIFVVDSSELQRAEPI >PVH32970 pep chromosome:PHallii_v3.1:9:67065479:67069238:1 gene:PAHAL_9G537500 transcript:PVH32970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTASPPPAAGDHPRVLLRGLLSRETCKELEFVHRSCGAAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESFFDCLFDVFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNNHGEDYKGGILQFQDGDPSSIVPVAGDVVIYTADNRNVHCVTEVTEGERLTLTLWFTRDRAYNEDPKLLTFLSQTSLSCEPTKQKFYIPMPASDNMYWFPCDQSGFDIRCARVHVLGFSFHSSSGEYSTSVLPTEDDPVELLGKQLRLGRGDDVFDKIFSNSLHALQVVQFYYWKAPELAARREQSAVGSRTVRYPVIIHHSRGTELPLPCNHTLAQTIFGSVNTAEIAFKWNDFALGVAMWENYSEDLKKQLLTFLPFWLSNESIFVVDSSELQRAEPI >PVH32968 pep chromosome:PHallii_v3.1:9:67065469:67070002:1 gene:PAHAL_9G537500 transcript:PVH32968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTASPPPAAGDHPRVLLRGLLSRETCKELEFVHRSCGAAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESFFDCLFDVFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNNHGEDYKGGILQFQDGDPSSIVPVAGDVVIYTADNRNVHCVTEVTEGERLTLTLWFTRDRAYNEDPKLLTFLSQTSLSCEPTKQKFYIPMPASDNMYWFPCDQSGFDIRCARVHVLGFSFHSSSGEYSTSVLPTEDDPVELLGKQLRLGRGDDVFDKIFSNSLHALQVVQFYYWKAPELAARREQSAVGSRTVRYPVIIHHSRGTELPLPCNHTLAQTIFGSVNTAEIAFKWNDFALGVAMWENYSEDLKKQLLTFLPFWLSNESIFVVDSSELQMWDS >PVH32969 pep chromosome:PHallii_v3.1:9:67065467:67070140:1 gene:PAHAL_9G537500 transcript:PVH32969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTASPPPAAGDHPRVLLRGLLSRETCKELEFVHRSCGAAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESFFDCLFDVFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNNHGEDYKGGILQFQDGDPSSIVPVAGDVVIYTADNRNVHCVTEVTEGERLTLTLWFTRDRAYNEDPKLLTFLSQTSLSCEPTKQKFYIPMPASDNMYWFPCDQSGFDIRCARVHVLGFSFHSSSGEYSTSVLPTEDDPVELLGKQLRLGRGDDVFDKIFSNSLHALQVVQFYYWKAPELAARREQSAVGSRTVRYPVIIHHSRGTELPLPCNHTLAQTIFGSVNTAEIAFKWNDFALGVAMWENYSEDLKKQLLTFLPFWLSNESIFVVDSSELQRAEPI >PVH31570 pep chromosome:PHallii_v3.1:9:11389781:11393157:1 gene:PAHAL_9G180300 transcript:PVH31570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRYGIHAVTLWLYLIQSGYSMVVSDFFSFSCSTMSTGPDRRPARSQSGASTSGAGRKKRSQTTWPSDVKSCGRVNSEAAPEDPSILVRLGRLCGLTARQRVPLTLEHFDDLSWNDKKRIFENNIQPYVEYPIELHDKATKHAMKIIYKAWRSYKNKLIKCWKKKENPFDKYADLTKEAWDELVQKWNTPEFQQSSEYFRGLRARNELDHHLGSAGYAGKQRKGIENPYESFEGRLAPFMRARSKLTEDGNINFYSTSAEEVAQRALMESSQGSNEGVREFDALTRALGTREQRGRVRGVSSRYRKRTRDSSSKVEIDEIKKQVKMEMFGELKTIFESQGLSFPDLPGSTMSEERRDSFASTAAGASHSRGTERAIVPTSVEPDTIDGLARPTRCSLLVQLVGDSSRLEVGKGLVYPGMSQLEGVQVRADCAVVKIDLLHEFAKNIKLDVPPDDTTTTLRDAVARRVQWRRAALPDPHPPVPTQPQITPPPPVPTEPATAPKKPRKANPVRKKQSRPMATKRKISEGKKKVEWIKHPVTSAYTSENPKYRVGKSLLSVPELRRAGQYCVDLHNYYMRNVNRAQEIMVSYEERHFLQLEGSRNIFIVAWSNLFDLFNLDALNLSLIRCFALHMQQETRRRTGKKCGYPQMMTVTVMNSYRDCLVRYMVKCMRVHADKEHIVVPYNPGNHWVTLIINVRSKSLDRHLRAEEGYKEQRQVAFTHHTAWTCTRQPSGNSCGFYVCHNMLLVAEKPDFTDEDDYFNQTTLGNVQDIRERLAGFLTMEVIRPKGEFHLS >PVH32496 pep chromosome:PHallii_v3.1:9:58236676:58238746:-1 gene:PAHAL_9G414000 transcript:PVH32496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVVAFLLAAAAAWQPAASALPPAPAPARHGDARAEQLDVEFVRGCCARTLYPRLCRAGLTPHAASVHSSHARLALASANLTLAALGALAARIPPPSSGALSDCAEAVASAADQAARAAERLRGVEHAVGLEVVWRVDDALTWLSAAMTDEDTCTDGLWPRKSAPAPVRAELRARVRRAKQYTSIALALVNMLASSNPRS >PAN48942 pep chromosome:PHallii_v3.1:9:58236676:58238529:-1 gene:PAHAL_9G414000 transcript:PAN48942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVVAFLLAAAAAWQPAASALPPAPAPARHGDARAEQLDVEFVRGCCARTLYPRLCRAGLTPHAASVHSSHARLALASANLTLAALGALAARIPPPSSGALSDCAEAVASAADQAARAAERLRGVEHAVGLEVVWRVDDALTWLSAAMTDEDTCTDGLWPRKSAPAPVRAELRARVRRAKQYTSIALALVNMLASSNPRS >PVH31392 pep chromosome:PHallii_v3.1:9:8033175:8033697:-1 gene:PAHAL_9G133300 transcript:PVH31392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCNFMDYLELTKWCLFSGQKVEERGRGAMQKRQDDEKIEGAGQAGGAGTLHQRAGEKRCRTGEDRLEQLNHGPGIKFGSFNVTSVPVGLQRCGGGPGGGSCA >PAN51599 pep chromosome:PHallii_v3.1:9:71342126:71345471:-1 gene:PAHAL_9G606400 transcript:PAN51599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSAVQAALSDKSYSSLAPLCDELLLQAASRGTTTDEWPYAVHLLAHLYLNDLNSARFFWKSLPQEVKGARPELAAIWRIGQCLWNRDYAGVYTAAQGFEWGPDIADFIAAFLESYRQRIFQLLTSAYSTITVADVAHFMGMSEEDSTNYAVQNGWSLDAGTKMLTVKKPKAQTNQKLDASKLQRLTECVFHLEH >PAN50754 pep chromosome:PHallii_v3.1:9:67444617:67448254:-1 gene:PAHAL_9G543600 transcript:PAN50754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 48 [Source:Projected from Arabidopsis thaliana (AT1G58340) UniProtKB/Swiss-Prot;Acc:Q9SLV0] MCNAAGSDTALPPPPAPLPPLGSFKASAHQLLRPVDGDDAGGHALQLSKVAGEARAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGYILKLLGQDEGVADAAQTFAAYSSADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVDRLGMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWPALLRLAVPTATAVCLEWWWYELMIVLSGLLANPRATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPGGARRAAGAALSIGVAVGLAAATFMVSVRDHWGRMFTSDADILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGARLGFAGLWLGLLAAQAACAVWMARAVAGTDWDVEVSRAKELTKASTSNNHSSECNATTPASDITTVIATIATGGTTNSNAAAGCKNSSGYVPISESCGGNDNELEKLEAGLMTSDDSANGGDNTDAVVRENHGSSGNSGGAGTTTATEGKEQRRGGPERAPLISVGDGEHDGDGRGGGQV >PVH32297 pep chromosome:PHallii_v3.1:9:53038705:53040255:-1 gene:PAHAL_9G362900 transcript:PVH32297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDIPVPLVFEWFKGALQETTEEQEPTVELVEAALDRLVVAPCQDHDEPVESGLPAPLVGTSVAPVVGTDTAPAASVALGPLPQEDQPPEMALDVFFATPPRPAVLVEPQVERKTTARPRHTYDMTNVRWSTRLAKKLALPAVQQAQVNLCRKLGLADEHVLVEQILAEYVAMYNGPLPSHVVAALSTVFRLDDKLTDELDDAMLELVGEGVEELQEGEGLAAL >PAN45275 pep chromosome:PHallii_v3.1:9:6231982:6234720:-1 gene:PAHAL_9G106800 transcript:PAN45275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKFTRFLKLVGVGLKARSDRQGRELFLKLGYSHEVQFTAPPAVRVFCFKPSIICCTGIDKDRVHQFAGAVRSCKPPEVYKGKGVLYIDEFVKLKPGKKQKK >PAN44750 pep chromosome:PHallii_v3.1:9:3892332:3897905:1 gene:PAHAL_9G067400 transcript:PAN44750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSCVSRGLRKAKAAASASRLFSASSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGTCQGVIALNMEDGTLHRFHASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVLHIKGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQKPLGKGAGEKTIAWLDKLRNADGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKAWESFHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFSTRDDENWMKHTLGYWENEKVRLAYRPVHMNTLDDEVQSFPPKARVY >PVH31149 pep chromosome:PHallii_v3.1:9:3892332:3897906:1 gene:PAHAL_9G067400 transcript:PVH31149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSCVSRGLRKAKAAASASRLFSASSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGTCQGVIALNMEDGTLHRFHASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVLHIKGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQKPLGKGAGEKTIAWLDKLRNADGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKAWESFHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFSTRDDENWMKHTLGYWENEKVRLAYRPVHMNTLDDEVQSFPPKARVY >PVH31150 pep chromosome:PHallii_v3.1:9:3892669:3897905:1 gene:PAHAL_9G067400 transcript:PVH31150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGTCQGVIALNMEDGTLHRFHASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVLHIKGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQKPLGKGAGEKTIAWLDKLRNADGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKAWESFHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFSTRDDENWMKHTLGYWENEKVRLAYRPVHMNTLDDEVQSFPPKARVY >PAN44751 pep chromosome:PHallii_v3.1:9:3893291:3897905:1 gene:PAHAL_9G067400 transcript:PAN44751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGTCQGVIALNMEDGTLHRFHASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVLHIKGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQKPLGKGAGEKTIAWLDKLRNADGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKAWESFHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFSTRDDENWMKHTLGYWENEKVRLAYRPVHMNTLDDEVQSFPPKARVY >PAN50331 pep chromosome:PHallii_v3.1:9:65451982:65455910:1 gene:PAHAL_9G513200 transcript:PAN50331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucuronosyltransferase GlcAT14C [Source:Projected from Arabidopsis thaliana (AT2G37585) UniProtKB/Swiss-Prot;Acc:Q8S8P3] MRKLPPAHRLRWMRALLLALPLLSLPILYAALGAARSSTPGRPQQQQRRPPPRLAYLITGAGPGDGPRIRRLLRALYHPWNCYLVGVAGEDDRADLEAFVRGQEAPRRYGNVRVADAGEWGAVSRRGPTELAATLHAAAVLLREFEGWSWFINLSASDYPLMPQDDILHIFSYIPRDLNFIDHTSNIGWKEYQRARPIIVDPALQVPNKTEVITTKEKRSLPSAFKIFVGSSWVVLSRSFLEFCLLGWDNLPRTLLMYFTNFLSSSEGYFHTVICNSEYYQNTTINSDLRFMTWDNPPRTHPVNLTTEHFDSMANSGAPFAHSFTNDSPVLDMIDTKLLGRAPDRFTPGGWCLGGSIGGKDPCTFFGRSFVLRPTKGSAKLEKLLLKLLEPDNFRPKQCK >PAN47540 pep chromosome:PHallii_v3.1:9:32626511:32630056:1 gene:PAHAL_9G301200 transcript:PAN47540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDILTRVDAICQKYDKYDVDKLNGANVAGDDPFARLYASVDADVNQCVEKAEAAKQEKNRATVVALNAEIRRTKAKLLEEDLPKLQRLAVKKVKGLTKEEIATRSDLVAALPDRIQSIPDGSSTATKENGTWGASGSRTRTGGAIKFDTTADGNFDDEYFKGTEESNQFRREYEMRRMKQDEGLDVIGEGLETLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKETVLQLRSSRNFCIDIILLCVILGIAAYLYNVLKK >PAN49759 pep chromosome:PHallii_v3.1:9:62655625:62657511:-1 gene:PAHAL_9G473400 transcript:PAN49759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSVHDFVVKDASGKDVPLSTYKGKVLLIVNVASRCGLTNSNYTELGQLYEMYKDQGFEILAFPCNQFGGQEPGTNEEIVQFVCTRFKAKYPIFDKVDVNGEDAAPIYKFLKSSKTGPFGDNIKWNFAKFLVDKQGRVAERYAPTTYPLSIQKDIKKLLGSF >PVH32675 pep chromosome:PHallii_v3.1:9:61604480:61606572:-1 gene:PAHAL_9G457600 transcript:PVH32675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPPSPTFLAAALAAALFLVAVLRGRPARKYDLPPGPRPWPVIGNLDLIGPLPHHSARDLSARHGPLVSLRLGSFHAVVASSPDMARFFLRTRDLAFVDRPRTAAGRYTTYNCSGLFYSPYGAYWRQGRKLWQAELFNARRLASLEHVRGEEEVHRRWGWLAVHAGGVQGYVKRMKRSAKMFDRFLEHVLDEHNERRRREGEEFVARDMVDVLLELADDPNLEVPIHRDGVKGFILDLIGGGTDTSAVTVEWAMSELLRNPEVLAKATEELDRVIGRDRLVAEEDIPNLPYMEAVVKETMRLHPVAPLLTPRLCREDVSVGGYDVPAGTRVLINIWAIGRDPAVWEAPMAFRPERFVGSGVDVKGQDLELLPFGSGRRMCPGIGLGLKMVHMILANLLHAFAWRLPDGVAAEDLSMEETFGLTVPRRVPLEAVAEPKLPAHLYALS >PVH32674 pep chromosome:PHallii_v3.1:9:61604480:61606566:-1 gene:PAHAL_9G457600 transcript:PVH32674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPPSPTFLAAALAAALFLVAVLRGRPARKYDLPPGPRPWPVIGNLDLIGPLPHHSARDLSARHGPLVSLRLGSFHAVVASSPDMARFFLRTRDLAFVDRPRTAAGRYTTYNCSGLFYSPYGAYWRQGRKLWQAELFNARRLASLEHVRGEEVRSMLSDLRAAAAVVALREHLFMVNLNVISRMVLGRKYIVDGAGSPFTPEEFRWLIDEHFFLNGVLNIGDVIPWLSCLDPQGYVKRMKRSAKMFDRFLEHVLDEHNERRRREGEEFVARDMVDVLLELADDPNLEVPIHRDGVKGFILDLIGGGTDTSAVTVEWAMSELLRNPEVLAKATEELDRVIGRDRLVAEEDIPNLPYMEAVVKETMRLHPVAPLLTPRLCREDVSVGGYDVPAGTRVLINIWAIGRDPAVWEAPMAFRPERFVGSGVDVKGQDLELLPFGSGRRMCPGIGLGLKMVHMILANLLHAFAWRLPDGVAAEDLSMEETFGLTVPRRVPLEAVAEPKLPAHLYALS >PAN49579 pep chromosome:PHallii_v3.1:9:61604480:61606707:-1 gene:PAHAL_9G457600 transcript:PAN49579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPIRRTPITHSIWRVHVGVLHSRPMELPPPSPTFLAAALAAALFLVAVLRGRPARKYDLPPGPRPWPVIGNLDLIGPLPHHSARDLSARHGPLVSLRLGSFHAVVASSPDMARFFLRTRDLAFVDRPRTAAGRKYIVDGAGSPFTPEEFRWLIDEHFFLNGVLNIGDVIPWLSCLDPQGYVKRMKRSAKMFDRFLEHVLDEHNERRRREGEEFVARDMVDVLLELADDPNLEVPIHRDGVKGFILDLIGGGTDTSAVTVEWAMSELLRNPEVLAKATEELDRVIGRDRLVAEEDIPNLPYMEAVVKETMRLHPVAPLLTPRLCREDVSVGGYDVPAGTRVLINIWAIGRDPAVWEAPMAFRPERFVGSGVDVKGQDLELLPFGSGRRMCPGIGLGLKMVHMILANLLHAFAWRLPDGVAAEDLSMEETFGLTVPRRVPLEAVAEPKLPAHLYALS >PVH32459 pep chromosome:PHallii_v3.1:9:57042143:57044908:1 gene:PAHAL_9G400800 transcript:PVH32459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMHKIEADDENLLQVALYDHNDQIITCETFSSMRVHIGAVHADFDEDHKGQWTEEDFHSKIVTGRPGKEHLLSGNLYFRLQDGEGYLSNVKFQDNSSFVPSKRFELGVMAADERISERIQEGITESFAVKAIRGFSTNKSGNPSPRDAVYKLSRIAMNGDRHKLLVQNGIEMVRNFLQFYSRNPEDLRKIMGKISDQDWDTIVNHAHKCNPTPGIYSGCMQERNVSHEHAPFSGSNIRSYLKGSCSVQQSPTVQKLDVQEAHQQMSSTYYGIAPGTSSENVSGKSKHQPDTTTNQNTMPSEELEGFQVVNQQVSSVGNEILPDSSMDNNTLEGPSSQQRRSLELDAIPEGSGVVPGNPSANDTTRVHMSALQDGFLEDESWGDFDLAETYMGDTRSVYLGIVGDFHLSAKHEYEPWRTFSCQ >PVH31278 pep chromosome:PHallii_v3.1:9:5943391:5944181:1 gene:PAHAL_9G102800 transcript:PVH31278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRVIWDRNRAWILRGKRGSKQWYLRQSSCWERGWSLVGCEDGRGCVVPARKPTESPRYCVNKLRRRPGSGTLERNGVFRLWMARRAGMTAAAAGGVGRVGRRRPEPGGYL >PVH33008 pep chromosome:PHallii_v3.1:9:67656309:67657019:-1 gene:PAHAL_9G546100 transcript:PVH33008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWSSTRRVAKPAAARGRQSATARGVPGLRLRLSPCAPGPALQETRRGSPARARARGPSGPAVSSAPAAAPTASGSGTWPAFIDRARKQGSPVWRPDRAQARATPRPGLRRAVGAERPARSRCVRHGGTGTGSAPHRQGAAARHAGHGGRKRGTALRRCVRACLARFLVSLPGSPGGTALTAFPSPVRARGHQFPRSWRGPPTPADMYTSPPVPGNRPRFIESLRNRAPSVRYKYK >PVH32139 pep chromosome:PHallii_v3.1:9:42380643:42390625:-1 gene:PAHAL_9G327900 transcript:PVH32139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPCATAALLAPCRHLPTPSASPPRTSPHASSPLASYHRSTAAGPGGASYRGPMAAALAVPPPRRPHLPRHGASFRGPTATCPSNASSLAAPRPRRPPLTDLQQ >PAN48619 pep chromosome:PHallii_v3.1:9:56643292:56645398:1 gene:PAHAL_9G395700 transcript:PAN48619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWFYRKGPSGFSGASTAEEVTAGVDGRGLVAVVTGASSGIGLETARVLAMRGVHVVMAVRNVSAGVAAKEAIAAKIPGARMDVLELDLSSIASVRRFASEFESLNLTLNILINNAGVMTRHCKLSCDGLELHFATNHIGHFLLTNLLLENMKSSCRDSGVEGRIVNLTSSGHFMTYPEGICFNKIHDPSGLNDFIAYGQSKLANILHSNKLSRILKEEGVNISANAVHPGVIRTNLFRNRTIVSGIFSNLTFKFICYFKR >PVH32424 pep chromosome:PHallii_v3.1:9:56643323:56645398:1 gene:PAHAL_9G395700 transcript:PVH32424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVHVVMAVRNVSAGVAAKEAIAAKIPGARMDVLELDLSSIASVRRFASEFESLNLTLNILINNAGVMTRHCKLSCDGLELHFATNHIGHFLLTNLLLENMKSSCRDSGVEGRIVNLTSSGHFMTYPEGICFNKIHDPSGLNDFIAYGQSKLANILHSNKLSRILKEEGVNISANAVHPGVIRTNLFRNRTIVSVLLNTIGRIISRSVEQGAATTCYVAMHTQVKGISGKYFANCNIGSPSSQASDAELAKKLWQFSLQTVS >PVH32423 pep chromosome:PHallii_v3.1:9:56643711:56644978:1 gene:PAHAL_9G395700 transcript:PVH32423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVHVVMAVRNVSAGVAAKEAIAAKIPGARMDVLELDLSSIASVRRFASEFESLNLTLNILINNAGVMTRHCKLSCDGLELHFATNHIGHFLLTNLLLENMKSSCRDSGVEGRIVNLTSSGHFMTYPEGICFNKIHDPSGLNDFIAYGQSKLANILHSNKLSRILKEEGVNISANAVHPGVIRTNLFRNRTIVSGIFSNLTFKFICYFKSSLEHHWKNHL >PAN51593 pep chromosome:PHallii_v3.1:9:71319284:71321738:1 gene:PAHAL_9G605800 transcript:PAN51593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRIAVGSHQEVYHPGALKAAFAEFISTLIFVFAGQGSGMAFSKLSGGGATTPAGLISAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTVACLLLRFSTGGLATGTFGLTGISVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWGYQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPTTDY >PAN43920 pep chromosome:PHallii_v3.1:9:312144:315715:1 gene:PAHAL_9G003300 transcript:PAN43920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMALDSWLSKVRSAMSSAKPSGAPPGPRKTSVGILAFEVASLMSKLLHMWRAVGDAAVARLRHDTINLDGVRKVVSDDDDFLLGLACAELVDALRAASDSVAALAARCADPALRDFRDAFLELADTGRDRHRWAAPSWKEMDTRAHKMEKQVASTTALRRAMEELAETEHGLRKLLQCGNGGGGCHRRSLSASKISVAAEQQQLIFSKKQEVKHLKQTSLWGCTFDAVVASLARAAFTTLARIKLIFGAGQEERHPPLHRSLTLSSAVHPSSFDAPPLSRKSMSMEELLFDQSLAASKRGGFFDESSAAMTPPPGTLGAAALAPRYAGVVISIERMARSPRQVGPEERDELYGMLTASVRAQLRARLRGAVPAADPGLAGQWRAALAGILEWLAPMAHATMRWQAERSLERRNTEAAAPGGNTNTNVLLLQTLHMADRGKVEAAVVELLVGLNYVWRFDKEMSCRALFAVHRQLMAMEQ >PAN45383 pep chromosome:PHallii_v3.1:9:6722266:6727041:-1 gene:PAHAL_9G114700 transcript:PAN45383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAELPLVYHIGLVLAALWAAGALGIRHSVLFLLAFIYLYMVNARCAMRLRKRIQHEEMKSAYQRRLLSDAESVRWLNHAIDKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKASVQELYMGRNPPMFTSMRVLPETSDDDHLVLELGMNFLSAEDTSVVLAMQLHKSVGLGMTANMHLTSMHVEGKILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFEQTLVEPNMIVINVEKFASTPSENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTQIQRKTLSPKWFEEFKMPITSWEASNELFMEVRDKDPMFDDLLGECIIDLHELRGGQRHDKWISLNNVKKGRIHLAVTVEDVSKDKNVSCLDESLRKADAELPVSTSVDSASDAGELPEEKKVLMDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARSPDTEIYQEVDKSKEIPTPKSSGQGGLFNLGSFFRKNSKKGSLNPSLPTTPGSQSVTELDPKLPKTPLPNLKELGEKRTSIKLVVNEEASPASKVGDADNSTEDVAKVIEKNAGELGRSLTSTLSRNVSRKRAEDKLSEIPEQIDAHGSEPVSEEPMPVEGEPVEIEGHQTMEHGKGDGAEEDALGAKVATQAL >PAN45380 pep chromosome:PHallii_v3.1:9:6721947:6727343:-1 gene:PAHAL_9G114700 transcript:PAN45380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKASVQELYMGRNPPMFTSMRVLPETSDDDHLLHKSVGLGMTANMHLTSMHVEGKILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFEQTLVEPNMIVINVEKFASTPSENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTQIQRKTLSPKWFEEFKMPITSWEASNELFMEVRDKDPMFDDLLGECIIDLHELRGGQRHDKWISLNNVKKGRIHLAVTVEDVSKDKNVSCLDESLRKADAELPVSTSVDSASDAGELPEEKKVLMDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARSPDTEIYQEVDKSKEIPTPKSSGQGGLFNLGSFFRKNSKKGSLNPSLPTTPGSQSVTELDPKLPKTPLPNLKELGEKRTSIKLVVNEEASPASKVGDADNSTEDVAKVIEKNAGELGRSLTSTLSRNVSRKRAEDKLSEIPEQIDAHGSEPVSEEPMPVEGEPVEIEGHQTMEHGKGDGAEEDALGAKVATQAL >PAN45381 pep chromosome:PHallii_v3.1:9:6722266:6725946:-1 gene:PAHAL_9G114700 transcript:PAN45381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKASVQELYMGRNPPMFTSMRVLPETSDDDHLVLELGMNFLSAEDTSVVLAMQLHKSVGLGMTANMHLTSMHVEGKILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFEQTLVEPNMIVINVEKFASTPSENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTQIQRKTLSPKWFEEFKMPITSWEASNELFMEVRDKDPMFDDLLGECIIDLHELRGGQRHDKWISLNNVKKGRIHLAVTVEDVSKDKNVSCLDESLRKADAELPVSTSVDSASDAGELPEEKKVLMDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARSPDTEIYQEVDKSKEIPTPKSSGQGGLFNLGSFFRKNSKKGSLNPSLPTTPGSQSVTELDPKLPKTPLPNLKELGEKRTSIKLVVNEEASPASKVGDADNSTEDVAKVIEKNAGELGRSLTSTLSRNVSRKRAEDKLSEIPEQIDAHGSEPVSEEPMPVEGEPVEIEGHQTMEHGKGDGAEEDALGAKVATQAL >PAN45382 pep chromosome:PHallii_v3.1:9:6721947:6727343:-1 gene:PAHAL_9G114700 transcript:PAN45382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAELPLVYHIGLVLAALWAAGALGIRHSVLFLLAFIYLYMVNARCAMRLRKRIQHEEMKSAYQRRLLSDAESVRWLNHAIDKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKASVQELYMGRNPPMFTSMRVLPETSDDDHLLHKSVGLGMTANMHLTSMHVEGKILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFEQTLVEPNMIVINVEKFASTPSENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTQIQRKTLSPKWFEEFKMPITSWEASNELFMEVRDKDPMFDDLLGECIIDLHELRGGQRHDKWISLNNVKKGRIHLAVTVEDVSKDKNVSCLDESLRKADAELPVSTSVDSASDAGELPEEKKVLMDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARSPDTEIYQEVDKSKEIPTPKSSGQGGLFNLGSFFRKNSKKGSLNPSLPTTPGSQSVTELDPKLPKTPLPNLKELGEKRTSIKLVVNEEASPASKVGDADNSTEDVAKVIEKNAGELGRSLTSTLSRNVSRKRAEDKLSEIPEQIDAHGSEPVSEEPMPVEGEPVEIEGHQTMEHGKGDGAEEDALGAKVATQAL >PVH31701 pep chromosome:PHallii_v3.1:9:13982118:13982531:-1 gene:PAHAL_9G216100 transcript:PVH31701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLCSTAPAVPRPPAAARRLLHLLSRSSIPPAKCRFPPSLIHSSSDLRALPLFSEPRDSDAAPSVALANAPFNSLASSELPARRASWPSQQVALLPTPRRLQGHPSLSYAGATIASHGPASPRSPTPPSPFLERKP >PAN48516 pep chromosome:PHallii_v3.1:9:55819036:55820063:1 gene:PAHAL_9G386800 transcript:PAN48516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGMGAEPASLLGMFEGQPQGMRMNYYPRCWQADQVLGLSPQSDACGLTILLQKKDVQGLQVKRDGKWFAVDALDGALIVNVGDILEILSNGKFRSAEHRAVIHQNTERISVAVFHQPCQDLIVGPLPEFVKGDKVRYRSTSYRDFLTQFLKAKLDGKNHLEKLKL >PAN50854 pep chromosome:PHallii_v3.1:9:68064240:68067657:-1 gene:PAHAL_9G551800 transcript:PAN50854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQLPPRKLETSCSSGLLRCSIPSRIPGLQRFARLQLLPTPNPNRDHTSPARPTTGLPACLRRDEMTSPPSSPPSWVILGSVARVCAADADLPPGADLSLALAPPPRVSHLTIPPRFFPDAPTARDFPVVLAADPSGLLLLHANQGRATGPLVIDRPGLRQFGLHEFVGGYFVLDAASASAFAIPEPELISERAFLGLLLHPGGGRRYAVAELQPIVGRDHAYLFCFSSDVGEWVQKVIPRTLPHRLWVPAAVLAHHGRLWWADLSFGFITCDPFADAPVLAFVPLPPGKALRHREAAGVLDRYRVVGLSAGKLRFVDMYRNRDRRGALQVSVWTLPDPEATEWALEHEASFPDIWADRSYKAAGLPMKIPVLALIHPKDPAIVYFFLEEHLFGVDLHARSVVECEVYEMVAPDRDLVATRFVHAWELPRALSSCSEPKDQDEEKLSPTSPPPDMKTKE >PAN49682 pep chromosome:PHallii_v3.1:9:62210183:62215304:1 gene:PAHAL_9G466900 transcript:PAN49682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGRPSASSPDRPPQPPEPAPAAPPQEAAGKAEQPAEKPARRERRSRSSRPAPGGGSFANRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIRETFKDFPQSALQLIETLLAIDPADRLTATAALRSDFFTTEPFACEPSSLPKYPPSKEIDAKRRDEEARRLRAAGGRANGDGSKKTRTRDRPKAVPAPEANAELQVNIDKRRFITHANAKSKSEKFPPPHQDGAVGVPLDSSNHMDPLYEPPDPSSFSTVFTYEKGAVPTWSGPLADPAVVANQKRKHKSGRSSKQPATARAR >PAN49879 pep chromosome:PHallii_v3.1:9:63244681:63247903:1 gene:PAHAL_9G481500 transcript:PAN49879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSNSPDRQSSGGSPEERGSGSGSGSGGRGTGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAAAASAAQSSPVASPTVGLPSGAVRYPLAMGGSASVCQYEQQASSSSSSGSTGGSSLGLFALGPGVPGTGAGYFQASCGASSPLAPGLMGDVDSGGGSDDLFAISRQMGFAESPVASSSVAPSTTAGHQQQYYSCQSPAATITVFINGVPMEVPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILTQNLQMGESYFLVARPT >PVH32462 pep chromosome:PHallii_v3.1:9:57069484:57071524:1 gene:PAHAL_9G401400 transcript:PVH32462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPGPPRCQTGSQQAQLAGLALGVAVTHGTRSLFRRPAPAHTTHAGVRSPAPRSPRNHTRPAESETPDEAAAAPANPRLHLRCRWLAESRLSRAETEQGARSARRQPASNTFELRRLLSRRQAATATHGHTAIQTFA >PAN51378 pep chromosome:PHallii_v3.1:9:70377746:70380899:1 gene:PAHAL_9G590100 transcript:PAN51378 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G37055) UniProtKB/Swiss-Prot;Acc:Q9FHW2] MDGEEENVGPFRRTSTRTRRMATRMASALASSDNRAQAALARLEALESDNAGVEVVDLNDDEYGSTDEEDPVLMQKKQSKIMKRKTRQGKALEKRAARSFMDVLQEANLESLPINVPTYLRAAVGPPSTSSPRHYCSVCGSSANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >PVH31012 pep chromosome:PHallii_v3.1:9:2032158:2038346:1 gene:PAHAL_9G036800 transcript:PVH31012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKIQQAAADGRTAFSFEYFPPKTEEGVENLFERMDRMVAHGPSFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDAIQGEGGATLEAYTNDLAYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFVRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGIHLGTEMCKKILATGIKTLHLYTLNMEKSALAILMNLGLIEESKVSRPLPWRPPTNVFRVKEDVRPIFWANRPKSYITRTLGWDQYPQGRWGDSRNPSYGALTDHQFARPRGRGKKLQEEWAVPLKSVEDISERFTNFCEGKLTSSPWSELDGLQPETKIIDDQLVKINQKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYLELFCAKEKLDQLVEKIKAFPSLTYIAVNKDGESFSNVPANAVNAVTWGVFPGKEIIQPTVVDSASFMVWKDEAFEIWTRGWGCLFPEGDSSRELLEKVQKSYYLVSLVDNDYIHGDLFAAFKEI >PVH31013 pep chromosome:PHallii_v3.1:9:2033649:2038346:1 gene:PAHAL_9G036800 transcript:PVH31013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDAIQGEGGATLEAYTNDLAYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFVRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGIHLGTEMCKKILATGIKTLHLYTLNMEKSALAILMNLGLIEESKVSRPLPWRPPTNVFRVKEDVRPIFWANRPKSYITRTLGWDQYPQGRWGDSRNPSYGALTDHQFARPRGRGKKLQEEWAVPLKSVEDISERFTNFCEGKLTSSPWSELDGLQPETKIIDDQLVKINQKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYLELFCAKEKLDQLVEKIKAFPSLTYIAVNKDGESFSNVPANAVNAVTWGVFPGKEIIQPTVVDSASFMVWKDEAFEIWTRGWGCLFPEGDSSRELLEKVQKSYYLVSLVDNDYIHGDLFAAFKEI >PVH31251 pep chromosome:PHallii_v3.1:9:5481005:5497654:-1 gene:PAHAL_9G095500 transcript:PVH31251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPRPSYAHHQLQQHLSSLLSAAAGEPPHPSDDASRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYATLCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAALVAEGLRELLATGDVAPVERFVPPLLAACRDVLEDERTSLAVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWAFVPDLADADRSMIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVLFVDVLAQSLEVASSAQLVAALKTNLQVLSLQNLGLRASSVGALLDFSSILSKLRLHPNHAVVANSAATYLFCLQHGSEDVVDQATASLMKELELIKSLLKVGQVSYPDIQSLSLEPNNERKSKSNSGVHSWAQYSEDQLLSLMKFDLKILLATIALDTKKRNERATSLTSFISEKLDPFGTPFHNFLEMQFQIFSTLHKLSNVELSSIMPTSESFERGSGGSKIQLISCECKKKFVHKYGKYIVQGLNASSSMTLKLEALDWIASFENLIRGTERDVDKFSFSYEVIGDATLSNGILFTVLDCAYDREPKVRCHVALTLELLFLGRLINPTNFSVVAQVLLDKLSDPDSNVKDAFLRLFSIALPITTYGFGLLVDKHSYLNSSDIANMSNHCMNWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMLSGHTDMSGDADGNELPKGPGVDRATIDRIYSVNNHAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTAEAKEGEGRYTGPADICLLPMRLLLDFVEALKKYAYNAYEGSFVLSPPPKASSVFFRANKRVCEEWFSRICDPMLNAGLAMHCSDAVVHYCSLRLVDLRNLAASSLRGNSHTGGATEIHHGFRERLEADVLKVLRHASLSLCRCHETDALVGLQKWAVSTFYTYFEQDNQLVRGVSDCDKYFSWMSGLIYQSQGQYEKAAAHYSHLLQSEDALTSMKSDGIQYIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELTLDPKVAIARSELMLLRGMLRSNSKLEGIKEELDKAKLMLDEALSVAPLNGLPEAAACAGQLHCIFAFEEASGLTYRNGPNQSQSIMDSLQKVLHDPVDRMHQDCTMWLKLFKVYCNTQPSSLSTLLLCQKLASLARKQGNFMLATRLNQYLINHPLKSSDEMDKEILELNIKYEGALLKHEKGNNEEALSDLWSLVRASVQSTINCSSDIGTSRSLIARACLKLSTWMEQGNSTPILNMIIPKVIEDFSDSDGFQNGTQKLLFGDSVSVSTLNYHALAQEIIGTARKISWQLCPSMGKAWLAYAHWCFTHASYSLSGKDSNLQNSLSPVLQPELSPDRYHLTDEEKSEVEEIIRSIHADKHADHMGCDYPVTAEPVTVLTEQAIQMIETATGAPGFEAREGEDPPAVLASELTVLCKCDCAKDKAPLIDKLIEIWWSSRKRRVSLFGHAAHAYFQYLQNSSTELQPSYHPDALKGKTRSYTMRAMLYLLHIMLNYGVELKETLESGISAVPLLPWQEIIPQLFARLSSHPEKIVRELLESILLKLGKLSPCSIVYPTLVDMNACEGEPSEELQRMSDFLVKLYPNLIKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAVMTPIIVALERRLASTSREPKTSHEMWFHKEYNAKLKHAIATLKTPPGSLTTLGDIWQPFDSIAASLATHQRKSCISLSEIAPHLAALSTSDIPMPGFEKQILDSLESFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSFLYSSSDTRSRNIALRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAEAQLSSVSTGNIPNPVPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLELMNETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMVGHMLGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVSCEEVMNVLLKNKDIILMLLEVFVWDPLIEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHKDIFLTNLPATVSALKKFLDTLDHYEVASAIFYHAEKERSSVLQNEISAKSVLADATSVAEKSRTSFEIHAHELAEAKAAAVDEANKLKIWVEKHARVLEAIQDNSVACVESCMQLNCKDEALSLISAVQESGVPLTVLPEPTRAQCSELDREVSQLISELQGGLSSALDSLGEYSLVLQQVLPVNYITTSPISSWAQVLQLSVRSTSQDMLSLAKRQAAEVIAKVQGEGIHLVQQRYRDLLNQMESYVTCVERLARECSELMNSIGLDNEVQSKERILSAFMNSIQLPSQKKDGDDTHLSHKESLRQGEITAKGDIQETTSKVLSILGIAVGQLYSDIRAKVYDLSTKAIGKAKFRADDSGLQADAGMGLQFFEQHIEKCALISGVVDEVHEVIGKTLAETSAAYAKPHPRHWASTFQAALHSSVNMIEQMTEAFLPEFIRSFVSHNSEVMEAVGSISKIRGSVDKALEKLVEIELERTSLSELEQSYSVRVGRITEQQIALEEAAARGREHLSWEEAEELASQEEICRAQLEQLHETWSQKDMRISSLMKVEDSVVDSLLSSKQYFSSLVDRDHENEFHFRQSKALLSILTRPFADLESVDRVFPSQIDRPISNMNDALSLGSSLSDLVWPLVGILKDHAFFVWELNLLDSILDMCMHEISSSVEHSINANQLYLTLKKKLAAHVEKQVFRYITERIAPSLILSLDEEISFLLQLCQGRRESDQPKRDSAAVGRVALMLEEYCNAHETARAARTTVSLMKKQLNELTEALRKIILETVQIEWLHDLSSPHVQKAKVLSQNILGDDKFISLILNLRRNNLLDKIQSSASLITRSIEFLQACESISVSAEGQLERAMGWACAGPNTSGAGGSTAKGSGIPPEFHGHLLKRRKLLRVVQEEASDLVKLCTSVLEFEASRDGLYFIPEDKASEQSMDKGRAWQQTFLNLLTRLDAAYHSFTCAEQEWKLGQLNLEAAGKGLFSANNQVSVVSVKAKSALVNLQDALVAMYEHACEVSALLSGFKHVSQDRSALTSECGSLLDEVLAIADGLHDVYTLGKEAAAVHSSLMTNLSKANAILFPLEACLSADVTVMSEAISKEREKNNGSMPLIHGKALYQSYNIKIREACKNIEPLLGPLTENVEGLHSMVMKLGHLSSLHAGNLHKALEVPGERESVRSQDIPSTHPDLLQSDSSTEKVRDSSENMGCGSPDLEMNTDVSLQDGCWISPPEHSYTSSSGCTTGLTQNSSSDNLEKIHALMDVRTEIEDPVATDQETRDGSDDHSISSTVALTHASNIHEVETQLVEGRIESDNNSAVFKQVRGQECENSDPKSYADSSIRVTRGKNPFALSILKQVEHKLHGRDIDGTRSLNISEQVDYLIKQATSIDNLCNMYEGWTPWI >PVH31254 pep chromosome:PHallii_v3.1:9:5480458:5497812:-1 gene:PAHAL_9G095500 transcript:PVH31254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPRPSYAHHQLQQHLSSLLSAAAGEPPHPSDDASRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYATLCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAALVAEGLRELLATGDVAPVERFVPPLLAACRDVLEDERTSLAVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWAFVPDLADADRSMIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVLFVDVLAQSLEVASSAQLVAALKTNLQVLSLQNLGLRASSVGALLDFSSILSKLRLHPNHAVVANSAATYLFCLQHGSEDVVDQATASLMKELELIKSLLKVGQVSYPDIQSLSLEPNNERKSKSNSGVHSWAQYSEDQLLSLMKFDLKILLATIALDTKKRNERATSLTSFISEKLDPFGTPFHNFLEMQFQIFSTLHKLSNVELSSIMPTSESFERGSGGSKIQLISCECKKKFVHKYGKYIVQGLNASSSMTLKLEALDWIASFENLIRGTERDVDKFSFSYEVIGDATLSNGILFTVLDCAYDREPKVRCHVALTLELLFLGRLINPTNFSVVAQVLLDKLSDPDSNVKDAFLRLFSIALPITTYGFGLLVDKHSYLNSSDIANMSNHCMNWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMLSGHTDMSGDADGNELPKGPGVDRATIDRIYSVNNHAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTAEAKEGEGRYTGPADICLLPMRLLLDFVEALKKYAYNAYEGSFVLSPPPKASSVFFRANKRVCEEWFSRICDPMLNAGLAMHCSDAVVHYCSLRLVDLRNLAASSLRGNSHTGGATEIHHGFRERLEADVLKVLRHASLSLCRCHETDALVGLQKWAVSTFYTYFEQDNQLVRGVSDCDKYFSWMSGLIYQSQGQYEKAAAHYSHLLQSEDALTSMKSDGIQYIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELTLDPKVAIARSELMLLRGMLRSNSKLEGIKEELDKAKLMLDEALSVAPLNGLPEAAACAGQLHCIFAFEEASGLTYRNGPNQSQSIMDSLQKVLHDPVDRMHQDCTMWLKLFKVYCNTQPSSLSTLLLCQKLASLARKQGNFMLATRLNQYLINHPLKSSDEMDKEILELNIKYEGALLKHEKGNNEEALSDLWSLVRASVQSTINCSSDIGTSRSLIARACLKLSTWMEQGNSTPILNMIIPKVIEDFSDSDGFQNGTQKLLFGDSVSVSTLNYHALAQEIIGTARKISWQLCPSMGKAWLAYAHWCFTHASYSLSGKDSNLQNSLSPVLQPELSPDRYHLTDEEKSEVEEIIRSIHADKHADHMGCDYPVTAEPVTVLTEQAIQMIETATGAPGFEAREGEDPPAVLASELTVLCKCDCAKDKAPLIDKLIEIWWSSRKRRVSLFGHAAHAYFQYLQNSSTELQPSYHPDALKGKTRSYTMRAMLYLLHIMLNYGVELKETLESGISAVPLLPWQEIIPQLFARLSSHPEKIVRELLESILLKLGKLSPCSIVYPTLVDMNACEGEPSEELQRMSDFLVKLYPNLIKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAVMTPIIVALERRLASTSREPKTSHEMWFHKEYNAKLKHAIATLKTPPGSLTTLGDIWQPFDSIAASLATHQRKSCISLSEIAPHLAALSTSDIPMPGFEKQILDSLESFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSFLYSSSDTRSRNIALRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAEAQLSSVSTGNIPNPVPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLELMNETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMVGHMLGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVSCEEVMNVLLKNKDIILMLLEVFVWDPLIEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHKDIFLTNLPATVSALKKFLDTLDHYEVASAIFYHAEKERSSVLQNEISAKSVLADATSVAEKSRTSFEIHAHELAEAKAAAVDEANKLKIWVEKHARVLEAIQDNSVACVESCMQLNCKDEALSLISAVQESGVPLTVLPEPTRAQCSELDREVSQLISELQGGLSSALDSLGEYSLVLQQVLPVNYITTSPISSWAQVLQLSVRSTSQDMLSLAKRQAAEVIAKVQGEGIHLVQQRYRDLLNQMESYVTCVERLARECSELMNSIGLDNEVQSKERILSAFMNSIQLPSQKKDGDDTHLSHKESLRQGEITAKGDIQETTSKVLSILGIAVGQLYSDIRAKVYDLSTKAIGKAKFRADDSGLQADAGMGLQFFEQHIEKCALISGVVDEVHEVIGKTLAETSAAYAKPHPRHWASTFQAALHSSVNMIEQMTEAFLPEFIRSFVSHNSEVMEAVGSISKIRGSVDKALEKLVEIELERTSLSELEQSYSVRVGRITEQQIALEEAAARGREHLSWEEAEELASQEEICRAQLEQLHETWSQKDMRISSLMKVEDSVVDSLLSSKQYFSSLVDRDHENEFHFRQSKALLSILTRPFADLESVDRVFPSQIDRPISNMNDALSLGSSLSDLVWPLVGILKDHAFFVWELNLLDSILDMCMHEISSSVEHSINANQLYLTLKKKLAAHVEKQVFRYITERIAPSLILSLDEEISFLLQLCQGRRESDQPKRDSAAVGRVALMLEEYCNAHETARAARTTVSLMKKQLNELTEALRKIILETVQIEWLHDLSSPHVQKAKVLSQNILGDDKFISLILNLRRNNLLDKIQSSASLITRSIEFLQACESISVSAEGQLERAMGWACAGPNTSGAGGSTAKGSGIPPEFHGHLLKRRKLLRVVQEEASDLVKLCTSVLEFEASRDGLYFIPEDKASEQSMDKGRAWQQTFLNLLTRLDAAYHSFTCAEQEWKLGQLNLEAAGKGLFSANNQVSVVSVKAKSALVNLQDALVAMYEHACEVSALLSGFKHVSQDRSALTSECGSLLDEVLAIADGLHDVYTLGKEAAAVHSSLMTNLSKANAILFPLEACLSADVTVMSEAISKEREKNNGSMPLIHGKALYQSYNIKIREACKNIEPLLGPLTENVEGLHSMVMKLGHLSSLHAGNLHKALEVPGERESVRSQDIPSTHPDLLQSDSSTEKVRDSSENMGCGSPDLEMNTDVSLQDGCWISPPEHSYTSSSGCTTGLTQNSSSDNLEKIHALMDVRTEIEDPVATDQETRDGSDDHSISSTVALTHASNIHEVETQLVEGRIESDNNSAVFKQVRGQECENSDPKSYADSSIRVTRGKNPFALSILKQVEHKLHGRDIDGTRSLNISEQVDYLIKQATSIDNLCNMYEGWTPWI >PVH31253 pep chromosome:PHallii_v3.1:9:5479152:5497654:-1 gene:PAHAL_9G095500 transcript:PVH31253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPRPSYAHHQLQQHLSSLLSAAAGEPPHPSDDASRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYATLCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAALVAEGLRELLATGDVAPVERFVPPLLAACRDVLEDERTSLAVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWAFVPDLADADRSMIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVLFVDVLAQSLEVASSAQLVAALKTNLQVLSLQNLGLRASSVGALLDFSSILSKLRLHPNHAVVANSAATYLFCLQHGSEDVVDQATASLMKELELIKSLLKVGQVSYPDIQSLSLEPNNERKSKSNSGVHSWAQYSEDQLLSLMKFDLKILLATIALDTKKRNERATSLTSFISEKLDPFGTPFHNFLEMQFQIFSTLHKLSNVELSSIMPTSESFERGSGGSKIQLISCECKKKFVHKYGKYIVQGLNASSSMTLKLEALDWIASFENLIRGTERDVDKFSFSYEVIGDATLSNGILFTVLDCAYDREPKVRCHVALTLELLFLGRLINPTNFSVVAQVLLDKLSDPDSNVKDAFLRLFSIALPITTYGFGLLVDKHSYLNSSDIANMSNHCMNWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMLSGHTDMSGDADGNELPKGPGVDRATIDRIYSVNNHAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTAEAKEGEGRYTGPADICLLPMRLLLDFVEALKKYAYNAYEGSFVLSPPPKASSVFFRANKRVCEEWFSRICDPMLNAGLAMHCSDAVVHYCSLRLVDLRNLAASSLRGNSHTGGATEIHHGFRERLEADVLKVLRHASLSLCRCHETDALVGLQKWAVSTFYTYFEQDNQLVRGVSDCDKYFSWMSGLIYQSQGQYEKAAAHYSHLLQSEDALTSMKSDGIQYIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELTLDPKVAIARSELMLLRGMLRSNSKLEGIKEELDKAKLMLDEALSVAPLNGLPEAAACAGQLHCIFAFEEASGLTYRNGPNQSQSIMDSLQKVLHDPVDRMHQDCTMWLKLFKVYCNTQPSSLSTLLLCQKLASLARKQGNFMLATRLNQYLINHPLKSSDEMDKEILELNIKYEGALLKHEKGNNEEALSDLWSLVRASVQSTINCSSDIGTSRSLIARACLKLSTWMEQGNSTPILNMIIPKVIEDFSDSDGFQNGTQKLLFGDSVSVSTLNYHALAQEIIGTARKISWQLCPSMGKAWLAYAHWCFTHASYSLSGKDSNLQNSLSPVLQPELSPDRYHLTDEEKSEVEEIIRSIHADKHADHMGCDYPVTAEPVTVLTEQAIQMIETATGAPGFEAREGEDPPAVLASELTVLCKCDCAKDKAPLIDKLIEIWWSSRKRRVSLFGHAAHAYFQYLQNSSTELQPSYHPDALKGKTRSYTMRAMLYLLHIMLNYGVELKETLESGISAVPLLPWQEIIPQLFARLSSHPEKIVRELLESILLKLGKLSPCSIVYPTLVDMNACEGEPSEELQRMSDFLVKLYPNLIKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAVMTPIIVALERRLASTSREPKTSHEMWFHKEYNAKLKHAIATLKTPPGSLTTLGDIWQPFDSIAASLATHQRKSCISLSEIAPHLAALSTSDIPMPGFEKQILDSLESFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSFLYSSSDTRSRNIALRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAEAQLSSVSTGNIPNPVPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLELMNETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMVGHMLGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVSCEEVMNVLLKNKDIILMLLEVFVWDPLIEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHKDIFLTNLPATVSALKKFLDTLDHYEVASAIFYHAEKERSSVLQNEISAKSVLADATSVAEKSRTSFEIHAHELAEAKAAAVDEANKLKIWVEKHARVLEAIQDNSVACVESCMQLNCKDEALSLISAVQESGVPLTVLPEPTRAQCSELDREVSQLISELQGGLSSALDSLGEYSLVLQQVLPVNYITTSPISSWAQVLQLSVRSTSQDMLSLAKRQAAEVIAKVQGEGIHLVQQRYRDLLNQMESYVTCVERLARECSELMNSIGLDNEVQSKERILSAFMNSIQLPSQKKDGDDTHLSHKESLRQGEITAKGDIQETTSKVLSILGIAVGQLYSDIRAKVYDLSTKAIGKAKFRADDSGLQADAGMGLQFFEQHIEKCALISGVVDEVHEVIGKTLAETSAAYAKPHPRHWASTFQAALHSSVNMIEQMTEAFLPEFIRSFVSHNSEVMEAVGSISKIRGSVDKALEKLVEIELERTSLSELEQSYSVRVGRITEQQIALEEAAARGREHLSWEEAEELASQEEICRAQLEQLHETWSQKDMRISSLMKVEDSVVDSLLSSKQYFSSLVDRDHENEFHFRQSKALLSILTRPFADLESVDRVFPSQIDRPISNMNDALSLGSSLSDLVWPLVGILKDHAFFVWELNLLDSILDMCMHEISSSVEHSINANQLYLTLKKKLAAHVEKQVFRYITERIAPSLILSLDEEISFLLQLCQGRRESDQPKRDSAAVGRVALMLEEYCNAHETARAARTTVSLMKKQLNELTEALRKIILETVQIEWLHDLSSPHVQKAKVLSQNILGDDKFISLILNLRRNNLLDKIQSSASLITRSIEFLQACESISVSAEGQLERAMGWACAGPNTSGAGGSTAKGSGIPPEFHGHLLKRRKLLRVVQEEASDLVKLCTSVLEFEASRDGLYFIPEDKASEQSMDKGRAWQQTFLNLLTRLDAAYHSFTCAEQEWKLGQLNLEAAGKGLFSANNQVSVVSVKAKSALVNLQDALVAMYEHACEVSALLSGFKHVSQDRSALTSECGSLLDEVLAIADGLHDVYTLGKEAAAVHSSLMTNLSKANAILFPLEACLSADVTVMSEAISKEREKNNGSMPLIHGKALYQSYNIKIREACKNIEPLLGPLTENVEGLHSMVMKLGHLSSLHAGNLHKALEVPGERESVRSQDIPSTHPDLLQSDSSTEKVRDSSENMGCGSPDLEMNTDVSLQDGCWISPPEHSYTSSSGCTTGLTQNSSSDNLEKIHALMDVRTEIEDPVATDQETRDGSDDHSISSTVALTHASNIHEVETQLVEGRIESDNNSAVFKQVRGQECENSDPKSYADSSIRVTRGKNPFALSILKQVEHKLHGRDIDGTRSLNISEQVDYLIKQATSIDNLCNMYEGWTPWI >PVH31252 pep chromosome:PHallii_v3.1:9:5479148:5497812:-1 gene:PAHAL_9G095500 transcript:PVH31252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPRPSYAHHQLQQHLSSLLSAAAGEPPHPSDDASRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYATLCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAALVAEGLRELLATGDVAPVERFVPPLLAACRDVLEDERTSLAVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWAFVPDLADADRSMIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVLFVDVLAQSLEVASSAQLVAALKTNLQVLSLQNLGLRASSVGALLDFSSILSKLRLHPNHAVVANSAATYLFCLQHGSEDVVDQATASLMKELELIKSLLKVGQVSYPDIQSLSLEPNNERKSKSNSGVHSWAQYSEDQLLSLMKFDLKILLATIALDTKKRNERATSLTSFISEKLDPFGTPFHNFLEMQFQIFSTLHKLSNVELSSIMPTSESFERGSGGSKIQLISCECKKKFVHKYGKYIVQGLNASSSMTLKLEALDWIASFENLIRGTERDVDKFSFSYEVIGDATLSNGILFTVLDCAYDREPKVRCHVALTLELLFLGRLINPTNFSVVAQVLLDKLSDPDSNVKDAFLRLFSIALPITTYGFGLLVDKHSYLNSSDIANMSNHCMNWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMLSGHTDMSGDADGNELPKGPGVDRATIDRIYSVNNHAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTAEAKEGEGRYTGPADICLLPMRLLLDFVEALKKYAYNAYEGSFVLSPPPKASSVFFRANKRVCEEWFSRICDPMLNAGLAMHCSDAVVHYCSLRLVDLRNLAASSLRGNSHTGGATEIHHGFRERLEADVLKVLRHASLSLCRCHETDALVGLQKWAVSTFYTYFEQDNQLVRGVSDCDKYFSWMSGLIYQSQGQYEKAAAHYSHLLQSEDALTSMKSDGIQYIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELTLDPKVAIARSELMLLRGMLRSNSKLEGIKEELDKAKLMLDEALSVAPLNGLPEAAACAGQLHCIFAFEEASGLTYRNGPNQSQSIMDSLQKVLHDPVDRMHQDCTMWLKLFKVYCNTQPSSLSTLLLCQKLASLARKQGNFMLATRLNQYLINHPLKSSDEMDKEILELNIKYEGALLKHEKGNNEEALSDLWSLVRASVQSTINCSSDIGTSRSLIARACLKLSTWMEQGNSTPILNMIIPKVIEDFSDSDGFQNGTQKLLFGDSVSVSTLNYHALAQEIIGTARKISWQLCPSMGKAWLAYAHWCFTHASYSLSGKDSNLQNSLSPVLQPELSPDRYHLTDEEKSEVEEIIRSIHADKHADHMGCDYPVTAEPVTVLTEQAIQMIETATGAPGFEAREGEDPPAVLASELTVLCKCDCAKDKAPLIDKLIEIWWSSRKRRVSLFGHAAHAYFQYLQNSSTELQPSYHPDALKGKTRSYTMRAMLYLLHIMLNYGVELKETLESGISAVPLLPWQEIIPQLFARLSSHPEKIVRELLESILLKLGKLSPCSIVYPTLVDMNACEGEPSEELQRMSDFLVKLYPNLIKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAVMTPIIVALERRLASTSREPKTSHEMWFHKEYNAKLKHAIATLKTPPGSLTTLGDIWQPFDSIAASLATHQRKSCISLSEIAPHLAALSTSDIPMPGFEKQILDSLESFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSFLYSSSDTRSRNIALRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAEAQLSSVSTGNIPNPVPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLELMNETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMVGHMLGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVSCEEVMNVLLKNKDIILMLLEVFVWDPLIEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHKDIFLTNLPATVSALKKFLDTLDHYEVASAIFYHAEKERSSVLQNEISAKSVLADATSVAEKSRTSFEIHAHELAEAKAAAVDEANKLKIWVEKHARVLEAIQDNSVACVESCMQLNCKDEALSLISAVQESGVPLTVLPEPTRAQCSELDREVSQLISELQGGLSSALDSLGEYSLVLQQVLPVNYITTSPISSWAQVLQLSVRSTSQDMLSLAKRQAAEVIAKVQGEGIHLVQQRYRDLLNQMESYVTCVERLARECSELMNSIGLDNEVQSKERILSAFMNSIQLPSQKKDGDDTHLSHKESLRQGEITAKGDIQETTSKVLSILGIAVGQLYSDIRAKVYDLSTKAIGKAKFRADDSGLQADAGMGLQFFEQHIEKCALISGVVDEVHEVIGKTLAETSAAYAKPHPRHWASTFQAALHSSVNMIEQMTEAFLPEFIRSFVSHNSEVMEAVGSISKIRGSVDKALEKLVEIELERTSLSELEQSYSVRVGRITEQQIALEEAAARGREHLSWEEAEELASQEEICRAQLEQLHETWSQKDMRISSLMKVEDSVVDSLLSSKQYFSSLVDRDHENEFHFRQSKALLSILTRPFADLESVDRVFPSQIDRPISNMNDALSLGSSLSDLVWPLVGILKDHAFFVWELNLLDSILDMCMHEISSSVEHSINANQLYLTLKKKLAAHVEKQVFRYITERIAPSLILSLDEEISFLLQLCQGRRESDQPKRDSAAVGRVALMLEEYCNAHETARAARTTVSLMKKQLNELTEALRKIILETVQIEWLHDLSSPHVQKAKVLSQNILGDDKFISLILNLRRNNLLDKIQSSASLITRSIEFLQACESISVSAEGQLERAMGWACAGPNTSGAGGSTAKGSGIPPEFHGHLLKRRKLLRVVQEEASDLVKLCTSVLEFEASRDGLYFIPEDKASEQSMDKGRAWQQTFLNLLTRLDAAYHSFTCAEQEWKLGQLNLEAAGKGLFSANNQVSVVSVKAKSALVNLQDALVAMYEHACEVSALLSGFKHVSQDRSALTSECGSLLDEVLAIADGLHDVYTLGKEAAAVHSSLMTNLSKANAILFPLEACLSADVTVMSEAISKEREKNNGSMPLIHGKALYQSYNIKIREACKNIEPLLGPLTENVEGLHSMVMKLGHLSSLHAGNLHKALEVPGERESVRSQDIPSTHPDLLQSDSSTEKVRDSSENMGCGSPDLEMNTDVSLQDGCWISPPEHSYTSSSGCTTGLTQNSSSDNLEKIHALMDVRTEIEDPVATDQETRDGSDDHSISSTVALTHASNIHEVETQLVEGRIESDNNSAVFKQVRGQECENSDPKSYADSSIRVTRGKNPFALSILKQVEHKLHGRDIDGTRSLNISEQVDYLIKQATSIDNLCNMYEGWTPWI >PVH31255 pep chromosome:PHallii_v3.1:9:5481667:5497654:-1 gene:PAHAL_9G095500 transcript:PVH31255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPRPSYAHHQLQQHLSSLLSAAAGEPPHPSDDASRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYATLCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAALVAEGLRELLATGDVAPVERFVPPLLAACRDVLEDERTSLAVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWAFVPDLADADRSMIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVLFVDVLAQSLEVASSAQLVAALKTNLQVLSLQNLGLRASSVGALLDFSSILSKLRLHPNHAVVANSAATYLFCLQHGSEDVVDQATASLMKELELIKSLLKVGQVSYPDIQSLSLEPNNERKSKSNSGVHSWAQYSEDQLLSLMKFDLKILLATIALDTKKRNERATSLTSFISEKLDPFGTPFHNFLEMQFQIFSTLHKLSNVELSSIMPTSESFERGSGGSKIQLISCECKKKFVHKYGKYIVQGLNASSSMTLKLEALDWIASFENLIRGTERDVDKFSFSYEVIGDATLSNGILFTVLDCAYDREPKVRCHVALTLELLFLGRLINPTNFSVVAQVLLDKLSDPDSNVKDAFLRLFSIALPITTYGFGLLVDKHSYLNSSDIANMSNHCMNWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMLSGHTDMSGDADGNELPKGPGVDRATIDRIYSVNNHAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTAEAKEGEGRYTGPADICLLPMRLLLDFVEALKKYAYNAYEGSFVLSPPPKASSVFFRANKRVCEEWFSRICDPMLNAGLAMHCSDAVVHYCSLRLVDLRNLAASSLRGNSHTGGATEIHHGFRERLEADVLKVLRHASLSLCRCHETDALVGLQKWAVSTFYTYFEQDNQLVRGVSDCDKYFSWMSGLIYQSQGQYEKAAAHYSHLLQSEDALTSMKSDGIQYIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELTLDPKVAIARSELMLLRGMLRSNSKLEGIKEELDKAKLMLDEALSVAPLNGLPEAAACAGQLHCIFAFEEASGLTYRNGPNQSQSIMDSLQKVLHDPVDRMHQDCTMWLKLFKVYCNTQPSSLSTLLLCQKLASLARKQGNFMLATRLNQYLINHPLKSSDEMDKEILELNIKYEGALLKHEKGNNEEALSDLWSLVRASVQSTINCSSDIGTSRSLIARACLKLSTWMEQGNSTPILNMIIPKVIEDFSDSDGFQNGTQKLLFGDSVSVSTLNYHALAQEIIGTARKISWQLCPSMGKAWLAYAHWCFTHASYSLSGKDSNLQNSLSPVLQPELSPDRYHLTDEEKSEVEEIIRSIHADKHADHMGCDYPVTAEPVTVLTEQAIQMIETATGAPGFEAREGEDPPAVLASELTVLCKCDCAKDKAPLIDKLIEIWWSSRKRRVSLFGHAAHAYFQYLQNSSTELQPSYHPDALKGKTRSYTMRAMLYLLHIMLNYGVELKETLESGISAVPLLPWQEIIPQLFARLSSHPEKIVRELLESILLKLGKLSPCSIVYPTLVDMNACEGEPSEELQRMSDFLVKLYPNLIKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAVMTPIIVALERRLASTSREPKTSHEMWFHKEYNAKLKHAIATLKTPPGSLTTLGDIWQPFDSIAASLATHQRKSCISLSEIAPHLAALSTSDIPMPGFEKQILDSLESFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSFLYSSSDTRSRNIALRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAEAQLSSVSTGNIPNPVPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLELMNETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMVGHMLGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVSCEEVMNVLLKNKDIILMLLEVFVWDPLIEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHKDIFLTNLPATVSALKKFLDTLDHYEVASAIFYHAEKERSSVLQNEISAKSVLADATSVAEKSRTSFEIHAHELAEAKAAAVDEANKLKIWVEKHARVLEAIQDNSVACVESCMQLNCKDEALSLISAVQESGVPLTVLPEPTRAQCSELDREVSQLISELQGGLSSALDSLGEYSLVLQQVLPVNYITTSPISSWAQVLQLSVRSTSQDMLSLAKRQAAEVIAKVQGEGIHLVQQRYRDLLNQMESYVTCVERLARECSELMNSIGLDNEVQSKERILSAFMNSIQLPSQKKDGDDTHLSHKESLRQGEITAKGDIQETTSKVLSILGIAVGQLYSDIRAKVYDLSTKAIGKAKFRADDSGLQADAGMGLQFFEQHIEKCALISGVVDEVHEVIGKTLAETSAAYAKPHPRHWASTFQAALHSSVNMIEQMTEAFLPEFIRSFVSHNSEVMEAVGSISKIRGSVDKALEKLVEIELERTSLSELEQSYSVRVGRITEQQIALEEAAARGREHLSWEEAEELASQEEICRAQLEQLHETWSQKDMRISSLMKVEDSVVDSLLSSKQYFSSLVDRDHENEFHFRQSKALLSILTRPFADLESVDRVFPSQIDRPISNMNDALSLGSSLSDLVWPLVGILKDHAFFVWELNLLDSILDMCMHEISSSVEHSINANQLYLTLKKKLAAHVEKQVFRYITERIAPSLILSLDEEISFLLQLCQGRRESDQPKRDSAAVGRVALMLEEYCNAHETARAARTTVSLMKKQLNELTEALRKIILETVQIEWLHDLSSPHVQKAKVLSQNILGDDKFISLILNLRRNNLLDKIQSSASLITRSIEFLQACESISVSAEGQLERAMGWACAGPNTSGAGGSTAKGSGIPPEFHGHLLKRRKLLRVVQEEASDLVKLCTSVLEFEASRDGLYFIPEDKASEQSMDKGRAWQQTFLNLLTRLDAAYHSFTCAEQEWKLGQLNLEAAGKGLFSANNQVSVVSVKAKSALVNLQDALVAMYEHACEVSALLSGFKHVSQDRSALTSECGSLLDEVLAIADGLHDVYTLGKEAAAVHSSLMTNLSKANAILFPLEACLSADVTVMSEAISKEREKNNGSMPLIHGKALYQSYNIKIREACKNIEPLLGPLTENVEGLHSMVMKLGHLSSLHAGNLHKALEVPGERESVRSQDIPSTHPDLLQSDSSTEKVRDSSENMGCGSPDLEMNTDVSLQDGCWISPPEHSYTSSSGCTTGLTQNSSSDNLEKIHALMDVRTEIEDPVATDQETRDGSDDHSISSTVALTHASNIHEVETQLVEGRIESDNNSAVFKQVRGQECENSDPKSYADSSIRVTRERSFSSSITPEGF >PAN50977 pep chromosome:PHallii_v3.1:9:68639137:68643475:-1 gene:PAHAL_9G561800 transcript:PAN50977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSNPDTFQGDGNEVSIVASELVSDITVRIGTTKFYLHKFPLLSKCARFQKLIPTTGDENIDIHIHDIPGGVKAFEICSKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLSSSIFRSWKDSIIVLGTTKAHLPWAEDLKLVSHCIDSVASKASIDVSKVEWSYTYNRKKLPTENGNDSPWNGVKQQQLVPKDWWIEDLTDLDIDAYKQVITAIKAKGMVAKDVIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPTVDGDTTVYDIDLILSIVEEFVRQDCKNAQKHNGGEVNDHVSAPSASMITVAKIVDGYLAEVAKDPNIPVYKFFSLAETVSGNSRPVHDGLYRAIDMYLKEHPGLGKSDKKRLCALMDCKKLSPDACAHAVQNERLPLRIVVQVLYHEQTRASAAATIRADSIGIGSYESSRSGATTNTEDEWDGVMAAEDLSLSKTTKLDKCDTAGTDTEKNHGGNKAANGRVKGGVTPKKALGKMMSSKGQAGERSSSDSSDSAILPSQEHPKRTPARSTTKSAAA >PAN50976 pep chromosome:PHallii_v3.1:9:68639157:68643475:-1 gene:PAHAL_9G561800 transcript:PAN50976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSNPDTFQGDGNEVSIVASELVSDITVRIGTTKFYLHKFPLLSKCARFQKLIPTTGDENIDIHIHDIPGGVKAFEICSKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLSSSIFRSWKDSIIVLGTTKAHLPWAEDLKLVSHCIDSVASKASIDVSKVEWSYTYNRKKLPTENGNDSPWNGVKQQQLVPKDWWIEDLTDLDIDAYKQVITAIKAKGMVAKDVIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPTVDGDTTVYDIDLILSIVEEFVRQDCKNAQKHNGGEVNDHVSAPSASMITVAKIVDGYLAEVAKDPNIPVYKFFSLAETVSGNSRPVHDGLYRAIDMYLKEHPKRTPARSTTKSAAA >PAN50858 pep chromosome:PHallii_v3.1:9:68094493:68097480:-1 gene:PAHAL_9G552300 transcript:PAN50858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRLLLASIVAMSISPCILLPLASSEEIFPEAEGPTAESSDELWLRSGPPPRVVDVEDYGARDNGCDDTEAFLAAWREACNSSDYRSMFLVPEGKRYLLMPVSFRGPCRAISITAMIKGTLEAPSNRSVWLDRNLQEWITFEGIDRLHVLGGGTLNGNGQQWWTNSCKLNRSMRCVTGPTAIYFRRCTHLVVEDLEVRDSMQMHVAIAYSWDVLVSKLFIAAPGWSPNTDGIHVSNSKEVSISDCTISTGDDCVSIVTGSMFVRVTGIFCGPGHGISIGSLGANNSWAHVSDVLVEKATLLGTTNGVRIKTWQGGHGYAERITFQDISMHNVTNPVIIDQNYCDSNKPCHEQGSAIAVRNIHYRNIHGTSASKVAINFICSEAVRCDGIQMQDIYLVGEGRYATCSYRNATVAQLGYNFPFCSAEM >PAN48842 pep chromosome:PHallii_v3.1:9:57737357:57739842:-1 gene:PAHAL_9G409700 transcript:PAN48842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEGLVGQLLPPCLCNAKGGGDGRRVGAVVVSPDVAVVVVNDAATVATWKEPPPVLADRPSLTRGGAASEAASILRLSLPMIMTGLILYVRPMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLATGMEPVCGQAVGARNLPLVGATMQRMVLLLLAVSVPVAFLWAHMEPLLLLCGQDAAIAAAAQRYILLCLPDLLFQSFLHPLRIYLRTQSINFPLTACAVLAVAMHLPVNYILVSVLGFGVEGVALASALANLNLVLLLLAYIYFSGVHRATGGFTLSEKLFKDVTGWMRLARLAVESCASVCLEWWWYEIMILLCGLLANPKATVASMGVLIQTTSLLYIFPSSLSFGVSTRVSNELGANRPGAARAAARAGLALSALQGLASFLFAVSVRGVWARMFTSDASILALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDGARINLGAFYGVGTPVAVALAFWAGQGFRGLWLGLLAAQAACVAVMLVVISRTDWAKQAELAQVLAGVAAPLGGDGGGERADVNGDDGGKDTAPRVKVAAPHGDEDSSLLITVQV >PAN50383 pep chromosome:PHallii_v3.1:9:65672968:65674769:-1 gene:PAHAL_9G516400 transcript:PAN50383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g59600 [Source:Projected from Arabidopsis thaliana (AT5G59600) UniProtKB/Swiss-Prot;Acc:Q9FGR2] MNPNGRRGVELMCTGATSNSSWMKQLTSASRQGRHGRVLRLFFTSLRPGDRGAADPHPAAIPTALRACARLGDASSGRLIHGLVLTRFPFLASDAVAATALLDMYAKCGLVASARRVFDEMPRRDDLVAWNALLAGYARHGLPERALTLAIKMRGQGLRPDLVTWNAVVSGFALAGDDQMAGDLVRAMQDDGFQPDVVTWTSLVSGSVLNFHYDRARALFRRMVAAGNRVLPSSATIASILPAFANVTDVKRGKEVHAYAVVTGVEQNLTVSSALVDMYAKCGLVLKAHRLFDKMAERSSVTWNSMIFGLANSGHCQEAIGLFDRMLCDGARPDHLTFTAVLTACSYCGMVELGEGLYRAMKEEHGIEPRLEHYACMVHLLGRAGKVAEAYDFIRAMPLEPDCFVWGALLGACRSHGNVKLAELAASRLRTVEPANAANCLLFSDALASAGRQDDVVKMKRLAKRRRIKKLDGCSWLESP >PAN51877 pep chromosome:PHallii_v3.1:9:72644592:72647299:-1 gene:PAHAL_9G626600 transcript:PAN51877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMTWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >PVH31049 pep chromosome:PHallii_v3.1:9:2545595:2549983:-1 gene:PAHAL_9G045900 transcript:PVH31049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMKPLLSKLTKLLEGEYIKVKGVRKQIKFLTDELSAMSATLQMLADAEQLNPQMREWRDRLRVLAYDLEDCVDAFMIRVDGEHDGGSSFKRFFRKLRKLKACHEIANEIEELKACVIEASERHKRYAFAQSTHDSSISSIDPRLPALYVDIEKLVGMDGPKKHIIERLTMEMEGSSTEVKVVSIYGCGGLGKTALANQVYQAIKRHFLCATFVLRKHLRDKRYLVVIDDIWDAKAWEIIQLALWNNNSGSRIITTIRSAKVASCCSSQGGYVYQMEALSFADSKRLFYRRAFGSEELCYPHLEKVCHGIMEKCSGLPLALITISSLLVDQVEKDEWKRVLTTIGHALAKNPDAEDMTKILSLSYYDLPRQLRTCFLYLSIFPEDYVIAKERLVNRWIAEGFIHEEQELSAYRIGENYFNDLINRSMIQPIDIEYGQAKACLVHDIILDYIKCKAADENFITSIDSVEHQYTLEYKIHSIWTSLILSHVRSLTIFGHPIQTSLFSFKALLVLDIEASRGLNDHYITTFIEKLPHLKYLRLCSYLISTRIKQLPSTVMKLQRLAHLYVDPRIRFPDGMIGKMKSLEELAEFEVCSYEIGKSMQEFSQLTKLRTLVISWKLYCSFDSRGRQQAEDLQILVGALISKCNLHNLYIHDRRDNLPWYQPLSTGSWCPTARCTLQKLHIIFCFIDKVQNWMSSLVNLRELELQIYRTGPEDLEILGAIPSLLYLELVTFCSTNGRIFFRGNKGFRNLKHISLCIVSCGTALEFEAGSLPKVQDLKFKYCVHEMECLNDASNFGIQNLSSIAKVEVVIRGNCPSDIDNDDIVASVPNAIKAAIETLPNRPSASFITEIEPEPGQDSGCSRHPKQPTPMLDAEPASDSQGPPPLLLPASPRSRARRVRAPPGSHAMPVGSSQLMANPHWKLWATGIRKLKRLKALHEIANQIQELKACVMEATERHKRYDFAQFKHNSSTSSVDPRLQALHEDIEKLVGIDGPKKHIIELLTMEMNGPFAKT >PAN46696 pep chromosome:PHallii_v3.1:9:13377219:13380828:-1 gene:PAHAL_9G207900 transcript:PAN46696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 1 [Source:Projected from Arabidopsis thaliana (AT5G08380) UniProtKB/Swiss-Prot;Acc:Q9FT97] MAGVASNRRRRGWPPARLVLLVVVAVAMACVAAQGKVVHVEEPHRRSMLANGLGSAPPMGWNSWNHFQCDGNGEVVIRETADALVSTGLAALGYKYVNIDDCWAEPKRDAMGNLVANTRTFPHGIKALADYVHSKGLKLGIYSDAGFQTCAKVQPGSLGHEEQDAGTFAAWGVDYIKYDNCNNGDLKPLERYPEMSKALMKAGRPIYFSLCEWGDMHPARWGAAYGNSWRTTNDIADTWESMIATADQNEVWAEYARPGGWNDPDMLEVGNGGMTNSEYVVHFSLWAISKAPLIIGCDVRHMSQETYDILANREVIAVNQDPLGVQGKKVRMEGSNEIWAAPLSDYRTAVVLLNRHATDEATITAHWDDVGLPAGTAVEARDLWLHKTLDAAFTDRMAFDVAPRSCRMFVLKPRHR >PAN50053 pep chromosome:PHallii_v3.1:9:64207487:64208202:-1 gene:PAHAL_9G494700 transcript:PAN50053 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC11 [Source:Projected from Arabidopsis thaliana (AT3G05870) UniProtKB/TrEMBL;Acc:A0A384LJP7] MKVKILQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGACNHAYHLHCILKWVNSQTSTPLCPMCRREWQFKG >PAN46238 pep chromosome:PHallii_v3.1:9:10775079:10777485:1 gene:PAHAL_9G172200 transcript:PAN46238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWALALHGGAGDVPRTLPPELREPRLATLRRCLDLGTAALRDGRAALDVVELVVRELEDCPHFNAGRGSVLTADGTVEMEACVMEGATLRCGAVSGLSTVANAVSLARLVMERTPHIYLAFDGAEAFARDQGVETKDPSHFITEHNIERLRQAKEANRVQIDYTQPLKGQQTPQETPAPIPTDDNSQTGTVGCVAVDAAGNLATATSTGGLVNKMAGRIGDTPVVGAGTYANALCAVSATGKGEEIIRHTVARDVAALMEHRGLPLRDAAARVVAGAPRGTVGLVAVSRGGEVCMAHNTTAMFRACATEAGHAEVGIWTDAGADGESVTVAL >PVH31503 pep chromosome:PHallii_v3.1:9:10187280:10189517:-1 gene:PAHAL_9G163700 transcript:PVH31503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPGKRCKETDAHYYWNRSDEHDRYFFKVLAGDFRERLGIPDKFVQHIRGRIAKTVKLESRTGCTFDVEVAKSLDKVVLQTGWKAFACAHDLKMWDFLVFKYDGTPRLKVLIFDLSCCEKVLPCHTRDRGEGEEQIENSRSCDDFPMKSPGNKRKAWKQREGCMNANTSSTSLSDSSGGSMSPEDQKSHSVPSYILPRRTFLTFELKKKLKEKVRAICSKTPIYGCVMKKTSIEGKPQTMDISGEYADVYLPFDDQTLLLQHLGKSWEVRCHIQKSNFPSKRLLKGWKQFARDNNLQVGDLCLFELLENREYTMNVHIIREK >PAN46105 pep chromosome:PHallii_v3.1:9:10186688:10189682:-1 gene:PAHAL_9G163700 transcript:PAN46105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPGKRCKETDAHYYWNRSDEHDRYFFKVLAGDFRERLGIPDKFVQHIRGRIAKTVKLESRTGCTFDVEVAKSLDKVVLQTGWKAFACAHDLKMWDFLVFKYDGTPRLKVLIFDLSCCEKVLPCHTRDRGEGEEQIENSRSCDDFPMKSPGNKRKAWKQREGCMNANTSSTSLSDSSGGSMSPEDQKSHSVPSYILPRRTFLTFELKKKLKEKVRAICSKTPIYGCVMKKTSIEGKPQTMDISGEYADVYLPFDDQTLLLQHLGKSWEVRCHIQKSNFPSKRLLKGWKQFARDNNLQVGDLCLFELLENREYTMNVHIIREK >PAN46109 pep chromosome:PHallii_v3.1:9:10187866:10189517:-1 gene:PAHAL_9G163700 transcript:PAN46109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPGKRCKETDAHYYWNRSDEHDRYFFKVLAGDFRERLGIPDKFVQHIRGRIAKTVKLESRTGCTFDVEVAKSLDKVVLQTGWKAFACAHDLKMWDFLVFKYDGTPRLKVLIFDLSCCEKVLPCHTRDRGEGEEQIENSRSCDDFPMKSPGNKRKAWKQREGCMNANTSSTSLSDSSGGSMSPEDQKSHSVPSYILPRRTFLTFELKKKLKEKVRAICSKTPIYGCVMKKTSIEGKPQTMVSQETLFLD >PAN46106 pep chromosome:PHallii_v3.1:9:10186688:10190151:-1 gene:PAHAL_9G163700 transcript:PAN46106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITTGIVRMSTTGISSRFWQGIPDKFVQHIRGRIAKTVKLESRTGCTFDVEVAKSLDKVVLQTGWKAFACAHDLKMWDFLVFKYDGTPRLKVLIFDLSCCEKVLPCHTRDRGEGEEQIENSRSCDDFPMKSPGNKRKAWKQREGCMNANTSSTSLSDSSGGSMSPEDQKSHSVPSYILPRRTFLTFELKKKLKEKVRAICSKTPIYGCVMKKTSIEGKPQTMDISGEYADVYLPFDDQTLLLQHLGKSWEVRCHIQKSNFPSKRLLKGWKQFARDNNLQVGDLCLFELLENREYTMNVHIIREK >PAN48971 pep chromosome:PHallii_v3.1:9:58397371:58405243:1 gene:PAHAL_9G416400 transcript:PAN48971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAHRDGGAPETERRVFHFGKGRSDGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACRQYQQLGGGAMPPGLWDEVLDALRCVERDMGAGLGDPRCPLLLSVRSGAAVSMPGMMDTVLNLGLNDDVVAGLADRSGRRFAFDSYRRFLDMFGNVVMGIPHELFEEKLEAMKVTKGLRNDTELNVKDLEDLVAEYKDVYVVTKGEQFPSDPKRQLHLAILAVFDSWDSTRAKKYRSINRITGLKGTAVNVQCMVFGNMDSTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGIRTPQELDSMKECMPEPYAELVENCKILERHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFENPSSYKDKVIAAGLPASPGAAVGQIVFTAADAETWDSEGKSVVLVRTETSAEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDAEKVVVVGDKVLCEGDWLSLNGSTGEVILGKLPLSPPALSSDLGTFMSWVDEIKQLKVMVNADTPADALAGRKNGAEGIGLCRTEHMFFSSGERIKAMRQMIMADTALQRQEALGLLLPYQKTDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDVVHMLSCHTNSTHEEILARVEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAIAVNDQGFQVFPEIMVPLVGTPQELGQQVSVIRQVADKVFTNAETTIRYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDIGKFLPIYMSQGILQHDPFEVLDQKGVGELVKIATERGRSSRPDLEVGICGEHGGEPSSVAFCAKAGLDYVSCSPFRVPIARLAAAQAQAAL >PAN48972 pep chromosome:PHallii_v3.1:9:58398161:58405301:1 gene:PAHAL_9G416400 transcript:PAN48972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPHELFEEKLEAMKVTKGLRNDTELNVKDLEDLVAEYKDVYVVTKGEQFPSDPKRQLHLAILAVFDSWDSTRAKKYRSINRITGLKGTAVNVQCMVFGNMDSTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGIRTPQELDSMKECMPEPYAELVENCKILERHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFENPSSYKDKVIAAGLPASPGAAVGQIVFTAADAETWDSEGKSVVLVRTETSAEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDAEKVVVVGDKVLCEGDWLSLNGSTGEVILGKLPLSPPALSSDLGTFMSWVDEIKQLKVMVNADTPADALAGRKNGAEGIGLCRTEHMFFSSGERIKAMRQMIMADTALQRQEALGLLLPYQKTDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDVVHMLSCHTNSTHEEILARVEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAIAVNDQGFQVFPEIMVPLVGTPQELGQQVSVIRQVADKVFTNAETTIRYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDIGKFLPIYMSQGILQHDPFEVLDQKGVGELVKIATERGRSSRPDLEVGICGEHGGEPSSVAFCAKAGLDYVSCSPFRVPIARLAAAQAQAAL >PAN48974 pep chromosome:PHallii_v3.1:9:58398373:58405243:1 gene:PAHAL_9G416400 transcript:PAN48974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPHELFEEKLEAMKVTKGLRNDTELNVKDLEDLVAEYKDVYVVTKGEQFPSDPKRQLHLAILAVFDSWDSTRAKKYRSINRITGLKGTAVNVQCMVFGNMDSTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGIRTPQELDSMKECMPEPYAELVENCKILERHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFENPSSYKDKVIAAGLPASPGAAVGQIVFTAADAETWDSEGKSVVLVRTETSAEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDAEKVVVVGDKVLCEGDWLSLNGSTGEVILGKLPLSPPALSSDLGTFMSWVDEIKQLKVMVNADTPADALAGRKNGAEGIGLCRTEHMFFSSGERIKAMRQMIMADTALQRQEALGLLLPYQKTDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDVVHMLSCHTNSTHEEILARVEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAIAVNDQGFQVFPEIMVPLVGTPQELGQQVSVIRQVADKVFTNAETTIRYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDIGKFLPIYMSQGILQHDPFEVLDQKGVGELVKIATERGRSSRPDLEVGICGEHGGEPSSVAFCAKAGLDYVSCSPFRLGTTIS >PVH32642 pep chromosome:PHallii_v3.1:9:61104355:61108685:1 gene:PAHAL_9G449800 transcript:PVH32642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSLTPQVVFSTESGGALSSATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDCPAGRPHSGGGGGRARGVASSHAAAASAAAAASAAAEVSAIPNAKVAQPSAVVQAERNLLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYAAVAMLSALVPAGGHIVTTTDCYRKTRIYMENELPKRGVSMTVIRPADMDALQNALDSNNVSLFFTETPTNPFLRCIDVELVANMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCVSGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKTQMTGFGGVVSFEVAGDFDGTRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDLVQALEKV >PAN45931 pep chromosome:PHallii_v3.1:9:9292636:9298314:1 gene:PAHAL_9G151600 transcript:PAN45931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKGHEGFGQVAAGGQASHGAAGAPLPWWAGPQLLYGEPAPLSPEETRRDSQFQVVPGAQGGTPDPAPPAATKRGSPEVLKFSVFQGNLESGGKGEKTPEHSTTIALQSPFPEYNGRFEIGLGQSMSSGRMLLPLNAPADSPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKEGGANANGAGKMAAAAPPARLAMSPGSEPPRVPGLGNDGSNPRCHSRSSISSLSGSEVSSIYDHHDDQASRHHQYGGMEPHLRVPSFFTPLPAIMDGDHGGAAAISSFKWAASDGCCELLKA >PAN45935 pep chromosome:PHallii_v3.1:9:9292636:9298327:1 gene:PAHAL_9G151600 transcript:PAN45935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKGHEGFGQVAAGGQASHGAAGAPLPWWAGPQLLYGEPAPLSPEETRRDSQFQVVPGAQGGTPDPAPPAATKRGSPEVLKFSVFQGNLESGGKGEKTPEHSTTIALQSPFPEYNGRFEIGLGQSMSSGRMLLPLNAPADSPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKEGGANANGAGKMAAAAPPARLAMSPGSEPPRVPGLGNDGSNPRCHSRSSISSLSGSEVSSIYDHHDDQASRHHQYGGMEPHLRVPSFFTPLPAIMDGDHGGAAAISSFKWAASDGCCELLKA >PAN45932 pep chromosome:PHallii_v3.1:9:9292636:9298354:1 gene:PAHAL_9G151600 transcript:PAN45932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKGHEGFGQVAAGGQASHGAAGAPLPWWAGPQLLYGEPAPLSPEETRRDSQFQVVPGAQGGTPDPAPPAATKRGSPEVLKFSVFQGNLESGGKGEKTPEHSTTIALQSPFPEYNGRFEIGLGQSMAPSNYSCDDQCYGMLTTYGMRSMSSGRMLLPLNAPADSPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKEGGANANGAGKMAAAAPPARLAMSPGSEPPRVPGLGNDGSNPRCHSRSSISSLSGSEVSSIYDHHDDQASRHHQYGGMEPHLRVPSFFTPLPAIMDGDHGGAAAISSFKWAASDGCCELLKA >PAN45934 pep chromosome:PHallii_v3.1:9:9292636:9298395:1 gene:PAHAL_9G151600 transcript:PAN45934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKGHEGFGQVAAGGQASHGAAGAPLPWWAGPQLLYGEPAPLSPEETRRDSQFQVVPGAQGGTPDPAPPAATKRGSPEVLKFSVFQGNLESGGKGEKTPEHSTTIALQSPFPEYNGRFEIGLGQSMAPSNYSCDDQCYGMLTTYGMRSMSSGRMLLPLNAPADSPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKEGGANANGAGKMAAAAPPARLAMSPGSEPPRVPGLGNDGSNPRCHSRSSISSLSGSEVSSIYDHHDDQASRHHQYGGMEPHLRVPSFFTPLPAIMDGDHGGAAAISSFKWAASDGCCELLKA >PVH31466 pep chromosome:PHallii_v3.1:9:9295544:9298336:1 gene:PAHAL_9G151600 transcript:PVH31466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MEQKLNCSGQTYGLWYMFNGNLESGGKGEKTPEHSTTIALQSPFPEYNGRFEIGLGQSMAPSNYSCDDQCYGMLTTYGMRSMSSGRMLLPLNAPADSPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKEGGANANGAGKMAAAAPPARLAMSPGSEPPRVPGLGNDGSNPRCHSRSSISSLSGSEVSSIYDHHDDQASRHHQYGGMEPHLRVPSFFTPLPAIMDGDHGGAAAISSFKWAASDGCCELLKA >PAN45933 pep chromosome:PHallii_v3.1:9:9292823:9298297:1 gene:PAHAL_9G151600 transcript:PAN45933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKGHEGFGQVAAGGQASHGAAGAPLPWWAGPQLLYGEPAPLSPEETRRDSQFQVVPGAQGGTPDPAPPAATKRGSPEVLKFSVFQGNLESGGKGEKTPEHSTTIALQSPFPEYNGRFEIGLGQSMAPSNYSCDDQCYGMLTTYGMRSMSSGRMLLPLNAPADSPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKEGGANANGAGKMAAAAPPARLAMSPGSEPPRVPGLGNDGSNPRCHSRSSISSLSGSEVSSIYDHHDDQASRHHQYGGMEPHLRVPSFFTPLPAIMDGDHGGAAAISSFKWAASDGCCELLKA >PAN46730 pep chromosome:PHallii_v3.1:9:13622156:13622584:-1 gene:PAHAL_9G210900 transcript:PAN46730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAKPLAALLLAVAVLALLQLVRARHSPAVSAHTPAVMTVNGFERGGSGGGASECDGHFHSNGERIVALSSGWLRLDGTRRCNRMIRITSRGGRSVVAKVVDECDSSRGCGENIVDSSAAVWKALGLDTDVGRVPVTWSDA >PAN44873 pep chromosome:PHallii_v3.1:9:4453917:4458434:-1 gene:PAHAL_9G076900 transcript:PAN44873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCALRSRVLPLPASAATATAAPHGLLLRFLLSTAAPRHAHHHQRRRRVAPTAYAAAAEAPLPMTPRFSRVTRLLGGAASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGKGKYSEVFEGFRPGSDERCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDEESKTPSLIFEYVNNTDFKVLYPALSDYDIRYYIFELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVNLLDYDYSLDLWSLGCMFAAMIFQVDPFFSGQDNYDQLVKITKVLGTEDFYEYLEKYGLQLAPQLERQVGRHEREPWATFVNARNIHLLSPEAIDLVDKLLRYDHQERPTAKETMAHPYFNPVRSSESSRKNSQ >PAN44874 pep chromosome:PHallii_v3.1:9:4453231:4458433:-1 gene:PAHAL_9G076900 transcript:PAN44874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCALRSRVLPLPASAATATAAPHGLLLRFLLSTAAPRHAHHHQRRRRVAPTAYAAAAEAPLPMTPRFSRVTRLLGGAASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGKGKYSEVFEGFRPGSDERCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDEESKTPSLIFEYVNNTDFKVLYPALSDYDIRYYIFELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVNLLDYDYSLDLWSLGCMFAAMIFQVDPFFSGQDNYDQLVKITKVLGTEDFYEYLEKYGLQLAPQLERQVGRHEREPWATFVNARNIHLLSPEAIDLVDKLLRYDHQERPTAKETMAHPYFNPVRSSESSRKNSQ >PAN44875 pep chromosome:PHallii_v3.1:9:4454298:4458221:-1 gene:PAHAL_9G076900 transcript:PAN44875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCALRSRVLPLPASAATATAAPHGLLLRFLLSTAAPRHAHHHQRRRRVAPTAYAAAAEAPLPMTPRFSRVTRLLGGAASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGKGKYSEVFEGFRPGSDERCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDEESKTPSLIFEYVNNTDFKVLYPALSDYDIRYYIFELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVNLLDYDYSLDLWSLGCMFAAMIFQVDPFFSGQDNYDQLVKITKVLGTEDFYEYLEKYGLQLAPQLERQVGRHEREPWATFVNARNIHLLSPEAIDLVDKLLRYDHQERPTAKETMAHPYFNPVRSSESSRKNSQ >PAN44872 pep chromosome:PHallii_v3.1:9:4453231:4458434:-1 gene:PAHAL_9G076900 transcript:PAN44872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCALRSRVLPLPASAATATAAPHGLLLRFLLSTAAPRHAHHHQRRRRVAPTAYAAAAEAPLPMTPRFSRVTRLLGGAASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGKGKYSEVFEGFRPGSDERCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDEESKTPSLIFEYVNNTDFKVLYPALSDYDIRYYIFELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVNLLDYDYSLDLWSLGCMFAAMIFQVDPFFSGQDNYDQLVKITKVLGTEDFYEYLEKYGLQLAPQLERQVGRHEREPWATFVNARNIHLLSPEAIDLVDKLLRYDHQERPTAKETMAHPYFNPVRSSESSRKNSQ >PAN44876 pep chromosome:PHallii_v3.1:9:4453827:4458434:-1 gene:PAHAL_9G076900 transcript:PAN44876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCALRSRVLPLPASAATATAAPHGLLLRFLLSTAAPRHAHHHQRRRRVAPTAYAAAAEAPLPMTPRFSRVTRLLGGAASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGKGKYSEVFEGFRPGSDERCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDEESKTPSLIFEYVNNTDFKVLYPALSDYDIRYYIFELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVNLLDYDYSLDLWSLGCMFAAMIFQVDPFFSGQDNYDQLVKITKVLGTEDFYEYLEKYGLQLAPQLERQVGRHEREPWATFVNARNIHLLSPEAIDLVDKLLRYDHQERPTAKETMAHPYFNPVRSSESSRKNSQ >PVH32664 pep chromosome:PHallii_v3.1:9:61436586:61439626:-1 gene:PAHAL_9G454800 transcript:PVH32664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPTSPPSLDYWRGFFSGARASIFDAIDAAIRVAAADQPDALRARRDAIAERLYTALIALPLPEAPWLPTTGQPAPSLCSSDRAEVVNDDGGAAPRNNSDDAVIAEAFRVKAALSNAQEKSEAELLELLQRLHQLEFTVEVIMATEIGKAVKPLRKHASKQIRQLVRSLIEGWKAMVNEWVNDGGPVVDHTPQSVDASCLDQEEGGLPSPPMDEAALFATPCTSIQLSEFFDEMDDDGNIRSDAKEHRQCNKPNQESIKKKSPMGQWYDPEQNWRLDPSSMKQSRPNEPFNWQTRQQSNPGAQGKPSSAAFGPGRPQMMHLETKGSEMRPKQQEQDVSVAQRRPKPTMPKPSPKHDENSVRAKLELAKEAKLEATKRKLQEGYQEFNNAKKQRTVQMVDPQDLPKQGNPNPALNGKARNNNNNNIRNRLGIRR >PVH32663 pep chromosome:PHallii_v3.1:9:61436976:61439232:-1 gene:PAHAL_9G454800 transcript:PVH32663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPTSPPSLDYWRGFFSGARASIFDAIDAAIRVAAADQPDALRARRDAIAERLYTALIALPLPEAPWLPTTGQPAPSLCSSDRAEVVNDDGGAAPRNNSDDAVIAEAFRVKAALSNAQEKSEAELLELLQRLHQLEFTVEVIMATEIGKAVKPLRKHASKQIRQLVRSLIEGWKAMVNEWVNDGGPVVDHTPQSVDASCLDQEEGGLPSPPMDEAALFATPCTSIQLSEFFDEMDDDGNIRSDAKEHRQCNKPNQESIKKKSPMGQWYDPEQNWRLDPSSMKQSRPNEPFNWQTRQQSNPGAQGKPSSAAFGPGRPQMMHLETKGSEMRPKQQEQDVSVAQRRPKPTMPKQPSPKHDENSVRAKLELAKEAKLEATKRKLQEGYQEFNNAKKQRTVQMVDPQDLPKQGNPNPALNGKARNNNNNNIRNRLGIRR >PVH32925 pep chromosome:PHallii_v3.1:9:66447534:66452050:-1 gene:PAHAL_9G527900 transcript:PVH32925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGSKRRATRSQNPSLESEDEEEARRVKEEIADDLNGDPAEEDDDEEVVVEDEEVVIPSDDEEDGRGGGADDFVPRTLEEALVPRVGTLFDSVDEAFALYKAYAYRTGFHAVRRTCHNYEGLRYRSTFTCTYGGKSRAGAASSDVPGARYPLRSKRGVAAQEKKSRRGAAEKTGCKAMLIIRDKRVDDRWKVESVELEHNHPCTPDMVRFLKAYREMPESAKKKAKITDEMDEMVEKSLSEIAETRKFPTRPKRGASGGAAVGSHHRFSRTESFVQRFGEDDLTALKKFVETMQHKKPNFIHSWDLDRESRVKNFFWTDSRAQAQYRYFGDVITLDVMYLQHSRASVPLATLLGVNNHGHLVLLGCGLLSSDSKENYLWLLKRWLSCMNGKPPEAITTSYSDVIAEAVAEVFPNARHRFCFWHILKKLLENVGRTHEKEAISSRFKEVVYDSVTLTDFEKEWGAMVDQYNLKDNEWFSALYNCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGVVTKTTLPVFLEQYETTLKGKLEREAYDDLRSYYSRLTLLSGLPFEEQLVEIYTVTMFQAFQDEIKQLMHVICKEVDRSGSSITYMVSELIQGKKVDYTVVYNNSDKDVWCICRSFPSRGILCSHALAVLKQENVLMLPSKYILNRWRKDFRILTSSANTNCTESDRNLGIYDDLYFRGHEYFEDVIDIGAREPELKEFVLSVMKEAKDRLTRPDHTQQGDQRVDVNMTVTGPVSTDTRVDVNMASHTSSLIQGDRRVDANMASNAPALVHGDTMASNATALIHRDRRIEMKMASTHLIHGEGRVDMNMASPHLMQRERRVDMNMASPHLIQGDRRVDMNLASPHFIHSDRRVDMNLASPHLMHGDRRVDMNMASPHLIQGDTRVDMNMVSTSQNGMHTFDLVNVNLESGSLPMAATDFMQMHPHPPVYHPKQLLDLRDQVMDANKRPNMETNTYFMGGGMHVAL >PVH32924 pep chromosome:PHallii_v3.1:9:66447534:66452024:-1 gene:PAHAL_9G527900 transcript:PVH32924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGSKRRATRSQNPSLESEDEEEARRVKEEIADDLNGDPAEEDDDEEVVVEDEEVVIPSDDEEDGRGGGADDFVPRTLEEALVPRVGTLFDSVDEAFALYKAYAYRTGFHAVRRTCHNYEGLRYRSTFTCTYGGKSRAGAASSDVPGARYPLRSKRGVAAQEKKSRRGAAEKTGCKAMLIIRDKRVDDRWKVESVELEHNHPCTPDMVRFLKAYREMPESAKKKAKITDEMDEMVEKSLSEIAETRKFPTRPKRGASGGAAVGSHHRFSRTESFVQRFGEDDLTALKKFVETMQHKKPNFIHSWDLDRESRVKNFFWTDSRAQAQYRYFGDVITLDVMYLQHSRASVPLATLLGVNNHGHLVLLGCGLLSSDSKENYLWLLKRWLSCMNGKPPEAITTSYSDVIAEAVAEVFPNARHRFCFWHILKKLLENVGRTHEKEAISSRFKEVVYDSVTLTDFEKEWGAMVDQYNLKDNEWFSALYNCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGVVTKTTLPVFLEQYETTLKGKLEREAYDDLRSYYSRLTLLSGLPFEEQLVEIYTVTMFQAFQDEIKQLMHVICKEVDRSGSSITYMVSELIQGKKVDYTVVYNNSDKDVWCICRSFPSRGILCSHALAVLKQENVLMLPSKYILNRWRKDFRILTSSANTNCTESDRNLGIYDDLYFRGHEYFEDVIDIGAREPELKEFVLSVMKEAKDRLTRPDHTQQGDQRVDVNMTVTGPVSTDTRVDVNMASHTSSLIQGDRRVDANMASNAPALVHGDTMASNATALIHRDRRIEMKMASTHLIHGEGRVDMNMASPHLMQRERRVDMNMASPHLIQGDRRVDMNLASPHFIHSDRRVDMNLASPHLMHGDRRVDMNMASPHLIQGDTRVDMNMVSTSQNGMHTFDLVNVNLESGSLPMAATDFMQMHPHPPVYHPKQLLDLRDQVMDANKRPNMETNTYFMGGGMHVG >PVH32926 pep chromosome:PHallii_v3.1:9:66447534:66452060:-1 gene:PAHAL_9G527900 transcript:PVH32926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGSKRRATRSQNPSLESEDEEEARRVKEEIADDLNGDPAEEDDDEEVVVEDEEVVIPSDDEEDGRGGGADDFVPRTLEEALVPRVGTLFDSVDEAFALYKAYAYRTGFHAVRRTCHNYEGLRYRSTFTCTYGGKSRAGAASSDVPGARYPLRSKRGVAAQEKKSRRGAAEKTGCKAMLIIRDKRVDDRWKVESVELEHNHPCTPDMVRFLKAYREMPESAKKKAKITDEMDEMVEKSLSEIAETRKFPTRPKRGASGGAAVGSHHRFSRTESFVQRFGEDDLTALKKFVETMQHKKPNFIHSWDLDRESRVKNFFWTDSRAQAQYRYFGDVITLDVMYLQHSRASVPLATLLGVNNHGHLVLLGCGLLSSDSKENYLWLLKRWLSCMNGKPPEAITTSYSDVIAEAVAEVFPNARHRFCFWHILKKLLENVGRTHEKEAISSRFKEVVYDSVTLTDFEKEWGAMVDQYNLKDNEWFSALYNCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGVVTKTTLPVFLEQYETTLKGKLEREAYDDLRSYYSRLTLLSGLPFEEQLVEIYTVTMFQAFQDEIKQLMHVICKEVDRSGSSITYMVSELIQGKKVDYTVVYNNSDKDVWCICRSFPSRGILCSHALAVLKQENVLMLPSKYILNRWRKDFRILTSSANTNCTESDRNLGIYDDLYFRGHEYFEDVIDIGAREPELKEFVLSVMKEAKDRLTRPDHTQQGDQRVDVNMTVTGPVSTDTRVDVNMASHTSSLIQGDRRVDANMASNAPALVHGDTMASNATALIHRDRRIEMKMASTHLIHGEGRVDMNMASPHLMQRERRVDMNMASPHLIQGDRRVDMNLASPHFIHSDRRVDMNLASPHLMHGDRRVDMNMASPHLIQGDTRVDMNMVSTSQNGMHTFDLVNVNLESGSLPMAATDFMQMHPHPPVYHPKQLLDLRDQHYENG >PAN49189 pep chromosome:PHallii_v3.1:9:59983312:59988894:-1 gene:PAHAL_9G433400 transcript:PAN49189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMTTISAPLAAPSGLLSHRRNGARARLGPRGVKFVSHGVFSQQDERIRCHSSLRQNTIVASENENPPLMPAIMTPAGPLDLATVLLGNRVILIGQYINSQVAQRVISQLVTLAAVDEEGDILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVGFGVVASQAAIILAGGEKGMRYAMPNTRVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >PVH32330 pep chromosome:PHallii_v3.1:9:54293625:54296354:1 gene:PAHAL_9G373300 transcript:PVH32330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVVIDLSEFKHRGKSFKVTRFMVQQILGVPSGDIPIVLHNSATKSFDQRVQHNSTFMHGAKHSIPDAVSKLLGEHGEESFIRLFMLVALSTIICPSTQNFVNLSYLPYVLDVSQIHSYDWSYHILSYILSMVKKYQGFISSKDDGKIYIAYIDFLDLSGDYANHHKISYDIPRICNVTSDDFSFVANVDRNVSNPARSSYGIVEEAGFISV >PVH31741 pep chromosome:PHallii_v3.1:9:14555215:14564189:-1 gene:PAHAL_9G222700 transcript:PVH31741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFNICDDLDDEPATTVHADRHHPAGAPTPNGLNDRLLRLTHSRQDPNPNPNLPPEAPTEEARKVKLAGRPRLCKLAGPATQQLEEEEQQQDDGHSIRDILDDLTTRLDSLSVHKPNPTARPREEQLAPLPCDITADPDDQSAEDGDPHAGVSTPLQISSSDEAATPIARRAEAKPETTSVASAFTDYACSEVPRGKGKSKSTKDVGRTDTVSKASSFIDSDSNYNDGDEEEGTSSIPYAAKHVRRKAFTRRPTKASTFRNNDYSSDDVLCQEKENCELVENDAEDVGWEKMEDFKMEPTGTVATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLIVAPKTLLAHWTKELSVVGLKHKIRDYSGPSTNIRNYELQYAFKEGGILLTTYDIVRNNYKLIRGNSYNNFDDDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCSHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATTREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTACQRKLYEAFLKSELVHLAVQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGLVEKMAMNLADIAHDDDALEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSDRERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKSQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPQQGFDVSLTQKQLQEEHGQQVVMDESLRQHIQFLEQQGIAGVSHHSLLFSKTATLPTLSESDAVDSKPRRMPMMPQHYYKGSSSDYVAGGVAFALKPKDGKFTAPRYSPSNRSAESPEEIKARIDRLSETLSNAALVSKLPDCGEKIRRQISELDEKLTSAEEEKKGATEVICLDD >PAN46893 pep chromosome:PHallii_v3.1:9:14555215:14564081:-1 gene:PAHAL_9G222700 transcript:PAN46893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFNICDDLDDEPATTVHADRHHPAGAPTPNGLNDRLLRLTHSRQDPNPNPNLPPEAPTEEARKVKLAGRPRLCKLAGPATQQLEEEEQQQDDGHSIRDILDDLTTRLDSLSVHKPNPTARPREEQLAPLPCDITADPDDQSAEDGDPHAGVSTPLQISSSDEAATPIARRAEAKPETTSVASAFTDYACSEVPRGKGKSKSTKDVGRTDTVSKASSFIDSDSNYNDGDEEEGTSSIPYAAKHVRRKAFTRRPTKASTFRNNDYSSDDVLCQEKENCELVENDAEDVGWEKMEDFKMEPTGTVATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLIVAPKTLLAHWTKELSVVGLKHKIRDYSGPSTNIRNYELQYAFKEGGILLTTYDIVRNNYKLIRGNSYNNFDDDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCSHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATTREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTACQRKLYEAFLKSELVHLAVQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGLVEKMAMNLADIAHDDDALEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSDRERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKSQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPQQGFDVSLTQKQLQEEHGQQVVMDESLRQHIQFLEQQGIAGVSHHSLLFSKTATLPTLSESDAVDSKPRRMPMMPQHYYKGSSSDYVAGGVAFALKPKDGKFTAPRYSPSNRSAESPEEIKARIDRLSETLSNAALVSKLPDCGEKIRRQISELDEKLTSAEEEKKGATEVICLDD >PVH31743 pep chromosome:PHallii_v3.1:9:14558332:14564081:-1 gene:PAHAL_9G222700 transcript:PVH31743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFNICDDLDDEPATTVHADRHHPAGAPTPNGLNDRLLRLTHSRQDPNPNPNLPPEAPTEEARKVKLAGRPRLCKLAGPATQQLEEEEQQQDDGHSIRDILDDLTTRLDSLSVHKPNPTARPREEQLAPLPCDITADPDDQSAEDGDPHAGVSTPLQISSSDEAATPIARRAEAKPETTSVASAFTDYACSEVPRGKGKSKSTKDVGRTDTVSKASSFIDSDSNYNDGDEEEGTSSIPYAAKHVRRKAFTRRPTKASTFRNNDYSSDDVLCQEKENCELVENDAEDVGWEKMEDFKMEPTGTVATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLIVAPKTLLAHWTKELSVVGLKHKIRDYSGPSTNIRNYELQYAFKEGGILLTTYDIVRNNYKLIRGNSYNNFDDDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCSHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATTREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTACQRKLYEAFLKSELVHLAVQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGLVEKMAMNLADIAHDDDALEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQVCFCYFNSFVLHRALAHLHMALSF >PVH31740 pep chromosome:PHallii_v3.1:9:14555544:14562320:-1 gene:PAHAL_9G222700 transcript:PVH31740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFNICDDLDDEPATTVHADRHHPAGAPTPNGLNDRLLRLTHSRQDPNPNPNLPPEAPTEEARKVKLAGRPRLCKLAGPATQQLEEEEQQQDDGHSIRDILDDLTTRLDSLSVHKPNPTARPREEQLAPLPCDITADPDDQSAEDGDPHAGVSTPLQISSSDEAATPIARRAEAKPETTSVASAFTDYACSEVPRGKGKSKSTKDVGRTDTVSKASSFIDSDSNYNDGDEEEGTSSIPYAAKHVRRKAFTRRPTKASTFRNNDYSSDDVLCQEKENCELVENDAEDVGWEKMEDFKMEPTGTVATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLIVAPKTLLAHWTKELSVVGLKHKIRDYSGPSTNIRNYELQYAFKEGGILLTTYDIVRNNYKLIRGNSYNNFDDDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCSHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATTREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTACQRKLYEAFLKSELVHLAVQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGLVEKMAMNLADIAHDDDALEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSDRERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKSQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPQQGFDVSLTQKQLQEEHGQQVVMDESLRQHIQFLEQQGIAGVSHHSLLFSKTATLPTLSESDAVDSKPRRMPMMPQHYYKGSSSDYVAGGVAFALKPKDGKFTAPRYSPSNRSAESPEEIKARIDRLSETLSNAALVSKLPDCGEKIRRQISELDEKLTSAEEEKKGATEVICLDD >PVH31742 pep chromosome:PHallii_v3.1:9:14555215:14564190:-1 gene:PAHAL_9G222700 transcript:PVH31742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFNICDDLDDEPATTVHADRHHPAGAPTPNGLNDRLLRLTHSRQDPNPNPNLPPEAPTEEARKVKLAGRPRLCKLAGPATQQLEEEEQQQDDGHSIRDILDDLTTRLDSLSVHKPNPTARPREEQLAPLPCDITADPDDQSAEDGDPHAGVSTPLQISSSDEAATPIARRAEAKPETTSVASAFTDYACSEVPRGKGKSKSTKDVGRTDTVSKASSFIDSDSNYNDGDEEEGTSSIPYAAKHVRRKAFTRRPTKASTFRNNDYSSDDVLCQEKENCELVENDAEDVGWEKMEDFKMEPTGTVATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLIVAPKTLLAHWTKELSVVGLKHKIRDYSGPSTNIRNYELQYAFKEGGILLTTYDIVRNNYKLIRGNSYNNFDDDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCSHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATTREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTACQRKLYEAFLKSELVHLAVQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGLVEKMAMNLADIAHDDDALEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSDRERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKSQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPQQGFDVSLTQKQLQEEHGQQVVMDESLRQHIQFLEQQGIAGVSHHSLLFSKTATLPTLSESDAVDRVAFALKPKDGKFTAPRYSPSNRSAESPEEIKARIDRLSETLSNAALVSKLPDCGEKIRRQISELDEKLTSAEEEKKGATEVICLDD >PVH31739 pep chromosome:PHallii_v3.1:9:14555215:14562642:-1 gene:PAHAL_9G222700 transcript:PVH31739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFNICDDLDDEPATTVHADRHHPAGAPTPNGLNDRLLRLTHSRQDPNPNPNLPPEAPTEEARKVKLAGRPRLCKLAGPATQQLEEEEQQQDDGHSIRDILDDLTTRLDSLSVHKPNPTARPREEQLAPLPCDITADPDDQSAEDGDPHAGVSTPLQISSSDEAATPIARRAEAKPETTSVASAFTDYACSEVPRGKGKSKSTKDVGRTDTVSKASSFIDSDSNYNDGDEEEGTSSIPYAAKHVRRKAFTRRPTKASTFRNNDYSSDDVLCQEKENCELVENDAEDVGWEKMEDFKMEPTGTVATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLIVAPKTLLAHWTKELSVVGLKHKIRDYSGPSTNIRNYELQYAFKEGGILLTTYDIVRNNYKLIRGNSYNNFDDDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCSHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATTREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTACQRKLYEAFLKSELVHLAVQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGLVEKMAMNLADIAHDDDALEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSDRERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKSQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPQQGFDVSLTQKQLQEEHGQQVVMDESLRQHIQFLEQQGIAGVSHHSLLFSKTATLPTLSESDAVDRVAFALKPKDGKFTAPRYSPSNRSAESPEEIKARIDRLSETLSNAALVSKLPDCGEKIRRQISELDEKLTSAEEEKKGATEVICLDD >PAN51828 pep chromosome:PHallii_v3.1:9:72475277:72479771:1 gene:PAHAL_9G623400 transcript:PAN51828 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MKLIKHPNVVQLHEVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGSLKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDSNLTRLYKLICQANISCPPWFSPSAKKFIKRIIDPNPDTRITIAEILEDEWFKKDYKPPRFEQGEDVSLDDVDAAFNDSEEYLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGTVKRETSFTSQCTAQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWNAESDASKKQAK >PAN51825 pep chromosome:PHallii_v3.1:9:72475277:72479771:1 gene:PAHAL_9G623400 transcript:PAN51825 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAESAAPKPAAAARRKTRVGPYELGKTIGEGSFAKVKHARDSRTGAVCAIKVLDRNHVLRHRMVEQIKREISTMKLIKHPNVVQLHEVMASKTKIYMVLEFAEGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGSLKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDSNLTRLYKLICQANISCPPWFSPSAKKFIKRIIDPNPDTRITIAEILEDEWFKKDYKPPRFEQGEDVSLDDVDAAFNDSEEYLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGTVKRETSFTSQCTAQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWNAESDASKKQAK >PAN51829 pep chromosome:PHallii_v3.1:9:72476104:72479418:1 gene:PAHAL_9G623400 transcript:PAN51829 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MLVTMLCQIKREISTMKLIKHPNVVQLHEVMASKTKIYMVLEFAEGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGSLKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDSNLTRLYKLICQANISCPPWFSPSAKKFIKRIIDPNPDTRITIAEILEDEWFKKDYKPPRFEQGEDVSLDDVDAAFNDSEEYLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGTVKRETSFTSQCTAQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWNAESDASKKQAK >PAN51826 pep chromosome:PHallii_v3.1:9:72475277:72479771:1 gene:PAHAL_9G623400 transcript:PAN51826 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MKLIKHPNVVQLHEVMASKTKIYMVLEFAEGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGSLKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDSNLTRLYKLICQANISCPPWFSPSAKKFIKRIIDPNPDTRITIAEILEDEWFKKDYKPPRFEQGEDVSLDDVDAAFNDSEEYLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGTVKRETSFTSQCTAQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWNAESDASKKQAK >PAN51830 pep chromosome:PHallii_v3.1:9:72475277:72479771:1 gene:PAHAL_9G623400 transcript:PAN51830 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAESAAPKPAAAARRKTRVGPYELGKTIGEGSFAKVKHARDSRTGAVCAIKVLDRNHVLRHRMVEQIKREISTMKLIKHPNVVQLHEVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGSLKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDSNLTRLYKLICQANISCPPWFSPSAKKFIKRIIDPNPDTRITIAEILEDEWFKKDYKPPRFEQGEDVSLDDVDAAFNDSEEYLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGTVKRETSFTSQCTAQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWNAESDASKKQAK >PAN45202 pep chromosome:PHallii_v3.1:9:5862424:5866242:1 gene:PAHAL_9G101100 transcript:PAN45202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITTHLRSALLAPGGRRGRRRAPSSVRCDASPPSSSASASLDPDFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWLTNEIIHNPTVNKRLDEMGVETIPVDAGIKDFNVVEKGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKSEYTSIIHGKYSHEETVATASFAGKHIIVKNIAEATYVCDYILGGQLDGSSSTKEAFLEKFKNAVSPGFDPDVDLDKVGIANQTTMLKGETEEIGKLVERTMMQKHGVENVNDHFMAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNRISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEILQVA >PAN43944 pep chromosome:PHallii_v3.1:9:401163:403457:1 gene:PAHAL_9G004800 transcript:PAN43944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSDGNILIERFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKSVSIVYTTIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >PAN50161 pep chromosome:PHallii_v3.1:9:64751837:64754422:-1 gene:PAHAL_9G502700 transcript:PAN50161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 3 [Source:Projected from Arabidopsis thaliana (AT5G01360) UniProtKB/Swiss-Prot;Acc:Q8LED3] MVQIPAMKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRSLTEIMDKRKEKQIKEEKRTSLPARTRMMLTRPAEEATPPAAAAKEAFDPSRCSVTEGYWAYNWTKRLPYTDQTCPFVDRQISCQRNGRPDSDYLYWDWHLDDCSLPRFDPAAVLEKLRGKRMLFVGDSLQMGQWLSFVCLVNSVLPYTARTMERSTTLSVFTATEYNATIEFYWAPFLVEANSDRNIRLGADGRVLHVDAVELHAKHWRGADILVFDSYVWWMSGSRIKTVWGAFGDDGYEELDAWVAFRLGLKTWANWVDANIDPNATRVFFMSISTTHMRSEDWGREGGIRCYNETWPITRKGYWGSGADRRMMEVMSDVVGRMRVPVTLLNVTQLTEHRADAHVSVYTETGGELLTAAQRADPQAHADCIHWCLPGVPDTWNQILYAHL >PAN51660 pep chromosome:PHallii_v3.1:9:69790891:69792400:1 gene:PAHAL_9G579500 transcript:PAN51660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRSPGGPPRPPRPPRRCVVPGELPSRGGGEGPGRPAPPPAGAGAGPGAAGRRAAASAAVWRAAPRWGVPPCAAASPVEAAEWGSRGGGRGAHRSRLRRRQRRRASRPRARRSSQGRGILFLPSSSASAQARHELRRRRPARASGATPARA >PAN48820 pep chromosome:PHallii_v3.1:9:57643219:57644969:-1 gene:PAHAL_9G408300 transcript:PAN48820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEGELKLLGMWASPFVLRARLALSFKGLRYEYVEEEIFGNKSELLLKSNPIHKKVPVLIHNGKPICESQIIVQYIDEVYGAAGPSLLPTDPYDRAVARFWAAYIDDKLFSSFMMMIMGKTEEEKAEGRKQLFAAAETLEGALKECSEGKPFFGGDNVGFVDTALGGFVAWVLARDRLSGLKHFDADKTPLLAAWLKRFSSLNETKAVMPDVEKLVELSKRRQAQADAAAAVVQGNRSG >PAN44003 pep chromosome:PHallii_v3.1:9:645552:648121:1 gene:PAHAL_9G010800 transcript:PAN44003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAYAKRVLLTAAGDAISRGIASNLAKHGCRLVLVGDDGALAATAEEVRHCVDGGVAVVGLDFEDCDEAAVDAAVDRAWRCFGGLDAFVNCYTYEGEVQDCLSISEDEYNKTMKVNVITPWFLMKAIAKRFEDTKYGGSVVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSALELGKHKIRVNAACRGLHVQDQFPISVGKEKVEKATAEVMPLGRWLDPEKDLASTVLYLVGDESRYMTGTTIYVDGAQSIMRPRMRSYM >PAN50937 pep chromosome:PHallii_v3.1:9:68435736:68443954:1 gene:PAHAL_9G558300 transcript:PAN50937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASAGVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFGNSEELKDIWANLVRDALEREEKSENAEFHVKEVQYIQAEVKIIKCIVENIVVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALEMLVLYIFHVFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDMTAEPPRMDSGELLLNKSFLDTCSAAYGVVPRTQENKGPPFVSKHFNIIDPLRTNNNLGRSVSKGNLFRIRSAFAYGAKRLGKLLECPKENLVIELNQFFTNTWIRYGSGSRPDVPTQSLVDVQPLKVVPSVVSNSYKSVTACKKKVESPKLLANQDNLHADQENLTEVGHRYPDRSSQSIQKSDLNCHTLPATVNPSISHAQHQKVYAAQGNARVSEQLERKPTEADDGPRNMTLVPIINEASEIVTGPDSFPTQSRTKQVANDVDPTQTGMPNPVFAPFVIGSPQQRQADSSGSPTGPPVPFVVLPYAPGNSDGCGPQFERSEAIDQLSASIAGQNFSLLNDVDQPDSCATSTASCSTMTEPSREHKPDILSGDFISHWHNLQYGRLCQNTRPLGPVLYPFPVPQMYLQGHAAWDGPARRPAANVNWTQMVAPGQRLFPVIPLQQSTQRGTGVLHNYGEDAPRYRGGTGTYFPNPKVPFRDRHSNSRNYRGGYNGDRSDYSDKEGGWINSKQRNPNRSYGRSQSERSGMRSDRQANDESQPDRPRRTYRNDSYRHEASSQYLVQGQSFGSTSSTHKQGNIAHEVYTPQSTASNGAGALSGPPGPPFFMVYSYEPGTNHGASSSEPIEFGSLGPLPAADGDDIPRSTHQVMPNGFHGQRRGPYRGGSSHSSPDQPSSPQPRR >PAN51885 pep chromosome:PHallii_v3.1:9:72708623:72711735:-1 gene:PAHAL_9G627200 transcript:PAN51885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMQLQGPIASSLWADQQAAASTSAAVAAAAQMPFLALLQGAGIVVEDGQDGRKRNAAAFASAADLDLLESCVTQAAAAAGDPVASAPATRAERRRKRPRPRTRAAPPPEKRRKPEEAESQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAARRGAAGAVGTAATAASDGVFVSPQYASYSEARGCCGGVDVEAMAAVGGHVRVRVAGRRWPGRLVRAVAALEDLRLAVLHLAVTSVGHDAVVYCFNLKMEDGCEVATADEVAAVVHQIFAYAGGTCC >PAN44894 pep chromosome:PHallii_v3.1:9:4535980:4536859:1 gene:PAHAL_9G078100 transcript:PAN44894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWHLLLHTLLYSLIVFKLTAPAAISLSQARSVASKKSELLGFRVWNWVRFGRIWGSNTGRFKEYGRRQQAARGGGGLWAAMAPPESASGGRSGLKGWQRLVGGAARRRVSRRLQAVVDVGGGVAWHNLPLLCFLLPVL >PAN48664 pep chromosome:PHallii_v3.1:9:53151785:53153188:1 gene:PAHAL_9G363700 transcript:PAN48664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRNMLGESKLKKIGYEVLKQEIGKSTDLRCILSSSCWVPSMSMTTTAASVVATAWEATQGRTGAAQHRSRSPYCSRGSLRNAEAPPQEEISTFFL >PVH31295 pep chromosome:PHallii_v3.1:9:6125094:6128461:1 gene:PAHAL_9G105500 transcript:PVH31295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPATLSRAPATSQARAGEEAYTDAQAEAGPPPRRPAAFYSSVFAQIEEIGWERVVNATGDDGVSCLTFRVVDEKGRIHLLEITLPMGYPASPPSIAAEVPYLPKIHWSNSSKLKDVICQFQEHLKMLQEFWSIMDEIDKVLWVVDATKPTYAMSQRLLALGDDCYILLHVDPRKPSSLPKCRFLGPDGKFDRLIMNWRKNRKRWDTKKKFHENLAGVLDFALPAPPSVSSVKDDEQAECGICYAKHLPVDDELGAHSGCATDYTCENPSCSRAFHSVCLRDWLRSITTTRQSFDVLFGNCPYCSDPVAVKVADR >PVH31297 pep chromosome:PHallii_v3.1:9:6125468:6128461:1 gene:PAHAL_9G105500 transcript:PVH31297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPASPPSIAAEVPYLPKIHWSNSSKLKDVICQFQEHLKMLQEFWSIMDEIDKVLWVVDATKPTYAMSQRLLALGDDCYILLHVDPRKPSSLPKCRFLGPDGKFDRLIMNWRKNRKRWDTKKKFHENLAGVLDFALPAPPSVSSVKDDEQAECGICYAKHLPVDDELGAHSGCATDYTCENPSCSRAFHSVCLRDWLRSITTTRQSFDVLFGNCPYCSDPVAVKVADR >PAN45253 pep chromosome:PHallii_v3.1:9:6125094:6128461:1 gene:PAHAL_9G105500 transcript:PAN45253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPATLSRAPATSQARAGEEAYTDAQAEAGPPPRRPAAFYSSVFAQIEEIGWERVVNATGDDGVSCLTFRVVDEKGRIHLLEITLPMGYPASPPSIAAEVPYLPKIHWSNSSKLKDVICQFQEHLKMLQEFWSIMDEIDKVLWVVDATKPTYAMSQRLLALGDDCYILLHVDPRKPSSLPKCRFLGPDGKFDRLIMNWRKNRKRWDTKKKFHENLAGVLDFALPAPPSVSSVKDDEQAECGICYAKHLPVDDELGAHSGCATDYTCENPSCSRAFHSVCLRDWLRSITTTRQSFDVLFGNCPYCSDPVAVKVADR >PVH31296 pep chromosome:PHallii_v3.1:9:6125468:6128461:1 gene:PAHAL_9G105500 transcript:PVH31296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPASPPSIAAEVPYLPKIHWSNSSKLKDVICQFQEHLKMLQEFWSIMDEIDKVLWVVDATKPTYAMSQRLLALGDDCYILLHVDPRKPSSLPKCRFLGPDGKFDRLIMNWRKNRKRWDTKKKFHENLAGVLDFALPAPPSVSSVKDDEQAECGICYAKHLPVDDELGAHSGCATDYTCENPSCSRAFHSVCLRDWLRSITTTRQSFDVLFGNCPYCSDPVAVKVADR >PVH32198 pep chromosome:PHallii_v3.1:9:47953332:47967196:-1 gene:PAHAL_9G343900 transcript:PVH32198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTYTLAFQVNVTDQPKHRRRALLYPPFLPRLSVLVVVWSGPNRELQSCDDMLRRRRAAAALLLILVAAAAAEEEAALLPAEPMELYFSPAELARIAGYGEEPVSSVLVSGQVACELCLRSGSDLLAFELPGAKIALLCKTDGPNDQVADSAFATTDEFGNFTIDLPSQLHATANLERACTVKVLQLPADSSCRLRHCPSTTYGLRLSSEEDGVRAYTTGVIRLQNSDTPHDKCVSVEERTERR >PAN47817 pep chromosome:PHallii_v3.1:9:47635801:47645172:1 gene:PAHAL_9G342900 transcript:PAN47817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDEAAVHYIDMIDQTTLGHRLIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKADKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFDFEVLDEIIPVQDDLSLFDYNVEQRVNDFVAAAIVQANVTRTNHIMWTMGDDFNYQYAESWFRNMDKLIEHVNKDGRVHALYSTPSIYTDAKHASNESWPVKYDDYFPYADSTNAYWTGYFTSRPTFKRYVRVYSGYYLAARQIEFLVGRSSLGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRLALGASKVENGVNTALTCLTSSNGTCVSSVTKFEQCPLLNISYCPSTEKASSATKSLVVVVYNPLGWERSDFIRVPVNDENLAVKNSDGTIVESQLVEVDNLTDNLRKFYVKAYLGITSDKAPKYWLIFHASVPPMGWNSYYISKPTGAAYNGTGNVSAVVSPSNDTIEVGPGPLKMSFSSASGQLKRMFNSVSGVDLPIQQSFLWYRSSEGDSMDSQASGAYIFRPDGNTPTTVSSSVPLKVICGPLVDEVHQQFSSWVYQITRLYKNKEHAEIEYTIGPIPIDDDIGKEVITRMTANMVTNSTFYTDSNGRDFLKRVRNLREDWDLQVTQPVAGNYYPVNLGLYIADGKYELSVLVDRAVGASSIQDGQLEIMLHRRLLKDDARGVGEPLDEVVCVGEDCEGLTARGTYYVNVENIGHGAHWRRTYGQQVYSPFLLAFAHEEETRWKSYSVPKASMMDANYSLPDNVAIVTLQNLDDGTTLLRLAHLFQAAEDPQYSVLAKVDLRKVFGKRTIKELTETNLSANQKKSEMKKLNWKVVGETENGPDPIKGGPIDTQALVIELGPMEIRTFLLKF >PAN47818 pep chromosome:PHallii_v3.1:9:47631806:47645172:1 gene:PAHAL_9G342900 transcript:PAN47818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPALLLLVVVAAAALAVTEASTSAFAAASNNATAPPPPPPTVVAGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNTIQGACVMNTLDSVVDALARDPARKFVVVEQAFFQRWWAEKSPTIQAIVHKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRLIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKADKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFDFEVLDEIIPVQDDLSLFDYNVEQRVNDFVAAAIVQANVTRTNHIMWTMGDDFNYQYAESWFRNMDKLIEHVNKDGRVHALYSTPSIYTDAKHASNESWPVKYDDYFPYADSTNAYWTGYFTSRPTFKRYVRVYSGYYLAARQIEFLVGRSSLGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRLALGASKVENGVNTALTCLTSSNGTCVSSVTKFEQCPLLNISYCPSTEKASSATKSLVVVVYNPLGWERSDFIRVPVNDENLAVKNSDGTIVESQLVEVDNLTDNLRKFYVKAYLGITSDKAPKYWLIFHASVPPMGWNSYYISKPTGAAYNGTGNVSAVVSPSNDTIEVGPGPLKMSFSSASGQLKRMFNSVSGVDLPIQQSFLWYRSSEGDSMDSQASGAYIFRPDGNTPTTVSSSVPLKVICGPLVDEVHQQFSSWVYQITRLYKNKEHAEIEYTIGPIPIDDDIGKEVITRMTANMVTNSTFYTDSNGRDFLKRVRNLREDWDLQVTQPVAGNYYPVNLGLYIADGKYELSVLVDRAVGASSIQDGQLEIMLHRRLLKDDARGVGEPLDEVVCVGEDCEGLTARGTYYVNVENIGHGAHWRRTYGQQVYSPFLLAFAHEEETRWKSYSVPKASMMDANYSLPDNVAIVTLQNLDDGTTLLRLAHLFQAAEDPQYSVLAKVDLRKVFGKRTIKELTETNLSANQKKSEMKKLNWKVVGETENGPDPIKGGPIDTQALVIELGPMEIRTFLLKF >PAN46384 pep chromosome:PHallii_v3.1:9:11511612:11514709:1 gene:PAHAL_9G182200 transcript:PAN46384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKQPAQETLPPHKRLERSSQTCHKLTHGHSPSPNPRILIHTAIQIARHITLHRPTKLAMAQDGCESSTSKASASAGSSGCSTPFRFNVHAREFVPVVAPAAGPMGAGALAAAGYFPPFLQLPSGGGGGVGLGAADWSFLAEPDPTFFLPDFGHAEFAGAAGVTGHPKGASPADIAHKIIKQVEYQFSDTNLVANDFLTKIMNKDPEGYVPLSVISSWKKIKAMGVTNQLLVRALRTSEKLVVSDDGRRVRRAQPFTERHKEELQSRMVIAENLPDDSTRNSLEKIFGVIGSVKNIRICHPQEPSSSRSSKPDANPLLVGNKLHALIEYETSQQAERAVDKLNDGRNWRKGLRVRTVLRRPAKPVTRLKRPDLDHLAASDEERSPDSPTAAARLPDYSEDQHAGARKPWGRGRGRPHAAAAAAQHSSAHAAGAAAHLESLAATPRHAAQGPRMPDGTRGFTMGRGRPPLAVAAAAAVRVV >PAN46385 pep chromosome:PHallii_v3.1:9:11511612:11514709:1 gene:PAHAL_9G182200 transcript:PAN46385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKQPAQETLPPHKRLERSSQTCHKLTHGHSPSPNPRILIHTAIQIARHITLHRPTKLAMAQDGCESSTSKASASAGSSGCSTPFRFNVHAREFVPVVAPAAGPMGAGALAAAGYFPPFLQLPSGGGGGVGLGAADWSFLAEPDPTFFLPDFGHAEFAGAAGVTGHPKGASPADIAHKIIKQVEYQFSDTNLVANDFLTKIMNKDPEGYVPLSVISSWKKIKAMGVTNQLLVRALRTSEKLVVSDDGRRVRRAQPFTERHKEELQSRMVIAENLPDDSTRNSLEKIFGVIGSVKNIRICHPQEPSSSRSSKPDANPLLVGNKLHALIEYETSQQAERAVDKLNDGRNWRKGLRVRTVLRRPAKPVTRLKRPDLDHLAASDEERSPDSPTAAARLPDYSQEDQHAGARKPWGRGRGRPHAAAAAAQHSSAHAAGAAAHLESLAATPRHAAQGPRMPDGTRGFTMGRGRPPLAVAAAAAVRVV >PVH31286 pep chromosome:PHallii_v3.1:9:6012165:6015070:1 gene:PAHAL_9G103600 transcript:PVH31286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQVFHATRRGPGPAAAASSTPHRWFVRPIPASSPRPRLLRPNFKTTSKQNLDHPNPDANPIPTPPLRRPHRQRHRSPARARGRTSATMLLVSQAANGSLSARRLPTKPPGPATPNPYPLFANPRLPRRRLALSGAGADQAPRRAPPAPAAAGEGPSGSSAATEDPVLVRVADDGVPLEGVIQIEKPGDAGAESKLVSYAKLGLLAGGDVLCLLLFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGAGSAVITAAKSWAVGIPLGLAIRAVTSGHIPPTPFILVTMGSTGVLLTAWRALVSQILSVGQQQQDDDYRRGSPFELFELLTSLVRRW >PVH32805 pep chromosome:PHallii_v3.1:9:64018256:64019572:1 gene:PAHAL_9G492300 transcript:PVH32805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEADRRARQQRLLPVPIIPILLFLVAPLALFLFTSPDLQLPRIRIEYGRGDAPSSATATAERTTPQPPPPSPVPGADDSDEETRLPPPRQLTDPPYSLGTTAVSGYDARRAKWLRDNPRFPAFVAPGRPRVLVVTGSSPRRCGNADGDHVLLRAFKNKVDYCRVHGFDIFYSNAVLDAELSGFWTKLPILRALMLAHPETELLWWADSDAVFTDMLFEPPWGRYAAHNLVLPGWDEKVYVARSWLGINAGSFIIRNCQWSLDLLDAWARMGPRGPVRYEYGKLLGEALSDRPPYEADDQSALVYLLATQRGRWGRKTFLEGSYSLHGYWVDIVDRYEEMRRSRTPGAGGERWPLVTHFVGCKPCGGQYASYDAARCRRGMERALNFADDQILRLYGFEHESLNTTAVRRVRNETGGPLDAEDEEIARLLHPTFRFR >PAN45248 pep chromosome:PHallii_v3.1:9:6085651:6087983:-1 gene:PAHAL_9G105100 transcript:PAN45248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMVADEKPQLLSKKAACNSHGQDSSYFLGWEEYEKNPYDPVANPGGIIQMGLAENQLSFDLLEAWLEANPDALGLRRGGASVFRELALFQDYHGMPDFKNALARFMSEQRGFRVAFDPSNIVLTAGATSANEALVFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSANGFRVTRDALDDAYRRAQKRRLRVKGVLITNPSNPLGTTSPRADLELLIDFVAAKGIHLVSDEIYSGTAFAEPGFVSVLEVVAARGGAAADGLSERVHVVYSLSKDLGLPGFRVGAIYSSNAAVVSAATKMSSFGLVSSQTQHLLASLLGDKDFTRRYIAENTRRIKERRDQLAEGLRAIGIEVLEGNAGLFCWVRMGSLMRSQSFEGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVALQRLAAFAEASGKGAPARRGLAGGPARTMSCPVARFSWANRLTPGSTDRKAER >PAN44518 pep chromosome:PHallii_v3.1:9:2782732:2783567:1 gene:PAHAL_9G049700 transcript:PAN44518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPWVQGQGCGGHDHERLGQQRGSRERPGRRPRRGSRGGRRALGSAAARRRGEPGRRREAAGALASGAEAARAGNGGCGRPPGGAEAAGIQVDGADATGAMHGRGLAGRQRGGCRAAARVGRGDPGRRRRGCGRHGWGSQAGDAEAAVAMAGAPEPSGAMAKCSRPT >PVH32783 pep chromosome:PHallii_v3.1:9:63499194:63503246:1 gene:PAHAL_9G485800 transcript:PVH32783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFETIATNGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDAAGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADIWSCGVILFVLLAGYLPFEDENIIALYKKISEAQFTCPSWFSAGAKNLIARILDPNPTTRTTIAQILEDPWFKKGYKPPVFDEKYQTSFDDVDAAFGDSEDRHVKEETEDQPTTMNAFELISLNQALNLENLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDIQKKKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKKAKGDTLEFQKFYRSLSTQLKDVVWKCDGEVEANSTAA >PVH32784 pep chromosome:PHallii_v3.1:9:63499194:63503240:1 gene:PAHAL_9G485800 transcript:PVH32784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFETIATNGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDAAGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADIWSCGVILFVLLAGYLPFEDENIIALYKKISEAQFTCPSWFSAGAKNLIARILDPNPTTRTTIAQILEDPWFKKGYKPPVFDEKYQTSFDDVDAAFGDSEDRHVKEETEDQPTTMNAFELISLNQALNLENLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDIQKKKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKKAKGDTLEFQKFYRSLSTQLKDVVWKCDGEVEANSTAA >PAN49931 pep chromosome:PHallii_v3.1:9:63499194:63503285:1 gene:PAHAL_9G485800 transcript:PAN49931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTENGEPVAIKILDKEKVQRHRLVEQIKREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFETIATNGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDAAGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADIWSCGVILFVLLAGYLPFEDENIIALYKKISEAQFTCPSWFSAGAKNLIARILDPNPTTRTTIAQILEDPWFKKGYKPPVFDEKYQTSFDDVDAAFGDSEDRHVKEETEDQPTTMNAFELISLNQALNLENLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDIQKKKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKKAKGDTLEFQKFYRSLSTQLKDVVWKCDGEVEANSTAA >PAN46926 pep chromosome:PHallii_v3.1:9:14726764:14730793:-1 gene:PAHAL_9G224800 transcript:PAN46926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G03950) UniProtKB/Swiss-Prot;Acc:Q941D5] MANVSKTHARGTQLAKGTKPGDYLQEVLNCQRTTKGAGKKKSIKASSHAYAGPRCGSSRRPRRRTHGGKSAMNPFAKKPTPREVMRSSKRDLTNATRGIERDITSLQQEEKKLVAEIKRTAKTGNEAATKILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVATGLQSASKAMGALNKQMAPEKQMNIMKEFQKQSAQMDMTNEMMSDSIDDVLDDDQAEEETEELANQVLDEIGVDIASQLSSAPKGKIAGKKVQVDESSELEELEKRLAALKNP >PAN51175 pep chromosome:PHallii_v3.1:9:69550224:69552888:-1 gene:PAHAL_9G576200 transcript:PAN51175 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1 [Source:Projected from Arabidopsis thaliana (AT1G28200) UniProtKB/TrEMBL;Acc:A0A178WL22] MNPPAAAASTAGGDRAAAAPEYAPYPRLSPEDVSPPPPPSYHAATAAPPPYGGNPYVSSPAGGTATAPKNTMDSVKDVLGKMGKRFGEAARKTENITGNFWQHLKTGPSITDAAMGRISQVTKVIAEGGYEKIFHQTFEVTPGEKLKKPYACYLSTSAGPVMGVLYLSNVKLAFCSDNPLAYQVGDKTEWSYYKVVIPLAQLRSVNSSTSRTNASEKFIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQSLRA >PAN51735 pep chromosome:PHallii_v3.1:9:72023530:72028224:1 gene:PAHAL_9G616500 transcript:PAN51735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCRSSLRTGTLPEKKPPGRAAGALPPHRPSLSLNQHQAPAPSGARAAAAGREVPAVKEFSLAELRAATGGFAPENIVSESGEKAPNFVYKGRLEATRRAIAVKKFTKMAWPDAKQFAEEAKGVGKLRHCRMANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTLEWAMRLRVAYYIAEALEYCSTEGRPLYHDLNSYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESIIYSYGTILLDLLSGKRIPPSRACDMIKGNNLQVLMDSHLEGNYPTEEATTMVDLVSQCLQYEPRDRPNTKKLVSVLEPMQIKSEVPSYEMLGIPKHEEEAPPTPPPPPQPQHPLSPMGEACSRMDLTAIHQILVNTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKAAIDCYTQFVDVGTMVSPTVYARRSLCHLMSDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQKNTKP >PAN46418 pep chromosome:PHallii_v3.1:9:11689451:11690585:1 gene:PAHAL_9G184700 transcript:PAN46418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSMAASMPRVVVIASLVAALAMAAGPAAAAAAARGGRLIHLRFYMHDITGGPGQTAVQVVKGPGPAHPVMPGSYFGDTTVIDDALTESASATSRSLGRAQGTYTLASLREPVLSVSMTVALTGGAYNGSTIAVVGRDDVSATVRELAVVGGTGAFRRATGHVLWRTARMESRDHMVLELDVYATVPGASPPPPPRAGAVRQGDLNLINLD >PVH31854 pep chromosome:PHallii_v3.1:9:17045513:17047661:1 gene:PAHAL_9G247800 transcript:PVH31854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSPAAADGTPWRSASAIVAEAVSGYHVLKVVGYSRTKDVANSKAIKSRPFLAGAANDNVEEAVKASAKFSLLDQDGKLVPSYSFTTLPINFSEKRNWGYSKFIKREVLEKSEHLKDDSFTVRFDVTVMKDIHTQGTPTIVVPPSEMHRHFGDLLLSKEGADVKFRVCKKTFSTHRSVPVARSPLFKKMLLGPMLLGPMKEGTTTSAEVFGALLTFMYTDELPDMKEQEESEMVQHLLVAADRYNLERLKLICEDKLCKHIDTGSAATILALAEKHSCHGLKEACFNFLSASTALKAVMENDGFENLTKNCPSVLKELVSKGISC >PVH31817 pep chromosome:PHallii_v3.1:9:16268153:16272434:1 gene:PAHAL_9G241300 transcript:PVH31817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVICAGGWLGFRNGWVGYKGPEGYFPNGVGGVISGSATLFFAFIGFDTVASMAEEVKNPQRDLPLGMGLTLTLCCFLYMMVSAVVVGLVPYSVIDPNTPISSAFAQYGMQWAEYVVSSGAVLALIASLIGGILPQPRIIMAMARDGLLPPLFSAVNRQTQVPILSTILTGTCAAILAFLMDVSQLAGMVSVGTLLAFTTVAVSVLVVRYAPPYEMPMEVALAGTPETLTSCSGHSEQDEQNLEDPFGNAPTVSEIASKARRQKAMRSIILICLGAIIFISAVSFSSLPFYVQMTACTIGGLLLLSSSIVLLCIGQDKSSLGQTGGFMCPLVPFLPICCIIVNAYLLMNLGSHTWIRVSIWMAAGALIYFFYGIKHSSLAGMAYHRISPT >PAN47293 pep chromosome:PHallii_v3.1:9:17352667:17357399:1 gene:PAHAL_9G249600 transcript:PAN47293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGREVLGGAPTTNTTPQVLCRRAIGHLPLLLAGAALLAAAPRLSAALPAPANRLVANGLLRELAALGVSAPAVAVACWAAAVAAWAYAVSRPRPVYLVDLSGYVAGEAHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLISVPVDMCLRTAREESHAVIFGVVEDVLRRAAVAAADVGVLIFNSSLLSTTPSFTSLIANRYGMRDDVVSHNLSGMGCSAGIIAIDLAKRLLQVHPDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRSRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGCVGVSLSKELMLVAGEALRTNITTLGPLVLPMSEQLRFLATVVLNRVFRANVRAYLPDFKLAFDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLCRFGNTSSSSLWYELAYSEAKGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVDGGEEGNPWTPELDVLPIHVPKVSPVDETTYTFPDGATYKVLKVK >PAN47292 pep chromosome:PHallii_v3.1:9:17352586:17357498:1 gene:PAHAL_9G249600 transcript:PAN47292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGREVLGGAPTTNTTPQVLCRRAIGHLPLLLAGAALLAAAPRLSAALPAPANRLVANGLLRELAALGVSAPAVAVACWAAAVAAWAYAVSRPRPVYLVDLSGYVAGEAHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLISVPVDMCLRTAREESHAVIFGVVEDVLRRAAVAAADVGVLIFNSSLLSTTPSFTSLIANRYGMRDDVVSHNLSGMGCSAGIIAIDLAKRLLQVHPDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRSRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGCVGVSLSKELMLVAGEALRTNITTLGPLVLPMSEQLRFLATVVLNRVFRANVRAYLPDFKLAFDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLCRFGNTSSSSLWYELAYSEAKGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVDGGEEGNPWTPELDVLPIHVPKVSPVDETTYTFPDGATYKVSVAG >PVH31680 pep chromosome:PHallii_v3.1:9:13519783:13520883:-1 gene:PAHAL_9G209000 transcript:PVH31680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHHVATVASLLALAAAATTAGAVTFDATNTASNTPGGRRFDQAVGLGYAKRVLSEASTFIWSTFNQRSPADRKPVDAVTLVVEDIGGVAFTRANGIHLSARYVGGYSGDVRTEVTGVLYHEATHVWQWNGRGRANGGLIEGIADYVRLKAGYAPGHWVKPGQGDRWDQGYDVTARFLDYCGSLKPGFVAQLNAKMKDGYSDDFFAQILGKSVQQLWQDYKKKYGS >PAN51159 pep chromosome:PHallii_v3.1:9:69473421:69475572:-1 gene:PAHAL_9G574800 transcript:PAN51159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQSKRPASSHESTEEGEKLAFAEDEALKARNTEHDEAELDDVDDDEDELAGGRAARSTCGLGEKKRRLALEQVRALERCFETDNKLDPDRKSRIARDLGLQPRQVAVWFQNRRARWKTKTLERDFAALRARHEALRADCDALRRDKDALAAEIRELRQKLSKPETAVKLEAAANDAAEERQATVGASAAALCSKDGSSDSDSSVVFNDVEASPYSGAAFEQPAFAGFGAPFLDTSAATTCCSSLSMFDTKWQQAPAYPYDSYKSGGGYGFTEEWLASSDVIGSGDGAASFFSEEHASSLNFGWCASGTEAWE >PAN46804 pep chromosome:PHallii_v3.1:9:13946370:13948578:-1 gene:PAHAL_9G215700 transcript:PAN46804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNLGVQELPDEVLTEILVRLPAKSAGRFRCVSRAWSAMLSSDYFVDLHARRANRPDHPRLLLTAVGFSYDGYLYSWQPGGAVEKLMPDEFGGGVTVPLTKPCRGLVLVRASNNGGYFVFSPSTGEALALPDSEVPLKMKLPFYIRVSYGLGYCTLRKKYKVVRLFSNCEAGGGDDEIAPARTEVFVLNTPGYWRPSAENPSPCSVKEKNSAVFLNGYLHFLCFDGGITTFNISDETFGSLLLPPDFENVASVLTELDGCLCLCYGEPDSEDPIHVSVLRDYEEARWEKLCCIDRTAWPESESMLLNSLWIAPIGGGRKIMFGTGSCKVFAVDPDGGDPEILFTPEDTMVGSCEDDDLPTFVLLEESLVPVGVTVEDMVFSSPTTEAWFDILKWLPTCSVLELRLVCREWRGMIMTDCFTQSHVIHANLIRSPRVKFIVDPRFGCYMDLDEWIGQDEISPDLDLVCSQPCHGLNVGSCSSWDFHIEFDDNDGPFFAGRIGLGYDTEIEKHVMVHITYEEKNLETRYYKLQCKMKYVDAWEWDPIDPPSRPVAGIPPTFVNGKIYWMVDPNLGPVSARCEIVAFNVETEEFEVLQGPSCTHGSGHMTILELHEALCISYSDQRRNTVNLWMMKDDGIWLMEYHIVLDKLAENAAPLAVDPTDGRILLNTGWSLGYYDPRTAAFETIYTKGFPDLKFYPIVCHESLVSR >PAN47238 pep chromosome:PHallii_v3.1:9:16604121:16606326:-1 gene:PAHAL_9G244200 transcript:PAN47238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSAAAAGIVKEELLEQQPLQDGVRLGGGGGDAPRPMEGLHEVGPPPFLTKTFDLVEDPATDTVVSWSRAGNSFVVWDPHVFADALLPRLFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSAVPQSHQQQTSASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQSTKDHMRAMEGRLRVAEQKQVQMMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNTPFYSPGETSQSEQLDSQFMFDSGVLDVLSEPGMPELENLAVNIQELGKGSTDGAKMDQANGQSELNDDFWAELLIEDFGDKAGQSELDGRPEDVEDLAQQLGYLSSSSPK >PAN47237 pep chromosome:PHallii_v3.1:9:16602727:16606747:-1 gene:PAHAL_9G244200 transcript:PAN47237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSAAAAGIVKEELLEQQPLQDGVRLGGGGGDAPRPMEGLHEVGPPPFLTKTFDLVEDPATDTVVSWSRAGNSFVVWDPHVFADALLPRLFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSAVPQSHQQQTSASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQSTKDHMRAMEGRLRVAEQKQVQMMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNTPFYSPGETSQSEQLDSQFMFDSGVLDVLSEPGMPELENLAVNIQELGKGSTDGAKMDQANGQSELNDDFWAELLIEDFGDKAGQSELDGRPEDVEDLAQQLG >PVH30897 pep chromosome:PHallii_v3.1:9:626800:632040:1 gene:PAHAL_9G010400 transcript:PVH30897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLRPPEPTTNGAGASVVAALPAVNAAAAVEAAAAAAGGAQAPAAPYSKRRRRPSVRLGDIDAPPPRRNHKSSSSHPRPPRRAHPDDSAADPHHRRGAKPPAQRRPRTAWIPAAPEGAEGYGDEEERYYDDEDQSDSAAAAAARARVSGSRDASGDESDGVADWGLPNGRLPSAIGYSGVKAWLDGLGLSRYAPVFEIHEVDDEVLPMLTLEDLKDMGIGAVGSRRKMYAAIQKLRSDNVS >PAN44000 pep chromosome:PHallii_v3.1:9:626798:632040:1 gene:PAHAL_9G010400 transcript:PAN44000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLRPPEPTTNGAGASVVAALPAVNAAAAVEAAAAAAGGAQAPAAPYSKRRRRPSVRLGDIDAPPPRRNHKSSSSHPRPPRRAHPDDSAADPHHRRGAKPPAQRRPRTAWIPAAPEGAEGYGDEEERYYDDEDQSDSAAAAAARARVSGSRDASGDESDGVADWGLPNGRLPSAIGYSGVKAWLDGLGLSRYAPVFEIHEVDDEVLPMLTLEDLKDMGIGAVGSRRKMYAAIQKLRSDNVS >PAN47985 pep chromosome:PHallii_v3.1:9:26333976:26337425:1 gene:PAHAL_9G278800 transcript:PAN47985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTLAAAAGIGTGTSSNGGASTRGKPIKCKAAVAWGPGEPLAMEQVEVAPPGRLEVRVKVLFTSICHTDLSAWKGENELHRKFPRIFGHEAAGVVESVGEGVEDLVPGDHVVPIFTGECRECVYCESDKTNLCGTYRVNPFKSTMVSDNGTRFSVVDRSGVRQPVYHFLNTSTFTEYTVLDAACAVKINPKAPLERMYLLSCGISTGVGAAWNTANVSKGSTVAVFGLGAVGLAVAEGARLRGAAQIIGVDINPEKFIKGVCSAISFPFSLSLSLLSFSHFHILVKLQNKM >PVH31597 pep chromosome:PHallii_v3.1:9:11729408:11735684:-1 gene:PAHAL_9G185500 transcript:PVH31597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRPPAPSLSAAPGAGSPPPVPSPPPPAAEPAEKKPKLEENGAEANGNVNDSGAKAAAAAAASESESESEDADAVNQEFIPVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFNEEENDRNKQIQASIAEAFRKQSEVIGRKSTAKATAAAFVRRSRRNIRANGHSTYFRGRGRASSDDVALACSDDEEDGNGQNLGKEESSAEESSPEKKQKRPPKWPTPRSSPARAACSEEAASDDKDDVGISRENFSTSPLRAWGKNGTRSQTRYSSFSGSNGRVVKGGRMIKLVECLRNSDDNDSERDIHLCLLPLDGQTTPNLEKPYLCCGPTLSIKQLCQFVASQTSHKDEEVEIYARKPSYNDLVSTNTSGFDKARLVGEERLSDLRSSFTFPNGVLELVYAIKVAN >PVH31596 pep chromosome:PHallii_v3.1:9:11729407:11735684:-1 gene:PAHAL_9G185500 transcript:PVH31596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRPPAPSLSAAPGAGSPPPVPSPPPPAAEPAEKKPKLEENGAEANGNVNDSGAKAAAAAAASESESESEDADAVNQEFIPVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFNEEENDRNKQIQASIAEAFRKQSEVIGRKSTAKATAAAFVRRSRRNIRANGHSTYFRGRGRASSDDVALACSDDEEDGNGQNLGKEESSAEESSPEKKQKRPPKWPTPRSSPARAACSEEAASDDKDDVGISRENFSTSPLRAWGKNGTRSQTRYSSFSGSNGRVVKGGRMIKLVECLRNSDDNDSERSHICVVAQLSPSNSFVSLSPVRHLTRMKKLRSTHGSLPTTTLSALTHPVLIRQGL >PAN45773 pep chromosome:PHallii_v3.1:9:8676310:8677811:1 gene:PAHAL_9G141100 transcript:PAN45773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDAEARQPQLPRIDFSGVDPSAPGAGQWAAVRAQVVDALATFGCFDAHYPALGPDLRAALFDGAVKQLFALPEDAKRRNSYGPDRPLFGYLGGIPGLSKAYESLAISDRVEPERVRAFADLMWPDGDNAGFCEAVHGAARRIAELEEAVQRMVMEGLGVPGYHDAMRESMRHVFRMSQYTAPGGGAEGKEVRYGAHQDCSTLTVVCQHEVNGLEVQTGDGEWVHFRPSSPASLVVMAGNELRAWTNDRVRAPFHRVAVGGDVARYSVILFALPGSKIQAPDELVDEEHPTRFKPHCNDDFMRFCLAQGARHEDKLKDFCGV >PAN49329 pep chromosome:PHallii_v3.1:9:60614847:60618122:1 gene:PAHAL_9G441500 transcript:PAN49329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSVGGSGGGGGGGGGGGGPGDGREQAERWLEIAEKLLAARDLVGCKRFAERAVESDPLLAGADELLAVTDVLLASQSALPSGQPDPLAVLQLPPGAAPDQAAVSRAFRRLALLLSPRNPHPGADVALRLVNDAYAVLSDPSRRPPPSANQAMGTPPPSQHASAAAAAAPPAPDPPEFWTACPFCCYVHQYPRDLVGRALKCPNEGCRRGFVAAEIPAAPTIVPGTEMYHCAWGFFPLGFPNAADLGGNWKAFYKMFPWNTAPGGEGAGGRSQGYRGGSNIRQPQVGSARGGSSRGRIKKTTARKRVGAGLKRRSFGGGVESGIDSSMLGQEGWAGDEDGGDGRAEEVRGININEAAQATDSSGRVNVSGAGGVEDMGNFHIDVDATEDILGNLHNLPFLRVDNLGRML >PAN46946 pep chromosome:PHallii_v3.1:9:14819622:14822320:-1 gene:PAHAL_9G226100 transcript:PAN46946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQGKLFIGGISWETTEEKLSEHFSSYGEVTQAAVMRDKLTGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQATKAANPSGGRNSGGGGGGGGDAGGARTKKIFVGGLPSTLTEEGFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGGGGGRSGGGGGYQSNNGHSANSGGYDGRSDGRYGQAQQGSGGYPGYGAGGYGTGAAGYGYGANPGAGYGNYGAGAYGGVPAAYGGPYGNPSAAGSGYQGGPPGANRGPWGSQAPSAYGAGGYGGSAGYSAWNNSSGGGNAPTSQAPGGAAGYGSQGYGYGGYGGDASYASHGGYGAYGARSDGAGNPASGGISGYGAGYGSGSGNSGYPGAWNDPSQGSGFGGSVNGGSEGQSNYGSGYGSVQPRVAQ >PVH31977 pep chromosome:PHallii_v3.1:9:26351141:26352207:1 gene:PAHAL_9G278900 transcript:PVH31977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTDFINSKACGKPVHEVIREMTDGGVDYSFECTGINDVLREAFVSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCVFGDFKGKSQLPALVDKCINGEVNINFDGFITHKMPFSDINKAFQLLEEGKSLRCLLNL >PAN47986 pep chromosome:PHallii_v3.1:9:26341242:26352207:1 gene:PAHAL_9G278900 transcript:PAN47986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTDFINSKACGKPVHEVIREMTDGGVDYSFECTGINDVLREAFVSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCVFGDFKGKSQLPALVDKCINGEVNINFDGFITHKMPFSDINKAFQLLEEGKSLRCLLNL >PVH32408 pep chromosome:PHallii_v3.1:9:56513901:56514254:-1 gene:PAHAL_9G393400 transcript:PVH32408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQRHIATVCRLREKRASVFITIGFEYCNAAIKWTGQDANLQHKLRICS >PAN43899 pep chromosome:PHallii_v3.1:9:95804:100636:1 gene:PAHAL_9G001300 transcript:PAN43899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MSWESTLSGPHKDAAAYADWVKKDHRFHDMLVQTSPALTGHAFPRLKLRYKPSLVQLEGGSLHLPLLDPSMRAMPLTPSKWKERLEARACLDVSSSEMSGRKLLLLDVRNDYEWDVGHFEGAQQPNVDCFRSTSFGLSGEVSDPLNGVDKEKTDILMYCTGGIRCDVYSTILRKKGFQNLYTLEGGVSNYLKAEGPAGWVGNLFVFDGRLSLPPATFRRPACWPSEGEKERWVGRCYACGSEVVELRHRNCANIDCNRLYLSCGWCAEELAGCCCSDCKAAPRLRPLLPGHQRYLKWHVYRDGLLPPAAADSEDDADCC >PVH30871 pep chromosome:PHallii_v3.1:9:95804:100636:1 gene:PAHAL_9G001300 transcript:PVH30871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MSWESTLSGPHKDAAAYADWVKKDHRFHDMLVQTSPALTGHAFPRLKLRYKPSLVQLEGGSLHLPLLDPSMRAMPLTPSKWKERLEARACLDVSSSEMSGRKLLLLDVRNDYEWDVGHFEGAQQPNVDCFRSTSFGLSGEYFKLQVSDPLNGVDKEKTDILMYCTGGIRCDVYSTILRKKGFQNLYTLEGGVSNYLKAEGPAGWVGNLFVFDGRLSLPPATFRRPACWPSEGEKERWVGRCYACGSEVVELRHRNCANIDCNRLYLSCGWCAEELAGCCCSDCKAAPRLRPLLPGHQRYLKWHVYRDGLLPPAAADSEDDADCC >PVH30872 pep chromosome:PHallii_v3.1:9:95804:100636:1 gene:PAHAL_9G001300 transcript:PVH30872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MASAVHHNFHRLLALLLRPLPAPVRHPRLSFSPRPAGVRSFFPAPGCRLGTYAVALAEPELVPLEEEEDDDARFVVVTFYKFVPLEDPRTEVASHLHFLQGRDIHGRIYLNELGINAQYSGPHKDAAAYADWVKKDHRFHDMLVQTSPALTGHAFPRLKLRYKPSLVQLEGGSLHLPLLDPSMRAMPLTPSKWKERLEARACLDVSSSEMSGRKLLLLDVRNDYEWDVGHFEGAQQPNVDCFRSTSFGLSGEYFKLQVSDPLNGVDKEKTDILMYCTGGIRCDVYSTILRKKGFQNLYTLEGGVSNYLKAEGPAGWVGNLFVFDGRLSLPPATFRRPACWPSEGEKERWVGRCYACGSEVVELRHRNCANIDCNRLYLSCGWCAEELAGCCCSDCKAAPRLRPLLPGHQRYLKWHVYRDGLLPPAAADSEDDADCC >PAN43898 pep chromosome:PHallii_v3.1:9:95804:100636:1 gene:PAHAL_9G001300 transcript:PAN43898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MASAVHHNFHRLLALLLRPLPAPVRHPRLSFSPRPAGVRSFFPAPGCRLGTYAVALAEPELVPLEEEEDDDARFVVVTFYKFVPLEDPRTEVASHLHFLQGRDIHGRIYLNELGINAQYSGPHKDAAAYADWVKKDHRFHDMLVQTSPALTGHAFPRLKLRYKPSLVQLEGGSLHLPLLDPSMRAMPLTPSKWKERLEARACLDVSSSEMSGRKLLLLDVRNDYEWDVGHFEGAQQPNVDCFRSTSFGLSGEVSDPLNGVDKEKTDILMYCTGGIRCDVYSTILRKKGFQNLYTLEGGVSNYLKAEGPAGWVGNLFVFDGRLSLPPATFRRPACWPSEGEKERWVGRCYACGSEVVELRHRNCANIDCNRLYLSCGWCAEELAGCCCSDCKAAPRLRPLLPGHQRYLKWHVYRDGLLPPAAADSEDDADCC >PAN50217 pep chromosome:PHallii_v3.1:9:64997967:65001153:-1 gene:PAHAL_9G505800 transcript:PAN50217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCYPTVSAQYQEAVEKARRKLRALIAEKSCAPLMLRLAWHSAGTFDVSSRTGGPFGTMKNPAEQAHGANAGLDIAVRLLEPVKEEFPILSYADLYQLAGVVAVEVTGGPEVPFHPGREDKPQPPPEGRLPDATKGSDHLRQVFGKQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTRNPLVFDNSYFKELLSGDKEGLLQLPSDKALLSDPVFRPLVEKYAADEKAFFDDYKEAHLKLSELGFADA >PAN50340 pep chromosome:PHallii_v3.1:9:65479237:65481791:-1 gene:PAHAL_9G513800 transcript:PAN50340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVAPGSADKPMIGVKFRGEEKQFSAEEISSMILNKMKETAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSDGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVSPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDMDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIRDDKIASKLPEAEKKKIDDAIEGAITWLDNNQLAEVDEFEDKMKELEGICNPIIAKMYQGAAGPDMAGGMAEDAPAAGGSSGAGPKIEEVD >PAN51559 pep chromosome:PHallii_v3.1:9:71157842:71159408:1 gene:PAHAL_9G603100 transcript:PAN51559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPAPFANSPSSSPSAPTPSSSSASVTMVIITVVGIMAAFALLASYYAFVTKCQLLRALWSRHPPWHRRARGAGSGGREASVIRAAASDDRRGLGLPLIRMLPVVKFTAAACGGDAGGGCSVAPRISVSECAVCLSEFVERERVRLLPNCSHAFHIDCIDTWLQGSARCPFCRSDVTLPAARLPARCAPEAAEATVPSRRDTELASDSIVIEVRGEHERWFSNRGAGTPAGGRRPRHQKPQLRKSESVGDEAIDMRKAGEEFAALPLRRSLSLDSCCDKHLYVSVQEFLATQRQVCHPSVHS >PVH31487 pep chromosome:PHallii_v3.1:9:9784343:9789933:-1 gene:PAHAL_9G157800 transcript:PVH31487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFVPDWNNMGDTSRPLGEDDDLIELLWCNGHVVMQSQNNRKLPPRPEKAAGAAAPAPASVAQDDEAGLWFPFALADSLDKDIFSEFFCEAPAAAAPVPATPGVEDGKPCRDVPMEDDDRHGGACAVSEAPCDLMPPPKSAPVSGSRQQTMSLADGGDNAGDLSDLFRAGSVGKAAEAGASSMLSAIGSSICGSNQVLVQRAVSAPGRASGSALPSATGSANANASGRGNEATVASSSGRSNYCFGTTTATTEPTSTSNRSSKRKRLDTEDSESPSEDAESESAAMLARKPPQKLTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQVQVQMMWMGSGIAASPVMFPGVHQYLPRMGVGMGAAAMPSMPRMPFMAPQPVVHNAPVNPVPLSRAGYRGHMPAVGITEPYAHYLGVNHLQPTPSQGVGYYPLGAKAAVQQSPALHHVPGGGMPAAAAAPGVLPPESTPSRGPG >PVH31486 pep chromosome:PHallii_v3.1:9:9784838:9788396:-1 gene:PAHAL_9G157800 transcript:PVH31486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFVPDWNNMGDTSRPLGEDDDLIELLWCNGHVVMQSQNNRKLPPRPEKAAGAAAPAPASVAQDDEAGLWFPFALADSLDKDIFSEFFCEAPAAAAPVPATPGVEDGKPCRDVPMEDDDRHGGACAVSEAPCDLMPPPKSAPVSGSRQQTMSLADGGDNAGDLSDLFRAGSVGKAAEAGASSMLSAIGSSICGSNQVLVQRAVSAPGRASGSALPSATGSANANASGRGNEATVASSSGRSNYCFGTTTATTEPTSTSNRSSKRKRLDTEDSESPSEDAESESAAMLARKPPQKLTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQVQVQMMWMGSGIAASPVMFPGVHQYLPRMGVGMGAAAMPSMPRMPFMAPQPVVHNAPVNPVPLSRAGYRGHMPAVGITEPYAHYLGVNHLQPTPSQHFAQGVGYYPLGAKAAVQQSPALHHVPGGGMPAAAAAPGVLPPESTPSRGPG >PAN46281 pep chromosome:PHallii_v3.1:9:10955500:10962599:1 gene:PAHAL_9G174600 transcript:PAN46281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLRRNLKRQGSRSLSAFAGGAASPRAADQENLHPNLASSPPASPAAKGAASPRPKHPAATAEEDHATATAAAAPADNEPSVKVVVRVRPTVSRPVDGKDLWFVRKTAPDAVAVGDRSFAVDGVLDDRASQADAFDLVGLPLIENALAGFNTSLVCYGQSGTGKTHTMWGPLGAMVDSGSDHADRGVVPRVFQNLFSRIQRTQESSPEKHTSYQCRCSFLEVHNEQINDLLEPSQRDLQIRENAGNGIHVENLTDEYVSTVEDINQILMKGLSNRKVGTTSMNLKSSRSHVIFTCIIEAWSKGSSNGFSSSRTSRITFVDLAGPENDDLDGAAKNCTKEERHLKKSLSRLGKLVNILSETPESQKDDLPYEQSRLTHVLKDTLGGNSRVTFLCSISSEHRCRSGTLSTLRFGERAKLLPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDSATCKAGYSSAQNARESLHNLRMSLNRSLILPHIEVDSEEEVDVNEEDVQELRDQISKLHSSSETFDDFMDAESGDDNTPYAKGNLETSEEDDQPIIDDFGSPLQQEHKEVPGTTNAGDELISDRKSSLSVSASPSLSPIQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSESLGDINVEACKNSTVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQQNPAPRRSFVGLSFDHFAVNPRQSIANVSSAVQALPEGRGTILCSSCKKPVHANENQTEDINSAKQIVLALGAASNESAISSVKGDNSKAAIASKRETELEALCEEQAAKIKELSILIDQYRNGSRDGPDPNGVTPAEELTSKIDEQCGDGKVSSLSPNEREALLTEIESLKEQLKHQTNMSTNGSLLDQIRNGSIDQEYELEKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMEAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALLQENEKLKKQLEKLRKKHEMELETMKVHLAESRLPESALGGFYHHENKTPEYSCDAPSTTQDDDQSWRSAFASAYE >PAN48936 pep chromosome:PHallii_v3.1:9:58212404:58213792:-1 gene:PAHAL_9G413700 transcript:PAN48936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPPPVRREDGETDWAEAARKYLDVVSSGDEGAAVRATLEVKRLAGHATDSAVRLAVRALVGLLARSPPPRLQAAASQALCSVVRIDGGRFAAEAVDAGFFPVAQRLLPESEEVPQRMLLRCLSCILTLHATSRVVFGRGGGAEVILDLLPGCSGATKRRLVEILSVLALMKEVRHLLLTDGKVKYLVAAISFGNLASRTRAAQAAGLLGASTIGRSLLVEMGAPLALVGLMRNGDSSAKLVAANALGIVSSIGPHLQLIHESGAIPLYAELLKESHPLAKVIVEDVFCNLISIRDNAGVVLENLAGILRGEDDPAISAAVDVLLALAEYKSIIPFLKSSGVMPVLVDLLRNRNHDVIEKVTGAVAQLSYEEYIREGLMEDGAIPVLLDLLNGRLGDLTESAAAEALINFSEDPSCQEYAPMLQRVPELSAFRDHLFHFRTSQGHLIQSVRRRIEQRLNPQ >PAN45688 pep chromosome:PHallii_v3.1:9:8178044:8180316:1 gene:PAHAL_9G134900 transcript:PAN45688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSPSSSSPSRKALSKIACSRLQKELSEWQVNPPAGFKHRVTDNLQRWVIDVAGAPGTLYTGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDTV >PVH32088 pep chromosome:PHallii_v3.1:9:34745113:34746224:-1 gene:PAHAL_9G307600 transcript:PVH32088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKLATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >PAN46872 pep chromosome:PHallii_v3.1:9:14459757:14475019:-1 gene:PAHAL_9G221300 transcript:PAN46872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MLTRKSQTKREEKKRKFKQVRLHLHLDPSNPARTRKHKARTGSSAPFTTHLVSNFPLLNPTHPNLPRADPASSPEESAGILHQAAGEEKEAEAASGGLGLGGVVAAMEAQATATVKEALAALYHHPDDGIRTAADRWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSGAFRSLQDSLYVLLKKFNKGPAKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQVLEGFSSWLRFCHGISASELASHPLVHMALSSLNSDQFLEAAVNVTSELIHATVSHGSGTTAEQLPLVQILVPRIMGLKEQLKDPSKDEEDAKAIARLYADMGESYVDLIAAGSDDSVQIVNALLEVTSHQEFDICSMTFNFWHHLKRNLIRRESYASYGSEVAIEAERNRRLLIFRPKFETLVSLVSSRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATDVLGGDSTLKVLSTKLAQAYGSCNTEQNPKWQPVEAALFCIQAIAKSVSLEEREILPQVMSLLPCLPHNEQLLQTVCSTIGSFSKWIDAAPAELPILPPLVDILNKGMSTSEDTAAAASVAFKYICEDCRRKFAGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPPESARTALELICQPVINPLQELIQQGDQILQQIPARQLTVHIDRLSSIFSNVKHPEVVAEAVNRYWPILKSIFDQRAWDTRTMESVCRSCKFAVRTCGRAMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKIFGSDPSCADYLTNLIQILFSHTVQLLRTIQDFTARPDIADDCYLLASRCIRYCPNLFVPTEMFQRLVDCAMAGITIQHREACKSILSFLSDVFDLPNSSDGGNYREFINTIVLQRGATLTRIMIAALTGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERLRFLNIISDAASGSSLHTITDRFGEISDVCRRNKTVQDLVQSALRPHDLTFTVVPQQLS >PVH31725 pep chromosome:PHallii_v3.1:9:14461332:14475019:-1 gene:PAHAL_9G221300 transcript:PVH31725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MLTRKSQTKREEKKRKFKQVRLHLHLDPSNPARTRKHKARTGSSAPFTTHLVSNFPLLNPTHPNLPRADPASSPEESAGILHQAAGEEKEAEAASGGLGLGGVVAAMEAQATATVKEALAALYHHPDDGIRTAADRWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSGAFRSLQDSLYVLLKKFNKGPAKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFESDLCTSANVAISLLTACMAIDQLKEQVLEGFSSWLRFCHGISASELASHPLVHMALSSLNSDQFLEAAVNVTSELIHATVSHGSGTTAEQLPLVQILVPRIMGLKEQLKDPSKDEEDAKAIARLYADMGESYVDLIAAGSDDSVQIVNALLEVTSHQEFDICSMTFNFWHHLKRNLIRRESYASYGSEVAIEAERNRRLLIFRPKFETLVSLVSSRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATDVLGGDSTLKVLSTKLAQAYGSCNTEQNPKWQPVEAALFCIQAIAKSVSLEEREILPQVMSLLPCLPHNEQLLQTVCSTIGSFSKWIDAAPAELPILPPLVDILNKGMSTSEDTAAAASVAFKYICEDCRRKFAGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPPESARTALELICQPVINPLQELIQQGDQILQQIPARQLTVHIDRLSSIFSNVKHPEVVAEAVNRYWPILKSIFDQRAWDTRTMESVCRSCKFAVRTCGRAMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKIFGSDPSCADYLTNLIQILFSHTVQLLRTIQDFTARPDIADDCYLLASRCIRYCPNLFVPTEMFQRLVDCAMAGITIQHR >PAN46875 pep chromosome:PHallii_v3.1:9:14459757:14475019:-1 gene:PAHAL_9G221300 transcript:PAN46875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MLTRKSQTKREEKKRKFKQVRLHLHLDPSNPARTRKHKARTGSSAPFTTHLVSNFPLLNPTHPNLPRADPASSPEESAGILHQAAGEEKEAEAASGGLGLGGVVAAMEAQATATVKEALAALYHHPDDGIRTAADRWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSGAFRSLQDSLYVLLKKFNKGPAKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFESDLCTSANVAISLLTACMAIDQLKEQVLEGFSSWLRFCHGISASELASHPLVHMALSSLNSDQFLEAAVNVTSELIHATVSHGSGTTAEQLPLVQILVPRIMGLKEQLKDPSKDEEDAKAIARLYADMGESYVDLIAAGSDDSVQIVNALLEVTSHQEFDICSMTFNFWHHLKRNLIRRESYASYGSEVAIEAERNRRLLIFRPKFETLVSLVSSRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATDVLGGDSTLKVLSTKLAQAYGSCNTEQNPKWQPVEAALFCIQAIAKSVSLEEREILPQVMSLLPCLPHNEQLLQTVCSTIGSFSKWIDAAPAELPILPPLVDILNKGMSTSEDTAAAASVAFKYICEDCRRKFAGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPPESARTALELICQPVINPLQELIQQGDQILQQIPARQLTVHIDRLSSIFSNVKHPEVVAEAVNRYWPILKSIFDQRAWDTRTMESVCRSCKFAVRTCGRAMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKIFGSDPSCADYLTNLIQILFSHTVQLLRTIQDFTARPDIADDCYLLASRCIRYCPNLFVPTEMFQRLVDCAMAGITIQHREACKSILSFLSDVFDLPNSSDGGNYREFINTIVLQRGATLTRIMIAALTGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERLRFLNIISDAASGSSLHTITDRFGEISDVCRRNKTVQDLVQSALRPHDLTFTVVPQQLS >PAN46873 pep chromosome:PHallii_v3.1:9:14459205:14475038:-1 gene:PAHAL_9G221300 transcript:PAN46873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MLTRKSQTKREEKKRKFKQVRLHLHLDPSNPARTRKHKARTGSSAPFTTHLVSNFPLLNPTHPNLPRADPASSPEESAGILHQAAGEEKEAEAASGGLGLGGVVAAMEAQATATVKEALAALYHHPDDGIRTAADRWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSGAFRSLQDSLYVLLKKFNKGPAKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFESDLCTSANVAISLLTACMAIDQLKEQVLEGFSSWLRFCHGISASELASHPLVHMALSSLNSDQFLEAAVNVTSELIHATVSHGSGTTAEQLPLVQILVPRIMGLKEQLKDPSKDEEDAKAIARLYADMGESYVDLIAAGSDDSVQIVNALLEVTSHQEFDICSMTFNFWHHLKRNLIRRESYASYGSEVAIEAERNRRLLIFRPKFETLVSLVSSRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATDVLGGDSTLKVLSTKLAQAYGSCNTEQNPKWQPVEAALFCIQAIAKSVSLEEREILPQVMSLLPCLPHNEQLLQTVCSTIGSFSKWIDAAPAELPILPPLVDILNKGMSTSEDTAAAASVAFKYICEDCRRKFAGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPPESARTALELICQPVINPLQELIQQGDQILQQIPARQLTVHIDRLSSIFSNVKHPEVVAEAVNRYWPILKSIFDQRAWDTRTMESVCRSCKFAVRTCGRAMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKIFGSDPSCADYLTNLIQILFSHTVQLLRTIQDFTARPDIADDCYLLASRCIRYCPNLFVPTEMFQRLVDCAMAGITIQHREACKSILSFLSDVFDLPNSSDGGNYREFINTIVLQRGATLTRIMIAALTGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERLRFLNIISDAASGSSLHTITDRFGEISDVCRRNKTVQDLVQSALRPHDLTFTVVPQQLS >PAN46874 pep chromosome:PHallii_v3.1:9:14459205:14475038:-1 gene:PAHAL_9G221300 transcript:PAN46874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MLTRKSQTKREEKKRKFKQVRLHLHLDPSNPARTRKHKARTGSSAPFTTHLVSNFPLLNPTHPNLPRADPASSPEESAGILHQAAGEEKEAEAASGGLGLGGVVAAMEAQATATVKEALAALYHHPDDGIRTAADRWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSGAFRSLQDSLYVLLKKFNKGPAKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQVLEGFSSWLRFCHGISASELASHPLVHMALSSLNSDQFLEAAVNVTSELIHATVSHGSGTTAEQLPLVQILVPRIMGLKEQLKDPSKDEEDAKAIARLYADMGESYVDLIAAGSDDSVQIVNALLEVTSHQEFDICSMTFNFWHHLKRNLIRRESYASYGSEVAIEAERNRRLLIFRPKFETLVSLVSSRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATDVLGGDSTLKVLSTKLAQAYGSCNTEQNPKWQPVEAALFCIQAIAKSVSLEEREILPQVMSLLPCLPHNEQLLQTVCSTIGSFSKWIDAAPAELPILPPLVDILNKGMSTSEDTAAAASVAFKYICEDCRRKFAGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPPESARTALELICQPVINPLQELIQQGDQILQQIPARQLTVHIDRLSSIFSNVKHPEVVAEAVNRYWPILKSIFDQRAWDTRTMESVCRSCKFAVRTCGRAMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKIFGSDPSCADYLTNLIQILFSHTVQLLRTIQDFTARPDIADDCYLLASRCIRYCPNLFVPTEMFQRLVDCAMAGITIQHREACKSILSFLSDVFDLPNSSDGGNYREFINTIVLQRGATLTRIMIAALTGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERLRFLNIISDAASGSSLHTITDRFGEISDVCRRNKTVQDLVQSALRPHDLTFTVVPQQLS >PVH32615 pep chromosome:PHallii_v3.1:9:60795508:60798163:1 gene:PAHAL_9G444200 transcript:PVH32615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGDGPTAALLRRRSSSIRRRLLSTLADDKGAWRAHAGMVFIQLAYSGYHVLTKAVLNVGMNQVVFCVYRDLLALAVLAPVAFLRERGVRPPVTPQLIGSFALLGFTGLFVNPLLFLIGLRYTNASYAAAFEPSVPVFAFLLAAMAGVEAINISTKYGVLKVAGTVVCVSGAVLMALYRGPSLVSLGGRGTDAASESVTPAEWWLASTMLEFGVGTWHLGVLCLIAHCFLVGAYLVIQVPVIVRYPASLSLTAYSYFFATIFMVLTGVFATDGLHEWALTKAEVIAVLYAGIVASCMCYAIMTWANKILGPSLVALYNPLQPAFSTVLSTIFLGAPVYAGSIMGGVFIIAGLYVVTWARYTEAQQALTDGYYSDPLLVGYPPRVPKTQESSLVDP >PAN47443 pep chromosome:PHallii_v3.1:9:30895195:30899000:1 gene:PAHAL_9G291600 transcript:PAN47443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDDALTAMGFGKFQALVLVYAGTGWLADSMELMLLSFVGPLVRQQWNVSAQHESLLSSAVFTGMLIGACSWGYISDKYGRRTALLFSIILTTGAGFLSALSPNYISLIVFRFLVGIGVGGTHVFSSWFLEFVPAKNRGTWMIVFSVFWTIGTILEASLAWVVLSRLSWRWLLAFTALPCFLLLLLFVIAPESPRYLCVQNRISDATLVLERMAKTNKVALPPGVLTYHKETQLVDHNPLTSQNGHLPVRDNDCAPDNAMSSKSGGIAALRKLFSRKLLRSTLLIWFVWFANSFAYYGLVLLSSQLSDANRRCTSGQKSEGQQKNANLYKDVFITSLAEFPGLVISAIIVDWFGRKATMWILLFGCCAFLGPLAVHQKESLTTALLFGARACGMGSSTVLCLYAPEVYPTSARSTGVGIATAIGKIGGIVCPIIAVGLLRSCHQMEAVVVFELVLGLAGVACILFPVETKGREMK >PAN47444 pep chromosome:PHallii_v3.1:9:30895093:30899011:1 gene:PAHAL_9G291600 transcript:PAN47444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDDALTAMGFGKFQALVLVYAGTGWLADSMELMLLSFVGPLVRQQWNVSAQHESLLSSAVFTGMLIGACSWGYISDKYGRRTALLFSIILTTGAGFLSALSPNYISLIVFRFLVGIGVGGTHVFSSWFLEFVPAKNRGTWMIVFSVFWTIGTILEASLAWVVLSRLSWRWLLAFTALPCFLLLLLFVIAPESPRYLCVQNRISDATLVLERMAKTNKVALPPGVLTYHKETQLVDHNPLTSQNGHLPVRDNDCAPDNAMSSKSGGIAALRKLFSRKLLRSTLLIWFVWFANSFAYYGLVLLSSQLSDANRRCTSGQKSEGQQKNANLYKDVFITSLAEFPGLVISAIIVDWFGRKATMWILLFGCCAFLGPLAVHQKESLTTALLFGARACGMGSSTVLCLYAPEVYPTSARSTGVGIATAIGKIGGIVCPIIAVGLLRSCHQMEAVVVFELVLGLAGVACILFPVETKGREMK >PVH32015 pep chromosome:PHallii_v3.1:9:30895093:30899011:1 gene:PAHAL_9G291600 transcript:PVH32015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDDALTAMGFGKFQALVLVYAGTGWLADSMELMLLSFVGPLVRQQWNVSAQHESLLSSAVFTGMLIGACSWGYISDKYGRRTALLFSIILTTGAGFLSALSPNYISLIVFRFLVGIGVGGTHVFSSWFLEFVPAKNRGTWMIVFSVFWTIGTILEASLAWVVLSRLSWRWLLAFTALPCFLLLLLFVIAPESPRYLCVQNRISDATLVLERMAKTNKVALPPGVLTYHKETQLVDHNPLTSQNGHLPVRDNDCAPDNAMSSKSGGIAALRKLFSRKLLRSTLLIWFVWFANSFAYYGLVLLSSQLSDANRRCTSGQKSEGQQKNANLYKDVFITSLAEFPGLVISAIIVDWFGRKATMWILLFGCCAFLGPLAVHQKESLTTALLFGARACGMGSSTVLCLYAPEVYPTSARSTGVGIATAIGKIGGIVCPIIAVGLLRSCHQMEAVVVFELVLGLAGVACILFPVETKGREMK >PAN47442 pep chromosome:PHallii_v3.1:9:30895224:30898998:1 gene:PAHAL_9G291600 transcript:PAN47442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDDALTAMGFGKFQALVLVYAGTGWLADSMELMLLSFVGPLVRQQWNVSAQHESLLSSAVFTGMLIGACSWGYISDKYGRRTALLFSIILTTGAGFLSALSPNYISLIVFRFLVGIGVGGTHVFSSWFLEFVPAKNRGTWMIVFSVFWTIGTILEASLAWVVLSRLSWRWLLAFTALPCFLLLLLFVIAPESPRYLCVQNRISDATLVLERMAKTNKVALPPGVLTYHKETQLVDHNPLTSQNGHLPVRDNDCAPDNAMSSKSGGIAALRKLFSRKLLRSTLLIWFVWFANSFAYYGLVLLSSQLSDANRRCTSGQKSEGQQKNANLYKDVFITSLAEFPGLVISAIIVDWFGRKATMWILLFGCCAFLGPLAVHQKESLTTALLFGARACGMGSSTVLCLYAPEVYPTSARSTGVGIATAIGKIGGIVCPIIAVGLLRSCHQMEAVVVFELVLGLAGVACILFPVETKGREMK >PAN50380 pep chromosome:PHallii_v3.1:9:65664779:65666279:-1 gene:PAHAL_9G516100 transcript:PAN50380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPVSYWCYRCSRFVRVSPDTVVCPECDGGFLEQFPQQPPRGGGGSGRRGTMNPVIVLRGGSLSGFELYYDDGAGDGLRPLPGDVQHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTISGSGAHCAVCQEAFEPGAAGREMPCKHVYHQDCILPWLSLRNSCPVCRQELPAAATPDAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGEGQVDGGEGRIRRVFRNLFGCFGHGSRQASSSQSRSG >PVH30939 pep chromosome:PHallii_v3.1:9:1008437:1010014:-1 gene:PAHAL_9G017100 transcript:PVH30939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCMYGGVASNAGYLVDDEARHVPVSRRRKVCCWCTRRRTSRPIRCRCHDGRRLRRSTEWIHARTHAVQGAARIKSLEFVDALSAHAAASTKGSNQVSRCSIGIMN >PAN45844 pep chromosome:PHallii_v3.1:9:8990295:8994210:-1 gene:PAHAL_9G146700 transcript:PAN45844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRSSIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPSTKFSYASHKAVSEYKEAKALGIDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGSILPIYKEVVAELKAAGASWIQFDEPTLVKDLDAHELAAFSSAYAELESALSGLNVLIETYFADIPAESYKILTSLSVVTAYGFDLIRGAKTLDLIRSSFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVAGKDKLVVSTSCSLMHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAALASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSTRLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSATKLIRTQLASAK >PAN45845 pep chromosome:PHallii_v3.1:9:8990047:8995546:-1 gene:PAHAL_9G146700 transcript:PAN45845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPNPSDFPCSSSPPTSRPPHPPALLPRSTVQGKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRSSIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPSTKFSYASHKAVSEYKEAKALGIDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGSILPIYKEVVAELKAAGASWIQFDEPTLVKDLDAHELAAFSSAYAELESALSGLNVLIETYFADIPAESYKILTSLSVVTAYGFDLIRGAKTLDLIRSSFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVAGKDKLVVSTSCSLMHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAALASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSTRLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSATKLIRTQLASAK >PAN50390 pep chromosome:PHallii_v3.1:9:65695258:65697906:1 gene:PAHAL_9G517100 transcript:PAN50390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGLLAAVAAVLVVAIDAVVRRAHGWAREASLGAGRRARLPPGDMGWPVVGAMWAFLWAFRSGDPDSFIGSFIRRFGRAGMYRAFMFSSPTILVATPDACKRVLMDDDGFAEGWPKATVALIGSKSFLTLPCEEHRRLRKLTAAPINGSDALSTYLGFIDRTVAEALRRWSDAGEIEFLTELRRVTFQVIVQIFLTSADDATMRALERSYTDLNYGIRAMAINLPGFAFHKAFKARKKLVSVLQGALNERRVATTKGLPKSNMDMMDRLIEAEDEHGRRLDDEEIIDILIMYLIAGHESSAHISMWATVFLQENPEIFAKAKAEQEEIIQNIPPTQKRISLRDFRKMEYLSQVIDETLRFVNISFVSFREAKKDVSVNGYLIPKGWKVQLWYRGVHMDPEVYPDPKKFNPSRWEDYSARAGTFLPFGLGTRLCPGNDLAKLEISIFLHHFLLGYKLTRTNPSCRIRYLPHPRPVDNCLAKITKVSDEQ >PAN50389 pep chromosome:PHallii_v3.1:9:65695447:65697191:1 gene:PAHAL_9G517100 transcript:PAN50389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGLLAAVAAVLVVAIDAVVRRAHGWAREASLGAGRRARLPPGDMGWPVVGAMWAFLWAFRSGDPDSFIGSFIRRFGRAGMYRAFMFSSPTILVATPDACKRVLMDDDGFAEGWPKATVALIGSKSFLTLPCEEHRRLRKLTAAPINGSDALSTYLGFIDRTVAEALRRWSDAGEIEFLTELRRVTFQVIVQIFLTSADDATMRALERSYTDLNYGIRAMAINLPGFAFHKAFKARKKLVSVLQGALNERRVATTKGLPKSNMDMMDRLIEAEDEHGRRLDDEEIIDILIMYLIAGHESSAHISMWATVFLQENPEIFAKAKAEQEEIIQNIPPTQKRISLRDFRKMEYLSQVIDETLRFVNISFVSFREAKKDVSVNAQLATHASRLPDTQGLEGSAVV >PVH30931 pep chromosome:PHallii_v3.1:9:912605:914392:-1 gene:PAHAL_9G015600 transcript:PVH30931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAIRDHPLWANATNQEIDHALEGLEKYIMTKLFDRTFGTSSEDAITDMEISEKIGLLQQFVKPHHLDIPKVLHNEASWLLAVKELQKINSFKAPREKLLCIMSCCQVINNLLLNISMSSDRTLSGADEFLPILIYITIKANPPQLHSNLKFVQLFRRETKLISEVEYYLTNLISAKMFIIDVNARSLSMEESEFQKHMESARLATQISVASPSSSQGLPTYARAHKEETDLAGSRFPFMDSETESLNPEEVMQLHDLYRQVVTRYTLLSKALRKLSIDEDQLLSSVDDS >PVH30932 pep chromosome:PHallii_v3.1:9:911558:915051:-1 gene:PAHAL_9G015600 transcript:PVH30932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAIRDHPLWANATNQEIDHALEGLEKYIMTKLFDRTFGTSSEDAITDMEISEKIGLLQQFVKPHHLDIPKVLHNEASWLLAVKELQKINSFKAPREKLLCIMSCCQVINNLLLNISMSSDRTLSGADEFLPILIYITIKANPPQLHSNLKFVQLFRRETKLISEVEYYLTNLISAKMFIIDVNARSLSMEESEFQKHMESARLATQISVASPSSSQGLPTYARAHKEETDLAGSRFPFMDSETESLNPEEVMQLHDLYRQVVTRYTLLSKALRKLSIDEDQLLSSVDDS >PAN44084 pep chromosome:PHallii_v3.1:9:911551:915051:-1 gene:PAHAL_9G015600 transcript:PAN44084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTSAASRPDFFDFLDRMRRPAAADLFRSIKSFLVSFSFNEPNAEEDGSKVQAFLTEMEDAIRDHPLWANATNQEIDHALEGLEKYIMTKLFDRTFGTSSEDAITDMEISEKIGLLQQFVKPHHLDIPKVLHNEASWLLAVKELQKINSFKAPREKLLCIMSCCQVINNLLLNISMSSDRTLSGADEFLPILIYITIKANPPQLHSNLKFVQLFRRETKLISEVEYYLTNLISAKMFIIDVNARSLSMEESEFQKHMESARLATQISVASPSSSQGLPTYARAHKEETDLAGSRFPFMDSETESLNPEEVMQLHDLYRQVVTRYTLLSKALRKLSIDEDQLLSSVDDS >PAN51747 pep chromosome:PHallii_v3.1:9:72087879:72090688:1 gene:PAHAL_9G617700 transcript:PAN51747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase, Hydrogen peroxide-induced leaf cell deat [Source: Projected from Oryza sativa (Os03g0131200)] MDPYKYRPSSAHNGPHWSTNSGAPVWSNDHSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKTHIQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWRPTCGVKSLLDDEAVTVGGTNHSHATKDLYDAIAAGNFPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDVIPLQPVGRMVLNRNIDNFFTENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDAARNAPRYPIPSVPLTGRRDKTVIKKENNFKQPGERYRAMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSAKPSM >PAN46690 pep chromosome:PHallii_v3.1:9:13349736:13353992:1 gene:PAHAL_9G207400 transcript:PAN46690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQWVEEGMPPSNLQCFLDCTTPTVETHILPKMNGRLSTDAWHHAEMDSMEYFNLADLWEQYYEWSAYGAGAAVQLPGGEKVVQYYVPYLSGIQLYTNKVLTASRSFGEDNGMDLWSDDEDNEKMSRSWSSTSDESLFNCDLLGANRKRPGHLYFEFFEVGSPYGRAPLIDKVYELSQGFPGLTSLKSSDLSPVSWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDHALETMTNSGCHPIANGKQNGHMDKKSNTVSLPPFGLAAHKIQGSLWTNPMAGDYRKLDFLFSAADSWLKQLGVQHHDFNFFITHPM >PVH33330 pep chromosome:PHallii_v3.1:9:72848003:72851424:-1 gene:PAHAL_9G629000 transcript:PVH33330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGAASHHIGFLSAARFRAHALFDLAARFSRPPGAQPPRGESNLPLMAPHFIQRRSQGPRFQHPCSVRWHDAYQTERGSHNVTANRLMAPIRTALQECVFHEAICSLKLFFFFNEDIL >PVH33331 pep chromosome:PHallii_v3.1:9:72849387:72851424:-1 gene:PAHAL_9G629000 transcript:PVH33331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGAASHHIGFLSAARFRAHALFDLAARFSRPPGAQPPRGESNLPLMAPHFIQRRSQGPRFQHPCSVRWHDAYQTERGSHNVTANRLMAPIRTGMLVPPITPSFHPVDLTVQG >PAN48410 pep chromosome:PHallii_v3.1:9:55115123:55118140:1 gene:PAHAL_9G380300 transcript:PAN48410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17090) UniProtKB/Swiss-Prot;Acc:O23553] MALTLRSTTSFLSPVDPTSKLLHKPGVDDALPCCAAVPAAPHAAAPGRRLRLVRAAAPAPAAGRAPAEAAELLHAGGAVHEHGRARGGVPVYVMLPLDTVGPGGQLSRQRAVAASLMALRGAGVEGVMVDVWWGVVEREGPGRYDWEAYAELVRMVERAGLRLQAVMSFHQCGGNVGDTCNIPLPPWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYTDYMRSFRERFRDYLGNVIAEIQVGMGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLEAAAVAAGHEEWGRGGPHDAGEYKQMPEETGFFRHDGTWSTEYGQFFLEWYSGMLLEHGDRVLAAAEAVFGGTGATLSAKVAGIHWHYGTRSHAAELTAGYYNTRHRDGYAPIARMLAKRGAVLNFTCMEMKDEQQPQHASCSPEQLVRQVKAAASAAGVELAGENALERYDEAAFSQVVSTARGAGLAAFTYLRMNKTLFDGDNWREFVSFVRAMADGGTRPALPRCDTGHSDLYVGFLDAAKERKAPEAEGAATATAAAW >PAN48996 pep chromosome:PHallii_v3.1:9:58519194:58525664:1 gene:PAHAL_9G417900 transcript:PAN48996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAPAAMGSGKELANPPSDGISNLRFSNHSNNLLVSSWDKTVRLYDADANVLKGEFVHPGAVLDCCFHDDSSGFSAGADHTVRRLVFSSSKEDVLGRHDGPVRCMEYSYAAGQVITGSWDKTVKCWDPRGVSGPERTLVGTYTQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRTVNEVEVKPKPKALAAPQ >PAN50896 pep chromosome:PHallii_v3.1:9:68272706:68291767:1 gene:PAHAL_9G555300 transcript:PAN50896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPLPRLTSALRGHFDADQAYLLRKTILQALTLPRPHDEWELARKIVPGWDDASSEVRQAYKQFIGAVGEMLNGEVVSEELREVAQTAYALFGGDDTEYDAPQRAFVKRNELERLVGYTVQDSVLKKLAQLAQKLGSLQRASAHEFAHRKADDVDENERSEFGADFDFKPPARFVIDVSLDIPLESAELGSETFEKGQYDAWSTSATRNSAAIRGSVSLRWLKDHCDLITRSDGSFSGDELAMALCRVLLSNKAGDEIAGELLDLVGDTAFEIVQDLLLHRKELVDAIQHGLAILKSEKMTSSNQPKMPTYGTQVTVQTESERQLDKIRRKEEKRGKRGVDTGNGDIGVDDFSSLLLASERKQPFDDVIGTGEGADSFTVTSLPQGTTRKHMKGYEEVKIPPTPTASLKPNEKLIEIRELDEFAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTATFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDERGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPETGLFFFDSSYRPVPLAQQYIGISERDYTKKTELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAAKAGELELFSSADHPQFPLIKKDVSKAKSREVVEFFESGFGIHNAGMIRSDRSLMERLFGDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGITWEEVLGDPSMGAKQRTFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLQRHMSESEVITMVAHSSEFENIVVREEEQDELEALARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMSSLLLEYCKAVDRKIWPHLHPLRQFDKDLSPQILWKLEERNVDLDRLYELEENEIGALIRFSHQGKLVKQYVGYFPYVNLSAIVSPITRTVLKVDLHITPDFVWKDRYHGMSERWWIIVEDSENDTIYHSELFTLTKKMARGTPTKISFNVPIFEPHPPQYYIRAISDSWLHAESLFTISFHNLTLPQTQITHTELLDLKPLPLSALGNKTYEDLYRFSHFNPIQTQAFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVTQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTDRSIRFVGLSTALANARDLADWLGVTDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICSHSPNKPVLIFVSSRRQTRLTALDIIQLAASDEKPRQFLSMEDNSLDMVLSQVSDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNRIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETNTLNSYLSRLVESTFEDLEDSGCIRVDDRSVKYLILGKIASQYYLSYLTVSMFGSNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRTLSGNVPYPVDQHHLDDPHVKANLLFQAHFSRAGLPISDYATDLKSVLDQSIRIIQAMIDVCANSGWLSSALSCMHLLQMIIQGLWFERDSSLWMLPSMNDDILGHLQGRGVSTVSALLDFSREELHRLLQPFSASELYQDLQHFPRVDVKLQLQNEQERSKSPTLNIRVQLKNSRRSTSRAFAPRFPKAKQEAWWLVLGNATSSELYGLKRISSADRVVNTRMELPQMFNIQETKLILVSDSYLGFDQEYSLEHLTKR >PAN48830 pep chromosome:PHallii_v3.1:9:57688866:57693942:-1 gene:PAHAL_9G409300 transcript:PAN48830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAGGAAPLRLKDLLELDCDSCSAAGFRCYPRRLLGESSPPAMRHLLDSPSLSLQRRRPSKLSTISRSLSRRLGSRGGLFWRRRSEDEEDDAAAAPSGSGSESGSSSTETTTSDNSSSAGRRGSQCESDSDFSTATSASDSMDAAAAGDEPEAMKRGSRSSSGTLEADDKEEQQQLSPVAVMDFPSDDDGDADDAGACSPSFSLARLQRRKMLRPQHKIRRLGSTQELGPVDLEARLAAPSDTDDLAGDVPAQLVIQCHTKDAAAAPRPIRSHRGAGVVRREPDEHALLELLLMDAGADHVSERLLLDFFVEMKRRRKAERWGDGEILAAARGWLDGAGSERWGLNDVLRGGEAVLAEMERARRWMRAGEEEREVGAVVAGMLADRLLDEVVWDLLLV >PAN44103 pep chromosome:PHallii_v3.1:9:1022785:1024790:1 gene:PAHAL_9G017500 transcript:PAN44103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKSPGLPLVTTDTTAFGSCTTANISSSPVVKLSSKKCQGRLHVQQNIELGRPRDASQKWRTLSADQAQASVVDVDEECKQVLTSLNFSAEDAEKMLKKAFGWIHSPYWSEERKKEVPSAEVVNGVLNYIRSLGLSDEDLHKLLKKFPEVLGCDLDREVKLNVSKLDSDWGINGKTLRSLLLRNPKVLGYNIDCRGDCMAQCTRCWVRF >PAN46273 pep chromosome:PHallii_v3.1:9:10916503:10920129:-1 gene:PAHAL_9G174100 transcript:PAN46273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAMMPTEGLEAAIAELPAKKEALREAFDRLAACSPYPLPFSWEELEAHVSSIHSSISRRFRQLRVLEAARPAHAGKNQEGAKEEEEVEEEEEEVEEVVVEEVEEEEEEEVEEEEEEEEEEVEEEVKEEAKEVVQDANIGNQAKDDNEGGMGEEQDADEEAVATQLSAGQDNEAEGEMQEVDEDVQDDKEEEQDTEEEMVVEQLGRLPPCGRKDLIAACERMDAGMLAQFVICVSGILKKKFLDALHHAPDPAALVLQVVKIFLSSKKFKFAKVWLKCARLIRWLSMASAKPSTDTIKEAMLVAKDWKKMIDRKGSRGELDLLAAWGFLHFLISYDIVSEFHIHEIIRIFAMVHHKNNKKNTVNFCKDLGLTDRITDLIDYLIGNGQHIEAFRMVQAFNLEDTYPLFSLLKGLIEKVKHSSLNLATPKEVKKTLWVARHLAEKELVDSSQRGAIVAQIKYLLGEYAKKRKQINKKRKKEEQESHEGQHGQQLQELNQNKLIQGKQHPLLQQENIAQVTQQQQDAKRPRQATLNLPTPTVPLVPNVAQIQNFGCPPYAAMPGIHSYPAQPGWPGAQGRPSTTSVHGSAILSFPPHPAFCPR >PAN46275 pep chromosome:PHallii_v3.1:9:10916178:10920288:-1 gene:PAHAL_9G174100 transcript:PAN46275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAMMPTEGLEAAIAELPAKKEALREAFDRLAACSPYPLPFSWEELEAHVSSIHSSISRRFRQLRVLEAARPAHAGKNQEGAKEEEEVEEEEEEVEEVVVEEVEEEEEEEVEEEEEEEEEEVEEEVKEEAKEVVQDANIGNQAKDDNEGGMGEEQDADEEAVATQLSAGQDNEAEGEMQEVDEDVQDDKEEEQDTEEEMVVEQLGRLPPCGRKDLIAACERMDAGMLAQFVICVSGILKKKFLDALHHAPDPAALVLQVVKIFLSSKKFKFAKVWLKCARLIRWLSMASAKPSTDTIKEAMLVAKDWKKMIDRKGSRGELDLLAAWGFLHFLISYDIVSEFHIHEIIRIFAMVHHKNNKKNTVNFCKDLGLTDRITDLIDYLIGNGQHIEAFRMVQAFNLEDTYPLFSLLKGLIEKNLATPKEVKKTLWVARHLAEKELVDSSQRGAIVAQIKYLLGEYAKKRKQINKKRKKEEQESHEGQHGQQLQELNQNKLIQGKQHPLLQQENIAQVTQQQQDAKRPRQATLNLPTPTVPLVPNVAQIQNFGCPPYAAMPGIHSYPAQPGWPGAQGRPSTTSVHGSAILSFPPHPAFCPR >PAN50601 pep chromosome:PHallii_v3.1:9:66653296:66656968:-1 gene:PAHAL_9G531500 transcript:PAN50601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMRSASLLRLGFRQVSSLVFQVPPCSAPSLGLNLAIGRAGLVRLRCSAAGAGDDGGKKVSARLALTQQVLRDAEERAASAGSEPAPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKEAHWLGERIKERILQAEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPTEEQKKKIEKIAAVAERKRLQNKKVLSQKKEFRRNRTSWD >PVH31933 pep chromosome:PHallii_v3.1:9:21400271:21403895:1 gene:PAHAL_9G266100 transcript:PVH31933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVMVPLRSPAWLRKQPARARTPAQPSAQEERRAWVVALDLLAKSAFRAQPRASMPSLPLVSLASDSGLDSLPDSRSSREATSAVVYLSFGDCGSGAVECGAEIDEKELPTEIPARPRPASGGPSSLPTPTCCSPRRPSRYGSRGGMRSVQQTGSRCGGTAVASGDRQQLVTQSTVPFRGGYDGPGFR >PVH31932 pep chromosome:PHallii_v3.1:9:21400271:21403895:1 gene:PAHAL_9G266100 transcript:PVH31932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVMVPLRSPAWLRKQPARARTPAQPSAQEERRAWVVALDLLAKSAFRAQPRASMPSLPLVSLASDSGLDSLPDSRSSREATSAVVYLSFGDCGSGAVECGAEIDEKELPTEIPARPRPASGGPSSLPTPTCCSPRRPSRYGSRGGMRSVQQTGSRCGGTAVASGDRQQLVTQSTVPFRGGYDGPGFR >PVH31927 pep chromosome:PHallii_v3.1:9:21400261:21403895:1 gene:PAHAL_9G266100 transcript:PVH31927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVMVPLRSPAWLRKQPARARTPAQPSAQEERRAWVVALDLLAKSAFRAQPRASMPSLPLVSLASDSGLDSLPDSRSSREATSAVVYLSFGDCGSGAVECGAEIDEKELPTEIPARPRPASGGPSSLPTPTCCSPRRPSRYGSRGGMRSVQQTGSRCGGTAVASGDRQQLVTQSTVPFRGGYDGPGFR >PVH31931 pep chromosome:PHallii_v3.1:9:21400261:21403895:1 gene:PAHAL_9G266100 transcript:PVH31931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVMVPLRSPAWLRKQPARARTPAQPSAQEERRAWVVALDLLAKSAFRAQPRASMPSLPLVSLASDSGLDSLPDSRSSREATSAVVYLSFGDCGSGAVECGAEIDEKELPTEIPARPRPASGGPSSLPTPTCCSPRRPSRYGSRGGMRSVQQTGSRCGGTAVASGDRQQLVTQSTVPFRGGYDGPGFR >PVH31929 pep chromosome:PHallii_v3.1:9:21400271:21403895:1 gene:PAHAL_9G266100 transcript:PVH31929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVMVPLRSPAWLRKQPARARTPAQPSAQEERRAWVVALDLLAKSAFRAQPRASMPSLPLVSLASDSGLDSLPDSRSSREATSAVVYLSFGDCGSGAVECGAEIDEKELPTEIPARPRPASGGPSSLPTPTCCSPRRPSRYGSRGGMRSVQQTGSRCGGTAVASGDRQQLVTQSTVPFRGGYDGPGFR >PVH31928 pep chromosome:PHallii_v3.1:9:21400261:21403895:1 gene:PAHAL_9G266100 transcript:PVH31928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVMVPLRSPAWLRKQPARARTPAQPSAQEERRAWVVALDLLAKSAFRAQPRASMPSLPLVSLASDSGLDSLPDSRSSREATSAVVYLSFGDCGSGAVECGAEIDEKELPTEIPARPRPASGGPSSLPTPTCCSPRRPSRYGSRGGMRSVQQTGSRCGGTAVASGDRQQLVTQSTVPFRGGYDGPGFR >PAN47636 pep chromosome:PHallii_v3.1:9:21400261:21403895:1 gene:PAHAL_9G266100 transcript:PAN47636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVMVPLRSPAWLRKQPARARTPAQPSAQEERRAWVVALDLLAKSAFRAQPRASMPSLPLVSLASDSGLDSLPDSRSSREATSAVVYLSFGDCGSGAVECGAEIDEKELPTEIPARPRPASGGPSSLPTPTCCSPRRPSRYGSRGGMRSVQQTGSRCGGTAVASGDRQQLVTQSTVPFRGGYDGPGFR >PVH31930 pep chromosome:PHallii_v3.1:9:21400271:21403895:1 gene:PAHAL_9G266100 transcript:PVH31930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVMVPLRSPAWLRKQPARARTPAQPSAQEERRAWVVALDLLAKSAFRAQPRASMPSLPLVSLASDSGLDSLPDSRSSREATSAVVYLSFGDCGSGAVECGAEIDEKELPTEIPARPRPASGGPSSLPTPTCCSPRRPSRYGSRGGMRSVQQTGSRCGGTAVASGDRQQLVTQSTVPFRGGYDGPGFR >PAN49922 pep chromosome:PHallii_v3.1:9:63451002:63452764:-1 gene:PAHAL_9G484900 transcript:PAN49922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRHRIFAEDLLLAAEGEDHFDRVPDSLVLIIFNKLADARSLGRCSAVSRRFNALVPLVDDACLRIDRVIPADGADGGAGADALGLAGAPRPRAVLSHLLKAMLQAVLKPFAHCDAKSGAGAHGGKHAQLQHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVILKWKAVFGSTLQSCVILGGTKVERAAGGTHASVPAAAAADSDATGDDSGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLEQVALTDAHGQGTLSMGRDQLKEFRDKPLAVAAAANRTQVPACNMKLRYAPLLELSDGTRIHGATLVVIKPVGEAGGIGGGRKELDDFIADTFDGPFREAVGVLSKRRTYLLEMNGF >PAN46424 pep chromosome:PHallii_v3.1:9:11706340:11706543:1 gene:PAHAL_9G185000 transcript:PAN46424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVGDDVGDGEERRIMVRVEMLKEPCMAAVLEMAAQQFGYGQRGVLRIPGGAGRFQQMVGVACEAR >PAN45477 pep chromosome:PHallii_v3.1:9:7285273:7290951:1 gene:PAHAL_9G122100 transcript:PAN45477 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MANWAEQLQGELAGRGLAVASIPGKGRGIVASRTFFPGEVIISQEPYVSTPNKILVGSSCDHCFASSNLRKCSVCRVTWYCSSNCQKVEWKLHQLECRAMAALTEDRKKMLTPTIRLMVRLVLKRKLQNEKVIPSSCIDNYYLVDALESHISEVDKDQLVLYAQMANLVDLILPSLEFDLEEIAHNFSKFACNAHTICDPELRPLGTGLYPVISIINHSCVPNAVLTFDGRTACVRALQPIGKDEEVSISYIETAAVTKKRQSDLKQYFFTCTCPRCVKGSEDDALLERYRCKNQACDGFLLSESGEKAYTCQKCSISRDEEEVKKTTREIVLLSDKASSLLSSGNTTEAGSIYKTIEQLEQKLYHAFSITLLHTRETLLKIYMELQDWQTALTYCRSTIPVYERVYPPFHPMIGLQFYTCGKLEWLLECTEDALKSLTRAADVLRITHGTKSQFMKELFGKLEEARAEVSFRLSSGRGHGKQFS >PAN50009 pep chromosome:PHallii_v3.1:9:63972224:63974602:1 gene:PAHAL_9G491700 transcript:PAN50009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TENA-E protein [Source:Projected from Arabidopsis thaliana (AT3G16990) UniProtKB/Swiss-Prot;Acc:Q9ASY9] MDGDGVEASTTAAWIERHRQMYERATRHPFTVSIRDGTIDLSTFKRWLSQDYLFVREFVAFVASVLLKCCKQDSSDMEIILGGVASLNDELSWFKNEAAKWGIDLASVSPLQSNMEYHRFLQSFTEPEISYAVAVTTFWIIETVYQDSFGFCIEEGNKTPPELLGTCQRWGSAEFKQYCQSLQRIADRSLANAPADAVKSAEEAFIRVLELEIGFWEMSSSQS >PAN48612 pep chromosome:PHallii_v3.1:9:56610179:56611214:-1 gene:PAHAL_9G395200 transcript:PAN48612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLPNAVAVAVAVAAVLAAALVTGGSCDSSSAPNKVPPGPNVTTGYDGRWLAAKATWYGKPVGAGPDDSGGACGIKDVDRPPYSGMTSCGNGPIFKDGKGCGSCYEIRCNAPEECSNNPVTVFITDMNYDPIAPYHFDLSGTAFGAMATAGLQDKLRHRGIIDLEFRRVQCKYAAGQKIVFHVEHGSNPNYLAVLVKFVAGDSDVVQMDLKEKASPEWEPMRLSWGTIWRMDTPRALRGPFSIRLTSESGEKLVATDVVPENWIPNTVYESNIQF >PAN46927 pep chromosome:PHallii_v3.1:9:14721986:14726535:1 gene:PAHAL_9G224700 transcript:PAN46927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIGGKYKLGRKIGSGSFGELYLGVNIQNGEEVGIKLEPVKTKHPQLHYESKVYMLLQGGNGIPHLKWYGVEGEYNVMVIDLLGPSLEDLFNCCNRKLSMKTVLMLADQLINRVEYMHSKGFIHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSAEVLCKSYPSEFISYFHYCRSLRFEDRPDYSFLKKLFRDVFIREGYQFDYVFDWTALKYPQMSSNNKLVQQPSARITGAGPSGERTDKPSVGQEIRDRFTGAVEAFARRNPGSGRHGEHSRHKSHADSFGTSNEAVADSEKTRILSRGGSSSKMPAGPSSRPTSSGDCSGDQNRRWVSGSSGGSGRPSTAQRLQPSGGAENSRSSPRSPVSRNAAPGRGGSGSRDNTTFRSLERLSISTSRRK >PAN51476 pep chromosome:PHallii_v3.1:9:68951617:68954357:1 gene:PAHAL_9G566700 transcript:PAN51476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALRLRFSSTGLNLLRGLLHNPSHNLLGLQRPVTRNPNLTSIYIQPASLTPSSRLRPPSRRSGARSVPRLCHCYSIDSHPLFLFLYSCVGGFAVGSFSVKRVQADGRSESDAVLIRLQLSCLPLGWHPRESGKTISPHLLVNVFLRFSYLCFPQRIGAVMKMLNYFYVWTSEFNICDWFMHQSEP >PVH32604 pep chromosome:PHallii_v3.1:9:60526942:60527712:-1 gene:PAHAL_9G440400 transcript:PVH32604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMTGETRLLILGPFTVVVVENLMAVIDSSQVLSRQRAGSSRSASRSTSSGDDSAEVVRLCEKVRQQELQQQWFQAQLAQQNAILQQIATQQNIQVPPLVPPPFAQAGWPSASPQPFHTPPPNLAAPGDSHVDPTSNWADQFIGSGGSIQPCDGGGQT >PAN46076 pep chromosome:PHallii_v3.1:9:10010719:10013760:1 gene:PAHAL_9G161100 transcript:PAN46076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANRAAVESCHRVLALLSQPHDPAQARSIALGTDEACAKFRKVVSLLSNGGVGVGGEAGPSGTSGSHPRAKVVSRRQNPGFLTQKGFLDSNTPVVVLNSAHPSPSSAQVYPRTGALDAQSLHPLGGPPKLVQPLSAHFQFGNVSSRYQFPHQQQQKLQAEMFKRSNSGINLKFDSTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGTGKCAVTGRCHCSKRRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDSSMLIVTYEGEHNHTRMPTQSAQA >PAN46075 pep chromosome:PHallii_v3.1:9:10010719:10013760:1 gene:PAHAL_9G161100 transcript:PAN46075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANRAAVESCHRVLALLSQPHDPAQARSIALGTDEACAKFRKVVSLLSNGGVGVGGEAGPSGTSGSHPRAKVVSRRQNPGFLTQKGFLDSNTPVVVLNSAHPSPSSAQVYPRTGALDAQSLHPLGGPPKLVQPLSAHFQFGNVSSRYQFPHQQQQKLQAEMFKRSNSGINLKFDSTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGTGKCAVTGRCHCSKRSRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDSSMLIVTYEGEHNHTRMPTQSAQA >PAN45211 pep chromosome:PHallii_v3.1:9:5914863:5915126:-1 gene:PAHAL_9G102100 transcript:PAN45211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQPRRNLRAPCVSEMAQGSCYPPDEHYFPMPLGMKDPAGLARRKLTGVDWTGSVGGHPAHVRRRSCGPGSSPSSAPPTCARTLMI >PAN51934 pep chromosome:PHallii_v3.1:9:73039903:73042359:-1 gene:PAHAL_9G631200 transcript:PAN51934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRGDPAAAGDETAEELLERVRGMVPPALAAARAAGGFPGRWKAIAAKLETLPACLSDLSSHPCFAKNALCRELLQSVAATLAEAADLAGRCRGPPEGKLRTQSAIDALAGKLDLNLRDCALLVKTGVLSDASGPSPPAEAPAHADVRELLARLQIGHTEAKNRAVDGLLDALRNDEKSVLSVLGRANVSAIVQLLTASAPVVREKAATVICQVAESGSCERLLVSEGVLPPLIRLAESGSVVGREKAAITLQHLSVSPDAARAIVGHSGAGPLIEICQTGDSISQSAAAGALKNLSAVPEVRQALADQGIVRVTVNLLDCGAVAGSKEHAAECLQNLTSSSDSLRRAVVSEGGLRSLLLYLDGPLPPESAVGALRNLAGAVSPDSLVSLGVLPRLAHVLRVGSIGAQQVAAAAICRMSSSAEMKRAVGEHGCMPLLVRLLEAKSNAAREVAAQALASLVSCPPNAREVRKDDKGVPSLVQLLDPSPANTANKYAIACLLTLAAAKRCKKLMISHGAIGYLKKLSDMDVAGARKLLERLERGSLRSLFSRN >PAN51395 pep chromosome:PHallii_v3.1:9:70414114:70417043:-1 gene:PAHAL_9G591000 transcript:PAN51395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPSLPPPPPPAAASTTLAGNLTASSLLSIPPPRPRLAAAHRRAVVAAAASPRPPPSPKGGDGDDQDVERAMGMDGSIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARSDNQLWTMKTRRSRNEVERELGMLFSKGGGSGVGTKSKYSGSKFNMVVEDIREGVLVFEDEDDAVKYCDILQGGGQGCEGIAEIEASSVFSMCQKMKALAVLFRRGTTPPLPQSLERDLRARKRSLED >PAN44188 pep chromosome:PHallii_v3.1:9:1316215:1319377:1 gene:PAHAL_9G023200 transcript:PAN44188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPANGKRSPPPPPPPGRCHFWLPSKRRHCANSSLPSSQYCGNHLPESASGAGSRVPCPVDPSHTVLEENLEAHVGKCPLKKQVAALAAQPYYSKGINSGGAEAGRGITSAEKRAAVYRLTEDEFRGLLGKIRSVHAAAAVAMRESYLITSACDKWMGGQVDRKVPYQEKHVAQQASIVGNMEEFDLLRKGSTEVVAGENVAASAQAVVEFGAGRGYLTQVLVDCYGIRNVFLVERRSYKLKADRSLRQNEGVTLKRLRIDIEDLNLQGIEALRGLKYLAIGKHLCGPATDMTMTCCLPEQYDQTEERVHGKRNLHGLALATCCHHLCQWKHYANKSFLSGLSITEEEFHAMTWFSSWAVDGDHSSPDSYVEVEDTSSEIREPEKPDPENIGIEKIIRSIPTGERASLGFMCKDIIDTGRLLWLRHKGLVADLVSYVPSNISPENRLLIAKCTS >PAN44187 pep chromosome:PHallii_v3.1:9:1316215:1319377:1 gene:PAHAL_9G023200 transcript:PAN44187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPANGKRSPPPPPPPGRCHFWLPSKRRHCANSSLPSSQYCGNHLPESASGAGSRVPCPVDPSHTVLEENLEAHVGKCPLKKQVAALAAQPYYSKGINSGGAEAGRGITSAEKRAAVYRLTEDEFRGLLGKIRSVHAAAAVAMRESYLITSACDKWMGGQVDRKVPYQEKHVAQQASIVGNMEEFDLLRKGSTEVVAGENVAASAQAVVEFGAGRGYLTQVLVDCYGIRNVFLVERRSYKLKADRSLRQNEGVTLKRLRIDIEDLNLQGIEALRGLKYLAIGKHLCGPATEERVHGKRNLHGLALATCCHHLCQWKHYANKSFLSGLSITEEEFHAMTWFSSWAVDGDHSSPDSYVEVEDTSSEIREPEKPDPENIGIEKIIRSIPTGERASLGFMCKDIIDTGRLLWLRHKGLVADLVSYVPSNISPENRLLIAKCTS >PAN51463 pep chromosome:PHallii_v3.1:9:70739270:70741930:-1 gene:PAHAL_9G596200 transcript:PAN51463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAAGRRGVSPRGAALVALLFAVAATAGASGADDFFSPLAPIFAPVINSICSTVTCGKGNCTVAPGTLGYRCECDPGWTQLHVGDHLRFLPCVIPNCSIDSSCSNGSPAPAPTPLPPPKNFSLDPCELAYCGTGGTCRNGSGLSYHCECKEGYSNLLNMTTMPCFHNCSIGADCSRIGILPFSNSSSKSPAPPGSESISNNGNVAAPGSISQRILLPLLVVVSLAVGQAI >PAN45742 pep chromosome:PHallii_v3.1:9:8514987:8519553:1 gene:PAHAL_9G139000 transcript:PAN45742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVNFEKFSDGILVGDELVIDGGMATFVVTEKIGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERSFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDDNDIKQLKAYLSRRSLEHIKIFAKIESLESLKNLKDIIKASDGVMVARGDLGVQIPLEQIPAIQESIVTLCRHLNKPVIVASQLLESIVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALSVLRVASERMESWSREESMQKLLPQHQLAIALPDRISEQICNCAVEMANNLAVDAIFVYTKHGHMASLLSRNRPNPPILAFTDDAHSRKGMNLYWGVIPLHLPLSDSMEDNFKKTITLMKSKDSVKPGDTILLVSDSDLNRPCAATSVFQSIQVRLVD >PAN45741 pep chromosome:PHallii_v3.1:9:8514282:8519553:1 gene:PAHAL_9G139000 transcript:PAN45741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAGTTSSFPYLVAGSSPAARRHGAAHRIRASAAGTTGEAAMDVVSEAELREKGFMGMRKTKLVCTVGPACVEALPALARGGMGVARVNLCHGGREWHRAAMRAVRRLNDEEGFCVSLMVDTEGSQLLVADHGGATSVKAEDYSEWLFTNKKTDEAHPFTMHVNFEKFSDGILVGDELVIDGGMATFVVTEKIGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERSFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDDNDIKQLKAYLSRRSLEHIKIFAKIESLESLKNLKDIIKASDGVMVARGDLGVQIPLEQIPAIQESIVTLCRHLNKPVIVASQLLESIVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALSVLRVASERMESWSREESMQKLLPQHQLAIALPDRISEQICNCAVEMANNLAVDAIFVYTKHGHMASLLSRNRPNPPILAFTDDAHSRKGMNLYWGVIPLHLPLSDSMEDNFKKTITLMKSKDSVKPGDTILLVSDSDLNRPCAATSVFQSIQVRLVD >PVH31383 pep chromosome:PHallii_v3.1:9:7773950:7774225:1 gene:PAHAL_9G129900 transcript:PVH31383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLAVLACVVLLSAAMANGIRTAGAVAPSAPGPAVATTPSAAAEAVPTPPVAAAAATVSSDQQQAPLDDPYKDSKRKVPNGPDPIHNRY >PVH33050 pep chromosome:PHallii_v3.1:9:68306714:68310804:-1 gene:PAHAL_9G555600 transcript:PVH33050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKTPSGPGDNSNTKSFFAGKAMETSAATPTSPSHPPAASPSPSPSSLRLWRSAAHRNVRNQWSHIHAAKEQWLSAAFDGRSHTSNLVNAHLSRRGMPAMDLGVLKDMPGIRDKASSKLARREEQYQDMLVSSYKNMVHALCCLVKASRSMRCFSKVSPNNSVAQFSERQDDLNDSGDGGGAPVFKWFSIIEFESFAQELVEMFVSDLKLKTASLGTSLSYFQGRCRTSDIIRLG >PVH33052 pep chromosome:PHallii_v3.1:9:68306862:68310430:-1 gene:PAHAL_9G555600 transcript:PVH33052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSAATPTSPSHPPAASPSPSPSSLRLWRSAAHRNVRNQWSHIHAAKEQWLSAAFDGRSHTSNLVNAHLSRRGMPAMDLGVLKDMPGIRDKASSKLARREEQYQDMLVSSYKNMVHALCCLVKASRSMRCFSKVSPNNSVAQFSERQDDLNDSGDGGGAPVFKWFSIIEFESFAQELVEMFVSDLKLKRLLVLELLSVTFKEGVEHQTLLDWGDELYDGESNELQSIGLESGGTCPLPENWCDDISGSRRPGNPPSYEVLQVYLTAWLANVNINNSRIDEIFELVEEEMKIKFS >PAN50899 pep chromosome:PHallii_v3.1:9:68307415:68309618:-1 gene:PAHAL_9G555600 transcript:PAN50899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKTPSGPGDNSNTKSFFAGKAMETSAATPTSPSHPPAASPSPSPSSLRLWRSAAHRNVRNQWSHIHAAKEQWLSAAFDGRSHTSNLVNAHLSRRGMPAMDLGVLKDMPGIRDKASSKLARREEQYQDMLVSSYKNMVHALCCLVKASRSMRCFSKVSPNNSVAQFSERQDDLNDSGDGGGAPVFKWFSIIEFESFAQELVEMFVSDLKLKRLLVLELLSVTFKEGVEHQTLLDWGDELYDGESNELQSIGLESGGTCPLPENWCDDISGSRRPGNPPSYEVLQVYLTAWLANVNINNSRIDEIFELVEEEMKIKFS >PVH33051 pep chromosome:PHallii_v3.1:9:68307415:68309618:-1 gene:PAHAL_9G555600 transcript:PVH33051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKTPSGPGDNSNTKSFFAGKAMETSAATPTSPSHPPAASPSPSPSSLRLWRSAAHRNVRNQWSHIHAAKEQWLSAAFDGRSHTSNLVNAHLSRRGMPAMDLGVLKDMPGIRDKASSKLARREEQYQDMLVSSYKNMVHALCCLVKASRSMRCFSKVSPNNSVAQFSERQDDLNDSGDGGGAPVFKWFSIIEFESFAQELVEMFVSDLKLKRLLVLELLSVTFKEGVEHQTLLDWGDELYDGESNELQSIGLESGGTCPLPENWCDDISGSRRPGNPPSYEVLQVYLTAWLANVNINNSRIDEIFELVEEEMKIKFS >PVH33054 pep chromosome:PHallii_v3.1:9:68307415:68309549:-1 gene:PAHAL_9G555600 transcript:PVH33054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSAATPTSPSHPPAASPSPSPSSLRLWRSAAHRNVRNQWSHIHAAKEQWLSAAFDGRSHTSNLVNAHLSRRGMPAMDLGVLKDMPGIRDKASSKLARREEQYQDMLVSSYKNMVHALCCLVKASRSMRCFSKVSPNNSVAQFSERQDDLNDSGDGGGAPVFKWFSIIEFESFAQELVEMFVSDLKLKRLLVLELLSVTFKEGVEHQTLLDWGDELYDGESNELQSIGLESGGTCPLPENWCDDISGSRRPGNPPSYEVLQVYLTAWLANVNINNSRIDEIFELVEEEMKIKFS >PVH33053 pep chromosome:PHallii_v3.1:9:68306862:68310430:-1 gene:PAHAL_9G555600 transcript:PVH33053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSAATPTSPSHPPAASPSPSPSSLRLWRSAAHRNVRNQWSHIHAAKEQWLSAAFDGRSHTSNLVNAHLSRRGMPAMDLGVLKDMPGIRDKASSKLARREEQYQDMLVSSYKNMVHALCCLVKASRSMRCFSKVSPNNSVAQFSERQDDLNDSGDGGGAPVFKWFSIIEFESFAQELVEMFVSDLKLKTASLGTSLSYFQGRCRTSDIIRLG >PVH33387 pep chromosome:PHallii_v3.1:9:73756452:73760025:-1 gene:PAHAL_9G641400 transcript:PVH33387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16700) UniProtKB/Swiss-Prot;Acc:Q84V22] MATPALEKEDRFHLGWGKSDMRFRVRPPSPWPPPPPLHLLASARHGHRPPSRRIHAANSGDSQAGKGKFLLPGATAAVLVMLGALHARRMYDDKKVVQRKEKGIEPEFSPDFKASLIRLLPLRSMSRFWGSLMEVDIPVFMRPTIYKAWARAFHSDLQEVALPLEEYPSLQAFFVRSLKEGARPIDPDPNCLVSPVDGKVLRLGKLRGPGTMIEEVKGFSYSVSSLLGTSSSLHDAAEEELSREHIEQSMPENSNAKSWWRVSVASPKLRDQTVLSPKKGIFYCVIYLHPGDYHRVHSPVDWNILKRRHFSGHLFPQNERAIRTVRNLYVENERVVLEGRWKEGFVAIAAIGATNIGSIRVNLEPELRTNRAVSRMVHSLPPEERVYEPEGTGMLVKKGEEIAGFKMGSTVVVVFEAPAWKWKAREDGTFSSASGDFGFCVRAGDKVRAGETIGRWSDES >PVH31970 pep chromosome:PHallii_v3.1:9:25353731:25354528:1 gene:PAHAL_9G276500 transcript:PVH31970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLGRNPLSDPLHRNPGVVLNGQGPGRHPLRGASYRDPGTMISEQGSDRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSSFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDQIILVRLVIGDLVLNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGEDLNGHVGAINVGYERLHGGFGYGSRNEGGRMF >PVH33309 pep chromosome:PHallii_v3.1:9:72397368:72399663:-1 gene:PAHAL_9G622500 transcript:PVH33309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSYRAATAAAALLLAPLLLLLPPPHWAAAAMDAERRALLDFKAAITADPTGVLATWTPSGDPCAFVGVTCGPSSGAVQRLRIHGAGLAGALAPSLARLPALETISLFGNRLEGGVPPSLRALAPTLRKLNLSRNALAGEIPPFLGAFPWLRLLDLSYNAFAGEIPAALFDPCLRLRYVSLAHNNLTGPVPPGIAKCSRLAGFDFSYNRLSGELPDQVCAPPEMNYISVRSNSLSGDLPAKLTSCSSIELFDVGSNRFSGAAPFALLGTVNITYFNVSSNAFDGEIPSITTCGSKFSYFDASGNQLTGPVPESVVNCRNLRVLDLGANALAGVVPPVIGTLRSLSVLRLAGNAGISGSIPAELGGIEMLVTLDLAGLALTGEIPGSLGQCQFLLQLNLSGNKLQGALS >PAN51796 pep chromosome:PHallii_v3.1:9:72321679:72325558:1 gene:PAHAL_9G621300 transcript:PAN51796 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGEIPPAAFVTGVVVALHGKETSAGNFLVEDVLEAGLPPQTALSSADEDKYVVFVSGLSVGSGTFNPLQFQLLIDHITGHLGDENEQTIASNIVRVVVAGNSVHISPRFVNGQTVASKDQSRIAEPIRELDIMLTQLVSSLPVDIMPGCHDPANFSLPQQPLHRCLFSGASAYNTFSSCSNPHQFELDSVQFLGTSGQNIDDLYKYSDAKDKLEFMERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETRLLEGPEKQKVRLICIPRFSESGVAVMLNLRNLECSTLSFSTSFDA >PAN51797 pep chromosome:PHallii_v3.1:9:72321679:72325558:1 gene:PAHAL_9G621300 transcript:PAN51797 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MPMERKQAAYSNLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPTWKPQLPVTTVLGLEEGKECIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGEIPPAAFVTGVVVALHGKETSAGNFLVEDVLEAGLPPQTALSSADEDKYVVFVSGLSVGSGTFNPLQFQLLIDHITGHLGDENEQTIASNIVRVVVAGNSVHISPRFVNGQTVASKDQSRIAEPIRELDIMLTQLVSSLPVDIMPGCHDPANFSLPQQPLHRCLFSGASAYNTFSSCSNPHQFELDSVQFLGTSGQNIDDLYKYSDAKDKLEFMERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETRLLEGPEKQKVRLICIPRFSESGVAVMLNLRNLECSTLSFSTSFDA >PAN46715 pep chromosome:PHallii_v3.1:9:13549400:13549978:1 gene:PAHAL_9G209500 transcript:PAN46715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKLLAALVLLQVLSLHAHAAKHKPGAGGGGTCRASGVLQGKAGKCKKLNGSDCCVAGHKYPQFRCSPPVSARTPATLTLNSFEKGKDGGGATFCDHRFYKDSAMVVALSSGWLQLDGTRRCGKMVRITANGRSVLAKVVDECDSVAGCDEEHNFEPPCANNVVDGSPAVWKALGLKESLGEVKVTWSDV >PAN45282 pep chromosome:PHallii_v3.1:9:6271617:6274741:-1 gene:PAHAL_9G107500 transcript:PAN45282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRKHAPDFNSNDAEQRKAKINELKVALGPLSARGEKYCSEACLKRYLEARNWNVAKSRKMLEESLKWRAAYRPEDIHWPDVSAEGETGKMYRASFRDREGRTIVVMRPTKQNTSSHEGQIRFLVYTLENAILHLPEAQEKMVWLIDFTGWTMAHASPIKTSRETANILQNHYPERLAIGFLFNAPKVFEAFFKVIKVFLDPKTIEKVNFVYQKDEESMKVLHKYIDPEVLPVEFGGNNNVAYNHEEYSELMMKDDIKTANFWADDAKTDHANPATNGTMVPEVKPQASVLAAKAS >PAN44740 pep chromosome:PHallii_v3.1:9:3849848:3854103:-1 gene:PAHAL_9G066700 transcript:PAN44740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPENASKIMGLLLIQDNSVKDLIRLAFGPEHLLHAVVARARADLAAKPASPPSPGLGPPWGLPSPGGGGDNLSPFAADQAAFDGGADAFYPEEFECWSPAGGGHRRSFSLSDDEVAAAAAWRPCMYFARGFCKNGSSCRFLHGLPEDDAVAAEREMVVMRAKALAAAARPQQLMASAFPFSPSPPKGFGLNSLLQQQNEPQRAAAAAAMLLGGGEDMHRFPVRSPRMDRGDLISSPAARQIYLTFPADSTFSEEDVSNYFSLYGPVQDVRIPYQQKRMFGFVTFVYAETVKIILSKGNPHFVCDARVLVKPYKEKGKVPDRFRKLQNPHHGDFAGCTSPTGLLDSRDPFDLAQPQIGPRVMYGNIANHEAFVRRKLEEQQQAVELQQAIELEGRRFMGLQLLDLTSRGHRLGSPEPMTLGQADGKGSGNGNGYAIIVEDITVQDSKMNISSLAMSAPAAAAATSATGAEGEHEEQQEGDGDGGGSPKQAVNPGEEEKRESVPVTAIPNVSCGFQERGIINESSVLRNG >PVH31044 pep chromosome:PHallii_v3.1:9:2425718:2426716:-1 gene:PAHAL_9G044100 transcript:PVH31044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNFQFKAPCTLQGTNPRKVNVDGEMEPWFMFVIFCAPVSPGRSRLIWLSQRGRTPTCSQNRIFDSDLYLLHIEERNFATVGLDNWYQACYVPTSSDGMVIAFRNWFRTYCKNQIIWATPQVDQLPSIQAKDELLDRYWSHVVQCRSCSAALKAMKALGVILQVASVAVIGFLALANGTLATSVVHRAVIVSAAKNFYFEDYVHAFK >PAN48215 pep chromosome:PHallii_v3.1:9:53640549:53645046:-1 gene:PAHAL_9G368100 transcript:PAN48215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32200) UniProtKB/Swiss-Prot;Acc:Q43307] MHAPPLVALAGGACPGAAAAAAASPLPWLASPRAAILAAPARLLRSRRGALRLEAKAAWRAGGGGRGPRVPAKGAVLASYMGAEEVVGPSPLLDEEGLDDEELILHIRKELEEGNLPADVASNLEELYYNYKNAVLQTGDPNAYEIMLSNMMALFDRVLLDVQNPFTFPPYHKAIREPFDYYMFGQNYIRPLVDFRNSYVGNISLFHDMEEKLRQGHNVVLMSNHQTEADPAIIALLLEKSNPWICENIVYVAGDRVVTDLLCKPFSMGRNLICVYSKKHMNDYPELIEMKRKSNTRSLKEMALLLRGGSQLIWIAPSGGRDRPDPSTGEWYPAPFDSSSVDNMRRLLEHAGVPGHIYPLSLLCYEVMPPPQQVEKEIGEQRVISFHGVGLSVTEEIKYGDITAHTKNADEGRELFTDTLYSSVVNQYNVLKSAIFRDRGAAALNTAISLSQPWR >PAN48214 pep chromosome:PHallii_v3.1:9:53639143:53645273:-1 gene:PAHAL_9G368100 transcript:PAN48214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32200) UniProtKB/Swiss-Prot;Acc:Q43307] MHAPPLVALAGGACPGAAAAAAASPLPWLASPRAAILAAPARLLRSRRGALRLEAKAAWRAGGGGRGPRVPAKGAVLASYMGAEEVVGPSPLLDEEGLDDEELILHIRKELEEGNLPADVASNLEELYYNYKNAVLQTGDPNAYEIMLSNMMALFDRVLLDVQNPFTFPPYHKAIREPFDYYMFGQNYIRPLVDFRNSYVGNISLFHDMEEKLRQGHNVVLMSNHQTEADPAIIALLLEKSNPWICENIVYVAGDRVVTDLLCKPFSMGRNLICVYSKKHMNDYPELIEMKRKSNTRSLKEMALLLRGGSQLIWIAPSGGRDRPDPSTGEWYPAPFDSSSVDNMRRLLEHAGVPGHIYPLSLLCYEVMPPPQQVEKEIGEQRVISFHGVGLSVTEEIKYGDITAHTKNADEGRELFTDTLYSSVVNQYNVLKSAIFRDRGAAALNTAISLSQPWR >PAN51844 pep chromosome:PHallii_v3.1:9:72505837:72511233:1 gene:PAHAL_9G624000 transcript:PAN51844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSPAPSGGGGQQPLVVSLNCLDDPSLEQEGLAGVAAVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAVAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRQASSAPAAVAAGWLGSIQPLCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYAADGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNDTVHILNADCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITMLQSFFFDGALPTSAISDEDEEISEAGNEDDHLDTQAKDSQSQMFDAEIDESHLTMEYEKKGAVSHHKEPQASGKSVNIGSRSEGRRSRSGKKGKKRPAQRRSQQKPDDLSAVESDSNYSSRRDDDTAMSSRDQVVSSSSRFASPEDSKYKQKSLAESPMEITSEKKVPVLLSRKYPDKLKDGFVVALRARDNSGYHVARQRVVGGGGWILDVVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRKMEFVFASHSFDVWESWMLDGSLLEGCKLINCRNPSAVLDVCIEILAAASEEDGVTRWLD >PAN51842 pep chromosome:PHallii_v3.1:9:72505837:72511233:1 gene:PAHAL_9G624000 transcript:PAN51842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSPAPSGGGGQQPLVVSLNCLDDPSLEQEGLAGVAAVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAVAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRQASSAPAAVAAGWLGSIQPLCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYAADGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNDTVHILNADCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITMLQSFFFDGALPTSAISDEDEEISEAGNEDDHLDTQAKDSQSQMFDAEIDESHLTMEYEKKGAVSHHKEPQASGKSVNIGSRSEGRRSRSGKKGKKRPAQRRSQQKPDDLSAVESDSNYSSRRDDDTAMSSRDQVVSSSSRFASPEDSKYKQKSLAESPMEITSEKKVPVLLSRKYPDKLKDGFVVALRARDNSGYHVARQRVVGGGGWILDVVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRKMEFVFASHSFDVWESWMLDGSLLEGCKLINCRNPSAVLDVCIEILAAASEEDGVTRWLD >PAN51843 pep chromosome:PHallii_v3.1:9:72505505:72510778:1 gene:PAHAL_9G624000 transcript:PAN51843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSPAPSGGGGQQPLVVSLNCLDDPSLEQEGLAGVAAVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAVAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRQASSAPAAVAAGWLGSIQPLCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYAADGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNDTVHILNADCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITMLQSFFFDGALPTSAISDEDEEISEAGNEDDHLDTQAKDSQSQMFDAEIDESHLTMEYEKKGAVSHHKEPQASGKSVNIGSRSEGRRSRSGKKGKKRPAQRRSQQKPDDLSAVESDSNYSSRRDDDTAMSSRDQVVSSSSRFASPEDSKYKQKSLAESPMEITSEKKVPVLLSRKYPDKLKDGFVVALRARDNSGYHVARQRVVGGGGWILDVVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRKMEFVFASHSFDVWESWMLDGSLLEGCKLINCRNPSAVLDVCIEILAAASEEDGVTRWLD >PAN49130 pep chromosome:PHallii_v3.1:9:59431295:59433815:1 gene:PAHAL_9G428200 transcript:PAN49130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPNVAGLVEDDAISVIIEGEVVCEICGSGSAPHLIANCARCNAHEHWYCMRVLTLLIPRIWFCYRCQRNANRAPRP >PVH32559 pep chromosome:PHallii_v3.1:9:59431294:59433816:1 gene:PAHAL_9G428200 transcript:PVH32559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPNVAGLVEDDAISVIIEQGEVVCEICGSGSAPHLIANCARCNAHEHWYCMRVLTLLIPRIWFCYRCQRNANRAPRP >PAN45236 pep chromosome:PHallii_v3.1:9:6034611:6037148:1 gene:PAHAL_9G104000 transcript:PAN45236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVDFASGDAAAWRAALAAYDRRLEALDKPDLVAVDSFYRHDLPALLRGRDPEPFIAKPELARLLQWKLSRGKWRPRLMDFVKGLDDAMVESASRKAFAALPDLSRAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVTALGNAKEYTLKQYLAFADKLQAKAKELTIGEESFTPSDVERALWSSAIGSKSPASGNPKSESKMRGKRKR >PAN47969 pep chromosome:PHallii_v3.1:9:36625252:36633285:1 gene:PAHAL_9G313000 transcript:PAN47969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSSGDGGGGGPHPSASSSTATQPSPASLDGGLLLRLLQNPPPAHPCAETLASGPVAHHFFVDPAVATVGPLFAAPPQMQEGGFAWSSAFAPQPQQHQLRFPDPRFAPGEPYAALGGGGGGRGFGSGDAVRAERLRPGAPPPGFGKPSHPPAAPRDASNTFGGAPSREQNHHRHRGLCRTSNKELQTALPFAGGREAVGRMPHAEQRALPNTGGRDAAEGVMYREQQQDRLLSRTPPDMNTSRSFGRMPGRMLHGDQYTHPIQGGWMQHSDQGQQGPILTNTAQREQMWQGFREEKDHDLLKLPNANVHDIFGKAPVKELHHVIVPAGSSVQVGLWEDQVNGLEDGRLREVVLEHGIDGKVVAEAEAKNFEVSYQKSKVRFAGQDEEEEDDGGEEGSIIDQMTESLVIDDNVEAKVVLLQKTVPRSKDFRSDFSRGHRVSSQRIRFQRRNRPCRYDIDRFTPSFLSIFESLVPSEEEIAKQKQLLTSLSRIINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILQAGNLQNIQPLTRARVPIVKLMDPETGLSCDICVNNLLAVVNTKLLRDYAQIDRRLRQLAFIVKHWAKSRRVNETYQGTLSSYAYVIMCIHLLQLRRILPCLQEMEATYLVTVDENNCAYFDQVDKLNNYGAHSRDTVSRLLWAFFHYWAYEHDYTRDVISIRTGRIISKERKDWTRRVGNDRHLICIEDPFELTHDLGRVVDKFTIKILREEFERAANILQFDPNPSVTLFEPYVPPPLPSLMQEGTVSATEVDL >PAN51443 pep chromosome:PHallii_v3.1:9:70636263:70636478:1 gene:PAHAL_9G594900 transcript:PAN51443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVVVAVLLMQCCNVLVVLAARPLLDGGGGRWYSGAGALIVQVLDKGGCSGPGGGNNGGWQGPHPGGGC >PVH32810 pep chromosome:PHallii_v3.1:9:64106486:64110270:1 gene:PAHAL_9G493400 transcript:PVH32810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGTPTHQRRLVARRLVQRGSRARRPATAFRRDRARRVDDRATVGSRAQRRRVPWVSCKAEDPLCQLENRRAVARAFRAAARGSSASSPRSLPYELYINRPAPPRRTRFVPPRPPPSPPLPVSLQRAPQVAGKRGIGGGREQEMAGCGGAKSSVCICKEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCASS >PVH32812 pep chromosome:PHallii_v3.1:9:64106901:64109950:1 gene:PAHAL_9G493400 transcript:PVH32812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNVAGKRGIGGGREQEMAGCGGAKSSVCICKEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCASS >PVH32813 pep chromosome:PHallii_v3.1:9:64106901:64109950:1 gene:PAHAL_9G493400 transcript:PVH32813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNVAGKRGIGGGREQEMAGCGGAKSSVCICKEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCASS >PVH32811 pep chromosome:PHallii_v3.1:9:64106486:64110179:1 gene:PAHAL_9G493400 transcript:PVH32811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGTPTHQRRLVARRLVQRGSRARRPATAFRRDRARRVDDRATVGSRAQRRRVPWVSCKAEDPLCQLENRRAVARAFRAAARGSSASSPRSLPYELYINRPAPPRRTRFVPPRPPPSPPLPVSLQRAPQVAGKRGIGGGREQEMAGCGGAKSSVCICKEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCASS >PAN46677 pep chromosome:PHallii_v3.1:9:13296746:13297039:1 gene:PAHAL_9G207100 transcript:PAN46677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIYLVGTLYFSKAHHMTSLGTFSYAFSRSIKTMCKSFFSSLYLSINCRTKKITSMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLINLIPR >PAN44772 pep chromosome:PHallii_v3.1:9:3957653:3959272:-1 gene:PAHAL_9G069100 transcript:PAN44772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPMRAIHSLAAPQGPPPARPPVRRGTAAASPLRGSSPPPAPASLSLASRRAALLALVLAAAPARPDAAAAFSLGIPGPKELLREQKKKSASFLLAPIAASRDTLLKAQALLASPNASAGDAEEVRGRISAAGRDCVPRERNSIVSFQSKTGVEVCTFSLILKNAASLLTKKDPLKVEADTRLVELIQSFSDLGTLVESSNFELIGDKEKMKDGLLSTISALDKFEQSVKDCLGV >PAN48614 pep chromosome:PHallii_v3.1:9:56627279:56631750:1 gene:PAHAL_9G395400 transcript:PAN48614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLRLPLVLLLPITLTILLLLLFMSSPRPRPPTPQPLPCGAALSDAADGRWVPTPSPAPPPLYSPSCPFHRNAWNCLRNGRPQLAALSWAPNRCGGAVVPRINAVGFLAAARGRRVGLVGDSLSENLVVALLCALRSADDGARKWKRRGAWRGGYFPREDVVVAYHRAVLLAKYTWQPVEKSKELQKDGIKGTYRVDIDIPADDWVNVTKFYDVLIFNTGHWWNTYKFSKETPLVFYKGGKPIEPPLGMHDGLKVVLKSMASYIEREVPGKTLKLWRTQSPRHFYGGEWDHNGSCVSDRLLEEHELDSWFDPRFGGVNKDARMVNSAIQEALVGTDIQLLNLTHMSEFRADAHPAIWLGKKDAVAVYGQDCMHWCLPGVPDTWVDILAAQILHYFLQGKG >PAN46097 pep chromosome:PHallii_v3.1:9:10146068:10146322:-1 gene:PAHAL_9G163000 transcript:PAN46097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPSPNPVGLWFCQISSGLQGRWSNGRAEPRQGPKNGAGGTEAVRQAGAAGKDGGPPRSRTCRRDAMPEATVYLLLDRFTPS >PAN49952 pep chromosome:PHallii_v3.1:9:63651677:63653329:-1 gene:PAHAL_9G487400 transcript:PAN49952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLTTAAASLPPPRIRLRIAKPSPLPPPRRLQFGTSKIRTSGTRPCLAAASASTPPAPGGGLYSAATYELTAENVDRVLDDVRPYLIADGGNVTVVSVEDGVISLKLEGACGSCPSSTTTMNMGIERVLKEKFGDAFKEIRQVFDGDQPPAETTPEAVNQHLDILRPAIANYGGTVDVLAVDGEDCLVKYDGPESIGSGIKAAIKEKFPDITNVVFTH >PAN49953 pep chromosome:PHallii_v3.1:9:63651677:63653334:-1 gene:PAHAL_9G487400 transcript:PAN49953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLTTAAASLPPPRIRLRIAKPSPLPPPRRLQFGTSKIRTSGTRPCLAAASASTPPAPGGGLYSAATYELTAENVDRVLDDVRPYLIADGGNVTVVSVEDGVISLKLEGACGSCPSSTTTMNMGIERVLKEKFGDAFKEIRQVFDGDQPPAETTPEAVNQHLDILRPAIANYGGTVDVLAVDGEDCLVKYDGPESIGSGIKAAIKEKFPDITNVVFTH >PAN46295 pep chromosome:PHallii_v3.1:9:11021592:11027268:1 gene:PAHAL_9G175500 transcript:PAN46295 gene_biotype:protein_coding transcript_biotype:protein_coding description:XEG113 [Source:Projected from Arabidopsis thaliana (AT2G35610) UniProtKB/TrEMBL;Acc:A0A178W347] MGARCSGESTKPVFVGIYGAVLGGFAVSALFFLLSSFSSLSTPPLPLPTTPAAAANLSRSAPALPETMYNRPIWKPPPRESRMPSPRAFRLTRDMVAARARDGAIVVTFGNYAFLDFILTWVRHLTDLGVDNLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAGWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAFNIGIFHWRPTEPSKRLAKDWKDLVLSDDKLWDQNAFNDLVHKVFGQPVEGQDDLVYSYDGKLKLGVLPASIFCSGHTYFVQGIYKQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDRPSYYDSPGGFLSFKPNIPKSLLLDGAHTVESHFALVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPQEVKESLLEVQLCDDHSSRCSAVNRTDKHRPLLLPRNSTEEKLLNIFSSYKSVKTIQFSSMVDAFGGFADAAVEMKFRDRVKRYVGLWCCVEFREIGHIYYDMYWDEKPGWKPHPPETREQDHPPWS >PVH31008 pep chromosome:PHallii_v3.1:9:2005899:2008833:1 gene:PAHAL_9G036200 transcript:PVH31008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAGANSAASSSRGPPLPLPFPFPAAAHFPSRDAVPFLPQHQHPPATSGGGEEVEEDEGSMDDDSGEEDEAELADGAAHASQQRRASSAPGIGRAAMNGDIGARHTQEVHQWQQQSHFYNRGTEQYGCTSSGGDEPGTIPMEMRMENGCGVIGRREGGPASSYWDLLRAHLSDPLTGVLMDDAMILSCGHSYGSGGMQHIYRMKACGKCGQPITEDTIRPNLALRLAVQAFKREEDSANTLKRRKERLEQDKCGNDDPNPTETSRGKGVQFPFSVFDHVIIKGNKRTPERFVGRQAVVTAQCLNGCRYVVKTLDNAESVKLQYRSLAKVADGNGSSAMASSNAQSASWL >PVH32471 pep chromosome:PHallii_v3.1:9:57318649:57323396:1 gene:PAHAL_9G404200 transcript:PVH32471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSVSCVQVYHWVVLLAVWLCGTQHVLSQKTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNSNPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYIIDKTASDCSIPLAPLVADVICCPQVNSLMNIFQAAYGSGNDTLVLNQASANACFSDIMSILASKGANTNIPELCTLRPSNLTDASCPVKDISSFEKIVNTSKLIDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSLPGSVAGIDIVSECKGVVHSWLSMKLSSEEANSAFRVLSGCKVNKVCPLDFDEPSSVVKACGKASSSTPSCCAALHSYIGTRQKQIFVTNLQAINCATMFGSMLQKAGVADDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIEFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPKSGSSVGTSRTGIGVLLPLVFLTTTISI >PAN48775 pep chromosome:PHallii_v3.1:9:57318649:57323396:1 gene:PAHAL_9G404200 transcript:PAN48775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSVSCVQGTVYHWVVLLAVWLCGTQHVLSQKTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNSNPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYIIDKTASDCSIPLAPLVADVICCPQVNSLMNIFQAAYGSGNDTLVLNQASANACFSDIMSILASKGANTNIPELCTLRPSNLTDASCPVKDISSFEKIVNTSKLIDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSLPGSVAGIDIVSECKGVVHSWLSMKLSSEEANSAFRVLSGCKVNKVCPLDFDEPSSVVKACGKASSSTPSCCAALHSYIGTRQKQIFVTNLQAINCATMFGSMLQKAGVADDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIEFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPKSGSSGTSRTGIGVLLPLVFLTTTISI >PVH32470 pep chromosome:PHallii_v3.1:9:57318649:57323396:1 gene:PAHAL_9G404200 transcript:PVH32470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSVSCVQVYHWVVLLAVWLCGTQHVLSQKTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNSNPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYIIDKTASDCSIPLAPLVADVICCPQVNSLMNIFQAAYGSGNDTLVLNQASANACFSDIMSILASKGANTNIPELCTLRPSNLTDASCPVKDISSFEKIVNTSKLIDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSLPGSVAGIDIVSECKGVVHSWLSMKLSSEEANSAFRVLSGCKVNKVCPLDFDEPSSVVKACGKASSSTPSCCAALHSYIGTRQKQIFVTNLQAINCATMFGSMLQKAGVADDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIEFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPKSGSSGTSRTGIGVLLPLVFLTTTISI >PVH32472 pep chromosome:PHallii_v3.1:9:57318649:57323396:1 gene:PAHAL_9G404200 transcript:PVH32472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSVSCVQGTVYHWVVLLAVWLCGTQHVLSQKTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNSNPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYIIDKTASDCSIPLAPLVADVICCPQVNSLMNIFQAAYGSGNDTLVLNQASANACFSDIMSILASKGANTNIPELCTLRPSNLTDASCPVKDISSFEKIVNTSKLIDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSLPGSVAGIDIVSECKGVVHSWLSMKLSSEEANSAFRVLSGCKVNKVCPLDFDEPSSVVKACGKASSSTPSCCAALHSYIGTRQKQIFVTNLQAINCATMFGSMLQKAGVADDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIEFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPKSGSSVGTSRTGIGVLLPLVFLTTTISI >PAN51913 pep chromosome:PHallii_v3.1:9:71021798:71024923:-1 gene:PAHAL_9G600600 transcript:PAN51913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKDAAEDIVIAGAGLAGLAAALGLHSNFCRKGVRSLVLESSPSLRASGFAFTTWKNAFRALDALGVGDKIREQHLQARALRVVASATGEIVREADFTKQGKRGPLEIRCVRRDLLLQALEEELPKGAIRYSSKIVSIEEDGNIKILQLADGSVLRAKVLIGCDGINSVVAKWLGLAKPSYSGRSAARGLAHYPDGHGFEPKFLQFVGHGFRSGMLPCNETDIYWFFTWTPSEHDKGVDESASKMKQFVLDKLRGSKVPEEALAVIDKSEMSDVLAAPLRFRPPLSLVTASISKGNVCVAGDALHPMTPDLGQGGCSALEDGVVLARCLGEALVGKDAMGSAESDRVEAGLREYARIRRWRSVELIATAYTVGFIQQSDNAIVSFLRDKFLSGVLAGRLLKMAEYDCGTLSN >PVH31156 pep chromosome:PHallii_v3.1:9:3937887:3940646:-1 gene:PAHAL_9G068600 transcript:PVH31156 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHIFKIVAILVAISAIWVALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAILLQQDIVEAKEFLAKKGVDVGSE >PVH31155 pep chromosome:PHallii_v3.1:9:3937887:3939628:-1 gene:PAHAL_9G068600 transcript:PVH31155 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHIFKIVAILVAISAIWVALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAILLQQDIVEAKEFLAKKGVDVGSE >PAN45856 pep chromosome:PHallii_v3.1:9:9032187:9035416:1 gene:PAHAL_9G147400 transcript:PAN45856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSGGGGGATLSEMYQSARRLLLSARDGVARVERLASAPTSSSYSSAPLVGGGAPGDPAVAEEVRREVAQIQGLCAQMDRLWRSIPGKGQRDLWKRKVEQLSEEVDSLKETIDRHTLRQQKRILEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSRMLEEAYETGVAILHKYADQRDRLKSAQRKALDVLNTVGLSNSVLKLIERRHRVDKWIAYAGMIITVVVMIAFWRLTH >PAN51616 pep chromosome:PHallii_v3.1:9:71461199:71462300:-1 gene:PAHAL_9G607900 transcript:PAN51616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSSPAVPLTLLIAALHVAAIHAQIPAATTTGAAVAATNPQSGSGGVGAGGPDAPLELYMHDILGGSSPTARPITGLLGNIYNGQVPFARPIGFSAPRNGVAIPNANGQVPTVNGNNGIPLDTGLSGAGFLQPGSGGAAAAPAQVQLGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASSADGTSQMMAFTAMMEGGEYGDTINFFGVYKVGTPLCRLSITGGTGKFKGACGFAEVRPLIASGQHVTDGAETLLRITVHLA >PAN45378 pep chromosome:PHallii_v3.1:9:6710947:6712927:-1 gene:PAHAL_9G114500 transcript:PAN45378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAIAQINHRITTKIQRRIYGHTTDMEIKPLNEQKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREESLAKELEDLKLKLNEIEQLAKGRGLTGILNFKGVHVAEGGKTATPA >PVH31327 pep chromosome:PHallii_v3.1:9:6710610:6713356:-1 gene:PAHAL_9G114500 transcript:PVH31327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAIAQINHRITTKIQRRIYGHTTDMEIKPLNEQKAVQAATDLIGEAFIFSVQRSARSEARKEEARKQELEELKQREESLAKELEDLKLKLNEIEQLAKGRGLTGILNFKGVHVAEGGKTATPA >PAN48325 pep chromosome:PHallii_v3.1:9:54474373:54476884:1 gene:PAHAL_9G375200 transcript:PAN48325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKRSTPATAPAARAKANAPTAATAAKAKRSAFAGAADTAAKVPAAEASPPVVIEIPSFPDASVGVGPKGGSGSKKKGRKRAAPLVLDDEIEMWTPQQKRRLDEECQILSEDPFSTTTKLAPSPAAANDEIAVVAERGKVACRDYPHPRSACAKNPFSTTSHERHCDKCFCYVCDIAAPCVSWKGLEGHCHASDKDKKWKTMRLMMKQATQLA >PAN50282 pep chromosome:PHallii_v3.1:9:65206529:65213644:-1 gene:PAHAL_9G510000 transcript:PAN50282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIARGRSRRREGDGSSERNAARSTVPSSDHQGVVSSIKQTTYPGILSDSVFAVVNRQSKSRKASVVPMKMLIDEEFSNDINARHISPGAVGRLMGLDSLPSSGTHKQHRCTQSHAPKTSPGGFHDRNGLHEGIPHRRSADDIIDVFEVMEATKTKMHRSPRSKNGNTSSRFDKVDGADIDYIRQKFIDAKRLSNDESLHMSEEFNETLDALVSNRDVLLEFLQKFDPVVRRDPHSHGSRSPSSTANCITILKPSRRNQVTGTKSNFNEQKEVKHSLRKQYSNMSSQSLKEESGSLRQKLSRSSHQENTGKRGCPTRIVVLKPSLDKPHDVEGALPLHHEIPHSDNRRHKEYQDVGRWSPYTEDYMCQVPLGDSETLSRMGKGSREIAREITKQMRAARGGSRKHTKSETITLASDGRSQFLPSVNKLKTPEAIHRSSEICDVWASSSFNSSPTYSTETSVSKEAKKHLSNRWKKTHQCQDQVTDSDGFSTLGDVLALSDQDASKVATHKISCRKCPKGEVQSDRMQGSCVYPLGISTNDGWRDMATSKLTRSKSLPPSFIRGVQKSNSRKRAGSVRYNEFSMLKDVLKVGPHYSEYACRGRQRQSLGRDSTIHGDESDLMSPDNEERMVVEREIHVNYEEPVNSTVVPRSTSEQSLHPANPDNELDAVGVLGASSAIPGCNKAPLSSTGQNKQMLKQTAAAIDDCLLDPNLDDLVTKDQILLWRLMITKGMTIKPFVFHLMDQNRQRAPTKMTSKVQCQFLILPWMPKMFTQETLRKLAQIFKSSECNFSFSRGKLQIVEMALSFLY >PAN50283 pep chromosome:PHallii_v3.1:9:65206982:65212758:-1 gene:PAHAL_9G510000 transcript:PAN50283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIARGRSRRREGDGSSERNAARSTVPSSDHQGVVSSIKQTTYPGILSDSVFAVVNRQSKSRKASVVPMKMLIDEEFSNDINARHISPGAVGRLMGLDSLPSSGTHKQHRCTQSHAPKTSPGGFHDRNGLHEGIPHRRSADDIIDVFEVMEATKTKMHRSPRSKNGNTSSRFDKVDGADIDYIRQKFIDAKRLSNDESLHMSEEFNETLDALVSNRDVLLEFLQKFDPVVRRDPHSHGSRSPSSTANCITILKPSRRNQVTGTKSNFNEQKEVKHSLRKQYSNMSSQSLKEESGSLRQKLSRSSHQENTGKRGCPTRIVVLKPSLDKPHDVEGALPLHHEIPHSDNRRHKEYQDVGRWSPYTEDYMCQVPLGDSETLSRMGKGSREIAREITKQMRAARGGSRKHTKSETITLASDGRSQFLPSVNKLKTPEAIHRSSEICDVWASSSFNSSPTYSTETSVSKEAKKHLSNRWKKTHQCQDQVTDSDGFSTLGDVLALSDQDASKVATHKISCRKCPKGEVQSDRMQGSCVYPLGISTNDGWRDMATSKLTRSKSLPPSFIRGVQKSNSRKRAGSVRYNEFSMLKDVLKVGPHYSEYACRGRQRQSLGRDSTIHGDESDLMSPDNEERMVVEREIHVNYEEPVNSTVVPRSTSEQSLHPANPDNELDAVGVLGASSAIPGCNKAPLSSTGQNKQMLKQTAAAIDDCLLDPNLDDLVTKDERIEYHHADDYPIVYDPRIGSDSPVEIDDHQGDDNQTLCIPPNGSESPTSSNKDDQQSPVSVLDSSMDAEDVYSGDFEKISADLQELRMQLQLLKRETTDSGDGTELFILSDDKAACQPLPEIEESQAFRDEEERDFSYVLDMLTGLGIHTANQDDLLENCYLLECPAGPDLYDELENKYGFLILWPPAERKLLFDITSVVLQDIITSLMQSCSNGLLRICSPRWDQDEFAEMVWQRVVQLQEEMEFNQEGLLLSVEWAGSEDGAYLVGSDIGSILQEDLLEEIVADFLGVTKSAKLCG >PVH33079 pep chromosome:PHallii_v3.1:9:68666706:68675529:-1 gene:PAHAL_9G562200 transcript:PVH33079 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVHGLWELLAPVGRRVSVETLAGKRVAVDASIWMVQFMRAMRDDSGEMVRDAHLLGFLRRICKLLFLRVRPVFVFDGATPVLKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKLRKLEELAEQIRSDRAKHDAKGKQVESSREGENENTNQDQNQNGDTNNSGGTIGSINQEKMDEMLAASLAAEEETGFTGEEKHCTSVPLQGAEIDEDEDDDEGMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDQVQKCAAGKGVGGVQTSKIASEANREFIFSTSFTGDKQMLAQRGEKEQIVDNAYSKREINSAVFRSNPTSSSRTTKPSTSKHLRDFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQVKSRVQTNVHKGSTVSEEPPDFPEHLFESNELQSSLSLDEEFLETAEDNHQISSLVRGSDNISESSSYGKKETIEISFMDDQTELKDNYDDIFLHLASGTASDIFADNDCLDKKMEESEGSECIWEEGVNEGETLPIKLDEKDNRSSMPENCSDDEVEWEEGDSLVLGVASSSEHNTCNVPKGDMEEEALIQEAIRRSLEDFDKQASENVSTEDMQASVGNRSLQFSDDVSKISETHGETTTHSGAALVKETNEESRIEINSDDNDVIHNTGLIGTSRQENENQPQRVNNDGHFDVHRAHLLESLPHCTTSTSNIAEKTSDSSKANCNNVMISRTEIPETPVDDRDKNIEQNSMNSNQSKCSQDVTNTGETLKSPRKDLLVDEPVACTMEPKENATEGDLKVSTSEINYTQVGGNDDNHGISATYLDEELSRLRQEQIDLGHERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMSNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRQQLIRMALLLGSDYTEGVSGIGIVNAIEVVHAFPEEDGLQKFKEWIESPDPSIFGQLHMETSSKSKKRKPGGNDSDGKGKGLEPECDQGSDDLSSNETERIKEIFMSKHRNVSKNWHIPAAFPSESVISAYITPQVDNSTEPFSWGRPDLGLLRKLSYAWRHSIHSMRDLQRFVAKGLRKLSRALQEKVFPTQMNLSRIILVLARLLRRKMQAPLAVVEVEEKRTAVLKSGIWRIQKIRKLLILIVLLIWMSLLKKITSQIRETRGGPLVAPKEKGEAGRMLDMMQKEVRWILTRNILQLQMRILLRCIQAITNLKELHHEGQVGCGNK >PAN50983 pep chromosome:PHallii_v3.1:9:68666900:68675401:-1 gene:PAHAL_9G562200 transcript:PAN50983 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVHGLWELLAPVGRRVSVETLAGKRVAVDASIWMVQFMRAMRDDSGEMVRDAHLLGFLRRICKLLFLRVRPVFVFDGATPVLKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKLRKLEELAEQIRSDRAKHDAKGKQVESSREGENENTNQDQNQNGDTNNSGGTIGSINQEKMDEMLAASLAAEEETGFTGEEKHCTSVPLQGAEIDEDEDDDEGMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDQVQKCAAGKGVGGVQTSKIASEANREFIFSTSFTGDKQMLAQRGEKEQIVDNAYSKREINSAVFRSNPTSSSRTTKPSTSKHLRDFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQVKSRVQTNVHKGSTVSEEPPDFPEHLFESNELQSSLSLDEEFLETAEDNHQISSLVRGSDNISESSSYGKKETIEISFMDDQTELKDNYDDIFLHLASGTASDIFADNDCLDKKMEESEGSECIWEEGVNEGETLPIKLDEKDNRSSMPENCSDDEVEWEEGDSLVLGVASSSEHNTCNVPKGDMEEEALIQEAIRRSLEDFDKQASENVSTEDMQASVGNRSLQFSDDVSKISETHGETTTHSGAALVKETNEESRIEINSDDNDVIHNTGLIGTSRQENENQPQRVNNDGHFDVHRAHLLESLPHCTTSTSNIAEKTSDSSKANCNNVMISRTEIPETPVDDRDKNIEQNSMNSNQSKCSQDVTNTGETLKSPRKDLLVDEPVACTMEPKENATEGDLKVSTSEINYTQVGGNDDNHGISATYLDEELSRLRQEQIDLGHERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMSNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRQQLIRMALLLGSDYTEGVSGIGIVNAIEVVHAFPEEDGLQKFKEWIESPDPSIFGQLHMETSSKSKKRKPGGNDSDGKGKGLEPECDQGSDDLSSNETERIKEIFMSKHRNVSKNWHIPAAFPSESVISAYITPQVDNSTEPFSWGRPDLGLLRKLCWERFGWGKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFPDTDEPEQDNPGTSKTTTKKDASSSSRGRGRGKKDSSSEIRHMENPEDKETVDPNSFADMDELAKENNITNKRNKGRPSGCSKGKGRSRKNAGHDAKGSQVDSDTKYSSASDEDSLKMHTSNYKSEGIAPRRSSRMRKQVTYMEDGDDADGGDVPVHQNDENDPGEAAANTEDAGFNLVHQDTSELNSIQMHADVGAAKDINEDSQGFELHKGHQADLAPKDYLFSGGGFCMEDGDDQEPAGDRCGAEMEKPGTSNPSDDMMEVSDSSKSASLSTAECTENAGMEARGAPSQQRRKASRGLSAMPTLTKRRRKS >PVH33078 pep chromosome:PHallii_v3.1:9:68666706:68675529:-1 gene:PAHAL_9G562200 transcript:PVH33078 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDQVQKCAAGKGVGGVQTSKIASEANREFIFSTSFTGDKQMLAQRGEKEQIVDNAYSKREINSAVFRSNPTSSSRTTKPSTSKHLRDFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQVKSRVQTNVHKGSTVSEEPPDFPEHLFESNELQSSLSLDEEFLETAEDNHQISSLVRGSDNISESSSYGKKETIEISFMDDQTELKDNYDDIFLHLASGTASDIFADNDCLDKKMEESEGSECIWEEGVNEGETLPIKLDEKDNRSSMPENCSDDEVEWEEGDSLVLGVASSSEHNTCNVPKGDMEEEALIQEAIRRSLEDFDKQASENVSTEDMQASVGNRSLQFSDDVSKISETHGETTTHSGAALVKETNEESRIEINSDDNDVIHNTGLIGTSRQENENQPQRVNNDGHFDVHRAHLLESLPHCTTSTSNIAEKTSDSSKANCNNVMISRTEIPETPVDDRDKNIEQNSMNSNQSKCSQDVTNTGETLKSPRKDLLVDEPVACTMEPKENATEGDLKVSTSEINYTQVGGNDDNHGISATYLDEELSRLRQEQIDLGHERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMSNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRQQLIRMALLLGSDYTEGVSGIGIVNAIEVVHAFPEEDGLQKFKEWIESPDPSIFGQLHMETSSKSKKRKPGGNDSDGKGKGLEPECDQGSDDLSSNETERIKEIFMSKHRNVSKNWHIPAAFPSESVISAYITPQVDNSTEPFSWGRPDLGLLRKLCWERFGWGKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFPDTDEPEQDNPGTSKTTTKKDASSSSRGRGRGKKDSSSEIRHMENPEDKETVDPNSFADMDELAKENNITNKRNKGRPSGCSKGKGRSRKNAGHDAKGSQVDSDTKYSSASDEDSLKMHTSNYKSEGIAPRRSSRMRKQVTYMEDGDDADGGDVPVHQNDENDPGEAAANTEDAGFNLVHQDTSELNSIQMHADVGAAKDINEDSQGFELHKGHQADLAPKDYLFSGGGFCMEDGDDQEPAGDRCGAEMEKPGTSNPSDDMMEVSDSSKSASLSTAECTENAGMEARGAPSQQRRKASRGLSAMPTLTKRRRKS >PAN46522 pep chromosome:PHallii_v3.1:9:12252905:12256297:-1 gene:PAHAL_9G191700 transcript:PAN46522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to actin [Source: Projected from Oryza sativa (Os10g0510000)] MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISREEYEESGPAIVHRKCF >PAN50537 pep chromosome:PHallii_v3.1:9:66350621:66353303:1 gene:PAHAL_9G526300 transcript:PAN50537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGKSTSKQTAKLKSLVKLAAARLAVVRRPRLGRRSIARSDVGQLLSIGHLDRALLRAEQVIEEDNMLEALDVIELYCKILIEQAAQLEKPKECSEEIKEAAAGLMFASARCGELPELLDARGILADKFGRDFAAAAKEGALGVVDPTLVRKLSGERASLEQKRRLAKEIAAENDILLEFPENPVDIHQAGRTTSRTNGHREREQSRNAPARESVQKSAVKTDRPEVQRTQRSVEGKVNPSLAQLSVDEKVSRESKKYLDARMAAEAAFASASFAAMAARAAVELSRSESQGKGSRGGGYDKVAPVLTAAATEQGTAPPSWRPQKSPSPSPSWSDRSTVTSVGSDAVHKGKEVVFDQSDEELEDEVWPPPPPPQLRRPSYRRAASTVGTGVSSGAGPWHGNADTRPFQDGAPENNNPPHRRHATEFAGGNGHAHALHDALGGQRGQYVTPPYRRNPAASTGGNSVAAAAAAGVYESSAYVHQPYARVVSALERSNEHIARHEEVRRIGTDARVLQERVYGAAAPGQGHGPLNPDRRAISVRTRR >PAN50535 pep chromosome:PHallii_v3.1:9:66350271:66353345:1 gene:PAHAL_9G526300 transcript:PAN50535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGKSTSKQTAKLKSLVKLAAARLAVVRRPRLGRRSIARSDVGQLLSIGHLDRALLRAEQVIEEDNMLEALDVIELYCKILIEQAAQLEKPKECSEEIKEAAAGLMFASARCGELPELLDARGILADKFGRDFAAAAKEGALGVVDPTLVRKLSGERASLEQKRRLAKEIAAENDILLEFPENPVDIHQAGRTTSRTNGHREREQSRNAPARESVQKSAVKTDRPEVQRTQRSVEGKVNPSLAQLSVDEKVSRESKKYLDARMAAEAAFASASFAAMAARAAVELSRSESQGKGSRGGGYDKVAPVLTAAATEQGTAPPSWRPQKSPSPSPSWSDRSTVTSVGSDAVHKGKEVVFDQSDEELEDEVWPPPPPPQLRRPSYRRAASTVGTGVSSGAGPWHGNADTRPFQDGAPENNNPPHRRHATEFAGGNGHAHALHDALGGQRGQYVTPPYRRNPAASTGGNSVAAAAAAGVYESSAYVHQPYARVVSALERSNEHIARHEEVRRIGTDARVLQERVYGAAAPGQGHGPLNPDRRAISVRTRR >PAN45392 pep chromosome:PHallii_v3.1:9:6750287:6750889:-1 gene:PAHAL_9G115300 transcript:PAN45392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSKTTAARTTTGHHHLPRRRPVPSGPPVVIAQHECPSAMRAHVLEVPPGRDVLSCVSAFARRGRRGALVLGAAGRVADVVLRDPAALVLRGTTEILGLAGCFFPFPSPGSAGTAVFLAGPRGTVLGGAVAPGGLVAAGPVVVVVATFVAAALDRLPLLKGEEPVHGHRGWPVCPKQQQQLGLGANKILPSATPREIN >PVH31956 pep chromosome:PHallii_v3.1:9:23350007:23350879:1 gene:PAHAL_9G272500 transcript:PVH31956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGICHEEPGFPRLLINSLERLGITERPRYYSREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPVPAIGETQVLIGTPITGWGGLFRTPQAPPEGTEGTAAATGGGAVERPQENGILEDDEEELLIPLEVHSAPEDDSPRE >PAN51962 pep chromosome:PHallii_v3.1:9:73154256:73157631:1 gene:PAHAL_9G632900 transcript:PAN51962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAAPAPSRKTETYTDTKRRDDVRGANIAAARAVSDAVRTSLGPRGMDKMICSGDQEVIITNDGATIVSRMALVQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLSTRAVEILHAMAIPIELSDRESLVKSASTALNSKVVSQYSTLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKASHAAGGPTRMENAKIAVIQFQISPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIRAAGCNVLLIQKSILRDAVTELSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFRTDKLGYADLVEEVSVGEGKVVKITGIKDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRCLVSKRFMIAGGGAPEIEMSMQLAAWAKELQGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHARGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >PAN45612 pep chromosome:PHallii_v3.1:9:7797838:7804052:1 gene:PAHAL_9G130500 transcript:PAN45612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAARRRQQQPAVGEGSSAKARELDPPLHAIGFEVEELSPSRITGRLLVTPTCCQPFKVLHGGVSALMAESLASMGAHMASGYRRVAGVQLSINHFRSAALGDTVLARAVPVHLGRSTQAQELLQQAEQR >PVH31384 pep chromosome:PHallii_v3.1:9:7797838:7806563:1 gene:PAHAL_9G130500 transcript:PVH31384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAARRRQQQPAVGEGSSAKARELDPPLHAIGFEVEELSPSRITGRLLVTPTCCQPFKVLHGGVSALMAESLASMGAHMASGYRRVAGVQLSINHFRSAALGDTVLARAVPVHLGRSTQCSREELCMLTFDWKVWEVKLWKMDPSTGEKGPQIAESRVTLLCNLPLPEEHKNAGDALRKYSKL >PAN45614 pep chromosome:PHallii_v3.1:9:7797838:7804052:1 gene:PAHAL_9G130500 transcript:PAN45614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAARRRQQQPAVGEGSSAKARELDPPLHAIGFEVEELSPSRITGRLLVTPTCCQPFKVLHGGVSALMAESLASMGAHMASGYRRVAGVQLSINHFRSAALGDTVLARAVPVHLGRSTQISYYPHIEQSQHQYNPQLQVDLSGIFLISSKHSGSKSQVRTRIDLCIHQASDS >PVH31711 pep chromosome:PHallii_v3.1:9:14154537:14159844:1 gene:PAHAL_9G218100 transcript:PVH31711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGADGSAPGPALDPGPGPEPDREPPSPQAPEPAAGPAPRQEVVALQMQAPAPAPQQEAVALQMEAPAPAKQQEAVALHMEALEPAPQQEVVALQTEAPVPAVTIVISRSGEEVQAPDAKGVSPASSPQAGGGKATVASVSVTVAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCRWLSISPLQRRKQVCAYRSRLGHRPSPSSGVLSGMGLEEECEQHAKDGEHTANRVPSCSRMILRSVNSVETSKLVLAFGFSS >PAN46829 pep chromosome:PHallii_v3.1:9:14154967:14159132:1 gene:PAHAL_9G218100 transcript:PAN46829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGADGSAPGPALDPGPGPEPDREPPSPQAPEPAAGPAPRQEVVALQMQAPAPAPQQEAVALQMEAPAPAKQQEAVALHMEALEPAPQQEVVALQTEAPVPAVTIVISRSGEEVQAPDAKGVSPASSPQAGGGKATVASVSVTVAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASVCLSFSAWAPPFA >PAN46830 pep chromosome:PHallii_v3.1:9:14154537:14159844:1 gene:PAHAL_9G218100 transcript:PAN46830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGADGSAPGPALDPGPGPEPDREPPSPQAPEPAAGPAPRQEVVALQMQAPAPAPQQEAVALQMEAPAPAKQQEAVALHMEALEPAPQQEVVALQTEAPVPAVTIVISRSGEEVQAPDAKGVSPASSPQAGGGKATVASVSVTVAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSTYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVSNMPRMESIPPTGYHPAVV >PVH31710 pep chromosome:PHallii_v3.1:9:14154537:14159825:1 gene:PAHAL_9G218100 transcript:PVH31710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGADGSAPGPALDPGPGPEPDREPPSPQAPEPAAGPAPRQEVVALQMQAPAPAPQQEAVALQMEAPAPAKQQEAVALHMEALEPAPQQEVVALQTEAPVPAVTIVISRSGEEVQAPDAKGVSPASSPQAGGGKATVASVSVTVAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCRWLSISPLQRRKQVQVIGFGGLIRLMEGDEEDVKGDGLAHFGLHLPF >PAN46831 pep chromosome:PHallii_v3.1:9:14154537:14159844:1 gene:PAHAL_9G218100 transcript:PAN46831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGADGSAPGPALDPGPGPEPDREPPSPQAPEPAAGPAPRQEVVALQMQAPAPAPQQEAVALQMEAPAPAKQQEAVALHMEALEPAPQQEVVALQTEAPVPAVTIVISRSGEEVQAPDAKGVSPASSPQAGGGKATVASVSVTVAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVSNMPRMESIPPTGYHPAVV >PVH31712 pep chromosome:PHallii_v3.1:9:14154537:14159825:1 gene:PAHAL_9G218100 transcript:PVH31712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGADGSAPGPALDPGPGPEPDREPPSPQAPEPAAGPAPRQEVVALQMQAPAPAPQQEAVALQMEAPAPAKQQEAVALHMEALEPAPQQEVVALQTEAPVPAVTIVISRSGEEVQAPDAKGVSPASSPQAGGGKATVASVSVTVAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASQTSYWVWRVDSTYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVSNMPRMESIPPTGYHPAVV >PAN46072 pep chromosome:PHallii_v3.1:9:9998651:10004916:1 gene:PAHAL_9G160900 transcript:PAN46072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGPTSPDHPPSPAPAPPDSEVADPAPDADDAAVKAEPTAPAAGPEEEEEKERRETRGRKRRRRGGPSASSSSPAAAAPAPAGPRGLVMVKRDLLARCMTCPLCRRLLRDATTISECLHTFCRKCIYQKFNDEEVECCPVCKIDLGCSPTEKLRADHSLEDVRSKLFPFKRKKIKAEEVPSPISLPTKRKERSIFSLVVSTSKVKPTGLTGRRTRAVARKAAAAAASALRGLGPIIEDSVKKEIDSCDSHSHSSSLPANSNKAPQTRRQIPSNLEASNHSNKDTEGDSKELADKAELWQPLNCLVEAANRTKSFRSSSQNPVVKGDQLNGSPSSTYANKTKARENLQKTKIEDDKKDVTVPPVLPKRRGQGTTRRRREVQAPADAKPDAAAAQNEKKFNSIWFSLIASFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLVHKLSLPSESEVEIKCCEQTVNPMQPLRNLVELWLKGKATQTTQTMTGSSAKEFVMVLTYGRPKAPAL >PAN46073 pep chromosome:PHallii_v3.1:9:9999569:10004916:1 gene:PAHAL_9G160900 transcript:PAN46073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYDYKRGSLQECCRLLVRCQTCLLNLSLKSSEELFCRKCIYQKFNDEEVECCPVCKIDLGCSPTEKLRADHSLEDVRSKLFPFKRKKIKAEEVPSPISLPTKRKERSIFSLVVSTSKVKPTGLTGRRTRAVARKAAAAAASALRGLGPIIEDSVKKEIDSCDSHSHSSSLPANSNKAPQTRRQIPSNLEASNHSNKDTEGDSKELADKAELWQPLNCLVEAANRTKSFRSSSQNPVVKGDQLNGSPSSTYANKTKARENLQKTKIEDDKKDVTVPPVLPKRRGQGTTRRRREVQAPADAKPDAAAAQNEKKFNSIWFSLIASFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLVHKLSLPSESEVEIKCCEQTVNPMQPLRNLVELWLKGKATQTTQTMTGSSAKEFVMVLTYGRPKAPAL >PVH32269 pep chromosome:PHallii_v3.1:9:52548728:52549470:1 gene:PAHAL_9G358200 transcript:PVH32269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCCSPFCPRIQTCPPPPPLHPSSLPLIVLSSGEKGRSKKSKFHPVRAQAFKSKNQSLPTQSHRADGHGDPTDEDPRGDFPGSAAPSLPDAEAVAFWLRSCRSAAGVRRVHAVALRSLDSLGVFVSNNLISGYLTFDEVADARMVFDQMPEKSVVSWTAMMNGYRKLGRHGEVVRLFLGMLATGMQGALLCCEGWVEQCDSGQCGRALLCSMWRCCRCFNSV >PAN45825 pep chromosome:PHallii_v3.1:9:8931286:8936420:1 gene:PAHAL_9G145400 transcript:PAN45825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVYYQYKSEKDIYSMQVPHAFISVSELKQLIKTSDKHGRGRTRGRPTEDIIISNAQTGEEYTDERALVLQNTTVLVRRICIPGQLSEKIILSPVREVTEGCSAPSSKSVVTDSNSKSYSSVGVQDEDAAIAAVINAAELKLEQHLSKRGQGSGRCNYGHGPLEGETPPPGYVCRSCSVPGHFIQHCPRESKTPPPGYICYRCRIPGHFIHHCMTIGDPKFHNNKMSRSLAPVVTVSPVNGILEEFVPAAPVSAVDDLPAELHCRLCKKVMIDAVLTSKCCFDSFCDKCIRDYIITESKCFCGVKALADDLIPNHTLRSTISNMLGTRASSGGSGTTKHRSSSGSNLDSRLQSHTPSATSERKMKQSTNLQLSAGFAPDDGLQVATKGDLVNQPLDKSAANVDTLSKDEGSSAELSVEKAAAGAEVLKVKDGSGSTSKVTTISGALEYNATRTNQPKKKRKKADSTKNVQPNNVDYGYNVPFDPAYYNPFVSGYPWAPEPYMYSSIGMPYGGYPMDPYGVNSFNGMPLQAHAMQGYPASYQRPETQPMHYRGSEAVATRPRQAERPKDSSLQPQSSERNRQLGSSRGLESRNRARSGSERGDHGRSDRVSDDFYESQSSRKRMRHPSPVHGDKQSSQRSRHSSRIREDSSDDERNFKRRWGRRSSVSVEIKH >PAN46653 pep chromosome:PHallii_v3.1:9:12848157:12850696:-1 gene:PAHAL_9G201900 transcript:PAN46653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEIEHTHLPIRGLNIHVAQVGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPENEEASWDDLVADVLAILDAYSIPKAFLVGKDFGAMPAYEFALQHPDRTCGVMCLGIPFNPAPMSFDTMPEGFYVLRWREPGRAEADFGRYDVRRVVRTIYVLFAGAEIPTAKEGQEIMDLADLSTPLPEWFTEEDLDAYAKLYEKSGFRYPLQMPYRALHKIPNRMDAKFQVPVFMVMGEKDYCFKFPGFETALKSGAMETFAPDLKITYIPEGSHFVQEQLPEQVNELLLGFLKDLPVVVAA >PVH32673 pep chromosome:PHallii_v3.1:9:61600676:61603084:1 gene:PAHAL_9G457500 transcript:PVH32673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPPWASSLGAVLATALLLVTVLRRRRSAATRKYNLPPGPRPWPVIGNLHLIGSLPHRCLHALSARHGPLMSLRFGSVPVVVASSVDAARFVLRTHDTAFIDRPKMASGRYTAYDFSDIVWSPYGAYWRQARKLWQTKLFSARQLRSQEHVRVEELRGMLLHLHRGLTSSGRGAVVLKEHLLMLSLNVISRMALGRKYVGDGEEDAGSPVSPGEFRWMVDELFVLNGVLSVGDFIPWLSWLDPQGYIGRMKRLGKMFDRFLEHVVDEHSERRRREGEAFVATDMVDLLLELADDPTLEVPIERDGVKGFVLDLIAGGTDTSAVAIEWAMSELLRNPGVLAKATGELDSVVGHDRLVTEQDIPKLPYLEAVVKETFRLHPVSPLLAPRLAREDASTGSYDVPAGTLVFVNAWAIGRDPAVWGRTAAEFRPERFVGSGVDVKGQDLELLPFGSGRRMCPGYTLGLKMVQVTLANLLHAFAWRLPDGVAAEELSMEEKFGLAVPRKVPLEAVAEPRLPAHLYTIGL >PAN47007 pep chromosome:PHallii_v3.1:9:12993133:12994157:1 gene:PAHAL_9G203600 transcript:PAN47007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVPNHGPKAASFLTAGSSSTEELLRPPSHAAGDGPASMAGGAETMTVQASNPSCAGSRAGGKAPTPAARRRPGPQRRLSVAPPAAGRSEAELRPLKARRPRRILRGCCSSSTDERLAVIHG >PVH31078 pep chromosome:PHallii_v3.1:9:2986883:2991791:1 gene:PAHAL_9G053100 transcript:PVH31078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFISIQQSDSIPLFGYRRRSYLFLSGLLGALSWSLMATIVDDKYSAALSIVLGSLAVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDTYGVRFVFGVTALLPLLTSTVAVLVNEERLPLGERSVSLSVSSSELIERSKQRIMQIWNSVKQPSIFLPTLFIFLWQATPQSDSAMFFFTTNKLGFSPEFLGRVTLVTSIASLLGIGLYNSFLKEVPLRKIFLVTTVVGSALGMTQVLLVTGLSRKLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGSVAGGLVGAGLTQFLGVTRDNFENLALLIAVCNLTSLLPLPLLGLLPDESPTDSSQTKND >PVH31077 pep chromosome:PHallii_v3.1:9:2986875:2991792:1 gene:PAHAL_9G053100 transcript:PVH31077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRFLLSPPILPLRSPTATATATVASYLISAVHGRRRRRPLEHGCRCGRPPETALRGSSGARDSYDDEEAAPRPLVIDGSRESSSDRQTAPRDQVTLKEGGTSNADHEGWQNRQCSTDGFSESRSKSGYFKAFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLIKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATIVDDKYSAALSIVLGSLAVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDTYGVRFVFGVTALLPLLTSTVAVLVNEERLPLGERSVSLSVSSSELIERSKQRIMQIWNSVKQPSIFLPTLFIFLWQATPQSDSAMFFFTTNKLGFSPEFLGRVTLVTSIASLLGIGLYNSFLKEVPLRKIFLVTTVVGSALGMTQVLLVTGLSRKLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGSVAGGLVGAGLTQFLGVTRDNFENLALLIAVCNLTSLLPLPLLGLLPDESPTDSSQTKND >PVH31079 pep chromosome:PHallii_v3.1:9:2987525:2991791:1 gene:PAHAL_9G053100 transcript:PVH31079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCCAFIFIMLSILGSAAPRDQVTLKEGGTSNADHEGWQNRQCSTDGFSESRSKSGYFKAFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLIKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATIVDDKYSAALSIVLGSLAVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDTYGVRFVFGVTALLPLLTSTVAVLVNEERLPLGERSVSLSVSSSELIERSKQRIMQIWNSVKQPSIFLPTLFIFLWQATPQSDSAMFFFTTNKLGFSPEFLGRVTLVTSIASLLGIGLYNSFLKEVPLRKIFLVTTVVGSALGMTQVLLVTGLSRKLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGSVAGGLVGAGLTQFLGVTRDNFENLALLIAVCNLTSLLPLPLLGLLPDESPTDSSQTKND >PVH31076 pep chromosome:PHallii_v3.1:9:2986875:2991791:1 gene:PAHAL_9G053100 transcript:PVH31076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRFLLSPPILPLRSPTATATATVASYLISAVHGRRRRRPLEHGCRCGRPPETALRGSSGARDSYDDEEAAPRPLVIDGSRESSSDRQTGSAAPRDQVTLKEGGTSNADHEGWQNRQCSTDGFSESRSKSGYFKAFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLIKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATIVDDKYSAALSIVLGSLAVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDTYGVRFVFGVTALLPLLTSTVAVLVNEERLPLGERSVSLSVSSSELIERSKQRIMQIWNSVKQPSIFLPTLFIFLWQATPQSDSAMFFFTTNKLGFSPEFLGRVTLVTSIASLLGIGLYNSFLKEVPLRKIFLVTTVVGSALGMTQVLLVTGLSRKLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGSVAGGLVGAGLTQFLGVTRDNFENLALLIAVCNLTSLLPLPLLGLLPDESPTDSSQTKND >PAN45025 pep chromosome:PHallii_v3.1:9:5059240:5063899:-1 gene:PAHAL_9G088400 transcript:PAN45025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEARGSSSRRRMEDEEGAAAMEADAGAAARARGVEEDDEDEEGESSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSKCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTNYRGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLVSTIDPSKDPRKSGVPLVLPSAKTDEPTPKVPAPPANGGLSKNQKKKIRKKAKRAAAATSEGSSAVASADTDGSDDRGDLGTANEGSPSQDGAKKRAKGDRQGSKGAKKKMAMEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELSTGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLMEKYEFTEKNANDMADFLVPILDFVPEKRPTAAQLLQHPWLDAGPHRKQPAALPDSTQNSADGVSEKQRKENEERDAMAVELGNIAIDGASSSRPANDPQASMNKATATPSKK >PVH32711 pep chromosome:PHallii_v3.1:9:62199611:62203053:1 gene:PAHAL_9G466700 transcript:PVH32711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCIHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIQYAKVVKMMGNRANAVNLDFSEILSDEEVETQLKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGKASQKHKGKISRSLAAKTALAIRYDALGDGEDNSIGTESRLKLETRLQVLEKTEFGKSAGSAKGKPKIEAYEKDRKKGAGALITPAKAYNPAADVFLGKSTEETPKKSELASKKRKHHDAETAPPTEPAAEAIQEDGDQDRKKKKKKKSKETEETPVADADGEKKKKKKSKDTEEEPSVATAEGGKKKKKKKADTDGEDVAMQAEESGKKDKKKKKKKHADDE >PVH33374 pep chromosome:PHallii_v3.1:9:73547513:73552550:1 gene:PAHAL_9G638200 transcript:PVH33374 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MLSLPSSVSVHRCECELASRQIFLPASPPPPRRSSAHEPGEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTMPPPGATTSGAENSALEVGTEKSEVYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEYFGVDIRAIQDYSLKFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDSCSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPGGMNTNT >PAN52041 pep chromosome:PHallii_v3.1:9:73547513:73552549:1 gene:PAHAL_9G638200 transcript:PAN52041 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MLSLPSSVSVHRCECELASRQIFLPASPPPPRRSSAHEPGEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTMPPPGATTSGAENSALEVGTEKSEVYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEYFGVDIRAIQDYSLKFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDSCSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPEGRYEYKYIVDGKWLCNEHENITKPNADGHVNNYVQVSRDGTSDEEKELRERLTGPNPDLTDEERLMVREYLEQYVDAEH >PVH33375 pep chromosome:PHallii_v3.1:9:73548094:73552549:1 gene:PAHAL_9G638200 transcript:PVH33375 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MPPPGATTSGAENSALEVGTEKSEVYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEYFGVDIRAIQDYSLKFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDSCSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPEGRYEYKYIVDGKWLCNEHENITKPNADGHVNNYVQVSRDGTSDEEKELRERLTGPNPDLTDEERLMVREYLEQYVDAEH >PAN48108 pep chromosome:PHallii_v3.1:9:52665291:52668555:-1 gene:PAHAL_9G359100 transcript:PAN48108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLIYGFMAFQFAISQCCRLCEHHLFDEISLRTDSMAEAPADGLVVSKNVASAACRINALPSHVLSRAISFLDARQLVQTCLLSRRWRHLWRSVPRINASRHEFDGMADSEEERNVLFKKFTNAFLVLRNPVALDEFRLFYFMPDHEESEDPDADSDDANLWIHHALRSNARSVKVKIWDDRLHLDPAVFASKCFLTSLQLSCVMLFDGFFRNLQTGCTALERLLLNDCAIDDVEISSQTLKVFTVDDYSNFTFGEQPSISIPSLSYLAFSASGRIPSLKNMGSLVTASVSVSSGGTQVDDICQFLRSLSGVTNLDFDYAGMLKMEKSLQWCPKFNNLTALTLGEWCLAEDYYALKVFLRNCPNLVKLTLKLKQCNYTSETIIAGLNEGSFACQHIEMLEIVYSKGDPKVLEKLLVEGGITLG >PVH33376 pep chromosome:PHallii_v3.1:9:73557790:73559949:-1 gene:PAHAL_9G638500 transcript:PVH33376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEPDGNDVLQNDFHQEQIQQYEEYSSDSRSSEQDSGQSVSTSSTESGNGVQHEADLPWSRDISVAEDGQDDSSFLDREEEWHVIESHEEEPQWQLSPSLNSTRNRFSSPPEDDDVYGVELRELLSRRSVSNLLRSGFRQSLDQLIQSYVERQEHDWDFQGQRPSTSGVILNEDPIEIRMDEPAVRDERPQAWTTGLSDEALFPSQQRQREWQIDNWSQQAMHRSEFQDWDAVNVLRDEVSGVQRGMSSMQQMLEACMEMQIELQRSIKQEVSAALNRSLAMPADEETLEEDGSQWKLARKGTCCICCDNQIDSLLYRCGHMCTCSKCAGELLHGVGKCPLCRAPIVEVIRAYCIM >PAN47259 pep chromosome:PHallii_v3.1:9:16894151:16896119:-1 gene:PAHAL_9G246500 transcript:PAN47259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFHLLHYPPRASHSSPQQPQVVPQRGDLVLLVGITRSRQREEVRQIPAKNRRRDRLSTTKRGAGLHRSVPALEAGSWRASPACVMQTAARRTASCPDSNLDAGNPQRSHLESLHTSPLPPIHSFRTIFRFGVSVRFHACSSC >PAN47260 pep chromosome:PHallii_v3.1:9:16895487:16895994:-1 gene:PAHAL_9G246500 transcript:PAN47260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFHLLHYPPRASHSSPQQPQVVPQRGDLVLLVGITRSRQREEVRQIPAKNRRRDRLSTTKRGAGLHRSVPALEAGSWRASPACVMQTAARRTASCPDSNLDAGNPQRSHLESLHTSPLPPIHSFRTIFRFGVSVRFHACSSC >PAN47258 pep chromosome:PHallii_v3.1:9:16895487:16895994:-1 gene:PAHAL_9G246500 transcript:PAN47258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFHLLHYPPRASHSSPQQPQVVPQRGDLVLLVGITRSRQREEVRQIPAKNRRRDRLSTTKRGAGLHRSVPALEAGSWRASPACVMQTAARRTASCPDSNLDAGNPQRSHLESLHTSPLPPIHSFRTIFRFGVSVRFHACSSC >PAN48711 pep chromosome:PHallii_v3.1:9:57027478:57032740:1 gene:PAHAL_9G400600 transcript:PAN48711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGGGAAASGTRSLDQTPTWAVAAVCAVIVAASILLEGLLHHLGQLLSKRRKKALFDALEKVKSELMTLGFISLLLTVTGRYISRICIPAGAANTMLPCRLSVHSEAKQPEGHGRRHLSEDPSYFFSCPKGMVSLVSADGMHQLHIFVFFLAVFHVTFSFFTMSLGRAKTRIWKVWENETCLPTYEFQYDPSKFRLTHQTSFVRQHASCWSKSTILLYVVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTKFNFRKYIKRSLEDDFKTVVAISPPLWASALAVMLVNVHGWHNLFWFSTIPLVLILAVGTKLQAIIAMMAIAITERHTVIQGMPVVKLSDDHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGAVVQFTCSYVTLPLYALVSQMGSQMKTTIFDEQTAKALKKWHKAVVKKKHNKESSDDPSETQSADTPAGGTEASEWHRPHEVPVRHLRRYKTIAHVGGARSPLSDSDYSDADDAEPVSSSQTRHLIPPAKQRSLDTGRKEVRVDVVETAAAPRDGHQDSFSFPRLSPRHDK >PAN50157 pep chromosome:PHallii_v3.1:9:64723441:64728431:-1 gene:PAHAL_9G502500 transcript:PAN50157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRRKSVFASAPFAMKQAALGAGVAARKNGAPLSLAAVVFALFVFATFLYNEDIKSITDFQFSSGAIRAKAPDLHLLQEAEAAAHAAVNTLAKRGEEVIVRVLEAPAAAGALARQAGANTTAAAKANAANTAKANANAVDVVQEKERDVTLPIVTGGGGADEARRRADEEAAEKASSAKAAAATAALRTVVRVPETCDLYRGNWVYDEVNAPVYKEGECEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGSSNVFRAHEYNATVEFYWAPFLVESNSDNPKVHSVPDRVIQWHAIAKHARNWIGVDYLVFNTYIWWLNTLDIKVLKGSFDQGSTEYVEVDRPVAYKEVLKTWAKWVDRNIDPNKTTVFFMGMSPNHITPEAWGNQGGIKCAMETLPITNRSASLDVGTDWRLYAGAQEVLPTLRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNQFLYARIASSPWPADAQQ >PAN50158 pep chromosome:PHallii_v3.1:9:64726174:64728431:-1 gene:PAHAL_9G502500 transcript:PAN50158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRRKSVFASAPFAMKQAALGAGVAARKNGAPLSLAAVVFALFVFATFLYNEDIKSITDFQFSSGAIRAKAPDLHLLQEAEAAAHAAVNTLAKRGEEVIVRVLEAPAAAGALARQAGANTTAAAKANAANTAKANANAVDVVQEKERDVTLPIVTGGGGADEARRRADEEAAEKASSAKAAAATAALRTVVRVPETCDLYRGNWVYDEVNAPVYKEGECEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGSSNVFRAHEYNATVEFYWAPFLVESNSDNPKVHSVPDRVIQWHAIAKHARNWIGVDYLVFNTYIWWLNTLDIKVLKGSFDQGSTEYVEVDRPVAYKEVLKTWAKWVDRNIDPNKTTVFFMGMSPNHIT >PVH32856 pep chromosome:PHallii_v3.1:9:65025067:65031574:1 gene:PAHAL_9G506400 transcript:PVH32856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKIFVKKRDPDMAIRYARIFPNSQLLLCNTMEAFGKRKELKNALTVFGALKGQLGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNTYVFNSLMNVNAHSLSYNLSVYKHMQNLGVAPDLTSYNILLKTCCNAREFNMAQEIYEEMKKKERDGLLKLDVFTYSTMMKVFADAKMWKMASNIKEDMQRGGVRLNLVTWSSLINAYANSGLVDRAIEILEEMIRDGCQPTAPCFNIILTACLKSCQYDRAFRLFYSWKKSGIKISLSPEQKRYLDGAFTFCKEYPSNDGTILVVPFRPTVTTYNILMKACGTNAERAKSVMNEMRRSGLCPDLISWSILMDIYGTSQNRDGAVQALRRMQRVGIKLNVSAYTVAIKACVANKDLKLALHLFDEMKTHQLKPNLVTYKTLLTARSNYGSLQEVQQCLAIYQEMRKAGYQANDYYLKELIVEWCEGVLSSGSANRDFYNLDLQPKRKESFNIFLEKVVTVLQKDVDQNQIVDVRGLSKVEARIVVLSVLRKIKEQYLLGRAVLDDVVIITGQEKTSRAEVETSAVDVEHAIVTVLTDDLGLEVLIGPGSLPPVSAKLNAPTKSRSNLEQVSKNFTRRPQGMIKITFNSLNHWLKKKAVRIVQ >PAN50222 pep chromosome:PHallii_v3.1:9:65024052:65031574:1 gene:PAHAL_9G506400 transcript:PAN50222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMTSSSPQPPPPSHRGRRRPQPAAPTPNANPKPRPRAKALPLLSDVGVGRDPAAIKYYARVASNLAGAGRLRDFLLAAEGLRAAAGDDPSFAARISARLLSRGVAAAVRDRGLPHVLEFLRDAERVRVPAAEMLDADASDAVAAACRMLLEERRMAEYVEVVEALARYGFYVQGIVKPMDVLKIFVKKRDPDMAIRYARIFPNSQLLLCNTMEAFGKRKELKNALTVFGALKGQLGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNTYVFNSLMNVNAHSLSYNLSVYKHMQNLGVAPDLTSYNILLKTCCNAREFNMAQEIYEEMKKKERDGLLKLDVFTYSTMMKVFADAKMWKMASNIKEDMQRGGVRLNLVTWSSLINAYANSGLVDRAIEILEEMIRDGCQPTAPCFNIILTACLKSCQYDRAFRLFYSWKKSGIKISLSPEQKRYLDGAFTFCKEYPSNDGTILVVPFRPTVTTYNILMKACGTNAERAKSVMNEMRRSGLCPDLISWSILMDIYGTSQNRDGAVQALRRMQRVGIKLNVSAYTVAIKACVANKDLKLALHLFDEMKTHQLKPNLVTYKTLLTARSNYGSLQEVQQCLAIYQEMRKAGYQANDYYLKELIVEWCEGVLSSGSANRDFYNLDLQPKRKESFNIFLEKVVTVLQKDVDQNQIVDVRGLSKVEARIVVLSVLRKIKEQYLLGRAVLDDVVIITGQEKTSRAEVETSAVDVEHAIVTVLTDDLGLEVLIGPGSLPPVSAKLNAPTKSRSNLEQVSKNFTRRPQGMIKITFNSLNHWLKKKAVRIVQ >PVH32855 pep chromosome:PHallii_v3.1:9:65025067:65031574:1 gene:PAHAL_9G506400 transcript:PVH32855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSAIRCPNPLSGGQPNEELHLRRSPILPYALGTLKARAALVQHQIRRFGYGFYVQGIVKPMDVLKIFVKKRDPDMAIRYARIFPNSQLLLCNTMEAFGKRKELKNALTVFGALKGQLGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNTYVFNSLMNVNAHSLSYNLSVYKHMQNLGVAPDLTSYNILLKTCCNAREFNMAQEIYEEMKKKERDGLLKLDVFTYSTMMKVFADAKMWKMASNIKEDMQRGGVRLNLVTWSSLINAYANSGLVDRAIEILEEMIRDGCQPTAPCFNIILTACLKSCQYDRAFRLFYSWKKSGIKISLSPEQKRYLDGAFTFCKEYPSNDGTILVVPFRPTVTTYNILMKACGTNAERAKSVMNEMRRSGLCPDLISWSILMDIYGTSQNRDGAVQALRRMQRVGIKLNVSAYTVAIKACVANKDLKLALHLFDEMKTHQLKPNLVTYKTLLTARSNYGSLQEVQQCLAIYQEMRKAGYQANDYYLKELIVEWCEGVLSSGSANRDFYNLDLQPKRKESFNIFLEKVVTVLQKDVDQNQIVDVRGLSKVEARIVVLSVLRKIKEQYLLGRAVLDDVVIITGQEKTSRAEVETSAVDVEHAIVTVLTDDLGLEVLIGPGSLPPVSAKLNAPTKSRSNLEQVSKNFTRRPQGMIKITFNSLNHWLKKKAVRIVQ >PAN44498 pep chromosome:PHallii_v3.1:9:2679118:2688270:-1 gene:PAHAL_9G047800 transcript:PAN44498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAAVRMLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDAESINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDDLAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPSVDIKTVLSQLMDRLSNYAASSPEVLPEFLQVEAFAKFSNAIGKVIEAQPDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNATTKVMAVVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGTQDDELDEEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHVLLGGPKRLSFTVPSLVFSALKLVRRLQGQDGDVTGEDVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANATRGSSGSVTLFIEILNKYLYFFEKGIPQITNTVIQDLIELIRTEMQSDNTVADPSTEAFFSSTLRYIEFQKQKGGSIGEKYEQIKTSS >PVH30978 pep chromosome:PHallii_v3.1:9:1386654:1387146:1 gene:PAHAL_9G024600 transcript:PVH30978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHHRQHCSLSNKQVALLLLISHANALRVHRRPPCRRPFPPRHPRRHRRFHRHLGDPAIGLALFSLYRMQAVSVRDLRDLACTLRLLPGDFVSCFYYI >PVH31745 pep chromosome:PHallii_v3.1:9:14575803:14581861:1 gene:PAHAL_9G223200 transcript:PVH31745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIRYAEELVREFLVFRGFTSTLQAYESELSTEIGRNFQVDKILDLVFSEYVAKYQLDRLVGLFTFFKQCFTSPADTELFSTLVKLELSVLRYYVINALKSGRQDKVIEFFGESSNYLMQKREDWLAWFAIPYIKNPSFDPQFRVYFSKEWLDTLFLSFRNFLSGIFNETRIPALLRISTEKNTIKSLKNDIKQLNNKLAELQASLEAKEDEISQLRRNYNGAGYGNKNLIGTSTAGTPPEQEMSENYEESSTSSSMIQGFDSRSSCSVKSSKRDGKFHESSEIRHTEDEQVLVTEEDFPEVRVGFQETFLGHNSSISQCRFSASGSNIASSSIDGTVRIWTYDSSTPSSKNATIYCGAEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLNTSRDFPSILDLKCSPVEPVFVSAAASTRHGSTIFERTGFANLTVWHMKTWKPLMVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCNPESFNKRMHEIALDSNGKRLLVTSGLVRAPIYQVQGHESGLRTLPHSSSITSVDWHPTLPMYITGSADHSVRVTSIL >PAN46902 pep chromosome:PHallii_v3.1:9:14574960:14581853:1 gene:PAHAL_9G223200 transcript:PAN46902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIRYAEELVREFLVFRGFTSTLQAYESELSTEIGRNFQVDKILDLVFSEYVAKYQLDRLVGLFTFFKQCFTSPADTELFSTLVKLELSVLRYYVINALKSGRQDKVIEFFGESSNYLMQKREDWLAWFAIPYIKNPSFDPQFRVYFSKEWLDTLFLSFRNFLSGIFNETRIPALLRISTEKNTIKSLKNDIKQLNNKLAELQASLEAKEDEISQLRRNYNGAGYGNKNLIGTSTAGTPPEQEMSENYEESSTSSSMIQGFDSRSSCSVKSSKRDGKFHESSEIRHTEDEQVLVTEEDFPEVRVGFQETFLGHNSSISQCRFSASGSNIASSSIDGTVRIWTYDSSTPSSKNATIYCGAEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLNTSRDFPSILDLKCSPVEPVFVSAAASTRHGSTIFERTGFANLTVWHMKTWKPLMVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDI >PVH31746 pep chromosome:PHallii_v3.1:9:14575803:14581861:1 gene:PAHAL_9G223200 transcript:PVH31746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIRYAEELVREFLVFRGFTSTLQAYESELSTEIGRNFQVDKILDLVFSEYVAKYQLDRLVGLFTFFKQCFTSPADTELFSTLVKLELSVLRYYVINALKSGRQDKVIEFFGESSNYLMQKREDWLAWFAIPYIKNPSFDPQFRVYFSKEWLDTLFLSFRNFLSGIFNETRIPALLRISTEKNTIKSLKNDIKQLNNKLAELQASLEAKEDEISQLRRNYNGAGYGNKNLIGTSTAGTPPEQEMSENYEESSTSSSMIQGFDSRSSCSVKSSKRDGKFHESSEIRHTEDEQVLVTEEDFPEVRVGFQETFLGHNSSISQCRFSASGSNIASSSIDGTVRIWTYDSSTPSSKNATIYCGAEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLNTSRDFPSILDLKCSPVEPVFVSAAASTRHGSTIFERTGFANLTVWHMKTWKPLMVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDI >PAN46903 pep chromosome:PHallii_v3.1:9:14574960:14581853:1 gene:PAHAL_9G223200 transcript:PAN46903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIRYAEELVREFLVFRGFTSTLQAYESELSTEIGRNFQVDKILDLVFSEYVAKYQLDRLVGLFTFFKQCFTSPADTELFSTLVKLELSVLRYYVINALKSGRQDKVIEFFGESSNYLMQKREDWLAWFAIPYIKNPSFDPQFRVYFSKEWLDTLFLSFRNFLSGIFNETRIPALLRISTEKNTIKSLKNDIKQLNNKLAELQASLEAKEDEISQLRRNYNGAGYGNKNLIGTSTAGTPPEQEMSENYEESSTSSSMIQGFDSRSSCSVKSSKRDGKFHESSEIRHTEDEQVLVTEEDFPEVRVGFQETFLGHNSSISQCRFSASGSNIASSSIDGTVRIWTYDSSTPSSKNATIYCGAEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLNTSRDFPSILDLKCSPVEPVFVSAAASTRHGSTIFERTGFANLTVWHMKTWKPLMVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCNPESFNKRMHEIALDSNGKRLLVTSGLVRAPIYQVQGHESGLRTLPHSSSITSVDWHPTLPMYITGSADHSVRVTSIL >PVH32732 pep chromosome:PHallii_v3.1:9:62709308:62710651:-1 gene:PAHAL_9G474200 transcript:PVH32732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKENILMQRYEMGKLLGKGSFAKVYHARCLKTSHSVAIKVIDKDKILKCGLMDQISREISVMKLVKHPNIVQLYEVMATKTKIYFVLEYVKGGELFKKVQRGRLKEDAARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDESRNLKISDFGLSALPDCKRQDGLLHTSCGTPAYVAPEVISRKGYDGAKADIWACGVILYVLLAGYLPFQDKNLVDMYKKICRAELKWPSWFSSDIRKFLQRLLHPNPSARISIAKIMDHPWFRTGLEERLFDYAMNSTENIMPVDMNLALDYLNANTVEGNQAVEKLINLNAFDIISLANGFDLSGIFEETSNKTETKFTSTNTVSTIITKLEEIATSLQLKVTKKGGGLLNMESSKPGRKGVMSIDAEVFHVTSNFHLVEIKKTNGDTLEYQKVMKQGMRPALKDIVWAWQGEQPEKEKQQS >PVH32733 pep chromosome:PHallii_v3.1:9:62706602:62713096:-1 gene:PAHAL_9G474200 transcript:PVH32733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKENILMQRYEMGKLLGKGSFAKVYHARCLKTSHSVAIKVIDKDKILKCGLMDQISREISVMKLVKHPNIVQLYEVMATKTKIYFVLEYVKGGELFKKVQRGRLKEDAARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDESRNLKISDFGLSALPDCKRQDGLLHTSCGTPAYVAPEVISRKGYDGAKADIWACGVILYVLLAGYLPFQDKNLVDMYKKICRAELKWPSWFSSDIRKFLQRLLHPNPSARISIAKIMDHPWFRTGLEERLFDYAMNSTENIMPVDMNLALDYLNANTVEGNQAVEKLINLNAFDIISLANGFDLSGIFEETSNKTETKFTSTNTVSTIITKLEEIATSLQLKVTKKGGGLLNMESSKPGRKGVMSIDAEVFHVTSNFHLVEIKKTNGDTLEYQKVMKQGMRPALKDIVWAWQGEQPEKEKQQS >PVH32734 pep chromosome:PHallii_v3.1:9:62709308:62710651:-1 gene:PAHAL_9G474200 transcript:PVH32734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKENILMQRYEMGKLLGKGSFAKVYHARCLKTSHSVAIKVIDKDKILKCGLMDQISREISVMKLVKHPNIVQLYEVMATKTKIYFVLEYVKGGELFKKVQRGRLKEDAARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDESRNLKISDFGLSALPDCKRQDGLLHTSCGTPAYVAPEVISRKGYDGAKADIWACGVILYVLLAGYLPFQDKNLVDMYKKICRAELKWPSWFSSDIRKFLQRLLHPNPSARISIAKIMDHPWFRTGLEERLFDYAMNSTENIMPVDMNLALDYLNANTVEGNQAVEKLINLNAFDIISLANGFDLSGIFEETSNKTETKFTSTNTVSTIITKLEEIATSLQLKVTKKGGGLLNMESSKPGRKGVMSIDAEVFHVTSNFHLVEIKKTNGDTLEYQKVMKQGMRPALKDIVWAWQGEQPEKEKQQS >PAN49767 pep chromosome:PHallii_v3.1:9:62706602:62713096:-1 gene:PAHAL_9G474200 transcript:PAN49767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKENILMQRYEMGKLLGKGSFAKVYHARCLKTSHSVAIKVIDKDKILKCGLMDQISREISVMKLVKHPNIVQLYEVMATKTKIYFVLEYVKGGELFKKVQRGRLKEDAARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDESRNLKISDFGLSALPDCKRQDGLLHTSCGTPAYVAPEVISRKGYDGAKADIWACGVILYVLLAGYLPFQDKNLVDMYKKICRAELKWPSWFSSDIRKFLQRLLHPNPSARISIAKIMDHPWFRTGLEERLFDYAMNSTENIMPVDMNLALDYLNANTVEGNQAVEKLINLNAFDIISLANGFDLSGIFEETSNKTETKFTSTNTVSTIITKLEEIATSLQLKVTKKGGGLLNMESSKPGRKGVMSIDAEVFHVTSNFHLVEIKKTNGDTLEYQKVMKQGMRPALKDIVWAWQGEQPEKEKQQS >PAN45927 pep chromosome:PHallii_v3.1:9:9856658:9857476:1 gene:PAHAL_9G159000 transcript:PAN45927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDENRAGVNLKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVTHRISTGWLKWRQASGVLYDKKVPQRLKGKFYRTANRPAMLYGAECWPTKRRHVQQLSVAEMRMLRLFCGHTRRDRVRNEEIRDRIGVAPIEEKLIQHRLRWFGHVQQRPPEALVRSGVLKRGDNVKSGRGRPRLTWNKTVKRDLKEWNIAKKLAMDRSAWRLAINVPEP >PVH31689 pep chromosome:PHallii_v3.1:9:13846016:13850920:1 gene:PAHAL_9G213800 transcript:PVH31689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKTVKLTGISKISGALALLLKAAIQFIMLVWFLCFKIPRPDVLLVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMEKHGLFSRLGNTICSAMGNADCISVEKEVQDMNTTVFTSKNDGEIFLKPNRPALVVSSTSWTLDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIRRLKLRRVAFRTMWLASEDYPLLLGSADIGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECDALKSLKEGAIDTASSSKWSTEWETNALPLVNQVSG >PAN46778 pep chromosome:PHallii_v3.1:9:13845743:13850920:1 gene:PAHAL_9G213800 transcript:PAN46778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKTVKLTGISKISGALALLLKAAIQFIMLVWFLCFKIPRPDVLLVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMEKHGLFSRLGNTICSAMGNADCISVEKEVQDMNTTVFTSKNDGEIFLKPNRPALVVSSTSWTLDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIRRLKLRRVAFRTMWLASEDYPLLLGSADIGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECDALKSLKEGAIDTASSSKWSTEWETNALPLVNQVSG >PAN46776 pep chromosome:PHallii_v3.1:9:13845745:13850920:1 gene:PAHAL_9G213800 transcript:PAN46776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKTVKLTGISKISGALALLLKAAIQFIMLVWFLCFKIPRPDVLLVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMEKHGLFSRLGNTICSAMGNADCISVEKEVQDMNTTVFTSKNDGEIFLKPNRPALVVSSTSWTLDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIRRLKLRRVAFRTMWLASEDYPLLLGSADIGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECDALKSLKEGAIDTASSSKWSTEWETNALPLVNQVSG >PVH31692 pep chromosome:PHallii_v3.1:9:13846848:13850920:1 gene:PAHAL_9G213800 transcript:PVH31692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKTVKLTGISKISGALALLLKAAIQFIMLVWFLCFKIPRPDVLLVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMEKHGLFSRLGNTICSAMGNADCISVEKEVQDMNTTVFTSKNDGEIFLKPNRPALVVSSTSWTLDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIRRLKLRRVAFRTMWLASEDYPLLLGSADIGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECDALKSLKEGAIDTASSSKWSTEWETNALPLVNQVSG >PVH31690 pep chromosome:PHallii_v3.1:9:13845745:13850920:1 gene:PAHAL_9G213800 transcript:PVH31690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKTVKLTGISKISGALALLLKAAIQFIMLVWFLCFKIPRPDVLLVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMEKHGLFSRLGNTICSAMGNADCISVEKEVQDMNTTVFTSKNDGEIFLKPNRPALVVSSTSWTLDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIRRLKLRRVAFRTMWLASEDYPLLLGSADIGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECDALKSLKEGAIDTASSSKWSTEWETNALPLVNQVSG >PVH31691 pep chromosome:PHallii_v3.1:9:13845742:13850920:1 gene:PAHAL_9G213800 transcript:PVH31691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKTVKLTGISKISGALALLLKAAIQFIMLVWFLCFKIPRPDVLLVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMEKHGLFSRLGNTICSAMGNADCISVEKEVQDMNTTVFTSKNDGEIFLKPNRPALVVSSTSWTLDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIRRLKLRRVAFRTMWLASEDYPLLLGSADIGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECDALKSLKEGAIDTASSSKWSTEWETNALPLVNQVSG >PAN46777 pep chromosome:PHallii_v3.1:9:13846016:13850920:1 gene:PAHAL_9G213800 transcript:PAN46777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKTVKLTGISKISGALALLLKAAIQFIMLVWFLCFKIPRPDVLLVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMEKHGLFSRLGNTICSAMGNADCISVEKEVQDMNTTVFTSKNDGEIFLKPNRPALVVSSTSWTLDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIRRLKLRRVAFRTMWLASEDYPLLLGSADIGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECDALKSLKEGAIDTASSSKWSTEWETNALPLVNQVSG >PVH32261 pep chromosome:PHallii_v3.1:9:51908756:51909250:-1 gene:PAHAL_9G356000 transcript:PVH32261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPIAAYEAHAPLHWDEEEWDFRYQSEDDESLTDGEDLAPLLGAELEEDEDDASWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSDDTSDSGAGAKGDDSFTSDDSGDSDNSSSSDTGDSGPSIVPSPKRRKTSGVYWW >PAN46016 pep chromosome:PHallii_v3.1:9:9804369:9806348:1 gene:PAHAL_9G158000 transcript:PAN46016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCGARGTCASWPRSTATARATGVGTCPGGLAPVSFIKAAAALRLSLSRPDPHAPLPGLIAINLAAPPSIARPVPSPASRRSLHLPSSRAAAKKRSPAAMGEAAAALPVPAQEAAASAPPPWADMETDCLAHVFRRLDLEDLAAAAPLVCRGWRRAAADPSLWRALDLRRDHVARFMPWAPLATAFARRYGVAGFALAGFLRLCVARARGSAEDLALPPLLASPAADLDRISLQCPRLRRLALPQLPAADEARLAELVPRWPLLEHLELDAKPSASFPALAAQLGRHCPRFASLKTSGAVKPEDAAALARSLPGLRSLCLDRSYLPRQELLTILAGCRGLRELSARGCVGFDEGDEEVARRGARIERFDVGGSRLIDELQDELAAGDELCDSSYVDVM >PAN49135 pep chromosome:PHallii_v3.1:9:59452127:59456716:-1 gene:PAHAL_9G428600 transcript:PAN49135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDFLGAFGGGAGRAGSEGARPHPEDAACGAGAGESDDIELSLGLSLGGRFGTEAKRPRLARSSSIASVCSVSSLDGDADPSPAAPLPLLRTSSLPTETEEERWRRREMQSRRRLEARRKRVERRNSMGSSFLPSAPPKPAGEAPPAANGVQLRRSVGSQGSTSVSTTEQGIGGSAVCQSTDAKSPSTSDNTNQNNMLPPPTKAAEKPQNGTSTEQPRLRTLGSLTTRTSSCSDIRKIMMEDMPMVSSKVEGPNARRIDGFLYRYKKGEDVRIVCVCHGSFLTPAEFVKHAGGGDVCNPLRHIVVNPSPFS >PAN45646 pep chromosome:PHallii_v3.1:9:7999592:8003614:-1 gene:PAHAL_9G132600 transcript:PAN45646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGPLENAASAVEAAAAVEAALLPSAAAVAAAAAAAPTAPSAGSYAVLQCGEDSEYVRKAYNGYFQVFRALLEEDGEAWRVYRAPRGELPTDAEAAGFDGFVISGSCADAHGDEPWILALVDLIRRLHAAGKRILGVCFGHQILCRALGGRTGRSAKGWDIGVSCIHPTAAAARLFAPLKLPVHMPVIEFHQDEVWELPPCAEVLARSDKTRVEMFRLGDRVMGVQGHPEYSKDILMSIADRLLQRDLILDCQVDVAKASFDVRQPDKELWKKVCRGFLKGRLQSQQQQQQLKQQAVAL >PAN45769 pep chromosome:PHallii_v3.1:9:8644397:8647529:-1 gene:PAHAL_9G140900 transcript:PAN45769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAGKRKPVSSLLPIWLLCLVCGSSAQPYIGVNYGEVADNLPPPEETARLLKSTAISKVRLYGVDAGLIRALAGSNISVVVGVANGDIPSLAADPAAASRWLAANVLPFVPATAISVVAVGNEVLESGDASLAAALLPAMQNLRAAAAAAGDGAAGVRFSTVNTMGVMAQSDPPSTGAFHPDIAPQLQGILGFLSKTGAPFMINPYPWFAYQSDPRPDTLAFCLFQPNAGRVDAGSKIKYTNMFDAQLDAVKSAMVRAGYGNVDILVAETGWPTRGDAGEPGASVENARAYVSNLVAHLRSGAGTPLMPGKPVETYLFALYDEDLKPGPTSERSFGLYHTDLSMAYDAGLASAAGAAGGAPAAGQPRASGGWCVARDGASDAELQADLDYACAQVGVDCGAIQPGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRASATLTSDNPSYGACVYTGGGQ >PAN44597 pep chromosome:PHallii_v3.1:9:3217319:3218525:1 gene:PAHAL_9G056400 transcript:PAN44597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICTARWRERRVVAINRRKEKQRSELGRQGAVDHKREGRIHPHHQPTATYPFGTEEPTRRTERRGVRRDRAPAGGGGMCEGRRPAWAAGWELRRREAAADAQLAAARARLAEALAELERARARAAELQRRLEQTYGKRRRLVEEARGRIHEIRAQPTASPPPPHADPEAEPDRSAATSSSSS >PAN44598 pep chromosome:PHallii_v3.1:9:3217318:3218743:1 gene:PAHAL_9G056400 transcript:PAN44598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICTARWRERRVVAINRRKEKQRSELGRQGAVDHKREGRIHPHHQPTATYPFGTEEPTRRTERRGVRRDRAPAGGGGMCEGRRPAWAAGWELRRREAAADAQLAAARARLAEALAELERARARAAELQRRLEQTYGKRRRLVEEARGRIHEIRAQPTASPPPPHADPEAEPDRSAATSSSSS >PAN47138 pep chromosome:PHallii_v3.1:9:15871337:15872880:-1 gene:PAHAL_9G236300 transcript:PAN47138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08305 [Source:Projected from Arabidopsis thaliana (AT5G08305) UniProtKB/Swiss-Prot;Acc:P0C8Q7] MPPPPPSPPLPPHLLRHLHGRKLTTELLDPLIRSTSSSPSPSLSFALFILLLRSALRPSHLTFPFLARAAARLSSASLAAALHGHPLRLGLLPADLHVANSLVHAYAACALPDHARRVFDEIPRPNLVSWNALLDGYAKCRDLAAARKVFARMPRRDVVSWSAMIDGCVKCGEHREALAVFEMMENAAAVEEEEGGGGVRANDVTMVSVLSACAHLGDLERGRRVHQCLRDRGFALKLRLATSLVDMYAKCGAIREALEVFRAVPVENTDVLIWNAMIGSLAVHGMGTESLEMFQEMQRAAVAPDEITYLCLLSACVHGRLVDEAWMFFRLLEAQGLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMQPSVSVLGALLNACHLHGWVELGEVVGRQLVQLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGGGISRFIAQDKTHPGSAQIYDLLNLIAMEMKMKDDDAISDYLSAHC >PAN52028 pep chromosome:PHallii_v3.1:9:71955405:71956839:1 gene:PAHAL_9G616000 transcript:PAN52028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPTIIHIDRKVIFHAVAEKLGLYIKKEAVIQIGPSYYIGKLEVAGPYINEAEQLDSKEFYSALTTTADEAIQSVYNEVLKYVDVNKLIAIDGYNYQELQKTKSVLFSSETYLMFSEESEDLMESQLNFKIEGYNSSQHSMLPVKKPPQCYQYQQVIAKESGMIKSNSKLLTMVRFHHQLH >PAN52029 pep chromosome:PHallii_v3.1:9:71953053:71956839:1 gene:PAHAL_9G616000 transcript:PAN52029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPTIIHIDRKVIFHAVAEKLGLYIKKEAVIQIGPSYYIGKLEVAGPYINEAEQLDSKEFYSALTTTADEAIQSVYNEVLKYVDVNKLIAIDGYNYQELQKTKSVLFSSETYLMFSEESEDLMESQLNFKIEGYNSSQHSMLPVKKPPQCYQYQQVIAKESGMIKSNSKLLTMVRFHHQLH >PAN43986 pep chromosome:PHallii_v3.1:9:567338:571342:1 gene:PAHAL_9G009200 transcript:PAN43986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDFVRAQILSRKISTRVFDADPSKEKKKPKEGDNIVQDAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKAIYDIPAIKEDPAKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTNLWEFFREEYDNEKNLVGGALGAKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLMAKIDRPMGVVSFRTAQDSNGVLNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >PVH32807 pep chromosome:PHallii_v3.1:9:64050552:64051685:-1 gene:PAHAL_9G492900 transcript:PVH32807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPRGRSSHRRTRCKCLGGGKGERGTPCCTFNPLRSLFRCPGGHGRSRSRGKHRQRTPSRVRDAPAAGGVQLQQGQQEEEPSFFVYAMPNQGGFGGGGGGGAERKKKKHRKPRMPSFGSCFRSRKKKKERKQARAAASTASAPRAALTPASSLLTHPPGSPSLPEKTQAPTPPMTQPPSPAPTENGSTVNSPAPPGRQPATPRPGKQPTDSPRSPFAPQQQKQVEGLEIVEVATGERLSAHELGLIEMVGSSTDGSAESSVKSSLEYVNENEPPPPQPPAKRTVVGREAEVVKVQEAPKLWLNGKPAEPRAWERFAKPLVAAEAEELWAHDVACSRVHATMLAETVSSSSSLTSVYVELNCFSDRDYSKLFSHFS >PAN46852 pep chromosome:PHallii_v3.1:9:14341111:14341474:-1 gene:PAHAL_9G219800 transcript:PAN46852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDPAADPLLLPPLRHHPGALSLSRRVAMARDATAAAVPALRPAWLLDLLPLLVVLLIAAHVLALGYWIYRLATDGSRQPARSKKH >PAN49648 pep chromosome:PHallii_v3.1:9:61938515:61943483:-1 gene:PAHAL_9G463300 transcript:PAN49648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFHSSWLPNQTHPQSLGSLVPRPDRQAAAPPCLEADAVRARGPGGLSWTACEAASTRAGAQDIRMDEVTQAVENLKKEWSQAVSQLEESIAAIKSCGKSGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGQATLESWDEQYKKLRASLRNANLQAKENIRKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQMMVQEVERSASTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRVILTVGFTFFSLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDIVAKAQPGPAAANVPAPAPPIYDEL >PVH31567 pep chromosome:PHallii_v3.1:9:11372578:11374407:1 gene:PAHAL_9G180100 transcript:PVH31567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVETLCGQAYGAHRYEMLGFYMQRSFVLLAATGVPLAAIYVFSDRILVLLGEPARIAAAARVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHLALSYLAVYRLGLGLLGASLVLSLSWWVVVAGQFAYIATSRRCRLTWTGFSCRAFSGLPEFLRLSAASAVMLCLETWYAQITVLIAGLLKDPEIALDSLAVCMSVAGWSFMVSVGFNAAASVRVSNELGAGHAKAASFSVKVVTAVSAAVACAIAAAVLCLRDRIGYVFTRGDDVARAASAMAPLLAVTIVLDGIQPVLSGVAVGCGWQAFVAYVNIACYYGIGIPLGCVLGFHFDLGAMGIWGGMIGGLVAQTLTLIWITLRTDWNKEVLCLFITVGSSRKYSGLE >PAN46358 pep chromosome:PHallii_v3.1:9:11371755:11375217:1 gene:PAHAL_9G180100 transcript:PAN46358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGDDQQHAPLLSPDGEGGSGSEGGAAAAPSPGEGGGGGGGGHGVSGELERILSDESAPPASRLARAARAELRLLVALAAPACAVYMINYLMSMSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGFYMQRSFVLLAATGVPLAAIYVFSDRILVLLGEPARIAAAARVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHLALSYLAVYRLGLGLLGASLVLSLSWWVVVAGQFAYIATSRRCRLTWTGFSCRAFSGLPEFLRLSAASAVMLCLETWYAQITVLIAGLLKDPEIALDSLAVCMSVAGWSFMVSVGFNAAASVRVSNELGAGHAKAASFSVKVVTAVSAAVACAIAAAVLCLRDRIGYVFTRGDDVARAASAMAPLLAVTIVLDGIQPVLSGVAVGCGWQAFVAYVNIACYYGIGIPLGCVLGFHFDLGAMGIWGGMIGGLVAQTLTLIWITLRTDWNKEVLCLFITVGSSRKYSGLE >PVH31566 pep chromosome:PHallii_v3.1:9:11371755:11375216:1 gene:PAHAL_9G180100 transcript:PVH31566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGDDQQHAPLLSPDGEGGSGSEGGAAAAPSPGEGGGGGGGGHGVSGELERILSDESAPPASRLARAARAELRLLVALAAPACAVYMINYLMSMSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGFYMQRSFVLLAATGVPLAAIYVFSDRILVLLGEPARIAAAARVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHLALSYLAVYRLGLGLLGASLVLSLSWWVVVAGQFAYIATSRRCRLTWTGFSCRAFSGLPEFLRLSAASAVMLCLETWYAQITVLIAGLLKDPEIALDSLAVCMSVAGWSFMVSVGFNAAASVRVSNELGAGHAKAASFSVKVVTAVSAAVACAIAAAVLCLRDRIGYVFTRGDDVARAASAMAPLLAVTIVLDGIQPVLSGIIISIDFGALKSKSCCCCVVLIIAWNFLIHYYSLEFAICLRLGIFRRCGGWLRLAGVRGVCEHRVLLRHRDPPRLRPGVSL >PAN46359 pep chromosome:PHallii_v3.1:9:11371755:11375217:1 gene:PAHAL_9G180100 transcript:PAN46359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGDDQQHAPLLSPDGEGGSGSEGGAAAAPSPGEGGGGGGGGHGVSGELERILSDESAPPASRLARAARAELRLLVALAAPACAVYMINYLMSMSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGFYMQRSFVLLAATGVPLAAIYVFSDRILVLLGEPARIAAAARVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHLALSYLAVYRLGLGLLGASLVLSLSWWVVVAGQFAYIATSRRCRLTWTGFSCRAFSGLPEFLRLSAASAVMLCLETWYAQITVLIAGLLKDPEIALDSLAVCMSVAGWSFMVSVGFNAAASVRVSNELGAGHAKAASFSVKVVTAVSAAVACAIAAAVLCLRDRIGYVFTRGDDVARAASAMAPLLAVTIVLDGIQPVLSGVAVGCGWQAFVAYVNIACYYGIGIPLGCVLGFHFDLGAMGIWGGMIGGLVAQTLTLIWITLRTDWNKEVEQARMRLNKWEDKKKPLLAED >PVH31568 pep chromosome:PHallii_v3.1:9:11371755:11375217:1 gene:PAHAL_9G180100 transcript:PVH31568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVETLCGQAYGAHRYEMLGFYMQRSFVLLAATGVPLAAIYVFSDRILVLLGEPARIAAAARVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHLALSYLAVYRLGLGLLGASLVLSLSWWVVVAGQFAYIATSRRCRLTWTGFSCRAFSGLPEFLRLSAASAVMLCLETWYAQITVLIAGLLKDPEIALDSLAVCMSVAGWSFMVSVGFNAAASVRVSNELGAGHAKAASFSVKVVTAVSAAVACAIAAAVLCLRDRIGYVFTRGDDVARAASAMAPLLAVTIVLDGIQPVLSGVAVGCGWQAFVAYVNIACYYGIGIPLGCVLGFHFDLGAMGIWGGMIGGLVAQTLTLIWITLRTDWNKEVEQARMRLNKWEDKKKPLLAED >PAN48823 pep chromosome:PHallii_v3.1:9:57650157:57651464:1 gene:PAHAL_9G408500 transcript:PAN48823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASAVRVIGLWASPFVIRVLIALKLKGVEYEFVEEVVGKKSELLLRSNPVHKKIPVLLHHGKPISESLVIVQYVDEAWSSAAPAILPADPYTRAIHRFWAQYIDDKLPPAIRTLKGTDDGDEEQAAGQLSAALQLVEAAFVELSQGKSYFGGDAVGYLDIALVSHVGWVKAVEKIAGVALLDEAKVPNLAAWAGRLCAHAAVADAIPDADKLVEFSVKYGSFSKPINSGAK >PAN47177 pep chromosome:PHallii_v3.1:9:16166478:16167843:-1 gene:PAHAL_9G239700 transcript:PAN47177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPAALLSSHGGAGGRSIPQALTTALVCPLSKKRLKYREARGSLVSDAVGVAFPVGWNPLSCTKRRKVSQMTTKRNQNEIQAPGTLLVEFLL >PAN43936 pep chromosome:PHallii_v3.1:9:363841:365814:1 gene:PAHAL_9G004300 transcript:PAN43936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 20 oxidase 1 (EC 1.14.11.-) (Gibberellin C-20 oxidase 1) (GA 20-oxidase 1 [Source: Projected from Oryza sativa (Os03g0856700)] MVEAAHHQQPPALVFDAARLSSQADIPQQFLWPADESPTPDAAEELPVPLIDLSGGAADAEVVRQVGEACELHGFFQVVSHGIDQALLAEAHRCMDAFFTLPLHEKQRAQRRQGESCGYASSFTGRFASKLPWKETLSFRYHSAAAAAADGGVADYFVEKLGEEYRHHGEVYGRYCSEMSRLSLEIMEVLGASLGVGRAHFRRFFEGNDSIMRLNYYPPCQRPYETLGTGPHCDPTSLTILHQDDVGGLQVFAAGRWLSIRPHAGAFVVNIGDTFMALSNGRYRSCLHRAVVNSRVPRRSLAFFLCPEMDKVVRPPGELVDANSPREYPDFTWRALLDFTMRHYRADMRTLEAFSNWIRHGHGHHL >PAN48049 pep chromosome:PHallii_v3.1:9:37426856:37431129:-1 gene:PAHAL_9G315800 transcript:PAN48049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLLFLVSGSLLLPSLGLAVLGQEGRQLVHLNEPSQAGVHVSVAHKDLPMVASSVLGAESWLRTHVLAHYPSEHITAIVVGRGVTCNHGQELVWLRLSHAIKNLHHSLVRWGLVDDIKVTSDSPLCARDIVVLQRRLYGRHHLPSSAMFPPLQPPVASTYMSPPAGVPLSFAPNYPPEVVPAVPPTEVVPPHSPIFAPASPPPVVSATPPLTMPSTSPTSTPASPPEVTGGMAPSAMSPPCLAPPTATMSPPPWSGGGNGGGLWCVAKPTVPEDKLQEAMDYACSQDGVNCQEIAAGGSCFYPDNIASHASYAFNSYWQKMKQIGGSCNFGGYLQCRFMLS >PAN48046 pep chromosome:PHallii_v3.1:9:37427736:37430963:-1 gene:PAHAL_9G315800 transcript:PAN48046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLLFLVSGSLLLPSLGLAVLGQEGRQLVHLNEPSQAGVHVSVAHKDLPMVASSVLGAESWLRTHVLAHYPSEHITAIVVGRGVTCNHGQELVWLRLSHAIKNLHHSLVRWGLVDDIKVTSDSPLCARDIVVLQRRLYGRHHLPSSAMFPPLQPPVASTYMSPPAGVPLSFAPNYPPEVVPAVPPTEVVPPHSPIFAPASPPPVVSATPPLTMPSTSPTSTPASPPEVTGGMAPSAMSPPCLAPPTATMSPPPWSGGGNGGGLWCVAKPTVPEDKLQEAMDYACSQDGVNCQEIAAGGSCFYPDNIASHASYAFNSYWQKMKQIGGSCNFGGTALLINSDPSYLQCRFMLS >PAN47844 pep chromosome:PHallii_v3.1:9:37427736:37430963:-1 gene:PAHAL_9G315800 transcript:PAN47844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLLFLVSGSLLLPSLGLAVLGQEGRQLVHLNEPSQAGVHVSVAHKDLPMVASSVLGAESWLRTHVLAHYPSEHITAIVVGRGVTCNHGQELVWLRLSHAIKNLHHSLVRWGLVDDIKVTSDSPLCARDIVVLQRRLYGRHHLPSSAMFPPLQPPVASTYMSPPAGVPLSFAPNYPPEVVPAVPPTEVVPPHSPIFAPASPPPVVSATPPLTMPSTSPTSTPASPPEVTGGMAPSAMSPPCLAPPTATMSPPPWSGGGNGGGLWCVAKPTVPEDKLQEAMDYACSQDGVNCQEIAAGGSCFYPDNIASHASYAFNSYWQKMKQIGGSCNFGGTALLINSDPSYLQCRFMLS >PAN48048 pep chromosome:PHallii_v3.1:9:37427331:37431129:-1 gene:PAHAL_9G315800 transcript:PAN48048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLLFLVSGSLLLPSLGLAVLGQEGRQLVHLNEPSQAGVHVSVAHKDLPMVASSVLGAESWLRTHVLAHYPSEHITAIVVGRGVTCNHGQELVWLRLSHAIKNLHHSLVRWGLVDDIKVTSDSPLCARDIVVLQRRLYGRHHLPSSAMFPPLQPPVASTYMSPPAGVPLSFAPNYPPEVVPAVPPTEVVPPHSPIFAPASPPPVVSATPPLTMPSTSPTSTPASPPEVTGGMAPSAMSPPCLAPPTATMSPPPWSGGGNGGGLWCVAKPTVPEDKLQEAMDYACSQDGVNCQEIAAGGSCFYPDNIASHASYAFNSYWQKMKQIGGSCNFGGTALLINSDPSYLQCRFMLS >PAN48047 pep chromosome:PHallii_v3.1:9:37426855:37431129:-1 gene:PAHAL_9G315800 transcript:PAN48047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLLFLVSGSLLLPSLGLAVLGQEGRQLVHLNEPSQAGVHVSVAHKDLPMVASSVLGAESWLRTHVLAHYPSEHITAIVVGRGVTCNHGQELVWLRLSHAIKNLHHSLVRWGLVDDIKVTSDSPLCARDIVVLQRRLYGRHHLPSSAMFPPLQPPVASTYMSPPAGVPLSFAPNYPPEVVPAVPPTEVVPPHSPIFAPASPPPVVSATPPLTMPSTSPTSTPASPPEVTGGMAPSAMSPPCLAPPTATMSPPPWSGGGNGGGLWCVAKPTVPEDKLQEAMDYACSQDGVNCQEIAAGGSCFYPDNIASHASYAFNSYWQKMKQIGGSCNFGGYLQCRFMLS >PAN48157 pep chromosome:PHallii_v3.1:9:53176664:53177340:-1 gene:PAHAL_9G364200 transcript:PAN48157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAIFTKGGNNGYEMQDHRMTVQINIDSQVSSIRVFLQHLEIWESSVHSDKDISTSALEQRFG >PAN51970 pep chromosome:PHallii_v3.1:9:73186201:73188227:1 gene:PAHAL_9G633600 transcript:PAN51970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGRKNLRRACDEGAAVTLAEGESIMQVLTLRGSNVIEVMDGEGVKSLALFPAKFQKSFWIKSGSFVVVDASGRDQALESGSKIACVVSQVLFHEQVRALEKSGNWPAIFKSTPNKGSEAGAEAQTAQADAGAQAQTAQTDEGPDSDEDDDLPPLEANTNRNRPYELYSDSDSGSDS >PVH33240 pep chromosome:PHallii_v3.1:9:71282808:71283605:1 gene:PAHAL_9G605300 transcript:PVH33240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGSSLNCRTRGFGVIGGWSFCVGSSHQCPHLLCTSFLEEVNVYATSRYDVMYCTSQS >PAN45191 pep chromosome:PHallii_v3.1:9:5820723:5821663:1 gene:PAHAL_9G100500 transcript:PAN45191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPVEDGAGDEATYYSCHVAWLAWWNNVRCVLASTFLQCPPAPATAKSATVRGTLFLHSTGDRRVRLFLQDHGGAAEPQPADDEHFLVLDLPSGLGGADIAAAGRIVLEYQRQWTPAAAPGALLASPKWLVYCNGRRVGYAARREAPSDAEGWVLEKLRAVTAGAGRLPGGGVEYLRGRFERIVGSPDAESFHLLEPIGWPEVNGGGGGDGGLSIFFHRI >PAN45222 pep chromosome:PHallii_v3.1:9:5982037:5983063:-1 gene:PAHAL_9G103100 transcript:PAN45222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTRQQPAAGSRRTRRAEHEQSPAALAESPRIVSNPIFRCEAGPSQPKPPPPGDQLRCVYGPNSLYALVHDPASASAASGGIGKPLPLPPCRAHRAGPETGSRVPASRTGPARVAGGPHGRALRRAPPDPFLAAYVACSKSAGGGKDATAAGADRPQQKQKKQGNKKKKKRAAKKKVKQGEEEVVRGCGGIWSGRWAAGAKYAGAMSCKHGCAVADQQQVMDAPATAAKKEAGPTLDLSWAPAVLSARALERRREQR >PAN51052 pep chromosome:PHallii_v3.1:9:68934367:68938689:-1 gene:PAHAL_9G566400 transcript:PAN51052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREWQFGTYWLLASGRTMKLRTKRPEWKSLMPLQLSRKSAMRFFLFPKVQSAGQSPDDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDFPTSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQSEDSEKRRLRNPFSCFSSISSQRQLPSSSPFPPSPVKERLPHCSSRKSSTASLRNR >PAN51054 pep chromosome:PHallii_v3.1:9:68934286:68938755:-1 gene:PAHAL_9G566400 transcript:PAN51054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTKRPEWKSLMPLQLSRKSAMRFFLFPKVQSAGQSPDDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDFPTSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQSEDSEKRRLRNPFSCFSSISSQRQLPSSSPFPPSPVKERLPHCSSRKSSTASLRNR >PVH32954 pep chromosome:PHallii_v3.1:9:66723142:66723384:-1 gene:PAHAL_9G532600 transcript:PVH32954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKSMVAAIMFLVMCVVSAVATGGDKLPASLDILQRQWPENAADVGFDCYTMCTAGCFAVGMTGDYCTMVCQDERAEDA >PAN51644 pep chromosome:PHallii_v3.1:9:71580012:71581615:1 gene:PAHAL_9G609500 transcript:PAN51644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISSLMLQEGWRKGPWTALEDRLLTEYVQQHGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQEQRRQYLQSLHLLQQQQQLQLLQQQSQQLMVSQQQSPPEPDHQAVMAMMNNDGLQDCSPASTTEHCTLPDDDDALLWDSLWRLVDGDGCGEGSSGGEY >PAN45637 pep chromosome:PHallii_v3.1:9:7939942:7943917:1 gene:PAHAL_9G132000 transcript:PAN45637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHLALRSSVGSRSSALPSHHQRLPPAHDPLASFWIRRLHLTPNTPPPPPPPRPPPLLLPRRATPPSHQDAVSTDESRTPPPPPPPRSAGFGPLRWSPRPLRSAPQAGAWDAAAAVGGSADGTVGAGGPPMLSPFFRLPAPPPVTPVADFGEVAPARPLIGLGSHRGSSGFPGLSPPMAGGGDPCATWLAARAAGAAYPNHALDMVPIRTLNDLHDRQHGVIPARPNLARHDPSSSSQHDEPFSYWNMGRFQRNTTSSLITPISVAPASFGTKRNADSNNFIPLKLRKLSRAS >PAN44580 pep chromosome:PHallii_v3.1:9:3158959:3160436:-1 gene:PAHAL_9G055500 transcript:PAN44580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEYNGSSEMSVGQPKAVGRKQLRRGTKRRFVMTHDNQEGTNGGNMVPFSAALRDEENVGEVIHCPSVTINVGEIAISEAAYGSKVPISNPAGDFCWPVVATNDDEENIGKVAYDSILNLESPDPTTLLRIMMGNKYSTDEVSAQFQNVARLQAPEFTTLLTIMNNAGYGETTDDGHYDVYKVMTNLEGW >PAN49923 pep chromosome:PHallii_v3.1:9:63455969:63459175:-1 gene:PAHAL_9G485000 transcript:PAN49923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQGIAEALQAYTGLTPGAAATILALMLATYLLVSSLFVAPAAPSPASPPKQPEQQREEERKEKEQEEEEEAPMPFVFPDPVEVGEVTLEQLRAYDGKDPAKQILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDATRALALMSFDPNDLTGDLDGLSPDELEVLQDWEEKFKERYPRVGHLARQDAAGSDA >PAN47387 pep chromosome:PHallii_v3.1:9:17876202:17880020:1 gene:PAHAL_9G254200 transcript:PAN47387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSRPPPPTGTGVRVRAPLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPEVKQSKRKSRGSRKERCRTQAPLLPGLPDDLAIACLIRVPRVEHPNLRMVCRRWNRLLSGNYFYSLRKKIGVAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPSEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLQSAEVYDPNRNRWACITEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNAWSTIDDEMVTGWRNPSISFNGRLYSADCRDGCKLRVYDDSTGTWTRFMDSKHHLGSSRAFEAAALVSLNGKLCIIRNNMSITLVDVSDPTMSVETDSARMWETVARKGQHRSFVANLWSTIAGRNLKSHIIHCQVLQV >PAN49933 pep chromosome:PHallii_v3.1:9:63503728:63506186:-1 gene:PAHAL_9G485900 transcript:PAN49933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >PAN44221 pep chromosome:PHallii_v3.1:9:1472159:1477332:1 gene:PAHAL_9G026000 transcript:PAN44221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLALSLRLAPSSSAPLSLHRRRRGAGVLTCRATATFHQLDAVAVREEEAKFKSSAKEGCNLLPLKRCIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEQGSEGTNVGRYSVVGAQPAMEIVAKANHVTVMDHEMKSRREHFVPDPMNIPRSIMEQWNPHITDGLPDAFSGGWVGFFSYDTVRYVETKKLPFSKAPHDDRNLPDIHLGLYNDVIVFDHVEKKTHVIHWVRVDCYHSVDEAYEDGTNQLEALLSRLHCLNIPTLSSGSIKLNVGHFGSALQKSSMSCEEYKHAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRVQKRTIINRPLAGTIRRGKTKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDELEVNMRGPYSGGFGGISFCGDMDIALALRTIVFPTGSRFDTMYSYTDGNPRQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGAARAIDLAESTFLDE >PAN46983 pep chromosome:PHallii_v3.1:9:14981074:14985392:-1 gene:PAHAL_9G228800 transcript:PAN46983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFVMNWIKTSSNEICSDDISSPIAAHILDFCDDGSGGDLFAAVNAASDMFTASSEDASSSSVTTPPAPCSHGDNVSSGATAAASAFSPMPSLDSTLSALLEEDDPPVPDTEILLPIDYQFAAAVAGDEPQPEQQFGQVPVVLPLAAAAEQPALQTQMSSTASELMHLTSSAYSDECFAAAMAGGGYVGLDEALCQQQQQPPQPGALLPAGVMETAAQGCFFGKDAAAQGGFFGAGCTGMVMSMMGMEEIGEYQQMMESASAALAATHSPDADSAAVAQMAFGVNAGEMQMGCGMSPGRLPAGAAATEASSLEDASFKIAKISVEERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGEPSRAMQSHDEYDQIAGLKEEDMLDTDALQAHLSGMNSYMYNHTVESWM >PVH31773 pep chromosome:PHallii_v3.1:9:14981369:14982911:-1 gene:PAHAL_9G228800 transcript:PVH31773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTSSWLPSEFSQDDISSPIAAHILDFCDDGSGGDLFAAVNAASDMFTASSEDASSSSVTTPPAPCSHGDNVSSGATAAASAFSPMPSLDSTLSALLEEDDPPVPDTEILLPIDYQFAAAVAGDEPQPEQQFGQVPVVLPLAAAAEQPALQTQMSSTASELMHLTSSAYSDECFAAAMAGGGYVGLDEALCQQQQQPPQPGALLPAGVMETAAQGCFFGKDAAAQGGFFGAGCTGMVMSMMGMEEIGEYQQMMESASAALAATHSPDADSAAVAQMAFGVNAGEMQMGCGMSPGRLPAGAAATEASSLEDASFKIAKISVEERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGEPSRAMQSHDEYDQIAGLKEEDMLDTDALQAHLSGMNSYMYNHTVESWM >PVH31772 pep chromosome:PHallii_v3.1:9:14981066:14983413:-1 gene:PAHAL_9G228800 transcript:PVH31772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISICTLQDDISSPIAAHILDFCDDGSGGDLFAAVNAASDMFTASSEDASSSSVTTPPAPCSHGDNVSSGATAAASAFSPMPSLDSTLSALLEEDDPPVPDTEILLPIDYQFAAAVAGDEPQPEQQFGQVPVVLPLAAAAEQPALQTQMSSTASELMHLTSSAYSDECFAAAMAGGGYVGLDEALCQQQQQPPQPGALLPAGVMETAAQGCFFGKDAAAQGGFFGAGCTGMVMSMMGMEEIGEYQQMMESASAALAATHSPDADSAAVAQMAFGVNAGEMQMGCGMSPGRLPAGAAATEASSLEDASFKIAKISVEERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGEPSRAMQSHDEYDQIAGLKEEDMLDTDALQAHLSGMNSYMYNHTVESWM >PAN46978 pep chromosome:PHallii_v3.1:9:14981066:14983870:-1 gene:PAHAL_9G228800 transcript:PAN46978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDDHPFADDISSPIAAHILDFCDDGSGGDLFAAVNAASDMFTASSEDASSSSVTTPPAPCSHGDNVSSGATAAASAFSPMPSLDSTLSALLEEDDPPVPDTEILLPIDYQFAAAVAGDEPQPEQQFGQVPVVLPLAAAAEQPALQTQMSSTASELMHLTSSAYSDECFAAAMAGGGYVGLDEALCQQQQQPPQPGALLPAGVMETAAQGCFFGKDAAAQGGFFGAGCTGMVMSMMGMEEIGEYQQMMESASAALAATHSPDADSAAVAQMAFGVNAGEMQMGCGMSPGRLPAGAAATEASSLEDASFKIAKISVEERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGEPSRAMQSHDEYDQIAGLKEEDMLDTDALQAHLSGMNSYMYNHTVESWM >PAN51322 pep chromosome:PHallii_v3.1:9:70159174:70160248:1 gene:PAHAL_9G585400 transcript:PAN51322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAMEAVCRDCAPYSHGAVLRFRLGLSRILAGLARALR >PAN51818 pep chromosome:PHallii_v3.1:9:70600587:70600910:1 gene:PAHAL_9G594300 transcript:PAN51818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLMTAVAKILDRDMSNWNDLLTELATDINLGSYHKLRVTYWDKMSRNYDEITFDQKLLHAIDMYWEIRRLSLQVCVIKTNDSEFIHDVGRQQSMLCVLQGGQTN >PAN48291 pep chromosome:PHallii_v3.1:9:54311398:54327174:1 gene:PAHAL_9G373500 transcript:PAN48291 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ homolog subfamily C GRV2 [Source:Projected from Arabidopsis thaliana (AT2G26890) UniProtKB/Swiss-Prot;Acc:F4IVL6] MDFASRHAAAEAATSAAASAAAPAVVAEEPEYLARYLVVKHSWRGRYRRILCIATSGVVTLDPTTLNLTNSYDAGTEFDQAEAHTTTDEFALAVRTDGRGKFKPMRFSSPLRPGILTELHRLRPVHPAVDFPVLHLRRRTHEWAPFKLKVTSVGVELLEASGDMRWCLDFRDMNSPAIILLGDSYGRKTTEGGGFVLCPLYGRKSKAFMAASGTTNTAIISQLTKTARSRIGLSLSVDNSQSMKAADFIAKRAMEAVGAAETRHGEWSVTRLRSAAHGTASIESLGLGVGPRGGLGEQGDSVSRLLVLTNTSLVERRPENYEAVIVRPLSAVNALVRFAEEPQMFAFEFNDGCPIHVYASTSRDNLLATVLDVLQTQRQCAIPVLPRLTMPGHRIDPPCGVAHHQIPHHGTFDMEAATMHIKHLAAVAKEAVASSDTVPGAKIRLWRRIREFNACVPYTGVPINIEVPEVVLMALISLLPNPQHGSPTDALPLPPPSPKAAATIMGFVACLRRLLASRSVASHVMAFPAAVVRIMGLLRNGSEGVAAEASGLVAMLIGGGPGDASMLVDTRGESHATHMHAKSVLFSQPIYVPVLVNRLKPISVSPLLSLSVVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQSQYDEAPLSRRQRRILQQRRARGSKSMAAQEQGIPSNGVDDGELFRHTSVGTYGGADVHQRHVGQYSSAHSPSAGINIDPSHAVSVPHGSVPESLSENNHQFGAPQLDSHVYSVDSNANGNLVSSSHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELKEALQAEVHNLDVEKERTDDIVPGGSVTEDAGGSDNLPRISWNYAEFSVRYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRELCSRAMAIVYEQHYKTIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDLLTVAHETSERTAIPLQSNLIAATAFTEPSKEWMYIDKDGTQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWTLSVRVPVLTPTQIGEAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQVMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAENLIHQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLDDLVVGENGSSKQASGLSSANSGNKIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLKAQCILYKRYGHVLKPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTLANEPAARIVTNIMHTFSVLSEFESGRVEILKFGGLVEDIVHCTELEFVPSAVDAALLTSANISVSSELQDALLRAGFLWYVLPLLLQYDSTAEENETSEAHGARVQIAKNLHAVRAIEALSRICGLSSNEIPCPSNKPAYHALKALLTPKLADMLRNHPPKDLLLNLNSNLESPEIIWNSTTRGELLKFVDQQRASQDPDGSYDLTESQSFTYESLSKELNVGNVYLRVYNNQPDFEISDQEEFCIALLKFIAELVQQWNSINLEENTMHQHVSLVDTSTSENCKVGDSTDEGKMDGSSGKQSTGTDGDSKVITNLQSGLTSLQNLLTSNPGLAAVFTSKERLIPLFECLALHVPPESNIPQICLSVLSLLTKHAPCLEAMVAERMSLILLFQILHCNPPCRDGALAVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIKDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLATMASDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQATSGSQAESSEHDNSGQPEANVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCARVREVLNTSDVWGAYKDQKHDLFLPSNAQSSAAGVAGLIENSSSRLTYALTAPPPQPALVRLPSSAPPPPSAPANPSGRHSYHRS >PVH32332 pep chromosome:PHallii_v3.1:9:54314338:54327174:1 gene:PAHAL_9G373500 transcript:PVH32332 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ homolog subfamily C GRV2 [Source:Projected from Arabidopsis thaliana (AT2G26890) UniProtKB/Swiss-Prot;Acc:F4IVL6] MPGHRIDPPCGVAHHQIPHHGTFDMEAATMHIKHLAAVAKEAVASSDTVPGAKIRLWRRIREFNACVPYTGVPINIEVPEVVLMALISLLPNPQHGSPTDALPLPPPSPKAAATIMGFVACLRRLLASRSVASHVMAFPAAVVRIMGLLRNGSEGVAAEASGLVAMLIGGGPGDASMLVDTRGESHATHMHAKSVLFSQPIYVPVLVNRLKPISVSPLLSLSVVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQSQYDEAPLSRRQRRILQQRRARGSKSMAAQEQGIPSNGVDDGELFRHTSVGTYGGADVHQRHVGQYSSAHSPSAGINIDPSHAVSVPHGSVPESLSENNHQFGAPQLDSHVYSVDSNANGNLVSSSHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELKEALQAEVHNLDVEKERTDDIVPGGSVTEDAGGSDNLPRISWNYAEFSVRYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRELCSRAMAIVYEQHYKTIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDLLTVAHETSERTAIPLQSNLIAATAFTEPSKEWMYIDKDGTQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWTLSVRVPVLTPTQIGEAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQVMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAENLIHQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLDDLVVGENGSSKQASGLSSANSGNKIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLKAQCILYKRYGHVLKPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTLANEPAARIVTNIMHTFSVLSEFESGRVEILKFGGLVEDIVHCTELEFVPSAVDAALLTSANISVSSELQDALLRAGFLWYVLPLLLQYDSTAEENETSEAHGARVQIAKNLHAVRAIEALSRICGLSSNEIPCPSNKPAYHALKALLTPKLADMLRNHPPKDLLLNLNSNLESPEIIWNSTTRGELLKFVDQQRASQDPDGSYDLTESQSFTYESLSKELNVGNVYLRVYNNQPDFEISDQEEFCIALLKFIAELVQQWNSINLEENTMHQHVSLVDTSTSENCKVGDSTDEGKMDGSSGKQSTGTDGDSKVITNLQSGLTSLQNLLTSNPGLAAVFTSKERLIPLFECLALHVPPESNIPQICLSVLSLLTKHAPCLEAMVAERMSLILLFQILHCNPPCRDGALAVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIKDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLATMASDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQATSGSQAESSEHDNSGQPEANVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCARVREVLNTSDVWGAYKDQKHDLFLPSNAQSSAAGVAGLIENSSSRLTYALTAPPPQPALVRLPSSAPPPPSAPANPSGRHSYHRS >PAN51873 pep chromosome:PHallii_v3.1:9:72624128:72628836:-1 gene:PAHAL_9G626100 transcript:PAN51873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] MQGGADQGGGMEMSFAGGAECSSSSATAAAAAAAAAASEAEERQLLKGEIAVHPLCEQLVTAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKHDLDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDEPPMLEGALDMGSDGHDMMGFGPLLPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >PAN47469 pep chromosome:PHallii_v3.1:9:31327820:31330083:1 gene:PAHAL_9G294000 transcript:PAN47469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKKQVEESLPEWRDKFLAYKRLKRLVRLVPSSPPPRRRAAEAAFVRLLDGEVDRFNAFFLEQEEDFVIRHRELQEAVKKVVAGDREAAAEVRRVRREVVDLHGEMVLLLNYSAINYTGLAKILKKYDKRTGRLLRLPFIEKVLGQPFFATELISRLVHECEATMEAVFEAGGGRSGAGATPVAAAATEQGIFRNTIAALVTMGELRSGSSTYGHFSLPPMASPESDLLRCLQVADPVPI >PAN47468 pep chromosome:PHallii_v3.1:9:31327820:31330083:1 gene:PAHAL_9G294000 transcript:PAN47468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKKQVEESLPEWRDKFLAYKRLKRLVRLVPSSPPPRRRAAEAAFVRLLDGEVDRFNAFFLEQEEDFVIRHRELQEAVKKVVAGDREAAAEVRRVRREVVDLHGEMVLLLNYSAINYTGLAKILKKYDKRTGRLLRLPFIEKVLGQPFFATELISRLVHECEATMEAVFEAGGGRSGAGATPVAAAATEQGIFRNTIAALVTMGELRSGSSTYGHFSLPPMASPESDLLRCLQVADPVPI >PAN51709 pep chromosome:PHallii_v3.1:9:71853947:71856779:1 gene:PAHAL_9G614200 transcript:PAN51709 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MTPAAISHHHHRRLLLPFPSPIQTLNPFATSSPRPRHQLPQPITLSLSPRPAFSSAFAVAAVDDDEDVVIGDCLVFDDDAFEEPDLDLPSSPAPPSASRYGRRAEASNGSSLVPEKWRDAEEEINLTKKEKRRISHGLRFGSRLERRAPPAVVAPDEFRAYRKGTLQAESEHVANVYRGPLERTLPPAEKVEEPPPPEPGTRVAPKNPRMGIDVGSLEDISEFFSNREYVQGEMEDSKSPKNRQKLFSNEEKVLLNKRVPDLEAAASSKWLPLHTLAASGDFYLLDSLLKHNVDINVLDKDGLPAIQKAILSKKAAIINYLLRNSANPFIQDKDGATLMHYAVQTACSQTIKTLLLYNVDINHPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTKDGLTPLDLCLHLGHHVQTYELIKLLKSFRGQKQHASVYLEGV >PAN51710 pep chromosome:PHallii_v3.1:9:71853878:71857941:1 gene:PAHAL_9G614200 transcript:PAN51710 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MTPAAISHHHHRRLLLPFPSPIQTLNPFATSSPRPRHQLPQPITLSLSPRPAFSSAFAVAAVDDDEDVVIGDCLVFDDDAFEEPDLDLPSSPAPPSASRYGRRAEASNGSSLVPEKWRDAEEEINLTKKEKRRISHGLRFGSRLERRAPPAVVAPDEFRAYRKGTLQAESEHVANVYRGPLERTLPPAEKVEEPPPPEPGTRVAPKNPRMGIDVGSLEDISEFFSNREYVQGEMEDSKSPKNRQKLFSNEEKVLLNKRVPDLEAAASSKWLPLHTLAASGDFYLLDSLLKHNVDINVLDKDGLPAIQKAILSKKAAIINYLLRNSANPFIQDKDGATLMHYAVQTACSQTIKTLLLYNVDINHPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTKVTMCRHMSLSNYLKASEDKNSMLQFTWRVYDQLESMRFMAEIGLLGDTF >PAN47132 pep chromosome:PHallii_v3.1:9:15850025:15858749:-1 gene:PAHAL_9G236100 transcript:PAN47132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLCGRAEVEVYIKSLASSGSRSSSRISKNCNRNSWALGCQPGWACMSLSDESSDESVPSRTLNCRPCCPGFFCPRGLTCMIPCPLGAYCPLGTLNDTTGLCDPYFYQITPGMNTACGTADSWADIVRTNDVFCPPGHYCPTTTQKHNCSDGYYCRKGSTNEKKCFWKNTCKDNAIKEDLTLYGVILIAILSFVLLLVYNCSGLFITIQVKMSSRARKKAAKKANKSAAARERWKLAKELAIRHEVEMPESFNTPQQSATSSNGGLNGAEANANGSKNHENLTQVHTERFGRAYNQIGTDRTMKTNNDKLSFAGVVSLATEDRPQRPMLEVAFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTSGYKKDGLVLINGIPESMQSYKKIVGFVPQDDIVHGNLTVEENLRFSSCCRLSKGMSRSHKVRVLERVIESLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALEGVNVCAVVHQPSYTLFNMFDDFVLLARGGLIAYHGPVSEVETYFAGLGVKVPDRENPPDYFIDILEGIVKTKIRGNVTPKHLPLLWVLHNGYEVPDDFQKDLENINTIRELYTVRSISSEHSLAEQTENTDSAHHNVRQSNKSLERKTPGVFAQYGYYLGRVAKQRLRESTQQAVDYLILCIAGICIGTIARVRDDSFGVASYGYTIMAVSLLCQLAALRSFSPEKLQYWRERESGMSSLAYFLARDTIDHFNTAVKPIIFLSTFYFFNNPRSTLRDNYLVLLALIYCVTGIGYTFSVWFELGLAQLFSAIVPVVLVLVGTKPDLPRFIKELSYPKWALEAFIIAGAKEYSGVWLITRCGALLQGGYDINNFGLCITIIMLHGVLFRLVAYLSLLKLK >PAN47130 pep chromosome:PHallii_v3.1:9:15850025:15856119:-1 gene:PAHAL_9G236100 transcript:PAN47130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKFAECFWKNTCKDNAIKEDLTLYGVILIAILSFVLLLVYNCSGLFITIQVKMSSRARKKAAKKANKSAAARERWKLAKELAIRHEVEMPESFNTPQQSATSSNGGLNGAEANANGSKNHENLTQVHTERFGRAYNQIGTDRTMKTNNDKLSFAGVVSLATEDRPQRPMLEVAFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTSGYKKDGLVLINGIPESMQSYKKIVGFVPQDDIVHGNLTVEENLRFSSCCRLSKGMSRSHKVRVLERVIESLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALEGVNVCAVVHQPSYTLFNMFDDFVLLARGGLIAYHGPVSEVETYFAGLGVKVPDRENPPDYFIDILEGIVKTKIRGNVTPKHLPLLWVLHNGYEVPDDFQKDLENINTIRELYTVRSISSEHSLAEQTENTDSAHHNVRQSNKSLERKTPGVFAQYGYYLGRVAKQRLRESTQQAVDYLILCIAGICIGTIARVRDDSFGVASYGYTIMAVSLLCQLAALRSFSPEKLQYWRERESGMSSLAYFLARDTIDHFNTAVKPIIFLSTFYFFNNPRSTLRDNYLVLLALIYCVTGIGYTFSVWFELGLAQLFSAIVPVVLVLVGTKPDLPRFIKELSYPKWALEAFIIAGAKEYSGVWLITRCGALLQGGYDINNFGLCITIIMLHGVLFRLVAYLSLLKLK >PAN47133 pep chromosome:PHallii_v3.1:9:15850025:15860841:-1 gene:PAHAL_9G236100 transcript:PAN47133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALPLLLAAAALLLALPPPARCQQLPPSVPLDRAPPPLAQVLQGAPPLPAVQQDLEADLRRLTDELTAQLQKKYAFCMADVKKDLNQTFNFTSDFSFASDCMEQTRGNMAGMLCGRAEVEVYIKSLASSGSRSSSRISKNCNRNSWALGCQPGWACMSLSDESSDESVPSRTLNCRPCCPGFFCPRGLTCMIPCPLGAYCPLGTLNDTTGLCDPYFYQITPGMNTACGTADSWADIVRTNDVFCPPGHYCPTTTQKHNCSDGYYCRKGSTNEKKCFWKNTCKDNAIKEDLTLYGVILIAILSFVLLLVYNCSGLFITIQVKMSSRARKKAAKKANKSAAARERWKLAKELAIRHEVEMPESFNTPQQSATSSNGGLNGAEANANGSKNHENLTQVHTERFGRAYNQIGTDRTMKTNNDKLSFAGVVSLATEDRPQRPMLEVAFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTSGYKKDGLVLINGIPESMQSYKKIVGFVPQDDIVHGNLTVEENLRFSSCCRLSKGMSRSHKVRVLERVIESLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALEGVNVCAVVHQPSYTLFNMFDDFVLLARGGLIAYHGPVSEVETYFAGLGVKVPDRENPPDYFIDILEGIVKTKIRGNVTPKHLPLLWVLHNGYEVPDDFQKDLENINTIRELYTVRSISSEHSLAEQTENTDSAHHNVRQSNKSLERKTPGVFAQYGYYLGRVAKQRLRESTQQAVDYLILCIAGICIGTIARVRDDSFGVASYGYTIMAVSLLCQLAALRSFSPEKLQYWRERESGMSSLAYFLARDTIDHFNTAVKPIIFLSTFYFFNNPRSTLRDNYLVLLALIYCVTGIGYTFSVWFELGLAQLFSAIVPVVLVLVGTKPDLPRFIKELSYPKWALEAFIIAGAKEYSGVWLITRCGALLQGGYDINNFGLCITIIMLHGVLFRLVAYLSLLKLK >PAN45855 pep chromosome:PHallii_v3.1:9:9023652:9024556:-1 gene:PAHAL_9G147200 transcript:PAN45855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIIHKIEETLHMGGDHKKEEEHKKAEAHKKAEEHHKKDGEHKEGIVEKIKDKITGEHGDKSGEHKDDKDHKEKKDKKKKKEKKHGEGHHDHDGHSSSSSDSD >PAN48343 pep chromosome:PHallii_v3.1:9:54585263:54594964:1 gene:PAHAL_9G376500 transcript:PAN48343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPQERTMRDLYDRTRHKDLAAPEEPAEGRGGGRAEGSGHRELVARMEDKAAVRKRVVSSNNPCVKAESGTCNVCYAPCSSCLHRSLAVEDSNAECASSQTCSTRSEVRNNSLVRNEKGLRNKGGENDDEFSATSGHVSYSATGGNNKVFARSSIADDSSEVDMPAKRRRLLNQDTRLSRAEHHDDSNSCVTGTSAEGKVHVDRKKLSTSASSRDLTANDYKDNNMACHSRLRNQCVDESKKGSDVRDLHPSASGRLFPADSPSISTKKLLRTQSSASASSRLSPKKQDHESGKAQDNLSHQPCEKVSLSKNNIEQRRGGKLNPCVPDGDKQVTLAGYTNGNQNKGGFSSKDLDNGTLCPKDEILEHAGIQSNDGVTRNDGDKQDWDQDCSMDICSDGKLNIEHDMTTDGGNSEGLIDVNVCDICGDIGREYLLATCTRCLEGAEHTYCMRVKLDKVPDGEWLCEECQLREDQNNTKSNHGVTAVNMSEGKNQSSESRSKPKALQIVVPELDAPQSTCSTPTADQCDGKNKKLHLASADTQTRKVKVATPAAERLDVKSKKLLSIANRNKLQVLTSDLDARPHTYGTPTAGGSDKKSQNSEFLLNRKKLWVSTDMESPLSSEGLRSPPISCKRQVENTSSPKPRLIKTDSHRKHDVISRENLFKKSNKGGLTSVDNAPVRTTQAGKSSQVLSRSYSLGNMVNAKTPVPSPRGLSKQLSFNNTNNGPKVKQLVEGAASKLRPAKYSPRDPRDKGPIRKLVQSGSFKREGSVCLDSGSSKQKQTFHLSQDEKPVILKPVKEKNLIERRASFSFKKPNIPSSPRPDSCMKLGERKIDQDISKSVPSILKSSKRPGNVEKKQSSDLSKGDNDKQDVTVHPKPMGVVSGKDTHAMKISDPPVPSQCVKTDSSNNVEDEDLFVSVKNGNRMPNGSAEVVPTISTATTCESDLRDVARASTCEDSAPKVVCFQQKLLESAGDDSCNIVEVAQASGDILNETPHGVQMAHNLYPPDHKFDKPDLKREAFGDQSSALENPLGDLVIPELSYIWQGSFEVSRHGNSPEMFDGFQAYLSTCASSKAREVGEQLPDKIQLAEVPRHSSWPLQFKEVNPTEDSIALFFFAKDVESYEMAYGKLLENMLLGDLSLTANISGTELLIFPSDKLPERIQRWNGSLFFWGIFYARKASSPPELPLTERNNCPLEQVTGPVIQHDMGSPKALQSLGIDLNECPNDDISDPAISLGSESEKSGAPVDHKILLESKHEDRKLNASEIHHEVTAGTKEIVLEHPTAAPYGTHPPTLSTGEGHDIIPDYPTAAKGSTRTAGINKMEEEDQDEAVFCVAQKPGAIRSISEEIKPKKRGILPTIEVSKLHFTGSKICGGPRESIPNSDMGSLDPDLTYKRQKTSYGKNSTCSFGDEMPPSKCLSKMHPLPAGQHTPFDDLQYSYRGPSDPGSLKKSVPDHIIHVLSSDDEDSPEPSTSLNKASLKADESSSPLLSLSLSMVASKHNLSGSDIVDDEPLSLSLGLPSVVEGSRALEMKQFLPEKPGINT >PAN48342 pep chromosome:PHallii_v3.1:9:54585263:54596302:1 gene:PAHAL_9G376500 transcript:PAN48342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPQERTMRDLYDRTRHKDLAAPEEPAEGRGGGRAEGSGHRELVARMEDKAAVRKRVVSSNNPCVKAESGTCNVCYAPCSSCLHRSLAVEDSNAECASSQTCSTRSEVRNNSLVRNEKGLRNKGGENDDEFSATSGHVSYSATGGNNKVFARSSIADDSSEVDMPAKRRRLLNQDTRLSRAEHHDDSNSCVTGTSAEGKVHVDRKKLSTSASSRDLTANDYKDNNMACHSRLRNQCVDESKKGSDVRDLHPSASGRLFPADSPSISTKKLLRTQSSASASSRLSPKKQDHESGKAQDNLSHQPCEKVSLSKNNIEQRRGGKLNPCVPDGDKQVTLAGYTNGNQNKGGFSSKDLDNGTLCPKDEILEHAGIQSNDGVTRNDGDKQDWDQDCSMDICSDGKLNIEHDMTTDGGNSEGLIDVNVCDICGDIGREYLLATCTRCLEGAEHTYCMRVKLDKVPDGEWLCEECQLREDQNNTKSNHGVTAVNMSEGKNQSSESRSKPKALQIVVPELDAPQSTCSTPTADQCDGKNKKLHLASADTQTRKVKVATPAAERLDVKSKKLLSIANRNKLQVLTSDLDARPHTYGTPTAGGSDKKSQNSEFLLNRKKLWVSTDMESPLSSEGLRSPPISCKRQVENTSSPKPRLIKTDSHRKHDVISRENLFKKSNKGGLTSVDNAPVRTTQAGKSSQVLSRSYSLGNMVNAKTPVPSPRGLSKQLSFNNTNNGPKVKQLVEGAASKLRPAKYSPRDPRDKGPIRKLVQSGSFKREGSVCLDSGSSKQKQTFHLSQDEKPVILKPVKEKNLIERRASFSFKKPNIPSSPRPDSCMKLGERKIDQDISKSVPSILKSSKRPGNVEKKQSSDLSKGDNDKQDVTVHPKPMGVVSGKDTHAMKISDPPVPSQCVKTDSSNNVEDEDLFVSVKNGNRMPNGSAEVVPTISTATTCESDLRDVARASTCEDSAPKVVCFQQKLLESAGDDSCNIVEVAQASGDILNETPHGVQMAHNLYPPDHKFDKPDLKREAFGDQSSALENPLGDLVIPELSYIWQGSFEVSRHGNSPEMFDGFQAYLSTCASSKAREVGEQLPDKIQLAEVPRHSSWPLQFKEVNPTEDSIALFFFAKDVESYEMAYGKLLENMLLGDLSLTANISGTELLIFPSDKLPERIQRWNGSLFFWGIFYARKASSPPELPLTERNNCPLEQVTGPVIQHDMGSPKALQSLGIDLNECPNDDISDPAISLGSESEKSGAPVDHKILLESKHEDRKLNASEIHHEVTAGTKEIVLEHPTAAPYGTHPPTLSTGEGHDIIPDYPTAAKGSTRTAGINKMEEEDQDEAVFCVAQKPGAIRSISEEIKPKKRGILPTIEVSKLHFTGSKICGGPRESIPNSDMGSLDPDLTYKRQKTSYGKNSTCSFGDEMPPSKCLSKMHPLPAGQHTPFDDLQYSYRGPSDPGSLKKSVPDHIIHVLSSDDEDSPEPSTSLNKASLKADESSSPLLSLSLSMVASKHNLSGSDIVDDEPLSLSLGLPSVVEGSRALEMKQFLPEKPGINT >PVH33215 pep chromosome:PHallii_v3.1:9:70901739:70906263:1 gene:PAHAL_9G598400 transcript:PVH33215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLFYLPAYYYGGYDGSMSEWDDYPRYLNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSSNQGDLQSSVNPEKPAAKADPAKPTANGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGTHFAWYDGSAYANGQQRTTTTNHMPPSTFSGNASSARNQTKSSTNQQMGMQNRRPTTTAGSVTPTYPNRMYPSSRPYAQYGNSIKTGLPYGSNGYDSRIYGRWGLGMDNRYRPRGRANGYYGYGSESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSDESKNDSAVPDRAQFNRDEFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPISVTTGEQQQEVANGKPKPSVPNGVNGEVKAPTENGAPPAVSYAAKVAQTATEKPALANGVAKTG >PAN51496 pep chromosome:PHallii_v3.1:9:70901369:70906263:1 gene:PAHAL_9G598400 transcript:PAN51496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAPPPAPAPAAPAPTAAAAAPAPAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGTKKQGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMSEWDDYPRYLNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSSNQGDLQSSVNPEKPAAKADPAKPTANGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGTHFAWYDGSAYANGQQRTTTTNHMPPSTFSGNASSARNQTKSSTNQQMQGMQNRRPTTTAGSVTPTYPNRMYPSSRPYAQYGNSIKTGLPYGSNGYDSRIYGRWGLGMDNRYRPRGRANGYYGYGSESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSDESKNDSAVPDRAQFNRDEFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPISVTTGEQQQEVANGKPKPSVPNGVNGEVKAPTENGAPPAVSYAAKVAQTATEKPALANGVAKTG >PAN51494 pep chromosome:PHallii_v3.1:9:70901369:70906263:1 gene:PAHAL_9G598400 transcript:PAN51494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAPPPAPAPAAPAPTAAAAAPAPAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGTKKQGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMSEWDDYPRYLNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSSNQGDLQSSVNPEKPAAKADPAKPTANGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGTHFAWYDGSAYANGQQRTTTTNHMPPSTFSGNASSARNQTKSSTNQQMGMQNRRPTTTAGSVTPTYPNRMYPSSRPYAQYGNSIKTGLPYGSNGYDSRIYGRWGLGMDNRYRPRGRANGYYGYGSESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSDESKNDSAVPDRAQFNRDEFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPISVTTGEQQQEVANGKPKPSVPNGVNGEVKAPTENGAPPAVSYAAKVAQTATEKPALANGVAKTG >PVH33214 pep chromosome:PHallii_v3.1:9:70901739:70906263:1 gene:PAHAL_9G598400 transcript:PVH33214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLFYLPAYYYGGYDGSMSEWDDYPRYLNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSSNQGDLQSSVNPEKPAAKADPAKPTANGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGTHFAWYDGSAYANGQQRTTTTNHMPPSTFSGNASSARNQTKSSTNQQMQGMQNRRPTTTAGSVTPTYPNRMYPSSRPYAQYGNSIKTGLPYGSNGYDSRIYGRWGLGMDNRYRPRGRANGYYGYGSESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSDESKNDSAVPDRAQFNRDEFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPISVTTGEQQQEVANGKPKPSVPNGVNGEVKAPTENGAPPAVSYAAKVAQTATEKPALANGVAKTG >PAN51493 pep chromosome:PHallii_v3.1:9:70901369:70906263:1 gene:PAHAL_9G598400 transcript:PAN51493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAPPPAPAPAAPAPTAAAAAPAPAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGTKKGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMSEWDDYPRYLNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSSNQGDLQSSVNPEKPAAKADPAKPTANGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGTHFAWYDGSAYANGQQRTTTTNHMPPSTFSGNASSARNQTKSSTNQQMQGMQNRRPTTTAGSVTPTYPNRMYPSSRPYAQYGNSIKTGLPYGSNGYDSRIYGRWGLGMDNRYRPRGRANGYYGYGSESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSDESKNDSAVPDRAQFNRDEFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPISVTTGEQQQEVANGKPKPSVPNGVNGEVKAPTENGAPPAVSYAAKVAQTATEKPALANGVAKTG >PAN51495 pep chromosome:PHallii_v3.1:9:70901369:70906263:1 gene:PAHAL_9G598400 transcript:PAN51495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAPPPAPAPAAPAPTAAAAAPAPAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGTKKGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMSEWDDYPRYLNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSSNQGDLQSSVNPEKPAAKADPAKPTANGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGTHFAWYDGSAYANGQQRTTTTNHMPPSTFSGNASSARNQTKSSTNQQMGMQNRRPTTTAGSVTPTYPNRMYPSSRPYAQYGNSIKTGLPYGSNGYDSRIYGRWGLGMDNRYRPRGRANGYYGYGSESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSDESKNDSAVPDRAQFNRDEFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPISVTTGEQQQEVANGKPKPSVPNGVNGEVKAPTENGAPPAVSYAAKVAQTATEKPALANGVAKTG >PAN45843 pep chromosome:PHallii_v3.1:9:8989126:8990043:1 gene:PAHAL_9G146600 transcript:PAN45843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAATAPPPPPPPARRRVYTALDPRCEWTSTDEADTLAVDVSGFRKEELRVLYSTRRKLKVTGERQVGGAQWARFLKVFPVSRSCDASAIQAKMNIESARLVVILPKGSTAAAAAAAAKDKHKEHRPGRSQTLGELMKPGNADGSSGSSSGSMWSAQEDPGKGKVEEKERRQDQAMEEPRQDQAMTAQDLPRSDGDANENAGKNNDDDGKGESKRWWKKIRVLHVLGFVLVLTLVGVGATILYIVLL >PAN45414 pep chromosome:PHallii_v3.1:9:6838898:6845742:1 gene:PAHAL_9G116900 transcript:PAN45414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPSAPGNGAPAGYQATGHWSDESDQPNVCEERSIRCRLCEEYVPTHWVKDHSKVCAVADRCDQKGFSMDERLIRVAETLEKLVESYSLADYPGAVSHNGAKVSNSSINEESNGQSSKLSDWSTRGSANMPDCIQETDNTTSTSYDDIINLPPVTCETPRSSAGSMTPRSPLITPRSNHIDMLSAEKSANNENDELLQVLRKADIVQKIFAESILTERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGNLYSLLRNHGCLDEEVARLYLAEVVLGLEYLHFLHIVHRDLKPDNLFIAHDGHIKLTEFGLSKVGLIDSTKDMPDTVVSGAALYQYGDLMSELKHPTCIRKRSAAGTPGYLAPELLLGTGCGTSADWWSVGVILFELIVGMPAFNAEHPQAIFDNILNRKIHWPVVPEKMSFEAQDLIDKLLTEDPHQRLGANGALEVKQHPFFKGISWDTLAWQKAAFVPSSDSAFDASCFTSRCSWNLSDETIYKTYDFEDSSDNRNRSSSCASKRQDGTGDCCCELSELESDSEVSYYFRNFSFKNLSQLASINYDLLTKEDGPAKSDT >PAN49885 pep chromosome:PHallii_v3.1:9:63270667:63273750:1 gene:PAHAL_9G482000 transcript:PAN49885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDPGRTPAQGDEAASTSPWPLRKLQSFAPGLWSQYKVYEDAVVESTKGTIADTLVLVKEHQVEAIGCATVAGFILFRGPRRFLYRNTFGRFKTEKDLLNDAEESMMEYKTSIQKLKKESKYTLDKVVIGESDLKRGQTDLRSTGKQIQSLIGSIYKAESTAAGLMDRLRTIPTRQSLELRAEVASMASVLKSQRCALQERINKISEYGVRV >PVH31890 pep chromosome:PHallii_v3.1:9:17747668:17749025:-1 gene:PAHAL_9G253000 transcript:PVH31890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPSPVRVVSRRAVKPPPRPRERIPLTTWDLSLLSADYIQKGLLFAPPPFSTARLVDHLQAALADALAAYHPVAGRLATDQHRDDAGRVVGFSVSVDCAGQGVEILEAVAEGVAIADVLPPDADVPRVVQSFFPLDDGVNYDGHECPLFVVQVTELADGVFVGFVYNHALSDGTAFWDFISAWAETARATAARGAPVRALVARRWRGGAGRAPLRRPVWADREAYSAAAAATRADAALLGGVSGGAQGAGAAGAPGSRGRGRGGRGDEEVVFRASTNNRARLRPPLPAEYFGNAINAVSTEAVRASELLARGHGWAAAAVGRAVAAHGDAGIRARAAAWAAEPGLSAFRLFDPNGMFVSSSPRFDMYGCDFGWGKALAARSGKGNKYDGKVSLFPGREGGGGIDAEVVLAPEHMAALELDEEFWAAVSPPRRDTIS >PAN44671 pep chromosome:PHallii_v3.1:9:3458678:3462836:-1 gene:PAHAL_9G060700 transcript:PAN44671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGNPPPKPWERAGASSGPTPFKPPSGGTTSDVVEASGTAKHGEIVSTTGNNAASNVNGNISRPVPPRPWQQQGCGNSYGGYGSNMYSSYGGFSGPYGNNMYSGYGGGYGGMYGGSGMYGSSMYNGGMGGPYGGYGMGVGPYNQGPNSFGPPAPPPGFWVSFLRVMHGVVNFCGRVSFLVSQNTQAFHMFITALLQLCDRTGMLYGELARFVLRLLGIKTKPKKGGVKGSGAPSLEGTGQQFVEAPKATNNSWDSVWTENGKGK >PAN44672 pep chromosome:PHallii_v3.1:9:3458523:3462846:-1 gene:PAHAL_9G060700 transcript:PAN44672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGNPPPKPWERAGASSGPTPFKPPSGGTTSDVVEASGTAKHGEIVSTTGNNAASNVNGNISRPVPPRPWQQQGCGNSYGGYGSNMYSSYGGFSGPYGNNMYSGYGGGYGGMYGGSGMYGSSMYNGGMGGPYGGYGMGVGPYNQGPNSFGPPAPPPGFWVSFLRVMHGVVNFCGRVSFLVSQNTQAFHMFITALLQLCDRTGMLYGELARFVLRLLGIKTKPKKGGVKGSGAPSLEGTGQQFVEAPKATNNSWDSVWTENGKGK >PVH32667 pep chromosome:PHallii_v3.1:9:61514568:61516170:1 gene:PAHAL_9G455900 transcript:PVH32667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDVEHVIGCIKATPFILPGRGGDWYYFFNSFAADYHSRREQGSQVPSERQCRRTGVFLPEDNDARHGRCRCLISKSTK >PAN50859 pep chromosome:PHallii_v3.1:9:68099689:68108399:1 gene:PAHAL_9G552400 transcript:PAN50859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNGAAAAAAAAAASATSVPAEKHVAIPAAAAVTTNGGAEEDKEAEDLPPEPALPCGPRKTGLHLFIMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKVIEENSSQDLEKASHVDSETNNLPVAGPDMAACVNSCIPTECTDLSNHGSKKKYIPSVTSALIVGSILGLLQAIFLVFSAKFVLSIMGVKSGSPMQGPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVASQYLITLILLCRLVQQVDVIPPSIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARHGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKKKVAAATSRVLQLSIVLGMALTVVLGLAMRFGAGIFTKDLPVIEVIHKGIPFVAGTQTINALAFVFDGINFGASDYTYSAYSMVAVASVSIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWKFLRK >PVH33033 pep chromosome:PHallii_v3.1:9:68101340:68108400:1 gene:PAHAL_9G552400 transcript:PVH33033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNGAAAAAAAAAASATSVPAEKHVAIPAAAAVTTNGGAEEDKEAEDLPPEPALPCGPRKTGLHLFIMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKVIEENSSQDLEKASHVDSETNNLPVAGPDMAACVNSCIPTECTDLSNHGSKKKYIPSVTSALIVGSILGLLQAIFLVFSAKFVLSIMGVKSGSPMQGPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVASQYLITLILLCRLVQQVDVIPPSIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARHGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKKKVAAATSRVLQLSIVLGMALTVVLGLAMRFGAGIFTKDLPVIEVIHKGIPFVAGTQTINALAFVFDGINFGASDYTYSAYSMVAVASVSIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWKFLRK >PVH33034 pep chromosome:PHallii_v3.1:9:68102924:68108374:1 gene:PAHAL_9G552400 transcript:PVH33034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNGAAAAAAAAAASATSVPAEKHVAIPAAAAVTTNGGAEEDKEAEDLPPEPALPCGPRKTGLHLFIMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKVIEENSSQDLEKASHVDSETNNLPVAGPDMAACVNSCIPTECTDLSNHGSKKKYIPSVTSALIVGSILGLLQAIFLVFSAKFVLSIMGVKSGSPMQGPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVASQYLITLILLCRLVQQVDVIPPSIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARHGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKKKVAAATSRVLQLSIVLGMALTVVLGLAMRFGAGIFTKDLPVIEVIHKGIPFVAGTQTINALAFVFDGINFGASDYTYSAYSMVAVASVSIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWKFLRK >PVH31239 pep chromosome:PHallii_v3.1:9:5263939:5264520:-1 gene:PAHAL_9G092200 transcript:PVH31239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAAAAEARDSSSSARRAAALYRSMLASSVHPDERTFLALLRSVERLPAGRQVHAHVVTSGLHSHAYVRNSLIKMYLDSGDVETVELMFCSTPVSDAASCNIMLSGYVNQGCTGKALLFFRDTVSRGIAVDQYTAVALLTCCGRLKNALLGRSVHGVVMRRIHPGDWGLILMNALLDMYAKCGEMDAAMSLW >PAN45031 pep chromosome:PHallii_v3.1:9:5073409:5076720:-1 gene:PAHAL_9G088700 transcript:PAN45031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAPAPTVADQATNLMQKLSLESKKDDSNAAKKPSGLPYGSANAGDAQSAASQVDRSITPLLQEAMDPNFFYQPSGYASPAYYYPSGYDGSANDWDSRYAGHEGMEMPPSVYGDMYHGYGYAPYGPYPSGSPVPSAGHDVQSYGSQQYQYPTQYYQPPTSTNATHGVNGASSQPELPSVVSQQTRVLVDATKASANGSANGMPTANNSSLPRKQTHLNVPVANNGSYGRGPMQGGGPSASNYGHSGLRSPAQWYDGPVYSNGHQRPTASSTSYHSNSSSAKNQSQRPTTNLMGMHVQMPSSGMGLTSPSYPSRMYPDNRLFGQYGQYGNTLKGGLGFGSNVYNSRNNGRWGVVDTKYKPRGRAPFGFGGENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQALPSAGKQNSALPDKGQYNQEGFPVAYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHVSKTSILDDFGFYENRQKLMQEKRAKQQLLQGQASDVSQEKDKDATDGKPGAQKHVLSKEGTLAEEAANASKPVAESGVSNGN >PAN45030 pep chromosome:PHallii_v3.1:9:5072878:5077329:-1 gene:PAHAL_9G088700 transcript:PAN45030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAPAPTVADQATNLMQKLSLESKKDDSNAAKKPSGLPYGSANAGDAQSAASQVDRSITPLLQEAMDPNFFYQPSGYASPAYYYPSGYDGSANDWDSRYAGHEGMEMPPSVYGDMYHGYGYAPYGPYPSGSPVPSAGHDVQSYGSQQYQYPTQYYQPPTSTNATHGVNGASSQPELPSVVSQQTRVLVDATKASANGSANGMPTANNSSLPRKQTHLNVPVANNGSYGRGPMQGGGPSASNYGHSGLRSPAQWYDGPVYSNGHQRPTASSTSYHSNSSSAKNQSQRPTTNLMMPSSGMGLTSPSYPSRMYPDNRLFGQYGQYGNTLKGGLGFGSNVYNSRNNGRWGVVDTKYKPRGRAPFGFGGENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQALPSAGKQNSALPDKGQYNQEGFPVAYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHVSKTSILDDFGFYENRQKLMQEKRAKQQLLQGQASDVSQEKDKDATDGKPGAQKHVLSKEGTLAEEAANASKPVAESGVSNGN >PAN45032 pep chromosome:PHallii_v3.1:9:5073409:5076720:-1 gene:PAHAL_9G088700 transcript:PAN45032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAPAPTVADQATNLMQKLSLESKKDDSNAAKKPSGLPYGSANAGDAQSAASQVDRSITPLLQEAMDPNFFYQPSGYASPAYYYPSGYDGSANDWDSRYAGHEGMEMPPQSVYGDMYHGYGYAPYGPYPSGSPVPSAGHDVQSYGSQQYQYPTQYYQPPTSTNATHGVNGASSQPELPSVVSQQTRVLVDATKASANGSANGMPTANNSSLPRKQTHLNVPVANNGSYGRGPMQGGGPSASNYGHSGLRSPAQWYDGPVYSNGHQRPTASSTSYHSNSSSAKNQSQRPTTNLMGMHVQMPSSGMGLTSPSYPSRMYPDNRLFGQYGQYGNTLKGGLGFGSNVYNSRNNGRWGVVDTKYKPRGRAPFGFGGENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQALPSAGKQNSALPDKGQYNQEGFPVAYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHVSKTSILDDFGFYENRQKLMQEKRAKQQLLQGQASDVSQEKDKDATDGKPGAQKHVLSKEGTLAEEAANASKPVAESGVSNGN >PAN43908 pep chromosome:PHallii_v3.1:9:206465:207524:-1 gene:PAHAL_9G002100 transcript:PAN43908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSDSDDRLSQLKAFDDTKAGVKGLVDAGVATVPPIFRHPPDPHAASATTTIPVVDLGRPDLGLLRAAAETVGFFQVVNHGVPAELLAETLAAVRRFNEEPAEARRPYYTRDPARRVSYHSNFDLFQSPAASWRDTLFLEMPAPPEEMPPPCRAVVPEYTRQLRRLGARLLELLSEALGLHAGYLERDAGCLDGMVLACHYYPPCPEPHLTMGTTRHSDPSFLTVLLQDGVGGLQVLHGGRWADVPPLPGVLVVNVGDLLQLISNDRFKSVEHRVVAMTPGAAATARVSVACFFRTTGAAASTRAYGQGLDGRSALGRFRLLLSSSSSGPISCKQTN >PVH32702 pep chromosome:PHallii_v3.1:9:61946979:61949214:1 gene:PAHAL_9G463400 transcript:PVH32702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKGPYPPQPGGCPEPHVHARPYAATNHATPIHMLKFLVVCSPKISFSASKTHYPSGLRTASSSHLSGDSPMLTSSLPPPTIPGSTKPAAAPKPHKLRPAVLSASAPAAATAATPAGADGGGGRLLALIRSLCAAGRTAEAARELFAAGAGAGIVAYNAMVAGYCRAGQLSAARRLAEAVPVPPNAYTFFPVVRALCARGRIADALAVLDGMPRRGCAPIPPMYHVILEAACRGGGFRSAVRVLGALHDRGCALDAGNCNLVLNAICDQGSVDEAVRLLRDLPSFGCQPDVVNYNAVLKGLCMAKRWGDVEDLMEEMVRVDCPPNIRVHEVLAQMAEHGCTPDIRMYATIIDGVCKEGHLEVAHEILSRMPSYGLKPNVVCYNTVLKGLCSAEQWEEAEELLAEMFDEDCLLDDVTFNILVDFFCQNGLVDRVIELLEQMLEHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMAACGCKLNTISYTIVLKGLCSAERWVDAEELMSQMIRQGCAPNPVTFNTLINFLCKKGMVEQAIELLKQMLVNGCMIDGLGKAGKTDEALELLNVMVKKGMSPNTIIYSSIACALSREGRINKVIQMLDSIQDATIRSDAVLYNAVISSLCKRGETDRAIEFLAYMVSSGCMPNESTYTILIRGLASEGFVKEAQEMMSELCSKGALRKHLMQHFGIV >PVH33280 pep chromosome:PHallii_v3.1:9:71936885:71941152:1 gene:PAHAL_9G615700 transcript:PVH33280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAEPPDPASHSPNRPGITPPARSPTRRPTATGPASRRSGRLSGVSSSGGFGPRWRSRRSGLGSDDALAAVEPDPDDACCFRSRRRSRHLRAGILGSLPPTAAAPFVSSAQGVSGCCAATHVPATRRRIRGSRSPVRRTGCPRRWADREGGGEADGRAVALGRERELPRRDRRAAAHSEERGDERRRTGRRKGGGGERTAASREAGSGRAAARREAGSRRVAVRREELRDRQAWAREEGGEG >PAN49901 pep chromosome:PHallii_v3.1:9:63344946:63346454:1 gene:PAHAL_9G483200 transcript:PAN49901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) protein, Abiotic stress toleranc [Source: Projected from Oryza sativa (Os03g0322900)] MASRQDTREARAEAEARRAVEELARARDEHLVQAEVNARSAADEIARSRADRGAVGAGAAAGAGGGILGSVQEGAKSFVSAVGRTFGGAKDAAADKTSQTAQATGDKLGEYRDYTADKAREANDSVARKTSETAEATRNKVGEYKDAAVEKARETKDAVAQKTSETAEATRNKLGEYKDAAAGKAREAMDTTADKAREARDASMQKAQETRDATADRAREARDVTKQKAGEYTDATRGAAQEARDRSWATAQTAADKSRETAAGAHDADRGQAGPGLLGALGNVTGAIKDKLTMGGHGAAGQHDVRLGGGDERAAKERAAEKAASVYFEEKDRALRERSAERVDRCVDKCVEGCAGSTCAHRHGKM >PVH31629 pep chromosome:PHallii_v3.1:9:12506116:12506436:1 gene:PAHAL_9G195100 transcript:PVH31629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCSFLSLPWLLLLLSVLGDAVAAVAVAVPRPLLGIAEPPGAGAGPGGGGRPDRSVAGADVILVGFAAAVVVVVFLYIRVTRKNSGSSGSVGAGQKQDGGLGGS >PAN48965 pep chromosome:PHallii_v3.1:9:58366618:58372303:-1 gene:PAHAL_9G415900 transcript:PAN48965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MAVSSPPPPRFRLSLRLQNPTPHPSPSHSKPRPAPTTETLRRRLLRRGVSPTPKILHALRKKEALKALRRARKDTEAAAAAAAAAALDPGDGPIAVVEEDEDEARFRAAAAEYRALVGRPWDGAAHGVAPLRGGDGVEEGLEGLREMLVARRGDGFRWLLDDDIEPEAAERKQQKRHGTGWDAEAGDEEKRIQLLVSRLNEDSLSFHDWRLTRMMKKADLIYNEDNLLRILDGLEARGNWRQALAVTEWVYNENSYKHRRSRFVYTKLLSILGKSLRATEALRVFTIMRGDAQIYPDMAAYHSIAVTLGRAGLVKELIKIIEFLRKKPSKRVMKMRRKDWDPSLEPDVLVYNSVLNACVLSQQWKGVFWVFQQMRISGLPPTGATFGLAMEVMLKAKKYEFVQKFYEKMQKNGVPPRAITYKVLVRAFWEQGKINEAVEAVNDMEQRGVVGAASVYYELACCLCNNGRWRDAMLQVDKLKQLPLTKPLEYTFTGMILASFDGGYIYECISIFESMKEYCTPNIGTVNVMLKVYGRCDMFGKAKDLFETTKAYFSNSQTYVHEHSSLTADAYTYSSMLEASASAQQWEYFEYVYREMALSHHHLDQSKYSWLLIKACRAGKSYLLEHALDSVLERGEIPDVRLIVELICQSIAQSDYGRVLQLLNVMTEASIKINEGEWANILQQNVHQFSIDALQDLTDYLSTSGTTKADPVHSLVRALQSQCETTSMKDTYLLVDGGTNTQQCARSLLQNEGENSSSDLAEQDQLTDTCKNLCTNKLIDVPDSNRDIPQLGVAAVMSRATSLSRPRLEDIHGQCDLGHWGTQVSAIDEVLDSMSSYGASSYREMPSASEILELWEQERINDMFDPKAESGTNL >PVH32476 pep chromosome:PHallii_v3.1:9:57411511:57418720:-1 gene:PAHAL_9G405300 transcript:PVH32476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MDDTLAIIRKKLKKRKKGKDGNDFGAVAECGAEVQMLVQQEDVQGGVHTGNDVTDEDSNLSVVKLGDVEIAGDGAQRLDDLGLEDSLSVLFTRSGRKSRQVSEKEAEGVEVACQHDEEGLDKGSALVPDTASKGTKRRRRRTKEEMKNAAVQDRKASLPRKAKAKANGSKSTRHYTVGPHQTLGGLSSFSPDLENKSLMKEKAADDELCHRSLGEALLQDAEASKVLKDGSRNPSNGATHHVEISVWASNHPGLKPCSGKVAEKTSCTAANTINVGVSDAHTYSQTLGKDSSDDVDCSQGKSPTSIIRRKTGLKPKPVPWKPVRRKEALSSVDADSKPAETIESIEPNAVVLTERNFGELAVLGANDSCSSHDMPAPANDVDMADVEVPLDYEDTDNTSKVKRVTRSSKKRKHGDMAYEGDVDWETLMQEQGLFSNPSASFTDQSVKSKDKIKTSEVYEGGGDNGVAAVRAGLKAKAVTPIEKIKFKEVLKNKGGLQEYLECRNMILSRWSKDVKHLLNLAECGVSVFPLKDELPRQALIRDVYLFLDQNGYINAGIASDKVAKEHDTPEFVEVPKLNESHRIESVSIQDDIVSVPLQNGDFEYGTGIECCRTECVKNTECALVEASNDKDCPAVDCDALELLPHLKSEEQPTEEKNLGVSTEGRDASLPSSNLDIQGRSYVDSFVRKVEVSHQPEAPEIETCGNNCQSGRVESGAYRKKIIIVGAGPAGLTAARHLQRQGFSITVLEARDRIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLTSACPLYDVVTGNKVPDALDEDLEAEYNGLLDEMALLFAQNGDSAIGLSLEDGLEYALRKRRAAQHMDSVERDDHLKSLTNAGAIDISKSASTEKEIAHCGKDDKVDVLSPLERRVMNWHFAHLEYGCAAPLKSVSLPYWNQDDVYGGFGGPHCMIKGGYDNVLCSLAKGLDIRLNHVVTEVLYGSDELGASCKDGKHVKVSTSNGNEFTGDAVLITVPLGCLKAQTIKFTPSLPDWKLSSINKLGFGILNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCCMFWNLRKTVGAPVLIALLAGKAAIDGQSISSDVHVNNAMVVLRKLFRDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVNTGNDYIAEVEALQTYQMQSDSERNEVRDMSNRLEACELSTALSKNSSDVTYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLKSFAGSKEGLSKLNSWILDSLGKNATQLLRHCVRLLVLVSTDLVAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWIEVFRKEKASNGGLKLLRRTPSIELSKTKSKDLQSGKPALRVPNESSDNNKVASQRQRARFTSSHSPPKASKKFENKETKLETGTVRRSDDNSFSQKQQHVIESKVEHGIPMSEEEAAAFAAAEAARAAAIAAAQYFQEKLLWSSLFCARVWDIPCIVQ >PVH32475 pep chromosome:PHallii_v3.1:9:57409507:57418720:-1 gene:PAHAL_9G405300 transcript:PVH32475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MDDTLAIIRKKLKKRKKGKDGNDFGAVAECGAEVQMLVQQEDVQGGVHTGNDVTDEDSNLSVVKLGDVEIAGDGAQRLDDLGLEDSLSVLFTRSGRKSRQVSEKEAEGVEVACQHDEEGLDKGSALVPDTASKGTKRRRRRTKEEMKNAAVQDRKASLPRKAKAKANGSKSTRHYTVGPHQTLGGLSSFSPDLENKSLMKEKAADDELCHRSLGEALLQDAEASKVLKDGSRNPSNGATHHVEISVWASNHPGLKPCSGKVAEKTSCTAANTINVGVSDAHTYSQTLGKDSSDDVDCSQGKSPTSIIRRKTGLKPKPVPWKPVRRKEALSSVDADSKPAETIESIEPNAVVLTERNFGELAVLGANDSCSSHDMPAPANDVDMADVEVPLDYEDTDNTSKVKRVTRSSKKRKHGDMAYEGDVDWETLMQEQGLFSNPSASFTDQSVKSKDKIKTSEVYEGGGDNGVAAVRAGLKAKAVTPIEKIKFKEVLKNKGGLQEYLECRNMILSRWSKDVKHLLNLAECGVSVFPLKDELPRQALIRDVYLFLDQNGYINAGIASDKVAKEHDTPEFVEVPKLNESHRIESVSIQDDIVSVPLQNGDFEYGTGIECCRTECVKNTECALVEASNDKDCPAVDCDALELLPHLKSEEQPTEEKNLGVSTEGRDASLPSSNLDIQGRSYVDSFVRKVEVSHQPEAPEIETCGNNCQSGRVESGAYRKKIIIVGAGPAGLTAARHLQRQGFSITVLEARDRIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLTSACPLYDVVTGNKVPDALDEDLEAEYNGLLDEMALLFAQNGDSAIGLSLEDGLEYALRKRRAAQHMDSVERDDHLKSLTNAGAIDISKSASTEKEIAHCGKDDKVDVLSPLERRVMNWHFAHLEYGCAAPLKSVSLPYWNQDDVYGGFGGPHCMIKGGYDNVLCSLAKGLDIRLNHVVTEVLYGSDELGASCKDGKHVKVSTSNGNEFTGDAVLITVPLGCLKAQTIKFTPSLPDWKLSSINKLGFGILNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCCMFWNLRKTVGAPVLIALLAGKAAIDGQSISSDVHVNNAMVVLRKLFRDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVNTGNDYIAEVEALQTYQMQSDSERNEVRDMSNRLEACELSTALSKNSSDVTYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLKSFAGSKEGLSKLNSWILDSLGKNATQLLRHCVRLLVLVSTDLVAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWIEVFRKEKASNGGLKLLRRTPSIELSKTKSKDLQSGKPALRVPNESSDNNKVASQRQRARFTSSHSPPKASKKFENKETKLETGTVRRSDDNSFSQKQQHVIESKVEHGIPMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINVPRELPKIPSFHTFAMRDHHLDESDTRKKALKDNFGRLECISENGSKNGKAKNSPDDANCADVDSLKMSGDNGTQRSHSNEKSCLANTRDHSTDIGILDGRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRIRIPDEEDSTSQKQACRSSASQGADSKPASERQSRGVEHIKQGLVNFIASLLMPLYRGKKIDREGYKTIMRKAVNKIIETCSEGEKLMTTHEFLDVKRKNKIESFVDKMVDRHLHVVNKPAKP >PAN48790 pep chromosome:PHallii_v3.1:9:57406317:57418720:-1 gene:PAHAL_9G405300 transcript:PAN48790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MDDTLAIIRKKLKKRKKGKDGNDFGAVAECGAEVQMLVQQEDVQGGVHTGNDVTDEDSNLSVVKLGDVEIAGDGAQRLDDLGLEDSLSVLFTRSGRKSRQVSEKEAEGVEVACQHDEEGLDKGSALVPDTASKGTKRRRRRTKEEMKNAAVQDRKASLPRKAKAKANGSKSTRHYTVGPHQTLGGLSSFSPDLENKSLMKEKAADDELCHRSLGEALLQDAEASKVLKDGSRNPSNGATHHVEISVWASNHPGLKPCSGKVAEKTSCTAANTINVGVSDAHTYSQTLGKDSSDDVDCSQGKSPTSIIRRKTGLKPKPVPWKPVRRKEALSSVDADSKPAETIESIEPNAVVLTERNFGELAVLGANDSCSSHDMPAPANDVDMADVEVPLDYEDTDNTSKVKRVTRSSKKRKHGDMAYEGDVDWETLMQEQGLFSNPSASFTDQSVKSKDKIKTSEVYEGGGDNGVAAVRAGLKAKAVTPIEKIKFKEVLKNKGGLQEYLECRNMILSRWSKDVKHLLNLAECGVSVFPLKDELPRQALIRDVYLFLDQNGYINAGIASDKVAKEHDTPEFVEVPKLNESHRIESVSIQDDIVSVPLQNGDFEYGTGIECCRTECVKNTECALVEASNDKDCPAVDCDALELLPHLKSEEQPTEEKNLGVSTEGRDASLPSSNLDIQGRSYVDSFVRKVEVSHQPEAPEIETCGNNCQSGRVESGAYRKKIIIVGAGPAGLTAARHLQRQGFSITVLEARDRIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLTSACPLYDVVTGNKVPDALDEDLEAEYNGLLDEMALLFAQNGDSAIGLSLEDGLEYALRKRRAAQHMDSVERDDHLKSLTNAGAIDISKSASTEKEIAHCGKDDKVDVLSPLERRVMNWHFAHLEYGCAAPLKSVSLPYWNQDDVYGGFGGPHCMIKGGYDNVLCSLAKGLDIRLNHVVTEVLYGSDELGASCKDGKHVKVSTSNGNEFTGDAVLITVPLGCLKAQTIKFTPSLPDWKLSSINKLGFGILNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCCMFWNLRKTVGAPVLIALLAGKAAIDGQSISSDVHVNNAMVVLRKLFRDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVNTGNDYIAEVEALQTYQMQSDSERNEVRDMSNRLEACELSTALSKNSSDVTYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLKSFAGSKEGLSKLNSWILDSLGKNATQLLRHCVRLLVLVSTDLVAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWIEVFRKEKASNGGLKLLRRTPSIELSKTKSKDLQSGKPALRVPNESSDNNKVASQRQRARFTSSHSPPKASKKFENKETKLETGTVRRSDDNSFSQKQQHVIESKVEHGIPMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINVPRELPKIPSFHTFAMRDHHLDESDTRKKALKDNFGRLECISENGSKNGKAKNSPDDANCADVDSLKMSGDNGTQRSHSNEKSCLANTRDHSTDIGILDGRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRIRIPDEEDSTSQKQACRSSASQGADSKPASERQSRGVEHIKQGLVNFIASLLMPLYRGKKIDREGYKTIMRKAVNKIIETCSEGEKLMTTHEFLDVKRKNKIESFVDKMVDRHLHVVNKPAKP >PAN46559 pep chromosome:PHallii_v3.1:9:12367058:12369249:-1 gene:PAHAL_9G193600 transcript:PAN46559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKFALAFKTKTIEFFAEDEEDEDADRFARSPAPGADGVLAGQRVVVLKPDQLNPNPSADGGVRAGSGQEAAVEAALATASSFQAAYLHLQAAHAPFLPEAAAAADAAAVSHLRRLSELKRIARGAPGDSPGPDGDGTLTGHLEAQVRENQALLRSFDAVVNRLQAALDAKDAAAAALRLDLEALDDANARLSARLDRALAPPPGGDAVGAMLSAGVFDSVLRDALRVAHRFARALAEVLRCAGWDLAAAAAAAYPGVSYSKAGHCRYALLSRVCLSMFDGFDSYEFGATADPAELEGIELAIRRNESLQQFIEHSDADPMELMNSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGALPVMSVAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQVSQGTEFSTVYMENIVRSKGFSGSRELGKPGRPKVGFTVVPGFRLGGTVIQCRVYLDHGKREDDVIDSI >PAN47349 pep chromosome:PHallii_v3.1:9:38470176:38481939:-1 gene:PAHAL_9G317600 transcript:PAN47349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVLTLSRACRLLRLPTLHPEARVLPAAAAAAIHHYFPFSSASTTTSPMASSSPDWSAWRTRKTFIDFFVSKSHTRWASSPTVPVDDPTLLFANAGMNQFKPVFLGTAAPDSPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGWAWELLTEVYKLPTDRIYATYFGGDEKSGLAADNESKNIWLKYLPEEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGSLRTLPAKHVDTGLGFERLISILQNKMSNYDTDVFMPLFDAIHKLAGDGIQPYSGKVGSDDVGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKTKPKFFSSLVNVFVQMMGDVFPELKDNEKKIQDIIRDEEESFENTLAKGYEKFKKAADAVKENGGTVLSGQDAFILWDTYGYPIDLTEVMAIDYGLTVDKEGFNASMEEARQKARNARNKAGGNSIVMDANATAQLRNEGLASTDDSPKFMWPKEHGSVVKAIYTGSEYIATASGYEDLGLVLESTSFYAEQGGQIYDTGRIEGSFGTFNVNNVQVFAGYVLHIGSFTESSKALSVGDSVICKVDYDRRTLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDELDVYASEIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPENKEWLSISTELCGGTHISNTRDAKAFALISEEGIAKGVRRITAVTAGCASQAMELASSIDCDISEASQLEGAILEKQIASIKNKLDASAIPAARKADLRGMVSKLEDQLRKAKKKMGEQNIQKAVKTAMDAAEAALSEKKPFCVTHVDVGLDTTAVREAVIKVMAQKGLPIMLFSTDEASNKAVIYAGVPPNTTSGFKVLDWLTPSIAPLKGRGGGGKNGVAQGQGSDASQLKEAMELANNIAAMKLS >PAN51042 pep chromosome:PHallii_v3.1:9:68890262:68891922:1 gene:PAHAL_9G565700 transcript:PAN51042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEELAMAMAAAASSECSSGCQSGWTTYLDDHSSSYSCGSARFHGKARQPYYCDYSEEDDLSMISDASSGPRQQSSAGNDVEGGGGAAAAEAHANAERRGRREAAAADRRQSKRTAAASLLEDTASSPAFFGYSKAMGSGEATGCGVADAQMMEIGNAADFSYAFSTTTGFKSPLNGAAFGGYMQMQYSPAPAKPMPTRQVCRDAPEKKMW >PAN49614 pep chromosome:PHallii_v3.1:9:61762657:61765677:-1 gene:PAHAL_9G460100 transcript:PAN49614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERARHATRVSPMPPPAGGEDPDADAAPSCSGSADEGDAQTQERSLPNGDIYTGQWRGAVPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGAWAKNLEHGAGEKRYANGDRYDGEWRAGLPDGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAPGGAVYQKGVYYPSPAAAGDSPRGRDPREVFARELPECVRSGTEAQSALTSLRSLKWLMRSVSGRGSSSSGRSNGSGGSLVHFWGSDGDVKCDIGDDWRRRSVREGRGLPPPSPAPAPHLANIVPLRVLKRQGATIAKGHKNYELMLNLQLGIRHAVGRQGQAILDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPKVFRTLRKLFKVDPADYMLSLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKLLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKSGIHQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRITDKPPAEIDEYTTLKDLDLNFIFRLQKQWYQEFQRC >PAN49615 pep chromosome:PHallii_v3.1:9:61761555:61766023:-1 gene:PAHAL_9G460100 transcript:PAN49615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERARHATRVSPMPPPAGGEDPDADAAPSCSGSADEGDAQTQERSLPNGDIYTGQWRGAVPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGAWAKNLEHGAGEKRYANGDRYDGEWRAGLPDGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAPGGAVYQKGVYYPSPAAAGDSPRGRDPREVFARELPECVRSGTEAQSALTSLRSLKWLMRSVSGRGSSSSGRSNGSGGSLVHFWGSDGDVKCDIGDDWRRRSVREGRGLPPPSPAPAPHLANIVPLRVLKRQGATIAKGHKNYELMLNLQLGIRHAVGRQGQAILDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPKVFRTLRKLFKVDPADYMLSLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKLLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKSGIHQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRITDKPPAEIDEYTTLKDLDLNFIFRLQKQWYQEFQRQVDKDCEFLEQEKIMDYSLLVGVHFRGAVDVDGEKLATPRLSRWDRDHFRSDPNRWSKIKLGANMLSRAELTARKNDGDIFGKPTGEYCDVILYFGIIDILQDYDIGKRLEHAYKSFQYDSTSISAVDPKQYSRRFKDFIYKAFQEDKVDS >PAN50737 pep chromosome:PHallii_v3.1:9:67351188:67355104:-1 gene:PAHAL_9G542300 transcript:PAN50737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLHVNKRVTRRPPQIMRSCIHDHFHLAPSQEASQSFIGVNYGTIADNLPPPASTASLLMSTSIGKLRLYEPQPELVAALAGSNISILLGIPNGDVPNLASSPAAAASWAAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKISTVHSMAVLSASDPPSSGAFHPDLAGSLDPVLDFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNAGRVDAVSGLTYTNMFDAQLDAIRAALDAKGYGDVDIVIAETGWPYKGDADEAGATVDNAKAYTSNLVAHLRSQAGTPRTPGKSVDTYIFALYDEDLKGGPESERSFGLYKTDLTANYDAGLSKSGSTAAPTILTPSPPQSTLQPSRGATPTGFCQTTAAVPGSTQGRQVPLSSSCYIPAGAVPRRADAGTWQLVWFGVLLCMAMVAGM >PAN50736 pep chromosome:PHallii_v3.1:9:67351157:67355104:-1 gene:PAHAL_9G542300 transcript:PAN50736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRRRQEAAATILGLLQVLFHSATSQSFIGVNYGTIADNLPPPASTASLLMSTSIGKLRLYEPQPELVAALAGSNISILLGIPNGDVPNLASSPAAAASWAAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKISTVHSMAVLSASDPPSSGAFHPDLAGSLDPVLDFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNAGRVDAVSGLTYTNMFDAQLDAIRAALDAKGYGDVDIVIAETGWPYKGDADEAGATVDNAKAYTSNLVAHLRSQAGTPRTPGKSVDTYIFALYDEDLKGGPESERSFGLYKTDLTANYDAGLSKSGSTAAPTILTPSPPQSTLQPSRGATPTGFCQTTAAVPGSTQGRQVPLSSSCYIPAGAVPRRADAGTWQLVWFGVLLCMAMVAGM >PAN48574 pep chromosome:PHallii_v3.1:9:56286670:56290719:1 gene:PAHAL_9G391300 transcript:PAN48574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLAAAAVARHAGAALRRGALEGLRRLSSLQPSHAASSEEVLVEGKASARAAVLNRPGYLNALTTTMGARLNKFYESWEDNPDIGFVMMKGSGRAFCAGGDVVRLRELVSEGKTEECQDFFKTLYSFIYFLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYVALTGEKLNGADMIALGLATHYSMSEHLDLVDERLAKLVTDDPSVIDSSLAQYGDMVYPDKKSIVHRLDVIDKCFSHETVEEIVDALESEAARLDEEWCTLALKRLKEASPLALKVSLRSGVRARLVEKDLAPKWDPPALEYVTKDMVDAYFAPLGEFDAELNLPTETREAFV >PAN48573 pep chromosome:PHallii_v3.1:9:56286670:56290718:1 gene:PAHAL_9G391300 transcript:PAN48573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLAAAAVARHAGAALRRGALEGLRRLSSLQPSHAASSEEVLVEGKASARAAVLNRPGYLNALTTTMGARLNKFYESWEDNPDIGFVMMKGSGRAFCAGGDVVRLRELVSEGKTEECQDFFKTLYSFIYFLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYVALTGEKLNGADMIALGLATHYSMSEHLDLVDERLAKLVTDDPSVIDSSLAQYGDMVYPDKKSIVHRLDVIDKCFSHETVEEIVDALESEAARLDEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSMHGISKQFSHEFCEGVRARLVEKDLAPKWDPPALEYVTKDMVDAYFAPLGEFDAELNLPTETREAFV >PVH31601 pep chromosome:PHallii_v3.1:9:11938128:11939690:-1 gene:PAHAL_9G188300 transcript:PVH31601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVAVLGVEYTQFFLSRILSRTPLCLLVCFLLLVANPRSSKIGVIMACALTPLSSVHLTGAESFHHYLLIGENLRFLSPLSLQFLSLCFSDSGSSSRFSNLYACMDCYWCSRSFREHVIHEAATSCRFCMY >PAN45523 pep chromosome:PHallii_v3.1:9:7439485:7442489:1 gene:PAHAL_9G125300 transcript:PAN45523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKRELQRKRQLLDADFGGRKILRRAEIEARELQRVREAERQRLLQKRLRDSQPEASSPSGSSPGSSPASAVADASPAENGSSGQAEPLPRDEVIRRLRVLRQPATLFGEDDAARLRRLHDVLEDPAALADVDASEIGEGQTNDFLRDIQALRAKAAAATKPKAGAEAQRREGDGEDREVPFDELSDEDKIAAFFRRLMGEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLDPLFKQCKKKALPPDVRQALLEVVKCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLITFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >PVH30979 pep chromosome:PHallii_v3.1:9:1444590:1444950:1 gene:PAHAL_9G025400 transcript:PVH30979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMSGWSSSVLTDKEVRLMRNRSLTNDEVEAFWRKHGGRPAAENGEAFAGGSPLAGSPRGGHATTSPLPSPRAVPAGSCPALLSTQFV >PAN48605 pep chromosome:PHallii_v3.1:9:56582056:56588034:1 gene:PAHAL_9G394600 transcript:PAN48605 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MATAPLAFRLPFPFPSASRPPPPRTLAAPPAPRRLPPRLAAGATRRFRPPTADDEPPEAAEDSSHGLNRYDQLARSVERARSRQPEITPDHPLFSSPSTDGGAGGGSYDPDDEFFDEIDRAIAEKREDFTRRGLIKPSPASPPPSQPEEGLADELSSEEVIDLDEIRKLQGLSVVSVADEEDEEAEGGEDEDGDNGLPLDEDEEGFDVAEELGLEGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQSDASLVAAGDLFVCVGEEGLGGLTEADKRGAVAVVADQDVNIEGTLACRALVIVDDIATALRVLPACLYRRPSTDMAVIGVTGTDGVTTTTHLVKAVYEAMGVRTGMVGVLGAYAFGSNKLDARPESSGDPIAVQKLMATMLHNGAEAVVLETATDGMPPPGVDSEIDYDIAVLTNVRHTDGEGSMTYEEYMSSMGSLFSRMVDPERHRKVVNIDDPSAPFFAAQGAHDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGIAVGAPLEDIVRGIEEVDGIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIVTVVGCCGEKERGKRPVMTKIAAEKSDVVMLTSDNPANEDPLDILDDMLVGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKSEFFDDREECREALQYVDQLHRAGIDTSEFPWRLPESH >PVH32936 pep chromosome:PHallii_v3.1:9:66527126:66527744:1 gene:PAHAL_9G529300 transcript:PVH32936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFRSSQMVWWRFMDKTIQKHLAERRQPWILDQYVVLEQTDKGAPGSAAGQAQIEDHHISRTPFCITIFVSTLIIGFLVLNKIRLGCQTDQTRRSAHEEVGSCCFVWINLFPAIIHIQCLLFTKNGLSRSTLSTT >PVH31215 pep chromosome:PHallii_v3.1:9:4833695:4833940:1 gene:PAHAL_9G084300 transcript:PVH31215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMGSTALVGGPGSRARPVPSQACQFAWCTAGDRWRCCFFFSFFFFRFRFRPPTDRKREEGLCRGRVSLFLPSVGSHVCV >PAN50274 pep chromosome:PHallii_v3.1:9:65185892:65187140:1 gene:PAHAL_9G509500 transcript:PAN50274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPRATAQHCAAGMASVCSFVEEEYIDLDLSSCGEFEFRVRRSGGAANELLCRGRLAAAAPPHKAAPPRPGGKVQEVDAGSGGCGGAGRRSAATVAPLQHSHSAGFRDAQSPVVRLRKEGSRRRKAARTLHAKLLASRTFFRSLFARTSCSDEQCRGADVRPRAGATPSGEDKSNSCKASFGQIKNGYHQVHHGSSGRAAPTTLRSSIEQEKLMDEEELAAAAVRQRKSFSGVIKWWHAAPAPAAAPLTKPLSSRSSGAGGGGPALKRSSSARSESEGLIQGAIAYCKRSHQQLGLARKSVSDAALCSAPSWPGIPARSTIAYCH >PAN49963 pep chromosome:PHallii_v3.1:9:63682689:63689715:1 gene:PAHAL_9G488300 transcript:PAN49963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLAVAGSAARKAEVQTLAPVLLMGPPPPPPIPPTPGMYLPGPPPPGVLLPRPIPAPLTREVIAHMDECRSRSLLKFISDVGIMPSLEDEQRRERVVRELGKIVMDWAKRVAYEQRKQHWITSAAVLTFGSYALGAYGPESDIDVLCVGPYIASLQHHFFVVLRHMLEGRPEVSELHSIEGAKVPLMRFKFNGILVDFPYVQLPVINAAEAIHAFDPRVLENVDGASWKCLSGVCVNRQIIQLVPNMKKFQYLLRCLKLWARKRGLHCHLLGFFAGIHLAILAAYVCRRHPNASINTLLSLFFEIFVHWPWPLPVSLLDPPALCRGTDGCSLMPIMLPCIPPEFCSSSMTQSTFSKIKEELRRGYALTKDTRTTDFDWSWLFAPFPYGARYKCFLRIFLSAPMAEELRDWVGWVKSRFRNLILKLESLGVYCDPDPLEQADHTINEPNVVFFWGLMYRRNIQICTSSLKEDFMKSVLNNIYGKEKCAHSDITMSIVGPPQLPKSVFDHSVYSEKLPPHMMGHQLMKQSYNAVS >PAN50116 pep chromosome:PHallii_v3.1:9:64520519:64523732:-1 gene:PAHAL_9G499500 transcript:PAN50116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADYRTPDRLLPAAAEEPAAPPGPPPKPVLSAPAVPAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPALSLGAALRNAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSALTDRFGPNNPAAHAASGVLATVASDAVFTPMDTVKQRLQLTSSPYTGVGHCIRTVLRDEGLGAFFVSYRTTVVMNAPYTAVHFATYEAAKRILGDMAADEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVFKTIIKRDGYSGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEERRK >PAN46006 pep chromosome:PHallii_v3.1:9:9706928:9710882:1 gene:PAHAL_9G157100 transcript:PAN46006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G44560) UniProtKB/Swiss-Prot;Acc:Q0WTY4] MNIFKKKVDPKEALRTSKREMAVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQILNLQGTRAQIRGVATHTQAMYAGTSISAGMKGASKAMAAMNKQMEPAKQIKVMKEFQKQSTQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGATNKKVDNSQARNAAAPARNVAAPPESSAEVDDLERRLASLRRI >PAN50055 pep chromosome:PHallii_v3.1:9:64211645:64214078:-1 gene:PAHAL_9G494900 transcript:PAN50055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQNGKRAAPFLCLPLPLSTPRASRRDETPTRSAPRRLAMAAADYDRAYHPDAAPATAGEFDRPYRNEVVPYGDRRLDIVVKPPARSPPPPLPASTRSGGGAGSAWCFSDPEMKRRRRVASYKAYSVEGKVKASLRRGFRWIKAKCSELIHG >PAN50287 pep chromosome:PHallii_v3.1:9:65238827:65239539:-1 gene:PAHAL_9G510300 transcript:PAN50287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDDSNPASYIHTVQHLIERCMTFGMSMEECMEALAKRADVQPVVTSTVWKELEKENKEFFDQYKQWTSEKRSAGRSS >PAN49495 pep chromosome:PHallii_v3.1:9:61359359:61361063:-1 gene:PAHAL_9G453300 transcript:PAN49495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATSLKMPVLAALLLLLAVACRASPYYPLELGYYRYKCPQAETIVKTVMEKAIGQNPGNGAALIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDAIKDALEAACPGVVSCADIIAFAARDASYFLSHGRVYFDMPAGRLDGTFSNASEPLKFLVPPTSNLSALISSFVVKGMSVEDLVVLSGAHTVGRSHCSSFVPDRLAVPSDINPGLAGFLRGRCPANPTSSDDPTVMQDVVTPNDMDNQFYKNVLSHTVLFTSDAALLTSPETAKLVVDHAKIPGWWEDRFKKAMVKMASIQVKTGYQGQVRKNCRAINHY >PVH31896 pep chromosome:PHallii_v3.1:9:18198168:18198892:1 gene:PAHAL_9G255200 transcript:PVH31896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPGGKEATLGTCSSSPTMAAVPQPSVRDPSPPSAGPSNISGPFCTPKKIPMVPSSCSTFLPDCDDELKPKVGMSFDSLDAVEEFYKIYAHEAGFAVRIGAQTKVLGIIENKRFLCTRQGFSKKSAKSDVALAGNQKNSKKPKMRSETRCGCNAQIYVKLGPDKRYYIASMIEHHNHGLVSPDKIMFLRSNRTIRERVKTALFTCHKASIGTS >PAN51693 pep chromosome:PHallii_v3.1:9:71786026:71789407:1 gene:PAHAL_9G613000 transcript:PAN51693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATALSLGGGGRGGTPLLRGYSTAAAGRCCAFPRSRWRPPRLAASRADDSSPAPFEMTVEGALKLLGVAEGASFDEILRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRSGKVADNSIRYADVKPVKSAGAGTVPLPQWMQRTMKNPPITFETPSSSNLGIQSCVYGALMVFTYASGSSTSLPSAYTSPDVPGFILATGFGASLYFLAKKNMNLGKAALITVGGLAAGATVGSAVENFLQVDIVPFLGIHSPAVVVSEFILFSQLLVSLFVR >PVH33272 pep chromosome:PHallii_v3.1:9:71786144:71788135:1 gene:PAHAL_9G613000 transcript:PVH33272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATALSLGGGGRGGTPLLRGYSTAAAGRCCAFPRSRWRPPRLAASRADDSSPAPFEMTVEGALKLLGVAEGASFDEILRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRSGKVADNSIRYADVKPVKSAGAGTVPLPQWMQRTMKNPPITFETPSSSNLGIQSCVYGALMVFTYASGSSTSLPSAYTSPDVPGFILATGFGASLYFLAKKNMNLGVIEA >PAN44790 pep chromosome:PHallii_v3.1:9:4046806:4050241:-1 gene:PAHAL_9G070400 transcript:PAN44790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLRRARALLAAGVLLAGLVGVALLCAGDEQFVYTGFAGAPLILDGTAVITRTGLLELTNGTAQLQGHAVHPTPMPFRRSPGGPVRSFSASFVFGIIPPYAELSGHGIVFFAGNNSFATALPSQYLGFLNSSNNGNASNHIFGVELDTIRSTEFKDPNDNHVGIDINSLTSANVSDAGYYDDGTGAFHSLSLISAKAMQVWVDYDGATTQINVFLAPLKMAKPSKPLVSATQNLSDVLVEPVYVGFSSATGTVRSLHYVLGWSFAMDGPAPAINIAGLPKLPRFGPKPRSKVLDIVLPIASAAFVLGVVAVVVVLVRRRLKYSELREDWEVEFGPHRFTYKDLFRATEGFKSKKLLGIGGFGRVYKGVLPKSKLEVAVKRVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKEEKATLDWAQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFILEVVCGRRPVELSMTDNRLMLVDWVLDHWQEESLAEVIDARLEGKYDADEATLALKLGLLCSHPLPGARPSMRQVMQYLDGHMPFPELTPAHLSFSMLALMRSEGFDSFVMSASHASTTAMSIGTMTGLSGGR >PAN44789 pep chromosome:PHallii_v3.1:9:4046770:4050241:-1 gene:PAHAL_9G070400 transcript:PAN44789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLRRARALLAAGVLLAGLVGVALLCAGDEQFVYTGFAGAPLILDGTAVITRTGLLELTNGTAQLQGHAVHPTPMPFRRSPGGPVRSFSASFVFGIIPPYAELSGHGIVFFAGNNSFATALPSQYLGFLNSSNNGNASNHIFGVELDTIRSTEFKDPNDNHVGIDINSLTSANVSDAGYYDDGTGAFHSLSLISAKAMQVWVDYDGATTQINVFLAPLKMAKPSKPLVSATQNLSDVLVEPVYVGFSSATGTVRSLHYVLGWSFAMDGPAPAINIAGLPKLPRFGPKPRSKVLDIVLPIASAAFVLGVVAVVVVLVRRRLKYSELREDWEVEFGPHRFTYKDLFRATEGFKSKKLLGIGGFGRVYKGVLPKSKLEVAVKRVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKEEKATLDWAQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFILEVVCGRRPVELSMTDNRLMLVDWVLDHWQEESLAEVIDARLEGKYDADEATLALKLGLLCSHPLPGARPSMRQVMQYLDGHMPFPELTPAHLSFSMLALMRSEGFDSFVMSASHASTTAMSIGTMTGLSGGR >PAN44788 pep chromosome:PHallii_v3.1:9:4046805:4050241:-1 gene:PAHAL_9G070400 transcript:PAN44788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLRRARALLAAGVLLAGLVGVALLCAGDEQFVYTGFAGAPLILDGTAVITRTGLLELTNGTAQLQGHAVHPTPMPFRRSPGGPVRSFSASFVFGIIPPYAELSGHGIVFFAGNNSFATALPSQYLGFLNSSNNGNASNHIFGVELDTIRSTEFKDPNDNHVGIDINSLTSANVSDAGYYDDGTGAFHSLSLISAKAMQVWVDYDGATTQINVFLAPLKMAKPSKPLVSATQNLSDVLVEPVYVGFSSATGTVRSLHYVLGWSFAMDGPAPAINIAGLPKLPRFGPKPRSKVLDIVLPIASAAFVLGVVAVVVVLVRRRLKYSELREDWEVEFGPHRFTYKDLFRATEGFKSKKLLGIGGFGRVYKGVLPKSKLEVAVKRVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKEEKATLDWAQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFILEVVCGRRPVELSMTDNRLMLVDWVLDHWQEESLAEVIDARLEGKYDADEATLALKLGLLCSHPLPGARPSMRQVMQYLDGHMPFPELTPAHLSFSMLALMRSEGFDSFVMSASHASTTAMSIGTMTGLSGGR >PVH32452 pep chromosome:PHallii_v3.1:9:56881452:56882446:1 gene:PAHAL_9G398600 transcript:PVH32452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVVQVFGQPASTDVARVMACLLERKLDFELVRTDAFRRGRKLPELVKMRDPSSKVMLKHGDTTLTDSRDICRYVCTEFPRWCTRDLYGAGALERASVEKWLQAEAQSFDAPSAALAFHLAFAPRLVGVPSSSPAAAPDGIDDEEEARRAATVAESERLLLRVLDVYDDALGRSAYLAGGEFTLADLSHLPNAHYVACSARGRALLASRGNVARWYAAISARPAWRRVVGVQARSAHCPCALEA >PVH31481 pep chromosome:PHallii_v3.1:9:9599446:9602035:1 gene:PAHAL_9G156000 transcript:PVH31481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVTCCRAGLLCRSTRIQRRGVGANHISALPDSMLLQVLVCLGCARRWRGLWALLPELTFHNIGPEPLRSALAQDNLGDVTRSFEQEMSRIPVRNISCLALELATEEHVYGAVLLDLLGLCSSIQRLQVTLNQYDNEVKACSVNCPCHQPYNWRSQIISLTDLKEVSIEGFEGEEHEVGLLKVLLRCAAMLERVTINFSRNVPRSCSAYMELPSILKAHPSVKFKIYRWCGDQVLFG >PAN45985 pep chromosome:PHallii_v3.1:9:9599446:9602035:1 gene:PAHAL_9G156000 transcript:PAN45985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVTCCRAGLLCRSTRIQRRGVGANHISALPDSMLLQVLVCLGCARRWRGLWALLPELTFHNIGPEPLRSALAQDNLGDVTRSFEQEMSRIPVRNISCLALELATEEHVYGAVLLDLLGLCSSIQRLQVTLNQYDNEKVKACSVNCPCHQPYNWRSQIISLTDLKEVSIEGFEGEEHEVGLLKVLLRCAAMLERVTINFSRNVPRSCSAYMELPSILKAHPSVKFKIYRWCGDQVLFG >PAN45986 pep chromosome:PHallii_v3.1:9:9600450:9601254:1 gene:PAHAL_9G156000 transcript:PAN45986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFMWSMTLLTPKSLGHVHGLPDNILWLNIGARDNLGDVTRSFEQEMSRIPVRNISCLALELATEEHVYGAVLLDLLGLCSSIQRLQVTLNQYDNEKVKACSVNCPCHQPYNWRSQIISLTDLKEVSIEGFEGEEHEVGLLKVLLRCAAMLERVTINFSRNVPRSCSAYMELPSILKAHPSVKFKIYRWCGDQVLFG >PVH31480 pep chromosome:PHallii_v3.1:9:9600450:9601254:1 gene:PAHAL_9G156000 transcript:PVH31480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFMWSMTLLTPKSLGHVHGLPDNILWLNIGARDNLGDVTRSFEQEMSRIPVRNISCLALELATEEHVYGAVLLDLLGLCSSIQRLQVTLNQYDNEVKACSVNCPCHQPYNWRSQIISLTDLKEVSIEGFEGEEHEVGLLKVLLRCAAMLERVTINFSRNVPRSCSAYMELPSILKAHPSVKFKIYRWCGDQVLFG >PAN50384 pep chromosome:PHallii_v3.1:9:62977578:62980433:-1 gene:PAHAL_9G478900 transcript:PAN50384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPPAEGKPWELAAHPRLAKGTLVGVVVLDGWGEAPPDPFNCIHAADTPTLDALKKAAPARWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALASGKIYEGEGFKYIRQSFDDGTLHLIGLLSDGGVHSRFDQLQLLLKGASEHGAKRIRVHVLTDGRDVLDGSSVRFVEMLEEDLARLREKGVDARVASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEAPHKFKNALEAVKKLREDPKANDQYLPPFVIVDESGKPVGPIQDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGVRTYACSETVKFGHVTFFWNGNRSGYFNPSLEKYEEIPSDIGIPFNVQPKMKALEIAQKARDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIILDAIEQVGGIFVLTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVPIAIGGPGLAPGVRLRTDLPNAGLANVAATVMNLHGFEAPEHYEPTLIEVVDK >PAN49842 pep chromosome:PHallii_v3.1:9:62977060:62980782:-1 gene:PAHAL_9G478900 transcript:PAN49842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPPAEGKPWELAAHPRLAKGTLVGVVVLDGWGEAPPDPFNCIHAADTPTLDALKKAAPARWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALASGKIYEGEGFKYIRQSFDDGTLHLIGLLSDGGVHSRFDQLQLLLKGASEHGAKRIRVHVLTDGRDVLDGSSVRFVEMLEEDLARLREKGVDARVASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEAPHKFKNALEAVKKLREDPKANDQYLPPFVIVDESGKPVGPIQDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGVRTYACSETVKFGHVTFFWNGNRSGYFNPSLEKYEEIPSDIGIPFNVQPKMKALEIAQKARDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIILDAIEQVGGIFVLTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVPIAIGGPGLAPGVRLRTDLPNAGLANVAATVMNLHGFEAPEHYEPTLIEVVDK >PAN49841 pep chromosome:PHallii_v3.1:9:62977727:62980433:-1 gene:PAHAL_9G478900 transcript:PAN49841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPPAEGKPWELAAHPRLAKGTLVGVVVLDGWGEAPPDPFNCIHAADTPTLDALKKAAPARWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALASGKIYEGEGFKYIRQSFDDGTLHLIGLLSDGGVHSRFDQLQLLLKGASEHGAKRIRVHVLTDGRDVLDGSSVRFVEMLEEDLARLREKGVDARVASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEAPHKFKNALEAVKKLREDPKANDQYLPPFVIVDESGKPVGPIQDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGVRTYACSETVKFGHVTFFWNGNRSGYFNPSLEKYEEIPSDIGIPFNVQPKMKALEIAQKARDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIILDAIEQVGGIFVLTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVSAPHKVSRHVDPYVLACQCALNRVSETVCRFQSP >PVH31210 pep chromosome:PHallii_v3.1:9:4763442:4768063:-1 gene:PAHAL_9G082700 transcript:PVH31210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGSSGGGAAAGGNDPRQPSTAKPYAPPKLSPQDLPIDYAGFLAVVFGVVGVMLRYKLCSWIAIIFCAQSLANMKNFENDLKQLSMAFMFAVMGLVTNYFGPPRPGSTKR >PVH31845 pep chromosome:PHallii_v3.1:9:16892168:16893398:-1 gene:PAHAL_9G246400 transcript:PVH31845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGTWRPLGPEARESADMAKGSALTLVVADLCTSLPLLPAVSTAPRRAPSECTHDEASKQRQPFAAPRAPLSALPLSQERQQAPCQVERSLDDAR >PVH30984 pep chromosome:PHallii_v3.1:9:1505485:1507840:1 gene:PAHAL_9G026700 transcript:PVH30984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MASKPVTVGDLIHRVASSCLSNRLPCNYTLRDSVDSDLDDDDDDPFADAVSSSDKCRRSPSAAEVEVEGQQQEEEKLKIWEEGSQEERKAAQGAERARDAEALMAEVFDAVSGLRRAYAALQGAHCPWDPDKMRAADAAVVAELRHLARLRDRFRRSAAAGHIPRPNPSAPPLREAVAPYEAALDDLQRQLQSKQAEVDGLKEKLAAATSRRNGRHHPSKPNGPGGAPTADLFTTCAEQARAATRAFAAHLLHLMRAAGVDVAAATRSLTKIPVSSPQLAKHALEAHVTRSLLGGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPAELLGVLPTCAFGRYAATKFAALLPPRVEEAILGDGEHRRVVNGGTHPRTPFYGEFLRAAKAVWMLHLLAFALEPPPSHFEAGRGAEFHPEYMESVAGAPPRAGAGMVVGFAVAPGFRLGNGAVVRARVYLVPRGGRP >PVH31999 pep chromosome:PHallii_v3.1:9:29830669:29831107:-1 gene:PAHAL_9G286800 transcript:PVH31999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEVETINSFSKSELLKEVYGLIWILPILTLLLGITIQVLVIVWLEREISASIQQRIGLEYAGPLGLLQAIADETKLLLKEDILPSRGEIPLFSIGPSVAVISILLSFLVIPLGYRFVLADLSIGVFFMDCHFKYCSY >PAN48916 pep chromosome:PHallii_v3.1:9:54779755:54787589:1 gene:PAHAL_9G378000 transcript:PAN48916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPRAPAPIPRRRLLSISAPSPGRISIGDSNRISFSRGGEKKRGRISSADTPARMATDSALTKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQIGFNDGIPITEEFYSANISGGHNDDLARSLFPDMDHDKAMQFMDDKEAFFRKLAPGRLKAVDGLHDLCRWIEGYNLKRAAVTNAPRANAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALELIGASPDHTFIFEDSASGIRAGVAAGVPVVGLTTRNPAKALSDAGASLLVNDFQDPKLLSVLEELKPVTENGQA >PAN45711 pep chromosome:PHallii_v3.1:9:8322102:8322907:-1 gene:PAHAL_9G136300 transcript:PAN45711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGSGSAHPTSLPEEEVDVPGRPDFVDRQPPHPGDRVTPERERRTSRPETAVVYLPAPRPEMLYFARCFAYAYITPEGAPCKASPAPFIRAAIGAALPGLRFELTPPAYGADRTVRFATPEDREAAMERQPFALDGASVRLDRGGETSNVRRVSLDILAHVALLGYPREQRSEEDIRSNGCGFGHLLEVDPACYAAPDLSPVRAVLAMEREHVRNTPRQVRIRYSDFRSRHVVPVRILRVWDWSESTDANGEYVPVYPAAAAP >PAN49976 pep chromosome:PHallii_v3.1:9:63807211:63808923:1 gene:PAHAL_9G489300 transcript:PAN49976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSQAASLMPTFSELKTPSILFATNRNCESELVGSLNRLIFRASSRERLFMETFIRTNVDMINTVTYWKQPESWTEFHWKRIHPQTNIDLKL >PAN47711 pep chromosome:PHallii_v3.1:9:40927978:40929008:-1 gene:PAHAL_9G325100 transcript:PAN47711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTSHTLLSRPARSSAGLSFGCVKPAVVGLPCASAGKNRPRSICYSVDTKSTDHQFNISPVALVHPYMPPTSTPRWEIKDDSKNVKLTLFNMPEGAMPGDFQVAIEDDVLVIKTKPKLPVEQQAVPDGSISFHIRLLVPKGYDKENVRAELQLRALVVTIPKINPAFTKEVAIDGK >PAN44759 pep chromosome:PHallii_v3.1:9:3905538:3909313:1 gene:PAHAL_9G067800 transcript:PAN44759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHPQQPQQYGDPYRGLVPSPQPDHHLHALQYHHQPQPALMSPPQPQPQPGLMSPPQQQPQPGLMSPPQPQQHHHASLASHFHLLHLVTRLADTIGTGTRDQNFDALVEELTSQFARCQQLLNSISGTISSKSTTVEGQRQSLDETRQLLDQRKELITKYRSSVEDLLKGDTR >PAN48423 pep chromosome:PHallii_v3.1:9:55178722:55180836:1 gene:PAHAL_9G381100 transcript:PAN48423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51120) UniProtKB/TrEMBL;Acc:F4KBV3] MAYCFNFPLSSIVCLPLFFFLFVFSEEESEAAAATAEKAEVDARSIYVGNVDYACLPEEVQQHFQFCGTINRVTILTDSFGQPKGFAYVEFDEVEAVQNALLLNETELHGRPLKVCPKRTNIPGMKQSRGRHPFYPSYGKLPRFRRFLGYGYSPYY >PAN48424 pep chromosome:PHallii_v3.1:9:55176814:55180836:1 gene:PAHAL_9G381100 transcript:PAN48424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51120) UniProtKB/TrEMBL;Acc:F4KBV3] MATTMTAKRGPSGGEMRGSGGRARACPVGDGETGHPSAAALRASLSTRDQEIEEMRRRLRELEKLEFEIPPAASHEEESEAAAATAEKAEVDARSIYVGNVDYACLPEEVQQHFQFCGTINRVTILTDSFGQPKGFAYVEFDEVEAVQNALLLNETELHGRPLKVCPKRTNIPGMKQSRGRHPFYPSYGKLPRFRRFLGYGYSPYY >PVH32357 pep chromosome:PHallii_v3.1:9:55178828:55180155:1 gene:PAHAL_9G381100 transcript:PVH32357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51120) UniProtKB/TrEMBL;Acc:F4KBV3] MAYCFNFPLSSIVCLPLFFFLFVFSEEESEAAAATAEKAEVDARSIYVGNVDYACLPEEVQQHFQFCGTINRVTILTDSFGQPKGFAYVEFDEVEAVQNALLLNETELHGRPLKVCPKRTNIPGMKQSRGRHPFYPSYGKLPRFRRFLGYGYSPYY >PAN48422 pep chromosome:PHallii_v3.1:9:55176813:55179598:1 gene:PAHAL_9G381100 transcript:PAN48422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51120) UniProtKB/TrEMBL;Acc:F4KBV3] MATTMTAKRGPSGGEMRGSGGRARACPVGDGETGHPSAAALRASLSTRDQEIEEMRRRLRELEKLEFEIPPAASHEEESEAAAATAEKAEVDARSIYVGNVDYACLPEEVQQHFQFCGTINRVTILTDSFGQPKGFAYVEFDEVEAVQNALLLNETELHGRPLKVCPKRTNIPGMKQSRGRHPFYPSYG >PVH31190 pep chromosome:PHallii_v3.1:9:4463669:4468952:-1 gene:PAHAL_9G077100 transcript:PVH31190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDPSPFGLRPCFTWLPLHFRCRAASAPLFRSPHLETKRNPSLPQTPEAPKPPGVPRDLSAMVAAGAAAAAPRLNPSPSPHRRRASSALSPAKSNANANANAADAGDARPKPKAKAVSSRYLLAPSSKSTSTSTSTSTSTTTTSSSSNSTSTSASTPSRRFASPLPRRSVSVDRPRPGPAANAAVGEAGGPNAGTTTTTRSLSVAFQGRSFSFETSKAKPTTSPSPSRRPVASAAGAITPERRRPAMGTVPERGKGFEGGQSHHRWPMSARFSQGLEGNPLTKSLDCSLRKRDAAVFAAVRSLRQSMVFEEGVRRSSFDGGDYLMSSDTESVSSGSNSGSQDAGIGISHRAHPLPKGMGVPARFLQDAAASRSQRFADPGTPYLPYTSGLATSPRTAPVKKSLLNGFVSSPLNRPIRQSSPSKLTGNPSRRMSSPSRARNLGSGTSSWDHQGRSSSGYGLDGEVRRRWHGGSKVDCEHLLRILSNRHLQWRCVNAQADAALAAQKLTAEMAYLEEWSILERDYADSLSGIVESLTASILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLAGTSILVSDLSKVATHERTLMEQSRELLSTLATMHVKYCSLQGQRVQTTDKRSKHS >PVH31189 pep chromosome:PHallii_v3.1:9:4464389:4468946:-1 gene:PAHAL_9G077100 transcript:PVH31189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDPSPFGLRPCFTWLPLHFRCRAASAPLFRSPHLETKRNPSLPQTPEAPKPPGVPRDLSAMVAAGAAAAAPRLNPSPSPHRRRASSALSPAKSNANANANAADAGDARPKPKAKAVSSRYLLAPSSKSTSTSTSTSTSTTTTSSSSNSTSTSASTPSRRFASPLPRRSVSVDRPRPGPAANAAVGEAGGPNAGTTTTTRSLSVAFQGRSFSFETSKAKPTTSPSPSRRPVASAAGAITPERRRPAMGTVPERGKGFEGGQSHHRWPMSARFSQGLEGNPLTKSLDCSLRKRDAAVFAAVRSLRQSMVFEEGVRRSSFDGGDYLMSSDTESVSSGSNSGSQDAGIGISHRAHPLPKGMGVPARFLQDAAASRSQRFADPGTPYLPYTSGLATSPRTAPVKKSLLNGFVSSPLNRPIRQSSPSKLTGNPSRRMSSPSRARNLGSGTSSWDHQGRSSSGYGLDGEVRRRWHGGSKVDCEHLLRILSNRHLQWRCVNAQADAALAAQKLTAEKYLSDAWITTLGMRKSVALKRFQLQLYRNNWKLMTVLKGQMAYLEEWSILERDYADSLSGIVESLTASILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLAGTSILVSDLSKVATHERTLMEQSRELLSTLATMHVKYCSLQGQRVQTTDKRSKHS >PVH31590 pep chromosome:PHallii_v3.1:9:11625530:11628714:1 gene:PAHAL_9G183900 transcript:PVH31590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGELTHRSSPFTFSLQHLSLFHLHLHPHPHPCMAMPPGRPWAELQHDLLVAIMTRVGAPDLLSGGAPRACSSWRAAARDPLAWRRVDLRDWAALTSGRRAAGPGPSGARVPVHAALAGILDVAATLAEGRIEAVLLPEFADEDHLLFLAERCPNLQYFSLPSTCMTYDQFCKAIGELHSLKGMAVDESLINYNVLLHVHQCCPDFVELKVSALYVDEEMASVICNSLPQLKKLEIPSSDMPASAIITFLDCLEELEYLDISGYETSVISSVVLEKASRLKVFLWNSKFELGEFVDCSNCGEHNINPGEPCKCMMEHKVMDWLAGPA >PAN47877 pep chromosome:PHallii_v3.1:9:34517744:34519866:1 gene:PAHAL_9G306000 transcript:PAN47877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKQRDGHAGKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALAGSLTAGRVSDWVGRRLTISLAATIFLAGSALMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEISSAEIRGSVTSLPEICISFGILVGYVANYLLAKLPLVYGWRAMLGLGALPSAVLAVGVIAMPESPRWLVMQGRVEPALAVLRRVCDTAGEADVRLAEIKVAAGIAADAAASAPHQPSSVGKGVWREMFLHPTPPVRRILVAAFGVHFFQHLTGIEAVVLYSPRIFKAAGIATRSEILAATIGVGVTKTVFILTAILLVDRIGRRPLYLSSLAGIVASLACLGLGLTIVERSAPHHSPAWAVVLSIATVFTFIASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAVTIGGAFFLFAGLAVLAATFFYFLCPETQGRPLEEIEEVFSRRWRERLRSPAMAVELPVSNVPDGKAGP >PAN47878 pep chromosome:PHallii_v3.1:9:34514967:34519866:1 gene:PAHAL_9G306000 transcript:PAN47878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKQRDGHAGKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALAGSLTAGRVSDWVGRRLTISLAATIFLAGSALMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEISSAEIRGSVTSLPEICISFGILVGYVANYLLAKLPLVYGWRAMLGLGALPSAVLAVGVIAMPESPRWLVMQGRVEPALAVLRRVCDTAGEADVRLAEIKVAAGIAADAAASAPHQPSSVGKGVWREMFLHPTPPVRRILVAAFGVHFFQHLTGIEAVVLYSPRIFKAAGIATRSEILAATIGVGVTKTVFILTAILLVDRIGRRPLYLSSLAGIVASLACLGLGLTIVERSAPHHSPAWAVVLSIATVFTFIASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAVTIGGAFFLFAGLAVLAATFFYFLCPETQGRPLEEIEEVFSRRWRERLRSPAMAVELPVSNVPDGKAGP >PAN49893 pep chromosome:PHallii_v3.1:9:63330821:63331839:1 gene:PAHAL_9G482700 transcript:PAN49893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCARPVGAGYFGGGARCQRRTRVRVSAVAAPASRAAAATMYEVLAVEETAGPEEIKAAYRRAARRWHPDACPGGADRFMAAREAYEVLSDPERRRGYDIQLRCGGGAEARRAGFADWEAQLAGLQWRAAERRGRAGAETWGSRMRRAAAHQSR >PVH31311 pep chromosome:PHallii_v3.1:9:6336254:6340596:-1 gene:PAHAL_9G108700 transcript:PVH31311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVPLRRPCAGPSADPPPPRRPRPRAPSPPSRRRPPRFRPRRRVSHLRRTVFVSSDSDLVSDHEVSRHPLTSLPGPRMWCLSARLPPHDPQDVIDSLPAQDVIDSLPARRTRALEAFDVLTSGISQYPLNGILDG >PVH31309 pep chromosome:PHallii_v3.1:9:6336254:6340595:-1 gene:PAHAL_9G108700 transcript:PVH31309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVPLRRPCAGPSADPPPPRRPRPRAPSPPSRRRPPRFRPRRRVSHLRRTVFVSSDSDLVSDHEVSRHPLTSLPGPRMWCLSARLPPHDPQDVIDSLPAQDVIDSLPARRTRALEAFDVLTSGISQYPLNGILDG >PVH31310 pep chromosome:PHallii_v3.1:9:6339859:6340420:-1 gene:PAHAL_9G108700 transcript:PVH31310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVPLRRPCAGPSADPPPPRRPRPRAPSPPSRRRPPRFRPRRRVSHLRRTVFVSSDSDLVSDHEVSRHPLTSLPGPRMWCLSARLPPHDPQDVIDSLPAQDVIDSLPARRTRALEAFDVLTSGISQYPLNGILDG >PVH31308 pep chromosome:PHallii_v3.1:9:6339859:6340420:-1 gene:PAHAL_9G108700 transcript:PVH31308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVPLRRPCAGPSADPPPPRRPRPRAPSPPSRRRPPRFRPRRRVSHLRRTVFVSSDSDLVSDHEVSRHPLTSLPGPRMWCLSARLPPHDPQDVIDSLPAQDVIDSLPARRTRALEAFDVLTSGISQYPLNGILDG >PAN51080 pep chromosome:PHallii_v3.1:9:69096434:69103515:-1 gene:PAHAL_9G568300 transcript:PAN51080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVVSDGGESIDTDVASRTSSHRRVDSVQHGHGYKVGVPPKKSLFAEFSDAVKETFFADDPLRQFKDLPRSKKIWLGLQQVFPVLDWGRNYSLGKFKGDLIAGLTIASLCIPQDIGYSKLANLPPQVGLYSSFVPPLIYAAMGSSRDIAIGPVAVVSLLLGTLLQNEIDPNTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIAHFTKKSDIVSVMESVWGNVHHGWNWQTILIGASFLAFLLVAKYIGKKNKRLFWVSAIAPLISVIISTFFVYITRADKHGVSIVKNIKKGINPPSVSLIYFSGPYLATGFRVGAVAGMIGLTEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCTTAVSNVVMAIVVMLTLLLITPLFKYTPNAILSSIIISAVLGLIDYESAYLIWKVDKLDFLACLGAFIGVIFSSVEYGLLIAVAISLAKILLQVTRPRTALLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQDQKLSKIEFLIVELSPVIDIDTSGIHALEELLKALEKRKIQLVLANPGPVVIQKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKVVDNV >PAN51079 pep chromosome:PHallii_v3.1:9:69096434:69102220:-1 gene:PAHAL_9G568300 transcript:PAN51079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVVSDGGESIDTDVASRTSSHRRVDSVQHGHGYKVGVPPKKSLFAEFSDAVKETFFADDPLRQFKDLPRSKKIWLGLQQVFPVLDWGRNYSLGKFKGDLIAGLTIASLCIPQDIGYSKLANLPPQVGLYSSFVPPLIYAAMGSSRDIAIGPVAVVSLLLGTLLQNEIDPNTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIAHFTKKSDIVSVMESVWGNVHHGWNWQTILIGASFLAFLLVAKYIGKKNKRLFWVSAIAPLISVIISTFFVYITRADKHGVSIVKNIKKGINPPSVSLIYFSGPYLATGFRVGAVAGMIGLTEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCTTAVSNVVMAIVVMLTLLLITPLFKYTPNAILSSIIISAVLGLIDYESAYLIWKVDKLDFLACLGAFIGVIFSSVEYGLLIAVAISLAKILLQVTRPRTALLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQDQKLSKIEFLIVELSPVIDIDTSGIHALEELLKALEKRKIQLVLANPGPVVIQKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKVVDNV >PVH33099 pep chromosome:PHallii_v3.1:9:69096434:69101020:-1 gene:PAHAL_9G568300 transcript:PVH33099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVVSDGGESIDTDVASRTSSHRRVDSVQHGHGYKVGVPPKKSLFAEFSDAVKETFFADDPLRQFKDLPRSKKIWLGLQQVFPVLDWGRNYSLGKFKGDLIAGLTIASLCIPQDIGYSKLANLPPQVGLYSSFVPPLIYAAMGSSRDIAIGPVAVVSLLLGTLLQNEIDPNTHPLEYRRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIAHFTKKSDIVSVMESVWGNVHHGWNWQTILIGASFLAFLLVAKYIGKKNKRLFWVSAIAPLISVIISTFFVYITRADKHGVSIVKNIKKGINPPSVSLIYFSGPYLATGFRVGAVAGMIGLTEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCTTAVSNVVMAIVVMLTLLLITPLFKYTPNAILSSIIISAVLGLIDYESAYLIWKVDKLDFLACLGAFIGVIFSSVEYGLLIAVAISLAKILLQVTRPRTALLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQDQKLSKIEFLIVELSPVIDIDTSGIHALEELLKALEKRKIQLVLANPGPVVIQKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKVVDNV >PVH32464 pep chromosome:PHallii_v3.1:9:57220860:57221747:-1 gene:PAHAL_9G403200 transcript:PVH32464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRALYNDMSRYVCCAGYMPCSGKCGESQCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFFLQQLACICSLVACIVGNSELSDVASVISCMSNLVYWTVCSCMQTQHKVEMDKRDGTFSTMSVPPMQQMSRW >PAN48758 pep chromosome:PHallii_v3.1:9:57220385:57222864:-1 gene:PAHAL_9G403200 transcript:PAN48758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSEAMIEKMQLRQGYRNVWHTDLTNAVAADLPWCCLSLWCGPCVSYMLRRRALYNDMSRYVCCAGYMPCSGKCGESQCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFFLQQLACICSLVACIVGNSELSDVASVISCMSNLVYWTVCSCMQTQHKVEMDKRDGTFSTMSVPPMQQMSRW >PAN47956 pep chromosome:PHallii_v3.1:9:36140527:36142925:1 gene:PAHAL_9G312100 transcript:PAN47956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTCRQFGGELHSLSLKFMSLEECITHTKSSWWLRNRSCAMDGDMKHLRVRFSGVRQEDNGGGDTIPVPSHESTSFGRAYAATVAAVAYAIVARKEERLASQEMPIAEKFGIEGKPMAGKVGSGKKPPSLWESQITPQSKSPPKRGESFKRPIEGSRSTKWFSGKEPIDDAYDDEPRVNVSVRRPLRPAQKKPEAVISSDEKLADKFLNDSVPSKKKEASFARKGPEKKGSRKFEQDEGNQMLPPTAATTAKPMSSYSSRESRVATPGMDFSSEAEAMADAWEKGKLAKIKKQYNETMDTITEWEAEKKAKARRQKELKDESDSERKRAKALEEYNEEMSRINKVAIASKLTAEEKRRNVERKVRDKAQTIRSTGKLPRTCGCF >PAN47958 pep chromosome:PHallii_v3.1:9:36140527:36142925:1 gene:PAHAL_9G312100 transcript:PAN47958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTCRQFGGELHSLSLKFMSLEECITHTKSSWWLRNRSCAMDGDMKHLRVRFSGVRQEDNGGGDTIPVPSHESTSFGRGLMSNADEYDAAYAATVAAVAYAIVARKEERLASQEMPIAEKFGIEGKPMAGKVGSGKKPPSLWESQITPQSKSPPKRGESFKRPIEGSRSTKWFSGKEPIDDAYDDEPRVNVSVRRPLRPAQKKPEAVISSDEKLADKFLNDSVPSKKKEASFARKGPEKKGSRKFEQDEGNQMLPPTAATTAKPMSSYSSRESRVATPGMDFSSEAEAMADAWEKGKLAKIKKQYNETMDTITEWEAEKKAKARRQKELKDESDSERKRAKALEEYNEEMSRINKVAIASKLTAEEKRRNVERKVRDKAQTIRSTGKLPRTCGCF >PAN50109 pep chromosome:PHallii_v3.1:9:64486569:64489301:-1 gene:PAHAL_9G498800 transcript:PAN50109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARSGLVKLLCSSALLLLCCFLLPGALAEERFYEFVVQETLVKRLCKAQKIITVNGQFPGPTIEVFNGDTVAIKAVNLAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFTIQDQEGTLWWHAHSSWLRATVHGALIIHPRRGLPYPFPKPHKEFPVILAEWWRRDPIAVLRQSMITGAPPNVSDAFLINGQPGDFLPCSSQETSIIPVVAGETSLLRIINAAMNTELFVSLAGHKMTVVAADAMYTKPFDTTVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATAIFQYKNAPGCPTTAGAGAGAGASMGANTFSGPVGRSSARASGSHLGRTGPQPMLPFLPAFNDTNTATAFSNSLRSPKPVKVPGPVTQEVFTTVGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPPFPPVFFDFTSQNIPRALWQPVKGTRLYRVKYGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPRRDAAKFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDSHLTGGLAMALLVEDGESQLEATVAPPLDLPICVL >PAN51044 pep chromosome:PHallii_v3.1:9:68905075:68908678:-1 gene:PAHAL_9G565900 transcript:PAN51044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISHLLSPPSLPSLPPPHLRNGAARQCPTPLRAALALPPQRHVGLAAARGDGGTLGSSRARAVGAVRVSAVPGDGGAVAGGTGIAAAAAATVVFAVMNRVLYKLALVPMKNYPFFLAQFATFGYVLVYFSILFIRFRAGIVTREMLALPKAQFMLIGLLEALGVASGMASAAMLPGPSIPVLSQSFLVWQLILSVLILGRKYRTNQILGCMLVTAGVILAVASGANGGPFLSELNFFWPVVMMASAAFQAAASIIKEFVFIDGAKRLEGKRPDIFIVNSFGSGFQALFVFLLLPFLSSLKGIPFAELPAYLNHGAACFLNIGGNLKDCHGAPLLPLLYMTLNIAFNISALNLVKMSTAVVASLTSTLAVPLTIYVLSLPLPYLPEGTNLSTSFIIGAATLVLGLLLYNLPQTSADQVKKD >PAN51045 pep chromosome:PHallii_v3.1:9:68904751:68908733:-1 gene:PAHAL_9G565900 transcript:PAN51045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISHLLSPPSLPSLPPPHLRNGAARQCPTPLRAALALPPQRHVGLAAARGDGGTLGSSRARAVGAVRVSAVPGDGGAVAGGTGIAAAAAATVVFAVMNRVLYKLALVPMKNYPFFLAQFATFGYVLVYFSILFIRFRAGIVTREMLALPKAQFMLIGLLEALGVASGMASAAMLPGPSIPVLSQSFLVWQLILSVLILGRKYRTNQILGCMLVTAGVILAVASGANGGPFLSELNFFWPVVMMASAAFQAAASIIKEFVFIDGAKRLEGKRPDIFIVNSFGSGFQIAMELLCYHYST >PVH33092 pep chromosome:PHallii_v3.1:9:68906161:68908733:-1 gene:PAHAL_9G565900 transcript:PVH33092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISHLLSPPSLPSLPPPHLRNGAARQCPTPLRAALALPPQRHVGLAAARGDGGTLGSSRARAVGAVRVSAVPGDGGAVAGGTGIAAAAAATVVFAVMNRVLYKLALVPMKNYPFFLAQFATFGYVLVYFSILFIRFRAGIVTREMLALPKAQFMLIGLLEALGVASGMASAAMLPGPSIPVLSQSFLVWQLILSVLILGRKYRTNQILGCMLVTAGVILAVASGANGGPFLSELNFFWPVVMMASAAFQAAASIIKEFVFIDGAKRLEGSR >PVH33091 pep chromosome:PHallii_v3.1:9:68905994:68908678:-1 gene:PAHAL_9G565900 transcript:PVH33091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISHLLSPPSLPSLPPPHLRNGAARQCPTPLRAALALPPQRHVGLAAARGDGGTLGSSRARAVGAVRVSAVPGDGGAVAGGTGIAAAAAATVVFAVMNRVLYKLALVPMKNYPFFLAQFATFGYVLVYFSILFIRFRAGIVTREMLALPKAQFMLIGLLEALGVASGMASAAMLPGPSIPVLSQSFLVWQLILSVLILGRKYRTNQILGCMLVTAGVILAVASGANGGPFLSELNFFWPVVMMASAAFQAAASIIKEFVFIDGAKRLEGKRPDIFIVNSFGSGFQLERDTFC >PVH33049 pep chromosome:PHallii_v3.1:9:68299543:68299917:1 gene:PAHAL_9G555500 transcript:PVH33049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHTKAHTDQMRTFMKMCSGGTPVGENMQWYNSSWNNEIMIWIFKRCFN >PAN50416 pep chromosome:PHallii_v3.1:9:65819426:65822880:1 gene:PAHAL_9G518400 transcript:PAN50416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLVDGSGDPFFVLNSDVISEYPFAELIQFHKAHGGEATIMVTKVDEPSKYGVVVMEEGTGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADAGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPARLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKQHACVSSSIIGWHSTVGKWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >PAN48995 pep chromosome:PHallii_v3.1:9:58511831:58517243:1 gene:PAHAL_9G417800 transcript:PAN48995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKRNKGGRGSGGADDQLDGGSDADSVASMSTALSDLQLAQATEHVSSQEFVLDKYIDELYEKRGSTREKALGALVDAFESFVLLGLVENKYVTLLSQFTNSIKKGSTKEARLACRAIGLLAITLGAGSSSHEIMDESEPQLLRILQTWPDAPKMISVLDCLAVITFVGATDLAETQLSMRAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWAFLLTTVGSSRRNTDSWKEPITFLTSLLEAEDRAVRIAAGEALALCFELKLLDVSTSEEADVDSDIRETSGSKNQLFLNMQALKAKISGLVYSLSMEAGGRGADKKNLNDQRDLFQRISDFIKSGECPEESLRISGKNGILRVTSWRESIQLNYLRRFLGRGFLKHAQDNDLLHDIFDIKIDRVENMSTTEKKIFRSEEEKGRALKLNKERRLAQERKQNILNEQYG >PAN49900 pep chromosome:PHallii_v3.1:9:63337495:63338047:1 gene:PAHAL_9G482900 transcript:PAN49900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASELFFSDDFSRDKMMNYRCNGRPRLGSSSDAWQEAADADNVWRCTAP >PVH30884 pep chromosome:PHallii_v3.1:9:443669:446646:1 gene:PAHAL_9G005700 transcript:PVH30884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACWHQQLGLLVAAAAALLMTQLLLVSAMSDVPGAITFDDGFTPLFGEANMERSPDGRTVSLTLNRYSGAGFISSHYYHHGFFSADIRLPKDHTAGVVVAFYLSNGDVFEKNHDELDFEFLGNRRRHEWRLQTNVYGNGSTDRGREERYLMPFDPTLEAHRFSILWSSRVVIFYVDGVAVREVPRSGAMGGDYPSKPMALYATIWDGSTWATDNGRYKVNYKRGPFTADFSDLVLRGCPAAAARRDDPAALQLQQLGSDEDDRCAGSEFELMTAEYAIMTPRKRMEMRRWRQRQMLYTVCYDTNRYPAPFPECDVNMEERQKFWEWGESKVVRPRVRASSGSRRRAATATTQQVSLQQAD >PAN43952 pep chromosome:PHallii_v3.1:9:443669:447941:1 gene:PAHAL_9G005700 transcript:PAN43952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACWHQQLGLLVAAAAALLMTQLLLVSAMSDVPGAITFDDGFTPLFGEANMERSPDGRTVSLTLNRYSGAGFISSHYYHHGFFSADIRLPKDHTAGVVVAFYLSNGDVFEKNHDELDFEFLGNRRRHEWRLQTNVYGNGSTDRGREERYLMPFDPTLEAHRFSILWSSRVVIFYVDGVAVREVPRSGAMGGDYPSKPMALYATIWDGSTWATDNGRYKVNYKRGPFTADFSDLVLRGCPAAAARRDDPAALQLQQLGSDEDDRCAGSEFELMTAEYAIMTPRKRMEMRRWRQRQMLYTVCYDTNRYPAPFPECDVNMEERQKFWEWGESKVVRPRVRASSGSRRRAATATTQQVSLQQAD >PVH30885 pep chromosome:PHallii_v3.1:9:443668:448854:1 gene:PAHAL_9G005700 transcript:PVH30885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACWHQQLGLLVAAAAALLMTQLLLVSAMSDVPGAITFDDGFTPLFGEANMERSPDGRTVSLTLNRYSGAGFISSHYYHHGFFSADIRLPKDHTAGVVVAFYLSNGDVFEKNHDELDFEFLGNRRRHEWRLQTNVYGNGSTDRGREERYLMPFDPTLEAHRFSILWSSRVVIFYVDGVAVREVPRSGAMGGDYPSKPMALYATIWDGSTWATDNGRYKVNYKRGPFTADFSDLVLRGCPAAAARRDDPAALQLQQLGSDEDDRCAGSEFELMTAEYAIMTPRKRMEMRRWRQRQMLYTVCYDTNRYPAPFPECDVNMEERQKFWEWGESKVVRPRVRASSGSRRRAATATTQQVSLQQAD >PVH31356 pep chromosome:PHallii_v3.1:9:7369893:7370763:1 gene:PAHAL_9G123400 transcript:PVH31356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSHHSIGQKLAVGRSDYKRIIEAKLKIHCLFDEPVMELMWGLKNIMKSLVPTETCELTTEDRGHMSKGMQLILNKYGFKVEPEMVDEDLITIATALYESDYCVNRFAEFLHRGGKYLKEVSGIDCQNLDLQKLATALKLLSYPKEKIETGTSNEMLSEDMASTLVDQAHMYERKLHKGTCLNIYRISCFPVLLGVGRWCP >PAN50731 pep chromosome:PHallii_v3.1:9:64600710:64601883:-1 gene:PAHAL_9G501100 transcript:PAN50731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRKPAPECASGNWSDGETSTLIDAWGGAHQRRRPRGLRLKDWRAAASAVNAHRAAAGHRFNRTRVQCQTRIRTLKKRYKEELARQPPSGWPHLPRLRAFLAGPDGPPPGFPARAPAPVKLEVKEEEEEEGGGSGLAASWTVPRRPRDAAARSTGFCTAAVVTKLAEVYERVELARIGAEEEKMEMEVQQAMLDVVKVEHQQWHPQTRTQPCLPLVAAERDRATVTAGFPRAKVTTDERLGRARAQARRANQARQPGSGERTAQHQTKPTGAEADPPGQPDRSFERAKPRA >PAN51930 pep chromosome:PHallii_v3.1:9:73029032:73032182:-1 gene:PAHAL_9G630800 transcript:PAN51930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVALLSASSPSTSAAASSFLSRRPLPRCCAASPRRASSRLSIMAALGDDPIREWILTEGKATQIKGTRSIGGGCINSAQRYDTDAGPFFVKTNSRIGPEMFEGEALGLKAMYDTKSIRVPLPYKVGPLPTGGSFIIMEFIEFGRSRGDQSVLGRKLAEMHKAAKSDNGYGFHVDNTIGSTPQINTWTADWIEFYSKHRLGYQLELASRRYGDSAIYEKGQRLIKNIRPLFDGAVLEPCLLHGDLWSGNISSDTKGDPVILDPACYYGHNEAEFGMSWCAGFGGDFYNAYFQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIEDYLYLLAA >PAN49701 pep chromosome:PHallii_v3.1:9:62414610:62417522:-1 gene:PAHAL_9G469500 transcript:PAN49701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGLYDGRFTTFALSGFVRAQGDADSSLDRLWQKRKAEIKQ >PAN50911 pep chromosome:PHallii_v3.1:9:68343283:68348110:-1 gene:PAHAL_9G556200 transcript:PAN50911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRILSSAVRRRSAIAAAAAGNAREASTAVAAGPGVLAPDATPVRPPVMPYDRIAEAVNARLRRLEHPDPRFLRYANPVPTHADHTAILAAPETRVTTLPNGLRVATESSLAARTATVGVWIDAGSRYENEEAAGVAHFVEHMLFKGTGKRSAAQLEKEIEDMGGHLNAYTSREQTTYYAKVLDKDVSRAMEVLADILQNSNLDEARIEREREVILREMEEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVRSITKEDLENYIATHYTASRMVITAAGNVKHEDIVAQANKLFNKLSTDPTTTSMLVAKEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSVALMVMQSMLGSWNKNAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQMLTYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRRTYMLRY >PVH32474 pep chromosome:PHallii_v3.1:9:57389465:57390901:-1 gene:PAHAL_9G405000 transcript:PVH32474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPILSRWRSLFLRPLSISRRLHISSSALSFHRTTTVRASTASSFPARSETSRPIHEGPDVAAARRGRRAAHQEEGPRLHVRLRRHQVGVNLRRLRQVAHGHAADRRVDHSSYGEGVHHHQHRDGEGDEEKSRRGQAARDDVVGRGGPVVALVLVLVRRHHRRRTQQNGQHAQPVRAPAPARRAGAQRPLPARRRQRSGSRRRRQAAERRRGPAAPAGGERGRRRRVRSRGGERGGGEGVGGPAGGLPAVHAADDSGEGDRGRGGAPGAAAPVPVPELAAPPPPDPPRLRRDLGGGVRRVRAHAGLPRRAAQEEEAPGGGDDARRLTSICCSIVSIRRPLLFHPSDD >PAN48776 pep chromosome:PHallii_v3.1:9:57323826:57326855:-1 gene:PAHAL_9G404300 transcript:PAN48776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCETGSGVFSCPVGKNPMYTYRERIVLGETECGIADVNRILRELSHKWPGHSYDLLSRNCNHFCDVLCERLGVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLAAKNQASPESPGNNQNRGSPTFQGAWFKNIISAGAKPSSSGSTPSQDTDDGSPPWRQNSTEQSTRL >PAN50641 pep chromosome:PHallii_v3.1:9:66866196:66870984:-1 gene:PAHAL_9G534400 transcript:PAN50641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAANGSLANEKAPETVGVGRYVEMEQDGDSSTVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKKADFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAIASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVLTLTLPSAASVYWAFGDELLTHSNALALLPRTAFRDAAVVLMLVHQFITFGFACTPLYFVWEKLVGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSATARENAVEPPPRLVGRWTGTYIINTFVVAWVLVVGFGFGGWASMTNFVRQIDTFGLFTKCYQCPPPPLPPGAPLPFPGGLSNITMPFGGGAGGHPPAAAPAPAHSLHHHRHHSHGL >PAN48378 pep chromosome:PHallii_v3.1:9:54815751:54821042:-1 gene:PAHAL_9G378600 transcript:PAN48378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSECGGSDGGYRDLRDVRVELDPGEARGGGGGGSAVCFWLYLSSSARPSSVILHQVTAGDDNKLPFLALGEGNKLLLFPLMRLYKEAPATASFYPWTDTTNLSSTNECPLEKWFHIGCEVTENFMRLHINGDLTAEIHLCSLSGESDHQENANKISLVGNDGKLEGYMYNIEVSSVLGTIQEQYAKNPPFKLSIDYSSSDGIEECDDGIWNIVGGKASCRRNFILEVVLIDAFGEPVKDKEVVSSLVYADNGMVVEKSRDDSEPPLLITCEGLEYPAISRPLPIIRGRALFKLKISQLSSKCDNKLFRIHFSTLHMRRYPFLEAYSKTIRCISRNRTIRPLGSGKRVSSATADETDFLQGLVNADRVNGRLHSHGQSSVVHFHTPKFPKIEGDGMAKVVDTNKILSQNKHARKMVVSKEPQNAMGTDTSTSNFDSFGSGSSWSGSDVDDVETFSDAVVFRYCLDSTYDRSKFLRGAAPTFNKEDLVKLADEISLYSGCSHHRNQILMSKRLLREGADTWSMISKNNERALWSSAVPGIITKFMDIAHSVNRGLSEQDLEVLRGIAGCGEDIGRDEFDRLWYWLYPVAASLSRDKIKKLWDCTSPKWIEGFITMQEAENALRISKEMLKEPGTFVLRFPTTRSWPHPDAGNLVVTYIGSDNSIHHRLLSLDSSAATAENLQDLLLQEPELSQSSRVDRLPTAIRR >PAN48377 pep chromosome:PHallii_v3.1:9:54815367:54821274:-1 gene:PAHAL_9G378600 transcript:PAN48377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSECGGSDGGYRDLRDVRVELDPGEARGGGGGGSAVCFWLYLSSSARPSSVILHQVTAGDDNKLPFLALGEGNKLLLFPLMRLYKEAPATASFYPWTDTTNLSSTNECPLEKWFHIGCEVTENFMRLHINGDLTAEIHLCSLSGESDHQENANKISLVGNDGKLEGYMYNIEVSSVLGTIQEQYAKNPPFKLSIDYSSSDGIEECDDGIWNIVGGKASCRRNFILEVVLIDAFGEPVKDKEVVSSLVYADNGMVVEKSRDDSEPPLLITCEGLEYPAISRPLPIIRGRALFKLKISQLSSKCDNKLFRIHFSTLHMRRYPFLEAYSKTIRCISRNRTIRPLGSGKRVSSATADETDFLQGLVNADRVNGRLHSHGQSSVVHFHTPKFPKIEGDGMAKVVDTNKILSQNKHARKMVVSKEPQNAMGTDTSTSNFDSFGSGSSWSGSDVDDVETFSDAVVFRYCLDSTYDRSKFLRGAAPTFNKEDLVKLADEISLYSGCSHHRNQILMSKRLLREGADTWSMISKNNERALWSSAVPGIITKFMDIAHSVNRGLSEQDLEVLRGIAGCGEDIGRDEFDRLWKRRMH >PAN50590 pep chromosome:PHallii_v3.1:9:66589749:66593904:1 gene:PAHAL_9G530300 transcript:PAN50590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDRRLTSHQALISREGLTRKRHAQIQPCEIRRDNLHQSHAADINSLGSLNREDVKKICGENVPQWISFPEYEQVKWLNKQLSKLWPFVEEAATMVIRDSVEPVLDEYRPPGISSLKFSRLSLGTVPPKIEGIRIQSFKKGQITMDMDFRWGGDPNIILAVETLVASLPIQFKNLQVYTIIRVVFQLSDEIPCISAVVVALLAEPKPRIDYVLKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLFIRPMFREKTSVIDDNLNPQWNETFELISEDKETQALILEVFDEDKMKQDKRLGIAKLPLNDLGMETVQEVNLQLLSSLDTTKVKDKKDRGVLTIKVVYHQFTKAEAQEALELEKRTVEERRKVRSETAAVSGAADAASGTASTVTNVAGTGVATAGTPAGSGTSAGGSGVGMVGTGIGAVGSGIGAFGSGLSKAGKFVGRTVTGPFSSARRSASSVPNIDD >PVH32947 pep chromosome:PHallii_v3.1:9:66590270:66593665:1 gene:PAHAL_9G530300 transcript:PVH32947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFISGFVMGMIVGVALIAGWARAMSRRAAKRSNKAADINSLGSLNREDVKKICGENVPQWISFPEYEQVKWLNKQLSKLWPFVEEAATMVIRDSVEPVLDEYRPPGISSLKFSRLSLGTVPPKIEGIRIQSFKKGQITMDMDFRWGGDPNIILAVETLVASLPIQFKNLQVYTIIRVVFQLSDEIPCISAVVVALLAEPKPRIDYVLKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLFIRPMFREKTSVIDDNLNPQWNETFELISEDKETQALILEVFDEDKMKQDKRLGIAKLPLNDLGMETVQEVNLQLLSSLDTTKVKDKKDRGVLTIKVVYHQFTKAEAQEALELEKRTVEERRKVRSETAAVSGAADAASGTASTVTNVAGTGVATAGTPAGSGTSAGGSGVGMVGTGIGAVGSGIGAFGSGLSKAGKFVGRTVTGPFSSARRSASSVPNIDD >PAN49299 pep chromosome:PHallii_v3.1:9:60407009:60415561:-1 gene:PAHAL_9G439400 transcript:PAN49299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGKFVSVNLNRSYGQPAPSSHHGGGGRPSRPAVAGGGHGGGMVVLSRPRGGGSSLAKPQPPKLSVPPPLNLPSLRKEHERFDGAGAAAGGGVASAPPRSGGPAAGWTKPAPASEKPPGSAALPGGAARPPSYGFVEKTVVLRGEDFPSLKAAVAPPTPPQPAQRQKDTDGARLATPEARPGSLAMRPQGTPSRATEPLASAGGLGAGGRASAERLQKPDLGPLPMVRLRYDSEWADDERDTGLSLPERDSRERGFGRTEAMVPGRDLYGAVREPFKKEPFGRDVAATNKEAVQDGLWRSPMSNQHDRDRTDGRPYSGGRGSSVQLYRESIAAGGSKDMWNNNREPPMRANGQNGVEQFGTTRVGETASDRFGDSSNNWPRLNSFQNNVGSKAQPFATNKGPLINDPVAKFGREKRHTGSPVKPLIEDGGFDSISAVSLTAIKKKKEAAKPADFHDPVRESFEAELDRILRVQEQERQRVMEEQARAREIARKQEEERERLIREEEERQRLVEEQAKQAAWQAEQERLEAARRAEEQRIAREEEKKRIALEEERRREAAHKKLLELEARIARRQAESNIGSARGGQLTVNDELTPGDFKDRDLPCSANFGGRKDIDRISEHINTSAPLESSSVNRYNETVPRVHTLDGHSSLIDRENAYYGSRAAFPEQENAHHSPRRDPFAARRGNFPKKDLNDGFGNVSVRPSSRGRTTDSPWAMEDFRHEKVPRWDGTREIDRFDKQSDFDTELFNSDRFGDAAWLPSSSHESPNAQQGDRMFQSPDFNEFSAFTRPRYSMRQPHVPPPPTVTSVNRSSVGASAQRLNSSFMDGGMGENSGRDDEQIMQGQYGSAYQEASRHRGIRPDHINEHQIEDRKSPVLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGERTMLSDNDHAVLTLDAASASRIAALGVSHLEDDEWSSENNDVRQKQDEYDEDDESYQEDEINEADGENLDLDDEFLEEQNTPVELEPVILGFDEGVQVEIPSNSELELASMRSAERTIGVHLSSGVAEQDDASGSVVHSDPVTEAEKTLQALTLDRVNALTEDSNGKRSSSLVTPASSSQLPQASSAAAIMSSASAVVGQNEVPVSLQFGLFTGPPLIPTPVPAIQIGSIQMPIHLHNQINPSLAHIRPSTTPLYQFGQLRYGRPIAPSAHSQAIPPAYSSVPAQHTLNQNASSVLPELMDQDTHQNVPNQGVSSTFINKSAAPTAKLPLVMGDSNSQYLSTSANNQTAAAEGSHGQVDSQPIGGTTPSERDQDLSLNRNCKPTSDNIESSQFGLEGRVLNGPKAPGAVSAGRGRRYGYAVKDINMRSTGSVVDPSHKDPRGGFQRRTRRNVRRTEFRVRENVEKNQSEASESFAHGEQDERPYSNGTARDFPVRNANRKELDTNKSSRINEGNDHSASFRSTHKAPYERSHGGNKKSRTGAIPEGDTTLLQAGAVRVVKQQGIEVPVDADGFIEVRSKRHIMSVRREQREKENRSKMRMTKAPRKQHNSLQSSVAPNVNKRTATLGGEVAKKVSLDSAITIEGRIADYTESTVANNSMNPIGPPSTSAETHTNCYTNQTIQIQASSDLVTSSPSAKLVSGLSEDNNKGTSINTPFNMVSWDNSQMNQQVMPLTQTQLEEAMRPAKFEQQAGSSFSLESNNALPPTVTTEKAFPSSASPINSLLAGEKIQFGAVTSPTMLPTVSRTVSSGLGAPGSSRPDMKIDRGLPSENSGPDKVNSKELCPNTEDVEAEAEAAASAVAVAAICTDDGSPADATTASAPDNKSFSSKDLSGLTSGAGAITGQASQSSTEEPLSVALPADLSVDTPSMSLWPPLASPQASGPMLSQFPGAQPSHFSCFDMNTILGGHIFAFGPSDESAGSQGQHPQRSNAVPSAPLGAWPQCHSGVDSFYRPPTGFAGPFITPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQNQGTPVVGVSQSDPNNQNMVSGQVNPPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQASTDIQMQTCWPHMPVPPLHSVPLSVPLQQHPVEGTAAQQFVHTVPVDNKASTNNRFQEPSASTAPSDGSKTFPNAAAAQFTDGLGLVEQPTSSNSSSQTVQPSSFGQAGVISNEVSTSAKVMVRATPLKVNPGTVAGVASNPNGCQVTNMPSKTHQSSLSSDQQYHHPVNNQDRRARATQKTGTSNEWQRRSGYQGRNQGSGSDRGPGTGRMKQIYVAKPSATSGHAPSG >PAN49298 pep chromosome:PHallii_v3.1:9:60406581:60415574:-1 gene:PAHAL_9G439400 transcript:PAN49298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGKFVSVNLNRSYGQPAPSSHHGGGGRPSRPAVAGGGHGGGMVVLSRPRGGGSSLAKPQPPKLSVPPPLNLPSLRKEHERFDGAGAAAGGGVASAPPRSGGPAAGWTKPAPASEKPPGSAALPGGAARPPSYGFVEKTVVLRGEDFPSLKAAVAPPTPPQPAQRQKDTDGARLATPEARPGSLAMRPQGTPSRATEPLASAGGLGAGGRASAERLQKPDLGPLPMVRLRYDSEWADDERDTGLSLPERDSRERGFGRTEAMVPGRDLYGAVREPFKKEPFGRDVAATNKEAVQDGLWRSPMSNQHDRDRTDGRPYSGGRGSSVQLYRESIAAGGSKDMWNNNREPPMRANGQNGVEQFGTTRVGETASDRFGDSSNNWPRLNSFQNNVGSKAQPFATNKGPLINDPVAKFGREKRHTGSPVKPLIEDGGFDSISAVSLTAIKKKKEAAKPADFHDPVRESFEAELDRILRVQEQERQRVMEEQARAREIARKQEEERERLIREEEERQRLVEEQAKQAAWQAEQERLEAARRAEEQRIAREEEKKRIALEEERRREAAHKKLLELEARIARRQAESNIGSARGGQLTVNDELTPGDFKDRDLPCSANFGGRKDIDRISEHINTSAPLESSSVNRYNETVPRVHTLDGHSSLIDRENAYYGSRAAFPEQENAHHSPRRDPFAARRGNFPKKDLNDGFGNVSVRPSSRGRTTDSPWAMEDFRHEKVPRWDGTREIDRFDKQSDFDTELFNSDRFGDAAWLPSSSHESPNAQQGDRMFQSPDFNEFSAFTRPRYSMRQPHVPPPPTVTSVNRSSVGASAQRLNSSFMDGGMGENSGRDDEQIMQGQYGSAYQEASRHRGIRPDHINEHQIEDRKSPVLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGERTMLSDNDHAVLTLDAASASRIAALGVSHLEDDEWSSENNDVRQKQDEYDEDDESYQEDEINEADGENLDLDDEFLEEQNTPVELEPVILGFDEGVQVEIPSNSELELASMRSAERTIGVHLSSGVAEQDDASGSVVHSDPVTEAEKTLQALTLDRVNALTEDSNGKRSSSLVTPASSSQLPQASSAAAIMSSASAVVGQNEVPVSLQFGLFTGPPLIPTPVPAIQIGSIQMPIHLHNQINPSLAHIRPSTTPLYQFGQLRYGRPIAPSAHSQAIPPAYSSVPAQHTLNQNASSVLPELMDQDTHQNVPNQGVSSTFINKSAAPTAKLPLVMGDSNSQYLSTSANNQTAAAEGSHGQVDSQPIGGTTPSERDQDLSLNRNCKPTSDNIESSQFGLEGRVLNGPKAPGAVSAGRGRRYGYAVKDINMRSTGSVVDPSHKDPRGGFQRRTRRNVRRTEFRVRENVEKNQSEASESFAHGEQDERPYSNGTARDFPVRNANRKELDTNKSSRINEGNDHSASFRSTHKAPYERSHGGNKKSRTGAIPEGDTTLLQAGAVRVVKQQGIEVPVDADGFIEVRSKRHIMSVRREQREKENRSKMRMTKAPRKQHNSLQSSVAPNVNKRTATLGGEVAKKVSLDSAITIEGRIADYTESTVANNSMNPIGPPSTSAETHTNCYTNQTIQIQASSDLVTSSPSAKLVSGLSEDNNKGTSINTPFNMVSWDNSQMNQQVMPLTQTQLEEAMRPAKFEQQAGSSFSLESNNALPPTVTTEKAFPSSASPINSLLAGEKIQFGAVTSPTMLPTVSRTVSSGLGAPGSSRPDMKIDRGLPSENSGPDKVNSKELCPNTEDVEAEAEAAASAVAVAAICTDDGSPADATTASAPDNKSFSSKDLSGLTSGGAITGQASQSSTEEPLSVALPADLSVDTPSMSLWPPLASPQASGPMLSQFPGAQPSHFSCFDMNTILGGHIFAFGPSDESAGSQGQHPQRSNAVPSAPLGAWPQCHSGVDSFYRPPTGFAGPFITPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQNQGTPVVGVSQSDPNNQNMVSGQVNPPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQASTDIQMQTCWPHMPVPPLHSVPLSVPLQQHPVEGTAAQQFVHTVPVDNKASTNNRFQEPSASTAPSDGSKTFPNAAAAQFTDGLGLVEQPTSSNSSSQTVQPSSFGQAGVISNEVSTSAKVMVRATPLKVNPGTVAGVASNPNGCQVTNMPSKTHQSSLSSDQQYHHPVNNQDRRARATQKTGTSNEWQRRSGYQGRNQGSGSDRGPGTGRMKQIYVAKPSATSGHAPSG >PVH31065 pep chromosome:PHallii_v3.1:9:2784316:2786367:1 gene:PAHAL_9G049800 transcript:PVH31065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEVDPEVAAAGQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >PVH31529 pep chromosome:PHallii_v3.1:9:10778914:10779408:1 gene:PAHAL_9G172300 transcript:PVH31529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALGLNRCRRDLAIQMCDNRRCILERAEAEARGRNKAAGTGIEPAAGGGKAKPAGARRTKLVQVGQQYMDRLREERAVNPRRSLPASPETIASAYKDKPKARDEILRLDLRQDAEILRQYDADGSAFVEISDDEN >PAN51701 pep chromosome:PHallii_v3.1:9:71824511:71826030:-1 gene:PAHAL_9G613700 transcript:PAN51701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAPASSSSASYRCAACGADLNLSAAQLYPAGVFFEAGNKGTLSFAWVDESRLRFAAEDRIRPFFETRNYWGIQRKRTRVSCDACGRLLGHVYDDGPPVMQGHGQLGMGPSQVIPRRPRYRIKTKAVVAAPSPAAAAHGK >PAN44266 pep chromosome:PHallii_v3.1:9:1682653:1684379:1 gene:PAHAL_9G030100 transcript:PAN44266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIALLFPVFNSYLKQRSAADKSFSYEVLIVDDGSTDQTSKVAFKFVKQHKVDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVHALAQKVKSTSGTSSSSPQKASDVEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMVEVSVNWTEIPGSKVRMTSIMHMVFELLLIKVGYGLGIWKIYT >PAN44265 pep chromosome:PHallii_v3.1:9:1681838:1684379:1 gene:PAHAL_9G030100 transcript:PAN44265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAGPLSVVAGLIPASLSLTLLIATLVSILVLGAAAVFFEHIRKIGCMHSLERSAISDAFFEDPNSLNKVPCPSIFDPPEKYISLVVPAYNEEHRLPEALTETLNYLKQRSAADKSFSYEVLIVDDGSTDQTSKVAFKFVKQHKVDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVHALAQKVKSTSGTSSSSPQKASDVEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMVEVSVNWTEIPGSKVRMTSIMHMVFELLLIKVGYGLGIWKIYT >PAN45839 pep chromosome:PHallii_v3.1:9:8977624:8980247:-1 gene:PAHAL_9G146300 transcript:PAN45839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHGRARTAPAAVPSACFLLLLVLLLTSSSLPAAPGRDGDSFTSTSTAPASGAVLGVTPSSSSGKGQGDDCAELQSIDGGAARCAYLRAHSPCSPAGYVDYLRLFYCGFAGAPATACAALALWLAVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDLFASVVSFAEGDGGGVGLSSALGGALFVSTVVAGAVGLAVGARGGVVVEWRGFVRDLCFLLLALCYLLAVLVNGAVTVWVAVSFVSLYVGYVIIVWTSHCCAEQGKPPAAGLAAPLLLDEDYGDDVPSLPSYSKTESGASGAASRGTAWLHCIARALCMPLYLPRRLTIPDIAAHRWSRPYAVASAALAPVLLAFTWTSQRHHLVSSSHRHSLAELLGGAILGLLLAALAAATTDANSPPRGRKHRVPWLAAGFLMSVLWAYTLARELVALLVAMGYVAGIKPSVLGVTVLAWGDSLGDLVSNVAMAVHGGPGGAQTAVSGCYAGPLFNTVVGLGLSLALAAGAQHPAPFVVPADAAAYEAVGFLGAALAWALFVVPVRGMRIDRVYGVGLVAIYLCFFAVRVFETLGLWT >PAN50948 pep chromosome:PHallii_v3.1:9:68508718:68509143:-1 gene:PAHAL_9G559500 transcript:PAN50948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDELAAAPVPAPAAAPAGGDPSAAFSFSIWPPTQRTRDAVVRRLVETLAGDTILCKRYGAVPAADAEPAARAIEAEAFDAAAATGGAAASVEEGIEALQFYSKEVSRRLLDFVKSRSAEAKAEAPPSEEAPAAAEGEAA >PVH31751 pep chromosome:PHallii_v3.1:9:14653433:14658111:-1 gene:PAHAL_9G224200 transcript:PVH31751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREQVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCAAGGFPYVRKFADMPPERREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMVNENSENPYWKAIGYSVHEQREGQAEAAPSPSRPLDVGVVETRLLNDNALLRSLVGRGLTVKPAASDADHHVVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAADYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPREVTQEWAREHGLPVFASPGYVQAMDAVCDRLSVTDGCREEGFQNKVVRRGCEALGLCADAVPRNSSEGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGAVILTGCKAEHFVFEKNSSSGRGRGKKCVGLVATCASDGVSKKLRIEAKVSIAACGALMTPPLLRSSGLKNRHIGRNLHLHPVSMAWGYFPESRQDPQLAGKCYEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGAGFVDGEGRVRFTPSREDVEELRHGLRRALRILVAAGAAEVGTHRSDGQRLRCKGLRDEDLEAFLDEVTVEKGPMHSRADRWALHCSAHQMGSCRMGSSPRDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKGIAETLAQGEKQ >PVH31752 pep chromosome:PHallii_v3.1:9:14653803:14657273:-1 gene:PAHAL_9G224200 transcript:PVH31752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREQVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCAAGGFPYVRKFADMPPERREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMVNENSENPYWKAIGYSVHEQREGQAEAAPSPSRPLDVGVVETRLLNDNALLRSLVGRGLTVKPAASDADHHVVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAADYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPREVTQEWAREHGLPVFASPGYVQAMDAVCDRLSVTDGCREEGFQNKVVRRGCEALGLCADAVPRNSSEGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGAVILTGCKAEHFVFEKNSSSGRGRGKKCVGLVATCASDGVSKKLRIEAKVSIAACGALMTPPLLRSSGLKNRHIGRNLHLHPVSMAWGYFPESRQDPQLAGKCYEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGAGFVDGEGRVRFTPSREDVEELRHGLRRALRILVAAGAAEVGTHRSDGQRLRCKGLRDEDLEAFLDEVTVEKGPMHSRADRWALHCSAHQMGSCRMGSSPRDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKGIAETLAQGEKQ >PAN46914 pep chromosome:PHallii_v3.1:9:14653433:14658589:-1 gene:PAHAL_9G224200 transcript:PAN46914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQGEETAEKQAAVPGGGTTAAARGHPLLRGWRRERYTHGMHPAQMEALRAMCGALIPSLPADGGHGRGDPPGGKDLERFYRASAADSTIPDEVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCAAGGFPYVRKFADMPPERREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMVNENSENPYWKAIGYSVHEQREGQAEAAPSPSRPLDVGVVETRLLNDNALLRSLVGRGLTVKPAASDADHHVVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAADYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPREVTQEWAREHGLPVFASPGYVQAMDAVCDRLSVTDGCREEGFQNKVVRRGCEALGLCADAVPRNSSEGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGAVILTGCKAEHFVFEKNSSSGRGRGKKCVGLVATCASDGVSKKLRIEAKVSIAACGALMTPPLLRSSGLKNRHIGRNLHLHPVSMAWGYFPESRQDPQLAGKCYEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGAGFVDGEGRVRFTPSREDVEELRHGLRRALRILVAAGAAEVGTHRSDGQRLRCKGLRDEDLEAFLDEVTVEKGPMHSRADRWALHCSAHQMGSCRMGSSPRDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKGIAETLAQGEKQ >PAN49498 pep chromosome:PHallii_v3.1:9:61372260:61375533:-1 gene:PAHAL_9G453600 transcript:PAN49498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSPVTVHVTGFKRFHGVADNPTERIVRNLQSFVEKRGLPKGLVLGSCTVLEAAGQGALGPLYELLESTVLGREYGLSNQDRVILLHFGANSGSHRFALENQAVNEATFRCPDELGWKPQRVPIMSSDGSILHARQTTLPVKEISKSLQQMGYDVTPSDDAGRFVCNYVYYHSLRFAEKHGIRSLFVHFPLFLSIDEEVQMQFVASLLEVLVSLKLQ >PAN49499 pep chromosome:PHallii_v3.1:9:61372239:61376017:-1 gene:PAHAL_9G453600 transcript:PAN49499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSPVTVHVTGFKRFHGVADNPTERIVRNLQSFVEKRGLPKGLVLGSCTVLEAAGQGALGPLYELLESTVLGREYGLSNQDRVILLHFGANSGSHRFALENQAVNEATFRCPDELGWKPQRVPIMSSDGSILHARQTTLPVKEISKSLQQMGYDVTPSDDAGRFVCNYVYYHSLRFAEKHGIRSLFVHFPLFLSIDEEVQMQFVASLLEVLVSLKLQ >PAN47759 pep chromosome:PHallii_v3.1:9:47975442:47978858:1 gene:PAHAL_9G344100 transcript:PAN47759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTTLPLPHHLIITGFLVLAGAMVAAGAVVDPAPTPPLPPPQLKVPPLTPPLPPPKLGAPSRTPLPPPTRTIAPPPTSPPRPPPRLGVPLPTPPLPPPQAPLLPPSRKRAPSPIPLPSPQLGAPLPTPPRSRVPPQAPLSPPSRKGAPPPIPTIPPPRLGAPLLASPIPPPRLGAPSRAPLLPPSRKATPPPTPLPTPQLGAPIPTPFPPSRTPLLPSPRKGAPPPTPRLPSPQLGAPLPTPPLPPRMGAPARAPLLPPSKTKRAPSPAPIPPPKFGAPFPTPIPSPWKRAPPPTTRLPSPQLGAPLPTSPLPPRMGAPPRAPLLPSPRKGAPPPTPHLPSPQLRAPLPTPPLPPRMGAPPRAPLLPSPRKGAPAPTSRLPSPQLGAPLPAPPLSPWIGAPSHAPLLPSPHKGAPPPTPSLPFPQLGAPLPTPPLPPRMGAPARAPLLPPSRTKRAPSPAPIPPPRSGTPLPTPIPPPRKGTPPPTPRLPSPQLGAPLPTPPRAPLSSPRKGAPPPTPHLPSPQLGVPLPSPSLPPRMRAPSHAPLLPPSRIKRAPSPTPLPSQRSSAPSPTPIPPSRKGAPPPTPRLPTPRLGAPLPSPILPPPKQGNPPPQPGAPPSTPAPAVAPGGPKVPALIAFGDSIVDTGNNNYLMTVVKANFPPYGREYPGHKATGRFSDGKISVDFIASALGVKEMLPPYLNKSLTLEDLKTGVSFASAGSGYNNATCKTSSTMTIERQLQLFAEYKAKVGAIPDRALFIVCSGSNDIVEHFTLADGMSSPEYAYMMAARAISLVERLIGEGARQIALTGAPPIGCVPSQRRMAGGVKTQCATDRNQLALMFNRKLSLEVAKLAGRFRGVNIFYVDLYSILGDVVQRYKDLGFTNGKDSCCGYLGFAVGPLCNIGSRLCPDPSQYVFWDSYHPTEKAYKLMIDEFIRRYMRYIH >PAN51984 pep chromosome:PHallii_v3.1:9:73268474:73272712:1 gene:PAHAL_9G634600 transcript:PAN51984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLTPTQRYSAGALLALALRQAQIHQSVPLGGGSADDEERASSASGGSSASTATSSASGSDAAADADLWTHDSRGLLRPVFRFLEIEPQAWAGLEETAASPEAKHHIGAFLRIIFEEHGASSSDRLEQEHALAKAVDVMAMSLGSGIVPDEKIKEEGKDSTTSSSGTAESPEAGSPENLLGIDKLSLDDVPAKHHRKMALLYALLSACVADKPVSQEEEERKSSHFRKGYDARHRVALRLIATWLDIKWIKMEAIEVMVACSAMAAAKEQEQERETASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGKVKEFEFKPIGDNHNQGRLAVGILVSGFAFDEDDFCKPWEGWKDNLEKYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVSLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGEQWEPARKMVSGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFAPLPVVSK >PAN44535 pep chromosome:PHallii_v3.1:9:2879699:2883922:1 gene:PAHAL_9G051300 transcript:PAN44535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYGVVTGKRSIAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIEVGVPYSDPLADGPVIQASATRALKKGTTFDSVIGMLKGVIRELSCPIVLFTYYNPILKRGVRNFMATIRQAGVNGLVVPDLPLEETVLLRSEAIIHNIELVLLTTPTTPTERMMEIAKASEGFLYLVSAVGVTGARSNVNLRVEHLLREIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLCEAATPEEGLKRLEEYTRNIKAAMPLR >PAN49343 pep chromosome:PHallii_v3.1:9:60709682:60712348:-1 gene:PAHAL_9G442700 transcript:PAN49343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MATPTRLSVDDVLRVNGSRRFAAAMAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVSKWSKEEQSAALSTSTDSTAQELAEWNARYREKFGFVFMICASGRTAPEVLAELKRRYTNRPIVELENAAQEELKITELRLAKLFSSEPTVPSHTTESPTIQSDKAADRIRIIGAHLGALPQPCANKAPEITGSSNRSRPPITTHVLDVARGSPASGIEVHLEMWKDVSAPPSFRNKDFNGWATLGTSVTNNDGRSGQLMDIVNNVAPGFYRISFNTGKYAPAGFFPYVSIIFEIKANQTAEHFHVPLLHSPFSFTTYRGS >PAN49344 pep chromosome:PHallii_v3.1:9:60709514:60712554:-1 gene:PAHAL_9G442700 transcript:PAN49344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MATPTRLSVDDVLRVNGSRRFAAAMAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVSKWSKEEQSAALSTSTDSTAQELAEWNARYREKFGFVFMICASGRTAPEVLAELKRRYTNRPIVELENAAQEELKITELRLAKLFSSEPTVPSHTTESPTIQSDKAAGSSNRSRPPITTHVLDVARGSPASGIEVHLEMWKDVSAPPSFRNKDFNGWATLGTSVTNNDGRSGQLMDIVNNVAPGFYRISFNTGKYAPAGFFPYVSIIFEIKANQTAEHFHVPLLHSPFSFTTYRGS >PAN45429 pep chromosome:PHallii_v3.1:9:6931718:6933427:1 gene:PAHAL_9G118100 transcript:PAN45429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLASPTAFGAAAVRRRAAPLPLLPASGRAALHVALARRGGVSSRTQRRLEERGGKKRRGGVAAPDMDEEAAEAGAAEWEGEPLGFEVSTEPMPELPDPETPDFWEGPQWEALGFFVQYMWAFGVVFGLIACGVAVATYNDGATDFRDTPAYKESQTQEFPEETESSSADVFEGNPTEVAPALE >PAN44549 pep chromosome:PHallii_v3.1:9:3088015:3096999:1 gene:PAHAL_9G053900 transcript:PAN44549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVDPEKKEKERVEREYKITIRIAERTDMYHLHQFLRGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLSIRDTSRPLSDRDRVKIKKALRGVRIETNHQQDQIRRYKITVVTSMPMSQLIFPVDEKGTRQTVVQYFWEKYNYRLKYGSWPCLQAGSDMRPVYLPMEVCKIVEGQRYSKKMVLHNNYVEDKFAQEFGIKVCNDLVSVEARVLPPPLLKYHDSGREKTCVPSVGQWNMINKKMINGGTIDNWTCLNFSRMPPEEVQRFCMDLTHMCNATGMNVIPRPFVEVKSAGPNHIENALRDVHRRATQMVAQQGMGNQLQLLIVILPQVSGSYGKIKRVCETEIGIVSQCCLPKYLENVALKINVKIGGRNTVLERAFVHNGIPFEVPTIIFGADFTHAPPGENSASSIAAVVASMDWLEITKYRGLVSAQPHRQEIIEDLFAVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDQRICHPTEFDFYMCSHPGIQVAINPEPKSRATNREVLNELVKLHRKSTLGGKLPAYDGRKSLYTAGSLPFDSEEFMVTLVDPEKEEKERVEREYKITIRIAERTDMYHLHQFLRGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSVFKPVTVIKFVEEFLSIRDTSRPLSDRDRVKIKKALRRVCIETNHQQDQIRRCKITGVTPMPMSQLMFGVSTKVEEHIHRNIATFLVKVEGTSISVRQNLSTTTIKMLVEHACDKRGIRNYDGYPVFLGKVLDDTKLLSDCKVCNNCIVEVRGRGRAGRERRIKCTTFDDEMKDIDLFSVVSPLSKTLTNTKTQEKSATFLSGQAKWYGRQVFISLCRMHFRKRSLDGKFNASHILFHDGRVVFDESCMEVDFTGHSCKNDYGVIAEIFKKWFRIPDKAEKEGPYPLFVAGLIRYLFDCPLGEKSNSAAAIAFLVNHPALTTFMDRIGQCTTLDSMVDRLTEFQKFEFDEAVGSYYGWSERVEDVPAMYDTLVYDPFRRVDAPEETLYTENLRSCLKFARNHFRHARPDLSLERVEASFSLNLVDFLPMILQAIVKSFEGPPAFDMVTLLGDHVADIKCKRDVDAENPAKVIASPAKKPKISFSLQKK >PVH32035 pep chromosome:PHallii_v3.1:9:32295268:32296832:1 gene:PAHAL_9G299000 transcript:PVH32035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERKPAASSPALARILASCASQAKDYGRCIAAKVPEIEHNMCSKEFLALRACMQTVVKNKA >PAN51555 pep chromosome:PHallii_v3.1:9:71137880:71140217:1 gene:PAHAL_9G602700 transcript:PAN51555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRQLNVLSTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDTSRPDPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLVLMVVCSVASGLSFGATPKGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRSAYPAPPYSQDRAASLVPQADYVWRIILMFGTLPAALTYYWRMKMPETARYTALVARNAKQAAADMSRVLHTEIEESVERVDKTVLGGEEWGLFSSQFVRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPAARTMNAVEELFRIARAQALIALCGTIPGYWFTVAFIDVVGRFWIQIMGFAMMTAFMLGLAVPYHHWTTPGHHTGFVVMYGFTFFFANFGPNSTTFIVPAEIYPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEAGYPRGIGIRNALFVLAGTNFLGTVMTLLVPESKGKSLEVVSQEVADDEEAA >PAN44499 pep chromosome:PHallii_v3.1:9:2688823:2689848:1 gene:PAHAL_9G047900 transcript:PAN44499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLASLAAEERWLFPAFLVMYAAIYCVGQLFVFRRWALRQRLDGSSCLISLFHGTPAALAAAGTILALPAGFRSFAAPNARLQDHVLDYSIAYFTMDLLHYLAFLPADVLFIAHHLATLFVFLTCRYMVRHGAYALLVLLVLAEVTSLLQNVWTLAGIWRDQSPAAARVYGALSPPFYVLYTLVRGVAGPLFLLKMTVFYLSGQAVDVIPWWVRISWIIVVGTAIAVSNLWIWNLWKELFRERNQATEKAKKDT >PVH32785 pep chromosome:PHallii_v3.1:9:63554071:63555663:-1 gene:PAHAL_9G486200 transcript:PVH32785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKLGVHHGHHHHGISKPPVHHHHHGGKRGAGRHAGGGGGGGSKGIKVVYISSPMKLTASAEEFRAVVQELTGRDSNVADHDLAGTYYAGAPSSSSSSSSSSYASFGRVSPTGPGAAGGGARALSSPTMATGASVGAGRAAEYGAAMAAPPPFQGMYDLTAGSSLYGQDYW >PAN50739 pep chromosome:PHallii_v3.1:9:67371721:67377997:1 gene:PAHAL_9G542500 transcript:PAN50739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 90B1 [Source:Projected from Arabidopsis thaliana (AT3G50660) UniProtKB/Swiss-Prot;Acc:O64989] MGAMMASITSELLFFLPFILLALLTFYTTTVAQCHGARRWRPTKKKRPNLPPGAPGWPFVGETFGYLRPHPATSVGRFMEQHVARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDAHREMRAISLNFLSSVRLRAVLLPEVERHILLVLRSWPPSGGGACFSAQHEAKKFTFNLMARNIMSMDPGEEETERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRASILGVIERKMEDRLQKMSKENSSVEEDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLEIARRQRLRGASRLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIRDVHYNGYDIPKGWKILPVLAAVHLDSSLYEDPNQFNPWRWKSNAPSSFMPYGGGPRLCAGSELAKLEIAIFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVQRIASEHSVLTNESTAM >PAN45226 pep chromosome:PHallii_v3.1:9:6005383:6007222:1 gene:PAHAL_9G103400 transcript:PAN45226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAISLPGAPLAAPRARYSGRLVRASLPRGGARVGRRSLSVSAAAEDSSGLAPIAGAPAWDALGGVSVLAAGTGDAVPLTDLWDPTEGVAVVALLRHFGCFCCWELASVLKDSMARFDSARAKLIAIGVGTPDKARILADRLPFPVDSLYADPERKAYNVLGLYHGLGRTLFSPSSAKIYSRLDHIKKATKNYTLEGTPNDLTGVLQQGGMFVFRGKELLYSWKDEGTGDHAPLDDVLSACRDVPVA >PAN46255 pep chromosome:PHallii_v3.1:9:10818535:10821555:1 gene:PAHAL_9G172900 transcript:PAN46255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:Projected from Arabidopsis thaliana (AT5G47630) UniProtKB/TrEMBL;Acc:A0A178UB54] MQAVRALALQHLRLRAPPSVAARVGGSGPAAVAQCWFARGMSAPADGGGDGGSGSDSAVRARVVELVRKFDKIDADKVTEMADFQKDLSLDSLDRVELVMAFEQEFSIEIPDDKADKLTCCADVAKYIISESQSSNKNAGSS >PVH32965 pep chromosome:PHallii_v3.1:9:67018435:67021896:1 gene:PAHAL_9G536700 transcript:PVH32965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPTMCSRTRSGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDEQVIKAITTSFGKDIWRRALVVLTHAQLSPPDGIDYNEFFTKRSEALLRCIRSGAGINKREYGDFRLPIALVENSGRCKNNEHGEKILPDGTPWVPNLMKEITAVISNGSMPIHVDQKLIDGPNPNNRWKMFIPLILAVEYFLVVKGIRRAIHADIANGKVDDWEQRYRDLVGSRDPIEQKGSRNRKA >PAN50672 pep chromosome:PHallii_v3.1:9:67018021:67021896:1 gene:PAHAL_9G536700 transcript:PAN50672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPIPREWTGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPTMCSRTRSGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDEQVIKAITTSFGKDIWRRALVVLTHAQLSPPDGIDYNEFFTKRSEALLRCIRSGAGINKREYGDFRLPIALVENSGRCKNNEHGEKILPDGTPWVPNLMKEITAVISNGSMPIHVDQKLIDGPNPNNRWKMFIPLILAVEYFLVVKGIRRAIHADIANGKVDDWEQRYRDLVGSRDPIEQKGSRNRKA >PAN49765 pep chromosome:PHallii_v3.1:9:62696453:62699038:1 gene:PAHAL_9G474000 transcript:PAN49765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAECAALAAADGAAGGGRAEIDTSAPFESVREAVDRFGGSAAWSSDLVKRMFAPSKKHEDSEQAAESINVQEQAAQLENEVAVKERETLDVLKELESTKKIIADLKLKIQKESTGTSPDEAGKSEETDQVSVSGPEELQPENVTVDVDMEGAEENPQQPSGSVLVELEQTKESLNRTTNDLAAVRAAVELLRNSIAKEKMLLERGREKLSSNTSLVSSLENELDQTAQKLETLKDLQKRRKDSSDIFIEIKKMTSEVQELRSMANGSKSEAMLLAAEIEQAKASISTAEIRCIAAKKMEEAARAAEALALAEIKALLSHESSFEGENSSDGVTLSMEEYFTLCSKALEADGNSKKKVRDAMQQVDIANSSESESVKRLEDAKIEVEECKKALQEALKRVEAANHGKLAVEEILRRWKSESGHRKRSIGGSPKFKNATHRRKDSYSMDIVDDVSDRSFKQTLSIGQILSMKLMGPDGYDKSVWDDKTSETPNISLGQILNRSGVLCREDMASRRRISGKRKKFALTGLSVLLAKQSKSKKKRESF >PAN49666 pep chromosome:PHallii_v3.1:9:62060391:62064192:1 gene:PAHAL_9G465000 transcript:PAN49666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRQSYWCYQCRQRVRPRGREMVCPYCDSGFVAEMDDVDALMSHFVGMDPDFHRDPRFGIMEAISAVMRHGMAGMNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLTEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPAAQSSIDAMPTVKITQRHLSGDSHCPVCKEKFELGSDAREMPCNHLYHSDCIVPWLEQHNSCPVCRYELPTQGSTTGASCSRTRSTNQSQSSSSSSSSGRTNGRQRRRNPFSFLWPFRSSSSR >PAN48479 pep chromosome:PHallii_v3.1:9:55559069:55560529:1 gene:PAHAL_9G384600 transcript:PAN48479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor KUA1 [Source:Projected from Arabidopsis thaliana (AT5G47390) UniProtKB/Swiss-Prot;Acc:Q9LVS0] MPVFIDFCSLACCSFAYYCLTAFWTLYAMLLIKIVLVGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMSRRKRRSSLFDMVPDESMDLPPLPGSQEPEASVLNQPPLPPPVEEEVESMESDTSAVAESSTASALMPENLHPSYPVILPAYFSPFLQFSVPFWPNQKDGDDLPQETHEIVKPVAVHSKNPINVDELVGMSKLSIGETGQETVSTSLSLNLLGDQNRQSAFHANPQTRAQA >PAN48478 pep chromosome:PHallii_v3.1:9:55557394:55560529:1 gene:PAHAL_9G384600 transcript:PAN48478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor KUA1 [Source:Projected from Arabidopsis thaliana (AT5G47390) UniProtKB/Swiss-Prot;Acc:Q9LVS0] MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSAIRKSASMGNLSLLSAGSTSGGASPADGPDLADGGGGYASDDFVQGSSSASRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMSRRKRRSSLFDMVPDESMDLPPLPGSQEPEASVLNQPPLPPPVEEEVESMESDTSAVAESSTASALMPENLHPSYPVILPAYFSPFLQFSVPFWPNQKDGDDLPQETHEIVKPVAVHSKNPINVDELVGMSKLSIGETGQETVSTSLSLNLLGDQNRQSAFHANPQTRAQA >PAN45988 pep chromosome:PHallii_v3.1:9:9616182:9622286:1 gene:PAHAL_9G156100 transcript:PAN45988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMVVGGGGKDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNAAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRSSWYRDCRCVDILHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKTTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGSEDVTIAINSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDPPSGTRTLDLASTLEVGSGGTTRASSDASSTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQLEMKQTPGSPEAHTLARWIGRSYRFHTGAELLRADTQCTDASLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEYPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >PAN45987 pep chromosome:PHallii_v3.1:9:9616795:9622286:1 gene:PAHAL_9G156100 transcript:PAN45987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNAAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRSSWYRDCRCVDILHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKTTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGSEDVTIAINSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDPPSGTRTLDLASTLEVGSGGTTRASSDASSTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQLEMKQTPGSPEAHTLARWIGRSYRFHTGAELLRADTQCTDASLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEYPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >PAN49248 pep chromosome:PHallii_v3.1:9:60210645:60214365:-1 gene:PAHAL_9G436400 transcript:PAN49248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGGAPRALSQREQDIQMMLAADVHLGTKNCDFQMERYAFKRRSDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHNWEVMVDLFFYRDPEETKELEEEEAPVAPDYGAPAADNWGTEWGAGEAPAAALPAAPAVAEWGAAPAPAVADGWDPAAVVPPPAAVPAATGWEEGSAPAPTGWQ >PAN46062 pep chromosome:PHallii_v3.1:9:9983245:9987842:-1 gene:PAHAL_9G160700 transcript:PAN46062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRPPPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAASPSGAALSPSPSTSSGAASASASGEQRLRRALSFDEAGTPSSPEKKPKVEPDVEMDEAGGEGDQLGEGGEEEEEEGAVMEVGGRPVPREVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRAADELRLRVLAASGAGLLGALLPRCPALSRLQLRMESDVDAATLACLAVSCPSLKTLEITMAANAVNRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVIWLSDLCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVTLMDGIGRTCPNLNKMHISSNQLSNEAVFALESANLRGLSMLSLILGSKITDAAVASIVRLCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNDKEGPCFGEINGGIRIIRKLCTLKKQPVLQKLIIKHGNLKKLSLWGCSAIDALYVNCPQLNDLNLNSCTNLHPERLLLQCPNLKNVHAAGCQDMLIGAIRNQTLITCKPQNLNKQFL >PAN46061 pep chromosome:PHallii_v3.1:9:9981627:9988270:-1 gene:PAHAL_9G160700 transcript:PAN46061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRPPPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAASPSGAALSPSPSTSSGAASASASGEQRLRRALSFDEAGTPSSPEKKPKVEPDVEMDEAGGEGDQLGEGGEEEEEEGAVMEVGGRPVPREVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRAADELRLRVLAASGAGLLGALLPRCPALSRLQLRMESDVDAATLACLAVSCPSLKTLEITMAANAVNRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVIWLSDLCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVTLMDGIGRTCPNLNKMHISSNQLSNEAVFALESANLRGLSMLSLILGSKITDAAVASIVRLCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNDKEGPCFGEINGGIRIIRKLCTLKKQPVLQKLIIKHGNLKKLSLWGCSAIDALYVNCPQLNDLNLNSCTNLHPERLLLQCPNLKNVHAAGCQDMLIGAIRNQVPGNFTGRQPQQPTSWIGRHCRLSN >PAN46067 pep chromosome:PHallii_v3.1:9:9982798:9987842:-1 gene:PAHAL_9G160700 transcript:PAN46067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRPPPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAASPSGAALSPSPSTSSGAASASASGEQRLRRALSFDEAGTPSSPEKKPKVEPDVEMDEAGGEGDQLGEGGEEEEEEGAVMEVGGRPVPREVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRAADELRLRVLAASGAGLLGALLPRCPALSRLQLRMESDVDAATLACLAVSCPSLKTLEITMAANAVNRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVIWLSDLCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVTLMDGIGRTCPNLNKMHISSNQLSNEAVFALESANLRGLSMLSLILGSKITDAAVASIVRLCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNDKEGPCFGEINGGIRIIRKLCTLKKQPVLQKLIIKHGNLKKLSLWGCSAIDALYVNCPQLNDLNLNSCTNLHPERLLLQCPNLKNVHAAGCQDMLIGAIRNQVPGNFTGRQPQQPTSWIGRHCRLSN >PAN46058 pep chromosome:PHallii_v3.1:9:9981627:9988270:-1 gene:PAHAL_9G160700 transcript:PAN46058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRPPPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAASPSGAALSPSPSTSSGAASASASGEQRLRRALSFDEAGTPSSPEKKPKVEPDVEMDEAGGEGDQLGEGGEEEEEEGAVMEVGGRPVPREVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRAADELRLRVLAASGAGLLGALLPRCPALSRLQLRMESDVDAATLACLAVSCPSLKTLEITMAANAVNRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVIWLSDLCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVTLMDGIGRTCPNLNKMHISSNQLSNEAVFALESANLRGLSMLSLILGSKITDAAVASIVRLCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNDKEGPCFGEINGGIRIIRKLCTLKKQPVLQKLIIKHGNLKKLSLWGCSAIDALYVNCPQLNDLNLNSCTNLHPERLLLQCPNLKNVHAAGCQDMLIGAIRNQLNHLFHASG >PAN46060 pep chromosome:PHallii_v3.1:9:9981869:9987842:-1 gene:PAHAL_9G160700 transcript:PAN46060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRPPPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAASPSGAALSPSPSTSSGAASASASGEQRLRRALSFDEAGTPSSPEKKPKVEPDVEMDEAGGEGDQLGEGGEEEEEEGAVMEVGGRPVPREVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRAADELRLRVLAASGAGLLGALLPRCPALSRLQLRMESDVDAATLACLAVSCPSLKTLEITMAANAVNRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVIWLSDLCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVTLMDGIGRTCPNLNKMHISSNQLSNEAVFALESANLRGLSMLSLILGSKITDAAVASIVRLCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNDKEGPCFGEINGGIRIIRKLCTLKKQPVLQKLIIKHGNLKKLSLWGCSAIDALYVNCPQLNDLNLNSCTNLHPERLLLQCPNLKNVHAAGCQDMLIGAIRNQVLNEFAAAESSLPCKRLVDGSKRVQLPQFLQHQPSEDDKLFELSRTQCTMHLDITRAS >PAN46057 pep chromosome:PHallii_v3.1:9:9982861:9987842:-1 gene:PAHAL_9G160700 transcript:PAN46057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRPPPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAASPSGAALSPSPSTSSGAASASASGEQRLRRALSFDEAGTPSSPEKKPKVEPDVEMDEAGGEGDQLGEGGEEEEEEGAVMEVGGRPVPREVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRAADELRLRVLAASGAGLLGALLPRCPALSRLQLRMESDVDAATLACLAVSCPSLKTLEITMAANAVNRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVIWLSDLCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVTLMDGIGRTCPNLNKMHISSNQLSNEAVFALESANLRGLSMLSLILGSKITDAAVASIVRLCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNDKEGPCFGEINGGIRIIRKLCTLKKQPVLQKLIIKHGNLKKLSLWGCSAIDALYVNCPQLNDLNLNSCTNLHPERLLLQCPNLKNVHAAGCQDMLIGAIRNQVPGNFTGRQPQQPTSWIGRFLDLP >PVH32597 pep chromosome:PHallii_v3.1:9:60279974:60283696:-1 gene:PAHAL_9G437400 transcript:PVH32597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEKDLHMATGDGANSYAANSRLPVCPPLTAILHLEFSNTACSTVGADCRFMYAVVQKKALLKTKPVLQKAIEDLYCKSLSGRGTMVVADLGCSSGPNTLLVVEEVMSTLRDCAREETKAADDRRRAMQVQFFLNDLPGNDFNLVFRSLQQLQDYLGAEEEDEAVALPCYVAGLPGSFYMRLFPCQSVHLFHSSYSLMWRSKVPEELSNETYLNEANIYIGKTTPPAVVKLFQEQFLKDFRLFLTLRYKELVGGGRMVLTFLGRKTEEMLMHGEVGSMLELLAKALQSLVQKGLVEKEKLNSFNLPYYAPSVDEVKALIDKENLFGIEHIGLFESNWDPLDDSDSDVVLDCASSSRNVADKSIRAVMEPLIIEHFGEAILDELFMVFASMVAGYLEIRKAKYPVIVVSLKKAMH >PAN49368 pep chromosome:PHallii_v3.1:9:60798164:60800889:1 gene:PAHAL_9G444300 transcript:PAN49368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGGGRKAALLQWCSSSRWGVSGRRLLSGAGDEAWRAHAGMVLVMLAYSGYHVLTKSVLNVGMNQVVFCVYRDLLALAVLAPVAFLRERRVRPPVTPQLLASFALLGFTGLYGNPLLFLVGLRYTNASYAAAFQPSIPVFTFVLAAIVGVEAIHIFTKDGILKVLGTVVCVSGAILMALYRGPALIGLGGNSTSGTCSSNPYPAHWLTSTMFEYGVETWHLGVLCLLGNCLLVAAYLIIQAPVMIRYPASLSLTAYSYFFATIFMVLTGVLVTNGLHEWALTKTEIVAVLYAGIVASCLSYAIMTWANKILGPSLVALYNPLQPACSTILSTIFLGAPVYVGSIIGGLFIIAGLYMVTWARYNEAQRAMTNGYLDPLLVGPLGVPTTQEGSFIDP >PAN46181 pep chromosome:PHallii_v3.1:9:10464770:10465431:1 gene:PAHAL_9G167900 transcript:PAN46181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAASSRSFAATACVLAVLLVGCLAAAADARRLLVTAMPPSMAAGMEMAPAQAPAPEAGADLAGRMLFEGRELLDGGLRLAGRLLLGLGL >PAN51072 pep chromosome:PHallii_v3.1:9:69047861:69049130:1 gene:PAHAL_9G567900 transcript:PAN51072 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNE [Source:Projected from Arabidopsis thaliana (AT5G48170) UniProtKB/TrEMBL;Acc:A0A178UIU3] MGAVASPAPRRPGGAAEVTELELRIQLLGGGGGYNINDNADLLAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVVGPAPPAGPATRAVVAALGGYRRLYRLCLGPALDRLGRAGGALAQAQAARAHHLSLSLSLSLFSIDCYERLGVGGGGAGAAARRQPAPPSSLLFLCKPVDVS >PAN49563 pep chromosome:PHallii_v3.1:9:61523442:61528242:1 gene:PAHAL_9G456100 transcript:PAN49563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAPPRMAATLPSSAVAPALLLRRHTGASLSSRCRRRWQRPAARRREGPGAPLGMRGARELPPAAPDRCSGARGATPAAAAAGEAPYRGSEAQGSLWMVLLATAVVVCGSLEFGTCVGYSAPAQAGIVGDIGLSNSEYGVFASVLAIGAMIGALTSGRLADVLGRKMTMRFAAVVGIFGWLTVYFAKDAIMLYAGRVLLGYCTGVLSYVVPVFISEIAPKDIRGGLATSNQLFICLGCSAAYIIGALLSWRSLVVVGLVPCVVLLVGLFFIPESPRWLANIGREKEFHASLQKFRGKDYDISEEATEIKGYIESNHRLPKARIQDLFQRENIYAVTVGVSLMIFQQLGGINALGFYTSYIFSSAGFSGKLGITLIGIIQIPITLVGALLMDRSGRRALLLVSSSGTFLGCFLTGFSFYFKVYYAAYSVGMGPVPWVIMSEIFSINMKAIAGGLVTLVSWIGSFAISYSFNFLMGWNPAGTFFLFSAASLVTVLFVAKLVPETKGRTLEEIQASLQAST >PAN49561 pep chromosome:PHallii_v3.1:9:61523401:61528241:1 gene:PAHAL_9G456100 transcript:PAN49561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAPPRMAATLPSSAVAPALLLRRHTGASLSSRCRRRWQRPAARRREGPGAPLGMRGARELPPAAPDRCSGARGATPAAAAAGEAPYRGSEAQGSLWMVLLATAVVVCGSLEFGTCVGYSAPAQAGIVGDIGLSNSEYGVFASVLAIGAMIGALTSGRLADVLGRKMTMRFAAVVGIFGWLTVYFAKDAIMLYAGRVLLGYCTGVLSYVVPVFISEIAPKDIRGGLATSNQLFICLGCSAAYIIGALLSWRSLVVVGLVPCVVLLVGLFFIPESPRWLANIGREKEFHASLQKFRGKDYDISEEATEIKGYIESNHRLPKARIQDLFQRENIYAVTVGVSLMIFQQLGGINALGFYTSYIFSSAGFSGKLGITLIGIIQIPITLVGALLMDRSGRRALLLVSSSGTFLGCFLTGFSFYFKAQGLYSQLVPSLALCGILVYYAAYSVGMGPVPWVIMSEIFSINMKAIAGGLVTLVSWIGSFAISYSFNFLMGWNPAGTFFLFSAASLVTVLFVAKLVPETKGRTLEEIQASLQAST >PAN50054 pep chromosome:PHallii_v3.1:9:61523442:61528238:1 gene:PAHAL_9G456100 transcript:PAN50054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAPPRMAATLPSSAVAPALLLRRHTGASLSSRCRRRWQRPAARRREGPGAPLGMRGARELPPAAPDRCSGARGATPAAAAAGEAPYRGSEAQGSLWMVLLATAVVVCGSLEFGTCVGYSAPAQAGIVGDIGLSNSEYGVFASVLAIGAMIGALTSGRLADVLGRKMTMRFAAVVGIFGWLTVYFAKDAIMLYAGRVLLGYCTGVLSYVVPVFISEIAPKDIRGGLATSNQLFICLGCSAAYIIGALLSWRSLVVVGLVPCVVLLVGLFFIPESPRWLANIGREKEFHASLQKFRGKDYDISEEATEIKGYIESNHRLPKARIQDLFQRENIYAVTVGVSLMIFQQLGGINALGFYTSYIFSSAGFSGKLGITLIGIIQIPITLVGALLMDRSGRRALLLVSSSGTFLGCFLTGFSFYFKVT >PAN46240 pep chromosome:PHallii_v3.1:9:10783212:10791563:1 gene:PAHAL_9G172400 transcript:PAN46240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAVANGTAADDVAAPDNKDYANKEEAVKSMEPAVVNKDVEEQNKGSENGTEDPSDGDVKMAEAEIAKEGDAAAAKEVDSEDVKMDADAKKGDGDASVAKQVDSEDVKMDADAKEDTDAKKEGEDVKMTEAEEGNVEVKDKEAKEDKVETTNVDKLDESKEQEKDGLAEQEENKGKETEEHKQPEGTKQLDAKEEKDGADEKQQEEEAEEKGSANKKDEADNLEENKEETPKNKKVRSARDRSQGKEKKQEGSKSREAKSLLETPSPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPNVAHRISRKKPGDLKFLHNLLFGRKGKIVDFKGHILQFSGFVWHESDEKQRAKAKDKLDKCVKDTLLDLCWMLAIPVPKSNLRKEDIVSKLLDFIAEPHSAADSGLSDDQGSNSRKRKRGGESASKTPDSTPSRSRKKFGNDSTSGKRRKKALKYDTDEDEDGDESMKSDSEENRDEDAEEQEDDYDSGKEKARKKFPKVKESSGKKKTDIGSGHKTGHPKTISKSPVKKASSKISEEKESPDNSAKVFSRKRKHTAKGENDIKEKKSAGKKVTKGKGESAGADLPSKDELRKTITAILKKVDFNTATFSDILKKLDNHYKMDLTPKKEAIKVMIQDELTKMSEEADEDEDTNEDTGKKQQQPQAKEVEA >PAN51467 pep chromosome:PHallii_v3.1:9:70763572:70765621:1 gene:PAHAL_9G596600 transcript:PAN51467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTNHFVSLSEVCAWEICCYSLPTVNNLVLHHISVNISYDTGQIIVNRRLLLRKYIIHVQLQRSTIVICLMDLLDFSLRSIIRIHRTLSTFCEDSCLAYLCFGKTNWRFDVCLGPISALRGRSDG >PAN46803 pep chromosome:PHallii_v3.1:9:13942652:13945712:1 gene:PAHAL_9G215500 transcript:PAN46803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRLSAASRRSAPSPIQQLSHLAQRVGAVNLAEGFPDFPAPPHVKAAAAAAIAADHNQYRHVQGISDILAETAKRDHGLDVDPLTDFVICCGQSEAFAAAIFAIIDPGDEVLLFDPAFETYETCIELARGVPVYVPLDPPSWTLNEDEFLKSFTSRTKAVVLNSPHNPTGKVFSKEELHIIAQACQKTDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAPASIASAIRNIHVKLTDSAPAPFQEAALIALTSTPDFYSSLKKDYEVRRDFILQLLKDFGFHISFKPQGSVFVFAELPWSWQISDMDFVTNLINDAGVAVVPGRGFFHRNCDGESYHHRYVRFAFCKSDATLMAAALRMRKLADTKGKTWLTGSGRQEDQTASASP >PAN46751 pep chromosome:PHallii_v3.1:9:13942652:13945712:1 gene:PAHAL_9G215500 transcript:PAN46751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRLSAASRRSAPSPIQQLSHLAQRVGAVNLAEGFPDFPAPPHVKAAAAAAIAADHNQYRHVQGISDILAETAKRDHGLDVDPLTDFVICCGQSEAFAAAIFAIIDPGDEVLLFDPAFETYETCIELARGVPVYVPLDPPSWTLNEDEFLKSFTSRTKAVVLNSPHNPTGKVFSKEELHIIAQACQKTDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAPASIASAIRNIHVKLTDSAPAPFQEAALIALTSTPDFYSSLKKDYEVRRDFILQLLKDFGFHISFKPQDGFRNELDQ >PVH32934 pep chromosome:PHallii_v3.1:9:66504301:66505987:1 gene:PAHAL_9G529000 transcript:PVH32934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISKHRSNLDHLKHLIFWRTVSYSSFWSIVISNMLFVPALSESDPTCGRLSGYPSTFCISCLLLCPNDRSTSLPRFPFVLSHCAICGSGILSLDFVAAGRCRSEGSVISSCHHASTRNYSIAAHTPQAINQPSEISFHAALAPSPSTTTEACGGGSSPPTDQALSLSGLYLLQAPAFAQTCRVVDVLSFSDQSLCLRPHRVVNSQLGIASP >PAN44988 pep chromosome:PHallii_v3.1:9:4954319:4958445:1 gene:PAHAL_9G086100 transcript:PAN44988 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MASRKPAGERAGKQQGEEGEWERCPVEEVALVVPETDDPSLPVMTFRAWTLGLGSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGRFMAAVLPDREVRILGGRLGSFNLNPGPFNVKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFVLALLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKEAGDEKSRGPSRMRFFLIVFFASFAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWQFNTFDARRFPIFSNQLFTAAGQKYDTTKVLTKDFDLNVAAYDSYGKLYLSPLFAISIGSGFLRFTSTIVHVLLFHGSDMWRQSRLAMNAVKLDVHAKLMQRYKQVPQWWFLVLLLGSVVVSLLMSFVWKEEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGRHGLYRNLVWLFLAGAVLPVPVWLLSRAFPEKKWIALVNIPVISYGFAGMPPATPTNIATWLVTGTIFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTEVDHCPLASCPTAPGIAVKGCPVF >PAN45243 pep chromosome:PHallii_v3.1:9:6051037:6055587:-1 gene:PAHAL_9G104500 transcript:PAN45243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQQQEDKSDRPGGGGAPREQERRPSKAWGILIFGVIGATTATFAITQVRRSVDWFYTQLNKMQTTSSWRYTSNSSSRGSFSEEAKKRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRDYESWRENGPPGGYNYIPRDDWYWQTDTSHSEHKTRRTYTPAGPRVYPMSHHYAVLGLDRSRAIPYTDAEVKTAFRTKAMEVHPDQNQDDREAAEEKFKEVVKSYEAIKLERKNGVN >PVH31941 pep chromosome:PHallii_v3.1:9:22033569:22034769:-1 gene:PAHAL_9G268000 transcript:PVH31941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSDPASRSAEETKCHHGDKKPVVKRHGDKKPDQTSYKRDSSRRLFRASSSAQPRRYPADSMTPRSLIDVPPPPGVYINLVDSDDDSGGHSGVDSGHHGGGHSGHHGGGDSRHHGGDDSSDHGGDDSSDNSGNPEGNVVSHKDIEQIWGEMAKLEEKVEQVQKKRKKHISALEVGVEELKTKVGALEEAQDKTDLAKVGQRVCALENAIAKLESKVTALEKPRCALAFEVGTSKQKLNAIADALAKLEAKVASLELMHGR >PVH31863 pep chromosome:PHallii_v3.1:9:17083654:17085636:-1 gene:PAHAL_9G248300 transcript:PVH31863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEGSKDLLQGQVELWNQTFSFMKSVALAVALDLRIADAIRHHGGAATLPQILSGIGISPCKLAGLRRLMRVLTVAGTFTTIQPPETSSGGHDEPVYKLTTVSRLLTGDNDDGETSAASCLSPMLSHVLNPFHDSVLSMGLAAWFRHDEEPGRCPYALMRGATVWETCGSSDAVNASINNAMAADSRFLMRIVVEECGGIFRGIDSLVDVAGGVGGAAAAIAAAFPYLKCSVLDLPHVVAKAPSVSNVQFVAGDMFESIPPANAIFLKYVLHDWGDDKCIKLLKNCKQAIPSRDAGGKVIIIDMVVGYRPSDVKLLETQVLCDLDIMKIGGVEREEHEWKKIFLAAGFKDYNIMPLGLRSLIELYP >PAN44624 pep chromosome:PHallii_v3.1:9:2976852:2978354:1 gene:PAHAL_9G052800 transcript:PAN44624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMSSAAAAAAFLVVAVAWPLLASAQPAPSMPPPSPPAAATNNSRLEKAYVALQALKRAITDDPKKLTKNWCGPDVCNYFGVYCAPAPDDSCQRTVAGVDLNHGDLAGTLPDELGLLSDLAVFHLNSNRFSGSLPESLRSLHLLHEIDVSNNQLSGPFPSQLLCLPNVQYVDIRFNNFCGEVPAAIFEKKIDALFINNNHFEFTLPESFTNSTASVIVLANLPRVGGCLPCSIGDMAGTLNELILLNSGISSCIPPEIGKLDKLTVLDLSFNNIAGTLPDTIGNMRALEQLDVAHNQLAGEIPQSICELPHLKNFTYSDNFFCGEPHRCLEVPHIDDRQNCIAGRPDQRPGEECISFLHRPKVHCDAHGCIAPPSPPPPPPPVYAHSPPVY >PAN51073 pep chromosome:PHallii_v3.1:9:69053311:69057735:-1 gene:PAHAL_9G568000 transcript:PAN51073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGGGAAAGNGVSPGNVPVCYYGQAGRVPAALERRVRAAELFLRCAACGLAVLAAALLGADRQTRVFFSVEKEARYTDMQSLAFLVIANGMAACYSLLQGARCLVSILTGGVLISRPMAWAIFSCDQVMAYFTISAVAVAMEAAMIGKYGNTQFQWMKTCHLYKRFCAQAGGAVACAVAASLNMVGISLVSAFNLFRLYGSGKGRK >PAN45997 pep chromosome:PHallii_v3.1:9:9672718:9676902:-1 gene:PAHAL_9G156600 transcript:PAN45997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGDGDMTTPPPPQRSRGTPPLPGRRPAAAAAARPDTAYIDHDDNMDDRDALFGFGNDDTAGGSQPQASPATPTDSASPSTASTTSVSKREMGNAASVKTEASASAEPPATTAPAPETKLKKICCACPDTKKLRDDCIVQNGEDACGKFIEAHLQCLRAEGFNV >PAN45998 pep chromosome:PHallii_v3.1:9:9673305:9676606:-1 gene:PAHAL_9G156600 transcript:PAN45998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGDGDMTTPPPPQRSRGTPPLPGRRPAAAAAARPDTAYIDHDDNMDDRDALFGFGNDDTAGGSQPQASPATPTDSASPSTASTTSVSKRGRKPTSDVWNDLEQLYKVTRGKRVRDGERCICEN >PVH31908 pep chromosome:PHallii_v3.1:9:19002176:19002678:1 gene:PAHAL_9G258300 transcript:PVH31908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGGGGGCHGGGRRGGFGDRGGMFENALPHRPRLHFYGTIGLPLGNRTCIPNVLINPIKWLKDVVKAVGGTTPIVQIEVVRQPNFKKVRISFQIPVPNIDFAVMAPGEAIPVVSIDAVGGVPPNWVTYKRLAVEVCLQQL >PVH31335 pep chromosome:PHallii_v3.1:9:6918491:6919778:-1 gene:PAHAL_9G117800 transcript:PVH31335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTCRSTPLPPLRSAVARHSCPPAPWRSRRPLHSSRPHLAVLPPRSSRPHPLELLLSRRPAVPIQLFNRPCTLSNARPSSNPRSTDHAVIAGPLDPFVLGPRARRGVKEKEKERADISIFVSIDGDWFLPPSTLLMSCDPDRDQAGFILDCTV >PAN45426 pep chromosome:PHallii_v3.1:9:6916965:6920071:-1 gene:PAHAL_9G117800 transcript:PAN45426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTCRSTPLPPLRSAVARHSCPPAPWRSRRPLHSSRPHLAVLPPRSSRPHPLELLLSRRPAVPIQLFNRPCTLSNARPSSNPRSTDHAVIAGPLDPFVLGPRARRGVKEKEKERADISIFVSIDGDWFLPPSTLTYMHRCMLRSADNSKDGGHGADPGRLVDAGACCGSHGFAAGACVVRIPPRLSSFHVQP >PVH31336 pep chromosome:PHallii_v3.1:9:6918491:6919778:-1 gene:PAHAL_9G117800 transcript:PVH31336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTCRSTPLPPLRSAVARHSCPPAPWRSRRPLHSSRPHLAVLPPRSSRPHPLELLLSRRPAVPIQLFNRPCTLSNARPSSNPRSTDHAVIAGPLDPFVLGPRARRGVKEKEKERADISIFVSIDGDWFLPPSTLLMSCDPDRDQAGFILDCTV >PAN45291 pep chromosome:PHallii_v3.1:9:6916965:6920071:-1 gene:PAHAL_9G117800 transcript:PAN45291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTCRSTPLPPLRSAVARHSCPPAPWRSRRPLHSSRPHLAVLPPRSSRPHPLELLLSRRPAVPIQLFNRPCTLSNARPSSNPRSTDHAVIAGPLDPFVLGPRARRGVKEKEKERADISIFVSIDGDWFLPPSTLTYMHRCMLRSADNSKDGGHGADPGRLVDAGACCGSHGFAAGACVVRIPPRLSSFHVQP >PVH32279 pep chromosome:PHallii_v3.1:9:52845089:52846213:-1 gene:PAHAL_9G360500 transcript:PVH32279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSASQWLAELENDDVGGMEFIDPLSMQQLAESLANELWNEPPQEQQQEQHDQPRQCIPSPTGFSLLGNLNLINNADGSCPIVVGAGGSDNDMFSFTAGSPVATGSTVGKCCSSSTTEKKCSGGRKPSSSVKEHVIAERKRREKMHHQFATLASIIPDITKTDKVSVLGSTIEYLHYLRNRLKTLQETKHQHSSSRTAESPTTLNARCCIASEDEGAASPKIEADVQGTTVLLRVVCREKKGVLIMVLAELEKHRLSIINTNVVPFAESSLNITITAQVPCALCPKV >PAN51344 pep chromosome:PHallii_v3.1:9:70245408:70248743:-1 gene:PAHAL_9G587100 transcript:PAN51344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39660) UniProtKB/Swiss-Prot;Acc:Q940M2] MAASLLRRGASAAGHRRCPAEVLRRLVSSEAAPDRGPSRAAPEMPPFDHQPRPYAGMGAAEIFEKRKAVLGPSLFHYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLSMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAAAYAKEVQEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLSQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKSLQEQHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETAELFEKLKDLGVLVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYAMSGL >PVH31140 pep chromosome:PHallii_v3.1:9:3820896:3824981:1 gene:PAHAL_9G066100 transcript:PVH31140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSERRSKRLEKQNLKMEEKAEGKEDDIIEAEPDPEDEVGAGPGGEPKIGMVFLNEDKAYEFYVKYAGAEGFSVRKGFLDKTAKNVTKSRAYVCSKEGFRPKNIYSESKKPRPETRTGCQAHMTIKITSSGKYVVTEFVSDHNHDREAPLVDIQILKSQKLLSKVQQPPDPPKVVLIPNEYKNYTRTKRIKNMQLGDAQAISEYLRRMKGENPSFFYAIQVDEDDQFTNVFWADIKSIMDYNYFGDVVCIDTRYCTSEYGRPLLLFIGVNHHKQPIIFGTALIYDDSVQSFRWLFETFKSAMSGKQPKTVLTDQSTALIDAISSVWPGTTHRFSLLHLYLTASNVLRDNFQDSETFTLDFSRWLYDYEEEDFISSWEILSEKYNLKDNEWLSKLYDGRERWALPYGRDTFCADIAATLRSDNTDTILKDLLKPEVDLRTFFNSYDKFLEEKRLAEQQADYLGAQMTQRVAPLRLLWQAANSYTPTLFEMFRMEFEQISNCIVYGCGEIGPISEYQVTVKDRPRGRFVRFDSTQCMAVCSCKKFEFTGLPCCHVLKILELRNIKELPPHYILKRWRKDAQSEAPGESYSYAAIDEDPRFSLSRRYNTLCRTLYKIAAKASESIEAYAFLENQYEQLVEQVEVLLQAKLHDKSSLSAILKGHQPHLHQSEVSNSEPRRVTAKKNKNVEPRRRQQSPLDSNKKKKARQGLLEPEEIDIPLRVVPPTVSNDIPNHLRTPTSQFLAPSHIMQAPYVAQQFGLGSLQGFPAMPPFGQLQEPTPLQQPSHLQPPPYHNVPQIPQAPPPDIQSLQFLSSNPQLGHQTTDQGQYTIPVWDFL >PVH31139 pep chromosome:PHallii_v3.1:9:3817920:3825349:1 gene:PAHAL_9G066100 transcript:PVH31139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRAAAPAEAASCDPPPETDAPPRQAGAADEQEDAPAGAAAGPPPPPQQAGDADEGEDISGSPAGPPGERCGAMMEVVGKDGGGGKWKVSKLVVEHNHELQVAPGEVAATVPALGMEFESADAAKEFYYGYGERVGFKARTGSNRRSVGDGEKIMQRFQCWRGIYSSRRGKGKDSDEGKEAEEMVEDAAAAAAAGKRKREPYKTRSRNAAKKDAEVVEVEKGVGVGGAENGLEVQNGRGSRRGRSKKGMVEQDGKSVAGLEAEKDGVQVAAAASNDKEEEEDEGKDQEGVEEEVQVEVKRGRGRPRKAVTEDDTLQAHVLRELGVRASQYNLEERKKILSKYLSKRQSRPASSRPTKIASRQALAERRKRGNGGRFLSSEGQLPSERRSKRLEKQNLKMEEKAEGKEDDIIEAEPDPEDEVGAGPGGEPKIGMVFLNEDKAYEFYVKYAGAEGFSVRKGFLDKTAKNVTKSRAYVCSKEGFRPKNIYSESKKPRPETRTGCQAHMTIKITSSGKYVVTEFVSDHNHDREAPLVDIQILKSQKLLSKVQQPPDPPKVVLIPNEYKNYTRTKRIKNMQLGDAQAISEYLRRMKGENPSFFYAIQVDEDDQFTNVFWADIKSIMDYNYFGDVVCIDTRYCTSEYGRPLLLFIGVNHHKQPIIFGTALIYDDSVQSFRWLFETFKSAMSGKQPKTVLTDQSTALIDAISSVWPGTTHRFSLLHLYLTASNVLRDNFQDSETFTLDFSRWLYDYEEEDFISSWEILSEKYNLKDNEWLSKLYDGRERWALPYGRDTFCADIAATLRSDNTDTILKDLLKPEVDLRTFFNSYDKFLEEKRLAEQQADYLGAQMTQRVAPLRLLWQAANSYTPTLFEMFRMEFEQISNCIVYGCGEIGPISEYQVTVKDRPRGRFVRFDSTQCMAVCSCKKFEFTGLPCCHVLKILELRNIKELPPHYILKRWRKDAQSEAPGESYSYAAIDEDPRFSLSRRYNTLCRTLYKIAAKASESIEAYAFLENQYEQLVEQVEVLLQAKLHDKSSLSAILKGHQPHLHQSEVSNSEPRRVTAKKNKNVEPRRRQQSPLDSNKKKKARQGLLEPEEIDIPLRVVPPTVSNDIPNHLRTPTSQFLAPSHIMQAPYVAQQFGLGSLQGFPAMPPFGQAPPPDIQSLQFLSSNPQLGHQTTDQGQYTIPVWDFL >PVH31141 pep chromosome:PHallii_v3.1:9:3817920:3825349:1 gene:PAHAL_9G066100 transcript:PVH31141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRAAAPAEAASCDPPPETDAPPRQAGAADEQEDAPAGAAAGPPPPPQQAGDADEGEDISGSPAGPPGERCGAMMEVVGKDGGGGKWKVSKLVVEHNHELQVAPGEVAATVPALGMEFESADAAKEFYYGYGERVGFKARTGSNRRSVGDGEKIMQRFQCWRGIYSSRRGKGKDSDEGKEAEEMVEDAAAAAAAGKRKREPYKTRSRNAAKKDAEVVEVEKGVGVGGAENGLEVQNGRGSRRGRSKKGMVEQDGKSVAGLEAEKDGVQVAAAASNDKEEEEDEGKDQEGVEEEVQVEVKRGRGRPRKAVTEDDTLQAHVLRELGVRASQYNLEERKKILSKYLSKRQSRPASSRPTKIASRQALAERRKRGNGGRFLSSEGQLPSERRSKRLEKQNLKMEEKAEGKEDDIIEAEPDPEDEVGAGPGGEPKIGMVFLNEDKAYEFYVKYAGAEGFSVRKGFLDKTAKNVTKSRAYVCSKEGFRPKNIYSESKKPRPETRTGCQAHMTIKITSSGKYVVTEFVSDHNHDREAPLVDIQILKSQKLLSKVQQPPDPPKVVLIPNEYKNYTRTKRIKNMQLGDAQAISEYLRRMKGENPSFFYAIQVDEDDQFTNVFWADIKSIMDYNYFGDVVCIDTRYCTSEYGRPLLLFIGVNHHKQPIIFGTALIYDDSVQSFRWLFETFKSAMSGKQPKTVLTDQSTALIDAISSVWPGTTHRFSLLHLYLTASNVLRDNFQDSETFTLDFSRWLYDYEEEDFISSWEILSEKYNLKDNEWLSKLYDGRERWALPYGRDTFCADIAATLRSDNTDTILKDLLKPEVDLRTFFNSYDKFLEEKRLAEQQADYLGAQMTQRVAPLRLLWQAANSYTPTLFEMFRMEFEQISNCIVYGCGEIGPISEYQVTVKDRPRGRFVRFDSTQCMAVCSCKKFEFTGLPCCHVLKILELRNIKELPPHYILKRWRKDAQSEAPGESYSYAAIDEDPRFSLSRRYNTLCRTLYKIAAKASESIEAYAFLENQYEQLVEQVEVLLQAKLHDKSSLSAILKGHQPHLHQSEVSNSEPRRVTAKKNKNVEPRRRQQSPLDSNKKKKARQGLLEPEEIDIPLRVVPPTVSNDIPNHLRTPTSQFLAPSHIMQAPYVAQQFGLGSLQGFPAMPPFGQLQEPTPLQQPSHLQPPPYHNVPQIPQAPPPDIQSLQFLSSNPQLGHQTTDQGQYTIPVWDFL >PVH31865 pep chromosome:PHallii_v3.1:9:17135357:17136582:1 gene:PAHAL_9G248500 transcript:PVH31865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPRGGSSRCTARAPASSSSSTTPLVRDHPHGFVLGELLPGHGTAPSASSFLSTARRRERRRHRRILSLARASTGVWTRRRRAASRWHPRV >PAN45516 pep chromosome:PHallii_v3.1:9:7423466:7424584:1 gene:PAHAL_9G124800 transcript:PAN45516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLSRARWLLVEHPAVASFRWQPDRTLGATPSFAAAVICGYLAAVLVLHRLVLPHLPPLPPRALRLASAAHNAVLLSLSAAMAAGCALSTAATAPPPRWAWPFCFPPGGATEASGPVFFWAHVFYLSKVYELGDTLLILLGRRPLTLLHVYHHAVVIAMCYLWLATRQSLMPIALVTNAGVHVVMYSYYLCCSVGLRWPKRLKRAVTELQIVQFLFSFAASVVMLWLHFTAGGCEGMAGWVFNAVFNASLLALFLDFHGAAYKAAKGNKGKSE >PAN45257 pep chromosome:PHallii_v3.1:9:6150117:6156621:1 gene:PAHAL_9G105800 transcript:PAN45257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNAGSLYGRLNRSSTRGFLAYVAAGGTCAAVLACFVLSAADPRAAERNDGLLRLSSRSARVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFVGTSSRSFYKGILMWREETRILMETREREEQSKAACAANDVVIDPSYEEPLLTKPQPKVKSALETFLFNLRWKNILVLMSVWSCFLVLQVLKNNSKSCSTFYWVINILQVPVAVSMFLWEAVQLCRESRARRINGNLECVCEASIEWSPAQLIFCALCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVMEFYFLHRFPIPFAGYLIFVSVLAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGIQKSISMINNHEYMGFLNFCE >PAN50567 pep chromosome:PHallii_v3.1:9:66481615:66483929:-1 gene:PAHAL_9G528400 transcript:PAN50567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEEMQVERLHEEADAGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAPPPAQASAAAAAAAVAPGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALRRASGDPDARVADYFDLVAGTGAGGVFAAMLFSTHSRGSPLFHADDTWRLVADHAPRMFRRPAGSSASLFCRGKKRPLAEPTAALEAAMRSAFGEELTLRDTIKPVLISCYDLKTSAPLVFSRADALENESYDFRLCEVGRAAWSEAGRFEPAEVSSVDGATSCAAVDGGPTMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGGGPSAAADADLRRMRRWGPKEWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPSGPDGEYEPTPGNVRALLAAADDMLKQRNVESVLFEGRRVGEQTNAEKLDRFAAELVAEHRGRGSRIAPTVAFKQAPQKPSALG >PAN47033 pep chromosome:PHallii_v3.1:9:15072366:15074261:-1 gene:PAHAL_9G229500 transcript:PAN47033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQTDEEAMKLTGFQVNFHKDGEDAADRHGGGGVLRPSRICHLALLSTAFWAFVFFLHSGTQGDGVGVVSVLFKQAAFSLPPLLSGNAAGRGRVPPTQLPALAVQARSPPPVEAAPADSCAGRYIYMYDLPPRFNDDLVLDCKKLWRFYDMCPLVANCGMGRALGDEGGVFSSRGWYATNQFTLDIIFHARMKGYECLTGDPSLAAALYVPFYASLDGGRHMWNSTSLRDMLGLDLVEWLARRPQWRAMGGRDHFMVAGRTAWDFRRYEDVDEQWGTKLLNNPAVQNMTVLILETSPWRRANLAVPYPTYFHPETAADVAAWQEKMRGAERSWLFAFAGAPHPWQRETVRPEIFQQCGASSRCRLFRCGAKSGPHSCKSPGAVMRVFESSDFCLQPRGDSLTRRSTFDAILAGCIPVFFHPGSAYTQYTLHLPKEPERWSVLIMHTDVSERNVSIEETLAKIPPETVRAMREEVIRLIPRVVYADPRSRRVDFKDAFDVSVEAVIDRVAKRRRGDVEGRRR >PAN45833 pep chromosome:PHallii_v3.1:9:8950882:8955325:-1 gene:PAHAL_9G145900 transcript:PAN45833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQ >PAN50108 pep chromosome:PHallii_v3.1:9:64484366:64484860:-1 gene:PAHAL_9G498700 transcript:PAN50108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGSFDVIRIVHLSGHIEEYTRPVTAGEVIAAHPNHVLSRPCSQGGARRILIVAPDSELKRGCFYFLVPASSVPEKKRKPPQPQPQQKKARPQKTAPSAAVANEAKKDNGDRYLAEVLSEGKASLKRRRSGRSTVWRPHLQSILEEDASDS >PAN44932 pep chromosome:PHallii_v3.1:9:4723299:4724263:-1 gene:PAHAL_9G081600 transcript:PAN44932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMADDSTSRRSRRNRPMDATSTTAEIAAAEFVEAEVAMAGAVAASACGGVSGLGTPTGGSTTPPVTAEARRPGTQGTEAKQARKRRPLTLKEEEDREIMAIYTEDPLFWIWMLF >PAN51836 pep chromosome:PHallii_v3.1:9:72493002:72495315:1 gene:PAHAL_9G623700 transcript:PAN51836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVQTTPELPQEILMDIFALLEIPDLVRAGSVCSSWNNAYTRIRNIGQKKQAQTPCLLYTSESAGENVACLYSLAEKRAYKLTLPEPSIHRRYLIGSSHGWVITVDERSEMHLVNPITSEQIALPSVITIEQVEPIYDNTGAICKYNYSMDTKMSVTRRSLTLALGKLRYYFHHKAHIFYDASVGSYIVVFIHNPFGQLVFARLGDEKWTRLSSYTNFQDCIYQDGVLYAVTAFGEIAFDLSGPVVGAKIIMDRVSDFYGRERVYIVQAPWGDMLQVWRPEAWINEEVDGHRHEATFEHKMKWMKYI >PVH33312 pep chromosome:PHallii_v3.1:9:72493002:72495315:1 gene:PAHAL_9G623700 transcript:PVH33312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLHFRISWYLIGSSHGWVITVDERSEMHLVNPITSEQIALPSVITIEQVEPIYDNTGAICKYNYSMDTKMSVTRRSLTLALGKLRYYFHHKAHIFYDASVGSYIVVFIHNPFGQLVFARLGDEKWTRLSSYTNFQDCIYQDGVLYAVTAFGEIAFDLSGPVVGAKIIMDRVSDFYGRERVYIVQAPWGDMLQVWRPEAWINEEVDGHRHEATFEHKMKWMKYI >PVH32429 pep chromosome:PHallii_v3.1:9:56674724:56688753:1 gene:PAHAL_9G396100 transcript:PVH32429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTDDSDIMVPRNAHFSSRNPLDGVHQDEVRIRDASFSSSPRDDSDGGLYIGSSRTPSQNMLQESIAEPSLSGVAHLSLRASGSSKALLDTAEETIEELLAEAQMWETHSRQLKNDLETLQKECDEKSVTQSELLLELSVSQAEQESLRKEIEELKSSLEVPTAQQTVAGTTKSGDVIDFEHELKDEVQFLRESNENLTIQLKKTQDANIELVSILQELEETVEAQRAEISTISQMSNTVDHEIPVHALSVQEDAEWARKLSLKENEIVALKEKLDRVLNVENVSGAGTDAIYLELEKENEFLKIKMEELENDCSELTEENLDLIHKLKEVTGVEGQDSCISDIQEMLNAEDLSGTSKSRVKYLERKCADLDMRILKFQSEYRELEEKFQKSQEEIKERTLELSELRDNLSNSRVMELEGREIDVASGHRSGSEELGDTESELNLLKDTIQLKEKEIEGLHHSKLEMETFIHNVLERKIHELESCKIELELHISRLEDEKFELLESISGVEAELNNLTSEYESCIVQMDDSRTLIIDLKDKVEWQQAELEAQKVELKQKQLEFQKRFSEVHEDSEALRRSNAKLQAKVDNLVEECSSLQALTDDLKKQKLELHSSATQLEQELEHSKRKTTEFCKTVEFLEAKLSSIQKDISSKEQSFLLELENVFQEHKEQEERISRAHFLLNKIEKEKIIEVENLEREVLSLTAQLSSTHEERESSTLDTIREASILRADKTKLEANLNDVSEQLRHYECQLEDIRKESKSKIKSLVDSLNASKQNEETLKTDAEDMRRLMEATKSNEEKLRTTSNELELKFKTSNFEKQQIMEENSGLKNQVQKIAGLQDELLKLQSTLDEAKFEKGKLEELLRLLSEECDELKVQKAVLTDKVSHMQDTSNNIDEEKQSKTSMQAKHERSTKQGNNDLATDNGGCSPVNEEPDLQTKIKSLESRLAEALAENSMYRAQLKSPMPEGQSESRDGEEKNDDKIAQLESELKDMQDRLLNVSMQYAEVEAQREELVMELKNVNAKKGRWF >PAN48627 pep chromosome:PHallii_v3.1:9:56674724:56688753:1 gene:PAHAL_9G396100 transcript:PAN48627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRANRRRSPDRLGERVEFRFSNLRAVQVPVVSDRLLISIISVDTGKTIARSSKAAARNGICQWPDSILESIWFSQDEASKEFEDCQCRITVSMGSTKSGILGEVFLNLTNYLSSVDSIAISLPLKKCNSGTVLQLKIQCLGTKSKSSPTNDVMDSKTDDSDIMVPRNAHFSSRNPLDGVHQDEVRIRDASFSSSPRDDSDGGLYIGSSRTPSQNMLQESIAEPSLSGVAHLSLRASGSSKALLDTAEETIEELLAEAQMWETHSRQLKNDLETLQKECDEKSVTQSELLLELSVSQAEQESLRKEIEELKSSLEVPTAQQTVAGTTKSGDVIDFEHELKDEVQFLRESNENLTIQLKKTQDANIELVSILQELEETVEAQRAEISTISQMSNTVDHEIPVHALSVQEDAEWARKLSLKENEIVALKEKLDRVLNVENVSGAGTDAIYLELEKENEFLKIKMEELENDCSELTEENLDLIHKLKEVTGVEGQDSCISDIQEMLNAEDLSGTSKSRVKYLERKCADLDMRILKFQSEYRELEEKFQKSQEEIKERTLELSELRDNLSNSRVMELEGREIDVASGHRSGSEELGDTESELNLLKDTIQLKEKEIEGLHHSKLEMETFIHNVLERKIHELESCKIELELHISRLEDEKFELLESISGVEAELNNLTSEYESCIVQMDDSRTLIIDLKDKVEWQQAELEAQKVELKQKQLEFQKRFSEVHEDSEALRRSNAKLQAKVDNLVEECSSLQALTDDLKKQKLELHSSATQLEQELEHSKRKTTEFCKTVEFLEAKLSSIQKDISSKEQSFLLELENVFQEHKEQEERISRAHFLLNKIEKEKIIEVENLEREVLSLTAQLSSTHEERESSTLDTIREASILRADKTKLEANLNDVSEQLRHYECQLEDIRKESKSKIKSLVDSLNASKQNEETLKTDAEDMRRLMEATKSNEEKLRTTSNELELKFKTSNFEKQQIMEENSGLKNQVQKIAGLQDELLKLQSTLDEAKFEKGKLEELLRLLSEECDELKVQKAVLTDKVSHMQDTSNNIDEEKQSKTSMQAKHERSTKQGNNDLATDNGGCSPVNEEPDLQTKIKSLESRLAEALAENSMYRAQLKSPMPEGQSESRDGEEKNDDKIAQLESELKDMQDRLLNVSMQYAEVEAQREELVMELKNVNAKKGRWF >PVH32428 pep chromosome:PHallii_v3.1:9:56675078:56681817:1 gene:PAHAL_9G396100 transcript:PVH32428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRANRRRSPDRLGERVEFRFSNLRAVQVPVVSDRLLISIISVDTGKTIARSSKAAARNGICQWPDSILESIWFSQDEASKEFEDCQCRITVSMGSTKSGILGEVFLNLTNYLSSVDSIAISLPLKKCNSGTVLQLKIQCLGTKSKSSPTNDVMDSKTDDSDIMVPRNAHFSSRNPLDGVHQDEVRIRDASFSSSPRDDSDGGLYIGSSRTPSQNMLQESIAEPSLSGVAHLSLRASGSSKALLDTAEETIEELLAEAQMWETHSRQLKNDLETLQKECDEKSVTQSELLLELSVSQAEQESLRKEIEELKSSLEVPTAQQTVAGTTKSGDVIDFEHELKDEVQFLRESNENLTIQLKKTQDANIELVSILQELEETVEAQRAEISTISQMSNTVDHEIPVHALSVQEDAEWARKLSLKENEIVALKEKLDRVLNVENVSGAGTDAIYLELEKENEFLKIKMEELENDCSELTEENLDLIHKLKEVTGVEGQDSCISDIQEMLNAEDLSGTSKSRVKYLERKCADLDMRILKFQSEYRELEEKFQKSQEEIKERTLELSELRDNLSNSRVMELEGREIDVASGHRSGSEELGDTESELNLLKDTIQLKEKEIEGLHHSKLEMETFIHNVLERKIHELESCKIELELHISRLEDEKFELLESISGVEAELNNLTSEYESCIVQMDDSRTLIIDLKDKVEWQQAELEAQKVELKQKQLEFQKRFSEVHEDSEALRRSNAKLQAKVDNLVEECSSLQALTDDLKKQKLELHSSATQLEQELEHSKRKTTEFCKTVEFLEAKLSSIQKDISSKEQSFLLELENVFQEHKEQEERISRAHFLLNKIEKEKIIEVENLEREVLSLTAQLSSTHEERESSTLDTIREASILRADKTKLEANLNDVSEQLRHYECQLEDIRKESKSKIKSLVDSLNASKQNEETLKTDAEDMRRLMEATKSNEEKLRTTSNELELKFKTSNFEKQQIMEENSGLKNQVQKIAGLQDELLKLQSTLDEAKFEKGKLEELLRLLSEECDELKVQKAVLTDKVSHMQDTSNNIDEEKQSKTSMQAKHERSTKQGNNDLATDNGGCSPVNEEPDLQTKIKSLESRLAEALAENSMYRAQLKSYLPVDHIILFYPVQSHARGAI >PVH32430 pep chromosome:PHallii_v3.1:9:56677579:56688753:1 gene:PAHAL_9G396100 transcript:PVH32430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTDDSDIMVPRNAHFSSRNPLDGVHQDEVRIRDASFSSSPRDDSDGGLYIGSSRTPSQNMLQESIAEPSLSGVAHLSLRASGSSKALLDTAEETIEELLAEAQMWETHSRQLKNDLETLQKECDEKSVTQSELLLELSVSQAEQESLRKEIEELKSSLEVPTAQQTVAGTTKSGDVIDFEHELKDEVQFLRESNENLTIQLKKTQDANIELVSILQELEETVEAQRAEISTISQMSNTVDHEIPVHALSVQEDAEWARKLSLKENEIVALKEKLDRVLNVENVSGAGTDAIYLELEKENEFLKIKMEELENDCSELTEENLDLIHKLKEVTGVEGQDSCISDIQEMLNAEDLSGTSKSRVKYLERKCADLDMRILKFQSEYRELEEKFQKSQEEIKERTLELSELRDNLSNSRVMELEGREIDVASGHRSGSEELGDTESELNLLKDTIQLKEKEIEGLHHSKLEMETFIHNVLERKIHELESCKIELELHISRLEDEKFELLESISGVEAELNNLTSEYESCIVQMDDSRTLIIDLKDKVEWQQAELEAQKVELKQKQLEFQKRFSEVHEDSEALRRSNAKLQAKVDNLVEECSSLQALTDDLKKQKLELHSSATQLEQELEHSKRKTTEFCKTVEFLEAKLSSIQKDISSKEQSFLLELENVFQEHKEQEERISRAHFLLNKIEKEKIIEVENLEREVLSLTAQLSSTHEERESSTLDTIREASILRADKTKLEANLNDVSEQLRHYECQLEDIRKESKSKIKSLVDSLNASKQNEETLKTDAEDMRRLMEATKSNEEKLRTTSNELELKFKTSNFEKQQIMEENSGLKNQVQKIAGLQDELLKLQSTLDEAKFEKGKLEELLRLLSEECDELKVQKAVLTDKVSHMQDTSNNIDEEKQSKTSMQAKHERSTKQGNNDLATDNGGCSPVNEEPDLQTKIKSLESRLAEALAENSMYRAQLKSYLPVDHIILFYPVQSHARGAI >PVH33213 pep chromosome:PHallii_v3.1:9:70888440:70889090:-1 gene:PAHAL_9G598300 transcript:PVH33213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRRRRKPRPGRRRTTPAATRQRRWARTPSPTSSWTPCRPAPGLRARRRSRARMWVAGRRPAGVGALAVAGVGRLDVARGGEAQRRRVDEFVAVAQIWHLEVEIELPWSLVLLGWVGRDIEHHIQTWRACLLFAASVHPPAVSKAWQLMVWACGKKGKRGEAINGAAELESFGTAQTALRRPPPSSPRGLLLL >PVH32981 pep chromosome:PHallii_v3.1:9:67202701:67209501:1 gene:PAHAL_9G539900 transcript:PVH32981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTAVQSEWCVMESRRPRTGWNKPVAVHEADGIRDCEKHLDQMWSIGQREEVLVGCCHPPQLPGEVISSEGGINLLQQAPTLRYASKVFVGMPQQGSVHPLFDAMPLKITWDEEMQDSINTHESLLQQLALGVDAKLLQHIDSQDGLLQQLAHGNTLECTGDGSVCIRGHPASKKHTGKWKASSLTIVCSFCLYLAISSISKNLVSYLTKVLHETNVAAARNVSPWQGTTFLAHLVGAFIADSYLGKYWTALIFVTIFIIGMMLLVLSAVSLSISTNPQVWPAWTDTVSSQHTIFFFGLYMVAIGYGRQNPCVTSFGADQFDDLTDDTDEEERTKKSSFFNWHHFTLNAGALISGTIIVWIQDHEGWLWGFSIAALFVALGLGSFVLGSSLYRFQKPGGSPFVRMCQVIVVATLNFDKDLPCDCSLLYEIQGQGSAIEGSRKLEHTTGLEFFDKAAIVTSTDCESVGLLNTWKVCAVTQVEEFKILIRMLPIWATMVLFTTVLVQMFSTFIEQGMVMDKHIGSFAIPAASFQSVDVITVLALVPVYERILVPVFRKFTGMANGITPLQRMGVGLFFSTLSMVSAAMVESNRLQILQDKGLVDQNVAAPMSILWQGPQYFLIGAGEVFSLIGSNEFFYEESPEAMRSICLAFSNANISVGNYLSSFTFSLVPVFTARGGSPVWIPDNLNGGHLDRFFWMMAGLSFLNLLTFVFYAMRYKCKKAS >PVH31152 pep chromosome:PHallii_v3.1:9:3913751:3914239:-1 gene:PAHAL_9G068000 transcript:PVH31152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDRLMKCRVEATGGTISITMQTVPPPRVRSLLGLRHQQLGVAHRPRPRSARRLPCSISFPVHTAAPRLPCFYIPYKPRRSGRLRVPPGTIPPPARRNRQGPSRQPSRWGPPRRRCCPRSGAGRRRGEGRCAAGGGAAARRREGGGPRRRRRPGGWRRWRRP >PAN48694 pep chromosome:PHallii_v3.1:9:56933272:56934518:-1 gene:PAHAL_9G399300 transcript:PAN48694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFRRTLTSLASPKSVAPSFLLDCARPKKLSYARVRSTSLPVRLHPLAAALHDAARGLLAWADAPAQTGPAWVADGAGRAGRVLAGLADLLHHPQARDALRRHPWTEQLLDDLLLLADLHGSFRESLVALRQLLAETHAALRRRDASRLGAALRAQRRSAREVSRLASAARDLSHRAAPDDEADEATLADAFAAATAAVSAASSAVFAGVSTASAESASSAAPSPRTPTPYSPARAPASPMWLVTDLLRRRRTVSFEDSCNEDEEERKVAMGRVRGLEECVDAAEAGCEELYRALVSARVSLLNLLTPTF >PAN48604 pep chromosome:PHallii_v3.1:9:56574699:56579430:-1 gene:PAHAL_9G394500 transcript:PAN48604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSVASHGALLAAAPLAGQRPRLPLSPPPSPPSIQIQNRLYSISSLPLKARPVRRCGASLASNYSQTSEIVDLDWENLGFGLVQTDYMYIAKCGQDGKFSEGELVPFGPIALNPSSGVLNYGQGLFEGLKAYRKPDGSILLFRPKENALRMQTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYLRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGSVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVAVSKGFQVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYQGKRVEYGHQGVGVVSQQLYTSLTSLQMGQTEDWMGWTMQLN >PVH31632 pep chromosome:PHallii_v3.1:9:12520374:12526693:-1 gene:PAHAL_9G195600 transcript:PVH31632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAERLKDTRYLLVLDDYWRESWYDWHGKLKLPLLKGAVGSKIIVTTRSAEVARVLGTSTPYRLQHLQDEDCWRLFCYFSQGTEAHTYDFQDISRLREDVIRKCRGVPFVAVCLGLRVHQENDRSKWTAILHGENWDSTGHLIGALRLSYVQLDSHLKPCFAYSSVVPQNFLFEEEWLIQHWMAQGFIQPNPNESETIEDTARSYFRSLVGRSFFQTAHVDRTGERQSYSLSEMMRDLAIHVSGEDCKCSIIDGPYNIPEKVRYLTVVFNMLTSQDMFEVISGGKRLHTLIVVGGSDHFELKIPIDIGQRFIRLRALDLGNFCVTELPESIGELKHLRCLQLRNTKIRRLPESICDLYSLQTLGLTNCYYLEKFPCKIKNLRMMRHIDLVMARNGCRLEGMPKGIGLLIDLQTLSRFVVSSNTHKGNIAELGKLNKLRGELLISNLHLVESVQEAVLANLASKQFLQKLELSWSGNSKNAENILEHLKPPTKVKELTISGYTGMACPSWLGSSVYTNLVTVCLYDFKSCTELPPLGLLPALKNLHLKGWESLVYIDCSRFCGRSTPSFEHLEKLHLEMMDRLQLWDGDERCAFPKLLELVLENCCRLEQVSHSLPSLAKVTVEGSPNFRGLRSYPALKHLNVNASGEWIWGSWRSLSCPISVSLCKLPTVEFPSGLGRFHTCLQRLEISHCEQLVSIPEDWPPCNLSHLSVRHCPRLHELPRGIRRLKALEDMEIIACGQLACLPEMDGLTSLLRLEISDCGLIRSLPDKGLPSSVRVLSINSCPLLASSCLNEGRSAHHIKMNNILVWIDGREVPTSAR >PAN51149 pep chromosome:PHallii_v3.1:9:69434820:69437550:1 gene:PAHAL_9G574100 transcript:PAN51149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSPKPIRCKAAVCRVAGEPLIVEEIIVDPPKAYEIRIKIVCTSLCHSDITFWRAKVATAFPRILGHEAYGVVESVGEHVEGFAAGDTVVPTFLGQCDHCPGCASEHNNLCTTVPFVFGPGMRRDGTTRFRDAHGNPLHDLVAVSSFSEYTVVDVTQVVKIDPAVPPKLACLLSCGGSTGVGAAWRVAKVQPGSSVVIFGLGSVGLAVAQGAKMCGASKIIGVDMNPDKEEVGKSFGVTHFVNPSQLGNSSVTEEIAKLTGGGADYSFECIGVSSVMTDAFTSTKPGKGKTIILGLEKDNEPISLPSLDLLSGKCVMGSYFGGLKPKTDVPTLAQKCMNKELELDGLVTHEVGLQEINTAFDLLLQGKSLRCIIWMDKDK >PAN51150 pep chromosome:PHallii_v3.1:9:69434820:69437550:1 gene:PAHAL_9G574100 transcript:PAN51150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSPKPIRCKAAVCRVAGEPLIVEEIIVDPPKAYEIRIKIVCTSLCHSDITFWRAKVATAFPRILGHEAYGVVESVGEHVEGFAAGDTVVPTFLGQCDHCPGCASEHNNLCTTVPFVFGPGMRRDGTTRFRDAHGNPLHDLVAVSSFSEYTVVDVTQVVKIDPAVPPKLACLLSCGGSTGVGAAWRVAKVQPGSSVVIFGLGSVGLAVAQGAKMCGASKIIGVDMNPDKEEVGKSFGVTHFVNPSQLGNSSVTEVWSELHKTDCFEEERLEEIAKLTGGGADYSFECIGVSSVMTDAFTSTKPGKGKTIILGLEKDNEPISLPSLDLLSGKCVMGSYFGGLKPKTDVPTLAQKCMNKELELDGLVTHEVGLQEINTAFDLLLQGKSLRCIIWMDKDK >PAN51151 pep chromosome:PHallii_v3.1:9:69435104:69437171:1 gene:PAHAL_9G574100 transcript:PAN51151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHYLIIYGSISNHRRLRVMHGHAWQQAAAVCRVAGEPLIVEEIIVDPPKAYEIRIKIVCTSLCHSDITFWRAKVATAFPRILGHEAYGVVESVGEHVEGFAAGDTVVPTFLGQCDHCPGCASEHNNLCTTVPFVFGPGMRRDGTTRFRDAHGNPLHDLVAVSSFSEYTVVDVTQVVKIDPAVPPKLACLLSCGGSTGVGAAWRVAKVQPGSSVVIFGLGSVGLAVAQGAKMCGASKIIGVDMNPDKEEVGKSFGVTHFVNPSQLGNSSVTEEIAKLTGGGADYSFECIGVSSVMTDAFTSTKPGKGKTIILGLEKDNEPISLPSLDLLSGKCVMGSYFGGLKPKTDVPTLAQKCMNKELELDGLVTHEVGLQEINTAFDLLLQGKSLRCIIWMDKDK >PAN45164 pep chromosome:PHallii_v3.1:9:5644445:5646387:-1 gene:PAHAL_9G098300 transcript:PAN45164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRTWTWVVFLLLALLSSSSPPSCRAAEAPSPQPASADADLAVDDGLRTGYHFQPPKHWINDPNGVMYYKGVYHLFYQYNPRGAVWGNIVWAHAVSTDLVDWVMLPPAIYPTAPFDVNGCWSGSATVLPDGTPVIMYTGIDAQNRQVQNVAYPKDLSDPYLREWVKPDYNPVIAPGPGMNASAFRDPTTAWQGPDGLWRLVIGTKDNHRGLAMLYRSRDFKQWAPANRALHSGDTGMWECPDFYPVTSPGVSGGTKHVLKVSLDLTRFEYYTFGEYDHATDTYVPDAALADGNDGLRYDYGNFYASKTFLDTAKQRRILWGWANESDSTGDDIRKGWAGVQAVPRKVWLSSDGKQLVQWPVAEIESLRGGLVNITDRLVGAGQHFEVAGLASAAQADVEASFQVADLDKAESFDPAWRGADAQTVCAARGADARGGVGPFGLWVLASDEREERTAVFFRVFKGGDGGKHVVLMCNDPSMSSHADNLYKPTFAGFVDVDIAQTGGKIALRTLIDHSVVESFGAHGKTCILSRVYPTKAVGEKARLFVFNNGESDVKLTHLNAYEMRSAKITSDTTEPTSR >PAN45379 pep chromosome:PHallii_v3.1:9:6716066:6721255:1 gene:PAHAL_9G114600 transcript:PAN45379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPDGGKSFARRNLLLTIQSEAQKLWDENRVFEAEPGNGRPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFGFHCTGMPIKASADKLAREIQQYGNPPVFPAVEDEVSSEVADSQADQAVAVAPDKFKSKKSKAAAKTGVQKFQWEIMRGFGLSDEEIAKFQDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRATGEGVLPQEYVLIKMEVIPPFPPQLKALEGKKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINATDVFILTARSALNLAYQNLSRIPQKPTCLAEISGNDLIGLPLKSPLAFNEIIYALPMMTILTDKGTGIVTSVPSDSPDDFMALQDLVTKPALRGKFAVKDEWVLPFKVVPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFKGRKVQDVKPLIKNKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQMAEKCLENMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYSIAHLLQNGNMYGKEISAIRPEQMTDDIWEYVFCNGPAPKSDIPPTLLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHTAILPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLKQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVVAAESSLRAGPPSSYADRVFANEINIAVKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGAVGMNRDLLWQFMDVQTRLITPICPHYAEHVWQKILRKEGFAIKAGWPVADTPDPTLRIANKYLQDSIVLMRKLLQKQESGSKKPKKGAQPAPPSENKMSIGLIYVNEHFYGWKEQCLRVLQSKFDSQARSFAPDQEIIEALKNCSIGQEANFKQVQKLCMPFIRFKKDEAREVGPQALDLKLPFGEMDVLQENLELIRRQLGLEHVEVLSASDEAARSKAGKYASLLNQNPPSPGEPVAIFMSKHEFEAQN >PAN47938 pep chromosome:PHallii_v3.1:9:33166611:33168576:-1 gene:PAHAL_9G302900 transcript:PAN47938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGSPTSPVPSSMCSPVVAPRCAARGTRRSPRRCAGSARPQARQRRNASRRLARRRSARRSGTRALGACGGARLLGQHRGAHTAQEGVLRPRQGEAALARLCTGRAGRQWQRPAGRPGLCQLGTAACARRQVHEAQGSSTSSTARSGQIYTTATCHPNRSLELKLEAGLLQMEQFNCQREYKVNQVSIRSRYVVY >PVH32055 pep chromosome:PHallii_v3.1:9:33166009:33168576:-1 gene:PAHAL_9G302900 transcript:PVH32055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGSPTSPVPSSMCSPVVAPRCAARGTRRSPRRCAGSARPQARQRRNASRRLARRRSARRSGTRALGACGGARLLGQHRGAHTAQEGVLRPRQGEAALARLCTGRAGRQWQRPAGRPGLCQLGTAACARRQVHEAQGSSTSSTARSGQIYTT >PVH33228 pep chromosome:PHallii_v3.1:9:71074288:71079085:1 gene:PAHAL_9G601400 transcript:PVH33228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAPAAGYGEDGVYRSPRPAVRIESDPGLSLTDLLLRRADACPSALALTDVATGQALTFSALRSAVLNTAVALASRAGVRPGDVVLLIAPNCVLYPVCFFAVTALGAVATTANPLYTPREIANQVTDARAKLAITVSEVLPKIAELRLLAILLDGDAARAGASVHPGASVTLYSDLIAGAQETEYRRPPTKQSNTAALLYSSGTTGASKGVVLTHRNFISAAAMLTADQDAEGEAAGPNVFLCYLPMFHIFGLFAITFAQVQRGNAVVVMPRFHMDAVMAAVERHRVTHLFCVPPVMIALAKLGRAGKHDLSSLRFIGTGAAPLGKDVMEVVARNFPEAVVAQGYGMTETCGVISLEYPEKGQARQFGSTGPLLSGIEAKIIDVETLKCLPPNQLGEICVRGLNTMQGYFNNVQATEYTIKQGWLHTGDIGYFDGGGQLFVVDRLKELIKYKGFQWNFCLFYWQIAPAELEGLLLSHPEILDAVVIPFPDAEAGEVPIAYVVRSPVSSLTEVDVQKFIENQVAYYKRLRRVSFVDSVPKSASGKILRRELIAQVRSSKE >PVH33227 pep chromosome:PHallii_v3.1:9:71074288:71079085:1 gene:PAHAL_9G601400 transcript:PVH33227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAPAAGYGEDGVYRSPRPAVRIESDPGLSLTDLLLRRADACPSALALTDVATGQALTFSALRSAVLNTAVALASRAGVRPGDVVLLIAPNCVLYPVCFFAVTALGAVATTANPLYTPREIANQVTDARAKLAITVSEVLPKIAELRLLAILLDGDAARAGASVHPGASVTLYSDLIAGAQETEYRRPPTKQSNTAALLYSSGTTGASKGVVLTHRNFISAAAMLTADQDAEGEAAGPNVFLCYLPMFHIFGLFAITFAQVQRGNAVVVMPRFHMDAVMAAVERHRVTHLFCVPPVMIALAKLGRAGKHDLSSLRFIGTGAAPLGKDVMEVVARNFPEAVVAQGYGMTETCGVISLEYPEKGQARQFGSTGPLLSGIEAKIIDVETLKCLPPNQLGEICVRGLNTMQGYFNNVQATEYTIKQGWLHTGDIGYFDGGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAEAGEVPIAYVVRSPVSSLTEVDVQKFIENQVAYYKRLRRVSFVDSVPKSASGKILRRELIAQVRSSKE >PVH31585 pep chromosome:PHallii_v3.1:9:11573994:11574390:1 gene:PAHAL_9G182900 transcript:PVH31585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTCTQVSKLYHRISKVAVLFVKVSTALCRRLLCVTCTPWLYIGSTHMVCNTCLA >PAN44521 pep chromosome:PHallii_v3.1:9:2788704:2791006:-1 gene:PAHAL_9G049900 transcript:PAN44521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEEANGAAVESCKKLVAFLSLSAGDAFRPMPVAAETDEAVARFGKVVAVLSDRLGHARARIGSKSSPALPVDASCLLDHPSLAPGHSPNGRHLVSSIPAPAPSAATMRSVAPMRGHETEVAPAVLVSPCANVAPAPAAARKFDRNMFLETPLLELNSCGVLPSTPMAAAQKNTSTVVTAPSPNPCTTIASHIQFQPQQQQAKKQKSFQFDQAPRGEQFHIEVPVPLPRGGGGGAKEVISFSFDNNSVCTSSAATSFFTSISSQLISMSDAATSSAATAKKACAKRGEDGSVKCHCPKKKKPREKRVVRVPAISDKNADIPADNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDGGMLIVTYENDHNHAQPLDPSVLPANAAEA >PVH31362 pep chromosome:PHallii_v3.1:9:7522402:7526587:1 gene:PAHAL_9G126300 transcript:PVH31362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKPCIRSAQPSKNPVTALPKSGSDESVYDTVRDEGILQMQKVERKGKRKREEGDGMEGKLDKKEEDVMKEKGMVKEKKGKQKLKKGKGGGILTNKLFSELVISELTAKAIREMNYTHLTEIQARSIPHLREQSDVMGSAKTGSGKTLAFLIPAIELLRSSNFLPVNGTGVIVICPTRELAIQTHKVAKELMKYHSQNLGYVIGGTNMRSEANQLAKGVNVLVATPGRLLDHLRRTTLLRVSSSLVISYTKFAGLQCLIIDEADRILEQNFEENMKQIFKRLPQVEDFANFTFRKNEERQRKLVYVGVDDSELKPTVEGLQQGYCVIPSEKRFLVLYAFLRKVRQKQKVMVFFSSCSSVKFHAELLNFLAIQCDDIHGQVKQQKRTSTFFRFKEEKGILLCTNVAARGLDIPNVDYIVQYDPPDDPKDYIHRVGRTSRGDKGKGSALMFLLPEELKLLIHLQAANISLAEYEFSKKDEYIVGGNYFLNELAKEAYRSYLLAYNSHSMKDIFDIHQLDLKKVAASFGFKDLPKVSLNLESSASKHRKMRKVGGGRRHGIGPSKPYGRRGGYDRRPLARF >PVH30971 pep chromosome:PHallii_v3.1:9:1342647:1347756:1 gene:PAHAL_9G023600 transcript:PVH30971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREFWSYQPPHFVTGQRCSDNWITVVDPLPSYGRGRELPGKRHQSLIFGSYLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCINHCYVKNGDDVIAIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRVVNSLQGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRISGNFGEHPDDKYDRNALPMISNITIKDVVGVNVGVAGILEGIHGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNSVIPESCEQLRSNCRQTSDCYDGSSSSAIHGQEPRDTLSTSRLLNPLMKFTLF >PVH30969 pep chromosome:PHallii_v3.1:9:1342357:1348790:1 gene:PAHAL_9G023600 transcript:PVH30969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSASLLQVLLVFTTMVKTQWSTVSGIYCKEMSPNVYRPHSVTITEFGAIGDGVTLNTKAFRNAIFYLNSFADKGGAQLFVPAGKWLTGSFGLISHLTLSLDKDAVIIGSLDSSDWPVVDPLPSYGRGRELPGKRHQSLIFGSYLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCINHCYVKNGDDVIAIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRVVNSLQGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRISGNFGEHPDDKYDRNALPMISNITIKDVVGVNVGVAGILEGIHGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNSVIPESCEQLRSNCRQTSDCYDGSSSSAIHGQEPRDTLSTSRLLNPLMKFTLF >PVH30973 pep chromosome:PHallii_v3.1:9:1342647:1348791:1 gene:PAHAL_9G023600 transcript:PVH30973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSASLLQVLLVFTTMVKTQWSTVSGIYCKEMSPNVYRPHSVTITEFGAIGDGVTLNTKAFRNAIFYLNSFADKGGAQLFVPAGKWLTGSFGLISHLTLSLDKDAVIIGSLDSSDWPVVDPLPSYGRGRELPGKRHQSLIFGSYLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCINHCYVKNGDDVIAIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRVVNSLQGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRISGNFGEHPDDKYDRNALPMISNITIKDVVGVNVGVAGILEGIHGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNSVIPESCEQLRSNCRQTSDCYDGSSSSAIHGQEPRDTLSTSRLLNPLMKFTLF >PAN44192 pep chromosome:PHallii_v3.1:9:1342647:1348770:1 gene:PAHAL_9G023600 transcript:PAN44192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSASLLQVLLVFTTMVKTQWSTVSGIYCKEMSPNVYRPHSVTITEFGAIGDGVTLNTKAFRNAIFYLNSFADKGGAQLFVPAGKWLTGSFGLISHLTLSLDKDAVIIGSLDSSDWPVVDPLPSYGRGRELPGKRHQSLIFGSYLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCINHCYVKNGDDVIAIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRVVNSLQGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRISGNFGEHPDDKYDRNALPMISNITIKDVVGVNVGVAGILEGIHGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNSVIPESCEQLRSNCRQTSDCYDGSSSSAIHGQEPRDTLSTSRLLNPLMKFTLF >PVH30972 pep chromosome:PHallii_v3.1:9:1342647:1348800:1 gene:PAHAL_9G023600 transcript:PVH30972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSASLLQVLLVFTTMVKTQWSTVSGIYCKEMSPNVYRPHSVTITEFGAIGDGVTLNTKAFRNAIFYLNSFADKGGAQLFVPAGKWLTGSFGLISHLTLSLDKDAVIIGSLDSSDWPVVDPLPSYGRGRELPGKRHQSLIFGSYLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCINHCYVKNGDDVIAIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRVVNSLQGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRISGNFGEHPDDKYDRNALPMISNITIKDVVGVNVGVAGILEGIHGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNSVIPESCEQLRSNCRQTSDCYDGSSSSAIHGQEPRDTLSTSRLLNPLMKFTLF >PVH30970 pep chromosome:PHallii_v3.1:9:1342647:1348800:1 gene:PAHAL_9G023600 transcript:PVH30970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREFWSYQPPHFVTGQRCSDNWITVVDPLPSYGRGRELPGKRHQSLIFGSYLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCINHCYVKNGDDVIAIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRVVNSLQGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRISGNFGEHPDDKYDRNALPMISNITIKDVVGVNVGVAGILEGIHGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNSVIPESCEQLRSNCRQTSDCYDGSSSSAIHGQEPRDTLSTSRLLNPLMKFTLF >PVH30974 pep chromosome:PHallii_v3.1:9:1342647:1348770:1 gene:PAHAL_9G023600 transcript:PVH30974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREFWSYQPPHFVTGQRCSDNWITVVDPLPSYGRGRELPGKRHQSLIFGSYLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCINHCYVKNGDDVIAIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRVVNSLQGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRISGNFGEHPDDKYDRNALPMISNITIKDVVGVNVGVAGILEGIHGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNSVIPESCEQLRSNCRQTSDCYDGSSSSAIHGQEPRDTLSTSRLLNPLMKFTLF >PAN44323 pep chromosome:PHallii_v3.1:9:1913680:1916212:1 gene:PAHAL_9G034400 transcript:PAN44323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARVGGQFDAVKMTGNTPPRKGRSTVASGGGGGGVEEAWLVAEAPVKKSHARAAECGVTVRFGHRGHFNWFVLLLLVLFIVLAVSITSNNDGSDGHEQREAATTVPPPPADDPADGEQVELVGECDMSSGRWVYDDVAYPLYEESACKFMSDQSACGKFGRTDLKYQHWKWQPHGCDLPRFDAARLLRRLRNKRLAFVGDSLNRNQWISMVCLIDTATPTLHKSMAGGNTSLVSFKIHEYNASVDFYWSPLLVESNSDDPVHHRVADRAVRAGSIARHARRWDDADVLVFNSYLWWRRPTMKVLRRGSFEAAANAAAEGAHRAAYEVTDSLRAFELSIRTWSEWLELHVDRARTQLFFTSMSPTHLRSDEWEAGDDGASTNHQCYNETEPILAEGHRGRDTDPAFARAVEAEVARLAERGVAVRVLNVTQLSEHRKDAHPSVHRRQWSPPTAAEQAARARDPSSGADCIHWCLPGVPDVWNQMLYAHMAS >PAN44322 pep chromosome:PHallii_v3.1:9:1913680:1916212:1 gene:PAHAL_9G034400 transcript:PAN44322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARVGGQFDAVKMTGNTPPRKGRSTVASGGGGGGVEEAWLVAEAPVKKSHARAAECGVTVRFGHRGHFNWFVLLLLVLFIVLAVSITSNNDGSDGHEQREAATTVPPPPADDPADGEQVELVGECDMSSGRWVYDDVAYPLYEESACKFMSDQSACGKFGRTDLKYQHWKWQPHGCDLPRFDAARLLRRLRNKRLAFVGDSLNRNQWISMVCLIDTATPTLHKSMAGGNTSLVSFKIHEYNASVDFYWSPLLVESNSDDPVHHRVADRAVRAGSIARHARRWDDADVLVFNSYLWWRRPTMKVLRGSFEAAANAAAEGAHRAAYEVTDSLRAFELSIRTWSEWLELHVDRARTQLFFTSMSPTHLRSDEWEAGDDGASTNHQCYNETEPILAEGHRGRDTDPAFARAVEAEVARLAERGVAVRVLNVTQLSEHRKDAHPSVHRRQWSPPTAAEQAARARDPSSGADCIHWCLPGVPDVWNQMLYAHMAS >PAN46944 pep chromosome:PHallii_v3.1:9:14804657:14811833:1 gene:PAHAL_9G225800 transcript:PAN46944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPGLFTEWPWKKLGNFKYLLLAPWVAHGGYLAATKGWRAADPGYLAILPSLFLRMLHNQAWITASRLQNARSRRQIVDRGIEFEQVDRERNWDDQIILSGILFYLGALYLPGGQHLPLWRADGFLLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHSSIVTEPITSVIHPFAELVAYEVLFAIPLIACALTGTASILAFEIYVLYIDFMNNMGHCNFELVPSWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKAKEETVDVVHLTHLTSLQSIYHVRPGFAEYASKPYTSKWYMRMMWPVSWLSMVLTWTYGTSFAVERNVMKKLKMQSWAIPRYSFHYGLTWEKEAINNLIEKAICEADKKGAKVVSLGLLNQANNLNGNGELYLQKYPKLEVRLVDGTSLAAAVVVNGIPQGTDQVVLAGNISKVARAVAAALCKKNVKVVMTNKQDYHFLKPNMPEDAAANLLFSKTPTSKVWLIGEGLDASEQFKAQKGTQFIPYSQFPPKMVRKDSCTYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKVWSAALLHGFRPTAQV >PVH32563 pep chromosome:PHallii_v3.1:9:59515876:59516073:-1 gene:PAHAL_9G429700 transcript:PVH32563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSAWAETNAEPVSSTTTHSHHTTCRLNILCCLKSCYEDGFSGKILKYINKKNKKADLVIAQNH >PAN44367 pep chromosome:PHallii_v3.1:9:2103072:2106497:1 gene:PAHAL_9G038200 transcript:PAN44367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP22 [Source:Projected from Arabidopsis thaliana (AT2G38730) UniProtKB/Swiss-Prot;Acc:Q9ZVJ4] MASTGGAAISAGPTPPSATATSVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFRQFCTGEHRKNGLPQGFKGCQFHRVIKDFMIQGGDFLKNDGTGCISIYGTKFDDENFIAKHTGPGLLSMANSGANSNGSQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACIISECGEM >PAN51198 pep chromosome:PHallii_v3.1:9:69649422:69651210:1 gene:PAHAL_9G577500 transcript:PAN51198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIIDRYDCHSKTLQKSEAPAQLQSHVDDSTCARLREELAEASLKLRQLRGEELQRLSVQQLQELEKTLESGLGSVRKTKSQKILDEINGLERKRMQLIEENSRLKEQVTRMTRMEMQLGADSEVVYEEGQSSESVTNASYPRPSADTDDGGSDTSLRLGLPLFSSK >PAN51192 pep chromosome:PHallii_v3.1:9:69644940:69651210:1 gene:PAHAL_9G577500 transcript:PAN51192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFHFASSSMNQIIDRYDCHSKTLQKSEAPAQLQSHVDDSTCARLREELAEASLKLRQLRGEELQRLSVQQLQELEKTLESGLGSVRKTKSQKILDEINGLERKRMQLIEENSRLKEQLQVTRMTRMEMQLGADSEVVYEEGQSSESVTNASYPRPSADTDDGGSDTSLRLGLPLFSSK >PAN51193 pep chromosome:PHallii_v3.1:9:69644940:69651210:1 gene:PAHAL_9G577500 transcript:PAN51193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFHFASSSMNQIIDRYDCHSKTLQKSEAPAQLQSHVDDSTCARLREELAEASLKLRQLRGEELQRLSVQQLQELEKTLESGLGSVRKTKSQKILDEINGLERKRMQLIEENSRLKEQLQVTRMTRMEMQLGADSEVVYEEGQSSESVTNASYPRPSADTDDGGSDTSLRLGLPLFSSK >PAN51195 pep chromosome:PHallii_v3.1:9:69644940:69651233:1 gene:PAHAL_9G577500 transcript:PAN51195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFHFASSSMNQIIDRYDCHSKTLQKSEAPAQLQSHVDDSTCARLREELAEASLKLRQLRGEELQRLSVQQLQELEKTLESGLGSVRKTKSQKILDEINGLERKRMQLIEENSRLKEQVTRMTRMEMQLGADSEVVYEEGQSSESVTNASYPRPSADTDDGGSDTSLRLGLPLFSSK >PAN51194 pep chromosome:PHallii_v3.1:9:69644940:69651233:1 gene:PAHAL_9G577500 transcript:PAN51194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFHFASSSMNQIIDRYDCHSKTLQKSEAPAQLQSHVDDSTCARLREELAEASLKLRQLRGEELQRLSVQQLQELEKTLESGLGSVRKTKSQKILDEINGLERKRMQLIEENSRLKEQVTRMTRMEMQLGADSEVVYEEGQSSESVTNASYPRPSADTDDGGSDTSLRLGLPLFSSK >PAN45782 pep chromosome:PHallii_v3.1:9:8711954:8715884:-1 gene:PAHAL_9G141900 transcript:PAN45782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNPASFTRAKKWVQELQAQGNPNTIVALAGNKADMLDARQVPAEEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLLQGQQDPSPQAGMVLNQRPNERMVSSSSCCS >PAN47202 pep chromosome:PHallii_v3.1:9:16289157:16295241:1 gene:PAHAL_9G241900 transcript:PAN47202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTPPKGKPDGSPRRPKAPRLDPMALADAAVASPPEASDLMEEGAEGTSGNNRCNHVLADGARGKFSSSLMSKGAGTCASCRLEDAKHRPEESSILVCLECGWHLCCGVGGIANPFGHSRAHAMKKQHWVAVLYHDAGRGYCFNCDAEVGMPGEFEVDGYVIGIDVIRDVVSSLPKYVLPSYGVMGRRPRAQETGESSRDAKTPWEVDDLGCKKRPEVNSDNDRCSHVPTDHAHKEILDSSLQSDDAGKCAVCQREEELVNIFVCLKCGQQSCGDLASYVPYGHAQYHAKQERHWVAAMFADPGAGFCFKCETEVPVYPEELEMPEEIQAGRRASGFGEVGDPISGSPNLGDKQHSHEFRSANGQGYAIKGIPNISNTCYMNAILQCLFALDKLRARMLAWDAAKSLFGMVLKELFVETGASGGMLLDPEKLFRLICWRTDGFKHGKMQDSYELLASLHAILNKDEESDDRQNGAPTIMDSIFGFELSETLSCKCGFSKSAANPLYDLSLPLPSKGHPTKSVASPQTSESLKSRQKFAVQLFPANELIQTVAKSGGSHLLGSELKEVTVEETPKPLELDSTEAQRICQSKDGVQDLLHTQKNKVSSSEFSRRIIDVPVKSVNFLPHIFSDVKVEEMNEMTANSIVSIEDCLSLFSEQVIEWRCDNCANEEQMAGSANECKSSSSTQPHASDAQSQIVQTAGRITEGTSSGMSCGEKDSAACSITDKEPECHEGIHPTEKQTDLLKNEHSRQLMMYQDMMKQLHLDSSSLQLKDGKNEHKDIVGYAIQTCLFKKLPPVLTLQLQRAGTGHNTKLKYSEHVRFEEHLDVQRFMDPSSVDKDNSLYRLAGVVEHRGTGSLDEGHYVAYVRARRLGNHQQQSSCSFSWFRADDSVISQVTLEEVLKREAYILFYERVEG >PAN47199 pep chromosome:PHallii_v3.1:9:16289816:16291994:1 gene:PAHAL_9G241900 transcript:PAN47199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTPPKGKPDGSPRRPKAPRLDPMALADAAVASPPEASDLMEEGAEGTSGNNRCNHVLADGARGKFSSSLMSKGAGTCASCRLEDAKHRPEESSILVCLECGWHLCCGVGGIANPFGHSRAHAMKKQHWVAVLYHDAGRGYCFNCDAEVGMPGEFEVDGYVIGIDVIRDVVSSLPKYVLPSYGVMGRRPRAQETGESSRDAKTPWEVDDLGCKKRPEVNSDNDRCSHVPTDHAHKEILDSSLQSDDAGKCAVCQREEELVNIFVCLKCGQQSCGDLASYVPYGHAQYHAKQERHWVAAMFADPGAGFCFKCETEVPVYPEELEMPEEIQAGRRASGFGEVGDPISGSPNLGDKQHSHEFRSANGQGYAIKGIPNISNTCYMNAILQCLFALDKLRARMLAWDAAKSLFGMVLKELFVETGASGGMLLDPEKLFRLICWRTDGFKHGKMQDSYELLASLHAILNKDEESDDRQNGAPTIMDSIFGFELSETLSCKCGFSKSAANPLYDLSLPLPSKGHPTKSVASPQTSESLKSRQKFAVQLFPANELIQTVAKSGGSHLLGSELKEVTVEETPKPLELGEFMHAPAAIQKP >PVH31820 pep chromosome:PHallii_v3.1:9:16289741:16295241:1 gene:PAHAL_9G241900 transcript:PVH31820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGLMRLFFSRGSFAPGAMGKKTPPKGKPDGSPRRPKAPRLDPMALADAAVASPPEASDLMEEGAEGTSGNNRCNHVLADGARGKFSSSLMSKGAGTCASCRLEDAKHRPEESSILVCLECGWHLCCGVGGIANPFGHSRAHAMKKQHWVAVLYHDAGRGYCFNCDAEVGMPGEFEVDGYVIGIDVIRDVVSSLPKYVLPSYGVMGRRPRAQETGESSRDAKTPWEVDDLGCKKRPEVNSDNDRCSHVPTDHAHKEILDSSLQSDDAGKCAVCQREEELVNIFVCLKCGQQSCGDLASYVPYGHAQYHAKQERHWVAAMFADPGAGFCFKCETEVPVYPEELEMPEEIQAGRRASGFGEVGDPISGSPNLGDKQHSHEFRSANGQGYAIKGIPNISNTCYMNAILQCLFALDKLRARMLAWDAAKSLFGMVLKELFVETGASGGMLLDPEKLFRLICWRTDGFKHGKMQDSYELLASLHAILNKDEESDDRQNGAPTIMDSIFGFELSETLSCKCGFSKSAANPLYDLSLPLPSKGHPTKSVASPQTSESLKSRQKFAVQLFPANELIQTVAKSGGSHLLGSELKEVTVEETPKPLELGEFMHAPAAIQKP >PAN47198 pep chromosome:PHallii_v3.1:9:16289157:16295241:1 gene:PAHAL_9G241900 transcript:PAN47198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTPPKGKPDGSPRRPKAPRLDPMALADAAVASPPEASDLMEEGAEGTSGNNRCNHVLADGARGKFSSSLMSKGAGTCASCRLEDAKHRPEESSILVCLECGWHLCCGVGGIANPFGHSRAHAMKKQHWVAVLYHDAGRGYCFNCDAEVGMPGEFEVDGYVIGIDVIRDVVSSLPKYVLPSYGVMGRRPRAQETGESSRDAKTPWEVDDLGCKKRPEVNSDNDRCSHVPTDHAHKEILDSSLQSDDAGKCAVCQREEELVNIFVCLKCGQQSCGDLASYVPYGHAQYHAKQERHWVAAMFADPGAGFCFKCETEVPVYPEELEMPEEIQAGRRASGFGEVGDPISGSPNLGDKQHSHEFRSANGQGYAIKGIPNISNTCYMNAILQCLFALDKLRARMLAWDAAKSLFGMVLKELFVETGASGGMLLDPEKLFRLICWRTDGFKHGKMQDSYELLASLHAILNKDEESDDRQNGAPTIMDSIFGFELSETLSCKCGFSKSAANPLYDLSLPLPSKGHPTKSVASPQTSESLKSRQKFAVQLFPANELIQTVAKSGGSHLLGSELKEVTVEETPKPLELGTVEHSEIVVLIGYIAQLEKKKFHFFGIAILHLLNGEILKGPLHTWTEELSGKPSGSVCISDQDVPAVLTWQCCLV >PAN44706 pep chromosome:PHallii_v3.1:9:3638379:3639146:1 gene:PAHAL_9G063200 transcript:PAN44706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTHLNTEEYHPPGPRAGTCARASSRPMGTRRGRGFVHAGSRQHLRHGGHGGHLRHRHARHFGHLGHARQLRHRQLRQFRHLGQRRHRHARHLGQRQVGKEAGGVARVSAAAEERGGHDDDERHGEEARDGGHGVDRGAN >PAN50063 pep chromosome:PHallii_v3.1:9:64251999:64256647:-1 gene:PAHAL_9G495500 transcript:PAN50063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKRALDVEEEVVDGDEEELAAGCPDAKRRRTFLNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVHNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPQTLFTGNRVEAESKQPLRIVLTDAATNQTVTSGPLSSMKVELLVLDGDFNADERLEHTEKEFSESVVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIVLLFNCVYEVVGVIVGTNCFTLNSLTPTQKALVVKLQQDAYKFPDRIAEFKVQTQGAADQPPAAVQAPPGPLPVPGAGPASAQVLGLPQGRVHLPGGAPSSHDGGGLLLNPLVLQQQSEALEDVLQSAGAAHHQLGGAEPWSFPSFAAGAGGFDARDPFDVQFSGSQPCGLLLSSTGARL >PAN51465 pep chromosome:PHallii_v3.1:9:70747670:70750166:1 gene:PAHAL_9G596400 transcript:PAN51465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRWLKSFLSGKKDRPRAEAMAVAATPPATGAPKDKRWSFRRPGQEGKAAAMTPAARRSGPDEGLGLSATDIEFDQKKHAVAVAVATAAAADAAVAAAHAAAAVARLSSRRARLPASLIEDGAAVRIQANFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLTAQSHLRAQRMRVLQEHYHLAPPPPRPRHSPQHPRHRRSYEMDRSCEENAKIVEMDIGEPARRDAAKDRHLLLEHHGRCSPAPSAVTELSPRAYSGHFDELSVATARSSPQHGSAASEACPSYMANTESSRAKARSQSAPRQRTDALELQSSRRKGTPPRGAKMQRSSSVAGGAPRGGGQSSPWSAGLRLDASSASLKGSECGSTSSVLTAATVYSRTRSLVGFEVRRGLY >PAN46150 pep chromosome:PHallii_v3.1:9:10331409:10334759:1 gene:PAHAL_9G165700 transcript:PAN46150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAEEDCSAGCSFSLMCLEDGADLGGGLAGSAEDGNLLLLYSEAEEEDEEYMDHLVSKESSFCCSPSSSSSSSPAFSDFSDACAESSPSPMPSSEDWFRCARRDTVKWIIETRACFGFSHRTAYLAVFYFDRFCLHRCFDRSVMPWAARLLAVACVSLAAKMEEYRAPALSEFRADDEYDFSCVSIRRMELLVLSTLGWRMGGVTPFDYLPCLSSRLRRGGGGGGDGLVAAKAAALIFTTAEAASVLDYRPSTVAVAAVLAASHGALSKEALESKMTSLSRSCLLDKEDVHACHSLMLSASEISPAATSKSAKRPPPPSSHGSIGAGSTYESVSVDAASPFAAAARSSNKRARLLELPVIGR >PVH32415 pep chromosome:PHallii_v3.1:9:56589116:56592119:1 gene:PAHAL_9G394700 transcript:PVH32415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATEKVFVALPAEFKAGQSTLSWVLSHFGGSGATLVITHVHVPPQTIPVMGVKFHSSKLSPEQVKLFRRIEREKVNKQLDGYVHQCLRMKVKCEKLVFEKEDVVHGLIELIVLHRVTRLVMSAATDRQYSRKMDKPKSTKATEIMQRADPSCKIWFICKGQLVCTRGKEVDTASSVTSLLPDFDHQALQLVPCQKEDDVQSELGLHDELKEACIAAENLMKRALNESSRRQRADEEVVLAIQKANEYQELYLEEVRKRQELEEALARANLEIAQLRQANHLPMDEQNTPPDELQEAISEGLTFERRIVDMDAVLATAGQVTEPQKEHVPIQIDLDTGGRELQAPLSQCKPTAFSPSSVIQSPYDDDCIPASYFLCPILQELMRDPHVAADGFTYEADAIRGWLDGGSDASPVTGQPLAHRELAPNFALGAVIQDYTMRRRRHRFS >PVH31641 pep chromosome:PHallii_v3.1:9:12677079:12677255:-1 gene:PAHAL_9G198400 transcript:PVH31641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRNASITLFLLMLLFSHGSCLDIPAGEPVLQEYQPFKEPSAKHGRRRPDISPFRS >PVH32822 pep chromosome:PHallii_v3.1:9:64208207:64211213:-1 gene:PAHAL_9G494800 transcript:PVH32822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTWPEFPISALRRSLQARTLLRSPQLATPLPDARPPRRRSSFRSPNPSGRQLQEESTAAELAGQQGAPRRSAAAERQRRRGSAAGGHSGLRHRLHPSPLCAFTRSPFSAPGSAQAHGKSATELLRVTLKPAPSRAGLHDPRSAAPQRPPPFSSPSRRLTPSGRPR >PAN46129 pep chromosome:PHallii_v3.1:9:10262530:10263959:-1 gene:PAHAL_9G164800 transcript:PAN46129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPLDLFCGTPCSICYQGISKEYSFPHFPHRSTNVTLQTASKSNKWHPKFYKRNASRKNMLMGQWLDFVRDNHVQEGDICLLFPAEGGRRYTYTVYLLCASATHSIGRAGFQIVCPCPSRSSAKMASEVHIMEETTNDESLDSEDSGGSSPPLYIVPCRNYLSKSQKKIVEERVRAIQSEVPICVAVMKNNNVGDAQKWMLELGVRYAAVHLPASGQTVALECMGKTWKTQMVIHNGRRWFLNGGWAKFARDNGLRVGDICLFDLKKNARKLTMKVHIISREQFSLK >PAN46127 pep chromosome:PHallii_v3.1:9:10261619:10265582:-1 gene:PAHAL_9G164800 transcript:PAN46127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRNVQPPGPFLGISKEYSFPHFPHRSTNVTLQTASKSNKWHPKFYKRNASRKNMLMGQWLDFVRDNHVQEGDICLLFPAEGGRRYTYTVYLLCASATHSIGRAGFQIVCPCPSRSSAKMASEVHIMEETTNDESLDSEDSGGSSPPLYIVPCRNYLSKSQKKIVEERVRAIQSEVPICVAVMKNNNVGDAQKWMLELGVRYAAVHLPASGQTVALECMGKTWKTQMVIHNGRRWFLNGGWAKFARDNGLRVGDICLFDLKKNARKLTMKVHIISREQFSLK >PAN46130 pep chromosome:PHallii_v3.1:9:10261619:10265583:-1 gene:PAHAL_9G164800 transcript:PAN46130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRNVQPPGPFLGISKEYSFPHFPHRSTNVTLQTASKSNKWHPKFYKRNASRKNMLMGQWLDFVRDNHVQEGDICLLFPAEGGRRYTYTVYLLCASATHSIGRAGFQIVCPCPSRSSAKMASEVHIMEETTNDESLDSEDSGGSSPPLYIVPCRNYLSKSQKKIVEERVRAIQSEVPICVAVMKNNNVGDAQKWMLELGVRYAAVHLPASGQTVALECMGKTWKTQMVIHNGRRWFLNGGWAKFARDNGLRVGDICLFDLKKNARKLTMKVHIISREQFSLK >PAN46126 pep chromosome:PHallii_v3.1:9:10261619:10265597:-1 gene:PAHAL_9G164800 transcript:PAN46126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPLDLFCGTPCSICYQGISKEYSFPHFPHRSTNVTLQTASKSNKWHPKFYKRNASRKNMLMGQWLDFVRDNHVQEGDICLLFPAEGGRRYTYTVYLLCASATHSIGRAGFQIVCPCPSRSSAKMASEVHIMEETTNDESLDSEDSGGSSPPLYIVPCRNYLSKSQKKIVEERVRAIQSEVPICVAVMKNNNVGDAQKWMLELGVRYAAVHLPASGQTVALECMGKTWKTQMVIHNGRRWFLNGGWAKFARDNGLRVGDICLFDLKKNARKLTMKVHIISREQFSLK >PAN46128 pep chromosome:PHallii_v3.1:9:10261617:10265596:-1 gene:PAHAL_9G164800 transcript:PAN46128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRNVQPPGPFLGISKEYSFPHFPHRSTNVTLQTASKSNKWHPKFYKRNASRKNMLMGQWLDFVRDNHVQEGDICLLFPAEGGRRYTYTVYLLCASATHSIGRAGFQIVCPCPSRSSAKMASEVHIMEETTNDESLDSEDSGGSSPPLYIVPCRNYLSKSQKKIVEERVRAIQSEVPICVAVMKNNNVGDAQKWMLELGVRYAAVHLPASGQTVALECMGKTWKTQMVIHNGRRWFLNGGWAKFARDNGLRVGDICLFDLKKNARKLTMKVHIISREQFSLK >PAN50362 pep chromosome:PHallii_v3.1:9:65576615:65582186:-1 gene:PAHAL_9G515000 transcript:PAN50362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQKRSSSEMESCGGGQVAEMPRVPKSARGKRSVRKKETQSPGQMMCAFDLLATVAGKLLDEGEGSLGNMSAGAPALAACAKDIHVKQEQCDEAMKHFKHEVTDQDSCNESAILPHTVFSRSVNHARNEDPKAKSEALDKESSMISCTKAELGCNFGAIADRWSPESVESGAFTGDAAASLMQVATAGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGDKIRRSISLPRGPKGVAGYAVDRDDDDDKSSGCTHPSTTTNRDFRPNCTADHSRVRKLLTSKYRKVAPARIHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHAVLASEFGRANGKLNSKVTGRDSHAASLDANKGTNSMPFQKSCASNDCHVKLRIKSFKVPELLVEIPESATVGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLMQAGIGQNDMLDNLGFSLEPNCTHNPSQVQAPEDISFLETIDTTEPLARIAPTDSSSKHGEVDVSQELALTPLAMNYQGSDHDSVHSPGGISSPDKVSTNSRALVPVPPADPNAGAVVPVNKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLFT >PAN44611 pep chromosome:PHallii_v3.1:9:3257345:3257856:-1 gene:PAHAL_9G057400 transcript:PAN44611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRTLLLAAAVAAVVGAAALLPAPASAATYMVGDDAGWDNGVVDYDAWARGKKFKVGDTLVFRYSTPEHDVVQVDARGYAECVAPDNAVALTSGDDHVVLGQVGQFFFICEAEGECSSGMRLAVNVH >PAN46544 pep chromosome:PHallii_v3.1:9:12290569:12299937:-1 gene:PAHAL_9G192700 transcript:PAN46544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLSITGLTSPLLPTPAPAVRLRPRPLTRLRLRALAAGAASSLSPSARSLRLLEWGKVCDAVASFAGTGHGRDATKKQLWEVEDVSYEQSQRLLQETEAAVWLLDNAGGAMDFSGLDTVAIESAIHCVSGGAVIKGLEAVAVASLMLFVESLQVNIKAAMKLDEGSHSLLTTLTETILDAVINKSLVKSIQDIVDDDGSVKDTASPELRRHRERVQLLESRLYQLMDKLMRNADNEASLSEVCIVNGRCCIRTTGDKSLTFDGLLLSSGSDAGSMMEPIAAVPLNDELQESRALVAKAELDVLSKLTDKILLELDSIQSFLLETIKLDKVTARAKYSIAYDGTFPDLYLPNFENETVTSATGGSAKETSSAHPTKKAWKLYMPNAYHPLLLQKYQENLDRAKRDVASAAAEIRRRRIYGQDIAEDQLPSDLDSMKLRVSQLEKDQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEVGSFLTLATTHHGELKTLKYSNNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPSDIVESSRRLLGTAGAEINALIMDMERFKQDYQQDLQKAQHLLMQSKELHNNLELAQRNIVDHTSAQRKRKARVISEYAVMARSIIRKKFQQFQESAIAERVKEEEKAVDAKSESVKDPMPTSTTAMGKAQKTDTNLATAADEDGIPEVGDSVYVPKLKNQATVVKIDSSKNEVHVQAGMMKLKLKLQDVKVQKRKVSR >PAN46537 pep chromosome:PHallii_v3.1:9:12290974:12299661:-1 gene:PAHAL_9G192700 transcript:PAN46537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLSITGLTSPLLPTPAPAVRLRPRPLTRLRLRALAAGAASSLSPSARSLRLLEWGKVCDAVASFAGTGHGRDATKKQLWEVEDVSYEQSQRLLQETEAAVWLLDNAGGAMDFSGLDTVAIESAIHCVSGGAVIKGLEAVAVASLMLFVESLQVNIKAAMKLDEGSHSLLTTLTETILDAVINKSLVKSIQDIVDDDGSVKDTASPELRRHRERVQLLESRLYQLMDKLMRNADNEASLSEVCIVNGRCCIRTTGDKSLTFDGLLLSSGSDAGSMMEPIAAVPLNDELQESRALVAKAELDVLSKLTDKILLELDSIQSFLLETIKLDKVTARAKYSIAYDGTFPDLYLPNFENETVTSATGGSAKETSSAHPTKKAWKLYMPNAYHPLLLQKYQENLDRAKRDVASAAAEIRRRRIYGQDIAEDQLPSDLDSMKLRVSQLEKDQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEVGSFLTLATTHHGELKTLKYSNNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPSDIVESSRRLLGTAGAEINALIMDMERFKQDYQQDLQKAQHLLMQSKELHNNLELAQRNIVDHTSAQRKRKARVISEYAVMARSIIRKKFQQFQESAIAERVKEEEKAVDAKSESVKDPMPTSTTAMGKAQKTDTNLATAADGKDEEDGIPEVGDSVYVPKLKNQATVVKIDSSKNEVHVQAGMMKLKLKLQDVKVQKRKVSR >PAN46536 pep chromosome:PHallii_v3.1:9:12290974:12299661:-1 gene:PAHAL_9G192700 transcript:PAN46536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLSITGLTSPLLPTPAPAVRLRPRPLTRLRLRALAAGAASSLSPSARSLRLLEWGKVCDAVASFAGTGHGRDATKKQLWEVEDVSYEQSQRLLQETEAAVWLLDNAGGAMDFSGLDTVAIESAIHCVSGGAVIKGLEAVAVASLMLFVESLQVNIKAAMKLDEGSHSLLTTLTETILDAVINKSLVKSIQDIVDDDGSVKDTASPELRRHRERVQLLESRLYQLMDKLMRNADNEASLSEVCIVNGRCCIRTTGDKSLTFDGLLLSSGSDAGSMMEPIAAVPLNDELQESRALVAKAELDVLSKLTDKILLELDSIQSFLLETIKLDKVTARAKYSIAYDGTFPDLYLPNFENETVTSATGGSAKETSSAHPTKKAWKLYMPNAYHPLLLQKYQENLDRAKRDVASAAAEIRRRRIYGQDIAEDQLPSDLDSMKLRVSQLEKDQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEVGSFLTLATTHHGELKTLKYSNNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPSDIVESSRRLLGTAGAEINALIMDMERFKQDYQQDLQKAQHLLMQSKELHNNLELAQRNIVDHTSAQRKRKARVISEYAVMARSIIRKKFQQFQESAIAERVKEEEKAVDAKSESVKDPMPTSTTAMGKAQKTDTNLATAADDEEDGIPEVGDSVYVPKLKNQATVVKIDSSKNEVHVQAGMMKLKLKLQDVKVQKRKVSR >PAN46540 pep chromosome:PHallii_v3.1:9:12290974:12298674:-1 gene:PAHAL_9G192700 transcript:PAN46540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVESLQVNIKAAMKLDEGSHSLLTTLTETILDAVINKSLVKSIQDIVDDDGSVKDTASPELRRHRERVQLLESRLYQLMDKLMRNADNEASLSEVCIVNGRCCIRTTGDKSLTFDGLLLSSGSDAGSMMEPIAAVPLNDELQESRALVAKAELDVLSKLTDKILLELDSIQSFLLETIKLDKVTARAKYSIAYDGTFPDLYLPNFENETVTSATGGSAKETSSAHPTKKAWKLYMPNAYHPLLLQKYQENLDRAKRDVASAAAEIRRRRIYGQDIAEDQLPSDLDSMKLRVSQLEKDQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEVGSFLTLATTHHGELKTLKYSNNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPSDIVESSRRLLGTAGAEINALIMDMERFKQDYQQDLQKAQHLLMQSKELHNNLELAQRNIVDHTSAQRKRKARVISEYAVMARSIIRKKFQQFQESAIAERVKEEEKAVDAKSESVKDPMPTSTTAMGKAQKTDTNLATAADGKDEEDGIPEVGDSVYVPKLKNQATVVKIDSSKNEVHVQAGMMKLKLKLQDVKVQKRKVSR >PAN46539 pep chromosome:PHallii_v3.1:9:12291561:12299661:-1 gene:PAHAL_9G192700 transcript:PAN46539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLSITGLTSPLLPTPAPAVRLRPRPLTRLRLRALAAGAASSLSPSARSLRLLEWGKVCDAVASFAGTGHGRDATKKQLWEVEDVSYEQSQRLLQETEAAVWLLDNAGGAMDFSGLDTVAIESAIHCVSGGAVIKGLEAVAVASLMLFVESLQVNIKAAMKLDEGSHSLLTTLTETILDAVINKSLVKSIQDIVDDDGSVKDTASPELRRHRERVQLLESRLYQLMDKLMRNADNEASLSEVCIVNGRCCIRTTGDKSLTFDGLLLSSGSDAGSMMEPIAAVPLNDELQESRALVAKAELDVLSKLTDKILLELDSIQSFLLETIKLDKVTARAKYSIAYDGTFPDLYLPNFENETVTSATGGSAKETSSAHPTKKAWKLYMPNAYHPLLLQKYQENLDRAKRDVASAAAEIRRRRIYGQDIAEDQLPSDLDSMKLRVSQLEKDQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEVGSFLTLATTHHGELKTLKYSNNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPSDIVESSRRLLGTAGAEINALIMDMERFKQDYQQDLQKAQHLLMWNFLSQMISSAHY >PAN46543 pep chromosome:PHallii_v3.1:9:12290569:12299937:-1 gene:PAHAL_9G192700 transcript:PAN46543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVESLQVNIKAAMKLDEGSHSLLTTLTETILDAVINKSLVKSIQDIVDDDGSVKDTASPELRRHRERVQLLESRLYQLMDKLMRNADNEASLSEVCIVNGRCCIRTTGDKSLTFDGLLLSSGSDAGSMMEPIAAVPLNDELQESRALVAKAELDVLSKLTDKILLELDSIQSFLLETIKLDKVTARAKYSIAYDGTFPDLYLPNFENETVTSATGGSAKETSSAHPTKKAWKLYMPNAYHPLLLQKYQENLDRAKRDVASAAAEIRRRRIYGQDIAEDQLPSDLDSMKLRVSQLEKDQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEVGSFLTLATTHHGELKTLKYSNNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPSDIVESSRRLLGTAGAEINALIMDMERFKQDYQQDLQKAQHLLMQSKELHNNLELAQRNIVDHTSAQRKRKARVISEYAVMARSIIRKKFQQFQESAIAERVKEEEKAVDAKSESVKDPMPTSTTAMGKAQKTDTNLATAADDEEDGIPEVGDSVYVPKLKNQATVVKIDSSKNEVHVQAGMMKLKLKLQDVKVQKRKVSR >PAN50726 pep chromosome:PHallii_v3.1:9:67311987:67319301:1 gene:PAHAL_9G541700 transcript:PAN50726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVPSASGSGIWSRRRDEITFDRLQKFWNDLPPQARQELLKLDKQTLIEQARKNFYCSRCNGLLLESFTQIVIYGKSLQQEASDIGLLRTTTESRITQGEQDGAQDPSVHPWGGLSITKDGVLTLLDCFIKAKSLRVLQNVFDNARAREREREMLYPDACGAGGRGWISQGMANYRGHATREMCALHTAHLSCDTLVDFWSALGEETRSSLLRMKEEDFIEKLMYRFDSKRFCRECRRNVIREFKELKELKRMRREPRCTSWFCVTDTAFQCEVFEDAVIVDWRQCLSEPDVSYDHFEWAIGTDEGESDIFGFENVGMNAQVHRNGINLDQFEDYFITLRAWRLDGHYTELCVKAHALKGQSCVHHRLLVGDGFVTMTKGESIRNFFEHAEEAEEEDEDDAMDRDGNDFDGDGSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTAQQNAHSVFVSLALKLLEERVHIACKEIVTLEKQNKLLEEEEKEKREEQERRMKRRTREREKKLRRKERLKEKENKGKRLVEPKSPDDISSSALSNSSTSTNDDLANTLDSRDSATEEEDNAEILDLCSPDSSIGQSSCMEINGENSLHCNAVAEFSPMDCSDLCTPEQSKYSKRSPRSREDFPQDQSCWYDDCQDGSGRIGDLQWQSRERTSSDRSCNGASTTNNRTRDRHAYNSCSCGHQEDYGVVDNCFLSTARAGREMKMARKSGVEKPRAQYRRCYPLDSFVVSKGTRIVSTQKNAVPKQVWEPMDARKKTNLENTDHVDSIDPLKTVGCDTSGCQKLGAGCESQPLASGSSTDVCKSDQPCAITERSQAAACDGTLMLNKQNCYPGNDEGSRHDEELMTNSAGSESSSSCLSEGDRDSSSSSMTSSSAQNPESSSSESEESPDRTKSTAGTPSSRTTSRSLFEACAGNGFREYQPKATRLAHNDRFGFNVSPLQDQLLHHQSLHAPPYSPATVGLHNRSLAAQTNGNFHYARTTNLYPSPLFFGAPGNHFVDYPVQYSSVNPYLAPAFSHMPPEPIHKAAASFRAIPPSAPFQNGPQHIAGHAHRDMNLERYPSKLTTPSGKDLPEDKINSGLKDLPEDKTKSQDMDASFSLFQFNLPIASPVTPSSKDDESRELAARMPLVQVQAQLCSREQADVKEYNLFSKDNGIFSFM >PAN50727 pep chromosome:PHallii_v3.1:9:67312938:67319301:1 gene:PAHAL_9G541700 transcript:PAN50727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPDACGAGGRGWISQGMANYRGHATREMCALHTAHLSCDTLVDFWSALGEETRSSLLRMKEEDFIEKLMYRFDSKRFCRECRRNVIREFKELKELKRMRREPRCTSWFCVTDTAFQCEVFEDAVIVDWRQCLSEPDVSYDHFEWAIGTDEGESDIFGFENVGMNAQVHRNGINLDQFEDYFITLRAWRLDGHYTELCVKAHALKGQSCVHHRLLVGDGFVTMTKGESIRNFFEHAEEAEEEDEDDAMDRDGNDFDGDGSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTAQQNAHSVFVSLALKLLEERVHIACKEIVTLEKQNKLLEEEEKEKREEQERRMKRRTREREKKLRRKERLKEKENKGKRLVEPKSPDDISSSALSNSSTSTNDDLANTLDSRDSATEEEDNAEILDLCSPDSSIGQSSCMEINGENSLHCNAVAEFSPMDCSDLCTPEQSKYSKRSPRSREDFPQDQSCWYDDCQDGSGRIGDLQWQSRERTSSDRSCNGASTTNNRTRDRHAYNSCSCGHQEDYGVVDNCFLSTARAGREMKMARKSGVEKPRAQYRRCYPLDSFVVSKGTRIVSTQKNAVPKQVWEPMDARKKTNLENTDHVDSIDPLKTVGCDTSGCQKLGAGCESQPLASGSSTDVCKSDQPCAITERSQAAACDGTLMLNKQNCYPGNDEGSRHDEELMTNSAGSESSSSCLSEGDRDSSSSSMTSSSAQNPESSSSESEESPDRTKSTAGTPSSRTTSRSLFEACAGNGFREYQPKATRLAHNDRFGFNVSPLQDQLLHHQSLHAPPYSPATVGLHNRSLAAQTNGNFHYARTTNLYPSPLFFGAPGNHFVDYPVQYSSVNPYLAPAFSHMPPEPIHKAAASFRAIPPSAPFQNGPQHIAGHAHRDMNLERYPSKLTTPSGKDLPEDKINSGLKDLPEDKTKSQDMDASFSLFQFNLPIASPVTPSSKDDESRELAARMPLVQVQAQLCSREQADVKEYNLFSKDNGIFSFM >PVH33299 pep chromosome:PHallii_v3.1:9:72312122:72314811:1 gene:PAHAL_9G621100 transcript:PVH33299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVQMQQMSRLASGTNDAGLASSFSSIVCGGADTVILAPTTYHGHQLGKRQKRSGWGAWNELRPLQRLSPSCLESSRAGAVTRPAFF >PVH32427 pep chromosome:PHallii_v3.1:9:56669296:56669984:1 gene:PAHAL_9G396000 transcript:PVH32427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAATTRRDIAHSGHARRVAVLRCLVASLVVTVLLAGLAVLIFWLVVRPKPIDYAVTRAVVRHFNVTPPPGATVNATFYLTFAARNPNRRVSILYEWVEFRVLYGESAQLALQDAPAFRQPRRNETRLDVRAVARSAPVGEQAARELRHDLAAGEVGVDVRMRARAQFKVAGVRHYSLEAFCSPVVVGLSPSAARSFQSVPCDVAIS >PAN45636 pep chromosome:PHallii_v3.1:9:7933309:7938436:-1 gene:PAHAL_9G131900 transcript:PAN45636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPTASSSSAPTAAAAAAAAEATDGPVLSVVSKRLRALRKKYNRITQMEESLAAGKTLNREQEEVLRSKPVVAALIEELERLRAPLASAVAEELSSRPAPAAPAPAAAAAAAASSSDSDSSIQDLLALVYFGSLFDVKLQHDFVATMVARTHERNCCITYDYVTDDAADLLVEADLDAVSTLASLAASRPAAAVGVSHRDALQACAHHARLWLRRADEPIHPDSTVTYAGVRAKLERIMSSDYYTARTEIRATEDLAASVGSYGPGGGQAQESMVVSPQAPEAVEESLVVEGHKDEKEESQATEIYTDNQAPAVDAQHVDDDALVNPPDEVPSAEAEQERFEADLEDQEQKDQQFVQRRSYQNQRGGGRGGRRGYPNGRGGRGGRGGGYQNGRGGGGYQNGRGGGGGYYYDSGYYQPRNYNNNRGRGGRSGGGNSYYNNHGGGAQGGGHGNPGRVELGANA >PAN45635 pep chromosome:PHallii_v3.1:9:7933077:7938635:-1 gene:PAHAL_9G131900 transcript:PAN45635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPTASSSSAPTAAAAAAAAEATDGPVLSVVSKRLRALRKKYNRITQMEESLAAGKTLNREQEEVLRSKPVVAALIEELERLRAPLASAVAEELSSRPAPAAPAPAAAAAAAASSSDSDSSIQDLLALVYFGSLFDVKLQHDFVATMVARTHERNCCITYDYVTDDAADLLVEADLDAVSTLASLAASRPAAAVGVSHRDALQACAHHARLWLRRADEPIHPDSTVTYAGVRAKLERIMSSDYYTARTEIRATEDLAASVGSYGPGGGQAQESMVVSPQAPEAVEESLVVEGHKDEKEESQATEIYTDNQAPAVDAQHDDDALVNPPDEVPSAEAEQERFEADLEDQEQKDQQFVQRRSYQNQRGGGRGGRRGYPNGRGGRGGRGGGYQNGRGGGGYQNGRGGGGGYYYDSGYYQPRNYNNNRGRGGRSGGGNSYYNNHGGGAQGGGHGNPGRVELGANA >PAN48786 pep chromosome:PHallii_v3.1:9:57397840:57399669:1 gene:PAHAL_9G405100 transcript:PAN48786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIVVKLDLHDNKDKQKAMKAVSALTGIDAISVDMASHKMTVIGMVDPVNVVSKLRKASWAATIDSVGPAKEPEKKEEEKKKDGEAKKEGEGDKKDGDGKKEGEGEKKKDGEGEKKKDDGDGKKAAAPTEQQILELMNQYRAYYPPMNTHYYVQSMEENPNSCAIC >PAN50042 pep chromosome:PHallii_v3.1:9:64124947:64127966:1 gene:PAHAL_9G493500 transcript:PAN50042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSPSAPEKRRKWLLSNRKVIDKYLREARAILAAAPESGGGDAVAALGLVDAALELSPRMEAALELRARALLALRRYREVAEMLRDYIPSCGKACSGDDTSSTSSSAAASLLSSGSGDLGTISRAKLLSPDRHRSDDAEPDARPVRSFRCFDISELKRRVLAGLSKNPNKDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSDDSFSSSAAAAAVATVPSGKTSKSGSAFIIPAMESEAVSQLLAHVKLLLRRRAAAMAALDAGLPAEAVRHFTKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRTRVAAGEACNIDYYLLLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFVMSAVLDEEAAERQRAKDAAAAAAAAALAAKQEAAKQEPQQPVPEKPTNTVAARPRSPPGRAPKAKPKPKVAAAPAMAKAPAAVTSTAPVYQGVFCRDMAVVGTLLSRGGGFDRALPVKCEAMSC >PAN51267 pep chromosome:PHallii_v3.1:9:69955622:69959939:-1 gene:PAHAL_9G581500 transcript:PAN51267 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT2G26250) UniProtKB/TrEMBL;Acc:A0A178VYA3] MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLLSHGVYLATIPVIVLVCGAELGSLSRDELWAKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEESLAFQSRLLAKSGIGDESYMPRCVFEPNANCATMKEGRAEASTAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLFPSKASTPPPGEEASAAAAPYIPDFKRAFEHFCMHAASRDVLEHLRSNLGLRDADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPARSPWLDCIDSYPARMAA >PAN45328 pep chromosome:PHallii_v3.1:9:6451619:6458939:1 gene:PAHAL_9G110500 transcript:PAN45328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A, Photorecepto [Source: Projected from Oryza sativa (Os03g0719800)] MSSSRPTQCSSSSSRTRQNSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRSGPPEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDDPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKILEDEALSIDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEPNPEQPQQQQKKRLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIREKSILRMQTMLSDMLFREASPLSIISGSPNIMDLVRCDGAALLYGDKVWRLQTAPTESQIRDIAFWLSEVHRDSTGLSTDSLQDAGYPGAASLGDMICGMAVAKITSKDILFWFRSHTAAEIKWGGAKHDPSDKDDNRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDATKPAQTSGLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKVAELTGLRVDEAIGRHILTLVEDSSVSTVQRMLYLALQGREEKEVRFELKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIVHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDEVIDKMLLGEVFDSSNASCLLKNKDAFVRLCIIINSALAGDEAEKAPFGFFDRNGKHIECLLSVNRKVNADGVVTGVFCFIHVPSDELQHALHVQQASEQTAVRRLKAFSYMRHAINTPLSGMLYSREALKSTGLNEEQMRQVHVADSCHHQLNKILSDLDQDNITDKSSCLDLDMAEFVLQDVVVAAVSQVLIGCQDKGIRVSCNLPERFMKQKVYGDGIRIQQILSDFLFVSVKFSPVGGSVDISSKLTKNSIGESLHLIDLELRIKHQGTGVPAEILSQMYEEDNKEQSEEGLSLLVSRNLLRLMNGDIRHLREAGMSTFILTVELASAPAAGGH >PAN47331 pep chromosome:PHallii_v3.1:9:17657634:17660777:1 gene:PAHAL_9G252200 transcript:PAN47331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPMNSAQSGAHFPVQHRSVSLDIKGNKTDIVISKYEDTFLVIVTQIGCMGTILAAKKDESVFSDPTYNVAVLFGKRDEPLLLACARQLIEHISGSGSARSLVISLGLKDHSQGTLKDIIAAVIENRLW >PAN47332 pep chromosome:PHallii_v3.1:9:17657745:17660690:1 gene:PAHAL_9G252200 transcript:PAN47332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPMNSAQSGAHFPVQHRSVSLDIKGNKTDIVISKYEDTFLVIVTQIGCMGTILAAKKDESVFSDPTYNVAVLFGKRDEPLLLACARQLIEHISGSGSARSLVISLGLKDHSQGTLKDIIAAVIENRLW >PAN45338 pep chromosome:PHallii_v3.1:9:6505930:6509885:1 gene:PAHAL_9G111300 transcript:PAN45338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MAMRQLARLHQRLSPALIPRQHAPSRAWPPAADAAFLCRGLASSASSSAAAAGREKSSRRTLGYLLGVAVAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >PVH31656 pep chromosome:PHallii_v3.1:9:12986102:12987271:1 gene:PAHAL_9G203400 transcript:PVH31656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYENLELMDRRDDVNFDGIEGMRNPSGFGKKKLIVWSKLKKLANGPPMAQNTSAPLLHLSERRIASSRPAHRASSSQALKRRRPAPALRPAHLRSPFLLSCCTSSARPGRCCPAPCSPSRPRLGVPSSRRTAGARVSAENRCGARVPVGSPSSSLSSHSVVVPPK >PVH31163 pep chromosome:PHallii_v3.1:9:4116262:4117512:-1 gene:PAHAL_9G071200 transcript:PVH31163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVQEALDLDFAAASSSCGARDMGMDIITCGFTPWGPDSCPSLDQVMASRTAAAEPPPREEEAEVADEEEERRQRRKESNRLSARRSRERKQQRLEELRGTAARLRAEKQALEERLRALTRHGLAVCRQNARLRAEADALARRLREARRLLALRRFIAGHALPTPMMMPPPLQQHQQAAGVAPGAPLGLTSLMT >PAN50253 pep chromosome:PHallii_v3.1:9:65108392:65111557:1 gene:PAHAL_9G508100 transcript:PAN50253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPPTLTSACEQPLLYDGTTRLYMSYVCPYAQRAWITRNYKGLQEEIKLVPMDLADKPAWYKKVYPKYQVPAMEHNKKIIGESLDLIRLVIQLVISGSSKQRFAVELLGYSDAFNRALLDGLRSKGPVTAEAVAALDKIDSSLSKFDDGPFFLGQFSLVDIAYVPFIDGFQMFFAGIKNYDITRGRVHMQTFTEELNKIDAYTQMKQDPQMLLALTKKFGI >PAN50255 pep chromosome:PHallii_v3.1:9:65108392:65111557:1 gene:PAHAL_9G508100 transcript:PAN50255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPPTLTSACEQPLLYDGTTRLYMSYVCPYAQRAWITRNYKGLQEEIKLVPMDLADKPAWYKKVYPKYQVPAMEHNKKIIGESLDLIRLVIQLVISGSSKQRFAVELLGYSDAFNRALLDGLRSKGPVTAEAVAALDKIDSSLSKFDDGPFFLGQFSLVDIAYVPFIDGFQMFFAGIKNYDITRGRVHMQTFTEELNKIDAYTQMKQDPQMLLALTKKFGI >PAN50256 pep chromosome:PHallii_v3.1:9:65109641:65110915:1 gene:PAHAL_9G508100 transcript:PAN50256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPPTLTSACEQPLLYDGTTRLYMSYVCPYAQRAWITRNYKGLQEEIKLVPMDLADKPAWYKKVYPKYQVPAMEHNKKIIGESLDLIRLVIQLVISGSSKQRFAVELLGYSDAFNRALLDGLRSKGPVTAEAVAALDKIDSSLSKFDDGPFFLGQFSLVDIAYVPFIDGFQMFFAGIKNYDITRGRVHMQTFTEVIQLTFSLTYFDRVS >PVH32857 pep chromosome:PHallii_v3.1:9:65108392:65111557:1 gene:PAHAL_9G508100 transcript:PVH32857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPPTLTSACEQPLLYDGTTRLYMSYVCPYAQRAWITRNYKGLQEEIKLVPMDLADKPAWYKKVYPKYQVPAMEHNKKIIGESLDLIRLVIQLVISGSSKQRFAVELLGYSDAFNRALLDGLRSKGPVTAEAVAALDKIDSSLSKFDDGPFFLGQFSLVDIAYVPFIDGFQMFFAGIKNYDITRGRVHMQTFTEELNKIDAYTQMKQDPQMLLALTKKFGI >PAN46457 pep chromosome:PHallii_v3.1:9:11857394:11865624:-1 gene:PAHAL_9G187400 transcript:PAN46457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGSVKRSGSAGGSGAAAGPPTFTVNPGDYRLMEEVGYGAHAVVYRAIFVPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQDGFDEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILIDSAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTIKSILSDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIRDDEPPEIKEDEDTARNTEVEKDLSSRNHLGKSSANDCNSREQTFATRVNSHGNGPVTNEVFDFDFDFSDADPKRRVDGYENNRRENDSLPSTSKRDPESNHWTNDIGQRQQTSGGNNSSVAAERGPGFERDAAVQMISEKQRSDMRKTASLSGPLSLPTRASANSLSAPIRSSGDSSVDKSKRNVVEIKGRFSVTSENVDLAKVQDVPVSSLSRKSPEVSLLRKSASASDCLVNAKPMCNPAQLKELCNSSVSSSILIPHLNNLVQQTMFQQDLIMNLLSSLQQNEKVDGSEPGISSQVRNMESDKVQAETANSEKERSLLVKISELQSRMITLTDELIAAKLKHAQLQQELNALYCREEIEDVRDEDNEET >PAN46459 pep chromosome:PHallii_v3.1:9:11857394:11865624:-1 gene:PAHAL_9G187400 transcript:PAN46459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGSVKRSGSAGGSGAAAGPPTFTVNPGDYRLMEEVGYGAHAVVYRAIFVPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQDGFDEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILIDSAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTIKSILSDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIRDDEPPEIKEDEDTARNTEVEKDLSSRNHLGKSSANDCNSREQTFATRVNSHGNGPVTNEVFDFDFDFSDADPKRRVDGYENNRRENDSLPSTSKRDPESNHWTNDIGQRQQTSGGNNSSVAAERGPGFERDAAVQMISEKQRSDMRKTASLSGPLSLPTRASANSLSAPIRSSGVYVDSSVDKSKRNVVEIKGRFSVTSENVDLAKVQDVPVSSLSRKSPEVSLLRKSASASDCLVNAKPMCNPAQLKELCNSSVSSSILIPHLNNLVQQTMFQQDLIMNLLSSLQQNEKVDGSEPGISSQVRNMESDKVQAETANSEKERSLLVKISELQSRMITLTDELIAAKLKHAQLQQELNALYCREEIEDVRDEDNEET >PAN46456 pep chromosome:PHallii_v3.1:9:11856854:11865844:-1 gene:PAHAL_9G187400 transcript:PAN46456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGSVKRSGSAGGSGAAAGPPTFTVNPGDYRLMEEVGYGAHAVVYRAIFVPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQDGFDEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILIDSAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTIKSILSDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIRDDEPPEIKEDEDTARNTEVEKDLSSRNHLGKSSANDCNSREQTFATRVNSHGNGPVTNEVFDFDFDFSDADPKRRVDGYENNRRENDSLPSTSKRDPESNHWTNDIGQRQQTSGGNNSSVAAERGPGFERDAAVQMISEKQRSDMRKTASLSGPLSLPTRASANSLSAPIRSSGDSSVDKSKRNVVEIKGRFSVTSENVDLAKVQDVPVSSLSRKSPEVSLLRKSASASDCLVNAKPMCNPAQLKELCNSSVSSSILIPHLNNLVQQTMFQQDLIMNLLSSLQQNEKVDGSEPGISSQVRNMESDKVAETANSEKERSLLVKISELQSRMITLTDELIAAKLKHAQLQQELNALYCREEIEDVRDEDNEET >PAN46458 pep chromosome:PHallii_v3.1:9:11856854:11865844:-1 gene:PAHAL_9G187400 transcript:PAN46458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGSVKRSGSAGGSGAAAGPPTFTVNPGDYRLMEEVGYGAHAVVYRAIFVPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQDGFDEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILIDSAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTIKSILSDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIRDDEPPEIKEDEDTARNTEVEKDLSSRNHLGKSSANDCNSREQTFATRVNSHGNGPVTNEVFDFDFDFSDADPKRRVDGYENNRRENDSLPSTSKRDPESNHWTNDIGQRQQTSGGNNSSVAAERGPGFERDAAVQMISEKQRSDMRKTASLSGPLSLPTRASANSLSAPIRSSGVYVDSSVDKSKRNVVEIKGRFSVTSENVDLAKVQDVPVSSLSRKSPEVSLLRKSASASDCLVNAKPMCNPAQLKELCNSSVSSSILIPHLNNLVQQTMFQQDLIMNLLSSLQQNEKVDGSEPGISSQVRNMESDKVAETANSEKERSLLVKISELQSRMITLTDELIAAKLKHAQLQQELNALYCREEIEDVRDEDNEET >PVH31704 pep chromosome:PHallii_v3.1:9:13986430:13990396:1 gene:PAHAL_9G216300 transcript:PVH31704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLATLLAHLAAGRFGRVVELTGGGASTAAAAHRALHLLLRTAPLPPLPHLVSLARWSRAHFRAPLPLPLHALLLARLASRGGGGHYSLLRAELDALAAARLHSPASILRALPASSPSAPLIADMLVLALARDPQPLAAYEAFLLAGAEYPRHRPSAFTVNALLAALVRADRVDLAERAFRAALRRRVSPDLITFNTVISGLCKTGQLRKAGDVVKDIRGWGLAPSVVTYNTLIDGYFKSRRAGKMYHVDVLLKEMIDAGLSLNVVTFNVLINGYCKESNIIAAVKVFEEMKQHGIAANVVTYSSLVSGLCSEGKVEEGVKLVKEMEDLGLACPVAILNCVLNGFCKNGMMVEAEDWIGGMAQGDMKPNVVTYNALVHGYCHLGKMEEAVAVKEAMSGKGISPNVRTYNCLLAGFTSSRDWSSVSGLLDEMKEKGVKADVVTYNVLIGALCCKGEVWKAVKLLDEMLEVGLEPKHLTYNTIIKGFCEKGNFKAAHEIRSRMNKCKKLANVATYNVFLKYFCKMGKMEEANELLNEMLEKDLVPNRVTYEIINAGMVEKGFVPDIRGFAADISKSLTSS >PAN46809 pep chromosome:PHallii_v3.1:9:13986430:13990396:1 gene:PAHAL_9G216300 transcript:PAN46809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLATLLAHLAAGRFGRVVELTGGGASTAAAAHRALHLLLRTAPLPPLPHLVSLARWSRAHFRAPLPLPLHALLLARLASRGGGGHYSLLRAELDALAAARLHSPASILRALPASSPSAPLIADMLVLALARDPQPLAAYEAFLLAGAEYPRHRPSAFTVNALLAALVRADRVDLAERAFRAALRRRVSPDLITFNTVISGLCKTGQLRKAGDVVKDIRGWGLAPSVVTYNTLIDGYFKSRRAGKMYHVDVLLKEMIDAGLSLNVVTFNVLINGYCKESNIIAAVKVFEEMKQHGIAANVVTYSSLVSGLCSEGKVEEGVKLVKEMEDLGLACPVAILNCVLNGFCKNGMMVEAEDWIGGMAQGDMKPNVVTYNALVHGYCHLGKMEEAVAVKEAMSGKGISPNVRTYNCLLAGFTSSRDWSSVSGLLDEMKEKGVKADVVTYNVLIGALCCKGEVWKAVKLLDEMLEVGLEPKHLTYNTIIKGFCEKGNFKAAHEIRSRMNKCKKLANVATYNVFLKYFCKMGKMEEANELLNEMLEKDLVPNRVTYEIINAGMVEKGFVPDIRGFAADISKSLTSS >PVH31705 pep chromosome:PHallii_v3.1:9:13986430:13990396:1 gene:PAHAL_9G216300 transcript:PVH31705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLATLLAHLAAGRFGRVVELTGGGASTAAAAHRALHLLLRTAPLPPLPHLVSLARWSRAHFRAPLPLPLHALLLARLASRGGGGHYSLLRAELDALAAARLHSPASILRALPASSPSAPLIADMLVLALARDPQPLAAYEAFLLAGAEYPRHRPSAFTVNALLAALVRADRVDLAERAFRAALRRRVSPDLITFNTVISGLCKTGQLRKAGDVVKDIRGWGLAPSVVTYNTLIDGYFKSRRAGKMYHVDVLLKEMIDAGLSLNVVTFNVLINGYCKESNIIAAVKVFEEMKQHGIAANVVTYSSLVSGLCSEGKVEEGVKLVKEMEDLGLACPVAILNCVLNGFCKNGMMVEAEDWIGGMAQGDMKPNVVTYNALVHGYCHLGKMEEAVAVKEAMSGKGISPNVRTYNCLLAGFTSSRDWSSVSGLLDEMKEKGVKADVVTYNVLIGALCCKGEVWKAVKLLDEMLEVGLEPKHLTYNTIIKGFCEKGNFKAAHEIRSRMNKCKKLANVATYNVFLKYFCKMGKMEEANELLNEMLEKDLVPNRVTYEIINAGMVEKGFVPDIRGFAADISKSLTSS >PVH31703 pep chromosome:PHallii_v3.1:9:13986430:13990396:1 gene:PAHAL_9G216300 transcript:PVH31703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLATLLAHLAAGRFGRVVELTGGGASTAAAAHRALHLLLRTAPLPPLPHLVSLARWSRAHFRAPLPLPLHALLLARLASRGGGGHYSLLRAELDALAAARLHSPASILRALPASSPSAPLIADMLVLALARDPQPLAAYEAFLLAGAEYPRHRPSAFTVNALLAALVRADRVDLAERAFRAALRRRVSPDLITFNTVISGLCKTGQLRKAGDVVKDIRGWGLAPSVVTYNTLIDGYFKSRRAGKMYHVDVLLKEMIDAGLSLNVVTFNVLINGYCKESNIIAAVKVFEEMKQHGIAANVVTYSSLVSGLCSEGKVEEGVKLVKEMEDLGLACPVAILNCVLNGFCKNGMMVEAEDWIGGMAQGDMKPNVVTYNALVHGYCHLGKMEEAVAVKEAMSGKGISPNVRTYNCLLAGFTSSRDWSSVSGLLDEMKEKGVKADVVTYNVLIGALCCKGEVWKAVKLLDEMLEVGLEPKHLTYNTIIKGFCEKGNFKAAHEIRSRMNKCKKLANVATYNVFLKYFCKMGKMEEANELLNEMLEKDLVPNRVTYEIINAGMVEKGFVPDIRGFAADISKSLTSS >PVH31702 pep chromosome:PHallii_v3.1:9:13986430:13990396:1 gene:PAHAL_9G216300 transcript:PVH31702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLATLLAHLAAGRFGRVVELTGGGASTAAAAHRALHLLLRTAPLPPLPHLVSLARWSRAHFRAPLPLPLHALLLARLASRGGGGHYSLLRAELDALAAARLHSPASILRALPASSPSAPLIADMLVLALARDPQPLAAYEAFLLAGAEYPRHRPSAFTVNALLAALVRADRVDLAERAFRAALRRRVSPDLITFNTVISGLCKTGQLRKAGDVVKDIRGWGLAPSVVTYNTLIDGYFKSRRAGKMYHVDVLLKEMIDAGLSLNVVTFNVLINGYCKESNIIAAVKVFEEMKQHGIAANVVTYSSLVSGLCSEGKVEEGVKLVKEMEDLGLACPVAILNCVLNGFCKNGMMVEAEDWIGGMAQGDMKPNVVTYNALVHGYCHLGKMEEAVAVKEAMSGKGISPNVRTYNCLLAGFTSSRDWSSVSGLLDEMKEKGVKADVVTYNVLIGALCCKGEVWKAVKLLDEMLEVGLEPKHLTYNTIIKGFCEKGNFKAAHEIRSRMNKCKKLANVATYNVFLKYFCKMGKMEEANELLNEMLEKDLVPNRVTYEIINAGMVEKGFVPDIRGFAADISKSLTSS >PVH31533 pep chromosome:PHallii_v3.1:9:10807248:10811603:1 gene:PAHAL_9G172700 transcript:PVH31533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPLGFSPTSSIQMEHSSSEDSDISDSDIVEHREKTYAQLRAGKLKVKHGENAFRCPFCPGKKKQDYNLKDLLQHATGIGAAPKRSAKVKATHLGLAMFLEKDIASSLEKPLQIVANKPKTPNSEEEVFVWPWMGIVVNLQYELKGEEYSRECEERLRAQLSRFRPLQVAILGGDNDQTFCAIVKFAKDWSGLKDALAFEKHFVVEQHGKTDWNKINCRKDDLYGWLARSDDYNSPGTIGGHLRENGDLRSVGDLEREGLQETGKRVAHYARQIEVRNKHMRELEMKNNQNAMKLDRMMEEKDRLVEEHNEKIRKMQKAACQNSRKIIDENIRLYGELESRKKEIDRKCEQLEMFATKSNTDREKLDAAKEKNAKENRLLNLATLKQNEEYEKLLRLVKKQEHEKEDTLKKLYNLEMQLASKQKLELEIEQLRGELEVMKHMGDADTSLKEKLDELRETLENKDEEMEAIDSLNQTLIIKERRTNDELEEAKKALMTSLPKTSGARSLIGVRRMGELDQKAFLDACKEKTAEDDEEKLALLCSKWEDEIRQPEWHPFKVIQVDGEAKEIIKEDDDKLQALKAELGEKAHNVVVKALHEINEYNPSGRYPLPELWNFKDDQKAPMGEVAAYIVKRWKTYKRKHTYST >PVH31532 pep chromosome:PHallii_v3.1:9:10805739:10811603:1 gene:PAHAL_9G172700 transcript:PVH31532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPTAGGARILGPRDCFFQSQSCGSSALKGEEEGMPARRSPGGPGPRPLTLPHHVTAGESEARHPIQPTTAPPPPPPDSKRVATHPLLSWPHPDRPAPLVGGAAKIPALFSLPFLISIRVLKPPPAPVIGSPRFFLRLIFGTLLRVKQGFSPTSSIQMEHSSSEDSDISDSDIVEHREKTYAQLRAGKLKVKHGENAFRCPFCPGKKKQDYNLKDLLQHATGIGAAPKRSAKVKATHLGLAMFLEKDIASSLEKPLQIVANKPKTPNSEEEVFVWPWMGIVVNLQYELKGEEYSRECEERLRAQLSRFRPLQVAILGGDNDQTFCAIVKFAKDWSGLKDALAFEKHFVVEQHGKTDWNKINCRKDDLYGWLARSDDYNSPGTIGGHLRENGDLRSVGDLEREGLQETGKRVAHYARQIEVRNKHMRELEMKNNQNAMKLDRMMEEKDRLVEEHNEKIRKMQKAACQNSRKIIDENIRLYGELESRKKEIDRKCEQLEMFATKSNTDREKLDAAKEKNAKENRLLNLATLKQNEEYEKLLRLVKKQEHEKEDTLKKLYNLEMQLASKQKLELEIEQLRGELEVMKHMGDADTSLKEKLDELRETLENKDEEMEAIDSLNQTLIIKERRTNDELEEAKKALMTSLPKTSGARSLIGVRRMGELDQKAFLDACKEKTAEDDEEKLALLCSKWEDEIRQPEWHPFKVIQVDGEAKEIIKEDDDKLQALKAELGEKAHNVVVKALHEINEYNPSGRYPLPELWNFKDDQKAPMGEVAAYIVKRWKTYKRKHTYST >PVH31404 pep chromosome:PHallii_v3.1:9:8354584:8355555:1 gene:PAHAL_9G137000 transcript:PVH31404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDGGVPRVPTGAAARARRGAWGRWRAAGPRGYEMAAAAAGARGGGGGLGGAMRRRRPHGAGARQRRRPWGRDAAEAATGQSRAGQRAGARGAWAGARGRGKGLPCAGAHGARARAGARAGRAAAGGERAATRGGAGRTGRGARGQGRVRGASAGRGARAPVGQRATACGGAQARARARRGRARAGRDAGAGRRGARARARARRGGDRAAGAGRGRGRGPRGRAAPWAAGGAGRRRGAGGGGSGGGGAGWRGAAPWAAAAGTGPGGAARGEGGGRGGRWAAGRTGRGGRRAAVRAAAAGRTGLGGGGRARGRAGEGEERYCV >PAN47973 pep chromosome:PHallii_v3.1:9:41422646:41425157:-1 gene:PAHAL_9G326400 transcript:PAN47973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAASRLNIHEYQGAELMGKYGINAPRGATAGSAQEVKDALKNIVVKSQTLAGGRRLGTFKSGLNGGVHIVKAEEAEGLASKTLGQIQVKKQTGPEGKIVSKTGSGKTYTMGTDYSGEDKCGGIIP >PAN47944 pep chromosome:PHallii_v3.1:9:35252408:35255548:-1 gene:PAHAL_9G309000 transcript:PAN47944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGRCKASSFILILSHYTAGKGTCRFTTLCTRLHRFWAIRSGKIPSTYQTISAPTFECSKTCMTD >PAN47945 pep chromosome:PHallii_v3.1:9:35252992:35254218:-1 gene:PAHAL_9G309000 transcript:PAN47945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGRCKASSFILILSHYTAGKGTCRFTTLCTRLHRFWAIRSGKIPSTYQTISAPTFECSKTCMTD >PAN48997 pep chromosome:PHallii_v3.1:9:58530598:58532865:-1 gene:PAHAL_9G418000 transcript:PAN48997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKPVIEISDDKRVEEPAMVNEKDAKEEEDIEALARAPDWLPDGWIMEVYREEDGTIRRYYTSPVSDCTFTTEKEVLDYLFSEEDEHILESKEEEPAAADSTLHETHQWLPKGWVMEIRAGGEKMDKMYKFYVHSQTGVRLVSKEDVLLYVNDSRVSAECDTKGQCDTSSDDNILAKVELNPKELPDGWVKEEVFRKTKERVRGDLNYTDPTSGYTFRSLKPALSYLKTGEIPNRATIQKTSVHDLYSFDKSADLVIIISNRLCLGLFPMDLYYRLDPPSE >PVH31985 pep chromosome:PHallii_v3.1:9:28106161:28112880:-1 gene:PAHAL_9G282800 transcript:PVH31985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVTRSEPVLVHAAGDAAVTVREDYYFLSNLDQNVDVLMKTVHVFNSSSETRSDNAATLIRESLSTVLSYYYPFQGALVVRPDGRLAVRNDRRGVPFVEALADEELREVTDVSAPCAAEVLADLVYIDMDSENALEASLLTVQVTTFKCGGFVLGLAMNHCLADGQSAAAFLCSWAETARGVPLSTSPYHDRKLQRAR >PAN46966 pep chromosome:PHallii_v3.1:9:14930966:14934967:-1 gene:PAHAL_9G227700 transcript:PAN46966 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSP1 [Source:Projected from Arabidopsis thaliana (AT5G35620) UniProtKB/TrEMBL;Acc:A0A178UEE3] MAEVETAPAAVAATTPEVAATEGGAAAEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEEFWSLYDQIFRPSKLTGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFETMWLETLMALIGEQFDETEDICGIVASVRQRGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSRREKPSRGGRYTV >PAN50272 pep chromosome:PHallii_v3.1:9:65178422:65185111:1 gene:PAHAL_9G509400 transcript:PAN50272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRSAVHRAVEASGGRSSLLTRTVRTSLDTVVHHAGQAVAGGARLIIGSRNYKSVKVTAKRLEEAALSYKGEERAQLLRRWHVALKENQRAAAAVLREPQFGDDRDQAAPLLDLYVDCESGGELMNFFHVFLYSHALECVVLSMILEAPTDEEVSLLSEIFGMCLSGGKDVHSALLSSVKDLARLISSYHDEVLAKRGELLQFAQGAFSGLKINAEIARLDNEISQLQQQINSMDALQATSNSNRNRTSQSITEVVLIRVIQFAQLWVILQYKKKWGFKKAVAEVRLCSRMEELILKKKSIHPGDSLETHFEKVDKLKVLSESLSNSSTKTEKRIMENRLQKEESLIFRVTKTNEVSGIEKELVAEISGLEEQRDKLEAELKKVNTKLKAATVKLKKTREERDQFDEASNQIVLHLKTKEDELARSLASCKVEASTVSAWISFLEDTWKLQSLFEDLKEKQANEELDRCGVCFAKLIKHHVSACLEELSTSIDRIKTFVDNLKIFGDRSISAEDGPNSSSKQSNPRKYLEEEYLQTEKKVVAAFSLVDSIRAIYSSNQEYYKTSLSRREEPEVKKLFDTIDNLRVEFESVPRPVLQIEIKEQKEKAKRSRSLKVSRPPGHSRSDSPIAPQLRTRLPSETESELARFDPEYKADEISGWEFDDLEDEPRPGFQ >PAN50273 pep chromosome:PHallii_v3.1:9:65178422:65185111:1 gene:PAHAL_9G509400 transcript:PAN50273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRSAVHRAVEASGGRSSLLTRTVRTSLDTVVHHAGQAVAGGARLIIGSRNYKSVKVTAKRLEEAALSYKGEERAQLLRRWHVALKENQRAAAAVLREPQFGDDRDQAAPLLDLYVDCESGGELMNFFHVFLYSHALECVVLSMILEAPTDEEVSLLSEIFGMCLSGGKDVHSALLSSVKDLARLISSYHDEVLAKRGELLQFAQGAFSGLKINAEIARLDNEISQLQQQINSMDALQATSNSNRNRTSQSITEGFKKAVAEVRLCSRMEELILKKKSIHPGDSLETHFEKVDKLKVLSESLSNSSTKTEKRIMENRLQKEESLIFRVTKTNEVSGIEKELVAEISGLEEQRDKLEAELKKVNTKLKAATVKLKKTREERDQFDEASNQIVLHLKTKEDELARSLASCKVEASTVSAWISFLEDTWKLQSLFEDLKEKQANEELDRCGVCFAKLIKHHVSACLEELSTSIDRIKTFVDNLKIFGDRSISAEDGPNSSSKQSNPRKYLEEEYLQTEKKVVAAFSLVDSIRAIYSSNQEYYKTSLSRREEPEVKKLFDTIDNLRVEFESVPRPVLQIEIKEQKEKAKRSRSLKVSRPPGHSRSDSPIAPQLRTRLPSETESELARFDPEYKADEISGWEFDDLEDEPRPGFQ >PAN44810 pep chromosome:PHallii_v3.1:9:4152086:4156086:1 gene:PAHAL_9G072000 transcript:PAN44810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSLIQGISISVSDDDEATGKVRVRVRRKRSRASVSASARRRRLLFRTARLGVPLLLAALAVSLLLYESYRLTPSHSPPLPPPSFTDFGDLSRAARAAGSPRKSCLKLLDPEKLQNLELPEIPETNMSIKEVVYRSSLHHIEDDIPSHTESSRFNSFTGYQTLTEREESFKIKESMTVHCGFCIENGGFQVSAVDREYMRYCKVVVATCAFGGGDDLHQPIGMTENSIRKVCYVAFWDEVTRASQEEEGNKIGEDNMIGLWRIILVSDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEAKAIVKKHKATPEEVEVQLDQYREDGIPDEKRFNGKKALAEASVIVRDHAPSTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRPPGVQLFPVCARKDLVNSFGHRRKVKPLVKDAR >PAN51355 pep chromosome:PHallii_v3.1:9:70290558:70291583:-1 gene:PAHAL_9G588000 transcript:PAN51355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTTSRQARHDLRYCPSPLALPRSQSFPARCPSDAGVHVVRLTSSTLGSLELEKALPRAPEPAVARAPTRLAPRTPTMTPPNEPEDIDAWALMAGLEDHSPLLTAPFGRHSFSFPVATAPQDLTAFAKVTPLPLPQPHAAVATDGGEGKASKEKTPPRRAVLYFTSLRGVRATYEDCCLARAILKGYGVRLDERDVSMHRGFRDELNGLLGVTGGGALAKCWAPAAPALPSLFVDGELVGNAEELKRLHEAGELAARLAGCESAAAAGDAGACETCGDVRFVLCETCSGSCKVYVYDEDGHDDEEDASDEDGGGGGGGAGFRRCPDCNENGIVRCPACCC >PVH33253 pep chromosome:PHallii_v3.1:9:71500941:71501207:-1 gene:PAHAL_9G608300 transcript:PVH33253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPVVLPHARRHRRSPPPAAVALPRARRGAARLPPPPRSPSPPPLSPPALPSPSARSSRRGSPTPALGAAALPHSRCRRPPARADFF >PVH31114 pep chromosome:PHallii_v3.1:9:3467637:3469465:1 gene:PAHAL_9G060800 transcript:PVH31114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSHITSTTIFCGQSAPAACFFKKNTCNLSRTTITRISAVANPVTQAVTPADRANPPVCSCSVGDASPPRELYLAQDGRPGCRRDFSTCEIIAHTPGDQDCSFWNLLKLSSPRSVSYKSEPSSPNTLHTIASATDRCAQAHASTGRETDRGQEAEMAGEKKGLQLLDFWVSPFGQRCRIALSEKGLPYEYLEQDLGNKSELLLRSNPVHKKIPVLLHDGRPVCESLIIVQYIDEAFPGKDGKPALLPADPYARAQARFWADYVDKKLYDCGTRLWKLKGEAQQQARKEMLEILRTLDGALGDGKFFGGEAFGFADVAIAPFTAWFLTYERHGEFSVEEECPRLVAWAKRCGERESVAKNLTPPEKVYEFICGMKKRFGIE >PAN47420 pep chromosome:PHallii_v3.1:9:21851553:21854318:1 gene:PAHAL_9G267300 transcript:PAN47420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPAAASAGSGSDFPDALPSPTSPAAAPSHPSPGRHYYLAVDRTQFKMRTLLELLGVVADRRGGLPISICVSSRDELDAVCAAVANLPFVSMSPLYSDQAEAERASILEKFRQETIQWNQTSKAIDMAESSKPESMGTKLSIIVATDACLPLAAMAEAPLMARVLINYELPTKKEAYLRRMSTCLTAEGIVINMVVGGEVATLKALEENSGLLIAEMPIHVSEIL >PAN50405 pep chromosome:PHallii_v3.1:9:65790774:65795035:-1 gene:PAHAL_9G517800 transcript:PAN50405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQEALPFRKGVCKFYNGKSGSFAKLQDAVIPSPPQNGLRKPETPSPRKHKGLLPFSFKWGKQQNKEVFPEDDVVDSPTYCRRMTISPAATSSSGSNSGSDDEQYLSQKPSSRSPHRRPSNAMGLFASPPAPRPPQLLSAHMRSRSMLDLQDVTDSTAMVTPRDKHTKN >PAN50404 pep chromosome:PHallii_v3.1:9:65790772:65795035:-1 gene:PAHAL_9G517800 transcript:PAN50404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQEALPFRKGVCKFYNGKSGSFAKLQDAVIPSPPQNGLRKPETPSPRKHKGLLPFSFKWGKQQNKEVFPEDDVVDSPTYCRRMTISPAATSSSGSNSGSDDEQYLSQKPSSRSPHRRPSNAMGLFASPPAPRPPQLLSAHMRSRSMLDLQDVTDSTAMVTPRDKHTKN >PAN50518 pep chromosome:PHallii_v3.1:9:66246527:66250759:-1 gene:PAHAL_9G525000 transcript:PAN50518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGRLAAALHRRTHRVTSALAYAALEWVLIALLLINGLLAYAIARFADYFGLAPPCLLCSRVDQLFQAGGGEPGGARWLRDALCGDHAAEISALGYCLRHRRLAGAGEMCQGCLSSCKEDTSDARDKSATACSCCKAAVRTSSRELEGTREEHVEEKVTEEGKDDDQGYVLLAQEDHEEEEEEQDVFENQEQQSEVGGGQKEEDELMAAVQDESLEVMVQGEEIALEDARLVPVVALDEMTIADDSGLHRDVEEEDGMDQVEDESDSRDVDIGVVLEEKRMLDSSVATPVDVIDDSVVPISPIACPETVSSPSHPDHNSISQDDADVTEDAVEIGDSTAEEDHIFVPQVSEAVSEDDNRTAEVDTNCEVSIGSDICEREQDDHVVPFQDLATLEESVARLAGADDQPSPLEILAPTEQGEAEQEEVVRRTGLDNQPTGQNEMEEDKAPETPTSGVATQRSGRMFLLERKRSLSLSLDGSVASEMEGGEPSTVDQLRSALQAERKALGALYAELEEERNAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELELCRQKVQHYEDKERRRMASFKANGGSPSGSGSGTSVSSSGEDSDGHSDDYCELGESPDGGNVQSSSDAALCSMRDRDSTKHLVALDDSLTYFEMERLSILEELKALEERLFTLEDDDITGNAAAGHSSGGLDLSADGLQSPEYIPTGDKARFGGRTSISRVKSLLPLFDAVGDETCDQMPSARAEDADQADDSAKKSVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRDLRNVELHVKNAGDAIAANSV >PVH32918 pep chromosome:PHallii_v3.1:9:66247392:66250186:-1 gene:PAHAL_9G525000 transcript:PVH32918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGRLAAALHRRTHRVTSALAYAALEWVLIALLLINGLLAYAIARFADYFGLAPPCLLCSRVDQLFQAGGGEPGGARWLRDALCGDHAAEISALGYCLRHRRLAGAGEMCQGCLSSCKEDTSDARDKSATACSCCKAAVRTSSRELEGTREEHVEEKVTEEGKDDDQGYVLLAQEDHEEEEEEQDVFENQEQQSEVGGGQKEEDELMAAVQDESLEVMVQGEEIALEDARLVPVVALDEMTIADDSGLHRDVEEEDGMDQVEDESDSRDVDIGVVLEEKRMLDSSVATPVDVIDDSVVPISPIACPETVSSPSHPDHNSISQDDADVTEDAVEIGDSTAEEDHIFVPQVSEAVSEDDNRTAEVDTNCEVSIGSDICEREQDDHVVPFQDLATLEESVARLAGADDQPSPLEILAPTEQAGEAEQEEVVRRTGLDNQPTGQNEMEEDKAPETPTSGVATQRSGRMFLLERKRSLSLSLDGSVASEMEGGEPSTVDQLRSALQAERKALGALYAELEEERNAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELELCRQKVQHYEDKERRRMASFKANGGSPSGSGSGTSVSSSGEDSDGHSDDYCELGESPDGGNVQSSSDAALCSMRDRDSTKHLVALDDSLTYFEMERLSILEELKALEERLFTLEDDDITGNAAAGHSSGGLDLSADGLQSPEYIPTGDKARFGGRTSISRVKSLLPLFDAVGDETCDQMPSARAEDADQADDSAKKSVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRDLRNVELHVKNAGDAIAANSV >PVH32417 pep chromosome:PHallii_v3.1:9:56601981:56607511:1 gene:PAHAL_9G395000 transcript:PVH32417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEAASARNGGAGSFSSSTGGAPTAEIFEDQAAGGEEDEGEGEGEEKVFVAVPEQHKSGRSLLAWALRHVAAVDGAAVVVAHVHAPAQMIPMSTCSRSASSLLARHRTLTVGPGSRAEECVGSKFHASKLRPEQVSAYRQYEREKVEKHLDEYIHQCSKMKVKCEKLVIENNDVAKGIMELVSLHGVSKLIMGAASDKHYSRKMKMPKSKTALAVLQKADTSCKIWFVCKEHLICTRDARVPASCDAATPPATRSSMSTSFERGGQPNGYVNNAVDGHVQRSMSEKVVPASVRTSLRLPSRLSVRTNFSRRSIEDKSANSWDSVPIRSFPSLHQASSTVTDEGFSDSSSFSTPRHDATEILPSVHAGCDLQNPALYREQAEKHQKQAFDESVRRQMAEEEPILFRRKADNFEDTSLNEAKQRKDVKEALAKANSVIESMKQEIDALKQDRDDIIDKLVKMREQKATLEQRVDEYGGIVKTLEDKLAASKSLIHSQQLEYEKLKHERDNALKDVDELHKEIGKTVSCPSLTWNTEFSLSELQLATQNFSDTMKVGEGGFGRVYRGSLRNTTVAIKMLRTHNLQGQSQFRQEVVVLSRVRHPNLVMLMGSCSEASGLVYEFLPNGSLEDRLACENNTPPLTWQVRTRIIGEICSALVFLHSTEPHPVIHGDLKPANILLDANFVSKLSDFGISCLLVKSSTMSTSLYQTTNPRGTFAYMDPEFLTTGELTARSDIYSLGIVILQLVTGKPALGIGRAVEDALEKDESELLVDQSAGEWPFVQAKKLMLLGLQCAELSRRRRPYRMSDVCCVIEPLVKSASLSATPQSFGHRSVESHTPSCFLCPISQEVMRNPHIAADGYTYEAEVIKGWLHSGHSTSPMTKLPLAHHHLMPNHALHSAIKEHFKKQRPS >PAN48610 pep chromosome:PHallii_v3.1:9:56601981:56607511:1 gene:PAHAL_9G395000 transcript:PAN48610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEAASARNGGAGSFSSSTGGAPTAEIFEDQAAGGEEDEGEGEGEEKVFVAVPEQHKSGRSLLAWALRHVAAVDGAAVVVAHVHAPAQMIPMSTCSRSASSLLARHRTLTVGPGSRAEECVGSKFHASKLRPEQVSAYRQYEREKVEKHLDEYIHQCSKMKVKCEKLVIENNDVAKGIMELVSLHGVSKLIMGAASDKHYSRKMKMPKSKTALAVLQKADTSCKIWFVCKEHLICTRDARVPASCDAATPPATRSSMSTSFERGGQPNGYVNNAVDGHVQRSMSEKVVPASVRTSLRLPSRLSVRTNFSRRSIEDKSANSWDSVPIRSFPSLHQASSTVTDEGFSDSSSFSTPRHDATEILPSVHAGCDLQNPALYREQDTMNSNIDIFDKLEEVFTQAEKHQKQAFDESVRRQMAEEEPILFRRKADNFEDTSLNEAKQRKDVKEALAKANSVIESMKQEIDALKQDRDDIIDKLVKMREQKATLEQRVDEYGGIVKTLEDKLAASKSLIHSQQLEYEKLKHERDNALKDVDELHKEIGKTVSCPSLTWNTEFSLSELQLATQNFSDTMKVGEGGFGRVYRGSLRNTTVAIKMLRTHNLQGQSQFRQEVVVLSRVRHPNLVMLMGSCSEASGLVYEFLPNGSLEDRLACENNTPPLTWQVRTRIIGEICSALVFLHSTEPHPVIHGDLKPANILLDANFVSKLSDFGISCLLVKSSTMSTSLYQTTNPRGTFAYMDPEFLTTGELTARSDIYSLGIVILQLVTGKPALGIGRAVEDALEKDESELLVDQSAGEWPFVQAKKLMLLGLQCAELSRRRRPYRMSDVCCVIEPLVKSASLSATPQSFGHRSVESHTPSCFLCPISQEVMRNPHIAADGYTYEAEVIKGWLHSGHSTSPMTKLPLAHHHLMPNHALHSAIKEHFKKQRPS >PAN45162 pep chromosome:PHallii_v3.1:9:5634427:5636280:1 gene:PAHAL_9G098000 transcript:PAN45162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMDPELYKAATHGKVAILKQLLQDKGRPGILSSTTPQRNTPLHLAALHGHTEFAREVLQKNNGLIVARNVDGDTPLHLAAKEDKMKVAELLVGLAKAWQKDPNCAAEETPPKSPLVMTNRAGNTPLHEAMRQGRSAMALLLLSADPDSAHDLNGQKESPLHMAAREGLEDVVRKILESPWVEEEYKSSVSVGDTALHQAVLGRHTRIIEILLEKRPALMELTDADGNIALHYAAQKDRQRAVEMLLKNRADLAYKCNNQKQTPLHVAARYGSAAAIKALLRQCPDVAEMTDAAGHNAFHTAVASGKTNALRWLLRLVRPAELLNRVDNNGNTPLHLAAEKGHVHCALLLVQDRRVDPCIRNRSDHTARSLLEVRSAQGRTTHSQVEIRSDDGEMEAYEMYLWNELKRQESKRCRMQQLPPVTVPIGRRARHEYFERSVETYILVAILIATVTFAATFTMPGGYDQNKGIALHGHNTAFKIFVISNTVAMCSAIIVVFCFIWAWKNPIKFMVYQLLWGHRLTLIAFLAMLVSLMTTVYITVAPTSRWPAYVVIAIGMSTPAAVVRLVGKQVISVPP >PVH31850 pep chromosome:PHallii_v3.1:9:17031048:17032172:1 gene:PAHAL_9G247400 transcript:PVH31850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPAFTTSAIVANTASASHMLKIDGYSRTKGLATGVHLKSCSFIVGGHSWHLAYLPNGDCAERSEYISMYLVLNGVAPGTEGGPVLAQFSISLLDRAGKPAPTYTRTGTTAPYVGPGAHWGFPGFIRREALEKSRHLNVKDDSFSVRCDLTVVTAFRAEDAASIAAEAASAQPSVPVPPPDMWRHLEQLLLSELGADVRFRVDGEDFAAHRCMLAARSPVFQAELFGTMKEASSEARCVVEIHDMRADVFKNLLYFIYTDALPLPEPEEPRPDPEEEALMAQHLLVAADRYGMERLKFICEDALCRHIDVGTVASTMALAEQHHCLGLKEACFQFLKSPGALNTVMATDDFDHLATSCPSLIKELISKLAAR >PAN49052 pep chromosome:PHallii_v3.1:9:58811781:58817513:-1 gene:PAHAL_9G422300 transcript:PAN49052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHEASAPATAAAGDGARRPPPRPTLSLPPRSAVESLFAGSSSAGAGAGALFPDTPSPAFHGSFTQLLVGAIGSPAACSAAPAVPTPPSPFSVPPGLSPTALLGSPGLFSPTGSFEMSHQQALAQVTAQAVHSQFNMVNHSDFSIPFSSTTRPALTSQHQHVNPTNVTSTQEISTLPSHTGKNNIESNEVSQGLQNSAPTFDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHASCPVKKKVERSGEGHITQIIYRGQHNHQRPPKRRSKDGGGLLNEIDDFHENEDASTRSEPGSQDYSGKHGGSNDGIAGPPVSRRREGDEQLSGSSDSEEEEDAEQRVGNGDAGRANANRRHVPTPVQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHAAAAANANASSSTSLPHRGQNSASSRRRGADVSSASSMLLKEENEIT >PVH32533 pep chromosome:PHallii_v3.1:9:58810661:58817594:-1 gene:PAHAL_9G422300 transcript:PVH32533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHEASAPATAAAGDGARRPPPRPTLSLPPRSAVESLFAGSSSAGAGAGALFPDTPSPAFHGSFTQLLVGAIGSPAACSAAPAVPTPPSPFSVPPGLSPTALLGSPGLFSPTGSFEMSHQQALAQVTAQAVHSQFNMVNHSDFSIPFSSTTRPALTSQHQHVNPTNVTSTQEISTLPSHTGKNNIESNEVSQGLQNSAPTFDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHASCPVKKKVERSGEGHITQIIYRGQHNHQRPPKRRSKDGGGLLNEIDDFHENEDASTRSEPGSQDYSGKHGGSNDGIAGPPVSRRREGDEQLSGSSDSEEEEDAEQRVGNGDAGRANANRRHVPTPVQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHAAAAANANASSSTSLPHRGQNSASSVLPHALCWSKLAL >PVH32534 pep chromosome:PHallii_v3.1:9:58811391:58817594:-1 gene:PAHAL_9G422300 transcript:PVH32534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHEASAPATAAAGDGARRPPPRPTLSLPPRSAVESLFAGSSSAGAGAGALFPDTPSPAFHGSFTQLLVGAIGSPAACSAAPAVPTPPSPFSVPPGLSPTALLGSPGLFSPTGSFEMSHQQALAQVTAQAVHSQFNMVNHSDFSIPFSSTTRPALTSQHQHVNPTNVTSTQEISTLPSHTGKNNIESNEVSQGLQNSAPTFDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHASCPVKKKVERSGEGHITQIIYRGQHNHQRPPKRRSKDGGGLLNEIDDFHENEDASTRSEPGSQDYSGKHGGSNDGIAGPPVSRRREGDEQLSGSSDSEEEEDAEQRVGNGDAGRANANRRHVPTPVQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHAAAAANANASSSTSLPHRGQNSASSRRRGADVSSASSMLLKEENEIT >PVH32535 pep chromosome:PHallii_v3.1:9:58813804:58817594:-1 gene:PAHAL_9G422300 transcript:PVH32535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHEASAPATAAAGDGARRPPPRPTLSLPPRSAVESLFAGSSSAGAGAGALFPDTPSPAFHGSFTQLLVGAIGSPAACSAAPAVPTPPSPFSVPPGLSPTALLGSPGLFSPTGSFEMSHQQALAQVTAQAVHSQFNMVNHSDFSIPFSSTTRPALTSQHQHVNPTNVTSTQEISTLPSHTGKNNIESNEVSQGLQNSAPTFDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHASCPVKKKVERSGEGHITQIIYRGQHNHQRPPKRRSKDGGGLLNEIDDFHENEDASTRSEPGSQDYSGKHGGSNDGIAGPPVSRRREGDEQLSGSSDSEEEEDAEQRVGNGDAGRANANRRHVPTPVQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRHKLIAKCPFY >PVH33162 pep chromosome:PHallii_v3.1:9:69994795:69996175:-1 gene:PAHAL_9G582500 transcript:PVH33162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLNWMQTKLHGSHRGGRKSEFIADPAWLVDSSSGLPQTDKLNDGWTAAVLSIGTFGMREGHRLKSCERFDELSKLQEELKSLVRARGEATADETSSIIWGLLLKSAHPENSALTDPVMRDDRLVQMAQEGEAETCAQQRVHFPVLKGKILVLYL >PAN49444 pep chromosome:PHallii_v3.1:9:61089686:61093306:-1 gene:PAHAL_9G449600 transcript:PAN49444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLALNHLMSFQRRRRRRRRRGEIRPLNGLVSSLANGKRSNHKDDDSPQGGKIMRFSGPDLPEDIWGHIHSLMPLQDAARTASVSHAFLRSWRCRPNITFSSTTLGLNEDVHGENKIASDFNSRVDHILKKHSGFGLRSLKIEFCGYNSNTHCYLNSWLEFAVTPELEELTLLLPLKKAKYCFPSSLLSRGSGNSIRHLTLSWCAFRTTVGLDSLKNLTSVTLHDVRITEEELGCLLSNSSALEQLNLVYCNKITCLKIPCLMKRLSCFSVLQCDKLRVIESKAPNVSSFYIAGGQLEVSLAESLQVKDITLSMDCAISYAPVKLPSVVPNLEILSIISSREAVSTPLSPSKFLHLKVLGISLHGAAFSPAYDCFSVVYFLDAAPSLETFVLGVSQLRMQHDSIIGDPSPLRQISGHRHDHLKNVKITGFCSAKSLVELVCYVLENVTSLDCLTLDTTMGLPRCSANKLGKCLTMEKDMVRESQQALLAVEAFIEGKVPPAVKLIVLGPCSLCQDVEL >PAN49443 pep chromosome:PHallii_v3.1:9:61089557:61093629:-1 gene:PAHAL_9G449600 transcript:PAN49443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLALNHLMSFQRRRRRRRRRGEIRPLNGLVSSLANGKRSNHKDDDSPQGGKIMRFSGPDLPEDIWGHIHSLMPLQDAARTASVSHAFLRSWRCRPNITFSSTTLGLNEDVHGENKIASDFNSRVDHILKKHSGFGLRSLKIEFCGYNSNTHCYLNSWLEFAVTPELEELTLLLPLKKAKTTVGLDSLKNLTSVTLHDVRITEEELGCLLSNSSALEQLNLVYCNKITCLKIPCLMKRLSCFSVLQCDKLRVIESKAPNVSSFYIAGGQLEVSLAESLQVKDITLSMDCAISYAPVKLPSVVPNLEILSIISSREAVSTPLSPSKFLHLKVLGISLHGAAFSPAYDCFSVVYFLDAAPSLETFVLGVSQLRMQHDSIIGDPSPLRQISGHRHDHLKNVKITGFCSAKSLVELVCYVLENVTSLDCLTLDTTMGLPRCSANKLGKCLTMEKDMVRESQQALLAVEAFIEGKVPPAVKLIVLGPCSLCQDVEL >PAN49442 pep chromosome:PHallii_v3.1:9:61089557:61092901:-1 gene:PAHAL_9G449600 transcript:PAN49442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSGPDLPEDIWGHIHSLMPLQDAARTASVSHAFLRSWRCRPNITFSSTTLGLNEDVHGENKIASDFNSRVDHILKKHSGFGLRSLKIEFCGYNSNTHCYLNSWLEFAVTPELEELTLLLPLKKAKYCFPSSLLSRGSGNSIRHLTLSWCAFRTTVGLDSLKNLTSVTLHDVRITEEELGCLLSNSSALEQLNLVYCNKITCLKIPCLMKRLSCFSVLQCDKLRVIESKAPNVSSFYIAGGQLEVSLAESLQVKDITLSMDCAISYAPVKLPSVVPNLEILSIISSREAVSTPLSPSKFLHLKVLGISLHGAAFSPAYDCFSVVYFLDAAPSLETFVLGVSQLRMQHDSIIGDPSPLRQISGHRHDHLKNVKITGFCSAKSLVELVCYVLENVTSLDCLTLDTTMGLPRCSANKLGKCLTMEKDMVRESQQALLAVEAFIEGKVPPAVKLIVLGPCSLCQDVEL >PVH32150 pep chromosome:PHallii_v3.1:9:43025094:43026422:1 gene:PAHAL_9G330600 transcript:PVH32150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKREGERGGGTRRRRSRRRPRPVGHARAGFARCTRSAGANRGGRSRVSDKPSSDAERNSNPPSTTAPPEPRWLFPCVPRCPAVCPSRSSPPLAIAVTRSAQPPAPYRSHALLPGRLPPYLLLLPSSAHATPTPPLPELRRGRHATHAVDP >PAN50944 pep chromosome:PHallii_v3.1:9:68484342:68494642:1 gene:PAHAL_9G559100 transcript:PAN50944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MAAGRAFLGAPCSSLATGARRLAFASPPPRTLAPALRRRVPGRCVASVSSSPDAASAPEPYVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLEKKVIFITGTDEHGEKIATSAEASGRNPKEHCDAISSSYKMLWADLDIEYDKFIRTTDHKHEGVVNEFYSRVLSSGDIYRADYEGLYCVNCEEYKDEKELAENNSCPVHLKPCVARKEDNYFFALSKYQHKLEELLARNPDFVRPSHRLNEVQGWIKGGLRDFSISRASVDWGIPVPNDTKQTIYVWFDALLGYISALLDDGEQASLQQAIDHGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVARFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCNSTLAFDSIAAADGVPLRENVENLVDKAKHHYENLLLSSACESVLEIGNLGNLYIDEQAPWSCFKKGGESAEKAAKDLVIILETMRIIAIALTPITPSLSLRIYTQLGFTEDQFRVLRWEDTKWGGLKAGQVMMEPKPVFARIETETKEEAQASSKAAKGGKKKSPSKGLVEA >PAN49621 pep chromosome:PHallii_v3.1:9:61789937:61791788:-1 gene:PAHAL_9G460600 transcript:PAN49621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAAVPSPAQAAAAHAESLRKAVQGWGTDERALIEILGRRTAAQRAEVRRASAGLCRESLLHRLRSELSGDFRTAMVLWAMDPAERGARLANASLAAASRTISDQHAWALVEVACASAPDHLVAVRRAYRSLFGCSLEEDAAACPALQDPLRKLLVSLVRSYRCEVELVDEDVAGMEAAQLAEAIRRRKQPHGDEVIRIVSTRSKNQLRATFRRYEQEHGTGIDEDIAKHSSSQFAKILRSAVCCLTSPEKHFAEAIRYSILGFGTDEETLTRAIVSGSEIGMERIKEEYKARFKIR >PAN45630 pep chromosome:PHallii_v3.1:9:7883187:7891919:-1 gene:PAHAL_9G131400 transcript:PAN45630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEGNLDAVLKEAVDLENIPLEEVFENLRCNHGGLTFEQAQQRLQIFGPNKLEEEEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEIFERDVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAYNKTEIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYASWGFTSIKGIGWGWAGVVWLYNLVFYFPLDILKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKLFPERVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >PVH32409 pep chromosome:PHallii_v3.1:9:56515647:56517599:-1 gene:PAHAL_9G393500 transcript:PVH32409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKCLIGAWASADGSLLLRPRGPASFRPRTSGADPIEPIRNGRGLVIVATCACRSKHSSAPCAGRGIYVDKRSREHLVRRVYRDFAEAPSRGHGP >PAN47363 pep chromosome:PHallii_v3.1:9:17709702:17714162:-1 gene:PAHAL_9G252600 transcript:PAN47363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRHLFLAAAVALLLADGLVPATAGSRGAGAFDPSRVVQLSWRPRAFLHKGFLTDAECDHLIALAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVTRIEERISAWTFLPPENGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPNAEGKLLQPKDDTWSECARNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDLPVKQAGSSNGCEDDNVLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKVCAE >PVH33184 pep chromosome:PHallii_v3.1:9:70332108:70333703:1 gene:PAHAL_9G589100 transcript:PVH33184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGFCSNQCFPNFKFELTENTVTKLVCLTVTSTRTEEIPTIEYQILILKILLI >PAN49989 pep chromosome:PHallii_v3.1:9:63865317:63868926:1 gene:PAHAL_9G490100 transcript:PAN49989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFLVVLAAAAALLAAAAAHEHHGEAPTCSSGGGRVLAEFRPGEVTVDGHSDDWDSVEASEFALLPALDPDEDKAYSGGKVAVKAVHDGVNVFFMLQVDGAYAYSKGESSKCPSVALMFQVGDNATYYNMGGCKDTRGSCTSKSCRGHEVDIMHFEIGSAIPGRLYGGNHIDNAVGNGGDRFGHLVDIYAWNPHCRYLDGVGPKENNSNAQNDWHGAWWHSSLTVHSGFVDDDNPYGKQDEKGTYYFEFSRPLRTMDQFQQDAQFTIGQSSNMAVAFWYPTDGKEWSDSEHYSASCNWLILDVQPSSEAAYYSPAPNRSWDAATAFALLLSVVAICLSIFVGYRVSKNRSTAQFTPLEQIQFAEERH >PAN51778 pep chromosome:PHallii_v3.1:9:72264229:72267512:-1 gene:PAHAL_9G620000 transcript:PAN51778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHAALAASRIPTSARLHSRAASRQRVDFADFSGLRPGSCSVSAAAREASFSDVLGAQLVAKATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVVVINDSGGVKNASHLLKYDSMLGTFKADVKIVDDTTISVDGKLITVVSNRDPLKLPWADLGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHGVADIISNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTEKKGITADDVNGAFRKAAGGPLKGVLDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGAAAAGSGDPLEDFCKDNPATDECKVYEA >PAN46636 pep chromosome:PHallii_v3.1:9:12768946:12769953:1 gene:PAHAL_9G200500 transcript:PAN46636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAAILELDPSHERASRVIDNIVRLERRIFPKHESLARSIHDELKRRNSGLIYMASSGVAGGDGDEVVGYAMYTCPTSLCASITKLAVKESCRRQGHGEALLAAAVERCRRRRVQRVSLHVDPARTAAVALYRKAGFQVDATVVGYYAPQRDAYRMYMDL >PAN45399 pep chromosome:PHallii_v3.1:9:6779321:6783985:-1 gene:PAHAL_9G115900 transcript:PAN45399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGLAFPIRGRRLSTAAAATPLSSLTDALLATSLATHLLATPHLPAALLPSAPLPLPVHLHILRHPALPPASKLSFFLAATPPASPLLASTFPALLRALAAGSPPLLDELLPFALSCPSPAALLPALLASLLSASRLDAALALLDAAPPDLLPRLAAAALPSLIASPDIIAAVPAIRRLLPIASHPPPVRATNRLILALSKENLCDDFRHVFDEMSRRGLPSNVRFYNICIHAFGKWRQLDISLRLFAAMKAATPPVVPDICTYNSVIRALVVGGRITDALVTFDEMKSSGIEPDVFTYRAIMNGCCKSFRMDDALRVFQEMRGSSGVSDVVVYNSLLNGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRRKGQLLDGIAYSIMVREFCKEGVGEQVAEALELVKEMEQQGFVVDLVTITSLLIGFNKSKRWDLEEQIVKFIRDGSVLPDVIRWKSNMMVALRGPQDRAKDGMPMFSFDGNMDDVMSLVDPVGRTDIDEGPPNNDPKDDWSLSPHLDHLAKRADSLNSSDIFTMHRGQRVQGMGGKTFDADMINTYLSIFLAKGKLSVACKLFEIFTNLGNKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDVATYNLIIQGLGQMGKAEVASTIIDRLSKKGVYMDIVMYNTLINQFGKVGKVEEASCLFEQIIRSGMKPDVVTFNTLININAKAGRLKEADKYLRRMITEGIAPNHATETILDFLHKEIEKKRQQDE >PAN45400 pep chromosome:PHallii_v3.1:9:6779321:6784001:-1 gene:PAHAL_9G115900 transcript:PAN45400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGLAFPIRGRRLSTAAAATPLSSLTDALLATSLATHLLATPHLPAALLPSAPLPLPVHLHILRHPALPPASKLSFFLAATPPASPLLASTFPALLRALAAGSPPLLDELLPFALSCPSPAALLPALLASLLSASRLDAALALLDAAPPDLLPRLAAAALPSLIASPDIIAAVPAIRRLLPIASHPPPVRATNRLILALSKENLCDDFRHVFDEMSRRGLPSNVRFYNICIHAFGKWRQLDISLRLFAAMKAATPPVVPDICTYNSVIRALVVGGRITDALVTFDEMKSSGIEPDVFTYRAIMNGCCKSFRMDDALRVFQEMRGSSGVSDVVVYNSLLNGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRRKGQLLDGIAYSIMVREFCKEGVGEQVAEALELVKEMEQQGFVVDLVTITSLLIGFNKSKRWDLEEQIVKFIRDGSVLPDVIRWKSNMMVALRGPQDRAKDGMPMFSFDGNMDDVMSLVDPVGRTDIDEGPPNNDPKDDWSLSPHLDHLAKRADSLNSSDIFTMHRGQRVQGMGGKTFDADMINTYLSIFLAKGKLSVACKLFEIFTNLGNKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDVATYNLIIQGLGQMGKAEVASTIIDRLSKKGVYMDIVMYNTLINQFGKVGKVEEASCLFEQIIRSGMKPDVVTFNTLININAKAGRLKEADKYLRRMITEGIAPNHATETILDFLHKEIEKKRQQDE >PVH32555 pep chromosome:PHallii_v3.1:9:59331365:59332726:1 gene:PAHAL_9G426900 transcript:PVH32555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCRRYHGHRCAGAGRRGGAAGAGGGHPVAQRVGGGEHAAAAGARGVRVAAARLPAALVGGGRGVRGGAGGAARRRGVPARRRAGVPGGQHGHGGGAAHVPEHGQPHRGPRRRHGLQRAALGAVDARLGRRGEPPRRPPQPLPLPHRPRRHAPGRGHHAPPPPQRHGAQEPVPQSSSTARSRSAPPSSPTATRRGSPRSTATARSPGSAA >PVH33153 pep chromosome:PHallii_v3.1:9:69844504:69845061:-1 gene:PAHAL_9G580500 transcript:PVH33153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PAN51252 pep chromosome:PHallii_v3.1:9:69844504:69845061:-1 gene:PAHAL_9G580500 transcript:PAN51252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PAN51248 pep chromosome:PHallii_v3.1:9:69843623:69848795:-1 gene:PAHAL_9G580500 transcript:PAN51248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PAN51251 pep chromosome:PHallii_v3.1:9:69843622:69848799:-1 gene:PAHAL_9G580500 transcript:PAN51251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PAN51249 pep chromosome:PHallii_v3.1:9:69844504:69845061:-1 gene:PAHAL_9G580500 transcript:PAN51249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PVH33152 pep chromosome:PHallii_v3.1:9:69844504:69845061:-1 gene:PAHAL_9G580500 transcript:PVH33152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PAN51253 pep chromosome:PHallii_v3.1:9:69843622:69848795:-1 gene:PAHAL_9G580500 transcript:PAN51253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PVH33151 pep chromosome:PHallii_v3.1:9:69843623:69848791:-1 gene:PAHAL_9G580500 transcript:PVH33151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PVH33154 pep chromosome:PHallii_v3.1:9:69844504:69845061:-1 gene:PAHAL_9G580500 transcript:PVH33154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PAN51250 pep chromosome:PHallii_v3.1:9:69843623:69847426:-1 gene:PAHAL_9G580500 transcript:PAN51250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSYTGSASERRSVCASLAKGVDSEMRFSREDLVGSAFIAFGVTLFVGFFYAAVVSKLLPPYENSLLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >PAN44127 pep chromosome:PHallii_v3.1:9:1113324:1115313:-1 gene:PAHAL_9G019700 transcript:PAN44127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFGAHVLVACIVACAGLLWLRSRSSSKEMRDIPGTMGWPVIGETVSFISDFSSPAGILSFMRDRQKRFGKVFKTYVLGRITVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSIDALKKHFDFINELAVQTLDTWLGRRVLVLEEASSFTLKVIANMLVSLEPEGEEQEKFRANFKVISSSFASLPLKVPGTAFHRGLKARNRMYAMLDSVISRRREGGEVRGDFLQTLLRKHAGDDADKLTDAQLKDNILTLLVAGHDTTTAGLTWLVKFLGENPEVLEKLREEHLQIRERLNGTSHLGWSDVNNMPYTNKVMNETLRRATILPWFSRKAAQDFSIDGYDIKKGTSVNLDVVSIHHDPAVFADPGRFHPDRFDQTLKPYSFLGFGSGPRMCPGMNLARLEICVFVHHLVCRYSWKPLEEDDSVQPTLVRMPKNKYPIIATAL >PAN44679 pep chromosome:PHallii_v3.1:9:3484321:3485910:-1 gene:PAHAL_9G061100 transcript:PAN44679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MRAPIYVYYELENFYQNHRRYVKSRSDKQLRFGAKYTAESCSPIQWDDNGFPIVPCGLIAWSLFNDTYDFTYGSKEIKVNRKNISWKSDREHKFGKNVFPCNFQNGSFIGGGKLDPTVPLSEQEDLIVWMRTSALPKFRKLYGVIEEDLRVDETMTILIRNNYNTYTFGGKKSIVLSTASWLGGKNDFLGYAYIVTGSMSIFMSILFALIHVKYPRPQGDPNCLSWNRKNSNN >PAN44678 pep chromosome:PHallii_v3.1:9:3484270:3487793:-1 gene:PAHAL_9G061100 transcript:PAN44678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MDEASTSASGGAARRAFPQARSGVYYRFTQQNLPAWKPAMTPGCLIATFLIIGIIFVPFGLFCLQTSNHVAEISRRYDADCVPNAYRGNEQAYIKDSSISKNCTLEVKVLDHMRAPIYVYYELENFYQNHRRYVKSRSDKQLRFGAKYTAESCSPIQWDDNGFPIVPCGLIAWSLFNDTYDFTYGSKEIKVNRKNISWKSDREHKFGKNVFPCNFQNGSFIGGGKLDPTVPLSEQEDLIVWMRTSALPKFRKLYGVIEEDLRVDETMTILIRNNYNTYTFGGKKSIVLSTASWLGGKNDFLGYAYIVTGSMSIFMSILFALIHVKYPRPQGDPNCLSWNRKNSNN >PAN48151 pep chromosome:PHallii_v3.1:9:53122430:53124246:-1 gene:PAHAL_9G363500 transcript:PAN48151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSLLRRFTATSAAARSLPLQPAPTTAGLSALLHKHEQGFSTAMDSGGLHEKAPPPAGGVAERILPHLLNMYGSCATARDFEIYAPEATFEDPLMRAHGVKQIKSSFYALPKVFGESKIVEYTVHENPTGPGKAEILIDNKQHYKVFGKPVDLTTLIRLQVEDGKVVRHEDWWDKKPLKNRETVGFPLAGRIAEATRRGAMLLTHALMGFGKDPPTTASS >PAN50530 pep chromosome:PHallii_v3.1:9:66309810:66311920:-1 gene:PAHAL_9G525800 transcript:PAN50530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSVRQSRARNLALLLLLTFAGGIAPASGADGRWELLQRSIGVSAMHMQLLHNDRVIIFDRTDFGRSNLSLPGGRCRVNPRERVLPAGDCTAHSAEYDVAANAARPLFVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNARTMPVCGGGGDESCDWSEQQDALAANRWYATNQILPDGRAFIIGGRRQFSYEFYPKADPSDTSVVQIPFLVQTRDPEENNLYPFVHLNIDGNLFIFAKNRAILLDYKRNKVVRTYPVLADGDPRNYPSSGSSVLLPLKPNPNEAEVLVCGGAPSGSYNSTKGGTRIFVPALTTCGRIKITDSSPAWVIETMPSPRVMGDMILLPNGAEVAIINGAADGTAGWEAASTPNYAPVIYRPDHSPGDRFEEQAATGVPRLYHSSVVLLRDGRLLVGGSNPHIYYNFSDVRFPTELSLEAFSPEYLDPSNDMLRPRILNPSPTGAAASVTYGANLALKFSVPASARRRRRGGAGGGGGLGVVSVTMVAPSFTTHSFAMNQRLLVLEVAKTAAVRGLAGTYYVSATMPATAVLAPPGYYMVFVVNGHIPSEGIWVHIQ >PAN46763 pep chromosome:PHallii_v3.1:9:13768473:13771040:1 gene:PAHAL_9G212700 transcript:PAN46763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) UniProtKB/Swiss-Prot;Acc:P57742] MATPGRIELDKLSVEQLKGLKEQTDLEVNLLQDSLNKIRTAATRLESASAALQDLSLRPHGKKMLVPLTASLYVPGSLDDAEKVLVDVGTGYFIEKTMAQGKEYCERKINLLKSNFDELVEVTTKKKAIADEMGLLLQAKLRQASPGPSS >PAN46764 pep chromosome:PHallii_v3.1:9:13768579:13769044:1 gene:PAHAL_9G212700 transcript:PAN46764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) UniProtKB/Swiss-Prot;Acc:P57742] MATPGRIELDKLSVEQLKGLKEQTDLEVNLLQDSLNKIRTAATRLESASAALQDLSLRPHGKKMLVPLTASLYVPGSLDDAEKVLVDVGTGYFIEVTSLVAIVGRTSSAASMCFTLV >PVH32808 pep chromosome:PHallii_v3.1:9:64052395:64053890:1 gene:PAHAL_9G493000 transcript:PVH32808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAAAEQGRRILVAVDEGDESVQALRWCLGTFAAAARGDTVILLYVRPAPPTYSFLDASAVVGYLFSEEVTAAIDRYSREVADAVVEKAQKLCTLYGKEEGEGDHEMNVEVKVAVGDARTVICHMADKLGADLLVMGSHGYGFFKRALLGSVSDYCLKNASCPVLIVKS >PAN50035 pep chromosome:PHallii_v3.1:9:64052394:64053895:1 gene:PAHAL_9G493000 transcript:PAN50035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAAAEQGRRILVAVDEGDESVQALRWCLGTFAAAARGDTVILLYVRPAPPTYSFLDASGYLFSEEVTAAIDRYSREVADAVVEKAQKLCTLYGKEEGEGDHEMNVEVKVAVGDARTVICHMADKLGADLLVMGSHGYGFFKRALLGSVSDYCLKNASCPVLIVKS >PAN49740 pep chromosome:PHallii_v3.1:9:62568957:62570187:1 gene:PAHAL_9G472200 transcript:PAN49740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSLVLAAVLLVAVAAGEVPRCAGLGASSTNASVVISGMVPCSTGNNINVATAPAPFPNAAVQLQCNGRVVAGTTADGNGNFVISMPGASKDLLTAVMGNQCKVVVSTPLAACDASLAGATGTLSSSLKLLGISTGGSGGGGGLDLGGIINVIVQILSGVLGGIFTLAPQAFSLV >PAN46652 pep chromosome:PHallii_v3.1:9:12845193:12847378:-1 gene:PAHAL_9G201800 transcript:PAN46652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEIEHTYLPIRGINIHVAQVGKGELGTVVFLHGFPEIWYSWRHQMLAAAAAGYRAIAPDCRGYGLSDQPPENEEASWSWEDLVADVLAILDALSVPKAFVVGKDFGALPAYDFALQHPDRTAGVVCLGIPFSPVPFSFETTMPEGFYILRWGEPGRAEADFGRYDVRRVVRTVYVLFSGAEVPVAEEGQEIMDLADLSTPLPEWLTEEDLDAYAALYEKSGFRYPLQMPYRSLHKMPNRLDAKFQVPVFIVMGEEDYCFKFPGFEAALRGGAMESFMPDLKITYIPEGSHFVQEQLPEQVNELLLGFLKDHPPVAAA >PVH31651 pep chromosome:PHallii_v3.1:9:12846074:12847059:-1 gene:PAHAL_9G201800 transcript:PVH31651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEIEHTYLPIRGINIHVAQVGKGELGTVVFLHGFPEIWYSWRHQMLAAAAAGYRAIAPDCRGYGLSDQPPENEEASWSWEDLVADVLAILDALSVPKAFVVGKDFGALPAYDFALQHPDRTAGVVCLGIPFSPVPFSFETTMPEGFYILRWGEPGRAEADFGRYDVRRVVRTVYVLFSGAEVPVAEEGQEIMDLADLSTPLPEWLTEEDLDAYAALYEKSGFRYPLQMPYR >PVH31443 pep chromosome:PHallii_v3.1:9:9058657:9060735:1 gene:PAHAL_9G147700 transcript:PVH31443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNKRTQKNDVTVMSSMLASRIICAMQPAKSHLRWSDDLHMIFVKTVAYQGGPHEAKPTALKEMMEAMGVRGLTIQNIKSHLQRYREKCELGAEAPAVEVPVITSHSKAALNQASKILMDTDAVMLEMEIMNNFLMDDIEMVDNSFSVDRVQMMEGADG >PAN46177 pep chromosome:PHallii_v3.1:9:10430631:10434600:1 gene:PAHAL_9G167500 transcript:PAN46177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIGVNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLLSFILWSLICGLIPLDPNRITILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLFFPSLVKNMGPQSVFFVEAVLGVLWSVIWLKFSSDPPRTDLPKVSMPKVASRDTIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRKILSVTKTRKLLNTIGFVVSAFALMALPLFSTPSGTVMCSAISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEGAKASNKDLTSSETWKTVFFVPAYLCIFSSVIFLAFSTGEKVFE >PAN49237 pep chromosome:PHallii_v3.1:9:60134057:60137543:-1 gene:PAHAL_9G435600 transcript:PAN49237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGPTTNGGGIGAILAAGDRDFLVRNSGEQVKISSIEASPVALYFSASWCPPCRRFTPKLIELYKELASQGKSFEVVFVSSDQDEEAFNAYFAKMPWLAVPFSDSEGREGLDGRFKVSGIPHVVILDAKTGEVYTEDGVEFISEYGLEAYPFTPERINELKEQEKAAKDNQTIQSVLGTSTRDYLISNKGDKVPISELEGKYVGLCFVVGGYGPVDEFTAVLAKIYEKLKEVGEKFEVVAVSLDSDESSFNESFAKMPWLAIPQGDKMCEKLVRYFELRSLPTLVLIGTDGKTLNNNVADIIEEHGFEAWEGFPYSAEKLETLAEKAKAKAASQTLESLLISGEFDFVIGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPTLVKEYNKIKEKHSDFEIVFISSDRDQSSFDEFFSEMPWLALPLEDERKAFLKKTFRIRGIPSLVAIGPTGQTVSRDAKAQLMIHGADAFPFTEERLEELQKELDEMAKGWPEKLKHELHEEHELVLLRRGTYGCDGCEEMGSTWSYRCDNCDFDLHPKCALAEKKKGEEEDGKAAEEAPAGYVCEGDVCRKA >PAN43918 pep chromosome:PHallii_v3.1:9:301121:304092:1 gene:PAHAL_9G003000 transcript:PAN43918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLLLLRLLLVAAAASSIARTTRCHGYQYKASQGPLVTAVIVFGDSIVDPGNNNDLHTQIKANHPPYGKDFFNHEATGRYSNGLIPTDLIAQGLGVKQLLPPYLGVDLSPEDLLTGVSFASGATGFDPLTPIVVSVISLEQQLAYFDEYRAKLVAIAGEEETERIIKGALFVVCAGTDDVANTYFTTPFRRVEYDIPSYVELLVSGAEAFLRNVSARGATKIGFVGMPPVGCVPSQRTLGGGLRRECEPSRNEAAQLYNARIQEMIAGLNAEQGFGTLVVYLDIYRILDELMERGDRYGFTETTKGCCGTGTIEVTGLCDSRFVSVCDDVSQHVFFDSYHPTERAYRIIVDDIFDNYIQVLI >PVH32834 pep chromosome:PHallii_v3.1:9:64513319:64515868:1 gene:PAHAL_9G499200 transcript:PVH32834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAQSVPAAAKLFNQANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGEDVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQELCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEVVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAATEIRTPRIPVISNVDAQPHSDPDTIKQILARQVTSPVQWETTVKTLMGKGLEKSYELGPGKVIAGILKRINKGAIIENIGA >PAN50113 pep chromosome:PHallii_v3.1:9:64512673:64515868:1 gene:PAHAL_9G499200 transcript:PAN50113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAPSRFPLQRFPPRRLRLAPGSPMASTLAILRPSAPAPLARPRGRVAAPATARVALSSRSRYSSARVSLGSEVAVGDALFADYKPTTAFLFPGQGAQTVGMGAEAQSVPAAAKLFNQANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGEDVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQELCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEVVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAATEIRTPRIPVISNVDAQPHSDPDTIKQILARQVTSPVQWETTVKTLMGKGLEKSYELGPGKVIAGILKRINKGAIIENIGA >PVH32164 pep chromosome:PHallii_v3.1:9:44765211:44765945:-1 gene:PAHAL_9G336500 transcript:PVH32164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAAPLRRLRVLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKRLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRAEEESS >PAN44336 pep chromosome:PHallii_v3.1:9:1969236:1969631:-1 gene:PAHAL_9G035600 transcript:PAN44336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTKQLALRPISSRRSGSVCGEVAAAGERSGLATASFRVYYGLRPGAVPFLWESAPGTPKAAGAGATASVSPAEMAGADAELPPISPPPSYYSSQMKKGRRRCRAGCVLGALLAALGVRRTSRRRPASRL >PAN51178 pep chromosome:PHallii_v3.1:9:69568231:69570636:-1 gene:PAHAL_9G576400 transcript:PAN51178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKASPGAGSEGASGGPPPGAVCCMCGDSGLLPELFRCSACSVRSQHTYCTDRYPKVESYGTCNWCLRADGGVASTSSSPRSAGKAAARSSAAHGDTTGGGGRLSPKVAARGDFASSNLSKPIKKQQPQHQRLLLRRSASDLGSRVRDAPPPSPGVARGRPRVRRYKLLEEVITS >PAN50462 pep chromosome:PHallii_v3.1:9:66018670:66023188:-1 gene:PAHAL_9G522000 transcript:PAN50462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVGCLGGGSKSRQDQNGQAAASTSPRSGRVLSRAGNNVRVFSLNELKTATRNFHMLNCVGRGGFGAVYKGNLKDGSQIAIKKLSAESKQGISEFLTEINVISNVRHPNLVKLLGCCVEGKNRLLVYEYAENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDNKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGQSSSKSTWGPDMHVLVEWTWKLREEERLLEIVDPDLEKYPEEQMLRYIKVALLCTQATSQQRPSMKQVVNMLSNSTEINLQNVVPPGVLKEPRQRTRGFGSLAVDTSSSQSTKCNPAESYSTQTNMNSGQFSTTEVSPR >PAN50463 pep chromosome:PHallii_v3.1:9:66018670:66020947:-1 gene:PAHAL_9G522000 transcript:PAN50463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCVGRGGFGAVYKGNLKDGSQIAIKKLSAESKQGISEFLTEINVISNVRHPNLVKLLGCCVEGKNRLLVYEYAENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDNKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGQSSSKSTWGPDMHVLVEWTWKLREEERLLEIVDPDLEKYPEEQMLRYIKVALLCTQATSQQRPSMKQVVNMLSNSTEINLQNVVPPGVLKEPRQRTRGFGSLAVDTSSSQSTKCNPAESYSTQTNMNSGQFSTTEVSPR >PAN50464 pep chromosome:PHallii_v3.1:9:66019031:66021541:-1 gene:PAHAL_9G522000 transcript:PAN50464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVGCLGGGSKSRQDQNGQAAASTSPRSGRVLSRAGNNVRVFSLNELKTATRNFHMLNCVGRGGFGAVYKGNLKDGSQIAIKKLSAESKQGISEFLTEINVISNVRHPNLVKLLGCCVEGKNRLLVYEYAENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDNKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGQSSSKSTWGPDMHVLVEWTWKLREEERLLEIVDPDLEKYPEEQMLRYIKVALLCTQATSQQRPSMKQVVNMLSNSTEINLQNVVPPGVLKEPRQRTRGFGSLAVDTSSSQSTKCNPAESYSTQTNMNSGQFSTTEVSPR >PAN48546 pep chromosome:PHallii_v3.1:9:56094928:56096742:1 gene:PAHAL_9G389500 transcript:PAN48546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALFSFRAAALAALLLAVIAACGARAQQQPTNASDSQERSLLSYSGGWIPAKATWYGAPTGAGPDDNGGACGFKHTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCLKSNHPACSGVPQTVVITDMNYYPVAKYHFDLSGTAFGSMANYGLNDKLRHAGIIDMQFRRVPCNFPGLTINFVVQHGSNPMYLAVLVEFEDKDGDVVQVDIMQHNSGHWEPMHESWGSIWRIDPNRPLKGPYSLRITNESGKQLVAKNIIPDNYIPNTNYRSYVQY >PAN48571 pep chromosome:PHallii_v3.1:9:56282873:56286029:1 gene:PAHAL_9G391200 transcript:PAN48571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTGLNSPVVADHLPTLALPAAVMTFTTPTSFPSPGLCLNTTKKIPLPSKIEEVRATGWLDLMMAASPTRRRQIKDVINDTQADDLDLQYRNWMVDYPSALTSFEAITDLAGRKRLALFLDYDGTLSPIVDNPENALMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELHYAGSHGMDIMGPVRKTADSNGAECIRSTDSKGKEVNLFQPASEFLPMISEVYKKLGESVKDINGARMEDNKFCVSVHYRNVAEDDYKKVFQCVTAVLEDYPCLRLTHGRKVFEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLRKLAAWKEQST >PAN48572 pep chromosome:PHallii_v3.1:9:56281664:56286100:1 gene:PAHAL_9G391200 transcript:PAN48572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTGLNSPVVADHLPTLALPAAVMTFTTPTSFPSPGLCLNTTKKIPLPSKIEEVRATGWLDLMMAASPTRRRQIKDVINDTQADDLDLQYRNWMVDYPSALTSFEAITDLAGRKRLALFLDYDGTLSPIVDNPENALMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELHYAGSHGMDIMGPVRKTADSNGAECIRSTDSKGKEVNLFQPASEFLPMISEVYKKLGESVKDINGARMEDNKFCVSVHYRNVAEDDYKKVFQCVTAVLEDYPCLRLTHGRKVFEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLRKLAAWKEQST >PAN48570 pep chromosome:PHallii_v3.1:9:56281664:56286100:1 gene:PAHAL_9G391200 transcript:PAN48570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTGLNSPVVADHLPTLALPAAVMTFTTPTSFPSPGLCLNTTKKIPLPSKIEEVRATGWLDLMMAASPTRRRQIKDVINDTQADDLDLQYRNWMVDYPSALTSFEAITDLAGRKRLALFLDYDGTLSPIVDNPENALMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELHYAGSHGMDIMGPVRKTADSNGAECIRSTDSKGKEVNLFQPASEFLPMISEVYKKLGESVKDINGARMEDNKFCVSVHYRNVAEDDYKKVFQCVTAVLEDYPCLRLTHGRKVFEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLRKLAAWKEQST >PAN46716 pep chromosome:PHallii_v3.1:9:13555779:13556339:1 gene:PAHAL_9G209600 transcript:PAN46716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTRVLVVFALLQITCFLFHDVSAATCHASGFIPGKGRNCDREIGLDRCCVAGKRYPQFRCSPLVSAKTPAILTFNRFESGEDATRITSCDMRFHRDKELLVILSSGWLKLDGTNRCNKKIRVRANGRSVLAKVVDECDSFHGCDEEHAFEPPCRNNVLNASPGVWKALKLNASIGEVKVTWSDV >PAN45732 pep chromosome:PHallii_v3.1:9:8442058:8443011:1 gene:PAHAL_9G138200 transcript:PAN45732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLFLLLLALLLAASAHASTHGHGKAAFTEDKSIAGIIGVIGSRPPSCAGRCRSCGHCEAVQVPISPQELQKRRRKKLGHGIRAAGAAAAAGGRAMPASYDDHSNYKPLSWRCKCGRLILDP >PAN49182 pep chromosome:PHallii_v3.1:9:59961414:59965035:-1 gene:PAHAL_9G433000 transcript:PAN49182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHRLTGPAASDADDADDWDNDDFVIPSLSVEESDLGDWEASRASDPQPPPKSTKDTENIYLGPHGAPPSRGKKPEDTSATTGYRDKNSKAREADQKAFGSGRNGRGGGNAGDFHRHNGANHAKDPFKRAA >PAN44931 pep chromosome:PHallii_v3.1:9:4720753:4723188:-1 gene:PAHAL_9G081500 transcript:PAN44931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPPRPRVMVLPFPAQGHVMPLMELSRRLADHGFEVDFVNTEYNQARVLAALEAGGETGAAVHAGIHLVSLPDGMGADCDRTDVVKLGQSLPAAMLGRLEELIRARDTRWVVADVSMSWVLDLVATVGVRVALFLTYSAAVFALRAHVPKLIEDGIIDESGNVRRNERIQLSPKMPAIAAAELPWIGVGKSPEARRAVLQSVSKNTPYTLADTIVCNTFQEIESEALALLPKEPLAIGPLVASKSTSAGHFWPQDLTSLSWLDAQAPSSVVYVAFGSFTVFDATRLQELADGVVLTGRPFLWVIRPNFANGGIDEGWLDEFRCRVGGQGLVVGWAPQQRVLSHPSVACFVSHCGWNSTMEGVHHGVPFLCWPYFADQFLNQSYICDMWGTGLRICADEQGIVTKEEIRDKVARLLGDEGIKARSLSLKSRACASIADEGPSHQDLLEFVNLLREQ >PAN49423 pep chromosome:PHallii_v3.1:9:61001029:61004323:1 gene:PAHAL_9G447900 transcript:PAN49423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLRRALRLPAPSTYTAAAAAASSSSCLRRLSSHRRASPPRSAATGDDEWNDAWETAWLPGDSPASSPAPAAPWESPASASAAAVPSISAEVDPDTKAFVADMDERWAERRAASRRGPPQRVSRAAEGGEGGAAARKKAQADEYRTRKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSDIFDSGNADFGDSKIPSTTEIKTKPDGWETTSRGQDGNIWEISQREEDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPYREDTPAIASSSSFRGNRPQ >PAN45212 pep chromosome:PHallii_v3.1:9:5915823:5920979:-1 gene:PAHAL_9G102200 transcript:PAN45212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSSRITCSSAAAVAMAGTGGSSSSDDDEAGEEIESAPPLRVGEERAIGSWGIRKRLLRAGQGWATPVPPDEVSVHYVGTLPDGTVFASTRDGGGEPRTINLGSGDVIAGLEHGICTMKKGEKALFILPPLAYEDSGALGVPIGSELHFEVELLSWLTVVDVYKNGGIVKKVLSTGVNRQTGDLDEVTVKYKIKLLDESIVEESPEEGATFFVNEGHILPALPKVLKTMKEGERAVVMVQSQYAFGEQGRAAKNQFSAVPPNSAVNMDVELVSLKPVVDVTGDLKVMKKTLKSGDGIHTPLDGETVHIRYTGSLEDGTIFEKFGFDGEPFEFIIDEEQVTVGLDRAVATMVKGELAEVTVKFEYGFGNTEAQRQSITVPSCSTLIYEVELIDFTKEKESWEMSAHEKLEAAEKSKVAGNDLFKIGKFHRAAKKYNKALNYINEDGQFEDEVEKLMKTARVSCWLNHAACCLKLKDFAQAISLCSKVLETEPCNVKALYRRAQAYVESYDLELAKTDLRKALELDPNNKEVKMLQANLKKLQVESNKWDAKLYANMFDRMAKESDAVSKKRKVENASHNEEAKSSDAEEALEVVKEH >PAN45568 pep chromosome:PHallii_v3.1:9:7640220:7643067:1 gene:PAHAL_9G127800 transcript:PAN45568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVLGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEYIQKNLHLYQFRNTTPLSTAATANFTRGELATALRKNPYMVNVILGGYDKDVGPSLYYIDYIATLHKIDKGAFGYGSYFCLSLMDKLYHPDMTVEEAVDLVDKCIKEIRLRLVVAPQNFVIKIVDKDGAREYARRELVGDNASAGAAATTVAA >PAN49489 pep chromosome:PHallii_v3.1:9:61299087:61304630:-1 gene:PAHAL_9G452800 transcript:PAN49489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPVARAVAEEVARWGAMRQTGVSLRYMMEFGARPTERTLLLAAQFLHKELPIRIARRALDLESLPFGLSSKPAILKVRDWYVDSFRDIRSFPEVRSKEDELAFTQMIKMIRVRHTNVVPTIALGVQQLKKDLGGSKKFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKMSPMTVARIASEDARAICMREYGSAPDVDIYGDPDFTFPYVTPHLHLMIFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDEHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >PAN45654 pep chromosome:PHallii_v3.1:9:8028595:8031407:-1 gene:PAHAL_9G133100 transcript:PAN45654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFETKDPVTSQGDIAAAISKDAGATWQQLGVVLDEKWHLSYPYVFSYENKTYMMPESSKKGNLRLYYAVDFPLKWKSEKVLMERPLVDSVIINFQGSYWLLGSDISSYGPKQRGELCIWYSSSPLGPWNPHKQSPVHNNKDNRLRARNGGRPFIYNGSLYRIGKDSGAGSGYNIQVFRVEVLTANQYKEVVVPFVLEKPLKGRNAWNGARSHHLDIQQHPLGQLWIGVMDGDRVPLGDSVHRLTVGYMFYGVASISVLLLGALIGAIMCILPLRWYLPHTEKRSDTLKTEKQVFLCRKFSSLICNINKLGSLIGGRINYRARKGRVYIAVILLILVVLTCFGTHYIFGGNGAEEPYPVKGRYSQFTLLTMTYDARLWNLKMFVEHYSKCASVREIVVVWNKGRPPSLGESKSMVPVRIRIENKNTLNNRFNIDKEIKTKGVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLAEGRLLEYRNERYARQQGGYNIILTGAAFMDHELAFKRYWREEAELGRQVVDSFFNCEDVLLNFLFANESSTSTVEYVKPTWAIDMSKFSGVAISRNTQAHYHIRSKCLAIFSGIYGNLTSKRFFNSRGDGWDV >PAN45655 pep chromosome:PHallii_v3.1:9:8028407:8031407:-1 gene:PAHAL_9G133100 transcript:PAN45655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPPLPAAGAHRRRQPPAPSASAYLATTLTFLVLAALAYSRAAFPRFPHPPATRLCRPDAEGSWSAGVFLGHSPFSLKPIEHWGISSGGGAAWPVANPVLTCADVAGAGFPSSFVANPFLFIQGDAIYMFFETKDPVTSQGDIAAAISKDAGATWQQLGVVLDEKWHLSYPYVFSYENKTYMMPESSKKGNLRLYYAVDFPLKWKSEKVLMERPLVDSVIINFQGSYWLLGSDISSYGPKQRGELCIWYSSSPLGPWNPHKQSPVHNNKDNRLRARNGGRPFIYNGSLYRIGKDSGAGSGYNIQVFRVEVLTANQYKEVVVPFVLEKPLKGRNAWNGARSHHLDIQQHPLGQLWIGVMDGDRVPLGDSVHRLTVGYMFYGVASISVLLLGALIGAIMCILPLRWYLPHTEKRSDTLKTEKQVFLCRKFSSLICNINKLGSLIGGRINYRARKGRVYIAVILLILVVLTCFGTHYIFGGNGAEEPYPVKGRYSQFTLLTMTYDARLWNLKMFVEHYSKCASVREIVVVWNKGRPPSLGESKSMVPVRIRIENKNTLNNRFNIDKEIKTKGVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLAEGRLLEYRNERYARQQGGYNIILTGAAFMDHELAFKRYWREEAELGRQVVDSFFNCEDVLLNFLFANESSTSTVEYVKPTWAIDMSKFSGVAISRNTQAHYHIRSKCLAIFSGIYGNLTSKRFFNSRGDGWDV >PAN45223 pep chromosome:PHallii_v3.1:9:6616279:6620734:1 gene:PAHAL_9G112800 transcript:PAN45223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLERPFIQRPAPRCPSALHVCAAASSTRPRLIPPRPPPPHPLSHHSPSRHHLRLVYVLLQSEAPAHSSPLPLNLSPRANPLAALPINPAPTLSPSAKATGRASSPTSHFSPYEFPKIQAAPAASNPPAPTRAPPNPNPPPIRAALLGKFALELHLILGDDEESFLDRMVSQLRSTCKCTYTHHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQRNEYPFVEVFYNPEQAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKMHGFKYSETN >PVH32744 pep chromosome:PHallii_v3.1:9:62874639:62877823:-1 gene:PAHAL_9G477100 transcript:PVH32744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLLLALLLAACAAAAAQAAGGRNSTAFVPRDDILLDCGAKGPGNDTDGRMWAGDEGSKYAPPSNLAAAAPASGQDPSVPQVPYLSARVSASPFTYSFPLGAGRKFLRLHFYPANYSNNDAADGLFSVSVAIPGGKLTLLSNFSAYQTAAAISFSYLVLEFSVNVSSPTLDLTFTPEKGHPNAYAFVNGIEVVSSPNLFNLATPNMVTGDGNNQPFSIDASTAMQTMYRLNVGGQAISPSKDTGGYRSWDDDTRYIFGAAFGVSYPKDPNVTITYPDSVPEYVAPVDVYSTARSMGPDKNVNLNYNLTWMLQVDAGFMYLVRMHFCEIQYPVTKVNQRVFDIYINNQTAMKGADVITWATTVNGATTGIGSPVYQDYVVSTFGLGPMDLWIALHPDVEAKPERYEAILNGLEVFKIQLSNGSLAGLNPIPSVEPMADRAAKKKSAVGPIVGGVVGGLVVLALGYCFFVFCRRRRSAGKDAGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLILGVGGFGKVYHGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQKPPLTWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLPKEEVSLAEWALHCQKKGILDQIVDPYLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDEGTPLVMVGKKDPNDPSIESSTTTTTTTSISMGDQSVASMDSDGLTPSAVFSQIMNPKGR >PVH31431 pep chromosome:PHallii_v3.1:9:8915479:8916330:-1 gene:PAHAL_9G145100 transcript:PVH31431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQHKKSATQMEMKPIKNSLGRNPLSDALHRNPGVVLNGQGPDRHPLRGASYRDPGTMISEQGSGRRSLCDALHLRPGVVKNEQWSSHFSRRVRRVRKLAEPTRIHLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEMEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVINAYAPQEDLDSMFSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PVH31519 pep chromosome:PHallii_v3.1:9:10547495:10548152:-1 gene:PAHAL_9G168900 transcript:PVH31519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVQNVVNLEQDTSLFLFFFHFLNDKDIPSFSYGSHVQSTNQHLHSWAPDRHFCSVGTP >PAN45208 pep chromosome:PHallii_v3.1:9:5886031:5889174:-1 gene:PAHAL_9G101700 transcript:PAN45208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARVASMEDVKEARQAAAAGQGRLLPTGMLKVFLGFLLLGVGLSAAAMYMARHAVAAAAPALFRPCLGSSAAEEEPEGLERWTRPPARVEHAMTDEELLWRASFAPRVRGYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGHEGRYSIYVHALPSYHANFTSESVFYRRQIPSKVAEWGQMTMCDAERRLLANALLDLSNEWFVLVSESCIPIFDFNTTYEYFQNSNRSFLMAFDDPGPYGRGRYNWNMTPEVELDQWRKGSQWFEVDRELAIAIVKDTVYYPKFKEFCRPHCYVDEHYFPTMLTIEAPDSLANRSVTWVDWSRGGAHPATFGRGDITEEFLRRVREGRTCLYNNQNSTMCFLFARKFSPSALEPLLELAPTVLGFG >PAN50598 pep chromosome:PHallii_v3.1:9:66641453:66643640:-1 gene:PAHAL_9G531200 transcript:PAN50598 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE5 [Source:Projected from Arabidopsis thaliana (AT4G12250) UniProtKB/TrEMBL;Acc:A0A178UUD1] MAPQLTGAPGSAAAGGGAAAVKPQFHHYHHHRLPPRHHHPAPASLLSKLAFWSVCSLALLLAFLLLSPSSAPAPRAAPESPRRSLHASHESTASWGGAAWEKKVRASARVRRPRGHSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDTALKRGRAALLARSGVYVVDGDIADSELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARSANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGSHQTTISRDFTYIDDIVKGCVAALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKVVKMPRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYYHPDLAEKQKQHAGSNGKGSRRRNGSLSSAR >PVH33315 pep chromosome:PHallii_v3.1:9:72532012:72536502:-1 gene:PAHAL_9G624600 transcript:PVH33315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRRLLADAPLGHHHKQGGAPSDWSAGYLNGWLSQQTPVFGLRLWVLIGIAVGAAIVLVLLLIFVCLSRRRRRRDEVLAANLYPADTKLLKQHLQQATPTKDIQEIVRRQQQQQPQTPPQPAVQLAKAEPPVTPPPQHRAQAPVIPTPPARKTPGSGMSATASGGSERDGATPRSTGSAAGAPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYRGTLHNSTMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLIYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRPAGEVNLVEWLKTMVAERKAEEVVDPKMAEKPSPKILKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKAGRETSDRYSSKEDGSFSKREHHWYR >PAN51850 pep chromosome:PHallii_v3.1:9:72532442:72536508:-1 gene:PAHAL_9G624600 transcript:PAN51850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRRLLADAPLGHHHKQGGAPSDWSAGYLNGWLSQQTPVFGLRLWVLIGIAVGAAIVLVLLLIFVCLSRRRRRRDEVLAANLYPADTKLLKQHLQQATPTKDIQEIVRRQQQQQPQTPPQPAVQLAKAEPPVTPPPQHRAQAPVIPTPPARKTPGSGMSATASGGSERDGATPRSTGSAAGAPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYRGTLHNSTMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLIYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRPAGEVNLVEWLKTMVAERKAEEVVDPKMAEKPSPKILKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKAGRETSDRYSSKEDGSFSKREHHWYR >PAN47188 pep chromosome:PHallii_v3.1:9:16241159:16242774:-1 gene:PAHAL_9G240900 transcript:PAN47188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNGRVFEDFVPPHSMVREPATHTLTVDLTAAGYKKEHIRVQMVHSHRRLLVRGERPVAGNRWSRFRLELRVPDGCNAKAIHARFENGVVRVTMPGIAPEPVQVETGAAGHQQDPSPQAKTAAAPSAAQDQKDAAAQQQDGDRAARAGGVEDEGGKKDEAAAQKQEMRQRPVSSPKDGGHDHDDAGGAGEVTAASPPRQGFGFLYDQRRKMATTVLGVVLVLISLGIYVKYSLWP >PVH31094 pep chromosome:PHallii_v3.1:9:3153607:3154122:-1 gene:PAHAL_9G055200 transcript:PVH31094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTLRRFELSDVDAMMAWASDPQVAAFCRWEPYESREPLLAFLRDTVLPHPWFRAVCAPGSGAVVGAVSVAPSTAERCRGELGYVLARAHWGKGVATAAVRRALRDVFAEVEGLRRVEALVDVDNPASQRVAEKAGLRREGVLRRHYWHKGRARDMVMYSFLASDPLPE >PAN50436 pep chromosome:PHallii_v3.1:9:65910145:65916134:-1 gene:PAHAL_9G520000 transcript:PAN50436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLRKQPSYLFILIILHLVAHEARTLSSDGEALLAFKKAVTNSDGVFLNWHEQDADPCNWKGVRCDSHSKRVINLILAYHRLVGPIPPEIGRLNQLQTLSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGYIPSEFGDLVELGALDLSSNTLSGSIPHSLDKLSKLTSFNVSMNFLTGEIPSSGSLLNFNETSFVGNLGLCGKQINSACKDALQSPSNGPQSPSDDVFNKKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDMRGFRVELCGGSSVVMFHGDLPYSSKDILKKLETMDEENIIGAGGFGTVYKLAMDDGNVFALKRIVKTNEGLDRFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLQGGSLDEVLHEKSEQLDWDARINIILGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGLNIVGWLNFLTGEHREREIVDPNCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >PVH32289 pep chromosome:PHallii_v3.1:9:53014558:53014836:-1 gene:PAHAL_9G362200 transcript:PVH32289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWSERMISHTGTKTRPRLLREAAVGNFPQWAKA >PAN51236 pep chromosome:PHallii_v3.1:9:69831992:69833744:1 gene:PAHAL_9G580300 transcript:PAN51236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILAELVPARVHRPLTVATLWPAAESGAAAGGKRKAADVDELEAVTDDEEFEAEFQLFVDDEEPSPGASPESGGRRSKAAPFPAVSGRSVCTSPAPRVKKYRGVRYRRSGRWAAEIRDPRQGRRAWLGTFCTAEEAARAYDCEARRIRGQRARLNFPLPDEGASRRQRWHTPAAAIDLNLPAVSDDDTMDVDADSDDARRAELGGEAVRSTLARIKELITHGPHGERIVSELTMNGAAGALQYAALIAECSRQMEEIAALRRDLETRERQLARLVSNVMLR >PAN49216 pep chromosome:PHallii_v3.1:9:60046509:60048840:1 gene:PAHAL_9G434200 transcript:PAN49216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFARGSRNQRTFRPKKSAPSGNRGMQLKRHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKYEYRWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDDENIFPQKLGAPFPSNFRDVVKTIFKRLFRVYAHIYHSHFQVIMKLQEEAHLNTCFKHFTLFTLVILNALVCHISWVIGKIK >PAN49218 pep chromosome:PHallii_v3.1:9:60046509:60050312:1 gene:PAHAL_9G434200 transcript:PAN49218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFARGSRNQRTFRPKKSAPSGNRGMQLKRHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKYEYRWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDDENIFPQKLGAPFPSNFRDVVKTIFKRLFRVYAHIYHSHFQVIMKLQEEAHLNTCFKHFTLFTLEFRLIDRAELAPLSELIDPIILGC >PAN49217 pep chromosome:PHallii_v3.1:9:60046509:60050312:1 gene:PAHAL_9G434200 transcript:PAN49217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKRHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKYEYRWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDDENIFPQKLGAPFPSNFRDVVKTIFKRLFRVYAHIYHSHFQVIMKLQEEAHLNTCFKHFTLFTLEFRLIDRAELAPLSELIDPIILGC >PVH31643 pep chromosome:PHallii_v3.1:9:12692895:12693164:-1 gene:PAHAL_9G198900 transcript:PVH31643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGINPNGGILSYGTLEGYAMWVATGVASAFFASLERCACIHLHTAEDDGDEEEDLEEAREHPLMLSRPQALPEFYYDRSGSSASFAKM >PAN47182 pep chromosome:PHallii_v3.1:9:16209299:16210876:1 gene:PAHAL_9G240300 transcript:PAN47182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRAVSRSLLLVIATAVLVRPFCCAVDAPTSAANQTRPPALFVFGDSIVDPGNNNALTTLIRCNFPPYGQDFPGHNATGRFSNGRVPSDILASRLGIKEYLPAYLGTQLSDFDLLTGVSFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLKRITGARRAGEIVATSVYMVVTGTDDLANTYFTTPFRRDYDLESYIEFVVRCASDFIKKLYGQGARRINVAGAPPIGCVPSQRTNAGGLERDCVPLYNQASVVLNAALEKEIKRLNGSAELPGSVLKYIDLYTPLLDMIQRPGAYGFDVTNRGCCGTGVFEVTMMCNRYTAHPCRDPSKFLFWDTYHLTERGYDLLMEQIINRYGL >PVH33319 pep chromosome:PHallii_v3.1:9:72640733:72641919:1 gene:PAHAL_9G626400 transcript:PVH33319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVNRSHQNCKTTIASYMSACAVYFHRGRVLRVREKNDGLCLSSGVSEKWVGGRCLLQAHRHREQTARAPTKRATLGNRKGKTTTTREEQIKAATNKVVFLWSC >PAN51840 pep chromosome:PHallii_v3.1:9:72500109:72500870:1 gene:PAHAL_9G623800 transcript:PAN51840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATTRRGGAGGLPAAKKKKKKKPARGFMCGCGGAKSVSTVSRAAVSISAVTTTPMKATSTAASAAKPASSSAAKTAAGCHDAADADASAEGSPSVDSLLRQLRELERGVRALGVREREGGGGGSAPRATRPRRHGRSASDWGGIGRLESESVAVVTESEDPLGDFRRSMVQMIVENGITGGAELRELLQRFLSLNAACHHHLILRAFADVWEELFAGGGHAPPPLPAAEKISSSYSSRASNKRPHARPALTR >PVH32583 pep chromosome:PHallii_v3.1:9:59990700:59995312:-1 gene:PAHAL_9G433500 transcript:PVH32583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGNKMLLQEMDGNPFHTMHNYDFLSGNGYSMKQLTRSNSDKDSLSKKSEQSRQDLSAVSDSSLNGQHTPTQSDNNDSHRKRDQGMVKTVLSFGNPEAAFPTQKFDYSQPFACASYTADPYYCGFLTGYTSNAIVHPQINGAANSRVPLPIEPAAEEPIFVNAKQYHAILRRRQMRAKLETQNKLVKGRKPYLHESRHRHAMKRVRGPGGRFLNKKELQEQRRRQKDLPSLQTPTGGVGKMAFGRNLCPENSASHSPSTSSGVSSVSNGGGTVAHQEHISFSSTNFLPSMSFRGENGSEKMAVNGVRHRTPS >PVH32582 pep chromosome:PHallii_v3.1:9:59990700:59995214:-1 gene:PAHAL_9G433500 transcript:PVH32582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGNKMLLQEMDGNPFHTMHNYDFLSGNGYSMKQLTRSNSDKDSLSKKSEQSRQDLSAVSDSSLNGQHTPTQSDNNDSHRKRDQGMVKTVLSFGNPEAAFPTQKFDYSQPFVHPQINGAANSRVPLPIEPAAEEPIFVNAKQYHAILRRRQMRAKLETQNKLVKGRKPYLHESRHRHAMKRVRGPGGRFLNKKELQEQRRRQKDLPSLQTPTGGVGKMAFGRNLCPENSASHSPSTSSGVSSVSNGGGTVAHQEHISFSSTNFLPSMSFRGENGSEKMAVNGVRHRTPS >PAN49191 pep chromosome:PHallii_v3.1:9:59990700:59995310:-1 gene:PAHAL_9G433500 transcript:PAN49191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGNKMLLQEMDGNPFHTMHNYDFLSGNGYSMKQLTRSNSDKDSLSKKSEQSRQDLSAVSDSSLNGQHTPTQSDNNDSHRKRDQGMVKTVLSFGNPEAAFPTQKFDYSQPFACASYTADPYYCGFLTGYTSNAIVHPQINGAANSRVPLPIEPAAEEPIFVNAKQYHAILRRRQMRAKLETQNKLVKGRKPYLHESRHRHAMKRVRGPGGRFLNKKELQEQRRRQKDLPSLQTPTGGVGKMAFGRNLCPENSASHSPSTSSGVSSVSNGGGTVAHQEHISFSSTNFLPSMSFRGENGSEKMAVNGVRHRTPS >PVH32580 pep chromosome:PHallii_v3.1:9:59990700:59995216:-1 gene:PAHAL_9G433500 transcript:PVH32580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGNKMLLQEMDGNPFHTMHNYDFLSGNGYSMKQLTRSNSDKDSLSKKSEQSRQDLSAVSDSSLNGQHTPTQSDNNDSHRKRDQGMVKTVLSFGNPEAAFPTQKFDYSQPFVHPQINGAANSRVPLPIEPAAEEPIFVNAKQYHAILRRRQMRAKLETQNKLVKGRKPYLHESRHRHAMKRVRGPGGRFLNKKELQEQRRRQKDLPSLQTPTGGVGKMAFGRNLCPENSASHSPSTSSGVSSVSNGGGTVAHQEHISFSSTNFLPSMSFRGENGSEKMAVNGVRHRTPS >PVH32581 pep chromosome:PHallii_v3.1:9:59991070:59993807:-1 gene:PAHAL_9G433500 transcript:PVH32581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGNKMLLQEMDGNPFHTMHNYDFLSGNGYSMKQLTRSNSDKDSLSKKSEQSRQDLSAVSDSSLNGQHTPTQSDNNDSHRKRDQGMVKTVLSFGNPEAAFPTQKFDYSQPFACASYTADPYYCGFLTGYTSNAIVHPQINGAANSRVPLPIEPAAEEPIFVNAKQYHAILRRRQMRAKLETQNKLVKGRKPYLHESRHRHAMKRVRGPGGRFLNKKELQEQRRRQKDLPSLQTPTGGVGKMAFGRNLCPENSASHSPSTSSGVSSVSNGGGTVAHQEHISFSSTNFLPSMSFRGENGSEKMAVNGVRHRTPS >PAN44920 pep chromosome:PHallii_v3.1:9:4644172:4649921:-1 gene:PAHAL_9G080600 transcript:PAN44920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MARRTLAGGATAGAASRVRFAPTSNNLLVSSWDSGLRLYDADTNTLRFKAESEPALLDCCFEDESAAFACCSDGSVRRYDFRSVSQDTVGLHDDAVSCVEYSQTTAQIVTGSLDKKLQLWDTKIRNVTPTSMINFDSDVSSLSVCGMYISVAVARNVYFYDMRNLTGPVKAKFSPLEYHIRCLQSSSEWNGYVAGSVDGVVALNYLDDVTDGNLGYAFRCHPNSRNGRSNLVAINCIAIHPCKKIFVTGDDGGYAIAWDGQSKKKLLELPSFSGSVASVACNHSGQLLAVAPNYHHEADTMVEEHQIFIEALDDFKRKPHFVKDPSNQT >PAN51755 pep chromosome:PHallii_v3.1:9:70312676:70313029:1 gene:PAHAL_9G588400 transcript:PAN51755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWDHLLISTQFIESFEGINVNLHTQSAVHKREGKARAATAPVRSFHTSPPELGRWRAASRFLPSAALLAPPPPHAPRLRPGRETRVKRSGRISTRNSASPCVRFLVARVCVAAASS >PAN43960 pep chromosome:PHallii_v3.1:9:468567:469094:-1 gene:PAHAL_9G006600 transcript:PAN43960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAAAPKRTLVFTYGTLKRGFSNHPLLQELASSGDASFVGAAVTAWRLPLVCGPYRVPFLLNLPGEGDRVAGELYAVTARGLARLDELEGVSRAHYERLPVAVDLAEGGGARVDGAVAYFAHRDYAAELWRRSGGKGYPEYSHAVADGYVRRKDRPQGQTFLEQIRSFVSSQS >PAN45158 pep chromosome:PHallii_v3.1:9:5614198:5616499:1 gene:PAHAL_9G097600 transcript:PAN45158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSVHGAAGLSFAEQEAAGGGGGRAAKGMRRPRGWTAVAFIIGFFAAASMASSSFGTPLASYLISRYNMKGNAATNVSNVYSGLLSFAPVVGAFVADSFWGRFRTMLFGSVFGVIGMVVITLSATIRQLKPPSCSAAARQAGTCAGPSALHRAVLYVGMGLLVVSAGGTNPTALPFGADQFDETNERHKAGLTRYFNWYYAVAMMATFLALTVIVYVQDKVSWGLGFAIPTVLTLVTFAVFLAGTAVYVYVPPEGSIFSSVARVVVASCRKWRLRLPHPDDARRQEEVLYSYSPPVPAAGNDGPRRVFRLPLTLQLSFLNKAAFVTDADEVRPDGSPARPWNLCSVQQVEEVKCLVKIIPMWVSGVVWFVVLTEMSNYTYIQALTMDLHMGSRFTIPPVSILAVFNLSVALFAPVFDLLISGAARYLTKAGGGGGGVTLLQRQGAGLVVGSLALVVAAAVERRRRDSALGHGDGVSPLSAFLLAPQLAVMGASGALSMIGQMEFYNTQFPDQMRTLANAAFYCAQGASSYLATLAVDVVNARTRRRGGSAGWVSDDINAGRIDYFYYTMAVLGAVNFVYFLVCSHFYRYKGEQAPESAGPELPSDTDSARTSGTEAALLKT >PAN44327 pep chromosome:PHallii_v3.1:9:1936281:1939274:-1 gene:PAHAL_9G034800 transcript:PAN44327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPKVPLLEGRRGATPAQTIGNIVVSIVGTGVLGLPYAFRTAGWLAGALGVAGAGAATFYCMLLLLDCRDKLREQEAEVEEHGHQHRCCNYTYGDLGEKCFGRVGRCFTEATIILSQTGGTVAYLVFIGQNVSSVFAAEGGRGPSPLSPAAVVLALLLPVQAALSFIRSLPSLAHFSILADACTVLAVATVVKQDAQLLAARGGQPFAGRAAVAGPWGVAFACGCAVFCFEGFCMTLALEASMSDRSRFRPVLLQAIAGVTVVYVCFGACGYLAYGDATKDIITLNLPSNWSTAAVKVVLCIALALTFPIMMHPIHEIVEARLLAPGGWLRKHIGGGALERAALHASRVAVVAALSAAACFVPAFGSFASFVGSTVCALLSFVLPALFHLRVAGAGAGSARRAVDWGVLLFGLAFAAHGLYAAVARRP >PAN45393 pep chromosome:PHallii_v3.1:9:6752167:6753162:-1 gene:PAHAL_9G115400 transcript:PAN45393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAREKRLPPLHLSLNVPSRPAVQEPAFRHANPPLAPPPSASSTPLARSSQFRLADFDRLAVLGRGNGGTVYKVCHRETGALYALKVLHQGDAAEADILGRTASPFVVRCHSVLPAASGDVALLLELADGGSLDSVKNRGGAFPEPALAEVAAQALSGLAYLHARRIVHLDIKPANLLATAAGEVKVADFGIAKVLSRAGDHCTSYVGTAAYMSPERFDPEAHGGHYDPCAADVWSLGVTVLELLVGRYPLLPAGQQPNWAALMCAICFGEPPSLPDGAASPELRSFVAACLQKDYRKRASVAELLAHPFVAGRDVTRSRRALRELVAEA >PVH31341 pep chromosome:PHallii_v3.1:9:7058607:7062024:-1 gene:PAHAL_9G119700 transcript:PVH31341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAGPVQHRPPCLNPGHKRSRGCGDDSQSHRPPPHRPATKPTPLNTRPLQLSPRNLTCLHYRELRRGGSRRRETELAGAMGTVLDSHFLALTAIVTVGYQLLFFIVTALLRFDKVTDFAGSTNFIIIAILTLALKGAWHFRQIVLTVLVVIWGLRLGLFLLMRILQWGEDRRFDKMRDNLGKLAVFWIFQAVWVWSVSLPVTVVNASDGNPSIEARDIIGWIMWLVGICIEATADQQKLAFKNSASNRGKWCDVGVWKYSRHPNYFGELFLWWGVFVASTPVLSGAEWLVILGPIFLTLLLLFVSGIPLLESSADKRYGQLEEYRVYKNTTSPLIPLPPAVYGALPAWFKLAFLLELPLYNPGPGGDPIS >PVH32767 pep chromosome:PHallii_v3.1:9:63267387:63268349:-1 gene:PAHAL_9G481900 transcript:PVH32767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESGAAASRYFCHMCSLIIWPELGIEEVKCPHCHTGFVEEMAVDRRGGDGAAIRGRAAGEAIVNAPDAALEREVSLWAPVLMDFLAASSGRQGHGHGLDATAGGGDLAAFARRQYRNIALLQLLNALQEGDTADAGRERVVVVSPADARTMLMGQERGDGAALGRGGLMLGDLFLGPGLDLLLEYLAETDPSRQGTPPARKEAIAALPAVRVREAFTCPVCLDEVAAGCEAREMPCKHRFHDPCIVPWLEMHSSCPVCRHQLPAEEPAGSGGRAGDVASGNARGGDGGSSGRRHWFSWPFGGLFSQRSNGSSSSSSSS >PAN49884 pep chromosome:PHallii_v3.1:9:63266351:63269049:-1 gene:PAHAL_9G481900 transcript:PAN49884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESGAAASRYFCHMCSLIIWPELGIEEVKCPHCHTGFVEEMAVDRRGGDGAAIRGRAAGEAIVNAPDAALEREVSLWAPVLMDFLAASSGRQGHGHGLDATAGGGDLAAFARRQYRNIALLQLLNALQEGDTADAGRERVVVVSPADARTMLMGQERGDGAALGRGGLMLGDLFLGPGLDLLLEYLAETDPSRQGTPPARKEAIAALPAVRVREAFTCPVCLDEVAAGCEAREMPCKHRFHDPCIVPWLEMHSSCPVCRHQLPAEEPAGSGGRAGDVASGNARGGDGGSSGRRHWFSWPFGGLFSQRSNGSSSSSSSS >PAN50235 pep chromosome:PHallii_v3.1:9:65060757:65064422:1 gene:PAHAL_9G507100 transcript:PAN50235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSEGGGSRRKARTATSRIPRAEQSESIVPTELVIPEMVESVDTVEAPHAEFITNHGLEGYKNFTYNELYVATDGFRVDRLLGQGGFGQVYMGFLDSTNQEVAIKRLDLQGQQGDREFANEVVMLSSLHHPNLVKLVGYCANNGQRILVYEYMPLGSLYSHIHDLPPGQQPLDWSTRINILLGAAKGLEYLHSKAPPVINRDVKSANILLGEGYHPKLSDFGLARLGPTGDNTHVSTRVMGTHGYCAPEYLMTGKLTVSTDVYSFGVVMLEVLTGRIARDESLPEPNRDLALWADEQGIANLVDPALQGQCSLNRLSLAFAVARRCVRELPDRRPTMPEIVTALTRISAPEPRRRRFERGGPSTPARTSSDGNQAQGQDQGEGS >PAN46336 pep chromosome:PHallii_v3.1:9:11188990:11192553:-1 gene:PAHAL_9G178000 transcript:PAN46336 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MASESGDGNCDAWAARDPSGVLSPYKFSRRAVQSGDVSLKITHCGVCYADVIWTQNRHNDSKYPLVPGHEIAGVVTEVGSDVKGFKVGDHVGVGTYVNSCRDCENCNSSLENHCPKSVFTFNGIDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLAKAAPLLCAGITVYTPMMRHNMKQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAINLLGADNFVISSNTQQMESLKNSLHFIVDTASGDHPFDPYLSLLKVGGVMAIVCFPSEIKVHPASLNLGARTLSGSIVGGTKDIQEMVNFCAANKIYPEIEIIKIDYINEALTRLVNRDVKYRFVIDIENSFK >PVH31555 pep chromosome:PHallii_v3.1:9:11188905:11192565:-1 gene:PAHAL_9G178000 transcript:PVH31555 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MASESGDGNCDAWAARDPSGVLSPYKFSRRAVQSGDVSLKITHCGVCYADVIWTQNRHNDSKYPLVPGHEIAGVVTEVGSDVKGFKVGDHVGVGTYVNSCRDCENCNSSLENHCPKSVFTFNGIDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLAKAAPLLCAGITVYTPMMRHNMKQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAINLLGADNFVISSNTQQMESLKNSLHFIVDTASGDHPFDPYLSLLKVGGVMAIVCFPSEIKVHPASLNLGARTLSGSIVGGTKDIQEMVNFCAANKIYPEIEIIKIDYINEALTRLVNRDVKYRFVIDIENSFK >PAN46791 pep chromosome:PHallii_v3.1:9:13893489:13897753:-1 gene:PAHAL_9G214800 transcript:PAN46791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPHLPKDPAFPRANGSLDGGARGVGEIEEVGAAATVAVEQSPSQSSSPTASSPLAAMSSCGQYMLHRVRKLDTLAGVAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLQGRHPPSSYQENGSFESEERECTPRRIHDDILDSILKTPKPKVSPAMSLLQGYYGLAPPPKRDQTGEGTEMAVYRKGKSAFLDVEPWLEPPNSDPFPLQNRKTRSLAIGSSLIDGDIDENGDSERLIRRRQKADGELLPREENGGDFLASAGKGLALRPKSSSRPDMNKSQQNLFAMAEPLFSNGLQTVRKSSSTPEFQEPESNTSSSIWSASKWSINTDAFALPLPIPRFDNIPKPIAAWRNKAARD >PAN48660 pep chromosome:PHallii_v3.1:9:56772793:56776633:-1 gene:PAHAL_9G397500 transcript:PAN48660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYATAAEAEAALGRAMTPAEALWFRYTAGVSDYHLYCCNILFLFVVFTLAPLPVALLELRAPAAVSPYKLQPRVRLSRAEFVRCYKDVLRIFFLVIGPLQLVSYPAVKMVGIHTQLPLPSLGDMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHHVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWVWIVLRQVEAIDTHSGFDFPFTPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDRGYRFHKAYLAKLKDLGQNDGEKGDGKGLSYAKLD >PAN48662 pep chromosome:PHallii_v3.1:9:56773405:56776633:-1 gene:PAHAL_9G397500 transcript:PAN48662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYATAAEAEAALGRAMTPAEALWFRYTAGVSDYHLYCCNILFLFVVFTLAPLPVALLELRAPAAVSPYKLQPRVRLSRAEFVRCYKDVLRIFFLVIGPLQLVSYPAVKMVGIHTQLPLPSLGDMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHHVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWVWIVLRQVEAIDTHSGFDFPFTPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDRVSFSFYTKAKRRY >PAN50574 pep chromosome:PHallii_v3.1:9:66506683:66508719:1 gene:PAHAL_9G529100 transcript:PAN50574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDQMLSPLFGAGRSAWRAAGGGDAVTRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYSAAVGFLVAAFAAYCFLSTLAFTVLDLVRGNGSAPAAGVRGIKRKYLLPSGPFLLPLVLLALAKGQRINAVFPLAQLGPALLLLLQASALAFRNEADGDVRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSRFSGECASCLCRMEPLVVGGTAVQYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAVGWVFVAADAVYLVGWVAAEGGGVGVAAYSLVAGLVFLSVFGKVYRFLAWVETRQSQWKSSLCHSVV >PVH31938 pep chromosome:PHallii_v3.1:9:22007597:22008034:-1 gene:PAHAL_9G267700 transcript:PVH31938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN46090 pep chromosome:PHallii_v3.1:9:10106255:10106778:-1 gene:PAHAL_9G162600 transcript:PAN46090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVYVLEGRGDEFSLSMGLRLLRIYFVLLRLHGTTLFRFEVLLKFCGFVSSEEQPLMQQ >PAN48487 pep chromosome:PHallii_v3.1:9:55589361:55592178:1 gene:PAHAL_9G384800 transcript:PAN48487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPASRGRWRRRSARSHLPVVVAVLVLLLPTLLLFSSAYSTMLRALLPFTSSASGGGGVPQCGGSVELGGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPRFRVTDPASLRAAVWDHAMQLLREGRYVSMGDIVDLSPIKSMVRTIDFRVFVSLWCGVDMRKTCFSGLCCAVSGGSSMPADYNRCRALLSGLGGSEKGCVYPVQDDCRTTVWTYQQNNDGALDQFQPDEELKRRKKISYVRRRRDIYKVLGPGSQAEDATLLAFGTLFSGPYKGSESYFDIHESPKDQRIQSLQEKIEFLPFAPEIMAAGREFAKNKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVELEMKRNKNSGPVHMFIMTDLPPANWTKTYLANVAKDERYKLYTLKESDELVVQTAQRLMAAEHGVRSGFLPKTIENRKKDCDTVQLPEILLYVEESVCSCASLGFVGTSGSTIAGSIGTMRKNNVCKW >PAN49668 pep chromosome:PHallii_v3.1:9:62066687:62069029:-1 gene:PAHAL_9G465100 transcript:PAN49668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTAEELAAQIEQQKLEEQKTEAEEVVVEDEEDDDEDDDDDDKDDDEGQEVDASGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGLVQEDNEEEVDETGVEPKDIELVMTQASVSRPKAVKALKAAEGDIVTAIMELTN >PAN51958 pep chromosome:PHallii_v3.1:9:73141233:73144042:1 gene:PAHAL_9G632500 transcript:PAN51958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFCGNFDYDTRQYDLEGLFSKYGPIRRIDMKSGYAFIYFEDEHDAEDAIRRLDNVSFGYNRRRLSVEWSRQVEPVSRSRDRPAGDVKPTRTLFVINFDPIRTKIRDIERHFEPYGKITNIRVRRNFAFVQYETQEEASAAVKNTDKSTILDRVVTVEYAFRDDDNERDDRYGSPKRGGHDRRRGSPYMRSPSPRYRRDYSPSYDRRGRYPGYDRRDGAMYDRRSPVYDRYDRGRSPAYDRYDRRRSPGYDQY >PVH33345 pep chromosome:PHallii_v3.1:9:73141210:73144191:1 gene:PAHAL_9G632500 transcript:PVH33345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFCGNFDYDTRQYDLEGLFSKYGPIRRIDMKSGYAFIYFEDEHDAEDAIRRLDNVSFGYNRRRLSVEWSRQVEPVSRSRDRPAGDVKPTRTLFVINFDPIRTKIRDIERHFEPYGKITNIRVRRNFAFVQYETQEEASAAVKNTDKSTILDRVVTVEYAFRDDDNERDDRYGSPKRGGHDRRRGSPYMRSPSPRYRRDYSPSYDRRGRYPGYDRRDGAMYDRRSPVYDRYDRGRSPAYDRYDRRRSPGYDQY >PAN51199 pep chromosome:PHallii_v3.1:9:69651962:69657157:-1 gene:PAHAL_9G577600 transcript:PAN51199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTVKSRPPHASFAMSGRRHRSSPAAPSCSSLIGGSLLALCCLTLVLLAFSVSAAAGDCAEESDGADGDGRCLGFRDACADQSAFCFSSSVAHRLLASEDCIKAPDLEVSREWGPSSPPLGFPMSGGGGVVTCSSVDTTLTRVRNGLGRDEDAGVRHNAASCQAPLVPDNWMRASAGVPMELDGTAADVDPSGLHSSLSMNVAINPPVLDWGRRDLYAASMATLTVVNLNNDSALRLYEPFSTDPQFYVYGFEDLELQPGDNATVTFIFLPKLLGSSSAHLVLQTNFGGFIIHAKGMAVSSPYQILPLTGIDVVIGGRLERNLSIYNPYDDTLYVEEVVVWMSSLESTRYSSHLVCQLGLFDGALELSSSSNWYAASGDESGWPVIYIRPSEQWEVLPSKRNTVLELKLQALSEGKVFGAICLKMRNCTPGTMHTFVTPIEVEVHTRTYYDSSGLIAVTFEHISTCDDESGSVFSLSLRNDAPTLLRIVGITEDDRNGPMLFQVKYLNGLILFPDTVTDIALLRYTSSVPEDISFSSCNIVVETNSTLASSIIIPCKDLVRASLSYTSTSITTESGGPFTRPSYEEDISANARTRTLGTMLQIEDLHNVKPMIMRAVKADDTILRQWRSHGTRDGISVLMDHEMMFPVVQIGSQFSKWIKVHNPSLECAAMQLVVNSEEIIDQCKTVTDVCELTFSSKSPEINSTETRFGFSLSDAAITEVHLCPSETALLGPIVFRPSNRCMWSSMVLIRNNLSGVEMLPLRAYGGRQSIVLLEESKPAWKLEFNLGSNVQNNSTMTKQEVPSSLCSQQLTKEIHVKNSGDLPLEVTKVKISGAGCGVDGFTVDNCKGFSLAPSESIRMLISFQADFSSAMVQRDLELVMTTGIFPIPMTANIPVCMLNQCRKSYLRSAHWKLLVLSFGALTLLVLVFVRYAPHTLTANSQDHYMEIDDRKSTIFEENRKSSTISKTLKPSFLHQSSKKSRAIKEHKRTEEALAEKYPATVIDNSKSTDDKNNPDEQLHTTSTVSVSPTNPVEDKVSGEAPQTSENLTIRIARDKGKRRKRKVGGAGLAGKFEVSSSHSGNSTPSSPLSQGSTPKQGWSFSGAPSELKHDNKLETGFDVEATTSSAGANREKKTWSQVTKEQPRSRSASPGTTSPSAPALTTTAWRSPMLAASSPIALHARAPGSNLVKDKAVKRGEGAGLKKDFQYDIWGDHFPANLLGIARNGAPCKMPVASEGASYSLFAREPQTLMMKPSSGPPVSRGRGPPPSDVATGYAIK >PAN51285 pep chromosome:PHallii_v3.1:9:70018722:70025533:-1 gene:PAHAL_9G583000 transcript:PAN51285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPCRELTGLRRATPAAARPPVPGRRGANELCLAPFHHTAPRQQGRRRQLRRGVKAVAAISEDLPRLAAPGRNGAVEGGGRPEKVLMRAALTVRRKQKEDLKEALAGHLDALWDMVGWSIALELISTKIHPRTKKPMQSGQASIKDWCQKRGSKGEHVVYTAEFMVDSDFGEPGAITVANRHHREFFLESIVLEGGLPCGPVHFACNSWVQSTRELPTKRVFFSNKPYLPSETPPGLRELRDKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFIRPILGGEKIPYPRRCRTGRPPTDTSMLAESRVEKPHRIYVPRDEAFEELKQGAFSAGRLRAVLHTLIPSMIATISAETHNFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQTVAGINPVNIARLTVFPPVSKLDPAIYGSPESSIKEADITCHLNGLTVQQAMDEAKLFILDYHDIYLPFLDRINAIEGRKAYATRTILFLTQAGTLKPIAIELSLPPSQPGEPRPSKVLTPPCDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPIFKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSGEISAAYYHNHWRFDLEGLPSDLVRRGVAVEDASQPHGIRLLIEDYPYANDGLLLWSAIRSWVESYVQLYYPDAGTVQSDDELQGWYHETVHVGHADIRHAPWWPTLSTPDDLASILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEPWTGDAGAVAAHAMFAADVRRAEETIERRNADQGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >PAN48184 pep chromosome:PHallii_v3.1:9:53514370:53517211:-1 gene:PAHAL_9G366000 transcript:PAN48184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSASATASLSVAAAAAALRVRRPCAGARAWVPSQPAQQGSLLRLRPCAALAPHAPLWRAESDGAGGGGGAGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAIDPDSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGLGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILANKRNVIRLVSGFTGRTLEQVEKDIDRDRYMGPLEAVDYGIIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >PAN49852 pep chromosome:PHallii_v3.1:9:63007007:63009328:1 gene:PAHAL_9G479200 transcript:PAN49852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKKHRTSAPDPPAAAARLPWQPQAPPVPTALLISLAALLVRVLVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLPPTDWYRNTSDNDLAYWGLDYPPLSAYQSLLHGRIINASLPDAVALRSSRGYESTESKLLMRWTVLSSDLLVFFPAALWFVWAYLKGGIGITGEERREGWMWLLAMVLISPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELIAAALFTLAINHKQMSLYFAPAFFSHLFGKCLKRKYPIVEVMKLAFVVLGTFALVWWPFLHSYEAALQVISRLAPFERGIYEDYVANFWCSTSVIIKWKRLFAIKPLKLMSLSATILAFLPSFVQQVKSPSNLGFLYSLMNSSFSFYLFSYQGMFY >PAN49853 pep chromosome:PHallii_v3.1:9:63007007:63009919:1 gene:PAHAL_9G479200 transcript:PAN49853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKKHRTSAPDPPAAAARLPWQPQAPPVPTALLISLAALLVRVLVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLPPTDWYRNTSDNDLAYWGLDYPPLSAYQSLLHGRIINASLPDAVALRSSRGYESTESKLLMRWTVLSSDLLVFFPAALWFVWAYLKGGIGITGEERREGWMWLLAMVLISPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELIAAALFTLAINHKQMSLYFAPAFFSHLFGKCLKRKYPIVEVMKLAFVVLGTFALVWWPFLHSYEAALQVISRLAPFERGIYEDYVANFWCSTSVIIKWKRLFAIKPLKLMSLSATILAFLPSFVQQVKSPSNLGFLYSLMNSSFSFYLFSYQVHEKSILLPLLPASLLALKEPQMYGWFVYFALFSMYPLICRDQLLLQYIAALGLFFLIYYTPGGSHGKRLSISCGAKTVLSLPFLCSLLLHITYLQIEPPKRYPFLFDALIMFICFSQFVILTMYTNYKQWMLDTHSRSIGVKKDL >PVH32756 pep chromosome:PHallii_v3.1:9:63007474:63009919:1 gene:PAHAL_9G479200 transcript:PVH32756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTVLSSDLLVFFPAALWFVWAYLKGGIGITGEERREGWMWLLAMVLISPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELIAAALFTLAINHKQMSLYFAPAFFSHLFGKCLKRKYPIVEVMKLAFVVLGTFALVWWPFLHSYEAALQVISRLAPFERGIYEDYVANFWCSTSVIIKWKRLFAIKPLKLMSLSATILAFLPSFVQQVKSPSNLGFLYSLMNSSFSFYLFSYQVHEKSILLPLLPASLLALKEPQMYGWFVYFALFSMYPLICRDQLLLQYIAALGLFFLIYYTPGGSHGKRLSISCGAKTVLSLPFLCSLLLHITYLQIEPPKRYPFLFDALIMFICFSQFVILTMYTNYKQWMLDTHSRSIGVKKDL >PAN50753 pep chromosome:PHallii_v3.1:9:67426075:67430081:-1 gene:PAHAL_9G543500 transcript:PAN50753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELNHQWRSHPDPEEELAYMYGQQEEHAGMQQHFAERLPEQQQYYTPPPPMAAPPRPNPICPSQPHQGSRFPGFGVPALPSLPFGGSAVKSDQPGQPSSSSSIFSFGGQPPSTLNFSGGDWPDGIGAAQQQVPERRSRAHWNTQEHVIAERKRREKMQQQFVALATIVPDLTKTDKISLLGSTIDYVKQLEEKVKTLEGQSARRTSEPIVFESKCRISNEDSSDASGSSGSAFGAGGFSPTVEASIHGNTILLKICCKEGRGVLVMIISELENQGLSIINTSVLPFTDSCLNITITAKIGEGFSTTVELVRNLTTALRGFST >PVH31338 pep chromosome:PHallii_v3.1:9:6945283:6946603:1 gene:PAHAL_9G118400 transcript:PVH31338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MTVQQNRPLNSQNVADALQKFNLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANAKLQEELADQKKAISEVESEVRGLQSNLTLTEIKSKEAKLQSEVQEMEEKLDKLRSGVILVKPEDKKIIEDSFAEKVSQWKRRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYTDMLASLNKRRKISR >PVH31268 pep chromosome:PHallii_v3.1:9:5823505:5824161:-1 gene:PAHAL_9G100600 transcript:PVH31268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLASPAGGRGHELAAWPSRRAGSFSHSATYRIVSAYKVFWADLSQGVAYSDLRQGGSVVDFVFIKLPDGYQQVDFQQGLPPAKMSRTMACVQGSIKFVCIDHGVTPPGKKMVKVWTLDLDRREWNEDKSLTCPWEELWMKACTMNARLKGVQRPQEPRYPVLIHLQLPCH >PAN47709 pep chromosome:PHallii_v3.1:9:40912823:40913140:-1 gene:PAHAL_9G325000 transcript:PAN47709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGLPPHELKVKKNCPVTLLRNLDPHNGLCNGTRLVVRGFQNNSIDAEIVNGQHAGKRVFIPRIPISPSEDLTLPFKFKRKQFPIRLSFAMKLTRRRVRQYLM >PAN44226 pep chromosome:PHallii_v3.1:9:1496802:1504204:-1 gene:PAHAL_9G026600 transcript:PAN44226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MRPLLALAAACALLVAAGAAAEEARNSTNKFRQREASDDMLGYPHLDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGTDGDKLPGWPAFHQSNVHSSPLLYDIDKDGVREIALATYNGVVNFFRVSGYMMMDKLEVPRRKVRKDWYVGLNPDPVDRSHPDVHDNSIAKEAASKESPTIEQNKSGSVEGGESQKNGSVQQHSVETKPNSTQAQENVELLNIVNNTHSGSISSVTTAAENTSQTQRRLLQTTDKSDDKTGSSKTHESDSGAKVAATVENNESLEEDADASFDLFRDPEDLPDEYNYDYDDYVDESMWGDEDWKEQEHEKEENYVSVDAHILSTPVIADIDKDGVQEMVIAVSYFFDREYYDNPEHAKELEGIDMQKYVASSIVVFNLDTRQVKWTADLDLSTDTVNFRAHVYSSPTVVDLDGDGYLDILVGTAYGLFYVIDHRGKVRKKFPLEMAEIHAPVIAADINDDGKIEMVTTDSHGNVAAWTAEGEEIWEVHLKSLIPQRPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIQPFPYRAHGRIMSPVLLLDMSKHGENAKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYQYNREGIYVKHGSRTFRDEEGKNFWLEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGERRIVVSSVYHQPGKQRMMLPTVPVRTTGTVLVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLVLLPMIGMFGVLVILRPQEGAPLPSFSRNID >PVH30983 pep chromosome:PHallii_v3.1:9:1496802:1504205:-1 gene:PAHAL_9G026600 transcript:PVH30983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MRPLLALAAACALLVAAGAAAEEARNSTNKFRQREASDDMLGYPHLDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGTDGDKLPGWPAFHQSNVHSSPLLYDIDKDGVREIALATYNGVVNFFRVSGYMMMDKLEVPRRKVRKDWYVGLNPDPVDRSHPDVHDNSIAKEAASKESPTIEQNKSGSVEGGESQKNGSVQQHSVETKPNSTQAQENVELLNIVNNTHSGSISSVTTAAENTSQTQRRLLQTTDKSDDKTGSSKTHESDSGAKVAATVENNESLEEDADASFDLFRDPEDLPDEYNYDYDDYVDESMWGDEDWKEQEHEKEENYVIADIDKDGVQEMVIAVSYFFDREYYDNPEHAKELEGIDMQKYVASSIVVFNLDTRQVKWTADLDLSTDTVNFRAHVYSSPTVVDLDGDGYLDILVGTAYGLFYVIDHRGKVRKKFPLEMAEIHAPVIAADINDDGKIEMVTTDSHGNVAAWTAEGEEIWEVHLKSLIPQRPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIQPFPYRAHGRIMSPVLLLDMSKHGENAKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYQYNREGIYVKHGSRTFRDEEGKNFWLEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGERRIVVSSVYHQPGKQRMMLPTVPVRTTGTVLVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLVLLPMIGMFGVLVILRPQEGAPLPSFSRNID >PAN45167 pep chromosome:PHallii_v3.1:9:5652500:5654364:1 gene:PAHAL_9G098500 transcript:PAN45167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPYVPKATFAFCHSLLASRLLPSAAASASSPLLPVQAILTTAGLLPRHPDLSLLALNSLLHALSRRAASPAHPGLALRLLRDMLYPATPLPAPDHLSFPFALSAAAAFDAPDSSDAGAGAQLHALLVRNALFPADHYVTTALLQVYAPRPELARRVFDELPRREAIHYDLVIGAYARAGMPAEGLAVFRAMFDDGVAPDAVVLTTAVAACAQAGALDCGAWVHRYVERAAPGLLGDAFVGSALVTMYAKCGCLEEAVRVFDGMPERNEYVWGTMVGAFAVHGMAEQAVACLERMAREDGVRPDGVAVLGALSACAHAGKVEEGLRLLREMRGRYGVSPGHEHYSCTVDMLCRVGRLEDAVGLIETMPMTPLASVWGSVLAGCRSYGNVELAEVAARELEKLGGAADEGVYVQLSNIYLDANRKDDARRVRKLIGSRGVKKVPAYSAVEVDGEVSSFVADDQAHPRRFEIWEVLRLLAAQMAQKPDEEEETLFALL >PAN45946 pep chromosome:PHallii_v3.1:9:9415075:9418499:-1 gene:PAHAL_9G152900 transcript:PAN45946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKSSTSRCPLCFLNRSRPLPLAPAGESEAAVEVEPTSSNESLGDKPPPPPSPCEPLGTTDLATSGSERAARWVTPYDAILKATDNLSDARVIGHGGFGTVYGGELPDGRRAAFKRLRPGSHRFVCKSQFVAEMDAIVKVEHPNLVPLLGYSALRDEHFLISEHMRHGSLESWLRNQQARTSRRAIGWRHRLGICLGAACGLAFLHHGLALHAVHGDVKSSNVLLDEGMAPRVSGFGLARIIRGYDTHVRTSVVAGGALGYVPPGYPLAMKCTAKGDVYGFGVVVLEVLTGRPPAGQEVEEGGGDLVGWVRWMVAHGREGELFDPCLPASGLGREQMARALAVARECTADEPWKRPTMGSVVKDLEMVQLMEHGGPHGLQGREVPA >PAN46350 pep chromosome:PHallii_v3.1:9:11318238:11323472:1 gene:PAHAL_9G179200 transcript:PAN46350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAGGDGGSKEEIVVVDLEQSEVDDAPPAMRRQDSLYAAATRAAGASRHGRDGWARTLRLAFQCVGILYGDIGTSPLFVYSSTFRDGVGHPDDLLGALSLIIYSFLLFTVIKYVYIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDELVSNKYNSGEPPATLRRALWMKELLETNKAVKISLFLLTMLATAMVISDAILTPAISVLSAVGGLKEKAPYLTTDEIVWITVGILVVLFAIQRFGTDKVGYLFAPVILLWLLLIGGVGVYNLIKYDTGALRAFNLKYIIDYFRRNKKKGWVSLGGILLCFTGTEALFSDLGYFSIRSIQLSFGFGLVPSVLLAYIGQAAYLRVHPEDVANTFYRSTPVSLFWPTFILALAASIIGSQAMISCAFATISHSQTLGCFPRVKILHTSRQYSGQLYIPEVNYLLCLGACLVTIGFKTTVIIGEAHGICVVLVMIVTTLLLTIVMLLIWKISIWWIAVFFIVFMSSELIYLSAILYRFAHGAYVPVAMSAVLMAVMIVWHYVHVKKYSFELEHSVPRDKVKELLGRRDIQRVPGIGLFYNELVQGIPPVFPHLIEKIPSIHSVLIFVSMKHLPVPSVDMSERFLFRQVDREDYKVFQCVARYGYRDPFEEAKDFVGKLVEYLQYYIRDVNLYGVGSEPMLMQSSSYRSCRAESFGTHEKPSVKAVYAEEMLTPAESFSEHTRQPSGKSKLFTQFQEDKMNVAEMMKIQQEQQAILEEMDKGVVYIFGESEVVAKPHSSLLKKIVVNYLYSFLRKNSRNGEKMMSVPRRQVLKVGISYEI >PVH31560 pep chromosome:PHallii_v3.1:9:11260601:11261128:1 gene:PAHAL_9G178600 transcript:PVH31560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQEQSLSRPNHPAGRPETTGPDQVAAGALCRINPRGHCAVARSTRKDRPGTRAREIPSLPRTPLLRARGPGRSWGVVSLPHLAAPSSSAWLPLHPKGTNQWAVAAAAAGGGGGAGRREPGNLEGGGVVGEEERWRLAPDKSEGDCCGGSGRRDHFLEFLGCDHEVVSEREERQ >PAN47153 pep chromosome:PHallii_v3.1:9:16014399:16017979:-1 gene:PAHAL_9G237800 transcript:PAN47153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEQSASYTVDDALLSSGFGKFQMLIFSYAGIGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSFVFVGMLIGAYSWGVVSDNYGRRKGFLFTAIVTSGAGFLSAFAPNYLSLIFLRFLVGIGLGGGPVLGSWFLEFVPAPNRGTWMVVFSAFWTVGTIFEASLAWMVMPKFGWRWLLALSSVPSFLLLLFYAITPESPRFLCMKGRTTEAVEVLEKMARLNNVQLPSGRLVSDKNIELDEVSGSSESTILLADAEVSDGIDKDEGSDFGGIKSVGKLLSPKLIRATLLLWMAFFGNAFSYYGIVLLTSELSNGNRICAKQEVESVHSNNASLYKNVFISSFAEIPGSLMSAMVVDRFGRRLSMASMLFTSCVFLFPLVFSRTDILTRISLFGARLCISASFTIVYIYAPEIYPTAVRTTGIGIASSVGRIGGILCPLVAVAMVHSCHQTTAILLFELVVFLSGLAVSFFPFETKGCRLNDTEVDMN >PVH31809 pep chromosome:PHallii_v3.1:9:16014644:16017065:-1 gene:PAHAL_9G237800 transcript:PVH31809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRKGFLFTAIVTSGAGFLSAFAPNYLSLIFLRFLVGIGLGGGPVLGSWFLEFVPAPNRGTWMVVFSAFWTVGTIFEASLAWMVMPKFGWRWLLALSSVPSFLLLLFYAITPESPRFLCMKGRTTEAVEVLEKMARLNNVQLPSGRLVSDKNIELDEVSGSSESTILLADAEVSDGIDKDEGSDFGGIKSVGKLLSPKLIRATLLLWMAFFGNAFSYYGIVLLTSELSNGNRICAKQEVESVHSNNASLYKNVFISSFAEIPGSLMSAMVVDRFGRRLSMASMLFTSCVFLFPLVFSRTDILTRISLFGARLCISASFTIVYIYAPEIYPTAVRTTGIGIASSVGRIGGILCPLVAVAMVHSCHQTTAILLFELVVFLSGLAVSFFPFETKGCRLNDTEVDMN >PAN50893 pep chromosome:PHallii_v3.1:9:68256743:68263897:-1 gene:PAHAL_9G555200 transcript:PAN50893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPARPPARHPPNPGLAPASRPAQAQAQAPAPSPTTPAARRPDTPRRAAQDTPFATSVDGSRNSARSQCKQDPRYTQILHANEATSRTNRIVVPDSSDKLKTPRFTGGTYSKPDARVKLIPAEDITYVQHRKQYGRTVGSAGLQKKQSRRSVTPPPNSRKVSVLGSTSLTQSPRAPGIASLKNPAPPSCKFSQPLSTNLYTNATPINLDRMDGTKALPRSSSSSILHSQVQSSQKLSTSLPKGAMINPLSPSPKQMRAPHPSEDPLCTKAGPPSKSFCAPESRKLCSTNINLTTRSNTAPGLQAHVVEPALLSPTSVLSERPIETYPDTRTAAPVAQEPSVKPVFLSPIPLHDESSMQCNNIPPIHSPQSIASAQHGALLQESNSRASISCSRSSALCHNVGIRETGTPVILHTKLPKKHYQPETSWKVSFHVTGQLIHTCDGLEARLPCHICVRVYEASRHMPEILNLEAVPLSQLWPKKFKIAPPVGGDIGLMFLSRHQRPHRSFDHLLEKVASHTGLLTKIGDTELAIFSSKLLSPHYQRENGKLYFWGIFGKRLRKNRCKPTRHIKKVKISNPSQPNEDSCNKYEKVGPKMDVAKGKETGNTESDIGMTLGARGNPTDVTGNKEIVRDNYEGIAKVLDLTGGKETDRVNDCIAVRGTPDSNPVSSCSAPAASRLNGCRRLDSANKSTFSLEDSTCQPADRSSVSLDLMLDIPPGFSIDVPPGFTKAHCKLQMEAAAVSCADAHPSLILDALSGFPTDIPPGFTEAHRRLPAAISSAGPETFASTTGTEKKPLIRFSLNVSKPIKMEVPPGFTALHAVKQEPGLPAIDKATENRMLYSLASGASSMGKAGKADEKEIMHNEEKVEQDENSEEREFPKIRRLSDLYPSPSDSTEFSQPTHLPDKIQEWTPEKQMHQRERERERERERCMQESPDPSPADTMTRRPNVNGRIALNNSAGQANGKVRCVCTSFEGHTALPTRATGSSSLASSGDPLDSESIFCRCVVRGEKSPAQ >PAN50892 pep chromosome:PHallii_v3.1:9:68256335:68264012:-1 gene:PAHAL_9G555200 transcript:PAN50892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPARPPARHPPNPGLAPASRPAQAQAQAPAPSPTTPAARRPDTPRRAAQDTPFATSVDGSRNSARSQCKQDPRYTQILHANEATSRTNRIVVPDSSDKLKTPRFTGGTYSKPDARVKLIPAEDITYVQHRKQYGRTVGSAGLQKKQSRRSVTPPPNSRKVSVLGSTSLTQSPRAPGIASLKNPAPPSCKFSQPLSTNLYTNATPINLDRMDGTKALPRSSSSSILHSQVQSSQKLSTSLPKGAMINPLSPSPKQMRAPHPSEDPLCTKAGPPSKSFCAPESRKLCSTNINLTTRSNTAPGLQAHVVEPALLSPTSVLSERPIETYPDTRTAAPVAQEPSVKPVFLSPIPLHDESSMQCNNIPPIHSPQSIASAQHGALLQESNSRASISCSRSSGTPVILHTKLPKKHYQPETSWKVSFHVTGQLIHTCDGLEARLPCHICVRVYEASRHMPEILNLEAVPLSQLWPKKFKIAPPVGGDIGLMFLSRHQRPHRSFDHLLEKVASHTGLLTKIGDTELAIFSSKLLSPHYQRENGKLYFWGIFGKRLRKNRCKPTRHIKKVKISNPSQPNEDSCNKYEKVGPKMDVAKGKETGNTESDIGMTLGARGNPTDVTGNKEIVRDNYEGIAKVLDLTGGKETDRVNDCIAVRGTPDSNPVSSCSAPAASRLNGCRRLDSANKSTFSLEDSTCQPADRSSVSLDLMLDIPPGFSIDVPPGFTKAHCKLQMEAAAVSCADAHPSLILDALSGFPTDIPPGFTEAHRRLPAAISSAGPETFASTTGTEKKPLIRFSLNVSKPIKMEVPPGFTALHAVKQEPGLPAIDKATENRMLYSLASGASSMGKAGKADEKEIMHNEEKVEQDENSEEREFPKIRRLSDLYPSPSDSTEFSQPTHLPDKIQEWTPEKQMHQRERERERERERCMQESPDPSPADTMTRRPNVNGRIALNNSAGQANGKVRCVCTSFEGHTALPTRATGSSSLASSGDPLDSESIFCRCVVRGEKSPAQ >PAN50894 pep chromosome:PHallii_v3.1:9:68256336:68264012:-1 gene:PAHAL_9G555200 transcript:PAN50894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPARPPARHPPNPGLAPASRPAQAQAQAPAPSPTTPAARRPDTPRRAAQDTPFATSVDGSRNSARSQCKQDPRYTQILHANEATSRTNRIVVPDSSDKLKTPRFTGGTYSKPDARVKLIPAEDITYVQHRKQYGRTVGSAGLQKKQSRRSVTPPPNSRKVSVLGSTSLTQSPRAPGIASLKNPAPPSCKFSQPLSTNLYTNATPINLDRMDGTKALPRSSSSSILHSQVQSSQKLSTSLPKGAMINPLSPSPKQMRAPHPTPGLQAHVVEPALLSPTSVLSERPIETYPDTRTAAPVAQEPSVKPVFLSPIPLHDESSMQCNNIPPIHSPQSIASAQHGALLQESNSRASISCSRSSALCHNVGIRETGTPVILHTKLPKKHYQPETSWKVSFHVTGQLIHTCDGLEARLPCHICVRVYEASRHMPEILNLEAVPLSQLWPKKFKIAPPVGGDIGLMFLSRHQRPHRSFDHLLEKVASHTGLLTKIGDTELAIFSSKLLSPHYQRENGKLYFWGIFGKRLRKNRCKPTRHIKKVKISNPSQPNEDSCNKYEKVGPKMDVAKGKETGNTESDIGMTLGARGNPTDVTGNKEIVRDNYEGIAKVLDLTGGKETDRVNDCIAVRGTPDSNPVSSCSAPAASRLNGCRRLDSANKSTFSLEDSTCQPADRSSVSLDLMLDIPPGFSIDVPPGFTKAHCKLQMEAAAVSCADAHPSLILDALSGFPTDIPPGFTEAHRRLPAAISSAGPETFASTTGTEKKPLIRFSLNVSKPIKMEVPPGFTALHAVKQEPGLPAIDKATENRMLYSLASGASSMGKAGKADEKEIMHNEEKVEQDENSEEREFPKIRRLSDLYPSPSDSTEFSQPTHLPDKIQEWTPEKQMHQRERERERERERCMQESPDPSPADTMTRRPNVNGRIALNNSAGQANGKVRCVCTSFEGHTALPTRATGSSSLASSGDPLDSESIFCRCVVRGEKSPAQ >PAN47966 pep chromosome:PHallii_v3.1:9:36808444:36809248:1 gene:PAHAL_9G313600 transcript:PAN47966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANLAAVLVLAVLVCAFAAAPTAANYSGGAPAQESCPTQIQYFTNCLARDEIREQCCTVVDNHNCLCLLKRQVEVPCRLHRHHQRKCGPIAEPAPPKVKLAELQHLPCFKDLKC >PAN51784 pep chromosome:PHallii_v3.1:9:72290823:72293860:-1 gene:PAHAL_9G620500 transcript:PAN51784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASVTEISAFTAAAAHVLCFAGLAVAHSLAGPGAPVSDPARALRLLVVCEAPVVIAVFSYLRRDPKSCSFFKAAARGLIGLPVGAFFNAFGAIVLGAPVGIKYWMVTIYWSLLMSLFTFVPAACVFGVSKIDWQTVLCHSIYFTPTDVENYMISAPCHGAVLGAWLGAWPMPLDWERPWQEWPICVTYGAVAGHLVGMAVSPVLIAVHKRRVRAKAD >PAN52032 pep chromosome:PHallii_v3.1:9:73502587:73503585:-1 gene:PAHAL_9G637500 transcript:PAN52032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMVNDSVDSALGDNEEEIEEEVDKVVSLQSLGKLLLLSFRMHPTRKIKEKMEATFDKRRTCRENCYSQRRLLMS >PVH31753 pep chromosome:PHallii_v3.1:9:14668289:14669182:1 gene:PAHAL_9G224300 transcript:PVH31753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVALDVKSTDTVDQIKTKISAIEGIGKSQQVLFFCGNHLENNNRLADYNIMTNSSVDLYVTDGMQISVSIPSVGKIIKLNLKKSQSVADVKSEIEQKVGIPLDEQILMYGCQQLEDNKLLSQCGLSNGHTLHVLVCPTDKLRISINVDGERTVNLDVKSWYTVADVKLMIETFEGLPACSQILMRTQPGGAETLKDTETLQNQHIRNNDTLMLHQNIQFFVKTYEGKTLTMSMRTCDTTDKVMEKVAAKLMMMAGVYYLHYGGHVMCPEDTLQKHKVANNSTVDIRLRNSNVVS >PAN49846 pep chromosome:PHallii_v3.1:9:62988764:62994352:1 gene:PAHAL_9G479000 transcript:PAN49846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSFDRMVFESGGSVNEIRSDSAGVTEVNAEAQDSSDKISGSSKDSHNVIDGDSSSISTKPKVLPPPKIEQPVLPPPKVEHPKPEVKPVPLPVQQAEGDRVKPRRVQNADEVEKAKACQLEFGSYCLWSIEHKEVMRDAVVKRLKDQLFVARSYYPSIAKLKGKEALTRELKQNIQEHERVLSESIVDADLPSFIKKKIERMDQSIARAKSCTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFRSTPLDPDDSPAHRFNIPEHRHYVILSKNVLAASVVINSTVSSCEDTENVVFHVLTDAQNFYAMKHWFARNSYRESVVDVINYEQIIFDNFPEFGTQLLYLPEEFRVFISSLERPTEKSRMEYLSVFSHSHFFIPEIFKDLKKVIVLDDDVVVQRDLSFLWNLDMGDKVNGAVRFCGLKLGQLRNLLGRTMYDPQSCAWMSGVNVIDLDKWREHNVTGNYLQLLRKFGNNDDEASVRAAALPISLLSFQHLLYPLDERLTLSGLGYDYGIKEEVAQSSTSLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNVSP >PAN44061 pep chromosome:PHallii_v3.1:9:850078:852977:1 gene:PAHAL_9G014100 transcript:PAN44061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSASGLAARGDLRSALPFLPVVLRGDSIFWPPAAQESLRALALGPDVSRVASGDVLADALTDLRLAVALPALSPRAADGLALFFDDLLSRAQARGWFAEVVPNLARLLLRLPALLEDHYDKAADDAWGLRILASQDAGIVHLSQELVAALLTCALFCLFPTDGRAEASLPNINFDGLFAALIHNARQSQEQKVRCLVHYFERVTDSTPTGFVSFERKVLPRRAVSGGLTYPDVDAWMKSSAPLCQFRVFSSDFIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMDDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDSTPIDSMGRRRTRIVAIDALDCPTRLQYESSGLLREVNKAFCGFSDQSKLQLYTKLFQDANNKDISPRISSDEYIGVSTGNWGCGVFGGNPEIKSMIQWIAASQALRPFVNYYTFEDASLERLGEIYGIFIRLC >PAN44063 pep chromosome:PHallii_v3.1:9:850015:853766:1 gene:PAHAL_9G014100 transcript:PAN44063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSASGLAARGDLRSALPFLPVVLRGDSIFWPPAAQESLRALALGPDVSRVASGDVLADALTDLRLAVALPALSPRAADGLALFFDDLLSRAQARGWFAEVVPNLARLLLRLPALLEDHYDKAADDAWGLRILASQDAGIVHLSQELVAALLTCALFCLFPTDGRAEASLPNINFDGLFAALIHNARQSQEQKVRCLVHYFERVTDSTPTGFVSFERKVLPRRAVSGGLTYPDVDAWMKSSAPLCQFRVFSSDFIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMDDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDSTPIDSMGRRRTRIVAIDALDCPTRLQYESSGLLREVNKAFCGFSDQSKLQLYTKLFQDANNKDISPRISSDEYIGVSTGNWGCGVFGGNPEIKSMIQWIAASQALRPFVNYYTFEDASLERLGEVTQWILRHGWTIGELWHMLIEYSSQRSRGETFKGFFAWLLPNGCPKNEVDYMSE >PAN44060 pep chromosome:PHallii_v3.1:9:850015:853766:1 gene:PAHAL_9G014100 transcript:PAN44060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSASGLAARGDLRSALPFLPVVLRGDSIFWPPAAQESLRALALGPDVSRVASGDVLADALTDLRLAVALPALSPRAADGLALFFDDLLSRAQARGWFAEVVPNLARLLLRLPALLEDHYDKAADDAWGLRILASQDAGIVHLSQELVAALLTCALFCLFPTDGRAEASLPNINFDGLFAALIHNARQSQEQKVRCLVHYFERVTDSTPTGFVSFERKVLPRRAVSGGLTYPDVDAWMKSSAPLCQFRVFSSDFIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMDDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDSTPIDSMGRRRTRIVAIDALDCPTRLQYESSGLLREVNKAFCGFSDQSKLQLYTKLFQDANNKDISPRISSDEYIGVSTGNWGCGVFGGNPEIKSMIQWIAASQALRPFVNYYTFEDASLERLGEIYGIFIRLC >PAN44028 pep chromosome:PHallii_v3.1:9:748508:753895:-1 gene:PAHAL_9G012200 transcript:PAN44028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKTARPDAQLVSNLQQLDKEKYKEKKHKKDKDKKEGKEKKDKDRSKDKHKDKKDRKEKHKDKKKDKSKDKSRESVEGIERHDGTLHDQKVGESSRKSEENKDLKSREDLVRKIPDEKGAASRPIENYAVSNDRSRGGFSASPAIENERSAVNKMHIHPSNASRKNEGLGQQNININQQKNGTSIRHSENFTTSAQRTAAGFTPAPTMEERVKAGRPLSNTEVTPRKEGIGQRISNISILVRKRTETAYKDVAKKEVGTASPLLPNHANTMHKGNGKVSRPTENTAASMQRFDSPSTSSAAAGIDRGIPRSTIPSPSITIRRPNGMVRSPENLSVSANKPDAGGLSPAMWKEKEHGGRLPQANISADQKLVMSKPPAMDKAADGRAERLEKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKKEKEAKKEKAEHNHKEQDKLRENNINYPIDSLHLKPSGPPLAPPVDDGKSVVPDEKKRKNYEMNGYLQNVHDMRPTKLPRPALPNNRVENGTASHVAAPLSSVKPEALNIEKAERLHKKEEKFNGNKQAQQQSSASVDPVAAYENGTPSRKSPHPDCKYLSQIYSIPEAPQMMEWPEHEGEDWLFDLGSSTQLKKASSDADGAPKVWAQALKIDPADVIALPYVIPY >PAN44026 pep chromosome:PHallii_v3.1:9:747638:750697:-1 gene:PAHAL_9G012200 transcript:PAN44026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIHPSNASRKNEGLGQQNININQQKNGTSIRHSENFTTSAQRTAAGFTPAPTMEERVKAGRPLSNTEVTPRKEGIGQRISNISILVRKRTETAYKDVAKKEVGTASPLLPNHANTMHKGNGKVSRPTENTAASMQRFDSPSTSSAAAGIDRGIPRSTIPSPSITIRRPNGMVRSPENLSVSANKPDAGGLSPAMWKEKEHGGRLPQANISADQKLVMSKPPAMDKAADGRAERLEKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKKEKEAKKEKAEHNHKEQDKLRENNINYPIDSLHLKPSGPPLAPPVDDGKSVVPDEKKRKNYEMNGYLQNVHDMRPTKLPRPALPNNRVENGTASHVAAPLSSVKPEALNIEKAERLHKKEEKFNGNKQAQQQSSASVDPVAAYENGTPSRKSPHPDCKYLSQIYSIPEAPQMMEWPEHEGEDWLFDLGSSTQLKKASSDADGAPKVWAQALKIDPADVIALPYVIPY >PAN44027 pep chromosome:PHallii_v3.1:9:747638:754314:-1 gene:PAHAL_9G012200 transcript:PAN44027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKTARPDAQLVSNLQQLDKEKYKEKKHKKDKDKKEGKEKKDKDRSKDKHKDKKDRKEKHKDKKKDKSKDKSRESVEGIERHDGTLHDQKVGESSRKSEENKDLKSREDLVRKIPDEKGAASRPIENYAVSNDRSRGGFSASPAIENERSAVNKMHIHPSNASRKNEGLGQQNININQQKNGTSIRHSENFTTSAQRTAAGFTPAPTMEERVKAGRPLSNTEVTPRKEGIGQRISNISILVRKRTETAYKDVAKKEVGTASPLLPNHANTMHKGNGKVSRPTENTAASMQRFDSPSTSSAAAGIDRGIPRSTIPSPSITIRRPNGMVRSPENLSVSANKPDAGGLSPAMWKEKEHGGRLPQANISADQKLVMSKPPAMDKAADGRAERLEKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKKEKEAKKEKAEHNHKEQDKLRENNINYPIDSLHLKPSGPPLAPPVDDGKSVVPDEKKRKNYEMNGYLQIAETSAPQQSCGEWYSISCSRTTLFCEARSPKY >PAN44960 pep chromosome:PHallii_v3.1:9:4804244:4810538:-1 gene:PAHAL_9G083700 transcript:PAN44960 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MGKNMRIKTAPKANRVPLLGNGETSRALSDLEEGSNVQPANVGFCRVIKLAKHDAGKLVLATIALLVASLSNILVPKYGGKIIDIVSRDVRHPEDKAQALEDVKGTILYIVIIVVVGSVCTALRAWLFNSASERVVARLRKDLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTAIGLGFMFSTSWKLTLLALVIVPVISVAVRRFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEISRYGEKVEETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRTSSMPNSGDKCPINEEDGEVELDDVWFSYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVTLVEISHQYLHNKVSIVSQEPTLFNCTIEENIAYGLEGKANFADVESAAKMANAHNFICSFPDQYKTVVGERGIRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHEELLARDGIYTALVKRQLQGPKFEATSSISEAGEIQAEPSSNGQ >PAN44961 pep chromosome:PHallii_v3.1:9:4804550:4810187:-1 gene:PAHAL_9G083700 transcript:PAN44961 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MGKNMRIKTAPKANRVPLLGNGVETSRALSDLEEGSNVQPANVGFCRVIKLAKHDAGKLVLATIALLVASLSNILVPKYGGKIIDIVSRDVRHPEDKAQALEDVKGTILYIVIIVVVGSVCTALRAWLFNSASERVVARLRKDLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTAIGLGFMFSTSWKLTLLALVIVPVISVAVRRFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEISRYGEKVEETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRTSSMPNSGDKCPINEEDGEVELDDVWFSYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVTLVEISHQYLHNKVSIVSQEPTLFNCTIEENIAYGLEGKANFADVESAAKMANAHNFICSFPDQYKTVVGERGIRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHEELLARDGIYTALVKRQLQGPKFEATSSISEAGEIQAEPSSNGQ >PVH31880 pep chromosome:PHallii_v3.1:9:17566411:17568420:1 gene:PAHAL_9G251100 transcript:PVH31880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPATHRSILRPPPPSLISSSSARHHHLSSPLPLPSPLLFRHHPLFVLQIWLELPPPLWQGQSAQARVAPGGAGGGLYRQLHECPRPISVTAHTLPVTVRGPPYIWRRIKPLDRVLAEVKGWRA >PVH31882 pep chromosome:PHallii_v3.1:9:17566411:17567390:1 gene:PAHAL_9G251100 transcript:PVH31882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPATHRSILRPPPPSLISSSSARHHHLSSPLPLPSPLLFRHHPLFVLQIWLELPPPLWQGQSAQARVAPGGAGGGLYRQLHECPRPISVTAHTLPVTVRGPPYIWRRAGGDGDAGCSAAAPTHPPSPPTASSFIKPLDRVLAEVKGWRA >PVH31878 pep chromosome:PHallii_v3.1:9:17566411:17568467:1 gene:PAHAL_9G251100 transcript:PVH31878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPATHRSILRPPPPSLISSSSARHHHLSSPLPLPSPLLFRHHPLFVLQIWLELPPPLWQGQSAQARVAPGGAGGGLYRQLHECPRPISVTAHTLPVTVRGPPYIWRRAGGDGDAGCSAAAPTHPPSPPTASSFIKPLDRVLAEVKGWRA >PVH31881 pep chromosome:PHallii_v3.1:9:17566411:17567390:1 gene:PAHAL_9G251100 transcript:PVH31881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPATHRSILRPPPPSLISSSSARHHHLSSPLPLPSPLLFRHHPLFVLQIWLELPPPLWQGQSAQARVAPGGAGGGLYRQLHECPRPISVTAHTLPVTVRGPPYIWRRAGGDGDAGCSAAAPTHPPSPPTASSLWVLLSFSSFPSQLLLALFT >PVH31879 pep chromosome:PHallii_v3.1:9:17566411:17568420:1 gene:PAHAL_9G251100 transcript:PVH31879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPATHRSILRPPPPSLISSSSARHHHLSSPLPLPSPLLFRHHPLFVLQIWLELPPPLWQGQSAQARVAPGGAGGGLYRQLHECPRPISVTAHTLPVTVRGPPYIWRRAGGDGDAGCSAAAPTHPPSPPTASSLWVLLSFSSFPSQLLLALFT >PAN44526 pep chromosome:PHallii_v3.1:9:2984147:2986825:1 gene:PAHAL_9G053000 transcript:PAN44526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSHCESHCAGPFRFIPCLPKSKDASRDAASAPAPRSAAVAEEEPPPVEKIEAPVPGKEDDEEEAQKHEDGEKAAPPPKKSCLKKANCGDDKCAAKCNVQWLDLLGEDLTEVKEYEPSERGDLLDDGDGISTCVCVIQ >PAN44620 pep chromosome:PHallii_v3.1:9:2984147:2986825:1 gene:PAHAL_9G053000 transcript:PAN44620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSHCESHCAGPFRFIPCLPKSKDASRDAASAPAPRSAAVAEEEPPPVEKIEAPVPGKEDDEEEAQKHEDGEKAAPPPKKSCLKKANCGDDKCAAKCNVQWLDLLGEDLTEVKEYEPSERGDLLDDGDGISTCVCVIQ >PAN44621 pep chromosome:PHallii_v3.1:9:2984147:2986608:1 gene:PAHAL_9G053000 transcript:PAN44621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSHCESHCAGPFRFIPCLPKSKDASRDAASAPAPRSAAVAEEEPPPVEKIEAPVPGKEDDEEEAQKHEDGEKAAPPPKKSCLKKANCGDDKCAAKCNVQWLDLLGEDLTEVKEYEPSERGDLLDDGDGISTCVCVIQ >PVH32870 pep chromosome:PHallii_v3.1:9:65204734:65205664:-1 gene:PAHAL_9G509900 transcript:PVH32870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDILGGSQPSGRIVTGVVASAAANGQLPFARPNTNIFPIQGAVPLPQGAANLINSNNVPYVAGLGGSGSSGTLVQNNGNTVNGGNKNIPYVNAGDLPSGVTLQNLLFGTTTVIDDELTEGHEIGAGVIGRAQGFYVASSQDGTSKTIVLTAMFEGPEASHGDTLSFFGVHRMAAPESHIAVIGGTGKYENAKGFAAIQTLHPGDQHTTDGVETILQFNVHLI >PVH31617 pep chromosome:PHallii_v3.1:9:12278350:12281225:-1 gene:PAHAL_9G192500 transcript:PVH31617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MALAAPSSLRRLSATPPQARSPPAASRLRSYPLREPRLRLRQPLVAAAAATAPLSASASPAETERRKHELLRAVQETRRGFAAGPDQRGAIEEAVVAVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDQSDGGIVRNVVRWSIENLLEDQEGATLMVSAKFVVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLI >PAN46532 pep chromosome:PHallii_v3.1:9:12276185:12281309:-1 gene:PAHAL_9G192500 transcript:PAN46532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MALAAPSSLRRLSATPPQARSPPAASRLRSYPLREPRLRLRQPLVAAAAATAPLSASASPAETERRKHELLRAVQETRRGFAAGPDQRGAIEEAVVAVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDQSDGGIVRNVVRWSIENLLEDQEGATLMVSAKFVVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLI >PVH31618 pep chromosome:PHallii_v3.1:9:12276161:12281309:-1 gene:PAHAL_9G192500 transcript:PVH31618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MALAAPSSLRRLSATPPQARSPPAASRLRSYPLREPRLRLRQPLVAAAAATAPLSASASPAETERRKHELLRAVQETRRGFAAGPDQRGAIEEAVVAVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDQSDGGIVRNVVRWSIENLLEDQEGATLMVSAKFVVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERFSDNQENIM >PVH31619 pep chromosome:PHallii_v3.1:9:12278243:12281309:-1 gene:PAHAL_9G192500 transcript:PVH31619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MALAAPSSLRRLSATPPQARSPPAASRLRSYPLREPRLRLRQPLVAAAAATAPLSASASPAETERRKHELLRAVQETRRGFAAGPDQRGAIEEAVVAVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQDQEGATLMVSAKFVVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLI >PVH31616 pep chromosome:PHallii_v3.1:9:12278350:12281225:-1 gene:PAHAL_9G192500 transcript:PVH31616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MALAAPSSLRRLSATPPQARSPPAASRLRSYPLREPRLRLRQPLVAAAAATAPLSASASPAETERRKHELLRAVQETRRGFAAGPDQRGAIEEAVVAVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDQSDGGIVRNVVRWSIENLLEDQEGATLMVSAKFVVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERYFSILTNFPLMFPAFCIYQTELNVRRSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLI >PVH31708 pep chromosome:PHallii_v3.1:9:14106527:14109267:1 gene:PAHAL_9G217400 transcript:PVH31708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSSASALPTIIFEEALWREMEYRKRLERTHPHLLIALNGAPEWQKYFEFQEVGTDSISDDFRRKLAPDSTVPQQQSSFSCSTVQRQTANWYPIKKKVKVPHLPSQILQSPRPNVVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTGRHGGQCSGIRNSVQNSHAVSGSRNSESNVSSSIVSASCDLPSGSRTNGIEESGCTAPPMSSMDFTEI >PAN46821 pep chromosome:PHallii_v3.1:9:14106527:14109391:1 gene:PAHAL_9G217400 transcript:PAN46821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSSASALPTIIFEEALWREMEYRKRLERTHPHLLIALNGAPEWQKEVGTDSISDDFRRKLAPDSTVPQQQSSFSCSTVQRQTANWYPIKKKVKVPHLPSQILQSPRPNVVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTGRHGGQCSGIRNSVQNSHAVSGSRNSESNVSSSIVSASCDLPSGSRTNGIEESGCTAPPMSSMDFTEI >PAN49284 pep chromosome:PHallii_v3.1:9:60363960:60368635:-1 gene:PAHAL_9G438700 transcript:PAN49284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTRLTLLLCAAWAATVLYGEMGAYWASYLACSWPSPPNNHVKIAVVADPQLMDSTSLGLPSSSIALQAAEFYTDLNMRRSFQSAILPFEPDMVLFLGDHFDGGPYMSDEEWQESMFRFKHIFSLNEQRRKPHIPIYYLSGNHDVGYSAFFSAHPEVLSRYEKEFGSRNYQFSAGKVDFVVIDAQTLDAGARKSKERSSSWEFIKTLSPGNTSNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRVSYAAFDQGITYQNYLTKETSDLLLSLLQPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDLKHEVMTNLCFLPKQTHIYIWYICQFAMTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELVSRTKEKDDEEDGEYEMVFDAEGSMHLVKKAVAKAPSASSDPRTIGRGSVVARATAGKHQLEPDSSILVEMGSEMTSEDGAKLARPSKSKVRKVLQRLFRVIQSLVVIAALNVPLYMMLLFKDWIDH >PAN49282 pep chromosome:PHallii_v3.1:9:60363605:60368911:-1 gene:PAHAL_9G438700 transcript:PAN49282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSLGLPSSSIALQAAEFYTDLNMRRSFQSAILPFEPDMVLFLGDHFDGGPYMSDEEWQESMFRFKHIFSLNEQRRKPHIPIYYLSGNHDVGYSAFFSAHPEVLSRYEKEFGSRNYQFSAGKVDFVVIDAQTLDGARKSKERSSSWEFIKTLSPGNTSNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRVSYAAFDQGITYQNYLTKETSDLLLSLLQPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDLKHEVMTNLCFLPKQTHIYIWYICQFAMTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELVSRTKEKDDEEDGEYEMVFDAEGSMHLVKKAVAKAPSASSDPRTIGRGSVVARATAGKHQLEPDSSILVEMGSEMTSEDGAKLARPSKSKVRKVLQRLFRVIQSLVVIAALNVPLYMMLLFKDWIDH >PAN49281 pep chromosome:PHallii_v3.1:9:60363960:60366925:-1 gene:PAHAL_9G438700 transcript:PAN49281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFKHIFSLNEQRRKPHIPIYYLSGNHDVGYSAFFSAHPEVLSRYEKEFGSRNYQFSAGKVDFVVIDAQTLDAGARKSKERSSSWEFIKTLSPGNTSNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRVSYAAFDQGITYQNYLTKETSDLLLSLLQPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDLKHEVMTNLCFLPKQTHIYIWYICQFAMTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELVSRTKEKDDEEDGEYEMVFDAEGSMHLVKKAVAKAPSASSDPRTIGRGSVVARATAGKHQLEPDSSILVEMGSEMTSEDGAKLARPSKSKVRKVLQRLFRVIQSLVVIAALNVPLYMMLLFKDWIDH >PAN49280 pep chromosome:PHallii_v3.1:9:60363605:60368911:-1 gene:PAHAL_9G438700 transcript:PAN49280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFKHIFSLNEQRRKPHIPIYYLSGNHDVGYSAFFSAHPEVLSRYEKEFGSRNYQFSAGKVDFVVIDAQTLDGARKSKERSSSWEFIKTLSPGNTSNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRVSYAAFDQGITYQNYLTKETSDLLLSLLQPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDLKHEVMTNLCFLPKQTHIYIWYICQFAMTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELVSRTKEKDDEEDGEYEMVFDAEGSMHLVKKAVAKAPSASSDPRTIGRGSVVARATAGKHQLEPDSSILVEMGSEMTSEDGAKLARPSKSKVRKVLQRLFRVIQSLVVIAALNVPLYMMLLFKDWIDH >PAN49285 pep chromosome:PHallii_v3.1:9:60363960:60367907:-1 gene:PAHAL_9G438700 transcript:PAN49285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSLGLPSSSIALQAAEFYTDLNMRRSFQSAILPFEPDMVLFLGDHFDGGPYMSDEEWQESMFRFKHIFSLNEQRRKPHIPIYYLSGNHDVGYSAFFSAHPEVLSRYEKEFGSRNYQFSAGKVDFVVIDAQTLDAGARKSKERSSSWEFIKTLSPGNTSNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRVSYAAFDQGITYQNYLTKETSDLLLSLLQPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDLKHEVMTNLCFLPKQTHIYIWYICQFAMTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELVSRTKEKDDEEDGEYEMVFDAEGSMHLVKKAVAKAPSASSDPRTIGRGSVVARATAGKHQLEPDSSILVEMGSEMTSEDGAKLARPSKSKVRKVLQRLFRVIQSLVVIAALNVPLYMMLLFKDWIDH >PAN49283 pep chromosome:PHallii_v3.1:9:60363605:60368911:-1 gene:PAHAL_9G438700 transcript:PAN49283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTRLTLLLCAAWAATVLYGEMGAYWASYLACSWPSPPNNHVKIAVVADPQLMDSTSLGLPSSSIALQAAEFYTDLNMRRSFQSAILPFEPDMVLFLGDHFDGGPYMSDEEWQESMFRFKHIFSLNEQRRKPHIPIYYLSGNHDVGYSAFFSAHPEVLSRYEKEFGSRNYQFSAGKVDFVVIDAQTLDGARKSKERSSSWEFIKTLSPGNTSNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRVSYAAFDQGITYQNYLTKETSDLLLSLLQPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDLKHEVMTNLCFLPKQTHIYIWYICQFAMTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELVSRTKEKDDEEDGEYEMVFDAEGSMHLVKKAVAKAPSASSDPRTIGRGSVVARATAGKHQLEPDSSILVEMGSEMTSEDGAKLARPSKSKVRKVLQRLFRVIQSLVVIAALNVPLYMMLLFKDWIDH >PVH31120 pep chromosome:PHallii_v3.1:9:3534512:3536695:1 gene:PAHAL_9G062100 transcript:PVH31120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARADPAAGLDVDKLTYEIFSILESKFLFGYDDPKLFSAGASPQPGAGSGKATPLRAVAPPAAGKGKVCILSIDGGSRAADGLLAGSALVRLEASLRRRTGDEGARLADFFDVAAGSGAGGVLAAMLVARGPAGRPIFSAEDALAFLLRSLRRGWSDGGGGAGGGLRALFRRPGAAFRKLFGDLTLRDTVRPVLVPCYDLATGGPFLFSRADAVETRAYDFRLRDVCAATCAGSGGSAAAVEARSCDGSTRIAAVGGGVALGNPTAAAITHVLNNKREFPLAAGVEDLLVVSIGSGEGEPRGAASTSEIVRIAAEGVADMVDQAVAMAFGHNRTSNYIRIQATGTPRAGRGGAAKGGCVAEEMLAQKNVESVLFRGKKVAEQTNAEKLERFAHELVKERDRRRTSPVSPTVVKQHPSTPTPASYSNLVSHTLTSIM >PAN45728 pep chromosome:PHallii_v3.1:9:8412553:8413315:-1 gene:PAHAL_9G137800 transcript:PAN45728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYQVKCGTCGKPTWSGCGRHVASVHAQIPEGQHCACRDWPGVAPPVEKKAADAAAADAGKTSGAAAAAAEVEGSAGPAEGSAAQ >PAN49914 pep chromosome:PHallii_v3.1:9:63379356:63384157:-1 gene:PAHAL_9G484000 transcript:PAN49914 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific adenosine deaminase TAD2 [Source:Projected from Arabidopsis thaliana (AT1G48175) UniProtKB/Swiss-Prot;Acc:Q6IDB6] MAAAAAFMERALEQAKFALDNLEVPVGCVIVEDGKVISSGSNRTNATRNATRHAEMEAIDVLLREWQKMGLDQAQVAEKFAGCDLYVTCEPCIMCATALSILGIREVYFGCANDKFGGCGSIMSLHQGSTSSDDLSGSQPPRPKGFKCTGGIMAEEAVALFRCFYEQGNPNAPRPHRPVRIPQQ >PAN44992 pep chromosome:PHallii_v3.1:9:4970427:4976430:1 gene:PAHAL_9G086500 transcript:PAN44992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRGVPNSGDDDTGHRSKRRRVSSSGDATDTISAAMGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWLEVAEHVGTKSKLQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSLLPGELTPKAESPFSPSRVKLEEALGDGPAGRSPSHMAGGANKKASNAGQIKDGANVSKVEDGHLDRSVGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEYELNAQKSKESGQLIPNNKSVQKMNRPMKIESDGNLDPKKGGTGLDSPKTTGLTSVKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEIFKGNVLKKEDAHVLFKVDPTKVDTVYDMVTKKLGNHEEAPTV >PAN45000 pep chromosome:PHallii_v3.1:9:4991252:4994096:1 gene:PAHAL_9G086900 transcript:PAN45000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLMEPGAEPLTESEQADLAGIAAIKEAAAREYKEQGNQFVRMGRKHYAEAVSCYTKAIAQMEPLSSLDAADASILFANRAHVNLLLGNYRRSLDDAEQAIRLSPSSVKAYYRAVKAALALDLLPDAASFCRRGLEQDPANEELKKLLAQVDAKLGEQERQRAKVAQAIAAAKDLAAAMEKRGVKLGKAAYQELTGVKKPKLDEQGVLHWPVLLLYPEVMSSDFIEDFPETDTLSPHLDVMFSESSPPLPWDENHAYTRDAIELYYQGGFGKPLSKSEILRYLLEGTVDSKSLPDGLLDGEDEEHGISQSSAISSSTEGSSRWIKVKEGKTLQEVLQDKDHIIPAIPVFFVVSRKSIFYKDFKAGNWSLP >PVH32153 pep chromosome:PHallii_v3.1:9:43531881:43532305:-1 gene:PAHAL_9G332500 transcript:PVH32153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKVFLMAAPFQVPHAGLLDLHPDGRGKKPHRCGNEVFLEDLAQVAESLSDGQGIGIPMLKSYGSHSPRLDVGVVGASGDGNNHPTTKSVEVFVLSAALQGVDQKVGETRLSLANALGPTILSHLKTKVE >PAN50326 pep chromosome:PHallii_v3.1:9:65397810:65400587:1 gene:PAHAL_9G512800 transcript:PAN50326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNGGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPADKPMIVVTYKGEEKKFSAEEISSMVLTKMKEIAEAYLSTTIKNAVITVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKAASTGEKSVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGASVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEEVKRKVEARNALENYAYNMRNTVRDDKIASKLPAEDKKKIEDTIEDAIKWLDGNQLAEAEEFEDKMKELEGICNPIISKMYQGGGGAAGMDEDAPNGGAGAGTGGGSGAGPKIEEVD >PAN44839 pep chromosome:PHallii_v3.1:9:4278412:4282050:1 gene:PAHAL_9G074200 transcript:PAN44839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein HCF164, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37200) UniProtKB/Swiss-Prot;Acc:O23166] MASVSSSRCSGLLLPPSLAGARCCSRPSSSLRFRSRWARRRPGTIACVAPPDSAEQKTDEQTVKAESTEDEVKTTSTPQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLNEASLKDIAANSVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYQVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASGDPNIPHARVVGQFSSAESRRVHQVADPRSHG >PAN44592 pep chromosome:PHallii_v3.1:9:3203694:3207966:1 gene:PAHAL_9G056000 transcript:PAN44592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYGYPGAYYCGGWGDYSVYVSQDGTDALSSGVYGDMYCYPQYGVADGQIYGSQQYQYPSTYHQPKTTASKPAYKAKTGKSTPSSQEDVSTATAADQQPVLLDASKTSSKSIDGVKGLKKETLPMKPNERLSSYQNQGSKAAYPWSGARTSSEKHPKLSGGSPTSTASNRSNKGFNGQNSPMGPPSSGFMSSIYSGNGMYNTNAYTPSFWYGSHVYGPGMYGGWNALSNGKYRPRGRTYGSYGFGNENLDGLNELKRGPRSGLFKNQQGSAAVDAKGQELPTSDGSNAVKQDEYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYLEAKEKSSSSPVFLLFSVNTSGQFVGLAEMVGRVDFSKTVEYWQQDKWTGCFAVKWHIVKDIPNSLLKHIILEYNENKPVTNSRDTQEVKLDQGLQMLKIFKDHVCKTSILDDFGFYDNREKIMQEKKSRRQYPVEKVMNRKLLTTNNAENEATDGKQCLQKPEDVKNAEVENGAVAANGVAPREQTAVVENGAVAVAANGVAPEDANPTTKVVAVANGC >PVH31096 pep chromosome:PHallii_v3.1:9:3204150:3207966:1 gene:PAHAL_9G056000 transcript:PVH31096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYGYPGAYYCGGWGDYSVYVSQDGTDALSSGVYGDMYCYPQYGVADGQIYGSQQYQYPSTYHQPKTTASKPAYKAKTGKSTPSSQEDVSTATAADQQPVLLDASKTSSKSIDGVKGLKKETLPMKPNERLSSYQNQGSKAAYPWSGARTSSEKHPKLSGGSPTSTASNRSNKGFNGQNSPMGPPSSGFMSSIYSGNGMYNTNAYTPSFWYGSHVYGPGMYGGWNALSNGKYRPRGRTYGSYGFGNENLDGLNELKRGPRSGLFKNQQGSAAVDAKGQELPTSDGSNAVKQDEYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYLEAKEKSSSSPVFLLFSVNTSGQFVGLAEMVGRVDFSKTVEYWQQDKWTGCFAVKWHIVKDIPNSLLKHIILEYNENKPVTNSRDTQEVKLDQGLQMLKIFKDHVCKTSILDDFGFYDNREKIMQEKKSRRQYPVEKVMNRKLLTTNNAENEATDGKQCLQKPEDVKNAEVENGAVAANGVAPREQTAVVENGAVAVAANGVAPEDANPTTKVVAVANGC >PAN44591 pep chromosome:PHallii_v3.1:9:3203445:3207966:1 gene:PAHAL_9G056000 transcript:PAN44591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPAAGHAADLLQNLSLDPKSEGGKGPETKDKASGGLNGVSKGVVLSPNPPALSVEPWAQQDYKDAAMYYGYPGAYYCGGWGDYSVYVSQDGTDALSSGVYGDMYCYPQYGVADGQIYGSQQYQYPSTYHQPKTTASKPAYKAKTGKSTPSSQEDVSTATAADQQPVLLDASKTSSKSIDGVKGLKKETLPMKPNERLSSYQNQGSKAAYPWSGARTSSEKHPKLSGGSPTSTASNRSNKGFNGQNSPMGPPSSGFMSSIYSGNGMYNTNAYTPSFWYGSHVYGPGMYGGWNALSNGKYRPRGRTYGSYGFGNENLDGLNELKRGPRSGLFKNQQGSAAVDAKGQELPTSDGSNAVKQDEYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYLEAKEKSSSSPVFLLFSVNTSGQFVGLAEMVGRVDFSKTVEYWQQDKWTGCFAVKWHIVKDIPNSLLKHIILEYNENKPVTNSRDTQEVKLDQGLQMLKIFKDHVCKTSILDDFGFYDNREKIMQEKKSRRQYPVEKVMNRKLLTTNNAENEATDGKQCLQKPEDVKNAEVENGAVAANGVAPREQTAVVENGAVAVAANGVAPEDANPTTKVVAVANGC >PAN50783 pep chromosome:PHallii_v3.1:9:67652118:67656243:1 gene:PAHAL_9G546000 transcript:PAN50783 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MLLRPRPSLLRALAPPPLTRACVPARRTLSAAAEAAGTASAGDAAPAPAAPRKNTRKLGPPPTQIVKKALFLPPGVEGDAAVTADMVIPGSNIVVGPYAGDARVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALTRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGCVPISSNGLVFIYQGVLLEQRYVGWCTSPYRCQYPTTEN >PAN50782 pep chromosome:PHallii_v3.1:9:67652118:67656243:1 gene:PAHAL_9G546000 transcript:PAN50782 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MLLRPRPSLLRALAPPPLTRACVPARRTLSAAAEAAGTASAGDAAPAPAAPRKNTRKLGPPPTQIVKKALFLPPGVEGDAAVTADMVIPGSNIVVGPYAGDARVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALTRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARMDWSSFTKGYFLNRDTLVGVLLLIDASIPPQKIDLDCANWLGRNNIGLTFVFTKCDKVKKGKGRRPDENIKVFQETISGLYPDPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEAV >PAN48152 pep chromosome:PHallii_v3.1:9:53128517:53128870:-1 gene:PAHAL_9G363600 transcript:PAN48152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGDASGGGRPPHWRRRDPAATAVYVVHPAQFRTVVQQLTGAVASPPPPVPAAHSHHQGGGNGGTGAAAAGGTNINAAARQQVQQQHGRGEDRISGGRTLGQMHQECMAWADADD >PAN47618 pep chromosome:PHallii_v3.1:9:21016378:21017042:-1 gene:PAHAL_9G264400 transcript:PAN47618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICHFAIRVQQATNPKKEGEACQAQIGYDWKHWLVLNRRQLAQKKDEDQKSSSLTTLGSLRIIIRNQLKREGSGKHAFCTRAAHWRKGPNSKMPLFCQHHQACSTLQWMCHPYSIPQNILVLSI >PVH32891 pep chromosome:PHallii_v3.1:9:65709980:65711819:-1 gene:PAHAL_9G517300 transcript:PVH32891 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:Projected from Arabidopsis thaliana (AT3G55560) UniProtKB/TrEMBL;Acc:A0A178VH84] MGSLDGHALQGHHHGYAHSHVGADSGGGGGNNNNDEDDASPPPASGGGAGPRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVDAIAGFSRRRQRGVSVLSGTGAVTNVTLRQPAGAGAAAIALRGRFEILSLSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQDADEGAVLSGSSEGATTAQQLEKQQQQQSSGGNAVPPSMYAVPQTPPHDMFGQWGHPAVTRPPPTSF >PAN46020 pep chromosome:PHallii_v3.1:9:9817956:9825490:1 gene:PAHAL_9G158300 transcript:PAN46020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPESPPAPAARPPSAAVSLYEDSPVFDFINSLSPIATPKPLGSAQSVQLFKSSDLVPASSIFTSPQVNPQREYKPRTRYGYAQLSQGLSPICQRNQIGISSCIELSGSPTIASENCSPSEYATIYAQLSQGLSPICQRNQIGISSCIELSGSPTIASEYCSPSEYAIILPSKWPQPIPLGSEILGDANKQDTDGKADHSPDVGHVKLSSTCYDQNGIDQLDPSTSGRNVQENELTKQYNDDLAACSLNHLISRSGTGNAVMSKSDLSLEAQQLSWKLRSDKLFMPMDQGNLEDSRRKLFDGSTGCYIQSAADDSHVYYAGAAEGVATNHDPKMLPSVIQSQLVSNEYFFDTFKIPSDGMALSDHQCSGMHRRSLFNEKVWTSDLSGQSGSNLHHANICGDNYMKPPRSPVYALPVSCSETGLCSSEVNTLIHDDHSSQKTMPSVDESCQESYKKKRRKLQNGDGGSCRCCSCKKSKCLKLYCACFAAKVYCSGLCSCQGCLNNHTHEETVSCIRKRTESRNPLAFAPTVTRACDSGSDFGDDSNNTPASARHKRGCNCRKSSCLKKYCECFQSGVGCSMSCRCESCKNSFGKRKGALLLATEKMDKGAKAKGTRSKEEKLAFHKQDVVSQSGDLTSTEDLFATPSLEPCRSSILLSSTCSEPPVSTVGCSSQLHNSQSPMKADVLFSPSETCAMEPILLDGSSNIQEVSSSCTTKVKVVSPNKKRVSSLQTGTGLSPIVRSGRKLVLKSIPSFPSLTGDADSEPH >PAN46019 pep chromosome:PHallii_v3.1:9:9817956:9825490:1 gene:PAHAL_9G158300 transcript:PAN46019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPESPPAPAARPPSAAVSLYEDSPVFDFINSLSPIATPKPLGSAQSVQLFKSSDLVPASSIFTSPQVNPQREYKPRTRYGYAQLSQGLSPICQRNQIGISSCIELSGSPTIASENCSPSEYATIYAQLSQGLSPICQRNQIGISSCIELSGSPTIASEYCSPSEYAIILPSKWPQPIPLGSEILGDANKQDTDGKADHSPDVGHVKLSSTCYDQNGIDQLDPSTSGRNVQENELTKQYNDDLAACSLNHLISRSGTGNAVMSKSDLSLEAQQLSWKLRSDKLFMPMDQGNLEDSRRKLFDGSTGCYIQSAADDSHVYYAGAAEGVATNHDPKMLPSVIQSQLVSNEYFFDTFKIPSDGMALSDHQCSGMHRRSLFNEKVWTSDLSGQSGSNLHHANICGDNYMKPPRSPVYALPGIGLHLNAVASNASNNKPFTINPPLPPELNSPTTIVSCSETGLCSSEVNTLIHDDHSSQKTMPSVDESCQESYKKKRRKLQNGDGGSCRCCSCKKSKCLKLYCACFAAKVYCSGLCSCQGCLNNHTHEETVSCIRKRTESRNPLAFAPTVTRACDSGSDFGDDSNNTPASARHKRGCNCRKSSCLKKYCECFQSGVGCSMSCRCESCKNSFGKRKGALLLATEKMDKGAKAKGTRSKEEKLAFHKQDVVSQSGDLTSTEDLFATPSLEPCRSSILLSSTCSEPPVSTVGCSSQLHNSQSPMKADVLFSPSETCAMEPILLDGSSNIQEVSSSCTTKVKVVSPNKKRVSSLQTGTGLSPIVRSGRKLVLKSIPSFPSLTGDADSEPH >PVH31522 pep chromosome:PHallii_v3.1:9:10595910:10597983:1 gene:PAHAL_9G169800 transcript:PVH31522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSRFLAAEGGSLSCTAIENISGLLTKRRRKLRGLAGVTPPQFHLELTRPPPFVPTKSLQSVLLDRVYGLYLDALARLPAADLLRRYHRSLLKAGHCYGPFRDPVSNIVLNTVWSEAMFPPREELSVAMICSRSLVLVACRSLRGLVAYLRACFDTISEHQAMRYLLFTEADLWGAIEMARGEGHAERSTVTVEQESACKAAATAAMHPDPDAVVNFLVSTFPVLPLSLRTEPKALDLQLISQMLMEHCSIPHGAAETVPELSEEGSKVLSWIQSDFKEEESFVLAKVNAALKKYTQQTGGPAYELHVICGLNRNVGKSFVWGLHYGPGHMHPRKIQDSHINFLASPADSHSSDAVPILFFAECSNIEDVNDESSCWPIMGHPAKGRCFYCENEGAKIVHPDSEKYNAYDIAFERMACEDLGGKTVDDSGDWLITGSVDICEEDCIHFDADRDAKCAEFLNARARTVKGPMLV >PAN51180 pep chromosome:PHallii_v3.1:9:69572235:69581276:-1 gene:PAHAL_9G576500 transcript:PAN51180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEKLRWPFSDGSVTDLLDARSLHGSPDIRKRVQFHSSLVQKLALEKEMEGHIGCVNAIAWNSNGSLLISGSDDTKINIWSYNNRELLHDIDTGHSANIFCTKFVPETCDEVVVSGAGDAEVRVFNMSRLSGRRPREISMEPAAVYQCHSRRVKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRAGSANQECRNVLLDLRCGAKKSLADLPKCPLALKSCDISSVRPHEIVIGGSDAFARLYDRRMLPPLSSCQTKRKPPPCIKMFCPLHLADNKAYLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDPGSTSSVRYTADYVREQLCLPPFHKVPAKGRAKQRKISVNTTSRKLRVDMCRKFMQVATRSLEMGKNLMHGIDACSEVLESVGPDIDDNIRHDCLCTRAGLYLKRKWKNDVYMAIRDCNRARNIDRTSYQAHLYMSEALLQLGRLREASEYAEAVNRLLPPHSELKRQVDDIKQRVASAELEKNRTDQNGNAKADTHGKLRSLSDILIRSHVSGSTSQEGREDSDYDDEMELDYETSVSGDESRENDQGVFRGSLSFRFHQREDQTNEHAGENGSTESTHNDDSAFQSDVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDVAVVNCIQSHPFDCAVATSGIDNTIKLWTPDANATSMVAGPELDVLSAIENNQRKLCRNRQILLPFEFLEQFRMHEIAEGFECAQS >PAN51179 pep chromosome:PHallii_v3.1:9:69572929:69580933:-1 gene:PAHAL_9G576500 transcript:PAN51179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEKLRWPFSDGSVTDLLDARSLHGSPDIRKRVQFHSSLVQKLALEKEMEGHIGCVNAIAWNSNGSLLISGSDDTKINIWSYNNRELLHDIDTGHSANIFCTKFVPETCDEVVVSGAGDAEVRVFNMSRLSGRRPREISMEPAAVYQCHSRRVKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRAGSANQECRNVLLDLRCGAKKSLADLPKCPLALKSCDISSVRPHEIVIGGSDAFARLYDRRMLPPLSSCQTKRKPPPCIKMFCPLHLADNKKAYLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDPGSTSSVRYTADYVREQLCLPPFHKVPAKGRAKQRKISVNTTSRKLRVDMCRKFMQVATRSLEMGKNLMHGIDACSEVLESVGPDIDDNIRHDCLCTRAGLYLKRKWKNDVYMAIRDCNRARNIDRTSYQAHLYMSEALLQLGRLREASEYAEAVNRLLPPHSELKRQVDDIKQRVASAELEKNRTDQNGNAKADTHGKLRSLSDILIRSHVSGSTSQEGREDSDYDDEMELDYETSVSGDESRENDQGVFRGSLSFRFHQREDQTNEHAGENGSTESTHNDDSAFQSDVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDVAVVNCIQSHPFDCAVATSGIDNTIKLWTPDANATSMVAGPELDVLSAIENNQRKLCRNRQILLPFEFLEQFRMHEIAEGFECAQS >PVH32114 pep chromosome:PHallii_v3.1:9:38728911:38729920:1 gene:PAHAL_9G318600 transcript:PVH32114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWCRRERRGDAAASVFWSSSTFREMVTLRIGASDRMPPSTVSLSPPCRSCFGRRSPARSRAAPAAALPQLSPRRSPEDIRVAAMARSSRQRGSRRGGAHGGGPGVADRQVRGAAALAHQGGVLGAAGAWAPLAPRAARRRWKARRADVLVAGQTSVGCRWWRIGRWWWHVPVGHRGCGGATSGAGVAQAVLPVVAAAVLPQVAPKKAMVLYFI >PVH30883 pep chromosome:PHallii_v3.1:9:440622:443026:1 gene:PAHAL_9G005600 transcript:PVH30883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSKASSPTAAARWKREGQRGQGYTSLQEGNAGLVQGGGGGSFLQETGEAASVLVGMGGHRRPWLLPRPDLESGEQGHWATRWGREGRKTGKAQEGKERGRTHLPGGGVKVGQHATVEWLAMAISNMTQPAELTVQPINYHTAELELPYVYNSNTIYASTRRACMHWPTIDQHARQVTKMQQVHNDRPLSIHLGRQACPATAGPCHVDACEEQIIPIYYYITITRSLPAAAAGYRVRLVGLLHLLLLLQKLKANQIKGFKGSKYFVQKQLSP >PAN51910 pep chromosome:PHallii_v3.1:9:72851533:72852396:-1 gene:PAHAL_9G629100 transcript:PAN51910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PAN50424 pep chromosome:PHallii_v3.1:9:65872011:65875458:-1 gene:PAHAL_9G519000 transcript:PAN50424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGMAAATMDGGGAAAAAARRYTTQQQQQAQLQLQHHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRNTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKNMLQMLPGLEKNGGFGADVGVRLLGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALFAICRDEGFRGLYKGLGATLLGVGPSIAVSFSVYETLRTHWQIERPYDSPVLISLACGSLSGIASSTFTFPLDLVRRRMQLEGAGGRARIYNTGLFGTFGHIVRTEGFRGLYRGILPEYCKVVPGVGIVFMTYEMLKAILTGLESDD >PAN47019 pep chromosome:PHallii_v3.1:9:13033676:13035032:-1 gene:PAHAL_9G204600 transcript:PAN47019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEGSRHRRETRVGDLPEACLAHAIALTSPRDACRCAAVSPAFRAAADSDHVWGRFIPEDHRRAPVALRPAAAAAGRADTKKDAYLGLCDGGVPVGGDGGGCRLWLDRASGAKCYALSARRLSLPWEDGEFSWRWVPHPLSRFGEVAELMDCTFLDIYGRLPAAAFTPATSYAAYLVYGTAQGHRGLSFPDQETAVRLGGRAPSSGALACRRAVCLRPDEAEARKFWGAGVVAPDGGAEEPRLRGDGWWEVEMGRLRAGEAGERVAPGEEVVASFEVLGSYPKRGLIVEGIEFRPLQ >PAN48430 pep chromosome:PHallii_v3.1:9:55214954:55218265:-1 gene:PAHAL_9G381500 transcript:PAN48430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSAAAVTAVEVGAPDLLPPPAAEEADAAAAEEAAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRILEGPVGATERIVLVSGKEDPSLELPPAMDALVRVFKRVIGIADGAAEGTQAAAAPGVCAARLLVPGAQAINLIGKQGATIKSIQESTGATIRVISVDERERPFYVTDDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNTAVSQDRSGDGWGDMSHPSIGSAQVNQPPLVVDEYILPVKRDTLYLEREPLVDHSIHRSGVSLYGRDPALSTLRPSGMHGAGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYVGAGLDPVYRPSYSQYGSSTYSSSSLPSYSSIDDGRYPSSGLGGYGSSYRY >PAN48429 pep chromosome:PHallii_v3.1:9:55214954:55218266:-1 gene:PAHAL_9G381500 transcript:PAN48429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSAAAVTAVEVGAPDLLPPPAAEEADAAAAEEAAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRILEGPVGATERIVLVSGKEDPSLELPPAMDALVRVFKRVIGIADGAAEGTQAAAAPGVCAARLLVPGAQAINLIGKQGATIKSIQESTGATIRVISVDERERPFYVTDDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNTAVSQDRSGDGWGDMSHPSIGSAQVNQPPLVVDEYILPVKRDTLYLEREPLVDHSIHRSGVSLYGRDPALSTLRPSGMHGAGPLLTQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYVGAGLDPVYRPSYSQYGSSTYSSSSLPSYSSIDDGRYPSSGLGGYGSSYRY >PAN50792 pep chromosome:PHallii_v3.1:9:67693844:67695051:-1 gene:PAHAL_9G546800 transcript:PAN50792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIRIASLLLLAGVIWSHATHGAEAAGTTVFTLRNNCSHTIWPATLSGNSAAAVGGGGFELAPNATASFPAPAGWSGRLWARTGCVAAPSSSGLSCATGDCSGAASCTLGGSPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGARVNYASCGYAGCVGDVNALCPPELQMSGPAKAAGGDGAAPPPTVACRSACEAFGTAEYCCTGAHGGPSTCGPTKYSRLFKAACPAAYSYAYDDPTSTFTCGTGAQYLVTFCPGHQ >PVH33066 pep chromosome:PHallii_v3.1:9:68457992:68459386:-1 gene:PAHAL_9G558500 transcript:PVH33066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRGLVVAPAPAAAAPPRGRCSAAAPPEKAGRVSLAIPAAAALSLVLWTSPASAGILSGFSGLESTPGPDLPRLEFLEKWNGQLLSSVTLFSSSENQKKYAEFDNRFKNSKVLQDLLEKSKKNKQKNERLIQDKYCLRGAEWGVGDCSTEGMSEQEREDFISELKKRTGAE >PAN50939 pep chromosome:PHallii_v3.1:9:68457671:68459386:-1 gene:PAHAL_9G558500 transcript:PAN50939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRGLVVAPAPAAAAPPRGRCSAAAPPEKAGRVSLAIPAAAALSLVLWTSPASAGILSGFSGLESTPGPDLPRLEFLEKWNAENQKKYAEFDNRFKNSKVLQDLLEKSKKNKQKNERLIQDKYCLRGAEWGVGDCSTEGMSEQEREDFISELKKRTGAE >PVH33160 pep chromosome:PHallii_v3.1:9:69984960:69986489:-1 gene:PAHAL_9G582200 transcript:PVH33160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGILLKVLKNINSDVKVCGECRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLHLGQFIYVEKVQSSIPVPVLVGVRPVPGRNLCIGNPKDLMQMSTPSGVMEALDHQRKTSKSADLSESEKENLQRKVVIKEQKSVVASRYMLGVSSNNGKITNLNSSIDSDKSYGGSSICESNQKSVAPKVRQEAKPQVDPLARKRGLTREGPCISDYSRRARIRHPPPAGRPVAAEQLPCACLEARMRHHQRDEIFLIFELTPMQIDRLFSCLVFGEKKARNGDRMRNGRHRPHDRSLNEGSFAAQLQLQGHGVACPFFLLDLVTE >PAN49448 pep chromosome:PHallii_v3.1:9:61109064:61111424:1 gene:PAHAL_9G449900 transcript:PAN49448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVHHGSLPPLLLLVLAAALPAGALRFDLHSGHTKCISDDIKVGAMAVGKYHIVAPDDGTPSLLSSSSQQQLPDSHRISLRVTSPYGNSLHYAENVHSGNFAFTAPEAGDYLACFWAPDHRPPVTVAFEFDWRSGVSARDWSTVAKKGQVETMEVELRKLEDTIKSINEEMFYLREREEEMQELNRRTNSRMAWLGFLSFAICLSVAGLQLWHLKNFFERKKLL >PAN46606 pep chromosome:PHallii_v3.1:9:12630864:12631016:1 gene:PAHAL_9G197200 transcript:PAN46606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNSRTRNWKVTEGDPSFRNENNIKNSGQFRNQTKHLNTDAKKSLLAHH >PAN48441 pep chromosome:PHallii_v3.1:9:55302818:55308670:-1 gene:PAHAL_9G382400 transcript:PAN48441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGAAAAHPVEMELAWHLLTVLVRLGRAASASDLAAAAATVSLSVSPDIVERTCRIPESPLRISGGGVVTISETAVVTFLRFLGWEVPAQRVRLRPPEERRWRGEVYERKRKVSDASCLSGKRRRLLAPDADLMEHSEHQSNQLVAQICAPAATGEVHWEVMQQLRDRLPTLSTFIGEPSLGFSTGVTLVPDYAKITKLCLQPKLDQSLSGDDGTVLRNMALALVPEDFSDCCSVNLPPLDAEKSKNIDAKAYGRSSRIDESEQASFLNCRVEDNDDLQDETIRLMTIHAVVAGESKIGADEYPNLVCKNPGSSINYNMKRADSIEAFDMIPNQADALQYNCQNAGHHESVPTCDQEISPLGATTCAEVCKDNTTQLLFQPPMDTKARSIAPQMNRNSEPEALLQEDTRYDCIDTRNLNNVDENRGSKYLNHGERPLNEAEANILKNGQDRMVVKKNVKNKKNELPKEDKDCFATKAQKGHVVPKPLPSFKGFVIEEEEGSGGYGTVYRAQRTRDRQIFAIKCPHPNAHPHHVNNELKMLERFGGKNCVIKYECSLKSGDLECFVLEHVQHDRPEILKKDIGLLELQWYGYCLFRALASLHRQGVVHRDVKPGNFLFCRKVMKGYLIDFNLAHDLHQKFLKNCKSETISCGKDTASQTVSKFAPVVHAKEAAADSKQPLTLKRKRSSRNPVDSAPKIDNKSKHGSQAADVSGVTSAKDPTSTKTSLDRLKQPMPYKGRKELMNFLHEAMQSPNKNAVPAPVSQRKRVAAPFGSVDRNLFRLTPMPLRSGGSVVAGSGMLNNKGHGKHRREGPCVGTKGFRAPEVLFRSFHQGCKVDVWSAGVTLLYFIIGRTPFGGDPEQNIKEIAKLKGSEELWEVAKLHNCESSYPSDLFDIKSLHSVDLREWCAANARRPEFLKSIPESFFDLVDKCLAVNPRCRLSSEDALKHEFFAPCRDSFRKLKMLKRSAGSDAASSSSHQNTALTAKQS >PVH32845 pep chromosome:PHallii_v3.1:9:64804998:64808369:1 gene:PAHAL_9G503300 transcript:PVH32845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLSECCGLAPLRLRAGRGAIAAPAPPAALSAAALPRGPAAAAIHRDWALRVSAPTRLASAVDEGDRRSAAPGGEEAAGGVFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPERLYKSLDFMTKKLRFTMPFPLLAFPLYLFKRSPGKKGSHFNPSSELFQPNEKKDIITSTASWLAMVGLLAGLTFVMGPIQMLKLYAVPYLVFVAWLDMVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYKEPKKSGPLPLHLFGVLSESLKQDHYVSDTGDVIYYQTDKKMT >PVH32844 pep chromosome:PHallii_v3.1:9:64805328:64806904:1 gene:PAHAL_9G503300 transcript:PVH32844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLSECCGLAPLRLRAGRGAIAAPAPPAALSAAALPRGPAAAAIHRDWALRVSAPTRLASAVDEGDRRSAAPGGEEAAGGVFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPERLYKSLDFMTKKLRFTMPFPLLAFPLYLFKRSPGKKGSHFNPSSELFQPNEKKDIITSTASWLAMVGLLAGLTFVMGPIQMLKLYAVPYLVFVAWLDMVTYLHHHGHEDKLPWYRGKVNINNAVFQFVNVSR >PVH32854 pep chromosome:PHallii_v3.1:9:65017994:65018170:-1 gene:PAHAL_9G506200 transcript:PVH32854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRPQQQAARRTLPRRGQIKARIFASLFRCLVPRAAARKEDGKNKEVSDRCRVSPGG >PAN45310 pep chromosome:PHallii_v3.1:9:6373818:6375996:1 gene:PAHAL_9G109300 transcript:PAN45310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDAPPQPIHSAKDALDALAGILGGALPAPVAAAEDPAAALLNDPDLASAVTGRLRGAGSGAGNDTLCRWLYDAFRANVPELQLAVLRFVPTLAGVYMCRAVSRKPLAGFEAVLLALYAHAAAQRGAGQAETVSLPNLANPSPYHDAKVPPKGKPADCDVAVLSPPLEPHGTMRATRRARIVGAVLELYHGKLAHMPLSSKMDFCEFCVAWAGTQSKLDGADKPRLPDAAAAGGAEKWRRVPLPWELFQPVVRIVAHCLLGPTRSDELKAQAARAAECLYWRAAETVDAPALLATRSLVRLSQMVEEPIPEPSFPSGAIENMAELEAMKANILSTKN >PAN44468 pep chromosome:PHallii_v3.1:9:2494636:2499543:1 gene:PAHAL_9G045300 transcript:PAN44468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPPGTGAPCRRRAIQGFVALFLAYALFVLLLESPLVSTSLPGAGASAAASRKLHLDGAWEGGRAAPARPSKHPHRETLSADAGRGRARRLSGFVSGLELRHLNSTRSGSLRKVAAEAAESGARVFADLQTLATNLTSSQDSSDKEERSKCPHSIVLSGDQFRERGRTVELPCGLTLGSYITVAATPHEAHPERDPKITLLKEGEEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGSPLRCEGWRSRADEETVDGLVKCEKWIRDDEGRSEESKTSWWLNRLIGRTKTVSIDWPYPFVEDHLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTTHPSFSPQKHLEMLPSWQAPPLPDEPVEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALHGRNEINVELKKEAEFFGDIVIVPFMDSYDLVVLKTIAICEYGVHVVSARYIMKCDDDTFVRLESVMAEVKKIRDGESLYIGNMNYHHKPLRDGKWAVTYEEWPEEDYPIYANGPGYVISSDIADAILSEFLNHKLRLFKMEDVSMGMWVERFNSTRPVKYVHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQAGKAQCCNMR >PVH31086 pep chromosome:PHallii_v3.1:9:3084843:3085511:1 gene:PAHAL_9G053800 transcript:PVH31086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGGGRGGRGDRGDQQRPPYSGGGRGSPGFVWPPPPSTPRPVPVRYQVPVGYRAPMVLPHQAAYGAPAAVYWAPAPSGPSVSFSPAPRAVAVTIRAPPPSASAAPAPAPRQVAQGVPTRASEPASSAPSAAALAKEVEKKLFVSETALAPPAAAAAAAAQGAAASDAEDASDVDLAPVSKKGLAHPARPGVGTVGKSVKVRANQFLVDVADNNLFHYDVS >PAN45531 pep chromosome:PHallii_v3.1:9:7467407:7474997:-1 gene:PAHAL_9G125700 transcript:PAN45531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVQSLESLAAGDGRHNLLRTLGPTLLISMGYIDLGKWLATVVAGSRFGYDLVLVVVLFNFSAILYQYQSVYIGVVTGKNLAEICHQEYSRTICFVLGLQAGLSLFTAELTMIAGIAIGFNLVFEYDDLITGICFSSVVVNLLPYAISHLDKRMAGTLNACVAGFALLCFVLGLLVSQPKVPINVNVTFPKLSGESAYSLMALLGGNVIANNFYVHSSMAQVQRRSPAPTLGSLFHDHLFSILFIFTGVFLVNYVLLSSAADESSNITLVNFQDGKELMNQIFVSPAAPVVLLVILLFSSHIISLTSIISSDVISKNFFGVKLPHSAHHLLLKGFAVIPTIYCAKVAGSEGIYQLLIICPVIQAMLLPSSVIPLFRVSSSRSIIGSYKISLYVEICAALAFLLMLFTNIIFAAEILFGVSTWTNNLKGNTGGHVLLPYTVMVLVSLATIVFTLFLAVTPLKSESNEAGTQELSVHSQREPLDSTHHREETYLQNVAHEEVQRPSVDAVPKDSLEGHHKSALGHTEGSDNSTESDRDAQPPTPHREINPESHPSSSIFHKEPKCVEVGSTEAMSKVSTDAVVEQSTAENMKVKTETEKIVQVEPNVCTQQDTEVSHDWEFEKSPGGRAPSFTSDDLPSLTLSRGDDTDAGNVSGTVIPSRQSALGRAAKRQLAAILDEFWGFLFDYHGKLTQEANTKRVSLLIGLDLGAAGSAVRKDNLSIEAYRSPMMRDEMLGSATVLNTWDSRDKDLSDPDRGYGLQMGAMGSPTWSQSMHLPNRDIPSSGRTFIEQNAEHFSSFHAPSYPDNQFYQPATVHGYHLANYLKGMNASRNLHSSSQLDPRQLPISPESATKYTASVMNARNQDVLGSLGAGSLQSPTLNRLSTIAMERSYYDPTYIGEGESVDSSAYSKKYHSSPDISKVIAASRNAVLNEANLGGPAANLSYLSRLASEKSRYVDSASGASSPLNLLSHHNAQKGMSMQSSMNTNTKSLWAQQSFEQLFGVPSVELNKREMNTSRGSSSATKDDFSYAACEAELLSSLRFCIMKLLKLEGSGWIFSQNGGCDENLIDQLSEAERVSREKTSDERDANAVCRVPNCGDDCVWRASLVVSFGVWCIHRVLDLSCVESRPELWGKYTYVLNRLQGTIEPAFSKPRRPLSGCACLANAGVVGKPIPGSFTTAAAILEVIKGVEQAVSARKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKTPAGR >PAN49676 pep chromosome:PHallii_v3.1:9:62179557:62181809:-1 gene:PAHAL_9G466400 transcript:PAN49676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLDKDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPSKGVRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCIHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSHEKLSLMPLLFRFC >PAN49677 pep chromosome:PHallii_v3.1:9:62180230:62181467:-1 gene:PAHAL_9G466400 transcript:PAN49677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPSKGVRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCIHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSHEKLSLMPLLFRFC >PVH31290 pep chromosome:PHallii_v3.1:9:6059715:6068191:1 gene:PAHAL_9G104600 transcript:PVH31290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAISRLGARLRLHHDPRAHHQSPASPHLRALSTRRGKRSSPTASPADYDDEGPLRGLFVLSRDPESPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYDREFGAKGAPPHLVVQNPASRGRSHADTYFGPGTVDNVKCYLRASESEEDVDAVFVNAILSGIQQRNLEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGPSGEAEVVSARGRGSGGRGSMSGAGETELQLQRRRIQERRVSLLAQIEDVRRTRAIQRSSRKRHGGSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPIQHVLDASAPDREEHRSTVLQVLQQIGVSQEKINSMIEVWNKIDLVDENAASDGVEDEIFLTEGEEEDILSEDDVPSEQSSLDSLDDGADSEFLPEENFEDSNDEVSSEESSEMKAVNPELSSKECFGELRVPDTNGCALTQQMPTCQVKTSAVTGIGLQELLTLIDTKLTEQQNVVQRSYGPFDRKWRPPCTMDDEKAAEQ >PAN45244 pep chromosome:PHallii_v3.1:9:6059715:6068242:1 gene:PAHAL_9G104600 transcript:PAN45244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAISRLGARLRLHHDPRAHHQSPASPHLRALSTRRGKRSSPTASPADYDDEGPLRGLFVLSRDPESPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYDREFGAKGAPPHLVVQNPASRGRSHADTYFGPGTVDNVKCYLRASESEEDVDAVFVNAILSGIQQRNLEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGPSGEAEVVSARGRGSGGRGSMSGAGETELQLQRRRIQERRVSLLAQIEDVRRTRAIQRSSRKRHGGSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPIQLVEAFHATLEEVVEADMLVHVLDASAPDREEHRSTVLQVLQQIGVSQEKINSMIEVWNKIDLVDENAASDGVEDEIFLTEGEEEDILSEDDVPSEQSSLDSLDDGADSEFLPEENFEDSNDEVSSEESSEMKAVNPELSSKECFGELRVPDTNGCALTQQMPTCQVKTSAVTGIGLQELLTLIDTKLTEQQNVVQRSYGPFDRKWRPPCTMDDEKAAEQ >PVH31289 pep chromosome:PHallii_v3.1:9:6059816:6066080:1 gene:PAHAL_9G104600 transcript:PVH31289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAISRLGARLRLHHDPRAHHQSPASPHLRALSTRRGKRSSPTASPADYDDEGPLRGLFVLSRDPESPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYDREFGAKGAPPHLVVQNPASRGRSHADTYFGPGTVDNVKCYLRASESEEDVDAVFVNAILSGIQQRNLEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGPSGEAEVVSARGRGSGGRGSMSGAGETELQLQRRRIQERRVSLLAQIEDVRRTRAIQRSSRKRHGGSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPIQLVEAFHATLEEVVEADIMCWMQVHLIVRSTDLLYCKYCSR >PVH31291 pep chromosome:PHallii_v3.1:9:6059715:6068191:1 gene:PAHAL_9G104600 transcript:PVH31291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAISRLGARLRLHHDPRAHHQSPASPHLRALSTRRGKRSSPTASPADYDDEGPLRGLFVLSRDPESPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYDREFGAKGAPPHLVVQNPASRGRSHADTYFGPGTVDNVKCYLRASESEEDVDAVFVNAILSGIQQRNLEQVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGPSGEAEVVSARGRGSGGRGSMSGAGETELQLQRRRIQERRVSLLAQIEDVRRTRAIQRSSRKRHGGSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPIQLVEAFHATLEEVVEADMLVHVLDASAPDREEHRSTVLQVLQQIGVSQEKINSMIEVWNKIDLVDENAASDGVEDEIFLTEGEEEDILSEDDVPSEQSSLDSLDDGADSEFLPEENFEDSNDEVSSEESSEMKAVNPELSSKECFGELRVPDTNGCALTQQMPTCQVKTSAVTGIGLQELLTLIDTKLTEQQNVVQRSYGPFDRKWRPPCTMDDEKAAEQ >PVH32699 pep chromosome:PHallii_v3.1:9:61917580:61921980:1 gene:PAHAL_9G463000 transcript:PVH32699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASPAAGYGSDGVYRSPRPAAHDASDPALSLSDLVLHRAAACPSALALVDAATGRALTFEALRSAVLATAAALSSRARVRRGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTLREIAKQVADARAKLVVTVSDLLPKIADLRLPAILLDGDGASAPSNYASNVIFYSDLVAGVQEMEYRRPPTRQSDTAALFYSSGTTGVSKGVVLSHGNFIAAVTAATSDQDELGEERNVFLCFLPMFRIYGMSIVTLGQLQRGNTVVVMARFDLDAVLAAVERHRVTYLFCAPPVMIALARHGRGGRYDLSSLRCISSGGAPLGKDVMEAVANKFSGAEIIQGYGMTEICGMISLEYPQKGCAREFGSTGALVSGVDAKIVDAKIMKHLPPNQLGEICVRGPSVMRGYFNNVQATELIIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQQIAPAELEGLLLSHPEILDAVVIPYPDPEAGEVPIAYVVRSPNSSLSEVDVQKFIEKQVTYYKRLRKVTFVDSVPKSASGKILRRVLIAQVRSSKL >PVH32698 pep chromosome:PHallii_v3.1:9:61917580:61921980:1 gene:PAHAL_9G463000 transcript:PVH32698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASPAAGYGSDGVYRSPRPAAHDASDPALSLSDLVLHRAAACPSALALVDAATGRALTFEALRSAVLATAAALSSRARVRRGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTLREIAKQVADARAKLVVTVSDLLPKIADLRLPAILLDGDGASAPSNYASNVIFYSDLVAGVQEMEYRRPPTRQSDTAALFYSSGTTGVSKGVVLSHGNFIAAVTAATSDQDELGEERNVFLCFLPMFRIYGMSIVTLGQLQRGNTVVVMARFDLDAVLAAVERHRVTYLFCAPPVMIALARHGRGGRYDLSSLRCISSGGAPLGKDVMEAVANKFSGAEIIQGYGMTEICGMISLEYPQKGCAREFGSTGALVSGVDAKIVDAKIMKHLPPNQLGEICVRGPSVMRGYFNNVQATELIIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPYPDPEAGEVPIAYVVRSPNSSLSEVDVQKFIEKQVTYYKRLRKVTFVDSVPKSASGKILRRVLIAQVRSSKL >PAN47836 pep chromosome:PHallii_v3.1:9:34782062:34783948:-1 gene:PAHAL_9G307700 transcript:PAN47836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHQDNVVFEVNAIGWAPSGTGTALSLHHEDPARLPTAAVGHGHRPSGRYVVAAGRADEEDGLCQVITPGALKPRVTYRVAGWISVASGEVAEEDGKQQRGHPIRVSIRVDDGSCVVDGGAVCPQPGRWAEIKGAFRLRENPRSAAVHVHGPPAGVDVKVMDLRIIATDRKARFRHLKDKTDKVRKRDVVLKLGGAPGASVRVVQLDNGFPLGSCINGEVIQNPAFVDFFTNHLDWAVFENELKWYWTEAQRGQLNYADADRLLDFCDRTGKPARGHCIFWAVDGDVQQWIKDIGGDRDQLMAAVQQRIRGLLGRYAGRFPHYDVNNEMLHGRFFRDRLGDDVAALMFREAAQLDPGAALFVNDYNVECGNDPNATPDKYIDLIRGLQRGGAQVGGIGLQGHVTNPVGVVICDALDKLSATDLPVWITELDVGEPDEALRADDLEVVLREAYAHPAVQGVVLWGFMQGHMWRQDAALVNADGTVNDAGQRFIELRREWTSDARGRLDGDGQFKFRGFHGTYVAQVTTAAGKMLKAFTVDKGDAPLVLDMMDI >PAN48085 pep chromosome:PHallii_v3.1:9:52405466:52415280:1 gene:PAHAL_9G357400 transcript:PAN48085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSPVASAAAGVLVAMVLAAVCLHGAAAQLCEDYYDDTCPNAYDIVKKVLVDAHRSDVRIFASLIRLHFHDCFVQGCDGSLLLDGVPGVINSEKGAPPNNGSVRGFPVVDKVKAALEDACPGVVSCADILAIAAEISVELSGGPKWGILLGRLDGLTANFQSASNLPSPFDNLTILEQKFRDVGLRDPVDLVALSGAHTFGRAQCQFVTDRLYNFSGTGRPDPTLNSGYRAFLTQRCPLNGNGSAVTNLDPTTPDTFDKNYYTNLEVNRGLLDSDQILKSAPEAQGVIAPIVDQFARSQDAFFKSFAQSMINMGNIQPVTDPSMGEIRCNCRKVNDS >PAN44331 pep chromosome:PHallii_v3.1:9:1954081:1955513:1 gene:PAHAL_9G035300 transcript:PAN44331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEMEDTAVPALAVVDARFIAADAASLAVAKALSMSGSDFAVTDAATGALVLRVDGVLFSLRRRCVLVDADRRPVLTVQESALMLSTRWKVFRGDSTRRRDLLFTVVKPSVMQLRGPTKVSVFLASNDAEQACDFRITGSYHDGACAVSLGDSDTVIAKIDRRFSVVSALLGKNTYSVTVNPGIDYAFIVALVVILDEMHYQR >PVH31843 pep chromosome:PHallii_v3.1:9:16835670:16837787:-1 gene:PAHAL_9G246200 transcript:PVH31843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKGCSSIPKEKLDTAETRKPIKGGRPGSETNESKLGRPPMKKGSDRKASSWNSQALNCEPTDITGEPEDDQEELLAAVNAARSAIVGAYSGPFWKKMEPMLTFISSENLSFLKNQINLVEELETSMSCMSDGKHDIIASSDYRRMQKMEEHSSQVLAPSNFSPSSQQSKTNGVGAKGSISCFSPGDENHTVPQKLEADKWFNEMAPMAHRLLSALIIEDDLPDSNGVQRDILVEFPNSRNPYTVNRYLENELQASAITSNFGSSVDFTHSTVLLWQSMRNGFTASSNFINSNSENSVHSENLSDGINFTVYPESGPLHDLIPQFHGNVKIQPKISLFLHMSINMGRCWWKIRF >PVH32004 pep chromosome:PHallii_v3.1:9:29839463:29839796:-1 gene:PAHAL_9G287400 transcript:PVH32004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFIYLLTRNGSKAGLKKDLRVSRVGPGGSLNPFLFLPIGVISQGLAMVRGRRGKKHT >PAN51514 pep chromosome:PHallii_v3.1:9:70956408:70960407:-1 gene:PAHAL_9G599300 transcript:PAN51514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASWDNLGELSNIAQLTGLDAVKLISLIVKAASMARMHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDNYLRLVPLITLVDNARIRDRIEYIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALKKESEKLQVELQRSQSNMDLGSCEVIQHLLGVTKTVACTIPEEGTNAKVSEKKDSNYNESKGDGAKSYDDDDYPKKPKDTCSAPRCSSPVSYGHDPVSRRGYSDEWHADLLGCCSEPALCFKTLFFPCGTFSRIASVAKDRPMSSGEACNDIMAYSLILSCCCYTCCVRRKLRQKLDIAGGCCDDFLSHLLCCCCALVQEWREVEIRGAYGEKTKTTPPPCQYMEH >PAN44497 pep chromosome:PHallii_v3.1:9:2672923:2678842:1 gene:PAHAL_9G047700 transcript:PAN44497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALLRALRRPSSEAALRLATSANAQAITGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKSMKEFGEKLGVVKEDLKVRTKKTTETIYKSVDDVWTEAEETSKKVTANIKEKVFAAKEEVKESFGLGKEESTSCKDGSPGASEHEKTEASSHSDGTSEDASHTLFTKLKSTISSASPAVSGAFAKLKDTRVSTLAKQGYEVIKDELSSTSSRKKKHQARHASAKVEKSTRTDLVIMPTKKSVLGEKWEAFKNKIRGHPVYKRVDEYTKPVVTKGQEVAEDVRERWETSDNPVVQKIQDLNESLLEETTAAVTFREIRQRDPSFSLSDFVADVQEMIKPVLTAYSKGDVVTLKKYCTKEVIERCKGERQAYASQGIFFDHKILHISEADVLETKMFGSSPLIILRFQTQQIYCVRDREGQVMEGGQDTIQTVFYSWAMQLLDSDEVPEEESYYPVWRLREMQQAGIKALI >PAN44583 pep chromosome:PHallii_v3.1:9:3164507:3165763:1 gene:PAHAL_9G055600 transcript:PAN44583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYRMVAAAVVAAVLLAAVPASGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRTGADCACLILTGNVPFSLPINRTLAISLPRLCSSTSVPLQCRDTATQIPAPVAIVGPVAFAPALPPLPPIPPESSVPGSPVDPAATSPAVDSPPFPQRPVVVPSSAWRSSHVPVAAVAIVLSVAASIFV >PAN44582 pep chromosome:PHallii_v3.1:9:3164471:3165899:1 gene:PAHAL_9G055600 transcript:PAN44582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYRMVAAAVVAAVLLAAVPASGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRTGADCACLILTGNVPFSLPINRTLAISLPRLCSSTSVPLQCRDTATQIPAPGPVAFAPALPPLPPIPPESSVPGSPVDPAATSPAVDSPPFPQRPVVVPSSAWRSSHVPVAAVAIVLSVAASIFV >PVH32161 pep chromosome:PHallii_v3.1:9:44115854:44116555:1 gene:PAHAL_9G335300 transcript:PVH32161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSNVFWDPAGHLHTNALHWEGFPRLLWESLRSFLYTEPPQYDAVEYQEEGVHQCRVRMTIPQHPFRSQWQPIEVDVVGHRIVDTIEGAALETIYLFCNQHPREVAGQPIGLFSTIDPNDPKWNLRIVPEGHRLEGSTEEALQGTMRFMNVQHHYQLLLRHGMGQLINIAQGHFRIADRQVTQIQHLQASVTEKEEIIAAREETIHHREDQINESDAIITQRNTIIEFLQE >PAN45334 pep chromosome:PHallii_v3.1:9:6487026:6496050:1 gene:PAHAL_9G111000 transcript:PAN45334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGKKLINDPNDVVTQFIEGLAETYPGLQYLDGFPEIKVVLRSDVAVGTYDKVAVICGGGSGHEPAHGGFVGQGMLTAAVSGDVFTSPPVNSILAAIRAVTGPKGCLLVVTNYTGDRLNFGLAAEEAKSEGHKVEMVIVGDDCALPPTQGIAGRRGLAGTILVNKVAGAAADAGLPLEEVAEQARHASELVGTVGVALSVCTLPGQDTSDRLGLEQIELGLGIHGEPGTAVTELQPVDVVVTRVLKQILSPETRYVPITRGDRVLLLTNGLGATPIMELMIATRKAVRELQLEYGIATDRVYTGSFMTSLDMQGFSISIMKSDATILQCLDASTKAPCWPAGTNGDRQKPAKISVPAPPSCAMKSDKMLQQSRELTKEGCILEASIEAGAKEIIRIKDSLNEWDSKVGDGDCGTTMYRGAIAILDDMKKCYPMNDAAETVNEIGATVRRVMGGTTGILYDILCKAAYASLKGIKTVEAKHWANALQASIDAISKYGGARAGYRTMLDALIPASETLRERLEAGDDPLDAFIVSSETAMTGAESTRHMQAQAGRSSYIAADKLASAPDPGAMAVAAWYRAVALSLKSMLRHSKS >PAN44424 pep chromosome:PHallii_v3.1:9:2321326:2324849:1 gene:PAHAL_9G042000 transcript:PAN44424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHHIYGGEEESRKSNYTDLVNKYYDLATSFYEYGWGDSFHFAGRWHEETFHESIKRHQHFIALQLGLKKGMKVLDVGCGIGGPLTEIARFSSTLVTELNNNDYQMSRGKEIIFSAGLSEHCDFVKGNFMDMPIADNTFDAAYALQATCHAPDARGAYSEVYRVLKPGKYFALDEWCMTDRFDPNNGKHLAIKADIELGNGLPDIRTTHQCVQAMKDAGFEIIFAKDLAKDPCPWYLPMDPSHFSWTSFQCTRPGRFITRAIIKTLEFLHVAPAGSMRVYHFLQTASEGLLKGGREGIFTATFFVLGRKPTYRGD >PVH30887 pep chromosome:PHallii_v3.1:9:459160:459618:1 gene:PAHAL_9G006300 transcript:PVH30887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRTRIIRSPCLLTSTTPSLKLLSIKMPTPFLLEVVPVYQSLKPEPSTSFAFWPFHLVSCTHRIFIRLLIATSTSSLNLPVRDPTFQLPRRILVGSASFLTLRTRREKCEDPCSFFTTPGRRCSAPQRLRRPDPCSLIIVPGSRYDASQRG >PVH31527 pep chromosome:PHallii_v3.1:9:10767834:10770020:-1 gene:PAHAL_9G172000 transcript:PVH31527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNDKSSTNFEDFPSSMSSTSGSYAPSQKFVDPANESNDLELFLHPPSSTNYYPPVSSTSNDDGHITLNTSYSSKTYAPQFCEVSSHVTPDWYGISVADSSKSSWVNSDITLNYINKLLMQEDNDDKVKLHHGECALRAMEEPFYRLLGQNRPAYPLLPLCSCDHLNNLDGCMNKSSGQSCSSCSAAIYPSNSHSNHNLQAVEAPWSLSDVVKETKHFAQGTHSMGPGLDVDGLSIAEEPKRGKQVNVADTRMHASSEVQCENDLHTEDFCLLEGRSRKQLAVSFNGPTRDELFDRVLLFSEHKPMDEGIVLREKRTNKSTHQKDQGRTSSARRMTRGKKQQKKEVVDLRTLLIHCAQAVSVSNHTLASDILNIIRENCSKSGDDTQRLASCLVDCLEARLDGTGSQLYRKLITKCRNAVGLLKVFQLCLAVNPFQMAPYYFSNKTILEVSKGKPKVHIIDFGICFGFQWPSLFEQLTKREDGPPKVRITGIEQPHPGFRPDQMNTNTGHRLAEYASMFNVPFEYQGISSKWETIRIEDFHIEEDDVLIINCLYRMEHLGDETVSMNSARNKVLNTIRMMKPKVFVHGVVNGSYSTPFFLTRFKEVMYHYSALFDILDKTVPRDNEARMILERDIYLCSILNVIACEGSDRIERPESYKKWKSRNMRAGLEQLPLNPDIVKVTRDIVGQYHKDCVVGEDDQWLLLGWKGRILKAISTWKPNESYDGD >PAN47550 pep chromosome:PHallii_v3.1:9:32864856:32868693:1 gene:PAHAL_9G301900 transcript:PAN47550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAAPVQAAPPRPVRFGILGCADIARKVSRALLMLPPGAATVAAVGSRSEDKARRFIAETGLPAARPHGSYESLLGDADVEAVYLPLPTSLHVPWATAAAARGKHLLLEKPTALCAADLDAILAACDASGVQFMDTTMWIHNPRTAKMREIVADKDAFGDIRVIHSMCGFQASEYFLQNDIRVKPDLDALGVLGDVGWYSIRSILWAVEYELPETVIAHRHPVKNQAGVILACGATLYWADGKTATFNCSFLTNLAFDVTVYGTHGTLHVTDLVIPYEEKFATGGTYGSGILEACAEHPGCWWQA >PVH32051 pep chromosome:PHallii_v3.1:9:32864856:32868704:1 gene:PAHAL_9G301900 transcript:PVH32051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAAPVQAAPPRPVRFGILGCADIARKVSRALLMLPPGAATVAAVGSRSEDKARRFIAETGLPAARPHGSYESLLGDADVEAVYLPLPTSLHVPWATAAAARGKHLLLEKPTALCAADLDAILAACDASGVQFMDTTMWIHNPRTAKMREIVADKDAFGDIRVIHSMCGFQASEYFLQNDIRVKPDLDALGVLGDVGWYSIRSILWAVEYELPETVIAHRHPVKNQAGVILACGATLYWADGKTATFNCSFLTNLAFDVTVYGTHGTLHVTDLVIPYEEKYAEFSVASKSNFVKPTIGWDPLPTKHVVTTDLPQEALMVQEFSRLVQNIRDAGGRPEGKWPAITRKTQVVLDAVKASIDKGSEPVEVAS >PAN47549 pep chromosome:PHallii_v3.1:9:32864856:32870228:1 gene:PAHAL_9G301900 transcript:PAN47549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAAPVQAAPPRPVRFGILGCADIARKVSRALLMLPPGAATVAAVGSRSEDKARRFIAETGLPAARPHGSYESLLGDADVEAVYLPLPTSLHVPWATAAAARGKHLLLEKPTALCAADLDAILAACDASGVQFMDTTMWIHNPRTAKMREIVADKDAFGDIRVIHSMCGFQASEYFLQNDIRVKPDLDALGVLGDVGWYSIRSILWAVEYELPETVIAHRHPVKNQAGVILACGATLYWADGKTATFNCSFLTNLAFDVTVYGTHGTLHVTDLVIPYEEKYAEFSVASKSNFVKPTIGWDPLPTKHVVTTDLPQEALMVQEFSRLVQNIRDAGGRPEGKWPAITRKTQVVLDAVKASIDKGSEPVEVAS >PAN47547 pep chromosome:PHallii_v3.1:9:32864856:32870228:1 gene:PAHAL_9G301900 transcript:PAN47547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAAPVQAAPPRPVRFGILGCADIARKVSRALLMLPPGAATVAAVGSRSEDKARRFIAETGLPAARPHGSYESLLGDADVEAVYLPLPTSLHVPWATAAAARGKHLLLEKPTALCAADLDAILAACDASGVQFMDTTMWIHNPRTAKMREIVADKDAFGDIRVIHSMCGFQASEYFLQNDIRVKPDLDALGVLGDVGWYSIRSILWAVEYELPETVIAHRHPVKNQAGVILACGATLYWADGKTATFNCSFLTNLAFDVTVYGTHGTLHVTDLVIPYEEKFATGGTYGSGILEACAEHPGCWWQA >PAN48538 pep chromosome:PHallii_v3.1:9:56018108:56019821:1 gene:PAHAL_9G388600 transcript:PAN48538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAQAAAALVAGLLAVLVVAHGVRAQPANDTSSAVRQLLGSGGGWLPAKATWYGAPNGAGPDDNGGACGFKGTNQYPFMSMTSCGNEPLFQDGQGCGACYEIKCTSKDNPACSGQPKRVMITDMNYYPVAKYHFDLSGTAFGALAMPGLNDRLRHAGIINIQFRRVPCDNRGLTVNFHVEQGSNPVYLAVLVQYANKAGTVLQMDLLESGSSYWTPMRRSWGSVWRLDSNHPLRAPFSLRIRGESGQTLVANNVIPANWRPNTDYRSYVQFS >PAN43949 pep chromosome:PHallii_v3.1:9:422109:424003:-1 gene:PAHAL_9G005300 transcript:PAN43949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQRRRPCIQHQRGCVVGQSAWPDLPPELLERIVAVLVPLDRVAVRLVCASWRACVRESFSSDLPFEAPRLLLRRPGAGGGLAFFSLHHRKVLPFALPASVSTGRCCGHIGGWLAMALDADRSVVLCNLISGQSIAVPPPPVFPVSKLILSGPPTSPGWVAAVLGRAGTIALLQPAVSGSWMTIGVDEGVQHGGFRDMAFWSGRLCALGYDGAVLAFRGDLRARAAAVSLLREAEQPGGCWRLYLAESDGELLLVRKLYMVWLHRDAVDVQVEVLVLQSAGERKWGVMEETPGRAVFVGSVASAVVAVGLYPAAGLRESCVYLARREVEMLAPHAICEYSLADEEMRGVPIAGGHSVDVEPVWITPVV >PVH32922 pep chromosome:PHallii_v3.1:9:66366442:66369878:1 gene:PAHAL_9G526700 transcript:PVH32922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGSLHGRLVALQVPSKGNKISISCERECSRGEDYRLLKLTIIDFKSKREKVLVVECRGHDAARLQNIDHLHGWEDDIVGLVEKEHGNQKVLLSFECETLKADKDAEDHIIKYMPNLRGLDAVVNVGKMCITGINLSEDDEPRGDN >PAN50545 pep chromosome:PHallii_v3.1:9:66365334:66369878:1 gene:PAHAL_9G526700 transcript:PAN50545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASESSDEVAQSVFGAGGAMGLVSDSQANGNHQPAPAEAQQAVEEGDAGETMEGVASVALLPSGAISGHFIRLPDSICYGLQGTPISCERECSRGEDYRLLKLTIIDFKSKREKVLVVECRGHDAARLQNIDHLHGWEDDIVGLVEKEHGNQKVLLSFECETLKADKDAEDHIIKYMPNLRGLDAVVNVGKMCITGINLSEDDEPRGDN >PVH32921 pep chromosome:PHallii_v3.1:9:66365334:66369878:1 gene:PAHAL_9G526700 transcript:PVH32921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASESSDESVFGAGGAMGLVSDSQANGNHQPAPAEAQQAVEEGDAGETMEGVASVALLPSGAISGHFIRLPDSICYGLQGTPISCERECSRGEDYRLLKLTIIDFKSKREKVLVVECRGHDAARLQNIDHLHGWEDDIVGLVEKEHGNQKVLLSFECETLKADKDAEDHIIKYMPNLRGLDAVVNVGKMCITGINLSEDDEPRGDN >PAN50546 pep chromosome:PHallii_v3.1:9:66365334:66369879:1 gene:PAHAL_9G526700 transcript:PAN50546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSDSQANGNHQPAPAEAQQAVEEGDAGETMEGVASVALLPSGAISGHFIRLPDSICYGLQGTPISCERECSRGEDYRLLKLTIIDFKSKREKVLVVECRGHDAARLQNIDHLHGWEDDIVGLVEKEHGNQKVLLSFECETLKADKDAEDHIIKYMPNLRGLDAVVNVGKMCITGINLSEDDEPRGDN >PAN49855 pep chromosome:PHallii_v3.1:9:63020193:63024530:1 gene:PAHAL_9G479400 transcript:PAN49855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDIGCLVVSRKFFVWTLGVLLAAAVFAGVVAGIAKAIPRRHRPPPPPDDYTVALHKALMFFNAQRSGKLPKHNNVPWRGNSCMKDGLSDPAFRRSLVGGYYDAGAAVKLNFPAAFSMTLLSWSVIEYSAKYEAVGELGHVRDIIKWGADYFLKTFNSTADSIDRVVVQVGSAATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAAALAAASIVFKDNKAYSRKLVHGAATLFQFARDRRGRYSAGGSDAAKLYNSTSYWDEFVWGSSWMYLATGNSSYLTLATHPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRAFHNQTSIIMCSYLPIFKSFNRTKGGLIQLNHGKPQPLQYVVNAAFLASVFSDYLEAADTPGWYCGPHFYSIEVLRSFARTQIEYILGKNPLKMSYVVGFGKHYPKHVHHRGASIPKNGVHYGCKGGWKWRDTKKPNPNIIVGAMVAGPDRHDGFKDVRKNHNYTEATLAGNAGLVAALVALSGEGHGVDKNMMFSAVPPMFPSPPPPPAPWKP >PAN50663 pep chromosome:PHallii_v3.1:9:66982818:66987451:1 gene:PAHAL_9G536000 transcript:PAN50663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERGEGVGRCILVGLHMDAVGRDLLRWALNQAARPGDRVVAVHIYRKSDLCKTNTLRLIRTLDDYLAEYESLCSKKEIVLVGRVTPGSSIQKELVKEAKLCAAMVVVLGANKKYSFGGSTCLAKYCAKKLPPTTTVVAIQNGKAVFVREAPKPPIGAEPKPVLRTVLHPSVGLEPKVIIPNPDRSARSMDFDAEGCGHGAAAAPATESVDDATKASGGVALEQRLGWPLLRRAHAAAAVAAAAVPAPSAKEQEPRKQSVVQWVMSLPRRTAPSESPEPHAGAGLASELKAMLDGGGARCRWFRYEELYDSTNHFSAENLIGNGGNSRVYRGSLACGKQVAIKLSKASTQASKDFLREVDIITKLQHQRILPLIGVCVEGPNLISVYSYLPRGSLEDNLHGEKSKPALSWENRYKAALGIAEALSYVHSGGPRPVFHRDVKSSNILLTEEFEPQLSDFGLAIWAPSNPTSLAHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLSGRKPITSDGSSPKGQESLVMWATPVLSSGDISDLLDPRLDVEHDEAEVRRMATAACLCLRRSARLRPPISQIQSILRGESTASIADQGGGAELDCLDDEAYPAANVRSHLDLALLDVEDSESISSTEHSSGLSPLEEYLRERWSRSSSFD >PAN45467 pep chromosome:PHallii_v3.1:9:7240630:7250128:-1 gene:PAHAL_9G121600 transcript:PAN45467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCAPLVPVSPAASAASAAAVSSAAAGAPAMMPPPQPPPPPPPPPGSNSAPGGERRARAAGASGGGGGGSSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRDTERGAKVIGEDSLRRLNRPSTSSSSHSNGHHQPRNPIGEIHLKVSTVRESRSDDRRFSIFSGTKTLHLRAETREDRAAWLEALRATKDMFPRMSSSEMVGPGDTAAAVAVSTELLRQRLQQEGVSEAAIAESEKIVRAEFEALHKQLVLSKQKYALLVETLRQLETEKVDLENTLVDESQRQSKEYGCASKSRHEKYSEGSASESDDYNEPQDPAEETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEGGSDDEDDYPMDGIDPSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRASEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGALTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSEQFSEAHLLWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYTGGYWESREKGSWEGCPDIFGQVPNDVMITD >PVH33348 pep chromosome:PHallii_v3.1:9:73146360:73152116:1 gene:PAHAL_9G632700 transcript:PVH33348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MAVLWMSPLLPRQASWRRSTCSYFTPGVTARGFHGRTPWGRLSCPCLTWPVTILMDPFHFSSITFSRFHIAQGGIFIMTGDVLPCFDASNLVLPEDAASIVTVPTTLDVAANHGVVVASKDGGIDQENYSLCLVDNLLQKPTVSELVEGHAILDDGRALLDTGIIAARGKAWQDLVTLAHSSSHTMIKELMTSKKELSLYEDLVAAWVPSKHEWLRNRPLGKELIAALGKQRIFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSLPETTACDIAATAIILSTKISSGVSVGEDSLVYDSVLCGRIRIGSQSIVIGVNISEFHGYSPQIINSRTCFTLPDRHCLWEVPLVNSAGRVLVYCGLHDNPKVSVKRDGTFCGKPWINVLEDLRIQDIDLWNSTSQDKCLWTARLFPVISLPEMLNVGMWLMGTACDPDGKIATLWRKSQRVSLEELHRAIDYNQLCTDASKHQSDLAADIAKSCMNYGLLGRNLFQLCEEVLQKDTCLTLCEELLSIFPSHGDQYFGVLPQSREYQVKMDLLRASGDLSTACIVEEKVWASIASETAAAIKYGSKEPSSGSMYSTHGNLHPRKAFVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLEGSLPVGAMIETAEDHLGVSIEDDAGRNVYIDDLASISSPFEESDPFRLVKSALIVTGILGHKMLSKSGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQVMEDDGSDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTQSFPGQSLRLQVVPLLASPQLIQELEQRLLVVFTGQVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNGDIDELGSIMLEAWRLHQELDPFCSNKFVDELFAFADPYCSGYKLVGAGGGGFALLLAKNPSCAKELRQALDESSTFDVKVYDWNIVMPR >PVH33349 pep chromosome:PHallii_v3.1:9:73146360:73152126:1 gene:PAHAL_9G632700 transcript:PVH33349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MHITEQTSNPQSQPGSVICWQRSVQSRLERISTGRQQRAARATAILGPNSRISAEWTRLTNQRPRPIRGATRREAMDLSSSSSGQRRRRRRRAHTAEEAAATLRKAWCRLRLSARDPARVPPWDAVVLTAASPEQAALYNRQLERGRSLGRFPASTTAIAVPDPDGARIGSGAATLHAVASLVRHLAALASKEDIAEFLPEANGCSVDESALAAASFMAKKHVLLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISYSARQAFNNQGGIFIMTGDVLPCFDASNLVLPEDAASIVTVPTTLDVAANHGVVVASKDGGIDQENYSLCLVDNLLQKPTVSELVEGHAILDDGRALLDTGIIAARGKAWQDLVTLAHSSSHTMIKELMTSKKELSLYEDLVAAWVPSKHEWLRNRPLGKELIAALGKQRIFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSLPETTACDIAATAIILSTKISSGVSVGEDSLVYDSVLCGRIRIGSQSIVIGVNISEFHGYSPQIINSRTCFTLPDRHCLWEVPLVNSAGRVLVYCGLHDNPKVSVKRDGTFCGKPWINVLEDLRIQDIDLWNSTSQDKCLWTARLFPVISLPEMLNVGMWLMGTACDPDGKIATLWRKSQRVSLEELHRAIDYNQLCTDASKHQSDLAADIAKSCMNYGLLGRNLFQLCEEVLQKDTCLTLCEELLSIFPSHGDQYFGVLPQSREYQVKMDLLRASGDLSTACIVEEKVWASIASETAAAIKYGSKEPSSGSMYSTHGNLHPRKAFVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLEGSLPVGAMIETAEDHLGVSIEDDAGRNVYIDDLASISSPFEESDPFRLVKSALIVTGILGHKMLSKSGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQVMEDDGSDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTQSFPGQSLRLQVVPLLASPQLIQELEQRLLVVFTGQVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNGDIDELGSIMLEAWRLHQELDPFCSNKFVDELFAFADPYCSGYKLVGAGGGGFALLLAKNPSCAKELRQALDESSTFDVKVYDWNIVMPR >PVH33347 pep chromosome:PHallii_v3.1:9:73146360:73152116:1 gene:PAHAL_9G632700 transcript:PVH33347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MAVLWMSPLLPRQASWRRSTCSYFTPGVTARGFHGRTPWGRLSCPCLTWPVTILMDPFHFSSITFSRFHIAQGGIFIMTGDVLPCFDASNLVLPEDAASIVTVPTTLDVAANHGVVVASKDGGIDQENYSLCLVDNLLQKPTVSELVEGHAILDDGRALLDTGIIAARGKAWQDLVTLAHSSSHTMIKELMTSKKELSLYEDLVAAWVPSKHEWLRNRPLGKELIAALGKQRIFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSLPETTACDIAATAIILSTKISSGVSVGEDSLVYDSVLCGRIRIGSQSIVIGVNISEFHGYSPQIINSRTCFTLPDRHCLWEVPLVNSAGRVLVYCGLHDNPKVSVKRDGTFCGKPWINVLEDLRIQDIDLWNSTSQDKCLWTARLFPVISLPEMLNVGMWLMGTACDPDGKIATLWRKSQRVSLEELHRAIDYNQLCTDASKHQSDLAADIAKSCMNYGLLGRNLFQLCEEVLQKDTCLTLCEELLSIFPSHGDQYFGVLPQSREYQVKMDLLRASGDLSTACIVEEKVWASIASETAAAIKYGSKEPSSGSMYSTHGNLHPRKAFVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLEGSLPVGAMIETAEDHLGVSIEDDAGRNVYIDDLASISSPFEESDPFRLVKSALIVTGILGHKMLSKSGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQVMEDDGSDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTQSFPGQSLRLQVVPLLASPQLIQELEQRLLVVFTGQVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNGDIDELGSIMLEAWRLHQELDPFCSNKFVDELFAFADPYCSGYKLVGAGGGGFALLLAKNPSCAKELRQALDESSTFDVKVYDWNIVMPR >PAN48637 pep chromosome:PHallii_v3.1:9:56728536:56735197:-1 gene:PAHAL_9G396600 transcript:PAN48637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAHADAAHHLPTAGAGASGAASSLSSSSSAAAARRRRRPGLSCRPSHLFFALLVALFTVSLLVVWQLLPIGDGDAAAEGGGEPPPPPLPEGGGAGEMRFSASRVALRAFDGESRLEAARSERRRWAGLAPVRVALAVGKLNIDAQSLMLATVAKGLVGLGYAVEVLAFTDGKARDIWENICLVNIVNIGNLKYVDWSKYNAVLLTSLEGKRVVSILMQEPFQLIPVVWLIHEDSLGQHLRSYAESHESISNVIEDWRAHFHACAYVVFPDSYLPFLYSPLDSGNFLVISGSPVDIWAAKRFGSSHSEETIRKQHGIKEDDVVILVVGSYLFFDDVPWDYATVMRASAPHILDIAKTKNLRVQFGFFCGNGSDAYNSTFQELASHMGLPDGSIKQFSMTHDIRNLLMFVDVVLYGSLREEPGFPPLLLRSMSSEIPIVAPNLTAITKYVTDGVHGFLFDSANPSTVSSAFIQILGEKRLLNTAYSVALEGKLLSKNMLAHDCITAHIKLLESVIHFPSYAKLPSSVSKVQERTWLWDPFEMKTALENNLLEVESHTSTKTVDILREFPQSNQTTYSDTNGTSSYDYPRLSDWNELSEIEIFEDIERREMEEIDERVERPLLSWDEVYRNARKSERLKPEGNERDEGELERTGQPVCIYEIYNGEGAWPFLHHGSLYRGVTLSKGGRRPRSDDVDAVTRLSVLDNPYYRELLCELGAMFAIANRVDTVHKLPWIGFQSWRAAGRKVSLSESAEETLEETTAGENNGDIVYYWSTMDIDQTSDFWSMCDSLNAGNCRSLFEDAFRTMYGLPENVSALPPMPNDGDRWSTLHSWLMPTPSFLKFVMFSRLRFAECLLIHFIV >PAN48636 pep chromosome:PHallii_v3.1:9:56728015:56735197:-1 gene:PAHAL_9G396600 transcript:PAN48636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAHADAAHHLPTAGAGASGAASSLSSSSSAAAARRRRRPGLSCRPSHLFFALLVALFTVSLLVVWQLLPIGDGDAAAEGGGEPPPPPLPEGGGAGEMRFSASRVALRAFDGESRLEAARSERRRWAGLAPVRVALAVGKLNIDAQSLMLATVAKGLVGLGYAVEVLAFTDGKARDIWENICLVNIVNIGNLKYVDWSKYNAVLLTSLEGKRVVSILMQEPFQLIPVVWLIHEDSLGQHLRSYAESHESISNVIEDWRAHFHACAYVVFPDSYLPFLYSPLDSGNFLVISGSPVDIWAAKRFGSSHSEETIRKQHGIKEDDVVILVVGSYLFFDDVPWDYATVMRASAPHILDIAKTKNLRVQFGFFCGNGSDAYNSTFQELASHMGLPDGSIKQFSMTHDIRNLLMFVDVVLYGSLREEPGFPPLLLRSMSSEIPIVAPNLTAITKYVTDGVHGFLFDSANPSTVSSAFIQILGEKRLLNTAYSVALEGKLLSKNMLAHDCITAHIKLLESVIHFPSYAKLPSSVSKVQERTWLWDPFEMKTALENNLLEVESHTSTKTVDILREFPQSNQTTYSDTNGTSSYDYPRLSDWNELSEIEIFEDIERREMEEIDERVERPLLSWDEVYRNARKSERLKPEGNERDEGELERTGQPVCIYEIYNGEGAWPFLHHGSLYRGVTLSKGGRRPRSDDVDAVTRLSVLDNPYYRELLCELGAMFAIANRVDTVHKLPWIGFQSWRAAGRKVSLSESAEETLEETTAGENNGDIVYYWSTMDIDQTSDFWSMCDSLNAGNCRSLFEDAFRTMYGLPENVSALPPMPNDGDRWSTLHSWLMPTPSFLKFVMFSRMFVDSLHSLNVNRTEPASCLLGASEPEKRHCYCRILEVLVNVWAYHSGRKMVYLDPVTGDTREQHPLDERTEMWVKFFDFTLLKSMDEDLAEEADDGMHPGNDQWLWPLTGQVFWSGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >PVH32432 pep chromosome:PHallii_v3.1:9:56727559:56735580:-1 gene:PAHAL_9G396600 transcript:PVH32432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAHADAAHHLPTAGAGASGAASSLSSSSSAAAARRRRRPGLSCRPSHLFFALLVALFTVSLLVVWQLLPIGDGDAAAEGGGEPPPPPLPEGGGAGEMRFSASRVALRAFDGESRLEAARSERRRWAGLAPVRVALAVGKLNIDAQSLMLATVAKGLVGLGYAVEVLAFTDGKARDIWENICLVNIVNIGNLKYVDWSKYNAVLLTSLEGKRVVSILMQEPFQLIPVVWLIHEDSLGQHLRSYAESHESISNVIEDWRAHFHACAYVVFPDSYLPFLYSPLDSGNFLVISGSPVDIWAAKRFGSSHSEETIRKQHGIKEDDVVILVVGSYLFFDDVPWDYATVMRASAPHILDIAKTKNLRVQFGFFCGNGSDAYNSTFQELASHMGLPDGSIKQFSMTHDIRNLLMFVDVVLYGSLREEPGFPPLLLRSMSSEIPIVAPNLTAITKYVTDGVHGFLFDSANPSTVSSAFIQILGEKRLLNTAYSVALEGKLLSKNMLAHDCITAHIKLLESVIHFPSYAKLPSSVSKVQERTWLWDPFEMKTALENNLLEVESHTSTKTVDILREFPQSNQTTYSDTNGTSSYDYPRLSDWNELSEIEIFEDIERREMEEIDERVERPLLSWDEVYRNARKSERLKPEGNERDEGELERTGQPVCIYEIYNGEGAWPFLHHGSLYRGVTLSKGGRRPRSDDVDAVTRLSVLDNPYYRELLCELGAMFAIANRVDTVHKLPWIGFQSWRAAGRKVSLSESAEETLEETTAGENNGDIVYYWSTMDIDQTSDFWSMCDSLNAGNCRSLFEDAFRTMYGLPENVSALPPMPNDGDRWSTLHSWLMPTPSFLKFVMFSRMFVDSLHSLNVNRTEPASCLLGASEPEALLLSNLGSPCKCLGVSQWKEDGLP >PAN51928 pep chromosome:PHallii_v3.1:9:73020829:73025211:-1 gene:PAHAL_9G630600 transcript:PAN51928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARGPLTLRDFLELGCDSSSDGFRSYPRCLPWSDDALQAPVRLLVEADLRRSPSRSPSSLFSIARSPGPGALARISSLSRSFSRRIKEGLWRRRDDEDDDLFFDDRDSCGFPSPLVSSCSASDSGSEYAAESEVDIAAIGEKMACPPAFECEKPSSSSTTSSADHDCTDAAPGATADGNKMQAGGDPAVGRVSSKLGMEDKQQLSPVSVLDFPFDDDDGDERSDAGTCSPSFHRCPTTTPPDLLLHRTTKQAHKIRRYDGIAQAAVDPVDLEARFTTTTTTSESGESVGASTHLPTTSSTSTDTTSSATSTTTAPRHGEEHQCVEQKSRDQEELDEYRLLAQLLLELEDTAAAVDEVSQVLVLDFFAEGVDRLRSSVVGTVRPADDDRLVGAAAEWLRGAGPQWGIRDVMLSGKAALEDMERGRRWMCVGEDERDVGAAVEGFVMDGLLDELVAELVPWWHGDGRP >PAN51643 pep chromosome:PHallii_v3.1:9:71560282:71566345:-1 gene:PAHAL_9G609300 transcript:PAN51643 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRP5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/TrEMBL;Acc:A0A178WGC2] MPPSFPSLPLPEAAAAAAHAALLALAALLLLLRAARALASRCASCLKAAPRRGRAAAGGGALAATAGAWHRAVLASCAYALLLQAAALSYEVAVAGSRVAAGALLLPAVQAVAWAALLALALQARALGWARFPALVRVWWVVSFALCVGIAYDDSRGLIGDEPRTVDYAHMVANFASVPALGFLCLVGVMGSTGLEFEFTDENGVHEPLLLGRQRREAEEEPGCLRVTPYGDAGILSLATLSWLSPLLSVGAQRPLELADIPLLAHKDRAKSCYKAMSAHYERQRLEHPDREPSLTWAILKSFWREAAINGAFAAVNTIVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFIAKLLETLTARQWYLGVDIMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLIATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLQLEEMRNVECRWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMMAQTRVSLDRLAHFLQQEELPDDATINVPQSSTDNAIDIKDGTFSWNPYSPTPTLSGIHLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGHVRISGTAAYVPQTAWIQSGNIEENILFGSPMDRQRYKRVIAACSLKKDLELLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALAAKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDGDTVSSSIPNKRLTPSISNIDNLKNKVCENGQPSNTRGIKEKKKNEERKKKRTVQEEERERGRVSLNVYLSYMGEAYKGTLIPLIILAQTLFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLAAAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPSEAPLVIENCRPPSSWPENGNIELIDLKVRYKDDLPLVLHGVSCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKIIIDNIDISAIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERPDQEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKVAEFDTPQKLLEDKSSMFMQLVSEYSTRSSCI >PAN51642 pep chromosome:PHallii_v3.1:9:71559113:71566486:-1 gene:PAHAL_9G609300 transcript:PAN51642 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRP5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/TrEMBL;Acc:A0A178WGC2] MSSLGSLPWCIGRVSDCRTPHARATPVIILALAILYKNVGIAMVSTLIATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLQLEEMRNVECRWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMMAQTRVSLDRLAHFLQQEELPDDATINVPQSSTDNAIDIKDGTFSWNPYSPTPTLSGIHLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGHVRISGTAAYVPQTAWIQSGNIEENILFGSPMDRQRYKRVIAACSLKKDLELLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALAAKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDGDTVSSSIPNKRLTPSISNIDNLKNKVCENGQPSNTRGIKEKKKNEERKKKRTVQEEERERGRVSLNVYLSYMGEAYKGTLIPLIILAQTLFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLAAAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPSEAPLVIENCRPPSSWPENGNIELIDLKVRYKDDLPLVLHGVSCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKIIIDNIDISAIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERPDQEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKVAEFDTPQKLLEDKSSMFMQLVSEYSTRSSCI >PAN49720 pep chromosome:PHallii_v3.1:9:62496314:62499661:-1 gene:PAHAL_9G470800 transcript:PAN49720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDAIAGRDGYNFDGHRLRVEPAHGGRGNASSHDRSGGFGGGARRGVSRHSEYRVLVSGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTIGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSYSRSRSPSRSYSRSRSPSKSPRARRSASRSRSRSVSSRSRSASKGRSPSRLNCLAV >PAN49721 pep chromosome:PHallii_v3.1:9:62495223:62499999:-1 gene:PAHAL_9G470800 transcript:PAN49721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDAIAGRDGYNFDGHRLRVEPAHGGRGNASSHDRSGGFGGGARRGVSRHSEYRVLVSGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTIGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSYSRSRSPSRSYSRSRSPSKSPRARRSASRSRSRSVSSRSRSASKGRSPSRSPARSKSPNASPANGEAASPKKQSPSRSPSGSRSPDAKPE >PAN48762 pep chromosome:PHallii_v3.1:9:57240021:57245283:1 gene:PAHAL_9G403400 transcript:PAN48762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDPSLAYADYFAAAGGVTTLVPEVDAAGEDEGHLYGGMHAHHHGFDMFGARGLVPGATVVAEAHGKGAALGDFALGAEHLRLLGHSQQAPLTSLSLHGPAEAASLALHHHQLGGGGALRQHQPAAWPPQPQQQQGGAWHLRGSRFLRPTQQLLQEFCGLPVEAASKPLMKLGSEDGAGEGSSSSAPSAQIQAMDAAELQRLKAKLYAMLQEVERRYRRYREQMRAVAGSFEGVAGERAAAAYTRLASRTISKHFRSLRDGVAAQLQAVRRALGEKDAEGGVPGAAGMAKGETTPRLRVLDQCLRQHRAYQAGVLESQPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYAEEMKGPQEGACSNANDNDRANPSGGYGASELGQGRGRAEDGGVERKPTRAQLVHDAGSLASVVSIGSGRGAQNIDFGMMDGGHLDFDAYGDAHAAGAGQGFGGGVSLTLGLQQHAGDPHGGVNVAFAAAPSSAAAHEFLFMAGGEQQQQQQQQQMVPGGGIHGHQGHQFGAGMESDDAVAASHYHHRGISAATGFQLLHDLAG >PVH32465 pep chromosome:PHallii_v3.1:9:57240021:57245283:1 gene:PAHAL_9G403400 transcript:PVH32465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDPSLAYADYFAAAGGVTTLVPEVDAAGEDEGHLYGGMHAHHHGFDMFGARGLVPGATVVAEAHGKGAALGDFALGAEHLRLLGHSQQAPLTSLSLHGPAEAASLALHHHQLGGGGALRQHQPAAWPPQPQQQQGGAWHLRGSRFLRPTQQLLQEFCGLPVEAASKPLMKLGSEDGAGEGSSSSAPSAQIQAMDAAELQRLKAKLYAMLQEVERRYRRYREQMRAVAGSFEGVAGERAAAAYTRLASRTISKHFRSLRDGVAAQLQAVRRALGEKDAEGGVPGAAGMAKGETTPRLRVLDQCLRQHRAYQAGVLESQPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYAEEMKGPQEGACSNANDNDRANPSGGYGASELGQGRGRAEDGGVERKPTRAQLVHDAGSLASVVSIGSGRGAQNIDFGMMDGGHLDFDAYGDAHAAGAGQGFGGGVSLTLGLQQHAGDPHGGVNVAFAAAPSSAAAHEFLFMAGGEQQQQQQQQQMVPGGGIHGHQGHQFGAGMESDDAVAASHYHHRGISAATGFQLLHDLAG >PVH30888 pep chromosome:PHallii_v3.1:9:469209:472078:1 gene:PAHAL_9G006700 transcript:PVH30888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGRKRPAPFLAFSSFARSLIFSAAAGTPGQDNMPRPPSKRAKPAGPSKDEDRSSSESEEESFSNSESDAEDGEELQTVQADFAFFDPKPSDFHGVRLLLKTYLDSKPWDLTGFVDLILAQTTVGTVVKLADEEEEEEEGQGTGGEKTSAGGNNDDDLFGLISVLNLGRYAEHRCIKDLKEYLLAVCSDKDTKRQLKSLLEEKASSVGLLVCRRFVNFPYELVPKLYDALFDEVSWATEDEPTQELQDSFRFKHYLLLVRMLERKTPAKHKAKNSKDDDDEPIIYPKLEDEIFNELSSWSFTFPIRSEQSPQQEMKNYKEMGLVMAVKAEAIPKFRKKLEALVSEQ >PVH30889 pep chromosome:PHallii_v3.1:9:469209:472078:1 gene:PAHAL_9G006700 transcript:PVH30889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPPSKRAKPAGPSKDEDRSSSESEEESFSNSESDAEDGEELQTVQADFAFFDPKPSDFHGVRLLLKTYLDSKPWDLTGFVDLILAQTTVGTVVKLADEEEEEEEGQGTGGEKTSAGGNNDDDLFGLISVLNLGRYAEHRCIKDLKEYLLAVCSDKDTKRQLKSLLEEKASSVGLLVCRRFVNFPYELVPKLYDALFDEVSWATEDEPTQELQDSFRFKHYLLLVRMLERKTPAKHKAKNSKDDDDEPIIYPKLEDEIFNELSSWSFTFPIRSEQSPQQEMKNYKEMGLVMAVKAEAIPKFRKKLEALVSEQ >PVH31175 pep chromosome:PHallii_v3.1:9:4245876:4246606:-1 gene:PAHAL_9G073700 transcript:PVH31175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAPPSLMLSSLAGSRPAPATPCATLRRRLPSAGFPSVTHGLPPRRAARPAPLPTRRGTLACPQSRDSGPPRRPSGEARSCPPPSPSGEVLRRRLPAASGRQRVALPDALLLLVLACLGSTAKAARTSSVARRWRPLWTELDVLVFRRVDPDTLAGLLARARHPNLRRLEI >PVH31303 pep chromosome:PHallii_v3.1:9:6275630:6279462:-1 gene:PAHAL_9G107600 transcript:PVH31303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRKHASHFNSSDAEQRQAKIDELKSALGPLSARGEKYCSEACLTRYLEARNWNVTKSKKMLEESLKWRAAYRPEDIRWPDVDVEAETGKMYRASFRDREGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILSLPEGQEKMVWLIDFTGWTMAHATPIKTARESTSILQNYYPERLGIAFLFNPPKVFEAFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYKCIDPEVLPVDFGGRNNVAYNHEEYTKLMLKDDIKTSSFWSDDAKPVNRVANGNLVADVTPQSSLIAAKAS >PAN45284 pep chromosome:PHallii_v3.1:9:6275623:6279398:-1 gene:PAHAL_9G107600 transcript:PAN45284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRKHASHFNSSDAEQRQAKIDELKSALGPLSARGEKYCSEACLTRYLEARNWNVTKSKKMLEESLKWRAAYRPEDIRWPDVDVEAETGKMYRASFRDREGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILSLPEGQEKMVWLIDFTGWTMAHATPIKTARESTSILQNYYPERLGIAFLFNPPKVFEAFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYKCIDPEVLPVDFGGRNNVAYNHEEYTKLMLKDDIKTSSFWSDDAKPVNRVANGNLVADVTPQSSLIAAKAS >PVH31304 pep chromosome:PHallii_v3.1:9:6275632:6279398:-1 gene:PAHAL_9G107600 transcript:PVH31304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESLKWRAAYRPEDIRWPDVDVEAETGKMYRASFRDREGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILSLPEGQEKMVWLIDFTGWTMAHATPIKTARESTSILQNYYPERLGIAFLFNPPKVFEAFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYKCIDPEVLPVDFGGRNNVAYNHEEYTKLMLKDDIKTSSFWSDDAKPVNRVANGNLVADVTPQSSLIAAKAS >PAN45286 pep chromosome:PHallii_v3.1:9:6276083:6277115:-1 gene:PAHAL_9G107600 transcript:PAN45286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESLKWRAAYRPEDIRWPDVDVEAETGKMYRASFRDREGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILSLPEGQEKMVWLIDFTGWTMAHATPIKTARESTSILQNYYPERLGIAFLFNPPKVFEAFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYKCIDPEVLPVDFGGRNNVAYNHEEYTKLMLKDDIKTSSFWSDDAKPVNRVANGNLVADVTPQSSLIAAKAS >PAN45285 pep chromosome:PHallii_v3.1:9:6275622:6279462:-1 gene:PAHAL_9G107600 transcript:PAN45285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRKHASHFNSSDAEQRQAKIDELKSALGPLSARGEKYCSEACLTRYLEARNWNVTKSKKMLEESLKWRAAYRPEDIRWPDVDVEAETGKMYRASFRDREGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILSLPEGQEKMVWLIDFTGWTMAHATPIKTARESTSILQNYYPERLGIAFLFNPPKVFEAFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYKCIDPEVLPVDFGGRNNVAYNHEEYTKLMLKDDIKTSSFWSDDAKPVNRVANGNLVADVTPQSSLIAAKAS >PAN45283 pep chromosome:PHallii_v3.1:9:6275632:6279399:-1 gene:PAHAL_9G107600 transcript:PAN45283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESLKWRAAYRPEDIRWPDVDVEAETGKMYRASFRDREGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILSLPEGQEKMVWLIDFTGWTMAHATPIKTARESTSILQNYYPERLGIAFLFNPPKVFEAFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYKCIDPEVLPVDFGGRNNVAYNHEEYTKLMLKDDIKTSSFWSDDAKPVNRVANGNLVADVTPQSSLIAAKAS >PAN48043 pep chromosome:PHallii_v3.1:9:37223154:37230223:1 gene:PAHAL_9G315200 transcript:PAN48043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATRVYCFVHRVPVCGECICFPEHQLCVVKNYAEWVVNPDYDWPQHCSSCNSVLEAANEETTRLGCLHLMHTKCLISHIQSFPTQTAPAGYVCPSCSTPIWPPSSIKDTGSHLHAKLKEAIVQTGLEKNVFGNHFVTISKADTRTPPAFASDPLKRLSSSGDRESNGANIISSAQDVSLPSTLHSGMYSSASVESGTPIHVEPEIVEIEGPSPVITQFPEQESNFIRSPSPHGPGAMTRKGATIVDRQNSEISYYADDEDGNRKKYTKRGTFRHRFLRMLLPFWSSALPTLPVTAPSKKESDGLEGRPRQRSSRMDPTKILLAMAILACIATMGILYYRLSQRSLSENFVEDEIQ >PAN45968 pep chromosome:PHallii_v3.1:9:9527275:9528411:-1 gene:PAHAL_9G154600 transcript:PAN45968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGFQVLIESGRQLITQEHANFQRKQELWMVGSMSSVAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVAALLAVRYKWWMDPVGAILIALYTITTWARTVLENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVKVDIVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >PAN50309 pep chromosome:PHallii_v3.1:9:65325837:65335031:-1 gene:PAHAL_9G511700 transcript:PAN50309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAAAEASPAPQGPRQGHQPGAPASWEPNGVQVDGATAEPPSSPAPARGNVDMLTEEEKASLCLLGLRESCSTIQSDLMDGVEGPATEQIEDAAGGDCAMPDVKERRKGAGERRSRYGSLSTIVPVEVRMAETKYSLSTITPVKVRITETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRIRGQGYSCGCSECNYSRNVMNACEFEQHSGQSSNNQNDHIFLETGISLFRVVKALKHYRLNMLGEFIEETIGFPPNMNEYNKWKASFQKRKDYSDDVASDGCSTQGSRELAVGEMIYSLTHLKESASSSILNLNWSASKRRSERQFKRGGSETSTPSLSRSQDKGVSGLSTGTSKKNGTEDTHNLGLPLSSPVTIAQEPLPNSNIDSKSKESKTRDTTLHPLIFKEGGLPDNTLLTYKLKNGEALKQGYKRGTGIVCNCCNQEFTPSHFEEHAGMGRRRQPYRNIYTSEGVTLHKLALQLQDRLNSNGFGTANVSSFSDYPNLTSSGCGREPTTTSRPIIPLKRTLQERVVETERCSFCGDGHTTLGNIDPDTIVFCNQCERPCHIKCYNTGLEKKKVPLEILKEYMQFCFLCCEICRLLRARLDEGLEKCEEIAFLRRIRSNICWRLLSGMNACSDLQLYMPQVIDILKDAFAETAEHSGVFSDMVYAKNVEGEKDFRGMYCAVLTASTHVVSAAVLKVRMERVAELVLVATRSECRKKGYFVLLLKSIEAHLRAWNVNLLTAPVDPEMAPIWSEKLGFTILSDEEKNSMLELHPLVMFENLTLVQKSLA >PAN50311 pep chromosome:PHallii_v3.1:9:65327386:65334448:-1 gene:PAHAL_9G511700 transcript:PAN50311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAAAEASPAPQGPRQGHQPGAPASWEPNGVQVDGATAEPPSSPAPARGNVDMLTEEEKASLCLLGLRESCSTIQSDLMDGVEGPATEQIEDAAGGDCAMPDVKERRKGAGERRSRYGSLSTIVPVEVRMAETKYSLSTITPVKVRITETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRIRGQGYSCGCSECNYSRNVMNACEFEQHSGQSSNNQNDHIFLETGISLFRVVKALKHYRLNMLGEFIEETIGFPPNMNEYNKWKASFQKRKDYSDDVASDGCSTQGSRELAVGEMIYSLTHLKESASSSILNLNWSASKRRSERQFKRGGSETSTPSLSRSQDKGVSGLSTGTSKKNGTEDTHSENTVGPFSIDDVKPDSPEPTVIIPDCSNHDPTDLGLPLSSPVTIAQEPLPNSNIDSKSKESKTRDTTLHPLIFKEGGLPDNTLLTYKLKNGEALKQGYKRGTGIVCNCCNQEFTPSHFEEHAGMGRRRQPYRNIYTSEGVTLHKLALQLQDRLNSNGFGTANVSSFSDYPNLTSSGCGREPTTTSRPIIPLKRTLQERVVETERCSFCGDGHTTLGNIDPDTIVFCNQCERPCHIKCYNTGLEKKKVPLEILKEYMQFCFLCCEICRLLRARLDEGLEKCEEIAFLRRIRSNICWRLLSGMNACSDLQLYMPQVIDILKDAFAETAEHSGVFSDMVYAKNVEGEKDFRGMYCAVLTASAASNLAKRAPI >PAN50315 pep chromosome:PHallii_v3.1:9:65326401:65334448:-1 gene:PAHAL_9G511700 transcript:PAN50315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAAAEASPAPQGPRQGHQPGAPASWEPNGVQVDGATAEPPSSPAPARGNVDMLTEEEKASLCLLGLSRESCSTIQSDLMDGVEGPATEQIEDAAGGDCAMPDVKERRKGAGERRSRYGSLSTIVPVEVRMAETKYSLSTITPVKVRITETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRIRGQGYSCGCSECNYSRNVMNACEFEQHSGQSSNNQNDHIFLETGISLFRVVKALKHYRLNMLGEFIEETIGFPPNMNEYNKWKASFQKRKDYSDDVASDGCSTQGSRELAVGEMIYSLTHLKESASSSILNLNWSASKRRSERQFKRGGSETSTPSLSRSQDKGVSGLSTGTSKKNGTEDTHSENTVGPFSIDDVKPDSPEPTVIIPDCSNHDPTDLGLPLSSPVTIAQEPLPNSNIDSKSKESKTRDTTLHPLIFKEGGLPDNTLLTYKLKNGEALKQGYKRGTGIVCNCCNQEFTPSHFEEHAGMGRRRQPYRNIYTSEGVTLHKLALQLQDRLNSNGFGTANVSSFSDYPNLTSSGCGREPTTTSRPIIPLKRTLQERVVETERCSFCGDGHTTLGNIDPDTIVFCNQCERPCHIKCYNTGLEKKKVPLEILKEYMQFCFLCCEICRLLRARLDEGLEKCEEIAFLRRIRSNICWRLLSGMNACSDLQLYMPQVIDILKDAFAETAEHSGVFSDMVYAKNVEGEKDFRGMYCAVLTASTHVVSAAVLKVRMERVAELVLVATRSECRKKGYFVLLLKSIEAHLRAWNVNLLTAPVDPEMAPIWSEKLGFTILSDEEKNSMLELHPLVMFENLTLVQKSLA >PAN50314 pep chromosome:PHallii_v3.1:9:65325837:65335032:-1 gene:PAHAL_9G511700 transcript:PAN50314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAAAEASPAPQGPRQGHQPGAPASWEPNGVQVDGATAEPPSSPAPARGNVDMLTEEEKASLCLLGLRESCSTIQSDLMDGVEGPATEQIEDAAGGDCAMPDVKERRKGAGERRSRYGSLSTIVPVEVRMAETKYSLSTITPVKVRITETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRIRGQGYSCGCSECNYSRNVMNACEFEQHSGQSSNNQNDHIFLETGISLFRVVKALKHYRLNMLGEFIEETIGFPPNMNEYNKWKASFQKRKDYSDDVASDGCSTQGSRELAVGEMIYSLTHLKESASSSILNLNWSASKRRSERQFKRGGSETSTPSLSRSQDKGVSGLSTGTSKKNGTEDTHSENTVGPFSIDDVKPDSPEPTVIIPDCSNHDPTDLGLPLSSPVTIAQEPLPNSNIDSKSKESKTRDTTLHPLIFKEGGLPDNTLLTYKLKNGEALKQGYKRGTGIVCNCCNQEFTPSHFEEHAGMGRRRQPYRNIYTSEGVTLHKLALQLQDRLNSNGFGTANVSSFSDYPNLTSSGCGREPTTTSRPIIPLKRTLQERVVETERCSFCGDGHTTLGNIDPDTIVFCNQCERPCHIKCYNTGLEKKKVPLEILKEYMQFCFLCCEICRLLRARLDEGLEKCEEIAFLRRIRSNICWRLLSGMNACSDLQLYMPQVIDILKDAFAETAEHSGVFSDMVYAKNVEGEKDFRGMYCAVLTASTHVVSAAVLKVRMERVAELVLVATRSECRKKGYFVLLLKSIEAHLRAWNVNLLTAPVDPEMAPIWSEKLGFTILSDEEKNSMLELHPLVMFENLTLVQKSLA >PAN50308 pep chromosome:PHallii_v3.1:9:65327386:65334448:-1 gene:PAHAL_9G511700 transcript:PAN50308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAAAEASPAPQGPRQGHQPGAPASWEPNGVQVDGATAEPPSSPAPARGNVDMLTEEEKASLCLLGLSRESCSTIQSDLMDGVEGPATEQIEDAAGGDCAMPDVKERRKGAGERRSRYGSLSTIVPVEVRMAETKYSLSTITPVKVRITETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRIRGQGYSCGCSECNYSRNVMNACEFEQHSGQSSNNQNDHIFLETGISLFRVVKALKHYRLNMLGEFIEETIGFPPNMNEYNKWKASFQKRKDYSDDVASDGCSTQGSRELAVGEMIYSLTHLKESASSSILNLNWSASKRRSERQFKRGGSETSTPSLSRSQDKGVSGLSTGTSKKNGTEDTHSENTVGPFSIDDVKPDSPEPTVIIPDCSNHDPTDLGLPLSSPVTIAQEPLPNSNIDSKSKESKTRDTTLHPLIFKEGGLPDNTLLTYKLKNGEALKQGYKRGTGIVCNCCNQEFTPSHFEEHAGMGRRRQPYRNIYTSEGVTLHKLALQLQDRLNSNGFGTANVSSFSDYPNLTSSGCGREPTTTSRPIIPLKRTLQERVVETERCSFCGDGHTTLGNIDPDTIVFCNQCERPCHIKCYNTGLEKKKVPLEILKEYMQFCFLCCEICRLLRARLDEGLEKCEEIAFLRRIRSNICWRLLSGMNACSDLQLYMPQVIDILKDAFAETAEHSGVFSDMVYAKNVEGEKDFRGMYCAVLTASAASNLAKRAPI >PAN51032 pep chromosome:PHallii_v3.1:9:68840723:68844797:1 gene:PAHAL_9G564900 transcript:PAN51032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSKHLRLRLRGSGEQRLLPSRASTSNASSPAPPPPPSAAAPPPLGAGKDASAWSKLFLFAPGAITFGLGTWQLFRRQEKIEMLDYRTRRLDMEPVAWNEATSSAALRDPDALEFRKIVCEGDFDEEKSVFVGPRSRSISGVTENGYYVITPLIPRSTEPSSLQSPILVNRGWVPRGWRDKNIKVHQILDEASESKAVKQPDGKSSWWKFWSNEPKSSPEIEKPREPPVRVTGVIRGSEKPSIFVPANEPSSGQWFYVDVPMIAHACGLPENTVYIEDTNEDVSPTNPYPVPKDVNTLIRHSVMPEDHLKYTFTWYTLSAAVTYMASKRIKAKKVRL >PAN51034 pep chromosome:PHallii_v3.1:9:68840723:68844797:1 gene:PAHAL_9G564900 transcript:PAN51034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARGPSSSSSRPAPSPSASAHGSSSGGRRRTRRLDMEPVAWNEATSSAALRDPDALEFRKIVCEGDFDEEKSVFVGPRSRSISGVTENGYYVITPLIPRSTEPSSLQSPILVNRGWVPRGWRDKNIKVHQILDEASESKAVKQPDGKSSWWKFWSNEPKSSPEIEKPREPPVRVTGVIRGSEKPSIFVPANEPSSGQWFYVDVPMIAHACGLPENTVYIEDTNEDVSPTNPYPVPKDVNTLIRHSVMPEDHLKYTFTWYTLSAAVTYMASKRIKAKKVRL >PAN51033 pep chromosome:PHallii_v3.1:9:68841224:68844317:1 gene:PAHAL_9G564900 transcript:PAN51033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYRTRRLDMEPVAWNEATSSAALRDPDALEFRKIVCEGDFDEEKSVFVGPRSRSISGVTENGYYVITPLIPRSTEPSSLQSPILVNRGWVPRGWRDKNIKVHQILDEASESKAVKQPDGKSSWWKFWSNEPKSSPEIEKPREPPVRVTGVIRGSEKPSIFVPANEPSSGQWFYVDVPMIAHACGLPENTVYIEDTNEDVSPTNPYPVPKDVNTLIRHSVMPEDHLKYTFTWYTLSAAVTYMASKRIKAKKVRL >PAN47474 pep chromosome:PHallii_v3.1:9:31434978:31437455:1 gene:PAHAL_9G294400 transcript:PAN47474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPRLLLAVALLHAAAASAATDDGEGGRRRARYDRVFSFGDSLTDTGNALHLSPTGAGPASRPPYGETFFRRPTGRASDGRLVVDFIVEALGVPHPTPYLAGKAAADFRRGANFAVGGATALDLNFFESRGLTPFVPVSLDNQTSWFKNVLHLLGSVEEQRKITSTSLFLVGEIGVNDYFVSALGRNRTVGEVKTFVPRVVAAVGSVITDVIAAGARTVVVPGMIPLGCEPQLLAHYKGGAGAGEYDPESGCLTRLNDLAELHNRELRRMLSGLRRAHPGTAVVYADLYRAVTDLVVSPAKYGFRSRPLAACCGGGGGAYNFDDAAFCGAAGTAACADPSEYVSWDGVHFTEAANRRIACAVLEGSHSHGAADEPALSNSWATTEAWRRRIGCV >PVH32024 pep chromosome:PHallii_v3.1:9:31435362:31436563:1 gene:PAHAL_9G294400 transcript:PVH32024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPRLLLAVALLHAAAASAATDDGEGGRRRARYDRVFSFGDSLTDTGNALHLSPTGAGPASRPPYGETFFRRPTGRASDGRLVVDFIVEALGVPHPTPYLAGKAAADFRRGANFAVGGATALDLNFFESRGLTPFVPVSLDNQTSWFKNVLHLLGSVEEQRKITSTSLFLVGEIGVNDYFVSALGRNRTVGEVKTFVPRVVAAVGSVITTFAGRDRRRSTHGGGPGDDPAGLRAAAARALQGRRRRRRVRPGVRLPHAPQRPRRAAQPRAAPDAQRPPPGAPRHGRRLRGPLPRRHRPRRVAG >PVH32025 pep chromosome:PHallii_v3.1:9:31434978:31437455:1 gene:PAHAL_9G294400 transcript:PVH32025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPRLLLAVALLHAAAASAATDDGEGGRRRARYDRVFSFGDSLTDTGNALHLSPTGAGPASRPPYGETFFRRPTGRASDGRLVVDFIVEALGVPHPTPYLAGKAAADFRRGANFAVGGATALDLNFFESRGLTPFVPVSLDNQTSWFKNVLHLLGSVEEQRKITSTSLFLVGEIGVNDYFVSALGRNRTVGEVKTFVPRVVAAVGSVITDVIAAGARTVVVPGMIPLGCEPQLLAHYKGGAGAGEYDPESGCLTRLNDLAELHNRELRRMLSGLRRAHPGTAVVYADLYRAVTDLVVSPAKLQEQAAGRVLRRRRRRVQLRRRRVLRRGGHCCVRRPVGVRLLGRGAFHGGRQQAHRLRRARGLPLARRRRRAGAVQLMGHDGGLASQDRLRLVSSSLVPSCELQV >PAN50264 pep chromosome:PHallii_v3.1:9:65136222:65141226:1 gene:PAHAL_9G508500 transcript:PAN50264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAKKEEVCSHRLVPRLGEPAVGVPIKKRPVLLSDRSVASSMPLSIKPPSPAELPVSASVAACSNESFFNKSDTNAITKGKGITDTQIQDHANRSFTTLLMTSGHRGLFNASSETPSAESATRCFPLINESVDESQRQKFLALDLQLPSHQNGKDSNYGSIVKEEKADQGLSGLSSAELHNSVHVASEINASSNSNSSDGRLPNLDLNVPLDPADSLEGLPTMHESGSGLYHHSTIQRQKAQVTSAAPISTISSGLGQNIGSTLNMSNSYGLSRKSGPADVTLDLQLKPPARPELGINWKGLVPAPELSLSLFGKPMDEPKSLHVPNALFDSETAGGSKKGSQGTAATPGSDKVLLERTMTLGPCNANPQDSTSATVSGIDQMASNNLVKKEPEETSQQHILKGAENAHLLERQSVGPVSNCAESEKTDSLPQIPSKTGFDLNSHIFPNNSIHDGLDVATDNVPIPAESLPDIAHAKTMPAAPEVGINVKCEESTGAAMSPAVATVSGHSAPLMEAKSLPSQSTLASPAVRLCESSSQPSVSTVCKPPASHVHAHVDTRQRPCDAFPGSSNPAAKPLLNSRDNATIDGMSQGSAEMDCSDDEDNNTVTRFPITNKPHDGPLRNGPTTKDDRINANNLCKELKKEHDSDMHQDCSSVTNKVNMEAVDGDKCIKTKVSVVSLAGDQWHRNEVFVSEKSKDKQSFNSDKTSTGSGSTDLQRSSALQKSTSPKLQSTRKSHKTLDSCLEKASSPNMKSEMSPHGKQAASCNEHHAKIAAVKMEHQIENEEVARHSELQRRDSVQGEDSEVDRASSSQPHSECAKGKTASEKSEHDKSKPDGSCKTSPLQNERDGQLVGSHWRDLGHAYVNRNERWERFMESEREKNNGECHGGRHAFDMTNQRMTGHRGGWRGAVPCGHPRNFRGPRMRDEFADEPIGGRRRSFEDEPGHLHRAPHRRRRSPPPDCLMREVEIDGFHGREIPEPRLLARGQIEDILDDMMEDRVFMPRSHRHRGQGDHGFIQRERSQSPAQRRGGHVHFHRGRSPEAMPRSPPLMRTERPYLPHCRHSRVHVERGGMQRNVRRCGMEGDAFEPPLHPAHLAELHAEEELAGRRKYRERRAYLRSSVSDEDEMMLSYHTEDDMEFAEAGGGPREHDGRFRNRMGHNRARGEQEDGYRHRGPQGWRDGDSNDSRPKRRRY >PAN47427 pep chromosome:PHallii_v3.1:9:30704971:30708982:-1 gene:PAHAL_9G289900 transcript:PAN47427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAPLHHRPPSPAPLPCSTAGRTLRGRSLFSTTLCAVSSSPGGSTRTREAAVARMPRLAHREVMLALARKAEARLGGRLLPSEVPGDVTWFGEDGGAALGSVDVRRGAPGSSIDLMLEAWFHRTLPTGSAVDITSLIVFLNGTTDAPHFLMELIQGGPSSLVVLLDLFPRRDLPLHPDYIDRYYGATGIDSHRQSIARIPQVRPYVSPSLLVRSLWSPTAVVVDVQCGEGNESVLEEIVLGQLASSAMAVLDVWLEHCAGSLVEMDAAERESLVARDKMISTTSVKLNFSANLPKMFENDVADRVVAEINKAFLGTV >PAN44352 pep chromosome:PHallii_v3.1:9:2001332:2005393:1 gene:PAHAL_9G036100 transcript:PAN44352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLSCKFADPDSVVSSSAAAAAAAAARRVRPDTMSCGSDGCRDGGSSGSDDFRRPRPSKVAADDSVAPARCSDAAAPARSSDATARASWIDRKLLVDPKMLFVGDKIGEGAHGKVYKGKYGDQIVAIKVLNRGSTPEEKATLEARFIREVNMMCKVKHENLVKFIGACKEPLMVIVSELLPGMSLKNYLNSIRPSQLDTHTAISYALDIAHAMDCLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFQQKRPPLPEETPQELVFIVQSCWVEDPSMRPSFSQIIRMLETFLMTIPPPPSLEPNEDEESEETRSSLSSRSSSGSSLVSRATSKLSVVRHLFASKKAGNGKA >PAN44353 pep chromosome:PHallii_v3.1:9:2001334:2005393:1 gene:PAHAL_9G036100 transcript:PAN44353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLSCKFADPDSVVSSSAAAAAAAAARRVRPDTMSCGSDGCRDGGSSGSDDFRRPRPSKVAADDSVAPARCSDAAAPARSSDATARASWIDRKLLVDPKMLFVGDKIGEGAHGKVYKGKYGDQIVAIKVLNRGSTPEEKATLEARFIREVNMMCKVKHENLVKFIGACKEPLMVIVSELLPGMSLKNYLNSIRPSQLDTHTAISYALDIAHAMDCLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFQQKRPPLPEETPQELVFIVQSCWVEDPSMRPSFSQIIRMLETFLMTIPPPPSLEPNEDEESEETRSSLSSRSSSGSSLVSRATSKLSVVRHLFASKKAGNGKA >PVH33370 pep chromosome:PHallii_v3.1:9:73501446:73502305:1 gene:PAHAL_9G637400 transcript:PVH33370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGLTCNGVETFTFGIENKLRIFPPNSYKETTGRYMLSILNSLAEYFNMINDLIPTSDNPQPPDMKPIYVVFDGKAQGVYVSFESVISQKMEAKYDGGLSWKKYIVIDEVLTQARKIIGINYYIELAGEGSSKKPTYKECLVKGVDPLDSEYIDSKLEEKSEKIYPQWKKEIKEEIMIEVKKEMQEQFVNMKKKYDDKFDIDQFSNDDDKMDIEGNNSTMDIKGHGQNEE >PAN48608 pep chromosome:PHallii_v3.1:9:56594421:56597507:1 gene:PAHAL_9G394800 transcript:PAN48608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGVVGEGRPEDRAGENGGVAEAGESAVKRVAAEEEGGVDVAVEDADDAVVAEEADGDEGGEENHGAGGDAAESGTPVAGDHQPVVTPNTRVVLDSGRHAAEKWGEDSPRAEINVTAAVLADRTKDRPESEPAIIIEELEDLRSSDDENTATSAPPAQSHAASGRSNGPSMPSRPAGLGSSSSLSQPPARHVQQVRANGPSSLDRGSQPSTESAEDDGDENDEIHEKLQMIRVKFLRLAHRFGQTPHNMVVSQVLYRLGLAEQLRRTTANGSLSFDRAREMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDTRLDTNAFDSSTRKAQEVVGTVEGIRVRVIDTPGLSCSSLEQHHNQKVLNSVKRLISKNPPDIVLYFDRLDMQSRDNGDVPLLQTITKVFGASVWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDIRLMNPVSLVENHAACRTNRAGQRVLPNGQVWKPQLLLLCFASKVLAEANVLLKLQDSPIGKLSRTRIPPLPFLVSSLLQSRAPLKLPEEQFGDDDDLEDDLTDDSDSDDGSDYDDLPPFKRLTKAQLSKLNNAQRKAYLEELDYREKLFYRKQLKEERMRRKLMKKMAAEASTRANDFNNSNPEDDSNTPTNVAVPMPDMALPSSFDSDYPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSISGQLTKDKKDCSMQMEVASSVKHAEGKTTSLGLDLQSVGKDMAYTIRGESRFKNFRRNSTAAGISATLLGDSVSTGVKIEDKLIVNKQLRVLISGGAMSGRGDVAYGGRLEATLRDKDYPIGRMLSTLALSVVDWHGDLAIGCNVQSQIPAGRASNLVGHANISNKGTGQVGIRLNSSKHLELALVALVPIFQNIRKLLQNYSEST >PAN48314 pep chromosome:PHallii_v3.1:9:54410698:54413288:1 gene:PAHAL_9G374600 transcript:PAN48314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNAQRQVERTGRSGTPRDQYLQDLVTQFQNATDEESKEKIVANLANFAYDPFNYAFMRQLNVLELFLDCITEPNERLVEFGIGGICNSCVDPANASVIVQCGGIPLVIQCLSSPVRNTVTYALGALYYLCNPATKKEILKPDVVRIIREYAAAGAVNTSFSNMANAFLEKHVDS >PVH32504 pep chromosome:PHallii_v3.1:9:58309870:58321278:1 gene:PAHAL_9G415300 transcript:PVH32504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIITVEGIGDRQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKEPPTEEQIEDCLAGNLCRCTGYRPIIDAFRVFAKTDNLVYTNSSSQNTDGQAICPSTGKPCSCKNEADANANESSLSSSMERYSPYSYNEIDGNAYDDKELIFPPELQLRKVVPLKLKGFNEIRWFRPLKLNQVLHLKSCYPDAKLIIGNSEVGVETKFKNAQYKVMISVTHVPELNTLKVEEDGIHIGSAVRLAQLQNFLKKVIAERDLPETSSCQAIVRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAIGAKFQIIDVNSNVRTTLAKDFFLGYRKVDIKPDEILLSVILPWTRPFEYVKEFKQAHRREDDIALVNAGMRVYLREAEGKWIISDVSIVYGGVAAVPLSASKTENFLTGKNWNSELLDDAFNLLKEDIPLSENAPGGMVEFRRSLTLSFFFKFFLSVTHDMNMKGLLEDGLHADHVSAIQPYSRPVAVGTQGYEIVKQGTSVGQPMVHMSATLQVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSIAKSSPGFVGLFLSKDIPGTNHTGPIIHDEEVFASDVVTCVGQIIGIVVADTHDNAKNAANKVHIEYFELPAILSIEDAVKNGSFHPNTKRCLGKGDVEQCFMSGACDRIISGEVQVGGQEHFYMEPQCTLVWPVDSGNEMHMVSSTQAPQKHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAVSVSSYCLRRPVKLVLDRDVDMISSGQRHSFLGRYKVGFTKDGKILALDLEIYNNGGNSLDLSLAVLERAMFHAENVYDISNIRVSGQVCFTNFPSNTAFRGFGGPQSMLIAENWIHHIASELQQSPEDIKELNFHNDGTMLHYGQLLQNCRIRSVWDELKASCDFMEARKAVRSFNSNNRWRKRGITMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGTHNSFAELAQTCYMERVDLSAHGFYATPDIGFDWADGKGTPFLYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGFSINPAIDIGQIEGAFIQGLGWVAMEELKWGDNNHKWIRPGHLFTCGPGAYKIPSVNDIPLNFKVSLLKGAPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIFAARADEGHSEWFPLDNPATPERIRMACVDPITKKFADADYRPKLSV >PVH32503 pep chromosome:PHallii_v3.1:9:58308975:58321278:1 gene:PAHAL_9G415300 transcript:PVH32503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCYDRTTKKSLHFAINACLAPLYSVEGMHIITVEGIGDRQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKEPPTEEQIEDCLAGNLCRCTGYRPIIDAFRVFAKTDNLVYTNSSSQNTDGQAICPSTGKPCSCKNEADANANESSLSSSMERYSPYSYNEIDGNAYDDKELIFPPELQLRKVVPLKLKGFNEIRWFRPLKLNQVLHLKSCYPDAKLIIGNSEVGVETKFKNAQYKVMISVTHVPELNTLKVEEDGIHIGSAVRLAQLQNFLKKVIAERDLPETSSCQAIVRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAIGAKFQIIDVNSNVRTTLAKDFFLGYRKVDIKPDEILLSVILPWTRPFEYVKEFKQAHRREDDIALVNAGMRVYLREAEGKWIISDVSIVYGGVAAVPLSASKTENFLTGKNWNSELLDDAFNLLKEDIPLSENAPGGMVEFRRSLTLSFFFKFFLSVTHDMNMKGLLEDGLHADHVSAIQPYSRPVAVGTQGYEIVKQGTSVGQPMVHMSATLQVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSIAKSSPGFVGLFLSKDIPGTNHTGPIIHDEEVFASDVVTCVGQIIGIVVADTHDNAKNAANKVHIEYFELPAILSIEDAVKNGSFHPNTKRCLGKGDVEQCFMSGACDRIISGEVQVGGQEHFYMEPQCTLVWPVDSGNEMHMVSSTQAPQKHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAVSVSSYCLRRPVKLVLDRDVDMISSGQRHSFLGRYKVGFTKDGKILALDLEIYNNGGNSLDLSLAVLERAMFHAENVYDISNIRVSGQVCFTNFPSNTAFRGFGGPQSMLIAENWIHHIASELQQSPEDIKELNFHNDGTMLHYGQLLQNCRIRSVWDELKASCDFMEARKAVRSFNSNNRWRKRGITMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGTHNSFAELAQTCYMERVDLSAHGFYATPDIGFDWADGKGTPFLYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGFSINPAIDIGQIEGAFIQGLGWVAMEELKWGDNNHKWIRPGHLFTCGPGAYKIPSVNDIPLNFKVSLLKGAPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIFAARADEGHSEWFPLDNPATPERIRMACVDPITKKFADADYRPKLSV >PAN48954 pep chromosome:PHallii_v3.1:9:58307604:58321278:1 gene:PAHAL_9G415300 transcript:PAN48954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTREPEDTAAAEEWSDEAVFYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDRTTKKSLHFAINACLAPLYSVEGMHIITVEGIGDRQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKEPPTEEQIEDCLAGNLCRCTGYRPIIDAFRVFAKTDNLVYTNSSSQNTDGQAICPSTGKPCSCKNEADANANESSLSSSMERYSPYSYNEIDGNAYDDKELIFPPELQLRKVVPLKLKGFNEIRWFRPLKLNQVLHLKSCYPDAKLIIGNSEVGVETKFKNAQYKVMISVTHVPELNTLKVEEDGIHIGSAVRLAQLQNFLKKVIAERDLPETSSCQAIVRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAIGAKFQIIDVNSNVRTTLAKDFFLGYRKVDIKPDEILLSVILPWTRPFEYVKEFKQAHRREDDIALVNAGMRVYLREAEGKWIISDVSIVYGGVAAVPLSASKTENFLTGKNWNSELLDDAFNLLKEDIPLSENAPGGMVEFRRSLTLSFFFKFFLSVTHDMNMKGLLEDGLHADHVSAIQPYSRPVAVGTQGYEIVKQGTSVGQPMVHMSATLQVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSIAKSSPGFVGLFLSKDIPGTNHTGPIIHDEEVFASDVVTCVGQIIGIVVADTHDNAKNAANKVHIEYFELPAILSIEDAVKNGSFHPNTKRCLGKGDVEQCFMSGACDRIISGEVQVGGQEHFYMEPQCTLVWPVDSGNEMHMVSSTQAPQKHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAVSVSSYCLRRPVKLVLDRDVDMISSGQRHSFLGRYKVGFTKDGKILALDLEIYNNGGNSLDLSLAVLERAMFHAENVYDISNIRVSGQVCFTNFPSNTAFRGFGGPQSMLIAENWIHHIASELQQSPEDIKELNFHNDGTMLHYGQLLQNCRIRSVWDELKASCDFMEARKAVRSFNSNNRWRKRGITMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGTHNSFAELAQTCYMERVDLSAHGFYATPDIGFDWADGKGTPFLYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGFSINPAIDIGQIEGAFIQGLGWVAMEELKWGDNNHKWIRPGHLFTCGPGAYKIPSVNDIPLNFKVSLLKGAPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIFAARADEGHSEWFPLDNPATPERIRMACVDPITKKFADADYRPKLSV >PVH31407 pep chromosome:PHallii_v3.1:9:8470613:8471473:-1 gene:PAHAL_9G138500 transcript:PVH31407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLIDTLEGLGVTERPRYYSREYEHRGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPAIGEDHVLLGTPIIGWGPLFGNTQAPPGNPESSAAAVERDAQAQPLAGGNPEDGEQGSLALSAPEEGLPRE >PAN50066 pep chromosome:PHallii_v3.1:9:64257505:64260312:-1 gene:PAHAL_9G495600 transcript:PAN50066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGLRFLSPARPSRVSSERGDGRGFGSPTWSSPLGTLLDSPSSCVSEGRACEDGVSGFSSPTWGSPLEKLFNTPSSGVSDDSRVGGNGSGFSSPTWGSPLETLFNSPSSCVSDIRGGGNGSGSGIISTPKQASPLGMMFDSPSSCVSDSRGGGNGSGSVFSTPKHASPLETLLNSPSSCVSDNRGCGNSSSLRVSKERDGEVQKAEMLLRAITERYNGCFLHLRNATAELADLRRERIRLGAENLHLSHLLEELVVAEQSNQASAVALTPSLKPVQAEAASGCAPKSISIRSKSFISPKQPLREIQPQRLRVRASPAKEDTGGKEKDDGEVEMEAYGQGALKTELCNKWERGACPYDGRCRFAHGMEELRPVIRHPRYKTLACQLFAAASGCPYGHRCHFRHSLPSTAESC >PAN45439 pep chromosome:PHallii_v3.1:9:7035108:7039847:-1 gene:PAHAL_9G119200 transcript:PAN45439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLLSRTLSSSFLRSCRITYTSLLPTASRRHPGPFLSLRFCSAASAAVDVAADPAVASVSAGHPWPEWGEFLDKLRAKGYFKQVVPASGVSAGEGAAGGGEAIASDNAAAAAADNVATYPFREQNKVKNACLIFARERYDLLSSLPKQDIQAIVECGCPNTNRKPVNAAKKLREFLQVEEKDACGVCKFRESCDRAYLVPKAEEGVRTVNVVRILLEYAMDTNNLSGENSVSESVQESARKLLSKLIILSDTTIDPSVPKPVFQTSSKQQSSTKLSDKSKGARGSVRKGRETTAVEMKMGDWLCTNCNFLNFARNRHCLECKADGPKKVEAATTEMKMGDWICTQCHFMNFARNKICFKCEEPRPKRQLNPGEWECPSCNYVNFRRNRMCKKCSQDRPEDDTQDNQLALRNTRGAGKSRSFDFSDQDGDNDGDASPYKGFRKHVAGMRPKPDQKRTSAESRGDVDLDDGLLTAKPRSF >PAN46782 pep chromosome:PHallii_v3.1:9:14122559:14122963:-1 gene:PAHAL_9G217500 transcript:PAN46782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPARIETRCESDGLVSAWLGMETVPPPPRSRTEPDLPPSGTDSAWRRERGREETARRQREKTARQRLGREVTWILPCRSCRTATAWESGQRVGGVDVGVAGGAERRVGAAGARSQTLAWPASQPAHR >PAN48567 pep chromosome:PHallii_v3.1:9:56256584:56261136:-1 gene:PAHAL_9G390800 transcript:PAN48567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVDLDLNCRPPSPEPAVAQETRRPMLRQEQPFPDQMKGLHKFYGHFWKQPNSAQSSLHPTHENMLMNLGSRANQKQEAFANSNKRKSSSDIVEQNSDVRTSIRRKSDPYHVVIDLEKPTTSGDAGEIVGCSGFSNLANQNGRSQDGSCCISPENSSLAESTQLCRDWNSSRANRVGSSDTPDCQSPIKPSSTESRHSLIDLNVPQEESLLVSSLFHSSSTYPGNFSKSPREVSEAECGSGIGSIRGSSISVITSNPVADSSRDMVAESPIQREALFDLNVSLENIDMPLEIISNYRDKVVNNDVSKGTAPDHSFSMKNSLQAETSSKYLVHGNDHMLARKDDNNVHLPTSTNNGISKVQSPESGTINRELLVTESLVDNNVTRVSISHNQASNLQEVSILQAKAHDDDTTASIAARTLLSIFQHNSARVADCPGGNSTTSAQNGNNEPQPSLDSFEKTVLSLEEIKDDGQSIKATPPDRGGPPCGIKLKRGRGMRNFQREIMPGLVSLARQEICEDLEAIGYEPKKTRSRKTRKGQGSCSTRSRPLKRGSAARN >PAN48566 pep chromosome:PHallii_v3.1:9:56257659:56260564:-1 gene:PAHAL_9G390800 transcript:PAN48566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVDLDLNCRPPSPEPAVAQETRRPMLRQEQPFPDQMKGLHKFYGHFWKQPNSAQSSLHPTHENMLMNLGSRANQKQEAFANSNKRKSSSDIVEQNSDVRTSIRRKSDPYHVVIDLEKPTTSGDAGEIVGCSGFSNLANQNGRSQDGSCCISPENSSLAESTQLCRDWNSSRANRGSVGSSDTPDCQSPIKPSSTESRHSLIDLNVPQEESLLVSSLFHSSSTYPGNFSKSPREVSEAECGSGIGSIRGSSISVITSNPVADSSRDMVAESPIQREALFDLNVSLENIDMPLEIISNYRDKVVNNDVSKGTAPDHSFSMKNSLQAETSSKYLVHGNDHMLARKDDNNVHLPTSTNNGISKVQSPESGTINRELLVTESLVDNNVTRVSISHNQASNLQEVSILQAKAHDDDTTASIAARTLLSIFQHNSARVADCPGGNSTTSAQNGNNEPQPSLDSFEKTVLSLEEIKDDGQSIKATPPDRGGPPCGIKLKRGRGMRNFQREIMPGLVSLARQEICEDLEAIGYEPKKTRSRKTRKGQGSCSTRSRPLKRGSAARN >PAN43979 pep chromosome:PHallii_v3.1:9:543562:546085:-1 gene:PAHAL_9G008600 transcript:PAN43979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRCRCRRRRVLPLLSQCSNVRRRSTFAKLRPPPRRRAHIDIRSNSDDPLALARRRGTTAGRALFELPFQCSLLRLHGTAAVPHATPRQPAHDGVCSTSENPAAPEQQRGAAGTRAVLEETPNRDAVSYAAKVALHLQHRNLPRAEALFRAAPAAARGLYLDTIMLDGYVKAGRVDRARELFDGMSVKNVVSWTCMVSGYCRAGRVGEARRLFDVMPDRNVVSWTAMVQGYASNGMLREAREMFDRMPERNVVAWTVMVKAYADSGQIQEAWELFDRMPERNSYSWDAMISGFLSAGKVDEAVQLFERMPHRDVVSWTTMVTGLAKNGFVCRAREFFDRMPAKDTAAWNAMITAYAKNGQLNEAQRLFNSMPAKDLVTWNTVIEAYSKNERKDEAVNVFLLMRRSEVSPNISTLISILVISESTMEVKQIHGLVVTLGLLSKIDLGNALLTMYSRSGDLLSASLAFKRLEVKDTITWTSIMQAFANHGCGYHAVQGFAQMLRHGYKPTSTTFTAILSACSHVGLVEKGRKMFKSIYHVFGLEPTIEHYSCLVDLLGRAGYVREAKELVDSMQQGMRDEAILGTLLGACMMHNEIEVAREVGEDLVRFETSDSGLYTLLANVFASHGMWHETANVWKIMRGSKTKKAPGFSQIEVGMRNHVFYSRDQEHPQCAEIYRMLNDTVIPQMKGSPCMGFWVPTLQSDPTIYQA >PAN49174 pep chromosome:PHallii_v3.1:9:59653979:59659427:-1 gene:PAHAL_9G431800 transcript:PAN49174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQDGAGENRSKVASETKLIKQPTWVFVEKESVNDLRERILQLTGHGCHEEEEILQADLLEKLNRCKRDTLIELCRSFGVIGSRANRKEELVSFLMEFVKDQCSDIDGAYSDKKIKKRRRMKEEESLSTGKPSKKNKQDGQEDADGKNGGEDRAKYSDCDLMDNRYIYADNKKGKFPKEQTNLEPSERINGCVSEHFDGVSHSEVPNPTYEQAMITTPSKKLVTIADGDSTDVKAFKNNSSITKKKGTPKEDRKVKSCGKQESKGDTKPRKHAMKPSKEELREAVFLILDTADFATMTFGDVVKEVDRYFGKDLFERKPLIRSLIEEELFRLTEEAEKKELEEEELAEAKARAEQAAKEMSQVQTVESGIEKQNLLQASPDGKTKDSSKNTNDSTNDKGVNGGASVDSAIKRNSSDAAEDLQDYKADADTQNENVRDELMKDGKGEMVAPIANSDSAVQDSSPSNYEDETMKNSNVQILEDSKNGKVEGASNGENNDTEASRNEEGRSGDVGSNADAVNGCEAEESNNRGNDEHAEHTEDGKAQEAHNTENSINVEIHGDKDGKAKEEDINAEQSQADAGSNGKAEDDEHNANTKGDVDSGKNRAAEKGKTDDDVKGNSDGDS >PAN51140 pep chromosome:PHallii_v3.1:9:69392013:69396186:1 gene:PAHAL_9G573500 transcript:PAN51140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFRDQLLEYYASNKSTGDGEENMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKEHNAAKESLQNISLQKNSNGPINGQPNGSHKELAATWVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQAFFGSPQEFSGNTDNGYILFYESLAEKS >PAN46732 pep chromosome:PHallii_v3.1:9:13629162:13629716:-1 gene:PAHAL_9G211100 transcript:PAN46732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVFLAVLFVLLLQASSRAAARRHHHDHDHDHDHHDHDHDHHDHGEPDDPCRGGGGPGLLGHKDHGCSSPAVSPHGGTPAVMTVNGFQKDEDGGGPSECDGKYHDDREMLVALSTGWYAGGRRCHKQIRITHNGRTVVAKVVDECDSRHGCKTNIVDTSKAVWHALGLDTKIGEVPVTWSDA >PVH31365 pep chromosome:PHallii_v3.1:9:7606490:7611207:-1 gene:PAHAL_9G127400 transcript:PVH31365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nuclear transcription factor Y subunit A-1 [Source: Projected from Oryza sativa (Os03g0696300)] MESRPGGTNLVEQRAQGAVLPSGGAAMQPWWTSSGAGLGAVSPAVVAPGSGAGISLSSSPVGGGGGGGGAGAAKGAASDESSEDSRRSGEPKDGSAGQEKNHATSQMPALMSEYLTPYSQLELNQSIGSAAYQYPDPYYAGMIPPYGTQAVAHFQLPGLSHSRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKTRKPYLHESRHQHAMRRARGNGGRFLNTKKSDNGTPDGNAEPKKGDQNSEHLHVPPDLLQLRQNEA >PAN45559 pep chromosome:PHallii_v3.1:9:7606508:7611207:-1 gene:PAHAL_9G127400 transcript:PAN45559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nuclear transcription factor Y subunit A-1 [Source: Projected from Oryza sativa (Os03g0696300)] MESRPGGTNLVEQRAQGAVLPSGGAAMQPWWTSSGAGLGAVSPAVVAPGSGAGISLSSSPVGGGGGGGGAGAAKGAASDESSEDSRRSGEPKDGSAGQEKNHATSQMPALMSEYLTPYSQLELNQSIGSAAYQYPDPYYAGMIPPYGTQAVAHFQLPGLSHSRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKTRKPYLHESRHQHAMRRARGNGGRFLNTKKSDNGTPDGNAEPKKGDQNSEHLHVPPDLLQLRQNEA >PAN44108 pep chromosome:PHallii_v3.1:9:1040284:1042206:-1 gene:PAHAL_9G017900 transcript:PAN44108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLQQHPGDLALLCCLLLLPPLLSHGADIPLGSTLSPGRSASWTSPNNTFSLSFTPSPTSPSLFVAAITYAGGIPVWSAGAGAAIDSRGSLRLTPNGDLQLVNGSGAVLWSSNTGGQGVAAAAVQESGNLVLKSSTAILWQSFDHPTDTVVMSQNFTSGMNLTSGPYVFAVDKNSGNLTLKWTSGSNTVTYFNKGYNTSSTGSKTLSLPTLTMQTNGIVSLTDGQLASPVVVAYSSNYGESGDMMRFLRLDADGNFRAYSAARGSDAATDQWSAVADQCQVFGYCGNMGVCSYNGTSPVCGCPSRNFELSNPSNPRQGCKRKVDLQNCPGNSTMLQLDNTQFLTYPPEAITETFYTGITACRLNCLFASSCVASTALSDGSGLCFLKISSFVSAYQSAALPSTSFVKVCFPGIPNPPPSPSR >PAN50100 pep chromosome:PHallii_v3.1:9:64441923:64443774:-1 gene:PAHAL_9G498000 transcript:PAN50100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase reductase 2a [Source:Projected from Arabidopsis thaliana (AT3G51680) UniProtKB/Swiss-Prot;Acc:Q9SCU0] MHASLASYAAAAMPTLDLRPEMAHAHQPAMSPSHHAWDGNGAAVAPTPMPKRMDGKVAIVTGGARGIGEAIVRLFVKHGARVVIADIDDAAGKALASALGPQVSFVRCDVSVEEDVKRAVDWALSRHGGRLDVYCNNAGVLGRQTRAAKSILSFDASEFDRVLRVNALGAALGMKHAALAMAPRRAGSIVSVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCVSPFGVATPMLINAWRQGHDDAGDADLDLDITVPSDEEVDKMEEVVRGLATLKGTTLRPRDIAEAVLFLASDESRYISGHNLVVDGGVTTSRNLIGL >PVH33340 pep chromosome:PHallii_v3.1:9:73123098:73125485:1 gene:PAHAL_9G632200 transcript:PVH33340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRRLNSSPGASLPPVHHHLLLRARSPATRHLRPAMLQAVRYLLGSPGATGFGSKSTAEDVTAACPDLGALTAIITGATSGIGAETARVLAKRGARVVIPARSVKAAEDMRARIRDECPGADVLVLPLDLSSLASVRAFADRFLALGLPLHLLIRNNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAESGVQGRIVNVSSSVHGWFAGDWAEYLDLVTRRKIAYDATQAYAVSKLANVLHTRELAARLQETGANVTVNCVHPGIVRTRLNRDRDGILTDLVFLLLSKLLKTIPQAAATTCYVAAHPRVAGVSGRYFADCNEALPSPAATNRHEAERLWRISEAIIGGTAALP >PVH33341 pep chromosome:PHallii_v3.1:9:73123098:73125485:1 gene:PAHAL_9G632200 transcript:PVH33341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRRLNSSPGASLPPVHHHLLLRARSPATRHLRPAMLQAVRYLLGSPGATGFGSKSTAEDVTAACPDLGALTAIITGATSGIGAETARVLAKRGARVVIPARSVKAAEDMRARIRDECPGADVLVLPLDLSSLASVRAFADRFLALGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAESGVQGRIVNVSSSVHGWFAGDWAEYLDLVTRRKIAYDATQAYAVSKLANVLHTRELAARLQETGANVTVNCVHPGIVRTRLNRDRDGILTDLVFLLLSKLLKTIPQAAATTCYVAAHPRVAGVSGRYFADCNEALPSPAATNRHEAERLWRISEAIIGGTAALP >PAN47790 pep chromosome:PHallii_v3.1:9:33329827:33331071:-1 gene:PAHAL_9G303400 transcript:PAN47790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWGLAAAVASAAAVAAASGAELLACDCDAAAPPAVGRCDGLLLSRQHHDDEAREGSASLASASTEKPRGGGGNRFAPRFDGLRFIETLVTAHR >PAN49698 pep chromosome:PHallii_v3.1:9:62362126:62369707:-1 gene:PAHAL_9G468900 transcript:PAN49698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPEDLRCKRSDGKQWRCGAPSVPGKTVCEKHYVQAKRRSASSALRATLRRSSASAAGGAPVLPFRSTAAAARLGPPPMAVARPVYSRVAGEAVYVAEPVPAPAPASRGPAYDGLPLGNAAGARTAAELVGRCPAWSTDAGPAGTTSCHQCRKAGAVLWCSSCDRRGYCAGCISRWYSDISIDDVRKVCPACRGICNCRVCLQGDNLIKARVQEIPVVDKLRYLHCLLSHVLPVLKQIYSDQCFEIGVETRSSGPKTDILRAKINFDEQMCCDFCKVPVFDYHRHCPKCLYDLCLDCCRDIRRSRATVARGEHTEGHVEDKSRDSFSRRARLEPSAESVNDKSCYQPMDLNNIDIRSLVPTWRVSNDGSLTCGPHEAGGCGSSKLVLRRIFKINWIAKLVKSSEEMVNGCKVHDLQDGCLSYIDGRQLELIGQHDHGLSKCSNSDDISGNCVYSPVLEDLKHEGIMQFRKHWIKAEPIVIRKAFEPSLSSIWDPLSIWRGIQEIMDEEMDEDVIVKAVDCSNQSEVDIELKQFIKGYSDGNKGGDGRLLMLKLKEWPQPSVLEEFLLCHRPEFIVNFPLVDFIHPRWGLLNLAAKLPQDALQPEVGMKLLIAYGSRQELGKGDPVMNLTINMGDVVHMLMHAVEVRNQYPNRPPSNGSERIANGTSTHVNDHTPVPDLDLIVGEQEYKHAISHCEEAKANNLEGSQAGAVWDVFRRQDLPKLNEYLAAHREEFGANCQAVPSVKYPIYDQNVYLNNHHKKTLKDQYGIEPCTFHQHIGEAVFIPAGCPFQVKNLQSTVQLALNFLSPESLPESVRMAQEIRCLPNDHFAKLKMLEVKKISLYAASSAVREIQRITLDPKFNLDASFEDQNLTRAVSENLARVNKQRKVSCS >PAN49699 pep chromosome:PHallii_v3.1:9:62361799:62370361:-1 gene:PAHAL_9G468900 transcript:PAN49699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPEDLRCKRSDGKQWRCGAPSVPGKTVCEKHYVQAKRRSASSALRATLRRSSASAAGGAPVLPFRSTAAAARLGPPPMAVARPVYSRVAGEAVYVAEPVPAPAPASRGPAYDGLPLGNAAGARTAAELVGRCPAWSTDAGPAGTTSCHQCRKAGAVLWCSSCDRRGYCAGCISRWYSDISIDDVRKVCPACRGICNCRVCLQGDNLIKARVQEIPVVDKLRYLHCLLSHVLPVLKQIYSDQCFEIGVETRSSGPKTDILRAKINFDEQMCCDFCKVPVFDYHRHCPKCLYDLCLDCCRDIRRSRATVARGEHTEGHVEDKSRDSFSRRARLEPSAESVNDKSCYQPMDLNNIDIRSLVPTWRVSNDGSLTCGPHEAGGCGSSKLVLRRIFKINWIAKLVKSSEEMVNGCKVHDLQDGCLSYIDGRQLELIGQHDHGLSKCSNSDDISGNCVYSPVLEDLKHEGIMQFRKHWIKAEPIVIRKAFEPSLSSIWDPLSIWRGIQEIMDEEMDEDVIVKAVDCSNQSEVDIELKQFIKGYSDGNKGGDGRLLMLKLKEWPQPSVLEEFLLCHRPEFIVNFPLVDFIHPRWGLLNLAAKLPQDALQPEVGMKLLIAYGSRQELGKGDPVMNLTINMGDVVHMLMHAVEVRNQYPNRPPSNGSERIANGTSTHVNDHTPVPDLDLIVGEQEYKHAISHCEEAKANNLEGSQAGAVWDVFRRQDLPKLNEYLAAHREEFGANCQAVPSVKYPIYDQNVYLNNHHKKTLKDQYGIEPCTFHQHIGEAVFIPAGCPFQVKNLQSTVQLALNFLSPESLPESVRMAQEIRCLPNDHFAKLKMLEVQS >PVH33206 pep chromosome:PHallii_v3.1:9:70648204:70657511:-1 gene:PAHAL_9G595300 transcript:PVH33206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHATLPFSCSSSLQTLSRSLSARGALHLRRGFLRLPSLAALPRLARAPSPCRRHVSASAAASPNGASAEGEYDYDLFTIGAGSGGVRASRFASTLYGARVAICEMPFATVASDEHGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESHGFGWTYETDPKHDWSTLIANKNTELQRLVGIYKNILNSAGVTLIEGRGKIVDPHTVSVNGKLYTAKNILIGVGGRPSMPDIPGIEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEVRDFIAEQMSLRGITFHAEQTPQAVTKSNDGLLSLKTNKETSGGFSHVMFATGRRPNTKNLGLEEVGVEMDKNGAIVVDEYSRTSVDSIWAVGDVTNRINLTPVALMEGGAFAKTCFGNEPTKPDYRAVPSAVFSQPPIGQVGLSEEQAIEEYGDVDVFVANFRPLRATLSGLPDRVLMKIIVCAATDKVVGVHMCGDDAPEIIQGIAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKIRRSSADQVESKDEVATKQ >PVH31922 pep chromosome:PHallii_v3.1:9:20919931:20920749:1 gene:PAHAL_9G264300 transcript:PVH31922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVTRDIQGDISWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTQTEYMMCDFSPTRHEDRDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGSLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDWVGVAPIEEKLIQHRLRWFGHVQRRTPEAPVRSGVLKRGDNVKRGKGRPRLTWDETVKRNLKEWNIAKELAMDRNAWTVSYSGVAP >PAN51937 pep chromosome:PHallii_v3.1:9:73047835:73052052:-1 gene:PAHAL_9G631400 transcript:PAN51937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMVVDDSGGGRWDFLDWVGPDTSSCIFQLLDDPADLARAAAVSRSWRRFVIDHEFCKTLCRRICPEVANFTRAVVVTRSPPAPERASESSRDTEFRTRERDHVVYSYLGGALVSAKPSMDCILHCIGASSTDYFPEETIDNTLEPRDRVNHRPSYWSSGGHEDPDAPESLTYRLSSDLCMIDEVRVQPFEAFFQTGNPIYASKAVRIRLGHSNLPPGEESFVTDENENRRAIADENYVWTYTSPEFPMLPENVLQTFKLPRPVLCIGGVVKIELLGRIQKQASDHKYYICVCHAQVIGRSLSPEFMVDISDPADYSILKYLPGASNLRIEDIINSDAKDSTEWHSLVSRYRQMRHVAMVNMLLGPVQFMDGDDDVGGVTDDDMYM >PAN45708 pep chromosome:PHallii_v3.1:9:8268082:8271754:-1 gene:PAHAL_9G135800 transcript:PAN45708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVPRWHGIPVKTLWFSQCMVCACGAHAICFPSKDEKYITTDHYIALNFLPLVICSMHSDLHVLNDDYICLIPSFPEGLAICASWCWSFRLSNKGIGRPTIEAGTVQEAMLRVLATVGWTWTWPSCTHVVMSTDIVGCKESGQRLLAPEAVHKSLVLPKDGKDQKELLHLLAKIAKRIQVARTYAENWISQCGGLVGG >PVH32603 pep chromosome:PHallii_v3.1:9:60494541:60495331:1 gene:PAHAL_9G440200 transcript:PVH32603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNNVGDAQKWMLELGVRYAAVHLPASGQAVALECMGKTWKTQMVIHNGRRWFLNGGWAKFARDNGLRVGDICLFDLKKYPRELTMNVHIISREQLSLK >PAN51138 pep chromosome:PHallii_v3.1:9:69382415:69386558:1 gene:PAHAL_9G573300 transcript:PAN51138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPPEDLQCAANGYCAAPPGKAPVAPAGGDGELRWLRRCLAAAGKGFAIGAGLKGGLALFSVLVRIRSRRSPRSRKAGAMTNEEAVVLAVKETVRYGLFLGTFAGSYVSVDEYIAAVWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWSHGDIFLMCLASAQILSAYILKQDSLPSSYKSFLNKHGGKDLTILQGVKDIVNHTAFTNLAGIEKHYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKRPDTILGKSLLGIARSSLFLSVYCASAWAWTCLLFRTFQSANTPLVILGTFPTGLALFIEKKSRRIEISLYCLARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPLDNEGKSCYQPDEAKKCQ >PAN51137 pep chromosome:PHallii_v3.1:9:69382415:69386558:1 gene:PAHAL_9G573300 transcript:PAN51137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPPSGDVRARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWSHGDIFLMCLASAQILSAYILKQDSLPSSYKSFLNKHGGKDLTILQGVKDIVNHTAFTNLAGIEKHYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKRPDTILGKSLLGIARSSLFLSVYCASAWAWTCLLFRTFQSANTPLVILGTFPTGLALFIEKKSRRIEISLYCLARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPLDNEGKSCYQPDEAKKCQ >PAN44688 pep chromosome:PHallii_v3.1:9:3514959:3519245:1 gene:PAHAL_9G061700 transcript:PAN44688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPHADNGAYSFLDTTGPCSTRFSSGSVTSEDSPALTPRLLSIQSSSSPDNYSSEWPDRAAASRSNRYLFDANAQARSAECLDLMRVEIDAQLGKLKGGVTGLENYALPDNGRVIGGAHLGMSLDVMLIEIDERFHALKLLMGAVFRQAREMLGSVNASASDLHWEHELQLELFGATIGECVSGLQEELERRLYEQINITNTMSRNWKEAIAQFAAMREDLGALCKLLLPSVPEAHISNSKHESSGSRSNRWKYTFFGKKTKDDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMSRDEVISYFKSEISKLKRMHESALQEKTEELFRLKREKGSHSLKNDVEFEPLRKKIPEIISRMDQIISKNIKVPAICMTHDELSERCRLTSRIDALYYENQHLRGLLADKMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKIREEYEDLRIEGDVRDGLYQAVTKQLLDDSKDNMDGAAMNFSAKMSSLESVISEKDKALCLYNEENHRLKEKLAELEKGRLIQNHQENPEVIKQESTEIFLRDIEVEPRTSPRRSNGHDLQYGELVKLNSSLEIESGALKEIDKKNVDRSSSTAKKEHERQLECILVSIMKLSKEFVEIEKKLSVGRTENRSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGEKINAHLSLLQKIYLTLDRYSPTLQHHPGLLDAFLKTCKLVADLRSKQNEGDTT >PAN44687 pep chromosome:PHallii_v3.1:9:3514959:3519245:1 gene:PAHAL_9G061700 transcript:PAN44687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRPFLSERTNPLLRRSSSPSGVMLLQPHADNGAYSFLDTTGPCSTRFSSGSVTSEDSPALTPRLLSIQSSSSPDNYSSEWPDRAAASRSNRYLFDANAQARSAECLDLMRVEIDAQLGKLKGGVTGLENYALPDNGRVIGGAHLGMSLDVMLIEIDERFHALKLLMGAVFRQAREMLGSVNASASDLHWEHELQLELFGATIGECVSGLQEELERRLYEQINITNTMSRNWKEAIAQFAAMREDLGALCKLLLPSVPEAHISNSKHESSGSRSNRWKYTFFGKKTKDDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMSRDEVISYFKSEISKLKRMHESALQEKTEELFRLKREKGSHSLKNDVEFEPLRKKIPEIISRMDQIISKNIKVPAICMTHDELSERCRLTSRIDALYYENQHLRGLLADKMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKIREEYEDLRIEGDVRDGLYQAVTKQLLDDSKDNMDGAAMNFSAKMSSLESVISEKDKALCLYNEENHRLKEKLAELEKGRLIQNHQENPEVIKQESTEIFLRDIEVEPRTSPRRSNGHDLQYGELVKLNSSLEIESGALKEIDKKNVDRSSSTAKKEHERQLECILVSIMKLSKEFVEIEKKLSVGRTENRSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGEKINAHLSLLQKIYLTLDRYSPTLQHHPGLLDAFLKTCKLVADLRSKQNEGDTT >PVH31118 pep chromosome:PHallii_v3.1:9:3514959:3519245:1 gene:PAHAL_9G061700 transcript:PVH31118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPHADNGAYSFLDTTGPCSTRFSSGSVTSEDSPALTPRLLSIQSSSSPDNYSSEWPDRAAASRSNRYLFDANAQARSAECLDLMRVEIDAQLGKLKGGVTGLENYALPDNGRVIGGAHLGMSLDVMLIEIDERFHALKLLMGAVFRQAREMLGSVNASASDLHWEHELQLELFGATIGECVSGLQEELERRLYEQINITNTMSRNWKEAIAQFAAMREDLGALCKLLLPSVPEAHISNSKHESSGSRSNRWKYTFFGKKTKDDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMSRDEVISYFKSEISKLKRMHESALQEKTEELFRLKREKGSHSLKNDVEFEPLRKKIPEIISRMDQIISKNIKVPAICMTHDELSERCRLTSRIDALYYENQHLRGLLADKMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKIREEYEDLRIEGDVRDGLYQAVTKQLLDDSKDNMDGAAMNFSAKMSSLESVISEKDKALCLYNEENHRLKEKLAELEKGRLIQNHQENPEVIKQESTEIFLRDIEVEPRTSPRRSNGHDLQYGELVKLNSSLEIESGALKEIDKKNVDRSSSTAKKEHERQLECILVSIMKLSKEFVEIEKKLSVGRTENRSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGEKINAHLSLLQKIYLTLDRYSPTLQHHPGLLDAFLKTCKLVADLRSKQNEGDTT >PAN44686 pep chromosome:PHallii_v3.1:9:3514959:3518529:1 gene:PAHAL_9G061700 transcript:PAN44686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRPFLSERTNPLLRRSSSPSGVMLLQPHADNGAYSFLDTTGPCSTRFSSGSVTSEDSPALTPRLLSIQSSSSPDNYSSEWPDRAAASRSNRYLFDANAQARSAECLDLMRVEIDAQLGKLKGGVTGLENYALPDNGRVIGGAHLGMSLDVMLIEIDERFHALKLLMGAVFRQAREMLGSVNASASDLHWEHELQLELFGATIGECVSGLQEELERRLYEQINITNTMSRNWKEAIAQFAAMREDLGALCKLLLPSVPEAHISNSKHESSGSRSNRWKYTFFGKKTKDDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMSRDEVISYFKSEISKLKRMHESALQEKTEELFRLKREKGSHSLKNDVEFEPLRKKIPEIISRMDQIISKNIKVPAICMTHDELSERCRLTSRIDALYYENQHLRGLLADKMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKIREEYEDLRIEGDVRDGLYQAVTKQLLDDSKDNMDGAAMNFSAKMSSLESVISEKDKALCLYNEENHRLKEKLAELEKGRLIQNHQENPEVIKQESTEIFLRDIEVEPRTSPRRSNGHDLQYGELVKLNSSLEIESGALKEIDKKNVDRSSSTAKKEHERQLECILVSIMKLSKEFVEIEKKLSVGRTENRSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGEKINAHLSLLQKIYLTLDRYSPTLQHHPGVSTMCQCHIKC >PAN44689 pep chromosome:PHallii_v3.1:9:3514959:3519245:1 gene:PAHAL_9G061700 transcript:PAN44689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPHADNGAYSFLDTTGPCSTRFSSGSVTSEDSPALTPRLLSIQSSSSPDNYSSEWPDRAAASRSNRYLFDANAQARSAECLDLMRVEIDAQLGKLKGGVTGLENYALPDNGRVIGGAHLGMSLDVMLIEIDERFHALKLLMGAVFRQAREMLGSVNASASDLHWEHELQLELFGATIGECVSGLQEELERRLYEQINITNTMSRNWKEAIAQFAAMREDLGALCKLLLPSVPEAHISNSKHESSGSRSNRWKYTFFGKKTKDDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMSRDEVISYFKSEISKLKRMHESALQEKTEELFRLKREKGSHSLKNDVEFEPLRKKIPEIISRMDQIISKNIKVPAICMTHDELSERCRLTSRIDALYYENQHLRGLLADKMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKIREEYEDLRIEGDVRDGLYQAVTKQLLDDSKDNMDGAAMNFSAKMSSLESVISEKDKALCLYNEENHRLKEKLAELEKGRLIQNHQENPEVIKQESTEIFLRDIEVEPRTSPRRSNGHDLQYGELVKLNSSLEIESGALKEIDKKNVDRSSSTAKKEHERQLECILVSIMKLSKEFVEIEKKLSVGRTENRSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGEKINAHLSLLQKIYLTLDRYSPTLQHHPGLLDAFLKTCKLVADLRSKQNEGDTT >PVH31117 pep chromosome:PHallii_v3.1:9:3514959:3519245:1 gene:PAHAL_9G061700 transcript:PVH31117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPHADNGAYSFLDTTGPCSTRFSSGSVTSEDSPALTPRLLSIQSSSSPDNYSSEWPDRAAASRSNRYLFDANAQARSAECLDLMRVEIDAQLGKLKGGVTGLENYALPDNGRVIGGAHLGMSLDVMLIEIDERFHALKLLMGAVFRQAREMLGSVNASASDLHWEHELQLELFGATIGECVSGLQEELERRLYEQINITNTMSRNWKEAIAQFAAMREDLGALCKLLLPSVPEAHISNSKHESSGSRSNRWKYTFFGKKTKDDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMSRDEVISYFKSEISKLKRMHESALQEKTEELFRLKREKGSHSLKNDVEFEPLRKKIPEIISRMDQIISKNIKVPAICMTHDELSERCRLTSRIDALYYENQHLRGLLADKMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKIREEYEDLRIEGDVRDGLYQAVTKQLLDDSKDNMDGAAMNFSAKMSSLESVISEKDKALCLYNEENHRLKEKLAELEKGRLIQNHQENPEVIKQESTEIFLRDIEVEPRTSPRRSNGHDLQYGELVKLNSSLEIESGALKEIDKKNVDRSSSTAKKEHERQLECILVSIMKLSKEFVEIEKKLSVGRTENRSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGEKINAHLSLLQKIYLTLDRYSPTLQHHPGLLDAFLKTCKLVADLRSKQNEGDTT >PAN45233 pep chromosome:PHallii_v3.1:9:6026534:6034521:-1 gene:PAHAL_9G103900 transcript:PAN45233 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MPRVKPTPEMEEEAEEVRQEVEGAGGAEGSPDAADPGAGGEEGTEEEEKEVSFDELGLDEQLKRALRKKGIAKATPIQQEAIPLILEGKDVVAKAKTGSGKTFAYLLPLLHDLLKLSLEGRIRKPAPNAFILVPTRELCQQVYNEASSLLEFCTSKLKVVQVTASMSDKDITVALSGPPNILVSTPACVATCISKGIMRGSSIKESLSMMILDEADLLLSYRCEDDLKALIPHIPRSCQSILMSATSSSDVDKLTKLLLHNPFVLTLMEVGRAKDDVIPKNVQQFWISCDAKDKMLHILALLKFELIQKKVLIFVNSIDAAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDAKTKEEKQTDKENKKEARVSRKHLQQTLDAEFGVVRGIDFKNVFTVVNFDMPPDPAGYVHRIGRTGRANKTGASVSLVSEVESSIFEEIEHMLQDIENKDTDCISPFPLLTKDAVDSLRYRAQDVARSVTTRDIQEARRQDIKNEILNSEKLKSHFEENPRDLDLLKHDKLLSNKEIPAHLRDVPDYLIDPKTKEASNVVKLSRAAMGIDKPQRRKRQGFKGGSGRSRDPLRTFSAEGKSRRRGMKDREGEQDRRKKSKKAES >PVH31398 pep chromosome:PHallii_v3.1:9:8059907:8064940:1 gene:PAHAL_9G133800 transcript:PVH31398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDADVDVEMRAAAAAPGGDDGEDDTGEEEDDDGDDVYDEDEEERTAPAPAEEPPAPAPVSALPGNPNQLTLLFQGEVYVFESVTPDKVQAVLLLLGRGELPPDYAGKVVPSQNENKGYDDILRRTDIPAKRVASLLRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPAPGCDPGSQSSGLDFVSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPKAKVESSVVATEQAGNDNKALVTPKNDNVSASNGEATSAAETGVPKAP >PVH31397 pep chromosome:PHallii_v3.1:9:8060018:8064535:1 gene:PAHAL_9G133800 transcript:PVH31397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDADVDVEMRAAAAAPGGDDGEDDTGEEEDDDGDDVYDEDEEERTAPAPAEEPPAPAPVSALPGNPNQLTLLFQGEVYVFESVTPDKVQAVLLLLGRGELPPDYAGKVVPSQNENKGYDDILRRTDIPAKRVASLLRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPAPGCDPGSQSSGLDFVSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPKAKVESSVVATEQAGNDNKALVTPKNDNVSASNGEA >PVH31396 pep chromosome:PHallii_v3.1:9:8059906:8064940:1 gene:PAHAL_9G133800 transcript:PVH31396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDADVDVEMRAAAAAPGGDDGEDDTGEEEDDDGDDVYDEDEEERTAPAPAEEPPAPAPVSALPGNPNQLTLLFQGEVYVFESVTPDKVQAVLLLLGRGELPPDYAGKVVPSQNENKGYDDILRRTDIPAKRVASLLRFREKRKERNFDKKIRYAVRKEVALRCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPKAKVESSVVATEQAGNDNKALVTPKNDNVSASNGEATSAAETGVPKAP >PAN44997 pep chromosome:PHallii_v3.1:9:4979767:4981031:-1 gene:PAHAL_9G086700 transcript:PAN44997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREYGRGHEEEEEEEHEPQPGCGEGAGQAAANCAAVCCCCPLALLDVLLLVIVKLPAGVMRRVRRRRRRLRASRKKRSATAAEPASPSGSSGKAMIGAASSPLETEEEEEARGEAAAAAELEREIMSSRFYGAGFWRSVSSGSSSSASMRYQ >PAN43962 pep chromosome:PHallii_v3.1:9:474227:478229:1 gene:PAHAL_9G006900 transcript:PAN43962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHRKNFRRRADDAEDANGDAGSHPKPTTATKTQTLKVSKPKSPPSRQGASRLSFADDEDDDDAEEGPFAHRRRPTASVRPARTASPAAGSLHRLTPARERLRSSPAAAIAAVSAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLMRAPSSTPATEPRSQRLAAAQASSTPTASTAAATGPVVILKGLVKPMAEASIGPRKSLQKEEEDKSEEEERDEEDEGPVIPDRATIEAIRAKRQQLQQPRHAAPDYISLDGGGVLSSRNAGGESSDEDDNETRGRIAMYTDKSSDGPKSTKSVFGEINNRGPAASLGALGDGVKEVEDDRDDDDDEEERRWEEEQFRKGLGRRVDDTSSAQRSANGASAAAQVQPQPFGYSVGSHYQPSLSGVVPAASVFTSGSMEFLSIAQQADVANKALQENIRKLRESHKTTVSALVKTDTHLIEALSEISSLDSGLKDAENKFVYMQELRNYISVMCDFLNDKAFYIEELEDHMQKLHENRALAISDRRAADLADESGVIEAAVNAAVSILSKGSSSAHLSAASNAAQAAAAAARESSNLPPELDEFGRDINLQKRMDLKRREENRSRRKTKSESKRMASAVKNNSIEKIEGELSTDESDSESTAYVSSRDELLKTADLVFSDASEEYSSLRIVKDKFEGWRTQYPSAYRDAHVALSVPSVFTPYVRLELLKWDPLHEATDFFDMDWHKVLFDYGAQDDESASGSNDTDVVPVLVEKVALPILHHRIKHCWDVLSTQSTENAVDAVRMVIGYLPTSSKDLHQLLACVKSRLTQAIADLSVPAWGSMVTRTVPGAAQYAAYRFGVATRLLKNACLWKNILAGHVVEKLALDELLKGKILPHMKSIILDVHDAITRAERIAASLSGVWGEQSQKLQPFVDLVVELGNKLERRQTSGISEEETRGLARRLKIILATLNEYDKARAISKKFQLREAL >PVH31344 pep chromosome:PHallii_v3.1:9:7120995:7124249:-1 gene:PAHAL_9G120700 transcript:PVH31344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRDGADDLSTRECSLGDETSTGARDAAKNCSRISSPPRGPRAPTTTQVATPPRARARSTENYLPASPSAPVLLPLLQSTHELHILTPRAFFRRLPSYFTRPPTTARVTSGTTTCSLHCCSSSSSLRMASSIASASWALPLQTGGSGAGVAAAAGPSCRVLLAVAAPRSAASLRRARVLVAPRCAALEGPGGGGEEEAKIGEEGKSEEGKKRARGRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVEALTEPAVFNMVRFVVAAIPFVPFAVRAFGDRRVRNAGLELGVWVSLAYLAQAIGLLSSDASRASFITAFTVIVVPLIDGLLGTSVPKLTWFGAIVSLLGIGLLECGGSPPCVGDVLNFFSAVFFGIHMLRTEQISRSTDRKNFLALLSFEVLVVAFSSILWFMFKDGYVDTSESRFESWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGATFAWFLLGERWDNAAWIGAALVLCGSLTVQLFGAAPEKSKKVEKRNRNALETPVKRQDYLSLSLIPVDSRKIIGRQLERKDKTL >PAN50198 pep chromosome:PHallii_v3.1:9:64923091:64927765:-1 gene:PAHAL_9G504700 transcript:PAN50198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASTMTWHEDLATLVGDTGVSLHGAGGEAPAAANVAAAGAGWYGEEEEGRAEEGWAQQAKGFAESTAEMLRELGRGLWDVAAQSLAGAEDSEVARRLRKRAAATGKRLSFMNEYLPEERDPVRCWLIVAAVAFVTLLVLGVGGGDETPFELPKKLIISPPSANRIQLPDGRHLAYEEQGVSADRARFSLIAPHSFLSSRLAGIPGISASLLEEFGARLVTYDLPGFGESDPHPGRNLNSSALDMLHLADALDIPDKFWVVGYSGGGMHAWSALRYIPDRVAGAAMFAPMANPYDSKMTKDERYKTWDRWSTKRKLMHILARRFPSLLPLFYRRSFLSGKQGQPESWLSLSLGKKDKTLLEGPEFNAFWERNVAESVRQGDARPFVEEAVLQVSDWGFSLSDIQMQKKEDRSFFELIKSLFNQVEREWVGFLGPIHIWQGMDDRVVSPSVAEFVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPIDPAPLPSDVASELAEETTAPGNLTEEEQGKSSLA >PAN46164 pep chromosome:PHallii_v3.1:9:10387317:10390435:1 gene:PAHAL_9G166600 transcript:PAN46164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLAGGAATVRSSSAAAAFRRLLHTGSGGGCGSGETESVAYRMSMLRRPSSVGKKGLTWNSCSLIGRLAAPVTPYEGSCEDDPVAYTFLSVSPSSSAASSSSNFRVTLQLKGELANVSLKHLKHSDLVYVSGHLSSYHKVSPSGERYIFYKIYANELNYVLDQNKKLQNDADAIDPTSMPSVTPQMLKENKCINRLRLWHVFFANPYEWWDNRQSKLHVKSPDFQHKDTRERIWLRPDDPPWVRKQLEIHDLERAENGHKGNGRLLKDHNWKPQDFDYCDDDEVQYSAEA >PAN46932 pep chromosome:PHallii_v3.1:9:14742829:14747326:-1 gene:PAHAL_9G225000 transcript:PAN46932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFGDISCCGCGMWSNGSAPVIINASTRPLRIRGHAVSLDYPTRSEQKNEANLVVIRPDRRLREDFVDAGADHGNGRTAAKFKKSLPSLEANPRKLLNEQQKPRRPLNHNSDKVANGAYFSKHKAECYADSLRRHCNNGKLIQACRVIDEMVLHGQVPDSKCCVRLIRGLVRTGKANKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSAMKVLEDMRFTGCSPSGITFNTLIRCMCGQHMYDRAVSFWKEQLRIGWPPYEMTSTLLVDLVCKQCGPMRALEVLDELGLEGCQPDVVSYNALINASCKAGCLKDAKMILTRLAAEGIEPNGTTYCILLHFLCDKRRWSEVGDLLAHMKQANHEPDVTAYNIFINYFCKYGHLDQAIDVLEMMMSEKCFPDIVTYNTLLNAISKRGMVEEALGIFHSIRENGCQVVCITYNTLIDALAKKGEVISAMALFDEMIGDGINPDDVTYGSLVMGFCKKNMAKEALELLNQMLALGFEVKATTFSMMIQALCRECKAEAAAEILRVMVSKNINHRTAFYLSIVTRVAKSGWVKEAQMLHQELVKCKVLKEDSQFILSS >PAN46933 pep chromosome:PHallii_v3.1:9:14742885:14747094:-1 gene:PAHAL_9G225000 transcript:PAN46933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFGDISCCGCGMWSNGSAPVIINASTRPLRIRGHAVSLDYPTRSEQKNEANLVVIRPDRRLREDFVDAGADHGNGRTAAKFKKSLPSLEANPRKLLNEQQKPRRPLNHNSDKVANGAYFSKHKAECYADSLRRHCNNGKLIQACRVIDEMVLHGQVPDSKCCVRLIRGLVRTGKANKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSAMKVLEDMRFTGCSPSGITFNTLIRCMCGQHMYDRAVSFWKEQLRIGWPPYEMTSTLLVDLVCKQCGPMRALEVLDELGLEGCQPDVVSYNALINASCKAGCLKDAKMILTRLAAEGIEPNGTTYCILLHFLCDKRRWSEVGDLLAHMKQANHEPDVTAYNIFINYFCKYGHLDQAIDVLEMMMSEKCFPDIVTYNTLLNAISKRGMVEEALGIFHSIRENGCQVVCITYNTLIDALAKKGEVISAMALFDEMIGDGINPDDVTYGSLVMGFCKKNMAKEALELLNQMLALGFEVKATTFSMMIQALCRECKAEAAAEILRVMVSKNINHRTAFYLSIVTRVAKSGWVKEAQMLHQELVKCKVLKEDSQFILSS >PAN46931 pep chromosome:PHallii_v3.1:9:14744354:14746120:-1 gene:PAHAL_9G225000 transcript:PAN46931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFGDISCCGCGMWSNGSAPVIINASTRPLRIRGHAVSLDYPTRSEQKNEANLVVIRPDRRLREDFVDAGADHGNGRTAAKFKKSLPSLEANPRKLLNEQQKPRRPLNHNSDKVANGAYFSKHKAECYADSLRRHCNNGKLIQACRVIDEMVLHGQVPDSKCCVRLIRGLVRTGKANKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSAMKVLEDMRFTGCSPSGITFNTLIRCMCGQHMYDRAVSFWKEQLRIGWPPYEMTSTLLVDLVCKQCGPMRALEVLDELGLEGCQPDVVSYNALINASCKAGCLKDAKMILTRLAAEGIEPNGTTYCILLHFLCDKRRWSEVGDLLAHMKQANHEPDVTAYNIFINYFCKYGHLDQAIDVLEMMMSEKCFPDIVTYNTLLNAISKRGMVEEALGIFHSIRENGCQVVCITYNTLIDALAKKGEVISAMALFDEMIGDGINPDDVTYGSLVMGFCKKNMAKEALELLNQMLALGFEVKATTFSMMIQALCRECKAEAAAEILRVMVSKNINHRTAFYLSIVTRVAKSGWVKEAQMLHQELVKCKVLKEDSQFILSS >PAN46934 pep chromosome:PHallii_v3.1:9:14742829:14747325:-1 gene:PAHAL_9G225000 transcript:PAN46934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFGDISCCGCGMWSNGSAPVIINASTRPLRIRGHAVSLDYPTRSEQKNEANLVVIRPDRRLREDFVDAGADHGNGRTAAKFKKSLPSLEANPRKLLNEQQKPRRPLNHNSDKVANGAYFSKHKAECYADSLRRHCNNGKLIQACRVIDEMVLHGQVPDSKCCVRLIRGLVRTGKANKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSAMKVLEDMRFTGCSPSGITFNTLIRCMCGQHMYDRAVSFWKEQLRIGWPPYEMTSTLLVDLVCKQCGPMRALEVLDELGLEGCQPDVVSYNALINASCKAGCLKDAKMILTRLAAEGIEPNGTTYCILLHFLCDKRRWSEVGDLLAHMKQANHEPDVTAYNIFINYFCKYGHLDQAIDVLEMMMSEKCFPDIVTYNTLLNAISKRGMVEEALGIFHSIRENGCQVVCITYNTLIDALAKKGEVISAMALFDEMIGDGINPDDVTYGSLVMGFCKKNMAKEALELLNQMLALGFEVKATTFSMMIQALCRECKAEAAAEILRVMVSKNINHRTAFYLSIVTRVAKSGWVKEAQMLHQELVKCKVLKEDSQFILSS >PAN46930 pep chromosome:PHallii_v3.1:9:14742796:14747328:-1 gene:PAHAL_9G225000 transcript:PAN46930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFGDISCCGCGMWSNGSAPVIINASTRPLRIRGHAVSLDYPTRSEQKNEANLVVIRPDRRLREDFVDAGADHGNGRTAAKFKKSLPSLEANPRKLLNEQQKPRRPLNHNSDKVANGAYFSKHKAECYADSLRRHCNNGKLIQACRVIDEMVLHGQVPDSKCCVRLIRGLVRTGKANKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSAMKVLEDMRFTGCSPSGITFNTLIRCMCGQHMYDRAVSFWKEQLRIGWPPYEMTSTLLVDLVCKQCGPMRALEVLDELGLEGCQPDVVSYNALINASCKAGCLKDAKMILTRLAAEGIEPNGTTYCILLHFLCDKRRWSEVGDLLAHMKQANHEPDVTAYNIFINYFCKYGHLDQAIDVLEMMMSEKCFPDIVTYNTLLNAISKRGMVEEALGIFHSIRENGCQVVCITYNTLIDALAKKGEVISAMALFDEMIGDGINPDDVTYGSLVMGFCKKNMAKEALELLNQMLALGFEVKATTFSMMIQALCRECKAEAAAEILRVMVSKNINHRTAFYLSIVTRVAKSGWVKEAQMLHQELVKCKVLKEDSQFILSS >PAN45963 pep chromosome:PHallii_v3.1:9:9505444:9510490:1 gene:PAHAL_9G154200 transcript:PAN45963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MAVALARRGAGLAAAAAALARGRGMCSGPAVAGRAAAALSSEELMRMERDCSAHNYHPIPMVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIEQAERLTLSSRAFYNDKFPIFAEFLTRIFGYDMMLPMNTGAEGVETAIKLARKWGYEKKKIPKNEALLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLKKIFEEHGDRICGFLFEPIQGEAGVVIPPDGYLKGVRDLCSKHNILMIADEIQTGIARTGKMLACDWEDIRPDMVILGKALGAGVVPVSAVLADKDVMLCIKPGEHGSTFGGNPLASAVAVASLKVVRDEGLVERAAKLGQEFRDQLQKIQQKFPQIIREVRGRGLLNAVDLNNDALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISHEELAEASKALSDVLEMDLPQMQKQIKKPESEAEKPVCDRCGRDLYG >PAN44600 pep chromosome:PHallii_v3.1:9:3224352:3229163:1 gene:PAHAL_9G056700 transcript:PAN44600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGHLMLIFFSVFLALLPETTQLQPSQVWTLIKIQQLLNDPPMLSHWRQSTDFCGGAGGFMGPTGSAAVLCYGDTVTQLHIAGAAGSPPLPRNFSVGALVTTLSRLPDLKVLTLSSIGLWGPLPGKLGRLAALEIVNVSGNFLYGDIPRGMSRLVGLQTLVLDDNLLGGELPAWIGTLPSLAVLSLRNNTFQGAVPESIGSMPSLRSLVLASNNLSGNLPDMSRLTNLQVIDVGGNSLGPAFPKLGRKVVTVVLSRNRFGGGLPEALGSFYLLERLDVSWNRFVGPFTPALLSLPAIRYLSIAGNRFTGTLSDKTPCGDNLQFVDLSLNRLMGSVPTCLRSPDRKPDMVVLASTNCLDDSDGSQRPPPFCQNQALAVGIVPGKEKKNIARQAGVVAGIVMAVLVAVSVVGFIVFLRVRKAAMKGSKARTLATSEEESSSTGYPSKLLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSLGEMYRGRLGNGTPVTIRTLKIKRSQTTQSLNRHIDTISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGIAKGIQFLHGGIIPGIVGNDLRITNILLDQNHVAKIGSYNIPILAEAMKSEGGAGKKFQTDSPMYSDKTDIFDFGVILLEVVSGKTITSMYEVDILKELLAWAIADEDRVRRRSFADPTVSKGCSDESLRTVMEICQRCLAKEPAQRPSVEDVLWNLQFAAQVQDDWEVEAWSSSGGSPVSSSSRVTRSSRLNLSR >PAN44602 pep chromosome:PHallii_v3.1:9:3224352:3229163:1 gene:PAHAL_9G056700 transcript:PAN44602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGHLMLIFFSVFLALLPETTQLQPSQVWTLIKIQQLLNDPPMLSHWRQSTDFCGGAGGFMGPTGSAAVLCYGDTVTQLHIAGAAGSPPLPRNFSVGALVTTLSRLPDLKVLTLSSIGLWGPLPGKLGRLAALEIVNVSGNFLYGDIPRGMSRLVGLQTLVLDDNLLGGELPAWIGTLPSLAVLSLRNNTFQGAVPESIGSMPSLRSLVLASNNLSGNLPDMSRLTNLQVIDVGGNSLGPAFPKLGRKVVTVVLSRNRFGGGLPEALGSFYLLERLDVSWNRFVGPFTPALLSLPAIRYLSIAGNRFTGTLSDKTPCGDNLQFVDLSLNRLMGSVPTCLRSPDRKPDMVVLASTNCLDDSDGSQRPPPFCQNQALAVGIVPGKEKKNIARQAGVVAGIVMAVLVAVSVVGFIVFLRVRKAAMKGSKARTLATSEEESSSTGYPSKLLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSLGEMYRGRLGNGTPVTIRTLKIKRSQTTQSLNRHIDTISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGIAKGIQFLHGGIIPGIVGNDLRITNILLDQNHVAKIGSYNIPILAEAMKSEQGGAGKKFQTDSPMYSDKTDIFDFGVILLEVVSGKTITSMYEVDILKELLAWAIADEDRVRRRSFADPTVSKGCSDESLRTVMEICQRCLAKEPAQRPSVEDVLWNLQFAAQVQDDWEVEAWSSSGGSPVSSSSRVTRSSRLNLSR >PAN44599 pep chromosome:PHallii_v3.1:9:3224352:3229163:1 gene:PAHAL_9G056700 transcript:PAN44599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGHLMLIFFSVFLALLPETTQLQPSQVWTLIKIQQLLNDPPMLSHWRQSTDFCGGAGGFMGPTGSAAVLCYGDTVTQLHIAGAAGSPPLPRNFSVGALVTTLSRLPDLKVLTLSSIGLWGPLPGKLGRLAALEIVNVSGNFLYGDIPRGMSRLVGLQTLVLDDNLLGGELPAWIGTLPSLAVLSLRNNTFQGAVPESIGSMPSLRSLVLASNNLSGNLPDMSRLTNLQVIDVGGNSLGPAFPKLGRKVVTVVLSRNRFGGGLPEALGSFYLLERLDVSWNRFVGPFTPALLSLPAIRYLSIAGNRFTGTLSDKTPCGDNLQFVDLSLNRLMGSVPTCLRSPDRKPDMVVLASTNCLDDSDGSQRPPPFCQNQALAVGIVPGKEKKNIARQAGVVAGIVMAVLVAVSVVGFIVFLRVRKAAMKGSKARTLATSEEESSSTGYPSKLLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSLGEMYRGRLGNGTPVTIRTLKIKRSQTTQSLNRHIDTISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGIAKGIQFLHGGIIPGIVGNDLRITNILLDQNHVAKIGSYNIPILAEAMKSEGGAGKKFQTDSPMYSDKTDIFDFGVILLEVVSGKTITSMYELAWAIADEDRVRRRSFADPTVSKGCSDESLRTVMEICQRCLAKEPAQRPSVEDVLWNLQFAAQVQDDWEVEAWSSSGGSPVSSSSRVTRSSRLNLSR >PVH31100 pep chromosome:PHallii_v3.1:9:3224352:3229163:1 gene:PAHAL_9G056700 transcript:PVH31100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGHLMLIFFSVFLALLPETTQLQPSQVWTLIKIQQLLNDPPMLSHWRQSTDFCGGAGGFMGPTGSAAVLCYGDTVTQLHIAGAAGSPPLPRNFSVGALVTTLSRLPDLKVLTLSSIGLWGPLPGKLGRLAALEIVNVSGNFLYGDIPRGMSRLVGLQTLVLDDNLLGGELPAWIGTLPSLAVLSLRNNTFQGAVPESIGSMPSLRSLVLASNNLSGNLPDMSRLTNLQVIDVGGNSLGPAFPKLGRKVVTVVLSRNRFGGGLPEALGSFYLLERLDVSWNRFVGPFTPALLSLPAIRYLSIAGNRFTGTLSDKTPCGDNLQFVDLSLNRLMGSVPTCLRSPDRKPDMVVLASTNCLDDSDGSQRPPPFCQNQALAVGIVPGKEKKNIARQAGVVAGIVMAVLVAVSVVGFIVFLRVRKAAMKGSKARTLATSEEESSSTGYPSKLLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSLGEMYRGRLGNGTPVTIRTLKIKRSQTTQSLNRHIDTISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGIAKGIQFLHGGIIPGIVGNDLRITNILLDQNHVAKIGSYNIPILAEAMKSEGGAGKKFQTDSPMYSDKTDIFDFGVILLEVVSGKTITSMYEVDILKELLAWAIADEDRVRRRSFADPTVSKGCSDESLRTVMEICQRCLAKEPAQRPSVEDVLWNLQFAAQVQDDWEVEAWSSSGGSPVSSSSRVTRSSRLNLSR >PVH31101 pep chromosome:PHallii_v3.1:9:3224352:3229163:1 gene:PAHAL_9G056700 transcript:PVH31101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGHLMLIFFSVFLALLPETTQLQPSQVWTLIKIQQLLNDPPMLSHWRQSTDFCGGAGGFMGPTGSAAVLCYGDTVTQLHIAGAAGSPPLPRNFSVGALVTTLSRLPDLKVLTLSSIGLWGPLPGKLGRLAALEIVNVSGNFLYGDIPRGMSRLVGLQTLVLDDNLLGGELPAWIGTLPSLAVLSLRNNTFQGAVPESIGSMPSLRSLVLASNNLSGNLPDMSRLTNLQVIDVGGNSLGPAFPKLGRKVVTVVLSRNRFGGGLPEALGSFYLLERLDVSWNRFVGPFTPALLSLPAIRYLSIAGNRFTGTLSDKTPCGDNLQFVDLSLNRLMGSVPTCLRSPDRKPDMVVLASTNCLDDSDGSQRPPPFCQNQALAVGIVPGKEKKNIARQAGVVAGIVMAVLVAVSVVGFIVFLRVRKAAMKGSKARTLATSEEESSSTGYPSKLLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSLGEMYRGRLGNGTPVTIRTLKIKRSQTTQSLNRHIDTISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGIAKGIQFLHGGIIPGIVGNDLRITNILLDQNHVAKIGSYNIPILAEAMKSEGGAGKKFQTDSPMYSDKTDIFDFGVILLEVVSGKTITSMYELAWAIADEDRVRRRSFADPTVSKGCSDESLRTVMEICQRCLAKEPAQRPSVEDVLWNLQFAAQVQDDWEVEAWSSSGGSPVSSSSRVTRSSRLNLSR >PVH31099 pep chromosome:PHallii_v3.1:9:3224351:3229164:1 gene:PAHAL_9G056700 transcript:PVH31099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGHLMLIFFSVFLALLPETTQLQPSQVWTLIKIQQLLNDPPMLSHWRQSTDFCGGAGGFMGPTGSAAVLCYGDTVTQLHIAGAAGSPPLPRNFSVGALVTTLSRLPDLKVLTLSSIGLWGPLPGKLGRLAALEIVNVSGNFLYGDIPRGMSRLVGLQTLVLDDNLLGGELPAWIGTLPSLAVLSLRNNTFQGAVPESIGSMPSLRSLVLASNNLSGNLPDMSRLTNLQVIDVGGNSLGPAFPKLGRKVVTVVLSRNRFGGGLPEALGSFYLLERLDVSWNRFVGPFTPALLSLPAIRYLSIAGNRFTGTLSDKTPCGDNLQFVDLSLNRLMGSVPTCLRSPDRKPDMVVLASTNCLDDSDGSQRPPPFCQNQALAVGIVPGKEKKNIARQAGVVAGIVMAVLVAVSVVGFIVFLRVRKAAMKGSKARTLATSEEESSSTGYPSKLLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSLGEMYRGRLGNGTPVTIRTLKIKRSQTTQSLNRHIDTISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGIAKGIQFLHGGIIPGIVGNDLRITNILLDQNHVAKIGSYNIPILAEAMKSEQGGAGKKFQTDSPMYSDKTDIFDFGVILLEVVSGKTITSMYEVDILKELLAWAIADEDRVRRRSFADPTVSKGCSDESLRTVMEICQRCLAKEPAQRPSVEDVLWNLQFAAQVQDDWEVEAWSSSGGSPVSSSSRVTRSSRLNLSR >PVH31214 pep chromosome:PHallii_v3.1:9:4832712:4832951:-1 gene:PAHAL_9G084200 transcript:PVH31214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPFPLLWSTFIVLSEQPDGAMAVTASLRWAGSMLTKKAIAGSVWEPRSRRRTITTQAASTCVNASVPCGKSNTVLFV >PAN47736 pep chromosome:PHallii_v3.1:9:48515896:48518319:-1 gene:PAHAL_9G346000 transcript:PAN47736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTAIAAPPPLASHLADPAPPGEPLLLPHLLRCLHILLVLFLFLGSRIQSPPQACLMRQGGTCGACLRRRPIPPAEFVSLGGSLQQLPSQAGIMAGTLNLNMMQNLFRRGMKFQQTTRMMINYRVLILMIY >PVH31724 pep chromosome:PHallii_v3.1:9:14433019:14435993:1 gene:PAHAL_9G221100 transcript:PVH31724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator, Regulator of meristem activity, Regulation of inflorescence developmen [Source: Projected from Oryza sativa (Os10g0478000)] MDLVPHPDSPHSDNSGGGGGASAAGALSPVASSAGAASALASPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTPACPFFGHPAPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVRDHQSRARGVSYEKKKRKKAPAHPIPAAVISSSSSHDGNGHSHHYEHQMPPPPPPGAAA >PVH33321 pep chromosome:PHallii_v3.1:9:72737434:72738395:1 gene:PAHAL_9G627600 transcript:PVH33321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPFTIAARLRCRGATLLESTPDNTAERDSPVNNAKARLEDACPGVVSCADILAFAARDSVELSGGPRYDVPGGRRDGTVSMASEVPDNIPAPTFNLDQLTQSFAAKGLTQEDMVTLSGAHTIGRAHCTAFSDRLYNFSATGAADPALDPPFLAQLQHACPATGPGGGVDPGLVVPMEPRTPYALDTLYYWGVLRGRGLFASDQALLASAPTAAQVRQSAYGSYPWKQKFAAAMVKMGQIQVLTGSSGQIRAKCSAVN >PAN47330 pep chromosome:PHallii_v3.1:9:17654368:17657143:-1 gene:PAHAL_9G252100 transcript:PAN47330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGTAPAVRVLGGEVSPFTARARLALELRGVAHELLEEPLGPAKSARLLAANPVYGKIPVLLLPDGRAICESAVIVQYVDDAARSTASAGGEDDCLLLPEDPYERAMHRFWTAYIDDKFWPALDAVSLGPTPAAQAQAADDTRAALRLLEEAFRDRSGGAAFFSGRDASPGLLDLALGCFLPALRACERLHGLSLVDASATPLLDGWSRRFAAHPAARRVLPDTEKVVRFTRFLQEKFGADDVSTK >PAN50248 pep chromosome:PHallii_v3.1:9:65101763:65108177:1 gene:PAHAL_9G508000 transcript:PAN50248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLAFHPCCPSALTPASTSAPVTTDAPSARIKIRRPRREAHRIAAQKPSRIVAMAAAAPASSVKEVLPSPLTSASEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVAIDLADRPTWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIDSNFEGPSLLPDDPAKKHFAEELLAFTDAFNKALYSSIVSKEDVSEETVAALDKIEEALGKFNDGPFFLGQLSLVDIAYVPFIERFQIFFSNIKNYDITKGRPNLQKFIEEVNKIDAYTQTKQDPQLLLEHTKKRLGIA >PAN50247 pep chromosome:PHallii_v3.1:9:65101763:65108177:1 gene:PAHAL_9G508000 transcript:PAN50247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLAFHPCCPSALTPASTSAPVTTDAPSARIKIRRPRREAHRIAAQKPSRIVAMAAAAPASSVKEVLPSPLTSASEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVAIDLADRPTWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIDSNFEGPSLLPDDPAKKHFAEELLAFTDAFNKALYSSIVSKEDVSEETVAALDKIEEALGKFNDGPFFLGQLSLVDIAYVPFIERFQIFFSNIKNYDITKGRPNLQKFIEEVNKIDAYTQTKQDPQLLLEHTKKRLGIA >PAN45491 pep chromosome:PHallii_v3.1:9:7343020:7347722:1 gene:PAHAL_9G122900 transcript:PAN45491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHAARGGAHEDLSWSALGRRAPGDVMVVDRGGWGGVGTRSRAPQGGPAGEVRVDKASCASTFRELDDAFLQKQTKIWLGEVLHRRFDEDVLVADLLADGELLYQISKLIWKRLLKKNREQLKQSKVYIYERPSFGRSHGKYMPYLKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCICIRSVSKKSNMMRLNVPDFDIVTYTISMPTYIVGGIRRSLEQPQYSSSGSSGYSPPDSSKALQQQIIFGGQNDKHGNTNYDSDEAESRPSVLEPEDSVDEDNFAAVLSPPKEESEGYGESGHDMHEEKSLAESVGSLDFGVMDSESVDSTPQNHDKEYYSTHSATDQCSSSRTARCSLSSEEADSISSHLAFESGKNDSELNAHPVADSERIYDGQAKSLDHSIQGNGETLVYHPKKESADLQKDTLACDRESVCSSCEELMRHGLNGEPSDLSKLPMVSEDAVNNVEPSLTGMTNDCTCEELNPEFSDRYQMEGSQPGDKPVDSDGIAKPAPQMPEDDAPKSGRGVLRSVAGGITLVGAVFFMAHLRRSKERSFTRVMPSIPVKSIQSDSRPKNMDK >PAN50837 pep chromosome:PHallii_v3.1:9:67965528:67967802:-1 gene:PAHAL_9G550200 transcript:PAN50837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPPTHPSDRSPRRLPTACCLLVLALALLFASAAAKSSRRPISDNEIRQKKEACYTDVENGLWGWVCRSSPTEKENCVLRCLSPECYNLIYGGDPLEEGELDYVRSQEYKYCMHRSSLGESLDGVKGSFNYS >PAN44758 pep chromosome:PHallii_v3.1:9:3902770:3904117:-1 gene:PAHAL_9G067700 transcript:PAN44758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAKRRHVRASDGTTAKPLPPPPPVPVDLLLEIFARLDVATIIRCAAIPKTVRRAILDSPAAFAPPLRLRTRSVRRGTLPNAASSVDQAPRQNLRFDAGILMSAEPVASRGGLIVLRHRVNYHVRVCNALTGRASLLPPSHVSGDYPHALLAVGDAGGSFQLLVADVSLRTQVFSSDAGSWGPVVELANLPPRLPRSAPHRGSHPVVLGGGTAVHWLHGYRSIVRLDTDKAQATLMELPPNCASMMRSLPYGHRALRLAASADGELSLLVAEARVISMWTVSAAAAEGRPSSSSAMSAPAARWTRQVVIRREAIGREDMNGGFSAQFLGFGELSSTAILLMEQIGLVRIDLRTKEALVLGSDGFKGVDAGELQLCLHETDLPSLLRAMRSF >PAN50442 pep chromosome:PHallii_v3.1:9:65931803:65936352:1 gene:PAHAL_9G520300 transcript:PAN50442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAAPAVITRVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGAPEGAAADAAGDSGRRKILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSAATIPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGAESCNIGDHGGFAPNISSISEGLDLVIAAIERAGYNGRIKLAIDVAATDFCVGKKYDLEFKSTKKSGQNFKTADDMIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKLTTLELCQVAGDDLLMSDPERIKRAVNEYTCNALVLKLNQVGTVTEAIEVAKQAKDAHWGVMVSHRSGDTEDSFIADLAVGAAAGQIKAGAPCRGECLTKYNQLLRIEEELGSEGVYAGENWRTASTS >PVH32904 pep chromosome:PHallii_v3.1:9:65931803:65936352:1 gene:PAHAL_9G520300 transcript:PVH32904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAAPAVITRVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGAPEGAAADAAGDSGRRKILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSAATIPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGAESCNIGDHGGFAPNISSISEGLDLVIAAIERAGYNGRIKLAIDVAATDFCVGKKYDLEFKSTKKSGQNFKTADDMIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKLTTLELLQGMIY >PAN44883 pep chromosome:PHallii_v3.1:9:4473748:4476407:1 gene:PAHAL_9G077200 transcript:PAN44883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCRKMARVDVAELKQRLVKRLGRQRAGKYFAHLTRLLNLKLTKVEFDRLCFATIGKENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVGAVVDSGDGELARERGAPVGKVVSVEDGEEVEQVRSAPCVQSRSPITAPLGISVAGSSGLRMRRRMDDPKASCYDSGHLLDTATLCEGLQRRLHSDGMGVTVQGVDALNRGLDEFLRRLIKPCMDLSRVRASSRRIGKVNEKFAGRMNGLPQQNLGHCTTLQDFAVAVQAEPHSLGPNWPTQIEKIQTMSFGGE >PVH32500 pep chromosome:PHallii_v3.1:9:58248026:58249087:-1 gene:PAHAL_9G414400 transcript:PVH32500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLWLISSDARRLLGFMAADIGDEEEELVDAFTGKRQSMAMHHYPPCRHLGKVLGIPPHTDGLGLTLLLHVDDTPGLQIKHGGRWYPVRPLPGAFLVNVGDVLTNGAYRSVEHRVIPDARRGRTTVVFVEVGTVGGMVSPLPGLLKEQEPRYKPIELGEYVKGTFKAILEGNRFADTLRI >PAN51366 pep chromosome:PHallii_v3.1:9:70334500:70337307:-1 gene:PAHAL_9G589200 transcript:PAN51366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECRVGGGGGDCLIKLFGKTIPVPEVAAAAAVAVGEADKDIQQSGSSTSEPKGQENTLQDSTGSPLQQEVADTEDSSAAKNSSGDQQQGEAANQKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASAASHFLQRVRAALPIDPLCTAAKTNGTVLSFGSDMSSLDLSEQMKHLKEKLVPITRIKSSDDRSVGSCTEGSAKGEDSNQMNQKEKVTADNSANVVQHPCMNGVTMWPFSCAPPPACYTSGIAIPFYPAAAAYWGCMVPGAWNAPWPPHSLSESASSLSTASPASTKSNCLTPGKRSRDSDEEGDTKGNGKVWVPKTIRIDDADEVARSSILSLIGINGDKACKDSRGCKLARVFEQKEEAKTATHTVINSLPFLQGNPAALSRSLTFQEGS >PAN46660 pep chromosome:PHallii_v3.1:9:13181159:13184761:-1 gene:PAHAL_9G205700 transcript:PAN46660 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MGTLVRPGPAATAAGAASPISIASSSAQAAAGGGRAWSSRRRVSVAAFRSPSPQVLATRWRRRRDTAVRSDAVAGGAAAAAAGDSTQALSDLQVASRVRGVCFYLVTAVAAIFLFVAMVVFHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLEVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKQCVHLVGKGASVFFFPEGTRSRDGKLGVFKRGAFSVATKTGAPVIPITLIGTGKLMPSGMEGILNSGSVKVIIHQPIQGNNAETLCSKARNVIADTLLLHGYGVH >PAN46659 pep chromosome:PHallii_v3.1:9:13181159:13184761:-1 gene:PAHAL_9G205700 transcript:PAN46659 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MGTLVRPGPAATAAGAASPISIASSSAQAAAGGGRAWSSRRRVSVAAFRSPSPQVLATRWRRRRDTAVRSDAVAGGAAAAAAGDSTQALSAMVVFHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLEVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKQCVHLVGKGASVFFFPEGTRSRDGKLGVFKRGAFSVATKTGAPVIPITLIGTGKLMPSGMEGILNSGSVKVIIHQPIQGNNAETLCSKARNVIADTLLLHGYGVH >PVH32946 pep chromosome:PHallii_v3.1:9:66583845:66587804:-1 gene:PAHAL_9G530200 transcript:PVH32946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKDVDRDQALLCITVALLCIQRSPARRPSSAEVLDMLAGEGEPPTLPLEFSPSPPGGFPFKSRRKARIKLPAREEQG >PVH32943 pep chromosome:PHallii_v3.1:9:66584486:66587775:-1 gene:PAHAL_9G530200 transcript:PVH32943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQVGRLLDLVDPALQDVDRDQALLCITVALLCIQRSPARRPSSAEVLDMLAGEGEPPTLPLEFSPSPPGGFPFKSRRKARIKLPAREEQG >PVH32942 pep chromosome:PHallii_v3.1:9:66584486:66587775:-1 gene:PAHAL_9G530200 transcript:PVH32942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQVGRLLDLVDPALQDVDRDQALLCITVALLCIQRSPARRPSSAEVLDMLAGEGEPPTLPLEFSPSPPGGFPFKSRRKARIKLPAREEQG >PVH32939 pep chromosome:PHallii_v3.1:9:66584560:66587775:-1 gene:PAHAL_9G530200 transcript:PVH32939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKDVDRDQALLCITVALLCIQRSPARRPSSAEVLDMLAGEGEPPTLPLEFSPSPPGGFPFKSRRKARSFNRK >PVH32940 pep chromosome:PHallii_v3.1:9:66584560:66587775:-1 gene:PAHAL_9G530200 transcript:PVH32940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQVGRLLDLVDPALQDVDRDQALLCITVALLCIQRSPARRPSSAEVLDMLAGEGEPPTLPLEFSPSPPGGFPFKSRRKARSFNRK >PVH32938 pep chromosome:PHallii_v3.1:9:66583845:66587804:-1 gene:PAHAL_9G530200 transcript:PVH32938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQRSPARRPSSAEVLDMLAGEGEPPTLPLEFSPSPPGGFPFKSRRKARIKLPAREEQG >PVH32945 pep chromosome:PHallii_v3.1:9:66584560:66587775:-1 gene:PAHAL_9G530200 transcript:PVH32945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQRSPARRPSSAEVLDMLAGEGEPPTLPLEFSPSPPGGFPFKSRRKARSFNRK >PVH32941 pep chromosome:PHallii_v3.1:9:66584560:66587775:-1 gene:PAHAL_9G530200 transcript:PVH32941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQVGRLLDLVDPALQDVDRDQALLCITVALLCIQRSPARRPSSAEVLDMLAGEGEPPTLPLEFSPSPPGGFPFKSRRKARSFNRK >PVH32944 pep chromosome:PHallii_v3.1:9:66583845:66587804:-1 gene:PAHAL_9G530200 transcript:PVH32944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQHTFRSPESAASLCGAPPRLSCPRLRLRLPGPLGRDWPLNLPPPPTPPPQHPAMPSRQPPPPAPSPTPAVAAAVVAADLRSRGGRHRRRASAAPAATAGASAAAALILAVAVALLLLWRRRRRRKLAAAAGAQPAAELRRLSYQHLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNEVSLASHLLGCAAPGAPPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPEMVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGGIGADADGNGNPGGGCDEDVSVAGESMTAAAVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGSGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAIAPVTSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKKSWSRRSSNGNVSIGWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQLAAPQAQRCLICSLVRVSHQLFR >PAN48232 pep chromosome:PHallii_v3.1:9:53768695:53773399:-1 gene:PAHAL_9G369500 transcript:PAN48232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASKPNPNGLSEDSNHKKPSSRRATSAPRVSRLAKAAGAPSKPADRAPSPLHHAAGGVPLDRSSASIDLPAKPSPGAAAAERRSFKAPAAASSRAAATDKQQRMAKTSELQAQLRLVQEDLRNARERLASVENDKAQILEDLALARRLADDARGKLEESLAARRTAEEALELERFKSTEREQTAVDLAHRTEDEWRRKYDSIKRRHAEDVASLIAATRELDGVRDELAVTAQARNAALDQADELQRIANDNAKKVEVLMAEVARLKSRLDTELETKAKEAAEIVGKLESEASALRAELQTARAFEEKLARAEELLEGLKVDLAYAKSAEADASRSAQEWKNKAESLETRLGEVSRLNRRNEESLASLTNTFEDCTSMLQDKQSQVLQLQEKVASLEKEASEHKEGFLEASRRLDVATTEASELQAAIDRLRSEHELLHEAHRQVVVSYKTASAQVSHLTEDKDRLLKELDDTRDERDKVKKAVEDLAAALREVSSEAREAKERVLAKQAELENAQLQISELKTAMKNAEDRHQSMLDESNYETACLKKAVEKMGSEAKSSKDEWISKEAGFVDMLKRSDDGISSIQTEMNRLMESLRVAQNEVQELKADRTQLLNKLQEFEFQAMNTSSSADEAKAETSHLKDLLSFKDKELLAMNHEVTELRLRERTASEKASELSKLLAEVKARKAEEENNDKSKAQITKLEMDKVLESLKAAESEAKATKDEKMQLQNKLRLLESKITEANLTSEEAKISSLRLKETLEDKEHELASISRENSKMRAREAAAQARIDELAALLAEATARKGGEASNGAVARSPEKQPSALLKLICSPMHHSVRDDDNNAESIIQVEDIKHVEVETVRQVKHDKESIISAVDANSLENSKIIEDDLSKERDDDSESVDDDDDIESPGDDGLVDQMNGLLIHGPTSSFNQDQHVHKKKKALLKKFGSLLKKKAHFTKLSSHS >PAN44332 pep chromosome:PHallii_v3.1:9:1955813:1956548:1 gene:PAHAL_9G035400 transcript:PAN44332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPAPATAAAEAPAPAVKAVVNFCAPEATAFAVVKTISYTGRDFITVKDAAGAAVMQVEAEVLANPSSSTRRAVPCSPCRTRPCSGAPGGRCSIRGGSASRRNLLFTVVKPSSFQIRTKIYIFLAGNAREEAPDFAIWGSYHDGACTVSPGNSDATFAQITRRNTVQLLGFGRNIYTARINPGVDQAFVLALTVILDEML >PVH32461 pep chromosome:PHallii_v3.1:9:57063412:57064043:-1 gene:PAHAL_9G401200 transcript:PVH32461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVALSPTSVESSLSPTVYLYGCIQEAQCNGAMLCAYWRHLHRFITATLSSKINELHF >PAN50236 pep chromosome:PHallii_v3.1:9:65065267:65071741:-1 gene:PAHAL_9G507200 transcript:PAN50236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHRWRDFFDRLADYANVPATPSVSPYAAARDGDAGAGKVEENNSARMHCDKKEDEEMENAEDNYKLEDPNEADASNELQEANGVSEDQKDVTDNVDKVEEETSSRSAEVTKASEELKEVNGDMEELRDSNGGSKKLEDGNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDDCANDIRGKVAIQLASIEEEGRTAEVSHEGDPAEAVPHVAENVELGQETPDDSTGTALEGGEDGSYFPWKEELESLVRGGVPMALRGQIWQAFVGVGARKITGYYNKLLEGTTKSDEKDLVDPMLNEQTSARRKVAQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVGWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVREMGLQVLRKKHRPEILTAMEERSKDRNSWKDKKGLATKLYSFKHDPSCVCSPVKSKEGADGLKLNGDTGSTNLETYLSTSSILENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRGEELETALMEMVEHDNRRTLSAKVEKLEVEVSGLRKAFADKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAERKHAAHLLQEKYEAAMAALSQMEKRAVMAETMLEATKQYQAGQVKANQCFTSSSPRADHVPGKTNQEPNQDASNRRMGLLSRGLGWLDKSKQARQNSTETAGS >PAN50240 pep chromosome:PHallii_v3.1:9:65065267:65071741:-1 gene:PAHAL_9G507200 transcript:PAN50240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHRWRDFFDRLADYANVPATPSVSPYAAARDGDAGAGKVEENNSARMHCDKKEDEEMENAEDNYKLEDPNEADASNELQEANGVSEDQKDVTDNVDKVEEETSSRSAEVTKASEELKEVNGDMEELRDSNGGSKKLEDGNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDDCANDIRGKVAIQLASIEEEGRTAEVSHEGDPAEAVPHVAENVELGQETPDDSTGTALEGGEDGSYFPWKEELESLVRGGVPMALRGQIWQAFVGVGARKITGYYNKLLEGTTKSDEKDLVDPMLNEQTSARRKVAQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVGWVTGPWFLSIFINMLPWESGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVREMGLQVLRKKHRPEILTAMEERSKDRNSWKDKKGLATKLYSFKHDPSCVCSPVKSKEGADGLKLNGDTGSTNLETYLSTSSILENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRGEELETALMEMVEHDNRRTLSAKVEKLEVEVSGLRKAFADKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAERKHAAHLLQEKYEAAMAALSQMEKRAVMAETMLEATKQYQAGQVKANQCFTSSSPRADHVPGKTNQEPNQDASNRRMGLLSRGLGWLDKSKQARQNSTETAGS >PAN50239 pep chromosome:PHallii_v3.1:9:65064692:65072079:-1 gene:PAHAL_9G507200 transcript:PAN50239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHRWRDFFDRLADYANVPATPSVSPYAAARDGDAGAGKVEENNSARMHCDKKEDEEMENAEDNYKLEDPNEADASNELQEANGVSEDQKDVTDNVDKVEEETSSRSAEVTKASEELKEVNGDMEELRDSNGGSKKLEDGNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDDCANDIRGKVAIQLASIEEEGRTAEVSHEGDPAEAVPHVAENVELGQETPDDSTGTALEGGEDGSYFPWKEELESLVRGGVPMALRGQIWQAFVGVGARKITGYYNKLLEGTTKSDEKDLVDPMLNEQTSARRKVAQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVGWVTGPWFLSIFINMLPWESGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVREMGLQVLRKKHRPEILTAMEERSKDRNSWKDKKGLATKLYSFKHDPSCVCSPVKSKEGADGLKLNGDTGSTNLETYLSTSSILENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRGEELETALMEMVEHDNRRTLSAKVEKLEVEVSGLRKAFADKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAERKHAAHLLQEKYEAAMAALSQMEKRAVMAETMLEATKQYQAGQVKANQCFTSSSPRADHVPGKTNQEPNQDASNRRMGLLSRGLGWLDKSKARQNSTETAGS >PAN50238 pep chromosome:PHallii_v3.1:9:65064692:65072079:-1 gene:PAHAL_9G507200 transcript:PAN50238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHRWRDFFDRLADYANVPATPSVSPYAAARDGDAGAGKVEENNSARMHCDKKEDEEMENAEDNYKLEDPNEADASNELQEANGVSEDQKDVTDNVDKVEEETSSRSAEVTKASEELKEVNGDMEELRDSNGGSKKLEDGNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDDCANDIRGKVAIQLASIEEEGRTAEVSHEGDPAEAVPHVAENVELGQETPDDSTGTALEGGEDGSYFPWKEELESLVRGGVPMALRGQIWQAFVGVGARKITGYYNKLLEGTTKSDEKDLVDPMLNEQTSARRKVAQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVGWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVREMGLQVLRKKHRPEILTAMEERSKDRNSWKDKKGLATKLYSFKHDPSCVCSPVKSKEGADGLKLNGDTGSTNLETYLSTSSILENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRGEELETALMEMVEHDNRRTLSAKVEKLEVEVSGLRKAFADKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAERKHAAHLLQEKYEAAMAALSQMEKRAVMAETMLEATKQYQAGQVKANQCFTSSSPRADHVPGKTNQEPNQDASNRRMGLLSRGLGWLDKSKARQNSTETAGS >PAN51006 pep chromosome:PHallii_v3.1:9:68759455:68764015:1 gene:PAHAL_9G563500 transcript:PAN51006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYDTRLGKYDGKPRPGGVRATLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPMNNYNALVEAIGVFTGSPFQLSPKRITVSTVGIIHAINRFKDDLPNVNLAVSLHAPDQDIRCQIMPAARAFPLEKLMNALQSYQNERDQAIFIEYIMLDGVNDQEEHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSEQNVKKFQKVLRCTYGIRTTVRQQMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI >PAN51005 pep chromosome:PHallii_v3.1:9:68758861:68764015:1 gene:PAHAL_9G563500 transcript:PAN51005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSAAAPSRRSVFDAAYIRSEFSAAGISAHFIPLIWKYVLQNPRCGDLDGIPSLPANAYALLRQKFRPITSTLTAAADSKDRTTTKLLIRLQNGESVEAVVMRYDTRLGKYDGKPRPGGVRATLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPMNNYNALVEAIGVFTGSPFQLSPKRITVSTVGIIHAINRFKDDLPNVNLAVSLHAPDQDIRCQIMPAARAFPLEKLMNALQSYQNERDQAIFIEYIMLDGVNDQEEHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSEQNVKKFQKVLRCTYGIRTTVRQQMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI >PVH32157 pep chromosome:PHallii_v3.1:9:43775699:43786728:-1 gene:PAHAL_9G334200 transcript:PVH32157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFSVRKRKLLAAESAEVQLRLLGRLALSPCWLECSGYFVLMAKLPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYDEEGVPRCRVKMTVPPHPTLSLWQPIEVNVIGHRLADTFEAAAMEAIHIFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRVTYCNHLLGNLAGETLRTAVRFMNAQYRYQTLQQHGIYCLTNIAQGYRNQVGRQNTQIGELQATITAKEEVITQREETIQHREEQIVESDVLIVQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPV >PAN50461 pep chromosome:PHallii_v3.1:9:66013311:66017871:1 gene:PAHAL_9G521900 transcript:PAN50461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAAASSVFSPSRVAAPAAGAQVRAGAVVAARMRRGRSGGLRCRAVVAPQASAVVSRSAVAAKAAEEDRKRFFEAAARGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNVDAPSFLFESVEQGPQGTTNVGRYSMVGAHPVMEIVAKEHKVTIMDHEKGQVTEQVVDDPMQVPRSMMEGWHPQQIDELPESFSGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNVDRHASVEEAYQDGRSRLDLLLSKVHNSNVPTLSPGFVKLHTRQFGTPLNKSTMTSDQYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVSKGKIINRPLAGTVRRGKTEKEDQMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKSGSVKVEKLMNIERYSHVMHISSTVSGQLDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMQIALALRTIVFSTAPSHNTMYSYKAADRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >PAN48721 pep chromosome:PHallii_v3.1:9:57064201:57067670:-1 gene:PAHAL_9G401300 transcript:PAN48721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGGEGAPRPASYGTSLSDYLDGPNDLHRRAASLAIVRSGAGERDGPRIVDGPRRDDRRTQSSRRVSLSLWRSRAPASETAASDSWSSASGAGTGGGKGRQASAWRNWRPVRALAHLGMRRAACLFSIEADAVRRLPDSMEGLRLAVTVRKAETRDGAVQTMPCRVRGGAVDFDETLFVRCNLFFTGGAGTGKPLKLEPRRFVVSVVAIEARGANLGAHTVDVSSLVLDSVAKASSEGRRVRWFDRTFALSGKAAGGELLLKLGFQLMDDAGLSLYTQAAETTADVFPAPSRARAHNKNSFSISSRPKPKLSPSDASISPSMRAYKQLLERLRIDENGDPVTSLQIPRKPDDDEVSASTTDAGDMYSLPEYEVVEKGVETVKEVVHYQAHRDVLRELDSIAEQIEAIEALMTSGGKKSPKAVDQQQQRLEADEEMVTVEFLRKLEVEIDKNRLKQPVTPRSQSPSPRTAAPPVVPDLGRAIGPAVQTRDGGFLVSMNPFDLPLASRDGPPKLAMQVSRPFVLPGALAATGFDVLQKMAAAGGADEVRRKLASLGGMDDIMGKTPEQVGFEGIAEAVIGGRRTEGASSSAARSVQLVRKLAMALSEGRSERVATGIWSAGDDPESLEEVLAFSLQKLEAMAVDALAVQAEVADEDAPFEVAAAAGDASVFDALVPSDEWSGGSDGRVTLVAAIQVRDPSRRYEAVGAPMVAVVQSARLLGAAGHSAGRFKVRSLHVGGVQVRCPPSGAGGSASWGAERQKLTALQWMLAHGPARVGRRAATPTAQARARARVVQRPDVVWSLSSRVLAGMWLKTVRNPDVRIGASSTGGHLQV >PVH32530 pep chromosome:PHallii_v3.1:9:58771602:58778196:1 gene:PAHAL_9G421700 transcript:PVH32530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGAAFSAALAAEDFPLVEGQEGMGMAPDKYREVFDLAQRGTRAFRDRRFDEAISFYSKALNLRPGDPIILSNRSLAFCRISQLLRERSAADSEYQPLNGLDPTTHAELALKDAEKIISINSNSPRPYLLKAYALILLEHYHEAREALLAGLQVDPLSHVLQTCLSDLDRNTNIAAGARRARLDRTDDFECTLCFKLLYEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQRNFPEEYAERRSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEVEWLQDIPLPEGSQERRELIELANGASELARAYIRRARETQGEQDIWILRACLDHRILKNLASG >PAN49043 pep chromosome:PHallii_v3.1:9:58771602:58778196:1 gene:PAHAL_9G421700 transcript:PAN49043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGAAFSAALAAEDFPLVEGQEGMGMAPDKYREVFDLAQRGTRAFRDRRFDEAISFYSKALNLRPGDPIILSNRSLAFCRISQLLRERSAADSEYQPLNGLDPTTHAELALKDAEKIISINSNSPRPYLLKAYALILLEHYHEAREALLAGLQVDPLSHVLQTCLSDLDRNTNIAAGARRARLDRTDDFECTLCFKLLYEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQRNFPEEYAERRSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEVEWLQDIPLPEGSQERRELIELANGASELARAYIRRARETVRTARRTRHLDLESMPGPQDPEKFSFWLANLISLRPSDRLDLLRLRDTRERISSSIRLLSDAEQGCRVQ >PAN46631 pep chromosome:PHallii_v3.1:9:12754591:12758725:-1 gene:PAHAL_9G200100 transcript:PAN46631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGAVREVGSKAELDAAVAGARAAAVHFWAGWCEASKQMDEVFAHIAVDFPHAAFLRVEAEEQPEISEAYGVTAVPYFVFCKEGKTVDTLEGANPASLANKVAKIAGPASVAESAVPASLGVAAGPAVLEKVQELAQRNGSSAAEDTNPGSLPDTLNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDILKQEGVNFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVVAMHDSGELKDVFEEHNIPLKPQGSKSVEAVEPEAPSGKAGAVSEPVGLTDAQKARLASLVNSSPVMVFIKGSPEEPKCGFSGKLVHILKQENIPFSSFDILLDDEVRQGLKVFSNWPSYPQLYIKGELVGGSDIVMEMHKSGELKKVLSEKGVIAKETLEDRLKALISSAPVMLFMKGTPDAPRCGFSSKVVNALKKEGISFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >PAN49808 pep chromosome:PHallii_v3.1:9:62847733:62853185:1 gene:PAHAL_9G476800 transcript:PAN49808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEITSVTAARAQGPKLFRGKILLPGGPPDVVPSENVEFDFSDVFGPTAVHTPTEVNILTPGSPAPVADSSDEVYNDPDVIVKRSHSLVGPSSLVSQSLPFSKLTPHETEISLELSECSSKEKQISHVSLSDDELDDVKKENEGVGLDDFEVLKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKIQQKIVKEKIKLPTYLSSEVHSLLKGLLNKEAGRRLGSGPDGSDEIKNHKWFKSINWKRLEARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAANSNFVGFSYVRPAPFLQKPSPLG >PVH31666 pep chromosome:PHallii_v3.1:9:13121361:13123173:1 gene:PAHAL_9G205300 transcript:PVH31666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSCDRLSPWTDMCRYTTNGGFGPLLRGGKGAFQGTGTGWYDTDEHALDIIFHERIKRYECLTDDPALAAAVFVPFYAGLDVARHLWGNNVSARDELALDLAGLLAKRPEWRAMGGRDHFFVAGRTTWDFRRKADGQSEWGSKLLNLPAAKNMTALVVEASPWHLNDVAIPYPTAFHPASDEDLFFWQDRVRALNRTYLFSFAGMARPGDAKSIEGHLVDQCKASPVCSLMECSTTGPDNKCESPATVMKLFQSSTFCLLPPGGTDTRRPAFDAVLAGCIPVFFHPSSAYVQYSWFLPKSHTEYSVYIPEEDVRKNASVEERLRKVSPEMVRTMRDTVVGLIPSVTYGDATSRLETTVKDAFDIAVAAVINKVTKLRRGIAEGRPEEEKLERYSWKYPLLAEGQKPEDPHEWDPLFN >PVH32521 pep chromosome:PHallii_v3.1:9:58576711:58578837:-1 gene:PAHAL_9G418800 transcript:PVH32521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRVRYRTRAGERLVSWKGPGDPSPGSFSFGADPDRVIQLFLWNWTRPVMRSAPWTGYMVAGQYQANTSLVYVVFVSTEEEMYLTYSLSDGAPHTRYVLTYSGEYQLQSWNGSEAAWSVLGEWPAGSCSRYGHCGANGYCDGTGGAVPVCKCLDGFEPASAEEWSGGSFSRGCRRKEAPRCGDGFLALPGMKSPDGFRRVWNRTLEECAAECRRNCSCVAYAYADLRLSSSASTGDATRCLVWAGELIDTVKMGDVTGSDTLYLRIAGLRAGERAKTNVLKIALPAVLTCSLLLLAGISLARFKFKGKIGNGKRRKKLIWGVMGSELGETNPGQEFVLFRFDDVVAATCNFSEAYKIGQGGFGKVYMGMLGGQEVAIKRLSKNSEQGNEEFRNEVILIAKLQHRNLVRLLGCSVEGDEKILIYEYLPNKSLDAILFDNSRKMMLDWPTRFNIIKGVARGLLYLHHDSRLTIIHRDLKAANVLLDAEMRPKIADFGMARIFSDCQIKANTQRVVGTYKMVKQWLHGSRVCNGRCLLYQV >PVH32522 pep chromosome:PHallii_v3.1:9:58575813:58579038:-1 gene:PAHAL_9G418800 transcript:PVH32522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRVRYRTRAGERLVSWKGPGDPSPGSFSFGADPDRVIQLFLWNWTRPVMRSAPWTGYMVAGQYQANTSLVYVVFVSTEEEMYLTYSLSDGAPHTRYVLTYSGEYQLQSWNGSEAAWSVLGEWPAGSCSRYGHCGANGYCDGTGGAVPVCKCLDGFEPASAEEWSGGSFSRGCRRKEAPRCGDGFLALPGMKSPDGFRRVWNRTLEECAAECRRNCSCVAYAYADLRLSSSASTGDATRCLVWAGELIDTVKMGDVTGSDTLYLRIAGLRAGERAKTNVLKIALPAVLTCSLLLLAGISLARFKFKGKIGNGKRRKKLIWGVMGSELGETNPGQEFVLFRFDDVVAATCNFSEAYKIGQGGFGKVYMGMLGGQEVAIKRLSKNSEQGNEEFRNEVILIAKLQHRNLVRLLGCSVEGDEKILIYEYLPNKSLDAILFDNSRKMMLDWPTRFNIIKGVARGLLYLHHDSRLTIIHRDLKAANVLLDAEMRPKIADFGMARIFSDCQIKANTQRVVGTYGYMAPEYAMEGVFSIKSDVYSFGVLLLEIIIGTRRSSMDGIIGFPNLIAYVWSMWMEGNTKDLVDSSIMDSCLLDEVLLCSHIALLCVQEYPENRPVMSYIVNALDNGSTTLPSPNRPAYFAQRSNEIEQVRNDIQNSVGTFTLTNIEGR >PAN51942 pep chromosome:PHallii_v3.1:9:73066771:73069606:1 gene:PAHAL_9G631700 transcript:PAN51942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEVAVEEEDYGEEMAASESEAEDVVVGQMPTVMVPKHINKRSLKNKALSVTLDKKALRDFVTGFHKRKKKRRKEAQKVLQEKERKKRIEERKRRKQEKEIALYGRVLSSDDAGLENEDIGDDGEEVENDETLSEIKTYEDDATRITVTTSEITPEDDDIGPRTVGSMSMSYTNKNPSSVAKKNSSLGVKKKPQKRTFKNKSKSKAKKGDKKRAAVKGKKKGRGRN >PAN45714 pep chromosome:PHallii_v3.1:9:8339520:8340883:-1 gene:PAHAL_9G136700 transcript:PAN45714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKAKPAAQATAAATTATGVQYWLVKTEPGEWSWSDQASAPGGVAPWDGVRNHQAMKNLRAMRTGDRCLFYHSGAGATSRRVVGVVEVARTWYEGEGKDAAAGGAVDVRAVGEFRNPVPLGDIKKAAGEVEGMREFALLRQPRLSVMPVPSKVWDWICDAGGGFVQDGEAEEGEEA >PAN44286 pep chromosome:PHallii_v3.1:9:1800976:1807756:-1 gene:PAHAL_9G032000 transcript:PAN44286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVVAEPKTKYDRQLRIWGDQGQAALEKASICLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEASDLGNNFLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEETPATLIDTNPSFFSQFTVVIATQLPESSLLKLDDICRKADIVLVAARSYGLTGLVRVSVKEHSVIESKPDHFLDDLRLHNPWAELKQFAKSIDISDKDPVVHKHTPYIVILVRLAEKWADSHDGNLPSTRQEKREFKDLIRAHMLNVDEENYKEAVESSYKVSVTPGISNEIRQIIDDKSAEVDSSSSDFWILVAALKEFVANEGNGEVPLEGTIPDMTSLTEYYVSLQKIYQAKAEADCLAMEHRVKDILKWIGRHPDSISRAYIKTFCKNARKLRVCRYRSIEEEFSSPIVSEVQRYFTDEDYSYAMNFYILLRAVDRLSANYNRLPGIFDRLKTVATSVLSEMGLSGASLSEDLITEICRFGGAEIHTVAAFIGGVASQEVIKLVTKQFVPLRGTFIFNGIDLKSQVLEL >PAN44285 pep chromosome:PHallii_v3.1:9:1801506:1807645:-1 gene:PAHAL_9G032000 transcript:PAN44285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVVAEPKTKYDRQLRIWGDQGQAALEKASICLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEASDLGNNFLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEETPATLIDTNPSFFSQFTVVIATQLPESSLLKLDDICRKADIVLVAARSYGLTGLVRVSVKEHSVIESKPDHFLDDLRLHNPWAELKQFAKSIDISDKDPVVHKHTPYIVILVRLAEKWADSHDGNLPSTRQEKREFKDLIRAHMLNVDEENYKEAVESSYKVSVTPGISNEIRQIIDDKSAEVDSSSSDFWILVAALKEFVANEGNGEVPLEGTIPDMTSLTEYYVSLQKIYQAKAEADCLAMEHRVKDILKWIGRHPDSISRAYIKTFCKNARKLRVCRYRSIEEEFSSPIVSEVQRYFTDEDYSYAMNFYILLRAVDRLSANYNRLPGIFDSEIDEDIPRLKTVATSVLSEMGLSGASLSEDLITEICRFGGAEIHTVAAFIGGVASQEVIKLVTKQFVPLRGTFIFNGIDLKSQVLEL >PVH32956 pep chromosome:PHallii_v3.1:9:66825701:66826006:1 gene:PAHAL_9G533700 transcript:PVH32956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVAVTRFNSLLSSPLTGPNYSASPAAALVRRGLPRLHGPVDSVAGALPDMIAHCSFTHACHGYVQVRAAHARIRRAASERHGIERRRDCVEVEGERRSS >PAN50729 pep chromosome:PHallii_v3.1:9:67325875:67328179:-1 gene:PAHAL_9G541800 transcript:PAN50729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTSLSLGFSSLLCPTPRIRLLPQPQPPNPNNPPKPLRLGLTLAPAAAAPRPDAVPDGVAIADVVEKDWSFLEPAGAGVQRALAAGALSPSSRVLAVTPTASFVGALLASSPCGLLVAAHESLYVLAGVKEAHDEVRCFHLEGGGGGRGGGVVEAVPERFDDFDAVFVCYFPGMGVSAAALLKSLAKRCSKGARVVMFLDQGRQNFEQHRREHPDVVTSDLPSKSSLEKAASGNKYEITEFVDESTLYLAVLQFQG >PAN45838 pep chromosome:PHallii_v3.1:9:8966208:8969673:-1 gene:PAHAL_9G146200 transcript:PAN45838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACYRQALAAALVAAAAVAGLPAAGALGVNWGTMATHRLPSDIVVRMLEDNGIRKVKLFDADPGPMDALAGSGIEVMVGIPNNMLDMMTDYGTARDWVHQNVSRYNFDGGVNIKYVAVGNEPFLSSFNGTFLNVTLPALQNIQRALNDAGLGETIKATVPLNADVYNSPTSNPVPSAGRFRADIADLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDGFPLDYAFFDGTSSPVVDTGSGIQYTNVFDANFDTLVSALGAAGVGGLPVVVGEVGWPTDGDKHATAAYAQKFYAGLLRKLAAKAGTPLRQSQYIEVYLFSLIDEDAKSVAPGDFERHWGIMRYDGQPKYPMDLSGQGGNTALVAARGVQYLPRQWCVVNPNAPDLGKIGDSVTYACTFSDCTALGYGSSCNGMDAVGNASYAFNMYFQTQNQVEGSCDFQGLAAPTAQNPSTDTCNFTIQIAVSAAAGRRRRAPAAMALLLLVLRGVLQVAL >PAN49270 pep chromosome:PHallii_v3.1:9:60314829:60316042:1 gene:PAHAL_9G438000 transcript:PAN49270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFGNSLATGAYAKGASDPLASEVTATANASQETKDGAETNEQEGGSPLEADEMTFSANTNGAGSSGTKPPPAKKHKVAAVENPNIAMVSIMSEGLGNLAAAIEKVAKEDDGIPEGLYDDMMSIPGFDEAHLDHYYAYLCEHPSLARRFYNMRLSSKMVWVARYIKEHL >PAN46629 pep chromosome:PHallii_v3.1:9:12751432:12754238:1 gene:PAHAL_9G200000 transcript:PAN46629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLFAAARRLLRLGGRGRSWIPPSRAAASSSRSNSEEKRPPPARPPSLQSTLWPLGHPGTLLVPEIELWAARPGNRFRAVELQRIVKELRRRRRPRQALEVSEWMNLKGHVKFLPKDHAVHLDLIGEIHGVGAAETYFNNLSDNDKTEKPYGALLNCYARELLVDKALAHFQKMKELGFLFSPLPYNNLMSLYTNLGQHERVPSVMAEMKSNGIIPDQFSYRICINSYGVKADFFGLENTLEEMECEPQITVDWNTYAVVANNYIKGNLRDKAYSALQKAESKIDEKDSDCYNHLISLYGHLGDKSEVKRLWALQMLNCKRHINRDYTSMLAVLMKLDEIAEAEALLKEWESSKNAFDFHVPNVLLTGYRQKDLLDKAETLLDDFLKKGKVPTSTSWAIVAIGYAEKGNVVKAYELTKKALRVYTPNSGWIPRPSMIEMILKYLGDEGELKDVETFVDLLKVAVPMNSDMTEALSRARAREEKEVAETNA >PAN49980 pep chromosome:PHallii_v3.1:9:63826041:63828552:-1 gene:PAHAL_9G489700 transcript:PAN49980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPHERRPRVAAHFTVLACLAVLAPTRVAGAGMPATFIFGDSLVDAGNNNYIASLSKANYPPNGIDFLGHQPTGRYTNGRTIVDILAQEMGLEGFIPPYMAPETTGDAVMRGVNYASGGGGILNQTGSIFGGRLNLDAQIDNYANSRHDLIARHGEVAAVSLLRGALFTVTTGSNDFINNYLTPIFSVPERATTPPAAFIGAMIAKYRQQLTRLYLLDARKVVVANVGPIGCIPYQRETNPSGGAACAEFPNQLARSFNRRLRALVDELGAALPGSRFVYADVYRIVSDIIAKYRSHGFEVADSACCYAGGRFGGLVPCGPTSRYCADRSKYVFWDPYHPSDAANELIARRILDGGPADISPVNVRQLITA >PAN46741 pep chromosome:PHallii_v3.1:9:13665417:13670728:-1 gene:PAHAL_9G211600 transcript:PAN46741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGGADGNGHLPRPRRPRRGGLGGGGIGSPPGQGSSTVQPYPAAPPCTDYDMAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDIAIQAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIVSEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYHDSVYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVSQVDCYTIQAQELETITATFKFTSMLQAPLHGFAFWFDVEFNGPVRQKSKKLASQSLDGNTQNASPSSKKKKPDVSIVLSTAPEDTPTHWQQTLLYLFEPIELKKDQNIEGSVTISQSLQHARFLNICLKYFTGDQWYVKESVMR >PAN46744 pep chromosome:PHallii_v3.1:9:13665758:13670655:-1 gene:PAHAL_9G211600 transcript:PAN46744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGGADGNGHLPRPRRPRRGGLGGGGIGSPPGQGSSTVQPYPAAPPCTDYDMAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDIAIQAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIVSEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYHDSVYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVSQVDCYTIQAQELETITATFKFTSMLQAPLHGFAFWFDVEFNGPVRQKSKKLASQSLDGNTQNASPSSKKKKPDVSIVLSTAPEDTPTHWQQTLLYLFEPIELKKDQNIEGSVTISQSLQHARFLNICLKYFTGDQWYVKESVMR >PAN46749 pep chromosome:PHallii_v3.1:9:13665758:13669956:-1 gene:PAHAL_9G211600 transcript:PAN46749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDIAIQAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIVSEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYHDSVYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVSQVDCYTIQAQELETITATFKFTSMLQAPLHGFAFWFDVEFNGPVRQKSKKLASQSLDGNTQNASPSSKKKKPDVSIVLSTAPEDTPTHWQQTLLYLFEPIELKKDQNIEGSVTISQSLQHARFLNICLKYFTGDQWYVKESVMR >PAN46740 pep chromosome:PHallii_v3.1:9:13664728:13670728:-1 gene:PAHAL_9G211600 transcript:PAN46740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGGADGNGHLPRPRRPRRGGLGGGGIGSPPGQGSSTVQPYPAAPPCTDYDMAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDIAIQAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIVSEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYHDSVYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVSQVDCYTIQAQELETITATFKFTSMLQVLVISGTSKNP >PAN51649 pep chromosome:PHallii_v3.1:9:71605422:71609760:-1 gene:PAHAL_9G610000 transcript:PAN51649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAPPPLKYRPCHNPDGLVSEEALRIIVEAKACFVALALALAYFLTASQHRLWSSSHLVKGFLFAVTQPVTRFLVGMFTMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYCVSARRSDLAVHEFARAYNIVTLGLYVRYYSRASQFRYPLWALWALMVAKFLERIVRFKIANARYGDANTSFVAEYMKHEEKVEVTTEKPTASFSMEDCNYLVVGDSMSKAKPERVGSEYEAKYKPADGTVTVAKVWKCDRKLLTPNSKAPGDEDELKDVCLSFALCKLLRRKFAGVATAESERPKAQRLVFDNLIRSGWERTFRVVRTELGFARDLLYTKYPILFSSGFPVVSTMLFAVTVGVSVWITVSAVRHYRLPHWSTSNVVHGRNVDLLITFVIVGMVTGMEICEFFIHLFSDWTKVMVISEYVQKPWLNCRFLNCILRLICCGKIAEPIGSSLGQFDLLKATKQQTCLPEIIVKLYHTARSFVLLTGDEGFRAYKCKTLRPVPDAVMDMICRTLRDNRERFTAGQERPRRGTDLEQPYRAMLSDYCRAPIDIEAIMVCHVATSRLEDDLSIGGSSGLANGGGRSSEGSNDLEKGRSGDGSNKSSDLDYKLVATTLSKYCAYLLFYKPKLLPVASNSVRFMCKILVDEAKAIDGEEVANGGGDKEANGKQERSGNHHEAGVVSRGQALARDLLGRVPAQGDELWKALAELWCELIVSTAPHGNIGAHQKELGKGGEFITHLWALLYHAGIDDKFSGASAAAADAAPNGEDNNGQGGGGMCTAGSGGMTV >PAN50804 pep chromosome:PHallii_v3.1:9:67732972:67739517:-1 gene:PAHAL_9G547600 transcript:PAN50804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYVQNTPQARRNLKSSIEQRSLSINRHFLDASLPAQKALDRVEGEVHALNDSWKKIEEALSSCSASTGDIISTTERMQQELEVITQRQEIVSCFLRDYQLSNEEIIALREEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSDLLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGEMLGWLHQALASERELIVVLLDPDAMTDSGPTSRRQSVRDGDSSRGEHDVTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTIAELLGEDTALCNTIWSLRDAAQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELIDTFNSMMVPASGKRPNFDPVISALLDPIIQMCEQAAEAQKSKGSLARRGRTSSDPSGNSRDSISVDAILSKNLSTSVLSAESSSKVYLINCLSAIQEPLMGQEVATSYVNNLRSLIEAHIRALVDKEVDGILRKCGLSNKMPYIKDYGNNDDARPLADVVETSPQMLLECLKAFYGIVTGTEGSLPEFEQLQVPRLRSDACYGLARVLAETYELIYKAVMDPMNNYPDPRSLVKHSPEQIRTILEI >PVH33148 pep chromosome:PHallii_v3.1:9:69815343:69815840:-1 gene:PAHAL_9G579900 transcript:PVH33148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISFSLIHPFRSKMNMRCKYGGLGCLAMMAPDLGCIAPGRPHFLDVGTARSHASSLITERSRNATTLDETKVKLVFCAQVGCVTHDCFCCLNQKPKPLCYDTKRECKAGCPHCVPVCPP >PAN46567 pep chromosome:PHallii_v3.1:9:12955878:12958614:1 gene:PAHAL_9G203000 transcript:PAN46567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSCHGDTRRSRGRSGGGGGSTSGQAIFTAEWSHQFHSRCVSDSGSAPNGRPPSSARWRELPSLRTTNSSPRQPPPPPVALAAPASQPFFRPMEPRVFDDDDPVDRTPRAMREDQHRGAGAAEASDGGAVALATHCEYSALARDASTDDFAVLVHARAPGIAGAGGAAAPRAPLDLVTVLDVSGSMVGTKLALLKQAMGFVIDNLGPRDRLCVVSFSSGACRLMRLARMSDAGKALARRAVESLKAGGGTNIGEALRRAAKVIDERMHRNAVASVVLLSDGQDTYTVPRRGGYGGRDANYDALVPPSFAYDGDGRRSAPVHTFGFGTDHDAAAMHTIAEATGGTFSFIEDEAAIQDAFAQCIGGLLSVAVQELRVDIACVHPGVRVRAIKSGSYASHVEADGRAATIDVGELYADEERRLLLFLHVPRARAADDATRLVRAACAYRDTATGRGKSVAGEDAVVLRPWGAVTAGRSVEVERERVRAEATDGIAAARAEAERGAHAEAVEILRSRQRSVARSAAARAGDSACLALSRELREMRARVADRQRYELSGRAYVLAGLSSHAQQRATSRQMVSGGGAETLGGGWTAEERSVALATAGATTSYMTPAMLDMLDRSRRSRELLQRRQQPTQERRRTF >PAN46099 pep chromosome:PHallii_v3.1:9:10163510:10163878:1 gene:PAHAL_9G163200 transcript:PAN46099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGAASRSLLAAVRGRASSSTTTAASRVRAAAPIPAAPRRRVPAFAFATARPLAAMAGSPPAVVARLTGHTAASVRACCELSQGTLFCRTCQDR >PAN46712 pep chromosome:PHallii_v3.1:9:13534137:13535232:1 gene:PAHAL_9G209200 transcript:PAN46712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIDHSVSNSSLRGGQRTRNTLFNHHTKLATLTTDRLSLSAPVLSLVVLPQLLHVLAQDLQEVVFAVPVLELGVELRHEARLQRVAVVQEPRRDVVALVPPVALPRLHPVPRRVPGLEADVVGDALEDPRVRHVVLQPPLPDVHHLVVQHPGHLGPDVAGVAADVPGAEVDAVGPRGGDAADVLDDKGDRVHRPAVGGAGLVEGVPDEGGRVGKDLLGVVEADGLVEPFAAGGVGRRVRRVERDGAGRRRGRGQG >PVH33276 pep chromosome:PHallii_v3.1:9:71900941:71902282:-1 gene:PAHAL_9G615300 transcript:PVH33276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARGRCGEVLEACAFRCSVTAFPGDFAACGGAPGPRAGAAACAVLQRQPRLCLLQRLLADADGVLPSRGGAASAGRAGGRGSGRVMRVVRGNVARTGTAHQWGHGFTGAAEVRTRARFVPPRRRRRLAVVGEA >PAN45273 pep chromosome:PHallii_v3.1:9:6215821:6229504:-1 gene:PAHAL_9G106700 transcript:PAN45273 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1[V]-like protein [Source:Projected from Arabidopsis thaliana (AT5G58040) UniProtKB/Swiss-Prot;Acc:F4KDH9] MEDDDEFGDLYTDILIPTQTPASTSTPSNPAPVETLPRPPPNPNPTPAAAAAPAEDDDDWLLGGSDPIPGVDPTGDWADEDDDGGAPAPPAKREAAAPAKPPPAADDLDPLMGGGAGDSGPAIPGLSSSAAAGAAGSEDWDSDSEDDIQIVLNETDGRRGLGEDEGDDEDGEDLVIVADGPHIPGMEEQDWGEDPAAAGAEGERKDGGEPGKAVAAPGGRIGYSGGGPGFHPQHHSMFKYVRPGAPGASIGGAPGGPGQFRPPGPSGPFSGRGRGDWRPAGGRGMNKNFHSGYPWGGSGRGFGGGLDFTLPPHKTIFDIDVDTAFEEKPWKYPGVDISDFFNFGLDEEKWKDFCKQLDQLRIESTMQSRIRVYESGRSEQDYDPELPPELAAATGHPDISVDKRNKTDNGHTDFSSQGRGPASIRTPVMTGRPIQVEMLYSDRIPSADSRPHRTRDSDSVIEIVCQGRDSMDDATVDQNEKNSQGGNKGAHDVEEDKPYPSDKINNSGHNSNLGIKTEHKRQLPVSSESDMLSTDVNAQSPPSYKTRGSPRGARSLKGRSLGQNSIREIESSNEVIPRQSSSKRRHDSRRENPVEGPETKGDSEGSLVAADDVADKLSTEDHFDDDDDDDDDDRLALVDSVEVDGDDATSDHPSDTNEDDNLGHSGKKQKPVSMVEQPAGHNSSEPDELRTSENSKGRSGSSKDQQKRLESGEEVLQDRHSRKVNDARRHHDVEERNLRRKDEFSRDGKPDVERSHLPPRGREDIHQSYANRDRVDIRSRSYDRVRETEIWPRREDIVHSRRGKEEDLRLEYNAEVGARHRNKVRPTDRNDRDEDLHSRKRLDDGDWRGSRQRERGDVVLNRRESLDDSHIKRNKDDENLRRMKPENEDLVHGYRARDDNNRRKRERDDGVDQKKRDDSGRIREKADDRHHAKHKDDSWRQREREDRQRPKHENALTLQREEGRGTGRASRVMDDKLVSGGRKKDELRSSVLSKETQERTRQNEPSRRGQGVEENSMQNKGRSDVHPRDDNSNSERNSRQEKQNNNRLPGSSDARHIGRDRHRESARKGRSSEPGEHDLHRSSKRRREDHESHRTGKVEAKEANEQENSRGHAASSKKSQNPQPDNSLVNQVEEEAISDDENNEDSRRGRSKLERWTSHKEIEYSNIDDDSTQTFPDIKADVQDPTADELGKSEVSAAVGNSEIKSSVDTGQTSDKITEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDNEVQLPQNESAAADMEVKPERPARKRRWTSGS >PAN51009 pep chromosome:PHallii_v3.1:9:68769265:68773684:1 gene:PAHAL_9G563700 transcript:PAN51009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSVPPTLPRASPAVVGAARGRRAAVRVRTAAAALGGGGGGMEQHLGDGPRSPVKENPVMSNVGKSTNILWHNCPIGQSDRQKLLGQKGCVVWITGLSGSGKSTLACALSRELHYRGHLTYVLDGDNLRHGLNRDLSFKSEDRAENIRRVGEVAKLFADAGIICIASLISPYKRDRDACRALLPDSKFIEVFLDLPLQICEARDPKGLYKLARTGKIKGFTGIDDPYEPPVNGEIVIKMKDGECPSPKAMAKQVLCYLEENGYLQA >PVH33081 pep chromosome:PHallii_v3.1:9:68769823:68773684:1 gene:PAHAL_9G563700 transcript:PVH33081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLINKRNRAALTIYGYPSSVKENPVMSNVGKSTNILWHNCPIGQSDRQKLLGQKGCVVWITGLSGSGKSTLACALSRELHYRGHLTYVLDGDNLRHGLNRDLSFKSEDRAENIRRVGEVAKLFADAGIICIASLISPYKRDRDACRALLPDSKFIEVFLDLPLQICEARDPKGLYKLARTGKIKGFTGIDDPYEPPVNGEIVIKMKDGECPSPKAMAKQVLCYLEENGYLQA >PAN51008 pep chromosome:PHallii_v3.1:9:68769265:68773684:1 gene:PAHAL_9G563700 transcript:PAN51008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSVPPTLPRASPAVVGAARGRRAAVRVRTAAAALGGGGGGMEQHLGDGPRSPVKENPVMSNVGKSTNILWHNCPIGQSDRQKLLGQKGCVVWITGLSGSGKSTLACALSRELHYRGHLTYVLDGDNLRHGLNRDLSFKSEDRAENIRRVDAGIICIASLISPYKRDRDACRALLPDSKFIEVFLDLPLQICEARDPKGLYKLARTGKIKGFTGIDDPYEPPVNGEIVIKMKDGECPSPKAMAKQVLCYLEENGYLQA >PAN46027 pep chromosome:PHallii_v3.1:9:9853637:9859407:-1 gene:PAHAL_9G158900 transcript:PAN46027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDDALTVMGFGKFQALVLAYAGMGWVAEAMEVMLLSFLGPVVREEWNVSPQDESILSSVVFAGMLIGAFTWGFISDRYGRRTVLLLSTLLTSGLGFLSALSPNYFCLLALRFFVGIGVGSGHVFSSWFLEFVPAGNRGTWMVIFSFFWTIGTVLEASLAWVVLSALSWRWLLALSSLPCFLLLPFFRITPESPRYLCAQNRMIDARLVLERMANANQAALPLGVLTYHGETKIDYITHTSEDEHLIRVREKEHTVVNAISSKSGAIAALHKLLSHNLLRSTLLLWFVYYASSFAYYGIALLTSQLSDVNRSCSSDLIFEMHQKDDNLYKDTFITSLAEIPGLMLSALLVDWFGRKATMCCLMFACCAFLGPLALHQNELFTTILLFGARACGTGGTIVLCLYAPEVYPTSVRSTGVGIATSIGKIGGVVCPLVAVGMLRSCHQMAAVLVFELVLFLAGVACILFPVETKGREMD >PAN45461 pep chromosome:PHallii_v3.1:9:7149183:7150289:-1 gene:PAHAL_9G121000 transcript:PAN45461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFCDSSSPMDLPLYQQLQLSPPSPKPDQSSSFFYYPCSPPFAAAAADASFHLSYQLGSAAAAATPPQAVIDSPELPAQPLMEQAPAPASELDAACANAQGAGVSLDRASAVARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKAAIQEIMTDDASSECAEDGSSSLSVDGKPNPVELGLGGGDQQPKCNDRSEGKKPAKARKAATAPKPPRKSGNAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEAAAASVARDRPSSNNLNHHSSSNMACGAAELEERCSSTLNNGGTRMQEITGASDVILAFGNGGYGAAGNYYCQEQWDLGGVVFQQNSRFY >PAN51432 pep chromosome:PHallii_v3.1:9:70582877:70585895:1 gene:PAHAL_9G594000 transcript:PAN51432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQARARLRNFSRQFWPLLRHAISECCLIIMLVATAVLSYMATRFARMCRLRSPCMLCSRLDRFLLGKAWFSEELVCAAHRLEISRLSYCQSHNKLACSDDMCDKCLLSCTTSDGKPSNLTNLNVKEKVKSQSRSRHKQLCSCCSVRFKKSRNSHKLSEVANSRFPGDDMSKVGSIAMASVGHSSDDDSDHLAFEGYRKLKVGHDSESEIHISDSDDDVGHAILHEARGVAVDISSRDVQLQQMISSANGLSMLPSDNTVMTKPKQPLNTARDTDSQSSGTKVAKSLDRAIGHGLDEINWSQINANSSDNNIHMQPKAMPEQACTEHPKEKTFLVGIEEVGDSFEGVPGSPDEEVMNDFAASANAGTSSSAGTHVNRNNSIKNASGSRGYLKSPRLSEIISARDTNSKTNQEVKTFLSQLSARGFDVPLNEMTTSPRISTQIDECRQYDATGMAPFLERNNSNLEPFDVNATSEDEGESSIDRLKQQAEINRKKMSMLYKELEAERSASAVAASEAMAMINRLQEEKAGMHMEALQYLRMMEEQADHDQEAIEKLNDLLTEREKELLDLEAELEGYRSRLHDEPFDVGNFNATDGALAFGVLDGSDFMRHTMFDFEDEKAKILDSLHRLEETIDMSSINRLDLDGTNDTLQNGPLRDHLGSSSQYLQNSEMGTSQMPQEHMISLSVSSQQNDENQYVENQTSYPSCSQLDDAKNCSLTSFKHDISLLNTRFKALEADQNFLKQILSSLNCSSDGVQYIQEITSHLRELRRIMAEQRDMPVL >PAN51433 pep chromosome:PHallii_v3.1:9:70582877:70585984:1 gene:PAHAL_9G594000 transcript:PAN51433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQARARLRNFSRQFWPLLRHAISECCLIIMLVATAVLSYMATRFARMCRLRSPCMLCSRLDRFLLGKAWFSEELVCAAHRLEISRLSYCQSHNKLACSDDMCDKCLLSCTTSDGKPSNLTNLNVKEKVKSQSRSRHKQLCSCCSVRFKKSRNSHKLSEVANSRFPGDDMSKVGSIAMASVGHSSDDDSDHLAFEGYRKLKVGHDSESEIHISDSDDDVGHAILHEARGVAVDISSRDVQLQQMISSANGLSMLPSDNTVMTKPKQPLNTARDTDSQSSGTKVAKSLDRAIGHGLDEINWSQINANSSDNNIHMQPKAMPEQACTEHPKEKTFLVGIEEVGDSFEGVPGSPDEEVMNDFAASANAGTSSSAGTHVNRNNSIKNASGSRGYLKSPRLSEIISARDTNSKTNQEVKTFLSQLSARGFDVPLNEMTTSPRISTQIDECRQYDATGMAPFLERNNSNLEPFDVNATSEDEGESSIDRLKQQAEINRKKMSMLYKELEAERSASAVAASEAMAMINRLQEEKAGMHMEALQYLRMMEEQADHDQEAIEKLNDLLTEREKELLDLEAELEGYRSRLHDEPFDVGNFNATDGALAFGVLDGSDFMRHTMFDFEDEKAKILDSLHRLEETIDMSSINRLDLDGTNDTLQNGPLRDHLGSSSQYLQNSEMGTSQMPQEHMISLSVSSQQNDENQYVENQTSYPSCSQLDDAKNCSLTSFKHDISLLNTRFKALEADQNFLKQILSSLNCSSDGVQYIQEITSHLRELRRIMAEQRDMPVL >PAN44749 pep chromosome:PHallii_v3.1:9:3883996:3891399:-1 gene:PAHAL_9G067300 transcript:PAN44749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALICDTEQWKALQAHVGAIQKTHLRDLMADADRCKAMTAEFEGIFLDYSRQQATGETIEKLLKLAEAAKLKEKIEKMFKGEKINSTENRSVLHVALRAPRDAVINSDGVNVVPEVWSVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHTALQTDPEAAECAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTIKEWIVSSLGPQAVSKHMIAVSTNLKLVKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGASSIDKHFYSSSFEKNLPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQLSMESNGKGVSIDGVPLPIETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGETVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFKGNRPSLSLLLPTLSAYEIGQLLSIYEHRIAVQGFIWGINSFDQWGVELGKSLASQVRKQLHGSRMEGKAVEGFNHSTTSLLARYLAVKPSTPYDSTVLPKV >PVH31822 pep chromosome:PHallii_v3.1:9:16365624:16368072:1 gene:PAHAL_9G242400 transcript:PVH31822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVDPVAAGLGTSGGGAIGVGVGGGAAAMDGARPVDLARHPSGIVPALQNIVSTVNLDCQLDLKMIALQARNAEYNPKRFAAVIMRIREPKTTALVFASGKMVCTGAKSEEHSKLAARKYARIIQKLGYPAKFKDFKIQNMVGSCDVKFPIRLEGLAYSHGAFSNIVLTGAKVREEIYTAFENIYPVLTEYRKCQK >PAN47210 pep chromosome:PHallii_v3.1:9:16365625:16368071:1 gene:PAHAL_9G242400 transcript:PAN47210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVDPVAAGLGTSGGGAIGVGVGGGAAAMDGARPVDLARHPSGIVPALQNIVSTVNLDCQLDLKMIALQARNAEYNPKRFAAVIMRIREPKTTALVFASGKMVCTGAKSEEHSKLAARKYARIIQKLGYPAKFKDFKIQNMVGSCDVKFPIRLEGLAYSHGAFSNYEPELFPGLIYRMKHPKIVLLIFVSGKIVLTGAKVREEIYTAFENIYPVLTEYRKCQK >PVH31821 pep chromosome:PHallii_v3.1:9:16365624:16368072:1 gene:PAHAL_9G242400 transcript:PVH31821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALVFASGKMVCTGAKSEEHSKLAARKYARIIQKLGYPAKFKDFKIQNMVGSCDVKFPIRLEGLAYSHGAFSNYEPELFPGLIYRMKHPKIVLLIFVSGKIVLTGAKVREEIYTAFENIYPVLTEYRKCQK >PVH32895 pep chromosome:PHallii_v3.1:9:65811489:65814510:1 gene:PAHAL_9G518200 transcript:PVH32895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PVH32896 pep chromosome:PHallii_v3.1:9:65811489:65814510:1 gene:PAHAL_9G518200 transcript:PVH32896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PVH32900 pep chromosome:PHallii_v3.1:9:65811489:65814504:1 gene:PAHAL_9G518200 transcript:PVH32900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PVH32899 pep chromosome:PHallii_v3.1:9:65811489:65814504:1 gene:PAHAL_9G518200 transcript:PVH32899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PVH32893 pep chromosome:PHallii_v3.1:9:65811489:65814494:1 gene:PAHAL_9G518200 transcript:PVH32893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PVH32897 pep chromosome:PHallii_v3.1:9:65811489:65814510:1 gene:PAHAL_9G518200 transcript:PVH32897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PVH32898 pep chromosome:PHallii_v3.1:9:65811489:65814510:1 gene:PAHAL_9G518200 transcript:PVH32898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PVH32894 pep chromosome:PHallii_v3.1:9:65811489:65814504:1 gene:PAHAL_9G518200 transcript:PVH32894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PVH32901 pep chromosome:PHallii_v3.1:9:65811489:65814489:1 gene:PAHAL_9G518200 transcript:PVH32901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPGPCPATPWTWRRRRDSPRSTVRRRRRRGRACSFEATPRKVGDGWRRKNRGGRFHGSDWKSFSSSPGSRGGSRSGGDTASRPGRSLTFDHCRVQRSSRLAIRAP >PAN50552 pep chromosome:PHallii_v3.1:9:66392639:66393310:-1 gene:PAHAL_9G527100 transcript:PAN50552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVVDLSPRSEGLLEVSLTCPRMCGYAGPAWPRFCFVYVSFRDKVVCRPKMLPFELDEEDVDEDISERDIRRYVRAQAMEDIKRWRLPIRIPMVSGWARESLDIHVERVDRDMRQRRDRDVVVTRGGPHTSQYIAVIGRARVPLLDALVIGDDDDEEEAEDQKRRRRSEVEERPSRVEGTLEFGKTVPLLDWELPAPRDAGGKPRSVVRGSVDVRMNLRRLA >PAN44892 pep chromosome:PHallii_v3.1:9:4527677:4530626:1 gene:PAHAL_9G078000 transcript:PAN44892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRHGERCVIFAVAAAALLAAAAAAAGGRDDRHTYIVHMSHSAMPNDFVEHGEWYAASLQAVSEAATVLYTYDTLVHGYSARLTRAEAEALESQPGVLIVNPEVRYELHTTRTPEFLGLDGTDALFPQSSTGSDVIIGVLDTGVWPERPSYDDTGFGPVPAGWKGECEKGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGGAVQGADLLGYASGTAKGMAPRARVATYKVCWVGGCFSSDILKAMEVAVTDGVDVLSLSLGGGTADYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAATLSNGAPWITTVGAGTIDRDFPAYVTLGNGKNYTGVSLYSGKPLPTTPVPLIYAGNASNSSMGQLCMSGSLIPEKVAGKIVLCDRGTNARVQKGFIVKDAGGAGMILANTAANGEELVADAHILPGSGVGEKAGNAIRDYAMSDPEATATIVFAGTKVGIQPSPVVAAFSSRGPNTVTPSVLKPDIIAPGVNILAAWSGSVGPSGIAGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHPEWSPAAIRSALMTTAYTEYPNGNGILDVATGRPATPLDVGAGHVDPGKAVDPGLVYDITTADYVDFLCALKYGPMQIATLTKRSSDGCAADRTYAESALNYPSFAVTFPAGGGTVKHTRTVTNVGPPGTYKVAASAAAGGTPVAVSVEPSTLSFSKAGEKRSYTVSFAAPAKPSGTNGFGRLVWSSDHHVVASPIAATWN >PAN46612 pep chromosome:PHallii_v3.1:9:12663805:12666674:1 gene:PAHAL_9G198000 transcript:PAN46612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAELWETLKQAIVAYTGLSPAAFFTAVAVAAALYHLVSGLFAAPPPPPPRPREEPEAEPLPPPVQMGEVSEEELRQYDGTDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTVKKTVPVEDGNTASTTAETSETATNAPATEDKPREVAAEEVKEAAADKDAKAS >PVH31063 pep chromosome:PHallii_v3.1:9:2748464:2752336:-1 gene:PAHAL_9G048900 transcript:PVH31063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGGEGAVGKVTCAAWIRRREDDGPPGVSRLLVAFGRDATASSPPLVDLLEFDAGASALASEPLVRVVVGEEAADTPRTIAVHPGGRELVCATAKGCRVFKLLFRDFGIHLIPNDASPIQSVGPQKCLTFSTDGTKFAIGGEDGHLRIFHWPSLNVILDEPKAHRSFRDMDISLDSELLVSTSNDGSARIWKIDEGSPLINLTKSLDEKIEYCRFSRDGTKPFLFCTLVKEETVMATFVSWK >PAN44510 pep chromosome:PHallii_v3.1:9:2748464:2752335:-1 gene:PAHAL_9G048900 transcript:PAN44510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGGEGAVGKVTCAAWIRRREDDGPPGVSRLLVAFGRDATASSPPLVDLLEFDAGASALASEPLVRVVVGEEAADTPRTIAVHPGGRELVCATAKGCRVFKLLFRDFGIHLIPNDASPIQSVGPQKCLTFSTDGTKFAIGGEDGHLRIFHWPSLNVILDEPKAHRSFRDMDISLDSELLVSTSNDGSARIWKIDEGSPLINLTKSLDEKIEYCRFSRDGTKPFLFCTLVKGHNILTMAVDISNWKRIGYKRFSAKPISTLSVSLDGKYLALGNRDGDFCVVEVKTMQVAHWSKKVHLGSPISSIEFCPTERVVISTSHQWGAEITKLDVPPEWKVWQIWLVLLSLFVSSAVLFYLFFKHARLNLLP >PVH31062 pep chromosome:PHallii_v3.1:9:2749106:2752014:-1 gene:PAHAL_9G048900 transcript:PVH31062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGGEGAVGKVTCAAWIRRREDDGPPGVSRLLVAFGRDATASSPPLVDLLEFDAGASALASEPLVRVVVGEEAADTPRTIAVHPGGRELVCATAKGCRVFKLLFRDFGIHLIPNDASPIQSVGPQKCLTFSTDGTKFAIGGEDGHLRIFHWPSLNVILDEPKAHRSFRDMDISLDSELLVSTSNDGSARIWKIDEGSPLINLTKSLDEKIEYCRFSRDGTKPFLFCTLVKGHNILTMAVDISNWKRIGYKRFSAKPISTLSVSLDGKYLALGNRDGDFCVVEVKTMQVAHWSKKVHLGSPISSIEFCPTERVVISTSHQWGAEITKLDVPPEWKAS >PAN49504 pep chromosome:PHallii_v3.1:9:61409285:61412368:-1 gene:PAHAL_9G454300 transcript:PAN49504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPPRIAGFGGGGRKPRVPPLPPARTLLTAFAAAAALTVLCLLSSSPAASLSWRSGARSGDKYLYWGGRVDCPGKHCGSCAGLGHQESSLRCALEEALFLGRIFVMPSRMCLSSVHNTKGDLQSSATSKQRWEESSCAMESLYDIDEISRTVPVILDNSKTWHDIVSRSMKLEVGGVSHVQGISRGELKQNPMYSTALIINRTANPLAWFMECKDRKNRSSVMLSYTFLPSMPAKILRDAANKMKKILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEYIRKRIAKWIPPGRTLYIASNERAPGFFSPLSDRYELAYSSNFSSILEPVIENNYQLFMVERLIMQGAKTFVKTMREFDSDLTLCDDPKKNTKVWQRPVYTDD >PAN48371 pep chromosome:PHallii_v3.1:9:54796210:54803137:1 gene:PAHAL_9G378300 transcript:PAN48371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMENVIVLVNRIQRACTVLGDHGGGDGAASLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTQDGAQEYAEFLHLPKRRFTDFSLVRKEIEDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAIEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINRNVDMIIARRKEQEFFASSPDYSHLASRMGSEYLAKLLSQQLEAVIRARIPSITSLINKTIDELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSVQNVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHFVLKELVRISIGETQELKRFPTLQAELAAACYEALERFREDSRKTTLRLVDMESAYLTVEFFRKLPQEIEKTGPGNPRAANPPPSSDDRYTDAHFRRIASNVSSYIGMVSETLKNTIPKSVVHCQVREAKRSLLNHFYTQVGRKDAKQLAVLLDEDPALMERRLQCFKRLELYKSARDEIDAVAWTR >PAN50317 pep chromosome:PHallii_v3.1:9:65350931:65352607:-1 gene:PAHAL_9G512000 transcript:PAN50317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVDDDCKRKFLELKAKRTYRFVVFRIDEKQKQVVVERLGEPNLPADECRYCVYDFDFVTEEGCQKSKIFFIAWSPDTAKIRSKMLYASSKDKFKRELDGIQVQLQATDPSEMGLDVIRGPCQLSVCFLGPAVEVLDLSTACLCECNGSLTNDIRPYPFLFHIAVCTC >PVH32876 pep chromosome:PHallii_v3.1:9:65351024:65352334:-1 gene:PAHAL_9G512000 transcript:PVH32876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFTCQHFISAVFLSVQANAASGMAVDDDCKRKFLELKAKRTYRFVVFRIDEKQKQVVVERLGEPNLPADECRYCVYDFDFVTEEGCQKSKIFFIAWSPDTAKIRSKMLYASSKDKFKRELDGIQVQLQATDPSEMGLDVIRGPCQLSVCFLGPAVEVLDLSTACLCECNGSLTNDIRPYPFLFHIAVCTC >PAN50316 pep chromosome:PHallii_v3.1:9:65350984:65352607:-1 gene:PAHAL_9G512000 transcript:PAN50316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVDDDCKRKFLELKAKRTYRFVVFRIDEKQKQVVVERLGEPNLPADECRYCVYDFDFVTEEGCQKSKIFFIAWSPDTAKIRSKMLYASSKDKFKRELDGIQVQLQATDPSEMGLDVIRGPCQLSVCFLGPAVEVLHIFRKFYSC >PAN45736 pep chromosome:PHallii_v3.1:9:8477906:8479496:-1 gene:PAHAL_9G138700 transcript:PAN45736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLGNPIASPVAAINGTRRGPRADGPATVLAIGTANPPNCVKQEDYADYYFRVTKSEHLVNLKAKLRRICEKSAIKKRYFHHTEELLDSHPEFTDRRFPSLDARQDIVATAVPELTAAAAAEAIAEWGRPATEITHLVVSTYSGAHMPGTDFHLASLLGLRPTVRRTMLYMNGCSSASAALRVAKDIAENNRGARVLVACAELTLILFRAPHEAQADTLIMQALFGDGAGAVVVGADPVSVEQPDFEMVSASQAMIPESKDMAKGRLREDGLLFLPSREMPSLVRENIERCVVNALSPLDISGGWNDLFWAVHPGGRAILDSVEAGLGLDPRKLEASRHVLREYGNMSGPSVIFVLDELRRQQEENGMGVMVGIGPGISVETMVLRATGSQKKI >PAN48913 pep chromosome:PHallii_v3.1:9:58111470:58114568:-1 gene:PAHAL_9G412400 transcript:PAN48913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEGKRETRTASVAAMHACFGVLRLICVACAIDWLSGAGSTPAALVALMAAAMAAGWFANAVRPPPPTPCGTPGGPPVTAPRARMRDGRYLAYAESGVSRDRARFKVVYSHGFSGGRMDSPRASQELLEELGVYMVAFDRAGYGESDPDPRRSLRSAALDVEDLADALGLGDKFYLICSSLGSHAGWAAIRYIPHRLAGVAMMAPVINYRWRGLPRGLARQLYRKQPVGDQWSLRVAYYAPWLLHWWMSQPWLPTSTVIDGSGTFPNALDEKNRVMALSNGMFHQRARLATQQGIQESFYRDMAVMFGRWPDFEPTDLEEPPFPVHVFQGDEDGVVPVQLQRHICRRLGWVKYHELPGVGHFMSAVPGLGDRIVSTLLAAPASA >PAN50438 pep chromosome:PHallii_v3.1:9:65918680:65923682:-1 gene:PAHAL_9G520100 transcript:PAN50438 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MEALKKHASKLREHVAKQQQAVLKQFSARYNQDPSLVDEAELECHQNLQRLYSTTRAAKHFQRNIVRGVEGFIAVSTKQMEIVKRLAEDCCKYGVDNQHFGFALAKASVEFGNSHKQIEKEREDLLKVLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNTDNSMKLQHAESKLSDLRTTLAALGREATAAMEAVEAQQQQVTYERLLAMVDAERTYHQNAADILNKLHDEMLLAKHHNETINHYDEQSSEPESETAPAQVHSDSTSEEPVLTKPSESIGNGQEVQFLGEVIHPFDAQADGELSLSVGEYVVVRQVASNGWSEGECKGKAGWFPSAYVERRDKAPASKVIEPGLLTT >PVH32903 pep chromosome:PHallii_v3.1:9:65917376:65923682:-1 gene:PAHAL_9G520100 transcript:PVH32903 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MEALKKHASKLREHVAKQQQAVLKQFSARYNQDPSLVDEAELECHQNLQRLYSTTRAAKHFQRNIVRGVEGFIAVSTKQMEIVKRLAEDCCKYGVDNQHFGFALAKASVEFGNSHKQIEKEREDLLKVLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNTDNSMKLQHAESKLSDLRTTLAALGREATAAMEAVEAQQQQVTYERLLAMVDAERTYHQNAADILNKLHDEMLLAKHHNETINHYDEQSSEPESETAPAQVHSDSTSEEPVLTKPSESIGNGQEVQFLGEVIHPFDAQADGELSLSVGEYVVVRQVASNGWSEGECKGKAGWFPSAYVERRDKAPASKVIEPGLLTT >PVH31709 pep chromosome:PHallii_v3.1:9:14138024:14138420:-1 gene:PAHAL_9G217900 transcript:PVH31709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWNGLSSFYIIPYIILVLKSNMLHLYHFLSLFGDVGTIMCLGPSCLVLPLLKHTFLFIVCTFISVIRDMTGHATRAQCSSLYQGRKVLRNSGLPGCRPRSFCASHLFAVCNFEIV >PVH31157 pep chromosome:PHallii_v3.1:9:3940814:3942201:1 gene:PAHAL_9G068700 transcript:PVH31157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAHLVTGRAAPTVTPQCAVVSVVPTMCSTKCHFHGKFSCGVASRDSVKCTMKKPLA >PAN45938 pep chromosome:PHallii_v3.1:9:9342771:9344276:-1 gene:PAHAL_9G152200 transcript:PAN45938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGETKVDGVRMAMGALDCPVCYEPLEPPIFQCGVGHLICKLCYARLEKCPLCTRTAFERCYGMERVVKSVEVPCCFANNGCTKKIAYFNKKRHEKACRHGLCFCPEPGCGFTGPVVALANHVATRHKWPSIKFKYFEQFNLPLQPGPRVLRAPDGNVFLMNLTPAEPLGHTVSLVCIQPEATDSRFGCSMVFSCFTGHHQISTLDAVRSSSLSDGMPKDFFCVVPKARGTDISLRTTIDNELVYDDEDEQEDEDDDDESYDEDEDELEDDSDECLMI >PAN45939 pep chromosome:PHallii_v3.1:9:9342771:9345604:-1 gene:PAHAL_9G152200 transcript:PAN45939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGETKVDGVRMAMGALDCPVCYEPLEPPIFQCGVGHLICKLCYARLEKCPLCTRTAFERCYGMERVVKSVEVPCCFANNGCTKKIAYFNKKRHEKACRHGLCFCPEPGCGFTGPVVALANHVATRHKWPSIKFKYFEQFNLPLQPGPRVLRAPDGNVFLMNLTPAEPLGHTVSLVCIQPEATDSRFGCSMVFSCFTGHHQISTLDAVRSSSLSDGMPKDFFCVVPKARGTDISLRTTIDNELVYDDEDEQEDEDDDDESYDEDEDELEDDSDECLMI >PAN50290 pep chromosome:PHallii_v3.1:9:65242979:65252021:1 gene:PAHAL_9G510400 transcript:PAN50290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGTGAGEEEVEEDEVEPPPAAVSFWRLFEFADGVDWALMAAGALAAAAHGAALVVYLHYFGRALNLLDSERVESALHGRSDELLHRFKEHALYIVYIAAGVFIAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLIVGLLNCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIHKRKADGGEVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSANQEGITLPQVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQVPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGIALTDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELVNLDGLYAELLRCEEATKLPKRMPTKNSRERKSLQIEDASVSQYFQESSSPKMTKSPSLQRTHGMLQFWRSDTNRNSHDSPKDRSPPSEQTVDNGIPMVAIEAERVPSIKRQDSFEMKLPDLPKVDVHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDASSEHSELDEVQHQKPPSFWRLASLSIAEWPYALLGTIGAAIFGSINPLLAYTIALIVSAYYHIDTNDMRHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDKEENNADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYILHLGKILKQSLVQGLAIGFGFGLSQFLLFACNALLLWYTAISVDQQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLMSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDQNGLYVRLMQPHFGKGLRQHRLM >PAN50289 pep chromosome:PHallii_v3.1:9:65242868:65252003:1 gene:PAHAL_9G510400 transcript:PAN50289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGTGAGEEEVEEDEVEPPPAAVSFWRLFEFADGVDWALMAAGALAAAAHGAALVVYLHYFGRALNLLDSERVESALHGRSDELLHRFKEHALYIVYIAAGVFIAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLIVGLLNCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIHKRKADGGEVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSANQEGITLPQVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQVPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGIALTDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELVNLDGLYAELLRCEEATKLPKRMPTKNSRERKSLQIEDASVSQYFQESSSPKMTKSPSLQRTHGMLQFWRSDTNRNSHDSPKDRSPPSEQTVDNGIPMVAIEAERVPSIKRQDSFEMKLPDLPKVDVHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDASSEHSELDEVQHQKPPSFWRLASLSIAEWPYALLGTIGAAIFGSINPLLAYTIALIVSAYYHIDTNDMRHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDKEENNADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYILHLGKILKQSLVQGLAIGFGFGLSQFLLFACNALLLWYTAISVDQQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLMSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDQNGLYVRLMQPHFGKGLRQHRLM >PAN50291 pep chromosome:PHallii_v3.1:9:65244710:65251249:1 gene:PAHAL_9G510400 transcript:PAN50291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVFYEIGHLKIYVEVDAGWSRWSEGCQGLKAPISHALYIVYIAAGVFIAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLIVGLLNCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIHKRKADGGEVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSANQEGITLPQVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQVPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGIALTDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELVNLDGLYAELLRCEEATKLPKRMPTKNSRERKSLQIEDASVSQYFQESSSPKMTKSPSLQRTHGMLQFWRSDTNRNSHDSPKDRSPPSEQTVDNGIPMVAIEAERVPSIKRQDSFEMKLPDLPKVDVHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDASSEHSELDEVQHQKPPSFWRLASLSIAEWPYALLGTIGAAIFGSINPLLAYTIALIVSAYYHIDTNDMRHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDKEENNADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYILHLGKILKQSLVQGLAIGFGFGLSQFLLFACNALLLWYTAISVDQQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLMSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDQNGLYVRLMQPHFGKGLRQHRLM >PAN47179 pep chromosome:PHallii_v3.1:9:16175159:16177770:-1 gene:PAHAL_9G239900 transcript:PAN47179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTASMLFSHAGAGHRGIPQALADVLVCPLSKKPLRYCEASGCLVSEAVGVSFPIEDGIPCLVPKDGKLLEDNSEKSEQEPIPRDSSG >PVH32130 pep chromosome:PHallii_v3.1:9:40899227:40903485:1 gene:PAHAL_9G324800 transcript:PVH32130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPPMSRAAAAAFLFLVSLAASIAPSLEASSAGASESSVLLAFYASLPPASRRVLRPSWKATNITTSRGRCRGVASTHCAFRGVECSAAGAVAAVNLSGAGLSGALAVSAPQLCALPALATLDLSRNRFTGPVPAALAACSGVTALHLGWNNLTGAVPPELLSSSRLRKIDLSYNSLTGNISTTVPSVSPVLEYVDLSVNALSGIIPPELAALPALCYLDLSCNNLSGPMPEFPARCRLVYLSLYTNQLADELPRSLSNCGNLTTFYLSYNGIGGKMPDFFASFPNLQVLYLDDNKFLGELPESIGELASLEELVVSNNGFTGTVPDAIGKCQSLTLLYLDGNNFTSSIPVFIGNFSRLQMFSVAHNGISGRIPPEIAKCQELVELQLQNNSLSGTIPPEIGKLSQLQRLYLHTNNLSGELPTEITQIRKLREISLFSNRFTGVLPQALGLNTTPGLVQVDLTGNHFHGEIPPGLCTGGQLSVLDLGGNQFNGSFPIEIVKCESLWRFILKNNIISGNIPANMGTNKGLSYMDISGNLFEGMIPGVIGSWHNLTMLDFSNNHFSGPIPHEFGALSKLETLRMSSNRLTGQIPRELGNCKHLLRLDLGYNLLSGSIPAEITTLGSLQNLLLGTNNLTETIPDSFTATQSLTELQLGENCLEGAIPISLGNLQYLSKALNISHNRLTSIIPSSLGKLQDLEVLDLSKNSLSGSIPSQLSNMVSLLVVNISFNELSGQLPGSWAKLAAKSPDGFLDNPQLCLQSDCVHPPKDQPGKLQYRNRRIIVALLMSTLAVMVAILCAIYYIVKRSQGLSASRSSVRSMDTTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQYKFPIEMKILNTVKHRNIIRMDGYCIRGSIGLILCEYMPEGTLFDLFHQRKPQVALDWMTRHQIALGIAQGLSYLHHDCVPMIVHRDVKSSNILMDSELVPKLTDFGMGKIVHDEDADATVSVIVGTLGYIAPEHGYSTRLTEKSDVYSYGVVLLELLCRKMPVDPSFGDGVDIATWMRTKLKQADHSSIISLMDEEIMYWPEDEQEKALDLLDLAVSCSQVACQSRPSMREVVNMLMKIEK >PVH31715 pep chromosome:PHallii_v3.1:9:14231406:14233052:1 gene:PAHAL_9G219000 transcript:PVH31715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDLSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKNSSDEEDIATIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH32121 pep chromosome:PHallii_v3.1:9:39611692:39612000:-1 gene:PAHAL_9G320800 transcript:PVH32121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLPFDLFDFDDSDTQTEMDKSDNERRGYIIAVPRGPALRIFHRADGTFGCLVCPGLAHRWTRPNEVRDHIVGKVTSSSLRAKNKKMCSHHSILAQNEGWM >PAN48443 pep chromosome:PHallii_v3.1:9:55309292:55311819:-1 gene:PAHAL_9G382500 transcript:PAN48443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPRERRLPPPAFRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLGMIPGLQQVMSATRGATDAFSGVTRHVNSALRTSGLKNIEAGIGCGVGIGHGFGIGIALKPRVLHGIQSSVGEIMSKLMSKLKDTPDMPSTSNPIARSLSSNQQTHSGMPTDLEAKTAESNLKHTPSYEMSRVLQPTEPEALTGSRTEKVIANFLQNPLFQNDTKMDYRDAPGNLQGMDNVLQLVLKHQRVIEELREENENLRQILVEELKVSPTKLQIDRKNGVKAYYPCSDCFECRRRSRKTTR >PAN48445 pep chromosome:PHallii_v3.1:9:55308844:55314614:-1 gene:PAHAL_9G382500 transcript:PAN48445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGLQQVMSATRGATDAFSGVTRHVNSALRTSGLKNIEAGIGCGVGIGHGFGIGIALKPRVLHGIQSSVGEIMSKLMSKLKDTPDMPSTSNPIARSLSSNQQTHSGMPTDLEAKTAESNLKHTPSYEMSRVLQPTEPEALTGSRTEKVIANFLQNPLFQNDTKMDYRDAPGNLQGMDNVLQLVLKHQRVIEELREENENLRQILVEELKVSPTKLQIDRKNGVKAYYPCSDCFECRRRSRKTTR >PAN47065 pep chromosome:PHallii_v3.1:9:15244470:15245087:-1 gene:PAHAL_9G231300 transcript:PAN47065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPALLRWWWRRRRRHPRVGRRRLLFLLGLSDKDTGDDVFSGRPRVRLLRFLPPPSAARDGRCAFRGTRCVAAGGRRRWLMRHVQGWKSLVQLVGGFGSGSGACHQHRPSERLTKLRTRLRFDVFTCFFKVLFCKTGDVLCSKSTLQTFYKFTLF >PAN44001 pep chromosome:PHallii_v3.1:9:634429:636093:-1 gene:PAHAL_9G010600 transcript:PAN44001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMSLVDCLLPHQQWQWQLTFSVILLAHALATKRRGFSSTGRRLQLPPGPRGLPVLGNLHQIMGALPHRSLRALARQHGPVMQLRLGSVPTVVVSSAEAAREVMKAHDADCCSRPDTPGARRMSYGHKDVASAPYGECWREMRRLFVVELLSMRRVHATWYAREAEVDKLIGRLSSAGGIPVYLQDHIFRLMDGIIGTVALGSIYGSEQFAHKKHFHVLFDEAMGVKSSFSAEDYFPNVAGRLVDRLTGLVARREKVFWEFDAFFDKIIDEHLVSPSRGTPENSPDFVDVLIGLTKENKQGSFRFTRDHIKGMLSDTFIGGVDTSSVTMVWAMAELIRNPRVLKKVQDEIRAAVGDKERVQPDDLPKLRYFKMVLKETLRLHPPAPLLAPRESLRHVRICGYDVPAKTRLFVNVWAIGRDPAIWSDPEVFDPERFEGSDADFNGAHFELLPFGAGRRMCPGMAMGAATVEFTLANLLHCFDWELPEGMTAEDVSMEEAGGLTVNKKVPLVLVPTRY >PAN47485 pep chromosome:PHallii_v3.1:9:31514129:31515262:-1 gene:PAHAL_9G295300 transcript:PAN47485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPLLSSASPRILLAAAAGNGVPRLSVTSARPTARASRRNARPRALSVRCEQGAKGGGGGGLDVWLSRGAMLGFVGAVAVELSTGKGVLENAGLTAPLPTVALALTGVVGVFVAFIIFQSGSRG >PAN48428 pep chromosome:PHallii_v3.1:9:55211710:55214806:1 gene:PAHAL_9G381400 transcript:PAN48428 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MSHTGSAPADKETDPGVQRFQLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIIYPHCLFFLELLQNANFRNAMAHPANKELAHRQQYFFWKNYRNNRLKHILPRPPPEPAPVPAPSQGPATVPLPPSVSTPVAPPVPAPSSSMPPVAAGGASAMSPMQFVGTPGTNMPKTDMRNAMGNRKRKRDLSSFTIEVVN >PAN48427 pep chromosome:PHallii_v3.1:9:55211653:55214830:1 gene:PAHAL_9G381400 transcript:PAN48427 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MSHTGSAPADKETDPGVQRFQLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIIYPHCLFFLELLQNANFRNAMAHPANKELAHRQQYFFWKNYRNNRLKHILPRPPPEPAPVPAPSQGPATVPLPPSVSTPVAPPVPAPSSSMPPVAAGGASAMSPMQFVGTPGTNMPKTDMRNAMGNRKRKMG >PAN48079 pep chromosome:PHallii_v3.1:9:52267038:52271109:1 gene:PAHAL_9G356900 transcript:PAN48079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQDEERPLIHHLPPQDESSEFSRDGTVDINNQPALKQSTGNWRACFFILGVEFSECVAFFGIAKNLVTYLTGALHESNVDAATTVSTWIGSSFFTPLIGAFFADTFWGRYWTVLIFLSVYVTGMLTLTVSACLPLLMGSSYDRGVHRVTAYLGLYLVALGNGGIKPCVAALGADQFDATDPVERVTKGSFFNWYYWSINIGSLLSATVLVWVQDNIGWGVGLAIPTVFMMSGLSVFIAGRKVYRYHKVGGSPLTRVSQVVVAALRNYHLMLPEDCSALHEVPSPNEGNCKIQHTNQFRFFDKAAIVAPYSGDKGAMSPWRLCTVSQVEELKMLLRMFPVWASMVLFFAVTAQMSSTFIEQGAAMDNRVGPFTVPPASLTTFDIISVMVCIPIYDAVLVPLARRATGKERGLSQLQRLGVGLALSVVGMVYAALLEARRLALARTGTPMSIMWQAPAFAVLGAGEVFTAIGILEFFYDQSLGGMKSLGTALAQLPVAAGNYLNSVVLGAIAALTARGGKPGWIPDDLNEGHLDYFFWLMAALGVVNLLHFVYCSMRYRGSSNNNTAS >PVH32262 pep chromosome:PHallii_v3.1:9:52267039:52270857:1 gene:PAHAL_9G356900 transcript:PVH32262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQDEERPLIHHLPPQDESSEFSRDGTVDINNQPALKQSTGNWRACFFILGVEFSECVAFFGIAKNLVTYLTGALHESNVDAATTVSTWIGSSFFTPLIGAFFADTFWGRYWTVLIFLSVYVTGMLTLTVSACLPLLMGSSYDRGVHRVTAYLGLYLVALGNGGIKPCVAALGADQFDATDPVERVTKGSFFNWYYWSINIGSLLSATVLVWVQDNIGWGVGLAIPTVFMMSGLSVFIAGRKVYRYHKVGGSPLTRVSQVVVAALRNYHLMLPEDCSALHEVPSPNEGNCKIQHTNQFRFFDKAAIVAPYSGDKGAMSPWRLCTVSQVEELKMLLRMFPVWASMVLFFAVTAQMSSTFIEQGAAMDNRVGPFTVPPASLTTFDIISVMVCIPIYDAVLVPLARRATGKERGLSQLQRLGVGLALSVVGMVYAALLEARRLALARTGTPMSIMWQAPAFAVLGAGEVFTAIGILEFFYDQSLGGMKSLGTALAQLPVAAGNYLNSVVLGAIAALTARGGKPGWIPDDLNEGHLDYFFWLMAALGVVNLLHFVYCSMRYRGSSNNNTAS >PVH30966 pep chromosome:PHallii_v3.1:9:1233629:1234595:1 gene:PAHAL_9G021500 transcript:PVH30966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSMRQGFRLSCMPPLAPNNMAAAASLRVVVVLLAVSMSSLRRTAATVTVEEACKQYTKHPEYCVTALSSADPAMKEAAVQGGLPGLAELSLSLAAQRGAETVTFVKGLADMPGGMPPECLQNCVAKFQEAVADLQRSKTAMQESKDASATGVSSGLAAAKTDGDECMSNCHRIEGGGELVIVDKIGDLSKMCSVALSLADASIHNRNA >PAN44716 pep chromosome:PHallii_v3.1:9:3672416:3676747:-1 gene:PAHAL_9G064200 transcript:PAN44716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MRLVHRRLKPPPRPCRSTTFSTARAVMNAPSADRLLELLRGCVSGSHLPLGLQIHARAVASGALASHPALQTRLIGMYVLVRRFRDAVAVFSALPRRDAASALPWNWLIRGFTADGQHSLAVLFYLKMWSHPAAPRPDGHTLPYVVKSCAALGAVALGRLVHRTARGIGLGRDVYVGSALIKMYADAGLLRDAREVFDGMAERDCVLWNVMMDGCIKAGDIDGAVSLFRDMRASGCEPNFATLACFLSLCAVEADLLSGVQLHSLAVKCGLETEVAVANTLLSMYAKCRCLDDAWRLFDLIPRDDLVTWNGMISGCVQNGLLDEALGLFCEMQRSGVRPDSVTLVSLLPALADLNGFMQGKEIHGYIVRNCVRMDVFLVSALVDIYFKCRDVRMARNVYDVARAIDVVIGSTMISGYVLNGMSEEALQMFRYLLEQCIKPNAITVASVLPACASMAAMALGQEIHGYVLRNAYEGKCYVESALMDMYAKCGRLDLSHYIFSKMSVKDEVTWNSMISSFAQNGEPEEALDLFRQMTMEGIKYNSVTISSALSACASLPAIYYGKEIHGVIIKGPIRADIFAESALIDMYGKCGNLDLALRVFESMPDKNEVSWNSIIAAYGAHGLFKESMSLLHPMQEEGFKPDHVTFLALISACAHAGQVEEGVRLFQCMTKEYQIAPRMEHFACMVDLYSRSGKLDRAIKFIADMPFKPDAGIWGALLHACRVHRNVELADIASQELFKLDPGNSGYYVLMSNINAVAGRWDGVSKVRRLMKDNKVQKIPGYSWVDVNNSSHLFVAADKSHPDSEDIYMSLKSLLQELREEGYIPRPDLCHPMHPDNSTQVLTI >PVH31259 pep chromosome:PHallii_v3.1:9:5576174:5577753:-1 gene:PAHAL_9G097000 transcript:PVH31259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDHTGGPGIGGRRTQISPDGACAAAGAASCARQILPSSSPPRRQQLPGYAAAATLSPPLASSWQLLLTPPAAPGAPLLPLAASPPRFHSLAAPSDHRLPRAELLLRRAMNILNQPINPGGHPVFPAAKEGGHLTPALVRFDGVPAQPSTAAAGRSNAHYPRWQAQTLRRASSYVGVEHDGATAAAVPAAGPAPALFKPPTLDFLRSLLDRNCSLSSALAGGEASAPPPSPPQVLALRVVVTSAVELDARQTELIARKMRRLTGFVNLTVENVVDPSLIAGFVICYGTDDSHVIDLSVKGQLAALKNRVDSIDQTTHAHGHPHH >PVH31866 pep chromosome:PHallii_v3.1:9:17271426:17272758:1 gene:PAHAL_9G248900 transcript:PVH31866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMDRGQERRAARGCWALYSDRCGRRRRSASAAGAGKGGVQPRCWGSRRGEAGIRRRVQWPARAEDVFGCGCWAGRRGVRPRYWSVQRGEAEIRRRGVGRRRGGRPDPSGRRGHRAAEAEQGEVAGRGQVLLRRSLVRFNSTSSGSFLKL >PAN46269 pep chromosome:PHallii_v3.1:9:10904031:10905374:-1 gene:PAHAL_9G173800 transcript:PAN46269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALHQTTSFLGQALVSRPAGGADAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGN >PAN44464 pep chromosome:PHallii_v3.1:9:2476996:2481874:-1 gene:PAHAL_9G045000 transcript:PAN44464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKSSRSRPAGHSGVFPVNAAAGAGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCRTMDEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSVRNLLSTQSALIHGLSEGVQIDSLSTGLEGSAEQDISTVEDQEPSEIWKWSTDFPDMLDVSLAERRVDEALDALDEAEQIAADAKQKGTLSTADILALKRAISENRQKLADQLAEAACQSSTCGVELRAAASALKRLGDGPRAHSLLLSAHNQRLQLNMQTIQPSSTSYGGAYTASLAQQVFRVIAQALSDSAEVFGDEPAYMSELVTWATKQAMSFSLLVKRHALASCAAGGGLRAAAECVKIALGYSELLEARGLSLSAVLMKQFRPSVEQALDSNLRRIEESTAALAAADDWILTYPPTGIRPFARSSAGSLALQPKLSSSAHRFNSMVQDFFEDVGPLVSLQLGGSAMDGLLKIFDSYVNLLVSALPGSVDDEVNLEGLGNKIVRMAETEEQQLALLANASLLAEELLPRAAMKLYSMNPVRGPDRQNRAAEQREWKRKLHRTVDKLRDSFCRQHALDLIFTDDGDSHLTADMYINMDNTVEDPEWVPSLIFQELYGKLNKMASIASDMFVGRERFSTLLMMRLTETVMLWLSEDQSFWEEIEDGPRALGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILNIIDRAMAAFSATGMDPDRVLPSDDWFIDVAQETISRISGKPRVANGEREVNSPTASVSAQSVSSVRSHSSS >PVH32473 pep chromosome:PHallii_v3.1:9:57376064:57376916:1 gene:PAHAL_9G404600 transcript:PVH32473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCSFPLLHVHVPAGHRSIDWTLEEIKHRTAGRAAHGVEAEESAAESTESTPCTEAVQGFFFLELRRAQRDQELPSIWRREGKGATPSAGSGLDALAFVRCKGKNGARICRRFRRAASNFAQGSPNPPAWRWNWAWEGGRAGRRKNRPTTPVGDGTKGEKLGPLG >PAN51245 pep chromosome:PHallii_v3.1:9:69867895:69872714:-1 gene:PAHAL_9G580800 transcript:PAN51245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPATAAVPDGRRSKVSGSSSSPVTTAIFLFFFVVVVGVLVSARWITTTTHLSIVDQWRSKPAILTATHTTSIPAIPAAPPPPRATYSLSCLAPPLARDPDIPSNISQTLNLALSPNASSASTCAAIPDPPPLPANANASSTCPDYFRFIHEDLHPWRAAGGITRAMVDRARATANFRLVVIRGRAYMERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHADQYQGENATVLPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALQKELNSGNRRVKWMYRQPYAYWKGNPDVATIRQELVKCNVSSEHEWNARIYKQDWVKEIKAGYKQSNLASQCIHRYKIYIEGSAWSVSEKYILACDSMTLVVAPKYYDFYSRVLMPMQHYWPVRDGNKCSSIKYAVDWGNSHKQKAQRIGKQASNFIQKELSMDYVYDYMFHLLTEYAKLLRFKPTKPPEAVEVCSESLACQAIGREKKFMEDSMMRSASDAGPCDLPPPFSSEEFKALQRRREKTMKQIETWEEKASKPVDSKPRELHAFPSPKRFI >PAN51050 pep chromosome:PHallii_v3.1:9:68931417:68933391:1 gene:PAHAL_9G566300 transcript:PAN51050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-leucine zipper transcription factor, Regulation of panicle exsertio [Source: Projected from Oryza sativa (Os03g0198600)] MGCEEDERLLFPSFAFPAESFAEAATPGSGGEQKKARQRRRRKPRPAGDGDGGDEQSKKRRLSDEQARFLELSFRKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFSKLRASHDAVVIQNCHLETELLRMKERLAEAEEEKRKLIAAAAAGAGSSSPSSSSFSTVTHHPAALVGQFGVEAEEAADLAYMSEYAYNSYMNMMDLAPGYFGGVYDHFN >PVH32589 pep chromosome:PHallii_v3.1:9:60037074:60041833:1 gene:PAHAL_9G434100 transcript:PVH32589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLVWALLHLLQMNLRSIVKKKEVEIEELCRLHYEDFIVAVDELRGVLVDAEELKGMLSEAKFHPALKTLDLIQKGYLNSIPLKLLKKVVARQIPLIKLHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASLARQKDEEMRARQREAEGHSHAGPDEHLYSLNLENKEEESALDFDVTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISISQPFLESHQPYLSQVAGFFIVEERVLRTADGLLSESQVETTWETAIAKITSILEEQFSRMRTASHFLLIKDYVTLLGTAVNKYGYQITQLIEVLEKSRDKYHQLLLLECRKQIDDILTNDSYEQMVIKKEYEYNMNVTAFHLEHDDAIPDFPYVAPFSSSVPEVCRIVRSFIEDSVSYLSYGGLMNIYDVVKVYLDRLLIEVLNDSLLNMIYARSLAMSQMMQLAGNISVLDQACDMYLLHSAHLCGIPKRVAERSHSGLTARAVLKASQNAVYNSLINLANFKVDEFMVLLENVNWITEEAPDDANDYMNEVLIYLETLVSTAQSILPLEALYKVVSGAISHISDSIMTTLLNDGVKRFTVNAVLGLDIDLKMLEAFADEKFDSTGLSDLGKETTFRDCLVEIRQLVNLLLSSQPENFMNPVIRQRNYGSLDYKKVAIVCDKYKDSADSLFGSLSNRNVKQNARKKSMDVLKRRLKDFS >PAN49213 pep chromosome:PHallii_v3.1:9:60037074:60041803:1 gene:PAHAL_9G434100 transcript:PAN49213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPKKRTIVDSGDGGLGLGIAAFIANGEDLGPIIRHSFESGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFIVAVDELRGVLVDAEELKGMLSGENSHLQEASTALLFKLDELLEIYSVKKNVGEAITTLKICVKVFSLCMTCNNYIAEAKFHPALKTLDLIQKGYLNSIPLKLLKKVVARQIPLIKLHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASLARQKDEEMRARQREAEGHSHAGPDEHLYSLNLENKEEESALDFDVTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISISQPFLESHQPYLSQVAGFFIVEERVLRTADGLLSESQVETTWETAIAKITSILEEQFSRMRTASHFLLIKDYVTLLGTAVNKYGYQITQLIEVLEKSRDKYHQLLLLECRKQIDDILTNDSYEQMVIKKEYEYNMNVTAFHLEHDDAIPDFPYVAPFSSSVPEVCRIVRSFIEDSVSYLSYGGLMNIYDVVKVYLDRLLIEVLNDSLLNMIYARSLAMSQMMQLAGNISVLDQACDMYLLHSAHLCGIPKRVAERSHSGLTARAVLKASQNAVYNSLINLANFKVDEFMVLLENVNWITEEAPDDANDYMNEVLIYLETLVSTAQSILPLEALYKVVSGAISHISDSIMTTLLNDGVKRFTVNAVLGLDIDLKMLEAFADEKFDSTGLSDLGKETTFRDCLVEIRQLVNLLLSSQPENFMNPVIRQRNYGSLDYKKVAIVCDKYKDSADSLFGSLSNRNVKQNARKKSMDVLKRRLKDFS >PAN49215 pep chromosome:PHallii_v3.1:9:60037073:60041803:1 gene:PAHAL_9G434100 transcript:PAN49215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPKKRTIVDSGDGGLGLGIAAFIANGEDLGPIIRHSFESGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFIVAVDELRGVLVDAEELKGMLSEAKFHPALKTLDLIQKGYLNSIPLKLLKKVVARQIPLIKLHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASLARQKDEEMRARQREAEGHSHAGPDEHLYSLNLENKEEESALDFDVTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISISQPFLESHQPYLSQVAGFFIVEERVLRTADGLLSESQVETTWETAIAKITSILEEQFSRMRTASHFLLIKDYVTLLGTAVNKYGYQITQLIEVLEKSRDKYHQLLLLECRKQIDDILTNDSYEQMVIKKEYEYNMNVTAFHLEHDDAIPDFPYVAPFSSSVPEVCRIVRSFIEDSVSYLSYGGLMNIYDVVKVYLDRLLIEVLNDSLLNMIYARSLAMSQMMQLAGNISVLDQACDMYLLHSAHLCGIPKRVAERSHSGLTARAVLKASQNAVYNSLINLANFKVDEFMVLLENVNWITEEAPDDANDYMNEVLIYLETLVSTAQSILPLEALYKVVSGAISHISDSIMTTLLNDGVKRFTVNAVLGLDIDLKMLEAFADEKFDSTGLSDLGKETTFRDCLVEIRQLVNLLLSSQPENFMNPVIRQRNYGSLDYKKVAIVCDKYKDSADSLFGSLSNRNVKQNARKKSMDVLKRRLKDFS >PAN49214 pep chromosome:PHallii_v3.1:9:60037074:60041803:1 gene:PAHAL_9G434100 transcript:PAN49214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPKKRTIVDSGDGGLGLGIAAFIANGEDLGPIIRHSFESGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFIVAVDELRGVLVDAEELKGMLSGENSHLQEASTALLFKLDELLEIYSVKKNVGEAITTLKICVKVFSLCMTCNNYIAEAKFHPALKTLDLIQKGYLNSIPLKLLKKVVARQIPLIKLHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASLARQKDEEMRARQREAEGHSHAGPDEHLYSLNLENKEEESALDFDVTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISISQPFLESHQPYLSQVAGFFIVEERVLRTADGLLSESQVETTWETAIAKITSILEEQFSRMRTASHFLLIKDYVTLLGTAVNKYGYQITQLIEVLEKSRDKYHQLLLLECRKQIDDILTNDSYEQMVIKKEYEYNMNVTAFHLEHDDAIPDFPYVAPFSSSVPEVCRIVRSFIEDSVSYLSYGGLMNIYDVVKVYLDRLLIEVLNDSLLNMIYARSLAMSQMMQLAGNISVLDQACDMYLLHSAHLCGIPKRVAERSHSGLTARAVLKASQNAVYNSLINLANFKVDEFMVLLENVNWITEEAPDDANDYMNEVLIYLETLVSTAQSILPLEALYKVVSGAISHISDSIMTTLLNDGVKRFTVNAVLGLDIDLKMLEAFADEKFDSTGLSDLGKETTFRDCLVEIRQLVNLLLSSQPENFMNPVIRQRNYGSLDYKKVAIVCDKYKDSADSLFGSLSNRNVKQNARKKSMDVLKRRLKDFS >PVH32729 pep chromosome:PHallii_v3.1:9:62593242:62604562:-1 gene:PAHAL_9G472700 transcript:PVH32729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPPPAVPASSLECVSSCRAASWKGGGRPYECSVLSCAWNAPRALTGALASTAQCSSCGHAEAGGGWRRRGRSRRSNNSLLHITLDEDINKGRFGYGSSPAYSESFVRPWSTPVDPTWRAYCYSSSESFFISPETLWEDLKPVVSYLQPEELNFVHDALKLAYEAHSGQKRRSGEPFIIHPVEVACILGEHELDWESIAAGLLHDTVEDTDVVTFERIENEFGPTVRRIVEGETKVSKLGKLQCKSESSSKQDLKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYVNPIGFAELRKRVEDLYKAHEQELEEANRILRQKIAEDQFLDLVSVETEVRSVYKELYSIYKTTLKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSKGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMIAAKVNGNLVSPIHVLANAEVVEIITYDLSSKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITAEAVNNFVADLEDESDSELSIPSTKNEDSKFNWEKILNSDKLSFVNNSSDGFLPVNNVHPKVNGKQNKTVKDLGIKINGHSAIRGDSFSELMRPGNSTCKEVFPGLDHWKSGKISGWHNAEGNSVQWLCIACVDRKGMMAEVTSALTACGITICSCVAEVNKRRGMGVMLFHFEGSYENVVSACSSIDMILGVLGWSVGCSWCPLGVLEC >PAN49748 pep chromosome:PHallii_v3.1:9:62593243:62604562:-1 gene:PAHAL_9G472700 transcript:PAN49748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPPPAVPASSLECVSSCRAASWKGGGRPYECSVLSCAWNAPRALTGALASTAQCSSCGHAEAGGGWRRRGRSRRSNNSLLHITLDEDINKGRFGYGSSPAYSESFVRPWSTPVDPTWRAYCYSSSESFFISPETLWEDLKPVVSYLQPEELNFVHDALKLAYEAHSGQKRRSGEPFIIHPVEVACILGEHELDWESIAAGLLHDTVEDTDVVTFERIENEFGPTVRRIVEGETKVSKLGKLQCKSESSSKQDLKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYVNPIGFAELRKRVEDLYKAHEQELEEANRILRQKIAEDQFLDLVSVETEVRSVYKELYSIYKTTLKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSKGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMIAAKVNGNLVSPIHVLANAEVVEIITYDKLSSKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITAEAVNNFVADLEDESDSELSIPSTKNEDSKFNWEKILNSDKLSFVNNSSDGFLPVNNVHPKVNGKQNKTVKDLGIKINGHSAIRGDSFSELMRPGNSTCKEVFPGLDHWKSGKISGWHNAEGNSVQWLCIACVDRKGMMAEVTSALTACGITICSCVAEVNKRRGMGVMLFHFEGSYENVVSACSSIDMILGVLGWSVGCSWCPLGVLEC >PAN45287 pep chromosome:PHallii_v3.1:9:6282974:6286154:-1 gene:PAHAL_9G107700 transcript:PAN45287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRRHTSHFDSTDADQRKAKINELKDALGPLSARGEKYCTVACLARYLEARNWNVAKARKMLEESLKWRAAYRPEDIRWPEVSVEAETGKMYRASFQDRERRTVIVMAPAKQNTSVHEGQIRFLVYTLENAVLNLPEGQEKMVWLIDFTGWTMANAVPIKTARETANILQNHYPERLAVALLFNPPKVFEAFWKVIKYFLDPRSIEKVKFVYLKDEESMKVMHKYIDPKVLPVEFGGKNDVVYNHEEYSELMTKDDIKTANIWAEDAKTDHANDVISGPLVPEVTPLPSLITT >PAN45290 pep chromosome:PHallii_v3.1:9:6282973:6286231:-1 gene:PAHAL_9G107700 transcript:PAN45290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRRHTSHFDSTDADQRKAKINELKDALGPLSARGEKYCTVACLARYLEARNWNVAKARKMLEESLKWRAAYRPEDIRWPEVSVEAETGKMYRASFQDRERRTVIVMAPAKQNTSVHEGQIRFLVYTLENAVLNLPEGQEKMVWLIDFTGWTMANAVPIKTARETANILQNHYPERLAVALLFNPPKVFEAFWKVIKYFLDPRSIEKVKFVYLKDEESMKVMHKYIDPKVLPVEFGGKNDVVYNHEEYSELMTKDDIKTANIWAEDAKTDHANDVISGPLVPEVTPLPSLITT >PAN45289 pep chromosome:PHallii_v3.1:9:6283273:6284688:-1 gene:PAHAL_9G107700 transcript:PAN45289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRRHTSHFDSTDADQRKAKINELKDALGPLSARGEKYCTVACLARYLEARNWNVAKARKMLEESLKWRAAYRPEDIRWPEVSVEAETGKMYRASFQDRERRTVIVMAPAKQNTSVHEGQIRFLVYTLENAVLNLPEGQEKMVWLIDFTGWTMANAVPIKTARETANILQNHYPERLAVALLFNPPKVFEAFWKVIKYFLDPRSIEKVKFVYLKDEESMKVMHKYIDPKVLPVEFGGKNDVVYNHEEYSELMTKDDIKTANIWAEDAKTDHANDVISGPLVPEVTPLPSLITT >PAN45288 pep chromosome:PHallii_v3.1:9:6282974:6286152:-1 gene:PAHAL_9G107700 transcript:PAN45288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRRHTSHFDSTDADQRKAKINELKDALGPLSARGEKYCTVACLARYLEARNWNVAKARKMLEESLKWRAAYRPEDIRWPEVSVEAETGKMYRASFQDRERRTVIVMAPAKQNTSVHEGQIRFLVYTLENAVLNLPEGQEKMVWLIDFTGWTMANAVPIKTARETANILQNHYPERLAVALLFNPPKVFEAFWKVIKYFLDPRSIEKVKFVYLKDEESMKVMHKYIDPKVLPVEFGGKNDVVYNHEEYSELMTKDDIKTANIWAEDAKTDHANDVISGPLVPEVTPLPSLITT >PAN51158 pep chromosome:PHallii_v3.1:9:69461113:69463211:-1 gene:PAHAL_9G574700 transcript:PAN51158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSEWEDRCKRHPEHRMSKGVCPYCLRDRLAHLSASSSATTTTRASSSSATTSPYSSGGSSPPPHHAALSADVSSVHVLGGASSNDASFVNVAAFSQPLMPTSVRKQAAGRQAEAAGREPSAKGNKGEVKKKKSGKKKKIGRFLSRLVGADKRRQAGDGDGGELFHSKTMKEKTASKWVFF >PAN48204 pep chromosome:PHallii_v3.1:9:53607696:53611716:1 gene:PAHAL_9G367600 transcript:PAN48204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQYVEAQRQARPDLADWYASLADLYQRKLWHQLTLKLDQFLQLQAAQTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGVITKLRETRESRINEPILYVKMQIAAINLEKGNQKECKNLLEEGKTTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALTAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >PAN48205 pep chromosome:PHallii_v3.1:9:53607470:53611716:1 gene:PAHAL_9G367600 transcript:PAN48205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQYVEAQRQARPDLADWYASLADLYQRKLWHQLTLKLDQFLQLQAAQTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGVITKLRETRESRINEPILYVKMQIAAINLEKGNQKECKNLLEEGKTTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALTAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >PVH32712 pep chromosome:PHallii_v3.1:9:62205361:62208682:1 gene:PAHAL_9G466800 transcript:PVH32712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLDKVKDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCIHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGRSHSLSRYKLNEMTNIVFILQVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFLELTKIVTDNIQYAKVVKMMGNRANAVNLDFSEILSDEDVEAQLKEAAVISMGTEVSDLDLLNIRELCDQVFALSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIAKASQKHKGKISRSLAAKTALAIRYDALGDGEDNSIGTESRLKLETRLQVLEKTEFGKSAGSAKGKPKIEAYEKDRKKGAGALITPAKISSVMVYNPAADVFLGKSTEETPKKSELASKKRKHQDAESAPPTEPAAEAIQEDGDQERKKKKKKSKETEETPAADADGEKKKKKKSKGKGKKKKKKKKSDADGEDVAMQTEESGKKDKKKKKKKHADDE >PAN45532 pep chromosome:PHallii_v3.1:9:7482834:7485577:-1 gene:PAHAL_9G125800 transcript:PAN45532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAALVPFRQDELKILRGDDNAGPYKEHDRVYRYDYYNDLGEPDKGEDHVRPILGGRQEHPYPRRCRTGRDPTKTDPNSESRLFVLNLNIYVPRDERFGHLKMSDFLGYSLKAVIEAVLPTIGTFIDDTPKEFDSFEEILGLYELGPEAPNHPVIAEIRKKIPSQFLRSILPNGSHDHPLKMPLPSIIKSDVLKQAPEFKFGWRTDEEFARETLAGVNPVIIKRLTEFPAKSTLDPSQYGDHTSKITEAHIQHNLEGLTVQNALKNNKLFILDHHDHFMPYLDQINKLEGNFIYASRTLLFLKDDGTLKPVAIELSLPHPDGQQHGADSKVYTPAHTGVEGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTLNINALARQTLINARGIFELTVFPGKHALEMSSDVYKSWNFNEQALPADLVKRGVAVPDQSSPYGVRLLIKDYPYAVDGLVIWWAIERWVKEYLDIYYPNDGELQRDVELQAWWKEVREEAHGDLKDRDWWPKMDTVQQLARACTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPELGSKEYAQLEAGQEEADKVYIRTITSQFQSILGISLIEVLSKHSSDEVYLGQRDEPERWTTDAKALEAFKRFGARLVEIESRIKTMNANPAFKNRKGPVDMPYMLLYPNTSDVDGTKGEGLTAMGIPNSISI >PAN45533 pep chromosome:PHallii_v3.1:9:7482541:7487451:-1 gene:PAHAL_9G125800 transcript:PAN45533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAALVPFRQDELKILRGDDNAGPYKEHDRVYRYDYYNDLGEPDKGEDHVRPILGGRQEHPYPRRCRTGRDPTKTDPNSESRLFVLNLNIYVPRDERFGHLKMSDFLGYSLKAVIEAVLPTIGTFIDDTPKEFDSFEEILGLYELGPEAPNHPVIAEIRKKIPSQFLRSILPNGSHDHPLKMPLPSIIKSDVLKQAPEFKFGWRTDEEFARETLAGVNPVIIKRLTEFPAKSTLDPSQYGDHTSKITEAHIQHNLEGLTVQNALKNNKLFILDHHDHFMPYLDQINKLEGNFIYASRTLLFLKDDGTLKPVAIELSLPHPDGQQHGADSKVYTPAHTGVEGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTLNINALARQTLINARGIFELTVFPGKHALEMSSDVYKSWNFNEQALPADLVKRGVAVPDQSSPYGVRLLIKDYPYAVDGLVIWWAIERWVKEYLDIYYPNDGELQRDVELQAWWKEVREEAHGDLKDRDWWPKMDTVQQLARACTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPELGSKEYAQLEAGQEEADKVYIRTITSQFQSILGISLIEVLSKHSSDEVYLGQRDEPERWTTDAKALEAFKRFGARLVEIESRIKTMNANPAFKNRKGPVDMPYMLLYPNTSDVDGTKGEGLTAMGIPNSISI >PAN45534 pep chromosome:PHallii_v3.1:9:7482556:7488409:-1 gene:PAHAL_9G125800 transcript:PAN45534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWHGVADRLTGKNKAAWNEGKIRGTVVLVKKEVLDVGDFHASLLDGVHKILGWDEGVALRLVSATTADPSNGGRGKVGKAAHLEEAVVSIKSTTDGETVFRVNFEWDESQGIPGAVLVRNLQRAEFFLKRVTLEGVPGKGTVVFVANSWVFPHHLYAQDRIFFANDTYLPSKMPAALVPFRQDELKILRGDDNAGPYKEHDRVYRYDYYNDLGEPDKGEDHVRPILGGRQEHPYPRRCRTGRDPTKTDPNSESRLFVLNLNIYVPRDERFGHLKMSDFLGYSLKAVIEAVLPTIGTFIDDTPKEFDSFEEILGLYELGPEAPNHPVIAEIRKKIPSQFLRSILPNGSHDHPLKMPLPSIIKSDVLKQAPEFKFGWRTDEEFARETLAGVNPVIIKRLTEFPAKSTLDPSQYGDHTSKITEAHIQHNLEGLTVQNALKNNKLFILDHHDHFMPYLDQINKLEGNFIYASRTLLFLKDDGTLKPVAIELSLPHPDGQQHGADSKVYTPAHTGVEGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTLNINALARQTLINARGIFELTVFPGKHALEMSSDVYKSWNFNEQALPADLVKRGVAVPDQSSPYGVRLLIKDYPYAVDGLVIWWAIERWVKEYLDIYYPNDGELQRDVELQAWWKEVREEAHGDLKDRDWWPKMDTVQQLARACTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPELGSKEYAQLEAGQEEADKVYIRTITSQFQSILGISLIEVLSKHSSDEVYLGQRDEPERWTTDAKALEAFKRFGARLVEIESRIKTMNANPAFKNRKGPVDMPYMLLYPNTSDVDGTKGEGLTAMGIPNSISI >PAN48257 pep chromosome:PHallii_v3.1:9:54117149:54120140:-1 gene:PAHAL_9G371700 transcript:PAN48257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFAAASHASALPLFSPDPAAAHRVGGTLRSRTSTLRLRRPPGATGRGRGRGRGNVPGEWSERSPPGAPAQPDPPVDDDEWGRDAEENNSRPIVADEWGEPGEPEPEPPSAADPPSPAADDEWGKEPAPPPPAEEEVDEQAERREELKRCLVDTVYGSELGFRASTETRGEVVELVTQLEANNPTPAPVEAPDLLDGNWILIYTAYSELLPILAAGATPFVKVKQISQGIDSKSMTIVNGSTLTTPFASFSFSATASFEVQSPSRIEVQFKEGSFQPPVISSSVDLPEQVDIFGQKISLGPVQQALNPLQQAFASIAGSISGQPPLKVSIPGNNRGRSWLLTTYLDKDLRISRGDGGLFILAKEGSPLLNQL >PAN51655 pep chromosome:PHallii_v3.1:9:71629012:71631113:1 gene:PAHAL_9G610500 transcript:PAN51655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKDAAAKKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKADTPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNAVSSLTLRHVYEIAKLKQSDPFCKHMSIEALCKSIIGTANSMGIEIVKDL >PAN51656 pep chromosome:PHallii_v3.1:9:71628974:71631122:1 gene:PAHAL_9G610500 transcript:PAN51656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKDAAAKKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKADTPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNAVSSLTLRHVYEIAKLKQSDPFCKHMSIEALCKSIIGTANSMGIEIVKDL >PAN48075 pep chromosome:PHallii_v3.1:9:44223000:44224930:-1 gene:PAHAL_9G335500 transcript:PAN48075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPCGADQMKAVPVAALSLGKPMKRVGFGTASATLGQAEGRAGVTQAVLHALDAGYRHFDTASSYNTEAALGDAVAEAVLAGTVASRDDLYITTKLWIADAHPGRVLPALQKSLRNLRMEYVDMFLIHFPVSMRPPAVEGAIAVVKDDLVEMDMKGVWGEMEECHRRGLARAIGVSNFSCKKLEYLLSFAKIPPAANQVEVHPYCRQNKIRAFCREKGIQLCAYSPLGGKGTPWANNSVMDCPVLEQIARERGKTVAQVCIRWVYEQGDCVIAKSFNEQRMRQNLDIFGWELTDDDRRRISALPESRGTYNFFIHESGPYKTAEEFWDGEIVSGQSTYQIASCLDPTN >PAN44346 pep chromosome:PHallii_v3.1:9:1648584:1650850:1 gene:PAHAL_9G029600 transcript:PAN44346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAAPRPTVLVTGAGGRTGHIVYNKLKERSEQFVARGLVRTEESKQKIGGADDVYIADIRDADRLAPAVQGADALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADCGVPYTIIRPGGLQDKDGGVRELIVGKDDELLQTDTKSIPRADVAEVCVQALQYEEAKFKAFDLASRPEGVGTPTKDFRALFSQITARF >PAN46187 pep chromosome:PHallii_v3.1:9:10503103:10514530:1 gene:PAHAL_9G168500 transcript:PAN46187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQTRSGAPMEWTTVQHLDLRHSGGRRGASARSMQPHAAAFRASQAIAAVAIGTHVVEFDALTGSKIASIDLGARVVRMAYSPTTGHVVIAILEDATIRSCDFSTEQTLVLHSPEKKSDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTIAKDGALQVWKTRVIINPNRQPMETHFFEHAAIETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFALLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDVARKAFLHSHFMEGHAKSGPISRLPLVTISDSSNLLRDVPVCQPYHLELNFFNKENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKNMSYSPKQHLFLVVFELSGPNGVVHEVVLYWEQTDLQTVNSKGSSIRGRDAAFFGPDDNQYAILEEDRSSLNLFSLKAVATKEALENNAAVLEENTFADNAANSTERQGPLQFTFESEVDRIFSSPLESTLLYVISGKHIGLAKLMQGYRLSTDNGLSITTKTDGKKFIKLKPNETVLQVHWQTTLRGPVVGILTTQRVLIASADLDILSSSSTKFDRGLPSYRSMLWVGPALIFSSATAISMLGWDNRVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKAVEIRSCLVGLLEPLLIGFATMQQHFEQKLDLSEVLYQITSRFDSLRISPRSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVIADHESMLDLFICHLNPSALRRLAQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNVKTIPQWELAGEVMPYMRTTDAGIPSVVADHIGVYLGVMKGRGNVVEVSEKSLVKAIAAASSENAQPVSSESAEKNKAIAGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPLSRTRSLSGTPQEFNQTPTQPGGPAGAVSPAMPNTAIDLFGTNTLVQPQAPSGATGPVIAGMGVTAGPIPEDFFQNTIPSHQLAAQLPPPGIVLSRMAQPAPGMNQGPVPNQNMMPNVSLPDGRVPPQAPPPQSQFPQQPGIPMDNIGLPDGGVPPQSQPLPSQPQTLPSQPQGIQPGIPAPSQPIDLSALVEGPGAAKQAARPPAPTAVRPGQVPRGAPAAECYKMALAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLYSKAPPTKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCSVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGGPGPVPSPFG >PAN46050 pep chromosome:PHallii_v3.1:9:10503103:10514530:1 gene:PAHAL_9G168500 transcript:PAN46050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQTRSGAPMEWTTVQHLDLRHSGGRRGASARSMQPHAAAFRASQAIAAVAIGTHVVEFDALTGSKIASIDLGARVVRMAYSPTTGHVVIAILEDATIRSCDFSTEQTLVLHSPEKKSDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTGTVEGGRPPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTIAKDGALQVWKTRVIINPNRQPMETHFFEHAAIETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFALLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDVARKAFLHSHFMEGHAKSGPISRLPLVTISDSSNLLRDVPVCQPYHLELNFFNKENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKNMSYSPKQHLFLVVFELSGPNGVVHEVVLYWEQTDLQTVNSKGSSIRGRDAAFFGPDDNQYAILEEDRSSLNLFSLKAVATKEALENNAAVLEENTFADNAANSTERQGPLQFTFESEVDRIFSSPLESTLLYVISGKHIGLAKLMQGYRLSTDNGLSITTKTDGKKFIKLKPNETVLQVHWQTTLRGPVVGILTTQRVLIASADLDILSSSSTKFDRGLPSYRSMLWVGPALIFSSATAISMLGWDNRVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKAVEIRSCLVGLLEPLLIGFATMQQHFEQKLDLSEVLYQITSRFDSLRISPRSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVIADHESMLDLFICHLNPSALRRLAQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNVKTIPQWELAGEVMPYMRTTDAGIPSVVADHIGVYLGVMKGRGNVVEVSEKSLVKAIAAASSENAQPVSSESAEKNKAIAGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPLSRTRSLSGTPQEFNQTPTQPGGPAGAVSPAMPNTAIDLFGTNTLVQPQAPSGATGPVIAGMGVTAGPIPEDFFQNTIPSHQLAAQLPPPGIVLSRMAQPAPGMNQGPVPNQNMMPNVSLPDGRVPPQAPPPQSQFPQQPGIPMDNIGLPDGGVPPQSQPLPSQPQTLPSQPQGIQPGIPAPSQPIDLSALVEGPGAAKQAARPPAPTAVRPGQVPRGAPAAECYKMALAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLYSKAPPTKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCSVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGGPGPVPSPFG >PAN46414 pep chromosome:PHallii_v3.1:9:11636473:11641135:-1 gene:PAHAL_9G184300 transcript:PAN46414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRCPVCPRRGVPLLLLLLLLLAPAPAASAPGTTTDTVTAAAPLSGNRTLVSAGRGKFVLGFFAPDRAPEDGAGGRTYLGIWFNRIPARTVVWVANRGSPVLGPAAGAAFRVLANGSLAVVDSDGEVVWATPPPPPSSPAASDDGIGAAAAAANATAQLLENGNLVLRVPGAGVVWQSFDHPTDTLLPGMKLGIDFRTGLDRHLNSWRGPGDPSPGEYSFRLDPRGSPELFLYRWSARTYGSGPWNGFQFSGVPNLKSNALLTFRFVSAPGAEAFYSYGIDSDTVLTRFVVNSSGQIQRLMWIDMTQSWSLFWSYPLDECDGYRACGPYGVCSVERSPICGCAPGFNPRFPAEWALRDGSGGCRRRTELNCTDDGFTTLTNMKLPESANATVDMSLSLDECRQACLRNCACRAYASANVSSPGAPGCFMWTGDLLDMRQFSNGGQNLFVRLAKSDLPLSTSSAETHSRTKRLTEIIVPSVVGLLLLLAGIYICVLKDKKRRKEATPLPSRNAQSTPFGRRNQIAASGDAQDDSLHNGQGNNKDCDLPSFDVEKIQAATDNFSIHKKIGQGGFGPVYMGKLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGSERMLVYEYMHNRSLNTFLFNEERQSMLSWEKRFNIINGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGTDQTAGYTKKVVGTYGYMSPEYAMDGVFSTKSDVFSFGVLVLEIVSGKKNRGFYHTELDLNLLRYAWRLWRDGQGLDFIDPSIADTSNAMEVLKCIQIGLLCVQEQPKRRPTMSAVTTMLTSENPTLPEPCEPAFSTGRNHGGGDDDDNGEDPETKACRSDSASSWTVTIVEGR >PVH31266 pep chromosome:PHallii_v3.1:9:5815876:5817652:-1 gene:PAHAL_9G100300 transcript:PVH31266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRPHTRNRTFGLHFFPSVSSRLVTEFVPTTSAGSPHRLRLRRARVDPDPDSGTIPKTVSDKEWWQTTDAKFQAWPRTAGPPVIMNPISRQNFIVKSTE >PVH31267 pep chromosome:PHallii_v3.1:9:5816172:5817522:-1 gene:PAHAL_9G100300 transcript:PVH31267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRPHTRNRTFGLHFFPSVSSRLVTEFVPTTSAGSPHRLRLRRARVDPDPDSETMAAGYIVGSLVGSFGIAYLCDTFVSDKKAFGGTIPKTVSDKEWWQTTDAKFQAWPRTAGPPVIMNPISRQNFIVKSTE >PAN50245 pep chromosome:PHallii_v3.1:9:65082099:65086173:1 gene:PAHAL_9G507600 transcript:PAN50245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAMECRVNGGGGEGGGGMRTVECLRGRLLAERVASKAAKEEADQLAKRLDELEKKLADEVKVRNKAERRLRRAIKKLESLKILDVELSDGSISSLSSNGRSGHQAPEVDERNSPGSLTTNDSVPYGPQGGGDADADSSKGSSAGSCTQGNSQGGSWCSVVSEQSPAGACMDLAGTNNSSSSSEESAADHDSERQHLDASSGCGSAKSEAESFHDSDNRLALVLVDPQLVAQADGGSRTEDNDRQTAELHAVTHDYEEAQQEEEETNRLAIVLADPRPQPATAGAGAPKPHADVESVLLALRRVKEQLRYTIERRSELVAHRELYGH >PVH32917 pep chromosome:PHallii_v3.1:9:66214784:66215931:1 gene:PAHAL_9G524500 transcript:PVH32917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to CLE family OsCLE302 protein [Source: Projected from Oryza sativa (Os03g0260432)] MARGERPVAGGLVGPWLLIAVLVNSSFLGSTVFPAVDAARTSPFVVTAPLPMPMAPAPSPADGVLGESKRRVPTGANPLHNR >PVH31744 pep chromosome:PHallii_v3.1:9:14579182:14579992:-1 gene:PAHAL_9G223300 transcript:PVH31744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIILVTWEVWKHRNSCLFEGARPDVQVLLQKVSTECVLWCLAGATKLKELLDRSLQQAP >PAN50493 pep chromosome:PHallii_v3.1:9:66200192:66202899:-1 gene:PAHAL_9G524300 transcript:PAN50493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein [Source: Projected from Oryza sativa (Os03g0261100)] MASVLAFSRWPPLLPLLLLLLATASRALKIGDLLGTPPAGSQGCSRTCESSFCVVPPLLRYGKYCGILYSGCPREKPCDALDACCMVHDHCVDTHNNDYLNTRCNENLLSCLDRVSPAGPTFPGNECDVGQTASVIRGVIETAVLAGKILHKRDDGQ >PAN49145 pep chromosome:PHallii_v3.1:9:59503557:59505306:1 gene:PAHAL_9G429400 transcript:PAN49145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVCTVTPAATFSRAGCARERSAWNSKKPGRASASESWTKDKLAARTSSSVPGRASLSDNWIRDKAERKEIGVKRMGRSPSRKISPVRAKRTLSRAPSLEVKRSEKKAKPEEDAEQVVVDKLVTRASSTVPGSPSDDWTRDKIRREEIGVEHVGRSPSREIGPVRAKRTLSRAPSVEVERSEKKAKPEEDAEPAVVEYYAGPAFMKAPHPSELPWPTFPMFVKSPSPIELPIPKFLKTKTSRAAMPIMN >PAN50707 pep chromosome:PHallii_v3.1:9:67184829:67188981:1 gene:PAHAL_9G539600 transcript:PAN50707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSQNAIQHTGDGSVCIRGYPASKEHTGKWKASTLTIVCSFCTYLAVSSIAKNLVSYLTKVLHETNVAAARNVSTWQGTNYLAPLFGAFIADSYMGKYWTALIFCIISIIGMLMLVLSATLPLIYTGPNILPDCMDTVSYQYIIFFGLYMVAIGHGGQNPCVTSFGADQFDDSDVEERTKKSSFFSWSYFILNVGSLISAIIMVWIQDHKGWIWGFAISALFVAFGVGIFLLGSTVYRFQKPGGSPVARVCQVIVAASLNFNKDLPCDSSLLYEIPGQVSAIDGSRKLEHTTGLEFFDKAAIVMSSDRESSGLLNKWRICTVTQVEELKILIRMLPIWATMILFPTVLAQMFSTFIEQGMVMDKHIGSFEIPAASFQSVDVVAVLVLVPVYERILVPVFKKFTGMANGITPLQRMGIGLFFATLSMVSAALVESNRLQIAEYKGLVDQNVAVPMSILWQGPQYFLIGAGEVFSIIGLNQFFYEESPDAMRSLCLSFSLANISAGTYLSSLIVSLVPVFTAGVGRPGWIPDNLNEGHLDRFYWMMAGLCFLSLLAFVFCAMRYKCKKVS >PAN50706 pep chromosome:PHallii_v3.1:9:67184829:67188981:1 gene:PAHAL_9G539600 transcript:PAN50706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLVLSATLPLIYTGPNILPDCMDTVSYQYIIFFGLYMVAIGHGGQNPCVTSFGADQFDDSDVEERTKKSSFFSWSYFILNVGSLISAIIMVWIQDHKGWIWGFAISALFVAFGVGIFLLGSTVYRFQKPGGSPVARVCQVIVAASLNFNKDLPCDSSLLYEIPGQVSAIDGSRKLEHTTGLEFFDKAAIVMSSDRESSGLLNKWRICTVTQVEELKILIRMLPIWATMILFPTVLAQMFSTFIEQGMVMDKHIGSFEIPAASFQSVDVVAVLVLVPVYERILVPVFKKFTGMANGITPLQRMGIGLFFATLSMVSAALVESNRLQIAEYKGLVDQNVAVPMSILWQGPQYFLIGAGEVFSIIGLNQFFYEESPDAMRSLCLSFSLANISAGTYLSSLIVSLVPVFTAGVGRPGWIPDNLNEGHLDRFYWMMAGLCFLSLLAFVFCAMRYKCKKVS >PAN50703 pep chromosome:PHallii_v3.1:9:67180939:67188981:1 gene:PAHAL_9G539600 transcript:PAN50703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKGQFLESPLLEEGNSSLKNAIQHTGDGSVCIRGYPASKEHTGKWKASTLTIVCSFCTYLAVSSIAKNLVSYLTKVLHETNVAAARNVSTWQGTNYLAPLFGAFIADSYMGKYWTALIFCIISIIGMLMLVLSATLPLIYTGPNILPDCMDTVSYQYIIFFGLYMVAIGHGGQNPCVTSFGADQFDDSDVEERTKKSSFFSWSYFILNVGSLISAIIMVWIQDHKGWIWGFAISALFVAFGVGIFLLGSTVYRFQKPGGSPVARVCQVIVAASLNFNKDLPCDSSLLYEIPGQVSAIDGSRKLEHTTGLEFFDKAAIVMSSDRESSGLLNKWRICTVTQVEELKILIRMLPIWATMILFPTVLAQMFSTFIEQGMVMDKHIGSFEIPAASFQSVDVVAVLVLVPVYERILVPVFKKFTGMANGITPLQRMGIGLFFATLSMVSAALVESNRLQIAEYKGLVDQNVAVPMSILWQGPQYFLIGAGEVFSIIGLNQFFYEESPDAMRSLCLSFSLANISAGTYLSSLIVSLVPVFTAGVGRPGWIPDNLNEGHLDRFYWMMAGLCFLSLLAFVFCAMRYKCKKVS >PAN50705 pep chromosome:PHallii_v3.1:9:67184470:67188981:1 gene:PAHAL_9G539600 transcript:PAN50705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLVLSATLPLIYTGPNILPDCMDTVSYQYIIFFGLYMVAIGHGGQNPCVTSFGADQFDDSDVEERTKKSSFFSWSYFILNVGSLISAIIMVWIQDHKGWIWGFAISALFVAFGVGIFLLGSTVYRFQKPGGSPVARVCQVIVAASLNFNKDLPCDSSLLYEIPGQVSAIDGSRKLEHTTGLEFFDKAAIVMSSDRESSGLLNKWRICTVTQVEELKILIRMLPIWATMILFPTVLAQMFSTFIEQGMVMDKHIGSFEIPAASFQSVDVVAVLVLVPVYERILVPVFKKFTGMANGITPLQRMGIGLFFATLSMVSAALVESNRLQIAEYKGLVDQNVAVPMSILWQGPQYFLIGAGEVFSIIGLNQFFYEESPDAMRSLCLSFSLANISAGTYLSSLIVSLVPVFTAGVGRPGWIPDNLNEGHLDRFYWMMAGLCFLSLLAFVFCAMRYKCKKVS >PAN50702 pep chromosome:PHallii_v3.1:9:67184470:67188981:1 gene:PAHAL_9G539600 transcript:PAN50702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKGQFLESPLLEEGNSSLKNAIQHTGDGSVCIRGYPASKEHTGKWKASTLTIVCSFCTYLAVSSIAKNLVSYLTKVLHETNVAAARNVSTWQGTNYLAPLFGAFIADSYMGKYWTALIFCIISIIGMLMLVLSATLPLIYTGPNILPDCMDTVSYQYIIFFGLYMVAIGHGGQNPCVTSFGADQFDDSDVEERTKKSSFFSWSYFILNVGSLISAIIMVWIQDHKGWIWGFAISALFVAFGVGIFLLGSTVYRFQKPGGSPVARVCQVIVAASLNFNKDLPCDSSLLYEIPGQVSAIDGSRKLEHTTGLEFFDKAAIVMSSDRESSGLLNKWRICTVTQVEELKILIRMLPIWATMILFPTVLAQMFSTFIEQGMVMDKHIGSFEIPAASFQSVDVVAVLVLVPVYERILVPVFKKFTGMANGITPLQRMGIGLFFATLSMVSAALVESNRLQIAEYKGLVDQNVAVPMSILWQGPQYFLIGAGEVFSIIGLNQFFYEESPDAMRSLCLSFSLANISAGTYLSSLIVSLVPVFTAGVGRPGWIPDNLNEGHLDRFYWMMAGLCFLSLLAFVFCAMRYKCKKVS >PAN46302 pep chromosome:PHallii_v3.1:9:11037243:11043844:1 gene:PAHAL_9G175800 transcript:PAN46302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEEELHPNPKKGLAATVIFARRFQTTILSLLIGNDHSEVRDAIKYFHELQVSVGVVYLLLPSVSGKIDWCGIKFSTSSVYDATEKDMGHCHSCKDADLLQTMDGPCCRCMLQNSVVYIPRDGKFYNITGFLDLNENNPLHFRDKSVVSSKRCGLSLTSESNPLLVASGLFTVQNFLYKCYGKGKEPSGRIAVKLPSELCRVVMAPVSTNILCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITALKILEALTTKECQEEFSLESIETLGDSFLKYVTGQHLFSKYKHREGKLTSMRKELVSNTTLCQLACNRNLVGYIRGEEFNIKKWIIPGLGYDIWGNTKCLFLLTNNMYILKEISIKSKRIADTVEALIGAYLSASGEQAAFHFMKTLGMDLELHNEMQDERKIITKSEEIIDVRSLETMLGYAFNDRSLLIEALTHGSYNNAGPCYERLEFLGDAVLDHILTDYFYKQYYPGCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILHSSSNQMINDLENSGRSFSGPSHGWEPGIGLPEDLADLIESIAGAIYLDSKHKKEVVWRAMRRLLEPLATPKTVELYPVSELKEICERRKYPKPLYSKTRDSGVGVTRVDAKVKAAGTVYYGTGEGRNKKVAKILAAKALLQKLKVASVA >PAN46303 pep chromosome:PHallii_v3.1:9:11037243:11043844:1 gene:PAHAL_9G175800 transcript:PAN46303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAGAGAGVADARGGGAPSESEEGTGGNAEDKYQHGQPVYFPEELVDNWVSFSRRGLYYCYNISLRGCLNTTATPADIILAVKCDMGPEFLCNSFNSGGVDVTIRYMSIVHLNQEQVIFARRFQTTILSLLIGNDHSEVRDAIKYFHELQVSVGVVYLLLPSVSGKIDWCGIKFSTSSVYDATEKDMGHCHSCKDADLLQTMDGPCCRCMLQNSVVYIPRDGKFYNITGFLDLNENNPLHFRDKSVVSSKRCGLSLTSESNPLLVASGLFTVQNFLYKCYGKGKEPSGRIAVKLPSELCRVVMAPVSTNILCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITALKILEALTTKECQEEFSLESIETLGDSFLKYVTGQHLFSKYKHREGKLTSMRKELVSNTTLCQLACNRNLVGYIRGEEFNIKKWIIPGLGYDIWEA >PVH31545 pep chromosome:PHallii_v3.1:9:11039890:11043054:1 gene:PAHAL_9G175800 transcript:PVH31545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLIILFLASEPSGRIAVKLPSELCRVVMAPVSTNILCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITALKILEALTTKECQEEFSLESIETLGDSFLKYVTGQHLFSKYKHREGKLTSMRKELVSNTTLCQLACNRNLVGYIRGEEFNIKKWIIPGLGYDIWGNTKCLFLLTNNMYILKEISIKSKRIADTVEALIGAYLSASGEQAAFHFMKTLGMDLELHNEMQDERKIITKSEEIIDVRSLETMLGYAFNDRSLLIEALTHGSYNNAGPCYERLEFLGDAVLDHILTDYFYKQYYPGCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILHSSSNQMINDLENSGRSFSGPSHGWEPGIGLPEDLADLIESIAGAIYLDSKHKKEVVWRAMRRLLEPLATPKTVELYPVSELKEICERRKYPKPLYSKTRDSGVGVTRVDAKVKAAGTVYYGTGEGRNKKVAKILAAKALLQKLKVASVA >PAN46305 pep chromosome:PHallii_v3.1:9:11037243:11043844:1 gene:PAHAL_9G175800 transcript:PAN46305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAGAGAGVADARGGGAPSESEEGTGGNAEDKYQHGQPVYFPEELVDNWVSFSRRGLYYCYNISLRGCLNTTATPADIILAVKCDMGPEFLCNSFNSGGVDVTIRYMSIVHLNQEQVIFARRFQTTILSLLIGNDHSEVRDAIKYFHELQVSVGVVYLLLPSVSGKIDWCGIKFSTSSVYDATEKDMGHCHSCKDADLLQTMDGPCCRCMLQNSVVYIPRDGKFYNITGFLDLNENNPLHFRDKSVVSSKRCGLSLTSESNPLLVASGLFTVQNFLYKCYGKGKEPSGRIAVKLPSELCRVVMAPVSTNILCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITALKILEALTTKECQEEFSLESIETLGDSFLKYVTGQHLFSKYKHREGKLTSMRKELVSNTTLCQLACNRNLVRLEFLGDAVLDHILTDYFYKQYYPGCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILHSSSNQMINDLENSGRSFSGPSHGWEPGIGLPEDLADLIESIAGAIYLDSKHKKEVVWRAMRRLLEPLATPKTVELYPVSELKEICERRKYPKPLYSKTRDSGVGVTRVDAKVKAAGTVYYGTGEGRNKKVAKILAAKALLQKLKVASVA >PAN46306 pep chromosome:PHallii_v3.1:9:11037243:11043843:1 gene:PAHAL_9G175800 transcript:PAN46306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEFLCNSFNSGGVDVTIRYMSIVHLNQEQVIFARRFQTTILSLLIGNDHSEVRDAIKYFHELQVSVGVVYLLLPSVSGKIDWCGIKFSTSSVYDATEKDMGHCHSCKDADLLQTMDGPCCRCMLQNSVVYIPRDGKFYNITGFLDLNENNPLHFRDKSVVSSKRCGLSLTSESNPLLVASGLFTVQNFLYKCYGKGKEPSGRIAVKLPSELCRVVMAPVSTNILCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITALKILEALTTKECQEEFSLESIETLGDSFLKYVTGQHLFSKYKHREGKLTSMRKELVSNTTLCQLACNRNLVGYIRGEEFNIKKWIIPGLGYDIWGNTKCLFLLTNNMYILKEISIKSKRIADTVEALIGAYLSASGEQAAFHFMKTLGMDLELHNEMQDERKIITKSEEIIDVRSLETMLGYAFNDRSLLIEALTHGSYNNAGPCYERLEFLGDAVLDHILTDYFYKQYYPGCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILHSSSNQMINDLENSGRSFSGPSHGWEPGIGLPEDLADLIESIAGAIYLDSKHKKEVVWRAMRRLLEPLATPKTVELYPVSELKEICERRKYPKPLYSKTRDSGVGVTRVDAKVKAAGTVYYGTGEGRNKKVAKILAAKALLQKLKVASVA >PAN46304 pep chromosome:PHallii_v3.1:9:11037243:11043844:1 gene:PAHAL_9G175800 transcript:PAN46304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAGAGAGVADARGGGAPSESEEGTGGNAEDKYQHGQPVYFPEELVDNWVSFSRRGLYYCYNISLRGCLNTTATPADIILAVKCDMGPEFLCNSFNSGGVDVTIRYMSIVHLNQEQVIFARRFQTTILSLLIGNDHSEVRDAIKYFHELQVSVGVVYLLLPSVSGKIDWCGIKFSTSSVYDATEKDMGHCHSCKDADLLQTMDGPCCRCMLQNSVVYIPRDGKFYNITGFLDLNENNPLHFRDKSVVSSKRCGLSLTSESNPLLVASGLFTVQNFLYKCYGKGKEPSGRIAVKLPSELCRVVMAPVSTNILCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITALKILEALTTKECQEEFSLESIETLGDSFLKYVTGQHLFSKYKHREGKLTSMRKELVSNTTLCQLACNRNLVGYIRGEEFNIKKWIIPGLGYDIWGNTKCLFLLTNNMYILKEISIKSKRIADTVEALIGAYLSASGEQAAFHFMKTLGMDLELHNEMQDERKIITKSEEIIDVRSLETMLGYAFNDRSLLIEALTHGSYNNAGPCYERLEFLGDAVLDHILTDYFYKQYYPGCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILHSSSNQMINDLENSGRSFSGPSHGWEPGIGLPEDLADLIESIAGAIYLDSKHKKEVVWRAMRRLLEPLATPKTVELYPVSELKEICERRKYPKPLYSKTRDSGVGVTRVDAKVKAAGTVYYGTGEGRNKKVAKILAAKALLQKLKVASVA >PAN46307 pep chromosome:PHallii_v3.1:9:11037243:11043843:1 gene:PAHAL_9G175800 transcript:PAN46307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEFLCNSFNSGGVDVTIRYMSIVHLNQEQVIFARRFQTTILSLLIGNDHSEVRDAIKYFHELQVSVGVVYLLLPSVSGKIDWCGIKFSTSSVYDATEKDMGHCHSCKDADLLQTMDGPCCRCMLQNSVVYIPRDGKFYNITGFLDLNENNPLHFRDKSVVSSKRCGLSLTSESNPLLVASGLFTVQNFLYKCYGKGKEPSGRIAVKLPSELCRVVMAPVSTNILCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITALKILEALTTKECQEEFSLESIETLGDSFLKYVTGQHLFSKYKHREGKLTSMRKELVSNTTLCQLACNRNLVRLEFLGDAVLDHILTDYFYKQYYPGCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILHSSSNQMINDLENSGRSFSGPSHGWEPGIGLPEDLADLIESIAGAIYLDSKHKKEVVWRAMRRLLEPLATPKTVELYPVSELKEICERRKYPKPLYSKTRDSGVGVTRVDAKVKAAGTVYYGTGEGRNKKVAKILAAKALLQKLKVASVA >PVH32526 pep chromosome:PHallii_v3.1:9:58642729:58644063:1 gene:PAHAL_9G419900 transcript:PVH32526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDARGHAIACVRLVHPLHQTNHRTRPHPHARTPSSGSGLYIHTRRRPPPSTSLHPALGQIDRRLLATTNAASKIELNFESHLLFHQRLLAMVAGLEALLDPTALSLALPAPPALKKEDYLAICLAALAGTRKYAGLGKEQHGTARWCPAQRHAPPAPQQQELPFRCAVCGKAFASYQALGGHKSSHRKPPTEEQYAAATAQAASSAGGLDETAPSGLGGASGAGPHRCTICRRGFATGQALGGHKRCHYWDGMSVSGSVSASVSASGTGSSGVTVRNFDLNLAPLPENFGVKRWGEEEEVQSPLPIKKRRILID >PVH32091 pep chromosome:PHallii_v3.1:9:34928383:34930898:1 gene:PAHAL_9G308400 transcript:PVH32091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVPGASAGGSGAGGAGGSSGAGAGGGGPCGGGSGGGSGGPCGACKFLRRKCVSGCIFAPYFDSEQGAGHFAAVHKVFGASNVSKLLLQIPPHKRLDAVVTVCYEAQARLRDPIYGCVSHIFSLQQQVVNLQAELTYLQGHLTTMELPTPPPFSGQPQMPMTAAFSSVSNLPSSSSVPASVDLSTLFDSQTQSQQWAFQFQQQQILQQQQLLQQQQYLQMGEGSRRGASGSGTVDGGDLQALAMELLDRRQRVAVCSPPKPPTPATQ >PAN45915 pep chromosome:PHallii_v3.1:9:9237984:9239054:1 gene:PAHAL_9G150100 transcript:PAN45915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRSRILRTLQSFPNAAAQSNILLALPPGAGPSRDPSAASCGHLQEPAPQEQVPADEAGAAAHEVPGGGGDDDGDKENVSPGVTPRKAKKMKFSSDHHDVSAAGPGEPAGAGCYRRPDLASVTLFDPDLLAAFRRAVDAYVQALQVSKRRDDIDDGDEGDGVPGGGEGGGGEAAGVADPLEAFEQRCPPGGERVVVLYTTSLRGVRKTFEDCARVRRLLEGLRVAFLERDVSMHAPYREELRALLCGAAAADQAPAFPVPPRLFVDGRYLGGADEVVALHERSQLRPVLRRAPRRGAGDGPCAVCGGAWFVVCGGCSGSHWLHDAAGATATAAAGRVPCSACNENGLVPCPLCS >PAN46455 pep chromosome:PHallii_v3.1:9:11853511:11856850:1 gene:PAHAL_9G187300 transcript:PAN46455 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MVRVRFVGRLPVLERTRLSGSRAAMDGGREQQQGPPERQPGKNLIKIPSYQEVFGTGASSSSSTPPSYNPPLNSTGAPAASSSSSSSGSFSQAFSFLKSSDFYSPPPPPPQPTSTPRPPQASSSAPVAQTQSKNAILVSHRQRGNPLLKHIRNARWAFADVVPDYVLGQSSCALYLSIRYHLLHPDYLYYRIRELQKNFRLRVILCHIDVEDVVKPLHEITRTALLHDCTLLCGWSLEECGRYLETIKVYENKPADNIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQVMNASMEELARCPGIGERKVKRLYDTFHEPFKRVSTRPNLVVPDTPDREKASGQPSSTNDSSENTAGKPEASKKKGPDVRSTLTAAFAKYPEKMHSQSRDAANEAGEGGSSSNMEDGKTKD >PAN43982 pep chromosome:PHallii_v3.1:9:548853:554717:-1 gene:PAHAL_9G008800 transcript:PAN43982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPPALKRPKLEKDDHDSAYCPRPSANGTAPVPPAASAAASGAPPNDEDEEAMAEEAVVALVAHRERDVERCKLKLLHYQSLLDSAEMKLAEAQARLARYKDRKPPPPSQREPKPPLQPIQRDPKASPLPEKKAPATAPQPAGRPQLVIPGANNRPAPRPEPMPGLKKAAAPPSSSSPVLPPPERSRKEEKKPKRKIEEKEHQNLIPSVKKSSATVLKFQGGTLVSSQHKRKLRCLELCPSNDQLVVTSALDGLVTLWQVEPRGPSVSFRGKTDCFSPKHRWPEDIAWHPDGDKIFAVYTADNGDSQVSMANLISGQRKVTFLPEKPHTKGIINNITFMPWSDACFVTAGSDHAVILWEDKDDSWKPKRVHKDFHSSAVMGVAGLQQKKTILSVGCDKRIIGFDLSAGRTEFKNLIDSKCMSVLLNPCDFNLYMVQAGAPGRQLRLFDIRLRQTEIHAFGWKQESSESQSALINQSWSPDGWYLSSGSADPVIHIFDIRYHGQNPCQSVQAHQKRVFKAVWHQTLPYLTSISSDLNVGIHKYS >PVH30893 pep chromosome:PHallii_v3.1:9:549283:554756:-1 gene:PAHAL_9G008800 transcript:PVH30893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPPALKRPKLEKDDHDSAYCPRPSANGTAPVPPAASAAASGAPPNDEDEEAMAEEAVVALVAHRERDVERCKLKLLHYQSLLDSAEMKLAEAQARLARYKDRKPPPPSQREPKPPLQPIQRDPKASPLPEKKAPATAPQPAGRPQLVIPGANNRPAPRPEPMPGLKKAAAPPSSSSPVLPPPERSRKEEKKPKRKIEEKEHQNLIPSVKKSSATVLKFQGGTLVSSQHKRKLRCLELCPSNDQLVVTSALDGLVTLWQVEPRGPSVSFRGKTDCFSPKHRWPEDIAWHPDGDKIFAVYTADNGDSQVSMANLISGQRKVTFLPEKPHTKGIINNITFMPWSDACFVTAGSDHAVILWEDKDDSWKPKRVHKDFHSSAVMGVAGLQQKKTILSVGCDKRIIGFDLSAGRTEFKNLIDSKCMSVLLNPCDFNLYMVQAGAPGRQLRLFDIRLRQTEIHAFGWKQESSESQSALINQSWSPDGWYLSSGSADPVIHIFDIRYHGQNPCQSVQAHQKRVFKAVWHQTLPYLTSISSDLNVGIHKYS >PAN49646 pep chromosome:PHallii_v3.1:9:61895370:61897501:-1 gene:PAHAL_9G462700 transcript:PAN49646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVAVSSSSLNPDAPLFIPAALLQVEDFSPQWWDLVTTTAWFRDHWSREHTQLDEMAEELDSAGLLPDDDEDLFYDDQPEHAPAAVEAPQHPAPKTDAVLKALNLTSPKGGDASRGFWEKPRHAEKPTKYAGSPKGSSAPRVIHQPR >PAN46750 pep chromosome:PHallii_v3.1:9:13674810:13675796:1 gene:PAHAL_9G211700 transcript:PAN46750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESKSAAGQIVWTASQSTFVHTFLANFIDEGLKTSTGFKKVHLNKCAEALNEKFKLNRTGDHIGNHLKTLRRRYVKINQLRSLSGALWDEDQYIISLDHEHYRNHFENPKNKGDDEYINKPLPYYGNLATIFGNSVATGQFTKSLNEPLGVDADCTAENEDNGAATAMTNGQAQSDVNDDNGVSCSAATRPYKKAKVVEAANESLAGVLERSTQTLANAIKEAAVANRALPEGLFKIVDNLPGFEIQDKSRYYGYLVANPDIARAFADLPLLYKIR >PAN45598 pep chromosome:PHallii_v3.1:9:7761956:7766771:1 gene:PAHAL_9G129700 transcript:PAN45598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRGRRPRTPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVASPEGLYLRDVINHLNTVRGKGMAAMYSWSCKRSYKNGFVWHDLTEDDLVLPATDGEYVLKGSELVDQSASGQLYPVSNGNHKQQSRLKEGARQPPPREHSYPSSPPSVIVREAKPRRSPSVPSQDEDDTPSPCRDRSLRTMSPEFEPQRSERTQLPESGSASPTEFRVYKPIGCMDAATQTDDLGRRLGRRAPEMRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISKELLSQCATPLSIPLTRGKSESLESLIRADNATNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDHENVGIVQAYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLMGMRLEEKEYFSGSLIETKTQRDVPAERYSALKRSSSYNAERGGETLNCARPDEDTTDTSSRSRLPKELLSPAGQ >PAN45597 pep chromosome:PHallii_v3.1:9:7761843:7766771:1 gene:PAHAL_9G129700 transcript:PAN45597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRGRRPRTPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVASPEGLYLRDVINHLNTVRGKGMAAMYSWSCKRSYKNGFVWHDLTEDDLVLPATDGEYVLKGSELVDQSASGQLYPVSNGNHKQQSRLKEGARQPPPREHSYPSSPPSVIVREAKPRRSPSVPSQDEDDTPSPCRDRSLRTMSPEFEPQRSERTQLPESGSASPTEFRVYKPIGCMDAATQTDDLGRRLGRRAPEMRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISKELLSQCATPLSIPLTRGKSESLESLIRADNATNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDHENVGIVQAYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLMGMRLEEKEYFSGSLIETKTQRDVPAERYSALKRSSSYNAERGGETLNCARPDEDTTDTSSRSRCLPRTPILSSFLHPKSDTLKSPISDCRRSSSARQDSDLASGDGSRRFADASLASAAKTDSFRKEEKLVKIEES >PAN45596 pep chromosome:PHallii_v3.1:9:7761843:7766771:1 gene:PAHAL_9G129700 transcript:PAN45596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRGRRPRTPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVASPEGLYLRDVINHLNTVRGKGMAAMYSWSCKRSYKNGFVWHDLTEDDLVLPATDGEYVLKGSELVDQSASGQLYPVSNGNHKQQSRLKEGARQPPPREHSYPSSPPSVIVREAKPRRSPSVPSQDEDDTPSPCRDRSLRTMSPEFEPQRSERTQLPESGSASPTEFRVYKPIGCMDAATQTDDLGRRLGRRAPEMRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISKELLSQCATPLSIPLTRGKSESLESLIRADNATNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDHENVGIVQAYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLMGMRLEEKEYFSGSLIETKTQRDVPAERYSALKRSSSYNAERGGETLNCARPDEDTTDTSSRSRLPKELLSPAGQ >PAN45595 pep chromosome:PHallii_v3.1:9:7761956:7766754:1 gene:PAHAL_9G129700 transcript:PAN45595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRGRRPRTPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVASPEGLYLRDVINHLNTVRGKGMAAMYSWSCKRSYKNGFVWHDLTEDDLVLPATDGEYVLKGSELVDQSASGQLYPVSNGNHKQQSRLKEGARQPPPREHSYPSSPPSVIVREAKPRRSPSVPSQDEDDTPSPCRDRSLRTMSPEFEPQRSERTQLPESGSASPTEFRVYKPIGCMDAATQTDDLGRRLGRRAPEMRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISKELLSQCATPLSIPLTRGKSESLESLIRADNATNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDHENVGIVQAYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLMGMRLEEKEYFSGSLIETKTQRDVPAERYSALKRSSSYNAERGGETLNCARPDEDTTDTSSRSRCLPRTPILSSFLHPKSDTLKSPISDCRRSSSARQDSDLASGDGSRRFADASLASAAKTDSFRKEEKLVKIEES >PVH31381 pep chromosome:PHallii_v3.1:9:7761956:7766754:1 gene:PAHAL_9G129700 transcript:PVH31381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRGRRPRTPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVASPEGLYLRDVINHLNTVRGKGMAAMYSWSCKRSYKNGFVWHDLTEDDLVLPATDGEYVLKGSELVDQSASGQLYPVSNGNHKQQSRLKEGARQPPPREHSYPSSPPSVIVREAKPRRSPSVPSQDEDDTPSPCRDRSLRTMSPEFEPQRSERTQLPESGSASPTEFRVYKPIGCMDAATQTDDLGRRLGRRAPEMRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISKELLSQCATPLSIPLTRGKSESLESLIRADNATNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDHENVGIVQAYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLMGMRLEEKEYFSGSLIETKTQRDVPAERYSALKRSSSYNAERGGETLNCARPDEDTTDTSSRSRCLPRTPILSSFLHPKSDTLKSPISDCRRSSSARQDSDLASGDGSRRFADASLASAAKTDSFRKEEKLVKIEES >PAN44390 pep chromosome:PHallii_v3.1:9:2166880:2168980:-1 gene:PAHAL_9G039000 transcript:PAN44390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGSSPSASSSTKRLEGKVALVTGGATGIGEAIVRLFREHGAKVCIADIQDEAGQRLRDALGDQDVMFVHCDVTVEEDVSAAVDAAAERFGALDVMVNNAGITGNKVTDIRNVDFAEVRKVFDINVHGVFLGMKHAARVMIPQKRGSIVSLASVASAIGGMGPHGYTASKHAVVGLTKSVAGELGRHGVRVNCVSPYAVPTALSMPHLPQGARAGDALKDFLAFVGGEANLKGVDVMPKDVAEAVLYLASDEARYVSALNLMVDGGFTAVNHNLKAFED >PAN49551 pep chromosome:PHallii_v3.1:9:61502885:61506994:-1 gene:PAHAL_9G455600 transcript:PAN49551 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G04970) UniProtKB/TrEMBL;Acc:A0A178VAS0] MEVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQNINHFLTFGAYHYLLRFVQAVCGNGAKDLVLGVEQYCCDRPNPILQVFYVAIIGVTYFIIVQTSFEYIPGYYVSGWHRYLSIVAVAVGAILFVLTSFSDPGTITAENVSQYVSAYPYDNVIFVEKECSTCKIPRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICVYGALVIGFILAGELKERKVIYILTAYYGIENSFSGLFPHVAQWLLAVHNTQILLSVFLAILALLLGGFCAYHAHLCLTNTTTNEADFVKNQNIRCLSQGDMKCYTKWENEFN >PAN49550 pep chromosome:PHallii_v3.1:9:61502263:61507462:-1 gene:PAHAL_9G455600 transcript:PAN49550 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G04970) UniProtKB/TrEMBL;Acc:A0A178VAS0] MEVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQNINHFLTFGAYHYLLRFVQAVCGNGAKDLVLGVEQYCCDRPNPILQVFYVAIIGVTYFIIVQTSFEYIPGYYVSGWHRYLSIVAVAVGAILFVLTSFSDPGTITAENVSQYVSAYPYDNVIFVEKECSTCKIPRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICVYGALVIGFILAGELKERKVIYILTAYYGIENSFSGLFPHVAQWLLAVHNTQILLSVFLAILALLLGGFCAYHAHLCLTNTTTNESFKWQDYIMWMKKENEAKANAAALKASMGSANSDAHKAPPSKWRAFFVRSRRPSVEPVVKNNIYDRGMIRNMCEVIVPLSERKSFSHRKSD >PAN45807 pep chromosome:PHallii_v3.1:9:8834253:8839398:-1 gene:PAHAL_9G143900 transcript:PAN45807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDNSSKNKLSWSRTLVRKWFNIKTKAKDFHSDYAAEEVGVQWRTSFSDRDACKSKKSRTERLPKRNMDRDGRLGNGFDGAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQQGSGVYNYHTPSPAPDPIVELNVDFERSSRRQKNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRCGGSSDDENIDEESPSGIFFPPMPCGYGAPVCTDSRYCLVASKQMVGIFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHVHGAGDEKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRFGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPSQTQFSGITRSASLLGVDELRYPTYPRSYMDINFY >PAN45230 pep chromosome:PHallii_v3.1:9:6022488:6025916:1 gene:PAHAL_9G103800 transcript:PAN45230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGQQSDQCPSANSGREFSEANWNTVTMHQKMGYNSGPYGFGPYSMGLEERPGLYQSSSGTFSQNIQMSDEHSGSVKKRKGMDDCVAMLQNAGDQQTEGSSQPERNSLEGNRKISPKMQSKEGSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHFAFYGADAGSSSLASQFSQGIMQPEMMCNISNPADVLQGTIHDVSTMNQIPAMWEGLQNLPQMNFNLGVAADSSANNSGSMKIEQ >PAN45232 pep chromosome:PHallii_v3.1:9:6022020:6025916:1 gene:PAHAL_9G103800 transcript:PAN45232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGQQSDQCPSANSGREFSEANWNTVTMHQKMGYNSGPYGFGPYSMGLEERPGLYQSSSGTFSQNIQMSDEHSGSVKKRKGMDDCVAMLQNAGDQQTEGSSQPERNSLEGNRKISPKMQSKEGSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHFAFYGADAGSSSLASQFSQGIMQPEMMCNISNPADVLQGTIHDVSTMNQIPAMWEGLQNLPQMNFNLGVAADSSANNSGSMKIEQ >PAN45231 pep chromosome:PHallii_v3.1:9:6022504:6025916:1 gene:PAHAL_9G103800 transcript:PAN45231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGQQSDQCPSANSGREFSEANWNTVTMHQKMGYNSGPYGFGPYSMGLEERPGLYQSSSGTFSQNIQMSDEHSGSVKKRKGMDDCVAMLQNAGDQQTEGSSQPERNSLEGNRKISPKMQSKEGSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHFAFYGADAGSSSLASQFSQGIMQPEMMCNISNPADVLQGTIHDVSTMNQIPAMWEGLQNLPQMNFNLGVAADSSANNSGSMKIEQ >PAN45111 pep chromosome:PHallii_v3.1:9:5381631:5385168:1 gene:PAHAL_9G094000 transcript:PAN45111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEEANRAAVESCHRVLTLLSNPHGQLVPNKDLVAATGEAVTKFGSVTAKLSNSNGNGLQGHARVRKIKKPLAIFDSNLFLESSAVATTAAAATAAKAPSASPITGLQLFPRYHQMEGSSSKDPVRIPTQFPKRLLLENLAAGLEGPPSQAPPVHMVQPVSVAPPAGTPTPALPAAHLHFIQQQHSYQRFQLMQQMKIQSEMMKRSNLGDQGGSLSGGGGGGSGVGAKGVNLKFDSSNCTASSSRSFLSSLSMEGSLASLDVSRANRPFQLVSGSQTSSTPELGLVQRRRCTGKEDGSGRCATGSRCHCSKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVVAQPA >PAN46194 pep chromosome:PHallii_v3.1:9:11088507:11092629:1 gene:PAHAL_9G176300 transcript:PAN46194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPYCVHFQHQRPTPLPPEPAGFYNDIGASVDIPLDTKKDLKKKERELMAKEAELNRREQEIKRREEALARAGVIIEPKNWPPFFPIIHVDISNDIPVHLQRVQYVSFASLLGLVICLFWNILCVTGAWITGHDPRIWFLAVIYFITGCPGAYFLWYRPLYRAMRKDSAFSYGWFFLFYFFHIAFCIYAAISPPFFYMGRSLAGIFQAISEMGENAAVGIMYFMGFAIFVLEVLLSIWVFQRVYWFFRGKGTEAQMRPDAATRAPPS >PAN46313 pep chromosome:PHallii_v3.1:9:11088297:11092629:1 gene:PAHAL_9G176300 transcript:PAN46313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEAELNRREQEIKRREEALARAGVIIEPKNWPPFFPIIHVDISNDIPVHLQRVQYVSFASLLGLVICLFWNILCVTGAWITGHDPRIWFLAVIYFITGCPGAYFLWYRPLYRAMRKDSAFSYGWFFLFYFFHIAFCIYAAISPPFFYMGRSLAGIFQAISEMGENAAVGIMYFMGFAIFVLEVLLSIWVFQRVYWFFRGKGTEAQMRPDAATRAPPS >PAN46312 pep chromosome:PHallii_v3.1:9:11087102:11092629:1 gene:PAHAL_9G176300 transcript:PAN46312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRWRHDNPFEEGDGDVNPFSHQRPTPLPPEPAGFYNDIGASVDIPLDTKKDLKKKERELMAKEAELNRREQEIKRREEALARAGVIIEPKNWPPFFPIIHVDISNDIPVHLQRVQYVSFASLLGLVICLFWNILCVTGAWITGHDPRIWFLAVIYFITGCPGAYFLWYRPLYRAMRKDSAFSYGWFFLFYFFHIAFCIYAAISPPFFYMGRSLAGIFQAISEMGENAAVGIMYFMGFAIFVLEVLLSIWVFQRVYWFFRGKGTEAQMRPDAATRAPPS >PAN46496 pep chromosome:PHallii_v3.1:9:12081081:12083784:-1 gene:PAHAL_9G189800 transcript:PAN46496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPHDGHRSFFPVGNPFRMILPRGAHLSPKLTAVLTSYEDGLASSLRKLKPEAASNVLTLSWMKLAVDCLSDLHTKIATLITELELPVSDWDEKWVDIYLNSSVKLLDICIALSSELARLDQGQLLLQYVLHVLDSGNQVPSQEQLKRAEASLKEWMERASERSPRLDNCLTALQELSGNLCLMKVKHSAKGRVLMRALYGIEAITVFFCSVLVAVLSGSSKPLVELDVPEKFGWSKAFSDLHKAISGELSSQLSRGRVAAVKELEKVEVCARQLHALTSSAQLDLEEGNASLAHAVSHSKEVIMSDTTTAQEGGPEDNLKLAEGISCEHEVIMLHSTEEGLDTTGTKEDANTFSHSEEVIVLERTSDGGHQDDNAKQATGVGSETSGLERREELLNCISSMSKSTEEFRLGLDSLSKRVGDFFQVVLTGRDALLCNLRISGGIKVAAEVRS >PAN45445 pep chromosome:PHallii_v3.1:9:7062409:7064308:-1 gene:PAHAL_9G119800 transcript:PAN45445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTGLHEDLLDLVVVRLPSFDLLRFRAVCASWRAAVAAFTARRGQPQPDRPWLLLPTDVAADHDDGRLLVCRDREVPVATLPTRLGRVTSRRFVPLGSARGAIVAADDLGEMHLLDPVTGVRRPLPPVATLPLVARVEGLQVHHRGGGVAPVDALIQKAVPVPTPGGGVMVLAIYRQLHHRNQWATARPGDRSWKSVAPTSIPAVVDVVVHRGQLYANTRYGMMYVFPELHRLGSASPEIIPSVTRRPSAYVERSFLVASPRGELMQVELLRPVAAAGGEGFVVRVLDECGETWEETEDIGDAAVLVDAAGAVSASTAECPTLRPNTVYFAVDLEGETRVWAYSLAGKHKRIEVVEALPTADGYRPPCFWFAPVYSQP >PVH31681 pep chromosome:PHallii_v3.1:9:13579808:13580795:-1 gene:PAHAL_9G210200 transcript:PVH31681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPDLKPSSKTRAHLKQQKSESEESSSTCSSEAKMTTARGVATMAMFLLVALSASHMASSLRLGVCRASGYLPGRSGNCEKSNDPDCCEDGKSYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSVGMVDITWSEE >PAN51410 pep chromosome:PHallii_v3.1:9:70466329:70468157:-1 gene:PAHAL_9G592100 transcript:PAN51410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >PAN44443 pep chromosome:PHallii_v3.1:9:2401776:2404033:-1 gene:PAHAL_9G043400 transcript:PAN44443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGASMDAISLDDWELLPDYRSSFFLEEDCSNRGHGSVGGKDQLLHGAELVVIDMDHFAAASHPPPYDCIPDEEEDKEPILLLPSQDACVHDPATEFKDTLVVPAEPKQEELVSKVTEILIYEEEDHDEMVVRSPARVKEADQEEVLVEAAAPDDQCAGEEEGVNKTGLSVGNLRVNGVGALCSFGVAAATFFIFLLGGKQQQKRQDHKIHRQMYAGDERIQQVVQQASRLNQTMSSVMGGASSARASISFGGYYQGF >PAN44429 pep chromosome:PHallii_v3.1:9:2338212:2341862:1 gene:PAHAL_9G042300 transcript:PAN44429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLAVESAAGEAPAAVAPERKEETLEEVLARHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEIARLSAELEAKHAVELASFGYKPSGSSEKGNLDNLVKAIAGVSMSSNLDSAKPSKGARRREKKAKEEAAREQRIQEEQSNLVSDRMLENEKLESKLEPLGLTIQEIKPDGHCLYRAVENQLLLHSKGTARYSYQDLRQMTAKYMREHADDFLPFFLAEGKAETGSDPSESFEKYCQEIESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGQEYKSGSGGSSAGDVSIRLSFHRHAYGLGEHYNSVIPTES >PAN46832 pep chromosome:PHallii_v3.1:9:14164143:14167825:1 gene:PAHAL_9G218200 transcript:PAN46832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILAQERLLGFALGSVSMGGFVLHQRRAIYRSLAEADGSPYSYQPGEITSSRSSTELAHVWNKAVDETLGRLVVYLSSRGW >PVH32714 pep chromosome:PHallii_v3.1:9:62248820:62250028:-1 gene:PAHAL_9G467400 transcript:PVH32714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRAGVVSAARRPPCSDSPRPSWGSPQSSSSTRLSWGPTRSAASTGVRLRQRHRAALAGRGSARHRGQRLLRRRRRGQRQEGAVCAALAWFLVVAAAVMFMHVRRVAECGRDGGLHRGRAAARAQQRRPRLRLRPHRGQGRSLRVGVDRGRRRHRLRDRGLRRRHPAEGPDDHASWASRWGSRSGRRSRHTRLPWLTLRTVATAPSNLQGQRELVGSRLQQKDGHER >PVH32264 pep chromosome:PHallii_v3.1:9:52317768:52320753:1 gene:PAHAL_9G357100 transcript:PVH32264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEERRSRPRGSHSARSLLAFLGPGPGGSSEEMSSTGTTCCTYSFAVEVEDGAPQAQRSSPVICKDYTMAEAAVHLTVVAGGQPDQERYTCNGSVDIRGNPASKKRTGNWRACYSILGGEFCGALAYYAVGTNLVSYLTKVQKQSNVAAASNIASWQGTCYLTPLLGAFLADSYWGRHRTIVISLMIFTIGMVLLTLSAVVPASMHTMVIPQELLPSLGLFLTALGLGGMWPCVPTFGADQFDDTDATEKVQKELYYNWYFFAVNGGFFIASTLLVWVQDNYGWGWGFGIPTLFSATGIAGFLACMKLYRYQKPGGSALTRICQVVVAATRKINVDVPDDRSLLYEMPGKESSIVGSRKLMHTEGLRFFDRAATITASDETSSNIPSPWKLCTVTQVEELKILARMLPVLLAGIIFNTAEAFFPLFIEQGQVMVNHIDGFSIPPATLTTFNCLCILILAPSYNKVLVPVLSRITGMKRGLSELQRIGVGMVFAMLSLVSAALVEMVRLDIAKKGGLVHHNSAVPMNILWQGPQYFFIGVAKVFTVVGFIEFAYEQSPDAMRSLCQACALIMITLGSYLISIMLKFINSVTGENGSHGWIPENLNEGHLDHFFWLMAGLQLLNVLVFAYSATRYKRKLAS >PVH32453 pep chromosome:PHallii_v3.1:9:56887141:56888067:-1 gene:PAHAL_9G398800 transcript:PVH32453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTTVGSAAGTTAPATVPPASRVRQLLDEHRPRETVDNMALVIMHQTYGALLEILGTEAPRSGDGHVQVTRPIDPADPASPLLSANASATHCCIRPIDGLHGGGAAPDYCYAASPEQEGRAQRYRLPRATITASPGTLHLARRDVAAGAGPRGDHWTGVLGVLEAIQARVDAAIRLGASLLRMARDSGCQQMQIPKVREIAEVRVALEKMRTAVNLDAIVRRRRRCQMRRPLIQEEVACRADVVDRAHDDAEALAKRLSTALYVGQKRGRRVEEMSCRHADDAAEVLAKRLRKLHV >PAN44166 pep chromosome:PHallii_v3.1:9:1245204:1245920:1 gene:PAHAL_9G021800 transcript:PAN44166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRRARPDSYALASEGTSLFVLLLCFSALHALPASVSPGASSIVSTCMTGPYPELCVHELRQRLQDIQTEIASAAPKQGARIAGAPGRGRRWWRWPCRRRRRPARCRRPSWRATSRGSTPACPTSASAWATNCSVTMSTAMQKLHGASAALRSGATDVAKNLASRSFTDVSSCSVSCEDLSGDVRLIIVQSLTEFQKMLQIAITFIKRRKSPTTRFPYRGPDMLSFKEHEHLN >PAN50614 pep chromosome:PHallii_v3.1:9:66741901:66744180:1 gene:PAHAL_9G532900 transcript:PAN50614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGTSTLGGGGGGGGGGGPSGSGSGGSGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPPPLPAPPQMPMPGPFSIADLPSSTSVPTTVDLSALFDPPPHAQPPQWAVQQQHHHHQHQHQLRQPAPYGAPVRGGSGMAETSGAGGGDLQALARELVDRHRSGGVKLEHPPPPPPHSR >PAN45410 pep chromosome:PHallii_v3.1:9:6829881:6832515:-1 gene:PAHAL_9G116600 transcript:PAN45410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSAKSDYECLRDARISENMARMEMLGLLRCAGELSDIASASSHRAAGSATPRNRKPPRPRVMSMTPLRRSSRLAAATPTGSTSTNRRSARLNGQSVQHKALPPKGALSKLAAATAAETDEDDDEENLALVVDKKRVQALQERRCDSKGRGGVYDPVLGICCHFCRQKKLCSEEDCKRCGEGDLKQPCLGKTECSSCHSSNGILCRACLKVRYGEAAMEEVRGNKNWMCPHCIEEKGIKKFWICNSSFCLKKRKIPPTGIAIYNAREQGYESVAHLLMDRLKRQAF >PAN45411 pep chromosome:PHallii_v3.1:9:6829882:6832514:-1 gene:PAHAL_9G116600 transcript:PAN45411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSAKSDYECLRDARISENMARMEMLGLLRCAGELSDIASASSHRAAGSATPRNRKPPRPRVMSMTPLRRSSRLAAATPTGSTSTNRRSARLNGQSVQHKALPPKGALSKLAAATAAETDEDDDEENLALVVDKKRVQALQERRCDSKGRGGVYDPVLGICCHFCRQKKLCSEEDCKRCGEGDLKQPCLGKTECSSCHSSNGILCRACLKVRYGEAMEEVRGNKNWMCPHCIEEKGIKKFWICNSSFCLKKRKIPPTGIAIYNAREQGYESVAHLLMDRLKRQAF >PAN48466 pep chromosome:PHallii_v3.1:9:55468608:55472923:-1 gene:PAHAL_9G383800 transcript:PAN48466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEPYKQTGPCCFSPDSRFLAVAVDYRLVVRDVLSLKVVQLFSCVDKISSLEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAHARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKYASRGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKSVAWSPCGQFLAVGSYDQVVRTLNHLTWKTFAEFTHTASIRSPCNAAIFKEVDDPWQLDMSELCLSEGFSRNMQDNGAENGTEGGGSRVKYSVMDVPITLPSTKPATDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLELAAVLVQKDPIRAAAWDPTCTRLVLCTESSHLYMWTPSGACCVNIPLPNFRIVDLKWNSDGSCLLLKDREFFCCAAIVSALPEEGPDRSDESSEDDE >PAN51418 pep chromosome:PHallii_v3.1:9:68644037:68644863:1 gene:PAHAL_9G561900 transcript:PAN51418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRRCVHAPVARTCGCRSAAPDLLLALVLVLVLGAGKKTVARRGQRELPCRCPSPPPMAALLDSGGGPQPASLLSSTHAGRPSHAVKLAVK >PVH32655 pep chromosome:PHallii_v3.1:9:61279012:61282000:-1 gene:PAHAL_9G452200 transcript:PVH32655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEHTRTGLLLTEKTSRTSEKRIYIASCSFLFPSPSFTMASFALLLVVLLVLVISWLWDYVMLRLIWKPQIITNKLRKHGIPGPPYKFFKGCNEDIKRMKEKADGLVLDVHDHNYLPRVASHYLKWRDQYVLALLGKGLVFVEGIDWVRHRRVINPAFAMDKIKMMTKTMVACAQNMVKELEDQASKNKNGETQVELEEQFHELTADIISDTAFGSSYKLGIEDFHAQKELQEIVVETRLDVQIPGSNYLPTASNRRKWKLERKLRGTLVQIIQSRLGSEGSLYGNDLLGLMLEACIPTEQGGKQQQISLSMDEIIHECKTFFFAGHEITALLLTWTVFLLSVYPEWQERLRKEVLREFGKDDPSGHNLSKLKEMTMILLETLRLYSPAVFTQRKTTTDMAVGEIKIPKGFGIIIPFAIMHRDKKVWDDNADEFNPLRFQNGVTKAAKVPHALLSFTIGPRSCIGQDFAMMEAKSVMAVILQKFSFTLSPDYVHAPVDLLTLQPKFGLPVILRRLDVCS >PVH32654 pep chromosome:PHallii_v3.1:9:61279012:61282000:-1 gene:PAHAL_9G452200 transcript:PVH32654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEHTRTGLLLTEKTSRTSEKRIYIASCSFLFPSPSFTMASFALLLVVLLVLVISWLWDYVMLRLIWKPQIITNKLRKHGIPGPPYKFFKGCNEDIKRMKEKADGLVLDVHDHNYLPRVASHYLKWRDQYGKGLVFVEGIDWVRHRRVINPAFAMDKIKMMTKTMVACAQNMVKELEDQASKNKNGETQVELEEQFHELTADIISDTAFGSSYKLGIEDFHAQKELQEIVVETRLDVQIPGSNYLPTASNRRKWKLERKLRGTLVQIIQSRLGSEGSLYGNDLLGLMLEACIPTEQGGKQQQISLSMDEIIHECKTFFFAGHEITALLLTWTVFLLSVYPEWQERLRKEVLREFGKDDPSGHNLSKLKEMTMILLETLRLYSPAVFTQRKTTTDMAVGEIKIPKGFGIIIPFAIMHRDKKVWDDNADEFNPLRFQNGVTKAAKVPHALLSFTIGPRSCIGQDFAMMEAKSVMAVILQKFSFTLSPDYVHAPVDLLTLQPKFGLPVILRRLDVCS >PVH32653 pep chromosome:PHallii_v3.1:9:61278761:61282028:-1 gene:PAHAL_9G452200 transcript:PVH32653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMITTTSQGLHHTTSNGGINMMMTKTMVACAQNMVKELEDQASKNKNGETQVELEEQFHELTADIISDTAFGSSYKLGIEDFHAQKELQEIVVETRLDVQIPGSNYLPTASNRRKWKLERKLRGTLVQIIQSRLGSEGSLYGNDLLGLMLEACIPTEQGGKQQQISLSMDEIIHECKTFFFAGHEITALLLTWTVFLLSVYPEWQERLRKEVLREFGKDDPSGHNLSKLKEMTMILLETLRLYSPAVFTQRKTTTDMAVGEIKIPKGFGIIIPFAIMHRDKKVWDDNADEFNPLRFQNGVTKAAKVPHALLSFTIGPRSCIGQDFAMMEAKSVMAVILQKFSFTLSPDYVHAPVDLLTLQPKFGLPVILRRLDVCS >PVH32652 pep chromosome:PHallii_v3.1:9:61279012:61280725:-1 gene:PAHAL_9G452200 transcript:PVH32652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIKMMTKTMVACAQNMVKELEDQASKNKNGETQVELEEQFHELTADIISDTAFGSSYKLGIEDFHAQKELQEIVVETRLDVQIPGSNYLPTASNRRKWKLERKLRGTLVQIIQSRLGSEGSLYGNDLLGLMLEACIPTEQGGKQQQISLSMDEIIHECKTFFFAGHEITALLLTWTVFLLSVYPEWQERLRKEVLREFGKDDPSGHNLSKLKEMTMILLETLRLYSPAVFTQRKTTTDMAVGEIKIPKGFGIIIPFAIMHRDKKVWDDNADEFNPLRFQNGVTKAAKVPHALLSFTIGPRSCIGQDFAMMEAKSVMAVILQKFSFTLSPDYVHAPVDLLTLQPKFGLPVILRRLDVCS >PVH30886 pep chromosome:PHallii_v3.1:9:456598:457416:-1 gene:PAHAL_9G006100 transcript:PVH30886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHIRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKWGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRNAWRLAINVPEP >PVH32367 pep chromosome:PHallii_v3.1:9:55792809:55799565:1 gene:PAHAL_9G386500 transcript:PVH32367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQKEEMMEQAGGAVATGGQGPTEKNNDANNGEPEAAVSAACAPKAAAVPAALPRHRRSKSASSDRNAEACKHGPAHGAAEQRCGQAQGAATPGSSKNPPDARRSCATAAGLSVHQGPRDHRPNASPNHRVSLENDQVRQLQLHLHQERSIRVMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCMSGPSSGQSSGISSPAHTKNITTRARRQPSIISSAFCSSKKLPIQPFQIMESLSESGRTKNMVKAKIKHQSFSSETLDIHPTAFPPDPKKLPYSGSSSLARTLKDHLYQCPSKISEEMVRCMASIYYLLRTEAPEKPEKARSPFLSRSSTNVILPRRVNGEENSSSNNKCTVEIASISVDKNQMPDVSYAITHYRLLVEQLERVDLSMSENSIKLAFWINVYNSLIMHAYLAYGVPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCFRSPRLGRWFESILSTAMRKKCADEKQLVQLKFGLLDCQPLALFALCTGASSDPMLRVYTAKNVMEELERAKREFLQATVVVRKSKKKVFLPRLVERYAREASLCPDDVLPWAQREGGAAADGRAPQDDAVQRGAGGRRKAAQAVEWLPYAARFRYAFARSMVDKPHC >PAN48510 pep chromosome:PHallii_v3.1:9:55792809:55799565:1 gene:PAHAL_9G386500 transcript:PAN48510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQKEEMMEQAGGAVATGGQGPTEKNNDANNGEPEAAVSAACAPKAAAVPAALPRHRRSKSASSDRNAEACKHGPAHGAAEQRCGQAQGAATPGSSKNPPDARRSCATAAGLSVHQGPRDHRPNASPNHRVSLENDVRQLQLHLHQERSIRVMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCMSGPSSGQSSGISSPAHTKNITTRARRQPSIISSAFCSSKKLPIQPFQIMESLSESGRTKNMVKAKIKHQSFSSETLDIHPTAFPPDPKKLPYSGSSSLARTLKDHLYQCPSKISEEMVRCMASIYYLLRTEAPEKPEKARSPFLSRSSTNVILPRRVNGEENSSSNNKCTVEIASISVDKNQMPDVSYAITHYRLLVEQLERVDLSMSENSIKLAFWINVYNSLIMHAYLAYGVPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCFRSPRLGRWFESILSTAMRKKCADEKQLVQLKFGLLDCQPLALFALCTGASSDPMLRVYTAKNVMEELERAKREFLQATVVVRKSKKKVFLPRLVERYAREASLCPDDVLPWAQREGGAAADGRAPQDDAVQRGAGGRRKAAQAVEWLPYAARFRYAFARSMVDKPHC >PVH31683 pep chromosome:PHallii_v3.1:9:13592234:13594035:1 gene:PAHAL_9G210500 transcript:PVH31683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >PAN49507 pep chromosome:PHallii_v3.1:9:61424983:61428080:1 gene:PAHAL_9G454600 transcript:PAN49507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPSLNRVLVEKLLQPKKSAGGILLPETTKQLNAAKVIAVGPGDRDRDGKLIPVSLNEGDTVLLPEYGGTEVKLAEKEYLLFREHDILGKLEE >PAN46178 pep chromosome:PHallii_v3.1:9:10442053:10444468:1 gene:PAHAL_9G167600 transcript:PAN46178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGESLRALSTIPAAFTDRDMDGLYGNVGAAAASSSSPENSSSAPSRKRRRNNNGGLAFNAAAVPACCACQNPPPGLLQSCELDLCLTPASPMAGSGRRGCGGGGASDEYSATTTCEDLQATGDVEAARAPALLNLFN >PAN45002 pep chromosome:PHallii_v3.1:9:4994214:4996354:1 gene:PAHAL_9G087000 transcript:PAN45002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTGPPAAAASAAPGARVDDAGAGAERPVAKELKVVVAVDASEESLHALSWALDNIVRCHPNASLVVVHAQHAVDHFVYPIAAHGIGIAYAPPSAVESMRKAQEENSRRILARALDLCKERQVDATAAVVEGDAKEAICQAVEQMQAGLLVLGSRGLGKIKRAFLGSVSDYLSHHACCPVLVVKPTKAQAK >PAN45001 pep chromosome:PHallii_v3.1:9:4994214:4996354:1 gene:PAHAL_9G087000 transcript:PAN45001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTGPPAAAASAAPGARVDDAGAGAERPVAKELKVVVAVDASEESLHALSWALDNIVRCHPNASLVVVHAQHAVDHFVYPIAAHGIAYAPPSAVESMRKAQEENSRRILARALDLCKERQVDATAAVVEGDAKEAICQAVEQMQAGLLVLGSRGLGKIKRAFLGSVSDYLSHHACCPVLVVKPTKAQAK >PVH31717 pep chromosome:PHallii_v3.1:9:14326923:14329886:-1 gene:PAHAL_9G219600 transcript:PVH31717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPPRIPLRRLLSHLQRRAPRPPAPQSRPLSYSPCSAALSAAATESEEEAVVGGDAPLAPPRSGGAGGAPPGPLWAREGALGENEAELGRKASIVARFRLCHELLWQRRWREMRGELAQMVGEQGPDSALALCDILSNGFREWHSSSIIWDALANSYARAQMIDDALYVLSKMSSLNIQISVSTYDSLLYSLRKTDMALEIFEKMESCGVSPSDYSHSILIDGLCKQDKIGEALSFFQDARKEGKFKPLEMTFNILMSALCNRSFIQNAKSILCLMLKYGLNPNRYTYSTIIHGLCKVGSIREAFDIFQSVTEEGMELDIVTYNSLINGFRLHGHTREIPRMIEMMRKQGFEPDLVTYTILIAGHCEGGDVEEGMKIRKDILDRGLVLNIVTYSVLINALFKKGLFYEVENLLHEICSIGLDMDVIAYSILIHGYCKLGEIGKALQVCNVMCSSQRVMPTSLNHVSILLGLCEKGFLDVARLYLENVATKYQPTDVVLYNVVINGYAKVGDIGNAVQLYDQIITAGMCPTIVTCNSLLYCYCKIGDLHAAESYFRAIQISDLQPTTVTYTTLMDALAEAGKVHTMLSVFKEMTGKGIKPNTITYSVVVKGLCKQLMFHDARNVLDDMYVQGFNADPIPYNTLIQGFCEARDVKMAFHIYELLVCRGLMPTPVTYNLLINVLCSKGLVIHAEMELESFRKQGAELRKFAYMTLIKAQCAKGMPYKAIMWVGKLLDAGFEASIEDFSAAINRLCKRQFTKEALMLLPIMLSVGVYPDIQLYYVLGTAIQKSNVLFYLPILQALATKTGL >PAN46851 pep chromosome:PHallii_v3.1:9:14324823:14330089:-1 gene:PAHAL_9G219600 transcript:PAN46851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPPRIPLRRLLSHLQRRAPRPPAPQSRPLSYSPCSAALSAAATESEEEAVVGGDAPLAPPRSGGAGGAPPGPLWAREGALGENEAELGRKASIVARFRLCHELLWQRRWREMRGELAQMVGEQGPDSALALCDILSNGFREWHSSSIIWDALANSYARAQMIDDALYVLSKMSSLNIQISVSTYDSLLYSLRKTDMALEIFEKMESCGVSPSDYSHSILIDGLCKQDKIGEALSFFQDARKEGKFKPLEMTFNILMSALCNRSFIQNAKSILCLMLKYGLNPNRYTYSTIIHGLCKVGSIREAFDIFQSVTEEGMELDIVTYNSLINGFRLHGHTREIPRMIEMMRKQGFEPDLVTYTILIAGHCEGGDVEEGMKIRKDILDRGLVLNIVTYSVLINALFKKGLFYEVENLLHEICSIGLDMDVIAYSILIHGYCKLGEIGKALQVCNVMCSSQRVMPTSLNHVSILLGLCEKGFLDVARLYLENVATKYQPTDVVLYNVVINGYAKVGDIGNAVQLYDQIITAGMCPTIVTCNSLLYCYCKIGDLHAAESYFRAIQISDLQPTTVTYTTLMDALAEAGKVHTMLSVFKEMTGKGIKPNTITYSVVVKGLCKQLMFHDARNVLDDMYVQGFNADPIPYNTLIQGFCEARDVKMAFHIYELLVCRGLMPTPVTYNLLINVLCSKGLVIHAEMELESFRKQGAELRKFAYMTLIKAQCAKGMPYKAIMWVGKLLDAGFEASIEDFSAAINRLCKRQFTKEALMLLPIMLSVGVYPDIQLYYVLGTAIQKSNVLFYLPILQALATKTGL >PVH31716 pep chromosome:PHallii_v3.1:9:14326033:14330089:-1 gene:PAHAL_9G219600 transcript:PVH31716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPPRIPLRRLLSHLQRRAPRPPAPQSRPLSYSPCSAALSAAATESEEEAVVGGDAPLAPPRSGGAGGAPPGPLWAREGALGENEAELGRKASIVARFRLCHELLWQRRWREMRGELAQMVGEQGPDSALALCDILSNGFREWHSSSIIWDALANSYARAQMIDDALYVLSKMSSLNIQISVSTYDSLLYSLRKTDMALEIFEKMESCGVSPSDYSHSILIDGLCKQDKIGEALSFFQDARKEGKFKPLEMTFNILMSALCNRSFIQNAKSILCLMLKYGLNPNRYTYSTIIHGLCKVGSIREAFDIFQSVTEEGMELDIVTYNSLINGFRLHGHTREIPRMIEMMRKQGFEPDLVTYTILIAGHCEGGDVEEGMKIRKDILDRGLVLNIVTYSVLINALFKKGLFYEVENLLHEICSIGLDMDVIAYSILIHGYCKLGEIGKALQVCNVMCSSQRVMPTSLNHVSILLGLCEKGFLDVARLYLENVATKYQPTDVVLYNVVINGYAKVGDIGNAVQLYDQIITAGMCPTIVTCNSLLYCYCKIGDLHAAESYFRAIQISDLQPTTVTYTTLMDALAEAGKVHTMLSVFKEMTGKGIKPNTITYSVVVKGLCKQLMFHDARNVLDDMYVQGFNADPIPYNTLIQGFCEARDVKMAFHIYELLVCRGLMPTPVTYNLLINVLCSKGLVIHAEMELESFRKQGAELRKFAYMTLIKAQCAKGMPYKAIMWVGKLLDAGFEASIEDFSAAINRLCKRQFTKEALMLLPIMLSVGVYPDIQLYYVLGTAIQKSNVLFYLPILQALATKTGL >PAN45004 pep chromosome:PHallii_v3.1:9:4997283:5006290:1 gene:PAHAL_9G087200 transcript:PAN45004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOUSLED [Source:Projected from Arabidopsis thaliana (AT5G20930) UniProtKB/Swiss-Prot;Acc:Q39238] MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVSVPSSPPHHSMAASAPAVAFMDQEELPETSSSDDDNGEEFLIQKNTLKRPRSPDGDHILALGNFEGSANEAAKILDVMDSRPSSDNTNRKKQGRGRGRAGTGRGRGSKADQTRATTSASSVVTNGQPDKLTNKEPRLSVQPGHDDKAALQEELSILRGKVAFLEEELAKSHQEATNYHQLSDRLAKELKDLKDHDQQMRSKQMKVLSDLLIAVSKAERQEARMRIRQESFRLGNVGVMRAGTIISETWEDGQAIKDLNSHLKSLLETKETIERHRKSLKKRQSDKGDGSDAETSMSEEDILLQDEICKSRLTSIKREEEQYLKERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRGQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKDLIRRCLTYNQSERPDVLTIAQDPYLSYAKR >PAN48733 pep chromosome:PHallii_v3.1:9:57085685:57089239:1 gene:PAHAL_9G401700 transcript:PAN48733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFVSLAVGVHLSPYLPSVPHLLATSFFVPGPGAASAAASSSGSSCVPFLHRVSWSDAASAGAAANGLGGGTARTWSWPPSLASACGFARLSRDDASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPELFRRHSDYRAAVPARGISVDFVWAPFESNLTRLLREDLRLAPRVPDVLVLGSGLWHMLHVTDAASYGDALASVAGAAKSLRSPLPVPPPHMFWLGLPHLVNHMLNTDAKRAHMNGTMLRTYDHEVDQRGLVRGDGGPFLLLDVGKLTQGCGQHCTADGMHYDGEVYDAVLHIMLNALVIESQQRI >PVH33241 pep chromosome:PHallii_v3.1:9:71284360:71305131:-1 gene:PAHAL_9G605400 transcript:PVH33241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MASSSAAASAAALEAVQVLVASLADDSLVARDAALAALRDIAPLNPILVLDCCATVSRGGRRRFGNMAGVFLVMASAVRALDHSSAEREFLRKIAKIATAEIVSSKEFIVDWQRAGASLLVAIGSHDPDLMMEEIFLHFSGPTSALPAMLQILADFASTEALQFTPRLKDVLLRVLPILGSVRDGQRPVFANAFKCWCQAAWQYLGDAPSELPFDTDVMSFMNSVFELLIKVWTGSRDLKVRSSSVEALGEMVGLVTRSQLKSALPRIIPTILDLCRKDQEVAFVASHSLHNLLNASVLSESGPPLLDFEELTVILVTLLPLVSVNNGKDEHYASKGLKTYNELQRCFLVIGVAYPEDLCMFLLNKCKSKDEASIVGALSTIKHLLPRLLESWHTKQAPLVEIVKSLLEHQSLAIRMALAELIVVMASHCYLSGQPAELAVEFLVRHSAITDEDLNDLGTLKNEYFQDKRFEMKVSLAGLSELRVVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATVCKCITELCRHKLSQTNPLYTEFKASNETPNPEDLFARLVVLLHNPLARGQLATQILTVLCYLGPLFPRNLSLFWQDEVPKMKAYISDPEDLKQDTTYQEIWDDMIINFLSESLDVVNDTEWVISLGDAFARQYDLYAISDGHAALLHRCLGMLLQKVDDRVYVSEKIDWMCRHSSMSVPINRLGLAQGIGLVAASHLDTVLEKLKNILDNAGLSALQRILSFFSFGAKMEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRLLHVQNPTAKQAVITAIDLLGRAVITAAEMGISFPLKRRDQLLEYVLTLMGRDQSNDLTDFNSELLYTQSVALSACTTLVSLEPRLPMETRNRVMKATLGFFALPIEPSSIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSLEHQRRRGCVAVQEVLVRFRNLCSGGFGALGSYPSFTMNKQIDQGGSRSLSSLPSAFVLPSRDSLSLGERIMAYLPRCADTDAEVRKAAIQIIALFFNISLSLPKQKAYAYDIDLESSYSALSSLEELVSIVRREASVDQMEVFQRVVSSVCILLSKDELVVLLHSCTLATCDKVKQSADASIQAIIMFITRRGKELREADVSRTTQSLLSSAISFTDKDSRQEVLNAISCLAENTNHIVVFDEVLSVAGRDICTKDIPRIRGGWAIQDVFYAFSQHKELALLFLEYTLSILHKEPVTINSSEKGETTSESSADDCILQATMFALNAFMRGGGKIGKQAVEKSYPSVLSGLILKLGSLHSLAELGRNELLRSLLIAFQSFCECVGDVEMGKIFARDGEQTEKDKWIDLVQEVACSSSVKRPKEVLPTCVILSKALNRNQRAEREAAAAALSEFIRHIEEELTLLEQLVEELCQHVSDDSLTVRSLCLRGLVQIPESHMVKYIQQVLGVILALLEDPTESVQLTAVQCLLTVLNLSEQDAVDPILINLLIRLRNLQVSMNTKMRSNAFAAYGALSAYGVGLQRTAFIEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSLLLSKQYFTSDRRSDYEDFIRDLARQLCRLSPARVDSYLESAIQAFDAPWPVIRANAVCLVSCMLSFLDDQRFIAPYFSQVFATFVGRMSQSPDAIVRAAAFSALGILIKRSNMLRSLISRFDRAESSRNSQGGDSNTKAPSELQEETVGRPNDAQVEQ >PVH33242 pep chromosome:PHallii_v3.1:9:71284687:71304966:-1 gene:PAHAL_9G605400 transcript:PVH33242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MASSSAAASAAALEAVQVLVASLADDSLVARDAALAALRDIAPLNPILVLDCCATVSRGGRRRFGNMAGVFLVMASAVRALDHSSAEREFLRKIAKIATAEIVSSKEFIVDWQRAGASLLVAIGSHDPDLMMEEIFLHFSGPTSALPAMLQILADFASTEALQFTPRLKDVLLRVLPILGSVRDGQRPVFANAFKCWCQAAWQYLGDAPSELPFDTDVMSFMNSVFELLIKVWTGSRDLKVRSSSVEALGEMVGLVTRSQLKSALPRIIPTILDLCRKDQEVAFVASHSLHNLLNASVLSESGPPLLDFEELTVILVTLLPLVSVNNGKDEHYASKGLKTYNELQRCFLVIGVAYPEDLCMFLLNKCKSKDEASIVGALSTIKHLLPRLLESWHTKQAPLVEIVKSLLEHQSLAIRMALAELIVVMASHCYLSGQPAELAVEFLVRHSAITDEDLNDLGTLKNEYFQDKRFEYSTSIYQMKVSLAGLSELRVVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATVCKCITELCRHKLSQTNPLYTEFKASNETPNPEDLFARLVVLLHNPLARGQLATQILTVLCYLGPLFPRNLSLFWQDEVPKMKAYISDPEDLKQDTTYQEIWDDMIINFLSESLDVVNDTEWVISLGDAFARQYDLYAISDGHAALLHRCLGMLLQKVDDRVYVSEKIDWMCRHSSMSVPINRLGLAQGIGLVAASHLDTVLEKLKNILDNAGLSALQRILSFFSFGAKMEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRLLHVQNPTAKQAVITAIDLLGRAVITAAEMGISFPLKRRDQLLEYVLTLMGRDQSNDLTDFNSELLYTQSVALSACTTLVSLEPRLPMETRNRVMKATLGFFALPIEPSSIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSLEHQRRRGCVAVQEVLVRFRNLCSGGFGALGSYPSFTMNKQIDQGGSRSLSSLPSAFVLPSRDSLSLGERIMAYLPRCADTDAEVRKAAIQIIALFFNISLSLPKQKAYAYDIDLESSYSALSSLEELVSIVRREASVDQMEVFQRVVSSVCILLSKDELVVLLHSCTLATCDKVKQSADASIQAIIMFITRRGKELREADVSRTTQSLLSSAISFTDKDSRQEVLNAISCLAENTNHIVVFDEVLSVAGRDICTKDIPRIRGGWAIQDVFYAFSQHKELALLFLEYTLSILHKEPVTINSSEKGETTSESSADDCILQATMFALNAFMRGGGKIGKQAVEKSYPSVLSGLILKLGSLHSLAELGRNELLRSLLIAFQSFCECVGDVEMGKIFARDGEQTEKDKWIDLVQEVACSSSVKRPKEVLPTCVILSKALNRNQRAEREAAAAALSEFIRHIEEELTLLEQLVEELCQHVSDDSLTVRSLCLRGLVQIPESHMVKYIQQVLGVILALLEDPTESVQLTAVQCLLTVLNLSEQDAVDPILINLLIRLRNLQVSMNTKMRSNAFAAYGALSAYGVGLQRTAFIEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSLLLSKQYFTSDRRSDYEDFIRDLARQLCRLSPARVDSYLESAIQAFDAPWPVIRANAVCLVSCMLSFLDDQRFIAPYFSQVFATFVGRMSQSPDAIVRAAAFSALGILIKRSNMLRSLISRFDRAESSRNSQGGDSNTKAPSELQEETVGRPNDAQVEQ >PAN45571 pep chromosome:PHallii_v3.1:9:7654762:7656398:1 gene:PAHAL_9G128100 transcript:PAN45571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTMYNAILVALLAVSILQLLRRGGSRRRPPGPRTLPVIGSVHHVVNTLVHRRLRDLAAAHGPIMMLRIGPMPLVVVTSRELAREVLKVQDPNFANRPRLLVGGICGYGWADIIFAPTSDYWRRIRKLCIHEVLSPRRILSFQGIREEEVRRQVEAIRAAAAAGAPVNLTRMVYDISSRTISRSSFGEVRDDMPVFQDAIKRVIGLSSGFNVPDLFPRLREVLGEASGMKRKLREIHRTFDRILVDIIEKRRRERAARVAAGKEIVDENVVDVMLTLQQQNDNSWGFPVTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIVRNPRVMRKLQDEIRSAFRGKETITETDLRNSDLKYLKLVMKEAIRLHPAAPLLVPRESIATAELGGYVVPGGSRIVVNAWAISRDPRYWKNPEEFRPERFAEDGAPDFHGLHFEFTPFGAGRRMCPGYNYGLAGMQLALLQLMYHFDWRLPPGEDEVDMEEAMGLGVRRKNPLMLCATPYVPAPAVSAG >PAN47421 pep chromosome:PHallii_v3.1:9:21848051:21848894:-1 gene:PAHAL_9G267200 transcript:PAN47421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAPAGFRASAHTRAVAGRAAARSSARAPRRAARRRAPNFPAPPSRPPLVRCRRPFLGHGKLGGGGGAPNWAARRAPTFLGRGRLRGCAPARLCAHRSPLVCPRRARRSRGGSKWVGMVFLISEEHLDPHRSSMDPIYLQLQSNVDRICTDLFLSTIQTHAKFKLDVI >PAN47624 pep chromosome:PHallii_v3.1:9:21097597:21100633:1 gene:PAHAL_9G265200 transcript:PAN47624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRSRVVAAAPCDGDISSMPQGGSWLEVVGSGCRRRGRMGGVGNVGTIGNEATLISGVGCESTNPRWLMEKPLTKLAMARVERIRKGSLQFNEIVEEQGAHCADGGKLLMRVIWGKKKGKG >PAN47628 pep chromosome:PHallii_v3.1:9:21099183:21100633:1 gene:PAHAL_9G265200 transcript:PAN47628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGNVGTIGNEATLISGVGCESTNPRWLMEKPLTKLAMARVERIRKGSLQFNEIVEEQGAHCADGGKLLMRVIWGKKKGKG >PAN47629 pep chromosome:PHallii_v3.1:9:21099183:21100633:1 gene:PAHAL_9G265200 transcript:PAN47629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNVGTIGNEATLISGVGCESTNPRWLMEKPLTKLAMARVERIRKGSLQFNEIVEEQGAHCADGGKLLMRVIWGKKKGKG >PAN47626 pep chromosome:PHallii_v3.1:9:21097518:21100633:1 gene:PAHAL_9G265200 transcript:PAN47626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRSRVVAAAPCDGDISSMPQGGSWLEVVGSGCRRRGRMGGNVGTIGNEATLISGVGCESTNPRWLMEKPLTKLAMARVERIRKGSLQFNEIVEEQGAHCADGGKLLMRVIWGKKKGKG >PAN47630 pep chromosome:PHallii_v3.1:9:21097597:21100633:1 gene:PAHAL_9G265200 transcript:PAN47630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNVGTIGNEATLISGVGCESTNPRWLMEKPLTKLAMARVERIRKGSLQFNEIVEEQGAHCADGGKLLMRVIWGKKKGKG >PAN46078 pep chromosome:PHallii_v3.1:9:10027716:10030199:-1 gene:PAHAL_9G161400 transcript:PAN46078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLPFKVMISAKDEPDAPVSPAMDGLLRVHKRITDSSDGESGQPQRSAGNIGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSAVRIVENVPPVALNDDRVVEIQGESLGVHKAVELIAGHLRKFLVDRSVLPLFETHMKMHSMQREQPMPPPQHWGPPQPWGPPPNLPPGGPGFGGNPQFMPPRPQDNYYPPPDVPPVPMEKQPHYGISAYGREAPPSGVPAAGNQPPSHAGSQVTHNMHIPLAYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGTASQVQTAQQLVQNFMAEAAPPGPPPASNPPAPPVDPSYPSYPPPYGGAPSYGSSAAAGPPPQYNGGSYGGPTYPPSYGY >PAN46079 pep chromosome:PHallii_v3.1:9:10027716:10033772:-1 gene:PAHAL_9G161400 transcript:PAN46079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPVENFAGHDDGGMPGNPYDGEQPSPYEDVDKQYGGDLGNQYNEQPGAQYDDGSGNLYNEEQANLYSEETGNQYNEEPANSYQEELENAYSGDASQQDNSQVNVEDNRWPGWPGESVFRILVPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERAVMISAKDEPDAPVSPAMDGLLRVHKRITDSSDGESGQPQRSAGNIGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSAVRIVENVPPVALNDDRVVEIQGESLGVHKAVELIAGHLRKFLVDRSVLPLFETHMKMHSMQREQPMPPPQHWGPPQPWGPPPNLPPGGPGFGGNPQFMPPRPQDNYYPPPDVPPVPMEKQPHYGISAYGREAPPSGVPAAGNQPPSHAGSQVTHNMHIPLAYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGTASQVQTAQQLVQNFMAEAAPPGPPPASNPPAPPVDPSYPSYPPPYGGAPSYGSSAAAGPPPQYNGGSYGGPTYPPSYGY >PAN51765 pep chromosome:PHallii_v3.1:9:72209652:72211845:-1 gene:PAHAL_9G619000 transcript:PAN51765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLQKRPANYVPLSPVGFLPRANAVYGDRLSVVYGRVRFTWSQTYHRCRRLASALLALGVRRGDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDANAVATILRHSEAKLLFVDYDYVRLAGDALRLLADAGRPVPLVAVIDDLDAPTGVRLGELEYEGLVAHGDPEADLPPLADEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTTSLLLQWGVGTEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARPADIYRAIARHRVTHMCCAPVVFSILLEGDANKQQLEAPVHVLTGGAPPPAALLERVERIGFRVTHAYGLTEATGPALACEWRDQWDRLPLPERARLKARQGVSVLSLADADVKNADTMQSVPRDGKTVGEIVLRGSSVMKGYLNNPKANEEAFKGGWFLTGDVGVVHQDGYIEIKDRSKDVIISGGENICSKEVEEVLFRHPAVADAAVVAMPHPRWGETPCAFVVARDKAARVSEDDVLAFCSKRMARFMVPKKVVVVEALPRNGLGKVEKVKLRDVARKLAPTVPAQKPKGKTTTTTTTVGGRRDEQPVAHIMAVSRSRL >PAN48448 pep chromosome:PHallii_v3.1:9:55343595:55345505:1 gene:PAHAL_9G382700 transcript:PAN48448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVTAPPCHSRPSPLNPNSTRATPSSSSGKPNAVSTTRRHIANLDRLLVKPPPLPLPVQHRKAPAEAPRDGEATPDDRSSRGGLLNALNLSTLLPFTRKPAVDEMSPRSLAYMQRLLTLSPRLSPKGSIAGEWRRYHGEGGWEGLLDPLDHNLRRELLRYGDFVQAAYTAFHSMPSAAEAASHGQHRTLVLPDRSYRPTRSLFASSSLTIPPWAQRRSAPSWLTQRTSFVGYVAVCDNEREVRRMGRRDIAIVLRGTATCPEWAENLRASLVPLAGDDDASAPKVAKGFLSLYKTPGDHVPSLSAAIVEEVKRLMELYKGEELSITIVGHSLGASLALLAADELSACLAADTDDSATTDHHRPPPIAVVSFGGPKTGNRAFADRLQRERGVNVLRVVNVGDVVTRVPAPIAREGYVHAGGAELRLHSRDSPCLRPDAGPSCCHDLEAYLHLLDGFTGSGRPFRADASRSVARLLTYQRPSVKRAYVERARVLGFEPASPRTATANGACADGQYGYLASPT >PAN50431 pep chromosome:PHallii_v3.1:9:65898554:65902355:1 gene:PAHAL_9G519600 transcript:PAN50431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGATTQWDDIQRRLGNLPPKPEPFKPPPFAPKVDADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSILPITSSDFVREVSQAPSDIWVVVFLFKDGILECGLLQNCLEELATRYPGTKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYIGLQKFGGKRCTPESVALALCQSDPVLNDGHGGSDSSRDNVIEGVRRKFIEKVVAQHEEREEEDSD >PAN49622 pep chromosome:PHallii_v3.1:9:61792285:61793690:1 gene:PAHAL_9G460700 transcript:PAN49622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQVLAQASSNSSPPASPQPPRPRQQAPRKQERDLQHREAPAPPAMAAADEHQDLTRAFAGMGGLGVDEAALVAALGRWRRQPERRAQFRRGFPGFFSASAGAGIERCEDEYLRHLEDEFARFRDAAVLWALHPWERDARWAHYALHGAAHPRGVLVEVACTRAADDLLGARRAYQALHHRSLEEDVAYQVKDATAGLLVGLVTAYRYEGARVSEELAAEEAKALAAAVKAAPATASAARLVQNEQVVRILATRSKPQLRATFKIYKELHGKPLEEDFAGEPCLQEAVRCLDSPPKYFAEVIGRAFREDADKHAKATLTRAVVSRADADMEEIKDAYAKQHGAKLADAVAKNTNGHYKDALLAMIGK >PVH31474 pep chromosome:PHallii_v3.1:9:9533852:9534052:1 gene:PAHAL_9G154800 transcript:PVH31474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHEFEHHRENEMLAEWSHREGIPVQLAHDGLRIFIDL >PVH31664 pep chromosome:PHallii_v3.1:9:13099614:13106001:-1 gene:PAHAL_9G205100 transcript:PVH31664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVMELLETRSVARCTAVSRAWRGVATDDRLWAPKCAELMAGKAHIPRLTSIRTGSKLSTYSMAIMDGKRSRITKEDLCDHAWEYRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECAYTVITSFVGDGRIREHYVRINRWPPLKVSRKDDWSWELSNHLYRYNSIPEADKKGCSGPLFPVW >PVH31665 pep chromosome:PHallii_v3.1:9:13099728:13105697:-1 gene:PAHAL_9G205100 transcript:PVH31665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVMELLETRSVARCTAVSRAWRGVATDDRLWAPKIIFILLFVVIFFESYSYGFSAKNMLPKTLIMLIMPLVTEEDILKGTRVHSFVKLMMGHGLCAELMAGKAHIPRLTSIRTGSKLSTYSMAIMDGKRSRITKEDLCDHAWEYRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECAYTVITSFVGDGRIREHYVRINRWPPLKVSRKDDWSWELSNHLYRYNSIPEADKKGCSGPLFPVW >PAN50423 pep chromosome:PHallii_v3.1:9:65868197:65871997:1 gene:PAHAL_9G518900 transcript:PAN50423 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MGPMLSTQAISCGVLSLCFSFLSNAERRSSFMKWLCTFLKGSKPGEPNRRRPRITAGEEEDTLWQPPVRPKNDPTRNDNEELDRAIAESLAEDVKPPKERNHKGDSNDEDLARAIQDSLNMNPYTPYNPYAPSQAQPRGHRVCGRCKHEIGHGHYLSCMGIYWHPLCFRCCSCGHLIRETEFTLLGTDPYHKLCYKELHHPKCDVCLQFIPTNRSGLIEYRAHPFWGQKYCPLHEQDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLGSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVSSIFRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLNAEVEEGICQVMSYLWLESEILPASSRHAPSSSYASSSSSSYPPTSSKKGGISHTEKKLGEFFMHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >PAN51696 pep chromosome:PHallii_v3.1:9:69962964:69965702:-1 gene:PAHAL_9G581700 transcript:PAN51696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATCSRNRLSELLRCRGQGQWQFQCPYQLSPARGMTRGRSVKERSKKKRVHALEVAIERWKVLSKVLTVVEALKKEEEHVTPLKRLEILRPQLGLVKPHKVAHFVRRSPHLFEVCRDSRGVMWAGLSPQAEALVEEESRLLEEHSPTAAQYVTRMLMMSVDRRLPIDKIAHFRRDMGLPHDFRTRWVHMFPEQFRVVRLEDGEYLQLVSWNPNWAVTELEKNAAASAGDANASPPGELSLPFPMKFPPNFTSYYRFRGKAHHYVKTGNTEQFQKMTYLSPYAEAKGLTPGTPEFDKRAVAVMHEILSFMLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRLSVFLTEAYDGTELIEKCPLVRWREKLLQLTGYRGRMKNIGKFDASSDSEDYLLGSDDDAVLDIESENSDDILDGCSISDDSEMDLGDADELCGD >PAN44044 pep chromosome:PHallii_v3.1:9:783883:787002:1 gene:PAHAL_9G012900 transcript:PAN44044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYNFGLLELADGESGEAAVSVVIGKKKSEAAATKLADVADPAEPAAYDKPKYSYFTKLQHDNGVRKCQQELKRLREVLIKLREEEIKLKEKEGNEGHIKELFEEQRRLRQEQRKLRMDEAILVPQRKAFYEEHCIPLEEDERMKSGSSDFANADSGSNYDEISGNAYNNNNVSNCGSNDQVCDSGEHYSYGYDERQVERGHDNYNNGERQGNRQGQPRMKKVYMRKVKTSSDAGTEAEEKAEENVVSAKATEQKEANADNASESNKSAGGAAQDGSNNQQGAAAGERKLIRKERLNGSKKRKKKNAKKIMGNESEKVKKQDSEVDGSKKQADKQPLEEEKKTLAEYERMREEKKKSSEVPKTEVRKITAEEFKDLQMLEKKKLDDEKTVMKAEKAQPIVKEASKKKGTVEAEGKEATTKDAKPKKVAVPHQNLGFRPPKRVSYDQKAAVQNGDGASTYAYNGGSNGAPRDDYSGPGRRDGYNRGNDGHGYDGYQGNGRYRQQHQQGGQQQRRPADERYYGEHRNSAPLLDVENMSKFPAVPVASSAQSAALASASAPALAEASAPTST >PAN46376 pep chromosome:PHallii_v3.1:9:11478909:11482371:1 gene:PAHAL_9G181500 transcript:PAN46376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGFAVLAGGVLGYLRRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLCAFALTYVMGQRYLETSKIMPAGVVAGLSAVMSAFYLFKIATGGNHIPPKKE >PVH32321 pep chromosome:PHallii_v3.1:9:54148236:54149901:-1 gene:PAHAL_9G372000 transcript:PVH32321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESGVALFLTISAPAAVPTAPFVVTKTGGMVPSDVAKAAALLAGLVCPRLLGHPIHVTKMLPHAMSGANSSAPRFGPAGFVSVVLVPFLSCQTTLVIGALNFKDMNMVKLFKSFLAAGVICGVHHLDVVACHRMAKESGVALFLAISAPAAVPTAPFVVTKTSSKVPSEVAKAAALLAVLVCPRLLGHPIHVTNMLPHAMSGANSSAPRFGPAGFVSVVLAPFLSCQTTPVIDTLHFKDVNMVKLFKSFFGRWSHLRGAPLGEFAVKQ >PAN45919 pep chromosome:PHallii_v3.1:9:9251134:9251601:-1 gene:PAHAL_9G150400 transcript:PAN45919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDAAFALEIAVVAALVVLIVAIVVASSGACREPAAGAGRARAAAVHDVERALGAGTLVTYDQAKAAGAGKGSGGGTVGESCAICLSDYAGGGELVRVLPACGHFFHAECGVDGWLRKGGTCPYCRAAPWPLPRPECAPMPPRASGPRATVGPL >PAN44609 pep chromosome:PHallii_v3.1:9:3249270:3252187:1 gene:PAHAL_9G057200 transcript:PAN44609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAALRPWLCLLAAMAAASWSGVATVEALGMNWGTQATHPLSPKVVVQMLKDNGIKKVKLFDADQGTLSALAGSGIEVMVAIPNVLLDRITDYDTAKEWVRHNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTFPALQNIQNALDEAGLGDTIKATVPLNADVYMSPTDNPVPSAGRWRPDIADLMTQMVQFLSNHSAPFTVNIYPFISLFLNDNFPVDFAFFDGGATPVVDNGVSYTNVFDANFDTLVAALKASGHGDMPIVVGEVGWPTDGDKHATNAYAQRFYNGLLKRLAANTGTPVRPNQYIEVYLFGLLDEDVKSVAPGNFERHWGILRYDGQPKFPMDLTGQGQNTMLVPARGVEYLSRTWCVVNTESPNMDKLADNINFACTFADCTALGYGSTCGGMDSNGNASYAFNAFFQMKDQADESCDFQGLARPAQTDPSTAACNFTIQIATTSAAGHRRLAGPRRVAAAAAVLFLAQLMILLH >PVH31767 pep chromosome:PHallii_v3.1:9:14879521:14881550:1 gene:PAHAL_9G226700 transcript:PVH31767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTILVCAEGFGSFLGVVVARTVSPSERGKELQVRFYREAQVESSDPNQIPFTQIEAGGVQRATRTCRRREQKFAAAEEIRPQTRRHRKSSVCRHQTPPTAWMLNCPTRRRGSCVSDTNCRIPWPPTMSRRREQAQSIEQGRYLGKGKNPDPFLFWSLFTSKLHGMGIHTPEADTGRIPFQTFLVSAAPRVTAAQLERPCPPFLPGTRTDGARRNASPAARPHFPWKIQGAVGRV >PAN46498 pep chromosome:PHallii_v3.1:9:12093466:12097264:1 gene:PAHAL_9G189900 transcript:PAN46498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHTADCMVFNWILACDYWTMESNEMPLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVSVQVLGSICASFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGAVAGAGVYTAVKLRDENGETPRAQRSFRR >PAN46497 pep chromosome:PHallii_v3.1:9:12092391:12097264:1 gene:PAHAL_9G189900 transcript:PAN46497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGSTPPNGSAPATPGTPAPLFSGGPRVDSLSYERKSMPRCRCLPAVEGWGVATHTCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVSVQVLGSICASFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGAVAGAGVYTAVKLRDENGETPRAQRSFRR >PAN51700 pep chromosome:PHallii_v3.1:9:71820198:71820902:1 gene:PAHAL_9G613600 transcript:PAN51700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTMSMSLAAAAANCRCSRVVFVGNIPFHASEKELREACELIGPLRSLRLAADPATGKRKGYAFVEYPDDETARSACRNLNGHHLRGRELRVNLAGRRRRRPRGDNEPVGLEDAIHAASLVSGTPPLDSVTRYLAARSVRELREIAAALEADCPDTLRLLKENVPGLAAVMEQVGHLLDMAAADDAAEAAKNKKRAAEAESNDDHRAKLTKVEVEDGSFKDKIAVSAVGVKCF >PAN47190 pep chromosome:PHallii_v3.1:9:16242796:16252141:-1 gene:PAHAL_9G241000 transcript:PAN47190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFLVAPALLRPRGASPPAACGCCCCRSCSAPRGGLVPRPSARAPSRRLSPPSPHPVRLCVSAGAGWPVVLRGSSNRGFEKGLNVAATDAEASGQVGDDDEDMVRVTNEALRATIRKSKEVLARHKIILEQCMLMLYCLLIYMMVWMMICRQLIQISEKKKLISVLAESSIHNEQEPHSGQSDSSFSNLNAVSEGEEFDFGRQTYLAKHAQQSELDTTYGESIYGQSEYYESVEDEDTDFNGSFGEDNYGNYYQYDSFPRAAPSVYQPEAANGMDHHNAAQISQTSEKDQSVNEGANGNPAALSGVDVMNVILVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPKYGDYKEPQEIGEPRRYQVAGQDMEVKYYHAYVDGVDFVFIDNPIFHHVESEIYGGNRTDILKRMILLCKAAVEVQWYVPCGGFCYGDGNLVFIANDWHTALLPVYLKAYYRDNGFMTYARSVLVIHNIAHQGRGPIDDFSYLDLPGHYMDQFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTPDGGWGLHSIIGENDWKFQGIVNGVDTNDWNPRHDVHLQSDGYTNYSLETVQTGKAQCKEALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEEVGVGWTFEKAEANRMIDALGHCLNTYRNYRSSWEGIQRRGMMQDLSWDNAAKLYEEVLVAAKYQW >PVH31804 pep chromosome:PHallii_v3.1:9:15844955:15849752:-1 gene:PAHAL_9G236000 transcript:PVH31804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEGHLSSPRADASPAPPRHGTPPPPPPSKPSPKPHSAMRPAAAAATATAAALRLRAAAASLSPPATALLTHRRAALLLPPLRHLCSPAPPRASATPDSQLPPRLPSPVMDAQFVSFRAQLDESSTLRDRIRTVVSEIESASRVATAALLLVHQPVPLEDVLGKAKAQVEVIKGLYAQLAEVLKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHAEAQEKLGLSSGEFCLDVEDYLTGLCFMSNEFPRFVVNRVTAGDYDCPRKVLSFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLAPAESKQEVAQS >PAN47302 pep chromosome:PHallii_v3.1:9:17440673:17448676:-1 gene:PAHAL_9G250600 transcript:PAN47302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSPIQPMVVLTTAFITCAKFHCRSIAAANLHPVSSFPPPARAPPPRGQLLQQRICKVSLHSIAMATAQASEAAANKGLPLGMDATMVDEYASQSKLLQEFVKIPSFGKAWIFNSKDENKSRAVVSISQSDLLGNKRRKFLLNSHISKSASKSVDFQWSPFPTEISGVSAVIPSPSGEKLLLVRNSEDDSPTKLEIWGPCQLENEIHIAKSVHGSLYTDEWFEGISWNQDETFIAYVAEEPPQPKPVFNDYGFKKEGSSEKDCKSWKGQGDWEETWGETYSKKRIPALFVVNISSGQVRSVKGIPRSLSVGQVIWAPSSSYGLVFVGWSSDNGFQETSRKLGIKYCYNRPCALFAAPDPFREETEKPSTEGNKGETTTMIKLTAHLSSAFFPRFSPDGKYLVFVSAKSAVDSGAHNATNSMHRIEWPVDGKLDGSLGIVDVVPIVMCPKDNCFPGLYCFGLLRHPWLTDGRTMILSSVWGSREVILSVNVVSCEVLRVSPQDSDYSWNVLALDKNNILAVSSSLITLPQIYYGIKVSQTESHWEWQEVSTPFPKPSDEISSILAEHKFNILKIPISNPSDKLANGAKLPFEAIFVSHKDSASNPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVLNRELIDPSRVAVVGGSHGGFLTTHLIGQAPDTFVAAAARNPVCNLQLMVGTTDIPDWCFVEIYGKDGKKYFSESPSVDDLCQFHQKSPISHISKVKTPTLFLLGAQDLRVPVSNGLQYARALKERGIESKTIVFPEDIHGIDKPQSDFESFLNIGVWFKKHMSK >PAN46171 pep chromosome:PHallii_v3.1:9:10409669:10413132:1 gene:PAHAL_9G167100 transcript:PAN46171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASTAPPPGETPAPASFAPGPPPPPRPDPLPPAARPRPRCRVREVSSRYLSAPLPAQARRLSTSSTHSASVPPPARGAQHHHRDAHAPAPAPFVFGLFDENRLPPTPGSRKRGAAPGLFDAMHRPRPGPEGLNPPARLAAGASRATATPSPRRILRPSKTSANVAAATLQDRRGCTRPSTPARASFSFCGASPEPSHVPAVAIDFCAPASCPRRAPCSEVGSSLQMTEGSRRPQNPFCFGALDAALSECKPTLPKAPVKPPQPPPARKAVVKKGAVIGGNKGVGKQEDVHQLRILENSCMQYRFLNARAEAVAMAKNAAAETSLYGLSVRMAGLQESVAEKKAELEFLKMVERVHSVVGAQVPILEQWSELETEHYSCLGRGTAALCAASSCVPTIGNIRTSIGGINETLQSATKILEELPHSVEKLSGKAQEVEDVACLAEVVGSEQMLLEECADLLHQALNIQVTEDSLRIQLLHLRSQAKEKHEGHKPIIL >PVH31518 pep chromosome:PHallii_v3.1:9:10409669:10413132:1 gene:PAHAL_9G167100 transcript:PVH31518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASTAPPPGETPAPASFAPGPPPPPRPDPLPPAARPRPRCRVREVSSRYLSAPLPAQARRLSTSSTHSASVPPPARGAQHHHRDAHAPAPAPFVFGLFDENRLPPTPGSRKRGAAPGLFDAMHRPRPGPEGLNPPARLAAGASRATATPSPRRILRPSKTSANVAAATLQDRRGCTRPSTPARASFSFCGASPEPSHVPAVAIDFCAPASCPRRAPCSEVGSSLQMTEGSRRPQNPFCFGALDAALSECKPTLPKAPVKPPQPPPARKAVVKKGAVIGGNKGVGKQEDVHQLRILENSCMQYRFLNARAEAVAMAKNAAAETSLYGLSVRMAGLQESVAEKKAELEFLKMVERVHSVVGAQVPILEQWSELETEHYSCLGRGTAALCAASSCVPTIGNIRTSIGGINETLQSATKILEELPHSVEKLSGKKWWEANKCCSKSVLTSYIKHSISR >PAN49341 pep chromosome:PHallii_v3.1:9:57163995:57165183:1 gene:PAHAL_9G402400 transcript:PAN49341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNLFIFLQSPAPALPHPPHLNLPFAGVTSDAGEHHPLSPFPFPSGQICIDAPIPPPAPTSPASSLARIALFSPSPSPPQPRPPPLDAPATGTAHPASPTRLAAPSNPREKILRLPPPRPAPYPHPNLPLAGVASDAGEHHPLSPFPFLFLLFPSSQICMDAPVPPPTPTSPASPPAPPIQPPPTPARWHHHRQPRDPYPTTTAGPAASLAIPLDLATTNPIPPPKTLKPYPKPETLARMEFTGEDDVPTDEAGVAGEEDGT >PVH31544 pep chromosome:PHallii_v3.1:9:11032484:11036424:-1 gene:PAHAL_9G175700 transcript:PVH31544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNEARGDDFEKKADQKLSGWSFFGNKYEDAADLLDKAGNFFKLAKNWRRAAAVYKRIADCHLQGDSKHEAASAYVEAANCYKKFSPPDAAQALNNAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLENAAVYLSRAADLFDSEGQSSQANTMTQKIAEIYAQLEKYQKATELFEEIARKSINNNLLKYSVRGILLNAGICQLCRGH >PAN46299 pep chromosome:PHallii_v3.1:9:11032807:11036176:-1 gene:PAHAL_9G175700 transcript:PAN46299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTSSTRPATSSSSRRTGEERLQYTKGLQIVICREIASMRLPLHMLRLQTATKSSHHQDIGEIYQQEQDLENAAVYLSRAADLFDSEGQSSQANTMTQKIAEIYAQLEKYQKATELFEEIARKSINNNLLKYSVRGILLNAGICQLCRGDPVAINNSLERYQDIDPTFSGTREYKLLADLAASMDEGDVAKFTDAVKEFDSMTRLVLSIGILPDPWKTTLLLKAKNELKKKEDDEDDLT >PAN46300 pep chromosome:PHallii_v3.1:9:11032484:11036496:-1 gene:PAHAL_9G175700 transcript:PAN46300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNEARGDDFEKKADQKLSGWSFFGNKYEDAADLLDKAGNFFKLAKNWRRAAAVYKRIADCHLQGDSKHEAASAYVEAANCYKKFSPPDAAQALNNAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLENAAVYLSRAADLFDSEGQSSQANTMTQKIAEIYAQLEKYQKATELFEEIARKSINNNLLKYSVRGILLNAGICQLCRGDPVAINNSLERYQDIDPTFSGTREYKLLADLAASMDEGDVAKFTDAVKEFDSMTRLDPWKTTLLLKAKNELKKKEDDEDDLT >PAN46298 pep chromosome:PHallii_v3.1:9:11032484:11036401:-1 gene:PAHAL_9G175700 transcript:PAN46298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTSSTRPATSSSSRRTGEERLQYTKGLQIVICREIASMRLPLHMLRLQTATKSSHHQDIGEIYQQEQDLENAAVYLSRAADLFDSEGQSSQANTMTQKIAEIYAQLEKYQKATELFEEIARKSINNNLLKYSVRGILLNAGICQLCRGDPVAINNSLERYQDIDPTFSGTREYKLLADLAASMDEGDVAKFTDAVKEFDSMTRLDPWKTTLLLKAKNELKKKEDDEDDLT >PAN46301 pep chromosome:PHallii_v3.1:9:11032807:11036267:-1 gene:PAHAL_9G175700 transcript:PAN46301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNEARGDDFEKKADQKLSGWSFFGNKYEDAADLLDKAGNFFKLAKNWRRAAAVYKRIADCHLQGDSKHEAASAYVEAANCYKKFSPPDAAQALNNAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLENAAVYLSRAADLFDSEGQSSQANTMTQKIAEIYAQLEKYQKATELFEEIARKSINNNLLKYSVRGILLNAGICQLCRGDPVAINNSLERYQDIDPTFSGTREYKLLADLAASMDEGDVAKFTDAVKEFDSMTRLVLSIGILPDPWKTTLLLKAKNELKKKEDDEDDLT >PAN46819 pep chromosome:PHallii_v3.1:9:14086678:14088647:1 gene:PAHAL_9G217200 transcript:PAN46819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIELCMIYLSIMQMNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFVNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAPGASSAEAGASAGAAQDDDDDVPELVPGETFEEAAEEKKESS >PAN43931 pep chromosome:PHallii_v3.1:9:350436:353148:1 gene:PAHAL_9G004100 transcript:PAN43931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLVLLLAASPGVGVLADVPPSNKVVFILAGQSNMAGRGGVVANRWDGRVPADCAPSPAVLRLTPDLRWEQAREPLHQGIDAANHAVGVGPGMAFANALLRSGRAGAAVVGLVPCAVGGTRMAEWAKGTDLYAEMLRRARVAVETGGRIGALLWYQGESDTVRWSDANEYGRRMGMLVRDIRADLGIPHLLVIQVGLASGLGQYTQVVREAQKGIKLRNVRFVDAMGLPLQDGHLHLSTQAQVQLGNMLAQSYLNYGHAHPQAPKPWWLQIMLLCCFVFL >PVH32948 pep chromosome:PHallii_v3.1:9:66614100:66618776:1 gene:PAHAL_9G530800 transcript:PVH32948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDLTISWLPFYSEAKLMFFVYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDTVVLYFQKAASAGQNTFFDVLKYVASQSPSQKSRQRPHQEPQQSQQQQPQLQQQQQQPQKQAAPVMRRAASIAARQAAMAQQSQETKPVPSSPKIKRQASAKSSSVASTKPVAAASTQKPGGSPKKSEVKHAVEPVPTPATSVDSPKSEPSAKSLPEAEEVDKMAIDEAVGDAEEGTEELDPALEETPMEETIRVTRAKLRRRIDPAGN >PVH31206 pep chromosome:PHallii_v3.1:9:4740431:4741057:-1 gene:PAHAL_9G082200 transcript:PVH31206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGDEDIHVTTEPRLCSASDLPIMAAPHVLALPFPAQGHVIPLMQLSHRLVEHGIEVTFDNTEANHALVLDGIHLVGVPDGLADGDDRKDIGKLADALSRHMPGYLEELVRRVEASEGTKISWLIADQGMGWAFEVAKKLGIRAPCFWSGSAAFLASLFRVPQLIQDGVIDEKGWPK >PAN51574 pep chromosome:PHallii_v3.1:9:71247610:71249494:1 gene:PAHAL_9G604600 transcript:PAN51574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVAWPGALAAAFLLCAAAEVALGAKRVPIPDDLRDVVDDEEDDEWRSWGASHSRGPGRGDSPPPDLSRMDPPALQAELLRGQTGPSFGFVKLRPGTPRSREDVVGIATRWSNVLRTGSVEAKFVAVDFGTLMFTMERGRDILELKEFILSQAEAYEFKIGDKVFRRPGDPPLDQVLEKLQKEKNHKSQEEL >PAN46663 pep chromosome:PHallii_v3.1:9:13186545:13194484:-1 gene:PAHAL_9G205800 transcript:PAN46663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRSRSFSDGGGGVSSSQDAFDFDADDDVLGSSASQPLPLPPSQESSSMWEFDEDPPPSPPQPRQEARRRGARRGGWELAEPPAAAPTATLMEAEEYGEMMESVDEVTFALDGLRPAAQRRTRRASLLALLGICASAERRRVLRVQGLLQQIIDAILVLDIDDPPCAIAAGALLFVLASDVQDNNLLDSETCVHFLLKLLNPPVNVVDAKAPSIGSKLLGISKFQMLNGANKDADSSSEDILSKVEEILLSCKEIKPLDSDDRRTARPELCSKWLALLTMEKACLSAVALEETSDMVTRVGGNFKETLRQSGGLDNIFNIMVNCHSELERLVKDTSTTAVELKEGTSLQSAALLLKCFKILENATFLSDNNKTHLLRMSRKLSPKCSSLPFIGVVLNTIELLSALSLLQNTSTVSRKADPKSSKVRCSADSRGANLLNHHGKCKNSAEKGLLLNQRRQNCPSSKSEVSHITISCSSDVCESQRTLDCSPSISSNGASSGSFVKRHSNGVGLKLNVRKDRSKANPIRGSAGWISIRAQTSGGNSREMAKKRRLSENTDTDLGNGGDDPFAFVDVDQEPSNWDMFGPKRKSPQKRAKRGNGKVLDDCGTAAIVSPESCQPEDIYQSATTSDSKAEDESSLLEDCLLASVKVLMNLANDNPSGCEQIASCGGINTMASLIIKHFPSFDFSMNKNNQLKERVSSNQNLSSSQSSKTQQLKTKQLRDHELDFLVAILGLLVNLVEKDSLNRIRLANARVSVKTSQNPDNEEVQRDVIPLLCSIFLASQGSGETAEAISPDDEESLLQGAREAEMMIVEAYAALVLAFLSTESMKVREAITSCLPDNSLKILVPVLEKFVTFHLQLNMMSRETHSSVTEVIERCRLS >PAN46662 pep chromosome:PHallii_v3.1:9:13185920:13194635:-1 gene:PAHAL_9G205800 transcript:PAN46662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRSRSFSDGGGGVSSSQDAFDFDADDDVLGSSASQPLPLPPSQESSSMWEFDEDPPPSPPQPRQEARRRGARRGGWELAEPPAAAPTATLMEAEEYGEMMESVDEVTFALDGLRPAAQRRTRRASLLALLGICASAERRRVLRVQGLLQQIIDAILVLDIDDPPCAIAAGALLFVLASDVQDNNLLDSETCVHFLLKLLNPPVNVVDAKAPSIGSKLLGISKFQMLNGANKDADSSSEDILSKVEEILLSCKEIKPLDSDDRRTARPELCSKWLALLTMEKACLSAVALEETSDMVTRVGGNFKETLRQSGGLDNIFNIMVNCHSELERLVKDTSTTAVELKEGTSLQSAALLLKCFKILENATFLSDNNKTHLLRMSRKLSPKCSSLPFIGVVLNTIELLSALSLLQNTSTVSRKADPKSSKVRCSDSRGANLLNHHGKCKNSAEKGLLLNQRRQNCPSSKSEVSHITISCSSDVCESQRTLDCSPSISSNGASSGSFVKRHSNGVGLKLNVRKDRSKANPIRGSAGWISIRAQTSGGNSREMAKKRRLSENTDTDLGNGGDDPFAFVDVDQEPSNWDMFGPKRKSPQKRAKRGNGKVLDDCGTAAIVSPESCQPEDIYQSATTSDSKAEDESSLLEDCLLASVKVLMNLANDNPSGCEQIASCGGINTMASLIIKHFPSFDFSMNKNNQLKERVSSNQNLSSSQSSKTQQLKTKQLRDHELDFLVAILGLLVNLVEKDSLNRIRLANARVSVKTSQNPDNEEVQRDVIPLLCSIFLASQGSGETAEAISPDDEESLLQGAREAEMMIVEAYAALVLAFLSTESMKVREAITSCLPDNSLKILVPVLEKFVTFHLQLNMMSRETHSSVTEVIERCRLS >PAN44570 pep chromosome:PHallii_v3.1:9:3127512:3139762:-1 gene:PAHAL_9G054600 transcript:PAN44570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLADRYPLTVSDAEEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFDYIDHLFGLVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLAQKEKSEAIDSNVITPGTQFMFVLSTALQYYIQLRLNHTLGWQSVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVISMPGQQEKCFLCGQVGHLAAECRGPSQADNSVELPPIHKKKYQFLNIWVLREYLAKDLEIVDAPFKINFERLIDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMSIYRAEFTSMGGYLTDGGEVVLDRVEHFIQSVAVNEEQIFQKRARIQQARENYEERNKIQKENSEENQYVDKVKLGEPGYRERYYAEKFKEEAESKPIAQVRRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKDLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMTDPNSPLRSFYPKDFEIDMNGKRFAWQGVAKLPFIDEMRLLAETRKLEDTLTEEEKFRNRTMFDIIYVRDSHPLTAQIAFLYQMYNHLPRTDPYVIPIDPAASGGMNGFLCLSERNWYCITVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPMGVIVPAKILKPSDFKPFPVLWHEDNSRRQARDRPQVSGALSGSLLGEAAHRLVKNSLQIRSGSAAGLLDMPYRGAPYGLGNRPRPAGPLGYERGFVDNSYHPHMSRSVPNSHPQFFGDAQANRQPMRILERPNSRSHEASIRASMSKLTIHEGPRPHQNNMMQNSGYWSNQPHPNHYAGPPPQRPMQNINVTHQRPFQTGGFPQQRPVNGVPPPLPPSNWIGKQPSGGPTGVPAKHDPRTVLDRQPKQDNPRSQQDKRQQATKVYRVKTQATNGNGLSESGKQEEPTA >PAN49424 pep chromosome:PHallii_v3.1:9:61004212:61006953:-1 gene:PAHAL_9G448000 transcript:PAN49424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSERGSVATAVSTAAADKLLHGPITGKKCKKAAPRKVHKAEREKLKRDHLNDLFVELGNMLEADRQNNGKACILTDTTRILRDLLVQVESLRKEHSNLQNESHYVAIERNELQDENGVLRKEISELQDELRMRSSSNPAGWGHGTAGLNPSVLNPASAVFSSQQAMQPPTIASTIFPMQQPLAPSAVIEHPYATPPPLELKLFPGAASVEVCKPSEDQEAPNHVARPQARYPTQSASWPVTLFSGLPRMEDEQCSSSTTSSSKEASTDRD >PAN46317 pep chromosome:PHallii_v3.1:9:11105664:11108490:-1 gene:PAHAL_9G176800 transcript:PAN46317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLVAAAAASSSSSSPLARLVSRRRLAGAADGHGSAKVPMWKDPLSPSKWKEEHFVLASLAMWGGLIYGGYKFFAGGKKEEKPEAAPAQAA >PAN46991 pep chromosome:PHallii_v3.1:9:12926007:12929848:1 gene:PAHAL_9G202400 transcript:PAN46991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGGVVDISSDEEDFLIGDALAPLDPHGWTADLFDVVDNATGEDFDDLVIMSEISAPPVLHQTAKPDDLVVMSELSSPPVLQKKGNADGDCDEDDDDCVVLDGDPDKAVIVADEGSARDGSSDELQIVAVKGPIPCRDFPHSRHLCSNLPFSTTSHVKHCVMCHCFVCDTQAPCKYWGNSTSANDHCHATDKEPKWKLMRQVFRGCLPASGPEKLQNDLYSTTVSPRQQPMQCHAAVPQSPPSSVLHVGYPSRAIQSPLVNERRHNQQRHHSVRVSLSVGGTVSSPRAGRGTCSAHIAQNTHSHAIFKRAGAVSPGFASPNATQFGSAGPDNSLMHQALPHVSQPVQVAPATNAFTETAQSNPFQRSFSAPIAYQVQQDQPAAYYQAATNGMDVIGPQLSRCTSLITERTQCLPEPVTDVCTKSWEDILATVASDLGVADYDISTAESPHVMTDSQPVHSTANQGFSLQHESVAAMENLTSSHMHDLSGHTTGGNVQADHPLETAENWDHPIGGNDFVSAPADVLSVDEATHQLAVSRLESTDILFELDWS >PAN46841 pep chromosome:PHallii_v3.1:9:14255170:14260446:-1 gene:PAHAL_9G219100 transcript:PAN46841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAGDERCLDPQLWHACAGGMVQMPPARSRVYYFPQGHAEHAHGGGAAELAAAAGARGLPPLVLCCVAGVRFLADPETDEVFAKIRLVPVPPGEPYFGDPDELGGDPADAREKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTEHGELCVGIRRAKRVSCGGMECMSGWNAPGYGAFSAFLKDEESKMMKGPGGYMRGKGKVKIADVVEAAGLAASGQPFEVVYYPRSSTPEFVVKAASVQNAMRNQWCPGMRFKMAFETEDSSRISWFMGTIASVQVADPIRWSNSPWRLLQVTWDEPDLLQNVKCVNPWLVELVSSIPPIHLGPFSPPRKKLRVPQHPDFPFDSQLLNPIFHGNPLGPSNSPICCFPDNAPAGIQVARHGQFGLPLTDHQLNKLHLGLFQGGGFNRLDAITPQSRISKGFVITSAPVKESVSCLLTIGTPQGTEKSDDRKKPHIMLFGKPILTEQQMNSGGSRETFSPEVTGNSSSDGNVQKTENVSDGSGSSICIGFPSQGREALDLGLEVGHCKVFMESEDVGRTIDLSVFGSYEELYGQLADMFGIEKAEIMSHLRYRDAAGAVKHTGEEPFSDFMKVARRLTITEGGVGRLQKPIIEYMVERA >PAN49416 pep chromosome:PHallii_v3.1:9:60987878:60994078:-1 gene:PAHAL_9G447600 transcript:PAN49416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAETETAAALQAEVSALRMRVQELERENQNLAKAASSCTCRFKDDSVRSVAVASSCLYGSNPEARHNGKFHQSSPLEKDLSAPFYHSIISTENEIQRNRNVEGNGLPDDSNKRTKRRGRQDGILSHCSKRLVALKVMYFGQRFYGFSSEGNTEPTVESEIFKALERAKLMVGSRKESCYSRCGRTDKGVSASGQVISLYLRSDIKDVGGDMQNERSEIDYVKVLNRILPQDIRVLGWCPVPADFHARFTCLSREYKYLFWKGDLDILEMQRAASKFVGEHDFRNFCKMDAANVSNYRRRITEFTISACGKSSNNDELCYMTIKGTAFLWHQVRCMVAVLFLIGQGLESPSVVDSLLDITKTPRKPQYKMAAELPLILRSCLFDKTDFMCSSDASRSLTEHLNDQYHHYMLQAEIFHEALNCLPFPDAIAESNSLETLQKKRNHIPLLSRQTEPSYEERIAKVKTKLTDNLSIAS >PAN49414 pep chromosome:PHallii_v3.1:9:60987114:60994384:-1 gene:PAHAL_9G447600 transcript:PAN49414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAETETAAALQAEVSALRMRVQELERENQNLAKAASSCTCRFKDDSVRSVAVASSCLYGSNPEARHNGKFHQSSPLEKDLSAPFYHSIISTENEIQRNRNVEGNGLPDDSNKRTKRRGRQDGILSHCSKRLVALKVMYFGQRFYGFSSEGNTEPTVESEIFKALERAKLMVGSRKESCYSRCGRTDKGVSASGQVISLYLRSDIKDVGGDMQNERSEIDYVKVLNRILPQDIRVLGWCPVPADFHARFTCLSREYKYLFWKGDLDILEMQRAASKFVGEHDFRNFCKMDAANVSNYRRRITEFTISACGKSSNNDELCYMTIKGTAFLWHQVRCMVAVLFLIGQGLESPSVVDSLLDITKTPRKPQYKMAAELPLILRSCLFDKTDFMCSSDASRSLTEHLNDQYHHYMLQAEIFHEALNCLPFPESNSLETLQKKRNHIPLLSRQTEPSYEERIAKVKTKLTDNLSIAS >PAN49415 pep chromosome:PHallii_v3.1:9:60987878:60994078:-1 gene:PAHAL_9G447600 transcript:PAN49415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAETETAAALQAEVSALRMRVQELERENQNLAKAASSCTCRFKDDSVRSVAVASSCLYGSNPEARHNGKFHQSSPLEKDLSAPFYHSIISTENEIQRNRNVEGNGLPDDSNKRTKRRGRQDGILSHCSKRLVALKVMYFGQRFYGFSSEGNTEPTVESEIFKALERAKLMVGSRKESCYSRCGRTDKGVSASGQVISLYLRSDIKDVGGDMQNERSEIDYVKVLNRILPQDIRVLGWCPVPADFHARFTCLSREYKYLFWKGDLDILEMQRAASKFVGEHDFRNFCKMDAANVSNYRRRITEFTISACGKSSNNDELCYMTIKGTAFLWHQVRCMVAVLFLIGQGLESPSVVDSLLDITKTPRKPQYKMAAELPLILRSCLFDKTDFMCSSDASRSLTEHLNDQYHHYMLQAEIFHEALNCLPFPESNSLETLQKKRNHIPLLSRQTEPSYEERIAKVKTKLTDNLSIAS >PAN49417 pep chromosome:PHallii_v3.1:9:60987115:60994178:-1 gene:PAHAL_9G447600 transcript:PAN49417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAETETAAALQAEVSALRMRVQELERENQNLAKAASSCTCRFKDDSVRSVAVASSCLYGSNPEARHNGKFHQSSPLEKDLSAPFYHSIISTENEIQRNRNVEGNGLPDDSNKRTKRRGRQDGILSHCSKRLVALKVMYFGQRFYGFSSEGNTEPTVESEIFKALERAKLMVGSRKESCYSRCGRTDKGVSASGQVISLYLRSDIKDVGGDMQNERSEIDYVKVLNRILPQDIRVLGWCPVPADFHARFTCLSREYKYLFWKGDLDILEMQRAASKFVGEHDFRNFCKMDAANVSNYRRRITEFTISACGKSSNNDELCYMTIKGTAFLWHQVRCMVAVLFLIGQGLESPSVVDSLLDITKTPRKPQYKMAAELPLILRSCLFDKTDFMCSSDASRSLTEHLNDQYHHYMLQAEIFHEALNCLPFPDAIAESNSLETLQKKRNHIPLLSRQTEPSYEERIAKVKTKLTDNLSIAS >PAN50300 pep chromosome:PHallii_v3.1:9:65283430:65285405:1 gene:PAHAL_9G511100 transcript:PAN50300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNCSAPHPFLLPYGDDYARCESSSSPAGAVGLDRILPAEYDLYHSLNLSPSLHGLQTPTLFATNGSGSYLGIGANPIYSGETRPAFSQFSCTQPTAAAHLVRWAAAGETMTGDGSRLRGSKRLKTTTATATAQDPHHGLRCNAKPTRNQSMKAPCKRSQKLGDRITALQQLVSPYGKTDTASVLHEAATCIKHLHEQIQILTASYPAISSASSQQGTREEEGPTDLRRRGLCLAPLSPDVVQLVVSAEAALRHRDTADTEDRWRWLATL >PVH33325 pep chromosome:PHallii_v3.1:9:72832904:72836263:-1 gene:PAHAL_9G628700 transcript:PVH33325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVACSCSCCLQVLLLWAALWAVALAAVAVPAKLKAAPAPVVAGPVSRVEDARMFQIYYGQSFKVIKNFGDGKSYLLMQNTSKMASKTKYCTGRIKSFVIPLANFSVDTTASPVSFFELLGVLESLKGITSNQVASQCVLQSYTSGNTQLVNRTDAQTLSQFSAQFISNIDDDKGCNFAAYVPLEEDTPLQRAEWIKYLGTFANSEDRANAVYDAIKRNYLCLSKAAANLSTRFKPIVAWIVYTQGMWTFVKESYALQYVTDAGAEIVDATITNKRFNSSDSEDMDNFHAILCIGMMELSPSLSWFLEI >PVH33324 pep chromosome:PHallii_v3.1:9:72833220:72836173:-1 gene:PAHAL_9G628700 transcript:PVH33324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVACSCSCCLQVLLLWAALWAVALAAVAVPAKLKAAPAPVVAGPVSRVEDARMFQIYYGQSFKVIKNFGDGKSYLLMQNTSKMASKTKYCTGRIKSFVIPLANFSVDTTASPVSFFELLGVLESLKGITSNQVASQCVLQSYTSGNTQLVNRTDAQTLSQFSAQFISNIDDDKGCNFAAYVPLEEDTPLQRAEWIKYLGTFANSEDRANAVYDAIKRNYLCLSKAAANLSTRFKPIVAWIVYTQGMWTFVKESYALQYVTDAGAEIVDATITNKRFNSSDSEDMDNFHAILCTVDVVIDQTYALEPAEYKLSTFLENINVSQDSCFSFVSNRSIWRFDKRIGVSGTLDWYDGAISQPQLVLGDLIEVFFPTGNYTTIYFRNLAKEEGVTEIGPEMCTRSMSAPMEPITLPCQ >PVH31582 pep chromosome:PHallii_v3.1:9:11554622:11557220:-1 gene:PAHAL_9G182500 transcript:PVH31582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYNFDLLELVDGESGEAAVSVVVDKKYKATAAKLADATDPAQLATHDKPRYSYFIKLQHDNGVRKYQQELKRLREVQIKLREEEAKLKEKEGNEGRAKELFEEQRRLRQEQRKLRIEEAILVTKKKAFYEEHNIPLEEDERKKSNSSNFANADSRNNYDGVNGNVYNNNDAGNCGGNDQVCDGGEHYKYGHDERQVERGNRHGQPRMKKVYMRKVNTSSDVGTTVEEKLEDNVVSARAIEQKDANADNADAVLASESDLSAGSLCRYGPKKGQGTDTGEMTFFQKERLNGSEKRKKKNAKKTNGNEPEKAKKQDSETLVEYERMREEKKFSEITKIEMRKVTAEEFKGLQMHKKTQPKVKEASKKEETVKAEGKKAAAKDAKPKKVTVPRQDLGFRPPRRVSYDREAAVQNGNGAPTSGYNGGGNNASRVGYSGPGRHDGYNRGNGGYNDGRGNGGFQQEGAGNGGYYLQHGGQQQRRAANDRYYREHHNSTLVLNVEDMSKFPALPVAV >PVH31581 pep chromosome:PHallii_v3.1:9:11555343:11557220:-1 gene:PAHAL_9G182500 transcript:PVH31581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYNFDLLELVDGESGEAAVSVVVDKKYKATAAKLADATDPAQLATHDKPRYSYFIKLQHDNGVRKYQQELKRLREVQIKLREEEAKLKEKEGNEGRAKELFEEQRRLRQEQRKLRIEEAILVTKKKAFYEEHNIPLEEDERKKSNSSNFANADSRNNYDGVNGNVYNNNDAGNCGGNDQVCDGGEHYKYGHDERQVERGNRHGQPRMKKVYMRKVNTSSDVGTTVEEKLEDNVVSARAIEQKDANADNADAVLASESDLSAGSLCRYGPKKGQGTDTGEMTFFQKERLNGSEKRKKKNAKKTNGNEPEKAKKQDSETLVEYERMREEKKFSEITKIEMRKVTAEEFKGLQMLEKKKLDDEEASTKAQKDTAQG >PAN46555 pep chromosome:PHallii_v3.1:9:12327331:12337450:1 gene:PAHAL_9G193300 transcript:PAN46555 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MEEAAAAAAAAAPEPNAATPTPPEPAGDAPSGDASADPAAVADDEHDSKEVVLRRYFLQEWELVSAILRRIVAAGGVAEPADVHRIRSIMDKYQEEGQLLEPYLENIVSPLMSLVRSKTMELGAGTNELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELGVALLEKCHTMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISTVDTSIATTDSMDGTEVVPLVTRILNICKDYLSSSGPMRRISGLLLARLLTRPDMAKAFSSFMEWAHEMLLSVTDDFVDQFRSIGIVEALASIFKIGNRRALDDTVSGAWNDCSVVMKTNVSARSPLLRKFLVKLAQRIALISLPPRSPSWRYKSINSSLGANLSSSTTGEAYSSGSSEQANIDQTDMCLLEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSSAKGIGRITARLTPTLSEEVISSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAFVCWAFGRAYTNYDMKAVLEQLAPHLLTVACYDREVNCRRAASAAFQENVGRQGTFPHGIDIVNTTDYFALASRSNSYLNVAVSVAQYKEYLYPFADELLCNKITHWEKSLRELAAQALSLLVQYDMDYFGGHALEKLVPCTLSSDLCTRHGATLAVGEVALRLYQLGFTFSTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFISCISIAGISLNEKIKKSLLETLNENLRHPNSQIQCAAVDALKHFIPTYLVSSGEKIANDIISKYLALLDDPNVAARRGAALALGILPYKFLLLKWMPVMNKLCSSCTIEDKPDDPDAEARVNSVRGLISVCETLTSSFDQSSNSGDSVYAYIKDYVMRALFTALDDYAVDNRGDVGSWVREAAMDALERCTFILCRRDIAALRTAPASGHESELSVMEVNSSSSTHQLFDSGVAQDLVAGIAKQAVEKIDKMREIAIKTLQRILYHQEHLIPFIPHRELLEEIIPNSTDLEWTVPTVSYPRLVKLLQVSCYSKSVLSGLVISTGGLQESLKKASTSALVGYLEDSDINTNCEGKSREYQLSCDLLWVLQHYQKCDRVITPTLKTIEALFSKKVFLTREGYSEFYSGLVDSVGSELKGSKDFTKLCAGLSILGYISSQLDGTCTKAFSQLLTFLCHRYPKIRKAAADQVYLVLLQNDDLIPSENMDKAQELLADTCWEGNVEEARRKRSQINEMAGFRVATSLKSENQETGPTDVRNTVSTDENKSYSSLVDFSGY >PVH31620 pep chromosome:PHallii_v3.1:9:12327331:12337450:1 gene:PAHAL_9G193300 transcript:PVH31620 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MDKYQEEGQLLEPYLENIVSPLMSLVRSKTMELGAGTNELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELGVALLEKCHTMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISTVDTSIATTDSMDGTEVVPLVTRILNICKDYLSSSGPMRRISGLLLARLLTRPDMAKAFSSFMEWAHEMLLSVTDDFVDQFRSIGIVEALASIFKIGNRRALDDTVSGAWNDCSVVMKTNVSARSPLLRKFLVKLAQRIALISLPPRSPSWRYKSINSSLGANLSSSTTGEAYSSGSSEQANIDQTDMCLLEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSSAKGIGRITARLTPTLSEEVISSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAFVCWAFGRAYTNYDMKAVLEQLAPHLLTVACYDREVNCRRAASAAFQENVGRQGTFPHGIDIVNTTDYFALASRSNSYLNVAVSVAQYKEYLYPFADELLCNKITHWEKSLRELAAQALSLLVQYDMDYFGGHALEKLVPCTLSSDLCTRHGATLAVGEVALRLYQLGFTFSTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFISCISIAGISLNEKIKKSLLETLNENLRHPNSQIQCAAVDALKHFIPTYLVSSGEKIANDIISKYLALLDDPNVAARRGAALALGILPYKFLLLKWMPVMNKLCSSCTIEDKPDDPDAEARVNSVRGLISVCETLTSSFDQSSNSGDSVYAYIKDYVMRALFTALDDYAVDNRGDVGSWVREAAMDALERCTFILCRRDIAALRTAPASGHESELSVMEVNSSSSTHQLFDSGVAQDLVAGIAKQAVEKIDKMREIAIKTLQRILYHQEHLIPFIPHRELLEEIIPNSTDLEWTVPTVSYPRLVKLLQVSCYSKSVLSGLVISTGGLQESLKKASTSALVGYLEDSDINTNCEGKSREYQLSCDLLWVLQHYQKCDRVITPTLKTIEALFSKKVFLTREGYSEFYSGLVDSVGSELKGSKDFTKLCAGLSILGYISSQLDGTCTKAFSQLLTFLCHRYPKIRKAAADQVYLVLLQNDDLIPSENMDKAQELLADTCWEGNVEEARRKRSQINEMAGFRVATSLKSENQETGPTDVRNTVSTDENKSYSSLVDFSGY >PAN44379 pep chromosome:PHallii_v3.1:9:2132919:2135684:-1 gene:PAHAL_9G038500 transcript:PAN44379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAAEVSALAAGAGAAGRQGEGGVLPMLPPFFMGSIWPANAAGAGAAGAADSEEDEAAAAAAAAAAHDRALAASRNHREAEKRRRERIKSHLDRLRNVLSCDPKIDKASLLAKAVERVRDLKQRVAGVGEAAPAHLFPTEHDEIVVLASGGGAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDVDGGGGTGDDDGYGRTDSAGSIESNGGGDFIKEALRALVERPGAGGGDRPKRRRVSDTNMQAAV >PVH31160 pep chromosome:PHallii_v3.1:9:3947780:3952653:-1 gene:PAHAL_9G068900 transcript:PVH31160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVARGPPGRPSSALLGCWRRRPPLGFGAKVGIAVALGLSFAIIWTSVSPTSSSQQISTERSSFAAEVAAPPTASHNRTSTTADGGHAHRKPRPVPHSHKKLHPAPSGSHAHPHRANATASPDAAAAKADHSEPSPITDPEPNEKEPEPEQEQGQEPDMEMEPEQEAELPMPEESGGNSGKAPAEGEEEKPPQLELEEEHGEGDGDEDFEVAKKKAPSKKRKLPPLFSSSAHYHWKHCGAKSGYHYIPCVDFDGDGSQRHHERSCPRSPVMCLVSLPKDYKHPAPWPERKDKVWYGNVAHPRLSNYVKGHNWLNHSGDYLMFPPDEWEFKGGARHYVESIDEMAPDIDWGKNIRIILDIGCKSAGFGIALLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSRRLPFPSGAFDAIHCGECNIAWHSNGGKLLLEINRILRPGGYFIISSKSADLESEEGISASMTALCWNAISYSSDDVSEVGVKIFQRPATNEEYDLRAKKDPPFCKEEQNKANAWYTHIKHCLHKAPVGIEERGSDWPEEWPKRLESYPEWLGDLQTRVAADHNHWKAVVEKSYLDGLGIDWSNIRNVMDMKAVYGGFAAALASKKVWVMNVVPVHAADTLPMIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLKIRCRQPVAIVVEMDRILRPGGWAIIRDKLEILDPLETILKSLHWEIVMTFRKDKEGIMSVKKTTWRP >PVH32818 pep chromosome:PHallii_v3.1:9:64180142:64181429:-1 gene:PAHAL_9G494300 transcript:PVH32818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHIKWDKESKDTTDVVSFTFTSPLVKPSAGHSRLAGKWDSRSNFNLDARCDKDDSDSKTEGPSSMCLNFVNGDALTLLLEKKLKELTSKIDPSITFTRGDTVVPATFTLEEQPTSSCSNWGSESGVFDCSPSEVKPSQYDYCPSAQPSTKGQIFRGSKLKVEEPEECRSISKAWKEQEHEDLSSLSVLEPTFLS >PAN44282 pep chromosome:PHallii_v3.1:9:1771425:1772620:1 gene:PAHAL_9G031700 transcript:PAN44282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGYPIGLFPAIDSSDPEWNFPIDHYGHLLGDLVEETIRGITRFMNVQHHYQILLRRSMCQLTGVAQGHYRNADRQVTQIVELQALETQKDEIIAARDETILHREDQINESDAIITQRNTIIEFLQEQIHDLILEADDA >PVH32704 pep chromosome:PHallii_v3.1:9:62013176:62013901:-1 gene:PAHAL_9G464300 transcript:PVH32704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYCHTSMPELDDVHRLLPREILEDIGIVDPAEQQLLDVVEDLQARLAVVLGGNNPNIASAARPQANAARGLGGTDIVLTSRGNNVRRAVPAPPLNSPARPVPGWHAMAGVKNDMVFAPAMPLGAGAQPTTVRRRAGTGVFLPRTEGGSACRTARAAAPRPPGNSQGSGFFYPGGQQQAATVVVRTQQQMQPVAGQVMEQKYLGAHARPVFSRATTGMSLLRHESYSNHGSFQSLHACTP >PAN51887 pep chromosome:PHallii_v3.1:9:72714793:72723188:-1 gene:PAHAL_9G627300 transcript:PAN51887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MEEGAEEVEVGEYRLQRLVGKGSYAKVFCAAHRLTGARVAVKAIDRRRLQKQVHDGILKEREILKSIDHPNILRLLDTIDTTDTMYLVLEYCDGGDLDEFLLKHGRLPVATAKDFMRQLAEGLKMLRERNIVHRDLKPQNLLLSTNGDAIILKIGDFGFAKDENLAATICGSPYYMAPEIWQGKDYDAKSDLWSVGVILFQLVTGKVPYAGSTCFQLHQNILASDELNFPSEIEADLCPDCIDLCRRLLHRDPRKRISFDEFFNHKFLATTRKCELICESHHAVDLRDTCQKITSPVVLKMKPESVESKKSKVFDSWEWIEREYVLVPVNCTSMEMLSSLEKSTKDDTGTRNSGYDRSTGKGSVQNQNRDFNHRVIGVQNHGCTPAPASHESANAEDRRGKPPDCFERLHILNQFVLVLTELAREKLSKGLYLEALSIELLLLAIWKEALDACSLFMDASHDGNSSESSPEHLLPKSDHSSPNAARGLDFSRPVSIRSWVESGFMKAYDRAEKISHILRKSYDNTEMPDAMDVIFQTALEYGKSGAANEVLGYRSKSTALYSKSIILLTFILQEAPTLPLNPPFSLSPSDQQRIHSYIANLKSHLCSAKVAGQQQRIVRN >PAN50646 pep chromosome:PHallii_v3.1:9:64175892:64177938:-1 gene:PAHAL_9G494100 transcript:PAN50646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDIDSSCSTPFASAPSSPGRSPAFGGGGGGYFFSAPASPIHHLLFSTSSSASAAAGAGGRGCAGDAEFEFGGPGGPMISADELFHNGQIRPLTLPPLPDLDPGSDDDEDGGGGRGAPARGRDLTPRSASVHRRARSMSPLRGASPRLKLINALVPAPDLVPSPGAAREEAAPPVTASSRSSSSSSTSSSSSAASSARGSRRWVFIKDMLLHRSRSEPGSSSAHAHDAPAAGASAGASKPERAWAFSPSWAAREKLAARLRPSRPPPATEAAGCEEARPRGQGRGRRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGLTKTLNPVFSR >PAN48052 pep chromosome:PHallii_v3.1:9:40190550:40191047:1 gene:PAHAL_9G322500 transcript:PAN48052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAGAANPAAAAAAAAASDSEHAHYPHPYAGYPYPYGAYHQPAPATATDPSAAAAGSSSYYYPIPGAMPSVVAQYNPYAAYQYYGPPSGAASDAGLSGYYFTAGESLQQAAAAASATQVAQTATGKEAGKHFGFDPQRYAQVWALPASFFLYPISLSSVHIWGI >PAN47114 pep chromosome:PHallii_v3.1:9:15703276:15703677:1 gene:PAHAL_9G235100 transcript:PAN47114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDGSKDAGGTKYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAALAQRGAAAVLNFPGEVVAGGPTGRRGGGSSSSSSTTPAGASSRGRARGDAEKIELECLDDKILDDLLDDGKYGHK >PAN50693 pep chromosome:PHallii_v3.1:9:67143772:67147828:-1 gene:PAHAL_9G538900 transcript:PAN50693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGAERAPCGLPRVGLGTAVQGPRPDPVRRAVLRAMQLGYRHFDTAAHYATEGPIGEAAAEAVRTGVVASRGELFVTSKVWCADAHPDRVLPALRRTLSNLQMEYVDLYMVHWPVTMKAGRFTAPFTPEDFEPFDMRGVWEAMEECHRLGLARAIGVCNFSCKKLEALLSFATIPPAVNQVEINPVWQQRKLREFCRGKGIQLCAYSPLGAKGTHWGSDSVLDSGVLHEIARSKGKTVAQVCLRWVYEQGDCLIVKSFDEARMRENLDIVGWELTEEERQRISKIPQRKINQGRRYITEHGQYKSLEELWDGEI >PVH32595 pep chromosome:PHallii_v3.1:9:60192419:60192661:1 gene:PAHAL_9G436200 transcript:PVH32595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGSRPPITPPTTAGAPGPPAPNQQVLVAQQLALQVQQQALQAPIQPYRTRTTALQHLSSTTAPTWHLTTSRPPSFNTP >PAN44858 pep chromosome:PHallii_v3.1:9:4358185:4362543:-1 gene:PAHAL_9G075600 transcript:PAN44858 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUR3 [Source:Projected from Arabidopsis thaliana (AT2G45490) UniProtKB/TrEMBL;Acc:A0A178VSR7] MAARQEWSMSDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTYKAKLEKYRFHAHLRREIEIQHGLDHPNVLRLFAWFQDEERVVLVLEYAARGELYKVLRAAGHFTERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAARSNAKRHTLCGTIDYLAPEMIEKKAHDYAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRIVRVDLTFPSSPCVSSEAKDLISKLLVKDSSKRLSLEDIMKHPWIKKNAEPSGSCIKQKDLGRVKPVLI >PAN51202 pep chromosome:PHallii_v3.1:9:69680734:69682554:1 gene:PAHAL_9G577800 transcript:PAN51202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITLATTMVKPVYSTPHPLAGEKVPLTVFDRAAIDLFVPTVLAYPAPAPTNEALKEGLRKAVATYPHLAGRLAVDDRRRRFLHVNDEGVLVLEAALSADLSDVISNGMPAGNVDKLYPTLPEENVGAALLQIKLNRCRCGGLVIGIICHHHVADGHSMSTFFTTWASAVRAGNDFTFPSPPFLDRAATAAPRGTPAPVFDHRSIEFNGSDDGRSSRPYAVVPMDKIKNLTVHFTDKFVAELKTRAGVRCSTFQCLLAHVWKKITAARGLEPEEFTQVRVAVNCRSRADPAVPMDFFGNMVLWAFPRLQVRDLLNSSYGRVVHAIRDAVARVNGEYIQSFVDFGAVADASGEELDATAAPAGTILCPDLEVDSWLGFQFHQMDLGTGRPCAFLAPDLPVEGLMIFVPSLAGMAVGGVDLFMGVAECHAEAFEQICHSVD >PAN51719 pep chromosome:PHallii_v3.1:9:71901537:71907845:1 gene:PAHAL_9G615400 transcript:PAN51719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCGCLVLEKVEDHSGEAARGRVKVAPGAASGCGGSCAGVWRRRSEAIFPIYVMGSSRASTVAAARGIVDSAEDPIWEAIKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDICRSIRLDAQAFKDRDPACAQYSWALLYLKGYQSLQSYRISNVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALIGAGATILGNINVGEGAMIAAGSLVLKNVPPHSMAVGNPAKVVGYMEKEDPSLTMKHDARRDYFEHVAIRYSDD >PAN48564 pep chromosome:PHallii_v3.1:9:56237638:56243958:-1 gene:PAHAL_9G390600 transcript:PAN48564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAWEMHPLCCLAGEWPGSGGGLADGGRSPPPEAEGEAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPHPAAEAAGGVRLIGVARGAGGAAERPVGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRATWIEALVTARSEYSFNGDLSGDQNDASFSTEKLRNRLHAEGVGEAIIKDCEQIVNSEFSQYQTQMKQRCEEYLSFIGSLPREVEVVNSTDTTVTEKPQLELFKHDCSSSGKCSEYSNTESSDDVGKQEISELSDGDEFHFYDTRQSFSDFAASPDMRRRCLNNGNEDHRSIESLTTDKANEDLSYSKRRTKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDRAYECGLRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPDGVLTLEFDDGETFQWSKVTTTINNLILGRVYCHHHGTMNISGNRQYSCKLTFKEQSFLDRNPRQVQGVVKDADGTKVATLTGKWDENVHCIIGDDASKVKSHGSHQSTGATLLWEKNKPSANPTRYNLSSFAITLNELTPELKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARKMQESGWKPRWFQRGSEDGSFRYVGGYWEAREQRKWDGCSDIFGNLSSSPKLQPSTLYASSSI >PAN48563 pep chromosome:PHallii_v3.1:9:56237685:56243958:-1 gene:PAHAL_9G390600 transcript:PAN48563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAWEMHPLCCLAGEWPGSGGGLADGGRSPPPEAEGEAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPHPAAEAAGGVRLIGVARGAGGAAERPVGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRATWIEALVTARSEYSFNGDLSGDQNDASFSTEKLRNRLHAEGVGEAIIKDCEQIVNSEFSQYQTQMKQRCEEYLSFIGSLPREVEVVNSTDTTVTEKPQLELFKHDCSSSGKCSEYSNTESSDDVGKQEISELSDGDEFHFYDTRQSFSDFAASPDMRRRCLNNGNEDHRSIESLTTDKANEDLSYSKRRTKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDRAYECGLRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPDGVLTLEFDDGETFQWSKVQGVVKDADGTKVATLTGKWDENVHCIIGDDASKVKSHGSHQSTGATLLWEKNKPSANPTRYNLSSFAITLNELTPELKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARKMQESGWKPRWFQRGSEDGSFRYVGGYWEAREQRKWDGCSDIFGNLSSSPKLQPSTLYASSSI >PAN48505 pep chromosome:PHallii_v3.1:9:55759143:55761465:-1 gene:PAHAL_9G386200 transcript:PAN48505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDWKARVVAGALGMEVVDEEEDDAVAAIPPPQTPLEPMEYLSRSWSVSASEISKILVGGGKKSGVAAATSRLPEMTIPEDSVLATSIVPLPCRQQHRDARRNSMSSGHHQSIGRWFQVHHRETCRVRQRGKEKQRAEKAHVHAMVSVARVAAAVAAVAAATSCDAQATKMAAAMASATELLASHCVEAAQHAGARHDQVAGAVQAAVGVRSPGDLMTLTAAAATALRGAATLKQRVQRETRSNASVLPYEKGHSWSTDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVMLKLKSKHIGGALSKNNKSVVYGVYSELPTWAEPGKDSMEETCCFGLSTAQGLVEFECESSASKQKWVDDVQNLLRQVALQEQVGNKLGLLKLS >PVH32515 pep chromosome:PHallii_v3.1:9:58465806:58466832:-1 gene:PAHAL_9G417300 transcript:PVH32515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPLGYLEVAHVQYYDADLKSFPVVNTDQGYGHHWPSCKKGNPEDIAALLAVRGPPKKKRKTTKASTEESIVLFDGEAPASSMTFPPSQSVQPTTKKKIRKGTLDSGDSIR >PAN51746 pep chromosome:PHallii_v3.1:9:72082499:72087178:1 gene:PAHAL_9G617600 transcript:PAN51746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDADADANAGGEPAAAQATLHIRCTNGSKFAVRADLGATVGAFKAIVAGSCDVPAPQQRLIYKGRILKDEQTLASYGLETDHTIHMVRGAAPPPASTAPAANQETSTTAPASSPAAGLGGLLPGLGATGAANSGGLGLFGSGLPELDQMQQQLADNPNLMREIMNMPVMQNLMNNPDLIRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMASEGDRNPNPFVALLGNQGSNQTRDAAAHAPTTASDPAAGSPAPNTNPLPNPWGSNAGSAQGAARPPPASNTNTRSATPGGLGGLGSADLGSMLGGGADASFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFLRQLTSPETLQQLISFQQSLMPQLGQQQAGQERTQSGTAAGNVNLNTLMNMFSGLGAGGGLGVPNAPNVPPEELYAAQLAQLQEMGFFDTQENLRALIATAGNVHAAVERLLGNLGQ >PAN45857 pep chromosome:PHallii_v3.1:9:9036783:9038828:1 gene:PAHAL_9G147500 transcript:PAN45857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAPTSLLQRLLAACRRAFRGPGTVPAPDDVALIRGILDKMGPEDVHLSAVTKAAADSGVQRRRRRIITRTTIHECANFSVVVFLLPPGAVIPLHDHPGMTVFSKLLLGSLHVTSYDWAAGAGAVPTPRDGHPAVRLARLVLDADLRAPCGALVLFPESGGNMHRLAAATACAVLDVLGPPYSGDRDCIYYQDLPYSQHRLLACCANGDDEAAGGDVRAGAAGDDEHRRRQGARRLGWLLETGRPKELEMYELGAVQGTSDPGRVGCRSTGGHADSRDRSDRAASRADA >PAN49697 pep chromosome:PHallii_v3.1:9:62358981:62359658:-1 gene:PAHAL_9G468700 transcript:PAN49697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAFLALLSLSVSAATAYISPVFAAATTTIPLYSPQATIAATHPCVQYYAQLQALASGILTPSAVLIQQPLAIIKQQCQAHLAVQSILALQHQQVLVNPATTLLPNVVNQVALANPIAAAYLQQQQFLPNVFNQVAMTNPLTYWQQQQRLSNVNQVAFANPIAATYWQQQQLLPSMFNQVAMASPVTYWQQQQLLSNVFNQVALANPTAYLQQPFIGGAIL >PAN44239 pep chromosome:PHallii_v3.1:9:1540506:1541399:1 gene:PAHAL_9G027400 transcript:PAN44239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEDGSFRPRDDASSIALLRSLRSGDVDLSFVHRADVCSAAPADLVANLEPVPGTDLDQGGYNAIWLFYCPKRFKNAQGKPSGHRQRAIAGDGTCWHSEAGPKPVKGLDGATLSNLSYGRKEGGSSRSFNRMGWCMTEYDDKHGGGGSGGDHVLCKIYRSSSSLAKAGKSKPPTTQRSSGCKRKATGDEPQARPTKTSHKQACAGVDQEEFLLTDQQMAIPEPESLLPTEEEQFQDNTLFTFQELLGGPGYGEYYGVCSPDTQFTMDEMFSRSSGSCSMPTAMAPLDAGFFEGLAF >PAN50301 pep chromosome:PHallii_v3.1:9:65292982:65297433:1 gene:PAHAL_9G511200 transcript:PAN50301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSLLLLHSCTAPLQTRPFRMHSWAAPSRVVVCSAASAEGFISAAPILLPEGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKNVLSTSKTGRAVLINAGQANAATGDLGYQDAVDSADAVAKLLNVSADDILIQSTGVIGQRIKKEALLNSLPRLVGSLSSSVQGANSAAVAITTTDLVSKSIAVQTEIGGVAIRIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSGILSLDSTEAKQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVSGANNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDANQLDISLGAIPLMKNGQPLPFDRSAASKYLKDAGDVHGTVNIDISVGSGGGNGKAWGCDLSYKYVEINAEYTT >PAN44015 pep chromosome:PHallii_v3.1:9:705327:708977:1 gene:PAHAL_9G011500 transcript:PAN44015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFLVLFAEGAVALLLMVKVGPLRELAMRGVDQVKTGKGPATVKTLACTLTVILMSDVASILKIQNRGLKVGTVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLRKLITLRKTSSTSREEVEKLQMENRSLREKEDKSSSEMKKLQREIAKLNESMKKLKSETEEHERKASVAEAHVNALQKQSEELLLEYDRLLEDNQILQTQLLSRG >PVH31459 pep chromosome:PHallii_v3.1:9:9226364:9230853:-1 gene:PAHAL_9G149900 transcript:PVH31459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMMSPPLLSPFPCPLLRFLCLYHAPRRRNPTAARIPPHTFRRRPLLLLPAAMSSSASTTAPDSVVADPSALARKVAAIRAAGLAKLQVIADFDGTLTRYWYEGARGQSSHGLLRQGNEEYDAKRVALYEHYHPIEICPDIPLPEKAKLMEEWWEKTHGLLIEGGLTYEDIKKSVSDAAIAFRDGVVELFEYLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVAFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGSIDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNANIEKSLKDYSKAFDIVYLNDAPMRGVVELVSELCP >PVH31458 pep chromosome:PHallii_v3.1:9:9226850:9230853:-1 gene:PAHAL_9G149900 transcript:PVH31458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMMSPPLLSPFPCPLLRFLCLYHAPRRRNPTAARIPPHTFRRRPLLLLPAAMSSSASTTAPDSVVADPSALARKVAAIRAAGLAKLQVIADFDGTLTRYWYEGARGQSSHGLLRQGNEEYDAKRVALYEHYHPIEICPDIPLPEKAKLMEEWWEKTHGLLIEGGLTYEDIKKSVSDAAIAFRDGVVELFEYLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVAFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGSIDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFL >PVH31460 pep chromosome:PHallii_v3.1:9:9225811:9231044:-1 gene:PAHAL_9G149900 transcript:PVH31460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMMSPPLLSPFPCPLLRFLCLYHAPRRRNPTAARIPPHTFRRRPLLLLPAAMSSSASTTAPDSVVADPSALARKVAAIRAAGLAKLQVIADFDGTLTRYWYEGARGQSSHGLLRQGNEEYDAKRVALYEHYHPIEICPDIPLPEKAKLMEEWWEKTHGLLIEGGLTYEDIKKSVSDAAIAFRDGVVELFEYLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVAFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGSIDDYSLVKKRTNVLLLGDHIGMQTSRNP >PAN45589 pep chromosome:PHallii_v3.1:9:7728930:7734254:1 gene:PAHAL_9G129400 transcript:PAN45589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPSACVGKPHTPRSGDAAGRSGGGGGARRRRSRRAGKGRRKAPSRAASMETIQEAEVPGSPSGLDAAADHRTYSNPAFQVSGSIEEAWYDSLAMSESDAEDDFHSVQDDAFSLNGFESEATLSSRDGNGGSFNGAAQSGEHHHRKPKSSELSKGSLENGVRTSVSHDDVASVSGADSTQGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLDSKILNSWSHVDPGTFRVRGANYFRDKKKELAPNYAAYYPFGVDVYLSSQKLNHISRFVQLPDIQLSSKLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDGYSKELPPSFIESIRRLVDDHVEKIKAFPMETSIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDSYFEIDIDMHRFSYISRKGFETFLDRLKACVLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYHPLLTHGA >PVH31380 pep chromosome:PHallii_v3.1:9:7728930:7734254:1 gene:PAHAL_9G129400 transcript:PVH31380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPSACVGKPHTPRSGDAAGRSGGGGGARRRRSRRAGKGRRKAPSRAASMETIQEAEVPGSPSGLDAAADHRTYSNPAFQAVSGSIEEAWYDSLAMSESDAEDDFHSVQDDAFSLNGFESEATLSSRDGNGGSFNGAAQSGEHHHRKPKSSELSKGSLENGVRTSVSHDDVASVSGADSTQGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLDSKILNSWSHVDPGTFRVRGANYFRDKKKELAPNYAAYYPFGVDVYLSSQKLNHISRFVQLPDIQLSSKLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDGYSKELPPSFIESIRRLVDDHVEKIKAFPMETSIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDSYFEIDIDMHRFSYISRKGFETFLDRLKACVLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYHPLLTHGA >PVH31378 pep chromosome:PHallii_v3.1:9:7728930:7734254:1 gene:PAHAL_9G129400 transcript:PVH31378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPSACVGKPHTPRSGDAAGRSGGGGGARRRRSRRAGKGRRKAPSRAASMETIQEAEVPGSPSGLDAAADHRTYSNPAFQVSGSIEEAWYDSLAMSESDAEDDFHSVQDDAFSLNGFESEATLSSRDGNGGSFNGAAQSGEHHHRKPKSSELSKGSLENGVRTSVSHDDVASVSGADSTQGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLDSKILNSWSHVDPGTFRVRGANYFRDKKKELAPNYAAYYPFGVDVYLSSQKLNHISRFVQLPDIQLSSKLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDGYSKELPPSFIESIRRRGS >PVH31379 pep chromosome:PHallii_v3.1:9:7728930:7734254:1 gene:PAHAL_9G129400 transcript:PVH31379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPSACVGKPHTPRSGDAAGRSGGGGGARRRRSRRAGKGRRKAPSRAASMETIQEAEVPGSPSGLDAAADHRTYSNPAFQAVSGSIEEAWYDSLAMSESDAEDDFHSVQDDAFSLNGFESEATLSSRDGNGGSFNGAAQSGEHHHRKPKSSELSKGSLENGVRTSVSHDDVASVSGADSTQGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLDSKILNSWSHVDPGTFRVRGANYFRDKKKELAPNYAAYYPFGVDVYLSSQKLNHISRFVQLPDIQLSSKLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDGYSKELPPSFIESIRRRGS >PVH32093 pep chromosome:PHallii_v3.1:9:35542255:35544892:1 gene:PAHAL_9G309700 transcript:PVH32093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRIKDVSGGIVDWADGTWLQEAADAEIVLDGKNLVHAIKPTNEKAAISAERVQALHFLRYQISSSLKNEYMTERDPKVLWKASHEHFENMETYKKENYKYIGLSNALQQDQGEDEELMQNYLTHPTSSLSKPDANIVSSSHGSMKIKGRHRRRHLRIPPGGEYGYRTRKCYRCGMKGHWSRICSTLKHIVKLYQELNARESSKSKKMETHPSKPEEKKNEAPITIEEKTKGPATEEEAEDMLVDIKPTDTMADILKNLKKAIQEDDDLLGEELEDMHGDSV >PAN51965 pep chromosome:PHallii_v3.1:9:73167059:73170579:1 gene:PAHAL_9G633200 transcript:PAN51965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHATSGVVGAGALAYATLALAALRLLLSYKSALYALRRLWRCADEWAQAYQYHEVPRFACDGAENPLFRKAAAYVAALPSLEDSDAASVLSSASRTNGGLSLQLGPGHTARDSFLGARLAWTNADGGGRERLVLRVRRHDRTRVLRPYLQHVESVADEMEQRRRELRLFANTGVDGATGAPRWASAPFTHPATLDAVAMDPDLKARVRADLENFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRAGSDDLSALLRHTTPRSLILVEDLDRYLQAGGDGEARVLSFMDGVASCCGEERVTVFTMRGGKEAVDAAAVRPGRLDVHIQFTLCDFEAFKTLASNYLGLKDHKLYPQVEEGFHAGARLSPAELGEIMLANRGSPSRALRNVITKLQHVSGGAPPRNPAHKRNTSWSVTGQQWEEQSARASADSTEADETAAGAPAGGGVFGKDAPMREFKKLYGLIKIKSRREGAGVVPLEGEAHGPPTPGNHDRERLVS >PAN45323 pep chromosome:PHallii_v3.1:9:6437980:6441988:1 gene:PAHAL_9G110100 transcript:PAN45323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAERGEEAALEQGLLAPEEPNQLIYTGDGSVDFSGNPVVKETTGRWKACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNASAASNVTTWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMAILTLSASVPVLMPPSCEGSFCPPASPFQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWFYFSINIGALISSSFLVWVQDNLGWGLGFGIPTVFMGLAIISFFSGTSIYRFQKPGGSPITRVCQVVVASLRKWNVHVPEDSSLLYELPDGVSAIEGSRQLEHTDELTCLDKAATITDVDVKTADFSNPWRICTVTQVEELKILLRMFPIWATTIVFSAVYAQMSTMFVEQGMVLDPSLGSFKVPPASLSTFDTLSVILCVPIYDYILVPLARRFTGNERGFTELQRMGIGLVISVITMSVAAILEIKRLAIAREQHLVDQNVPVPLSIFWQIPQYFLVGLSEVFTFIGALEFFYDQSPDAMRSLCSALQLLTTAFGNYLSTFILTMVAYFTTRGGNPGWIPDNLNQGHLDYFFWLLAALSFLNLVIYVVCAGKYKSKKAA >PAN45777 pep chromosome:PHallii_v3.1:9:8694207:8695692:1 gene:PAHAL_9G141500 transcript:PAN45777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSPRRVVSVLTLFVLVSSSLAAAAAAQNEPEAQPPTTHGVCGGPDVGGRCHSVPRALRLKLIAIPAILLASLLGVCLPLVSRSVPALHPDGDLFVVVKAFASGVILGTGYMHVLPDSFNDLSSPCLPRRPWAEFPFTAFVAMLAAVFTLMVDSLMLTFHSRGRGNKASAAVAHHGHGSPPPQVHLHGHGHLDDMSEPAASTEAVGKVEEEDVEAGRTQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQLFEGMGLGGCILQAEYGARMRSVLVFFFSTTTPFGIALGLALTRVYSDSSPTALIVVGLLNAASAGLLHYMALVDLLAADFMGPKLQGSVRLQLVCFPAVLLGAGGMSVMAKWA >PVH32230 pep chromosome:PHallii_v3.1:9:49454932:49455663:-1 gene:PAHAL_9G348900 transcript:PVH32230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREDPITYEELPVEHKQKYDEIKTLFEADLIDYFERTRYHGVRWKGFSPEGVLDDVDPSTPSEDRTRALRQEVNYMVAHPLHRHSESLVNAFERVALRVVQDIIHKGELPFQARPPLPYTFAALESQGPPAYVVYKMGGDPVDHQFFNEPPKEIPHGYMCAYIPDSNNPVYSAQRATEGVSGIDANKQAWLAKYATGLSHDNTHSAPGVQTMDQISTILRDQFGILLKR >PAN46613 pep chromosome:PHallii_v3.1:9:12668003:12671878:1 gene:PAHAL_9G198200 transcript:PAN46613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSVFTPAALSASAPSSRRRATAAVCLPGVRRSRLASRGVRCSAGQGGVKVPAKLAELWAAAKDAPPLAVLAGVAAAVAIYKVGSSLLAPRPPPPRRVETQMAPPPPVPEPVQVGEITEEELRQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGAYALFAGKDASRALAKMSFEQKDLNGDISDLTPMELSSLNDWEYKFTSKYVKVGTIRRAAPAEEGYASISPEIREEVSVPVPVLDLEPEPIDDDAP >PAN44660 pep chromosome:PHallii_v3.1:9:3400486:3402058:-1 gene:PAHAL_9G059700 transcript:PAN44660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLFSSSWKRGGGGGDGDIESGGVEMSAPPGAAAGASLDKFFEDVESIKDELRDLERIQRSLHDANEGGKSLHDAAAVRELRSRMDADVAAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVASLRKKLRDSMEAFSSLRSRIASEYRDTVARRYFTVTGSQPDEATLDALAESGEGERFLQRAIAEQGRGEVLGVVAEIQERHGAVAELERSLRELQQVFNDMAVLVAAQGEQLDDIEGNVGRARSFVDRGREQLQVARKHQRSTRKWTCIAILILLVIVLVTVLPIVLKNVNNNKSN >PAN43884 pep chromosome:PHallii_v3.1:9:17371:18399:-1 gene:PAHAL_9G000100 transcript:PAN43884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGGTAASSSSHVRRIAHRTRDSCAAALANTLCSLLLGLLLVAAVVLFVLWLGLRPHRPRFNIASFSVAGGLDPDYSPAGTSLAFNVTDRNPNRHIGIYYDAMHASVHFYDELVASGPAFAARWYQPNKTTTSITGLLDVLGPATTDASWPSFSAAVRSGRVPLRLQLTTAIRFRVTNAFHSGRQRMHVSCDLLVGVDGNLLPESHGAACDRYF >PVH30944 pep chromosome:PHallii_v3.1:9:1093516:1096262:-1 gene:PAHAL_9G019100 transcript:PVH30944 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1 [Source:Projected from Arabidopsis thaliana (AT3G02875) UniProtKB/Swiss-Prot;Acc:P54968] MHACGHDAHVAMLLGAARLLQSRRNYLKGTVKLVFQPAEEGHAGGYHVLKESVLDDVQAIFGLHVDTALPVGTVGSRPGPFLAGSARFTVTITGKGGHAAGPQFVVDPIVTASSTVLSLQQLVARETDPLQGAVVSVTFIKGGEAFNVIPESVTLGGTFRSMTNEGLSYLKRRIREVIEGQAGVGRCTAAVDFMEEDLRPYPATVNDEAMYAHAKAVAEGMLGEANVRVCPQFMAAEDFGFYAEKIPAVFFDVGVRNAGKGKVSHLHSPHVVIGEGALPIGAAFHAAVAIDYLSKHASSSSS >PAN44504 pep chromosome:PHallii_v3.1:9:2720728:2729851:1 gene:PAHAL_9G048600 transcript:PAN44504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGGGRGGRGGGGGDRDRGDQRPPYSGGGGGSHSFVWPPPPSTPRPVPVPYKVPMGYRAPMVLPHQAAYGVPAAVYRAPAPAGPPVSFSPAPRAVAVTIRAPPPSASAAPPAPRQLAQGAPTRASEPAPASSAPSAAALAKEVEKKLFVSETALAPPAAAAEAAVAAAQGAAASDAEDASGVDLAPVSKKGLAHPARPGIGTVGKSVRIRANHFLVDVADNNLFHYDVAINPESKSRATNREVLNELIKLHGKTLLGGKLPAYDGRKSLYTAGSLPFDSEEFVVTLVDPEKKEKERAEREYKITIRIAGRTDMYHLHQFLRGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLSIRDTSRPLSDRDRVKIKKALRGVRIETNHQKDQIRRYKITGVTPMPMSQLIFPVDEKGTRQTVLQYFWEKYNCRLKYGSWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDRQVTNILRATCKRPQEREQSIRDMVLHNNYVEDKFAQEFGIKVCNDLVSVEARVLPPPLLKYHDSGREKTCAPSVGQWNMINKKMINGGTIDNWTCLNFSRMRPEEVQRFCMDLTHMCNATGMNVNPRPFVEVKSAAPNHIENALRDVHRRATQMVAQQGTGNQLQLLIVILPEVSGSYGKIKRVCETEIGIVSQCCLPKHASRPNKQYLENVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDQRICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADGLQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKDNVKDVMFYC >PAN44505 pep chromosome:PHallii_v3.1:9:2723717:2729998:1 gene:PAHAL_9G048600 transcript:PAN44505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLHQFLRGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLSIRDTSRPLSDRDRVKIKKALRGVRIETNHQKDQIRRYKITGVTPMPMSQLIFPVDEKGTRQTVLQYFWEKYNCRLKYGSWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDRQVTNILRATCKRPQEREQSIRDMVLHNNYVEDKFAQEFGIKVCNDLVSVEARVLPPPLLKYHDSGREKTCAPSVGQWNMINKKMINGGTIDNWTCLNFSRMRPEEVQRFCMDLTHMCNATGMNVNPRPFVEVKSAAPNHIENALRDVHRRATQMVAQQGTGNQLQLLIVILPEVSGSYGKIKRVCETEIGIVSQCCLPKHASRPNKQYLENVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDQRICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADGLQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKDNVKDVMFYC >PAN44399 pep chromosome:PHallii_v3.1:9:2211267:2219984:-1 gene:PAHAL_9G039800 transcript:PAN44399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMSRTRDLLMEGLEGLVREGSFKWGLPRREDDDDEGHDGSLSGKRPSIAGLSFKANSVVARCSRILNVSINDLQKNFDKQASDSVKNTGNYARNLLEYCCFLALAQISQVAGYLADKNFRRLSFDMMLAWDVPSSSSQHSVKVEVDSTVSLEAFARIAPAIPTIADVVTCSNLFDALSCSSGGCLPFSVYDKYLSELDRAVKKMKTQSESSLLSNLRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLVLTDHALYFEALRVVTYDKPKAYELAEDVKQVVKPELTGPWGSRLFDKAVMYKSTTLPEPVIIEFPELAGHSRRDYWLAIISEVLYAHRFVRKFDISGVNKDETILKAALGILRLQAIEQLGFPVPNRYESLLMFNLCDKVPGGDFILETLASAISSRTSDRSNQPGTSRGMHAVLSNLGVVAPVNNGERLFVGEMVVGEISSLQKAVIDSMNNYKKVELAQATVDGVKVEGLDTNLAVMKELLSPVSELWRILLLLTSWDEPLKSMVFCFLFSYIIIRGWVVYFMVMVLLFSAVFMFLTRLTNQGKPMSEVKVVSPPPMNTMEQLLAVQNAISKIEELVQDANIVLLKIRALLLAFPSQATDKAIVASVLMALSLAIVPTRVLMLLMFLEVCTNNSPPRRASTERWTRRLREWWFSIPAAPVVVEKETEDKKTR >PVH31025 pep chromosome:PHallii_v3.1:9:2214356:2219984:-1 gene:PAHAL_9G039800 transcript:PVH31025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMSRTRDLLMEGLEGLVREGSFKWGLPRREDDDDEGHDGSLSGKRPSIAGLSFKANSVVARCSRILNVSINDLQKNFDKQASDSVKNTGNYARNLLEYCCFLALAQISQVAGYLADKNFRRLSFDMMLAWDVPSSSSQHSVKVEVDSTVSLEAFARIAPAIPTIADVVTCSNLFDALSCSSGGCLPFSVYDKYLSELDRAVKKMKTQSESSLLSNLRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLVLTDHALYFEALRVVTYDKPKAYELAEDVKQVVKPELTGPWGSRLFDKAVMYKSTTLPEPVIIEFPELAGHSRRDYWLAIISEVLYAHRFVRKFDISGVNKDETILKAALGILRLQAIEQLGFPVPNRYESLLMFNLCDKVPGGDFILETLASAISSRTSDRSNQPGTSRGMHAVLSNLGVVAPVNNGERLFVGEMVVGEISSLQKAVIDSMNNYKKVELAQATVDGVKVEGLDTNLAVMK >PVH31024 pep chromosome:PHallii_v3.1:9:2213889:2219985:-1 gene:PAHAL_9G039800 transcript:PVH31024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMSRTRDLLMEGLEGLVREGSFKWGLPRREDDDDEGHDGSLSGKRPSIAGLSFKANSVVARCSRILNVSINDLQKNFDKQASDSVKNTGNYARNLLEYCCFLALAQISQVAGYLADKNFRRLSFDMMLAWDVPSSSSQHSVKVEVDSTVSLEAFARIAPAIPTIADVVTCSNLFDALSCSSGGCLPFSVYDKYLSELDRAVKKMKTQSESSLLSNLRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLVLTDHALYFEALRVVTYDKPKAYELAEDVKQVVKPELTGPWGSRLFDKAVMYKSTTLPEPVIIEFPELAGHSRRDYWLAIISEVLYAHRFVRKFDISGVNKDETILKAALGILRLQAIEQLGFPVPNRYESLLMFNLCDKVPGGDFILETLASAISSRTSDRSNQPGTSRGMHAVLSNLGVVAPVNNGERLFVGEMVVGEISSLQKAVIDSMNNYKKVELAQATVDGVKVEGLDTNLAVMKELLSPVSELWRILLLLTSWDEPLKSMVFCFLFSYIIIRCITCGW >PAN51499 pep chromosome:PHallii_v3.1:9:70922269:70926791:-1 gene:PAHAL_9G598600 transcript:PAN51499 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 38 [Source:Projected from Arabidopsis thaliana (AT3G53110) UniProtKB/Swiss-Prot;Acc:Q93ZG7] MADKASPEKKSWADVEEEEEAKAKAEAAAAAAAAAASSSSTSEPAVEAQAKQIESLSLAPPEDDAAGEEGPPLLDDSDDAQIQAVTSGGTVYESATTFEDLKLSPELLKGLHDEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPNRRIPQAICICPTRELAQQNKAVLMRMGKFTGITCACAIPPAQKDYVPVSKMPPINDQIVIGTSGTLIKWITNKKVATREIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTKVIKDGNQIFVKKEELTLEKVKQYKVQVPDEAAKIEVIRDKIFEFGQKVGQVIIFVRTKQSTKNVHNALTREDYVCSSIQGSLDQGEREKIIQEFKDGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNFLCGETDNVVMKKIENYFQHQVPEVRNWKNEENFETALKDAGLL >PVH32028 pep chromosome:PHallii_v3.1:9:31587100:31588364:1 gene:PAHAL_9G295700 transcript:PVH32028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWSPDFHTFTDLLQSDGSQSSPQDDPSPMHRRSDVNSSSQPRALFPPAAPPAPGAPPPPYHYPYGPYSYPIPPYAPPPGTRSGTEGPYPPPSYAPPPYAPPPYAPPPYGLCPPPPHAAPMVRSSVSVHAWVFHSNDSITGNNQTGSSFWGQIAETFNSIVEPSRHRTVKQLKDRWTVCNREVALFNGYYIQGERVRQSGADDAMVMEETMARYENDLKVRTAFKRHHWWRAVRHEPKWAVKYGPGSGSDVSSKRTHLGVSGEYSSGGTEDTEQDNETRPIGRDRAKAAKRKEKAKGKESSSSSAVGSKAFAMKNMWGGLVKAKLFKQWNIMKSRSTADMDEAEKRTHFKAIKIVEKEFGLDEDSEED >PVH30937 pep chromosome:PHallii_v3.1:9:981809:982033:1 gene:PAHAL_9G016800 transcript:PVH30937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCISVSWPGSPVCLQVGFPPPPRLPPGAGRLGLVFILRWGAAPLEHRRQAGAGSCASTERLWGGGGVPPLLCI >PVH31846 pep chromosome:PHallii_v3.1:9:16984902:16986143:1 gene:PAHAL_9G247000 transcript:PVH31846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRAGSARAIRFTPIGLPPGAAEDPEFAAAAAAGVPWGYFPISTAGTNWVGPSSAIAAAVAAPPGSSSAIVAAGARGYHVLRIDGYSRTRSVVPNGKYIESSPFRAAGHTWTIKYYPNGINSRATGCISLFLVLKDPVADRLMVQFWFSFLDQLDQQTPAYLGTIPPSKFKADGSWGRNEFIRSENLELSGRLMDDGFTVRCDLIVAGEIRTEGTAPSVVVPPSDCLQHLGALLLSGQGADVRFLVGGKTFSAHRCVLAARSRVFNAQLFGAMREAIASEESVIQIDGMAPQVFESLLHFIYTDSLLEMEGLDEAAAAAMAQHLLEAADRYDLQRLKLMCEDRLCQHIDVSTVATTLALAEQHHCQGLKEACYEFLRSSKTLNEVMETDGFQHLVKSCPSALFELMSKLAER >PVH32118 pep chromosome:PHallii_v3.1:9:39074229:39075627:-1 gene:PAHAL_9G319300 transcript:PVH32118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRCMELKNTLDQMNLEDCGLVNIEDDEARVFHVGDFEYEYVVDPNIGSKSSEQYDAAYRDLSKKHHMQLYFHDTDETIAHRV >PAN50129 pep chromosome:PHallii_v3.1:9:64566489:64570329:1 gene:PAHAL_9G500500 transcript:PAN50129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALECWSGRPSTDEEMVEQVLMKPHGRSDDSLPTCADSAYAGEPTSAPVPPKKWQRLGRNFAGAIAAFKNTLSLDGGGLPRDPSPRAEKPPPLLLRGLAQLYSRGANNQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQATGEDQPAVNIEEIHGRGGGDEGTTFQLTFACIAPLSWQSMSGSLDSPSFCCKKIQIFEKRGLTLGVVMILVQSGNEVVFKNRVESALKSVVKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPIHRPQLPTPLPQSSVFVSVDEWQTIRSGGEELGRWMLRSEEIEFIDWVGANSFKGVFRGKKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDIIMQRNKRLSLRDTVRIALDVADGLAFMNSYGIAYRDLNSRKILLDRQGNACLGDMGIVTPCNNVGEVTEYETSGYRWLAPEIIAGDPETVSETWMSNVYSYGMVLWEMITGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPPFLRSLMNRCWDNNPLKRPQFSEIISILQRQNAR >PVH31992 pep chromosome:PHallii_v3.1:9:29809848:29811349:1 gene:PAHAL_9G286100 transcript:PVH31992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGGAPRLLSSRESIHPLSVYGELSLEHRLRFVLNGKMEHLTTHLHRPRTTRSPLSFWGDGGIVSFEPFFMLFPAV >PAN44111 pep chromosome:PHallii_v3.1:9:1048525:1054964:-1 gene:PAHAL_9G018100 transcript:PAN44111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALASPAASFLPGILNPSASPNPRAPLRSAAAAAALSRSPCPSSRSVAAAAAAATGDHWGADHYHGGGRVTSPEAGARAAHGVKCDVDVVSWRERRVLASVAVAADVDTLWQVITDYERLADFIPNLVQSVRIPCPHEGRIWLEQRGLQRALYWHIEARVVLDLQEVPDSTNGRELHFSMVDGDFKKFEGKWSIRLGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLRALASRAEKIYLENQRCGSRKFSAVDSKPSSTSSQLKFHSTAIETTSSKFKEAPPTSGVSSVLPSPSSKLISKWGVYGNACRIDRPCVVDEIHLRRFDGLLEHEGAHRCVFASITVKAPVREVWNVLTAYENLPEFVPNLAISRIVLRDNNKVRLMQEGCKGLLYMVLHARVVMDLHEKLEQEISFEQVEGDFYSFKGKWRFEQLGDHHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAGAEGSSSITHSDAPTHSDIDPAQNRQSEQESASCSFSSTKQRPKVPGLQKDIEVLKSELENFIANYGQDGFMPKRKHLRSHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLEEEISRFQKSWGMDPSYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRRADSDDERQSESQAGSGMTKKHGTKPDKANMPPDAQKWLLKLKDLDVNWVEY >PAN52107 pep chromosome:PHallii_v3.1:9:72150953:72155307:1 gene:PAHAL_9G618400 transcript:PAN52107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSRCLLVTGPPGVGKTTLVMRVFETLRASHPNLTIRGFYTREVRESGERVGFEVVTLDGRSGPLASSKVSSPESVRGPTVGKYKVDVASLESLALPELQIKEETDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATMPIPRNGQDIPGVARLRNHPGAAIFTLNTGNRDMMRETIYNQLNCLLQKR >PAN46911 pep chromosome:PHallii_v3.1:9:14641746:14649402:-1 gene:PAHAL_9G224100 transcript:PAN46911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEKAAAAGRRKGSPMLRGCKREAYTHGLRPPQMEALRAMCGAIIPSLPLLPGGGAKAGLERFYRASAADGAIPDEVAQMATRCVWEATLLMRVVLWILSTKVGTLALCGWLCISGRFPYVSKFADMPVERREEVLKRWNKARWLFPLKVTFVIIKILSHYSFYTMVNKDSDNPSWKAIGYSVPDIDRPHKEDRTEEAAPSPSPRPLDSGVVEARSLNDATLLRSLSEKGLEVKADVSGAHHTVRCDVVIVGSGCGGGVAAAVLAAAGHKVVVVEKGDYFTAGDYSSIEGPSMERLYEKGGIFCTSNASTIMFTGATVGGGSAINWSASIRTPEWVTQEWAAEHGLPLFGRPEYAHAMDAVCARLAVTGGCREEGFQNKVLRGGCEALGLRADAVPRNSPEGHFCGSCHLGCPTGEKRGTDTTWLVDSVARGAVVLTGCKAERFILESSPSKNGRSRKCVGLVATCLGDGVTRKLRIEARVSIAACGALMTPPLLRSSGLKNRHIGRNLHLHPVSMAWGYFPENKQQEPRPLTGTCYEGGIITTMHRVNARTIVQAPALGPGCMASLIPWESGRDMKDRMRRYARTAHAFALVRDRGAGTVGGEGRVRYSPGREDVAELRGGLRRALRILVAAGAAEVGTHRSDGLRLRCGGLRDEDLEAFLDEVTVASGPMFPGPDKWALLASAHQMSSCRMGASPRDGAVDGRGESWEAEGLYVCDGSVLPTAVGVNPMITIQSTAYCVSKGIAETLATAYVKKP >PAN46122 pep chromosome:PHallii_v3.1:9:10241836:10245987:-1 gene:PAHAL_9G164500 transcript:PAN46122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAGRGCPRNKSCHCYKRCVDHTDGTMKCLLSHANSNRKHGTTIIQIFGSCCCNKDPSCAPTKNGIMVQEGAANSIDTSRGSSDHATQSSTNGTPESLSSSKESEDRPSESQSSQLGHSKTFSKLWMISNKGDLTPEQEGKIDDFVEKMQPQFPVLVVQMKKSSAKRQNPVVVISKGYAAEYFPQKTQTITLERPGCKKKWYPRLHVRPNRGGYILCGPWKNFVRDNKLKENDICIFQPIKGQRFRVIVHLLGKASTHSRGRRPRDSNNGSARKQSSAAHVHDNSSSRDKFPQLNHCASDDSEEPSHPPPFIVLRHTSLTPAQEKTVDEKVKAIQSDVPIFLANMSEEIVGDSGTFSLDFASRYATPHLPDGKQTLTLSQDGWRKAWHIKMLNRRMLPGELREFAGDNRLRTGDLCLFEPVKKEMLAMAVHIIRSEQYA >PAN52037 pep chromosome:PHallii_v3.1:9:73517463:73519018:1 gene:PAHAL_9G637900 transcript:PAN52037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual-specificity tyrosine-phosphatase CDC25, Arsenic metabolis [Source: Projected from Oryza sativa (Os03g0108000)] MARRGVSYVSAAQLVSMSRDPRVSIVDVRDEERTYDGHIAGSHHYASDSFAERMPELAQATGAKETLVFHCALSKVRGPSCAQMFHDYLSEAKEDSGIKNIMVLERGFNGWEISGRPVCRCKDTPCKGVCS >PAN46441 pep chromosome:PHallii_v3.1:9:11777325:11783664:-1 gene:PAHAL_9G186100 transcript:PAN46441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFLLKGANIIRLLKWPLRRESALSTHLLAEIPPEVELSDYRRLPSSYCESPTVLLHGEDLKAELIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCAIGFFFLFVDWDALAHLKCGVEALEIGEKPCVLMNVIKNDPLIPFTYVKMITIGSMVILTTYGIINFVKFFVKLRSTLNVRDFYYNSLKVTDLEIQTISWPKVVEKVVLLQKSQQLCVVKDLSEHDIIMRIMRKENYLIGMVNKGVVAFSVSCWLPGVGPAVSSHFHGRTSYLMLPKTLEWTLNWCIFQSMFDSKFCVRKDFLASPSILKKRLVIMGIAMLFLSPCLVIFPLVYMFLRHAEEFYNHPSTASSRRWSNLSRWILREYNEVEHFFRHRMNICTLHSLNYLKQFPTPLISIIAKFVSFVSGGLAGILLILGFLGESILEGHVFGRNLFWYTVVFGTIATVSRRVVADELQVIDPEGAMTLVVQHTHYMPKRWRGKESSELVRKEFETLFQYTITMLLEEMASIFITPYLLIFVLPKRVNDILCFISEFTVYVDGVGDVCSLSLFDFQRHGNKNYGSPLDSVKDMRSSQGKMEKSLLSFQSAYTSWQPNPYGKQFLCNLQRFKEKQIRKYTFQAMEESQLVSSSRGQSCSNIFHRLLSRDVFPGNGIIYKFSPLGLLDTDQRAYPYILDWYYMCRSEHLGRDAESSNHPDEPGEDIWPPLSKPLTEIEEEETWDSNVYERALSHLEASTSSAFFQRATFKHQGREQNSRHYTWWAQASARQTYPQDSSAEPLHDSFIEPPDFANHYTSGHGSSQHSDGALNMAHPTGPEDSFHEPPNFGNHYGSGYHSSYHSSDASEGTKELDQRDYRTNSSWTSPQALSKTRYMDDDSDLEQGLTHFVDVPQNDDGSKKEEASGHDVAGICGSMPVSLNVRIIPRGSDPI >PAN48937 pep chromosome:PHallii_v3.1:9:58222360:58225836:-1 gene:PAHAL_9G413800 transcript:PAN48937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPELAKLAFSRVQQMEPQNVGKILGCILLREPDEDEMVQLAYGNDAAVHAKINDAKATLAAIYARCSASHHHHHQIGAAHRAAAAAAAAAGYHPAAASAAGVRHHFSPAAAAFGFQYWPEPAPVPKAQPEFGLVDAGAGAGAEGHHALLQQQNHNGLDDHHHYDAAGGYYYAAAEDAFHNGGAGGGLPPRAAARRANGVSTRRACHYFIKGVCKNGQNCPFSHHHAYSDGFADDSHHNGGGTPGALEKLEMEITELLNSRHGQPLSIASLPTLYGERYGKGLQAEGYLTESQRHGKAGYSLTKLLSRLNKIRVIERPHGQHSVVLAEDAPRYTEFRGERGGGDMGSVPASSHQIYLTFPAESTFQEEDVATYFGQYGPVRDVRIPCQERRMFGFVSFQNPETVSTILMRRNPHFICGARVLVKPYREKSRTYTDRIKPMHYYPTRFFDIDPDFYPDEYEASSRIVRKQLAEKRERLIELERKRFAGIRLEPLPHQFAYFDCSIEDGNPLDCLPADSKDVDLMDRPLNVPDSLEVVSTSQAPQTQASNSCDDKESTEIELLPESPFASAAPAGNSISSII >PAN48939 pep chromosome:PHallii_v3.1:9:58222912:58225336:-1 gene:PAHAL_9G413800 transcript:PAN48939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPELAKLAFSRVQQMEPQNVGKILGCILLREPDEDEMVQLAYGNDAAVHAKINDAKATLAAIYARCSASHHHHHQIGAAHRAAAAAAAAAGYHPAAASAAGVRHHFSPAAAAFGFQYWPEPAPVPKAQPEFGLVDAGAGAGAEGHHALLQQQNHNGLDDHHHYDAAGGYYYAAAEDAFHNGGAGGGLPPRAAARRANGVSTRRACHYFIKGVCKNGQNCPFSHHHAYSDGFADDSHHNGGGTPGALEKLEMEITELLNSRHGQPLSIASLPTLYGERYGKGLQAEGYLTESQRHGKAGYSLTKLLSRLNKIRVIERPHGQHSVVLAEDAPRYTEFRGERGGGDMGSVPASSHQIYLTFPAESTFQEEDVATYFGQYGPVRDVRIPCQERRMFGFVSFQNPETVSTILMRRNPHFICGARVLVKPYREKSRCLERTYTDRIKPMHYYPTRFFDIDPDFYPDEYEASSRIVRKQLAEKRERLIELERKRFAGIRLEPLPHQFAYFDCSIEDGNPLDCLPADSKDVDLMDRPLNVPDSLEVVSTSQAPQTQASNSCDDKESTEIELLPESPFASAAPAGNSISSII >PVH32591 pep chromosome:PHallii_v3.1:9:60096792:60105155:1 gene:PAHAL_9G435000 transcript:PVH32591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESGGLLKQELFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVEVPFRFPQFVNPLPKVFMKKEKIQLTVNSGVSKEVILQGTSHPLKEKSRKGDKLSFLHEAAVENWSTKDFTFTYTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNLDRKVFRKAVVYIVDTSGSMQGKPLESVKNALSTALSDLIQGDFFNIIAFNDELHSFSSCLEQVNDKTIENAIEWMNLNFVAQGGTDIMHPLSEAMTLLSNSHDALPQIYLITDGSVDDERNICHTVKTQLMSKGPKSPRISTFGLGSYCNNYFLRMLASIGKGHYAAAFDTGSIEDRMVQWFQKASNTIVSNISIDAIKHIQDFEVDSEYIPDVSAKYPLCVSGRYHGKLPETLIAKGHLADMSEISIELKVHHVKDIPLDKVLARQQMDLLTAKAWLLENKELERKVVKLSIQNSLPSEYTRMVLLQTSLDKIDPAQQAKNKPTKKSSPDEQSAMPLRGLTLGFGDVMATRENLTTGFGDIKPPEKFEIFDKAVGCCSRVADCFCCMCFIKACSKMNDQCAIVMAQACAALACLGCFECCSELCCGGAN >PAN49230 pep chromosome:PHallii_v3.1:9:60095924:60105155:1 gene:PAHAL_9G435000 transcript:PAN49230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAFARAVDDGLKLTKRLVLPGGGGLPPPRPPHGMDRDDPATANAAWLQHLLPAAPMAYAVVVDPGAVDSPDVPSYQPHVYGRLDPPALIPLQMREADLRVDCAAAGCATAEVALRARWWVHCVTRSRACHCRIVVPMGHQGSILGAEVTVGKRSYNTHVIDTEENSAVKISMPESGGLLKQELFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVEVPFRFPQFVNPLPKVFMKKEKIQLTVNSGVSKEVILQGTSHPLKEKSRKGDKLSFLHEAAVENWSTKDFTFTYTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNLDRKVFRKAVVYIVDTSGSMQGKPLESVKNALSTALSDLIQGDFFNIIAFNDELHSFSSCLEQVNDKTIENAIEWMNLNFVAQGGTDIMHPLSEAMTLLSNSHDALPQIYLITDGSVDDERNICHTVKTQLMSKGPKSPRISTFGLGSYCNNYFLRMLASIGKGHYAAAFDTGSIEDRMVQWFQKASNTIVSNISIDAIKHIQDFEVDSEYIPDVSAKYPLCVSGRYHGKLPETLIAKGHLADMSEISIELKVHHVKDIPLDKVLARQQMDLLTAKAWLLENKELERKVVKLSIQNSLPSEYTRMVLLQTSLDKIDPAQQAKNKPTKKSSPDEQSAMPLRGLTLGFGDVMATRENLTTGFGDIKPPEKFEIFDKAVGCCSRVADCFCCMCFIKACSKMNDQCAIVMAQACAALACLGCFECCSELCCGGAN >PVH32592 pep chromosome:PHallii_v3.1:9:60095924:60105155:1 gene:PAHAL_9G435000 transcript:PVH32592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESGGLLKQELFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVEVPFRFPQFVNPLPKVFMKKEKIQLTVNSGVSKEVILQGTSHPLKEKSRKGDKLSFLHEAAVENWSTKDFTFTYTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNLDRKVFRKAVVYIVDTSGSMQGKPLESVKNALSTALSDLIQGDFFNIIAFNDELHSFSSCLEQVNDKTIENAIEWMNLNFVAQGGTDIMHPLSEAMTLLSNSHDALPQIYLITDGSVDDERNICHTVKTQLMSKGPKSPRISTFGLGSYCNNYFLRMLASIGKGHYAAAFDTGSIEDRMVQWFQKASNTIVSNISIDAIKHIQDFEVDSEYIPDVSAKYPLCVSGRYHGKLPETLIAKGHLADMSEISIELKVHHVKDIPLDKVLARQQMDLLTAKAWLLENKELERKVVKLSIQNSLPSEYTRMVLLQTSLDKIDPAQQAKNKPTKKSSPDEQSAMPLRGLTLGFGDVMATRENLTTGFGDIKPPEKFEIFDKAVGCCSRVADCFCCMCFIKACSKMNDQCAIVMAQACAALACLGCFECCSELCCGGAN >PAN49232 pep chromosome:PHallii_v3.1:9:60098096:60105155:1 gene:PAHAL_9G435000 transcript:PAN49232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKIQLTVNSGVSKEVILQGTSHPLKEKSRKGDKLSFLHEAAVENWSTKDFTFTYTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNLDRKVFRKAVVYIVDTSGSMQGKPLESVKNALSTALSDLIQGDFFNIIAFNDELHSFSSCLEQVNDKTIENAIEWMNLNFVAQGGTDIMHPLSEAMTLLSNSHDALPQIYLITDGSVDDERNICHTVKTQLMSKGPKSPRISTFGLGSYCNNYFLRMLASIGKGHYAAAFDTGSIEDRMVQWFQKASNTIVSNISIDAIKHIQDFEVDSEYIPDVSAKYPLCVSGRYHGKLPETLIAKGHLADMSEISIELKVHHVKDIPLDKVLARQQMDLLTAKAWLLENKELERKVVKLSIQNSLPSEYTRMVLLQTSLDKIDPAQQAKNKPTKKSSPDEQSAMPLRGLTLGFGDVMATRENLTTGFGDIKPPEKFEIFDKAVGCCSRVADCFCCMCFIKACSKMNDQCAIVMAQACAALACLGCFECCSELCCGGAN >PAN49231 pep chromosome:PHallii_v3.1:9:60095924:60105155:1 gene:PAHAL_9G435000 transcript:PAN49231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESGGLLKQELFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVEVPFRFPQFVNPLPKVFMKKEKIQLTVNSGVSKEVILQGTSHPLKEKSRKGDKLSFLHEAAVENWSTKDFTFTYTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNLDRKVFRKAVVYIVDTSGSMQGKPLESVKNALSTALSDLIQGDFFNIIAFNDELHSFSSCLEQVNDKTIENAIEWMNLNFVAQGGTDIMHPLSEAMTLLSNSHDALPQIYLITDGSVDDERNICHTVKTQLMSKGPKSPRISTFGLGSYCNNYFLRMLASIGKGHYAAAFDTGSIEDRMVQWFQKASNTIVSNISIDAIKHIQDFEVDSEYIPDVSAKYPLCVSGRYHGKLPETLIAKGHLADMSEISIELKVHHVKDIPLDKVLARQQMDLLTAKAWLLENKELERKVVKLSIQNSLPSEYTRMVLLQTSLDKIDPAQQAKNKPTKKSSPDEQSAMPLRGLTLGFGDVMATRENLTTGFGDIKPPEKFEIFDKAVGCCSRVADCFCCMCFIKACSKMNDQCAIVMAQACAALACLGCFECCSELCCGGAN >PAN44522 pep chromosome:PHallii_v3.1:9:2793949:2795228:1 gene:PAHAL_9G050000 transcript:PAN44522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTASWSRYGAVPTSPPPPPARPEGVAVADGAAGGEAAAPSSSATAAEAGVAFFSRARAFAGSAAGRPRAWREVLDPTAFSRPDSCGEARARARRNLAYFRANYALAALVLVFLGLVYRPVSMLVFLALFVAWLGLYFGRGEGKPLVCLRREVDDRVVLAVLSAATVLAVALTRAGLNLLVSLVVAAAVIGVHAAFRVNYYLDERDAFDVAGGSFTDNGYGYTLPR >PAN45814 pep chromosome:PHallii_v3.1:9:8901047:8901810:1 gene:PAHAL_9G144600 transcript:PAN45814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLGRRLSRVADSSSSSTSSPSPPETAKRRGVGKAGAAVPEGHVPVDVVEEGSDAEAERFLVRAELLGRPALAELLGRAAQEYGYGRRGPLRIPCSPAAFRRALASLDGSGGDHDG >PVH33329 pep chromosome:PHallii_v3.1:9:72840037:72843940:-1 gene:PAHAL_9G628900 transcript:PVH33329 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC028 [Source:Projected from Arabidopsis thaliana (AT1G65910) UniProtKB/TrEMBL;Acc:A0A178W7T7] MAPVSLPPGFRFHPTDEELIIYYLKRKINGKQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHRRPVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGAVHHPIEQPQWMASSVDRSPTLDLSSDVRGDDFESSSFSFPTEAPMDSMQHGGFGMQMSAGHEDGKWMQFLSEDAFNATNPFFMNPASSNFSCLPSKVDVALECARLQHRLSLPPLEVEDFPQDVSLDTKTSILRSNPNEVDILQEFLSVASASQELINGTSNSFPAEMWPGAGTSSSSSTHYINELSSLVELGVKAKQEVDNFYHMGCIGTSAGFASKSVHVDEPVRLVEIADMEEELKEEKKQVENLRGVRLHNNDLGEIVVEGDESNPTECITQYPISDTADNSGEAGHLTDPTDAGGLDTAPIFSQSQPDDFAIGFDDVNPNTSFDLYEKVDVKHGLFISRVGAAKTFFHRVEPSKKVSFHLNPLASDVSKAIEKQFHFPISSVTTKVSGRVSIFSKFKALIRDKFLVTKPSSYQRSLLGSKETATVSELLQIVSLLLTPKEVAGPTTTEQELVKKKAEKPEFGCEGSDHAWLVPLSKRSKGISSMFFSGKWAFLTSALAIRTPGCNH >PAN51907 pep chromosome:PHallii_v3.1:9:72839760:72845130:-1 gene:PAHAL_9G628900 transcript:PAN51907 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC028 [Source:Projected from Arabidopsis thaliana (AT1G65910) UniProtKB/TrEMBL;Acc:A0A178W7T7] MAPVSLPPGFRFHPTDEELIIYYLKRKINGKQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHRRPVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGAVHHPIEQPQWMASSVDRSPTLDLSSDVRGDDFESSSFSFPTEAPMDSMQHGGFGMQMSAGHEDGKWMQFLSEDAFNATNPFFMNPASSNFSCLPSKVDVALECARLQHRLSLPPLEVEDFPQDVSLDTKTSILRSNPNEVDILQEFLSVASASQELINGTSNSFPAEMWPGAGTSSSSSTHYINELSSLVELGVKAKQEVDNFYHMGCIGTSAGFASKSVHVDEPVRLVEIADMEEELKEEKKQVENLRGVRLHNNDLGEIVVEGDESNPTECITQYPISDTADNSGEAGHLTDPTDAGGLDTAPIFSQSQPDDFAIGFDDVNPNTSFDLYEKVDVKHGLFISRVGAAKTFFHRVEPSKKVSFHLNPLASDVSKAIEKQFHFPISSVTTKVSGRVSIFSKFKALIRDKFLVTKPSSYQRSLLGSKETATVSELLQIVSLLLTPKEVAGPTTTEQELVKKKAEKPEFGCEGSDHAWLVPLSKRSKGISSMFFSGKWAFLTSALAIRTPGCNH >PAN44967 pep chromosome:PHallii_v3.1:9:4839264:4840714:-1 gene:PAHAL_9G084500 transcript:PAN44967 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MPTLTKLYSMKEAALHNTPEDCWVVVDGKIYDVTKYLEDHPGGADVLLEATGKDAKEEFDDAGHSKSAIELMQDYFIGELDPTPEIPEMEVFRKEQDTGFASKLMDSAVRYWAIPAAAVGVSVVVAILYARRK >PAN45702 pep chromosome:PHallii_v3.1:9:8242857:8245878:-1 gene:PAHAL_9G135400 transcript:PAN45702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MAALQPSFSSTMALRSSGSSLKFPKTALLPGFGGISRQDMQDRNASLACLIPKVASVTDQSIAEPSKPRKNKHTVDPTAPEFLPLPSFEECFPRSTKESSEIVHEESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRTGLPKIRKEWIDRREKLGSPRYTQMYYAKQGIITEEMIYCAKRENLSPEFVRTEVARGRAIIPSNKRHLELEPTIIGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGQHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVKEGMNAMSAEFLAARKTVSGEQHGEAGGEIYVPESYAAHK >PAN45701 pep chromosome:PHallii_v3.1:9:8240591:8247119:-1 gene:PAHAL_9G135400 transcript:PAN45701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MAALQPSFSSTMALRSSGSSLKFPKTALLPGFGGISRQDMQDRNASLACLIPKVASVTDQSIAEPSKPRKNKHTVDPTAPEFLPLPSFEECFPRSTKESSEIVHEESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRTGLPKIRKEWIDRREKLGSPRYTQMYYAKQGIITEEMIYCAKRENLSPEFVRTEVARGRAIIPSNKRHLELEPTIIGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGQHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVKEGMNAMSAEFLAARKTVSGEQHGEAGGEIYVPESYAAHK >PAN45700 pep chromosome:PHallii_v3.1:9:8240591:8246707:-1 gene:PAHAL_9G135400 transcript:PAN45700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MAALQPSFSSTMALRSSGSSLKFPKTALLPGFGGISRQDMQDRNASLACLIPKVASVTDQSIAEPSKPRKNKHTVDPTAPEFLPLPSFEECFPRSTKESSEIVHEESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRTGLPKIRKEWIDRREKLGSPRYTQMYYAKQGIITEEMIYCAKRENLSPEFVRTEVARGRAIIPSNKRHLELEPTIIGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGQHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVKEGMNAMSAEFLAARKTVSGEQHGEAGGEIYVPESYAAHK >PVH33093 pep chromosome:PHallii_v3.1:9:68910065:68912223:1 gene:PAHAL_9G566000 transcript:PVH33093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVVGMSFDNMDDVEKFYKAYAHDGGFEVRVGSRNLSLDGQITNKRFLCSRNGFNKSKDNETDEPSKKKKKRVVKRCGCDAHIYVKLGADKKYYISSMVEEHNHALASPSKTPFLHSNRSVSQRAKNTLFTCHKASIGTSLAYRLLQVTDGGFNTIGCTKRDFQNYYRGLREKIKDADAQLFIAQLERKKEANSAFFYDFVVDEEGKLVYVFWADATSRKNYSHFGDLVSFDATFSTNQYGVKFTPFTGVNHHTQSVFFGAGFLLNEKIESYEWLFKTFLSAMGGKAPSLIVTDEDASMKVAIDSTFPETVHRLCMWHILEKVPEKVGHARSNQEEFWPLLNACVWGSENEDEFETRWNAFIAKYALERNEWMANRYAIRESWVPAYLKHIPLSGILRTTSRSESANSFFKRFIHRKLSLVEFWLRFDTALECQRQEELKEDHVSLHTTPQWITPWPMEKQGSILYTRNVFKRFQTEVIAARDRCSVVSITPFESIKMVFINDESKRDRVVRWCTTSIFGNCSCMLFETMGIPCRHIISVARGEKLRELPEAYILKRFQKRCKRQQEYEGFIGCQILEKIEIHPPTNVRSKGGCKRIKKAKELSKPRKRKNVKQDPPAQ >PAN51349 pep chromosome:PHallii_v3.1:9:70258540:70263082:-1 gene:PAHAL_9G587400 transcript:PAN51349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEGKSYLVSRSLPSSCEPETEWAYLAHEVLSGKRPAPEDVEEADGGGKRSKPPSPQPHTPDITEGHGSSRHASGGGEQQGTGSNPINSIGRDLTINCLLRLSRSDYGSVASLSRDFRSLVRSGEIYRLRRQNGVAEHWVYFSCNVLEWDAYDPYRDRWIRVPKMPPDECFMCSDKESLAVGTELLVFGMARIVFRYSILTNSWTRADAMNSPRCLFGSTSVGEKAFVAGGTDALGTILSSAEMYDSESHTWTTLPSMNRARKMCSGVFMDGKFFVIGGVANNNKVLTCGEEYDLKRRSWRIIENMSEGLNGVTGAPPLIAVVNNELYAADYSEKDLKKYDKKNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTYTGGTIELNSWIPNDRPPVWNMIARRPSGNFVYNCAVMGC >PAN51348 pep chromosome:PHallii_v3.1:9:70258540:70263180:-1 gene:PAHAL_9G587400 transcript:PAN51348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEGKSYLVSRSLPSSCEPETEWAYLAHEVLSGKRPAPEDVEEADGGGKRSKPPSPQPHTPDITEGHGSSRHASGGGEQQGTGSNPINSIGRDLTINCLLRLSRSDYGSVASLSRDFRSLVRSGEIYRLRRQNGVAEHWVYFSCNVLEWDAYDPYRDRWIRVPKMPPDECFMCSDKESLAVGTELLVFGMARIVFRYSILTNSWTRADAMNSPRCLFGSTSVGEKAFVAGGTDALGTILSSAEMYDSESHTWTTLPSMNRARKMCSGVFMDGKFFVIGGVANNNKVLTCGEEYDLKRRSWRIIENMSEGLNGVTGAPPLIAVVNNELYAADYSEKDLKKYDKKNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTYTGGTIELNSWIPNDRPPVWNMIARRPSGNFVYNCAVMGC >PVH32311 pep chromosome:PHallii_v3.1:9:53648926:53651519:1 gene:PAHAL_9G368200 transcript:PVH32311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNEKVLGKCGRNVGSLKRKWESPAAYDAEACRTSELHQRSADDSAVRFHVDQDRKAKIVCHFNKQVLQSYKNFMTSAPPKRILLRQGADWKDFPEKIVKLAQADFREKKTITETGYRNQLFLLDFVHMTFIDSKKGLQRPIAWIDENGKGYFPETFLQDQKLFMKKDFGNGNHEYISVEPDGTREMNGHLGTSESSAESSNFDPSTEDVSSPKRARAEKSSIGKSYGDIGEAVGENEPCTLLPTACNLLSHQANLGEVSRAQRTMEAVEKLFLQGMGSVIGSKDIIGIYRTPILDDCGQVRYHLHQKQVHVTGCNRGNANVRYAWLACSKSTVHEMMLNGVLQVHKPIKCPAYGEGTLLTPANRSDTCVKYSDVDENGIVHMMLCRVIMGNVEIVHPGSKQHRPSSDYFDSGVDDLKNPQHYIVWEMNLNRHIYSEFVVTIKMPSITKDSLVTQEDCQNSSDVSLVLNSPDCMSEEMNLEAPPALGGGCAAPMLGDSMEKAPSSPWIPFSMLFAAISTKVSPENMDMVIGCYEEFKSKKISRAELVKKLRHVVGDRVLISTIMQLQDKP >PAN48217 pep chromosome:PHallii_v3.1:9:53647501:53652138:1 gene:PAHAL_9G368200 transcript:PAN48217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNEKVLGKCGRNVGSLKRKWESPAAYDAEACRTSELHQRSADDSAVRFHVDQDRKAKIVCHFNKQVLQSYKNFMTSAPPKRILLRQGADWKDFPEKIVKLAQADFREKKTITETGYRNQLFLLDFVHMTFIDSKKGLQRPIAWIDENGKGYFPETFLQDQKLFMKKDFGNGNHEYISVEPDGTREMNGHLGTSESSAESSNFDPSTEDVSSPKRARAEKSSIGKSYGDIGEAVGENEPCTLLPTACNLLSHQANLGEVSRAQRTMEAVEKLFLQGMGSVIGSKDIIGIYRTPILDDCGQVRYHLHQKQVHVTGCNRGNANVRYAWLACSKSTVHEMMLNGVLQVHKPIKCPAYGEGTLLTPANRSDTCVKYSDVDENGIVHMMLCRVIMGNVEIVHPGSKQHRPSSDYFDSGVDDLKNPQHYIVWEMNLNRHIYSEFVVTIKMPSITKDSLVTQEDCQNSSDVSLVLNSPDCMSEEMNLEAPPALGGGCAAPMLGDSMEKAPSSPWIPFSMLFAAISTKVSPENMDMVIGCYEEFKSKKISRAELVKKLRHVVGDRVLISTIMQLQDKQLPPVGRREAPNTSAAKMMAKP >PVH32310 pep chromosome:PHallii_v3.1:9:53647500:53652186:1 gene:PAHAL_9G368200 transcript:PVH32310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNEKVLGKCGRNVGSLKRKWESPAAYDAEACRTSELHQRSADDSAVRFHVDQDRKAKIVCHFNKQVLQSYKNFMTSAPPKRILLRQGADWKDFPEKIVKLAQADFREKKTITETGYRNQLFLLDFVHMTFIDSKKGLQRPIAWIDENGKGYFPETFLQDQKLFMKKDFGNGNHEYISVEPDGTREMNGHLGTSESSAESSNFDPSTEDVSSPKRARAEKSSIGKSYGDIGEAVGENEPCTLLPTACNLLSHQANLGEVSRAQRTMEAVEKLFLQGMGSVIGSKDIIGIYRTPILDDCGQVRYHLHQKQVHVTGCNRGNANVRYAWLACSKSTVHEMMLNGVLQVHKPIKCPAYGEGTLLTPANRSDTCVKYSDVDENGIVHMMLCRVIMGNVEIVHPGSKQHRPSSDYFDSGVDDLKNPQHYIVWEMNLNRHIYSEFVVTIKMPSITKDSLVTQEDCQNSSDVSLVLNSPDCMSEEMNLEAPPALGGGCAAPMLGDSMEKAPSSPWIPFSMLFAAISTKVSPENMDMVIGCYEEFKSKKISRAELVKKLRHVVGDRVLISTIMQLQDKLPPVGRREAPNTSAAKMMAKP >PAN49806 pep chromosome:PHallii_v3.1:9:62835494:62838198:-1 gene:PAHAL_9G476600 transcript:PAN49806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSLMANAEGYRLVRCPKCLNVLPEPPNVTVYRCGGCGTTLRAKTRASNGQDVAKKQVRQDSDKFSVATSVSNNGVLPQAKDHASTEVTMDSSCIADTPSTEHGSDCTRSNQSGDVVLPEKNDLEVENNESKDHQDFEGQDANSRMEGPADLGNSNANSTCRDSGEAENHVIEQPAENSETCRVREDDDTVCHLNASENNMLSSEMSQAAVSMQDAEQKEAGAAEHAANKKSYLVRVLSRSCDLRASVNSLDFHSARTSLQSKSFRASEPLQSKIMNTVDELKGDLSELFHKPSESNKPKAYHPPRPSKQDAGHMTRAAITSSAPLAAYHPAPKHSGYAARLSRSGQVAPRGLPSLRYRRHRVYSYHHNVQSEMRPCRHECCHSCQPPCYRSCKQEPAAMHKPPVDREIKRRPPPRNHCRPALRGAPFVICSNCVMLVQLPTDFAVPSRGTRRLQCGSCSGILSYSYRDPSRKKPQSPFGGDEYSTDGYDYEVRHQQAAADGRFAAGFEQADPVSYSEEYGLSFGVSHSTSTEDGQPLYVSRNSSFNTADGRAGTDGKLHRLMGYSSASELLRRYSPDLFESFDGRTPKPNTARAHPHADWKGKGVCVAGEGDADAPRDGAAVKRSKARGLPLQGILRKGIHSLESLKLRS >PVH32794 pep chromosome:PHallii_v3.1:9:63762710:63763650:-1 gene:PAHAL_9G489000 transcript:PVH32794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein CP1 [Source:Projected from Arabidopsis thaliana (AT5G49480) UniProtKB/Swiss-Prot;Acc:Q9FDX6] MCPTGRYLGLDLSAAAAAGVGGDLRPAFDVLDADRDGRISREDLKSFYATAGGRFDDDDLAAMIAAADADRDGFVQYDEFERLLAGRAATAVARVGGAGVRSAVEDAFRLMDRDGDGKVGFEDLKAYLGWAGMPAADEEVRAMIRVAGGGDGDEGVGIEALARVLAVDLEGIAL >PVH33060 pep chromosome:PHallii_v3.1:9:68405300:68407881:1 gene:PAHAL_9G557700 transcript:PVH33060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGEYDAAFAATIAAAAFAIAAQEEKLTTQKKPIPIEAVPPALSPVKRAESMKRPGGGSKISRWFSGKEPVEDDDDGPVNVSVRRPLKPAPGKPEDIAPDHKVTPKMLETSMSVKKGSGSSNKAADRKGSEKFEQQQAIQKVPSTVRPATSYHSRRNGEGTAGVTATGGTGTKAEEWEKAKLARVREEYEKMIETIAEWETEKKVKARRQKEQKETELDKKRAKALEEYNQEMTRINKVAGGARSMAEERKYNDEKKIREKAYKIQSTGKLPRTCACF >PAN50928 pep chromosome:PHallii_v3.1:9:68405300:68407881:1 gene:PAHAL_9G557700 transcript:PAN50928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGEYDAAFAATIAAAAFAIAAQEEKLTTQKKPIPIEAVPPALSPVKRAESMKRPGGGSKISRWFSGKEPVEDDDDGPVNVSVRRPLKPAPGKPEDIAPDHKVTPKMLETSMSVKKGSGSSNKAADRKGSEKFEQQQAIQKVPSTVRPATSYHSRRNGEGTAGVTATGGTGTKAEEWEKAKLARVREEYEKMIETIAEWETEKKVKARRQKEQKETELDKKRAKALEEYNQEMTRINKVAGGARSMAEERKYNDEKKIREKAYKIQSTGKLPRTCACF >PVH33063 pep chromosome:PHallii_v3.1:9:68405300:68407881:1 gene:PAHAL_9G557700 transcript:PVH33063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGEYDAAFAATIAAAAFAIAAQEEKLTTQKKPIPIEAVPPALSPVKRAESMKRPGGGSKISRWFSGKEPVEDDDDGPVNVSVRRPLKPAPGKPEDIAPDHKVTPKMLETSMSVKKGSGSSNKAADRKGSEKFEQQQAIQKVPSTVRPATSYHSRRNGEGTAGVTATGGTGTKAEEWEKAKLARVREEYEKMIETIAEWETEKKTELDKKRAKALEEYNQEMTRINKVAGGARSMAEERKYNDEKKIREKAYKIQSTGKLPRTCACF >PAN50930 pep chromosome:PHallii_v3.1:9:68405300:68407882:1 gene:PAHAL_9G557700 transcript:PAN50930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDLKKRRVRFPGVVKGNNFGRQTSAVPPQQSAALGRSEMSSGGEYDAAFAATIAAAAFAIAAQEEKLTTQKKPIPIEAVPPALSPVKRAESMKRPGGGSKISRWFSGKEPVEDDDDGPVNVSVRRPLKPAPGKPEDIAPDHKVTPKMLETSMSVKKGSGSSNKAADRKGSEKFEQQQAIQKVPSTVRPATSYHSRRNGEGTAGVTATGGTGTKAEEWEKAKLARVREEYEKMIETIAEWETEKKTELDKKRAKALEEYNQEMTRINKVAGGARSMAEERKYNDEKKIREKAYKIQSTGKLPRTCACF >PAN50929 pep chromosome:PHallii_v3.1:9:68405300:68407882:1 gene:PAHAL_9G557700 transcript:PAN50929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDLKKRRVRFPGVVKGNNFGRQTSAVPPQQSAALGRSEMSSGGEYDAAFAATIAAAAFAIAAQEEKLTTQKKPIPIEAVPPALSPVKRAESMKRPGGGSKISRWFSGKEPVEDDDDGPVNVSVRRPLKPAPGKPEDIAPDHKVTPKMLETSMSVKKGSGSSNKAADRKGSEKFEQQQAIQKVPSTVRPATSYHSRRNGEGTAGVTATGGTGTKAEEWEKAKLARVREEYEKMIETIAEWETEKKVKARRQKEQKETELDKKRAKALEEYNQEMTRINKVAGGARSMAEERKYNDEKKIREKAYKIQSTGKLPRTCACF >PVH33062 pep chromosome:PHallii_v3.1:9:68405300:68407881:1 gene:PAHAL_9G557700 transcript:PVH33062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGEYDAAFAATIAAAAFAIAAQEEKLTTQKKPIPIEAVPPALSPVKRAESMKRPGGGSKISRWFSGKEPVEDDDDGPVNVSVRRPLKPAPGKPEDIAPDHKVTPKMLETSMSVKKGSGSSNKAADRKGSEKFEQQQAIQKVPSTVRPATSYHSRRNGEGTAGVTATGGTGTKAEEWEKAKLARVREEYEKMIETIAEWETEKKTELDKKRAKALEEYNQEMTRINKVAGGARSMAEERKYNDEKKIREKAYKIQSTGKLPRTCACF >PVH33061 pep chromosome:PHallii_v3.1:9:68405300:68407881:1 gene:PAHAL_9G557700 transcript:PVH33061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGEYDAAFAATIAAAAFAIAAQEEKLTTQKKPIPIEAVPPALSPVKRAESMKRPGGGSKISRWFSGKEPVEDDDDGPVNVSVRRPLKPAPGKPEDIAPDHKVTPKMLETSMSVKKGSGSSNKAADRKGSEKFEQQQAIQKVPSTVRPATSYHSRRNGEGTAGVTATGGTGTKAEEWEKAKLARVREEYEKMIETIAEWETEKKVKARRQKEQKETELDKKRAKALEEYNQEMTRINKVAGGARSMAEERKYNDEKKIREKAYKIQSTGKLPRTCACF >PVH32730 pep chromosome:PHallii_v3.1:9:62687238:62691301:-1 gene:PAHAL_9G473800 transcript:PVH32730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPIKRRSPLPHRSSSSYPPALPRGPPCPVPPPMAAAATSSWLLRRPISSVLLARRATPRILRNTICYSSSASAPAAPPPSPPDTSAGDGGGGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSVDSTIESVLESATFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGISLASFINSNLPNNVRVFSILPAQRSFDVRRECLYREYFYLLPAETIGIKDGCSSEEVQEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGRHRRVKGAGSTLKSMPSEMGMEESSSEHDEDLNISSMIDSGVSEDNCMNDIAKGSGNQVQIQARWLYEPDESDRLNASHFRDIITCSCGELQSSSGIQFVELTICGVSFMLHQIRKMVGTAVAVKRGLLPKDIIELSLAKFSRIVLPIAPSEVLILGDNSFCTKNKEGRIVRPGIQSINNSAEIRKGVEEFYKAALLPELAKFLDPTMPPWKEWVENLDRFASIQNSQLDEVREAYRVWKADYDRVKMARKSAEAAHKVDKELN >PVH31314 pep chromosome:PHallii_v3.1:9:6379356:6383354:1 gene:PAHAL_9G109500 transcript:PVH31314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALSSLRYGDSLSVVAISAATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDAMKSGAGSSASSGAGGGGSSSAQPASSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRVVAKLPFAPVPLVQRMSHRGLPGNDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >PAN49188 pep chromosome:PHallii_v3.1:9:59979815:59982231:-1 gene:PAHAL_9G433300 transcript:PAN49188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVPADTAAPQVPATKIAMPASAAGGAEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSSSGDLAAFSGDLDVPLGGDLDSLEMEEREKLVGRMWDMYTRISDEVRLPQFWQEAFEAAYEELAGDDTQVRDAAVAEIARMSAHKLELEQTVNENEEENAASNRGGRSMGK >PAN49187 pep chromosome:PHallii_v3.1:9:59979815:59982231:-1 gene:PAHAL_9G433300 transcript:PAN49187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVPADTAAPQVPATKIAMPASAAGGAEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSSSGDLAAFSGDLDVPLGGDLDSLEMEEREKLVGRMWDMYTRISDEVRDAAVAEIARMSAHKLELEQTVNENEEENAASNRGGRSMGK >PAN49186 pep chromosome:PHallii_v3.1:9:59979472:59982299:-1 gene:PAHAL_9G433300 transcript:PAN49186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVPADTAAPQVPATKIAMPASAAGGAEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSSSGDLAAFSGDLDVPLGGDLDSLEMEEREKLVGRMWDMYTRISDELLLRLPECQLTNLSLSRQ >PAN44574 pep chromosome:PHallii_v3.1:9:3148306:3152067:1 gene:PAHAL_9G055000 transcript:PAN44574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFKQAAKLLALDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAVKNLNGLDIAQLVKHSAQNRGIKGFNGGDAIDPNSLLTEECDVLIPAALGGVINKDNANDIKAKYIIEAANHPTDPEADEILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNAELRTYMTRAFGDVKQMCRSHNCDLRMGAFTLGVNRVARATVLRGWEA >PAN48461 pep chromosome:PHallii_v3.1:9:55414673:55416857:1 gene:PAHAL_9G383300 transcript:PAN48461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPSKRSSILTALLGRGRRTFAVASSGRNRRPVSPLAPDDCTFPLLLKEAAAEAAGASRRRSSIEGQKLHAGVIKFGFSSCVYASTALVDFYSKAGDLASARVVFDAMPRRTLPSWTAIMVGYARNGDMRSAEEVFSLMPEKDTPAYNAMIDGFVKVGDVPSAQKVFDAMPERNVVSRTCLMHGYCMAGNMEAARELFDAMPRRRNLHSWNVMIRGYCRNHESGKALNLFRELQSQSCPFEPNEVTLVSVIPAITDTGAMDLGRWVHEFARRKGLDRRANVATALIDMYSKCGNANEAMRVFNQLKPKEVTCWNAIINGLAVNGYPREALGLFEEMRRNGISPNSVTMIGVLSACSHGGLVDEGKQWFQEMEVLGISKKVEHYGCMVDLLGRCGYLSEAMELIGKMPSGPNGIVLSSLLFACACHGAVDMAESVMKRVVEVEPRNIGNYIIMRNLYAAKNMWHDALNMKDKIHKLGGKKEAGCSLVEIGTSVSEFVSGDKAHPEWEVICEITGCLQLHMGVPTEEDFDFTGHII >PAN50354 pep chromosome:PHallii_v3.1:9:65524190:65529740:-1 gene:PAHAL_9G514300 transcript:PAN50354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSASSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASALLFVLFNILLLWGVYVFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIFPSELPMLCRVRQCNWCKANVRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNMVISTMLFSILQVVWQIVFLMWHIYCICFNIKTDEWIQKSNL >PAN50355 pep chromosome:PHallii_v3.1:9:65524189:65529741:-1 gene:PAHAL_9G514300 transcript:PAN50355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSASSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASALLFVLFNILLLWGVYVFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIFPSELPMLCRVRQCNWCKANVRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNMVISTMLFSILQVVWQIVFLMWHIYCICFNIKTDEWINWKKYPEFQMREQPQSDSEVKFVNPYDKGMLCNIREFLKLK >PAN50357 pep chromosome:PHallii_v3.1:9:65524190:65529740:-1 gene:PAHAL_9G514300 transcript:PAN50357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSASSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASALLFVLFNILLLWGVYVFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIFPSEKLPMLCRVRQCNWCKANVRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNMVISTMLFSILQVVWQIVFLMWHIYCICFNIKTDEWIQKSNL >PAN50352 pep chromosome:PHallii_v3.1:9:65524802:65529335:-1 gene:PAHAL_9G514300 transcript:PAN50352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSASSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASALLFVLFNILLLWGVYVFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIFPSEKLPMLCRVRQCNWCKANVRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNMVISTMLFSILQVVWQIVFLMWHIYCICFNIKTDEWVISNFPCFGLFLHQLQVVFSLAVSFS >PAN50353 pep chromosome:PHallii_v3.1:9:65524802:65529335:-1 gene:PAHAL_9G514300 transcript:PAN50353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSASSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASALLFVLFNILLLWGVYVFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIFPSELPMLCRVRQCNWCKANVRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNMVISTMLFSILQVVWQIVFLMWHIYCICFNIKTDEWVISNFPCFGLFLHQLQVVFSLAVSFS >PAN50356 pep chromosome:PHallii_v3.1:9:65524565:65529335:-1 gene:PAHAL_9G514300 transcript:PAN50356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSASSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASALLFVLFNILLLWGVYVFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIFPSEKLPMLCRVRQCNWCKANVRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNMVISTMLFSILQVVWQIVFLMWHIYCICFNIKTDEWINWKKYPEFQMREQPQSDSEVKFVNPYDKGMLCNIREFLKLK >PVH33077 pep chromosome:PHallii_v3.1:9:68664996:68665202:-1 gene:PAHAL_9G562100 transcript:PVH33077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMCLIKLAQRIHFFLSILLADFVQSHIYQLRLGYSGSEFSIEIGMSSVSSVQLQFFSAIDETVFLS >PVH32038 pep chromosome:PHallii_v3.1:9:32347609:32348546:1 gene:PAHAL_9G299500 transcript:PVH32038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAWRKRSGAAAKKEERGRGYANRICGCYGLAGIHVIIGDFALHPF >PAN44307 pep chromosome:PHallii_v3.1:9:1866290:1870446:-1 gene:PAHAL_9G033300 transcript:PAN44307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLNVASPEDSGTSSSSVLNSGDGGFRFGLLGSPVDDDDCSGEMAPGASSGFMTRQLFPPPTPPPEPERAAAPVPVWQPRRAEDLGVAQRPVAAAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLGDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAALRFNGREAVTNFEPSSYNAGHALPDTENEAIVDADAVDLDLRISQPNVQDPKRDSTLAGLQATCDSPESSNTMTTQPMSSSSPWPMYHQSPAVPSHHQRLYSSACPGFFPNHQERTMERRPEPGAQPFPTWAWQMQGSPHMPLHHSAASSGFSTAPGGASGGVPLPSHPPAAQFPIHHQFFFP >PAN50320 pep chromosome:PHallii_v3.1:9:65367131:65371167:1 gene:PAHAL_9G512300 transcript:PAN50320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLDMTLDDIIKNNKKSNPSSGGGRRSRGGSASGGSGGGGSSGGVGPTRRPFKRAGNRQAPYQPPKAPDAAWQHDMYPAVAATGGGSGGRVSAIETGTKLYISNLDFGVSNEDIKELFSELGDLKRSSINYDRSGRSKGTAEVVFARRADAVAAVKKYNNVQLDGKPMKIEIVGTNTPTAAAALPAINGGQARNTVNAVKSAPRGGPTGMPQRRPHQRGGGGRRGGGSGGRRGKERGKPKSAEELDADLEKYHADAMQTN >PAN46183 pep chromosome:PHallii_v3.1:9:10476977:10478645:1 gene:PAHAL_9G168100 transcript:PAN46183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDWSMEQMDEASVNEQTTERQHIAGGEQAKRGDGAMAWLDLTLAVKASRDEFAAPAAATDGDSSSSDGEPAKPASPSPAAAAAGAAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSTSSASAYHHLHAQRMIMAGLPLEAHAAFVHAALRVSPSSSAIHKASQELAEARAAAAATCTAPRFHDGDSAATAATPWAQLLYEEPVSSTWPGSFRMRTQPEPPCSEQQPPEQSKKIDLDLRL >PAN48709 pep chromosome:PHallii_v3.1:9:57009899:57013033:-1 gene:PAHAL_9G400500 transcript:PAN48709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVEPETPPGSSRSGGTTPVGGKPPRHHLTSIRHCASSARIAAASAEFELDSGTLSLISPTDMRPGFLPVFRSGSYADIGPKSYMEDEHVCVDNLVEHLGLCGPGIHAPGAFYGVFDGHGGTDAACFVRKNILKFITEDCHFPNSMEKAIRSAFVKADHAIADSHSLDRNSGTTALTVLIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCTVERLRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSICPLTPEPEFREVRLTEEDEFLIIGCDGLWDVMSSQFAVSMVRKELMAHNDPQQCSRELVQEALRRDCCDNLTVVVVCFSADPPPQIEIPRFRVRRSISMEGLHTLKGALDSNV >PAN48708 pep chromosome:PHallii_v3.1:9:57009889:57013117:-1 gene:PAHAL_9G400500 transcript:PAN48708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVEPETPPGSSRSGGTTPVGGKPPRHHLTSIRHCASSARIAAASAEFELDSGTLSLISPTDMRPGFLPVFRSGSYADIGPKSYMEDEHVCVDNLVEHLGLCGPGIHAPGAFYGVFDGHGGTDAACFVRKNILKFITEDCHFPNSMEKAIRSAFVKADHAIADSHSLDRNSGTTALTVLIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCTVERLRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSICPLTPEPEFREVRLTEEDEFLIIGCDGLWDVMSSQFAVSMVRKELMAHNDPQQCSRELVQEALRRDCCDNLTVVVVCFSADPPPQIEIPRFRVRRSISMEGLHTLKGALDSNV >PVH33256 pep chromosome:PHallii_v3.1:9:71506866:71510035:-1 gene:PAHAL_9G608400 transcript:PVH33256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFDLSVGASAKVASGDGSPVRGTQSERKEYAGSKTVTGYTRRSSSDRSGGTPMKMLIAQEMAKEGDTSQKTANVVARLMGLDDNVDLPKHPPSNRRSFPDDHLSATLARVNNQMSFEKRASSIEDVEYKDVYEVGYQPPRVECLSSESPRRRPHEDHDKRRMDLVRQKFVEAKRLASHDNILQSKEFHDALEVLNSNKDLFLKFLEEPNSLFAKQSGELHSAPTSPQRKRITVLKPSKSVDTKGDKAIKRHKNHAVDGNRAERSNNHKSDAAHLKVERPPKHTRIVVLKPTSTIASMEQSEQHYHADLDDSEAPAVSRRLSDEIDWSMHEMRRHHYESLQGCIQANTISAGRPYDQYAEEEGTSLCDSNIGTPTSRHSWDYIYRFSNPYFGSSLSHASCSPESHVTREAKKYTSDRWAIVPSREITQEKVPVRRSLSTLGEMLADMKKEEVADQASPDAIKQLCSNEPTVGVSSNCVVDDGEGGSSLRKISRSRSLPVSSLAFDSLRLDGGCSDSQHKESTVTKEVKPKNGKSSLKGKISSFFSKRKKSEKEKVSLSPVGTPNNQILSASTAEIGKSDVPEHVCTSLQDEVALGYLEERFENGLTVVPVHELEAPSTSKSPVSLEKALSFEIHNSHFDQPSPTSVLDAQFEDVSEKSPISSKSAITVKQEPLSRSLPIGSIARTLSWDDASQEAPLCCAQDDSHEQEQYEFVEKILTSAGFCNEKAKDIFVRWHSLDCPLDPVVFDQLLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWVAYPCTARYSNALGVATCDALVTDEAWRLIKSWLLDDENDIAGLGDHAGLAADWVVGKEIHGKGWSEMLRLEVDEISKEICGDVLSKLVEEAFSELADAGCH >PVH33255 pep chromosome:PHallii_v3.1:9:71505145:71510730:-1 gene:PAHAL_9G608400 transcript:PVH33255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFDLSVGASAKVASGDGSPVRGTQSERKEYAGSKTVTGYTRRSSSDRSGGTPMKMLIAQEMAKEGDTSQKTANVVARLMGLDDNVDLPKHPPSNRRSFPDDHLSATLARVNNQMSFEKRASSIEDVEYKDVYEVGYQPPRVECLSSESPRRRPHEDHDKRRMDLVRQKFVEAKRLASHDNILQSKEFHDALEVLNSNKDLFLKFLEEPNSLFAKQSGELHSAPTSPQRKRITVLKPSKSVDTKGDKAIKRHKNHAVDGNRAERSNNHKSDAAHLKVERPPKHTRIVVLKPTSTIASMEQSEQHYHADLDDSEAPAVSRRLSDEIDWSMHEMRRHHYESLQGCIQANTISAGRPYDQYAEEEGTSLCDSNIGTPTSRHSWDYIYRFSNPYFGSSLSHASCSPESHVTREAKKYTSDRWAIVPSREITQEKVPVRRSLSTLGEMLADMKKEEVADQASPDAIKQLCSNEPTVGVSSNCVVDDGEGGSSLRKISRSRSLPVSSLAFDSLRLDGGCSDSQHKESTVTKEVKPKNGKSSLKGKISSFFSKRKKSEKEKVSLSPVGTPNNQILSASTAEIGKSDVPEHVCTSLQDEVALGYLEERFENGLTVVPVHELEAPSTSKSPVSLEKALSFEIHNSHFDQPSPTSVLDAQFEDVSEKSPISSKSAITVKQEPLSRSLPIGSIARTLSWDDASQEAPLCCAQDDSHEQEQYEFVEKILTSAGFCNEKAKDIFVRWHSLDCPLDPVVFDQLLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWVAYPCTARYSNALGVATCDALVTDEAWRLIKSWLLDDENDIAGLGDHAGLAADWVVGKEIHGKGWSEMLRLEVDEISKEICGDVLSKLVEEAFSELADAGCH >PAN51625 pep chromosome:PHallii_v3.1:9:71505079:71510730:-1 gene:PAHAL_9G608400 transcript:PAN51625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFDLSVGASAKVASGDGSPVRGTQSERKEYAGSKTVTGYTRRSSSDRSGGTPMKMLIAQEMAKEGDTSQKTANVVARLMGLDDNVDLPKHPPSNRRSFPDDHLSATLARVNNQMSFEKRASSIEDVEYKDVYEVGYQPPRVECLSSESPRRRPHEDHDKRRMDLVRQKFVEAKRLASHDNILQSKEFHDALEVLNSNKDLFLKFLEEPNSLFAKQSGELHSAPTSPQRKRITVLKPSKSVDTKGDKAIKRHKNHAVDGNRAERSNNHKSDAAHLKVERPPKHTRIVVLKPTSTIASMEQSEQHYHADLDDSEAPAVSRRLSDEIDWSMHEMRRHHYESLQGCIQANTISAGRPYDQYAEEEGTSLCDSNIGTPTSRHSWDYIYRFSNPYFGSSLSHASCSPESHVTREAKKYTSDRWAIVPSREITQEKVPVRRSLSTLGEMLADMKKEEVADQASPDAIKQLCSNEPTVGVSSNCVVDDGEGGSSLRKISRSRSLPVSSLAFDSLRLDGGCSDSQHKESTVTKEVKPKNGKSSLKGKISSFFSKRKKSEKEKVSLSPVGTPNNQILSASTAEIGKSDVPEHVCTSLQDEVALGYLEERFENGLTVVPVHELEAPSTSKSPVSLEKALSFEIHNSHFDQPSPTSVLDAQFEDVSEKSPISSKSAITVKQEPLSRSLPIGSIARTLSWDDASQEAPLCCAQDDSHEQEQYEFVEKILTSAGFCNEKAKDIFVRWHSLDCPLDPVVFDQLLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWVAYPCTARYSNALGVATCDALVTDEAWRLIKSWLLDDENDIAGLGDHAGLAADWVVGKEIHGKGWSEMLRLEVDEISKEICGDVLSKLVEEAFSELADAGCH >PVH33254 pep chromosome:PHallii_v3.1:9:71506866:71510035:-1 gene:PAHAL_9G608400 transcript:PVH33254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFDLSVGASAKVASGDGSPVRGTQSERKEYAGSKTVTGYTRRSSSDRSGGTPMKMLIAQEMAKEGDTSQKTANVVARLMGLDDNVDLPKHPPSNRRSFPDDHLSATLARVNNQMSFEKRASSIEDVEYKDVYEVGYQPPRVECLSSESPRRRPHEDHDKRRMDLVRQKFVEAKRLASHDNILQSKEFHDALEVLNSNKDLFLKFLEEPNSLFAKQSGELHSAPTSPQRKRITVLKPSKSVDTKGDKAIKRHKNHAVDGNRAERSNNHKSDAAHLKVERPPKHTRIVVLKPTSTIASMEQSEQHYHADLDDSEAPAVSRRLSDEIDWSMHEMRRHHYESLQGCIQANTISAGRPYDQYAEEEGTSLCDSNIGTPTSRHSWDYIYRFSNPYFGSSLSHASCSPESHVTREAKKYTSDRWAIVPSREITQEKVPVRRSLSTLGEMLADMKKEEVADQASPDAIKQLCSNEPTVGVSSNCVVDDGEGGSSLRKISRSRSLPVSSLAFDSLRLDGGCSDSQHKESTVTKEVKPKNGKSSLKGKISSFFSKRKKSEKEKVSLSPVGTPNNQILSASTAEIGKSDVPEHVCTSLQDEVALGYLEERFENGLTVVPVHELEAPSTSKSPVSLEKALSFEIHNSHFDQPSPTSVLDAQFEDVSEKSPISSKSAITVKQEPLSRSLPIGSIARTLSWDDASQEAPLCCAQDDSHEQEQYEFVEKILTSAGFCNEKAKDIFVRWHSLDCPLDPVVFDQLLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWVAYPCTARYSNALGVATCDALVTDEAWRLIKSWLLDDENDIAGLGDHAGLAADWVVGKEIHGKGWSEMLRLEVDEISKEICGDVLSKLVEEAFSELADAGCH >PAN48551 pep chromosome:PHallii_v3.1:9:56120084:56124416:-1 gene:PAHAL_9G389800 transcript:PAN48551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLPQVSERRLAAVLVLLLAVTAAAAAGERRGLLARVEGPAARHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLTRVRADADRVLIASADVPRDWVRAMTEEDGMRVVIVENLRNPYESNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRENSDGADQGFLVGCYPDLLDKPMFHPPENDTKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEVLMYIVIIAVTRLARPGMTKLCYNRRPEKQNALVQWLIKMAVIVAMVAAYSIPFFVIPRTVHPIMGWSVYLFGALALSVLVINVFLLPPLDVLTIWLAIVGMLFVMAFPWYNDGIVRVLAIFGYAFCSAPFLWASLVRMMDSLQTMLERDPFFPRLGEQTQDTEFSKLY >PAN48165 pep chromosome:PHallii_v3.1:9:53307728:53311595:1 gene:PAHAL_9G364700 transcript:PAN48165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSFHWKLWWTFVFLCCSSSSSSRPPVSSNSSRGVISHLPGFQGRLPFYLQTGYVEVDQSNGIRLFYYFIHSERSPADDPLVLWLTGGPGCSVLTALAYEIGPLRFDLHSYIDGVPRLVHKKDSWTKVSNVIFLDSPVGTGFSYSDTEQGYQSSDTKAVKQILIFLKKWFDEHPEFLSNPLYIAGDSYSGKIVPTVTSEIAIGKQVGSEPNYNLKGYLVGNPVTDPFFDKPSKIPFSHGMGLISDEMYEAYMKRCNAGDNSRQSIECTNSIDAIDECIKDICSNHVLEPLCTDDSIHHHKLKPKLNLGAREMLQRQEYNADTEIRLSEISSQCRAEGYIMSGTWANNDKVREALGIRKGTVPLWSRCDFDIPYTFDIPSTVKYHLDVTTRGYRSLVYSGDHDLVVPFIGTQAWIKSLNFSVVDEWRPWFVDGQVAGFTRSYSNNLTFATVKGGGHTAPEYMPRQCFAMFERWVSGDPL >PVH32303 pep chromosome:PHallii_v3.1:9:53307728:53311595:1 gene:PAHAL_9G364700 transcript:PVH32303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSFHWKLWWTFVFLCCSSSSSSRPPVSSNSSRGVISHLPGFQGRLPFYLQTGYVEVDQSNGIRLFYYFIHSERSPADDPLVLWLTGGPGCSVLTALAYEIGPLRFDLHSYIDGVPRLVHKKDSWTKVSNVIFLDSPVGTGFSYSDTEQGYQSSDTKAVKQILIFLKKWFDEHPEFLSNPLYIAGDSYSGKIVPTVTSEIAIGKQVGSEPNYNLKGYLVGNPVTDPFFDKPSKIPFSHGMGLISDEMYECIKDICSNHVLEPLCTDDSIHHHKLKPKLNLGAREMLQRQEYNADTEIRLSEISSQCRAEGYIMSGTWANNDKVREALGIRKGTVPLWSRCDFDIPYTFDIPSTVKYHLDVTTRGYRSLVYSGDHDLVVPFIGTQAWIKSLNFSVVDEWRPWFVDGQVAGFTRSYSNNLTFATVKGGGHTAPEYMPRQCFAMFERWVSGDPL >PVH32304 pep chromosome:PHallii_v3.1:9:53308342:53311632:1 gene:PAHAL_9G364700 transcript:PVH32304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGYQSSDTKAVKQILIFLKKWFDEHPEFLSNPLYIAGDSYSGKIVPTVTSEIAIGKQVGSEPNYNLKGYLVGNPVTDPFFDKPSKIPFSHGMGLISDEMYEAYMKRCNAGDNSRQSIECTNSIDAIDECIKDICSNHVLEPLCTDDSIHHHKLKPKLNLGAREMLQRQEYNADTEIRLSEISSQCRAEGYIMSGTWANNDKVREALGIRKGTVPLWSRCDFDIPYTFDIPSTVKYHLDVTTRGYRSLVYSGDHDLVVPFIGTQAWIKSLNFSVVDEWRPWFVDGQVAGFTRSYSNNLTFATVKGGGHTAPEYMPRQCFAMFERWVSGDPL >PVH32996 pep chromosome:PHallii_v3.1:9:67487437:67489219:1 gene:PAHAL_9G544000 transcript:PVH32996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPPLFAAPVPSTNSMQHRVPARRARAQGGSPRHQVEQHPAGQEVEPQGVGLRDGQGAGVRVQLRDDPGDGHVRVRGPRVRVDGDAEREQRRVQLRRAADGAHLRAQPRGLQPPRRRGEPGGVVSGHGGQPARGGPGGPARGRAAAAASAQPGAAGVPPPHRRRRAQAATDGADRAHARGRRVPLPHGAPLAAGVAADVDGDAAVAPVGERRGHRRLGQVDVEMRDPELLQRRTSVRTKLILR >PAN44328 pep chromosome:PHallii_v3.1:9:1940901:1950930:-1 gene:PAHAL_9G035000 transcript:PAN44328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYQIRNEYGLSDPELYAAPGEEDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLQQLEAEFPAVEKALVSQTEHSNYPHDDGVNWHANLQLKQNMITQGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKTESNMLEMDVSIEKKPRRVKKAMRWRKGATLESLLIENSEYHIAPKDRTSRKVPPRTTKLKSRHPRSPDHKTISRICREHLLEVISSQQKILSAYSGQQYHVKFRSSDSSEMENYGAIVQSSGKLELTKIVPINESDTMETISAPTHGSPYLELGDKQIPGKQHEPLGKNGMVSDSGKLQDCPNFQVGESNHSSHSGVEERHILAGVPADQDANGCRPDDICSDQDNFIDALNNMDSDGEADPEIETEPDPSANVERTEMNCDSKEGEDALHAESPEVGSAIDSSPGFNSLCLVGEPTCTDLPSDYAPPAVSATNGPSSGSQFGRQLNGVDWTKDEEPFDDEDLMDVSSSSSVASDNADLQTNEDLYGCQQHQEEAYHYQSGDHTAVIHSSDKHSPKTSSGLLDGMVISSNDYTDKVYHSAEHGQDDTSMVLSNPNDVSEDLDKPSFGIADDLFLHPTKPNQEEIQEIEKELEEGGSLDTDTSTGILASWPDMDRVMCMNDVEMNKDSATVPEEIDADTAPTCLDHDDSHDHQDGIAPEHSGTCNNLPRELNSDEIAEDMHSLPDNGLSTRFNKDIAEDNQIVVLEGGAFPTSLSTHKEGSVQASAMARDFSDVQEFAVVIQGVPSQEETEAHAGETLAPESCVFNDDTEPVEISVLLAPSTSSLPDNSSSCVEQHALTEMKDIEEGSKVVVAEESTTSRFADDVVPPKEEFSDAALYTEKTEVLTTNSTEEASRHDLQLQSSSPLKEGLETVEATHRNLGEVDTSRECISKKSMLQIDNIPFCEIEPIGEKCSDRDGIQFPSSAHFPVESDYQEELLEEASHNAEGLSLCDLYKDGAVSLKSNTMEKQPENVDQDSAWGMPDQDSSSTNPFMDPAYMMSHTQFYPSPSMIGQPCFPEEQDFPSELLIQHGRMGAAVDSLWEPATPPDEAPLPSEVMTEEDFRSFFHEYHEIGFSAGTEGCHSEPASESNNISNAMVSESDFPCSLSALPMNLDQEACVHSKFGSQCAECSSTMDIQGATSMTFSGKEDLNDEAPGVDSHLKSHASFSDNRSPELDILSVPVDLQQEQHLLSQVDSHSFPLSDKEKTNGESCFPSSNVIEVKQDLEIHAGLVPHSFINENVEDLDVVVPVEPQVGARALDEYDNQDIPCCSTSEKNDTLTLGKPVLVQGSEVCALGEFGSSIVPSSSIDEIEDNLEASALRTSFRAEQESECCTSGEHDSQITISPLLDEKIDELDGPALCNTAIVDKESEVCVPCGLNSRITPCSSTEENIQELDHSPLSSSVLVDLESEDNVSGDCDSEVTPCSLVIDKVGESEDVQPTRIFSEQEQEAHASPELDFHVAPCSLNDDKVGEIEGPLACIGQVGPDKESDCSTEFNSQTVPCSSNSPVLTDTSALASTPVMETYQLSPGLPPAVQSQNDSYEDPQAQAPPPLPPLQWRLGRPRLGLLSGKGSMPEPARRINPVLQASRQDMDIRLGLLDRMDRSIEPVSSQAIKEDINRSSLLDDNDQNVEFGRSSTSVVVTDVARTEHCWQFSEASENIKHQGNVWSSSPGVDDRADAGVAPATADDSGATYGIALSSLNPVFPLPTDEQQGPHLCILSSDSRENSGIGKSMDAAGDMESISIKGHDSENRCYQQPEYVESFSGTSDHEEHITNASEEGGKHLSSASEAISDPEKHSAPGTLLNEGNSQESQHLQEQNMDSFEHDRSGGPLPSSESMAPQDYPHDEYNLDRENRHRPSNPGPLAAWPGDKSNFFSGLDEASFGHAEQPPVLGWTVGPQMIHPKYGISVQDSFEPNITDNHLIKKPISIKNIPRNPLVDAVAAHDRSSMRKVSELAPSTDKPKPNERNLLLEQIRNKTFSLKPVAPAKPIAMGSPARANTRNLKVAAIIEKANAIRQAVGSDDEDADSWSDA >PAN44329 pep chromosome:PHallii_v3.1:9:1941585:1950686:-1 gene:PAHAL_9G035000 transcript:PAN44329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYQIRNEYGLSDPELYAAPGEEDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLQQLEAEFPAVEKALVSQTEHSNYPHDDGVNWHANLQLKQNMITQGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKTESNMLEMDVSIEKKPRRVKKKAMRWRKGATLESLLIENSEYHIAPKDRTSRKVPPRTTKLKSRHPRSPDHKTISRICREHLLEVISSQQKILSAYSGQQYHVKFRSSDSSEMENYGAIVQSSGKLELTKIVPINESDTMETISAPTHGSPYLELGDKQIPGKQHEPLGKNGMVSDSGKLQDCPNFQVGESNHSSHSGVEERHILAGVPADQDANGCRPDDICSDQDNFIDALNNMDSDGEADPEIETEPDPSANVERTEMNCDSKEGEDALHAESPEVGSAIDSSPGFNSLCLVGEPTCTDLPSDYAPPAVSATNGPSSGSQFGRQLNGVDWTKDEEPFDDEDLMDVSSSSSVASDNADLQTNEDLYGCQQHQEEAYHYQSGDHTAVIHSSDKHSPKTSSGLLDGMVISSNDYTDKVYHSAEHGQDDTSMVLSNPNDVSEDLDKPSFGIADDLFLHPTKPNQEEIQEIEKELEEGGSLDTDTSTGILASWPDMDRVMCMNDVEMNKDSATVPEEIDADTAPTCLDHDDSHDHQDGIAPEHSGTCNNLPRELNSDEIAEDMHSLPDNGLSTRFNKDIAEDNQIVVLEGGAFPTSLSTHKEGSVQASAMARDFSDVQEFAVVIQGVPSQEETEAHAGETLAPESCVFNDDTEPVEISVLLAPSTSSLPDNSSSCVEQHALTEMKDIEEGSKVVVAEESTTSRFADDVVPPKEEFSDAALYTEKTEVLTTNSTEEASRHDLQLQSSSPLKEGLETVEATHRNLGEVDTSRECISKKSMLQIDNIPFCEIEPIGEKCSDRDGIQFPSSAHFPVESDYQEELLEEASHNAEGLSLCDLYKDGAVSLKSNTMEKQPENVDQDSAWGMPDQDSSSTNPFMDPAYMMSHTQFYPSPSMIGQPCFPEEQDFPSELLIQHGRMGAAVDSLWEPATPPDEAPLPSEVMTEEDFRSFFHEYHEIGFSAGTEGCHSEPASESNNISNAMVSESDFPCSLSALPMNLDQEACVHSKFGSQCAECSSTMDIQGATSMTFSGKEDLNDEAPGVDSHLKSHASFSDNRSPELDILSVPVDLQQEQHLLSQVDSHSFPLSDKEKTNGESCFPSSNVIEVKQDLEIHAGLVPHSFINENVEDLDVVVPVEPQVGARALDEYDNQDIPCCSTSEKNDTLTLGKPVLVQGSEVCALGEFGSSIVPSSSIDEIEDNLEASALRTSFRAEQESECCTSGEHDSQITISPLLDEKIDELDGPALCNTAIVDKESEVCVPCGLNSRITPCSSTEENIQELDHSPLSSSVLVDLESEDNVSGDCDSEVTPCSLVIDKVGESEDVQPTRIFSEQEQEAHASPELDFHVAPCSLNDDKVGEIEGPLACIGQVGPDKESDCSTEFNSQTVPCSSNSPVLTDTSALASTPVMETYQLSPGLPPAVQSQNDSYEDPQAQAPPPLPPLQWRLGRPRLGLLSGKGSMPEPARRINPVLQASRQDMDIRLGLLDRMDRSIEPVSSQAIKEDINRSSLLDDNDQNVEFGRSSTSVVVTDVARTEHCWQFSEASENIKHQGNVWSSSPGVDDRADAGVAPATADDSGATYGIALSSLNPVFPLPTDEQQGPHLCILSSDSRENSGIGKSMDAAGDMESISIKGHDSENRCYQQPEYVESFSGTSDHEEHITNASEEGGKHLSSASEAISDPEKHSAPGTLLNEGNSQESQHLQEQNMDSFEHDRSGGPLPSSESMAPQDYPHDEYNLDRENRHRPSNPGPLAAWPGDKSNFFSGLDEASFGHAEQPPVLGWTVGPQMIHPKYGISVQDSFEPNITDNHLIKKPISIKNIPRNPLVDAVAAHDRSSMRKVSELAPSTDKPKPNERNLLLEQIRNKTFSLKPVAPAKPIAMGSPARANTRNLKVAAIIEKANAIRQAVGSDDEDADSWSDA >PAN49079 pep chromosome:PHallii_v3.1:9:59105960:59107907:-1 gene:PAHAL_9G424600 transcript:PAN49079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLLASWPLLLVLLLPLVCLLYQRQQDHNRKEQQPRASDGDLKVYPILGRFPHLAKNGHRLIEWSVEVAKRSPTHTTAFKAPGLPGVVITANPDNVEHIAKTSFANYPKGDPVAAGLEDFLGHGIFNSDGEQWLWQRKAASFEFSKRSLRKFIVDTVQSEVVGRFLPLLEKAERHGRTLDMQHVFECFAFDNICHVAFGEDPGCLAEEGAATPQAAEFVRAFDYVESAILGRFRPPATFLWRLKRALNMEPEKQIREALDVVHGYADRIVRRCRERGEAAGPESRGDFLAHIAARGDLSDESLRDVVTNLLLAGRDTTSSALTWFFWLVSGRPDVENKIVDEIRRVRGSRSSSHGDGGGATGKTTTFNFDELREMHYIQAAITESMRLYTPVPMGMHYCKQDDVLPDGTFVGKGWAVNHSVYAMSRLESIWGKDCEEFRPERWLREDGTFQPESPFRFPVFHAGPRMCLGKELAYIQMKSIVSCAFERFSFQYCGGEEHPGLDWTITLRMKGGLPMQVTKQRQGPEAEAGLG >PAN48446 pep chromosome:PHallii_v3.1:9:55315189:55317323:-1 gene:PAHAL_9G382600 transcript:PAN48446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVHRPEEEENGRKAAPVVLRLFGVDVVADEGPDYNGIGFELRKSSSMPNLAIPSADPLLPHGEAGEGKRYASDDLELASRQQKRRRRKAQERKKGIPWTEEEHRKFLDGLRQLGKGDWRGISKGFVTTRTATQVASHAQKYFLRQTNPGKKKRRASLFDVGIADFGDDQVPSPPNSATKPAPSQEIIHTDRGDVPIPSCRGFGGILGNNIQVSELTNYFVTPMAHGETSLASMSSGLLETASSFNSLELSIVVNNLELSIAPPARCGYGGAAGAIKVL >PAN48867 pep chromosome:PHallii_v3.1:9:57887355:57890100:1 gene:PAHAL_9G411000 transcript:PAN48867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQQQQSIELEGPVIKKVDEFIKSVHVEAYMPRHVVIGLMTPKLYRGNIENMRRRDEKNRCLDDFLTECNVEHQDIIVRELQENSPSLRSFYDLDVVPDLTDKSILEMVRDGCYILSQCLNMKNIMDHQVAADDLNRFLCTNSEPDIVRDVLFLYENQIPFKVLDTLYTRLVQGRTRPQLKDLMVKWVCEHLQWQKYIRGEPAKNLDFRHLLELVHMIITTSVPTVLLGSSSPDTIWDRATNYEEHAAVTFLYRPLDGACTRSILDVSLGRCGRLHIPPLIIYTDTLPMLRNLMLLEKYNICVNKHVTSYCFFLSKLARCDQDIAFLSKKRIIDNNLQNNNLAATRISEITSGFSPNLPIENYLQKIADALDSRFHSCTYQNISWLKLQKMQNMAMLALSLGGIIIFVCSIIQAVCSIISCPKK >PAN50839 pep chromosome:PHallii_v3.1:9:67972284:67980934:1 gene:PAHAL_9G550400 transcript:PAN50839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPETARARALVPKPSPNARTRSPSVLAPANPSICGTKPHLVLANRASGHLHRVAFRSLSLPQQNTAPQLSPRPQSYVPPRPCPLPSLPPSPVSTLPSSPLPQAPAPAYTDAPARSRPQTLGPIPMATPVTGDRYLDLLVRFVERNAGALLDGTVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALQQLRRILRLLTSLKVVAPGPGRDPAPLSLLPFARLRVLELRGCDLSTSAARGLLDLRHTLERLVCYDSTDALRHVFASRITDIKDSPVWSKLSYVSCASNGIVLMDESLQLLPAIETLDLSRNKFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEVSSRIVKLVVRNNALTTVHGIENLKSLMGLDLSYNIISNFSELEILGTLSLLQNLWLEGNPICCARWYRAHVFSFFRNPENLKLDDKGMNTQEYWEKQVLFACRQNQPAGYGFYFPAVDDHEDEDTLTSKLRKISRLASIVEGETNLCDDGIDQQSTPCDSDSSKKDETAATDHDVRIASLINTAELLKKQKSSNWLREFKEWMDDNAEKTEGENLPVDFTNGNGMYIRQKKRQRAHKETSNNMSDLVHVSEGGSSSNLLESYSFFTDACSSSNGIIKDSTNEVNGDQAHVTMHLNSFQRPTPLELVGTSHTDPFSELEDGSKNMVANGTPSNTMSKLIESSPYSAYPSPQSPPQYKEDILHRRLFLEEEFLQISGHLHSVGSLGSGSSCSDDSSDDFCSCNSEDDCTEIQTKMELALNGQVASFPFVDSDHEGKYFLGGESLSDHSAEDEPSLTGRREFDIEEFHDSNQRNGHLGHNSGDLFGQKGKQKFERAVFAFKNHNGTKLQNIEMDGDQVDEHVLIEGNGHLTCNRMSSTHKEAGPENRYSRILPKNVGTNMISCNTDKHKIVEDIFNLEVANNDKSETCEQVACCAYLFQDASALAQREVALVRSSQNKLYVLLLDMVCDGKETTQRVLGSYTLESLEKVTIGLGLQTLRVHMVDDTTHLFFTRTSKEAQDVLWLLSVTNFPKLNREIHLQSWENIQVKFFEKFICGSAKMGIFLYSMLMFWRNDAEEDSLFIRSIFVTERSILVCIEDLDQFGGVPNDLDPPYFSLDASCSIHNIQEVVMDQHNDKCLTLILDNHRQGEFHNSIQNPSNKQSDEIDRVHTWKLEWFTQEALLKFISVLKALYSTAAASSLPVKCIS >PAN45515 pep chromosome:PHallii_v3.1:9:7421368:7422788:1 gene:PAHAL_9G124700 transcript:PAN45515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSHKKKKTSTPNPPLDPKCPSSDKKSKPPKPTEEQPEQAAASDKKPKKQKARDEIDEIFSAAKLGKKRKQPQEEGKAAKKPKDKTEGVKKKNKSKTPGSKGKGRVPSDDDDEEVEEKRPRRRTADGLAIYSADELGFGKADAGGTPLCPFDCDCCF >PVH32063 pep chromosome:PHallii_v3.1:9:33935858:33937629:1 gene:PAHAL_9G304400 transcript:PVH32063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNAVRQQRHRLKKKYFNPFPLHLVPKTSPIRSMTDQEWNELVEYWKTPKGMGDKYNDEEPDALDLFKECHYSKKKKFYSSNVQKAITQMENELSTPAECEGQMSVTKVVADVLAKNTRKNLFLQNVGIQNSCPRSSVRNIAPQLEAEKRANTDLRSVVNTQLEQLDVLSKQMQEREELRVREQEEMKKRQAEMEADMKKLQLLLSKIQPS >PAN44568 pep chromosome:PHallii_v3.1:9:3120233:3125946:-1 gene:PAHAL_9G054500 transcript:PAN44568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEVAAAEQVVTPWEVSAPDGVIDYDKLVDRFGCQLIDAAIVDRIAHLTLCPPHRFLRRGIFFAHRDLNRILDLYEAGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTIAECKRLARENAKDIIACGFDVERTFIFTDLNYVGGAFYENMLEVAKRVTYNNLRGILGITPEDHIGKVSFPPVQAAPSFPSSFPHLFPGNDQLRCLIPCAIDQDPYFRMTRDVAPKIGFQKPSLIESRFFPALQGESTKMSASDPNSAIYVTDSSKQIKAKVNKYAFSGGQASVELHRKLGANLDVDVPIKYLNFFLEDDDELDHIKKEYKEGRMLTGEVKQRLIAVLSELVARHQRARAQVTEEMVDAFMAVRPLPNMFG >PAN45575 pep chromosome:PHallii_v3.1:9:7676502:7678202:-1 gene:PAHAL_9G128400 transcript:PAN45575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPSRPRAPVVAGLPDDLLLEVLSRVPFRSICRFKCVSRAWRNLITNPLHRCKLPQTLEGLFHEIHHHRRDRDGDDDEGGGDNFRRHICVGFVDLSGTSLPLVDPLFSFFRNLPASDSDDGIRLMDTSHGLLLLDRGGYNLDSSPSYVVCNPATKQWLAVPEPNWTPSPCNGMSAYLIFSPAVSLHFSLVQFTNEVLTGVTSVQTYSSKTGAWTHSEIAWSVEEKQAPCEGWRYQGFSLVPERKSTVINGMLYLICDSVGEGQVLDGDHIIAVDVEGKTRSFMPAAFQMRKEECSILSDFVGQSQGLLHYVNHEEPEYYQNNDAPSERYANGHTEGSEDDDVDYELSIWVLKVGDTQEFVLKHRVSFLHLFGEKSCQAGIDYSVAAIHPDRDIIIFTRDDKLISYDMDTKEVCALLTVSDAYGFTSYVPCYSESPALTDKY >PAN44822 pep chromosome:PHallii_v3.1:9:4218731:4219199:-1 gene:PAHAL_9G073000 transcript:PAN44822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSPDRQVQLRTVVEFQVTKGIIIKIWAHTVCTIKLCS >PAN49462 pep chromosome:PHallii_v3.1:9:61179460:61180679:1 gene:PAHAL_9G451000 transcript:PAN49462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGPSLPPDDDVAEILARLPARSIGRFRAGWNDITSRSIADRVLARRPAAVTAILKGSSWLELGDEPHPVDIVRFDGFRGRWHPDVHRTPPCHRAVSLDDMTISTEDFRSWDGVLCTRVFPRKPQPGDGAGYMLWNPLTDSCAVVSAPAGHGRIIGGYAHPVTGRFHLIHSSDVAVSGDRDLVAPITVRILGVGDSAGWREVPLPPAMEAGCSESETTTISMRGERDHSVSLHGNLHWLVQPGSGKVALLAFDTVREKFRFMAAPDRPGLDLTTARLRVVPGGKLCVLALTKEQPRAAMEVWVLDDYSDSRSWRLRETARLDRTHLSPAVFAAAAAVEGVHEGEEIFVQLELGILAYGVRSKVWCRVSVGRICSTLLMYRESVMQPEISFGKALRGFCRVE >PVH33130 pep chromosome:PHallii_v3.1:9:69486485:69487773:1 gene:PAHAL_9G575100 transcript:PVH33130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQVIEKFCSFLFMYICAGCAMASGSDDLHQCPQPDQILGVSFFMKSETEEMNFSEKFDVELNEPYLVFKLCGISYAEMMLSILLMDWKSSNVMGNNAARKMAD >PAN51595 pep chromosome:PHallii_v3.1:9:71330599:71332348:-1 gene:PAHAL_9G606000 transcript:PAN51595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSRKTATPSSLYTVSCMQGWELEKYSKVLCWRFAKSFEGRESDNVQTLLSRLIESLPADAEALITWVVEVRRKEEGGANLSKEEKERLFLKENVLQKSSLYHTICHSPCPTIC >PVH32141 pep chromosome:PHallii_v3.1:9:42479341:42480078:1 gene:PAHAL_9G328200 transcript:PVH32141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYKHHLQRTPMGFFPPAERGGRAWIARMRRFGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQQTRAANAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH31631 pep chromosome:PHallii_v3.1:9:12517750:12518173:1 gene:PAHAL_9G195400 transcript:PVH31631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIVLFSLNLDACLMHHEVANCAQNNTPGSRMQHNLQICFRMLLIVLNKLKLSDIDEISKKKPANKLFTSGK >PAN49472 pep chromosome:PHallii_v3.1:9:61204734:61212635:-1 gene:PAHAL_9G451400 transcript:PAN49472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNSTSRVVGCFAPADKAGVDLDFLEPLDEGLGHSFCYVRPGAAADSPAISPSNSERYTLDSSVMDSETRSGSFRQEAAEDLAAAAAAAAAAGLQRPSRSFGETTFRTISGASVSANASSARTGNLSVSLAGDVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGAFMSGPIDGGNRSNFSAPLSYGRRKAGLGHLVHRISRPMKTALSRTFSRNSQNPGWVHKFLLHPMAQLPWARDAKSRSEGSQNGLEAGLPEPEYNVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEENSERSDQVSTHEEGEPVAASVDPPHGDGSQLQFDSEKQEQLGNFEKHNVSAEKDCDESALQVQPNCTSSEQKDLATQVSSSLELGADEIVEETAEADLANDLQSRESHNLNRGLSSADLNISCSCTAENSAYCDKHAKFLKENRKSKRLFELLEMELLEDHNRRISKASPEGRKMQNLHNTLAGTTEGSSRNAADISRCSLAATGECFDDSEDLGSSRHADSVLGVNPKECSGCSVSTSSSFHKQTTRRFLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIQPSDVIRRCKSGPVEHDAVLRAMSRALETTEEAYMEIVEKELDRHPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQDNDQYNSSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKAKELSICRLKMRAVQLSSDHSTSIEEEVLRIKAEHPDDPQAVFNDRVKGQLKVTRAFGAGFLKKNLTRHYFKCSASTMLGLHHISAATLLYFTIVSAQMTGFLYCPQMDYINISAMMR >PAN49468 pep chromosome:PHallii_v3.1:9:61205907:61211783:-1 gene:PAHAL_9G451400 transcript:PAN49468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNSTSRVVGCFAPADKAGVDLDFLEPLDEGLGHSFCYVRPGAAADSPAISPSNSERYTLDSSVMDSETRSGSFRQEAAEDLAAAAAAAAAAGLQRPSRSFGETTFRTISGASVSANASSARTGNLSVSLAGDVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGAFMSGPIDGGNRSNFSAPLSYGRRKAGLGHLVHRISRPMKTALSRTFSRNSQNPGWVHKFLLHPMAQLPWARDAKSRSEGSQNGLEAGLPEPEYNVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEENSERSDQVSTHEEGEPVAASVDPPHGDGSQLQFDSEKQEQLGNFEKHNVSAEKDCDESALQVQPNCTSSEQKDLATQVSSSLELGADEIVEETAEADLANDLQSRESHNLNRGLSSADLNISCSCTAENSAYCDKHAKFLKENRKSKRLFELLEMELLEDHNRRISKASPEGRKMQNLHNTLAGTTEGSSRNAADISRCSLAATGECFDDSEDLGSSRHADSVLGVNPKECSGCSVSTSSSFHKQTTRRFLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIQPSDVIRRCKSGPVEHDAVLRAMSRALETTEEAYMEIVEKELDRHPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQDNDQYNSSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKAKELSICRLKMRAVQLSSDHSTSIEEEVLRIKAEHPDDPQAVFNDRVKGQLKVTRAFGAGFLKKPEFNEALLQMFRIDYVGTSPYISCNPAVLHHRLCTNDRFLVLSSDGLYQYFSNDEVVSHVSWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >PVH33183 pep chromosome:PHallii_v3.1:9:70325615:70328397:1 gene:PAHAL_9G588900 transcript:PVH33183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTREQRGQDAAGANGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDEDLSQAQQQRNQAQAKAEPKLGRPADDHRRHLHDSSTGGKKFLSYFQCCIKA >PVH31224 pep chromosome:PHallii_v3.1:9:5107526:5108821:1 gene:PAHAL_9G089300 transcript:PVH31224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGQPRRPQAQGEALPDQPIRYGDVFDVSGELAGRPVAPMDAALLQSAEQAVLGQTKKGGPAAVLQSAAALNARAGHVGKGQVTGPTADAGATVTEAELAGRRVVSESVGGQVVARFVTPAAVVLADPPDALDQDAVTIGRALEAVAATAGDKPVDQSDAAAVQAAEMCATGASVTAPGGVSAAAQAAADHNARAARDEDKFKLRDVLSDAREKLPADKGATAVDAERVVSAEIRNKPDMATTPSGVAEAVTAAARLNQERP >PAN47305 pep chromosome:PHallii_v3.1:9:17523055:17529939:1 gene:PAHAL_9G250900 transcript:PAN47305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDLPLGLGGHDTYAIMVVWLIAFSYPDYFCPEYLHWSLGLIMAAMYIANMSQCKDFTTSLGTVKEERSADLCTSLFILASESMGTTLPSVDGIRFADDRKRQSGQQTVGDLAANMWIFLYDATAASLPNLGITRTHPFPVYSPTHPHRDDPSK >PVH31877 pep chromosome:PHallii_v3.1:9:17525410:17529939:1 gene:PAHAL_9G250900 transcript:PVH31877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDLPLGLGGHDTYAIMVVWLIAFSYPDYFCPEYLHWSLGLIMAAMYIANMSQCKDFTTSLGTVKGTTLPSVDGIRFADDRKRQSGQQTVGDLAANMWIFLYDATAASLPNLGITRTHPFPVYSPTHPHRDDPSK >PVH31871 pep chromosome:PHallii_v3.1:9:17523055:17529939:1 gene:PAHAL_9G250900 transcript:PVH31871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDLPLGLGGHDTYAIMVVWLIAFSYPDYFCPEYLHWSLGLIMAAMYIANMSQCKDFTTSLGTVKGTTLPSVDGIRFADDRKRQSGQQTVGDLAANMWIFLYDATAASLPNLGITRTHPFPVYSPTHPHRDDPSK >PVH31872 pep chromosome:PHallii_v3.1:9:17523055:17529939:1 gene:PAHAL_9G250900 transcript:PVH31872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDLPLGLGGHDTYAIMVVWLIAFSYPDYFCPEYLHWSLGLIMAAMYIANMSQCKDFTTSLGTVKGTTLPSVDGIRFADDRKRQSGQQTVGDLAANMWIFLYDATAASLPNLGITRTHPFPVYSPTHPHRDDPSK >PVH31876 pep chromosome:PHallii_v3.1:9:17523051:17530769:1 gene:PAHAL_9G250900 transcript:PVH31876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDLPLGLGGHDTYAIMVVWLIAFSYPDYFCPEYLHWSLGLIMAAMYIANMSQCKDFTTSLGTVKEERSADLCTSLFILASESMGTTLPSVDGIRFADDRKRQSGQQTVGDLAANMWIFLYDATAASLPNLGITRTHPFPVYSPTHPHRDDPSK >PVH31873 pep chromosome:PHallii_v3.1:9:17523051:17530769:1 gene:PAHAL_9G250900 transcript:PVH31873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDLPLGLGGHDTYAIMVVWLIAFSYPDYFCPEYLHWSLGLIMAAMYIANMSQCKDFTTSLGTVKGTTLPSVDGIRFADDRKRQSGQQTVGDLAANMWIFLYDATAASLPNLGITRTHPFPVYSPTHPHRDDPSK >PVH31875 pep chromosome:PHallii_v3.1:9:17525410:17529939:1 gene:PAHAL_9G250900 transcript:PVH31875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDLPLGLGGHDTYAIMVVWLIAFSYPDYFCPEYLHWSLGLIMAAMYIANMSQCKDFTTSLGTVKEERSADLCTSLFILASESMGTTLPSVDGIRFADDRKRQSGQQTVGDLAANMWIFLYDATAASLPNLGITRTHPFPVYSPTHPHRDDPSK >PVH31874 pep chromosome:PHallii_v3.1:9:17523055:17529939:1 gene:PAHAL_9G250900 transcript:PVH31874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDLPLGLGGHDTYAIMVVWLIAFSYPDYFCPEYLHWSLGLIMAAMYIANMSQCKDFTTSLGTVKEERSADLCTSLFILASESMGTTLPSVDGIRFADDRKRQSGQQTVGDLAANMWIFLYDATAASLPNLGITRTHPFPVYSPTHPHRDDPSK >PVH33088 pep chromosome:PHallii_v3.1:9:68857403:68859632:-1 gene:PAHAL_9G565200 transcript:PVH33088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRVSLSCFCPSSEPLLRRSAHRRGSLLVLPSGMGKNPEPLQEQTVFSVRTIDVASHSPSSSIPATTSAPAMRSLLVGVGDRAIIVATSNAVHQRRLRSSPAACEIAGKLSSANLRACSSLRSFVLDDAYTNKGRQGVRAPLDDGQSVGSG >PVH33089 pep chromosome:PHallii_v3.1:9:68857410:68859632:-1 gene:PAHAL_9G565200 transcript:PVH33089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRVSLSCFCPSSEPLLRRSAHRRGSLLVLPSGMGKNPEPLQEQTVFSVRTIDVASHSPSSSIPATTSAPAMRSLLVGVGDRAIIVATSNAVHQRRLRSSPAACEIAGKLSSANLRACSSLRSFVLDDAYTNKGLTIKGMVLLRT >PVH32056 pep chromosome:PHallii_v3.1:9:33169420:33170201:1 gene:PAHAL_9G303000 transcript:PVH32056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFHFSCGAHLSVPPPSLPSSLPPLIPSNDGVVHGVRRLPSLVGRPLPPSCAPAHAPLMAPSRPPTSTSLSSTDEGLLGSAPRTGRRRAGLRASPDGSPPVAHGSAAALLTGVRRHARRPFPADPPPRMPVPVARGAAVEPHLRGCHRASRAASPAWSPLRKPSNLARERLQRERGDAARSPAT >PVH32847 pep chromosome:PHallii_v3.1:9:64843501:64845147:-1 gene:PAHAL_9G503500 transcript:PVH32847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSENSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVILEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTLSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN47300 pep chromosome:PHallii_v3.1:9:17429240:17435011:1 gene:PAHAL_9G250400 transcript:PAN47300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase GCN5 [Source:Projected from Arabidopsis thaliana (AT3G54610) UniProtKB/Swiss-Prot;Acc:Q9AR19] MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSSAPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPGGGSASGRPPKPDSSSVSAAAAASAAAAGAGPKPEPGSAAAGDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECKIDPKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRSAFSPDYNTYRQQLTNLMRILLKSMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLRTMSRRVESEQYYVTLEMFVADMKRMFNNARTYNSPDTIYYKCATRLENFFSGKIASQLAQASTKS >PAN47301 pep chromosome:PHallii_v3.1:9:17429249:17435896:1 gene:PAHAL_9G250400 transcript:PAN47301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase GCN5 [Source:Projected from Arabidopsis thaliana (AT3G54610) UniProtKB/Swiss-Prot;Acc:Q9AR19] MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSSAPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPGGGSASGRPPKPDSSSVSAAAAASAAAAGAGPKPEPGSAAAGDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECKIDPKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRSAFSPDYNTYRQQLTNLMRILLKSMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLRTMSRRVESEQYYVTLEMFVADMKRMFNNARTYNSPDTIYYKCATRLENFFSGKIASQLAQASTKS >PAN51117 pep chromosome:PHallii_v3.1:9:69252847:69253795:1 gene:PAHAL_9G571200 transcript:PAN51117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRLHKSFAVLALVLCATATGVESNVFTSVVHSAVRAFHFHHRRAVSGEAAAAAAHPAAGPSISPVQPTPAPGAQPPSSSSPVAAPVPSVSQQPAPSPLVPATPPPAPVMQALTPVAAPAPAPSTPAPWPAIPAPPTPGTCATPSRSSSRSPRDSISRATCGLLALVG >PAN51116 pep chromosome:PHallii_v3.1:9:69252830:69254196:1 gene:PAHAL_9G571200 transcript:PAN51116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRLHKSFAVLALVLCATATGVESNVFTSVVHSAVRAFHFHHRRAVSGEAAAAAAHPAAGPSISPVQPTPAPGAQPPSSSSPVAAPVPSVSQQPAPSPLVPATPPPAPVMQALTPVAAPAPAPSTPAPWPAIPAPPTPGTCATPSRSSSRSPRDSISRATCSSSGDPVATKSKCSSSADGHAAIDPGIRATHGRPYFRRRRSGCLHGCGAGIRRRRHRSKLGISRFSRPITISGNTIV >PVH31996 pep chromosome:PHallii_v3.1:9:29814036:29814242:1 gene:PAHAL_9G286600 transcript:PVH31996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAKRSAEAVGCQNASVGERSALEGSKRKSGGRRSGSENVGLSNENIGENPMPRKPKVSSARFVHGG >PAN44313 pep chromosome:PHallii_v3.1:9:1884720:1889897:-1 gene:PAHAL_9G033900 transcript:PAN44313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRVPLPQLLLLVVAALVAAAPVRRAVGAGEFDVRRHLSTVTRYDVSRGSSSVNSMPPIPDGCRVIHLNLVARHGTRAPTKKRIKELDRLAIRLEALMNEAKQGLKSDSLKKVPSWIKGWESRWKGRTKGGELTSEGEEELYNLATRVKERFQDLFDDEYHPDVYSIRATQVSRASASAVAFGFGLLSGKGKLGPGKNRAFSVLSESRASDICLRFFDSCETYKAYRKRKEPDVEKQKEPILEHVTAALVNRYHLNFTTQDVSSLWFLCKQEASLLNITNQACGLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIIAKEENHPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQQEEALDVPPMPPQGRNWKGSVVAPFAGNNMLVLYQCPGKTSDASTSGGQNNSYFVQVLHNEVPVSMPGCGNKDFCPFEEFKEKIVKPHLKHDYNMICKINPPVACDEPASFTTKVSSFFVGLFSQKGYRAVSAESVKTEL >PVH31323 pep chromosome:PHallii_v3.1:9:6587249:6593694:1 gene:PAHAL_9G112300 transcript:PVH31323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFYQCKEVLKIQKFRRMVSYAGFYCFTTLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYLAHLLSHGQLEGSD >PVH30982 pep chromosome:PHallii_v3.1:9:1489380:1493330:1 gene:PAHAL_9G026400 transcript:PVH30982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIMHQAAVPEWVNVPSEEDKAEYRDDNETLQKMGTVVRLPPIVEPRKTRKAVDDKCKCSHPGSEACAGVHVKEAWKRVKYQLGDHAFRNCGFDAMGERVLKLWTPEDKKKLADIEKLVPQNNHEDFMRIALKQFKSERTMDLAKYYYNIFLPKRLASLNRAEATNAINAIPDDEGNDQDDDNDVHRSKEKNKGYRSSSKRSRK >PVH30981 pep chromosome:PHallii_v3.1:9:1489057:1493330:1 gene:PAHAL_9G026400 transcript:PVH30981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWARRAATGALWAVRGRNRGIVDDDGRLAVILALRHSRTEEVDPDEPGTPYSKKQKRLHKGTRSSGRIAGDLSHLSSFLVSTRKRIGMDPIMHQAAVPEWVNVPSEEDKAEYRDDNETLQKMGTVVRLPPIVEPRKTRKAVDDKCKCSHPGSEACAGVHVKEAWKRVKYQLGDHAFRNCGFDAMGERVLKLWTPEDKKKLADIEKLVPQNNHEDFMRIALKQFKSERTMDLAKYYYNIFLPKRLASLNRAEATNAINAIPDDEGNDQDDDNDVHRSKEKNKGYRSSSKRSRK >PAN45351 pep chromosome:PHallii_v3.1:9:6597058:6599846:-1 gene:PAHAL_9G112600 transcript:PAN45351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLPKLLLLLVLAAVAPLPSSARHIVTFAPARGVGPASLAWDPTAQHFVVAGGGDAILSVSDAGVTESIISSGASVVAVDDRRRRLLVASPGSVSAFDLRSPRPHRLILSTPVPDTAPPGGVAVDPHTGNAFLTVGARIYKLSLEGDLAPLSSAPALGSEPLASLTAHVSRGFLLVGQPSTGSLLRVDMEDGATRTVSGVLTPPTPVAVAVRTDGAVAVGGAAGFRLIVSNDGWASCGVRDEAAPAPDGPVAAVAVRERRRVYALVEAATTEEGKEWRIEEAAWKSESEGEMVVGLVFVGVALAIFMFWRFQMRQLAGNMNKKIR >PAN49164 pep chromosome:PHallii_v3.1:9:59598116:59601481:1 gene:PAHAL_9G430700 transcript:PAN49164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFTAAPLLLLLGLLALGLGAATANVGDSCSTGADCGAGQWCFDCEPKLSGSHCVRSAATNPFQLINNSLPFNKYAYLTTHNSFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFQEIEAFLSANPSEIVTIILEDYVHAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVSDMVASNQRLVVFTSISSKQSSEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLMNYFPSVPVKFTACLQHSQSLVDMVNTCYGAAGNRWANFIAVDYYKRSDGGGAFQATDLLNGKLLCGCQDVRACLQGSGVVCSA >PVH32564 pep chromosome:PHallii_v3.1:9:59598116:59601478:1 gene:PAHAL_9G430700 transcript:PVH32564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFTAAPLLLLLGLLALGLGAATANVGDSCSTGADCGAGQWCFDCEPKLSGSHCVRSAATNPFQLINNSLPFNKYAYLTTHNSFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFQEIEAFLSANPSEIVTIILEDYVHAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVSDMVASNQRLVVFTSISSKQSSEGIAYQWNFMVENNFHLISDGDDGMDAGKCSNRAESAPLNDKTKSLVLMNYFPSVPVKFTACLQHSQSLVDMVNTCYGAAGNRWANFIAVDYYKRSDGGGAFQATDLLNGKLLCGCQDVRACLQGSGVVCSA >PAN50516 pep chromosome:PHallii_v3.1:9:66240012:66242039:-1 gene:PAHAL_9G524900 transcript:PAN50516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKNQMGAKQANAADDGKGAAAADAGSAVTASAIAASYDDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRAVATTEAKVADAINAATEEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPEDIYDQVAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKVDKAPEGLLEKVTMDDVHIGLGYVCVRNRIGDETYDQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQAAIIAKCLPDIVKQINDRLSRSSAELDQMPQDLNSVADAVRVFFHIVKQVCNSLEKVLVRGDFEEYPDEREFHGTARIAEMLSGYAKKLPAQCPTSSDEPFLMEEVRILEETKGINLPNFLPRSAFLVLFKKKVETIDQVPQDLANGVWAYVEGLVMKTLLKHSDNFPQMQSPCRRAVQSLMEKARARSAQHVRELIAMELVADYTANPDYMKTWAEIMKGFDKFMEAVEDTSKPTRITLEGFGEVDVSHLRAYADLAGKAFDLRARLTAYWKSIVLRLVDGLALHVLLSVKLLVEKDLEEELGNELLANKLAGVEKMLAPSPSTGTKRERLRKSIVLLRQSKEVVANIMDRISAAGEV >PAN51697 pep chromosome:PHallii_v3.1:9:71813257:71816121:1 gene:PAHAL_9G613300 transcript:PAN51697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGQEDDDIDHYEVLCLPSGEEGAALTIEQIEKAYRTQSRLRHPDKRRDDPNATADFQRLASSYKLLRDESLRRQFDARLRGRREAAARAAAAGVKRRKAVSDLEERERAAAAGQPTNPEELAKREMKQKAADIERELEEFRSAKQAAPSGAGATSAYGDKKGGASQDGVKTDKGKILKVSWDGSADSYTAAKLEKLFQKFGEVEDVVIKTRKSRSKGSAIVVMGTKEAALLAIQNHFSLFPLNVAPVQESGGLPARSTQAHESRTSNIDGAGFSDLEASVFRKLQEAQKRKQCG >PAN49156 pep chromosome:PHallii_v3.1:9:59521182:59525375:1 gene:PAHAL_9G429900 transcript:PAN49156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKEGRKGGGCGRQGQGEVLSSLCSTVGAMARQLQLLGAAIALFAGFSSVAPLAEAYDPLDPTGNITIKWDIMQWTSDGYVAVVSIYNYQKYRHIQAPGWNLGWVWAKKEIIWAMVGGQATEQGDCSQFKVNIPHCCKREPTIVDLLPGTPYNMQIANCCKGGVLNSWVQDPVSAVASFQISVGRSGTTNYTVKVPLNFTLKAPGPGYSCGVAHEVKPPTKFISQDGRRTTQAHVTWNVTCTYSQFVAQQAPTCCVSLSSFYNETIVNCPKCSCGCQNNITGPGSCVEGNSPYLASVLNGLGKSSMAPLVQCTPHMCPIRVHWHVKLNYREYWRVKITVTNWNYRMNYSQWNLVVQHPNFDKVTTIFSFNYKSLSPYGVINDTGMLWGIKYYNDLLMVAGLDGNVQSELLFRKDPLSFTFEKGWAFPRRIYFNGDSCVMPPPDVYPWLPNSSPVLKASSLVQPIAIWTALLFLWLRM >PVH30867 pep chromosome:PHallii_v3.1:9:50060:52126:1 gene:PAHAL_9G000700 transcript:PVH30867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTLPSISFQSPCFKLQSAPQLRLRPPRQQPIHTRKQGLPLKPIHAALRPDRNGRLNNPNRRGATLPSSPLSDVVQEFYSSLNEKNSKRLDKLIAPDCVIEDTAYYKPLDVKCTRIYFKRLMESMGENVKFATDEVCQGAEHTAAVMWHLEWNGYTIPFTKGCSFYICSENGAVLLIR >PVH31892 pep chromosome:PHallii_v3.1:9:17890362:17895142:1 gene:PAHAL_9G254400 transcript:PVH31892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQKSSPILAGTWLPFADLFCHFESVTDITRGILGLVTDLVFGTPKTLAVPSYKYARVPDTEEYNRTHNAPQLACCAAALVLPQSRSSTCAGDRESRYPEPRPQRSCTGRGRIRFLGSALRNCSNASTSPSSTSSSPRLVFLLVSGVRLGVVKGTEVLIVAVVFFTRLFRSA >PAN50180 pep chromosome:PHallii_v3.1:9:64890806:64896561:-1 gene:PAHAL_9G504100 transcript:PAN50180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSTRGGGHSDALRNYTLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMRNMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPMDIYVVMEYCKYGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQNRLPRYLAVPPPDTAQQAKMIDEDTLRDVVKMGFNKDDVCESLCSRLQNEATVAYYLLLDNRFRATSGYLGADYQDSMDRNLNQLASSESASSGTRNYVPGSSDPHSSGLRTHYPVERKWALGLQSRAHPREIMIEVLKALQELNVSWKKNGHYNMKCRWCPGFSEAHDMLDASNSFDSIIMHNDDANGKLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >PAN50179 pep chromosome:PHallii_v3.1:9:64891215:64894752:-1 gene:PAHAL_9G504100 transcript:PAN50179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSTRGGGHSDALRNYTLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMRNMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPMDIYVVMEYCKYGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQNRLPRYLAVPPPDTAQQAKMIDEDTLRDVVKMGFNKDDVCESLCSRLQNEATVAYYLLLDNRFRATSGYLGADYQDSMDRNLNQLASSESASSGTRNYVPGSSDPHSSGLRTHYPVERKWALGLQSRAHPREIMIEVLKALQELNVSWKKNGHYNMKCRWCPGFSEAHDMLDASNSFDSIIMHNDDANGKLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >PAN48201 pep chromosome:PHallii_v3.1:9:53600560:53605130:1 gene:PAHAL_9G367400 transcript:PAN48201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MAATAMIGLATLRCHHHRVSARPRATSAVRSCTLPPRCRRCGSVTLHSSCAASPPRGRPAAALSRIAAGNTTGFMGPSKSNNGTAALPRSSSSDFRRRLIVPNSTGNASATSGSSGLLPTILGVAHLLVSLGIVVATDKFLKQAFVAASIKFPSALFGMFCVFSVLVVFDAFVPALAKGFIDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFAITFGGWFASLAVAGYTALAVRKLVKTQLIPSEPMSKPSPFSTFETWAWGAIFVASFGVAYFNPTALGTTARTCLPFLLAANVLGYMVGSGLPAGVKKVLHPIISCALSADLAAVAYGYLSGSGLDAVLGDYFTKAPSNPGAGDVLMGFLGSVIISFAFSMFKARKLVKRHAAEIFTSIALASTFSLYSTAIIGRLIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLKDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLIFIAG >PVH31886 pep chromosome:PHallii_v3.1:9:17608489:17609110:-1 gene:PAHAL_9G251600 transcript:PVH31886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALERENAAFGGPGCKADAFGSSFLSPLSVPAWRGRETGERPSSFLPRNHKFLLRISPQSSRGFPTHFIALFGGIDSPARFFQAGGGCSIRAPGRPLCRERFDLDFPHQNSTFPCAINH >PVH32919 pep chromosome:PHallii_v3.1:9:66291822:66300870:-1 gene:PAHAL_9G525500 transcript:PVH32919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVIRRPLLLLAAVSVAIVVSLVRPAAAVRPFVLVLSVDDFLKDSSAHPSLPSADSAGADADADADEWDDFADDSPAADPLLSPSSWVPLLDPTSSPPSGDEPDSPADSLFVAGARAMLSAASEGDEVAFVTAAAQIEAAATAGHPGAQSALAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMAIAYSYFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPIRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNSAAMYKLGLLYYYGLRGLRRDYVKAFHWFSKAVEKGDTRAMELLGEIYARGAGVEKNYTEAYKWLTLAAKQQHYSAYNGLGYLYVKGYGVEKKNLTKAREYFKLAADNKEAGGHYNLGVLYLKGIGVKRDIMEACNHLLQAVNAGQPKAIYQVAKLFQKGIGLKKNLHMATMLYKSVAERGPWSSLSRWALESYLKGDVGKALLLYSRMADLGYEVAQSNAAWILDRYGDQSICMGESGFCTDMERHLRSHALWWQASEQGNEHAALLIGDAYYYGRGVARDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDFHLAKRYYDQAVAVDSAAKLPVMLALTSLWLRKNYADSFLVRFIDSLPEIYPVVEEWVEDVLLDEGNATILTLFACLVTVLYLRERQRRQVAAANPQQPDGGAPM >PAN51340 pep chromosome:PHallii_v3.1:9:70226258:70229982:1 gene:PAHAL_9G586800 transcript:PAN51340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCLWPCVTGVVAGSGAGHRKGGLFRSKPRTPPEVVQHVVELVTYILEHKQEGSGGGKRDTKLEHRMTELSKSIKEMKVILYGNGEEDPCDQACKQLTKEFFKKNVDLFRQLVVCLPHLDLETQKDVTQVIANLQRQKVDSRLVASEYLEANSDLLDILMSRYENMDIAIHYSTLLRYCIRHQVAARYIMESQHFRSLFDYIQFPDFNIQSDVFKTFKELMTRHKSTVAEFFSKNYDWFFAEFNSKLILSASNYFIRRQAIQLLRDILLERSNAAVMARYVSSKEHLIILMNLLRDQSKAIQVEAFHVFKLFVINKEKPSEITCILHANRNKLLRFLKDFSCVDKEDKKFEADKARVISEILTLAMKSSS >PVH33177 pep chromosome:PHallii_v3.1:9:70226257:70229983:1 gene:PAHAL_9G586800 transcript:PVH33177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCLWPCVTGVVAGSGAGHRKGGLFRSKPRTPPEVVQHVVELVTYILEHKQEGSGGGKRDTKLEHRMTELSKSIKEMKVILYGNGEEDPCDQACKQLTKEFFKKNVDLFRQLVVCLPHLDLETQKDVTQVIANLQRQKVDSRLVASEYLEANSDLLDILMSRYENMDIAIHYSTLLRYCIRHQVAARYIMESQHFRSLFDYIQFPDFNIQSDVFKTFKELMTRHKSTVAEFFSKNYDWFFAEFNSKLILSASNYFIRRQAIQLLRDILLERSNAAVMARYVSSKEHLIILMNLLRDQSKAIQVEAFHVFKEKPSEITCILHANRNKLLRFLKDFSCVDKEDKKFEADKARVISEILTLAMKSSS >PVH32460 pep chromosome:PHallii_v3.1:9:57046683:57046869:1 gene:PAHAL_9G400900 transcript:PVH32460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLLDSEQCVRAIVIEMVVIKVATAPLRCTVSTSSYKSLRLSSLHGECSSPNPI >PAN49061 pep chromosome:PHallii_v3.1:9:58872911:58879444:1 gene:PAHAL_9G422900 transcript:PAN49061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFASNAWTSGLAKRCPPNCASPSAACSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHSMCKNCILGLQWAIIKVPTVPIQLPFFICCPWCNLLSLRIFYKGNLIFPRKNYFLLWMVEGMNGERARSRSVIHSEQHTPWLPSSSSRANGNAGYANPIRRPLPPQADTSSANHANHGIPLLNSERVQASLRKSLSFLVHLTAKFPLVFIFLLIVLYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWLVREIFA >PVH33176 pep chromosome:PHallii_v3.1:9:70204248:70205096:1 gene:PAHAL_9G586400 transcript:PVH33176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSVLPLLVAALIAVAAFSAAGADAAWTPIGNPRSLVIRQIGNFAVIVYSNADPRKPRPLALVDVVRGETQPAGSGVTDYRLVLNVRNTATGSTGLYQCVVRGKPGSRATTWELHGFVQYNKQAAV >PAN47599 pep chromosome:PHallii_v3.1:9:19843089:19843706:-1 gene:PAHAL_9G261300 transcript:PAN47599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMGFSYAQIHVRQERCRTKSLQAEEKDEKAKDAAGGGEEVNRRPIAEDDKATGGSSWAGGKVHPCAGAAAPPPPN >PVH31285 pep chromosome:PHallii_v3.1:9:5990942:5991199:1 gene:PAHAL_9G103300 transcript:PVH31285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTSRLREGNVSARPIRGVLSWVNSGSAACGFDSSHLSLQQYYYRRLQSSAFPAAG >PVH31627 pep chromosome:PHallii_v3.1:9:12483082:12489518:1 gene:PAHAL_9G194800 transcript:PVH31627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYYSLCAVAPKGDHEKVIEDLAELGFCSFFFQSSPTFPVDPFVRSVLRGVAPLLPSPSPRPVPTATVAVARRSPATQGLPLRPARAPSSIITCEALPRSHGSRAVNEAGGSMVRFRDVQKPSAQVPRDGHPPCCRCTGVNLFLRCTSPRKLRHCYTGVGRPDGRFKASFLLPNVIACGSSRR >PAN48297 pep chromosome:PHallii_v3.1:9:54361234:54365309:1 gene:PAHAL_9G373700 transcript:PAN48297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEPFADKNAVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMFYGGNNRAQSFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKEVAKSSTEDGNSSWPSSPVSASQAPNQTAAFSDLKLTEASKENASEKTEPEIVRSPRAPTHSFKKPIGAKKPGNKTGGLGARKLTSKPNESLYEQKPEEPAPVLPSVTESTITRNKSYTSRFEYVENAPAARTGRSSDDNQMSGHVAPPKSSNFFAEFGMDSGYHKKSTSNSSKVQVEESSEARKKFSNAKSISSSQFFGDQSSFEKEAQVSLQKFSRSSSISSADLFGRPANNSSVDLNASDLINRISFQATQDLSSLKNMAGETGKKLTSMASNIITDLQDRIL >PVH31067 pep chromosome:PHallii_v3.1:9:2839166:2841333:1 gene:PAHAL_9G050300 transcript:PVH31067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPATFNDLGHQYFEEREIKKPIFNHASSIGHRFGPQLPLLTPKTEVSHLIESGLGSYKAYEMSDRFVPRKKTSSNSVKKPNVVKGQWTPEEDRKLVKLVEQFGLRKWSYIAQMLPGRVGKQCRERWHNHLRPNIKKEIWSEEEDMVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRTSSKGPKSGTLLQNYIKGLGIGPSKNVAPPAQPTLPPSSPATPGAKSAKVHEMLEHSPSDILDSQGMLAVHEYNCSETDQSCEELLAPICCGDFSVVDMCEGLFDTTEDASCFQVFTVGDDVDMSYIFNHLDHAIKVDPEIDMEMMWDDDALVCGEPDGAGSAQMETVHVKEEMDLMEMVAVTQKQKCCEAEKN >PAN46264 pep chromosome:PHallii_v3.1:9:10887934:10897538:1 gene:PAHAL_9G173600 transcript:PAN46264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAPPATSLLLGGGRLPRFQFQSLRAPTKPPFFRGPASSPPVPLRRCCARPLAPVSASALPVPVTAAAPPPGAEVGWGRAAAAAVRRVAVAVAFGALAAAWSRRAMAVGAAAGAGAAAPGAVEAAAGFGGLALRQGWPRVLQVLQLIREQGLVLAALLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDVMATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVRQEDGTFHVDANTSIDQLSEELGIKIPEGHQYETVSGFVCESFGYIPEEGAKMLVILEKDYREENGEYQEEGSDRQDDREKTQAYELEILEGNARKVGKVLFKPISSECVDVDDKGANRLISKKIIKRKKKDSGDSSNSDEDECPDIAGNGCPAEVLSCSDDNSAELEDASSSSARR >PAN46266 pep chromosome:PHallii_v3.1:9:10889071:10897538:1 gene:PAHAL_9G173600 transcript:PAN46266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDVMATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVRQEDGTFHVDANTSIDQLSEELGIKIPEGHQYETVSGFVCESFGYIPEEGAKMLVILEKDYREENGEYQEEGSDRQDDREKTQAYELEILEGNARKVGKVLFKPISSECVDVDDKGANRLISKKIIKRKKKDSGDSSNSDEDECPDIAGNGCPAEVLSCSDDNSAELEDASSSSARR >PVH31786 pep chromosome:PHallii_v3.1:9:15488306:15489610:-1 gene:PAHAL_9G233400 transcript:PVH31786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVRNGAGHSCSRSRSQKTSKRDGSGGISHGPHPFHYETSPSVHMAADLALSDRDDTRSPFRPPAQSVRRPCRASHRIDRKFPAPTSGRRSLDKPTTPTTHRPCPVPVPCRRPSTPAASSLALARSVRAVPAHDGDLFARWQVAHAGWVVWTRPNDGAQAGRRTRGRV >PVH32240 pep chromosome:PHallii_v3.1:9:50550390:50551739:-1 gene:PAHAL_9G352100 transcript:PVH32240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALLVLVLSPAVPDTYEHETRRMFVEWKAKYTKTYKYAGEEECRYAVFKDTRRRVASANAAGEIFRGRGVRIEEKSYEEKTRRMFVGWKAKYGKTYRDVGKEECRYKLFKANRRVDVKLNAVPARETAFSLNHLGDLTNEEVRECCDGRDGEMEGKLSARCEAAIARRDIVYDFERLIRS >PAN49829 pep chromosome:PHallii_v3.1:9:62919912:62921435:1 gene:PAHAL_9G477800 transcript:PAN49829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIFDVGQKKRGVDEFPLCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDAFHLRVRAHPFHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVSIGQVLLSVRCRDAHAPQAHEALRRAKFKFPGRQRIITSGKWGFTRFSRAEYLELKREGRVVPDGSNAKLLTWHGSLADRKPGRAVYPPSVAGSA >PAN50828 pep chromosome:PHallii_v3.1:9:67862173:67873039:1 gene:PAHAL_9G549300 transcript:PAN50828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADALTIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISAVITLLTNDFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLSSFMDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRAIKADPAEGFDIGAILSIGKRELNSEHEATRIEALHWFSTLLARYRVEFLAYLDDIFDPLLNALSDPSDAVVLLVLEVHACIAEEPHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSTILESEIDLDFASVMVQALNLILLTSTELGELRSLLKKSLVDSCGKDLFQSLYASWCHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENIKRTSSANPYSQILQVTEDGSRNQDTQNYSAINFPSLLLQFENMQQQHRNHLKGQLQSRKSASAATLSQEIQRYEEAHSSSLSEISRLPSGAPKGIS >PAN50829 pep chromosome:PHallii_v3.1:9:67862173:67873039:1 gene:PAHAL_9G549300 transcript:PAN50829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADALTIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISAVITLLTNDFTYSPQANHRKQGGLIGLAAVTVGLTSEAAQHLEQIVPPVLSSFMDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRAIKADPAEGFDIGAILSIGKRELNSEHEATRIEALHWFSTLLARYRVEFLAYLDDIFDPLLNALSDPSDAVVLLVLEVHACIAEEPHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSTILESEIDLDFASVMVQALNLILLTSTELGELRSLLKKSLVDSCGKDLFQSLYASWCHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENIKRTSSANPYSQILQVTEDGSRNQDTQNYSAINFPSLLLQFENMQQQHRNHLKGQLQSRKSASAATLSQEIQRYEEAHSSSLSEISRLPSGAPKGIS >PAN45606 pep chromosome:PHallii_v3.1:9:7791874:7793001:1 gene:PAHAL_9G130300 transcript:PAN45606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEAASSCDGSGARITLNCSHGGRFLPLGPGGALRYVGGETRVLAVPRAATFRDLAARLSSEVAGGAEVRAIRHRLTDEGLEDVIVSVTCDDELAHMRDEYDRLRATRPAARFRVYVTTSDSAGPGGGGGFQGRIAASGLPPLAPKMRRVQSVQAQLHRRCLALPAPMRRIQSAQEIARATHVQPSFRHRRQQEFCRNSQRRDLCAAAPPPARPVDAPPCMFKKVPAPSVPAAMATGRVVFTDAAREKARSRGVQAAMENRRAIWELAS >PVH32406 pep chromosome:PHallii_v3.1:9:56461201:56462074:1 gene:PAHAL_9G392800 transcript:PVH32406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWNPFKQYSSNHNFSAKTGSEVCQLNKHKKRDREKRIEQFAHQIGGPMSESRPRPWKTSMHM >PVH32647 pep chromosome:PHallii_v3.1:9:61198378:61198999:1 gene:PAHAL_9G451200 transcript:PVH32647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDGEKGTLTVVGAVDVVRAAKALRKAGFEARVLSVGPEKKPDEATAKKPADDEAKKPPPCCAGCGACCPPASVPVAVAPFPGAVVCYEERHPGNGCAIL >PVH32498 pep chromosome:PHallii_v3.1:9:58243266:58244125:-1 gene:PAHAL_9G414200 transcript:PVH32498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSIRCCVVPGPHKVDEIPPFHYAVCNPMTEKWVMLPDGSRVNGEARVARLGFDPAVSSHFHVVEYVLDEEGVVENYSSKTASWNFKESEWGDDVMHSQLYDRVRTLVDMEGTTWRTIPVPSDGEYGCIHQAQGRLCFINVDDADAYKLSIWILEDHGTNEWTLKHSVRTQLLFRRENLQFELDYTVITVHPECNLIYFVYGWDNTLMAYEMDRKEIRVIHNLGHDGSDPYLPYVPLFLEKLADEQ >PAN50341 pep chromosome:PHallii_v3.1:9:65485982:65490122:1 gene:PAHAL_9G513900 transcript:PAN50341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSVPAYLDPPNWNNQQGQQPRASGGGDAPLLPVVPAAATAAAPDVSGLPSSSSGASAAMAAHARPNSMAERARLARMPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGTLRNVPVGGGCRRNKRSSKSSATGGGSSSSSKPSSSARQLAGPSSTPSNATGATGAIIPPGLGSFSHHLPFLGSMHQPGPNLGLAFSSGLPPLGMQHMDTVDQFPVASGGGATIGASLEQWRVQQQPQQQFPFLTGGILDLPPASMYQLGLDANRGGGGSAAAAAFTLGQTSAATARQEGSMKVENSKGQDMSLQRQYMAALRHGSQGVWDGNAGSSGGDGGGNGGSSWPMNIPGFNSSSTGDGNGSGML >PVH32880 pep chromosome:PHallii_v3.1:9:65486007:65490122:1 gene:PAHAL_9G513900 transcript:PVH32880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSVPAYLDPPNWNNQQQGQQPRASGGGDAPLLPVVPAAATAAAPDVSGLPSSSSGASAAMAAHARPNSMAERARLARMPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGTLRNVPVGGGCRRNKRSSKSSATGGGSSSSSKPSSSARQLAGPSSTPSNATGATGAIIPPGLGSFSHHLPFLGSMHQPGPNLGLAFSSGLPPLGMQHMDTVDQFPVASGGGATIGASLEQWRVQQQPQQQFPFLTGGILDLPPASMYQLGLDANRGGGGSAAAAAFTLGQTSAATARQEGSMKVENSKGQDMSLQRQYMAALRHGSQGVWDGNAGSSGGDGGGNGGSSWPMNIPGFNSSSTGDGNGSGML >PAN49132 pep chromosome:PHallii_v3.1:9:59435984:59442093:1 gene:PAHAL_9G428300 transcript:PAN49132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMVCEICGSGSRPDLIANCARCNAYEHLYCMQELTYVIPHEWYCAGCQEYANGCPKPSQGGQTELQNPWHGCDKLKERETVKLNLSHSNVAHQIDPRSSNKFGNAKVKFISSEEVASLSRERPPYVRSRFAVRPSKVHPSSPPNTKHSSNLKCVSPSRSDTQVQTLKRCAAANHDQMKIEHRSYFATQQRPAHPASPPNVKQPSNMKSISPSRSDMQVQALRRCAAASRDQAKIEGRPDFAMPQRQVHPASPPHAKRLSNMKCISPSRTETQVHTMKRSAANCQDQAKIDDISMKRDTRSGGSMPTIHRCRTSELVKVKVDSMSEARETKIVKAHKGEINSETEDEPREMGTLCALDGDSVSKSETESLNQSRDVLLSIDSSVEYTRRPPPAICWMGCFHVLDAGANLNLGEFKAQFPSKVSSKVYDIVKMIPNNLQLQLLPRMNDWPKSFEISNPVYEDIGLFFFSNEHDGHEKHSYLLEASSNYVLRAYIDGIKLLIYSSEVLPPDSQWIDGESYLWGIFVRSKGKSDPQLFGSTTT >PAN49131 pep chromosome:PHallii_v3.1:9:59435984:59442058:1 gene:PAHAL_9G428300 transcript:PAN49131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMVCEICGSGSRPDLIANCARCNAYEHLYCMQELTYVIPHEWYCAGCQEYANGCPKPSQGGQTELQNPWHGCDKLKERETVKLNLSHSNVAHQIDPRSSNKFGNAKVKFISSEEVASLSRERPPYVRSRFAVRPSKVHPSSPPNTKHSSNLKCVSPSRSDTQVQTLKRCAAANHDQMKIEHRSYFATQQRPAHPASPPNVKQPSNMKSISPSRSDMQVQALRRCAAASRDQAKIEGRPDFAMPQRQVHPASPPHAKRLSNMKCISPSRTETQVHTMKRSAANCQDQAKIDDISMKRDTRSGGSMPTIHRCRTSELVKVKVDSMSEARETKIVKAHKGEINSETEDEPREMGTLCALDGDSVSKSETESLNQSRDVLLSIDSSVEYTRRPPPAICWMGCFHVLDAGANLNLGEFKAQFPSKVSSKVYDIVKMIPNNLQLQLLPRMNDWPKSFEISNPVYEDIGLFFFSNEHDGHEKHSYLLEASSNYVLRAYIDGIKLLIYSSEVLPPDSQWIDGESYLWGIFVRSKGKSDPQLFGSTTT >PAN49133 pep chromosome:PHallii_v3.1:9:59435984:59442093:1 gene:PAHAL_9G428300 transcript:PAN49133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMVCEICGSGSRPDLIANCARYCMQELTYVIPHEWYCAGCQEYANGCPKPSQGGQTELQNPWHGCDKLKERETVKLNLSHSNVAHQIDPRSSNKFGNAKVKFISSEEVASLSRERPPYVRSRFAVRPSKVHPSSPPNTKHSSNLKCVSPSRSDTQVQTLKRCAAANHDQMKIEHRSYFATQQRPAHPASPPNVKQPSNMKSISPSRSDMQVQALRRCAAASRDQAKIEGRPDFAMPQRQVHPASPPHAKRLSNMKCISPSRTETQVHTMKRSAANCQDQAKIDDISMKRDTRSGGSMPTIHRCRTSELVKVKVDSMSEARETKIVKAHKGEINSETEDEPREMGTLCALDGDSVSKSETESLNQSRDVLLSIDSSVEYTRRPPPAICWMGCFHVLDAGANLNLGEFKAQFPSKVSSKVYDIVKMIPNNLQLQLLPRMNDWPKSFEISNPVYEDIGLFFFSNEHDGHEKHSYLLEASSNYVLRAYIDGIKLLIYSSEVLPPDSQWIDGESYLWGIFVRSKGKSDPQLFGSTTT >PAN45594 pep chromosome:PHallii_v3.1:9:7752717:7757744:1 gene:PAHAL_9G129600 transcript:PAN45594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRRGGGGLRPLLLLLPFAALLSVATFSLHSPDRLLVTITARRPSSLPRHLHRLAVSSLDARALGLGAAPPLHADAARAFRSGGRLLRDVLSSPSSSAPAPPPSGTAGATRCPPSVALSGARLRGTGGGFALSLPCGLELGSHVTVVGSPRRRGNVAQFAVELRGEGDGDAAPRILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGHRSRPDEETVDGLVKCEEWSGNIGDTSEDLKRLWLQNRIAGQKSRYWIDWPYPFMEDELFVLTLCTGLEGYHFHVDGKHVTSFPYRVGFVIEDATILSVIGDIDVQSIVAGSLPTARPSIVQRNLELLTELKAPPLSEENVELFIGILSAGNHFTERMAARKSWISSVRNSSSTVARFFVAVNGRREVNEDLLKEADFFGDIVVVPFVDSYDLVVLKTIAICDYAARVVPAKYVMKCDDDTFVRLDSVMAEVKKISDDKSFYLGNMNYFHRPLREGKWAVSYEIKESVRMANQIQTGAIILEEWPIEEYPPYADGAGYIVSSDIANFVSSEMEKGRLNLFKMEDVSMGMWVGRFNSSGNAVAYAHSPRFCQSGCADDYLTAHYQSPAQMVCLWEKLRQGRPQCCNSR >PAN45592 pep chromosome:PHallii_v3.1:9:7752986:7756572:1 gene:PAHAL_9G129600 transcript:PAN45592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRRGGGGLRPLLLLLPFAALLSVATFSLHSPDRLLVTITARRPSSLPRHLHRLAVSSLDARALGLGAAPPLHADAARAFRSGGRLLRDVLSSPSSSAPAPPPSGTAGATRCPPSVALSGARLRGTGGGFALSLPCGLELGSHVTVVGSPRRRGNVAQFAVELRGEGDGDAAPRILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGHRSRPDEETVDGLVKCEEWSGNIGDTSEDLKRLWLQNRIAGQKSRYWIDWPYPFMEDELFVLTLCTGLEGYHFHVDGKHVTSFPYRVGFVIEDATILSVIGDIDVQSIVAGSLPTARPSIVQRNLELLTELKAPPLSEENVELFIGILSAGNHFTERMAARKSWISSVRNSSSTVARFFVAVNGRREVNEDLLKEADFFGDIVVVPFVDSYDLVVLKTIAICDYAARVVPAKYVMKCDDDTFVRLDSVMAEVKKISDDKSFYLGNMNYFHRPLREGKWAVSYEIKESVRMANQIQTGAIILEVVQF >PAN45593 pep chromosome:PHallii_v3.1:9:7752717:7757744:1 gene:PAHAL_9G129600 transcript:PAN45593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRRGGGGLRPLLLLLPFAALLSVATFSLHSPDRLLVTITARRPSSLPRHLHRLAVSSLDARALGLGAAPPLHADAARAFRSGGRLLRDVLSSPSSSAPAPPPSGTAGATRCPPSVALSGARLRGTGGGFALSLPCGLELGSHVTVVGSPRRRGNVAQFAVELRGEGDGDAAPRILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGHRSRPDEETVDGLVKCEEWSGNIGDTSEDLKRLWLQNRIAGQKSRYWIDWPYPFMEDELFVLTLCTGLEGYHFHVDGKHVTSFPYRVGFVIEDATILSVIGDIDVQSIVAGSLPTARPSIVQRNLELLTELKAPPLSEENVELFIGILSAGNHFTERMAARKSWISSVRNSSSTVARFFVAVNGRREVNEDLLKEADFFGDIVVVPFVDSYDLVVLKTIAICDYAARVVPAKYVMKCDDDTFVRLDSVMAEVKKISDDKSFYLGNMNYFHRPLREGKWAVSYEEWPIEEYPPYADGAGYIVSSDIANFVSSEMEKGRLNLFKMEDVSMGMWVGRFNSSGNAVAYAHSPRFCQSGCADDYLTAHYQSPAQMVCLWEKLRQGRPQCCNSR >PVH33226 pep chromosome:PHallii_v3.1:9:71060784:71063826:1 gene:PAHAL_9G601100 transcript:PVH33226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAALSTASSSLPRSLASRRPLSSPLHARSRSLRRGRSLHRLRARVGKDDPEDLYGPYPWDQPLDLTSGFEVHWVPEDRVTLFTSDGLVQIGGSLVPRRVSSSERQQKLKSKQKLRRFQESSYMDPNQSLCLGALFNIAATNGLDTGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVAAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >PVH33225 pep chromosome:PHallii_v3.1:9:71060784:71063826:1 gene:PAHAL_9G601100 transcript:PVH33225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAALSTASSSLPRSLASRRPLSSPLHARSRSLRRGRSLHRLRARVGKDDPEDLYGPYPWDQPLDLTSGFEVHWVPEDRVTLFTSDGLVQIGGSLVPRRVSSSEKRQQKLKSKQKLRRFQESSYMDPNQSLCLGALFNIAATNGLDTGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVAAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >PAN48859 pep chromosome:PHallii_v3.1:9:57784088:57785679:1 gene:PAHAL_9G410400 transcript:PAN48859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDGSPRGRAGRRCGTPTRADLLALLLAAALCSASYCLGMWHNSRGAADSRVLGPAAAVTVGATSSCAGDSDEPLDFEAHHAAEDAGLSVSATAASTGARRALRGAAPGRSGHRGVQWAARVGVGSGLRFADAGSVRA >PVH33113 pep chromosome:PHallii_v3.1:9:69264409:69267260:1 gene:PAHAL_9G571500 transcript:PVH33113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGAELEAGVPARGHRRRRDRGRVPRARPAEDYRVTYRKRGIGPPHAHRKRLRFVWRAECTRVVSVRKRSLVQRVAAVRAALADSRYVAVAPAHHPWIMGRASRRHGPGPLNGTSSALVFCHLASPACCKIMRAPCPSRRRSRRRDGGHGQSVCEPSSPATPDHAQTANVLPTQ >PAN46031 pep chromosome:PHallii_v3.1:9:9865770:9873583:1 gene:PAHAL_9G159100 transcript:PAN46031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTNTRRKYSWWWDSHICPKNSKWLQENLSDMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPAETASIETDMDNPDMSPYFLSFINASDPKKRNKDDQDYERLHKELASLSAENQDLKDRISSMLEHSNKAECEILHLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEIMHTQEKFNRLKEEMQTGPQALGKGDEHFFLLERSNQDLCLELDNLKLLLKQKHDELNDKQAELEKLQISTEEEHLKRMQAEMTQLSLEKQLLLAQDKLRHLALEKQSEVSKIRDIEESKVVLQKELDKILEENQKLNDQSHCSSAAIIRLQDEIISMKNVQRRLEEEVCQHLEERKKIQHELSYLKEDRSDFERKHSSIKEQIQSVNMNVESLQSLAQELRDGNDELKEIVKNRESIELLHVDNLRQLERMSETNAQLEKSLSAATTELEGLRENKVALEESCMHLKSKITTHQSERAVLIAQIEVVSQTMEELLEKNVFLENSLSDANAELESLRRKLKELKESSQALQNQNSILQSEKKTLVRQVDSITVTLLNLERQYKELERRHSDLQKEKDLVLDEVIKLQEQIRLERKEHEDSTHSSNTRFDALQKKISLLVEESRNREVQLGEEELNIVKAQIEIFVLQQCLNDMAEVNSEISAQLKEKKETCKVQEGKMYSLSQHNQKLTEGIDSVVRVLHLDRKYESLDQMKLEIIVQLILNEISCLLNNVSDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNVLKQDQQTKNDELLQLQREKEELMKISDEFLEEVESRNHKVDELKAEAKFLVGRLSELQESRRSLESEMTKLLQANSFLSNELNDSIEKRKMFEHDFSNLATEAVSKDILSVIFRSLHEERTLQLKSLHSNFGCLQTAGNELYQEIKMMNKRLGDIEIENNHLGKELSRTMSVYGGSIVQTAAEKGHPGWRDASFLNSDRKSQDYHVNMEVEQHKEFGDANYQESNEMLQDEVFKLRNEVEMLRSKENTVFDIRACDEEIMKLLANMQMAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFMMLGSLQTEVSALEERTLSLANDCLQSNKLSMEENVPSPQFLKTSMRSSGDENAKRMVKDMELQKLHGTIKALQKVVTDTGVLLEQERLDFNANLQEAKKQIEVLKLKEILDDDITEMNYEQMLKDIQLDLIQTSSGRRTSPFDQEKKSLAQVDDKMVSLRGIVGPSHGHMADDLRPPQSESFGRDNNQMVVKELSIDKQELPRLATTEPHQEWKNKVVERLSSDAQRLNALQASIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNGKLSKKAEEFTSADGLDAENTDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQQRSSPCGCMRAKTIDD >PAN46033 pep chromosome:PHallii_v3.1:9:9866192:9873583:1 gene:PAHAL_9G159100 transcript:PAN46033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTNTRRKYSWWWDSHICPKNSKWLQENLSDMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPAETASIETDMDNPDMSPYFLSFINASDPKKRNKDDQDYERLHKELASLSAENQDLKDRISSMLEHSNKAECEILHLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEIMHTQEKFNRLKEEMQTGPQALGKGDEHFFLLERSNQDLCLELDNLKLLLKQKHDELNDKQAELEKLQISTEEEHLKRMQAEMTQLSLEKQLLLAQDKLRHLALEKQSEVSKIRDIEESKVVLQKELDKILEENQKLNDQSHCSSAAIIRLQDEIISMKNVQRRLEEEVCQHLEERKKIQHELSYLKEDRSDFERKHSSIKEQIQSVNMNVESLQSLAQELRDGNDELKEIVKNRESIELLHVDNLRQLERMSETNAQLEKSLSAATTELEGLRENKVALEESCMHLKSKITTHQSERAVLIAQIEVVSQTMEELLEKNVFLENSLSDANAELESLRRKLKELKESSQALQNQNSILQSEKKTLVRQVDSITVTLLNLERQYKELERRHSDLQKEKDLVLDEVIKLQEQIRLERKEHEDSTHSSNTRFDALQKKISLLVEESRNREVQLGEEELNIVKAQIEIFVLQQCLNDMAEVNSEISAQLKEKKETCKVQEGKMYSLSQHNQKLTEGIDSVVRVLHLDRKYESLDQMKLEIIVQLILNEISCLLNNVSDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNVLKQDQQTKNDELLQLQREKEELMKISDEFLEEVESRNHKVDELKAEAKFLVGRLSELQESRRSLESEMTKLLQANSFLSNELNDSIEKRKMFEHDFSNLATEAVSKDILSVIFRSLHEERTLQLKSLHSNFGCLQTAGNELYQEIKMMNKRLGDIEIENNHLGKELSRTMSVYGGSIVQTAAEKGHPGWRDASFLNSDRKSQDYHVNMEVEQHKEFGDANYQESNEMLQDEVFKLRNEVEMLRSKENTVFDIRACDEEIMKLLANMQMAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFMMLGSLQTEVSALEERTLSLANDCLQSNKLSMEENVPSPQFLKTSMRSSGDENAKRMVKDMELQKLHGTIKALQKVVTDTGVLLEQERLDFNANLQEAKKQIEVLKLKEILDDDITEMNYEQMLKDIQLDLIQTSSGRRTSPFDQEKKSLAQVDDKMVSLRGIVGPSHGHMADDLRPPQSESFGRDNNQMVVKELSIDKQELPRLATTEPHQEWKNKVVERLSSDAQRLNALQASIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNGKLSKKAEEFTSADGLDAENTDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQQRSSPCGCMRAKTIDD >PAN46030 pep chromosome:PHallii_v3.1:9:9866475:9873581:1 gene:PAHAL_9G159100 transcript:PAN46030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTNTRRKYSWWWDSHICPKNSKWLQENLSDMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPAETASIETDMDNPDMSPYFLSFINASDPKKRNKDDQDYERLHKELASLSAENQDLKDRISSMLEHSNKAECEILHLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEIMHTQEKFNRLKEEMQTGPQALGKGDEHFFLLERSNQDLCLELDNLKLLLKQKHDELNDKQAELEKLQISTEEEHLKRMQAEMTQLSLEKQLLLAQDKLRHLALEKQSEVSKIRDIEESKVVLQKELDKILEENQKLNDQSHCSSAAIIRLQDEIISMKNVQRRLEEEVCQHLEERKKIQHELSYLKEDRSDFERKHSSIKEQIQSVNMNVESLQSLAQELRDGNDELKEIVKNRESIELLHVDNLRQLERMSETNAQLEKSLSAATTELEGLRENKVALEESCMHLKSKITTHQSERAVLIAQIEVVSQTMEELLEKNVFLENSLSDANAELESLRRKLKELKESSQALQNQNSILQSEKKTLVRQVDSITVTLLNLERQYKELERRHSDLQKEKDLVLDEVIKLQEQIRLERKEHEDSTHSSNTRFDALQKKISLLVEESRNREVQLGEEELNIVKAQIEIFVLQQCLNDMAEVNSEISAQLKEKKETCKVQEGKMYSLSQHNQKLTEGIDSVVRVLHLDRKYESLDQMKLEIIVQLILNEISCLLNNVSDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNVLKQDQQTKNDELLQLQREKEELMKISDEFLEEVESRNHKVDELKAEAKFLVGRLSELQESRRSLESEMTKLLQANSFLSNELNDSIEKRKMFEHDFSNLATEAVSKDILSVIFRSLHEERTLQLKSLHSNFGCLQTAGNELYQEIKMMNKRLGDIEIENNHLGKELSRTMSVYGGSIVQTAAEKGHPGWRDASFLNSDRKSQDYHVNMEVEQHKEFGDANYQESNEMLQDEVFKLRNEVEMLRSKENTVFDIRACDEEIMKLLANMQMAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFMMLGSLQTEVSALEERTLSLANDCLQSNKLSMEENVPSPQFLKTSMRSSGDENAKRMVKDMELQKLHGTIKALQKVVTDTGVLLEQERLDFNANLQEAKKQIEVLKLKEILDDDITEMNYEQMLKDIQLDLIQTSSGRRTSPFDQEKKSLAQVDDKMVSLRGIVGPSHGHMADDLRPPQSESFGRDNNQMVVKELSIDKQELPRLATTEPHQEWKNKVVERLSSDAQRLNALQASIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNGKLSKKAEEFTSADGLDAENTDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQQRSSPCGCMRAKTIDD >PAN46032 pep chromosome:PHallii_v3.1:9:9865770:9873584:1 gene:PAHAL_9G159100 transcript:PAN46032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTNTRRKYSWWWDSHICPKNSKWLQENLSDMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPAETASIETDMDNPDMSPYFLSFINASDPKKRNKDDQDYERLHKELASLSAENQDLKDRISSMLEHSNKAECEILHLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEIMHTQEKFNRLKEEMQTGPQALGKGDEHFFLLERSNQDLCLELDNLKLLLKQKHDELNDKQAELEKLQISTEEEHLKRMQAEMTQLSLEKQLLLAQDKLRHLALEKQSEVSKIRDIEESKVVLQKELDKILEENQKLNDQSHCSSAAIIRLQDEIISMKNVQRRLEEEVCQHLEERKKIQHELSYLKEDRSDFERKHSSIKEQIQSVNMNVESLQSLAQELRDGNDELKEIVKNRESIELLHVDNLRQLERMSETNAQLEKSLSAATTELEGLRENKVALEESCMHLKSKITTHQSERAVLIAQIEVVSQTMEELLEKNVFLENSLSDANAELESLRRKLKELKESSQALQNQNSILQSEKKTLVRQVDSITVTLLNLERQYKELERRHSDLQKEKDLVLDEVIKLQEQIRLERKEHEDSTHSSNTRFDALQKKISLLVEESRNREVQLGEEELNIVKAQIEIFVLQQCLNDMAEVNSEISAQLKEKKETCKVQEGKMYSLSQHNQKLTEGIDSVVRVLHLDRKYESLDQMKLEIIVQLILNEISCLLNNVSDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNVLKQDQQTKNDELLQLQREKEELMKISDEFLEEVESRNHKVDELKAEAKFLVGRLSELQESRRSLESEMTKLLQANSFLSNELNDSIEKRKMFEHDFSNLATEAVSKDILSVIFRSLHEERTLQLKSLHSNFGCLQTAGNELYQEIKMMNKRLGDIEIENNHLGKELSRTMSVYGGSIVQTAAEKGHPGWRDASFLNSDRKSQDYHVNMEVEQHKEFGDANYQESNEMLQDEVFKLRNEVEMLRSKENTVFDIRACDEEIMKLLANMQMAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFMMLGSLQTEVSALEERTLSLANDCLQSNKLSMEENVPSPQFLKTSMRSSGDENAKRMVKDMELQKLHGTIKALQKVVTDTGVLLEQERLDFNANLQEAKKQIEVLKLKEILDDDITEMNYEQMLKDIQLDLIQTSSGRRTSPFDQEKKSLAQVDDKMVSLRGIVGPSHGHMADDLRPPQSESFGRDNNQMVVKELSIDKQELPRLATTEPHQEWKNKVVERLSSDAQRLNALQASIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNGKLSKKAEEFTSADGLDAENTDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQQRSSPCGCMRAKTIDD >PAN46034 pep chromosome:PHallii_v3.1:9:9864393:9873583:1 gene:PAHAL_9G159100 transcript:PAN46034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTNTRRKYSWWWDSHICPKNSKWLQENLSDMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPAETASIETDMDNPDMSPYFLSFINASDPKKRNKDDQDYERLHKELASLSAENQDLKDRISSMLEHSNKAECEILHLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEIMHTQEKFNRLKEEMQTGPQALGKGDEHFFLLERSNQDLCLELDNLKLLLKQKHDELNDKQAELEKLQISTEEEHLKRMQAEMTQLSLEKQLLLAQDKLRHLALEKQSEVSKIRDIEESKVVLQKELDKILEENQKLNDQSHCSSAAIIRLQDEIISMKNVQRRLEEEVCQHLEERKKIQHELSYLKEDRSDFERKHSSIKEQIQSVNMNVESLQSLAQELRDGNDELKEIVKNRESIELLHVDNLRQLERMSETNAQLEKSLSAATTELEGLRENKVALEESCMHLKSKITTHQSERAVLIAQIEVVSQTMEELLEKNVFLENSLSDANAELESLRRKLKELKESSQALQNQNSILQSEKKTLVRQVDSITVTLLNLERQYKELERRHSDLQKEKDLVLDEVIKLQEQIRLERKEHEDSTHSSNTRFDALQKKISLLVEESRNREVQLGEEELNIVKAQIEIFVLQQCLNDMAEVNSEISAQLKEKKETCKVQEGKMYSLSQHNQKLTEGIDSVVRVLHLDRKYESLDQMKLEIIVQLILNEISCLLNNVSDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNVLKQDQQTKNDELLQLQREKEELMKISDEFLEEVESRNHKVDELKAEAKFLVGRLSELQESRRSLESEMTKLLQANSFLSNELNDSIEKRKMFEHDFSNLATEAVSKDILSVIFRSLHEERTLQLKSLHSNFGCLQTAGNELYQEIKMMNKRLGDIEIENNHLGKELSRTMSVYGGSIVQTAAEKGHPGWRDASFLNSDRKSQDYHVNMEVEQHKEFGDANYQESNEMLQDEVFKLRNEVEMLRSKENTVFDIRACDEEIMKLLANMQMAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFMMLGSLQTEVSALEERTLSLANDCLQSNKLSMEENVPSPQFLKTSMRSSGDENAKRMVKDMELQKLHGTIKALQKVVTDTGVLLEQERLDFNANLQEAKKQIEVLKLKEILDDDITEMNYEQMLKDIQLDLIQTSSGRRTSPFDQEKKSLAQVDDKMVSLRGIVGPSHGHMADDLRPPQSESFGRDNNQMVVKELSIDKQELPRLATTEPHQEWKNKVVERLSSDAQRLNALQASIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNGKLSKKAEEFTSADGLDAENTDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQQRSSPCGCMRAKTIDD >PVH31967 pep chromosome:PHallii_v3.1:9:25169034:25169579:-1 gene:PAHAL_9G276000 transcript:PVH31967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPKILWDHEGHAHTNALHWEGFPRLLWKSLQLFCYTEPPQYDGVEYSEEGVPRCRVKMTIPQHPFRSLWQPIESIVVGYHLFDTIEAAALEAIHIFCDQHPEEVVAYPIGLFPAADSRDHEWVFRISHGGHLLGDLAEETLCTMIRFMNVQHHYQILQHRSMNQLTSIAQSHHRNVDQ >PVH32544 pep chromosome:PHallii_v3.1:9:59121600:59123025:1 gene:PAHAL_9G424900 transcript:PVH32544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRHPELPFETRPGHMTIHTHMRTGSKGGGWMTRTFPSSHAIHQAVRGIQEGLPQKKKGIHQEGRAHTQLEPL >PAN45133 pep chromosome:PHallii_v3.1:9:5529607:5532355:1 gene:PAHAL_9G096000 transcript:PAN45133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAAEPDDALEEGENQQLLLATKGGPALEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAASPREEEQEAAPFVVVSKVGRDFAYASAPAPARRPPLLCASPTTSFHAQFSEAAASAHAPDRELRRVRACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGDGAVCHVSLEDTPYARLLPRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLCWDGGEARVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQAVKEILEDKTTKRSSPCINGTTFTLEKSNMHDELHTALQETVRLMSEQQQADPANGNGGDICST >PVH31257 pep chromosome:PHallii_v3.1:9:5529783:5530757:1 gene:PAHAL_9G096000 transcript:PVH31257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAAEPDDALEEGENQQLLLATKGGPALEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAASPREEEQEAAPFVVVSKVGRDFAYASAPAPARRPPLLCASPTTSFHAQFSEAAASAHAPDRELRRVRACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGDGAVCHVSLEDTPYARLLPRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLCWDGGEARVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQVCTICTCLAP >PAN45016 pep chromosome:PHallii_v3.1:9:5021380:5024762:-1 gene:PAHAL_9G087600 transcript:PAN45016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHKITLVLMFCLVALGRAEYLKYKDPKQPVAVRVKDLLSRMTLAEKIGQMTQIEMKNATADALAKYFIGSVLSGGGSVPAPEASAQAWASMVTEMQKGALSTRLGIPMIYGIDAVHGHNNAYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGEVPANDVGRPYVAGSKNVAACAKHYVGDGGTYLGINENNTIIDTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGKKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPYTYTEFIDDLTTQVQNKVIPMSRIDDAVYRILRVKFSMGLFENPYPDPSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYTPPPLPLPKKAGKILVAGSHADNLGNQCGGWTISWQGQPGNNITAGTTILEGIKATIDPSTQVVYSESPDSTVLADKYDYAVVVVGEPPYAETSGDNLNLTIPVPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMSVGDAHYDPLFPFGFGLTTEGTK >PAN45015 pep chromosome:PHallii_v3.1:9:5021713:5025320:-1 gene:PAHAL_9G087600 transcript:PAN45015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHKITLVLMFCLVALGRAEYLKYKDPKQPVAVRVKDLLSRMTLAEKIGQMTQIEMKNATADALAKYFIGSVLSGGGSVPAPEASAQAWASMVTEMQKGALSTRLGIPMIYGIDAVHGHNNAYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGEVPANDVGRPYVAGSKNVAACAKHYVGDGGTYLGINENNTIIDTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGKKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPYTYTEFIDDLTTQVQNKVIPMSRIDDAVYRILRVKFSMGLFENPYPDPSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYTPPPLPLPKKAGKILVAGSHADNLGNQCGGWTISWQGQPGNNITAGTTILEGIKATIDPSTQVVYSESPDSTVLADKYDYAVVVVGEPPYAETSGDNLNLTIPVPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMSVGDAHYDPLFPFGFGLTTEGTK >PAN47164 pep chromosome:PHallii_v3.1:9:16632912:16633755:-1 gene:PAHAL_9G244700 transcript:PAN47164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPVRRRPAVAALLLAVLACAEVAAGGGATICDTAKCGKGSCSEMPGPIPFVTTSYNCTCDPGWSQPRLLNLTPLPFAPCIIPDCGFDPACYNISLVPRGIPLTDPCVAINCGPGECKRGEGLSYSCECQPGYVNFLNLTAFPCVKNCVFGMDCSKLGIAPPPSPSPSPSTAPPPPPGNHDSSAPPTGPKGNAATALGLY >PVH31902 pep chromosome:PHallii_v3.1:9:18513290:18514494:-1 gene:PAHAL_9G256800 transcript:PVH31902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSANLKSRGATPTATGEGDRETPFLTAGEEPKGKLWPFPGHLGMGHQGNLNNSDAFRLQGALGMMRVMILGR >PAN49278 pep chromosome:PHallii_v3.1:9:60350375:60353858:-1 gene:PAHAL_9G438500 transcript:PAN49278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQSSYAPDDASSPEESAAASSPDSSPLRLPSKSAAPAVDDTALALSAAASASRPLDPSLHLVAFNPTADQLWAPIVGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYASDPSGLHIVGDAQPQAPEPDTVYNLAPSEHKRRRLLAKADNQEEPLPPEAKNPASEEWILHNKQSPWAGKKEAPPAELTEEQKQYAEAHAAKKAEKEARGEGKGERAEVVVKSTFHGKEERDYQGRSWITPPKDAKATNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEAHMHSMPSIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYWD >PAN51800 pep chromosome:PHallii_v3.1:9:72328584:72329840:1 gene:PAHAL_9G621500 transcript:PAN51800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQKKNQQQQPQGAVAVQHVAKASSDELLRKFADPDARHLATPPRRSLALRRKRSSRRVASGLSARDSDAAAAGASELAAPKRRRSIGGSADWRAGLLLPTTTAAASARKAQARRGGASRLEDAAGIGLILAALERTWRKTVAGASKMFVERHRTNHVLLISDMV >PAN51550 pep chromosome:PHallii_v3.1:9:71112387:71113554:1 gene:PAHAL_9G602200 transcript:PAN51550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANSTTFSGDVWAELRLADARDVPHIHSLIHQMAEFELLTDLFAATEELLTSTLFPSPTPPPFTSFTALILDLSPSPVVPDSSSTIASRRFDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGRAAEIGMGRVEWCVLDWNKNAIDFYEGMGADVLPQWRICRLTGAALDKYKGNQEEAADGKAAA >PAN47440 pep chromosome:PHallii_v3.1:9:30835887:30837256:-1 gene:PAHAL_9G291100 transcript:PAN47440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKSGYGRATCDAHHDQSKITGEANQQKKHGQSNGSSGYQAPATAKRKTWKKYLTFLSMFHNKMKHKKSDTKAPNGFKQRRNTKRSPSPVLQECSNLVRVIQRTAADCFAAAASGAGDEDELPCYMQLDQVSYGVKREAFGPIYLVT >PVH31174 pep chromosome:PHallii_v3.1:9:4242159:4242559:1 gene:PAHAL_9G073300 transcript:PVH31174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGAIHCTCQPNTCTGRLYSLHNLCIFCYICLLDNLETIMHPIKQDRFVIFLFMTEVGLHKQRVSNVILSQSI >PVH32126 pep chromosome:PHallii_v3.1:9:40399049:40399351:-1 gene:PAHAL_9G323100 transcript:PVH32126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNKSQGQTILNVGVYLPDLVFSHGQPYVAMLRATTRTNIRILALPPNATEQEEEAKKEKKNANKRGKETFNNKKEKKKTPAYNGTYTKNIVYKEILTA >PAN47553 pep chromosome:PHallii_v3.1:9:32972066:32974544:-1 gene:PAHAL_9G302400 transcript:PAN47553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCGKREDMALQGGDSSLTPRASRGDCEMQSRWAEGLLDGGGRKRRAPEESEDDVREMDEVDCGGKRSKPPSPQPHTPDIREAHAPSRCRTAAGSGGDLIGEIGRDLSINCLLRLSRSEYGSVASLNRDFWSLVRGGDIYRLRRQNNISEHWVYFSCNVLEWDAYDPYRERWMTVPKMPSDECFMCSDKESLAVGTELLVFGMAHIVFRYSILTNSWTRGEVMNSPRCLFGSASVGEKAYVAGGTDSLGRILSSAELYNSETHTWTPLPSMNRARKNCSGVFMDGKFYVIGGVTNNNMILTCGEEYDVQSKSWRVIENMSGGLNGVSGAPPLISVVKNELYAADYSEKDVKKYDKHNNKWITLGKLPERSVSMNGWGLAFRACGERLIVIGGPRTSVGGMIELNSWIPDDKPPVWNLIARRPSGNFVYNCAVMGC >PVH32053 pep chromosome:PHallii_v3.1:9:32970995:32974544:-1 gene:PAHAL_9G302400 transcript:PVH32053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCGKREDMALQGGDSSLTPRASRGDCEMQSRWAEGLLDGGGRKRRAPEESEDDVREMDEVDCGGKRSKPPSPQPHTPDIREAHAPSRCRTAAGSGGDLIGEIGRDLSINCLLRLSRSEYGSVASLNRDFWSLVRGGDIYRLRRQNNISEHWVYFSCNVLEWDAYDPYRERWMTVPKMPSDECFMCSDKESLAVGTELLVFGMAHIVFRYSILTNSWTRGEVMNSPRCLFGSASVGEKAYVAGGTDSLGRILSSAELYNSETHTWTPLPSMNRARKNCSGVFMDGKFYVIGGVTNNNMILTCGEEYDVQSKSWRVIENMSGGLNGVSGAPPLISVVKNELYAADYSEKDVKKYDKHNNKWITLGKLPERSVSMNGWGLAFRACGERLIVIGGPRTSVGGMIELNSWIPDDKPPVWNLIARRPSGNFVYNCAVMGC >PAN50223 pep chromosome:PHallii_v3.1:9:65031071:65033204:-1 gene:PAHAL_9G506500 transcript:PAN50223 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/TrEMBL;Acc:A0A178UQD3] MSEKKRRAGAAAAGAASSSKKPRAAASYAESLRSKLRPDASILATLRSLASASASASSSKSKAAAKSLADHDPSADPTSSYIVVADQDSSSVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >PAN50224 pep chromosome:PHallii_v3.1:9:65031072:65033204:-1 gene:PAHAL_9G506500 transcript:PAN50224 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/TrEMBL;Acc:A0A178UQD3] MSEKKRRAGAAAAGAASSSKKPRAAASYAESLRSKLRPDASILATLRSLASASASASSSKSKAAAKSLADHDPSADPTSSYIVVADQDSSSVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >PVH31548 pep chromosome:PHallii_v3.1:9:11099238:11100671:1 gene:PAHAL_9G176600 transcript:PVH31548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPVRIESVSWRVASTAALCASAADPRKAWQRKAGRLLIWFTPWKYYAGTEEEVRPVSVQIRAGFISVWPGRLAACCRDHEMPAPGGIRGVSRRSSLIARGQGGPWNTPVERRVAPEGTASLIY >PAN44280 pep chromosome:PHallii_v3.1:9:1739442:1742937:-1 gene:PAHAL_9G031000 transcript:PAN44280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTKEEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTPAYLVLFYIYSRMEMTYRLPFLWPYAKRLIGRRFSDASVQSDIKMWPYKEKQFSAEEISSMVLIKMREIVEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPAAAAIAYGLDKKATSVGEKNVLIFDPGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDTKIDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGTAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEVPQITVCFDIDANGILNVSAEDKMTGQKNKITITNDKRRLSKEDIEKMVQDTEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIQDEKIASKKKKIEDAVKQAIQWLDSNQLAEVEEPLQPHHCQDVPGAGADMAGGMEDDAPAAAAGAGPKIEELD >PVH32212 pep chromosome:PHallii_v3.1:9:49109794:49110729:-1 gene:PAHAL_9G347600 transcript:PVH32212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNLFWDHARHLHTDALHWEGFPRLLWESLSLFFYIEPRQYDGVEYREEGGPQCRWQPIEVDVVGYRLVDTIETAALEAIHIFRDQHPMEVAGHPIGLFPAIDSGDLEWNFRIAHYGHMLGDSAEETLRGTIRFMNVQHHYQILLRRGMGQLIGIAQGHYRNADRQVTQIVELQALVTEKEEIIAAREETILHREDQINESDAIITQRNTIIEFLQEQIHDLILEVDDAHAHIDELQQQLVPPAVPVGPEGGEEDTEEIEGVSDLDSEHGDPEPNPQPDYSSSGSQSFVGNLDDF >PAN49370 pep chromosome:PHallii_v3.1:9:60813833:60814965:1 gene:PAHAL_9G444500 transcript:PAN49370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAVGTRAPLPLPAAGRPVLLAALARPRGGFASISALSSSSGGDGGGRFSAGGGGGRGGGDDSGAGAAAAVAVLGEADSSDADADAIVLHVGGMTCGGCAAKVKRILENQPEVALATVDVEKAIAVVWTTPEAKATEDWRKQLGEKLANHLTTCGFQSHLQDEGEAEQIDS >PAN48596 pep chromosome:PHallii_v3.1:9:56537156:56537614:1 gene:PAHAL_9G393800 transcript:PAN48596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASSPGELGSLFAAFDKDADGRISAAELRLCMRAALGEDVTAEDAEALVASVDADGDGLLDEGEFARLVRAEAADVKEEEQQRRRGLEAAFGMYAVEGEGRITPASLRRMLSRLGARREVDDCRAMIRRFDLDGDGVLSFDEFEIMMMNA >PVH32964 pep chromosome:PHallii_v3.1:9:66978852:66979548:1 gene:PAHAL_9G535900 transcript:PVH32964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKNRKLQEALANKQGCPRNNICLLVRCRFTRRVWSLIAGWVHYHQLLPSYWSITHSVRESWHMMGNLQGVPPRALKSLLQLVNWEIWKERNARTFNRQETLPTTLLSKIKEAAQAWGITGARHLADLIGV >PAN48132 pep chromosome:PHallii_v3.1:9:52983569:52985464:1 gene:PAHAL_9G361200 transcript:PAN48132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEEVGLALGLSLGSGHHQLKEQPSPSSHSCTLLEPSLSLRLPAKDSPAAPVRIAAVKRELRMEEDDQAADRALYSVASSALVAPDDDEGCNSRKKLRLTKEQSALLEDRFKEHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRSFNHPHPAAFFMPAAALSICPSCERLTGAPATTTTTTTGGADRPKASGPGRVAHLFNPFTNSAAC >PVH33205 pep chromosome:PHallii_v3.1:9:70639055:70639273:1 gene:PAHAL_9G595000 transcript:PVH33205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTAAMAKAVLLAVVLMQCCNVILAARPLSEAAAVAGGDGGWLGLIMQVLDRGGPSGPSSGGNPGGRQGP >PAN51822 pep chromosome:PHallii_v3.1:9:72467478:72469586:1 gene:PAHAL_9G623200 transcript:PAN51822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGGYGWALAAGCNAAFAAISAKFFATLLLKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFHEPLPSKWFAGASLIILGVFILSKSSIVEKQSSD >PAN47049 pep chromosome:PHallii_v3.1:9:15141605:15145420:-1 gene:PAHAL_9G230200 transcript:PAN47049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDRQRVLLRHLDPAAAPGPAPPAISASACAAGDSAAYHRRAAFADDVVIVAAYRTAICKAKRGGFKDTFAEDLLVPVFKALVDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAALYAGFPDTVPLKTVNRQCSSGLQAVADVAAAIKAGLYDIGIAAGLESMTVNQVRLDGQVNPKVELFSQARDCLLPMGLTSENVAHRFGITRLEQDQAAVESHRKAAAAAAAGKFKEEIVPVHTKIVDPKTGEEKEIVISADDGIRAGTSLAVLSKLKPAFSKDGSTTAGNASQVSDGAGAVLLMRRDVAMKKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLELDPTKVNVNGGAIALGHPLGATGARCVSTLLNEMKCRGKDCRFGVISMCIGSGMGAAAVFERGDGVDELTNARGIPSHNWLSKDAM >PVH31867 pep chromosome:PHallii_v3.1:9:17305024:17306183:-1 gene:PAHAL_9G249200 transcript:PVH31867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLVIIVIVLLVEQFITLMKIAKLLVIIAAVLLAVPFITRSVAPAPSDLTIVPWATLRPTARMVATWMRWEGILLHFVI >PAN44928 pep chromosome:PHallii_v3.1:9:4709625:4712532:-1 gene:PAHAL_9G081300 transcript:PAN44928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSCVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >PAN51314 pep chromosome:PHallii_v3.1:9:70126960:70128510:1 gene:PAHAL_9G585000 transcript:PAN51314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Positive regulator of auxin response and transport, Regulation of grain siz [Source: Projected from Oryza sativa (Os03g0175800)] MERWGDKGAAAPAPGRARRYADQPSFSSTLLDAIYKSMDEPDDGVAAAAKKQTQDLHYSYYYKASLAGSYRASRAHATTSSSSECSSYGGFSSSEAESSQRRRLRPIRTSVAASGEAPAPAPEKTKKAAKNNKPGANIRAKLRDLRKPASPGARLAGFLNAIFNGKRAPPTPPSASRAVAAESACSTASSYSRSCLSKTPSTRGQPKRTVRFMDSDSEAAAAVPAAGVERRRVQVGVVELERMLLHRMEMDSDEDDEDEESSDASSDLFELENLAAVAPAAGGASAYRDELPVYETTRVVLNRAIGHGYAHGRSTRVV >PAN51108 pep chromosome:PHallii_v3.1:9:69226216:69231986:-1 gene:PAHAL_9G570800 transcript:PAN51108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHASNRSYRYPDNTQIPYYNGNLVPVGGNGTYYMPQNHDDGHYISSDDGLQTSNSRAKGFQTQYCTLDSSSANCVYPAHSSTSSQSQSGSPLSQQESHSDHAYGSSPSASCVTQVPSWTLKDLENVMLGPDSDIGSPDSSLLSGTALHENNWRELLGIKTGDLRQVIIACGKAVDENACYMDALMSELRQMVSVSGEPMQRLGAYMLEGLIARLSFTGHALYKSLKCKEPVATSSELMSYMHLLYDICPFFKFGYMSANGAIADAVKGENFIHIIDFQIAQGSQWMTMIQALASRPGRRPYLRITGIDDSNSAHARGGGLDIVGQRLHSIAQKCGLPFEFNPVPAASHEVMYEHLCVRPGEAIVVNFAYQLHHTPDESVGIENHRDRILRMVKSLSPRVVTLVEQEANTNTAPFFLRYLETLDYYTAMFEAIDVARPRDDKKRISAEQHCVARDIVNLIACEGAERIERHEPFGKWRARLAMAGFRPYPLSPVVNSTIKTLLDSYHSCYRLEEKDGVLYLGWKNRKLVVSSAWC >PVH33339 pep chromosome:PHallii_v3.1:9:73087884:73092141:-1 gene:PAHAL_9G632100 transcript:PVH33339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDAASSVPVSDQVVSAAASVPVPPAPYAGEVAAAAAESFPPVAALQYLLDAVQSFTGLNWWATIALTTVLIRLLTVPMLINQMKSTMKLNDLRPEIEAINEEMRNSTDPRSMEVGKQKLGELFIRHGVTPFTPLKGLFIQGPIFMSFFFAISNMVEKVPSLKGGGAYWFTDLTTPDGLFILPVLTSLSFLATVELNMQDGMEGNPMAKSMKKFSRFFGVMFVPFTIGFPKAIFFYWVTSNLFSLVYGVVIRKPAIRLWLDLPPLESQPTPARMQALSLFDGPKSSPGVYSPITDKECEQSGVGSPIADKECEQSGVDSPIADKEREQSSSVLSDRIRDLESRAKSRGESQE >PVH33338 pep chromosome:PHallii_v3.1:9:73088258:73090561:-1 gene:PAHAL_9G632100 transcript:PVH33338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRCEIQLIQDQWKWGNRNWASYSLGLFKTSDLTLFPSCHRVHGVTPFTPLKGLFIQGPIFMSFFFAISNMVEKVPSLKGGGAYWFTDLTTPDGLFILPVLTSLSFLATVELNMQDGMEGNPMAKSMKKFSRFFGVMFVPFTIGFPKAIFFYWVTSNLFSLVYGVVIRKPAIRLWLDLPPLESQPTPARMQALSLFDGPKSSPGVYSPITDKECEQSGVGSPIADKECEQSGVDSPIADKEREQSSSVLSDRIRDLESRAKSRGESQE >PAN51296 pep chromosome:PHallii_v3.1:9:70066094:70070517:1 gene:PAHAL_9G583900 transcript:PAN51296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLTCVIESMGSSCSRPHSLNEAEATENAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDETELRSYTSVIHANVYQTIKILYDGAKELAQVEPDSSKYLLSPDNQEIGEKLSEIGARLDYPLLNKELVQDVRKLWQDPAIQEDVLHARVRTNGVVEIQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQVLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPTAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >PAN51297 pep chromosome:PHallii_v3.1:9:70066094:70070517:1 gene:PAHAL_9G583900 transcript:PAN51297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLTCVIESMGSSCSRPHSLNEAEATENAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDETELRSYTSVIHANVYQTIKILYDGAKELAQVEPDSSKYLLSPDNQEIGEKLSEIGARLDYPLLNKELVQDVRKLWQDPAIQETYSRGSILQVPDCAQYFMNNLDRLAEVDYLPTKEDVLHARVRTNGVVEIQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQVLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPTAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >PAN47267 pep chromosome:PHallii_v3.1:9:16762315:16770081:-1 gene:PAHAL_9G245800 transcript:PAN47267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAGKICSGSYARYAVSSLLLGPRCLFACESPHCLGCKCHGTNRTGDNNAKFLRPQLNIGSHGACFSTITETVLVQARDPSQLALEIENAIDEQRFDDAWRAYEKHIHMDGLPRKSVLSKLITGLAESCDAHWLNQSYNVVSHAFEEKYELLDKEPLIYLSLTLARNALPNLAINVVRKLVKMEAYPPVAAWSAIVSHMCQTNTGAFLAADLVMELGYLFQNNRVDPRKKSNRPLLSMKPNSFTFNIVLTASLLFGTTRKAEQLLELMPRIGVKPEVNLLIVMARIYERNGRRDEIQKLKRHVDEAYGLSESEFRQFYDCLLSCHLKFGDLDSAVDMVLVMLRKGKNAKRSLEAAKAVLEAVENKKLYLPYEKTEADNSCSSERPISNSQMLSYVSFFKDNSFARLELEARELLKLLSDELQEHAGLVKSEHGILHPTETMYAKLVKAFLEADKISALASFLVKANKEDSHVSVERSFVVQVINACISLGLLEQAHDLLDEMRFSGIRVGSSIYSSLLKAYCKEGQHEDDITALLKDAQQAGIQLDTSCYEDLIQSRVSHRNTPGALHLFKELKNSNVLKSGHKEFQTLVQGSDDNEAVLTARLVEEVRSGHMVDHAVHDWNNVIHFFCKKRLMHDAHSALNKMRASGHVPNAQTFHSLITAYAAIGGKYVEVTDLWGEMKVLAGSSSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKSEMFIDKYKYKSLWLKYHRTLYKGKAPKVQTEAQLKRREAAILFKKWIGLT >PAN49531 pep chromosome:PHallii_v3.1:9:62271732:62274352:1 gene:PAHAL_9G467600 transcript:PAN49531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAGSPGQGRASIYSHALLPFFQAHCIFFSKRKKKKKKRSTLHPEIKQWTNIGMANVTWNVARCHVPHPGNIVPGTGRQEHFHPRFMKTFSKYRTPFPFHISRSNRPGSSASMGMASCNANVPERASDFHRTVWGDFFINYSSEPLQAWKSEEWMTERANQLKEKISGLFEACTTPVEQLKLVDTLQHLSIDHHFNKQILTVLSSIQDTEFNSTCLHEVALRFRLLRQQGFRVSPDEFNRFKDENGNFNAHITNDARGLLSLYNAAYLFTHGEADLEEAILFARQHLESMRNNLEYPLAQQVNRALHLPLSRTLRRIEALHYISEYKAEPTHNSSILEFAKLDFDLLQRLHLKELKALSRWWKDLYSEEGLAYSRDRVVECYLWSYTAYYEKEYSRARMILAKIIAIIILTDDTYDVRATLEECRKFNEAIQRLECSSNLINLSEQSISSYNICTRRCNFLIFLQMGRECYYSSTRLPEEALPQADEHL >PAN49529 pep chromosome:PHallii_v3.1:9:62269596:62275776:1 gene:PAHAL_9G467600 transcript:PAN49529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAGSPGQGRASIYSHALLPFFQAHCIFFSKRKKKKKKRSTLHPEIKQWTNIGMANVTWNVARCHVPHPGNIVPGTGRQEHFHPRFMKTFSKYRTPFPFHISRSNRPGSSASMGMASCNANVPERASDFHRTVWGDFFINYSSEPLQAWKSEEWMTERANQLKEKISGLFEACTTPVEQLKLVDTLQHLSIDHHFNKQILTVLSSIQDTEFNSTCLHEVALRFRLLRQQGFRVSPDEFNRFKDENGNFNAHITNDARGLLSLYNAAYLFTHGEADLEEAILFARQHLESMRNNLEYPLAQQVNRALHLPLSRTLRRIEALHYISEYKAEPTHNSSILEFAKLDFDLLQRLHLKELKALSRWWKDLYSEEGLAYSRDRVVECYLWSYTAYYEKEYSRARMILAKIIAIIILTDDTYDVRATLEECRKFNEAIQRWEENAITLLPDYLKKLYLKLMNIFKEFEDELKPNEKYRVAFIRKAFQVLSSNYLQEAEWCHGGYKPRFKDQVKVSTVCSGAPFAAVGLLVGMGDDVATKEALEWATSCTDAVKAFADVTRFMNDLCSFKRGKNKNDVDSSVECYISEHGVTADVACAKIDSLVEDAWKTANRARIEHNELLPAVQRVVNITTSMPLMYGNKKDAFTFSDGLKGVIKRLFLEPVLL >PAN50855 pep chromosome:PHallii_v3.1:9:68078052:68083194:-1 gene:PAHAL_9G552000 transcript:PAN50855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRSYGDDLDDDRRRFYDRGPPPPPPPRRPPREYDGDSRFDRRKGFGSGGFHDGRYREYPSPREYGGDRAMHRSESFSGFRREFPKGFRSERDRSRRDGDGSSAWRRPGSGWRDAECFDQYRAPARLGVASLTAQPQRSRSRSRSPSVPRRRFEVEKAEKMKKQTAGVSEMEEGEVAPDAEPKVRPAAVEHRKQVEPSRDKEKALERGKAKKLDPEAPADLGTQGKGAAGAADPDNAGKEEGRRIGGMMAKAGKPIDNEKSSVKVEKEVSGRHEAQAQDVATSDTSKFGLSTSDIQQEVLQEEVKQQEETSNAVHVVEQSTSYSNLKEAIQEEATTREESFNDYDVRKSAPSSFSQGALQEEVTVLCQTGSAADDVGKSTSPVKRQEVLQEEALALDCTACAVHEVGNSASSGMPQKVIQEEVMRHDGTASAVDRNETGTSSDLLREANATQERMEILDETAHNTDASEPVGYSVMLKEAIHEGESTVVATDNTTGVAGQSNSSDVAEDVMHKKAAAQEGTTNAVISIGESKTFSGLQREEIASLQLQAPGSKEFEKLTVIGEMDKPTEYVAFQPAEEQLVMDQCEKRGASKETMIGENEVAVLDENARKQGFDMEANADGAHMFHRSMKEHAGESKEDVAGSNLMTRESMAEDKGNGIAFDVLSKKVKVDCSSSVGRGLDSALLLGVAPTETLKSASTSSVKQEKDTMKLGKLDLSLSLSGCLQNSEFKCSMPQTASLVHPACSQLLPSSSFRTNSDGFTASISLTSSQTLGHNPSCSLTQQSLDNYEHSVGSKPFFMGVDQTSNCTGRQAQLSSESTEKGSATPLLQRVLQNDHMPDTNTLAGIKGHNNDLVRHANIQGVLSPTYSRVSHDSGLEHNRHRRQLTRERSSRSLTRGERQEGEQLVINGAGVIERIISKVVSEPLHLTGRMLQEMTENSITYLREAISDIIVDPGKRGQIIALQEALKKRSDLNSDTLRTCPRVLMEILVAIRTGLPYFIKKSSSIATSNLVDIFLNLKCRNLSCQSILPVDDCDCKVCQRKTGFCSSCMCIVCSKFDSASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGQSASRAYGSTEMQFHCAACGHPSEMFGFVKEVFRTCARHWRMETLIRELQYVKRIFSASDDVRGKRVRDFAKQMLVKLENKGYHPEVVKCVIAFFSDDDTNLGINPSVPLKGIPCSIAEAIDGIPSSSRKAAWTPFTLEGLPVLDKTAVLSTTGSPSLHRKSGEAEFATIDNKVVIDELDSLIRLKQAEANMYQERANDARNEADNLRRIVMVKNARIEEDYATQIADLDIDGLQERRKQKIEELQVIERTHHEFLSMKTRMVASIRELLSKMEALKQNRSI >PAN51171 pep chromosome:PHallii_v3.1:9:69534069:69536131:1 gene:PAHAL_9G576100 transcript:PAN51171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITASASPAMQEPSSKAASMSPARATAAPPAPVRSRPSLLVIFSACLVLVGAGGPLLLRVYFAHGGQRLFLSAMLQISGWPLLLVPICVSLFRSRSKGVANLILPPRLAGAAAVLGAFYAISCFVYALGSQALPLSTSALLLATQVAFTAVFAFLIVGLRFTPFSANAVVLLTIGPAVLGVGPGSGRHAGESSKTYWTGFCETIAAAALAGLVLPLVEVAMVRYGRRTGPAARVPPPYVTVMQMQAVMGAAGTVVCLLGMAIKSDFQALPSEAATFGLGKTNYYLVLIWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILGVIFLHEKFDGPKGIALVLTLWGFASYLYGEKALKKVEAQKNEQQLAKKTEDLELAAP >PAN51070 pep chromosome:PHallii_v3.1:9:69028691:69032840:1 gene:PAHAL_9G567700 transcript:PAN51070 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G13120) UniProtKB/Swiss-Prot;Acc:Q9LK61] MAVSTSTTSPLPLLLLHRGTANPSPASLSFPSSLRATSLRSRAAAAPPAETLSEDGIPDAPPEGEGTGIPLPSSLGDDGEQLAPKQKIRIKLRSYWVPLIEDSCKKIIDAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >PVH31029 pep chromosome:PHallii_v3.1:9:2239781:2242569:-1 gene:PAHAL_9G040300 transcript:PVH31029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTTSGDEEGPSAPSAGAAPPQAHAEWAASMQAYYAAGGQPYAWHAAQQHMMAAAAGAPYGTPMTFHPAYYAHAAMAASVPYTAGEPVAVAEGKCKRKSSGAPSVGSSSGSSDGGSEEKRDASAEHKLLPSAKRIKSTSANVQGEPSHAATTQDAAAGTRSAAKRRPAGKLSVSTTETAAISNARPNLNIGIDLWSNSPVKAETSGQIEINAAAPSHDVPLSQMQDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTAINGALRSELDQLKKACEDLEAENSELMGEMEQAEAPSVVTTLSMRIDTAKAHHGKSD >PVH31028 pep chromosome:PHallii_v3.1:9:2238971:2242608:-1 gene:PAHAL_9G040300 transcript:PVH31028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTTSGDEEGPSAPSAGAAPPQAHAEWAASMQAYYAAGGQPYAWHAAQQHMMAAAAGAPYGTPMTFHPAYYAHAAMAASVPYTAGEPVAVAEGKCKRKSSGAPSVGSSSGSSDGGSEEKRDASAEHKLLPSAKRIKSTSANVQGEPSHAATTQDAAAGTRSAAKRRPAGKLSVSTTETAAISNARPNLNIGIDLWSNSPVKAETSGQIEINAAAPSHDVPLSQMDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTAINGALRSELDQLKKACEDLEAENSELMGEMEQAEAPSVVTTLSMRIDTAKAHHGKSD >PVH31026 pep chromosome:PHallii_v3.1:9:2240216:2242436:-1 gene:PAHAL_9G040300 transcript:PVH31026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTTSGDEEGPSAPSAGAAPPQAHAEWAASMQAYYAAGGQPYAWHAAQQHMMAAAAGAPYGTPMTFHPAYYAHAAMAASVPYTAGEPVAVAEGKCKRKSSGAPSVGSSSGSSDGGSEEKRDASAEHKLLPSAKRIKSTSANVQGEPSHAATTQDAAAGTRSAAKRRPAGKLSVSTTETAAISNARPNLNIGIDLWSNSPVKAETSGQIEINAAAPSHDVPLSQMDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTAINGALRSELDQLKKACEDLEAENSELMVSN >PVH31027 pep chromosome:PHallii_v3.1:9:2240216:2242436:-1 gene:PAHAL_9G040300 transcript:PVH31027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTTSGDEEGPSAPSAGAAPPQAHAEWAASMQAYYAAGGQPYAWHAAQQHMMAAAAGAPYGTPMTFHPAYYAHAAMAASVPYTAGEPVAVAEGKCKRKSSGAPSVGSSSGSSDGGSEEKRDASAEHKLLPSAKRIKSTSANVQGEPSHAATTQDAAAGTRSAAKRRPAGKLSVSTTETAAISNARPNLNIGIDLWSNSPVKAETSGQIEINAAAPSHDVPLSQMQDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTAINGALRSELDQLKKACEDLEAENSELMVSN >PVH31169 pep chromosome:PHallii_v3.1:9:4160703:4165373:1 gene:PAHAL_9G072200 transcript:PVH31169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAPETKGHRAFAKGPFAKPLKSFSYSERHKRPKSYFEEIYGTDALRSSDKTIVLPKPEVVKANVKSDINKDVRPGRGAQSTLRKEVTILQLEKHLKDQQVVRGALEKALGPDAAPVNMSPENPMPKAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPAFSPPDNREASKPSLSSRSGQLWETPMAMKSFKSREAAALRSSYPLPHKKWNDPLTDCCTSVRSDRAVDSDVLRCQSALSYRGVCSSRILPSDDDSLARALRSCHSQPFSFLEEGETGASGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGIYCRLADPPLVHHGSSSSPTSSFSSTSAVSPQYVGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEVPMISRDSRRLKEAEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGVPQNNLKKTSLLVKAACKIAGRNINVAVIQSMVLGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATAGVWREQKLLLPKLVEAYAKDVKLSPQGLVDMVQRYLPESMRMAVQRCQQGGRSSSKVVEWVPYNPGFRYLLARDLAFPHLS >PAN44811 pep chromosome:PHallii_v3.1:9:4160701:4165680:1 gene:PAHAL_9G072200 transcript:PAN44811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAPETKGHRAFAKGPFAKPLKSFSYSERHKRPKSYFEEIYGTDALRSSDKTIVLPKPEVVKANVKSDINKDVRPGRGAQSTLRKEILQLEKHLKDQQVVRGALEKALGPDAAPVNMSPENPMPKAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPAFSPPDNREASKPSLSSRSGQLWETPMAMKSFKSREAAALRSSYPLPHKKWNDPLTDCCTSVRSDRAVDSDVLRCQSALSYRGVCSSRILPSDDDSLARALRSCHSQPFSFLEEGETGASGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGIYCRLADPPLVHHGSSSSPTSSFSSTSAVSPQYVGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEVPMISRDSRRLKEAEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGVPQNNLKKTSLLVKAACKIAGRNINVAVIQSMVLGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATAGVWREQKLLLPKLVEAYAKDVKLSPQGLVDMVQRYLPESMRMAVQRCQQGGRSSSKVVEWVPYNPGFRYLLARDLAFPHLS >PVH31167 pep chromosome:PHallii_v3.1:9:4160703:4165373:1 gene:PAHAL_9G072200 transcript:PVH31167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAPETKGHRAFAKGPFAKPLKSFSYSERHKRPKSYFEEIYGTDALRSSDKTIVLPKPEVVKANVKSDINKDVRPGRGAQSTLRKEVTILQLEKHLKDQQVVRGALEKALGPDAAPVNMSPENPMPKAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPAFSPPDNREASKPSLSSRSGQLWETPMAMKSFKSREAAALRSSYPLPHKKWNDPLTDCCTSVRSDRAVDSDVLRCQSALSYRGVCSSRILPSDDDSLARALRSCHSQPFSFLEQEGETGASGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGIYCRLADPPLVHHGSSSSPTSSFSSTSAVSPQYVGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEVPMISRDSRRLKEAEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGVPQNNLKKTSLLVKAACKIAGRNINVAVIQSMVLGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATAGVWREQKLLLPKLVEAYAKDVKLSPQGLVDMVQRYLPESMRMAVQRCQQGGRSSSKVVEWVPYNPGFRYLLARDLAFPHLS >PVH31168 pep chromosome:PHallii_v3.1:9:4160703:4165373:1 gene:PAHAL_9G072200 transcript:PVH31168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAPETKGHRAFAKGPFAKPLKSFSYSERHKRPKSYFEEIYGTDALRSSDKTIVLPKPEVVKANVKSDINKDVRPGRGAQSTLRKEILQLEKHLKDQQVVRGALEKALGPDAAPVNMSPENPMPKAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPAFSPPDNREASKPSLSSRSGQLWETPMAMKSFKSREAAALRSSYPLPHKKWNDPLTDCCTSVRSDRAVDSDVLRCQSALSYRGVCSSRILPSDDDSLARALRSCHSQPFSFLEQEGETGASGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGIYCRLADPPLVHHGSSSSPTSSFSSTSAVSPQYVGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEVPMISRDSRRLKEAEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGVPQNNLKKTSLLVKAACKIAGRNINVAVIQSMVLGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATAGVWREQKLLLPKLVEAYAKDVKLSPQGLVDMVQRYLPESMRMAVQRCQQGGRSSSKVVEWVPYNPGFRYLLARDLAFPHLS >PAN51263 pep chromosome:PHallii_v3.1:9:69922919:69946719:1 gene:PAHAL_9G581400 transcript:PAN51263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIGSRRLTVLREFRPHGLAVEEADGEGGPGARPPQDYDYFLFDPALAASPGPDPGDESSASSADGDHELFIRGNQIIWSNGSRVHKRYVSPNTVIMACWCRMNAISDALLCVLQIDTLSLYNVTGEVVSIPLPYAISSIWPLPFGLLLQKSTDGGRMVSSSSSLLNARDLNRPNKEYGLTYNVSCQSNTMETDSKANGAIISSHLILKHPLEEPQATYFEEKDKLTMMKDFDEKAIWTSDTIPLMASYHKGKFQHSVWQIDGANYQEATDENTMLPVSCDFSSHKCAFRKIWQGKCSQSAASKVFLATDIDGLPIICFLLHEQKILLAIRIQVDDTAEEAFGDIKPHMSWNIPAFAAVPVVVTRPRVRVGVLPFTDILVLSSDNDLLLYSGKQCLCRYTLPIELGKGFFSNYDLNSEISDTYSDLKITSIADSVEGRINVTCSNGLMLRCSLRKNPSSSLVTDCITAMAEGLQSCFYSHFVSLFWGDSDATYLYSSSHADSEWEYFSYEIKRVCTKYGQTLPTKSSTSPSKAWDFLINSKYHAQYCKRAPTSSNSFLPVSYDTHKTVFNPFSQDEHSSDMSFYIRFMRETLDTLHALYENLKLNILRKEDIGCLASLLCVVASSLGEHTYVDYYCRDFPLNLIELPSLASSTSLRTPPSLFRWLEYCLRDGCDSAKLEDIPTLMRKQKVSAVSWGRKVVSFYSLLLGAERKGKYLSSGVYGEVASGSARNTEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPATAYVLVGREDLAMAKMGSVRKDNGLCNNDNLTSMSVPYMLHLQPVTIPTTASDIPTSEVLNSEDSDSVYKSIEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEVLVFPKLVLAGRLPAQQNATVNLDVSNRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGFMDTFLDRLFEYIGSKEVYHEKHLNATTADDQSVSTGQMMDGAQINVDVTAPGAIIALALIFLKAESEEIAARLSIPNTYFDLQYVRPDFVMLRIIARNLILWSRIQPTKEWIDSQIPETVKSGVSNISEGAIDSDEFDAEALFQAYVNIVTGACIALGLKYAGSRNGDAQELLYAYTVNFLNEIKHIPVRTANILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTQNSGIAALLISLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWVQTVDVDTELPVYCPLEVTIAETEYYDETNYCEVTPCLLPERSVLKSIRVCGPRYWPQVIKLTPEDKPWWRSGDKADPFNGGVIYIKRKVGSCSYSDDPIGCQSLISRAMHEVCDTPSASCSNQPNSTNHSSFRVDQLVSTFSANPSLIAFAKLCSESWKNRCNGNFREFCSQVLYECMSKDRPSLLQVYISFYTIIESMWEHLKIGNFPFYDSLFLSNLKVALAYNEALVDGRITNGGIIQSTFLESLMKRVGDIFAELPNLKDNLHSYLTTSRWPGEQNDAAILSWYLQWYSIPPPHVVASAVEKVKPRVPTGVSMLPLLRLLLPTTHLVGLMEIEKFQSAMKA >PAN51261 pep chromosome:PHallii_v3.1:9:69922919:69946714:1 gene:PAHAL_9G581400 transcript:PAN51261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAISDALLCVLQIDTLSLYNVTGEVVSIPLPYAISSIWPLPFGLLLQKSTDGGRMVSSSSSLLNARDLNRPNKEYGLTYNVSCQSNTMETDSKANGAIISSHLILKHPLEEPQATYFEEKDKLTMMKDFDEKAIWTSDTIPLMASYHKGKFQHSVWQIDGANYQEATDENTMLPVSCDFSSHKCAFRKIWQGKCSQSAASKVFLATDIDGLPIICFLLHEQKILLAIRIQVDDTAEEAFGDIKPHMSWNIPAFAAVPVVVTRPRVRVGVLPFTDILVLSSDNDLLLYSGKQCLCRYTLPIELGKGFFSNYDLNSEISDTYSDLKITSIADSVEGRINVTCSNGLMLRCSLRKNPSSSLVTDCITAMAEGLQSCFYSHFVSLFWGDSDATYLYSSSHADSEWEYFSYEIKRVCTKYGQTLPTKSSTSPSKAWDFLINSKYHAQYCKRAPTSSNSFLPVSYDTHKTVFNPFSQDEHSSDMSFYIRFMRETLDTLHALYENLKLNILRKEDIGCLASLLCVVASSLGEHTYVDYYCRDFPLNLIELPSLASSTSLRTPPSLFRWLEYCLRDGCDSAKLEDIPTLMRKQKVSAVSWGRKVVSFYSLLLGAERKGKYLSSGVYGEVASGSARNTEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPATAYVLVGREDLAMAKMGSVRKDNGLCNNDNLTSMSVPYMLHLQPVTIPTTASDIPTSEVLNSEDSDSVYKSIEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEVLVFPKLVLAGRLPAQQNATVNLDVSNRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGFMDTFLDRLFEYIGSKEVYHEKHLNATTADDQSVSTGQMMDGAQINVDVTAPGAIIALALIFLKAESEEIAARLSIPNTYFDLQYVRPDFVMLRIIARNLILWSRIQPTKEWIDSQIPETVKSGVSNISEGAIDSDEFDAEALFQAYVNIVTGACIALGLKYAGSRNGDAQELLYAYTVNFLNEIKHIPVRTANILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTQNSGIAALLISLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWVQTVDVDTELPVYCPLEVTIAETEYYDETNYCEVTPCLLPERSVLKSIRVCGPRYWPQVIKLTPEDKPWWRSGDKADPFNGGVIYIKRKVGSCSYSDDPIGCQSLISRAMHEVCDTPSASCSNQPNSTNHSSFRVDQLVSTFSANPSLIAFAKLCSESWKNRCNGNFREFCSQVLYECMSKDRPSLLQVYISFYTIIESMWEHLKIGNFPFYDSLFLSNLKVALAYNEALVDGRITNGGIIQSTFLESLMKRVGDIFAELPNLKDNLHSYLTTSRWPGEQNDAAILSWYLQWYSIPPPHVVASAVEKVKPRVPTGVSMLPLLRLLLPTTHLVGLMEIEKFQSAMKA >PVH33157 pep chromosome:PHallii_v3.1:9:69924624:69946714:1 gene:PAHAL_9G581400 transcript:PVH33157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAISDALLCVLQIDTLSLYNVTGEVVSIPLPYAISSIWPLPFGLLLQKSTDGGRMVSSSSSLLNARDLNRPNKEYGLTYNVSCQSNTMETDSKANGAIISSHLILKHPLEEPQATYFEEKDKLTMMKDFDEKAIWTSDTIPLMASYHKGKFQHSVWQIDGANYQEATDENTMLPVSCDFSSHKCAFRKIWQGKCSQSAASKVFLATDIDGLPIICFLLHEQKILLAIRIQVDDTAEEAFGDIKPHMSWNIPAFAAVPVVVTRPRVRVGVLPFTDILVLSSDNDLLLYSGKQCLCRYTLPIELGKGFFSNYDLNSEISDTYSDLKITSIADSVEGRINVTCSNGLMLRCSLRKNPSSSLVTDCITAMAEGLQSCFYSHFVSLFWGDSDATYLYSSSHADSEWEYFSYEIKRVCTKYGQTLPTKSSTSPSKAWDFLINSKYHAQYCKRAPTSSNSFLPVSYDTHKTVFNPFSQDEHSSDMSFYIRFMRETLDTLHALYENLKLNILRKEDIGCLASLLCVVASSLGEHTYVDYYCRDFPLNLIELPSLASSTSLRTPPSLFRWLEYCLRDGCDSAKLEDIPTLMRKQKVSAVSWGRKVVSFYSLLLGAERKGKYLSSGVYGEVASGSARNTEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPATAYVLVGREDLAMAKMGSVRKDNGLCNNDNLTSMSVPYMLHLQPVTIPTTASDIPTSEVLNSEDSDSVYKSIEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEVLVFPKLVLAGRLPAQQNATVNLDVSNRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGFMDTFLDRLFEYIGSKEVYHEKHLNATTADDQSVSTGQMMDGAQINVDVTAPGAIIALALIFLKAESEEIAARLSIPNTYFDLQYVRPDFVMLRIIARNLILWSRIQPTKEWIDSQIPETVKSGVSNISEGAIDSDEFDAEALFQAYVNIVTGACIALGLKYAGSRNGDAQELLYAYTVNFLNEIKHIPVRTANILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTQNSGIAALLISLYPRLPTGPNDNRCHLQLKSIRVCGPRYWPQVIKLTPEDKPWWRSGDKADPFNGGVIYIKRKVGSCSYSDDPIGCQSLISRAMHEVCDTPSASCSNQPNSTNHSSFRVDQLVSTFSANPSLIAFAKLCSESWKNRCNGNFREFCSQVLYECMSKDRPSLLQVYISFYTIIESMWEHLKIGNFPFYDSLFLSNLKVALAYNEALVDGRITNGGIIQSTFLESLMKRVGDIFAELPNLKDNLHSYLTTSRWPGEQNDAAILSWYLQWYSIPPPHVVASAVEKVKPRVPTGVSMLPLLRLLLPTTHLVGLMEIEKFQSAMKA >PAN51260 pep chromosome:PHallii_v3.1:9:69922919:69946719:1 gene:PAHAL_9G581400 transcript:PAN51260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACWCRMNAISDALLCVLQIDTLSLYNVTGEVVSIPLPYAISSIWPLPFGLLLQKSTDGGRMVSSSSSLLNARDLNRPNKEYGLTYNVSCQSNTMETDSKANGAIISSHLILKHPLEEPQATYFEEKDKLTMMKDFDEKAIWTSDTIPLMASYHKGKFQHSVWQIDGANYQEATDENTMLPVSCDFSSHKCAFRKIWQGKCSQSAASKVFLATDIDGLPIICFLLHEQKILLAIRIQVDDTAEEAFGDIKPHMSWNIPAFAAVPVVVTRPRVRVGVLPFTDILVLSSDNDLLLYSGKQCLCRYTLPIELGKGFFSNYDLNSEISDTYSDLKITSIADSVEGRINVTCSNGLMLRCSLRKNPSSSLVTDCITAMAEGLQSCFYSHFVSLFWGDSDATYLYSSSHADSEWEYFSYEIKRVCTKYGQTLPTKSSTSPSKAWDFLINSKYHAQYCKRAPTSSNSFLPVSYDTHKTVFNPFSQDEHSSDMSFYIRFMRETLDTLHALYENLKLNILRKEDIGCLASLLCVVASSLGEHTYVDYYCRDFPLNLIELPSLASSTSLRTPPSLFRWLEYCLRDGCDSAKLEDIPTLMRKQKVSAVSWGRKVVSFYSLLLGAERKGKYLSSGVYGEVASGSARNTEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPATAYVLVGREDLAMAKMGSVRKDNGLCNNDNLTSMSVPYMLHLQPVTIPTTASDIPTSEVLNSEDSDSVYKSIEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEVLVFPKLVLAGRLPAQQNATVNLDVSNRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGFMDTFLDRLFEYIGSKEVYHEKHLNATTADDQSVSTGQMMDGAQINVDVTAPGAIIALALIFLKAESEEIAARLSIPNTYFDLQYVRPDFVMLRIIARNLILWSRIQPTKEWIDSQIPETVKSGVSNISEGAIDSDEFDAEALFQAYVNIVTGACIALGLKYAGSRNGDAQELLYAYTVNFLNEIKHIPVRTANILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTQNSGIAALLISLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWVQTVDVDTELPVYCPLEVTIAETEYYDETNYCEVTPCLLPERSVLKSIRVCGPRYWPQVIKLTPEDKPWWRSGDKADPFNGGVIYIKRKVGSCSYSDDPIGCQSLISRAMHEVCDTPSASCSNQPNSTNHSSFRVDQLVSTFSANPSLIAFAKLCSESWKNRCNGNFREFCSQVLYECMSKDRPSLLQVYISFYTIIESMWEHLKIGNFPFYDSLFLSNLKVALAYNEALVDGRITNGGIIQSTFLESLMKRVGDIFAELPNLKDNLHSYLTTSRWPGEQNDAAILSWYLQWYSIPPPHVVASAVEKVKPRVPTGVSMLPLLRLLLPTTHLVGLMEIEKFQSAMKA >PAN51262 pep chromosome:PHallii_v3.1:9:69922919:69946714:1 gene:PAHAL_9G581400 transcript:PAN51262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAISDALLCVLQIDTLSLYNVTGEVVSIPLPYAISSIWPLPFGLLLQKSTDGGRMVSSSSSLLNARDLNRPNKEYGLTYNVSCQSNTMETDSKANGAIISSHLILKHPLEEPQATYFEEKDKLTMMKDFDEKAIWTSDTIPLMASYHKGKFQHSVWQIDGANYQEATDENTMLPVSCDFSSHKCAFRKIWQGKCSQSAASKVFLATDIDGLPIICFLLHEQKILLAIRIQVDDTAEEAFGDIKPHMSWNIPAFAAVPVVVTRPRVRVGVLPFTDILVLSSDNDLLLYSGKQCLCRYTLPIELGKGFFSNYDLNSEISDTYSDLKITSIADSVEGRINVTCSNGLMLRCSLRKNPSSSLVTDCITAMAEGLQSCFYSHFVSLFWGDSDATYLYSSSHADSEWEYFSYEIKRVCTKYGQTLPTKSSTSPSKAWDFLINSKYHAQYCKRAPTSSNSFLPVSYDTHKTVFNPFSQDEHSSDMSFYIRFMRETLDTLHALYENLKLNILRKEDIGCLASLLCVVASSLGEHTYVDYYCRDFPLNLIELPSLASSTSLRTPPSLFRWLEYCLRDGCDSAKLEDIPTLMRKQKVSAVSWGRKVVSFYSLLLGAERKGKYLSSGVYGEVASGSARNTEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPATAYVLVGREDLAMAKMGSVRKDNGLCNNDNLTSMSVPYMLHLQPVTIPTTASDIPTSEVLNSEDSDSVYKSIEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEVLVFPKLVLAGRLPAQQNATVNLDVSNRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGFMDTFLDRLFEYIGSKEVYHEKHLNATTADDQSVSTGQMMDGAQINVDVTAPGAIIALALIFLKAESEEIAARLSIPNTYFDLQYVRPDFVMLRIIARNLILWSRIQPTKEWIDSQIPETVKSGVSNISEGAIDSDEFDAEALFQAYVNIVTGACIALGLKYAGSRNGDAQELLYAYTVNFLNEIKHIPVRTANILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTQNSGIAALLISLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWVQTVDVDTELPVYCPLEVTIAETEYYDETNYCEVTPCLLPERSVLKSIRVCGPRYWPQVIKLTPEDKPWWRSGDKADPFNGGVIYIKRKVGSCSYSDDPIGCQSLISRAMHEVCDTPSASCSNQPNSTNHSSFRVDQLVSTFSANPSLIAFAKLCSESWKNRCNGNFREFCSQVLYECMSKDRPSLLQVYISFYTIIESMWEHLKIGNFPFYDSLFLSNLKVALAYNEALVDGRITNGGIIQSTFLESLMKRVGDIFAELPNLKDNLHSYLTTSRWPGEQNDAAILSWYLQWYSIPPPHVVASAVEKVKPRVPTGVSMLPLLRLLLPTTHLVGLMEIEKFQSAMKA >PAN43980 pep chromosome:PHallii_v3.1:9:540173:543561:-1 gene:PAHAL_9G008500 transcript:PAN43980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAHEPEVIRDKAAMRAWSRRRRAEGKTVAFVPTMGFLHDGHLSLVSAAVAAAAGPVSVVVSIYVNPSQFAPTEDLATYPSDFAGDLRKLAATGAVAAVFCPPDLYIRGSAGHPTASASGGAASCLEEAGGHAHETWIRVEQLEKGLCGSSRPVFFRGVATVVAKLFNIVEPGIAVFGKKDYQQWRVICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSCEEREKALSISRSLVNARTSVLNGNNHGQQIKDQIVQTLTEAGGQVDYVEIVEQESLTPVERIDCPAVICVAAWFGKVRLIDNIEIQAPS >PVH32246 pep chromosome:PHallii_v3.1:9:51015633:51017557:-1 gene:PAHAL_9G353600 transcript:PVH32246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPPPPPFLSLSFGSPIPAAAPLPPLGRAAGPSTPPSSLSIEADDHCDVDGSLEFFPQSTPWCATSPEGTLGCAVLPHHRQRSITSLDLNSQAGEFPYLAEYQNILESPELDAEFAGGNRSSDSSRGGDTAGNGRNGHVALLGGPVEMQRGSPWRRSMRLTQLECIPEGLVEVPHPPRGVFELLELLPVLKGVEALGSKPMQRMTMKLLGLI >PAN51576 pep chromosome:PHallii_v3.1:9:71252220:71255261:-1 gene:PAHAL_9G604800 transcript:PAN51576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLLITPLMRDRTHPLSLLPTRARTVLRPNRRAQPKPGPCARAAAAAADNISSFFLGASSELSLLVTPLDLWEPIRTTVPPFLVGHLDMGTYSHLWSIAKKNVMAVLLGITISDRYVTFVSVIGESMHPTFTAASSVLQGDFILAERRCLEKYKFSHGDIILFKCPSNHKELFVKRLIALPGEWVQLPGSPKVTKIPEGHCWVEGDNAARSWDSRAFGPIPLGLVEGRVTHIIWPPSKISQVERKIPEGRIFPV >PVH31484 pep chromosome:PHallii_v3.1:9:9733654:9734937:1 gene:PAHAL_9G157500 transcript:PVH31484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHAVFSGNLPVVRYLLDHGADIHQQGNLEGHDGFTAFHTAAEKGRCAIAKFLLSRGAHVDGKSCHATPVHLAVLGGHDSTLKILLDHDADVLALSLICWLTIRAVFSISS >PVH32538 pep chromosome:PHallii_v3.1:9:58893089:58894113:-1 gene:PAHAL_9G423200 transcript:PVH32538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYNRLTGWLKWRQASSVLCDKKVPQRLKGKFYRTAIRPAILYGAECWPIKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRDRGRPRLTWDETVKRDLKEWNIAKELAMDTSAWRLAINVPEP >PVH30998 pep chromosome:PHallii_v3.1:9:1854344:1855629:-1 gene:PAHAL_9G033200 transcript:PVH30998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLPSDIIYKILTQISDPASLVRAASSCKLWHAAPRPWFCPVPPPRLLLPGQYPGLALSTGQKEGRNAVRPLSLGTFIEGFVASLNFYEPIVSQDSFLVLCHRSRDVAKPDVVRVCNPLTGEVFHIPDLIYRPRTDNYALLVTDDVSLDGQISRSFRLVAIWTTGNRIFYSYYCSKTRSRWKPSTSPEIMPGLFCSVFLSFCWACSMVTHVITLHVDGEELSHLELPSEAKGKKLLADSADGSILLLIVKDLQMSLWKHKSESSSDWVFSQMIDMAAYLPINNTVLQSRAKVTLEIFQGKSGAVVLWVEKEVRKPDNERVTTKYRLCPYEIDWVSCLVVTKLIADGSASLDVGRKKLQGRWRTLMTKV >PVH31939 pep chromosome:PHallii_v3.1:9:22017682:22022696:-1 gene:PAHAL_9G267800 transcript:PVH31939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRCASLDQPRKKDHRNPNISYSTFCPSISMTGGTSHLLPPYLLPPATKSNSCANGSTILRHHSAATSRSSSARRKPSGSSPRSSAPTRSPRRRPARCLPRMNLVRMAPMNSSGAVLPSPPPHTILRMPSPPPALSSCEKLFTSSARSCCAGSRGTAAIPSAKPRWRPTPPSFWMDGSIYHILQNKAGSRDQAVVHQPLRLLAEPGNRRRARWLHLLARRGPVRHQRADGVQRREPQRRRRWKKSAAVAAPWTCVGAESGRRDLPNEAASGEQGRDDDHGGVRCHHGAKERHGGERAVPGGDGEGGRADDTELGDGAGGGQGEEPDGAEDKGGQ >PAN45427 pep chromosome:PHallii_v3.1:9:6927941:6931001:1 gene:PAHAL_9G118000 transcript:PAN45427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFRTQMPWSSAQEESQGQLFEGAAAVVAARQGMETPLTAVAEAFEELARGMDADGGELRLAPFSDTCALVSVLFSSLGMAFRFAEIEYVTKVNDLIGAGKSYRTLSDILDKDIENDSVKKQGSHSRNLRRVRLGLGLIKALFEQFLTTEGCSLYDAATTAYGQVCAPFHSWAIRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIEYIDNLFLSRNISLDW >PAN50067 pep chromosome:PHallii_v3.1:9:64262839:64265976:-1 gene:PAHAL_9G495800 transcript:PAN50067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYANCLKKHPYRIVFPYANSILRASLEKGYPQKSLKDYSTLLHFTAFCPDYRTYALLLRACARCSDLYAAMEIHCHLTKVGLLSNRHITPSLLKLYIAHDRMLEARELFWSVLEWSTDPFHGNLMLMGFLKSGQIDKAYQIFKRMPVKDLVSWNSMIAGAVRSSHLKDAMNLFSRLVSSGLVPDGFSFSSVLSACARAGARQYGVWVHQLMTELVVETNHIISSALVDMYAKCGRIDVATEIFNTVKRNHISVWNTMISGLAAHGLGSDVVILFRKMKSEEVVPDGVTFVALLTACSHCGMVEEARQYFKSMTTEYSITPEVEHYGALVDALSRAGLLDEAYNLVRSMNVKPDAVIWRALLSACRRYRQTKLGEVTVEHVACYSSGDYTLLSNIYSSANRWNDSEELWKQRKQKKIRKSKGLSWVELGGSTHEFKAGDRSHPDSKDIYQVLHGLSKRAKVEGYAPLTELVTKDVSEEEREENLTFHSEKLAVAYSVLKTGPGTEIMVSKNLQTCSDCHEWMKIISKVLCRVIVMRDRIRFHRFEGGCCSCKDYW >PAN50244 pep chromosome:PHallii_v3.1:9:65086740:65088909:-1 gene:PAHAL_9G507700 transcript:PAN50244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSSTPAETATLSPVAADTGEAAPSPASSTPAQNPIAAATAAAGATDLEKKMRRAERFGTQVVMSEEEKRSSRAERFGTGSSNVKEEEKKKSRAERFGLASPSSDEEAKKKARLERFGQGTNVDKAEEEKRKARAARFAETSSESTRENGKGNSKPQDAATVTGTA >PAN50243 pep chromosome:PHallii_v3.1:9:65086102:65088999:-1 gene:PAHAL_9G507700 transcript:PAN50243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSSTPAETATLSPVAADTGEAAPSPASSTPAQNPIAAATAAAGATDLEKKMRRAERFGTQVVMSEEEKRSSRAERFGTGSSNVKEEEKKKSRAERFGLASPSSDEEAKKKARLERFGQGTNVDKAEEEKRKARAARFAETSSESTRENGKGNSKPDAATVTGTA >PVH31131 pep chromosome:PHallii_v3.1:9:3636527:3637302:-1 gene:PAHAL_9G063100 transcript:PVH31131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARFLAVVMACALLASNSCHAARHLADTTAAAAAPAATVPGFPTVPTLLPTVPQMPAATVPPVTLPPMPAVVPAVAVPQVALPPMPAVPSVPKVALPPIPAVPSVPKVVLPPMPSIPNANIPMPFLAPPPSA >PAN46319 pep chromosome:PHallii_v3.1:9:11110436:11112181:-1 gene:PAHAL_9G176900 transcript:PAN46319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENEGGQGQTSNAGRSGESQYSRRRRPVASIGRRSQAGTRTMELYLLLHSVVMHAIAALVILVYIPVCVPARLLARALVRPRRKEDLRGKVVLITGASSGIGEELAYQYAREGAYLALVARRKQALKSVAAAALERGAPDVLVLPADVADPAQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDQVTNIAAFTKLMDVNFWGSVYPTYYALPHLKASRGKLVVSCSAAGTVATSRMAFYNASKAAQLRFYETLRTELGSEVGITILTAGYVESEITKGKGVQKGGEVAVDEDARDAQIGVFPVGRVETLCEVALDAVRSGDWYVTWPSMYRPMQLVACLAPEVLSWVSYVLYKEEAAKGSRPLGQRILEATGAKTLFPPSLLHPVVKTD >PAN46318 pep chromosome:PHallii_v3.1:9:11110119:11112212:-1 gene:PAHAL_9G176900 transcript:PAN46318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENEGGQGQTSNAGRSGESQYSRRRRPVASIGRRSQAGTRTMELYLLLHSVVMHAIAALVILVYIPVCVPARLLARALVRPRRKEDLRGKVVLITGASSGIGEELAYQYAREGAYLALVARRKQALKSVAAAALERGAPDVLVLPADVADPAQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDQVTNIAAFTKLMDVNFWGSVYPTYYALPHLKASRGKLVVSCSAAGTVATSRMAFYNASKAAQLRFYETLRTELGSEVGITILTAGYVESEITKGKGVQKGGEVAVDEDARDIGVFPVGRVETLCEVALDAVRSGDWYVTWPSMYRPMQLVACLAPEVLSWVSYVLYKEEAAKGSRPLGQRILEATGAKTLFPPSLLHPVVKTD >PAN48851 pep chromosome:PHallii_v3.1:9:57764019:57768994:-1 gene:PAHAL_9G410100 transcript:PAN48851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MPLLARELPLHHSQPLHPATARLVPRSSLAGKPRRRVPASSRARVRVRASNSDPPQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAASRVPLPEGSRQLFVMSENLSAAQKEDVAWASYVLLRNTNTTSVLIAIGDVFCVRGFWDPPANTSKYAIIEWFKSQMQQVGLVDLRDNLYFPNSSDSQLAKILPDGVLSVFVQPVLRSPDLPNGETKTEGVILLASNANYAYTEKDRVWIRTVANKFQSA >PAN48846 pep chromosome:PHallii_v3.1:9:57766984:57768914:-1 gene:PAHAL_9G410100 transcript:PAN48846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MPLLARELPLHHSQPLHPATARLVPRSSLAGKPRRRVPASSRARVRVRASNSDPPQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAASRVPLPEGSRQLFVMSENLSAAQKEDVAWASYVLLRNTNTTSVLIAIGDVFCVRGFWDPPANTSKYAIIEWFKSQMQQVGLVDLRDNLYFPNSSDSQLAKILPDGVLSVFVQPVLRSPDLPNGETKTEGVILLASNANYAYTEKDRVWIRTVANKFQSA >PAN48849 pep chromosome:PHallii_v3.1:9:57763600:57769227:-1 gene:PAHAL_9G410100 transcript:PAN48849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MPLLARELPLHHSQPLHPATARLVPRSSLAGKPRRRVPASSRARVRVRASNSDPPQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAASRVPLPEGSRQLFVMSENLSAAQKEDVAWASYVLLRNTNTTSVLIAIGDVFCVRGFWDPPANTSKYAIIEWFKSQMQQVGLVDLRDNLYFPNSSDGYQGVIILLKEWKTRSNSQQLFLMQLKL >PAN48850 pep chromosome:PHallii_v3.1:9:57766984:57768914:-1 gene:PAHAL_9G410100 transcript:PAN48850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MPLLARELPLHHSQPLHPATARLVPRSSLAGKPRRRVPASSRARVRVRASNSDPPQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAASRVPLPEGSRQLFVMSENLSAAQKEDVAWASYVLLRNTNTTSVLIAIGDVFCVRGFWDPPANTSKYAIIEWFKSQMQQVGLVDLRDNLYFPNSSDSQLAKILPDGVLSVFVQPVLRSPDLPNGETKTEGVILLASNANYAYTEKDRVWIRTVANKFQSA >PAN48852 pep chromosome:PHallii_v3.1:9:57764019:57769228:-1 gene:PAHAL_9G410100 transcript:PAN48852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MPLLARELPLHHSQPLHPATARLVPRSSLAGKPRRRVPASSRARVRVRASNSDPPQQQGADAASRVPLPEGSRQLFVMSENLSAAQKEDVAWASYVLLRNTNTTSVLIAIGDVFCVRGFWDPPANTSKYAIIEWFKSQMQQVGLVDLRDNLYFPNSSDGYQGVIILLKEWKTRSNSQQLFLMQLKL >PVH32484 pep chromosome:PHallii_v3.1:9:57763976:57768949:-1 gene:PAHAL_9G410100 transcript:PVH32484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MPLLARELPLHHSQPLHPATARLVPRSSLAGKPRRRVPASSRARVRVRASNSDPPQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAASRVPLPEGSRQLFVMSENLSAAQKEDVAWASYVLLRNTNTTSVILSLRRFYQMGFFLCLFNQFLGVLIYLMVKQKLKVSSC >PAN51270 pep chromosome:PHallii_v3.1:9:69966968:69967988:-1 gene:PAHAL_9G581800 transcript:PAN51270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEGKSRRFALACGVLSQYVKAEQKMAAAPAPRAPATTLSLMPGADVGAEQEPAAAARGEEAAGPASTAAPLTIFYGGRVVVFEDFPAEKAAEVMRLAAGAERAAAPAPAPRDDLPIARKASLQRFLAKRKDRLVERAPYARPSPAEEAEKKKPAAWLGLGSADADRLTMAL >PAN49441 pep chromosome:PHallii_v3.1:9:61085056:61085511:-1 gene:PAHAL_9G449500 transcript:PAN49441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRPEPELDLELTLLHPGASAAPEEPPGFFLCTYCDRKFHTSQALGGHQNAHKYERTLAKRRREISASMRLHGRSGARADPPGSAGVAINLLSAGAEPAGRARTMDTQKAAPDPSLAWLSGSSLLQHNISSSSPERRAGRADELDLSLRL >PAN51715 pep chromosome:PHallii_v3.1:9:71879590:71880910:1 gene:PAHAL_9G614900 transcript:PAN51715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKVFGSPTSAEVARVLMCLFEKDVEFQLIRVDAYRGPKRMPQYLKLQPHGEALTFEDENLTLSESRGILRHISHKYAKQGNPDLIGMGALERASIEQWLQTEAQSFDAPSAEMVYSLALLPPNLPKQQNDNGNGFNGRDVAVGNANASGKRAPAGSQPASAQEEEMLKLYEQRKKDLEKLLDIYEQRLEEARYLAGDNFTIADLSHLPNADRLASDPRSRRLFDSRKNVSRWWSDVSSRETWQYVKSLQRGPCTDANAKNGQQQQQQHGQPAEEHSKNYQQQQQVQQRY >PAN47046 pep chromosome:PHallii_v3.1:9:15114683:15126430:-1 gene:PAHAL_9G230000 transcript:PAN47046 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MNKDGILSDGKLKKMESVQPNGQHGDDDIIIIDSQSDENKAGAKTNIRDDLYKEHKEPVCRTVDNNVNDEETSITDDDSEADSYEFFLRESDNEQASASEIDTEVPLTEEEVEELVYEFLEVESKAAEAQESLEKESLDKIETEVRLELSERLQGDELESAVSTEMEQFQMQWENELDDLETRSSILLEQLDAAGVELPRLYKSIESQVPDVCETEAWKRRAHWAGSQVPEEANLSIKKADEYLQSCRPARRKHGRLLEEGASGFLAGKVPVGDGDSIEESWSSFNELIKSKENAGNTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVDGVFDDIKGVDEVELSEEQRRKYRKVKEEDDAKIMNCLQRRSKGKRVRGTKENLGKVSSCHEKPPLSENVILGAESDLPSSKKLKTDENKVSIEILKRTCEDDDELFQKRLKTVIIESDDEMQIDSKPALQNDRECSSARVEKVVDIIDLDLFPSQSPKLSDKSLPKAFKCTICAEMLNASDVHRHPVLDVTVCGPCRFLVIEKNRLEDPVSGGYCTWCAQSELLQSCSSCKLLFCTNCLSKNLGEECSSEAKATGWQCCCCVPSQLEILISECDKALCGVESSDSETSNPELSGPENNGPVSKRRMKKRIRRIMDDTELGEETKRKIAMEKARQDHLKSMQEQSASKLRNENTSFRVPLEVSLEDAGDEHIVNLAREEDEEPVRIPSSMSSKLKPHQVEGIRFMWENVIQSVKKVKSGDKGLGCILAHNMGLGKTFQVITFLYTVMKCAQLGLRTALIVTPVNVLHNWRKEFSRWRPAELKPLRVFMLEDVARVKRPDLLTKWRVKGGVLLIGYSSFRNLSLGKHVKDKNVANEIAYALQCGPDILVCDEAHMIKNRRADITLALKQVTTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILFEQLKGFVQRMSMNVLKNDLPPKKVFVITVKLSQLQRKLYRRFLDIHGFSSSGYSEKSHSSFFAKYQTLAQVWNHPGLLQMAKEQRGNLRREDAVENFMMDESSSDDNTETYLPNGEKQKDRADQQSKKSNFVNEESNWWEELLDENTYMEADYSGKMILLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKHWKRGKDWYRLDGSTPSSDRQNLVEMFNDPENARVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHRTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGEEELMEQNENGSTTVIEKPSTSNTIETSEPVDSLMLNLLSEQTRWIAGYHEHEALLQENEEERLTKEEQDMALSEWEALRNAVRDPERKSNMTKVPTDPNLVRPVKAASRSRQPQQPKVNSNNQKKCNNLTHLLTLRSHGTKAGCTTTCDECGQEICWETLNRDGRSR >PVH31777 pep chromosome:PHallii_v3.1:9:15114412:15127969:-1 gene:PAHAL_9G230000 transcript:PVH31777 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MNKDGILSDGKLKKMESVQPNGQHGDDDIIIIDSQSDENKAGAKTNIRDDLYKEHKEPVCRTVDNNVNDEETSITDDDSEADSYEFFLRESDNEQASASEIDTEVPLTEEEVEELVYEFLEVESKAAEAQESLEKESLDKIETEVRLELSERLQGDELESAVSTEMEQFQMQWENELDDLETRSSILLEQLDAAGVELPRLYKSIESQVPDVCETEAWKRRAHWAGSQVPEEANLSIKKADEYLQSCRPARRKHGRLLEEGASGFLAGKVPVGDGDSIEESWSSFNELIKSKENAGNTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVDGVFDDIKGVDEVELSEEQRRKYRKVKEEDDAKIMNCLQRRSKGKRVRGTKENLGKVSSCHEKPPLSENVILGAESDLPSSKKLKTDENKVSIEILKRTCEDDDELFQKRLKTVIIESDDEMQIDSKPALQNDRECSSARVEKVVDIIDLDLFPSQSPKLSDKSLPKAFKCTICAEMLNASDVHRHPVLDVTVCGPCRFLVIEKNRLEDPVSGGYCTWCAQSELLQSCSSCKLLFCTNCLSKNLGEECSSEAKATGWQCCCCVPSQLEILISECDKALCGVESSDSETSNPELSGPENNGPVRMKKRIRRIMDDTELGEETKRKIAMEKARQDHLKSMQEQSASKLRNENTSFRVPLEVSLEDAGDEHIVNLAREEDEEPVRIPSSMSSKLKPHQVEGIRFMWENVIQSVKKVKSGDKGLGCILAHNMGLGKTFQVITFLYTVMKCAQLGLRTALIVTPVNVLHNWRKEFSRWRPAELKPLRVFMLEDVARVKRPDLLTKWRVKGGVLLIGYSSFRNLSLGKHVKDKNVANEIAYALQCGPDILVCDEAHMIKNRRADITLALKQVTTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILFEQLKGFVQRMSMNVLKNDLPPKKVFVITVKLSQLQRKLYRRFLDIHGFSSSGYSEKSHSSFFAKYQTLAQVWNHPGLLQMAKEQRGNLRREDAVENFMMDESSSDDNTETYLPNGEKQKDRADQQSKKSNFVNEESNWWEELLDENTYMEADYSGKMILLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKHWKRGKDWYRLDGSTPSSDRQNLVEMFNDPENARVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHRTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGEEELMEQNENGSTTVIEKPSTSNTIETSEPVDSLMLNLLSEQTRWIAGYHEHEALLQENEEERLTKEEQDMALSEWEALRNAVRDPERKSNMTKVPTDPNLVRPVKAASRSRQPQQPKVNSNNQKKCNNLTHLLTLRSHGTKAGCTTTCDECGQEICWETLNRDGRSR >PAN47045 pep chromosome:PHallii_v3.1:9:15114412:15127969:-1 gene:PAHAL_9G230000 transcript:PAN47045 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MESVQPNGQHGDDDIIIIDSQSDENKAGAKTNIRDDLYKEHKEPVCRTVDNNVNDEETSITDDDSEADSYEFFLRESDNEQASASEIDTEVPLTEEEVEELVYEFLEVESKAAEAQESLEKESLDKIETEVRLELSERLQGDELESAVSTEMEQFQMQWENELDDLETRSSILLEQLDAAGVELPRLYKSIESQVPDVCETEAWKRRAHWAGSQVPEEANLSIKKADEYLQSCRPARRKHGRLLEEGASGFLAGKVPVGDGDSIEESWSSFNELIKSKENAGNTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVDGVFDDIKGVDEVELSEEQRRKYRKVKEEDDAKIMNCLQRRSKGKRVRGTKENLGKVSSCHEKPPLSENVILGAESDLPSSKKLKTDENKVSIEILKRTCEDDDELFQKRLKTVIIESDDEMQIDSKPALQNDRECSSARVEKVVDIIDLDLFPSQSPKLSDKSLPKAFKCTICAEMLNASDVHRHPVLDVTVCGPCRFLVIEKNRLEDPVSGGYCTWCAQSELLQSCSSCKLLFCTNCLSKNLGEECSSEAKATGWQCCCCVPSQLEILISECDKALCGVESSDSETSNPELSGPENNGPVSKRRMKKRIRRIMDDTELGEETKRKIAMEKARQDHLKSMQEQSASKLRNENTSFRVPLEVSLEDAGDEHIVNLAREEDEEPVRIPSSMSSKLKPHQVEGIRFMWENVIQSVKKVKSGDKGLGCILAHNMGLGKTFQVITFLYTVMKCAQLGLRTALIVTPVNVLHNWRKEFSRWRPAELKPLRVFMLEDVARVKRPDLLTKWRVKGGVLLIGYSSFRNLSLGKHVKDKNVANEIAYALQCGPDILVCDEAHMIKNRRADITLALKQVTTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILFEQLKGFVQRMSMNVLKNDLPPKKVFVITVKLSQLQRKLYRRFLDIHGFSSSGYSEKSHSSFFAKYQTLAQVWNHPGLLQMAKEQRGNLRREDAVENFMMDESSSDDNTETYLPNGEKQKDRADQQSKKSNFVNEESNWWEELLDENTYMEADYSGKMILLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKHWKRGKDWYRLDGSTPSSDRQNLVEMFNDPENARVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHRTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGEEELMEQNENGSTTVIEKPSTSNTIETSEPVDSLMLNLLSEQTRWIAGYHEHEALLQENEEERLTKEEQDMALSEWEALRNAVRDPERKSNMTKVPTDPNLVRPVKAASRSRQPQQPKVNSNNQKKCNNLTHLLTLRSHGTKAGCTTTCDECGQEICWETLNRDGRSR >PVH33261 pep chromosome:PHallii_v3.1:9:71598031:71598615:-1 gene:PAHAL_9G609700 transcript:PVH33261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSWRSPSRALEVTIHQVRYPMTKNVLQQVLSRFGKMEVYVGRSLERVKARVVSQSKYEAADAYGDLHGRNIHHGCCQLEIKWGLSQEPNVSMVSSNSNTAVSSSSVLFPSVEAAVSSSSKWFPSTTVAMSTIDELCSEKFSVEADASGEHAKATALVEVLWKWRSLLYLLSPTQIVTSMMRGCDHRQGGRS >PAN48355 pep chromosome:PHallii_v3.1:9:54701141:54703421:-1 gene:PAHAL_9G377200 transcript:PAN48355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSFQLILSNLIVMYWYDDTGFKINNVKYEGSLLIVENKIMTWAPKTFSEITAESLSIFKVAHPIPEILILGCGRHIHPVSPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAAVLPYGVTC >PAN48356 pep chromosome:PHallii_v3.1:9:54700769:54705538:-1 gene:PAHAL_9G377200 transcript:PAN48356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAARGQKALGALPQLVKSLRSEPVSGGARLRRLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENKIMTWAPKTFSEITAESLSIFKVAHPIPEILILGCGRHIHPVSPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAAVLPYGVTC >PAN44906 pep chromosome:PHallii_v3.1:9:4583224:4584944:-1 gene:PAHAL_9G079100 transcript:PAN44906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVAYIAILSLVLLFLLHRLLGLASRNGKNKSMGLPPSPPAIPFLGHLHLVKTPFHAALARSPRATVFSMRMGSRRAVVVSSPDAAKECFTEHDVAFANRPLFPSQKLVSFGGNSLSMASYGPYWRNLRRVAAVQLLSAHRVACMSPVISAEVRAMMKRRLFEVSLSVLMETIARTKTSRTEADADTDMSPEAQVFKQIVNDIVPHLGTANLWDYLPVLRWFDVFGVINKLVAAVSRRDVFLRRLIDAERRREAAAAATKSEPEVYTDTMIKSLCASMFGAGTETTSTTTEWAMALLLNHPEKLQKAQAEIYAAVGTSSLITPDDVPRLNYLQSIITETLRLYPAAPLLLPHESSADCTVGGYDVPRGTMLLVNVYAVFWPERFEDGKAEGRLLMPFGMGRLKCPGETFALRTVGLVLGSLLQCFDWDRVDGAMVDMTESGGLTIPMAVPLEAMCRPRAAMHDVLQELWYMV >PAN46003 pep chromosome:PHallii_v3.1:9:9682010:9686402:1 gene:PAHAL_9G156800 transcript:PAN46003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAVAPTPAAAAFQVAPPPSYPAAPAAAATAEGHDDDLYGRLKSLQRALEFIEIQEDCVKDELRNLRREELHAKEEVKRCRATPLEIGQFMEMVDADHGIVGPTSSGTYYVRVLSTIDREELKPSASVALDRHSHALIDVLPPEADSSISLLGSSEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYNQIGIDPPRGVLLFGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPVPDRRQKRLVFQVCTAKMNLSDEVDLEDFISRPDKISAADIAAICQEAGMHAVRKNRYVILQKDLEKGYRTNVKKPETDFDFYK >PAN51739 pep chromosome:PHallii_v3.1:9:72059803:72061536:1 gene:PAHAL_9G617000 transcript:PAN51739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 43 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47450) UniProtKB/Swiss-Prot;Acc:O22265] MEAVLRHPSLSRLKPPNPNPPHRTPSPSLASPSLLRLRARRLTAAAVFQDQKPKGPASKGGDDDEAYGEVDRIVSSRTVKNPVYAEDGPATTSVATEYLVEWKDGHEPSWIPAEAIAADVVAEYETPWWTAAKKADADALAALLADETLKRDPDAEDAQGRTAMHFAAGLGSEECLRALAAAGADLGHQECAGGGLTPLHIAVGYGRAAAVRALLELGADPEAPDGQGRTPLELVQQVLEKTPKGNPAAFQLRQGLEAAQKELEKAVYEWAEVEKVIDGRGEGKWREYLVEWSDGGEREWVKAPWVAEDLVSDFEAGLEYAVAEAVVDKRQAAAEEDGEEKWEYLVKWVDIEEATWEPAENVDAELVQEFEQRQSGSGGGDGSGTAP >PVH32659 pep chromosome:PHallii_v3.1:9:61368590:61370128:-1 gene:PAHAL_9G453500 transcript:PVH32659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFRLAVAVTCALVLVTACQGLQVGYYKKSCPRVEHIVRDEVKKFVYKNAGIGAGLIRMLFHDCFVQGCDGSVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVEKACPGTVSCADIVAFAARDAAYFLSRFYVKIDMPAGRLDGRVSNASEALDFLPPPVFNITDLVGSFAAKGLDTEDMVVLSGAHTIGRSHCSSFVPDRLAAPSDINASFANLLRRRCPANPTPGNDPTVNQDFVTPNALDNQYYKNVLAHKVLFTSDAALLTTPATAKMVLDNANIPGWWEGKFKKAFVKMSQIEVKTGMQGEVRKNCRVVN >PVH32102 pep chromosome:PHallii_v3.1:9:36633800:36634839:1 gene:PAHAL_9G313100 transcript:PVH32102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSHHSTSPSAWGVANTIGLHMQDKIRRCGGARPRRRRRPAMGPHVHGLLGAMARPANDSHAALSKGCGLLRRRHAPIGHAALLGIQPRRGVLHSRDGAGRPEAPRVRHRALLDPRHDRGRGSAAPHRRPPRRPALRRHALRWRAGPEPRRRLRGLHGWCLHQRRRHVPGAHGCFGLRRRAQPDLRARRTRVAPQRLRVPRLHPRLTLLLHGILEALGACRLTSDRSLRHGHSGVARRRRPPYARVVPVARGPGPGMQGPARAVQNVGDTGGGRAALARDKIRARQTSRRRR >PAN50966 pep chromosome:PHallii_v3.1:9:68595550:68598543:1 gene:PAHAL_9G561200 transcript:PAN50966 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked sulfhydryl oxidase ERV1 [Source:Projected from Arabidopsis thaliana (AT1G49880) UniProtKB/Swiss-Prot;Acc:Q8GXX0] MPLPGWNPLAPVLQTVAAFSRHLLIAPDAGPDEHRLRPLLSLSLSPPPPPSAPPEILKEKDAKPAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELMHIISRLYPCKECADHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVTARWGKLDCPERSCDLEGTNDIMPNR >PAN44445 pep chromosome:PHallii_v3.1:9:2404034:2408152:-1 gene:PAHAL_9G043500 transcript:PAN44445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRCAAAAAAVAVAVALALVAAVAGAAAAAGSPGAGTCARRDAPPFLDAVGSRCPFVRIEPSPPLEVSGEAVDTELNLRRRGASYSILFYAAWCPFSSKFRPIFQALSTMYPQIHHFAVEESSTTPSLFSRYGVRGVPAILLVNETTMVRYRGSKDLSSLVDFYKETTGLDPIAHLDIVQQEGRGNLRSIMPWDRSLHEMANDEPFLLLAVLFIILKVAAHFIPVAMSHLRAFLVVRVQNLNLGIRRGSNQLLDRALNVLDVRRLWSKLRLSNKATDLRKGASNARAWASSFTSVSLGEPSSSRQA >PAN50627 pep chromosome:PHallii_v3.1:9:66820720:66822084:-1 gene:PAHAL_9G533600 transcript:PAN50627 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP21 [Source:Projected from Arabidopsis thaliana (AT4G27670) UniProtKB/TrEMBL;Acc:A0A178UVU5] MAAAPFAIASRLSPAARLPVRAWRAARPAPGFPSSGRARSLAVASAAQENRDNTAVDVQVSQNGGNRQQGNAVQRRQRRAAPLDISPFGLVDPMSPMRTMRQMLDTMDRLFDDAVGFPMATRRSPSAGGGEVRLPWDIVEDEEEVKMRFDMPGLARDEVKVMVEDDTLVIRGEHKKEEGAEGAEAGGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVHVQ >PAN45239 pep chromosome:PHallii_v3.1:9:6042752:6048025:-1 gene:PAHAL_9G104300 transcript:PAN45239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRRLLSVPFRRGLSAAATADPSLASSAEHAYRLLRRHHSDPQRLAAALSASGLDPSSPHLLDAVLRRCGAASSLALHFFHWCSPSLPSPLPSSLALLAKSISRSSSSAPSPSLLAPLPSQLLGPSLLCPVLRRLPQPRLLPFALSLLSARPDHDHPALFLSLLESLSKAGHVATAEQLVEELQPRIPLSLRHYTALLYGWCRQGKLDEAKHVLARMKAADVALDVVAFNTLLAGFVADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCAPDALTYGTLVSAFCKTGKISQGYEFLDAMSREGLRVDAAVYHGFFTAHEKKEQLEECLELMERMRECRCPPDLKIYNVVIRLACKLGETKQAIALWNEMENGGLSPGVDTFAIMVSGLVGHGALIEACSYFKDMAGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWECIVSKGCELNVSAWTIWIHALYAKKHVKEACLYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRRRGQKKNRHRQSGHGQSSSNAELLDASDKEEFSG >PAN51668 pep chromosome:PHallii_v3.1:9:71681028:71686320:1 gene:PAHAL_9G611300 transcript:PAN51668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMYMDRRNGLHEDAIPEFSGRTEEDQDPTVAASDVQSDLGPINRKKPLPSDEKKSKSCQSCHKSPCSCSGDTNHSDLFPALPAKMMILEFLIRSLRHPTRTHNATDLDDLITDGVSQGSVNLGPSEKKVLDSLHALISAKTGPKSSSPFLAGSKMRKTRSKSHIITQSEILKLISPETWETSSPGTSPMKKGTAEVHIHEKMTPSCSHMTSMGSNQPVLSHCPSSLNAGLLQCIWKDGLPHFELSLDDPIAVYTANPLKVQGNERALDYVYMIHSGEQGRKDWMGHSSNVSRLVGKMKVSSSLVLNSDKSSLLETEFVLYGSPDDYLRQMHSSCSVPKGKGLVKRVTEIMRTGNVSSSPKHPWRFGKSCSHQFDDLTEILEGEMISARESGLANLDADDLPTNQELAAIVVREQRKKRQEEPVVGGWGLKFLEKAGLNHSEGTEDPDVQNRNGAAKYITTIVPRGYHGGAVFKSSGPSGLIERWRSGGCCDCGGWDLGCPIRVLNNDGCASLPEEESLDSRSVELSLKGAKETMLRLVNITEDLYILYFESSLSPLQYFSTGIAIVHSQAPQLCPKL >PAN49502 pep chromosome:PHallii_v3.1:9:61395608:61396894:1 gene:PAHAL_9G454000 transcript:PAN49502 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MDPPLHLVHIKILAADLLSLTVQQTSPPSFLRRGRTVARAELVGIVVSRDRREKFLRFLIDDGTGCVPCILWLNHQYLNANASSGPSDSDPTAEMALNMSEEVRLGTLVRVRGKIAIYRGAIQIAVRDVVLEKDPNAEVLHWLQCVCLAKECYDLPPAQRAT >PAN50840 pep chromosome:PHallii_v3.1:9:67980992:67983642:-1 gene:PAHAL_9G550500 transcript:PAN50840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILVFLLFSSFNLQTTGAIDTLTLGQFLQWNQTLVSKGGNFELGLFSPGKSKKYYIGIWFKKVSKQTVVWVANRERPILEPSASRFTLSDRGELLVQAAPSNTLLWSSNASTSSPGTTVATLQDDGNLVVRSKATASASAPATVTWQSFDHPTDTWLPGATLGYDRARGVHSFLTSWTDSENPAPGAFSMVIDPRGQAKFDLLAGGVHQYWTTGLWDGEVFENVPEMRSGYFVGVPYAPNASVNFFSYHDRKPMGIGNFVLHVNGQMRRRQWIEGGDWVLFCTEPHDACDVYGSCGPFGVCSNTTSPACECPAAFAPQSQGEWALGNTASGCARRAVLACTNDGFLKMPHAVQLPNGSAEAAGARSDKACAAACRRDCSCTAYLYDGTKCSVWNGELVNLRTLPTNDQGDQGVAGAAVLHLRVAASEVPPPAPAHSWRKSMVILSSSVSAVVLLLACLIIAVAVAVVLRKRRAKGKVTAVQGSLLLFDYQAVKAATRDFTEKLGSGSFGSVYKGALPDKTPVAVKKLDGLRQGEKQFRAEVVTLGMIHHINLVRLRGFCSEGNKKALVYDYMPNGSLDAYLFKNRNSSGSKVLSWSQRFGVAVGVARGLAYLHEKCRECIIHCDIKPENILLDEELGAKLADFGMAKLVGHEFSRVLTTMRGTMGYLAPEWLTGAPVTAKADVYSFGLLLFELVSGRRNNGSSEGDRSAVYFPVHAAVKLHAGDVVGLLDEKLAGDANVDELERVCKVACWCIQDEEGDRPTMGLVVQQLEGVADVGLSPIPSRLHMLATVNGCVGAVVEDEPCTNNGSKLATEG >PVH31236 pep chromosome:PHallii_v3.1:9:5252087:5254867:-1 gene:PAHAL_9G091800 transcript:PVH31236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASQSLLSGCNSCICMEGLTGEQRLAFQEAFSLFDKNGDGCITMEELAAVTRSLGLDPSDQELNDMMSEVDTDGNGIIDFQEFQSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPNELRTVMINLGEKMTDQEVEQMIREADTDGDGLVNYDEFVLMMKNAERKISG >PAN45085 pep chromosome:PHallii_v3.1:9:5252068:5254112:-1 gene:PAHAL_9G091800 transcript:PAN45085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTGEQRLAFQEAFSLFDKNGDGCITMEELAAVTRSLGLDPSDQELNDMMSEVDTDGNGIIDFQEFQSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPNELRTVMINLGEKMTDQEVEQMIREADTDGDGLVNYDEFVLMMKNAERKISG >PVH31235 pep chromosome:PHallii_v3.1:9:5252075:5253997:-1 gene:PAHAL_9G091800 transcript:PVH31235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELAAVTRSLGLDPSDQELNDMMSEVDTDGNGIIDFQEFQSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPNELRTVMINLGEKMTDQEVEQMIREADTDGDGLVNYDEFVLMMKNAERKISG >PVH32265 pep chromosome:PHallii_v3.1:9:52419155:52421680:1 gene:PAHAL_9G357500 transcript:PVH32265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase (EC 1.11.1.7) [Source: Projected from Oryza sativa (Os10g0109300)] MLTCELTTPIIGWCCSSSTELSAYKSGKRGRFTVLVSRSLDVQDDVDTVLLEMAASSSSRSAAAAGVLLLLLVAAAVCLHGATAQLCEDYYDDTCPDAYDIVKQVLMDAHQSDTRIFASLIRLHFHDCFVQGCDGSLLLDTVPGMQSEKESPPNNGSARGFPVVDAVKAALEDACPGVVSCADILAIAAEISVELSGGPSWGVLLGRLDGKTSDFNGSLDLPAPTDNLTVLQQKFSNLSLNDVDLVALSGGHTFGRVQCQFVTDRLYNFSGTNMPDPTLDSSYRAFLSQRCPRNGDGRVLNDLDPTTPDTFDKNYYTNIEVNRGFLSSDQELKSSPQAQGTTAPIVDQFAGSQDAFFASFAQSMINMGNIRPVTDPSQGEVRTNCRRVNGS >PVH33362 pep chromosome:PHallii_v3.1:9:73300727:73307676:-1 gene:PAHAL_9G634900 transcript:PVH33362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVHEVGAARLVAAFQVFDGVRVHGIELRGGSPDCSTHSLAVFGERRVKLFALGLRVSADGSEVGELRLELEQRLPGFDHWVLDARFLEVDGLLAIGLSDNSVALWDLSNRVLVTRVKSPEKCLLYSMRMWGDSVTELLVASGTILNEILIWKLAPQNLGSSLLHSYEGDNEIIHLGDNQYMTVHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARLWMLSFKSQSFVSQTASQDSVEIIPKLTLFGHSARIWDCYVSDSIVITAGEDCSCCIWGMDGKLIKMFKEHIGRGIWRCLFDPSSLLLVTAGFDSAIKVHHLCNSIFHDKGEDKLVSDGLNYDSEIFVVSSPSVLGRCGPLDSKSEYVRCLHFAEENVLYVATNNGYLHHAELSNIEDVRWTEIIQVTEKAPIICMDVMPMYSNLSLNREDVIALGDGRGNVTIVRLASGSIEPKMNLSFTWPAEKDRQLLGVYWCKSLECSHIFTADPRGVLKLWNIRDALFSSADVINAPQKCSLVAVFESSFGARIMCLDASPREEILIAGDKKGNITAFPFPKILVLYDSCVMQQKILPCDRFKGAHGISSVTSVHIKGSTSDHIEIHTTGGDGCICFFKYGRNVQKIEFFGMRQVKELGTIQSIYTNLAPESQLSTYAIGFTSADFIIWDLENETKMAQVSCGGWRRPYSYYLGTVPEYQNCFAFVKDHDIHIHRHWAPTQDRKLLPQVLQLQFHGREVHSLCFIDPASYSNLENSSDLLIATGCEDGTVRLTGLLTGSSGRWRSSKLLGEHIGGSAVRATCFIPRTYTITDKSHNYSLNDISDNIVVENKDKTFLLISVGSKQVLTTWILQPRIENGQVCLNGLDADSKQSSKNLESGDLTMSFQWLTTHMPPKIARNRLKAGHIKQSSEEGNSSIVQPNLGVMDHMENDWRYLSVTAFLLKHPVLRLTVCFVVVACSDRRNHT >PVH33360 pep chromosome:PHallii_v3.1:9:73301052:73306719:-1 gene:PAHAL_9G634900 transcript:PVH33360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMWGDSVTELLVASGTILNEILIWKLAPQNLGSSLLHSYEGDNEIIHLGDNQYMTVHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARLWMLSFKSQSFVSQTASQDSVEIIPKLTLFGHSARIWDCYVSDSIVITAGEDCSCCIWGMDGKLIKMFKEHIGRGIWRCLFDPSSLLLVTAGFDSAIKVHHLCNSIFHDKGEDKLVSDGLNYDSEIFVVSSPSVLGRCGPLDSKSEYVRCLHFAEENVLYVATNNGYLHHAELSNIEDVRWTEIIQVTEKAPIICMDVMPMYSNLSLNREDVIALGDGRGNVTIVRLASGSIEPKMNLSFTWPAEKDRQLLGVYWCKSLECSHIFTADPRGVLKLWNIRDALFSSADVINAPQKCSLVAVFESSFGARIMCLDASPREEILIAGDKKGNITAFPFPKILVLYDSCVMQQKILPCDRFKGAHGISSVTSVHIKGSTSDHIEIHTTGGDGCICFFKYGRNVQKIEFFGMRQVKELGTIQSIYTNLAPESQLSTYAIGFTSADFIIWDLENETKMAQVSCGGWRRPYSYYLGTVPEYQNCFAFVKDHDIHIHRHWAPTQDRKLLPQVLQLQFHGREVHSLCFIDPASYSNLENSSDLLIATGCEDGTVRLTGLLTGSSGRWRSSKLLGEHIGGSAVRATCFIPRTYTITDKSHNYSLNDISDNIVVENKDKTFLLISVGSKQVLTTWILQPRIENGQVCLNGLDADSKQSSKNLESGDLTMSFQWLTTHMPPKIARNRLKAGHIKQSSEEGNSSIVQPNLGVMDHMENDWRYLSVTAFLLKHPVLRLTVCFVVVACSDATVVLRALLLPSRLWFDVALLVPQASPVLVLRHIIINASHYKDDAGDRYLIVSGSTDGSITFWNLTETVHGFMQLISETQPHMSIDCQMRPRTGRGSQGGRRRWRSLANHSLKKRDGDISPPDGSNLSTPYAAENSSETSGVDNTQNVVHEGSDVSNSEIPSSTQSCEIPELRPIQLLSSVHQSGVNCLHISYSTPDKSYCIISGGDDQAVQCFIFTVGSLENRSTTTTRLNSHDNGILKILYQHKVPSAHSAAVKGIWTDGTWAFSTGLDQRVRCWKIGSSGKFTEYSHAIISVPEPETLDVFQDRAKTNYQIAVAGRGMQMVEFSQPEDD >PVH33361 pep chromosome:PHallii_v3.1:9:73300727:73306928:-1 gene:PAHAL_9G634900 transcript:PVH33361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMWGDSVTELLVASGTILNEILIWKLAPQNLGSSLLHSYEGDNEIIHLGDNQYMTVHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARLWMLSFKSQSFVSQTASQDSVEIIPKLTLFGHSARIWDCYVSDSIVITAGEDCSCCIWGMDGKLIKMFKEHIGRGIWRCLFDPSSLLLVTAGFDSAIKVHHLCNSIFHDKGEDKLVSDGLNYDSEIFVVSSPSVLGRCGPLDSKSEYVRCLHFAEENVLYVATNNGYLHHAELSNIEDVRWTEIIQVTEKAPIICMDVMPMYSNLSLNREDVIALGDGRGNVTIVRLASGSIEPKMNLSFTWPAEKDRQLLGVYWCKSLECSHIFTADPRGVLKLWNIRDALFSSADVINAPQKCSLVAVFESSFGARIMCLDASPREEILIAGDKKGNITAFPFPKILVLYDSCVMQQKILPCDRFKGAHGISSVTSVHIKGSTSDHIEIHTTGGDGCICFFKYGRNVQKIEFFGMRQVKELGTIQSIYTNLAPESQLSTYAIGFTSADFIIWDLENETKMAQVSCGGWRRPYSYYLGTVPEYQNCFAFVKDHDIHIHRHWAPTQDRKLLPQVLQLQFHGREVHSLCFIDPASYSNLENSSDLLIATGCEDGTVRLTGLLTGSSGRWRSSKLLGEHIGGSAVRATCFIPRTYTITDKSHNYSLNDISDNIVVENKDKTFLLISVGSKQVLTTWILQPRIENGQVCLNGLDADSKQSSKNLESGDLTMSFQWLTTHMPPKIARNRLKAGHIKQSSEEGNSSIVQPNLGVMDHMENDWRYLSVTAFLLKHPVLRLTVCFVVVACSDATVVLRALLLPSRLWFDVALLVPQASPVLVLRHIIINASHYKDDAGDRYLIDRKREPRRSSPVEITGKPFFEEERWRYITP >PAN49962 pep chromosome:PHallii_v3.1:9:63675540:63676437:1 gene:PAHAL_9G488000 transcript:PAN49962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSLVSMLRWPPDLGLPSLAALLPSPPAHLRLRVQEWWQWSSPEQLGAAAARRWPELVRDVPLLVDAALWGVVTAVESVALVSMMCCFFLCCGCTL >PAN47032 pep chromosome:PHallii_v3.1:9:15066383:15067945:-1 gene:PAHAL_9G229400 transcript:PAN47032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTAVAANLRNNSLDAAAVKPDAGSGAVIRSSRICHLVILSTAFWALVLYSCMHGSDGGVVPVLSFKPTAFSLPKLPVISIPIGRRPPSEQTPASVAQVNRSITAPADRCAGRYIYMYDLPPRFNDDLVRGCRALSPWMDMCPYLANCGMGPALGDEGGVFQDSGWYATDQFTLEIISRCRMERYECLTGDPSLAAAVYVPFYAAMDAGRYFFNTTSTRDALALDLAEWLVRRPEWRAMGGRDHFMVAGRTSWELERKADVDEEWGTKLLTLPAIRNMTALILETSPWDRDNFRGEVVQSWSSLAIPYPTYFHPETAADVVAWQEKVRAAERRWLFSFAGAPRPGSKKTIRAEIIRQCGASSRCNLFDCGSGASCYWPGGATRVFESSDFCLQPRGDTLTRRSTFDAILAGCIPVFFHPGSAYTQYMLHLPGDPNSYSVLIQHTDVTGRNASIEETLSRIAPEAVRAMREEVIRLIPRVVYADPRSTRVDFKDAFGIALDAVIDRVAERRRGAAIDGEH >PAN47752 pep chromosome:PHallii_v3.1:9:48073128:48075870:1 gene:PAHAL_9G344900 transcript:PAN47752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMWLLLAALLLPLSFMLLSSHAKNGGKASRRLPPGPAVVPVLGNLLWVRHHGIDIVRAMRRLHARHGPLLTLRMGSRLEVTVSDRRLAHAALVERGAALADRPGFASRDLLGLNAATISTSSYGPLWRLFRRNFVAEVAHPARLRLFAPARAAVLAELTDKLRLREEDGGGDQGTIVETFQYAMFRLLVAMCFGEQLGERAVRDIAAAQRDLLLYSSTKVRVFAFLPAVTTRLFRGRLQAMFAMRQRLKDMYMPLIDARRERKKLGLGAVPPEPQDETTTLPHSYVDTLLDIRLADDGGRALTDDEMIALCSEFLNGGTDTTSTALQWIMAELVKNPAIQEKLHDEIKSTMASTGSDHISEEDVLKMPYLKAVVLEGLRRHPPGHMVLPHAPAEDMELGGYVIPKGTTVNFLVADMGMDERAWERPTEFAPERFMPGGDGEGVDITGTREIRMMPFGAGRRICPGLNVATLHLEYFVANLVRVFEWRSAEGEEVDVDGEKAEFTIVMAKPLQARIVPRGAA >PAN44904 pep chromosome:PHallii_v3.1:9:4572142:4577124:1 gene:PAHAL_9G078900 transcript:PAN44904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDEGRVVVDLRSAAESAARAGDDAAHATPIHEIESLCMRCGKNGTTRVLLTLIPNFREVVLMSFECRHCGERNNEIQFAGQLQPKGCCYRLKVPSGQSEILNRQVVKSDSATIKIPELDFEIPPEAQRGTCSTVEGIIMRAVDELQALQDERKKVDPQTAEGIDQFCLKLRSLGSGEAAFTFILDDPAGNSYIENPNAPSSDPLLSVRFYERTREQQAALGFLAEPEQPGEGVLPASAVGSNSGGLQSEPHGSVGAAAGRRAIAQGNPDEIAAALCRYSAPEEVDVLPSTCGACGKECDTRFFATKIPYFREVIVMATTCDLCGYRNSELKAGGEIPAKGKKITLRVQNAKDLTRDVIKSDSAAVKVPELELELASGTLGGIVTTVEGLIVKMCEALERIHGFQFGDSTLEWEKKKWDDFKDRLSKLLSLQEPWTLILDDGLAASFVAPATDSLEDDDQLTIEEYQRSWEQNEELGLNDMDTSSADAAYNTSST >PAN45473 pep chromosome:PHallii_v3.1:9:7280446:7284857:-1 gene:PAHAL_9G122000 transcript:PAN45473 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MESRDSFLRPPTPSHAAGASTGKGITVPTGGDTAASNLTSSSGSSSSLTLSPPHFLRQIHAAVKRQRPLGSMQSNVPRATRVLVSTAERTNRAGPSPSEAKNREGKVMQTQRGLLGPSRLQNATPDQQKNDSSAKLGSSTPDELMVTTPSMLKNITDTCGQSVGQNYQQKTEANLLVDMEKSSLETSSQIASRNAFVAESFKKEQFYSVGDPQLTSQRITVDSRMDSMLSYLHSVSLTAGESIPANQGAHYHHRNHQELEVADAAVDMDIRYDAPNLCQRGIEEARNQNHGDPMTRCSAIGSSVTAVSLHSGPTVQSSQAPQISRYASPVQMPESAAESSKGVLDHGPQKEHAGVTGVGDWNPLDQQVCLGDGATDKAVSSIGSLRSEGLPANDQPTSARDGGAPRPNKGEKERHKRNYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCIIYALKKIKLKGRDYPTAYGFCQEIGYLNKLKGKSNIIQLIDYEVTDKSLLLEGSMSPRDGRIRDDHYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIQNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFANYKTFWAKYKEVTDRNHKIMYEPVDNPWLIDLMQRCLAWDRNERWRIPELLQHPFLNPPVPRDLPPVDNDPCRLLMERIRVHWDNPAVQELRSLIDKLDEDQC >PVH31348 pep chromosome:PHallii_v3.1:9:7280446:7284857:-1 gene:PAHAL_9G122000 transcript:PVH31348 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MESRDSFLRPPTPSHAAGASTGKGITVPTGGDTAASNLTSSSGSSSSLTLSPPHFLRQIHAAVKRQRPLGSMQSNVPRATRVLVSTAERTNRAGPSPSEAKNREGKVMQTQRGLLGPSRLQNATPDQQKNDSSAKLGSSTPDELMVTTPSMLKNITDTCGQSVGQNYQQKTEANLLVDMEKSSLETSSQIASRNAFVAESFKKEQFYSVGDPQLTSQTGESIPANQGAHYHHRNHQELEVADAAVDMDIRYDAPNLCQRGIEEARNQNHGDPMTRCSAIGSSVTAVSLHSGPTVQSSQAPQISRYASPVQMPESAAESSKGVLDHGPQKEHAGVTGVGDWNPLDQQVCLGDGATDKAVSSIGSLRSEGLPANDQPTSARDGGAPRPNKGEKERHKRNYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCIIYALKKIKLKGRDYPTAYGFCQEIGYLNKLKGKSNIIQLIDYEVTDKSLLLEGSMSPRDGRIRDDHYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIQNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFANYKTFWAKYKEVTDRNHKIMYEPVDNPWLIDLMQRCLAWDRNERWRIPELLQHPFLNPPVPRDLPPVDNDPCRLLMERIRVHWDNPAVQELRSLIDKLDEDQC >PAN45470 pep chromosome:PHallii_v3.1:9:7280187:7285129:-1 gene:PAHAL_9G122000 transcript:PAN45470 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MESRDSFLRPPTPSHAAGASTGKGITVPTGGDTAASNLTSSSGSSSSLTLSPPHFLRQIHAAVKRQRPLGSMQSNVPRATRVLVSTAERTNRAGPSPSEAKNREGKVMQTQRGLLGPSRLQNATPDQQKNDSSAKLGSSTPDELMVTTPSMLKNITDTCGQSVGQNYQQKTEANLLVDMEKSSLETSSQIASRNAFVAESFKKEQFYSVGDPQLTSQRESIPANQGAHYHHRNHQELEVADAAVDMDIRYDAPNLCQRGIEEARNQNHGDPMTRCSAIGSSVTAVSLHSGPTVQSSQAPQISRYASPVQMPESAAESSKGVLDHGPQKEHAGVTGVGDWNPLDQQVCLGDGATDKAVSSIGSLRSEGLPANDQPTSARDGGAPRPNKGEKERHKRNYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCIIYALKKIKLKGRDYPTAYGFCQEIGYLNKLKGKSNIIQLIDYEVTDKSLLLEGSMSPRDGRIRDDHYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIQNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFANYKTFWAKYKEVTDRNHKIMYEPVDNPWLIDLMQRCLAWDRNERWRIPELLQHPFLNPPVPRDLPPVDNDPCRLLMERIRVHWDNPAVQELRSLIDKLDEDQC >PVH31347 pep chromosome:PHallii_v3.1:9:7280186:7285129:-1 gene:PAHAL_9G122000 transcript:PVH31347 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MESRDSFLRPPTPSHAAGASTGKGITVPTGGDTAASNLTSSSGSSSSLTLSPPHFLRQIHAAVKRQRPLGSMQSNVPRATRVLVSTAERTNRAGPSPSEAKNREGKVMQTQRGLLGPSRLQNATPDQQKNDSSAKLGSSTPDELMVTTPSMLKNITDTCGQSVGQNYQQKTEANLLVDMEKSSLETSSQIASRNAFVAESFKKEQFYSVGDPQLTSQSDNVGITVDSRMDSMLSYLHSVSLTAGESIPANQGAHYHHRNHQELEVADAAVDMDIRYDAPNLCQRGIEEARNQNHGDPMTRCSAIGSSVTAVSLHSGPTVQSSQAPQISRYASPVQMPESAAESSKGVLDHGPQKEHAGVTGVGDWNPLDQQVCLGDGATDKAVSSIGSLRSEGLPANDQPTSARDGGAPRPNKGEKERHKRNYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCIIYALKKIKLKGRDYPTAYGFCQEIGYLNKLKGKSNIIQLIDYEVPCHPGMEELGMITTFTWSWSMVKLI >PAN45471 pep chromosome:PHallii_v3.1:9:7280446:7284857:-1 gene:PAHAL_9G122000 transcript:PAN45471 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MESRDSFLRPPTPSHAAGASTGKGITVPTGGDTAASNLTSSSGSSSSLTLSPPHFLRQIHAAVKRQRPLGSMQSNVPRATRVLVSTAERTNRAGPSPSEAKNREGKVMQTQRGLLGPSRLQNATPDQQKNDSSAKLGSSTPDELMVTTPSMLKNITDTCGQSVGQNYQQKTEANLLVDMEKSSLETSSQIASRNAFVAESFKKEQFYSVGDPQLTSQSDNVGITVDSRMDSMLSYLHSVSLTAGESIPANQGAHYHHRNHQELEVADAAVDMDIRYDAPNLCQRGIEEARNQNHGDPMTRCSAIGSSVTAVSLHSGPTVQSSQAPQISRYASPVQMPESAAESSKGVLDHGPQKEHAGVTGVGDWNPLDQQVCLGDGATDKAVSSIGSLRSEGLPANDQPTSARDGGAPRPNKGEKERHKRNYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCIIYALKKIKLKGRDYPTAYGFCQEIGYLNKLKGKSNIIQLIDYEVTDKSLLLEGSMSPRDGRIRDDHYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIQNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFANYKTFWAKYKEVTDRNHKIMYEPVDNPWLIDLMQRCLAWDRNERWRIPELLQHPFLNPPVPRDLPPVDNDPCRLLMERIRVHWDNPAVQELRSLIDKLDEDQC >PAN50193 pep chromosome:PHallii_v3.1:9:64920309:64922621:-1 gene:PAHAL_9G504600 transcript:PAN50193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQKVDPVEPSAKVFKQASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPKPNEK >PAN50194 pep chromosome:PHallii_v3.1:9:64920309:64922693:-1 gene:PAHAL_9G504600 transcript:PAN50194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQKVDPVEPSAKVFKQASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPKPNEK >PAN50192 pep chromosome:PHallii_v3.1:9:64920309:64922086:-1 gene:PAHAL_9G504600 transcript:PAN50192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQKVDPVEPSAKVFKQASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPKPNEK >PAN44998 pep chromosome:PHallii_v3.1:9:4981583:4989329:-1 gene:PAHAL_9G086800 transcript:PAN44998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12E [Source:Projected from Arabidopsis thaliana (AT3G44050) UniProtKB/Swiss-Prot;Acc:F4J1U4] MPSDGVDDELGGGSAAPSPARFELQEDPAFWKENNVQVVIRIRPLSGSEISLQGRKRCVRQDSSQSLTWTGHPESRFTFDLVADEHVTQENMFKVAGVPMVENCIAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNSANCGMTPRVFEHLFARIQKEKEIRRDEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDAKKGVHVENLTEHEISNAREALQQLIEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSYCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQNLKKEVSRLQGLVGSNKTEGIGSHGFVCESPSTFKWDQGHGTFSPLIFDKRATQTNDYDAALVAAFRREQEKEAQLKATIAAKQIAEQLAAQKTEEVRSFKMRLKFREERIKRLEQVASGKLSAEAHLLQEKENLVKELEVLRSQLDRNPEITKFAMENLQLKEELRRLQSFVDESEREMMHEQIIVLQDKLLEALDWKLMHEKDPVNKGLSLFGESAGDEENEFLRLQAIQNEREIESLRKKLTFCVESKENLERRVDELTTELELTKKHDGKNNECKAVELQEQGEAGLHNLSDAQMELKTLVDAIASASQREAEAHETAIGLAKENEELRMQLKVLIEDNKRLVELYEHAVVNVEANQDENCLTVPGNEHASDQQGSHPNAPPVGTSDLHVHNSSNMEEVSKIADEKCNNEDNLSRNTSSELRLQLEEMHEENDRLMGLYEKAMQERDEFKRKILEQSNSKTVEEIRSDGKDVEMSEAADPKNLQVKHVHDSTILALKELLQLVRVKLEFVQDKVMSAQDAVKYFELLERVSSKAEELSASIQLHRLDMQHSQEGINALKSALLESQGKKDTFEGKYFLPAESCWNLDLKTKAIISSKFDSSFALMNQKKEQLNLLQTRKNQLSTMRRRSHESETELQSKIDGLKIKLRSYETQRKEEEKVLFAIDNLDSSTSSTHKPKNFGKATDLLKSEEERIKLSCELQNSREQLRMVQKKIKSMPKCDDIDCEIALLETEIEDCCHSMMEADIEKFVRDHMLTEIWESGAKDMEALLIDYQDCVFHVRLKEEEIMMCEESLHHQISSLDELHSKLNQALRELGALLQDRRSLTSCSSDESMLPIGEKVSMDLQAVRTYVTEAKQLLLLDSQTKL >PAN48493 pep chromosome:PHallii_v3.1:9:55614113:55615029:-1 gene:PAHAL_9G385100 transcript:PAN48493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKVIRVWDVLDAGETACRVYERVLARPTSIEVANNMICLLLWLETIAGVHILEDVPAMEGSGITLSRLVIEADALYNYLLHGQEALPEPLVGIPAIKALCNSGQPVDFRLFKFHRDLVARGVTVIRDTIAEVIFSDHLHMMLRRFEDEVHSSTSVTTSMPTPELIAPFVAITRTPPEDSRTAFVAFWEGHPLSSQDIINYFEQLLGFGRCIERVETEQPCAGQTPKHAVIVFSSAELREQVMFNETAVYYRVNGYDMWVQAYKPPL >PVH33385 pep chromosome:PHallii_v3.1:9:73722167:73724896:1 gene:PAHAL_9G640600 transcript:PVH33385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRGVFPYPSLWQEGGIRREMAHSVGSVRRRVVPPAGFHGRLPEDLLDAHQFHLEEACTGDSFQTHTSYDTDTGYFTDLLVNDVEESQHPTPPTGPTIHHAPASAKSSQGRSKNFRDEEAILPVLAWLNVGMDPILGVDQSQGTYWRRIHENFHGNKKFESNRTEGSLMNHWSGIQHDVNVFCGCVSKIETRNRSGWSVDDKTANACTLFKAEDKKQRKFAYLHC >PAN52082 pep chromosome:PHallii_v3.1:9:73722167:73724896:1 gene:PAHAL_9G640600 transcript:PAN52082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRGVFPYPSLWQEGGIRREMAHSVGSVRRRVVPPAGFHGRLPEDLLDAHQFHLEEACTGDSFQTHTSYDTGYFTDLLVNDVEESQHPTPPTGPTIHHAPASAKSSQGRSKNFRDEEAILPVLAWLNVGMDPILGVDQSQGTYWRRIHENFHGNKKFESNRTEGSLMNHWSGIQHDVNVFCGCVSKIETRNRSGWSVDDKTANACTLFKAEDKKQRKFAYLHC >PVH30988 pep chromosome:PHallii_v3.1:9:1595335:1596240:1 gene:PAHAL_9G028600 transcript:PVH30988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRRGERWREGPSPSRRSADVAVHILFVFPHLLVAAWSSLRIFCAETVTRCPYKQRGRLCPGGAEDPAGVWRCTTADGGRQGGQLGMGP >PVH31116 pep chromosome:PHallii_v3.1:9:3499298:3500689:1 gene:PAHAL_9G061400 transcript:PVH31116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MNYLQVDDLLEASKSISTLPSMVELDIQKDTVRTVGSHTRNLLRVKRGIDMVKVLFEKMLVTEGNSLRDAASVAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDEESAKAQLQNFVRSSAPVIRYVEDLFTSRNLGIDW >PAN44682 pep chromosome:PHallii_v3.1:9:3497140:3500689:1 gene:PAHAL_9G061400 transcript:PAN44682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MGSSEADKPLRRIAASFEELAAVAKQQPPGAMDAAAFSCACSNVSVLFGCLGIAFKFAEKDYVAKVDDLLEASKSISTLPSMVELDIQKDTVRTVGSHTRNLLRVKRGIDMVKVLFEKMLVTEGNSLRDAASVAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDEESAKAQLQNFVRSSAPVIRYVEDLFTSRNLGIDW >PAN51124 pep chromosome:PHallii_v3.1:9:69269659:69272208:1 gene:PAHAL_9G571700 transcript:PAN51124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAWVRNKPGMASVKEMPLVQDGPPPGGFAPVRYARRIPTSGPSATAIFLTAFGAFAYGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGESVYNSGKWMPPATGELRPEVW >PAN50751 pep chromosome:PHallii_v3.1:9:64690561:64692333:-1 gene:PAHAL_9G502200 transcript:PAN50751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEWLRGKCIGRGAFGAVHLAVDTATGRAFAVKSVDAATAPAAAAALACLEGEIRILRRLRSPYVVAYLGDGGAGAARDLRMELVPGGTAAEAAARRGGLGERGARGVLRRVAAALRYLHGEAGVVHGDVKGRNVLLGCGDASGYGAKLADFGAARLVSEAAPRGPRGTPAWMAPEVARGGAAMPASDVWSLGCTALELLTGARPWSELGGACEVGELLLLVGFGGKRPAIPACLSDACRDFLDKCLRRDAGQRWTCEQLLRHPFLSADAQDGATEPEPFPSPSPSPRAVLDWPPSDSDSEALDDAEPESEHEVMARAKGRVAELAASNWPRASWDWEETGWGAGPTRAADTWAPPPSSEAPRTAPSSASEGSAGNGNAGRPAAPSSAAAATGRDHDVVLVGSGGGGLRCGRGRPGCRSHRCRHKCGFGVVGFGWPPLAVVPVLVPCTVVPLADSIRSKFLSNRAANEFRVPFRTRSSLILTAIAADRGIGRPRIS >PAN49080 pep chromosome:PHallii_v3.1:9:59109113:59112490:1 gene:PAHAL_9G424700 transcript:PAN49080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKSVGMLHSIQGINIGLAPPFVVMGVTLICIWLLHLLLKQINHRRRLQAVLPPGSMGLPLLGETLEFFSRSPALDLLPFFKRRMERYGPIFKTNLVGKDLIVSLDPDVNHYVLQQEEKAFHIWFPESFMRLLGEENIAKCYGSLHKNTRNMIRRVFGPENLRLVLLHDMQGAVERTLGSWHDRQSVELKPALSSMIFGIAAKWMIGHEASVLSGDLWKNFDAFNQGLLSFPLYIPGTAFYKCMQGRNNVMKTLKQVLDERREKAETPERMDFIDVIVSELNKQNPALSENLALNVLFLMIFASFETTSSGLTAALKFLSDNPKALQELEEEHQQIRERRADPDTGVTWEEYKSMKFTSHVINESLRLANVAPVLFRKATQDVHIKGYTIPEGWIVMICPPAVHLNPTTYEDPSVFNPWRWKDLSEPVGGSKDFIAFGSGLRLCVGVDFARLQMAILLHFLVTKYRLKVVSGGDMVFGPGLGFPNGFQVQLERKK >PAN44169 pep chromosome:PHallii_v3.1:9:1256848:1260757:1 gene:PAHAL_9G021900 transcript:PAN44169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRWNNYYCLEVFVVGHDWGAIIAWYLCLFRPDRVTALVNTSVAFMRHIFIRAAAVKPTDFFNRAYGPTYYICRFQEPGVAEEEFSPANARYIVRQILCNRFTSEAAGGAKPAAADEEPLPPWLTEADVDQFASEFERTGFTGGINYYRNMDRNWELAAPWADAKVAVPTRFVVGDGDLTYHYPGIQDYIHKGGFKADVPLLEDVVVIPGAGHFIQQEKADEVTEHIYDFISKF >PAN44170 pep chromosome:PHallii_v3.1:9:1256848:1260757:1 gene:PAHAL_9G021900 transcript:PAN44170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAAAIRHRTVEANGIAMHVAESGAEGSPRAAVVFLHGFPELWYSWRHQMGHLAARGYRCVAPDLRGYGGTDAPPDVASYSAFHVVGDVVALLDALGLGKVFVVGHDWGAIIAWYLCLFRPDRVTALVNTSVAFMRHIFIRAAAVKPTDFFNRAYGPTYYICRFQEPGVAEEEFSPANARYIVRQILCNRFTSEAAGGAKPAAADEEPLPPWLTEADVDQFASEFERTGFTGGINYYRNMDRNWELAAPWADAKVAVPTRFVVGDGDLTYHYPGIQDYIHKGGFKADVPLLEDVVVIPGAGHFIQQEKADEVTEHIYDFISKF >PAN44171 pep chromosome:PHallii_v3.1:9:1258249:1260486:1 gene:PAHAL_9G021900 transcript:PAN44171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFVVGHDWGAIIAWYLCLFRPDRVTALVNTSVAFMRHIFIRAAAVKPTDFFNRAYGPTYYICRFQEPGVAEEEFSPANARYIVRQILCNRFTSEAAGGAKPAAADEEPLPPWLTEADVDQFASEFERTGFTGGINYYRNMDRNWELAAPWADAKVAVPTRFVVGDGDLTYHYPGIQDYIHKGGFKADVPLLEDVVVIPGAGHFIQQEKADEVTEHIYDFISKF >PAN45385 pep chromosome:PHallii_v3.1:9:6731818:6735424:-1 gene:PAHAL_9G114800 transcript:PAN45385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPPQHQQAWWPGRMGAAFGPSFLCLVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVSLAYFPWSIKPIYGILSDCIPIKQRKRIPYLIISSCLSLLPWLILGLSQTLRTSANMLTALLIVQNLGSAMADVVIDAMVAEAVRLAGPEFSGDLQSLSWSSMAVGGILGNLLGGYALSNLPIHAIYVVFSALPFFQLVSCLFVEDAPKGFQSAIDEHNYVDNQSAVTAFSGKGSSQALGYEGTRRRKGTRKINKRRPLSKWTEANEKHNASVNSSPSLSLRSAFFSLCTAFKQPTILRPMAWFFFSNVTIPNISTVMFYYQTEELHLEASFIGTARVIGWFSLILGTYTYNRYFKRKKLRNILVFAHVGLAITILLDIALVSRLHVPYGIGDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFLGAALASALNISTAQFDNLALGLGVQLIGTLLPIGFLFLIPKEVTGLTS >PAN46977 pep chromosome:PHallii_v3.1:9:14978261:14981032:1 gene:PAHAL_9G228700 transcript:PAN46977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >PAN45679 pep chromosome:PHallii_v3.1:9:8134484:8138186:1 gene:PAHAL_9G134400 transcript:PAN45679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGDRATGGPGADAAGTMRFARHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAVKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAGMNLAGYLMIYLAVSGRTARPPVWLMCVYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGTDNDGAELVLLMAWLPAAISLLFIPTIRIMPRPNAAAGRASGERKAFFLFLYASIVLAVYLLVMNVVELEVIHFPKPAYYVTATVLLLLIFFPIVIVVKQELKTYLQPPAPETATSSIVAITVDDEKTHEAPASDDQAAADNSPSCFQDVFRPPARGQDYTILQALFSVDMLVLFVATICGIGGTLTAVDNMGQIGQSLGYPQRSITTFVSLVSIWNYAGRVVSGFASEYVLARYKVPRPLALTVVLLLACVGHALIAFGVSNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRIAGRMYDREALRQGGRKGRDLTCVGVRCFRESFLIITGVTLLGALVSLVLAWRTREFYRGDLYGRFREVGMVGAAAAGGGGEDKKVDEVVTRSGGGVSDTDARDTSSSSESSNGNSKV >PAN52038 pep chromosome:PHallii_v3.1:9:73519319:73522246:-1 gene:PAHAL_9G638000 transcript:PAN52038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPKQRRSPAAPTLASRLRKHSTWLLLLLLLWFALSLYLFISPTPPAAAPLRRSAFLRSKARALSATTAPPVRIYVYDLPDRFNRDWVAADARCARHLFAAEVAVHEALLAYAGRAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLAEAVDLVRTQMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGHHVCQEVAHVVIPPHVPLEVSHELPEPEKVRRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQHYGRNRKFYLKRKRFDNYQSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLRWPEISLQVAEKDIASLETVLDHVVATNLTRIQKNLWDPMKRKALVFNRPMEVGDATWQVLRELEILLDQSQRRYVRSWR >PAN52039 pep chromosome:PHallii_v3.1:9:73519319:73523036:-1 gene:PAHAL_9G638000 transcript:PAN52039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPKQRRSPAAPTLASRLRKHSTWLLLLLLLWFALSLYLFISPTPPAAAPLRRSAFLRSKARALSATTAPPVRIYVYDLPDRFNRDWVAADARCARHLFAAEVAVHEALLAYAGRAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLAEAVDLVRTQMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGHHVCQEVAHVVIPPHVPLEVSHELPEPEKVRRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQHYGRNRKFYLKRKRFDNYQSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLRWPEISLQVAEKDIASLETVLDHVVATNLTRIQKNLWDPMKRKALVFNRPMEVGDATWQVLRELEILLDQSQRRYVRSWR >PAN47579 pep chromosome:PHallii_v3.1:9:18363049:18368020:-1 gene:PAHAL_9G256100 transcript:PAN47579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPPMDYAGSVSSGQKHLGCFRVHTSSRLQCVDYDKKSRTKQLVVRAIFVDRPQLDFSNPNWKEQFQEDFGKRFSLPHLRDVIDVEPRPTTFSLKSRTPLENVNGSMQESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEHHLSEVPLSRHVVQNINLAGGSFLGVSRGGANISDIVDSIQARRLDMLFVLGGNGTHAGANAIHEECRKRKLQVSIVCVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFVLDGPNGVLRHLEHLIETKGFALVCVAEGAGQEYLQKSNATDASGNMVLGDIGVHLQQKIKYHFKDIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIPEVIKSTRFVDPNSRMWHRCLTSTGQPDFH >PVH33269 pep chromosome:PHallii_v3.1:9:71719271:71727384:1 gene:PAHAL_9G612200 transcript:PVH33269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIHGESDSQRITSEGTAARTRPLSIQDIMLRREKKAASEAKKTKEELQENDKGTSNHLEQGRGYKSRKDSKDMSVEGSKKKTRDASREESKRENPRVPREDSKKEDTRYTPKVVSKKDNSKDRPKGGSKMDDLKDTPKFSEKDDLRDAPRKGSKKERPSTRDDYHSVGNDKDIGNSQKITKSMSSRADGSKDRNLGEIRARNGDATRSEYLKGSGKRGNDEIIVNDRIKDRSEKPRNETKRKGRSFDNEKSSEVDRPMLMKPDSARFQDSKHSDRNDGRNEYAKPYHGDPRLKRRRSRSRDHDRERFGRSISPPAREQRHNYRGHDFGNYPPYYSMDKSRRKYAEVDKQRSSGSGGYSGGSHQRYERRLGGYSPRKRKTAPQAEQATAKTPPPVIQSPEKKSATWDQPPVKANQFKFPTTLQSTVGQMAPSTPSTTVETILAGNSLAADSVQLTQATRPLRRLHIENLPDSATEDRLIDCLNDLLSTGVKYTQRSKPCLSCTINKEKRQAFAEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVAPKKPAEEIGLISDDVADSPHKIFIAGIAGVISSEMLMEIASAFGPLAAYRFLFNDELGGPCAFLEYADRSITPKACAGLNGMKLGGCVLTAVHAFPNPPVELNCQAANETSPFYGIPDNAKSLLEEPTKVLQLKNVFDLEEYMQLPKSELEDTLEDVRIECARFGAVKSVNVVDYAAASDNATEDNIVLLEDRPVKIECTGFGDTGNIAKSGTECAAPNQSIDILNHSDAKETKDVDLIPESQDQKDKHVQLNAALCENEAPVADEHTDIDDTQNKADLPTSQHSETDEAAADENKHTKAAEATTTAMDDDAVERRHQEPRTLEICRPAEPGEEVEEPARDCEQQGAVDVTEDREEKVPAVETGDTVFVFEPGSVLVEFMRKEAACMAAHSLHGRRFGNRTVYTGYAPYDLYLKKYPRSF >PAN51677 pep chromosome:PHallii_v3.1:9:71719269:71727384:1 gene:PAHAL_9G612200 transcript:PAN51677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIHGESDSQRITSEGTAARTRPLSIQDIMLRREKKAASEAKKTKEELQENDKGTSNHLEQGRGYKSRKDSKDMSVEGSKKKTRDASREESKRENPRVPREDSKKEDTRYTPKVVSKKDNSKDRPKGGSKMDDLKDTPKFSEKDDLRDAPRKGSKKERPSTRDDYHSVGNDKDIGNSQKITKSMSSRADGSKDRNLGEIRARNGDATRSEYLKGSGKRGNDEIIVNDRIKDRSEKPRNETKRKGRSFDNEKSSEVDRPMLMKPDSARFQDSKHSDRNDGRNEYAKPYHGDPRLKRRRSRSRDHDRERFGRSISPPAREQRHNYRGHDFGNYPPYYSMDKSRRKYAEVDKQRSSGSGGYSGGSHQRYERRLGGYSPRKRKTAPQAEQATAKTPPPVIQSPEKKSATWDQPPVKANQFKFPTTLQSTVGQMAPSTPSTTVETILAGNSLAADSVQLTQATRPLRRLHIENLPDSATEDRLIDCLNDLLSTGVKYTQRSKPCLSCTINKEKRQAFAEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVAPKKPAEEIGLISDDVADSPHKIFIAGIAGVISSEMLMEIASAFGPLAAYRFLFNDELGGPCAFLEYADRSITPKACAGLNGMKLGGCVLTAVHAFPNPPVELNCQAANETSPFYGIPDNAKSLLEEPTKVLQLKNVFDLEEYMQLPKSELEDTLEDVRIECARFGAVKSVNVVDYAAASDNATEDNIVLLEDRPVKIECTGFGDTGNIAKSGTECAAPNQSIDILNHSDAKETKDVDLIPESQDQKDKHVQLNAALCENEAPVADEHTDIDDTQNKADLPTSQHSETDEAAADENKHTKAAEATTTAMDDDAVERRHQEPRTLEICRPAEPGEEVEEPARDCEQQGAVDVTEDREEKVPAVETGDTVFVFEPGSVLVEFMRKEAACMAAHSLHGRRFGNRTVYTGYAPYDLYLKKYPRSF >PAN51681 pep chromosome:PHallii_v3.1:9:71719271:71728507:1 gene:PAHAL_9G612200 transcript:PAN51681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIHGESDSQRITSEGTAARTRPLSIQDIMLRREKKAASEAKKTKEELQENDKGTSNHLEQGRGYKSRKDSKDMSVEGSKKKTRDASREESKRENPRVPREDSKKEDTRYTPKVVSKKDNSKDRPKGGSKMDDLKDTPKFSEKDDLRDAPRKGSKKERPSTRDDYHSVGNDKDIGNSQKITKSMSSRADGSKDRNLGEIRARNGDATRSEYLKGSGKRGNDEIIVNDRIKDRSEKPRNETKRKGRSFDNEKSSEVDRPMLMKPDSARFQDSKHSDRNDGRNEYAKPYHGDPRLKRRRSRSRDHDRERFGRSISPPAREQRHNYRGHDFGNYPPYYSMDKSRRKYAEVDKQRSSGSGGYSGGSHQRYERRLGGYSPRKRKTAPQAEQATAKTPPPVIQSPEKKSATWDQPPVKANQFKFPTTLQSTVGQMAPSTPSTTVETILAGNSLAADSVQLTQATRPLRRLHIENLPDSATEDRLIDCLNDLLSTGVKYTQRSKPCLSCTINKEKRQAFAEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVAPKKPAEEIGLISDDVADSPHKIFIAGIAGVISSEMLMEIASAFGPLAAYRFLFNDELGGPCAFLEYADRSITPKACAGLNGMKLGGCVLTAVHAFPNPPVELNCQAANETSPFYGIPDNAKSLLEEPTKVLQLKNVFDLEEYMQLPKSELEDTLEDVRIECARFGAVKSVNVVDYAAASDNATEDNIVLLEDRPVKIECTGFGDTGNIAKSGTECAAPNQSIDILNHSDAKETKDVDLIPESQDQKDKHVQLNAALCENEAPVADEHTDIDDTQNKADLPTSQHSETDEAAADENKHTKAAEATTTAMDDDAVERRHQEPRTLEICRPAEPGEEVEEPARDCEQQGAVDVTEDREEKVPAVETGDTVFVFEPGSVLVEFMRKEAACMAAHSLHGRRFGNRTVYTGLKWEMRKWRKDAAYKKVETFVPKLPIPFPFL >PAN51678 pep chromosome:PHallii_v3.1:9:71719269:71727384:1 gene:PAHAL_9G612200 transcript:PAN51678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIHGESDSQRITSEGTAARTRPLSIQDIMLRREKKAASEAKKTKEELQENDKGTSNHLEQGRGYKSRKDSKDMSVEGSKKKTRDASREESKRENPRVPREDSKKEDTRYTPKVVSKKDNSKDRPKGGSKMDDLKDTPKFSEKDDLRDAPRKGSKKERPSTRDDYHSVGNDKDIGNSQKITKSMSSRADGSKDRNLGEIRARNGDATRSEYLKGSGKRGNDEIIVNDRIKDRSEKPRNETKRKGRSFDNEKSSEVDRPMLMKPDSARFQDSKHSDRNDGRNEYAKPYHGDPRLKRRRSRSRDHDRERFGRSISPPAREQRHNYRGHDFGNYPPYYSMDKSRRKYAEVDKQRSSGSGGYSGGSHQRYERRLGGYSPRKRKTAPQAEQATAKTPPPVIQSPEKKSATWDQPPVKANQFKFPTTLQSTVGQMAPSTPSTTVETILAGNSLAADSVQLTQATRPLRRLHIENLPDSATEDRLIDCLNDLLSTGVKYTQRSKPCLSCTINKEKRQAFAEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVAPKKPAEEIGLISDDVADSPHKIFIAGIAGVISSEMLMEIASAFGPLAAYRFLFNDELGGPCAFLEYADRSITPKACAGLNGMKLGGCVLTAVHAFPNPPVEAANETSPFYGIPDNAKSLLEEPTKVLQLKNVFDLEEYMQLPKSELEDTLEDVRIECARFGAVKSVNVVDYAAASDNATEDNIVLLEDRPVKIECTGFGDTGNIAKSGTECAAPNQSIDILNHSDAKETKDVDLIPESQDQKDKHVQLNAALCENEAPVADEHTDIDDTQNKADLPTSQHSETDEAAADENKHTKAAEATTTAMDDDAVERRHQEPRTLEICRPAEPGEEVEEPARDCEQQGAVDVTEDREEKVPAVETGDTVFVFEPGSVLVEFMRKEAACMAAHSLHGRRFGNRTVYTGYAPYDLYLKKYPRSF >PAN51679 pep chromosome:PHallii_v3.1:9:71719271:71728507:1 gene:PAHAL_9G612200 transcript:PAN51679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIHGESDSQRITSEGTAARTRPLSIQDIMLRREKKAASEAKKTKEELQENDKGTSNHLEQGRGYKSRKDSKDMSVEGSKKKTRDASREESKRENPRVPREDSKKEDTRYTPKVVSKKDNSKDRPKGGSKMDDLKDTPKFSEKDDLRDAPRKGSKKERPSTRDDYHSVGNDKDIGNSQKITKSMSSRADGSKDRNLGEIRARNGDATRSEYLKGSGKRGNDEIIVNDRIKDRSEKPRNETKRKGRSFDNEKSSEVDRPMLMKPDSARFQDSKHSDRNDGRNEYAKPYHGDPRLKRRRSRSRDHDRERFGRSISPPAREQRHNYRGHDFGNYPPYYSMDKSRRKYAEVDKQRSSGSGGYSGGSHQRYERRLGGYSPRKRKTAPQAEQATAKTPPPVIQSPEKKSATWDQPPVKANQFKFPTTLQSTVGQMAPSTPSTTVETILAGNSLAADSVQLTQATRPLRRLHIENLPDSATEDRLIDCLNDLLSTGVKYTQRSKPCLSCTINKEKRQAFAEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVAPKKPAEEIGLISDDVADSPHKIFIAGIAGVISSEMLMEIASAFGPLAAYRFLFNDELGGPCAFLEYADRSITPKACAGLNGMKLGGCVLTAVHAFPNPPVEAANETSPFYGIPDNAKSLLEEPTKVLQLKNVFDLEEYMQLPKSELEDTLEDVRIECARFGAVKSVNVVDYAAASDNATEDNIVLLEDRPVKIECTGFGDTGNIAKSGTECAAPNQSIDILNHSDAKETKDVDLIPESQDQKDKHVQLNAALCENEAPVADEHTDIDDTQNKADLPTSQHSETDEAAADENKHTKAAEATTTAMDDDAVERRHQEPRTLEICRPAEPGEEVEEPARDCEQQGAVDVTEDREEKVPAVETGDTVFVFEPGSVLVEFMRKEAACMAAHSLHGRRFGNRTVYTGLKWEMRKWRKDAAYKKVETFVPKLPIPFPFL >PAN47764 pep chromosome:PHallii_v3.1:9:47928505:47945609:1 gene:PAHAL_9G343700 transcript:PAN47764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDREKRKADKALEVIWRGSRTFGSSSQIFANVFPVNYSPPDGFGFEILDESIMPVQDDLMLSDYNVEERVNDFVAAAIAQANVTRTNDIMWTMGEDFSHQYAESWFRNMDKLIYHVNKDGRVHALYSTPSIYTDAKYASNKSWPVKYDDYFPYADAKNTYWTGYYTSRPTFKRYVRVHSGYYLAARQIEFLVGRSSLGLFTTSLEDAIGISQHHDAVSGTAKQHTTDDYSRRLALGASKVEKGVNIALTCLTSSNGTCLSSVVKFSQCPLLNISYCPSTEERISAVKSLVVVAYNPLGWERSDFIRVPVNDENLVVKSSDGTIVESQLVEVDNVTRNLRKFYLKAYLEITADNPPKYWLVFQALVPPMGWNSYYISRSPGYNNNGYVSTMVSPSIDTVEVGPGPLKMLFSSASGQLKRIFNSVSGVDLPLQQSFLWYISNEGDTVDSQASGAYIFRPNGTTPTIVSSSVPLKVIRGPLVDEVHQQFSSWIYQVARLYKNKEHAEVEYTIGPIPIDDDVGKEVITRLTANMVTNSTFYTDSNGRDFLKRVRNHREDWNLEMTEPVAGNYYPVNLGAYVADGKYEVSVLVDRAVGASSIRDGELEIMLHRRTVRDDGKGVEEPLGEVVCLDGSCKGLTARGTYYVKVDKLGHGPHWRRTYGQQVYSPYLLAFSHEDETNWKSYNVARASMMDANYSLPDNVAIITLQNLDDGTTLLRLAHLFQAAEDPRFSVIAKVELRKVFGKRNIKELTETNLSANQKKSDMKKLNWKVVGDTDSGLTPLKGGPVDSQALVVELGPMEIRTFLLKF >PAN47765 pep chromosome:PHallii_v3.1:9:47925630:47945609:1 gene:PAHAL_9G343700 transcript:PAN47765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALPLLLAVIAAAATAMGASAARAFSVASNSSAGAETTVAGGKLHVHLVPHSHDDVGWLKTVDQYYLGSNNSIQRACVINTLDSVVDALAKDPARKFVVVEQAFFQRWWAEKSSNIQAIVRKLIDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDREKRKADKALEVIWRGSRTFGSSSQIFANVFPVNYSPPDGFGFEILDESIMPVQDDLMLSDYNVEERVNDFVAAAIAQANVTRTNDIMWTMGEDFSHQYAESWFRNMDKLIYHVNKDGRVHALYSTPSIYTDAKYASNKSWPVKYDDYFPYADAKNTYWTGYYTSRPTFKRYVRVHSGYYLAARQIEFLVGRSSLGLFTTSLEDAIGISQHHDAVSGTAKQHTTDDYSRRLALGASKVEKGVNIALTCLTSSNGTCLSSVVKFSQCPLLNISYCPSTEERISAVKSLVVVAYNPLGWERSDFIRVPVNDENLVVKSSDGTIVESQLVEVDNVTRNLRKFYLKAYLEITADNPPKYWLVFQALVPPMGWNSYYISRSPGYNNNGYVSTMVSPSIDTVEVGPGPLKMLFSSASGQLKRIFNSVSGVDLPLQQSFLWYISNEGDTVDSQASGAYIFRPNGTTPTIVSSSVPLKVIRGPLVDEVHQQFSSWIYQVARLYKNKEHAEVEYTIGPIPIDDDVGKEVITRLTANMVTNSTFYTDSNGRDFLKRVRNHREDWNLEMTEPVAGNYYPVNLGAYVADGKYEVSVLVDRAVGASSIRDGELEIMLHRRTVRDDGKGVEEPLGEVVCLDGSCKGLTARGTYYVKVDKLGHGPHWRRTYGQQVYSPYLLAFSHEDETNWKSYNVARASMMDANYSLPDNVAIITLQNLDDGTTLLRLAHLFQAAEDPRFSVIAKVELRKVFGKRNIKELTETNLSANQKKSDMKKLNWKVVGDTDSGLTPLKGGPVDSQALVVELGPMEIRTFLLKF >PAN44091 pep chromosome:PHallii_v3.1:9:941808:945807:1 gene:PAHAL_9G016200 transcript:PAN44091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSAEPEAAVLLHGDLDIRIVEARCLPNMDIMSERMRRCFSACAGGGAGSCGGDRPAPLDTLRRGSSMSAKKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEEHFRVEVAHAAARVEFHVKDNDVFGAQLIGVASVPVEKIATGALVSGWFPVEGHCSSNSPRPTPELQLSIRYQPIEDNPLYKDGVDAGPQYSGVPNAYFPLRRGGRVTLYQDAHVADGNLPKIEVDGDRIYEHGKCWEDICHAIIQAHHLVYMVGWSIYHPVKLVREPAKPMPGGTPPTLGELLKGKVQEGVRVVVLLWDDKTSHDKFLFKTDGVMHTHDEETRKFFRHSGVYCVLVPRYASNKLSIFKQQVVGTLFTHHQKCVILDSQAAGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKKDFHNPTFPVNSHGPRQPWHDLHCKVEGPAAYDILTNFEQRWRKATKWKVNLKRVASWQYDTLIKLNRMSWIVSPATDEANAHVCDERDPENWHVQVFRSIDSGSVKGFPKLVQEAESQNLVCAKNLMIDKSIHSAYVKAIRSAQRFIYIENQYFIGSSFCWPSCKNAGADNLIPIELALKIASKIKAKERFTVYIVIPMWPEGIPTTAPMQQILFWQGQTMSMMYKIVADALQSQGLLDAHPQDYLNFYCLGRRELADGDISSPKTLCNDNSPLHVAQKFRRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGSRDTEIAMGAYQPHYKWAGNTGPPRGQVYGYRMSLWAEHLGTVEECFWRPETEECVRRVNEMAEENWQKYVSPDMEETRGHLLRYPVQVGKDGQVGPLPGHECFPDVGGKVLGTQSSLPNALTT >PAN44312 pep chromosome:PHallii_v3.1:9:1882080:1884719:-1 gene:PAHAL_9G033800 transcript:PAN44312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEAKGETVLVTGASGFIGSTLVRGLLDRGYTVRAGVLNPDDKAETDHLLALAAGAGEGRLSIFRCDLLDGAALTDAARGCSGVFHLASPCTVDPVKDHLNQLMVPAVEGTQNVLRAAKEAGGVRRVVVTSSISAIVPSPGWPAGEVRDERCWTDIDYCEENGIWYPASKTLAEKAAWKFAEEKGLDVVVVNPGTVLGPMIPPAINASMAMFRRLLEGCAEEYADFFMGPVHVEDVALAHILVFENPSASGRHICVESICHWSDFAAKVAEMYPNYKVPKLPKDTQPGLVRAEVGSKKLIALGLQVSPVEKIIRDAVESLKSRGFIF >PAN45394 pep chromosome:PHallii_v3.1:9:6755223:6759132:1 gene:PAHAL_9G115500 transcript:PAN45394 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G37510) UniProtKB/Swiss-Prot;Acc:Q9FGI6] MAFLARALRQSNSRLSSRCPAVAASCRWISPTAAAGSPEAGAAVAPADPELPPPREPVGGARVELPPNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDALAVVAEVLRQVKPEEITGVAGKLSDAESMMALKDFVNRMGSDKVLCEGNGPNPPADLRSNYLMNTGIAGLEKADVFLLVGTQPRVEAAIVNARIRKTVRATQAKVGYIGPPADFNYDHEHLGTGPQTLVEIAEGRHPFCSVLQSAKNPVIIAGAGLFEREDQDALFSTIETVAKKFNVTRPDWNGLNVLLLHAAQAAALDLGLVANPAESIKSAKFLYLMGADDISLDKLPEDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGVQLPYGSLSAVRDRISTVAPNLIHVDEKEPCTISPEVKPPVKQQVSSTPFKTVIENFYMTDAITRASKIMAQCSATLLKK >PVH31669 pep chromosome:PHallii_v3.1:9:13272990:13273824:1 gene:PAHAL_9G206600 transcript:PVH31669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAAATSPGEPKGLLPHGGSRRRRLAAVLAPLLLFLAAEIAFPSSTRLDQLLLLAPRDDATPSSSTSSPLPPPPPPPPPPRLEDEQPQPQPQRVAVCLVGGARRFELTGPSIARHVLGALPAGATDVFLHSPLDADAWKLSVLARAAPPGAALAAVGVFRPERIARTPARERALTGMNSPRGIQVSSFDQFLASLACHAVPRLDGSANAPRSSRA >PAN47454 pep chromosome:PHallii_v3.1:9:31020494:31025953:-1 gene:PAHAL_9G292600 transcript:PAN47454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKAHNVAAAAVASDGPPVTKEGEEKIKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCETCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEEQLKPLVAQLERVKNLPAPEFGSLQTWERANIGAFAHGDPSVADSSRNSQGQYGTPMPYMGETKVEVEMLGDGVKEEGTESGKNGSELKVLPPWMIKDGMNLTKEQRGETSKASKLDEKSEAKDDKKQDSKDDQIIQEEYIKAYYEALRKKQEEEEAKRRMQQEGETIVSDSQSERQVGKKYKREDEDEGNATEQYKLADLNAEAQESGDDEDDYVWEEGSVE >PVH32497 pep chromosome:PHallii_v3.1:9:58239900:58241985:-1 gene:PAHAL_9G414100 transcript:PVH32497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLEKRNPAASLTDELLVEILRRVPIRSLCRFKCVSRSWRNLISDPVHRKKLPQTLPGFFYHNWNFERFPTKAHHFTNITGKGPPFIFPSFSFLPVPGGDVELLDSCSGLLLCRCFEPGPRDADGFRPFHYVVCNPATKKWVMLPDGCWASGEARIARLGFDPAVSSHFHVVVYVLDEGECVTAVEIYSSKTAAWSFKQIIQTRENTGAQRNRSSSACDTSSCSVAVTSARRELTGDSVEVVMAGRVKAGPGAAASVAGRARRRDLLLLRSQALALDPLLLSAAEDARLARQDAEYGGDGHHGTNEWTLKHSVRTQLLFRRKNLRFAWDYTMITIHPECNLIYFVYGRDNTLMAYEMDRKEVRVIRNLGHECSEPYLPYDPLFLEALADEH >PAN48543 pep chromosome:PHallii_v3.1:9:56051544:56053035:1 gene:PAHAL_9G389200 transcript:PAN48543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMISFKAIALVAALLLPVLGAHAEPSYNNVTSARRELYYSAGSTPSSTWRPARATWYGRPNGAGPDNNGGGCGYSNTNQYPFNSMTSCGNQPLFLDGKGCGACYQIRCTSKNNPACSGEPKTVIITDVNYDTKVAPYHFDLSGTAFGAMARPGYNDKLRSAGILDIQFRRVPCSYRGLPVRFHVMGGCNPFYFAVIVYYAGSDGAVVQVELKEANSNTWRPLYESWGAVWRIDPGHPLKAPLSLRVRSDSGKVLVANNVIPVNWRGNADYRTIAQFR >PAN49346 pep chromosome:PHallii_v3.1:9:60712797:60715766:-1 gene:PAHAL_9G442800 transcript:PAN49346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYIRRQRPGLLNFSGLLFLARRGKMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PVH33260 pep chromosome:PHallii_v3.1:9:71582154:71586364:-1 gene:PAHAL_9G609600 transcript:PVH33260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWGRAKRALATSLCISVPARHMAIEDSPPEAGAAEASSSVAQEKAESASVSLRRLTSFGSRSSQICSICLGGMRSGIGQALFTAECSHKFHFHCISSNVKHGNLICPICRAKWKELPSAQPADANYGRARVSPLNWPQDEGHMAVVRRLSHTYSGSLQEHLPFFRTPEAGIFNDDEHIDLQSDTANEHDAVTGSVEIKTYSEFPAIQQSVAQEVFAVLIHLKAPKSSHSACSRAPLDLVTVLDVSGSMAGTKLALLKRAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLTGKQRALQDVSSLVASGGTNIADGLKRGAKVIDDRQLKNPVCSIILLSDGQDTHTLLSDRGLLNYSALVPPSILPGTGHHVQIHTFGFGSDHDSAAMHAIADISSGTFSFIDAEGSIQDGFAQCIGGLLSVVIKEMRLSIECAADGVLLTSIKSGGYTSQVAENGRSGSVDIGDLYADEERGFLVTLHVPAAKGKTLLIKPTCTYEDTITTENIEVQGEEVSVQRPAHFVDCKMSPEVERELHRVQATEDMSAAQAAAEGGAFSQAVAILEGRRRILESLAAQSSDSQCLSLITELREMQDRVESRQRYEESGRAFMLAGLSSHTWQRATARGDSTDLTTTIHTYQTPSMVDMLQRSQTLAAPGVDTLNRSPTMAPSHRSPHRFRRPTRSTKSFSGRPL >PAN51645 pep chromosome:PHallii_v3.1:9:71582561:71586107:-1 gene:PAHAL_9G609600 transcript:PAN51645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWGRAKRALATSLCISVPARHMAIEDSPPEAGAAEASSSVAQEKAESASVSLRRLTSFGSRSSQKICSICLGGMRSGIGQALFTAECSHKFHFHCISSNVKHGNLICPICRAKWKELPSAQPADANYGRARVSPLNWPQDEGHMAVVRRLSHTYSGSLQEHLPFFRTPEAGIFNDDEHIDLQSDTANEHDAVTGSVEIKTYSEFPAIQQSVAQEVFAVLIHLKAPKSSHSACSRAPLDLVTVLDVSGSMAGTKLALLKRAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLTGKQRALQDVSSLVASGGTNIADGLKRGAKVIDDRQLKNPVCSIILLSDGQDTHTLLSDRGLLNYSALVPPSILPGTGHHVQIHTFGFGSDHDSAAMHAIADISSGTFSFIDAEGSIQDGFAQCIGGLLSVVIKEMRLSIECAADGVLLTSIKSGGYTSQVAENGRSGSVDIGDLYADEERGFLVTLHVPAAKGKTLLIKPTCTYEDTITTENIEVQGEEVSVQRPAHFVDCKMSPEVERELHRVQATEDMSAAQAAAEGGAFSQAVAILEGRRRILESLAAQSSDSQCLSLITELREMQDRVESRQRYEESGRAFMLAGLSSHTWQRATARGDSTDLTTTIHTYQTPSMVDMLQRSQTLAAPGVDTLNRSPTMAPSHRSPHRFRRPTRSTKSFSGRPL >PAN50361 pep chromosome:PHallii_v3.1:9:65569541:65572752:-1 gene:PAHAL_9G514900 transcript:PAN50361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDRMRRQGQYGDADINSMVAAQLHHYQAQQRVQQHPENSYTGRDAAQASGEHRYTPPKVRQSQWDRGGPNMPSQVPSYAYKEGQNSAGAQSFYDGQRSDAKVGLEKQPSKETRDQPRTDRTETRYEDYNLPRTFEGLEQSFHEDIMMLSKELQDAEDAENSRHMERLKEINTQYQEKLLALRARQANYRDEFLRKESLARQQQYQQANMSHYANNSMAGEQHGYHPTALPGEQHGYHPTAAAAAGGAYEEAHRGYASGHGQYESFTDRPDYPEFHGGGRGRGRGFEHRSQYPGGRAYSSGGRRF >PVH31227 pep chromosome:PHallii_v3.1:9:5113871:5116764:-1 gene:PAHAL_9G089500 transcript:PVH31227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMLKSQEKLTAKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGRSVSSTVFFNPGSDDGYVGIAAPFPGRILPVDLANFGGELLCQADAFLCSVNDVSVTSTVEPRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKSPNQLRRAVFGGDNQLTASLTGPGVVFLQSLPFQRLSQRIASSRSVAGPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >PVH31226 pep chromosome:PHallii_v3.1:9:5113485:5116892:-1 gene:PAHAL_9G089500 transcript:PVH31226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMLKSQEKLTAKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGRSVSSTVFFNPGSDDGYVGIAAPFPGRILPVDLANFGGELLCQADAFLCSVNDVSVTSTVEPRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKSPNQLRRAVFGGDNQLTASLTGPGVVFLQSLPFQRLSQRIASRSVAGPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >PVH33383 pep chromosome:PHallii_v3.1:9:73665868:73676869:1 gene:PAHAL_9G640100 transcript:PVH33383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MRQCGAEGATKRQQQLAPYKLKCDKEPLNNKLGPPDFYPQTPNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPHFCKPDVVVKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEALAQPNKRLRSLSEHVPHGYRRKSLFEVLTRYNVPLLRATWFVKVTYLNQARPTPNSISAGASDNQRSNQWTKDVVEYLQQILDELCLKEGTVVPPSFREQSSPGLSGTNQIKVKTEASPAGGDGEEPLVHYKWRYMVRLIQWHLTEELLVPSVLIEWLSNQLQERDSVDVLELLLPIVLGLVDTITLSQTYVRMFVELLIRRLNDASVVDSPKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYGRGALLKITGGGGIASSKRRDAYRYLSCGYAVCSIQKRASDLATVANPNLQVRGAAKVVQALDKALVTGNLSMAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRASPCQNVKFTGRRDLSQIHVAVSILKNKMDELNNLSRSKSSNRITTNNNVKGSSLNDGFLVSAAVNDSSGVRSNAKNVDEKKDTNDIFESPGPLHDIIVCWLDQHEVSSVAGFTRVDVLIVELIRNGIFYPQAYVRQLIISGITDKNDTMLDVERKRRHHRTLKQLPGSSLFDILEETRTAEEQQLYEMMSTYSSERRLVLSELSCGPSFYASSRGEHTSSSGIRKQNDHTVASGGDKHGRVPEQVEDVKALVSSLLSFTYPHPVESEPCQIKTSFQESSTSTLSQVEIGEAKNGCEDCMRSKGQKLDDGATPFQGFPLIQSDEEDIWWVRKGTKLHESFNVEPAQKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKMSCPHHKPNNDGDNAKDFDHTRTTNLTEVGKSLKRLRLIERRSVSLWLLKSIRQLVEGNEMTASKTTNSISTLSLQPDDKSVSKWRLGDEELLSVLYVLDTCCDLVSGARFLVWLLAKIRGGLGPSGQPGRSSMHLRNREHQVCQVSEALVFSSLLRYENILLATDILPDFLSASVNRNSVSATARHPGSAAFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDLVSSSGISAGDEIDEQVRQKLNGRSSRLLQNMKEIVQRQADEVQRSLKEKKVLAAPKSPPSFEKEDSYQISHDIVLGLVECIRQNGGANPDGDLSIVASAVSAVVVNAGHVIAKHLDFAGGNYQGVNSVSNSLNFVRHTLRIHINSLCLLKESLGDRFSRVFEIALAVEASAAVTAAFAPAKIHRNQFQPSPETHDAYGNNTSDLGNSGKGFVGRTAKVAAAVSALVVGAIVHGAVSLERMVAALKVKDGLDILQLLRGLKTSTNGVSRPTGTFRMENSTEVSAHWFRILLGNCRTVYDGLIADILGESYILALSRLQQTLPLSVIFPPAYSMFAMVLWRRYIFSREDPQLYQSLSNAINDITRHQPFREICFRNTHQLYNLLASDVGDSEFAAMLESHTPDRNSKILPFIPLRARLFLDALIDCNTPTTTQGDGASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKVAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLIARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDVIANKTAKRKLEVTSIEEGEVVDDTVDAKRPSKTSSHSVDRSVEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGAISEHIKAISRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRTPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRSMRHTLASAILGLLATRMIYEDADLPLPPTNATALRREVDSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRSASKSTIRTQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPSRHPSIQCQPPQLSLAALTPLQSCTSSAGPQQKSSCVSWVPTNVSSRSKAVLPSHDPEMEVDPWALLEDGTSCPSTSSGSNGTSGVTGDHANLKACSWLKGTVRVRRTELTYIGSLDDDS >PAN52065 pep chromosome:PHallii_v3.1:9:73664908:73676869:1 gene:PAHAL_9G640100 transcript:PAN52065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MQRYTGAAGNTAGFSGAAPGARDTARLDGSPFSSSNYPVSSRRQQQLAPYKLKCDKEPLNNKLGPPDFYPQTPNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPHFCKPDVVVKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEALAQPNKRLRSLSEHVPHGYRRKSLFEVLTRYNVPLLRATWFVKVTYLNQLQARPTPNSISAGASDNQRSNQWTKDVVEYLQQILDELCLKEGTVVPPSFREQSSPGLSGTNQIKVKTEASPAGGDGEEPLVHYKWRYMVRLIQWHLTEELLVPSVLIEWLSNQLQERDSVDVLELLLPIVLGLVDTITLSQTYVRMFVELLIRRLNDASVVDSPKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYGRGALLKITGGGGIASSKRRDAYRYLSCGYAVCSIQKRASDLATVANPNLQVRGAAKVVQALDKALVTGNLSMAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRASPCQNVKFTGRRDLSQIHVAVSILKNKMDELNNLSRSKSSNRITTNNNVKGSSLNDGFLVSAAVNDSSGVRSNAKNVDEKKDTNDIFESPGPLHDIIVCWLDQHEVSSVAGFTRVDVLIVELIRNGIFYPQAYVRQLIISGITDKNDTMLDVERKRRHHRTLKQLPGSSLFDILEETRTAEEQQLYEMMSTYSSERRLVLSELSCGPSFYASSRGEHTSSSGIRKQNDHTVASGGDKHGRVPEQVEDVKALVSSLLSFTYPHPVESEPCQIKTSFQESSTSTLSQVEIGEAKNGCEDCMRSKGQKLDDGATPFQGFPLIQSDEEDIWWVRKGTKLHESFNVEPAQKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKMSCPHHKPNNDGDNAKDFDHTRTTNLTEVGKSLKRLRLIERRSVSLWLLKSIRQLVEGNEMTASKTTNSISTLSLQPDDKSVSKWRLGDEELLSVLYVLDTCCDLVSGARFLVWLLAKIRGGLGPSGQPGRSSMHLRNREHQVCQVSEALVFSSLLRYENILLATDILPDFLSASVNRNSVSATARHPGSAAFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDLVSSSGISAGDEIDEQVRQKLNGRSSRLLQNMKEIVQRQADEVQRSLKEKKVLAAPKSPPSFEKEDSYQISHDIVLGLVECIRQNGGANPDGDLSIVASAVSAVVVNAGHVIAKHLDFAGGNYQGVNSVSNSLNFVRHTLRIHINSLCLLKESLGDRFSRVFEIALAVEASAAVTAAFAPAKIHRNQFQPSPETHDAYGNNTSDLGNSGKGFVGRTAKVAAAVSALVVGAIVHGAVSLERMVAALKVKDGLDILQLLRGLKTSTNGVSRPTGTFRMENSTEVSAHWFRILLGNCRTVYDGLIADILGESYILALSRLQQTLPLSVIFPPAYSMFAMVLWRRYIFSREDPQLYQSLSNAINDITRHQPFREICFRNTHQLYNLLASDVGDSEFAAMLESHTPDRNSKILPFIPLRARLFLDALIDCNTPTTTQGDGASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKVAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLIARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDVIANKTAKRKLEVTSIEEGEVVDDTVDAKRPSKTSSHSVDRSVEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGAISEHIKAISRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRTPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRSMRHTLASAILGLLATRMIYEDADLPLPPTNATALRREVDSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRSASKSTIRTQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPSRHPSIQCQPPQLSLAALTPLQSCTSSAGPQQKSSCVSWVPTNVSSRSKAVLPSHDPEMEVDPWALLEDGTSCPSTSSGSNGTSGVTGDHANLKACSWLKGTVRVRRTELTYIGSLDDDS >PVH33384 pep chromosome:PHallii_v3.1:9:73665868:73676869:1 gene:PAHAL_9G640100 transcript:PVH33384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MRQCGAEGATKRQQQLAPYKLKCDKEPLNNKLGPPDFYPQTPNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPHFCKPDVVVKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEALAQPNKRLRSLSEHVPHGYRRKSLFEVLTRYNVPLLRATWFVKVTYLNQLQARPTPNSISAGASDNQRSNQWTKDVVEYLQQILDELCLKEGTVVPPSFREQSSPGLSGTNQIKVKTEASPAGGDGEEPLVHYKWRYMVRLIQWHLTEELLVPSVLIEWLSNQLQERDSVDVLELLLPIVLGLVDTITLSQTYVRMFVELLIRRLNDASVVDSPKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYGRGALLKITGGGGIASSKRRDAYRYLSCGYAVCSIQKRASDLATVANPNLQVRGAAKVVQALDKALVTGNLSMAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRASPCQNVKFTGRRDLSQIHVAVSILKNKMDELNNLSRSKSSNRITTNNNVKGSSLNDGFLVSAAVNDSSGVRSNAKNVDEKKDTNDIFESPGPLHDIIVCWLDQHEVSSVAGFTRVDVLIVELIRNGIFYPQAYVRQLIISGITDKNDTMLDVERKRRHHRTLKQLPGSSLFDILEETRTAEEQQLYEMMSTYSSERRLVLSELSCGPSFYASSRGEHTSSSGIRKQNDHTVASGGDKHGRVPEQVEDVKALVSSLLSFTYPHPVESEPCQIKTSFQESSTSTLSQVEIGEAKNGCEDCMRSKGQKLDDGATPFQGFPLIQSDEEDIWWVRKGTKLHESFNVEPAQKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKMSCPHHKPNNDGDNAKDFDHTRTTNLTEVGKSLKRLRLIERRSVSLWLLKSIRQLVEGNEMTASKTTNSISTLSLQPDDKSVSKWRLGDEELLSVLYVLDTCCDLVSGARFLVWLLAKIRGGLGPSGQPGRSSMHLRNREHQVCQVSEALVFSSLLRYENILLATDILPDFLSASVNRNSVSATARHPGSAAFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDLVSSSGISAGDEIDEQVRQKLNGRSSRLLQNMKEIVQRQADEVQRSLKEKKVLAAPKSPPSFEKEDSYQISHDIVLGLVECIRQNGGANPDGDLSIVASAVSAVVVNAGHVIAKHLDFAGGNYQGVNSVSNSLNFVRHTLRIHINSLCLLKESLGDRFSRVFEIALAVEASAAVTAAFAPAKIHRNQFQPSPETHDAYGNNTSDLGNSGKGFVGRTAKVAAAVSALVVGAIVHGAVSLERMVAALKVKDGLDILQLLRGLKTSTNGVSRPTGTFRMENSTEVSAHWFRILLGNCRTVYDGLIADILGESYILALSRLQQTLPLSVIFPPAYSMFAMVLWRRYIFSREDPQLYQSLSNAINDITRHQPFREICFRNTHQLYNLLASDVGDSEFAAMLESHTPDRNSKILPFIPLRARLFLDALIDCNTPTTTQGDGASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKVAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLIARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDVIANKTAKRKLEVTSIEEGEVVDDTVDAKRPSKTSSHSVDRSVEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGAISEHIKAISRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRTPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRSMRHTLASAILGLLATRMIYEDADLPLPPTNATALRREVDSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRSASKSTIRTQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPSRHPSIQCQPPQLSLAALTPLQSCTSSAGPQQKSSCVSWVPTNVSSRSKAVLPSHDPEMEVDPWALLEDGTSCPSTSSGSNGTSGVTGDHANLKACSWLKGTVRVRRTELTYIGSLDDDS >PAN52064 pep chromosome:PHallii_v3.1:9:73664908:73676869:1 gene:PAHAL_9G640100 transcript:PAN52064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MQRYTGAAGNTAGFSGAAPGARDTARLDGSPFSSSNYPVSSRRQQQLAPYKLKCDKEPLNNKLGPPDFYPQTPNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPHFCKPDVVVKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEALAQPNKRLRSLSEHVPHGYRRKSLFEVLTRYNVPLLRATWFVKVTYLNQARPTPNSISAGASDNQRSNQWTKDVVEYLQQILDELCLKEGTVVPPSFREQSSPGLSGTNQIKVKTEASPAGGDGEEPLVHYKWRYMVRLIQWHLTEELLVPSVLIEWLSNQLQERDSVDVLELLLPIVLGLVDTITLSQTYVRMFVELLIRRLNDASVVDSPKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYGRGALLKITGGGGIASSKRRDAYRYLSCGYAVCSIQKRASDLATVANPNLQVRGAAKVVQALDKALVTGNLSMAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRASPCQNVKFTGRRDLSQIHVAVSILKNKMDELNNLSRSKSSNRITTNNNVKGSSLNDGFLVSAAVNDSSGVRSNAKNVDEKKDTNDIFESPGPLHDIIVCWLDQHEVSSVAGFTRVDVLIVELIRNGIFYPQAYVRQLIISGITDKNDTMLDVERKRRHHRTLKQLPGSSLFDILEETRTAEEQQLYEMMSTYSSERRLVLSELSCGPSFYASSRGEHTSSSGIRKQNDHTVASGGDKHGRVPEQVEDVKALVSSLLSFTYPHPVESEPCQIKTSFQESSTSTLSQVEIGEAKNGCEDCMRSKGQKLDDGATPFQGFPLIQSDEEDIWWVRKGTKLHESFNVEPAQKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKMSCPHHKPNNDGDNAKDFDHTRTTNLTEVGKSLKRLRLIERRSVSLWLLKSIRQLVEGNEMTASKTTNSISTLSLQPDDKSVSKWRLGDEELLSVLYVLDTCCDLVSGARFLVWLLAKIRGGLGPSGQPGRSSMHLRNREHQVCQVSEALVFSSLLRYENILLATDILPDFLSASVNRNSVSATARHPGSAAFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDLVSSSGISAGDEIDEQVRQKLNGRSSRLLQNMKEIVQRQADEVQRSLKEKKVLAAPKSPPSFEKEDSYQISHDIVLGLVECIRQNGGANPDGDLSIVASAVSAVVVNAGHVIAKHLDFAGGNYQGVNSVSNSLNFVRHTLRIHINSLCLLKESLGDRFSRVFEIALAVEASAAVTAAFAPAKIHRNQFQPSPETHDAYGNNTSDLGNSGKGFVGRTAKVAAAVSALVVGAIVHGAVSLERMVAALKVKDGLDILQLLRGLKTSTNGVSRPTGTFRMENSTEVSAHWFRILLGNCRTVYDGLIADILGESYILALSRLQQTLPLSVIFPPAYSMFAMVLWRRYIFSREDPQLYQSLSNAINDITRHQPFREICFRNTHQLYNLLASDVGDSEFAAMLESHTPDRNSKILPFIPLRARLFLDALIDCNTPTTTQGDGASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKVAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLIARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDVIANKTAKRKLEVTSIEEGEVVDDTVDAKRPSKTSSHSVDRSVEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGAISEHIKAISRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRTPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRSMRHTLASAILGLLATRMIYEDADLPLPPTNATALRREVDSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRSASKSTIRTQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPSRHPSIQCQPPQLSLAALTPLQSCTSSAGPQQKSSCVSWVPTNVSSRSKAVLPSHDPEMEVDPWALLEDGTSCPSTSSGSNGTSGVTGDHANLKACSWLKGTVRVRRTELTYIGSLDDDS >PAN51235 pep chromosome:PHallii_v3.1:9:69828219:69830087:1 gene:PAHAL_9G580200 transcript:PAN51235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILAELIPSPRRAASKPVTAGHLWPAGSDSKKAGSGRNKRLQLADVDDFEAAFEDFADDFDEEEVEDHHFMFSSKSAFSPAHGRSAASQKKRGRRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFSTAEDAARAYDVEARRLRGSKAKVNFPAAGARPRRGNLRAAPRLQRHHAAAQPALLAGENQQEEEIAVKPEIEAAFDVGSFFDMTFPAFPAAPPAMGSSFTGSTGSESGSPAKKLRYDNDSSDGMSSGGGSALELADELAYDPFMVLQLPYSGGYESLDGLFAVDAAQDVNGVNSDMNGFNLWSFDEFPNDSDVF >PVH33150 pep chromosome:PHallii_v3.1:9:69828219:69830085:1 gene:PAHAL_9G580200 transcript:PVH33150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILAELIPSPRRAASKPVTAGHLWPAGSDSKKAGSGRNKRLQLADVDDFEAAFEDFADDFDEEEVEDHHFMFSSKSAFSPAHGRSAASQKKRGRRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFSTAEDAARAYDVEARRLRGSKAKVNFPAAGARPRRGNLRAAPRLQRHHAAAQPALLAGENQQEEEIAVKPEIEAAFDVGSFFDMTFPAFPAAPPAMGSSFTGSTGSESGSPAKKLRYDNDSSDGMSSGGGSALELADELAYDPFMVLQLPYSGGYESLDGLFAVDAAQDVNGVNSDMNGFNLWSFDEFPNDSDVF >PAN47403 pep chromosome:PHallii_v3.1:9:23904490:23907461:1 gene:PAHAL_9G273700 transcript:PAN47403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPTTRGSSMVSQATTDQQEDSCRYMERHGVDGDGTAAAERDDVEAGAYDEEEDDEELAGSRGGLGEKKRRLAADQVRALERSFEVDNKLDPERKARIARDLSLHPRQVAVWFQNRRARWKTKQIEREFAALRARHDALRAECDALRRDKDALAAEIRELREKVERQMDVKLESAEEMLPVAAGTAAAVATVYKDGSTDSDSSAVFNEEASPYSGAAFDHQHQHQPHPSFTGFTSFLASSSSLNSSFPSSLYHGVSHLDQEADGFLSATAAHGFFADEQGSGLGSWYGGEGW >PVH31960 pep chromosome:PHallii_v3.1:9:23904558:23907425:1 gene:PAHAL_9G273700 transcript:PVH31960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPTTRGSSMVSQATTDQQEDSCRYMERHGVDGDGTAAAERDDVEAGAYDEEEDDEELAGSRGGLGEKKRRLAADQVRALERSFEVDNKLDPERKARIARDLSLHPRQVAVWFQNRRARWKTKQIEREFAALRARHDALRAECDALRRDKDALAAEIRELREKVERQMDVKLESAEEMLPVAAGTAAAVATVYKDGSTDSDSSAVFNEEASPYSGAAFDHQHQHQPHPSFTGFTSFLASSSSLNSSFPSSLYHGVSHLDQEADGFLSATAAHGFFADEQGSGLGSWYGGEGW >PAN47402 pep chromosome:PHallii_v3.1:9:23904558:23906751:1 gene:PAHAL_9G273700 transcript:PAN47402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPTTRGSSMVSQATTDQQDSCRYMERHGVDGDGTAAAERDDVEAGAYDEEEDDEELAGSRGGLGEKKRRLAADQVRALERSFEVDNKLDPERKARIARDLSLHPRQVAVWFQNRRARWKTKQIEREFAALRARHDALRAECDALRRDKDALAAEIRELREKVERQMDVKLESAEEMLPVAAGTAAAVATVYKDGSTDSDSSAVFNEEASPYSGAAFDHQHQHQPHPSFTGFTSFLASSSSLNSSFPSSLYHGVSHLDQEADGFLSATAAHGFFADEQGSGLGSWYGGEGW >PAN51869 pep chromosome:PHallii_v3.1:9:72612664:72615572:-1 gene:PAHAL_9G625800 transcript:PAN51869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKLPLPLVHSDRLWARPWRWAKTAFFIVAMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAQAHSPAASASFAASLVEQARAFRFGSSLVDLPAVSAARSLLILCAYTACGGGAAYMWVAVACSVGSLCYVLAKAVAVFGVAAAPGLGLQGKGQLVAVEAMFLMSLALAAAHLAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKALKQCMV >PVH33357 pep chromosome:PHallii_v3.1:9:73222211:73222478:-1 gene:PAHAL_9G634300 transcript:PVH33357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCAKEGHNHTSGEQQLDGGNMGWGQCLSMLLSVYFCIAFNLGWTPLARE >PAN43996 pep chromosome:PHallii_v3.1:9:613151:616696:1 gene:PAHAL_9G010100 transcript:PAN43996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCQQAATNGGHHNDGDAAEWKQVAELRAVTEAQDPACKEEDDYMLRRFLRARDHHIGKASAMLLKYLKWKPSAKPHGCISESEVARELSQGKLCLQGHDRQGRPMIYGFGARHHPSNRDLDEFKRFVVHVLDATVARLPPGQEKFAAVADLKGWGYSNCDIRAYLAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVSDKDLDRTLREAIDDAQLPEMYGGKLKLASPSPAK >PAN49473 pep chromosome:PHallii_v3.1:9:61225338:61226015:1 gene:PAHAL_9G451500 transcript:PAN49473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVAGFPAVRLASRLQLATSFFPQPSSSACRGGRRAGSAAGPPPALTADVRVVIRRHFPVAGPRGTRVVQKVAEDIALRRRPARGLRGPGRVERALADDVLPVVGHPFDRGAVAAAAGEICAHVAAACADPRVASGGVRVLVLVDTFACPVVLRPRPPCKPMWSGAGVKNTVVKADDPCAGLEPGSGLPAAAAKEQPRPIGVIGGGRPEPVEERFRGWVPW >PVH33167 pep chromosome:PHallii_v3.1:9:70082460:70086482:-1 gene:PAHAL_9G584300 transcript:PVH33167 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAVSGQVRLVASAAASSIADLRSLLPGSGGEPRLAAVRGYVRPKPGGMILRPPFSGEHGVITKHTQMCLFTEWRGIFGWTFDLHALLFRSWKEQIVTSFRTVPFVLASSEIGNPIGIVHINVEKADQPLPLTTVFHKLIPIETTPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRAKDEGSVEISSCPDLPFFLSELTKDEMQAELASRARILFWGSIVLGTLSVCLVGRAIYRGWKRIKLRREARQAQQLFEEAEDAIQEGDSSDEEVGDGQLCVVCLRRRRKAAFIPCGHLVCCCNCALRMEREAEPLCPMCRQDIRYMMRIYDS >PVH33168 pep chromosome:PHallii_v3.1:9:70082495:70086469:-1 gene:PAHAL_9G584300 transcript:PVH33168 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAVSGQVRLVASAAASSIADLRSLLPGSGGEPRLAAVRGYVRPKPGGMILRPPFSGEHGVITKHTQMCLFTEWRGIFGWTFDLHALLFRSWKEQIVTSFRTVPFVLASSEIGNPIGIVHINVEKADQPLPLTTVFHKLIPIETTPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRAKDEGSVEISSCPDLPFFLSELTKDEMQAELASRARILFWGSIVLGTLSVCLVGRAIYRGWKRIKLRREARQAQQLFEEAEDAIQEGDSSDEEVGDGQLCVVCLRRRRKAAFIPCGHLVCCCNCALRMEREAEPLCPMCRQDIRYMMRIYDS >PVH33169 pep chromosome:PHallii_v3.1:9:70083302:70086469:-1 gene:PAHAL_9G584300 transcript:PVH33169 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAVSGQVRLVASAAASSIADLRSLLPGSGGEPRLAAVRGYVRPKPGGMILRPPFSGEHGVITKHTQMCLFTEWRGIFGWTFDLHALLFRSWKEQIVTSFRTVPFVLASSEIGNPIGIVHINVEKADQPLPLTTVFHKLIPIETTPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRAKDEGSVEISSCPDLPFFLSELTKDEMQAELASRARILFWGSIVLGTLSVCLVGRAIYRGWKRIKLRREARQAQQLFEEAEDAIQEGDSSDEEVGDGQLCVVCLRRRRKAAFIPCGHLVCCCNCALRMEREAEPLCPMCRQDIRYMMRIYDS >PAN51303 pep chromosome:PHallii_v3.1:9:70082464:70086483:-1 gene:PAHAL_9G584300 transcript:PAN51303 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAVSGQVRLVASAAASSIADLRSLLPGSGGEPRLAAVRGYVRPKPGGMILRPPFSGEHGVITKHTQMCLFTEWRGIFGWTFDLHALLFRSWKEQIVTSFRTVPFVLASSEIGNPIGIVHINVEKADQPLPLTTVFHKLIPIETTPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRAKDEGSVEISSCPDLPFFLSELTKDEMQAELASRARILFWGSIVLGTLSVCLVGRAIYRGWKRIKLRREARQAQQLFEEAEDAIQEGDSSDEEVGDGQLCVVCLRRRRKAAFIPCGHLVCCCNCALRMEREAEPLCPMCRQDIRYMMRIYDS >PAN44975 pep chromosome:PHallii_v3.1:9:4892883:4897355:-1 gene:PAHAL_9G085400 transcript:PAN44975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-1 [Source:Projected from Arabidopsis thaliana (AT3G13170) UniProtKB/Swiss-Prot;Acc:Q9M4A2] MAGWEKRRGAAPLEGDEHRLRRRQEEAALLLRRIRGLVRWVVEEVAVGRSPSIVLHRYRNYCSAADAASPSPCACSYDAPVGTDVLSLLHKDCHTSRLNVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFVEVAVVDHAINDICILFKCSRHNLNVVPVVKGLVMGWIRFVEGEKKVYCITNVNAAFSVPVSIEAIKDVVSVAHYILVVEKETVFQRLANDKFCERNRCIVITGRGYPDIPTRRFLRYLVEQLHLPAYCLVDSDPYGFDILATYKFGSLQLAYDANLLRVPDIRWLGVFTSDLEDFCLPDCCLLHLSPEDRRKAEGILARCYLHKEAPEWRSELEAMLQKGVKFEIEALSASSISFLSDRYIPQKIKQGRHL >PVH31869 pep chromosome:PHallii_v3.1:9:17398039:17398612:-1 gene:PAHAL_9G250000 transcript:PVH31869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQRFTYVYVQITPCLTIQNITRVLPHFYPVRRCPAPPAAAPSRHFPVAAWCRTPGFTAAFAPGRNLALALPPLAARRTAGRARTRSRCFWGGVTAGSKDQARTSSTSCWEIEYTDFSLLSSATLWSIWKLIGPNLSLELDSIEQLLDPMVNSTRLFA >PAN47051 pep chromosome:PHallii_v3.1:9:15152495:15154819:-1 gene:PAHAL_9G230400 transcript:PAN47051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEALVLAPQPAVSPPAASPDKKALAAAPTSALKTRATRRKTLCDITNLSRREPAEVPNESACPAPEKLAQLVKENADLVSLIAERDEIIQLSGTEIQKLRLANWELARTNSQMMAELNLGRNKLKALQHELACSRAALKAKTSELEDVKKAMQRRNIHTQRTQHLGPDKVAQTKDGDVVDPEPASEATRAGSIQRSGNASRKRMLRSRSLGPAASTKLALPKDKETSQRRKSMRVPQPSASSEDLFEIEDVEVAIGSCKIDPDTASGSERAGHQFQRRSSLGRPLRQARERVTSYKEMPLHVKLRRP >PAN47555 pep chromosome:PHallii_v3.1:9:33056645:33057468:1 gene:PAHAL_9G302500 transcript:PAN47555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to predicted protein [Source: Projected from Oryza sativa (Os10g0404300)] MSSRRSRSRASSGGASRISDEQISDLVAKLQALLPEARLRSNDRVPSARVLQETCSYIRSLHREVDDLSDRLSELLATADVSTAQAAVIRSLLM >PAN51292 pep chromosome:PHallii_v3.1:9:70052552:70056391:1 gene:PAHAL_9G583600 transcript:PAN51292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMKPPPHGHGTPTLFALLSLSLLLLRLLLRLRFAAFRDAALSLHLLARLRLRPVLLRLPAGAGATTLRVWCPSSPSRKPPLLLLHGFGGDAKWTWARNLPRLSRHFHVYAPDLVFFGAHSRSASPLRSVAFQARCAADAMRLLGVPRYDVAGISYGGFVAYRMAAAEARGAVGRVVVMTSGVAATPAEMREMAAREERTVEEALLPETAEGLRFLVRRSMHRPPPWMPDFVLDDFIKLMCVDQRKERAELLQELLKNGAGFDPLPVLTQETLIIWGDKDMVFPVDLGHRLQRHLGGNSRLEIVKDAGHALQLEGAEHVNKFIKSFLLDERQTGPGVAVAQK >PVH31826 pep chromosome:PHallii_v3.1:9:16457202:16460484:-1 gene:PAHAL_9G243000 transcript:PVH31826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGNKGPNHRTAPLSSSSSPHHSLHLPKLQSSTLGAAGEAMMGLLRYMKDLYIGPPRGKGVIQDLEHAMRTKGGLTLEEDEKLRIINSIPSAAFVLFSGFGSFVGWFAGTKLLKLPPSSRFARFCSATGCGYIMGKAMYSGIFCRCPVALLEAEEGRMKMELANIILTKHSDDEYLVEAVKRHFFAEHLFDDLHQDQPFFRWHPRRSYTNSAFVERMKEIEATNSDDEARTVSGGTTADNGPSGDLMEDPFACILGSPGHVESNNPPGNISTVLKRSELRARRSRHRHHHRRHADDKFAAL >PAN47224 pep chromosome:PHallii_v3.1:9:16457543:16460142:-1 gene:PAHAL_9G243000 transcript:PAN47224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGVIQDLEHAMRTKGGLTLEEDEKLRIINSIPSAAFVLFSGFGSFVGWFAGTKLLKLPPSSRFARFCSATGCGYIMGKAMYSGIFCRCPVALLEAEEGRMKMELANIILTKHSDDEYLVEAVKRHFFAEHLFDDLHQDQPFFRWHPRRSYTNSAFVERMKEIEATNSDDEARTVSGGTTADNGPSGDLMEDPFACILGSPGHVESNNPPGNISTVLKRSELRARRSRHRHHHRRHADDKFAAL >PAN50997 pep chromosome:PHallii_v3.1:9:68733905:68736866:-1 gene:PAHAL_9G563200 transcript:PAN50997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, Strigolactone receptor, Strigolactone perception, Reguration of shoot branchin [Source: Projected from Oryza sativa (Os03g0203200)] MLRSTHPPSPTSGSGSSAAPASSSDAKMVGGGGGGGAAGSGAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPEHFDFRRYDTLDSYVDDLLAILETLRIPRCAFVGHSVSAMIGILASIRRPELFAKLILIGASPRFLNDNDYHGGFELPEIQQVFDAMAANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARF >PVH31464 pep chromosome:PHallii_v3.1:9:9274126:9275071:1 gene:PAHAL_9G151100 transcript:PVH31464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRDLLADPRFKDLFDFVFIDEKWFHLSQKFEKYHLLPKKMIPNGLVRTRTTSINSCFLCFCARPRFRDENCIFDGRIGCFSLVTYEPAIRGNQRTDRVRGDMVTKPITSITRDTIRDFMINKVLPAIQTKWLREDVGKQIDNAPSHLKLDDPVFYEAAKQERFNIRLICQPSNSSDFNIFDFQHS >PAN47937 pep chromosome:PHallii_v3.1:9:33171930:33174325:1 gene:PAHAL_9G303100 transcript:PAN47937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRAMAALLHLLPALLLLLPSTPEATSSALLGISYGRVGNNLPPATSVPRILVSLGVGRVRLYDADPATIRAFANTGVELVVGVPDECLSAVSTPAGAASWVRSNIAPALPATKIAFLTVGNEVLTGANSSLLSRYLLPAMQCLHDALAQAGLDKQIAVTTAHNLGVLATSYPPSLAYFRKDLLPVLCPILDFHARAGSPFLVNAYPYFAYAEEPTGVELEYALLELGHAGVADPGSGLHYTNMLAAQVDAVYHAIGAANSAAARAVEVRVSETGWPSAGDANETGATPQNAARYNGNVMRLVAQGKGTPLRPSVPLRVYMFALFNENLKPGPTSERNYGLFKPDGTPAYELSYRLPQDNTTTSSSGGGVAGGGVYNGHGYGSENGGYYSISTAGKATMGWWRWRQAAVAACVAVLVMQLWL >PAN49222 pep chromosome:PHallii_v3.1:9:60068135:60071315:-1 gene:PAHAL_9G434600 transcript:PAN49222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGARPSAPSAAAAAAAGAGGASGADEPRDARVVRELLRSMGLNEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKTQIDADDVRLAIQAKVNFSFSQPPPREVLLELARNRNRIPLPKSIAPPGSIPLPPEQDTLLAQNYQLLPPLKPPPQVEETEDDIEETNPSVTPNPGNPNPNYSQDQRGNEQQHTSQHGQRVSFQLNAVAAAAAAAKRPRMTIDQLNMG >PAN45375 pep chromosome:PHallii_v3.1:9:6700324:6706618:-1 gene:PAHAL_9G114200 transcript:PAN45375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPEMLDALAGWFTQSLSPDAAARRAAEQSISSATSSPGFALALLGLSASPRPDLQARLAASVHFKNLLRRRWPKPDAEADDAADHLPASDCAIIKANLLQVLLTAPPLIQAQLSEALAAAAASDFPAMWESLLPSIVSSLGTAVNAGDVAATNSLLAAAASLFSRFRNAFDNNALRLDLKYCLEIFAAPLLEVFLFASRRLQAAATTVNPLELRPVFECLRLCCEIFYSLNSIDLPEFFEDNMRQWMTEFRAFLTTSYPPPVEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAQTASPSRAQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRLRDDDEELFEGNWVEYVRRDSEGSDADTLRRAACRLLRGLAANYRDQVAALVSAQVQQMLAAYAADRANNWKEKDAAIYLVIALMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWQSEPMLKATVLRFLKEFKDQIPKATALALLPSMMRFLTHESNVVHSYAATFIENLLIIKDAVPVPGVSTVTRSPRYVATDINPFAPQIIQNLSTALSFPDSYENPYLMKCLMRVLGIANISGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGRTGEQDPTLLPAFETSLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILAISRSLISRSSTEDSAFYMLNTLVENVGLDIMNPYMSEIWSALFTRLQTRQAVKFVNSLVVFMSLVLVKYGSGVLVSSIDAIQPNLFTQILPRFWIPNLKLIKGALEVKLTAVASTKLLCESAVLLDAAAAQLWGKLLDSIVTLLSRTNQDGAQQEQNDGADAVDIQKTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPVIEQHVDPANKSVLLQLCAAYNANIV >PAN45664 pep chromosome:PHallii_v3.1:9:8052918:8058862:1 gene:PAHAL_9G133700 transcript:PAN45664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGAPQEDVPGEGESARDEVLQPQQEREEAGEQEEDDGVEVEEEEEEEAPTHLPFAPSSELLDDVTTVDPSYTISLIRQLLPQGSNVEKEFSAKQGAPEEKGENSDNGESAQLENKDPWEECGCILWDLAASKPQAELMMNNLVLEVLLANLHVTQSPRVKEICIGIMGNLACHESLVNAISMQNGLITTVVDQLFLDDSACLSETFRFLAAVLRSSASISWAEALLPDEILSRVLWIVGNTLNSTLLEKSIDFLSTVIDNQDVTSILLQPLIKVGLTDHVISLLASEIEKISDLSKFDRSASLDLILHFIEELSATDSCLEVMSSSDQLIQVLDKIIKLPDKFEVSSYCASAVMILANLLADGKHIAPSLSHDLPFLEGLFDILPLVCDDNQARNALWCILARLLAQAQGIDMNSSSLKHFVSLLLGKFTLIKDDLESHRVDKEVELSAEDAYFKHGVSTSLSTICCIMERWIAEKSSLSEEEAAPPESAIENARKLLNYCQNYDM >PAN44303 pep chromosome:PHallii_v3.1:9:1845341:1849860:-1 gene:PAHAL_9G033000 transcript:PAN44303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSYTDGAHPVVSHAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALAVHSASTAADPPEPIPFSLAAAPDPVLGSALTLTLPPDTTSFRLTFSTSPAASALQWLAPPQTASGHPFVFSQCQSIHARSIFPCHDTPAARITFSLLLNVPSQLSAVAAAHHVARRDPLPSDDRGACDDELWCAPGRIVEEFQMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFQSIDTETFLEFLKKNVPGIENQIDLQLWVEGTGIPPDAMEPDSATYKKICALAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCKCYFNEVEKCLKQVGRMKYLRPLYSSLAKCSSEEKMLAQRIFSEAQEFYHPIARSVAEAILSKHS >PVH32271 pep chromosome:PHallii_v3.1:9:52641110:52644244:1 gene:PAHAL_9G358700 transcript:PVH32271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQQNNLPRATTAAQGMRCLSCTAAAFRPANPAGRGAMAQLPSLSPPTTAAQGKHCHSSATASRPAGPAARGAMEFDYFDVIDFEATCERDSRIYPQEIIEFPAVLVDAANGRIVSSFRTYVRPRHHPRLTAFCSELTGIRQDQVDGGVDVEQALAMHDAWLTEAGAAKNRLAVVTWGDWDCRTMLEFECSFKGLTKPSYFNQWVNLRVPFEAAFGAGRRNLQEAVAEAGLQWNGRLHCGLDDARNTARLLAELMQRGVTISITGSLAPPPQPEPQPQPQPQPQPQPQLQPQQPPVNHSLSSCFSGAVAADCYCYCGMAIRRGVMAMPGPMQGHCFFICGNWTPSLGPVCPFFIWAT >PAN44016 pep chromosome:PHallii_v3.1:9:712927:717274:-1 gene:PAHAL_9G011700 transcript:PAN44016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NUF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G61000) UniProtKB/Swiss-Prot;Acc:Q8RXJ0] MASTFSFPEMTPAQLAEGLHTFGIAPTANLRAEDIVIPQPDLLPGVLSLFLSTVVGDDPDDQLGFDLLQKLDNPELYMGAIGLRRIYRRARDVLESIHFGGLTFRDFLRPEPRRVVYILSAIVNYLHFRHEKLALLNPIVQEFSGVEERLTDARARIAELQKVKEDHAYKEQMDEPAVQQLQAEVNALKQKIQEYNTKQLALRSRAKAIDEKKEGILAKISQADFELMKHSQENSKLLSKIVQSPEKLQKNLEEKKGVRDELKTLEKMAMHKVQDKNNTLEMYAKVCEKLSKHLSKIDALHETSTAAKASEKEVKTLKAKISDQSLETKTLRIKAAEWQSKVHETEDRLKAKEKEKDQRIGENKQKMTALKSEVESELKCLADRERETEEKVAKAADLCSQADVVDVAGRKKREEIFARFEQVCETADLYMDGIDRSVKEVDEASMTIIAQSVALCDDKYPSGME >PAN45884 pep chromosome:PHallii_v3.1:9:9151703:9157143:-1 gene:PAHAL_9G149000 transcript:PAN45884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDAETVQAAPALAAHLQNSPKPQVSKYYFKKKTSSHSSRNGKDGANHDSRIQPGSPLSRQSLTFYDAPTYHAGAFYEIDHDKLPPKSPIHLKSVRVVKVSECTSLDVTVKFPSLQALRTFFSSYPAFAIGPELDERFVMSSNHAARILRRRVTEEELEGEVHQDSFWLVKPCLYDFAACQQEAPPPPPPAAPADDSCLLTTLKCDGAGWGMRRRVRYIGRHRDEAPKEAGIDGSETEVVSIREEQQRPATREVTRSERNCKRKREAEGSSKEKHRDEGKTNRKVQGGSKKSSKKAKKRTVESKDGDPRHGKDRWSAERYAAAERSLLDIMRSRGARCGAPVMRQVLREEARKHIGDTGLLDHLLKHMAGRVPEGSAHRFRRRHNADGAMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSLVAGDLLVKRQVEELTEEVSDVKRQMEQLVGKVGNFDAERAYGSLKEKYQSVVRANEKLEKQVFSLKDICDNMVQVNDELKKEVLSFKDKYEHIADKNDKLEAQITHLSSSLLSFKDHFVMALTTETARQLGLAPSDEDQEAAPRTAAVYLASGDQMTPRADGTVIQGGPDRSARKSSFRVCKPQGTFLWPSMASGTTISGGVSSSCPAAATPGPGIPRGTSCPSSAGPGLPRSSRAPAVEAAAAAAATAWSGRDEHLMLGGVFSTPPSASSTNAAAAAAKLQLSLPSPRSPLQPQKLFGTAAAAAAAAAAGFSPQKLLQFSGLTRLHVDTPPSSPGACGASLLEGKRALFNADAGGIGVVGTELALATPSYC >PAN46968 pep chromosome:PHallii_v3.1:9:14942468:14943145:-1 gene:PAHAL_9G227900 transcript:PAN46968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLSMGTSRGGGGRRRVGAGSDGGAAAPVKQLLRRLLRCRLSAGRPGRAAVRFGYDLQSYSRNFDDGIGSSGHRF >PVH33287 pep chromosome:PHallii_v3.1:9:72073018:72075681:-1 gene:PAHAL_9G617400 transcript:PVH33287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNYAIPPRPNGSSRFQMFSRLLPHRHSHHRRLLQTLPCAAAKSAAEVDLHQRPCSTTAASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALTTAGGHPRLEQFMGSRVSRLLRTDLLAVLAELLRQDHVILSMKIYGVVRKEIWYRPDMYFYRDMLYMLARNKKVDETRT >PVH32868 pep chromosome:PHallii_v3.1:9:65171700:65174545:-1 gene:PAHAL_9G509300 transcript:PVH32868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLERLSEELMVLPRSFSRRVPCMLLRAVVVLSALLAAARAAFVPTDSYLVLCGTAASATVGGRTFVGDATLPGSVLSAPQSAGANASAGAANASSGEAELYRYARVFPAPSTYTFAIKRPGRHFVRLHFFPFPYQSGDLAADARFSVSVQGVVLTDGPYAPANGTATVREFSVNVARGTLAIAFTPTGKVAFVNAIEIVSHPDDLFAGTAQTVNPLGQYTGLSTQALETIHRINMGTPKITPSNDTLWRTWLPDETFLVDRTVAVPMDVAPKTVQRTPGFATPEAAPDMVYATARELNKALMDSTISAQFNMTWRFPSTPGWAYLLRLHFCDIVSKAANQLAFNVYVGGWSVLSNYEIANKDTFGSLAVPLYKDFVLSAKDAMGNITVSVGPATGLGNMDPDGLLNGLEIMRIVGSTGGGGDPSSQSRSKKIIAGIVAGSAVAVVTVVMAVAFLVLRARRRKKPEKKPSSTWAAFSASALGSRSFGKSNSGGTRNNTVTLGQSAGAGYRFPFAALQEATSGFDEGMVIGVGGFGKVYKGTLRDDTRVAVKRGNRRSRQGLNEFRTEIELLSRLRHRHLVSLIGYCDERGEMILVYEYMARGTLRSHLYDSELPPLSWKQRLEVSIGAARGLHYLHTGSGAKAIIHRDVKSANILLDDSFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARPVIDPTLPHDMVNLAEWATKRLKNGELDSIVDQRIAGTIRPESLKKFADTAEKCLAEYGVERPAMGDVLWCLEYALQLQEASPDSSGTDDTKLVPEYQRNLSTASDGSASTMSANLGDLDGMSMRRVFSKMIKSEEGRSSSAQRGISHS >PVH32867 pep chromosome:PHallii_v3.1:9:65171527:65174929:-1 gene:PAHAL_9G509300 transcript:PVH32867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLERLSEELMVLPRSFSRRVPCMLLRAVVVLSALLAAARAAFVPTDSYLVLCGTAASATVGGRTFVGDATLPGSVLSAPQSAGANASAGAANASSGEAELYRYARVFPAPSTYTFAIKRPGRHFVRLHFFPFPYQSGDLAADARFSVSVQGVVLTDGPYAPANGTATVREFSVNVARGTLAIAFTPTGKVAFVNAIEIVSHPDDLFAGTAQTVNPLGQYTGLSTQALETIHRINMGTPKITPSNDTLWRTWLPDETFLVDRTVAVPMDVAPKTVQRTPGFATPEAAPDMVYATARELNKALMDSTISAQFNMTWRFPSTPGWAYLLRLHFCDIVSKAANQLAFNVYVGGWSVLSNYEIANKDTFGSLAVPLYKDFVLSAKDAMGNITVSVGPATGLGNMDPDGLLNGLEIMRIVGSTGGGGDPSSQSRSKKIIAGIVAGSAVAVVTVVMAVAFLVLRARRRKKPEKKPSSTWAAFSASALGSRSFGKSNSGGTRNNTVTLGQSAGAGYRFPFAALQEATSGFDEGMVIGVGGFGKVYKGTLRDDTRVAVKRGNRRSRQGLNEFRTEIELLSRLRHRHLVSLIGYCDERGEMILVYEYMARGTLRSHLYDSELPPLSWKQRLEVSIGAARGLHYLHTGSGAKAIIHRDVKSANILLDDSFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARPVIDPTLPHDMVNLAEWATKRLKNGELDSIVDQRIAGTIRPESLKKFADTAEKCLAEYGVERPAMGDVLWCLEYALQLQEASPDSSGTDDTKLVPEYQRNLSTASDGSASTMSANLGDLDGMSMRRVFSKMIKSEEDHRLHKEESLTANPNRALQQAYTEQHMSTLTAGI >PAN44387 pep chromosome:PHallii_v3.1:9:2151824:2158001:-1 gene:PAHAL_9G038800 transcript:PAN44387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:Projected from Arabidopsis thaliana (AT1G08520) UniProtKB/TrEMBL;Acc:A0A178W355] MATATALSTSLPHLPPRRTTSFPSFAAVSLPSRASRLRESRLAAAAPTASEVLDSTNGAVPTAAKSAAARGYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNFPDEWEDGLADRLQYDADGNVKTEIVKTPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDDGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVNISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVFVDDLKKAVELVILPRSILSDNPQDQQQEQPPPPPPPPPPENQDSSEDQDEEEEDDQEDDEEENEQQDQQIPEEFIFDAEGGLVDDKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRREKENDKARKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAAAASDAPRPSSKELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALTDLKGS >PVH31185 pep chromosome:PHallii_v3.1:9:4391879:4392357:1 gene:PAHAL_9G076100 transcript:PVH31185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYTTRNRCECVEAKGGNAIKSPRRTPAHRAALYPYLSA >PAN51563 pep chromosome:PHallii_v3.1:9:71193550:71194921:1 gene:PAHAL_9G603500 transcript:PAN51563 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASYMMETRIC LEAVES2 (AS2)/LATERAL ORGAN BOUNDARIES (LOB) domain transcription factor, Crown root formatio [Source: Projected from Oryza sativa (Os03g0149100)] MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAQAAQGHAGVHEDAKGYMGSAAEQLGYGYPWCGSNGGAGAVGAPPAAQPSAYSNGGHESLTALLGSDYMQQSLYHAFEQAGAADDDGRQAAAFEAAADSSSFGAEESGWRSSSGYQDCEDLQSVAYAYLNHRS >PVH32003 pep chromosome:PHallii_v3.1:9:29836833:29837433:-1 gene:PAHAL_9G287200 transcript:PVH32003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAKRSAKAVGCQNASVGERSALEGSKRESGGRRSGSENVGLRNENIGENPMPRKPKVSSARFVHGG >PAN51900 pep chromosome:PHallii_v3.1:9:72818596:72819162:1 gene:PAHAL_9G628400 transcript:PAN51900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSAAMDHRAAPSLPAEEKRRIERVARCVARDRDGDLTEKLLLRLLSITRNGRRWGFLAPDHPLHPYYLQQKVSERCRILRPRPAAAADR >PAN45350 pep chromosome:PHallii_v3.1:9:6594063:6594437:-1 gene:PAHAL_9G112400 transcript:PAN45350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSKVSIAVVACARRFTRRLRRGGSSCLGRQLVAADGGGAAGDRGDGGGGGREQGALWRRAILMGQRCEPLDFPGAIHYDSSGRRVESPRCGSRKAAAGALFCRSSDAVDEAVTAAKKAS >PAN51961 pep chromosome:PHallii_v3.1:9:73152488:73153539:-1 gene:PAHAL_9G632800 transcript:PAN51961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARVVLASAAAVLLLLVDGGACAMYKVGDLDAWGVPPPSKPDVYKRWAKSIHFALGDSIWFLYPPSQDSVLQVTPQAFAACDLSSPVLKLADGNSVFNLTTPGRAYFTSGTPGHCLKGQKLWVDVPMANGTYLQPSASDLAALAPTPAAEAPEGSLPASAPAGAAHPSPALRAVAGAGSVAAAAALSFALPLLL >PAN51022 pep chromosome:PHallii_v3.1:9:68817275:68821364:1 gene:PAHAL_9G564500 transcript:PAN51022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQHSKDRMFITRTEWATEWGGAKQKEVAAPFKRLPFYCCALTFLPFEDPVCTVDGSVFDLMSIIPYLKKFGKHPVTGAPLKQEDLIPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAVQELNIKPKNWKELLTDEPFTRNDLITIQNPNVLDSKVLGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKEAFLQGGGGQKAQKERAAALAAILARKEKDDSKSGKEPKPHQTFSIVDAASASVHGRSAAAAKAASAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNEYEYVKVERNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDQPLEEIKILKVSVFVNPYTEPDEEEEKAKEEEEKKKDEDYDKVGSWYSNPGTGVAGSTSTGGGVGKYLKARTAGSVDVTGNAGAPDDSSKKRKATASSVEFKDFSGW >PAN46774 pep chromosome:PHallii_v3.1:9:13840478:13844208:-1 gene:PAHAL_9G213700 transcript:PAN46774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAEKPVAVRRAEELVEREMAGRDASHDAAHALRVRDLALSLGAEEGLTAPARLLTVELAALLHDVGDYKYTKNNMEDMSVVEMFLEEVGLEAGQKDEIVSIIKGMGFKNEVSLKSIVDSTLEFAIVQDADRLDAIGAIGIARCFTYGGSKNSALHDPRILPRDNLSKEKYMSKEEKQTSINHFHEKLFKLKDMMKTEAGRRRAEKRHRFMEDFVTEFYEEWSGRA >PVH31183 pep chromosome:PHallii_v3.1:9:4369701:4370788:1 gene:PAHAL_9G075700 transcript:PVH31183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNSARGVQGGHGGSNMHRLLGLDTMPHLPLHVLPGGGNAGGAPASLWPPAAPRPIPTPPLMDSQIGMGALGQHDVLSSLGLKLPPPSSSPAASYYSDQLHAVVSSAAGRPHEYDGPGATSLPCTTAATSLPPAASGVSAALSSATVGLDLPPVSLPAPEMQYWAGPAAMSVAWPDLPTPNGAFP >PVH32101 pep chromosome:PHallii_v3.1:9:36133138:36138551:1 gene:PAHAL_9G312000 transcript:PVH32101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKKSCSRASSALALLLACLLQKRHAVQPCPVPPKSLQEKAAPTTRELISKNLHKIRQRFGEHQANVRPVRRVEARFAMGRSRKDSGDLAEALEEDEEEAEEQTEGEEEEGEEQEQTEEGEGEEDEAGAGAEAETAAQDAVEPPKLAEGYFEIEAIRRRRLRKGQLQYLVKWRGWPESANTWEPLENLKACSDIVDAFDKRSRSPRSSRKRKRKTATTPTSDPNPSRGKRGRPPRSEARSMTGPHAPEPKKLPCRTSSRRASNNGNKTLFGELEASVNVLGQRVVQEGSSGVVSVGFPSQGVPLSVSLTDQQDEHHPANGSSKVENSVRAAPSQGGQITGAKKRKSGCVRRFKQDEAAIQEQGGTSDKPGNEYVDSTEGETGDKNKGEDSASQIHNPKILKIIKPVRYFATVLDGVQQVAITFKALRSDGTEVLVDDKQLKAKEPLVLIEYYEQHLRYNPTSTH >PAN46297 pep chromosome:PHallii_v3.1:9:11029113:11030888:1 gene:PAHAL_9G175600 transcript:PAN46297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAVAAGDDAVGTRKGGTGGGGGTAPPPATQQQQQPPPPPPEQGLRCPRCDSSNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAVAAAAAAAAASSRLSLNLPVEGGVGDQQAAARLGFLGAGGAPVASSPIGGAPAADYQQAAGAVGMMALPRLHAAQAVGQYVPFGEWPSGAGGDVSGGGGGHAMNDHAGAVSSSIASSIESLSFINQDLHWKLQQQRLATMFLGPPPPPTSAAAHVDGAPASAAHIGGAFLQMAGPPPGMESTMPAATSWFMDSSYGVLPSPTAHANNAAAATAICNVAVSSGRSSGDDDSGTNCGSAIPSWGDMSTFAMLP >PAN45453 pep chromosome:PHallii_v3.1:9:7078168:7088806:1 gene:PAHAL_9G120400 transcript:PAN45453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASSGAAANLWVLLGLGIAGVLLAARKLKRPARPDHGAFIARLELLPPPQPPPPQAPHPLTGLCFAIADALHVSGYITSFGSLEWAKTHDTETQTSPVVSALVDGGAVCVGKTVIDEMAYSIHGENKHFDTPTNPAAPDRVPGGCSSGSAVAVAGGMVDFALGIDSIGGVRIPGAYCGVLAFRPSHAVVSSGGVIPVAPSLDTIGWFARDPSVLRRVGHLLLRLNYADIRPPRHFYIADDCFELSKIPARRLTQVVTKSVEKLFGRQVTRVNLENYLASKISSLRNYSNGHRNGDSKFPSLLALCNAMRSLHKHEFKDQHMEWINSVKPAVDARIVSNLSEDGDSDIDGCQDVRNKARLALGALLKDDGILVIPTTSGCPPKLNAKELSSESYNSQTLCLSSLASMSGCCQVSIPLGTHDKCPVSVSFIARHGCDRFLLDAIQTMYATIQEQVEILAKSNVSSKQAMNEEAAEAAKEKGNAAFKEKQWQKAVNLYTEAIKLNGKVATYYSNRAAAFLELTSYRQAEADCTSAIDLDPKIVKAYLRRGTAREMMGYFKEAVEDFSHALVLEPMNKTAGVAINRLKKLFP >PAN49958 pep chromosome:PHallii_v3.1:9:63664530:63669555:1 gene:PAHAL_9G487800 transcript:PAN49958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVASAGGLAMAAASTSVTPGQVSAILGFLWVFAAWAYAEVLFHRKNAASIKTHSDVNLAVMDNSSVKEDQTLLLEEGGQAVAAKPAYTSLTSQMLRLFFMDQMLLLENRLTLRAISEFGGYLLYFYICDRTDLLGESAKNYSRDLFLFLYFLLIIVAAMTSFKVHQDKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVIFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIRSVIAMKFVACFLVVILVWEVPGVFDIVWSPFTFLLGYTDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEETELRTKLYIKGSIVTVSLTAGYLWYEYIYKLDKITYNKLHPYTSWIPITVYICLRNFTQEFRSFSLTLFAWLGKITLETYISQFHIWLRSRVPNGQPKWLLSIIPNYPLLNFMLTTAIYVAVSHRLFELTNTLKIAFVPSRDNKRLSYNFVAGIAISVAIYCVSFVLVGIAGY >PAN49957 pep chromosome:PHallii_v3.1:9:63664530:63669555:1 gene:PAHAL_9G487800 transcript:PAN49957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVASAGGLAMAAASTSVTPGQVSAILGFLWVFAAWAYAEVLFHRKNAASIKTRHSDVNLAVMDNSSVKEDQTLLLEEGGQAVAAKPAYTSLTSQMLRLFFMDQMLLLENRLTLRAISEFGGYLLYFYICDRTDLLGESAKNYSRDLFLFLYFLLIIVAAMTSFKVHQDKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVIFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIRSVIAMKFVACFLVVILVWEVPGVFDIVWSPFTFLLGYTDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEETELRTKLYIKGSIVTVSLTAGYLWYEYIYKLDKITYNKLHPYTSWIPITVYICLRNFTQEFRSFSLTLFAWLGKITLETYISQFHIWLRSRVPNGQPKWLLSIIPNYPLLNFMLTTAIYVAVSHRLFELTNTLKIAFVPSRDNKRLSYNFVAGIAISVAIYCVSFVLVGIAGY >PAN44704 pep chromosome:PHallii_v3.1:9:3628939:3633864:-1 gene:PAHAL_9G062800 transcript:PAN44704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDSNARPAVNQKKPIVTDDDLVELLWHNGSVVAQAQAHLRPAPSDRSGSSGLTGEETAAWFPDTLDDALEKDLYTQLWYSSIVDAAPHHGDTLPGPSSPPPPPELAHPVTPPVGSSGVESSWAGDICSTFCGSNQVPRTPAGVNRGKDASLQSEVPRGAGPGTSSSGGSGSNYGGSGLPSDSGHVQKGKGMCRDDSDSRSEDAECEATEEAKSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQIQVQIMWMTSGMAPMMFPGAHQFMSPMALGMNSACIPAAQGLSQMPRVPYMNLSLPNHIPLNSSPAMNPINSPSAANQMQNVHLREASNHFLHPGGGQTATPQVPGTHAYGPEIPQAEQHNRILEVPASTVAPTSMSGQPRTYGGA >PVH31127 pep chromosome:PHallii_v3.1:9:3630497:3632396:-1 gene:PAHAL_9G062800 transcript:PVH31127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDSNARPAVNQKKPIVTDDDLVELLWHNGSVVAQAQAHLRPAPSDRSGSSGLTGEETAAWFPDTLDDALEKDLYTQLWYSSIVDAAPHHGDTLPGPSSPPPPPELAHPVTPPVGSSGVESSWAGDICSTFCGSNQVPRTPAGVNRGKDASLQSEVPRGAGPGTSSSGGSGSNYGGSGLPSDSGHVQKGKGMCRDDSDSRSEDAECEATEEAKSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQIQVQIMWMTSGMAPMMFPGAHQFMSPMALGMNSACIPAAQGLSQMPRVPYMNLSLPNHIPLNSSPAMNPINSPSAANQMQNVHLREASNHFLHPGGGQTATPQVPGTHAYGPEIPQAEQHNRILEVPASTVAPTSMSGQPRTYGGA >PVH31124 pep chromosome:PHallii_v3.1:9:3630497:3632396:-1 gene:PAHAL_9G062800 transcript:PVH31124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDSNARPAVNQKKPIVTDDDLVELLWHNGSVVAQAQAHLRPAPSDRSGSSGLTGEETAAWFPDTLDDALEKDLYTQLWYSSIVDAAPHHGDTLPGPSSPPPPPELAHPVTPPVGSSGVESSWAGDICSTFCGSNQVPRTPAGVNRGKDASLQSEVPRGAGPGTSSSGGSGSNYGGSGLPSDSGHVQKGKGMCRDDSDSRSEDAECEATEEAKSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQIQVQIMWMTSGMAPMMFPGAHQFMSPMALGMNSACIPAAQGLSQMPRVPYMNLSLPNHIPLNSSPAMNPINSPSAANQMQNVHLREASNHFLHPGGGQTATPQVPGTHAYGPEIPQAEQHNRILEVPASTVAPTSMSGQPRTYGGA >PVH31126 pep chromosome:PHallii_v3.1:9:3630211:3633233:-1 gene:PAHAL_9G062800 transcript:PVH31126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDSNARPAVNQKKPIVTDDDLVELLWHNGSVVAQAQAHLRPAPSDRSGSSGLTGEETAAWFPDTLDDALEKDLYTQLWYSSIVDAAPHHGDTLPGPSSPPPPPELAHPVTPPVGSSGVESSWAGDICSTFCGSNQVPRTPAGVNRGKDASLQSEVPRGAGPGTSSSGGSGSNYGGSGLPSDSGHVQKGKGMCRDDSDSRSEDAECEATEEAKSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQIQVQIMWMTSGMAPMMFPGAHQFMSPMALGMNSACIPAAQGLSQMPRVPYMNLSLPNHIPLNSSPAMNPINSPSAANQMQNVHLREASNHFLHPGGGQTATPQVPGTHAYGPEIPQAEQHNRILEVPASTVAPTSMSGQPRTYGGA >PVH31125 pep chromosome:PHallii_v3.1:9:3628939:3633233:-1 gene:PAHAL_9G062800 transcript:PVH31125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDSNARPAVNQKKPIVTDDDLVELLWHNGSVVAQAQAHLRPAPSDRSGSSGLTGEETAAWFPDTLDDALEKDLYTQLWYSSIVDAAPHHGDTLPGPSSPPPPPELAHPVTPPVGSSGVESSWAGDICSTFCGSNQVPRTPAGVNRGKDASLQSEVPRGAGPGTSSSGGSGSNYGGSGLPSDSGHVQKGKGMCRDDSDSRSEDAECEATEEAKSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQIQVQIMWMTSGMAPMMFPGAHQFMSPMALGMNSACIPAAQGLSQMPRVPYMNLSLPNHIPLNSSPAMNPINSPSAANQMQNVHLREASNHFLHPGGGQTATPQVPGTHAYGPEIPQAEQHNRILEVPASTVAPTSMSGQPRTYGGA >PVH31128 pep chromosome:PHallii_v3.1:9:3628939:3633775:-1 gene:PAHAL_9G062800 transcript:PVH31128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDSNARPAVNQKKPIVTDDDLVELLWHNGSVVAQAQAHLRPAPSDRSGSSGLTGEETAAWFPDTLDDALEKDLYTQLWYSSIVDAAPHHGDTLPGPSSPPPPPELAHPVTPPVGSSGVESSWAGDICSTFCGSNQVPRTPAGVNRGKDASLQSEVPRGAGPGTSSSGGSGSNYGGSGLPSDSGHVQKGKGMCRDDSDSRSEDAECEATEEAKSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQIQVQIMWMTSGMAPMMFPGAHQFMSPMALGMNSACIPAAQGLSQMPRVPYMNLSLPNHIPLNSSPAMNPINSPSAANQMQNVHLREASNHFLHPGGGQTATPQVPGTHAYGPEIPQAEQHNRILEVPASTVAPTSMSGQPRTYGGA >PVH31081 pep chromosome:PHallii_v3.1:9:2998315:3003824:1 gene:PAHAL_9G053200 transcript:PVH31081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDQRICHPTEFDFYICSHPGIQVAINPEPKSRATNREVLNELIKLHRKSTLGGKLPAYDGRKSLYTAGSLPFDSEEFMVTLVDPEKEKERVEREYKITIRIAERTDMYHLHQFLRGRQRDMPQETRQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSVFKPVTVIKFVEEFLSIRDTSRPLSDRDRVKIKKALRRVCIETNHQQDQIRRCKITGVTPMPMSQLMFGVSTKVEEHIHRNIATFLVKVEGTSISVRQNLSTTTIKMLVEHACDKRGIRNYDGYPVFLGKVLDDTKLLSDCKVCNNCIVEVRGRGRAGRERRIKCTTFDDEMKDIDLFSVVSPLSKTLTNTKTQEKSATFLSGQAKWYGRQVFISLCRMHFRKKSLDGKFNASHILFHDGRVVFDESCMEVDFTGHSCKNDYGVIAEIFKKWFCIPDEAEEEGRYPLFVADLIDYLLDCPLGEKSNSAAAIAFLVNHPALTTFMDRIGQCTTLDSMVDRLTEFQKFEFDEAVGSYNDWSERVEDVPAMYDTLVYDPFRRVDAPEETLYTENLRSCLKFARNHFRHARPDLSLERVEASFSLNLVDFLPMILQAIVKSFEGPPAFDMVTLLGDHVADRKCKRDVDAENPAKVIASPSKKPKISFSLKGK >PVH31080 pep chromosome:PHallii_v3.1:9:2996850:3003824:1 gene:PAHAL_9G053200 transcript:PVH31080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGGGRGGRGDRGDQQRPPYSGGGRGSPGFVWPPPPSTPRPVPVQYQVPVGYRAPMVLPHQAAYGAPAAVYWAPAPSGPSVSFSPAPRAVAVTIRAPPPSASAAPAPAPSAAALAKEVEKKLFVSETALALPAAAAAAAAQGAAASDAEDASDVDLAPVSKKGLAHPARPGVGTVGKNVKVLANQFLVDVADNNLFHYDIGGRNTVLERAFVRNGIPFEVPTIIFGADFTHPPPGEDSASSIAAVVASMDWLEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDQRICHPTEFDFYICSHPGIQVAINPEPKSRATNREVLNELIKLHRKSTLGGKLPAYDGRKSLYTAGSLPFDSEEFMVTLVDPEKEKERVEREYKITIRIAERTDMYHLHQFLRGRQRDMPQETRQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSVFKPVTVIKFVEEFLSIRDTSRPLSDRDRVKIKKALRRVCIETNHQQDQIRRCKITGVTPMPMSQLMFGVSTKVEEHIHRNIATFLVKVEGTSISVRQNLSTTTIKMLVEHACDKRGIRNYDGYPVFLGKVLDDTKLLSDCKVCNNCIVEVRGRGRAGRERRIKCTTFDDEMKDIDLFSVVSPLSKTLTNTKTQEKSATFLSGQAKWYGRQVFISLCRMHFRKKSLDGKFNASHILFHDGRVVFDESCMEVDFTGHSCKNDYGVIAEIFKKWFCIPDEAEEEGRYPLFVADLIDYLLDCPLGEKSNSAAAIAFLVNHPALTTFMDRIGQCTTLDSMVDRLTEFQKFEFDEAVGSYNDWSERVEDVPAMYDTLVYDPFRRVDAPEETLYTENLRSCLKFARNHFRHARPDLSLERVEASFSLNLVDFLPMILQAIVKSFEGPPAFDMVTLLGDHVADRKCKRDVDAENPAKVIASPSKKPKISFSLKGK >PAN47371 pep chromosome:PHallii_v3.1:9:17750621:17755194:1 gene:PAHAL_9G253100 transcript:PAN47371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAAVLTQLQLQLLALVSELRLLRERERGAREELRDAGQRWEEAQEEHRREARELRSEVAARDDALRKLEAKIKFLENENELLEKNENNLKESMEVLLQSREAFIKHYEDSTCSMQWTIQMKDKQIAVISEKLNSHLVLFSSIEKEVSAVKQVLGDVHCLVGEKENVVADLKDKVQRISVLEKDVVEKLTFLESKISAYQHELRSRARIIYELKDRLQAEKLNNSFQPQLEELKKALLVKDDIIERLTSEKQAMHVELHNMEIALQRFQDLFSSIGHERMKSFSANCGSQDVQDVNNRQPESIPGTQCGLANEHNLVTAIDEADCQLELSPGSMQVQSPKCLKSGSLPSPEPVAANTEEADCLPEPKEDVVMGDDLSPIQPTDSVNPEPDSENQP >PAN47372 pep chromosome:PHallii_v3.1:9:17750621:17755194:1 gene:PAHAL_9G253100 transcript:PAN47372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAAVLTQLQLQLLALVSELRLLRERERGAREELRDAGQRWEEAQEEHRREARELRSEVAARDDALRKLEAKIKFLENENELLEKNENNLKESMEVLLQSREAFIKHYEDSTCSMQWTIQMKDKQIAVISEKLNSHLVLFSSIEKEVSAVKQVLGDVHCLVGEKENVVADLKDKVQRISVLEKDVVEKLTFLESKISAYQHELRSRARIIYELKDRLQAEKLNNSFQPQLEEISKALLVKDDIIERLTSEKQAMHVELHNMEIALQRFQDLFSSIGHERMKSFSANCGSQDVQDVNNRQPESIPGTQCGLANEHNLVTAIDEADCQLELSPGSMQVQSPKCLKSGSLPSPEPVAANTEEADCLPEPKEDVVMGDDLSPIQPTDSVNPEPDSENQP >PAN47373 pep chromosome:PHallii_v3.1:9:17752370:17755194:1 gene:PAHAL_9G253100 transcript:PAN47373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWTIQMKDKQIAVISEKLNSHLVLFSSIEKEVSAVKQVLGDVHCLVGEKENVVADLKDKVQRISVLEKDVVEKLTFLESKISAYQHELRSRARIIYELKDRLQAEKLNNSFQPQLEEISKALLVKDDIIERLTSEKQAMHVELHNMEIALQRFQDLFSSIGHERMKSFSANCGSQDVQDVNNRQPESIPGTQCGLANEHNLVTAIDEADCQLELSPGSMQVQSPKCLKSGSLPSPEPVAANTEEADCLPEPKEDVVMGDDLSPIQPTDSVNPEPDSENQP >PAN50692 pep chromosome:PHallii_v3.1:9:67139122:67143266:1 gene:PAHAL_9G538800 transcript:PAN50692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVKSHHQMLASSSTSSSSPSASRQPPPPAAAAPPQPPSSSCLTDQQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRAPPGGGEDGGANGSATTGGGAPRKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSSRMRGEVAVTSTLPVIRPAVPRPHPPAVAARPELQLLPASSTAAPVTTATALSTITAATTTASQAEPHAAAATKLQLSIGPTVTTAASDGAAAAAVGGGEEDAEELSRAVEEKAEADAARERARAEAAAAERALEEARRARQRARADLEKACALRDHAARLLAQVTCHACRQRSLLAMSVAAAVGEGHGHGGPAVACDPVRGGGVGAGI >PVH30881 pep chromosome:PHallii_v3.1:9:435137:440419:1 gene:PAHAL_9G005500 transcript:PVH30881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNILVLGEHVLKATGRKRKHDAAMECAEGNDAMVLSQHDPRIHLVRFDDRAQCKKSKVTSCGSGGILESYWNFKESGLPVRVLFYQHGDWSDFPEDVVNLAQRDFQLKRPITTAVFQNKHILLDFIHMICLDYEMTTNNPLAWVDDHGKGFFPDLSAGLYTSKPSQLEKGEAAERAGMSTSVAESSSSVSVGGVVSYGKRINNIEEDNLKAHNKLAEGIGKNKSGPSIHLNEYSSGTVQAAIGKRNNGPRVDSAVRKLLLQELGQPFKEKDIIGIYRTPLLDQHGQVRSGLFQEEVEVTKSRRGNANVRYAWLPCSRGSMEEMMMRGALEIAKPQQVHTLGLGTCLAPANCSNSCASYSDFHENGIIRMMLCRVIMGNVEVVLPGSKQFQPSNESFDSGVDDLQNPKHYIIWDANLHKRIYAEYAVIVKVPPMINEYLVSKDSVSNISEIISSGSPDNQTKGDRFQTLAPSAVEQEAPKLRRAPRAPSSPWMPFSMLFAAISTKVPRSDMDLVIRYYEEFKSKKISRSDLVIRMRQIIGDKILVSTVMRLQQKFPPMAAAGLPRALGRGRE >PAN43951 pep chromosome:PHallii_v3.1:9:435128:440419:1 gene:PAHAL_9G005500 transcript:PAN43951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNILVLGEHVLKATGRKRKHDAAMECAEGNDAMVLSQHDPRIHLVRFDDRAQCKKSKVTSCGSGGILESYWNFKESGLPVRVLFYQHGDWSDFPEDVVNLAQRDFQLKRPITTAVFQNKHILLDFIHMICLDYEMTTNNPLAWVDDHGKGFFPDLSAGLYTSKPSQLEKGEAAERAGMSTSVAESSSSVSVGGVVSYGKRINNIEEDNLKAHNKLAEGIGKNKSGPSIHLNEYSSGTVQAAIGKRNNGPRVDSAVRKLLLQELGQPFKEKDIIGIYRTPLLDQHGQVRSGLFQEEVEVTKSRRGNANVRYAWLPCSRGSMEEMMMRGALEIAKPQQVHTLGLGTCLAPANCSNSCASYSDFHENGIIRMMLCRVIMGNVEVVLPGSKQFQPSNESFDSGVDDLQNPKHYIIWDANLHKRIYAEYAVIVKVPPMINEYLVSKDSVSNISEIISSGSPDNQTKGDRFQTLAPSAVEQEAPKLRRAPRAPSSPWMPFSMLFAAISTKVPRSDMDLVIRYYEEFKSKKISRSDLVIRMRQIIGDKILVSTVMRLQQKFPPMAAAGLPRALGRGRE >PVH30882 pep chromosome:PHallii_v3.1:9:435613:440414:1 gene:PAHAL_9G005500 transcript:PVH30882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNILVLGEHVLKATGRKRKHDAAMECAEGNDAMVLSQHDPRIHLVRFDDRAQCKKSKVTSCGSGGILESYWNFKESGLPVRVLFYQHGDWSDFPEDVVNLAQRDFQLKRPITTAVFQNKHILLDFIHMICLDYEMTTNNPLAWVDDHGKGFFPDLSAGLYTSKPSQLEKGEAAERAGMSTSVAESSSSVSVGGVVSYGKRINNIEEDNLKAHNKLAEGIGKNKSGPSIHLNEYSSGTVQAAIGKRNNGPRVDSAVRKLLLQELGQPFKEKDIIGIYRTPLLDQHGQVRSGLFQEEVEVTKSRRGNANVRYAWLPCSRGSMEEMMMRGALEIAKPQQVHTLGLGTCLAPANCSNSCASYSDFHENGIIRMMLCRVIMGNVEVVLPGSKQFQPSNESFDSGVDDLQNPKHYIIWDANLHKRIYAEYAVIVKVPPMINEYLVSKDSVSNISEIISSGSPDNQTKGDRFQTLAPSAVEQEAPKLRRAPRAPSSPWMPFSMLFAAISTKVPRSDMDLVIRYYEEFKSKKISRSDLVIRMRQIIGDKILVSTVMRLQQKFPPMAAAGLPRALGRGRE >PAN49686 pep chromosome:PHallii_v3.1:9:62229736:62233094:1 gene:PAHAL_9G467200 transcript:PAN49686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRRAVESAAPAAVMVLLLLSIAPAGGARRDWGFRSPSSSVGFTEPNTKPDVKNNGQTFVFNYTLAKAIVEYASAVYMTDLTALYAWTCSRCNDLTRGFEVTCIIVDVQNCLQAFVGVDHKLNAIIVAIRGTQENSIQNWIKDMIWKQVNLNYPNVPNAKVHTGFYSSYNNTLVRPAITNAVRKARKLHGARDIIVTGHSMGGAIASFCALDLAIRFGSNNVHLMTFGQPRVGNAAFASYFAKHVPNAIRMTHERDIVPHLPPYFFFLPRLTYRHFPREVWEHEVDGNTTFQVCDGSGEDPNCCRSVFVLFWSASDHLTYMGVKIEADDWSTCRIVLGRSAEQLQMNLASNIVTSGRSVDVVIADNSVQVD >PVH31979 pep chromosome:PHallii_v3.1:9:26920832:26921267:1 gene:PAHAL_9G280500 transcript:PVH31979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLSSNSFSYFLSSSNSPTDILYGLLAIGAVPSKRSMRNSMSRSGGIPGNSSGKTSEYSHTTLMSSIKSAFS >PAN49616 pep chromosome:PHallii_v3.1:9:61770105:61771183:1 gene:PAHAL_9G460200 transcript:PAN49616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHASWPPSSSAAVKAGAIVICVLLLLPAAAVAQQAPAEAAAAIDAEGDGNGTTRGGGGGRGGGGGGSRSKLVSSIDCQICEATCRVKCLINNLFQWGGCYQRCKGDNCNDWCR >PVH32316 pep chromosome:PHallii_v3.1:9:53946772:53948195:-1 gene:PAHAL_9G370100 transcript:PVH32316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPRQQTETADHISKLPDDILVAILSLCPYKDAVRTASVSRRWERLVAQLPNLTLLMSLLGHSTPIGTPSERRVQSMARTLRRRCRDGSHAAVKRLQLVYRKDVPMECRYADEFIALANAAKLVLHLQCDCLTAPDDGGDGDCGDEDAGAWSLQLPPATTELEVEPYWYAVWPPHIHGSSVSTLRSLALVGFTVLRQDFLLTGLPSLEHLRIGQCTLPASIDITSGVMPRLKHLDITDVSVMTDGTKAAINVLADELLTLRMSCHLFSKTKAPSKHEMFLLRPRFNFTARFTTYSSFRLRAPRLRVFEWRCCYADEVRVESVGRLTDVAVEIAAGIIPRPFCTESRSVTTQERDKLMTDILQGLMPGLQPRSWKNVKRR >PAN48062 pep chromosome:PHallii_v3.1:9:43688209:43689467:-1 gene:PAHAL_9G333900 transcript:PAN48062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSCFLTSKPSPKTPASVVVAKPKPHLLFGTRRAGSSSVTCCSFNARPSEDGAVPMIGPDWRSFRAQLYFTEQHAKSVNSSVTAIPSLPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVLGPVGVILNRPSLMSIKEAESIFADDANIAGAFSGRPLFFGGPLEECFFLLGPRAAAGSDVVARTGLFQEVMPGLHYGTRESVGCAAELAKRGVVGVRDFRFFDGFCGWEREQLRDELRAGLWRVAACSPAVLGLASVVKGGLWEEVQGLVRERRVW >PVH31824 pep chromosome:PHallii_v3.1:9:16402758:16403369:-1 gene:PAHAL_9G242700 transcript:PVH31824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVGQYTEAAENDAAEAAGELGGGGPASMVRDGTKITIGEALEATAFSAGDQPVEPSDAAVIAAAEVRATGTDEAPPDGLAARARAAVDANAFARREEDRATLRDILADATSRLGTDKEVEREDAARVVGAEVPRGGPDAAARPGGVAASIAAAARLNRGRQ >PVH31823 pep chromosome:PHallii_v3.1:9:16402503:16404046:-1 gene:PAHAL_9G242700 transcript:PVH31823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQAAENAVLGRAPRGGTAAAAMQSAARRNERRGVVARDEAATDGAAAECGVAVTEARVPSARVVTEFVADQYTEAAENDAAEAAGELGGGGPASMVRDGTKITIGEALEATAFSAGDQPVEPSDAAVIAAAEVRATGTDEAPPDGLAARARAAVDANAFARREEDRATLRDILADATSRLGTDKEVEREDAARVVGAEVPRGGPDAAARPGGVAASIAAAARLNRGRQ >PAN44058 pep chromosome:PHallii_v3.1:9:843335:849926:-1 gene:PAHAL_9G014000 transcript:PAN44058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVPPSLRRALLSSASTHYGGAQIPNPSHYPSQTILPQWRRHASVASSSAPPQSPPPPSPPRGPSRSGGGGRTVSSLNPAEVAKFAAIAETWWDANGPFKPLHLMNPTRLSFIRSTLCRHFRRDPYSSKPLEGLKVVDVGCGGGILSEPLARMGATVTAIDAADKNIKIASIHAASDPTTASIEYCCTTAEALVKEKRLFDAVISLEVIEHVANPLEFCESLSALTIPNGATLVSTINRSMRAYTTAIVAAEYILRWLPRGTHEWSKLVTPEELSLMLQKAAVSVEEMAGFVYNPLSGEWSLSDDISVNYIAFGVKKSETPSTNCT >PVH30922 pep chromosome:PHallii_v3.1:9:845402:849688:-1 gene:PAHAL_9G014000 transcript:PVH30922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVPPSLRRALLSSASTHYGGAQIPNPSHYPSQTILPQWRRHASVASSSAPPQSPPPPSPPRGPSRSGGGGRTVSSLNPAEVAKFAAIAETWWDANGPFKPLHLMNPTRLSFIRSTLCRHFRRDPYSSKPLEGLKVVDVGCGGGILSEPLARMGATVTAIDAADKNIKIASIHAASDPTTASIEYCCTTAEALVKEKRLFDAVISLEVIEHVANPLEFCESLSALTIPNGATLVSTINRSMRAYTTAIVAAEYILRWVSLLSAS >PAN44785 pep chromosome:PHallii_v3.1:9:4035956:4037807:1 gene:PAHAL_9G070100 transcript:PAN44785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTSPCYCKIRLGKMPAQTVPAPLVPFDGGDQAPASGALAAAFHLSKADLEWFNGKPSLFSSRGEAVLKVAVYAGRKGSTCGVSSGRLLGKATIPLDLKGAEAKPAVLHSGWISIGKRAGKGSPAAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRPGMQPEREGASGKERKGWSVTVHDLSGSPVAMASMVTPFVPSPGTDRVSRSNPGAWLILRPAGDGAWEPWARLECWRERGGAGTSDSLGYHFDLLLPGVDHAVPLAESSIPSSKGGKFAIDLTAAQPLSRGGTPGCSPRGSGDFSNWPLGNYRGFVMSAAVQGEGRCSKPTVEVGVAHIGCAEDAAAFVALAAAVDLSMDACRLFSHRLRKELSHLQADLLR >PAN51957 pep chromosome:PHallii_v3.1:9:73133223:73137784:-1 gene:PAHAL_9G632400 transcript:PAN51957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLGAGEEQEEFFDSREVLSPASISSPVTSGRHDDGWLFDESLLEVWVRDPCSVQERRQRFVKSLGLLDSKSNGARADDEPCLKPEATEEILPNSPSAELYSAAPTFACRGGEPTTSGDDGAGMEGLKLECVFKNLDDGTVFVVDEMGKDGSFQSLRERRSNRTVTAAEFEQTFGSSPFIRELMQRVDDSDEPSTPEKIVMRRKRRKRLGWLRRLGIGACVGDAEEDDEINSTSSSSRRSCSGKVDRVKVRPYKKRSKELSAVYKGQVIKAHEGAILTMKFSSDGQYLATGGEDGVVRVWRVVEGKRPDDHDFVEDDPSCVFFTVNENSELAPINSCEGGKGKHSKSSKGAADPACVVIPHRTFALSEDPVHEFHGHDDVILDLSWSKNRELLSASMDKTVRLWKVGCNSCLKVFSHNNYVTCIQFKPTNGDYFISGCIDGMVRIWDVPRCQVVDWADNKEIVTAVCYSPDGKGAVVGSLTGNCSYYDASENHLELESQVPLYGRKKSPLKRIIGFQYCPSDPKKLMVTSGHSQVHILDGVHVVSNYKGLRSSSQAAASFTSDGDHIISASDDSSIYMWNYANQIAPVTSRSKTIWSYERFFCNDVSVAIPWNASPAKSSISLACNIPSLRQQVSDEIHNLQEYTSYCHAEDSFEGDSLYQLPSGNFTLSSTFFAESMPRGTATWPEEQLPSNSVAPSSTLRKSQYKFLKTSCQRANTHSWGQVIVTASWDGHIRSFQNYGLPVQV >PAN45513 pep chromosome:PHallii_v3.1:9:7418043:7419775:1 gene:PAHAL_9G124600 transcript:PAN45513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSAAHKIPLEVAHTLVEIAEVARYAYHHRPGYHVAQDGDLITPPPGADGGGGASEEAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLLAAVNNASFLAHIEKLQDESARQHAELSSGNMTEVDVGDIPDKMGDGKKGSWVLVACDTAGAHLEEISGIDDENYVIINEDDIVDGLATFVARCILEDPKSKSLSPAQLQKGMSILHAGFKLNIPLNNCLGYWDLSFESCCLKFSAPLLFYLTMPFLYFFPQMPFF >PAN45514 pep chromosome:PHallii_v3.1:9:7418043:7421353:1 gene:PAHAL_9G124600 transcript:PAN45514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSAAHKIPLEVAHTLVEIAEVARYAYHHRPGYHVAQDGDLITPPPGADGGGGASEEAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLLAAVNNASFLAHIEKLQDESARQHAELSSGNMTEVDVGDIPDKMGDGKKGSWVLVACDTAGAHLEEISGIDDENYVIINEDDIVDGLATFVARCILEDPKSKSLSPAQLQKAVAKALDSMKARWRWSTFWEAGQIIYILTTWGITLAGLYKSRHVLKVAAKGAAASARFVMKAL >PVH31816 pep chromosome:PHallii_v3.1:9:16259754:16266705:1 gene:PAHAL_9G241200 transcript:PVH31816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADGASPPPPWPQNKSAAAMEASSGPLAAAAARLSARSRALPSSRDFHFYNNFPAFKSPVGAAAAKADASLGVLGGAPLLPKRQQPFPGGADLDDAHDWLVALNDDLLERFGASMDEFKALREKEEASGRRAALEAGDGFQVVYGKKKKKVGDGEEGVGRAEAFGASSSVKVAKDKAPAPGTKAKVPFHIPTIPRPQKVYTIVVDNSSKPFEHVLLERSEDGTRVVHPLEKLPIEQLIDRNVPDSEPVKPPALDDTPFTFVEDLKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREFFRDPTKRKVMHGAGRDIIWLQRDFSIYVCNLFDTGQASRVLQMDRNSLEHLLHHFCGVIANKDYQAADWRLRPLPDEMINCCCRYAREDTHYLLYIYDLMRLRLVKESSGDSDMLLEVCKRSNEICLQLYEKEQLTDSSYLHIHGLKEIELDARQLSVLSSLYRWRDGIARAEDESTGYILPNKSLLEIAKEMPVTSGKLKRIVKSKNFERHLNTVINTIRDAISASGAFESIAEQLKQGKLEELTVANATKSSEDAEMIPAVAVDYNEDAIDESAVVSTVITNVGTASPCGGTVTSGASFDNMHLEDFMPKNKNSGTSSGFTGQADKEILSNGQQQVAKATVQVSKRTTAFGALFGKPAAVRRPNPFQGFSNDQGKSKVDKITSSVVLPFHNFSGSVKPPSGSLPLKEQVHSEPESIQHSDPACQMEDVIQLDTETDDLQPPESHNDDDEHQEPKDMEMSKSPSDVPSDTEQRFRSLNEERNVQQNQRTPQEPEFRFPLVPFDYAEARKNLVSGEPKAERKKDDAVARPINTDSGDKKLTSSKPGVGENDGNFQHPRRRQVFPPSGNRNFTYH >PAN47192 pep chromosome:PHallii_v3.1:9:16259644:16266711:1 gene:PAHAL_9G241200 transcript:PAN47192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADGASPPPPWPQNKSAAAMEASSGPLAAAAARLSARSRALPSSRDFHFYNNFPAFKSPVGAAAAKADASLGVLGGAPLLPKRQQPFPGGADLDDAHDWLVALNDDLLERFGASMDEFKALREKEEASGRRAALEAGDGFQVVYGKKKKKVGDGEEGVGRAEAFGASSSVKVAKDKAPAPGTKAKVPFHIPTIPRPQKVYTIVVDNSSKPFEHVLLERSEDGTRVVHPLEKLPIEQLIDRNVPDSEPVKPPALDDTPFTFVEDLKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREFFRDPTKRKVMHGAGRDIIWLQRDFSIYVCNLFDTGQASRVLQMDRNSLEHLLHHFCGVIANKDYQAADWRLRPLPDEMIKYAREDTHYLLYIYDLMRLRLVKESSGDSDMLLEVCKRSNEICLQLYEKEQLTDSSYLHIHGLKEIELDARQLSVLSSLYRWRDGIARAEDESTGYILPNKSLLEIAKEMPVTSGKLKRIVKSKNFERHLNTVINTIRDAISASGAFESIAEQLKQGKLEELTVANATKSSEDAEMIPAVAVDYNEDAIDESAVVSTVITNVGTASPCGGTVTSGASFDNMHLEDFMPKNKNSGTSSGFTGQADKEILSNGQQQVAKATVQVSKRTTAFGALFGKPAAVRRPNPFQGFSNDQGKSKVDKITSSVVLPFHNFSGSVKPPSGSLPLKEQVHSEPESIQHSDPACQMEDVIQLDTETDDLQPPESHNDDDEHQEPKDMEMSKSPSDVPSDTEQRFRSLNEERNVQQNQRTPQEPEFRFPLVPFDYAEARKNLVSGEPKAERKKDDAVARPINTDSGDKKLTSSKPGVGENDGNFQHPRRRQVFPPSGNRNFTYH >PAN50359 pep chromosome:PHallii_v3.1:9:65556472:65559672:-1 gene:PAHAL_9G514700 transcript:PAN50359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGITKNPCFSGDPYAAAVASDPLPDDSQGHSFTYMPSGAGFDQPPTAAAMSSEPSFFSLSGAAISANLATSASMPSFHLFNELTWPPTTACTFESSRSFAAAPLQPAPPRLSMSGPVQFTSGRFSETSGSASTISGPPSGRPFMSGPLDRSLSISSSVRQQSSVSKLVAERRAARSRRRDDGSLLRFLARTASKLRFGSPRNSRRPPEPADPIKVSCSDGDYRSPPNGSVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHSELKGVLWDDIQVGDDGRSSYQEPAPGNAERPCFAQANGEGAEAKRRRTEGPMPGSNAAAMHRDVLRALTRALKKTEDAFFAAAEERADESPELGLMGSCVLVMLMKGTDVYVMNVGDSRAVLARRPEPDLKNVLGKASQDLQQFKAEIMRELEAHDMEGLQAVQLTPEHSTAVEEEVRRIKGQHLNDRNAIVNGRVKGKINVTRAFGVGYLKQPKWNSRLLEAFKVNYVGTDPYVSCTPALCHHRISAQDKFLVLSSDGLYQYFTNKEVVDQVEAFTAAQPDGDPAQHLVGELVIRAARKAGMALHELLDIPHGARRHYHDDVSIIVISFEGRIWRSSV >PAN52084 pep chromosome:PHallii_v3.1:9:73730866:73736936:-1 gene:PAHAL_9G640800 transcript:PAN52084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPKINVMERERKNYNIWKRSKRDLSSDARSYIYGQLATGQGRRLYKKAQLAHHHRSGDDLRRFHFIIHTERWSCMRDADHLSMSNNIIYNPMAELPHQQPTKTVTMTMVDACWDYKGRPAVRASSGGWFSAARILIVELNERLTTLGIAVNLVTYLTATMHLGSAASANAVTNFLGASFMLCLLGGFVADTYLGRYLTIAIFTAVQAAGMGILTISTAAPGLRPPPCSDPTGARASASCVPPNGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDPAERTRMARFFSWFFFFISIGSLLAVTVLVYVQDHLGRRWGYGACVAAILVGLLVFLAGTRSYRFKKLVGSPLTQIAAVTAAAWRKRALPLPADPAELYDAGEDKMPHTKQCRFLERAAIVEPWPSPAPCTLTDVEEVKQVLRMLPTWATTIPFWMVYAQMTTFSVSQAQAMDRRLGASASFQIPAGSLTVFLVGSILVSVPVYDRLVVPLARRFTANPQGLSPLQRISVGLLLSTLAMVAAALTESHRQDASLAGATPSVFLLVPQFFLVGAGEAFTYIGQLDFFLRECPRGMKTMSTGLFLSTLSLGCFFSTAIVSVVHAVTTSGGRRPWLADDRDQGSLHSFYWLLAAISAANLVAFVAVARGYVYKEKRQLADAGIQQQQQQQLVGDDDVAVHA >PAN47430 pep chromosome:PHallii_v3.1:9:30713867:30716708:-1 gene:PAHAL_9G290100 transcript:PAN47430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSLNPQPLINDETLTLPATAAKDNQVRDLMSSGWTNERHSSYISYMEASFVDQLYGQQNHGLDVNKRHLGDNGFKVIQEGVCKNIKFERNHPHHDARINCLPENPLVRRFRPRSTGASRRDDCFEAMEDDYGSGTDTVREKVRTRGREVKTCARQNLIEVSDQNFPDEEVDASNEPSKKQRPTSSSAAPNDPGT >PAN47428 pep chromosome:PHallii_v3.1:9:30713869:30716709:-1 gene:PAHAL_9G290100 transcript:PAN47428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSLNPQPLINDETLTLPATAAKDNQVRDLMSSGWTNERHSSYISYMEASFVDQLYGQQNHGLDVNKRHLGDNGFKVIQEGVCKNIKFERNHPHHDARINCLPENPLVRRFRPRSTGASRRDDCFEAMEDDYGSGTDTVREKVRTRGREVKTCARQNLIGKSKEVSDQNFPDEEVDASNEPSKKQRPTSSSAAPNDPGT >PVH32011 pep chromosome:PHallii_v3.1:9:30715163:30716145:-1 gene:PAHAL_9G290100 transcript:PVH32011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSLNPQPLINDETLTLPATAAKDNQVRDLMSSGWTNERHSSYISYMEASFVDQLYGQQNHGLDVNKRHLGDNGFKVIQEGVCKNIKFERNHPHHDARINCLPENPLVRRFRPRSTGASRRDDCFEAMEDDYGSGTDTVREKVRTRGREVKTCARQNLIGKSKGHNSSTLFT >PAN45087 pep chromosome:PHallii_v3.1:9:5913295:5914532:-1 gene:PAHAL_9G102000 transcript:PAN45087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWFSSCCKMEPKNVPVILQIRSICAENEWIYLPILEKHIVGASLQFFLKDVLSIVKATEKSITKNASTQELLKDDKLFSAKKAEGYVYSLWFSLPSCCSYPCDTSSNFRVLQSVFFERNAGALLCHIRTSSKQVQYKYSGPPKQIRGVEGGKAMKLCLAGRSPRGKILICTVPEFRSTCPCTIASFLQEYVLIRSSTLGFVLKKIVLHMFNGK >PVH32531 pep chromosome:PHallii_v3.1:9:58783464:58786612:1 gene:PAHAL_9G421800 transcript:PVH32531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 7 [Source:Projected from Arabidopsis thaliana (AT1G69440) UniProtKB/Swiss-Prot;Acc:Q9C793] MVYTINLLTDSELLQHKRCFIRESRTSDVKANHVSTHQNSSIDMHGVTIAPRPDGGGVKGNVIPLYANHFLVRFDPGKKIFHYDVDIFPHHSKETARMIKNKLVEENSSVLSGALPAFDGRKNLFSPIEFQQDRLEFFVSLPAAASARFIAAKESGHMLNKQNHKVFRVNLRLVSKLSGEELNKYLNEEKDGIPLPQDYLHALDVILREGAMENSIPIGRSLYSHSMGEAKEIGGGAVVLRGFFQSLRPTKQGLALNVDLSLTAFHENIGIIAYLQKRCDFMKDLSQMKTRALAEDERREVEKALKNIRVFVCHRETDQRYHVHGLTDETTENLKFQDRSGKDYTVVDYFKEHYNHDIKFRKLPCLQIGKSKPCYVPMELCMVCEGQKFLGKLSDEQTSKMLKMGCQRPSERKGIIKGVVEGTFAARSNSYADQFSLQVSKDMTQLSGRVLLPPKLKLGNGGRIKDIIPDRFDRQWNLMDSHVAEGSKIKSWALISFGGSPEHQSFVPKFINQLSSRCEQLGILLNKKTVVSPLFERIQLLNNVGILESKLKKIQEAASGNLQLLICIMERRHRGYADLKRIAETSIGVVTQCCLYSNLTKLSFQFLANLALKINAKLGGCNIALYNSLACQIPRIFSDKEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEHLDVMAGELLEEFVKEVGKLPSRIIFFRDGVSETQFYKVLTEELQAVRLTCSRYPGYKPSITFVVVQKRHHTRLFHREKNGGSTHYSDQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYRVLWDENNFKSDEMQQLIHNLCYTFARCTKPVSLVPPAYYAHLAAYRGRLYLERSDSSDTSRTTLYRATPLQTVPLPKLRDSVKGLMFYC >PAN46514 pep chromosome:PHallii_v3.1:9:12186614:12191890:-1 gene:PAHAL_9G190800 transcript:PAN46514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSARAATAAAALLLLMLARGGESVSFWLPPPSAGVGEEGFLGGASRYLTRDERWMNQTLDHFNPTDHRQFKQRYYEFLDYYRAPNGPIFLKICGEASCNGISNDYLAVMAKKFGAAIVSPEHRYYGRSSPFNSLTTENLRFLSSKQALFDLAVFRQYYQETLNSKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGDSAGPECKEALQEVTRLVDGQLQCGRNSVKQLFGAAKLENDGDFLYLLADAAAIAFQYGNPDALCSPLIEAKKNGTDLVETFASYVKDYYIGKFGASVASYDQQYLKNTTPAVAESSYRLWWYQVCSEVAFFQVAPKNDSVRSPKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKHKSSEELPSYLIECKNCGHCSDLSGCPQAPSNIEGDSSKCSSPEALNKVRKQIVDHIDLWLSECQEQGHDKEPSLGSRWSIASI >PAN44441 pep chromosome:PHallii_v3.1:9:2434180:2442910:1 gene:PAHAL_9G044300 transcript:PAN44441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASARGGVWEIQPGDVGAAGLGAADAGAFLAALHSAAAAAGPGAAGDAVWAAVAAAGVLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSPIDSRQTNLGRLMEANGPKLLGPAYKDPITSFNLFYKFSVENQEVYWSMVLKQLAVKFQQEPKSILSTSDRSKRGGTWLQDAVLNIAECCLLPCPSLNRTDDSTAIVWRDEGHDDHPVNRMSLKELRSQVITVANALDTMFHKGDRIAIDMPMTCNAVIIYLAIILGGFVVVSIADSFAPQEIGTRMGVSKAKAIFTQDFIIRGGKKVPLYSRVVQGSSSKAVVIPATGDYLGVTLRNGDMSWKDFLCRASGRSSIYSPVYQSVDALTNILFSSGTTGEPKAIPWTQLSPIRCAADTWAHMDVRPQDIGCWPTNLGWVMGPIILYACFLNGATLALYHGSPLGRDFCKFVQDAGVTLLGSVPSLVKSWKAGNCAKGLDWTKLRVLGTTGEASDIDDNLWLTSHTSYKPIVECCGGTELASSYIQGSLLQPQAFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLHFGATNWLLNADHDKVYFDGMPIYNGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADERLLETAAVSIKPAGGGPEHLAILAVLKDRSAQYDVNLLKSKFQRAIQKNLNPLFKVSYVKVVPEFPRTASNKLLRRVLRDQLKQELSNHSKL >PAN51401 pep chromosome:PHallii_v3.1:9:70436347:70440166:-1 gene:PAHAL_9G591500 transcript:PAN51401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCVNLSRAVLFAGGRRGHQYGRGGVLHPSPSLLSRRHGNAAVACCSSGTGSRPSSSSSSFPAGQGLEGDSGAAGSTTSPEDHAGGIGVAEFLGAKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSETALRRLQNEVVDTELFRCLQEVHGSDYSSFIARKLVPVVGDVREANIGIAPELADEIAGQVDIIINSAANTTFDERYDVAMDINTVGPFRIMSFAQRFQRLKLFLQVSTAYVNGQRQGLVLEKPFRMGDTIAKELGSSEDKGSTVLDIEAEIKLAFGYSRRHSSDSASFAQEMKDLGLERAKLHGWQDTYVFTKAMGEMVINSMRGEVPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLASMAKHGGGASPPGPGMHVYHVSSSTVNPLVFGELSRFLFQHFTRCPYSDAAGRPIPVPPMRLFDSMEQFASYVETDALLRSARSSSSSSSEQRLSQRARDLCAKSVEQTIHLGSIYQPYTFYGGRFDNGNTEALFAAMSAAEKARFHFDVRSVDWTDYITNVHIPGLRKHVMKGRGVAANQLLASTSV >PAN48020 pep chromosome:PHallii_v3.1:9:50157550:50159458:1 gene:PAHAL_9G350600 transcript:PAN48020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKARRSEPRLVSPARPTPREANALSDIDDQHLLRYYETVVGFFRTCPGRTGSRPADLKGTIRAALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVVFVEAEADVRLEEFGEPLLPPYPCLEELLCDPGDIKAVIGRPLLFVQVTELKCGGFVAGFHMCHNIADGFGMIQFMMTVAELTVGEALPSLLPVWKRELLSTAHSPSPTTYPNPAYQPLLNSLDFTSDDLMLSTPPHRMIAEYLVFGHREVATLRSHLPGYLADSTTSFELLTAVMWRCRTIALGYESSQRVRLMITMNARGRWNRHTLIPWGYYGNAHFSPIAELTVDKLSRQPLADTVELVRKTKLSVTMECMRSMVDTTAYIRQWPSLTMDKTYEVSDTRWIAAGNGLQLGWAEYVGGGIPLAGDLTSKLGSHHMRCKNEDGEDSTVVSLLLPRPAMERFKKEMDVWLKKPEKNLVIPSSL >PAN48483 pep chromosome:PHallii_v3.1:9:55561608:55565362:-1 gene:PAHAL_9G384700 transcript:PAN48483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAFAEGALADGGEGYSGRVTPFVVLSCVVASSGGILFGYDLGISGGVTSMDSFLKRFFPEVYRQSQMQDTKVSHYCEFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRVSMLIGGTIFVAGSVFGGAAVNVPMLILNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPAAFLTIGAIFLPETPSFIIQHDGDTNKARILLQKLRGTTSVQKELDDLVSASNLSRTTQYPFRNIFKRKYRPQLVIALLIPFFNQVTGINVMNFYAPVMFRTIGLKERASLFSSVVTRLCATFANIIAMMVVDRFGRRKLFLIGGVQMILSQFTVGAILAAKFKDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQIFLEVLCSIKSATFFVFGGWICLMTLFVYLFLPETKKLPMEQMEQVWSRHWFWKKIVGEKVEKKQANSGKTALPSL >PAN49044 pep chromosome:PHallii_v3.1:9:55561523:55565362:-1 gene:PAHAL_9G384700 transcript:PAN49044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAFAEGALADGGEGYSGRVTPFVVLSCVVASSGGILFGYDLGISGGVTSMDSFLKRFFPEVYRQSQMQDTKVSHYCEFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRVSMLIGGTIFVAGSVFGGAAVNVPMLILNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPAAFLTIGAIFLPETPSFIIQHDGDTNKARILLQKLRGTTSVQKELDDLVSASNLSRTTQYPFRNIFKRKYRPQLVIALLIPFFNQVTGINVMNFYAPVMFRTIGLKERASLFSSVVTRLCATFANIIAMMVVDRFGRRKLFLIGGVQMILSQFTVGAILAAKFKDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQIFLELPMEQMEQVWSRHWFWKKIVGEKVEKKQANSGKTALPSL >PVH32364 pep chromosome:PHallii_v3.1:9:55562056:55564454:-1 gene:PAHAL_9G384700 transcript:PVH32364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLKRFFPEVYRQSQMQDTKVSHYCEFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRVSMLIGGTIFVAGSVFGGAAVNVPMLILNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPAAFLTIGAIFLPETPSFIIQHDGDTNKARILLQKLRGTTSVQKELDDLVSASNLSRTTQYPFRNIFKRKYRPQLVIALLIPFFNQVTGINVMNFYAPVMFRTIGLKERASLFSSVVTRLCATFANIIAMMVVDRFGRRKLFLIGGVQMILSQFTVGAILAAKFKDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQIFLEKLPMEQMEQVWSRHWFWKKIVGEKVEKKQANSGKTALPSL >PAN48481 pep chromosome:PHallii_v3.1:9:55561609:55565362:-1 gene:PAHAL_9G384700 transcript:PAN48481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLKRFFPEVYRQSQMQDTKVSHYCEFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRVSMLIGGTIFVAGSVFGGAAVNVPMLILNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPAAFLTIGAIFLPETPSFIIQHDGDTNKARILLQKLRGTTSVQKELDDLVSASNLSRTTQYPFRNIFKRKYRPQLVIALLIPFFNQVTGINVMNFYAPVMFRTIGLKERASLFSSVVTRLCATFANIIAMMVVDRFGRRKLFLIGGVQMILSQFTVGAILAAKFKDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQIFLEVLCSIKSATFFVFGGWICLMTLFVYLFLPETKKLPMEQMEQVWSRHWFWKKIVGEKVEKKQANSGKTALPSL >PAN48480 pep chromosome:PHallii_v3.1:9:55561609:55564499:-1 gene:PAHAL_9G384700 transcript:PAN48480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLKRFFPEVYRQSQMQDTKVSHYCEFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRVSMLIGGTIFVAGSVFGGAAVNVPMLILNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPAAFLTIGAIFLPETPSFIIQHDGDTNKARILLQKLRGTTSVQKELDDLVSASNLSRTTQYPFRNIFKRKYRPQLVIALLIPFFNQVTGINVMNFYAPVMFRTIGLKERASLFSSVVTRLCATFANIIAMMVVDRFGRRKLFLIGGVQMILSQFTVGAILAAKFKDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQIFLEKLPMEQMEQVWSRHWFWKKIVGEKVEKKQANSGKTALPSL >PAN48482 pep chromosome:PHallii_v3.1:9:55562056:55564454:-1 gene:PAHAL_9G384700 transcript:PAN48482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLKRFFPEVYRQSQMQDTKVSHYCEFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRVSMLIGGTIFVAGSVFGGAAVNVPMLILNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPAAFLTIGAIFLPETPSFIIQHDGDTNKARILLQKLRGTTSVQKELDDLVSASNLSRTTQYPFRNIFKRKYRPQLVIALLIPFFNQVTGINVMNFYAPVMFRTIGLKERASLFSSVVTRLCATFANIIAMMVVDRFGRRKLFLIGGVQMILSQFTVGAILAAKFKDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQIFLEVLCSIKSATFFVFGGWICLMTLFVYLFLPETKKLPMEQMEQVWSRHWFWKKIVGEKVEKKQANSGKTALPSL >PAN48484 pep chromosome:PHallii_v3.1:9:55561522:55565362:-1 gene:PAHAL_9G384700 transcript:PAN48484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAFAEGALADGGEGYSGRVTPFVVLSCVVASSGGILFGYDLGISGGVTSMDSFLKRFFPEVYRQSQMQDTKVSHYCEFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRVSMLIGGTIFVAGSVFGGAAVNVPMLILNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPAAFLTIGAIFLPETPSFIIQHDGDTNKARILLQKLRGTTSVQKELDDLVSASNLSRTTQYPFRNIFKRKYRPQLVIALLIPFFNQVTGINVMNFYAPVMFRTIGLKERASLFSSVVTRLCATFANIIAMMVVDRFGRRKLFLIGGVQMILSQFTVGAILAAKFKDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQIFLEKLPMEQMEQVWSRHWFWKKIVGEKVEKKQANSGKTALPSL >PAN51123 pep chromosome:PHallii_v3.1:9:69266311:69268905:-1 gene:PAHAL_9G571600 transcript:PAN51123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPDEEAGLGLPEGERLLEVTLISAQGLKPPSGLRRRLQAYAVAWVDAGHKLQTRPDAAGGLDPAWHARLLFRVREASLADDSRAAVSVEIYAAAAGSWHLGRDSLVGSARFLLGDHRLLSRPVGSPSMFAVGVRRPSGRVHGLLNVAASLVAVPPSPAACHALHLSPAVSLSGLSVAPNPSRVLRVLNRAHPTPPPSPKLLTPKKQQMVVKPNNKVADDGSDEEGGEEEARGMGGVMFCGPCVLPYPRKIHTSPSDENLQAFARIFSGGLRHCRTEPSLPRSPCKEPFWFTQKGVE >PAN45403 pep chromosome:PHallii_v3.1:9:6793732:6800226:-1 gene:PAHAL_9G116100 transcript:PAN45403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLLRSVPSPAIISPRPRRHRHHTTTPSLPSHSRSLLHHRLLRATPPLASLVDRQPNAGGPAMGLFTVTKKATTPFEGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPAEEVKGATIVVSGDGRYFSKDAVQIITKMAAANGARRVWVGLNSLMSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTTTISEYLIAEDLPDVDISVVGVTSFSGPEGPFDVDVFDSSVDYIKLMKTIFDFEAIKKLLSSPKFTFCYDALHGVAGAYAKHIFVEELGADESALLNCVPKEDFGGGHPDPNLTYAKELVERMGLGKSSSNVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFASGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLEGDNKLVSVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKDIRSDVSEVVAADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVDVALKLSKMQEYTGRSAPTVIT >PAN45436 pep chromosome:PHallii_v3.1:9:7023130:7024168:1 gene:PAHAL_9G118900 transcript:PAN45436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGSASTSRAIVAMAFAVACCCCSNLAADAATTYYVGDSNGWSFGSPSWPNGKHFHAGDTLVFRYVPWIHNVVAVDEDGYNGCTTPPGSRTYTSGDDRVTLARGDNFFICTRFGHCNLGMKLVVYAA >PAN48536 pep chromosome:PHallii_v3.1:9:55995451:55997214:1 gene:PAHAL_9G388400 transcript:PAN48536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSKAAALAALLFSLLVTYGSCARPVSFNASAFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCTNHAACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRSDELLHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNAVYLAVLVEFEDGDGDVVQVDLMEANSGSWAPMRESWGSIWRMDSNHRLQAPFSLRITNESGKQLVASNVIPADWVPNTYYRSIIQY >PVH32057 pep chromosome:PHallii_v3.1:9:33329020:33329204:-1 gene:PAHAL_9G303300 transcript:PVH32057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWFNHQSIDHLRPGSRAECSWISQTRKRCMLG >PAN45670 pep chromosome:PHallii_v3.1:9:8065553:8075306:1 gene:PAHAL_9G133900 transcript:PAN45670 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSVPPAGNRQVPPPPRSPLLPLLRPLRAGSLFLLSLLQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRIWRRYYVIRVVSEQLHEDWKLLMNQPNIDLTTQWISRNMLRPFLFFITQPSSWYKGQQSKTVESILTCFKIILNSINSTDASKNFCSFAVGMPEERSIWLYQAKKLISLCSFILARCDHSCCKDGSMVDMTAIAMRLAVSLTDCKTWKSLKSESSRAADASVETLIEFIGTCQSGTYNCVRQYIKCLGPHVTSGKKSSAAATDDHFLITASAVTLALRPFHSKKADRGTDLNGASKEYFKLVLTIPYLCKRMPSLLLPALKHISVLQPCLSIILISKDKIFEEIIKLDKSEVLAVDATVIPCSGWALGNIVNLATNHDDLSSSGCFIQGLDFCLYVDVVNCICQNLLESFEKSKGMSQSVGSTAFHAETSVAEEGDTNGSSSMRTLFMDLLKPIYQQWHLRKLLMLAKEDVSCSSGTNYDPTRSLKLSDVVCFYYHMLRIFSSFNPSIGSLPILNMLAFSPGFLVDLWGALEMSIFGQAIQNLQETGHDKQLATRTSGEQVSSTRQRRNAKDAATKWANVLQKITGKSNDSEVGTMSDSILISKQSDDDALTLWDIEAMRHASEGIGKDLMCMMYLFCAIYGHLLLVLDDIEFYEKQVPFTLEQQRKIASALNTFVYNSFVQNGGSYSKPLLDVAVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHEVAFGNFQGNNSSGIPTRSSVFTTLPHVYPFEERVQMFREFIESDKASRRVTGEISGPGPGSIEIVIRRGHIIEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKTAFSPEYGLFSQTSASDTSLIPSNSARLLDNGIDMIEFLGRVVGKALYEGILLDYSFSPVFVQKFLGRYNFLDELSTLDPELYRNLMLLKHYDGDVEDLCLDFTVTEELGGKRIVHELRPGGKSISVTNENKLHYVHAMADFKLNHQILPFANAFYRGLSDLISPSWLSLFNTNEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGLKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >PAN45669 pep chromosome:PHallii_v3.1:9:8065553:8075306:1 gene:PAHAL_9G133900 transcript:PAN45669 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSVPPAGNRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRIWRRYYVIRVVSEQLHEDWKLLMNQPNIDLTTQWISRNMLRPFLFFITQPSSWYKGQQSKTVESILTCFKIILNSINSTDASKNFCSFAVGMPEERSIWLYQAKKLISLCSFILARCDHSCCKDGSMVDMTAIAMRLAVSLTDCKTWKSLKSESSRAADASVETLIEFIGTCQSGTYNCVRQYIKCLGPHVTSGKKSSAAATDDHFLITASAVTLALRPFHSKKADRGTDLNGASKEYFKLVLTIPYLCKRMPSLLLPALKHISVLQPCLSIILISKDKIFEEIIKLDKSEVLAVDATVIPCSGWALGNIVNLATNHDDLSSSGCFIQGLDFCLYVDVVNCICQNLLESFEKSKGMSQSVGSTAFHAETSVAEEGDTNGSSSMRTLFMDLLKPIYQQWHLRKLLMLAKEDVSCSSGTNYDPTRSLKLSDVVCFYYHMLRIFSSFNPSIGSLPILNMLAFSPGFLVDLWGALEMSIFGQAIQNLQETGHDKQLATRTSGEQVSSTRQRRNAKDAATKWANVLQKITGKSNDSEVGTMSDSILISKQSDDDALTLWDIEAMRHASEGIGKDLMCMMYLFCAIYGHLLLVLDDIEFYEKQVPFTLEQQRKIASALNTFVYNSFVQNGGSYSKPLLDVAVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHEVAFGNFQGNNSSGIPTRSSVFTTLPHVYPFEERVQMFREFIESDKASRRVTGEISGPGPGSIEIVIRRGHIIEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKTAFSPEYGLFSQTSASDTSLIPSNSARLLDNGIDMIEFLGRVVGKALYEGILLDYSFSPVFVQKFLGRYNFLDELSTLDPELYRNLMLLKHYDGDVEDLCLDFTVTEELGGKRIVHELRPGGKSISVTNENKLHYVHAMADFKLNHQILPFANAFYRGLSDLISPSWLSLFNTNEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGLKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >PVH31911 pep chromosome:PHallii_v3.1:9:19142045:19144022:1 gene:PAHAL_9G258900 transcript:PVH31911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKLPPPPRALPLPHFTLPPLAGEGHLFVAALRSHISASPTPTAASLSRFLPGLTPLRLTHLLLLLGPLLAKGIPHDLLAALLPLPPPPLPLAVLLHSLPPRRCNELLASVLPSVSPHAFPDLLHHVLLTARLASTTQSAPAVLALDVLFSSCARNKKLSRATLAYRSMRAHGLLPTVVSCNVFISAALRLRRPEIAVSFFREMRRCRMSPNVYTANMVMRAHCALGRVAEAAQVLDEMSDLGICRTPTSFNTLIATYCKDDGGMELAFRLKKRMEQEGLMPNEVTYNAILHGLCKKGNMCRANQLVGEMRANGVEPNTVTFNTLIHGYVRLGDNESASRVHEEMVKAGVGVDMVTYNALILGLCNEGKVKKAGHLVQELCRTKLEPNASTFMSLIVGQWKRQNSERALDLLNAMKKSGFHPNYDAYKMVVSTFCKNKDFEGALDILKDMLARCMAPEMDLLHEFFEGLSEAKKLHLVEDLRSVANGARFIPDVYYTGDYRNKDKEKNEC >PAN47503 pep chromosome:PHallii_v3.1:9:31821215:31822224:1 gene:PAHAL_9G297200 transcript:PAN47503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRHRRLGGGGQRWGRGLGGGLEAGRGRAVLRRRGAGRGSRRCRGKLRRTASPQALLRSPLLLAPPPSFQGMVARRLLPCTTPGRSSRLTPTPQVSSTSRAVAAQAGRGQGRKAAAGHHPLFRPHAAVR >PAN46864 pep chromosome:PHallii_v3.1:9:14385096:14387040:1 gene:PAHAL_9G220600 transcript:PAN46864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAAAPAPAPHPPPASLDARTGGRILRRAAGHLLHPASLPTLLLAALLLLLFRSALLAGTLRLASFADRDPALRSLLARLSPPTPPSPPPPPHHLPRRRSPFTSSSSSLSDDDVLVGPLDPAASAPSRRRNASYHHVLFTSYSSAPKPYPVPLPNPIPGSASPFFLAVHNESAPPKPASPRGNELRLLDLTRRDAAAIINLLALLSSAHVLAILGYIAVHSTALGAVFASVAGRHVQGQRRWFILAGAARGARRLTGFAFLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASVNPWLAAAVAGPELDGFFVAWAVLDAVISVLFTVVPWVVVMDRDPRPPGRNAVKEGCYLVSLMATDATLLKCWETVVCGSMGRLIMVTFGGKVLGGFLHAIAEVYFMVVWLLFYFAARCKEVRLGGRQFGPEDVAAAIDGFR >PVH31884 pep chromosome:PHallii_v3.1:9:17569964:17580692:1 gene:PAHAL_9G251200 transcript:PVH31884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFLLPPVSAGSPPCSLFLVKGPCRIRGKAGAAGPRGTRVARWRAQSRTTTTQADNVSAPAAAKAVPAVFQTTHIEDDTEIIKWPGKPQDLDDYQMIPEADGTDLQPLIDQVRAMLRSMNDGEISISAYDTAWVALVPKLDGGAPQFPATVRWIVDNQLPDGSWGDSALFSAYDRMINTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEEQESMPIGFEIAFPSLIQIARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMPDLDWAKLLNLQSSDGSFLFSPSATAYALMQTGDKKCFEYIDRIVKKFNGGAPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEEGICWARNSNLKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAITGMYNLNRASQIGFQGEDILHRARIFSYEFLRQREAQGMLHDKWIIAKDLAGEVQYTLDFPWYANLPRVEARTYLDQYGGKDDVWIGKTLYRMPLVNNDVYLKLARTDFNNCQVLHQLECHGLQIWCNENRLETFGVTPQEVLRAYFLAASCIFEPSRAVERLAWARTSLLANAISTHIHTILSDKKRVECFVHCLYEENDQSWIINTNPSDAILERALWQLIDLLAQEAQSIHEGQRFIRSLLSLAWTEWMMQKTNKEDNNYNKSSGTEPRYIHDRQTYLLLVQSIEICAGRIGEAVSVINNKDSDRFIHLACTICDSLNQKVLLFQDTEKNEATMNCVDKEIQFNMQELTQSFLLRSNEKTINSKTKQTLWDVLRSSYYASHCPQHVIDRHVSEDTEKNEATMNCVDKEIQFNMQELTQSFLLRSNEKTINSKTKQTLWDVLRSSYYASHCPQHVIDRHVSEIIFEPV >PVH31885 pep chromosome:PHallii_v3.1:9:17569964:17580692:1 gene:PAHAL_9G251200 transcript:PVH31885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFLLPPVSAGSPPCSLFLVKATQSGPCRIRGKAGAAGPRGTRVARWRAQSRTTTTQADNVSAPAAAKAVPAVFQTTHIEDDTEIIKWPGKPQDLDDYQMIPEADGTDLQPLIDQVRAMLRSMNDGEISISAYDTAWVALVPKLDGGAPQFPATVRWIVDNQLPDGSWGDSALFSAYDRMINTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEEQESMPIGFEIAFPSLIQIARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMPDLDWAKLLNLQSSDGSFLFSPSATAYALMQTGDKKCFEYIDRIVKKFNGGAPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEEGICWARNSNLKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAITGMYNLNRASQIGFQGEDILHRARIFSYEFLRQREAQGMLHDKWIIAKDLAGEVQYTLDFPWYANLPRVEARTYLDQYGGKDDVWIGKTLYRMPLVNNDVYLKLARTDFNNCQVLHQLECHGLQIWCNENRLETFGVTPQEVLRAYFLAASCIFEPSRAVERLAWARTSLLANAISTHIHTILSDKKRVECFVHCLYEENDQSWIINTNPSDAILERALWQLIDLLAQEAQSIHEGQRFIRSLLSLAWTEWMMQKTNKEDNNYNKSSGTEPRYIHDRQTYLLLVQSIEICAGRIGEAVSVINNKDSDRFIHLACTICDSLNQKVLLFQDTEKNEATMNCVDKEIQFNMQELTQSFLLRSNEKTINSKTKQTLWDVLRSSYYASHCPQHVIDRHVSEDTEKNEATMNCVDKEIQFNMQELTQSFLLRSNEKTINSKTKQTLWDVLRSSYYASHCPQHVIDRHVSEIIFEPV >PAN47311 pep chromosome:PHallii_v3.1:9:17569964:17579343:1 gene:PAHAL_9G251200 transcript:PAN47311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFLLPPVSAGSPPCSLFLVKGPCRIRGKAGAAGPRGTRVARWRAQSRTTTTQADNVSAPAAAKAVPAVFQTTHIEDDTEIIKWPGKPQDLDDYQMIPEADGTDLQPLIDQVRAMLRSMNDGEISISAYDTAWVALVPKLDGGAPQFPATVRWIVDNQLPDGSWGDSALFSAYDRMINTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEEQESMPIGFEIAFPSLIQIARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMPDLDWAKLLNLQSSDGSFLFSPSATAYALMQTGDKKCFEYIDRIVKKFNGGAPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEEGICWARNSNLKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAITGMYNLNRASQIGFQGEDILHRARIFSYEFLRQREAQGMLHDKWIIAKDLAGEVQYTLDFPWYANLPRVEARTYLDQYGGKDDVWIGKTLYRMPLVNNDVYLKLARTDFNNCQVLHQLECHGLQIWCNENRLETFGVTPQEVLRAYFLAASCIFEPSRAVERLAWARTSLLANAISTHIHTILSDKKRVECFVHCLYEENDQSCTYYVIYKIDRISRTQMVDSGLLIPILVMLFLRGPFGNLLTYWHKKHSQFMKGKGSYAVS >PVH31883 pep chromosome:PHallii_v3.1:9:17569964:17579343:1 gene:PAHAL_9G251200 transcript:PVH31883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFLLPPVSAGSPPCSLFLVKATQSGPCRIRGKAGAAGPRGTRVARWRAQSRTTTTQADNVSAPAAAKAVPAVFQTTHIEDDTEIIKWPGKPQDLDDYQMIPEADGTDLQPLIDQVRAMLRSMNDGEISISAYDTAWVALVPKLDGGAPQFPATVRWIVDNQLPDGSWGDSALFSAYDRMINTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEEQESMPIGFEIAFPSLIQIARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMPDLDWAKLLNLQSSDGSFLFSPSATAYALMQTGDKKCFEYIDRIVKKFNGGAPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEEGICWARNSNLKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAITGMYNLNRASQIGFQGEDILHRARIFSYEFLRQREAQGMLHDKWIIAKDLAGEVQYTLDFPWYANLPRVEARTYLDQYGGKDDVWIGKTLYRMPLVNNDVYLKLARTDFNNCQVLHQLECHGLQIWCNENRLETFGVTPQEVLRAYFLAASCIFEPSRAVERLAWARTSLLANAISTHIHTILSDKKRVECFVHCLYEENDQSCTYYVIYKIDRISRTQMVDSGLLIPILVMLFLRGPFGNLLTYWHKKHSQFMKGKGSYAVS >PAN47308 pep chromosome:PHallii_v3.1:9:17569954:17579341:1 gene:PAHAL_9G251200 transcript:PAN47308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFLLPPVSAGSPPCSLFLVKGPCRIRGKAGAAGPRGTRVARWRAQSRTTTTQADNVSAPAAAKAVPAVFQTTHIEDDTEIIKWPGKPQDLDDYQMIPEADGTDLQPLIDQVRAMLRSMNDGEISISAYDTAWVALVPKLDGGAPQFPATVRWIVDNQLPDGSWGDSALFSAYDRMINTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEEQESMPIGFEIAFPSLIQIARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMPDLDWAKLLNLQSSDGSFLFSPSATAYALMQTGDKKCFEYIDRIVKKFNGGAPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEEGICWARNSNLKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAITGMYNLNRASQIGFQGEDILHRARIFSYEFLRQREAQGMLHDKWIIAKDLAGEVQYTLDFPWYANLPRVEARTYLDQYGGKDDVWIGKTLYRMPLVNNDVYLKLARTDFNNCQVLHQLECHGLQIWCNENRLETFGVTPQEVLRAYFLAASCIFEPSRAVERLAWARTSLLANAISTHIHTILSDKKRVECFVHCLYEENDQSWIINTNPSDAILERALWQLIDLLAQEAQSIHEGQRFIRSLLSLAWTEWMMQKTNKEDNNYNKSSGTEPRYIHDRQTYLLLVQSIEICAGRIGEAVSVINNKDSDRFIHLACTICDSLNQKVLLFQDTEKNEATMNCVDKEIQFNMQELTQSFLLRSNEKTINSKTKQTLWDVLRSSYYASHCPQHVIDRHVSEVIFEPV >PAN47310 pep chromosome:PHallii_v3.1:9:17569954:17579341:1 gene:PAHAL_9G251200 transcript:PAN47310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFLLPPVSAGSPPCSLFLVKATQSGPCRIRGKAGAAGPRGTRVARWRAQSRTTTTQADNVSAPAAAKAVPAVFQTTHIEDDTEIIKWPGKPQDLDDYQMIPEADGTDLQPLIDQVRAMLRSMNDGEISISAYDTAWVALVPKLDGGAPQFPATVRWIVDNQLPDGSWGDSALFSAYDRMINTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEEQESMPIGFEIAFPSLIQIARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMPDLDWAKLLNLQSSDGSFLFSPSATAYALMQTGDKKCFEYIDRIVKKFNGGAPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEEGICWARNSNLKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAITGMYNLNRASQIGFQGEDILHRARIFSYEFLRQREAQGMLHDKWIIAKDLAGEVQYTLDFPWYANLPRVEARTYLDQYGGKDDVWIGKTLYRMPLVNNDVYLKLARTDFNNCQVLHQLECHGLQIWCNENRLETFGVTPQEVLRAYFLAASCIFEPSRAVERLAWARTSLLANAISTHIHTILSDKKRVECFVHCLYEENDQSWIINTNPSDAILERALWQLIDLLAQEAQSIHEGQRFIRSLLSLAWTEWMMQKTNKEDNNYNKSSGTEPRYIHDRQTYLLLVQSIEICAGRIGEAVSVINNKDSDRFIHLACTICDSLNQKVLLFQDTEKNEATMNCVDKEIQFNMQELTQSFLLRSNEKTINSKTKQTLWDVLRSSYYASHCPQHVIDRHVSEVIFEPV >PVH32293 pep chromosome:PHallii_v3.1:9:53017984:53019404:-1 gene:PAHAL_9G362500 transcript:PVH32293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILYSLRRFYHVETLFNGTFILAGRDQETIGFACKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGAGGEVLDTFPCFVSGVLHLISSAVLGFSGIYHALLGPETLEESFPFFGYVWKDRNKMTKILGIHLILLGLGWIVSVDDLEDIIGGHVWLGSICVLGGIWHILTKPFAWARRAFVWSGEAYLSYSLGALSIFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGSGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGANGLDLKRCSAEYMTHAPLGSLNSMGGVATEINVVNYVSLRSWLATSHFVLGFFFFVGHLWHVGRARAAATGFEKGIDRDLEPVLYMTPLN >PAN50017 pep chromosome:PHallii_v3.1:9:63996717:64014207:1 gene:PAHAL_9G492100 transcript:PAN50017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRKHQLSSNAASISLAPPDFTSQELEKVNPLNSVMSADVASMDGVDIDMREVYFLIMHFLSHGPFKRTFGELCNELLEHQLLPRRYHAWYSRRGFHSGEENDDGISLPLGYAKLAERYPHIGKDHLVKLLKQLMVSSCHPHNLIGAVSPNAADVPTLLGSNSFSLLASDRQDKETPKMPTYLRWPHIQADQVHGLGLREIGGFTKHCRAPSVRASCYAIAKPSTLVEKMQIIKRMRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRMPDGMPVSVLKGHTGAVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARYSQQPPRIYTPKPPDVAPGKSGDVSSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSSDSSHISKEENNLKFRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGGVIDQETQLIPYRRNMQDFLCDAGMMPYPEPFQSMYQKRRLGTLGLEWRPPSVNFAVGPTYNATTGEYQTIPIIDPDRWEPLPEITDFIELEPENEVISDDTDSEYNGMDENSSEGEQEIMSGDSSGTSYSSAEIDADNPNSAAHLRRSRRKKKKSEAELVTSSGRRVRKKILDEPDIATVSRPRRSRKSRNGHSSKRKRSPKSRGLRPQRRAARNALNFFSKIGASTEEDEDDSEGSFSDSELNTDSTDAEQLERTGQLRFSTEEDATQPSQFTDNKGNSGTGRKIVLRIPRRDLKNQFPSGSGKAECSTQDKTMNSLALANHGSVEPELTVEHRHSSACKAELPADGGLYDGSAVHSNNSIRWGEVKMRSSKRFKYSDPAGGLWSTPNNAASQDIEGSGSHEMPHEYGGGIQQSVGRNVQEIRPGIILDNIQENHTTDEYNGENFGDKEKITNDNNAWADGVNNTIQVNNTSQPSLKLKFKSRGFADGASLPDKSRSAAEGNIMNAEHGESSVRHDDDSSINQPRNVHILNVSKSSQECTDKSIGLHDSKKLILDSPKTFPAVYKRSKPNNRKKIDSDEYANEDSTSISNDDGGYQPPEYSPVTAARGRLRRSTRKSYAHNGDGIPRDDISQVKDSYSSYKASTSGRRIVTDVREVMWQPTSKTVGLRSARNKRESSNFPDTHLFGKKHQGALKYSWLMLHEHEDSYRYIPQLGDEVIYLWQGHEEYLEGERLSDPCPWMRIKGLKAVELCKIQGLDYSSYKGSGESCCKLSIKFIDHASTGFGKTFMITLPELVSYPDFLVERTRYEAAMERNWTHRDKCKVWWRDEEEQEGGKWWEGRVMAVKPKSADLPDSPWEKYVIQYKNDVSEHPHSPWELHDVGNLWVPWKHPHINLEIRDKLLSEMENLQEMSHGNQDRYGVIKLDKVTEKSDFVNRFPVQFSIEVIKTRLANNYYRTLDAVRHDATVMLINAESYFSKSAEMTKKIRRLSDWVDQTFSSL >PAN50021 pep chromosome:PHallii_v3.1:9:63997087:64014164:1 gene:PAHAL_9G492100 transcript:PAN50021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRKHQLSSNAASISLAPPDFTSQELEKVNPLNSVMSADVASMDGVDIDMREVYFLIMHFLSHGPFKRTFGELCNELLEHQLLPRRYHAWYSRRGFHSGEENDDGISLPLGYAKLAERYPHIGKDHLVKLLKQLMVSSCHPHNLIGAVSPNAADVPTLLGSNSFSLLASDRQDKETPKMPTYLRWPHIQADQVHGLGLREIGGFTKHCRAPSVRASCYAIAKPSTLVEKMQIIKRMRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRMPDGMPVSVLKGHTGAVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARYSQQPPRIYTPKPPDVAPGKSGDVSSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSSDSSHISKEENNLKFRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGGVIDQETQLIPYRRNMQDFLCDAGMMPYPEPFQSMYQKRRLGTLGLEWRPPSVNFAVGPTYNATTENEVISDDTDSEYNGMDENSSEGEQEIMSGDSSGTSYSSAEIDADNPNSAAHLRRSRRKKKKSEAELVTSSGRRVRKKILDEPDIATVSRPRRSRKSRNGHSSKRKRSPKSRGLRPQRRAARNALNFFSKIGASTEEDEDDSEGSFSDSELNTDSTDAEQLERTGQLRFSTEEDATQPSQFTDNKGNSGTGRKIVLRIPRRDLKNQFPSGSGKAECSTQDKTMNSLALANHGSVEPELTVEHRHSSACKAELPADGGLYDGSAVHSNNSIRWGEVKMRSSKRFKYSDPAGGLWSTPNNAASQDIEGSGSHEMPHEYGGGIQQSVGRNVQEIRPGIILDNIQENHTTDEYNGENFGDKEKITNDNNAWADGVNNTIQVNNTSQPSLKLKFKSRGFADGASLPDKSRSAAEGNIMNAEHGESSVRHDDDSSINQPRNVHILNVSKSSQECTDKSIGLHDSKKLILDSPKTFPAVYKRSKPNNRKKIDSDEYANEDSTSISNDDGGYQPPEYSPVTAARGRLRRSTRKSYAHNGDGIPRDDISQVKDSYSSYKASTSGRRIVTDVREVMWQPTSKTVGLRSARNKRESSNFPDTHLFGKKHQGALKYSWLMLHEHEDSYRYIPQLGDEVIYLWQGHEEYLEGERLSDPCPWMRIKGLKAVELCKIQGLDYSSYKGSGESCCKLSIKFIDHASTGFGKTFMITLPELVSYPDFLVERTRYEAAMERNWTHRDKCKVWWRDEEEQEGGKWWEGRVMAVKPKSADLPDSPWEKYVIQYKNDVSEHPHSPWELHDVGNLWVPWKHPHINLEIRDKLLSEMENLQEMSHGNQDRYGVIKLDKVTEKSDFVNRFPVQFSIEVIKTRLANNYYRTLDAVRHDATVMLINAESYFSKSAEMTKKIRRLSDWVDQTFSSL >PAN50018 pep chromosome:PHallii_v3.1:9:63996736:64014164:1 gene:PAHAL_9G492100 transcript:PAN50018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRKHQLSSNAASISLAPPDFTSQELEKVNPLNSVMSADVASMDGVDIDMREVYFLIMHFLSHGPFKRTFGELCNELLEHQLLPRRYHAWYSRRGFHSGEENDDGISLPLGYAKLAERYPHIGKDHLVKLLKQLMVSSCHPHNLIGAVSPNAADVPTLLGSNSFSLLASDRQDKETPKMPTYLRWPHIQADQVHGLGLREIGGFTKHCRAPSVRASCYAIAKPSTLVEKMQIIKRMRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRMPDGMPVSVLKGHTGAVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARYSQQPPRIYTPKPPDVAPGKSGDVSSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSSDSSHISKEENNLKFRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGGVIDQETQLIPYRRNMQDFLCDAGMMPYPEPFQSMYQKRRLGTLGLEWRPPSVNFAVGPTYNATTENEVISDDTDSEYNGMDENSSEGEQEIMSGDSSGTSYSSAEIDADNPNSAAHLRRSRRKKKKSEAELVTSSGRRVRKKILDEPDIATVSRPRRSRKSRNGHSSKRKRSPKSRGLRPQRRAARNALNFFSKIGASTEEDEDDSEGSFSDSELNTDSTDAEQLERTGQLRFSTEEDATQPSQFTDNKGNSGTGRKIVLRIPRRDLKNQFPSGSGKAECSTQDKTMNSLALANHGSVEPELTVEHRHSSACKAELPADGGLYDGSAVHSNNSIRWGEVKMRSSKRFKYSDPAGGLWSTPNNAASQDIEGSGSHEMPHEYGGGIQQSVGRNVQEIRPGIILDNIQENHTTDEYNGENFGDKEKITNDNNAWADGVNNTIQVNNTSQPSLKLKFKSRGFADGASLPDKSRSAAEGNIMNAEHGESSVRHDDDSSINQPRNVHILNVSKSSQECTDKSIGLHDSKKLILDSPKTFPAVYKRSKPNNRKKIDSDEYANEDSTSISNDDGGYQPPEYSPVTAARGRLRRSTRKSYAHNGDGIPRDDISQVKDSYSSYKASTSGRRIVTDVREVMWQPTSKTVGLRSARNKRESSNFPDTHLFGKKHQGALKYSWLMLHEHEDSYRYIPQLGDEVIYLWQGHEEYLEGERLSDPCPWMRIKGLKAVELCKIQGLDYSSYKGSGESCCKLSIKFIDHASTGFGKTFMITLPELVSYPDFLVERTRYEAAMERNWTHRDKCKVWWRDEEEQEGGKWWEGRVMAVKPKSADLPDSPWEKYVIQYKNDVSEHPHSPWELHDVGNLWVPWKHPHINLEIRDKLLSEMENLQEMSHGNQDRYGVIKLDKVTEKSDFVNRFPVQFSIEVIKTRLANNYYRTLDAVRHDATVMLINAESYFSKSAEMTKKIRRLSDWVDQTFSSL >PAN50020 pep chromosome:PHallii_v3.1:9:63997087:64014164:1 gene:PAHAL_9G492100 transcript:PAN50020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRKHQLSSNAASISLAPPDFTSQELEKVNPLNSVMSADVASMDGVDIDMREVYFLIMHFLSHGPFKRTFGELCNELLEHQLLPRRYHAWYSRRGFHSGEENDDGISLPLGYAKLAERYPHIGKDHLVKLLKQLMVSSCHPHNLIGAVSPNAADVPTLLGSNSFSLLASDRQDKETPKMPTYLRWPHIQADQVHGLGLREIGGFTKHCRAPSVRASCYAIAKPSTLVEKMQIIKRMRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRMPDGMPVSVLKGHTGAVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARYSQQPPRIYTPKPPDVAPGKSGDVSSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSSDSSHISKEENNLKFRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGGVIDQETQLIPYRRNMQDFLCDAGMMPYPEPFQSMYQKRRLGTLGLEWRPPSVNFAVGPTYNATTGEYQTIPIIDPDRWEPLPEITDFIELEPENEVISDDTDSEYNGMDENSSEGEQEIMSGDSSGTSYSSAEIDADNPNSAAHLRRSRRKKKKSEAELVTSSGRRVRKKILDEPDIATVSRPRRSRKSRNGHSSKRKRSPKSRGLRPQRRAARNALNFFSKIGASTEEDEDDSEGSFSDSELNTDSTDAEQLERTGQLRFSTEEDATQPSQFTDNKGNSGTGRKIVLRIPRRDLKNQFPSGSGKAECSTQDKTMNSLALANHGSVEPELTVEHRHSSACKAELPADGGLYDGSAVHSNNSIRWGEVKMRSSKRFKYSDPAGGLWSTPNNAASQDIEGSGSHEMPHEYGGGIQQSVGRNVQEIRPGIILDNIQENHTTDEYNGENFGDKEKITNDNNAWADGVNNTIQVNNTSQPSLKLKFKSRGFADGASLPDKSRSAAEGNIMNAEHGESSVRHDDDSSINQPRNVHILNVSKSSQECTDKSIGLHDSKKLILDSPKTFPAVYKRSKPNNRKKIDSDEYANEDSTSISNDDGGYQPPEYSPVTAARGRLRRSTRKSYAHNGDGIPRDDISQVKDSYSSYKASTSGRRIVTDVREVMWQPTSKTVGLRSARNKRESSNFPDTHLFGKKHQGALKYSWLMLHEHEDSYRYIPQLGDEVIYLWQGHEEYLEGERLSDPCPWMRIKGLKAVELCKIQGLDYSSYKGSGESCCKLSIKFIDHASTGFGKTFMITLPELVSYPDFLVERTRYEAAMERNWTHRDKCKVWWRDEEEQEGGKWWEGRVMAVKPKSADLPDSPWEKYVIQYKNDVSEHPHSPWELHDVGNLWVPWKHPHINLEIRDKLLSEMENLQEMSHGNQDRYGVIKLDKVTEKSDFVNRFPVQFSIEVIKTRLANNYYRTLDAVRHDATVMLINAESYFSKSAEMTKKIRRLSDWVDQTFSSL >PAN50606 pep chromosome:PHallii_v3.1:9:66692825:66694819:1 gene:PAHAL_9G532000 transcript:PAN50606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVYATCAILAAVSSLYLLRLIAGSHRNLPPGPRPLPLVGSLLDLGAHPHRSLARLASRHGPLMALRLGAVTTVVASSADAARDVLQRHDAALSARSVPDAARARAHDEHSVGWLPPGSPRWRALRKVCSAELFAPRRLDAHQPLRRDKVRRLASHVARLAREGAPVDVGRAAFTTVLNLLSCAIFSADLADLDDRGASGAFKGVIEEFTVAVGVPNVADFFPVLAPLDPQRLRARIGRVFDKLHAIFDEQIERRVQERAAGEPPKNDFLDLLLDYRGAEDGRGFGRQTLLSLFTDLFSAGSDTSAATVEWAMAELLQNPSSMAKSRDELAQVMGAKQEIEESDIGKLKYLQAVVKETFRLHPPAPLLLPRQAEAATEVRGYTVPRGARVLVNLWAIGQDPELWAEPEKFMPERFLEKEMDFRGKDFELLPFGSGRRMCPGMPLADRMVHLMLATLLHRFEWRLPADVEKNGVDLSENFGTILGLATPLQAIAKPI >PVH32031 pep chromosome:PHallii_v3.1:9:31746558:31750940:1 gene:PAHAL_9G296600 transcript:PVH32031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDELCVPHRGRHDRRNCGEGKATAAVGSPVGKGLPGAAGLGGRVDLEEGRRGQIGGRRRSGGRVSECDSSSFGAGRGEGERDSRPSSAKGGGSGEGRRKRRRSASPRRRFISRSADIPSSSKRRRRGRVMHRVTNYAMQGCSNNDEREEVEAAANAASRPQWMGSFHPYGMPPPVPPYFYPAPATMPPPAGPPMQQFSEGPSVRVGDPDAGKSGPKVKLPNFNPEEDVNLTKWWLNISTDPVVNTGQRKEGFWLRIMKGYNSSRGVYPKRSQKSLTTRWDYIKECCTKFSEFYSSVLRLNPSGMSDADKTTEAMAWYAAALQKPFTQMHSWKLLKDEPKWEACIGAHSKVHVLDDDSSDAAAGGANEVGGPAESDVPASSGSKRPIGRDATKAARKKAATSSSSSEYISQMNYMWGNKLSLIKESHAKMASHHATMAVLQEKKMTTERELEERRPALEESRLAMEASRMEMEKNDRESRMEMERSRAAKEERAEEERILSIELDKCSPALRLFYKRQQEQILVKYSLPPP >PAN51085 pep chromosome:PHallii_v3.1:9:69131720:69135507:1 gene:PAHAL_9G568600 transcript:PAN51085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNRNRRGRGSSSSSSSSRRNKQEASWDDGPGTSLPRQEDTEEEFKGSRIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKADHPTVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTGHLLLGKFKWGHSFLSLNRDLLKAYSKCENGSEIINVQNSWLSSNSSVPKPPANEAETTRRSKEEGSDGDSYDDLPPLEENLNHLNLDQDEESEEGSEGDSDDDLPPLEENVNRLNLNQDEESEEESESKRKG >PAN51084 pep chromosome:PHallii_v3.1:9:69131720:69135507:1 gene:PAHAL_9G568600 transcript:PAN51084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNRNRRGRGSSSSSSSSRRNKQEASWDDGPGTSLPRQEEDTEEEFKGSRIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKADHPTVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTGHLLLGKFKWGHSFLSLNRDLLKAYSKCENGSEIINVQNSWLSSNSSVPKPPANEAETTRRSKEEGSDGDSYDDLPPLEENLNHLNLDQDEESEEGSEGDSDDDLPPLEENVNRLNLNQDEESEEESESKRKG >PAN48160 pep chromosome:PHallii_v3.1:9:53206931:53207952:-1 gene:PAHAL_9G364300 transcript:PAN48160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSHDRGGSPAQPPRRSRTRRDPSEDEVYRVPPQEFAHTVQRLTGAASSQAPPTTPSSRSSSRSSLLGLAADQAAPPPPSTGPPPPAPAALLVSAPRSMQEAYLAWCASNNVVLSPGTMAEMERRGGGQS >PAN44762 pep chromosome:PHallii_v3.1:9:4242757:4243116:1 gene:PAHAL_9G073400 transcript:PAN44762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVISPVGEEIIYVISILNSYCQERLYHGNHVDGCRAVSWSVPENTTMKMGVVHCTCQPNTYTGRLYSLHNPCIFCCICLLDNLETLMHPIKQGRFVIFLFMTEVGLHEQRVSNIILP >PVH31439 pep chromosome:PHallii_v3.1:9:9014998:9016996:-1 gene:PAHAL_9G147100 transcript:PVH31439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVIPEPSSEVMIRVEPGRQARGELTLRNAMHTMPVAFRLQPAVRGRFAVRPHTGILAPLAAVTVEVQYLASGAPEGPSGSGGGSRGEDAFLLHSVVAPGAAVKEPVTALDSVNPEWFSARRKQVFVDSGIRATFVGASVAARLVAAGAVEALREVLDRSEPEWRSADAAEESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLLANGANPAGSDALHVAAAAGHNDVLKLLLAKPACASPASSSSASFSCSFTSIDAAGRDGKTPLRLAAEAGRRDAVKALLAAGARAEARCGTDGATALHAAARRGDEAVARLLLSHGVAGTAAVRDVAGKTAFEIAAEEGHGGRIMDFLGLGEAILDAARKGEVRSVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTARDLIDRGADVDAADAEGYTALHCAAEAGRADVVDLLLKSGANAKTTTAKGRTATEIAAAAGKSKVVRLLEKSGGMGRKDVSEKAAPAVAKGGSMDRRRRGRKGSSGAIRFGGGKEGFEAAAVTVGWSH >PAN47701 pep chromosome:PHallii_v3.1:9:43548197:43553669:-1 gene:PAHAL_9G332600 transcript:PAN47701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMTSAAAAPGLAAIESPSPAATTIPPTTSLAAPPAIAAAPPPTESMSAARATTSSSAIAEILAKLDSIMISLPATSSAATTMASLTVLASAPPLFVLQAPPTSPSPATTFATAPPSWTSVLSITPAPSVTTLPAAATTSSALPTAVAAAQAPGKVETDAPAALTATATPSRVSATLLVPHLPMASVVAALLATAPEPAIGQCDSTSILFPTIVTAPLPTSAPSQPPLEAATVWDPLRNHWWTCLPQWSLHFPHRPTHQQLQQQPRSCQQTRTNISATHDYDGSTCDCSTRHNAGNLGAVGLHCTTCDISAPISIDAHKGPLLSLYVAPAPSLDFCASTAAWIPPPV >PAN48761 pep chromosome:PHallii_v3.1:9:57230849:57232798:1 gene:PAHAL_9G403300 transcript:PAN48761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKLLLLPVAVAQKHAHAHGVGGGDRVWARPWRWAKTAFFLAAMLASLLLVCAPPLLVVLLDLALPPALFSAALRAGDGYASFASAAVAQARAFDFRSSLVDLPAVSTARALLILCAYVVCGGGGAYLWVVGACAAGSVSYVLVKAATVLPRRAALQVGGERAVTAAGPEAMLLLSLALAAAHIAAAYRTSCRERRRMLVYRIDVEGAVRLKGGHQTPKGLKA >PVH32955 pep chromosome:PHallii_v3.1:9:66764628:66765479:1 gene:PAHAL_9G533200 transcript:PVH32955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQRKKSATLMEMKPIRNSLGRNPLSDALHRNPGVVLNGQGPGRLPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLVEPTRIRLGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERVHGGFGYGSRNEGGRMF >PAN45150 pep chromosome:PHallii_v3.1:9:5574205:5576173:1 gene:PAHAL_9G096900 transcript:PAN45150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASWAAPPPGYPAGHGQAYGGQPPAAPQPQAATAVAVTAASNGVGNPYVMVTPAAATPSTCQTVMKALGRYGKLLEDGTRKAADATGNIWHHLRTAPNMADAAVARLSQGTKVYAEGGHDRVFYQTFGAMPGEQLLKAYACYLSTSSGPVIGTLYLSTARLAFCSDSPICYQGPAGQPLECMYYKVVLPLSQVKTVNPSSSMRNRAERYIQITTMDNHEFWFMGFVNYDKALKNLYEALQHRDVHGHHHHS >PVH31425 pep chromosome:PHallii_v3.1:9:8760013:8763737:1 gene:PAHAL_9G142800 transcript:PVH31425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSRRAVGGGAKQGGGAGGLVAEPPAATAAAAQSPRHPSSSAAAAPVFPSPRPFMAMALPQAGLLDGSSEGPSSAMSPTSILETKQFCCSALPPFLSERSLRRAHHVDAAAAPLPAGVGLADVLRDHGDAKLGGGKVVFGSQLRIQVPSGRAVELVSSPIEFGAKNRDAQLAVLSPARRFLPEVVSSPSARVFAGAVAPGEMAMSEDYTCVISRGPNPRTRHIFDDCIVESCGDVLVEKMDKGAGAAVASGFLSSCHACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >PVH31424 pep chromosome:PHallii_v3.1:9:8760016:8763737:1 gene:PAHAL_9G142800 transcript:PVH31424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSRRAVGGGAKQGGGAGGLVAEPPAATAAAAQSPRHPSSSAAAAPVFPSPRPFMAMALPQAGLLDGSSEGPSSAMSPTSILETKQFCCSALPPFLSERSLRRAHHVDAAAAPLPAGVGLADVLRDHGDAKLGGGKVVFGSQLRIQVPSGRAVELVSSPIEFGAKNRDAQLAVLSPARRFLPEVVSSPSARVFAGAVAPGEMAMSEDYTCVISRGPNPRTRHIFDDCIVESCGDVLVEKMDKGAGAAVASGFLSSCHACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >PAN45793 pep chromosome:PHallii_v3.1:9:8760588:8763737:1 gene:PAHAL_9G142800 transcript:PAN45793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSRRAVGGGAKQGGGAGGLVAEPPAATAAAAQSPRHPSSSAAAAPVFPSPRPFMAMALPQAGLLDGSSEGPSSAMSPTSILETKQFCCSALPPFLSERSLRRAHHVDAAAAPLPAGVGLADVLRDHGDAKLGGGKVVFGSQLRIQVPSGRAVELVSSPIEFGAKNRDAQLAVLSPARRFLPEVVSSPSARVFAGAVAPGEMAMSEDYTCVISRGPNPRTRHIFDDCIVESCGDVLVEKMDKGAGAAVASGFLSSCHACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >PVH31201 pep chromosome:PHallii_v3.1:9:4639336:4639751:1 gene:PAHAL_9G080400 transcript:PVH31201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKLGCDEQLSILLEIFFKKMIRLLYAKNLETHQCLLVNKTSSINIECK >PAN49305 pep chromosome:PHallii_v3.1:9:60431521:60436002:1 gene:PAHAL_9G439700 transcript:PAN49305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MPLVVAAAASAVAPPPSRRLAPLTSIRTPRTRSLALTVARCSPSPSVPAAAEAPAPPQEAKPKPRRYPKQYPGEAVGVAEEMRFVAMRLRNPKRTTIKDEPGAEDADAGAGTEASDASDDDDDDGGVKEEHEKEEEGELQEGEWMPSMEGFVRYLVDSKLVFDTIERVVAESTDVAYVYFRKSGLERSACISKDLEWFKEQGIAIPEPSTVGSTYATYLTELAESNAPAFLSHYYNIYFAHITGGVAIGNKISKKILEGRELEFYKWDTDAELLLKDARDKLNELSKHWTRKDRNLCLKEAAKCFQYLGKMVRLIIS >PAN43991 pep chromosome:PHallii_v3.1:9:599361:602026:-1 gene:PAHAL_9G009700 transcript:PAN43991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGAAAQAKEDNDDDVPELVPGETFEEVAQEAKA >PAN44261 pep chromosome:PHallii_v3.1:9:1664356:1665312:1 gene:PAHAL_9G029800 transcript:PAN44261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPAGRNDGHNNDARLHAPLLPSRRQPVPTSSVEVSTDERVLSASANLLQLLPTGSVMVFQTLAPSLTNQGECHPSNWWLSLGLVLFLTFFCFFSSFTDSFFYDGKLYYGMATPWRLFLFNLNLSHRERKQFANLHRDELKELRLRWDDYLHAVVTAVVFVALAFSDIGIQNCFFPHAGFDAKQWLKNMPLVVATLSSLLLMLCQTRRNNVDFLSRTTAHPSVTPDPRRPEYGRLDSIADHQNLDGVSLGFPRLPVEAMLQTRENRMTSVHDVDNVVKFRWERDDLLMLRWAPHEMPRIHSESNLQVPRKFMDMV >PAN47749 pep chromosome:PHallii_v3.1:9:48077680:48110769:-1 gene:PAHAL_9G345000 transcript:PAN47749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 10 [Source:Projected from Arabidopsis thaliana (AT2G36850) UniProtKB/Swiss-Prot;Acc:Q9SJM0] MASSRRRRGGGPERAADNWERLVRAALKRDRDHLRAGGAAGGLGLAAAVPASLGRTTNIEQILQAADDIEDEDPNVARILCEQAYTLAQNLDPSSEGRGMLQFKTGLQSVIKQKLAKKDGASIDRQNDIQVLWNFYLDYKSRRRVDDMQREQERLRESGTFSTEMGARAMEMKKVYVTLRALLDVLEILVGQSPTDRLHRQILEEIKKIKRSDAALRGELMPYNIVPLDAPSSVTNIIGFFPEVRAATTAIQNCEDLPRFTYDAPQLRQKDIFDLLQYVFGFQDDNIRNQRENVVLTLANAQSRLGLLDGTEPKIDERAVTEVFCKVLDNYIKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANIRFLPECLCYIFHNMAKELDGILDSSEAEPAKSCITGDGSTSFLEKIITPIYETMAAEANNNNGGKAAHSAWRNYDDFNEYFWSRSCFELCWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLLLMFQGLAIIAFHHGKIDIDTFKILLSAGPAFFILNFIECCLDVLLMFGAYKMARGFAISRLVIRFFWLTAVSTFVTYLYVKVLEEKNARNSDSTYFRIYGLVLGGYAAVRIAFALMAKIPACHRLSSFSDRSQFFQFFKWIYQERYYIGRGLYESISDYARYVIFWIVILACKFTFAYFLQIKPLVEPTNIIVQLHDLKYSWHDLVSRGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKNLSPQRISSRPVAQDSEITKMYASIFSPFWNEIIKSLREEDYISNREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWYRISKDEYMAYAVKECYYSTERILHSLVDAEGQRWVERLFRDLNDSIAQGSLLVTINLRKLQLVQRKLTGLTGLLIRNETAGLAAGVTKALLELYEVITHEFLAPNLREQFDTWQLLLRARNEGRLFSKIFWPNDPEMKEQVKRLHLLLTVKDSAANIPKNLEARRRLQFFTNSLFMDMPAAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWANFLERIGRGESSEDDFKDSPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGNSAAQYIDTQGYELSPDARAQADIKFTYVVSCQIYGQQKQMKKQEAADIALLLQRNEALRVAFIHEEDSVSSDGHATKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNAHGNHGIRNPTILGVREHVFTGSVSSLASFMSKQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYSISRQARFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLMKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTKGGSSSFILITISSWFLVMSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGDNSWESWWDEEQSHIRTFRGRILETILSLRFLIFQYGIVYKLKITSHNTSLAVYGFSWIVLFVMVLLFKLFTATPKKSTALPTFVRFLQGLLAIGIIAGIALLIVFTRFTIADLFASALAFIATGWCVLCLAITWKRVVKTLGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQQT >PVH30928 pep chromosome:PHallii_v3.1:9:878568:883968:1 gene:PAHAL_9G014900 transcript:PVH30928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKDYEEAKSKGSENFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKQIKEKMKEVDTFDFEGRTDDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLPTPAPPPQVAAVPPPPPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVLSVMYHFLL >PAN44075 pep chromosome:PHallii_v3.1:9:878568:883969:1 gene:PAHAL_9G014900 transcript:PAN44075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKDYEEAKSKGSENFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKQIKEKMKEVDTFDFEGRTDDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLPTPAPPPQVAAVPPPPPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVLSVMYHFLL >PAN44076 pep chromosome:PHallii_v3.1:9:878568:883970:1 gene:PAHAL_9G014900 transcript:PAN44076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKDYEEAKSKGSENFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKQIKEKMKEVDTFDFEGRTDDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLPTPAPPPQVAAVPPPPPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQELRNKRRKDKSEDDRRSREHSKDHNSRASRDRDTERKDRIDSRDGRRGDYDRDRDRRHDRDRRHDRDRDRDYDRSRGYDSRRRERSRSRERRRHERY >PVH30927 pep chromosome:PHallii_v3.1:9:878762:882526:1 gene:PAHAL_9G014900 transcript:PVH30927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKDYEEAKSKGSENFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKQIKEKMKEVDTFDFEGRTDDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLPTPAPPPQVAAVPPPPPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVLSVMYHFLL >PAN51478 pep chromosome:PHallii_v3.1:9:70806186:70809461:-1 gene:PAHAL_9G597300 transcript:PAN51478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAARRRLWRGMGTAAAAAATGTDGTLLVRLVSEPECRVKATMEEVAASATQHRDGGFWEPLAAALLRASSPTKAHLVLEWKLEKLLKEEIHDCEPYSTIIRFCAQTRNAALAMRVFECVEAQGIRLNTGIFNALVNTFLSVGDLLSAMTSYETMEGIEGCKPDSTTYDAFISAFSVLGSGHAMITWYIAAKNAGFTPSIQVFESLITGFVRLNMLDDAKMAFEDMISLGVKPSSAILEANLEVLSRKKEVSTVRDFLKRVSDGNWELNKATVERLMRMCLDKGDIDEMEQLFALIQKGTHLSSAAQLHQGIIRFYAKADRLADLEDAICRVLDNGAMFMCPEDVEVIICSYFRHKEFDRLDLFLNHIRSFLKLNRSTYDILVAGYRKFDLHERLDSTINDMRQAGFV >PAN49750 pep chromosome:PHallii_v3.1:9:62608143:62612058:-1 gene:PAHAL_9G472800 transcript:PAN49750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYSGDRSSSSSSRPTTTSFDSYQFDFGINASRSSGSRPLRDQRPGAAAAANPSTRPAAGASWTHQPATSAKPSWTHQPSPAAASAAGPGSGPTSMVGDIFGRSWSSAAPSSGIGIPQANNPALFSDLLGSALGGSGSSRAQSNAPLRSAAAPQASRPTGANSNASTNSSAFSMGGMASALPKTTGAPMGSGGYGVGGRPMKPAGMAATAAAQPMGQKKDPFGSIDPFAAKPGPMNAAKQASSVKPDQGFGAFQGVSSSANAGFGSFQSADAGFGGFQSSGSTKPSSFTPPPQSAPAPTPAAAVANSSVDPLDNLFASTTAAPTATAASNGGGGGDMFGEMDGWVDVEAEFGGGDSGGATTELDGLPPPPSGLTVSAAKAKGMDSYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLDQDKENVSVLVQRALLYESTEKYRLGAEDLRLVLKIDPTNRLARSTIHRLNKLAD >PAN49749 pep chromosome:PHallii_v3.1:9:62608143:62612588:-1 gene:PAHAL_9G472800 transcript:PAN49749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYSGDRSSSSSSRPTTTSFDSYQFDFGINASRSSGSRPLRDQRPGAAAAANPSTRPAAGASWTHQPATSAKPSWTHQPSPAAASAAGPGSGPTSMVGDIFGRSWSSAAPSSGIGIPQANNPALFSDLLGSALGGSGSSRAQSNAPLRSAAAPQASRPTGANSNASTNSSAFSMGGMASALPKTTGAPMGSGGYGVGGRPMKPAGMAATAAAQPMGQKKDPFGSIDPFAAKPGPMNAAKQASSVKPDQGFGAFQGVSSSANAGFGSFQSADAGFGGFQSSGSTKPSSFTPPPQSAPAPTPAAAVANSSVDPLDNLFASTTAAPTATAASNGGGGGDMFGEMDGWVDVEAEFGGGDSGGATTELDGLPPPPSGLTVSAAKAKGMDSYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLDQDKENVSVLVQRALLYESTEKYRLGAEDLRLVLKIDPTNRLARSTIHRLNKLAD >PAN50481 pep chromosome:PHallii_v3.1:9:66093868:66097435:1 gene:PAHAL_9G523300 transcript:PAN50481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor NCBP [Source:Projected from Arabidopsis thaliana (AT5G18110) UniProtKB/Swiss-Prot;Acc:Q9FK59] MEPAVEKKEAEQEEQQLPQARKEDAPAAAAEEDEADSEETERRNRDLKAGLHPLRRKLVLWYTRRTPGARSQSYEDNIKKIIDFSTVESFWVCYCHLARPSSLPSPTDLHLFKDGIRPLWEDPANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLEYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >PVH31639 pep chromosome:PHallii_v3.1:9:12667767:12667877:-1 gene:PAHAL_9G198100 transcript:PVH31639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISDIKLIRTDTTLDLSQKAEKGMIWNGGLGLDM >PAN51823 pep chromosome:PHallii_v3.1:9:72470780:72472040:1 gene:PAHAL_9G623300 transcript:PAN51823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSPAACAAAVPFHSIPRVLPQSPYIHLAPATSRPKALHGVMAQREAAAAKAAGGGRRPAGHVVDLETGGERVAGREGRAGWAAAAAVAGVGLAGAGVLVWWALAFHPAHQQLWMVPVGLVLLGTPLVAWLSLFASGAGRWLGRLRAGDGRPPGAAPAVVPER >PVH33274 pep chromosome:PHallii_v3.1:9:71860426:71861777:1 gene:PAHAL_9G614400 transcript:PVH33274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYETATAAYACIHVASLQQKFKIDPRRIIRPSAWRLEPCWRLGFAAATVRLSVSYRLHSCSGRQKKDRMKPSTSGSISRVQSFFGSHVSETKDQHTGSGQHMISIS >PVH31671 pep chromosome:PHallii_v3.1:9:13278439:13283159:1 gene:PAHAL_9G206800 transcript:PVH31671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSWSLHVHVSFQVGIFYEEAYAALSAPPLSQHFDKTWVSHVQLKAAQFYADACYRYSLDLHEKEEIAEEIARLKIGMSALADAKKAAKGVAAQLLDSVNKLESNMKTNLERAMKENDRVYLMRVPAAGSLGALPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRMQAEKLQQASEITRVRLKEMDLPDSILSLEGNIALPLDLKEDVEAVQISGGPAGLDSELQQLRDLRRVNQELLVQTEELLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANIKKAADSDSLIERGVKENYPLMSILDKRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEIAKYDSICAEIGDNIVAQEQLLLQIQAQNEQFAAVFNIEDYKAARERCYKQIAAAVAKYREIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQRKLAGFNFSSSSHSSMQRNASVPPDQSSPSPPPHAQAPYAAPPGGDSRPGYSQPEPRPAYSQPYPPSYGAPPQQPPYGAPHPGQYQQPPHQPPPGHDYGQPAYPGWRGPYYNAHQPQPQQPGPYPQPPYNAPGAYPPHQSNYYRPQ >PAN46673 pep chromosome:PHallii_v3.1:9:13277302:13283159:1 gene:PAHAL_9G206800 transcript:PAN46673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGSSNLMLAIHEKKTTPTDLYRPLRLYIASAYSEREAASADDDLSAVRDLRAAVEQPSLPDPSSLEQRRDALLAYARALALVEPRFPISPDRAHVHSLTFTWHDAFKGNKKCALASIHLEKAAVLFNLGAVYSQIALAADRSTDVGIRTACGAFQSAAGAFAWLRESGVAAKAVAAGATTVDVSPECAGMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAPPLSQHFDKTWVSHVQLKAAQFYADACYRYSLDLHEKEEIAEEIARLKIGMSALADAKKAAKGVAAQLLDSVNKLESNMKTNLERAMKENDRVYLMRVPAAGSLGALPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRMQAEKLQQASEITRVRLKEMDLPDSILSLEGNIALPLDLKEDVEAVQISGGPAGLDSELQQLRDLRRVNQELLVQTEELLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANIKKAADSDSLIERGVKENYPLMSILDKRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEIAKYDSICAEIGDNIVAQEQLLLQIQAQNEQFAAVFNIEDYKAARERCYKQIAAAVAKYREIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQRKLAGFNFSSSSHSSMQRNASVPPDQSSPSPPPHAQAPYAAPPGGDSRPGYSQPEPRPAYSQPYPPSYGAPPQQPPYGAPHPGQYQQPPHQPPPGHDYGQPAYPGWRGPYYNAHQPQPQQPGPYPQPPYNAPGAYPPHQSNYYRPQ >PAN45147 pep chromosome:PHallii_v3.1:9:5571642:5572804:1 gene:PAHAL_9G096800 transcript:PAN45147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGRLSAAGEAVEPGVAELELDGVAEALRPGEAEVRAEAGRGAHAAGVEHVTGRALHPARLHHQAPDLPSRLRGGGAAVRGRGGHLLDQDDPVRPPLPARPPPALHVGPALRRRGLPPHRHAVVVVAAEQVHQERRLPDVPHDLRDRLPHPPLHLLLPNLLQLLLITISSAKHAPQQPLLPVSELQPLGRVRDRRAQPHRGRPPRRHGHERRRHPGPGQRHRRRRRRRAVPPHGGRRRRHRAPHHLRSDRRGLLQPN >PAN51312 pep chromosome:PHallii_v3.1:9:70111015:70112281:-1 gene:PAHAL_9G584800 transcript:PAN51312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGWKRGARAVAAGEERGRQGPWWGASCPPLARALRAQLGEGAMHPSVPPDRQDGNATKLQLASCRGPPKRKKKTIEPLSESSIVPIGSTQKAMQFPPRSGSGSNQLEQLSIEYPILPTRESTPPPATSKPRKEKKTKRKAKIA >PVH31769 pep chromosome:PHallii_v3.1:9:14884444:14888357:-1 gene:PAHAL_9G226900 transcript:PVH31769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVAFLSLYLNYLNGSFPEFVLRSGNVTYLDLSQNGYSGPIPDVLPERLPNLRWLNLSANALSGRIPASLARVTRLQDLHVGGNNLTGGVPEFLGSMPQLRVLELGGSPLGGPLPRVLGRLKMLQHLDVKNAGLVSTLPPELGSLSDLVFVDLSGNHLSGSLPASFAGMQKMREFGISSNNLTGEIPGRLFASWPELISFQVQSNSLTGKIPPEVGKATKLKILFLFSNNLTGSIPPELGELANLTELDLSVNSLTGPIPSSFGNLKQLKRLALFFNGLTGKIPPEIGNMTALQVLDVNTNRLEGELPSAISSLRNLQYLALFDNNLSGTIPPDLGEGLALTDVSFANNSFSGELPRSLCAGLALQNFTANQNNFSGELPPCMKNCSDLYRVRLEGNHFTGDISEAFGVHPNMDYLDVSGNKLTGRLSDDWGQCPKVTRLHMDGNRLSGGIPVAFGSMASLQDLSLAANNLTGAIPPELGDLSVLFNLNLSHNSFSGPIPTSLGNNSKLQKVDLSGNMLNGTVPVGIGNLGSLTYLDLSKNKLSGHIPSELGNLVQLQIVLDLSSNSMSGPIPSNLEKLMNLQKLNLSRNELSGSIPAGFSRMSSLETVDFSYNQLTGEIPSGNAFQNSSAEAYIGNLGLCGNVQGIPSCDRSTSSGHHKRTVIEIVLSAVGAVLLAAIVAYLILACRRRPREQKVSEASTSDPYEPMIWEKEGKFTFLDIVNATDSFSESFCIGKGGFGSVYKAELASGQVVAVKRFHVAETGDISEASKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYSEEGKKKLDWGMRVKVVQGIAHALAYLHHDCNPAIVHRDITVNNILLESEFEPRLSDFGTAKLLGSASTNWTSVAGSYGYMAPELAYTMRVTEKCDVYSFGVVTLEVMMGKHPGDLLTSLPAISASKEDDLLLQDILDQRLDPPMGELAEEIVFVVRIALACTRENPESRPSMRSVAQEISAHTQACLSEPFRQITVSKLTDYQK >PAN49456 pep chromosome:PHallii_v3.1:9:61150768:61154142:1 gene:PAHAL_9G450500 transcript:PAN49456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNYDYSPSPPRGYRRRARSPSPRGRYGGRARDLPTSLLVRNLRRDCRPDDLRRPFGKFGRVKDIYLPRDYYTGDPRGFGFIQYFDPEDAADAKYHMDGQMFLGREITVVFAEENRKKPSEMRARERTSSRGRSYDRRSRSRSPWYSVSPRGRSRSRSRSYSPAPKRKHHSRSPSPRERSLSRSPVDSRSRSASPGVKSPHRERSLSVSQ >PAN49457 pep chromosome:PHallii_v3.1:9:61150768:61154142:1 gene:PAHAL_9G450500 transcript:PAN49457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNYDYSPSPPRGYRRRARSPSPRGRYGGRARDLPTSLLVRNLRRDCRPDDLRRPFGKFGRVKDIYLPRDYYTGDPRGFGFIQYFDPEDAADAKYHMDGQMFLGREITVVFAEENRKKPSEMRARERTSSRGRSYDRRSRSRSPWYSVSPRGRSRSRSRSYSPAPKRKHHSRSPSPRERSLSRSPVDSRSRSASPGVKSPHRERSLSVSQ >PAN50604 pep chromosome:PHallii_v3.1:9:66664184:66666929:-1 gene:PAHAL_9G531700 transcript:PAN50604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLSETTPLGLSLEGTMEISDEQRMEMSIVERHHLPPSSHGNGDAETDVEEEHLWPTKDGPLPIFLKFENVEYRVKMTLKNPLTAARVAFASHMRVDQGSSSKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGIKGQITYNDTPYNPHLKKRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKTRRTIITTIHQPSSRMFHMFDKLLLISDGHAIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLATGNLDDISVPEALRGSPDPQEFRSHVIRHLQLKYRSAASAGGAEAAARRAPMEQLRLAVRARKDRRGIGWFQQFAVLSRRTFRERASDYLDKMRLAQAVGVALLLGLLWWKSKTGNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRRTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYDCGSRGGCQRLQSSPSFDTVDLDGGMREVWILLAMALAYRLLAYFCLLKRISFMPL >PAN46645 pep chromosome:PHallii_v3.1:9:12807371:12807794:1 gene:PAHAL_9G201200 transcript:PAN46645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNPSGGIKVVWMPRVALGSGPAAALCGPPVPSCVVGCGALAKASASQHLERWAMREAGFTEQRIPPAANGGRITGRCTTGPR >PAN44463 pep chromosome:PHallii_v3.1:9:2475485:2476355:-1 gene:PAHAL_9G044900 transcript:PAN44463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Germin-like protein subfamily T member 1 precursor [Source: Projected from Oryza sativa (Os03g0804500)] MSRSPASLLLLLLSAATLVATCRGDPEPVQDFCVAAPRGDGEAFPGLPCKPASTVVSDDFFFAAHARGASTDNPTGSGVTPGNVEAFPGLNTLGLSLNRVDLAPGGVNPLHSHPRSAELVHVEDGEMLVGFVSTEGKFYSKVVRAGESFVIPRGMMHFQYNVGAGAARAMTVFNSQLPGVVLAAQSLFGAEPEIPDAVLAKSFQVDAEIIKLLKSKFRKG >PAN46912 pep chromosome:PHallii_v3.1:9:14897841:14901298:1 gene:PAHAL_9G227100 transcript:PAN46912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHRRRPAGRARPAAAMSRRAPLLLLLLLWYSLRPADSARGEAEALLRWKASLLAPAGALASWSLNGSTAPCAWRGVSCDSRGRVVGVDAAGAGLAGTLGALDLAALGSLASLNLSFNALAGPLFTANVSAPLVSVRSVDLSNNSLSGPIPATLPAYMPNLELLNLSSNQFAGEIPATLAKLTKLQSLVVASNHLAGGIPAVLGNVSGLRELELSSNPLGGTIPAALGKLVSLERINVSLTQLESTIPIELSLCTNLTVIGLAGNDLSGGLPPSLAKLTRVREFNVSKNMLTGEILPDYFTSWTDLRVFQADGNRFTGTIPPEVATASKLEFLSLATNNLSGAIPPVIGRLTSLMVLDLSENEFSGTIPRTIGNLTSLTTLRLYDNKLTGRLPDEFGSMTALQRLSINTNMLEGELPAGLTRLPNLLSIVAFDNLFSGTIPSDFGRNLSIFSMSNNKFSGGLPAGLCNTPRLRYLSLDDNHLSGTVPACYRNFTKLVRFRMARNRLSGDVSEILGSHPDLYYFDLSGNLFDGQLPEHWAQFKSLSYLQLDGNKITGAIPASYGALIALEDLGLTSNRLSGTIPPELGELPLLKLDLSHNMLSGQIPLAMGNVTRMLRLDLSGNRLDGGVPVELTKLAHMWHLNLSRNNLTGSVPALLGRMASLQELDLSGNPGLCGDIAGLDSCRLEPIRSASRRHIVRRRIILVAGVVSAAALLASAVAVACALARRRRRAGKDSTDTTASGSAAALTTASIWGKDAEFSFGDILAATEHFNDAYCIGRGSFGSVYRADLPGGHSLAVKRLDASETGDACWGISEKSFENEVRALTRVRHRNIVRLHGFCAMGGYMYLAYELVERGSVGKVLYGAGRSCERFDWAARVRAIGGLAHALAYLHHDCSPPMVHRDVTVNNVLLDPDFEPRVSDFGTARFLAPGRSDCTSVAGSYGYMAPGKGTELHRNGGIVPCTALLLTDSGTLVAELAYLRVTTKCDVYSFGVVAMEILMGRHPGGLISSLHSRLPYTSEQGVGARAGEPMLLKDAVDQRLDLPSGQVAGQLVFAFVVALSCVREDPEARPTMRNVAQELSARRLSVLDRPFAAIRVSDLTSSQG >PAN48248 pep chromosome:PHallii_v3.1:9:54024720:54028255:1 gene:PAHAL_9G371000 transcript:PAN48248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAAAAAEPSSGDTQRPPHYAIGYALAPKKQQSFIQPSLVARAAARGMDLVPVDASRPLAEQGPFHLLIHKLYGDDWRAQLEAFAARHPAVPVVDPPHAIDRLHNRISMLQVVSELDHAADKDRTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLAKLRPPLVLQEFVNHGGVIFKVYVVGGHVTCVKRRSLPDVSPGDDASAQGSVSFSQVSNLPNERTAEEYYGEKSLEDAVMPPAAFVNQIAGGLRRALGLQLFNFDMIRDVRAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVSKEDGVVQEKGSNHVSVK >PAN48249 pep chromosome:PHallii_v3.1:9:54024720:54028255:1 gene:PAHAL_9G371000 transcript:PAN48249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAAAAAEPSSGDTQRPPHYAIGYALAPKKQQSFIQPSLVARAAARGMDLVPVDASRPLAEQGPFHLLIHKLYGDDWRAQLEAFAARHPAVPVVDPPHAIDRLHNRISMLQVVSELDHAADKDRTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLAKLRPPLVLQEFVNHGGVIFKVYVVGGHVTCVKRRSLPDVSPGDDASAQGSVSFSQVSNLPNERTAEEYYGEKSLEDAVMPPAAFVNQIAGGLRRALGLQLFNFDMIRDVRAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVSKEDGVVQEKGSNHVSVK >PAN48247 pep chromosome:PHallii_v3.1:9:54024720:54028255:1 gene:PAHAL_9G371000 transcript:PAN48247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAAAAAEPSSGDTQRPPHYAIGYALAPKKQQSFIQPSLVARAAARGMDLVPVDASRPLAEQGPFHLLIHKLYGDDWRAQLEAFAARHPAVPVVDPPHAIDRLHNRISMLQVVSELDHAADKDRTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLAKLRPPLVLQEFVNHGGVIFKVYVVGGHVTCVKRRSLPDVSPGDDASAQGSVSFSQVSNLPNERTAEEYYGEKSLEDAVMPPAAFVNQIAGGLRRALGLQLFNFDMIRDVRAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVSKEDGVVQEKGSNHVSVK >PAN44711 pep chromosome:PHallii_v3.1:9:3648111:3651222:1 gene:PAHAL_9G063500 transcript:PAN44711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMARASVASSVQLRPCPRPPRNRCLPSSVRRPGRRGVACSASAADADVVDLFDAAKLTVDKFVKSGMVVGFGSGPASALAVQYLGTRLRRGSLTDIVAVTSSVLSASEADKAGIRASSYQEGTQLDFAFTDAEVIEEGTLAAVIGRRKTESGEPSFMVEKTMVKSADKLAFITGNDKYLTGIEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSFGTAGPLGGDHPLVTKEGHHVLDVIFTTPIPDLGQVAEKLEKIAGVVDHGIICSKQSYAVIASKGEVQVIEEKSSVIP >PVH31134 pep chromosome:PHallii_v3.1:9:3648111:3651222:1 gene:PAHAL_9G063500 transcript:PVH31134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMARASVASSVQLRPCPRPPRNRCLPSSVRRPGRRGVACSASAADADVVDLFDAAKLTVDKFVKSGMVVGFGSGPASALAVQYLGTRLRRGSLTDIVAVTSSVLSASEADKAGIRASSYQEGTQLDFAFTDAEVIEEGTLAAVIGRRKTESGEPSFMVEKTMVKSADKLAFITGNDKYLTGIEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSFGTAGPLGGDHPLVTKEGHHVLDVIFTTPIPDLGQVAEKLEKIAGVVDHGIICSKQ >PVH31133 pep chromosome:PHallii_v3.1:9:3648329:3649437:1 gene:PAHAL_9G063500 transcript:PVH31133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMARASVASSVQLRPCPRPPRNRCLPSSVRRPGRRGVACSASAADADVVDLFDAAKLTVDKFVKSGMVVGFGSGPASALAVQYLGTRLRRGSLTDIVAVTSSVLSASEADKAGIRASSYQEGTQLDFAFTDAEVIEEGTLAAVIGRRKTESGEPSFMVEKTMVKSADKLAFITGNDKYLTGIEGSIPVLVKSIIAGKLDRHC >PVH33337 pep chromosome:PHallii_v3.1:9:73083857:73087710:-1 gene:PAHAL_9G632000 transcript:PVH33337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAARRRLATSLSDHFSRRLHPSISHLIPPHHGRSESPSSSAAPPSPQSQPARPIPSSLARPSRSRALTSLPLPFALHLAAHRNFSTTSSASAPDIDVAADMLTDAASSVPVSDQVVSAAASVPVPPAPYAGEVAAAAAESFPPVAALQYLLDAVRSFTGLNWWATIALTAVLIRLLTVPMLINREKSIMKLKDMRPEVEAINEEMRNSTDPRSKKVRRQKLRELFTRHGVTPFTPLKGLFIQGSIFMSVFFAISNMVEKVPSLKGGGAYWFTDLTTRDDLFILPVLTSISFFATVEINMQDGKEGNPVAKSMKEFFRFFGVILVPFTIGYPKAIFFYWVTSNLFSLVSGVVIRRPAIRLWLDLPPLKSQPTPARMQALSLFGGPKPSPRVNSSIADKEGEQSGVDSPIADKERKQSSSVLIYRIRDLENRAKSRGESQE >PVH33336 pep chromosome:PHallii_v3.1:9:73083478:73087812:-1 gene:PAHAL_9G632000 transcript:PVH33336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAARRRLATSLSDHFSRRLHPSISHLIPPHHGRSESPSSSAAPPSPQSQPARPIPSSLARPSRSRALTSLPLPFALHLAAHRNFSTTSSASAPDIDVAADMLTDAASSVPVSDQVVSAAASVPVPPAPYAGEVAAAAAESFPPVAALQYLLDAVRSFTGLNWWATIALTAVLIRLLTVPMLINREKSIMKLKDMRPEVEAINEEMRNSTDPRSKKVRRQKLRELFTRHGVTPFTPLKGLFIQGSIFMSVFFAINMQDGKEGNPVAKSMKEFFRFFGVILVPFTIGYPKAIFFYWVTSNLFSLVSGVVIRRPAIRLWLDLPPLKSQPTPARMQALSLFGGPKPSPRVNSSIADKEGEQSGVDSPIADKERKQSSSVLIYRIRDLENRAKSRGESQE >PAN47149 pep chromosome:PHallii_v3.1:9:15967996:15973473:-1 gene:PAHAL_9G237400 transcript:PAN47149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSLPATNAGGGGLNNVNSGNSDIDSKNFRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSPESFNKAQYWVKELQKHGGPDMIMALVGNKADLHENRSVSSQEAQEYAERNSMFFIETSAKTADNINQLFEEIAKRLPRPTSS >PAN46675 pep chromosome:PHallii_v3.1:9:13284296:13290039:-1 gene:PAHAL_9G206900 transcript:PAN46675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGNSRRSNSKKRTHSSFDDGKRKRVNSRHDDTSMSSEPIETIYRILCPVKKIGSVLGRGGDVVKTLREETKSKIRVADSIPGADERVIIIFNYQNHSEQADEAAENISSDGLGSMKPHCSAQDALLKIHDKIVADEFHNGVADKESESADDVTARILVQGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPLCALRSDELVQITGAPSLVRKALYEISTRLHQHPRKENLPLEEIIHASTQRKRESPPVPHGNLMFPHLHFDHPPPVPLLDPYRDGPLRTVAETEEFSVRILCASELIGSVIGKSGANVKRVEQQTGARIKVQEVDKDASGERLIIVSSEEIPADPISPTIEALMLLHDKLSVLSEKRHVSTRLVVPSSKVGCILGEGGKIITEMRRRTGAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALTEIASRLRTRTLRETSSANNPPPVAPFHGPPVDLPSREFTVYGRPSNDPPYGRPTIDPQSGRLTNDPYGRPAIDPPFGRLTDDSPYGRPAIVPPFGRPTIVPPYGRPGNNAPYGQLANNPPYGRPSSNVPYGRLNESAPRDPSHSYPVDYFSKREYPHGSPPFVSNAPSSTYERYAAPARVPTRELPSSFSPGADYMSHRSYRDHVPIDSYSSRGTQQLGITRDGNSNAYDYTEAAGQMHGREDHRGQTSVAGRYLSTIELRVPNSSLDSIVGVGGVNLAEIRQISGAKLRLLEGHPGSSESVVEIQGTLDQAKAAQSLLQGFISANSRSTLQQPQPSRMPLYPSWG >PAN46674 pep chromosome:PHallii_v3.1:9:13284291:13290040:-1 gene:PAHAL_9G206900 transcript:PAN46674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGNSRRSNSKKRTHSSFDDGKRKRVNSRHDDTSMSSEPIETIYRILCPVKKIGSVLGRGGDVVKTLREETKSKIRVADSIPGADERVIIIFNYQNHSEQADEAAENISSDGLGSMKPHCSAQDALLKIHDKIVADEFHNGVADKESESADDVTARILVQGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPLCALRSDELVQITGAPSLVRKALYEISTRLHQHPRKENLPLEEIIHASTQRKRESPPVPHGNLMFPHLHFDHPPPVPLLDPYRDGPLRTVAETEEFSVRILCASELIGSVIGKSGANVKRVEQQTGARIKVQEVDKDASGERLIIVSSEEIPADPISPTIEALMLLHDKLSVLSEKRHVSTRLVVPSSKVGCILGEGGKIITEMRRRTGAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALTEIASRLRTRTLRETSSANNPPPVAPFHGPPVDLPSREFTVYGRPSNDPPYGRPTIDPQSGRLTNDPYGRPAIDPPFGRLTDDSPYGRPAIVPPFGRPTIVPPYGRPGNNAPYGQLANNPPYGRPSSNVPYGRLNESAPRDPSHSYPVDYFSKREYPHGSPPFVSNAPSSTYERYAAPARVPTRELPSSFSPGADYMSHRSYRDHVPIDSYSSRGTQQLGITRDGNSNAYDYTEAAGQMHGREDHRGQTSVAGYLSTIELRVPNSSLDSIVGVGGVNLAEIRQISGAKLRLLEGHPGSSESVVEIQGTLDQAKAAQSLLQGFISANSRSTLQQPQPSRMPLYPSWG >PVH31132 pep chromosome:PHallii_v3.1:9:3650846:3651856:-1 gene:PAHAL_9G063600 transcript:PVH31132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIHYSRGARLGSVREKFPGKIAEEKSALQWASEWVANHKLRAFGTLWLSGIGGSIAYNWSRPGMKTSVKIIDSRSVAFTSYTACCVRFV >PAN44710 pep chromosome:PHallii_v3.1:9:3650699:3652030:-1 gene:PAHAL_9G063600 transcript:PAN44710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIHYSRGARLGSVREKFPGKIAEEKSALQWASEWVANHKLRAFGTLWLSGIGGSIAYNWSRPGMKTSVKIIDSRNKLARLYDQCLWR >PVH31446 pep chromosome:PHallii_v3.1:9:9109441:9116324:1 gene:PAHAL_9G148300 transcript:PVH31446 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MRPNIITEAGIPTRLNQWWSSIPFITSGVVLICGAIYLLCLLVGYDSYDEICFLPSAVASQFQVYRFYTSVLFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTIAFLVAYNPLYPVAYLVNECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSKIEGLSALSVCVRRPGFILCTGGTTYGQLPTYSNTSAAPSALINGNFLRNISSWMPNRQISTVQEGEDPRFPGRARTLDSAGAEPAAREASANLHARHTVANTVRADATVTPDQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQEG >PAN45873 pep chromosome:PHallii_v3.1:9:9109441:9116324:1 gene:PAHAL_9G148300 transcript:PAN45873 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MRPNIITEAGIPTRLNQWWSSIPFITSGVVLICGAIYLLCLLVGYDSYDEICFLPSAVASQFQVYRFYTSVLFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTIAFLVAYNPLYPVAYLVNECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSKIEGLSALSVCVRRPGFILCTGGTTYGQLPTYSNTSAAPSALINGNFLRNISSWMPNRQISTVQEGEDPRFPGRARTLDSAGAEPAAREASANLHARLLDNTTPSEPLTNSQHTVANTVRADATVTPDQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQEG >PAN45874 pep chromosome:PHallii_v3.1:9:9110045:9116324:1 gene:PAHAL_9G148300 transcript:PAN45874 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTIAFLVAYNPLYPVAYLVNECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSKIEGLSALSVCVRRPGFILCTGGTTYGQLPTYSNTSAAPSALINGNFLRNISSWMPNRQISTVQEGEDPRFPGRARTLDSAGAEPAAREASANLHARLLDNTTPSEPLTNSQHTVANTVRADATVTPDQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQEG >PVH32641 pep chromosome:PHallii_v3.1:9:61068831:61069235:-1 gene:PAHAL_9G449300 transcript:PVH32641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSCLIMLPSPVNFKHLDKIHCQPAKHRGGVSSPRELLPVTKTDTFN >PVH33037 pep chromosome:PHallii_v3.1:9:68132408:68133749:-1 gene:PAHAL_9G553100 transcript:PVH33037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSVCLTVVWYLINPLFGFLMCMQNRNINRCRRAAPASHRSSTGQHRAPAMTGGGDHYRFMLLRTFVFACRREKTTHPKVALDLSPTIVTGTTVGVSYSFRSTKKK >PVH32400 pep chromosome:PHallii_v3.1:9:56087635:56088285:-1 gene:PAHAL_9G389400 transcript:PVH32400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVLSAEFHAPGHEEVPVAQLDLGPRPVIFEKPREKNYRHLKALYLKGYINGQPVSRMLVDTGAAVNIMLYSVPCKLGHSVGDLIKTNITLSDFNGQTSEAQGVLSVDLTVGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSVEVSHAAMSVWDAEDQEPISGISLEGCDRVEATKNRVRLVLSTGLME >PAN51991 pep chromosome:PHallii_v3.1:9:73307894:73309719:1 gene:PAHAL_9G635000 transcript:PAN51991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVVLRVPAMTDDKTKQKAMEAVADIYGIDSIAADLKDSKMTVIGDMDTVAIAKKLKKLGKIDIVSVGPAKEEKKPEKKEEKREETKKDENKKPAEGEKKDKKQ >PAN51990 pep chromosome:PHallii_v3.1:9:73307894:73309719:1 gene:PAHAL_9G635000 transcript:PAN51990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDKTKQKAMEAVADIYGIDSIAADLKDSKMTVIGDMDTVAIAKKLKKLGKIDIVSVGPAKEEKKPEKKEEKREETKKDENKKPAEGEKKDKKQ >PAN48675 pep chromosome:PHallii_v3.1:9:56840472:56845444:1 gene:PAHAL_9G398200 transcript:PAN48675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAELGHLLVFAFLFCFAAFMVGPVITDVTMGALCPGRDECSLAIYLTGIQQAVTGLGALVLTPVVGNLSDRYGRKALLALPATASIVPLGILAYGRTRGYFYAYYITKTLTATVCEGSMMCLSLAYVADRVPEARRAAAFGVFSGVCSAGFVASTIAARFLSVSSTCQVAALAAVVTAVYMKAFLQETDSGASSCSYDEEVSQPLCIPSSSEELSPKLPPLRKAPSLSEIAALLTSSSAFSRAAVVTFFHGLGDAGQLNTLLYFLKAKFHYSKNQYANLLLIIGITGSFSQLTVMPLLVPKLGEQKLLIIALTASCGHAFLYSIAWSFWVPYLAASCVILSMLVTPCIRSIISKKVGPFEQV >PAN48677 pep chromosome:PHallii_v3.1:9:56840472:56846427:1 gene:PAHAL_9G398200 transcript:PAN48677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAELGHLLVFAFLFCFAAFMVGPVITDVTMGALCPGRDECSLAIYLTGIQQAVTGLGALVLTPVVGNLSDRYGRKALLALPATASIVPLGILAYGRTRGYFYAYYITKTLTATVCEGSMMCLSLAYVADRVPEARRAAAFGVFSGVCSAGFVASTIAARFLSVSSTCQVAALAAVVTAVYMKAFLQETDSGASSCSYDEEVSQPLCIPSSSEELSPKLPPLRKAPSLSEIAALLTSSSAFSRAAVVTFFHGLGDAGQLNTLLYFLKAKFHYSKNQYANLLLIIGITGSFSQLTVMPLLVPKLGEQKLLIIALTASCGHAFLYSIAWSFWVPYLAASCVILSMLVTPCIRSIISKKVGPFEQPGVYQKLRLST >PAN48676 pep chromosome:PHallii_v3.1:9:56840472:56846427:1 gene:PAHAL_9G398200 transcript:PAN48676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAELGHLLVFAFLFCFAAFMVGPVITDVTMGALCPGRDECSLAIYLTGIQQAVTGLGALVLTPVVGNLSDRYGRKALLALPATASIVPLGILAYGRTRGYFYAYYITKTLTATVCEGSMMCLSLAYVADRVPEARRAAAFGVFSGVCSAGFVASTIAARFLSVSSTCQVAALAAVVTAVYMKAFLQETDSGASSCSYDEEVSQPLCIPSSSEELSPKLPPLRKAPSLSEIAALLTSSSAFSRAAVVTFFHGLGDAGQLNTLLYFLKAKFHYSKNQYANLLLIIGITGSFSQLTVMPLLVPKLGEQKLLIIALTASCGHAFLYSIAWSFWVPYLAASCVILSMLVTPCIRSIISKKVGPFEQGMVQGCITGISSTANVISPLIFTPLTAWCLSEATPFYLKGFSLACAGFATLVALATSICMRPAEVQRPDRK >PAN49946 pep chromosome:PHallii_v3.1:9:63586027:63590146:-1 gene:PAHAL_9G486800 transcript:PAN49946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGQRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGFQVNIQMDISAEKMIFGVAGVDPKRRDELIKILDINLAWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARSNLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIAHGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDERRKERKQRGLPEFDKVVEGSRVIGDPAKSAARVINNGWAAGRLTSTIAGEENFVFSSNSVLRQ >PAN44854 pep chromosome:PHallii_v3.1:9:4345596:4351164:1 gene:PAHAL_9G075300 transcript:PAN44854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLDWDLNLDPDLQAAARRLSFSDADFPSSRRGLSDMKVPRLDPRIHATSPRKNRLPTINEKSSDELAMAQAPALEQCTWPPSSLGTAGWLYQERGETLTPTGGAPVATLLPLALPGSSQSQQLLEAGAAARTLEQLRQEESLASFGEHQQRCQQASAMEAGLQQAWAAAAAAALPQSQVRMLYTVDGGAAGYETKWDELHPVCQGLLLQIEENIREYRDDSERLDQCSHFDDLSPFNFEFDAGQIIQEAVSISTIMNREKISIESLMTVIKEIMCNTDFAIRSYVKLRPRFVRLSTGIANHSGSSDAQTDFSQLLTMAPSFHHCSSATRRPSPFVQQTVARFEDHLGECCKWILELEQLVQIKNDKTFAESLESLSKVMSNIHDYLIHVASKVEHIHQSAETMKTQYLKDQQCRGDLSNPFHKANRREEAKQQATAGIIHPMLHLSPLGQPTTLVAVPMISSQLQQTSFPIVATSPSSYPALPLPSVLPSFSMQTSPAPSTNPFSSSGSVLQSTPFGSLSTLELGSMPVSSLFRTGIPSSATSLFSIPYGDNYNPGSLICSYRWNGSFRHQSYTFCWKEATQKKPLAVHFIFSFWRCHGCALLSLTARGRQPREICDLNWGWGSCEEASPWQVGNHSTNQPLEAGQCFALRHSKVTSLWRVKLVGRMCFRGRRLKWNRNRFFSGGGNRNAQKCSSSRMPRRQEL >PAN44853 pep chromosome:PHallii_v3.1:9:4345596:4351164:1 gene:PAHAL_9G075300 transcript:PAN44853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLDWDLNLDPDLQAAARRLSFSDADFPSSRRGLSDMKVPRLDPRIHATSPRKNRLPTINEKSSDELAMAQAPALEQCTWPPSSLGTAGWLYQERGETLTPTGGAPVATLLPLALPGSSQSQQLLEAGAAARTLEQLRQEESLASFGEHQQRCQQASAMEAGLQQAWAAAAAAALPQSQVRMLYTVDGGAAGYETKWDELHPVCQGLLLQIEENIREYRDDSERLDQCSHFDDLSPFNFEFDAGQIIQEAVSISTIMNREKISIESLMTVIKEIMCNTDFAIRSYVKLRPRFVRLSTGIANHSGSSDAQTDFSQLLTMAPSFHHCSSATRRPSPFVQQTVARFEDHLGECCKWILELEQLVQIKNDKTFAESLESLSKVMSNIHDYLIHVASKVEHIHQSAETMKTQYLKDQQCRGDLSNPFHKANRREEAKQQATAGIIHPMLHLSPLGQPTTLVAVPMISSQLQQTSFPIVATSPSSYPALPLPSVLPSFSMQTSPAPSTNPFSSSGSVLQSTPFGSLSTLELGSMPVSSLFRTGIPSSATSLFSIPYGGGTAASDINRIPSAGRKPPRRNR >PVH31182 pep chromosome:PHallii_v3.1:9:4345742:4349157:1 gene:PAHAL_9G075300 transcript:PVH31182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLDWDLNLDPDLQAAARRLSFSDADFPSSRRGLSDMKVPRLDPRIHATSPRKNRLPTINEKSSDELAMAQAPALEQCTWPPSSLGTAGWLYQERGETLTPTGGAPVATLLPLALPGSSQSQQLLEAGAAARTLEQLRQEESLASFGEHQQRCQQASAMEAGLQQAWAAAAAAALPQSQVRMLYTVDGGAAGYETKWDELHPVCQGLLLQIEENIREYRDDSERLDQCSHFDDLSPFNFEFDAGQIIQEAVSISTIMNREKISIESLMTVIKEIMCNTDFAIRSYVKLRPRFVRLSTGIANHSGSSDAQTDFSQLLTMAPSFHHCSSATRRPSPFVQQTVARFEDHLGECCKWILELEQLVQIKNDKTFAESLESLSKVMSNIHDYLIHVASKVEHIHQSAETMKTQYLKDQQCRGDLSNPFHKANRREEAKQQATAGIIHPMLHLSPLGQPTTLVAVPMISSQLQQTSFPIVATSPSSYPALPLPSVLPSFSMQTSPAPSTNPFSSSGSVLQSTPFGSLSTLELGSMPVSSLFRTGIPSSATSLFSIPYGGIHHMLYATG >PAN49086 pep chromosome:PHallii_v3.1:9:59131067:59134644:1 gene:PAHAL_9G425000 transcript:PAN49086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVLLLVALASLAAAVVLRHFLPLLRNHDLPKGSFGWPLIGETIGFLGAHPSNTTGGFLQDHIARYGTVFKSHLFGAPTVVSCDEELNHFVLHNEERLFQCNYPGPIRTILGESSTLVVTGERHRQLRSMFLSLVASTGLKANYLASLDESARSVVASWRGLDTISFCEEARKFPYKVIMELVLGLSPDEPVARRILQEYQIFMKGVISFPITIPGTPFARAVKARKRISETMERFIEERKRNPSKQAVFLDVLLANKELSHEDKVGFLLDSLLAGHETTSVLLSILVYFLGKSPNIVEQLKKEHETIRSSKGKDEPLTADDYRKMEYTQRVISEGLRCGNIVKLVHRKALKDITYKGYVIPAGWKVLPILGAVHLDPSHHVEPEQFQPCRWEGLNQSAGKSFAPFGGGARLCPGSEIVKVEAAFFLHHLVLNYRWRMDDEDVPMLHQYVEFKKGLPIQLEPL >PVH32923 pep chromosome:PHallii_v3.1:9:66412977:66414145:1 gene:PAHAL_9G527400 transcript:PVH32923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYPPPPVYPSAPAPPTPGNGNLETGHVAVYPPPPPPAPARGLPVSHGQLGGGAPGRDSCEGHDPSALLVGATLITMLAFLLGTFIPGGYWQQDTPAWSGGRPVYRAGDPIMRDLHRPRYWVFRAASWVGVASSMVLTLSLLVRMAAGSRHVRWSFAVAYSSLLLTFAVSQTKTHLSLDIIVWLAVLAVSWLITSASIRGENCARIMKLLCCGGGDHN >PVH32098 pep chromosome:PHallii_v3.1:9:35627337:35627822:1 gene:PAHAL_9G310700 transcript:PVH32098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN46169 pep chromosome:PHallii_v3.1:9:10400381:10403033:-1 gene:PAHAL_9G166900 transcript:PAN46169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGDMRLSIAHQTRFGLRLAAALSSPSSSSNTVFSPLSLHVALSLLAAGAGGATRDQLIAALGGGDGPDAADSLHALAEKVAQLVLADGSEAGGPRVAFGNAIFVDASLKLKPAFEKVAMGKYKAETQSVDFQKKAAQVAGQVNSWVEKVTSGLIKELLPPGSVDGTTRLVLGNALYFKGAWTEKFDASKTRDSEFHLLDGSSVQAPFMSSTDDQYIASYSDFKVLKLPYQQGGDTRQFSMYIILPELQDGLWSLAEKLSSAPEFLEKHTPRRTIPVGQFKVPKFKISFGFEASDLLRGLGLHLPLSAEADLSELVDLPMGQNLCVSSIFHKSFVEVNEEGTEAAAASAVKIVLCSYTMPVDFVADHPFLFLIREDTTGVVLFVGHVVNPLLAA >PAN44537 pep chromosome:PHallii_v3.1:9:2883923:2888449:1 gene:PAHAL_9G051400 transcript:PAN44537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVFSVEEIPNPYWAPPQPQAAATGAVAAPGGGGGGAGAAWDAAGAMNRCSSEWYFQKFLEEAVLDSPGPVAGVGRGRGGGGGVEAAESKPLGVAAAAASGAVVDPVEYNAMLKQKLEKDLAAVAMWRASGVTPPERSAAGSSLPNVDVSHAGPFNPIGGNRIPVENKLAVAPVEESGPQVVQNADILVKQATSSSSREQSDDDDMEGEAETTGNANPVQQRLQRRKQSNRESARRSRSRKAAHLNELEAQVAQLRVENSSLLRRLADVNQKFNEAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAVSDMSSLSMPFNGSPSDSTSDAAVPIQDDPNSYFANPSEIGGNNGYLPEMASSAQEDDDFVNAALAAGKIGRTASLQRVASLEHLQKRMCGGPASSGSTS >PAN48094 pep chromosome:PHallii_v3.1:9:52562850:52564014:1 gene:PAHAL_9G358400 transcript:PAN48094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQLAHVSEKGQDWPSNSSLAARLHQLGCAATFIFLKLQPSNVCLFRTSSQARARSLSLSLSLSLSHTHTHTHTHTHTLRLPPSGARRGRGRPAAARVERRQRRKPLVLASTQSLLDSLLGDRPPERALSLSLYLSPHLCLSCFHHRAPVTAAAGQQHPGSHGREAAAEEAVGARLHAGAPRFAPEGPPASAAAIAGTPLGRCPPLPVWGRRRVRGHRWPPPPSFSPAGAVASVGSMRAWLRAEEDVRRKEAVTKWIQHSLEGGGVRIRRHGSF >PAN50032 pep chromosome:PHallii_v3.1:9:64041145:64043376:-1 gene:PAHAL_9G492700 transcript:PAN50032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVETQARAFADEVRGGALEAKNWMLDLGHPLLNRIAESFVKAAGIGAVQAVARESYFMAIEGEGGSVSGATGSRKRSFPELNGTNSGSKSAEAMVKNVSKESLQWGLAAGVHSGLTYGLTEVRGAHDWRNSAVAGAITGAAVALTSDRASHEQVVQCAIAGAALSTAANVLSGIF >PVH32806 pep chromosome:PHallii_v3.1:9:64042143:64042968:-1 gene:PAHAL_9G492700 transcript:PVH32806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVETQARAFADEVRGGALEAKNWMLDLGHPLLNRIAESFVKAAGIGAVQAVARESYFMAIEGEGGSVSGATGSRKRSFPELNGTNSGSKSAEAMVKNVSKESLQWGNTSVLVEIEPTRSICLLSTPDFS >PAN48598 pep chromosome:PHallii_v3.1:9:56547768:56551959:1 gene:PAHAL_9G394100 transcript:PAN48598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MAPRRRGACPAAAVFVAAVVAVGFAAEAADMVQERLTVGMTIVADAASTGAVCLDGSPPAYHLHRGSGAGARSWLLQFEGGGWCNDVRSCTERAGTRRGSTRLMTKVEVFSGILSNRPSMNPDFYNWNRVKLRYCDGGSFSGDSEYKNGSSVLYFRGQRIWDAIITDLLQKGLAKAKNVLLSGCSAGGLATFFHCDSLKERLGGATTVKCLSDAGFFLDLTDISGNNNMRKFFSSLVSLQGVQKNLNKDCQNSTDCPYMCFFPQYALPDIRTPYFILNSAYDVYQFHHIFVPPSSDPGGQWSRCKMDPGACSTSQIATLQGLRSAMLTSLKQIEGEPEAGMFVNSCFAHCQSELQDTWFAPDSPMIHDKKIAEVVGDWYFERGAAKEIDCAYPCDSTCHNIIPSDQDGISDAQSGHQFCSIRICRKMIIYMYILLIALQII >PVH32413 pep chromosome:PHallii_v3.1:9:56548122:56551190:1 gene:PAHAL_9G394100 transcript:PVH32413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MAPRRRGACPAAAVFVAAVVAVGFAAEAADMVQERLTVGMTIVADAASTGAVCLDGSPPAYHLHRGSGAGARSWLLQFEGGGWCNDVRSCTERAGTRRGSTRLMTKVEVFSGILSNRPSMNPDFYNWNRVKLRYCDGGSFSGDSEYKNGSSVLYFRGQRIWDAIITDLLQKGLAKAKNVLLSGCSAGGLATFFHCDSLKERLGGATTVKCLSDAGFFLDLTDISGNNNMRKFFSSLVSLQGVQKNLNKDCQNSTDCPYMCFFPQYALPDIRTPYFILNSAYDVYQFHHIFVPPSSDPGGQWSRCKMDPGACSTSQIATLQGLRSAMLTSLKQIEGEPEAGMFVNSCFAHCQSELQDTWFAPDSPMIHDKKIAEVVGDWYFERGAAKEIDCAYPCDSTCHNIIPSDQELRMY >PVH32411 pep chromosome:PHallii_v3.1:9:56547768:56551959:1 gene:PAHAL_9G394100 transcript:PVH32411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MAPRRRGACPAAAVFVAAVVAVGFAAEAADMVQERLTVGMTIVADAASTGAVCLDGSPPAYHLHRGSGAGARSWLLQFEGGGWCNDVRSCTERAGTRRGSTRLMTKVEVFSGILSNRPSMNPDFYNWNRVKLRYCDGGSFSGDSEYKNGSSVLYFRGQRIWDAIITDLLQKGLAKAKNVLLSGCSAGGLATFFHCDSLKERLGGATTVKCLSDAGFFLDLTDISGNNNMRKFFSSLVSLQGVQKNLNKDCQNSTDCPYMCFFPQYALPDIRTPYFILNSAYDVYQFHHIFVPPSSDPGGQWSRCKMDPGACSTSQIATLQGLRSAMLTSLKQIEGEPEAGMFVNSCFAHCQSELQDTWFAPDSPMIHDKRW >PVH32412 pep chromosome:PHallii_v3.1:9:56547768:56551959:1 gene:PAHAL_9G394100 transcript:PVH32412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MAPRRRGACPAAAVFVAAVVAVGFAAEAADMVQERLTVGMTIVADAASTGAVCLDGSPPAYHLHRGSGAGARSWLLQFEGGGWCNDVRSCTERAGTRRGSTRLMTKVEVFSGILSNRPSMNPDFYNWNRVKLRYCDGGSFSGDSEYKNGSSVLYFRGQRIWDAIITDLLQKGLAKAKNVLLSGCSAGGLATFFHCDSLKERLGGATTVKCLSDAGFFLDLTDISGNNNMRKFFSSLVSLQGVQKNLNKDCQNSTDCPYMCFFPQYALPDIRTPYFILNSAYDVYQFHHIFVPPSSDPGGQWSRCKMDPGACSTSQIATLQGLRSAMLTSLKQIEGEPEAGMFVNSCFAHCQSELQDTWFAPDSPMIHDKRW >PAN49118 pep chromosome:PHallii_v3.1:9:59350819:59353313:-1 gene:PAHAL_9G427300 transcript:PAN49118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFFSILPVLSLLPLLSSAAFTDTLPLRSSLSVDKHQTDTLRSPNGTFTCGFHSIYDNAFTFSIWYTNSAKKTVVWTANRDRPVHARGAVMTLRKGGALVLTDYDGTVVWQREGDPAGVRYAQLLETGNLVLKNSRGMVLWQSFDSPTDTLLPSQCINAATKLVSTTGLHVPGHYIFHFTDSSILSLIYDDVDVHEIYWPDPDNGEYQNSRNRYNSTRLAGLDEMGNFVSSDFANQQAIVASDEGHGIKRRLTLDPDGNLRLYSLNNSDGRWSVSWIAVSQPCNIHGLCGPNGICHYLPAPTCSCPPGYVMSNPGNWSQGCSPQVDINCTVDQVQPVQFVPLPGIDYWGSDQLHRDQVSLEACKNICRSDCTCKGFQYHQGSGTCYPKAFLYNGKAYTAPTKSAHMMHLKLPMGVNISGIPIPQTNVLISRKQHPDCGQMNASTMEPFPYVHKANQGEAKWLYFYGFAGAIFVLEVFFIASAWCFVLRWELGASEIQAVEEGYKMMTSNFRRYSYKELVKATRKFKEELGRGGSGIVYKGILDNSRAVAVKTLENVRQCEEEFQAELSIIGRINHMNLVRIWGFCSESSHRMLVTEYIENGSLANILFKDNILLEWRQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDRNLEPKIADFGLVKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVLGKRVLDLAVGADEEVHKVLRNLVGMLVHMLDNEESSSIAEVVDCRLNGQFNYMQVRTLIKLVVSCLDEDRSKRPTMESIVQTLLLADESCSMC >PAN51063 pep chromosome:PHallii_v3.1:9:68976106:68981804:1 gene:PAHAL_9G567000 transcript:PAN51063 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g42970 [Source:Projected from Arabidopsis thaliana (AT5G42970) UniProtKB/TrEMBL;Acc:Q1H5B6] MDSALASAAAIADQRQKIEQYRHILASVLSSSPPDIAQAKRFLDHMVSDEVPLVVSRQLLQTFAQDLGKLESDAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSQQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRKIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQISGLCQALNDILDSMSSKGIAIPV >PAN48736 pep chromosome:PHallii_v3.1:9:57091305:57099270:1 gene:PAHAL_9G401800 transcript:PAN48736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRGTSDGDEDPGEEEEEEERRQAAAPPGKDGPGDALWRWRTQSLSEVVLSWSVDQILDKDLLRDKVLKIPETFSTMEQYMTSFFGPLLEEVRDDMCSSMEDISNAPYADLLSVNSMRKGKGSFEISLGRWRGTSHGYGIDNYKPKAADVLLISETRPANQSDILRQSKSCVIVWVSKVKGNKMTVKASRLMETGAQGDERRQMGVNKYAKSYSEGLDESWDMLDQEAVASKSRYSSAHDIVRKERAKAEKCSGQHGQNETETRESSRRWSFCAMFLTNMVTYDRVWVVLRRGLTMDSKIIHSMLGRNNYAPGHCKYCSNNSLDEIKGDLCNFKLNDSQLDAVASCILASECSHRSSVGLVWGPPGTGKTTTVAVMLQMLLMKEQRTLACAPTNMAVLQVASRLLELIGDFSAKQHYSLGDIILFGNKDRLQIGKFLTKIYLDDRVQRLLSCFNRKNGWKHCVDSVITFLINCISRYRMSVDIQQGSSNACNLTFKKYFTSRFSALAKELAGCIDTFYDHLPRGSLGKNFDRMMFAKSLVDKLQQLLSADDVSDEILFTIFKPADELPDSSSSHDDMIDDAADDLHECDISSDSPLDIKTLCIKTLMALSKMQLPCEDNELSIRDLCLKHAKLIFCTASSSFELFRLQSVKPISIVVIDEAAQLKECESLVPLLLQGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYQRLCAMGYSKHLLEVQYRMHPCISKFPNANFYDHRISDGPIVKQETYVKSYLPGPIFGAYSFIHIDNDMEMLDSLGQSSKNMAEVAAAANIVERLAKECSEKKQRTSVGVISPYTAQVIALQDRLGRKFEKHDFLSVIVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDAGRINVALTRAKYCLWILGNGTTLLASNSIWADLVRDSKRRGCFFDAFGDKDLAEAVMLVTKPEPWKQREQRNDHANLGNGEPSWSSTRDVVAVRNNPPRRWNECPLPVRASSDRHSSFEGYRGWPKQHLGPQPHGRLYCEPLHSSSQTGNGRHTPRSAHMEESHGQISVLGAWQHPRSYCNREYQNKTVYPESQNVVAYPYQSSSFQQRFHSYGVDRKFSSFQQREPHDQIGSRGRGRPSCLERGRRGGWRERYVYRRTEEPHSRVQNGASETALCKRLAPEQRGTKRDWCEAESSDSPQQDNGKMRPECADQLPGQEYHGGSGELTYKLHAPEQGGVKTDGCKAEASSLPVQDDSKSTPESADQSHCTTRDSSSGAFSHELPVSEQEVVEIDLCEAETSDIPSQALNGSSEASHELPIPEQRGMETDLCEVGALDAANQFQDGSSGAISHRLIVPD >PAN48737 pep chromosome:PHallii_v3.1:9:57093090:57099272:1 gene:PAHAL_9G401800 transcript:PAN48737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGHCKYCSNNSLDEIKGDLCNFKLNDSQLDAVASCILASECSHRSSVGLVWGPPGTGKTTTVAVMLQMLLMKEQRTLACAPTNMAVLQVASRLLELIGDFSAKQHYSLGDIILFGNKDRLQIGKFLTKIYLDDRVQRLLSCFNRKNGWKHCVDSVITFLINCISRYRMSVDIQQGSSNACNLTFKKYFTSRFSALAKELAGCIDTFYDHLPRGSLGKNFDRMMFAKSLVDKLQQLLSADDVSDEILFTIFKPADELPDSSSSHDDMIDDAADDLHECDISSDSPLDIKTLCIKTLMALSKMQLPCEDNELSIRDLCLKHAKLIFCTASSSFELFRLQSVKPISIVVIDEAAQLKECESLVPLLLQGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYQRLCAMGYSKHLLEVQYRMHPCISKFPNANFYDHRISDGPIVKQETYVKSYLPGPIFGAYSFIHIDNDMEMLDSLGQSSKNMAEVAAAANIVERLAKECSEKKQRTSVGVISPYTAQVIALQDRLGRKFEKHDFLSVIVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDAGRINVALTRAKYCLWILGNGTTLLASNSIWADLVRDSKRRGCFFDAFGDKDLAEAVMLVTKPEPWKQREQRNDHANLGNGEPSWSSTRDVVAVRNNPPRRWNECPLPVRASSDRHSSFEGYRGWPKQHLGPQPHGRLYCEPLHSSSQTGNGRHTPRSAHMEESHGQISVLGAWQHPRSYCNREYQNKTVYPESQNVVAYPYQSSSFQQRFHSYGVDRKFSSFQQREPHDQIGSRGRGRPSCLERGRRGGWRERYVYRRTEEPHSRVQNGASETALCKRLAPEQRGTKRDWCEAESSDSPQQDNGKMRPECADQLPGQEYHGGSGELTYKLHAPEQGGVKTDGCKAEASSLPVQDDSKSTPESADQSHCTTRDSSSGAFSHELPVSEQEVVEIDLCEAETSDIPSQALNGSSEASHELPIPEQRGMETDLCEVGALDAANQFQDGSSGAISHRLIVPD >PAN51417 pep chromosome:PHallii_v3.1:9:70488744:70492923:1 gene:PAHAL_9G592500 transcript:PAN51417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPRPNAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAITEIIKKRIPGLHQDTIISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKNSPGYQAPLHSEQLKPQRYQQPQQYQQHQPRQNQGQTDSYGRGRGRGRGRGRGWGGRGGYGGGYGGYEYDNQGGYGGGYGHQGGYGHQGGYGNQGGYHNQGGYGGYGYNQGGYGGYENGGGWNYNRNRGGGGGRGRGNWGYGGPGYDRGGRGAGGPGGRGYARGRGRMGGGRGRNQNY >PAN47637 pep chromosome:PHallii_v3.1:9:21404128:21406691:-1 gene:PAHAL_9G266200 transcript:PAN47637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMALEPFFLTPPPAPRHLAELRIETAHSAVAFSAPCAADAGRKRRCLLPASSVRKRMLLELAPFDPAPSAPPPPPTPSPTPPPSPMASRAGSSTAAVFSFAPGLRPIQPTTAAGNMFAFAENAPMTPGRSEISGAGNMFAFLAAPERSNTSTGPTSRGGFVFAASPEGPLTPTSRGSNTSGLSFLASPNQPLTPTGPIASGRQPSLMPTGSGGASASLLSPKPARTGVADSGGFAVVPSGPALAPIGSTSSAVAKETTLPTVSLPTPSFVFSASRSPPLPRGGSKKRRRPNLRIETVPRRMSPRQWEEETPPQLTPPPQKLAKTNSSDNGEASRSGIMSGPCCLFVTSLAKAAKQEAKKASSEASRSPAGSHCTSPARRSSLEKLSKPEREVEVSSASCSGAEVVVRVTCKCGIHKEFSFDHRP >PAN44809 pep chromosome:PHallii_v3.1:9:4149384:4151577:1 gene:PAHAL_9G071900 transcript:PAN44809 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74970) UniProtKB/Swiss-Prot;Acc:Q9XJ27] MALSVSSLAAALSHLSLPSTSTSKPHQASLLRLHPSTSRRAVSLALRASAAEAAEPAEAGLPAEEVVAVEEEAEEDALSGFALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLVTLGFENNYDIFVKVHGGGLSGQAQAICLGVARALVKISTANKVPLRSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >PAN45113 pep chromosome:PHallii_v3.1:9:5403204:5407398:-1 gene:PAHAL_9G094300 transcript:PAN45113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MAGAGGGVVRDVEALDGVRSIVLKPSESIDESRFTRIAGADFNDPGLGLEGLLGSFASTGFQASNLGDAIDVVNQMLDWRLLHEKPGEDCDEAELDPKYRESVKCKIFLGFTSNLVSSGIRDIIRFLAQHRMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLLEQSTENVWTPSKVIARLGKEINDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVQDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDASIAFPLLVAATFARKDHGSKSTN >PVH32308 pep chromosome:PHallii_v3.1:9:53511434:53514246:1 gene:PAHAL_9G365900 transcript:PVH32308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAFRRALNEPTCLEQTVVQQGIERCPFLRNINEPTSFSFSSVNFPVPARGAKGPIFEDGPNFDTAFRVFHGRDGVVPLSEGSFPQIEKPLPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNFNKKNPNNLPQNKGQSNNHEALSNEWLENGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLKCPPAVVAARAAISRTAFAKGLRPQPLPTKVLVIALLGMAANVPLGIWREHTQKFSVQWFAAVHAAVPFIGMLRKSVLMPKSAMALTIAASILGQTIGSRAERIRLKRVAAAKSASEGHDTADCIKEPMSLKTGNRNVVQFWDPLALRVESTVGTGSPAVLVPAVGGFI >PAN46974 pep chromosome:PHallii_v3.1:9:14954990:14955617:-1 gene:PAHAL_9G228400 transcript:PAN46974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLGLHTGGSGWRRLHARSGGRPAAAAAVKQLLRRLRSTWRRRRRSAARPRRGAARSFGYDLQSYYQNFDDGLASSGGRRRL >PVH31521 pep chromosome:PHallii_v3.1:9:10581587:10581739:-1 gene:PAHAL_9G169400 transcript:PVH31521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTTIPVPLPKGCTKVRATPLLEYLGILMPHRHSEAQIKFLHRLCCALR >PAN45644 pep chromosome:PHallii_v3.1:9:7979432:7990950:-1 gene:PAHAL_9G132400 transcript:PAN45644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIAAINESDSGGQWEPLAPTKEAQEFALSQKYHEGLLKLQQKDYSKARDLLEDVLKDPLISNIQVDNIGSDQHLLQLRFLTLKNLASVFLQQGLEFYDNALHCYLQAVELDSNDSVVWNHLGTLSCSMGLLSVSRWAFEQGLLCSPNNWNCMEKLLEVLIAIRDEVACLSVANLILRSWPSHHRALHVKKTIECAEPVPFAPRGIDILEPTHVTLIFSNKRKSIDDEIYQETRTKKSKQCATLQLNDAKWLALLDGILCFLSANSEKADEDKSTNTADRCSGSEDSVKGLAYNMIDVVVSTDTIKSVESAGENGNDSHHDGETVPSHDCKTTVKEKDVNSDREHPHERRSTRLERLRSRKSGKDENGSDGKDITHAVTQFLDPFILKGPSAAEKADFSGNADASNTDTLTYTSDEEANDFKRFLCKISKNFGPHHIGYMLLEEMAHLKVPFQDYFVKLVELDKLTRGWAEDRSELCSLFLAELYYDWALCSGSPSTSLDLSDSSYHLCKIIESVALELPFNTSVREIKSTDLDLEMERSSADVSSSDITEKSNENSDKPVSFDMLSDKKSECDSSSNMNCAFWVRFFWLSGCLSLSSDCKEKAYKEFNIALSILRNGNKDKSCRDVILLPHTKLVKSLTADRILREINLIRLESLLWNNDENINKITHTEFMKLLPPLLLSTKDVYVGNAYGPQRESENVISLELSALDVLISACEKAKPMNIQVYLDSHRRKIQVLTVAAGMVGAVTPPKGKCSSNMDFVEAMNRNRLENVVEAVRDVSRNASKAKDFIDQCDNSDGQDGWSSLVSIVGDIQSLLLTIMCAAVKIILSRKLSCSGTSYQVDQLESSCLIDAAIAFCKLQHLDPTISIKTQVDLIVAVHDLVAEYGLCCSGKDGEGEEGTFLKFAIKHLMALDVKLKSQLNSNGMEEDEVTKDVRAQDSMVDESSVNDSKQNSEDEEDEEESELDEIQSCLDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPIDNFLDGPDSCEKVLSEICESNESREAILNVLFPGERGYEAFKKLSTASSEPYSDVYGNLYFYIAQAEDISATDKHTGFVLKKEGEEFVEQSANIFKYDLLYNPLRFESWQKLSNLYDEEVDLLLNDGSKHISILDWRTNTDLIRRVEMGRRHSRRCLLMSSLLAKTAPEQSEAHELLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCQNSMKHFEKAFEIKEQWLHAFYLGKLCEKLGHSFSKSFSYYNKAMMLNPTAVDPVYRIHASRLKLLYTQGKQNLEATQVVADYTYNHSTKENVLSMLGSMTNVSNSSSDQNEKSALDTKEENKFVEPDLLDKVWHILYDDCLLALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSSRSSFTVNMWEIDGTVRKGRRKNPNVGGSRKNLEVSLSESSRKFITCIRKYMIFYLNLLEKNRDLWTLEKAYTYLRTDKRFALCLGDIVPLGLGKYLQVLTAAINNAEVRRASGDASVEQLLEKMFSVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMDSTNQPAPLSNGLLLYVDLQPDELLISSPDGPAQFKGLDMNWFETLNRIKNIPIKQTSEDNLETAVTLMKSTYNFYRESSCGTFPSGINLYTVTPSHVPIEGIPQAPPVIETLDLSIPRKLLLWVYTLVHGRYSNISSVVKYCDEMKSRSKRGSSAATASPQVVQPIPQSTVSSQAKEKSSQVEFTEAAHDANPSTQAAACAPPAHQEAGGASASQTAIDAQKAASAASQLNRSGSSRAMESAPDSMERK >PAN45643 pep chromosome:PHallii_v3.1:9:7978899:7991070:-1 gene:PAHAL_9G132400 transcript:PAN45643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIAAINESDSGGQWEPLAPTKEAQEFALSQKYHEGLLKLQQKDYSKARDLLEDVLKDPLISNIQVDNIGSDQHLLQLRFLTLKNLASVFLQQGLEFYDNALHCYLQAVELDSNDSVVWNHLGTLSCSMGLLSVSRWAFEQGLLCSPNNWNCMEKLLEVLIAIRDEVACLSVANLILRSWPSHHRALHVKKTIECAEPVPFAPRGIDILEPTHVTLIFSNKRKSIDDEIYQETRTKKSKQCATLQLNDAKWLALLDGILCFLSANSEKADEDKSTNTADRCSGSEDSVKGLAYNMIDVVVSTDTIKSVESAGENGNDSHHDGETVPSHDCKTTVKEKDVNSDREHPHERRSTRLERLRSRKSGKDENGSDGKDITHAVTQFLDPFILKGPSAAEKADFSGNADASNTDTLTYTSDEEANDFKRFLCKISKNFGPHHIGYMLLEEMAHLKVPFQDYFVKLVELDKLTRGWAEDRSELCSLFLAELYYDWALCSGSPSTSLDLSDSSYHLCKIIESVALELPFNTSVREIKSTDLDLEMERSSADVSSSDITEKSNENSDKPVSFDMLSDKKSECDSSSNMNCAFWVRFFWLSGCLSLSSDCKEKAYKEFNIALSILRNGNKDKSCRDVILLPHTKLVKSLTADRILREINLIRLESLLWNNDENINKITHTEFMKLLPPLLLSTKDVYVGNAYGPQRESENVISLELSALDVLISACEKAKPMNIQVYLDSHRRKIQVLTVAAGMVGAVTPPKGKCSSNMDFVEAMNRNRLENVVEAVRDVSRNASKAKDFIDQCDNSDGQDGWSSLVSIVGDIQSLLLTIMCAAVKIILSRKLSCSGTSYQVDQLESSCLIDAAIAFCKLQHLDPTISIKTQVDLIVAVHDLVAEYGLCCSGKDGEGEEGTFLKFAIKHLMALDVKLKSQLNSNGMEEDEVTKDVRAQDSMVDESSVNDSKQNSEDEEDEEESELDEIQSCLDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPIDNFLDGPDSCEKVLSEICESNESREAILNVLFPGERGYEAFKKLSTASSEPYSDVYGNLYFYIAQAEDISATDKHTGFVLKKEGEEFVEQSANIFKYDLLYNPLRFESWQKLSNLYDEEVDLLLNDGSKHISILDWRTNTDLIRRVEMGRRHSRRCLLMSSLLAKTAPEQSEAHELLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCQNSMKHFEKAFEIKEQWLHAFYLGKLCEKLGHSFSKSFSYYNKAMMLNPTAVDPVYRIHASRLKLLYTQGKQNLEATQVVADYTYNHSTKENVLSMLGSMTNVSNSSSDQNEKSALDTKEENKFVEPDLLDKVWHILYDDCLLALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSSRSSFTVNMWEIDGTVRKGRRKNPNVGGSRKNLEVSLSESSRKFITCIRKYMIFYLNLLEKNRDLWTLEKAYTYLRTDKRFALCLGDIVPLGLGKYLQVLTAAINNAEVRRASGDASVEQLLEKMFSVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMDSTNQPAPLSNGLLLYVDLQPDELLISSPDGPAQFKGLDMNWFETLNRIKNIPIKQTSEDNLETAVTLMKSTYNFYRESSCGTFPSGINLYTVTPSHVPIEGIPQAPPVIETLDLSIPRKLLLWVYTLVHGRYSNISSVVKYCDEMKSRSKRGSSAATASPQVVQPIPQTKEKSSQVEFTEAAHDANPSTQAAACAPPAHQEAGGASASQTAIDAQKAASAASQLNRSGSSRAMESAPDSMERK >PAN50366 pep chromosome:PHallii_v3.1:9:65603213:65607148:1 gene:PAHAL_9G515200 transcript:PAN50366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDNTYGELSGMFCGGLSYDGYTDHSSSSDYFRFSDPLPAVVPHMVAEPHSNPSSISRANTETDNPEDWEFISDESLNYISRMLLEEDIDEKVNMYQAESAALRAAAKPFYDILGHKFPPSPDHQPIPWSVESPSESGSNSCTQSVASTVTSSSIGGAVDSNRRYDVGGREQLEAYGGLCGQSSQPLVGPPRDACSAVGVLEDPLITNGRIPEYLFESLPTWDFRRGIEEAQKFLPVSNKLVIDLESSAVARPQEARKDASSNARKANVLNAKKNRQSEDLDLMEGRNIKQSAFCSDEPDWIEMFDDLLRQTEKKATDLRELMRNEASKNSQVTQTKGPSGPRTRGRKPTKKDVVDLRTILIHCAQAVAADDRRTANELLKQIRHHSKLNGDGTQRLAHCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILNMTKNASKVHIIDFGIYFGFQWPCLIRRLSKREGGPPVLRITGIDVPQPGFRPTERIEETGQRLAEYAKKFGVPFEYQGIASKWETIRVEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQELVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPKNN >PAN48577 pep chromosome:PHallii_v3.1:9:56302359:56303027:1 gene:PAHAL_9G391500 transcript:PAN48577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLALFLALSLLFAASAHGCAPYCPGPVVPTPPVVPTPSHSHGRCPIDALKLRVCANVLGLVKVGLPQYDECCPLLQGLVDLDAAVCLCTAIKADVLGIHLNVPVSLNLILNKCGKICPADFTCPQ >PAN43938 pep chromosome:PHallii_v3.1:9:378277:384997:-1 gene:PAHAL_9G004500 transcript:PAN43938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHGADSAHDAGGVGVSSAGATVPARFVWPYGGKRVFVSGSFTRWSEHLQMSPVEGCPTVFQAICSLSPGMHQYKFFVDGEWRHDERQPTISGEYGIVNTVYLTREINSVLSPSTPGTRMDVDNENFQRTVTLSDGNIQDGTPRVSEAAIQISRCRVSEYLSLHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILKELETHGSNLTEEQLETHTISAWKEAKRQTYGRHDGQWRPHQPLVHATPYESLRDIALKILQNGISTVPVIYSSSSDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCTIPLGSWVPKIGDPNSRPLAMLRPHASLSSALNMLVQAGVSSIPIVDENDSLLDTYSRSDITALAKDKVYTHVRLEEMTIHQALQLGQDANTPFGFFNGQRCQMCLRSDPLLKVMERLSNPGVRRVFIVEAGSKRVEGIISLSDIFKFLLSL >PVH33196 pep chromosome:PHallii_v3.1:9:70505379:70514923:1 gene:PAHAL_9G592800 transcript:PVH33196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKRTPAPNPTPPPPDAPRRPAAGGGGGDAAVRAEVEKALACLQRGSHARALRLMKDAVARHGEGSSPLLLRAHGTVHARAASVLDDPAARARHQQAALQAAQRAVELAPDSVELAHFHAMLLFDAATDARGYEDVVSECERGLSIEAPSDPAPHSLRLPGPDVDQVQSELRNLIQKANLASISTWVKTLGGAGDDKLRLIPVRRLAEEPMEGRLVPSMPSPRRPNEIKKATKTPEERRQEIEVRLAAMRLLQQQKQQSNGVVAATPTSSQSQGDEAPSSSSQSSVGGHRRRGGSRKATISSASDRMDQVRSYWGAIPVEQRLAFLNTSISELKSHYAAAMHKEKDAANVASDVLNEVLGFAAKSGKWEFWVCGSCREHFADAESHRLHVLREHVGILSPEQQDMVPQEIDADWAAMLIGWNWRPLDATAALKLFEEEQADNLGLDRDKDSMSSDNWSNKDKSDTSESSVSPHNEECDGFGVVMREGDRKCPLPDDGERANILERIHSLFQILVKNKNLSVSNLNKVIQFAIEELSGLLSGSELLNHPLDDSPLCICLLEASSLRKVVKFLQDLMQSSGLNRHLEKAEGLGDGDTFPKNHNVLEKVTLNSDSSELIIDGESFGGKFDCENVDTDALLSWLYTGSSIGEQLLCWNRMLEERSNQGIDLLRALEREFNSLQNLCERKLEQLRNEEALISVESIFTGEQAQRIKTGQFNGYEELLRKRQGVLLERNAEELTNNSRSELDAVSAILKEVHTSHFGYDEALSGMAPRLCDFDGLDNWSMHDLMHSNSNDSVVQMIFSKMKEQNTMELGKIDAKIMRNVAVMHQLEHKLGHASSLDYRMILVPLIKTFLQTHLEELVDKDAKERSDAAREAFLAELALDAKKNASKGGDMKQYHEKSKDKKKLKDSRRSKDLKDSSWSDQYLVREDSADEETREKFQLVADCDDLDCKLSTSDDYFNEQEEELRHRVQLEAEERKLEETLEYQRRIEEETKQKHLAEQFRSTYASSVVGTACLSSTGNLNRGQDNHESASTNSSLGYLEGIKFGDFRYSEVPLREHSNYTENNFREKHNGLDTSGAHALTSSDTSVSKLTLRMNGTWKNAQHIKPQGNPSIQKSRKSTSEAQKKYAQGVPGAIYADNDDGRTSDPQFGMTISRWSSAGKTPPYANHSYHDGKRNQLHVLPSADRQFVNKGHSAGTEEPNFEKDVCIEDDFDKRFQEDLDEAVRQSLGYDTYSVGTISTSNGTEVYGAGLKNAAGEYNCFLNVIIQSLWHIRRFRYEFLKTSSLHKHVEDPCAVCALYDIFIDLSKASKGQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRTVCHGKSHEKNSIGSWDCANISCIAHCLFGMDVYERMNCHNCKLESRRLKYTSFFHNINASSLRTAKIMCPDYSFDELLKVVVMNDQLACDQDVGGCGKPNHIHHILSSCPHVFTVVFGWQNSKESVDDISATLAGISTEIDISIFYRGLDQGSKHTLVSVVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWDDVVVMCEKGHLQPQVLFFEAAS >PVH33195 pep chromosome:PHallii_v3.1:9:70505379:70514908:1 gene:PAHAL_9G592800 transcript:PVH33195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKRTPAPNPTPPPPDAPRRPAAGGGGGDAAVRAEVEKALACLQRGSHARALRLMKDAVARHGEGSSPLLLRAHGTVHARAASVLDDPAARARHQQAALQAAQRAVELAPDSVELAHFHAMLLFDAATDARGYEDVVSECERGLSIEAPSDPAPHSLRLPGPDVDQVQSELRNLIQKANLASISTWVKTLGGAGDDKLRLIPVRRLAEEPMEGRLVPSMPSPRRPNEIKKATKTPEERRQEIEVRLAAMRLLQQQKQQSNGVVAATPTSSQSQGDEAPSSSSQSSVGGHRRRGGSRKATISSASDRMDQVRSYWGAIPVEQRLAFLNTSISELKSHYAAAMHKEKDAANVASDVLNEVLGFAAKSGKWEFWVCGSCREHFADAESHRLHVLREHVGILSPEQQDMVPQEIDADWAAMLIGWNWRPLDATAALKLFEEEQADNLGLDRDKDSMSSDNWSNKDKSDTSESSVSPHNEECDGFGVVMREGDRKCPLPDDGERANILERIHSLFQILVKNKNLSVSNLNKVIQFAIEELSGLLSGSELLNHPLDDSPLCICLLEASSLRKVVKFLQDLMQSSGLNRHLEKAEGLGDGDTFPKNHNVLEKVTLNSDSSELIIDGESFGGKFDCENVDTDALLSWLYTGSSIGEQLLCWNRMLEERSNQGIDLLRALEREFNSLQNLCERKLEQLRNEEALISVESIFTGEQAQRIKTGQFNGYEELLRKRQGVLLERNAEELTNNSRSELDAVSAILKEVHTSHFGYDEALSGMAPRLCDFDGLDNWSMHDLMHSNSNDSVVQMIFSKMKEQNTMELGKIDAKIMRNVAVMHQLEHKLGHASSLDYRMILVPLIKTFLQTHLEELVDKDAKERSDAAREAFLAELALDAKKNASKGGDMKQYHEKSKDKKKLKDSRRSKDLKDSSWSDQYLVREDSADEETREKFQLVADCDDLDCKLSTSDDYFNEQEEELRHRVQLEAEERKLEETLEYQRRIEEETKQKHLAEQFRSTYASSVVGTACLSSTGNLNRGQDNHESASTNSSLGYLEGIKFGDFRYSEVPLREHSNYTENNFREKHNGLDTSGAHALTSSDTSVSKLTLRMNGTWKNAQHIKPQGNPSIQKSRKSTSEAQKKYAQGVPGAIYADNDDGRTSDPQFGMTISRWSSAGKTPPYANHSYHDGKRNQLHVLPSADRQFVNKGHSAGTEEPNFEKVGNGAIPSADVCIEDDFDKRFQEDLDEAVRQSLGYDTYSVGTISTSNGTEVYGAGLKNAAGEYNCFLNVIIQSLWHIRRFRYEFLKTSSLHKHVEDPCAVCALYDIFIDLSKASKGQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRTVCHGKSHEKNSIGSWDCANISCIAHCLFGMDVYERMNCHNCKLESRRLKYTSFFHNINASSLRTAKIMCPDYSFDELLKVVVMNDQLACDQDVGGCGKPNHIHHILSSCPHVFTVVFGWQNSKESVDDISATLAGISTEIDISIFYRGLDQGSKHTLVSVVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWDDVVVMCEKGHLQPQVLFFEAAS >PAN52068 pep chromosome:PHallii_v3.1:9:73677014:73679617:1 gene:PAHAL_9G640200 transcript:PAN52068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPKPPANPIRHHLHVARCLPPDPPPPPTSKPPSPNPLLSLLSAVPDWADAVQESRVRDRRPLYDHASWREHRSSRRHLRHLLTSLSSRVILSLAPPVSALTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLACAADLAGMVMHRPPRQAEDEPLRRALLNYILAFPVALKCHIISDSDVKRDLQGLLAQDDLNVVLASKHRPRCIIEFISQSLQMLDLDEQKRSIMESKLSCFLEGIGVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDALCKQLHDGIKDMMVVQNSVHTRLVAQNKSHGGRNRCAENGWPSSKREDAKID >PAN49978 pep chromosome:PHallii_v3.1:9:63813198:63821711:-1 gene:PAHAL_9G489500 transcript:PAN49978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPPVFLRLLLLLAAAAAVSSAPRREAFRRDPGHPHWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYPLDGHKLEEFLKMGFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMQPAGTARDSEYGRELPLFEVEATTVEPIFQRLYSFIFDMEPGYPANEMDRPVPVAIFVVNFDKVRMDPRNKEVNLDSLMYGTISGLTEQELKKQEAEYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSISYRSMPRLSQIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDIRFETVDMAVRLLIPIIVLQNHNRYNILHEGHNYSIDVQAIEREVKKMVHPGQEVIIISGSHALHQHEKLAVAVSKATRSHSLHETKSDGRFHVRTKTYLDGAVLKEEMERSADVLSAGLLEVANPSLSSRFFLKQHWTDGEDDVKDSIKHRPLWESYVPRNKKERRGTGKKKHGNLYRTYGTRVIPVFVLSLADVDAELLMEEESLVWTSKDVVIVLEHNNEKIPLSYVSETTRQVALPSLAQRHILAGLASAVGGLSAPYERASRIHERPIVNWLWAAGCHPFGPFSNSSQISQILQDVALRTTIYAQVDAALHKIRDTSELVQSFATEHLKTPLGEPVKGNKNKSTTELWVEKFYKKVTTLPEPFPHDLVERLEEYLDKLEEQLVDLSSLLYDHRLADAYKNSTDILQSTIFTQQYVERVLTNERDRMRCCSIEYSHPKQSSQAFIYGGILLAGFLVYSLVIFFSSPVR >PAN44496 pep chromosome:PHallii_v3.1:9:2695260:2697472:1 gene:PAHAL_9G048200 transcript:PAN44496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASVLAATSPQLTSWRWRPLLAAHPRASPPPARRLRAPASPLRTPIPRNPCSGATVARTPCTAAVSFGAKAEVTEREREAPDWDVLKRVALVALGCCAAAAVLGCGAARAAAEDSIKASGFGLRVAESLRRLGWPDDAVVFALATLPVIELRGAIPVGYWMRLHPVRLTVLSVLGDDYTLFSDTSKRKTAFYQNWNMVPVSFIILYLRKLATYLSQRSASATRLIDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFVGVILAGLLVNLLMNLGLKYAIITGVFLFLVSTVMWNVLRSLKKSVNAK >PAN44502 pep chromosome:PHallii_v3.1:9:2695260:2697472:1 gene:PAHAL_9G048200 transcript:PAN44502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASVLAATSPQLTSWRWRPLLAAHPRASPPPARRLRAPASPLRTPIPRNPCSGATVARTPCTAAVSFGAKAEVTEREREAPDWDVLKRVALVALGCCAAAAVLGCGAARAAAEDSIKASGFGLRVAESLRRLGWPDDAVVFALATLPVIELRGAIPVGYWMRLHPVRLTVLSVLGNMVPVSFIILYLRKLATYLSQRSASATRLIDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFVGVILAGLLVNLLMNLGLKYAIITGVFLFLVSTVMWNVLRSLKKSVNAK >PAN46081 pep chromosome:PHallii_v3.1:9:10044331:10051009:-1 gene:PAHAL_9G161600 transcript:PAN46081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGVKHLCGVLLKCCDIDLKQPKGLEDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >PVH31791 pep chromosome:PHallii_v3.1:9:15557377:15558982:-1 gene:PAHAL_9G234000 transcript:PVH31791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRSAARLLGSVYSAPLAPSPMFLSPSPPLGSSAAGDFPSSANDYKEICKLSRSPWDLINELPLSDLQVVDDLLDIYHVDLNSRTCWLFPRSMPTMFVKKKKTKQGMDATSKDKAQVQTPNKTIAKKEKMPKKIVNKMNKENMEGEGKKKAKMKNEGGEEGAPQDFMCKKNDGKGWNCKERVSRPNTLCERHVRKKLSYLNPNSKTRKKKPTSHFNTIEDFYYYDGFGPLLGKKYCRSTTHSSASLAPKQEEVELELPKDASPLNQAKVVVGDGTSYGVATHDDVPTCDDHDNIASIDEGSCEDDYDPDSSSRNINSKRKKNVRTAQFNIILSETVGLYRKDES >PVH31605 pep chromosome:PHallii_v3.1:9:12049003:12050618:1 gene:PAHAL_9G189500 transcript:PVH31605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLLVLMCFGERLDEPAVRAIEDAERAWLLYISRKLSVFFFLPSVTKHLFRGRLRVAHALRRRQMELFVPLINARREYKRQAMEGQPPAKETTFQHSYVDTLLDITLPEEGNRPLTDDEIVALCSEFLNAGTDTTSTGLQWIMAELVKNPAVQEKLYDEIKTTCGDGEVSEEAVHSMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEMGRDGEEWDRPMEFVPERFLEGGDGVGVDMTGTKGIRMMPFGVGRRICAGLSIAMLHLEYFVANMVREFEWKEVPGDEVDFAEKREFTTVMKKPLRPRLVPRN >PAN51071 pep chromosome:PHallii_v3.1:9:69036880:69038653:1 gene:PAHAL_9G567800 transcript:PAN51071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVDKWHPTHAGRLSSAIYRYLPDRATTADRAMPAAAPAPASAGSCCVRGSGDDVWDELRAEAQADADAEPVLRKFYGDLVLSRPSLEAALAAHLSAKLCVPGALPQDALRDLLAGALAAHPEAGRAARADLLAARDRDPACGRMVHCFLYYKGFLALQAHRAAHALWADGRAAAALLLQSRASEVFGVDIHPGARIGCGILFDHATGVVIGETAIVGNDVSILHGVTLGGTGKESGDRHPKVGDGVLIGAGASVLGNVHIGAGAKIGAGAVVLRDVPEGTTAVGNPAKAIGKKAAPQRRPEEQPGVTMRQGWSDYVI >PAN51664 pep chromosome:PHallii_v3.1:9:71661078:71664014:-1 gene:PAHAL_9G611000 transcript:PAN51664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWVSFLELSLSLLCFVVFYYFHIRSRRKNPVIPLEWPLVGMLPALLANLHHLHEWITSILVASPISFLFTGPPRSGMQFFVTADPANVRHVFTSNFANYPKGPEFEEIFDILGGGIFNADGESWRRQRAKAQLLMSGAPFRAFVSRYSRDKVGNTLLPLLSHFAGTGEAFDLQDVFLRLTFDTTTTLVFGVDPGCLSVGLPEVPFARAMDDAMHVLLLRHVVPMTWWKLARRLRIGYERTMAAARRTIDQFVADTIARRRAEKARGGIDGSVDLLSSYINDEGAGTTVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTQNPRVVARILQELESVKGTSTAPDGMVTFDPDELGRLTYLHAALCESLRLYPPVPQELKEAAAADVLPSGHEVRAGDKVLVWVYAMGRMEDVWGGDCREFRPERWVSAEDDGRVRYVPSYRFMSFNSGPRTCLGKDMAFVQLKAAAAAVVRNFEVEAVPGHVVEPKLSIILHMKNGFMATVRRRRQAPAALA >PVH33146 pep chromosome:PHallii_v3.1:9:69752200:69755506:-1 gene:PAHAL_9G578900 transcript:PVH33146 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MFDELRGRLRMGLVNIGLEDVLALGVEGEAMRVDLERVSDVFQWSDLFPEWIDEEEDDEGPSCPELPMPDLSRYDGEVDVVVASLPCNRTAPGGWNRDVFRLQVHLAAAQMAARKGRRDGGGAVRVVLRSECEPMMDLFRCDEAVGREGDWWMYRVDVERLEEKLRLPVGSCNLALPLWGSGGIHEVFSVSSELPAEAPSPATGRPRREAYATVLHSSDTYLCGAIVLAQSIRRSGSTRDLVLLHDHTVSKPALRALSAAGWIPRKIKRIRNPRAARGTYNEYNYSKFRLWQLTEYDRVVFVDADILVLRNLDALFRFPQLSAVGNDGSLFNSGVMVIEPSACTFEALIRKRRTVRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTGERALKERLFRADPAEVGSIHYLGMKPWTCYRDYDCNWNVADQRVYASDEAHARWWQVYDRMGEAMRGPCRLSERRKVEIAWDRHVAEEIGFADQHWKINITDPRKWE >PAN51215 pep chromosome:PHallii_v3.1:9:69751979:69756163:-1 gene:PAHAL_9G578900 transcript:PAN51215 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MGVTTAGEAVKSPVRASVIVKLNAAFLAFFLLAYMALLLHPRYSYLLDRGATSLVRCTFSDDACPPSTQLSRKLGGGVAANKVAAERIVNAGRAPAMFDELRGRLRMGLVNIGLEDVLALGVEGEAMRVDLERVSDVFQWSDLFPEWIDEEEDDEGPSCPELPMPDLSRYDGEVDVVVASLPCNRTAPGGWNRDVFRLQVHLAAAQMAARKGRRDGGGAVRVVLRSECEPMMDLFRCDEAVGREGDWWMYRVDVERLEEKLRLPVGSCNLALPLWGSGGIHEVFSVSSELPAEAPSPATGRPRREAYATVLHSSDTYLCGAIVLAQSIRRSGSTRDLVLLHDHTVSKPALRALSAAGWIPRKIKRIRNPRAARGTYNEYNYSKFRLWQLTEYDRVVFVDADILVLRNLDALFRFPQLSAVGNDGSLFNSGVMVIEPSACTFEALIRKRRTVRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTGERALKERLFRADPAEVGSIHYLGMKPWTCYRDYDCNWNVADQRVYASDEAHARWWQVYDRMGEAMRGPCRLSERRKVEIAWDRHVAEEIGFADQHWKINITDPRKWE >PAN51749 pep chromosome:PHallii_v3.1:9:72121549:72127938:1 gene:PAHAL_9G618000 transcript:PAN51749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPAARKDEDALLGYAVMEDVAVGDLDLMEELFMAAPGFDFSDFSQPGAGAPPGACFSPLFDICSTTTTATPPAPAGEDDRDDAERERADRPEADAAAPPRRAWLFQPGQEVAATVKERLRRALERIASLSQTQPGELLAQVWVPTLIGDRQVLTTCGQPFWLDSRNQRLANYRSVSMKYQFSADESARAELGLPGRVFVGRVPEWTPDVRYFSTEEYPRVRHAQSLDIRGSVALPIFEPRTRACLGVIELVMTTQKINYNAEVENICSALKEVDLRSTDVSSDTHANVTDTSYRAVVPEIIDVLRTVCERHELPLAQTWIPCICQAKRGSRHSDEKLKYCVSTVDEACYVRDLAVKSFHQACSDHHLFRGEGVVGRAFGTNEPCFSQDITSYSKAQYPLSHHAKLFSLRAAVAIRLRSIRTGSLDYVLEFFLPVDCIESEEQRAMLNSLSITIQQTCYTLRVVSLKELVDEGSFETSTLTPAEFYDKPTHENLDEVCSNIEVPARTTSLGTSEEVSSWIASLVDAQSKGAKEMDGDLPFGFSKQEDEGFSVTAGWHTSPVLRQKGSIFSGFKQHEEYEVKEPICSRGPSPSNVDKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVQLSSLYENFTKTTWSERELQGDGNYPLSEQKGHLEPSVPDRQCEGRFTSHTSGSNSLSPSCSQSSNSSHGCSSGSKSQQNGSAPQLAVKQEVLMEENQSSTLLKAASHAELQMFTEERPVTLPRSHSQMLLSEQKPVENMSGMQKSKPDSLKIKAMYGEERCIFRLQPSWGFEKLKEEIVKRFSIAQEMYVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTVRILVNVNVQPVLGPSFGQTGLS >PAN51750 pep chromosome:PHallii_v3.1:9:72121549:72127938:1 gene:PAHAL_9G618000 transcript:PAN51750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPAARKDEDALLGYAVMEDVAVGDLDLMEELFMAAPGFDFSDFSQPGAGAPPGACFSPLFDICSTTTTATPPAPAGEDDRDDAERERADRPEADAAAPPRRAWLFQPGQEVAATVKERLRRALERIASLSQTQPGELLAQVWVPTLIGDRQVLTTCGQPFWLDSRNQRLANYRSVSMKYQFSADESARAELGLPGRVFVGRVPEWTPDVRYFSTEEYPRVRHAQSLDIRGSVALPIFEPRTRACLGVIELVMTTQKINYNAEVENICSALKEVDLRSTDVSSDTHANVTDTSYRAVVPEIIDVLRTVCERHELPLAQTWIPCICQAKRGSRHSDEKLKYCVSTVDEACYVRDLAVKSFHQACSDHHLFRGEGVVGRAFGTNEPCFSQDITSYSKAQYPLSHHAKLFSLRAAVAIRLRSIRTGSLDYVLEFFLPVDCIESEEQRAMLNSLSITIQQTCYTLRVVSLKELVDEGSFETSTLTPAEFYDKPTHENLDEVCSNIEVPARTTSLGTSEEVSSWIASLVDAQSKGAKEMDGDLPFGFSKQEDEGFSVTAGWHTSPVLRQKGSIFSGFKQHEEYEVKEPICSRGPSPSNVDKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVQLSSLYENFTKTTWSERELQGDGNYPLSEQKGHLEPSVPDRQCEGRFTSHTSGSNSLSPSCSQSSNSSHGCSSGSKSQQNGSAPQLAVKQEVLMEENQSSTLLKAASHAELQMFTEERPVTLPRSHSQMLLSEQKPVENMSGMQKSKPDSLKIKAMYGEERCIFRLQPSWGFEKLKEEIVKRFSIAQEMYVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTVRILVNVNVQPVLGPSFGQTGLS >PVH33288 pep chromosome:PHallii_v3.1:9:72123344:72126040:1 gene:PAHAL_9G618000 transcript:PVH33288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSQGKDVLLPGTPIPEEVDLRSTDVSSDTHANVTDTSYRAVVPEIIDVLRTVCERHELPLAQTWIPCICQAKRGSRHSDEKLKYCVSTVDEACYVRDLAVKSFHQACSDHHLFRGEGVVGRAFGTNEPCFSQDITSYSKAQYPLSHHAKLFSLRAAVAIRLRSIRTGSLDYVLEFFLPVDCIESEEQRAMLNSLSITIQQTCYTLRVVSLKELVDEGSFETSTLTPAEFYDKPTHENLDEVCSNIEVPARTTSLGTSEEVSSWIASLVDAQSKGAKEMDGDLPFGFSKQEDEGFSVTAGWHTSPVLRQKGSIFSGFKQHEEYEVKEPICSRGPSPSNVDKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVQLSSLYENFTKTTWSERELQGDGNYPLSEQKGHLEPSVPDRQCEGRFTSHTSGSNSLSPSCSQSSNSSHGCSSGSKSQQNGSAPQLAVKQEVLMEENQSSTLLKAASHAELQMFTEERPVTLPRSHSQMLLSEQKPVENMSGMQKSKPDSLKIKAMYGEERCIFRLQPSWGFEKLKEEIVKRFSIAQEMYVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTVRILVNVNVQPVLGPSFGQTGLS >PAN51748 pep chromosome:PHallii_v3.1:9:72122234:72127938:1 gene:PAHAL_9G618000 transcript:PAN51748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSQGKDVLLPGTPIPEEVDLRSTDVSSDTHANVTDTSYRAVVPEIIDVLRTVCERHELPLAQTWIPCICQAKRGSRHSDEKLKYCVSTVDEACYVRDLAVKSFHQACSDHHLFRGEGVVGRAFGTNEPCFSQDITSYSKAQYPLSHHAKLFSLRAAVAIRLRSIRTGSLDYVLEFFLPVDCIESEEQRAMLNSLSITIQQTCYTLRVVSLKELVDEGSFETSTLTPAEFYDKPTHENLDEVCSNIEVPARTTSLGTSEEVSSWIASLVDAQSKGAKEMDGDLPFGFSKQEDEGFSVTAGWHTSPVLRQKGSIFSGFKQHEEYEVKEPICSRGPSPSNVDKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVQLSSLYENFTKTTWSERELQGDGNYPLSEQKGHLEPSVPDRQCEGRFTSHTSGSNSLSPSCSQSSNSSHGCSSGSKSQQNGSAPQLAVKQEVLMEENQSSTLLKAASHAELQMFTEERPVTLPRSHSQMLLSEQKPVENMSGMQKSKPDSLKIKAMYGEERCIFRLQPSWGFEKLKEEIVKRFSIAQEMYVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTVRILVNVNVQPVLGPSFGQTGLS >PAN48550 pep chromosome:PHallii_v3.1:9:56117921:56119634:1 gene:PAHAL_9G389700 transcript:PAN48550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAVAVELGPLVRAGAPSSSSSQRIPLPRRRRAAGAIRASPVSGRSEDAGEPPATLLPNARRRGRDPFWYGGGFSLGVDLGDARTGLAVGRGITLPRPLTVLKLRGQKLELTLLDVARQQEADELIIGLPVSADGRETPQSNKVRSVVGRLAVQAAERGLRVYLQDEHGTSIDALDYMISTGVKKSARDVKSDAYAAVMILKRYFTSSGQGAKIVLPRQPELQEKLIAQSRQDAEI >PVH32401 pep chromosome:PHallii_v3.1:9:56117921:56119634:1 gene:PAHAL_9G389700 transcript:PVH32401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAVAVELGPLVRAGAPSSSSSQRIPLPRRRRAAGAIRASPVSGRSEDAGEPPATLLPNARRRGRDPFWYGGGFSLGVDLGDARTGLAVGRGITLPRPLTVLKLRGQKLELTLLDVARQQEADELIIGLPVSADGRETPQSNKVRSVVGRLAVQAAERGVKKSARDVKSDAYAAVMILKRYFTSSGQGAKIVLPRQPELQEKLIAQSRQDAEI >PVH32402 pep chromosome:PHallii_v3.1:9:56117921:56119634:1 gene:PAHAL_9G389700 transcript:PVH32402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAVAVELGPLVRAGAPSSSSSQRIPLPRRRRAAGAIRASPVSGRSEDAGEPPATLLPNARRRGRDPFWYGGGFSLGVDLGDARTGLAVGRGITLPRPLTVLKLRGQKLELTLLDVARQQEADELIIGLPVSADGRETPQSNKVRSVVGRLAVQAAERGLRVYLQDEHGTSIDALDYMISTSSSRGVKKSARDVKSDAYAAVMILKRYFTSSGQGAKIVLPRQPELQEKLIAQSRQDAEI >PVH31793 pep chromosome:PHallii_v3.1:9:15638135:15640274:1 gene:PAHAL_9G234400 transcript:PVH31793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIANKEKKRKRNTHLFSLSPISLYSTSTPAPTPSPSPASTKNPNPSAPGAARSPPLPSMRIRKSAARLLGSAYSAPAAAAPGAPAPPELLATPPPPQPHPAACSPPPESRGWGGYPDHATASGEACELSRSPWDLIAELSLSDPQEEDDLVDRYFVHVTTRASWLFSASMPVSNAKKVAAAARERAKRRRDAARKALKKAAASKEKDKKDAEAWSKAKVKKEEGEQVQAARVWKCKKNDGKRWHCHRTVSQPNTLCNYHFVQKRSYLNPDYEFPGAVEPEEAAPVPAAAASKPPSSNSKPRRKKPTSDFNATEGFYYYAGFGPFRSKRHCRSGGTNEPVPVKQEEEEEQVPEHASPPAADQARTAEDANQAAAPQNDVSTCDDDIAGIAGVDEDTSDDDYDGIGIAGSSVDGGGDAQASNGGGKRKTPWKRWRKPVKARSLKSLM >PAN48251 pep chromosome:PHallii_v3.1:9:54065404:54069095:1 gene:PAHAL_9G371300 transcript:PAN48251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQLALFRSNCSPPLVRNRPKRPPPTRRPRQRRSDAFPPNPSAPRGAAGLRGAPLVPPSRSWRKRASMSSPARSTVSAASGGAVSAAEDVADSIDALYRKDEAVAELKSEAMEALQSEVRSLDDDSWMFAAPRSRINLVSRTGAYLPKQQGKLPELGQASKKTRNF >PAN45180 pep chromosome:PHallii_v3.1:9:5760188:5761397:-1 gene:PAHAL_9G099600 transcript:PAN45180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKLSFTFILLLSGLVGLGEIGGTGAACPARCVQAAYLTCDNYPSKQIGGCDCQCAPKDGKNCRLHFLTTGRTFNCPAQ >PAN47209 pep chromosome:PHallii_v3.1:9:16347276:16352901:-1 gene:PAHAL_9G242200 transcript:PAN47209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSVLVTCVMQDAADIAFQIHCLERSAYAAVLRAFCAQSDLLSRAKEGCLAELRNELKILESEHRECLGRARSNKQINSLSAGLHSKGSTCNTEVMKDACVLPDAGDTVFQIHCLERSAYASVLRAFCAVTNHLSWLQVKLLTKLRNELRISHIEHKEVLVKVSSNENIKFLRKFSLVTLSVLTKTDPSFDAHAMVHEKNGSTGQVSTSSTSCLSLLQQSPISEHSMSTTRDIGKSDISNWAKEGPYFEPHAVVSAKRLKSVNGHAPSVQLPVAVSVAMVKGRTDDTLDSETIPCEVKSGCTSPIFQEKHSEPNASQVPSCADHARQESRKRKAEVPGMRVSTSLGVMGIKYGIKHQRLMNKDSDLEHGSEIINLCLTASLLHKVERLLREKPYPANLEKAKLILKAQEKDLLDALVKLSEISYDVAYFNANGEPDNINTHNDGKGKEDVLPKPTNSSDETPPGTTSLVGGGEGNHVKIQDIAAAGLSPSSATPTGGTTSSFPVIPQLLAPPPVTQTRLHAPAPPSSSPGALGESSGGLSTAHRPHATLMAVASPPAPLKKRSKTTAEQRSRMREFAYRVGWSFRKAGADAVDAFCAQVGVPRRALRNWMANNRHLAKIPPPSLPSRHRDHPPAATPR >PVH31451 pep chromosome:PHallii_v3.1:9:9175793:9181235:-1 gene:PAHAL_9G149200 transcript:PVH31451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVEHPQQQQPPSSLRPGREEEAAAAAPAVLGLLSALIDHVARVNWSLLDRVPGDRGSSQQANEFRKEDFTGSKWLVVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEGRSDIRSRGGACILGQVLQIGRGDTCFKGVYGETWQTGCSIAGDWRKQRGGHDRSRRPLRERVPVRAGEGAAA >PVH31450 pep chromosome:PHallii_v3.1:9:9177062:9180765:-1 gene:PAHAL_9G149200 transcript:PVH31450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVEHPQQQQPPSSLRPGREEEAAAAAPAVLGLLSALIDHVARVNWSLLDRVPGDRGSSQQANEFRKEDFTGSKWLVVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEVCKHLY >PVH31452 pep chromosome:PHallii_v3.1:9:9175801:9181234:-1 gene:PAHAL_9G149200 transcript:PVH31452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVEHPQQQQPPSSLRPGREEEAAAAAPAVLGLLSALIDHVARVNWSLLDRVPGDRGSSQQANEFRKEDFTGSKWLVVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEVWEVRHQIQRRRLHSWASTANRPW >PVH31449 pep chromosome:PHallii_v3.1:9:9175742:9180995:-1 gene:PAHAL_9G149200 transcript:PVH31449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVEHPQQQQPPSSLRPGREEEAAAAAPAVLGLLSALIDHVARVNWSLLDRVPGDRGSSQQANEFRKEDFTGSKWLVVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEGRSDIRSRGGACILGQVLQIGRGDTCFKGVYGETWQTGCSIAGDWRKQRGGHDRSRRPLRERVPVRAGEGAAA >PAN45440 pep chromosome:PHallii_v3.1:9:7040832:7042462:1 gene:PAHAL_9G119300 transcript:PAN45440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQQALPVPAPVPPNPNPTAPADPTPPAGARKLPIKRRSPRPSSSPPSSSSPASSDPLRAPAAGGGGAGSDQQQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRAQLSEKLRRLKNKYRSVSARVAGGLDPARLAPHDRDVLHLCSRLWDPANAATSPFTSGGGASGNKRRRANPRGTQLLAPDASGDSNSHDYNGEQPEDVVALPNGNNGIGNEIGDHKVVVPCSNEHRMANAVLDVFEECLREAKASGIINNGNVGGSAEESELAKRWRVQRMDELHVLSRRLRLLVEDAAAAGH >PAN46445 pep chromosome:PHallii_v3.1:9:11797984:11802210:-1 gene:PAHAL_9G186400 transcript:PAN46445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQLHRLLLPPLLFLLSWPFLLRDGGRSPAAPPDLHPVVLLPGHVCSQLSARLTDEYEPPATAPPAICGARKGEGWFRLWENHTALQDPALLPCYADQLRLVYDPAARDYRDADGVETRVVSFGSTSDFGSDNPAIKNDCMRMLVDALEGVGYRDGENMFGAPYDFRYAPAPPGQPNLHFSGFVSSLRRLVERASERNRGRPVILVGHSHGSLNAAAFLNQNTLRWRRRYIKHFVMTSMGAGGAVGSLKTLASDTGNVLSGNTSRSFASVFLTLPSPEVFGHAPLLITRARNYSAYDLPEFLAAVGFSDDEVAARYRARALPAALNVFRAPLVPMTCINAVGGAPTAEKLVYWDGDFSAEPEVVYGDGDGTINLASFLALDTVIGGDPDQEYYKSILIPNTTHSGIMKVGFALQRVVGEILEASRR >PVH32183 pep chromosome:PHallii_v3.1:9:46941035:46941547:-1 gene:PAHAL_9G341000 transcript:PVH32183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTALKTAMTQTPVLVLPNFNDPFVVETDACTTGIGAMLMQHNRPVAFLSKALGPTHPHLSIYEKEFLALIMAIEKWRSYLQRQEFIILTDHKSLSYLSEQNLQSDLQRKAMKRLMGLQFKIVYRKGKENLAADALSRVGHFMALQAVSTTTPAWLQEVLNSYHTDAEA >PAN46168 pep chromosome:PHallii_v3.1:9:10396839:10400004:1 gene:PAHAL_9G166800 transcript:PAN46168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0610900)] MDRAAVTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECQDLISRIFVANPATRITIPEIRNHPWFLKNLPADLMDDSTMSNQYEEPEQPMQSMDEIMQILAEATIPAAGSSGINQFLNDGLDLDDDMEDLDSDADLDLESSGEIVYAM >PAN44114 pep chromosome:PHallii_v3.1:9:1059918:1063326:1 gene:PAHAL_9G018300 transcript:PAN44114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKAKASGGKRGAAAKDPADAPLHSDKRRRERGGMDDSDHEFDSDMKEIVTLLRHIKDKAHKDGQKKTDQAISGVATEIQTMVQDTKTKFEKERQNFLKALSKTSKECEGLLKNEYTKFQATHDKFCKDKAAHIQNFKDLFSKFEDDKEKLLMQYELQRKKEKTTLSELEKTFSEKIANAEESLKKMKQDDKSIHILRKSIGSFLGGDADDQFGQDDD >PAN44116 pep chromosome:PHallii_v3.1:9:1061493:1063327:1 gene:PAHAL_9G018300 transcript:PAN44116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDTKTKFEKERQNFLKALSKTSKECEGLLKNEYTKFQATHDKFCKDKAAHIQNFKDLFSKFEDDKEKLLMQYELQRKKEKTTLSELEKTFSEKIANAEESLKKMKQDDKSIHILRKSIGSFLGGDADDQFGQDDD >PAN44115 pep chromosome:PHallii_v3.1:9:1060634:1063327:1 gene:PAHAL_9G018300 transcript:PAN44115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIVTLLRHIKDKAHKDGQKKTDQAISGVATEIQTMVQDTKTKFEKERQNFLKALSKTSKECEGLLKNEYTKFQATHDKFCKDKAAHIQNFKDLFSKFEDDKEKLLMQYELQRKKEKTTLSELEKTFSEKIANAEESLKKMKQDDKSIHILRKSIGSFLGGDADDQFGQDDD >PAN51294 pep chromosome:PHallii_v3.1:9:70061204:70063302:1 gene:PAHAL_9G583700 transcript:PAN51294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSLSLVPVIDYFARREFLAAGLRPSAVTLPYPDGGAGATCTVHYWAPPGEPRLPPLLLVHGFGPRATWQWRCQVGPLSRHFHVIVPDLLGFGGSTYPFETAPPPSEATQAAALAALLDALPGMEGRRVAAAGTSYGGFVTYWLARAAGAGRVGPVVIASSDLLKTAADDRGFLKRAGEGWSGVDQILLPAEPAAMRKLLELASYRPPPRAMTPDFLLRDFIQKLFTENRDRLVHLLKGITVGTDKFQVTPISQDVLIIWGDHDQLFPLEKAFAVQRSLNGSARLEVVKKTGHAPQLEDPARFNKVMLDFLLAAHKPDPSVNGGSQ >PVH31004 pep chromosome:PHallii_v3.1:9:1921078:1925088:-1 gene:PAHAL_9G034600 transcript:PVH31004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDRPRRRRRIRARSDGLIDSTGKRKGSPCEQDGDSDYQAGKIMRSIPELPEDILSRIHSLMPMREAARAACLSRAFLHSWRCHPNLIFNKDTVGLKRSSNGDNFHHKIGCVLRNHSGISLKSFKLDYSGMCGFDGTSYLDSWLQIALKPGMEELTLWLSETRRKYNFPCSLLADGVQNSLRYLKLRFCDLHPTSELGPFRSLTTLHLFLVSIKWEELGCLLSNSPCFGAFGAHSLHGDNLLEDTLHPAAAHQP >PVH32226 pep chromosome:PHallii_v3.1:9:49339634:49340059:-1 gene:PAHAL_9G348400 transcript:PVH32226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFWSHKNLVITSITIHEAQYLVTSSCINQCFRNRHRVLIFWYSPVKISKVYTDSPPAILLLYRYNIGNPFSIPASPDEAGFYHLFDFFLDFVQDFGLHLSCSLLERPKSWLERESMLDDTSIQPRHLCVIPGETICIFF >PVH32017 pep chromosome:PHallii_v3.1:9:31258857:31259805:-1 gene:PAHAL_9G293300 transcript:PVH32017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMPGADVAPADAAAREEDIEAAAAVAGQGQLKISYGGCVLVFDDVPADRASELARVAAWREWPAGAADAPVARKASLQRFIQKRQDRLHARAPYARQETPYAMKGKHEGDAGRWLGLGISGAGRCAR >PAN45280 pep chromosome:PHallii_v3.1:9:6258856:6259371:1 gene:PAHAL_9G107300 transcript:PAN45280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSVYQNINTEFMPPGGPEPDLNRLAQVPSMRVGRPLVYGWSVPYRRSSVIKSNLDDPTLESRLDTTHGAGNTVLTRAGTGAAACSVWPVPCQ >PAN51762 pep chromosome:PHallii_v3.1:9:72193406:72194561:-1 gene:PAHAL_9G618800 transcript:PAN51762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRKLFSAVLLVLLLLVATEVGGPVGVAEARTCLSQSHRFRGPCVRRANCANVCRTEGFPDGRCRGFRRRCFCTTHCRA >PAN45033 pep chromosome:PHallii_v3.1:9:5080550:5090049:-1 gene:PAHAL_9G088800 transcript:PAN45033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSHVWLEDPGEAWVDGVVTEIKGRDATIATTNGKTVVASLGSIYPKDTEAPPSGVDDMTKLAYLHEPGVLHNLSCRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRALINDHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCNAPPEDVKRFKVGDPRSFHYLNQTNCYEVANVDDAREYIETRNAMDIVGIDQDEQDAIFRVVAAILHLGNIDFSKGKEIDSSQLRDDKSVHHLKTVAELLMCDEKALEDSLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATHIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNTVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAPELVDSSDEKAACAAICDRMGLKGYQIGKTKVFLRAGQMAELDARRAEVLVNAARLIQRRIKTHLMRKEFLNLRKASVRSQKFWRARLARKLFEYMRRDAASIRIQKHARSHSARKAYRQEYESAIVIQTGLRAMAARNEHRFRRETKASIIIQTRWRQHKAYVAYKQQKRASLILQCLWRARIARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKRLRTDLEEAKGQEIGKLQSALQEMQEKIEEAHAAVVKEKEAARLAIEQAPPKIVEVPVVDTEKVEQLTSKNKELEDEISTFRQKAEDLENKLLKMQKRSDEWSHETQERESKVNQLQEMIERLETSLSNMESENQVLRQQSLVVASADEDKSKQIERLESKIAILESEIQLLRSNSALAAQAVVTPEMNQTSIMENLIHTEIDNGHQLEDIKIVNVVVPPVKNLSKQKSLTDRQQENHDALIKSLVEDRRFDDKKSAAACIVYKSLLHWRSFEAEKTNIFDRIIQTIRSSVEGAESSGELAYWLSTTSTLLYLLQNTLKASSSSSKGSNRSRNATGSLFSRMVQSARTSSSGLGVTSGYGGMVGRPDTASMVEAKYPALRFKQQLTAYVEKIYGIIRDNLKKEISPFLTLCIQAPRANRVRPSRGSLKSIHSNALSRQASSVHWQSIVKSLDNTLETMKNNHVPPMIIKKTFNQVYAYLNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSRTTEEYAGASWDELQHIRQAVGFLVLHQKSHKTLEEITNELCPVLSITQIYRIATMFWDDKYGAQGLSQEVIGKMRTMTTDDSITTPNSFFLLDDDSSIPISLDDIARLMLDIDPLDVEPPPLLRQNSQFHFLLQQYVD >PAN45034 pep chromosome:PHallii_v3.1:9:5080525:5090049:-1 gene:PAHAL_9G088800 transcript:PAN45034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSHVWLEDPGEAWVDGVVTEIKGRDATIATTNGKTVVASLGSIYPKDTEAPPSGVDDMTKLAYLHEPGVLHNLSCRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRALINDHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCNAPPEDVKRFKVGDPRSFHYLNQTNCYEVANVDDAREYIETRNAMDIVGIDQDEQDAIFRVVAAILHLGNIDFSKGKEIDSSQLRDDKSVHHLKTVAELLMCDEKALEDSLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATHIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNTVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAPELVDSSDEKAACAAICDRMGLKGYQIGKTKVFLRAGQMAELDARRAEVLVNAARLIQRRIKTHLMRKEFLNLRKASVRSQKFWRARLARKLFEYMRRDAASIRIQKHARSHSARKAYRQEYESAIVIQTGLRAMAARNEHRFRRETKASIIIQTRWRQHKAYVAYKQQKRASLILQCLWRARIARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKRLRTDLEEAKGQEIGKLQSALQEMQEKIEEAHAAVVKEKEAARLAIEQAPPKIVEVPVVDTEKVEQLTSKNKELEDEISTFRQKAEDLENKLLKMQKRSDEWSHETQERESKVNQLQEMIERLETSLSNMESENQVLRQQSLVVASADEDKSKQIERLESKIAILESEIQLLRSNSALAAQAVVTPEMNQTSIMENLIHTEIDNGHQLEDIKIVNEQVVVPPVKNLSKQKSLTDRQQENHDALIKSLVEDRRFDDKKSAAACIVYKSLLHWRSFEAEKTNIFDRIIQTIRSSVEGAESSGELAYWLSTTSTLLYLLQNTLKASSSSSKGSNRSRNATGSLFSRMVQSARTSSSGLGVTSGYGGMVGRPDTASMVEAKYPALRFKQQLTAYVEKIYGIIRDNLKKEISPFLTLCIQAPRANRVRPSRGSLKSIHSNALSRQASSVHWQSIVKSLDNTLETMKNNHVPPMIIKKTFNQVYAYLNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSRTTEEYAGASWDELQHIRQAVGFLVLHQKSHKTLEEITNELCPVLSITQIYRIATMFWDDKYGAQGLSQEVIGKMRTMTTDDSITTPNSFFLLDDDSSIPISLDDIARLMLDIDPLDVEPPPLLRQNSQFHFLLQQYVD >PVH32151 pep chromosome:PHallii_v3.1:9:43453909:43454377:-1 gene:PAHAL_9G332100 transcript:PVH32151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPFDSAWRKTLMILFS >PAN44857 pep chromosome:PHallii_v3.1:9:4354925:4357985:-1 gene:PAHAL_9G075500 transcript:PAN44857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSPLPLTSLLLALLLLSAPSPSASASLSFLTDSSNTSVSTFADPELEDPAPEPTFLEEVIDAVSEKYDWDLDAEVRVWPLDADAVRVGAVQRYEFRARAGGMAALARFSDEAVKWRRPTSPAVEEVDGPDGIDVVPGDGAFGFSSGVRDVELVGPVELKLAGNEDGGLVELQLPSGNLTYTRLKRILVADGVGIKVIGAEKVSFSHPHSIGLLANGSLLTSNNDLSQKWPWSYSTCAPLLQVGVVGSVVIVVHQNSVSGGHVKTTLRSDDTMELLLDKCQVNLSNRLISACLFCSISPRLIKLERILKTWFSKRNHMNSSMRFVEAKVTWIPLVKFRLELERDITEEDPIWEDVPEWKTKPIVQRVTLDIIARVEDDDRLKAISVKKVRQPFPIVDTTTWGSLTSNVSFTEFMSFVLPPEPLSLDVKW >PAN44792 pep chromosome:PHallii_v3.1:9:4052127:4056901:-1 gene:PAHAL_9G070500 transcript:PAN44792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFKDLGGGGSSASKASFLQLPLPASSSAQGFPSPDGHHHSSRLPLQQLLADPSGAQRNHQMDGAVVQREISPVDAEIIKAKIMSHPQYSALVAAYLDCQKVGAPPDVSDRLSAMAAKLDAQPGPSRRRREPARADPELDQFMEAYCNMLVKYQEELARPIQEAAEFFKSMERQLDSITDSNYCEGAGSSEDDQDGSCPEEIDPFAEDKELKHQLLRKYGGYLGGLRQEFSKRKKKGKLPKEARQKLLHWWELHYKWPYPSETEKLALAETTGLDQKQINNWFINQRKRHWKPTSEDMPFAMMEAAGGFHAPQGAAAALYVASRTPFMADGGMHRLGS >PAN44793 pep chromosome:PHallii_v3.1:9:4052126:4056902:-1 gene:PAHAL_9G070500 transcript:PAN44793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFKDLGGGGSSASKASFLQLPLPASSSAQGFPSPDGHHHSSRLPLQQLLADPSGAQRNHQMDGAVVQREISPVDAEIIKAKIMSHPQYSALVAAYLDCQKVGAPPDVSDRLSAMAAKLDAQPGPSRRRREPARADPELDQFMEAYCNMLVKYQEELARPIQEAAEFFKSMERQLDSITDSNYCEGAGSSEDDQDGSCPEEIDPFAEDKELKHQLLRKYGGYLGGLRQEFSKRKKKGKLPKEARQKLLHWRRRSWRWRRRRGWTRSRSTTGSSTSGSGTGSRRRRTCRSR >PAN44791 pep chromosome:PHallii_v3.1:9:4052126:4056902:-1 gene:PAHAL_9G070500 transcript:PAN44791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFKDLGGGGSSASKASFLQLPLPASSSAQGFPSPDGHHHSSRLPLQQLLADPSGAQRNHQMDGAVVQREISPVDAEIIKAKIMSHPQYSALVAAYLDCQKEAYCNMLVKYQEELARPIQEAAEFFKSMERQLDSITDSNYCEGAGSSEDDQDGSCPEEIDPFAEDKELKHQLLRKYGGYLGGLRQEFSKRKKKGKLPKEARQKLLHWWELHYKWPYPSETEKLALAETTGLDQKQINNWFINQRKRHWKPTSEDMPFAMMEAAGGFHAPQGAAAALYVASRTPFMADGGMHRLGS >PVH32552 pep chromosome:PHallii_v3.1:9:59305609:59307957:1 gene:PAHAL_9G426400 transcript:PVH32552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWLLHHHLSRLQTNSDRIHITAMASAAKRSKKLRILLIPFFATSHIRPFTDFAFHLAAASPGDVEATVAVTPANAPVVQAALSSHIPRGGHGGDARVKVTTYAFPNVDGLPPGVENMSTVKAEDAWRIVAAASNEAVMRPAQESLIRTSSPDAVVTDLHFIWNAGIAAELGVPCVAFNSGGIFPTLALWRLTAIEIKDPAAGGSVTVPQFPGPDISLPVTELPDFLRNQAQVFEFDHGAENRFMVELKACLGFAANTFIDLEPEYCENFIDSGFVKRAYFVGPLSLPPVAAATVAITGVEKSSSACFDWLDTMPAHSVVYLCFGSLTKLSEAQLNELAVGLESSGVPFLWVVKVPTWEPPAGWKERVGSRGMLVTGWAPQRDILQHPAVGAFVTHCGWNSVLETFAAGVPVLTWPMAFEQFIVERFLTQVVSIGERLWPEGAGRRSTRREEHELVPGEAIARAVAKFMEHGGAADAARRRVAELSAKARAAMAEGGTSHRDLHQLLDDIMAARASGGGTTAP >PVH32551 pep chromosome:PHallii_v3.1:9:59305608:59307958:1 gene:PAHAL_9G426400 transcript:PVH32551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWLLHHHLSRLQTNSDRIHITAMASAAKRSKKLRILLIPFFATSHIRPFTDFAFHLAAASPGDVEATVAVTPANAPVVQAALSSHIPRGGHGGDARVKVTTYAFPNVDGLPPGVENMSTVKAEDAWRIVAAASNEAVMRPAQESLIRTSSPDAVVTDLHFIWNAGIAAELGVPCVAFNSGGIFPTLALWRLTAIEIKDPAAGGSVTVPQFPGPDISLPVTELPDFLRNQAQVFEFDHGAENRFMVELKACLGFAANTFIDLEPEYCENFIDSGFVKRAYFVGPLSLPPVAAATVAITGVEKSSSACFDWLDTMPAHSVVYLCFGSLTKLSEAQLNELAVGLESSGVPFLWVVKVPTWEPPAGWKERVGSRGMLVTGWAPQRDILQHPAVGAFVTHCGWNSVLETFAAGVPVLTWPMAFEQFIVERFLTQVVSIGERLWPEGAGRRSTRREEHELVPGEAIARAVAKFMEHGGAADAARRRVAELSAKARAAMAEGGTSHRDLHQLLDDIMAARASGGGTTAP >PVH32961 pep chromosome:PHallii_v3.1:9:66954416:66961199:-1 gene:PAHAL_9G535600 transcript:PVH32961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MTWACQAGSIRKTKELWVDKYTPRSLAELAVHKKKVEDVKKWLEEMLMAPKQTVGGWTLVLTGQTGVGKSATVKAIAADLGADLCEWTTPVPTLWAEHLHANSGKCLTGLIQSTQVPTVISLTHYHKSESNDTAMWNSEDLESLLQGAGAHKIAFNPVTTNSIKKILIRICKEEIYDAPEELLHQIAISSGGDIRHAIMSLQYYCLDPRRHSSALATSATRAGSKRRDSLVPRQESYGLSSALPSPCGRDETLTLFHALGKFLHNKRETNCDFDIDLDPFPLKEKLRRNSLKMDVPEKILSQAHGKVRTVTDFLHENVLDFIDDEAVDDAWVVVSYLSEADCLLTGSLFTSYNSENMAQLIAASVAARGVLFGNAHVAPSRWHTIRSPKLWQTEQSFRSNKDQVLKERFDCSSSCVSCNFSDIVTEFRPLERWIGPRNDEPRSSLLPHGIGGSLLVDKLDADGNHDEEDDDEIEEC >PAN50657 pep chromosome:PHallii_v3.1:9:66954416:66962232:-1 gene:PAHAL_9G535600 transcript:PAN50657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRPPVVVLSSSSGEDDRGGRRGPSARRSRTPASAPARSQFQAASGSRKKPRRESSAGRGRRRCSESAASDSLKAEFDMLSEDFSECFDDLGMSGSIRKTKELWVDKYTPRSLAELAVHKKKVEDVKKWLEEMLMAPKQTVGGWTLVLTGQTGVGKSATVKAIAADLGADLCEWTTPVPTLWAEHLHANSGLRYISKLEEFENFVEKIRKYAMLRPTSTKSKRKLIIILIDDIPVTSGNAAFARLGKCLTGLIQSTQVPTVISLTHYHKSESNDTAMWNSEDLESLLQGAGAHKIAFNPVTTNSIKKILIRICKEEIYDAPEELLHQIAISSGGDIRHAIMSLQYYCLDPRRHSSALATSATRAGSKRRDSLVPRQESYGLSSALPSPCGRDETLTLFHALGKFLHNKRETNCDFDIDLDPFPLKEKLRRNSLKMDVPEKILSQAHGKVRTVTDFLHENVLDFIDDEAVDDAWVVVSYLSEADCLLTGSLFTSYNSENMAQLIAASVAARGVLFGNAHVAPSRWHTIRSPKLWQTEQSFRSNKDQVLKERFDCSSSCVSCNFSDIVTEFRPLERWIGPRNDEPRSSLLPHGIGGSLLVDKLDADGNHDEEDDDEIEEC >PVH32960 pep chromosome:PHallii_v3.1:9:66953872:66962500:-1 gene:PAHAL_9G535600 transcript:PVH32960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRPPVVVLSSSSGEDDRGGRRGPSARRSRTPASAPARSQFQAASGSRKKPRRESSAGRGRRRCSESAASDSLKAEFDMLSEDFSECFDDLGMSGSIRKTKELWVDKYTPRSLAELAVHKKKVEDVKKWLEEMLMAPKQTVGGWTLVLTGQTGVGKSATVKAIAADLGADLCEWTTPVPTLWAEHLHANSGKCLTGLIQSTQVPTVISLTHYHKSESNDTAMWNSEDLESLLQGAGAHKIAFNPVTTNSIKKILIRICKEEIYDAPEELLHQIAISSGGDIRHAIMSLQYYCLDPRRHSSALATSATRAGSKRRDSLVPRQESYGLSSALPSPCGRDETLTLFHALGKFLHNKRETNCDFDIDLDPFPLKEKLRRNSLKMDVPEKILSQAHGKVRTVTDFLHENVLDFIDDEAVDDAWVVVSYLSEADCLLTGSLFTSYNSENMAQLIAASVAARGVLFGNAHVAPSRWHTIRSPKLWQTEQSFRSNKDQVLKERFDCSSSCVSCNFSDIVTEFRPLERWIGPRNDEPRSSLLPHGIGGSLLVDKLDADGNHDEEDDDEIEEC >PVH32962 pep chromosome:PHallii_v3.1:9:66954416:66961199:-1 gene:PAHAL_9G535600 transcript:PVH32962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MTWACQAGSIRKTKELWVDKYTPRSLAELAVHKKKVEDVKKWLEEMLMAPKQTVGGWTLVLTGQTGVGKSATVKAIAADLGADLCEWTTPVPTLWAEHLHANSGLRYISKLEEFENFVEKIRKYAMLRPTSTKSKRKLIIILIDDIPVTSGNAAFARLGKCLTGLIQSTQVPTVISLTHYHKSESNDTAMWNSEDLESLLQGAGAHKIAFNPVTTNSIKKILIRICKEEIYDAPEELLHQIAISSGGDIRHAIMSLQYYCLDPRRHSSALATSATRAGSKRRDSLVPRQESYGLSSALPSPCGRDETLTLFHALGKFLHNKRETNCDFDIDLDPFPLKEKLRRNSLKMDVPEKILSQAHGKVRTVTDFLHENVLDFIDDEAVDDAWVVVSYLSEADCLLTGSLFTSYNSENMAQLIAASVAARGVLFGNAHVAPSRWHTIRSPKLWQTEQSFRSNKDQVLKERFDCSSSCVSCNFSDIVTEFRPLERWIGPRNDEPRSSLLPHGIGGSLLVDKLDADGNHDEEDDDEIEEC >PVH32959 pep chromosome:PHallii_v3.1:9:66953872:66962500:-1 gene:PAHAL_9G535600 transcript:PVH32959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MSGSIRKTKELWVDKYTPRSLAELAVHKKKVEDVKKWLEEMLMAPKQTVGGWTLVLTGQTGVGKSATVKAIAADLGADLCEWTTPVPTLWAEHLHANSGLRYISKLEEFENFVEKIRKYAMLRPTSTKSKRKLIIILIDDIPVTSGNAAFARLGKCLTGLIQSTQVPTVISLTHYHKSESNDTAMWNSEDLESLLQGAGAHKIAFNPVTTNSIKKILIRICKEEIYDAPEELLHQIAISSGGDIRHAIMSLQYYCLDPRRHSSALATSATRAGSKRRDSLVPRQESYGLSSALPSPCGRDETLTLFHALGKFLHNKRETNCDFDIDLDPFPLKEKLRRNSLKMDVPEKILSQAHGKVRTVTDFLHENVLDFIDDEAVDDAWVVVSYLSEADCLLTGSLFTSYNSENMAQLIAASVAARGVLFGNAHVAPSRWHTIRSPKLWQTEQSFRSNKDQVLKERFDCSSSCVSCNFSDIVTEFRPLERWIGPRNDEPRSSLLPHGIGGSLLVDKLDADGNHDEEDDDEIEEC >PVH33185 pep chromosome:PHallii_v3.1:9:70341909:70345861:-1 gene:PAHAL_9G589300 transcript:PVH33185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLWGRALASAASGGRVAAAWAAVRARAVAPALEAAVWVCLAMSVMLVLEVCYMSVVSFVAVKLLRRVPERRYKWEPMPSVGGTGGGKDEEEAVPGGEAFPLVLVQIPMYNEREVYKLSIGAACALTWPSNRIIIQVLDDSTDPFIKELVELECKDWASKKINIKYEIRESRKGYKAGALMKGMEHSYAQECDFVAIFDADFQPEPDFLLRTVPFLVHNPKIALVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHAFFGFNGTAGVWRVSAISEAGGWKDRTTVEDMDLAVRASLKGWQFLYVGDIRVKSELPSTLKAYRHQQHRWTCGATNLFRKMAPDIVRSKGVSVWMKFHLLYSFFFVRRVIAPILTFLFYCIVIPLSVMVPEVSIPVWGMFYIPTAITIMNAIRNPWSIHMVPIWILFENVMSMHRMRAALTGLLETMYVDEWVVTQKLGDHVREKLEVPLLTPVKPTECVERIYVPEILVAFYLLVCASYDFVLGAGRYYLYIFLQAFAFLLLGFGFVGTAGPCSCP >PVH31592 pep chromosome:PHallii_v3.1:9:11674507:11676305:-1 gene:PAHAL_9G184400 transcript:PVH31592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWGTFQSEVVKSRLQDHQNYPPATNSDTSLAPQTNPLSVQRHDSARHAYAGGVTSMDAFLQEFFPSVYREERTARGGGSGQYCKFDSQLLTAFTSSLYLAALVASFFVASVARSLGRRWSMFGGGVSFLAGAALNAAARDVAMLIVGRILLGVGVGFAGLSIPIYLSEMAPHRLRGTLNIGLQLMITVGIFSANLVNYGAARIRGGWGWRLSLGLAAVPAGIITAGSLFLPDTPSSLISRGYHEQARRVLRRIRGTHDVEDEYGDLVAASEAPGAVRRPWLDILRRRYRPQLAMAVLVPFFQQLTGINAIMFYAPVLFKTIGLGGDASLMSAVITGLVNIAATFVSIATVDRLGRRKLFFQGGCQMLLCQIIIGTLIGVEFGASGDGTIPKAFAAAVVAPICVYVAGFAWSWGPLGILVPSEIFPLEIRPAAQGVSVAVSMLCTFAVAQAFLPMLCRMQFGLFYFFGGWVLAMTLFVAAFLPETKGVPIEKMGVVWRTHWFWRRFVADEDGRAGNRDVEMDYRKAEGIAVR >PVH31593 pep chromosome:PHallii_v3.1:9:11674221:11677094:-1 gene:PAHAL_9G184400 transcript:PVH31593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPAAAAAGAGQGQGEGKEYPGRLTLYVFLTCTVAATGGLIVGYDIGISGGVTSMDAFLQEFFPSVYREERTARGGGSGQYCKFDSQLLTAFTSSLYLAALVASFFVASVARSLGRRWSMFGGGVSFLAGAALNAAARDVAMLIVGRILLGVGVGFAGLSIPIYLSEMAPHRLRGTLNIGLQLMITVGIFSANLVNYGAARIRGGWGWRLSLGLAAVPAGIITAGSLFLPDTPSSLISRGYHEQARRVLRRIRGTHDVEDEYGDLVAASEAPGAVRRPWLDILRRRYRPQLAMAVLVPFFQQLTGINAIMFYAPVLFKTIGLGGDASLMSAVITGLVNIAATFVSIATVDRLGRRKLFFQGGCQMLLCQIIIGTLIGVEFGASGDGTIPKAFAAAVVAPICVYVAGFAWSWGPLGILVPSEIFPLEIRPAAQGVSVAVSMLCTFAVAQAFLPMLCRMQFGLFYFFGGWVLAMTLFVAAFLPETKGVPIEKMGVVWRTHWFWRRFVADEDGRAGNRDVEMDYRKAEGIAVR >PAN45125 pep chromosome:PHallii_v3.1:9:5469994:5471831:-1 gene:PAHAL_9G095300 transcript:PAN45125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSDGEGAGSGVSRSHPSNLPLPAPHSDPNLQFSGGTDDEYSNRNSSSSATGGASPGFYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSEASGAGLGGPPTMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRSALWIRHSDAVSCLSPTDPAQGLLYSGSWDRTFKVWRINDSKCLESVVAHDDNVNAVVAAYDGLVFTGSADGTVKVWRRELQGKSTKHSAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGDRHLVHGGVLRGHKKAVFCLASAGALLLSGSADNTIFVWRREGGMHSCLSVLTGHTEPIRCIAVVEDNGDSSESNAGGGAPGGGSASRWIVYSGSLDKSIKVWRVTDEASQDALLPGSGDAPQMFDRYPGDTFGASASSTSFR >PAN47050 pep chromosome:PHallii_v3.1:9:15149898:15153289:1 gene:PAHAL_9G230300 transcript:PAN47050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAARVLPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPILVEDTCLCFNALKGLPGPYIKWFLEKIGHEGLNNLLKAYEDKSAFAMCIFSLALGPGEEPITFVGKTAGKIVPARGPSDFGWDPVFQPDGFEQTYAEMPKSVKNEISHRGKALALVKEHFASANYTVQSDGSA >PAN46940 pep chromosome:PHallii_v3.1:9:14785011:14785892:1 gene:PAHAL_9G225400 transcript:PAN46940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLALRPTISATAASTTLATAGSRCRHAAKPRRRPTITCKAEPSGGNSTLELAAGAAGLASSATVAWSLYTLNTTGCGLPPGPGGALGAAEGVSYLVVAALVGWSVTTKARTGSGLPAGPYGLLGAAEGVAYLTVAAIAVVFGLQFFQQGSIPGPLPSEQCFG >PAN49785 pep chromosome:PHallii_v3.1:9:62749322:62751867:1 gene:PAHAL_9G475100 transcript:PAN49785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGVAEAAANGGIEGSADPCNSGTASGHRLSVHQIAGGGKAADIILWRRGRVTFGVIFGATMAWLLFEKSGLSFLTICCDIFLILIIVQFLRVKIAGLLNRQLRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLVLWLLSVIGNFCSSITLAYIGTVALVTIPALYNKYQGHVDRYAGMVHRNISRHYKIVDENVISRLPRSFIRDKED >PVH32651 pep chromosome:PHallii_v3.1:9:61275977:61277590:-1 gene:PAHAL_9G452100 transcript:PVH32651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATTAASCSSHNSLCSNLKPFHTVSLFPCSVHGHGRPRAPGGSRALCYRAVAAVAWLWDYTFLRLVWRPYAIGKELRAQGIHGPPYKLARGSNEDIKAMKEETDGLALDVHDHNYLPRIAPHYLRWRDQYGEPFLYWFGPKPRICIFDYELARQILSSKSGHFVKNDPPATLLDVVGKGLALLDGIDWVRHHRVIKPAFAMDKLKMMTTTMLACAQSMIKELENQACQNKNGEIEVDFNIQFRELTADVISHAAFGSSYRLGKEIFQTQHDLMAINLASLLDVQIPGLNVYSSFQVPSYRTESAQMDA >PVH32650 pep chromosome:PHallii_v3.1:9:61275645:61277642:-1 gene:PAHAL_9G452100 transcript:PVH32650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATTAASCSSHNSLCSNLKPFHTVSLFPCSVHGHGRPRAPGGSRALCYRAVAAVAWLWDYTFLRLVWRPYAIGKELRAQGIHGPPYKLARGSNEDIKAMKEETDGLALDVHDHNYLPRIAPHYLRWRDQYGEPFLYWFGPKPRICIFDYELARQILSSKSGHFVKNDPPATLLDVVGKGLALLDGIDWVRHHRVIKPAFAMDKLKMMTTTMLACAQSMIKELENQACQNKNGEIEVDFNIQFRELTADVISHAAFGSSYRLGKEIFQTQHDLMAINLASLLDVQIPGLKYLPTEQNRRKWMLEKKLRSSLLQIIQQRLASTCRDNGNDLLGLMLESCVATEQGGKQGGLNMSIDEIIHECRMFFFAGHDTTSSNMGSLSAQRIP >PAN45787 pep chromosome:PHallii_v3.1:9:8729264:8735510:-1 gene:PAHAL_9G142200 transcript:PAN45787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRTLGAGGIDPIAEEPHHARSSPADGPGPDPAALSCAISAEASAVLAVMRRGLRHPRATAADDAAAEHPLVASLRSLRRLAFSPAPPAALPAAALRPFLDAVRSEDAGAAVTSASLAALHEVMSLTGPALPGAALREVVDAVASCRFEAGAEPGAEEAVLMRMLQALLACLRAPAAAALGDQHVCTAVNTCFRVVHQAAAKGELLQRFSRHAMHELIRCVFARLPQIGSADGVDGAVKPEMGGTDMNHPFGIRQMENGNGSYMSETGTSDENSADGSGLVVEPYGVPCMVEIFHFLCSLLNVVEQIGLDEDLPLFALKLINSAIELGGSSIQKHPKLLSLVQDELFRNLMQFGLSMSPLILSIVCSIALNLYHHLRTELKLQLEAFFSCIIIRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNATSRPVLMPVELNEYTPFWTVKCENFSDPWHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSPYIVGDSQPFLDHDMFAVMSGPTIAAIAVVFDHSEHEDVLLTCVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEPSAEAVQGKAAPSAIPPSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVTSSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVGDAYSENITQEVARLVKANAAHIKSQMGWRTVVMLLSITARHPDASEVGFEAIMFIMTEGAHLSLANYGFCIEASRQFAESRVGLADRSIRALDLMSDSVRSLALWSQETKGTGEEGEKRLEAIREMWLKLLQSLKKLSLDQREEVRNHALASLQRCLTVTEEICLQSATWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQEVIPDLLKNILLVMKNKGILAKRSTIGGDSLWELTWLHANNISTSLLPEVFPSQEYEQQNSAGSPRGPNAVEA >PAN47592 pep chromosome:PHallii_v3.1:9:18523192:18527401:-1 gene:PAHAL_9G257100 transcript:PAN47592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQSRRVLQVAPPGKGDGEAFPTVQAAVDAVPLGNRARVVIRLAPGVYREPVYVAKTKNFITVAGAGGPEATVISWDNTATRIKHSQSSRVIGTGTFGCGTFIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRNCYIEGHCDFIFGNSVALMEHCHIHCKAAGYITAHSRKSTSETTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPSGWHNWDKSENERTACFYEYRCSGPGSRSSNRVAWCRQLLDVEAEHFLSHTFIDPDLDRPWLLQMMSTRIPASA >PAN45737 pep chromosome:PHallii_v3.1:9:8504126:8505369:1 gene:PAHAL_9G138800 transcript:PAN45737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGKKQGGVPALGWWLMAVGTVRLAFTWSCLFGSGALCSAAYPQAQVSNVHGRTVGVWTLLSCTLCFLCAFNLGSRPIYAATFLSLVYAYGHFLVEYLLYRTVRPANLVGLGVFAVPAIVWMLAEWNTHGLRLRTAATAKQP >PVH31457 pep chromosome:PHallii_v3.1:9:9225001:9225228:1 gene:PAHAL_9G149800 transcript:PVH31457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYCQIRSVITASAFFREPDSRAAEVLMRRTRYPFLSWVSVGGEGFTCEITG >PVH32241 pep chromosome:PHallii_v3.1:9:50718698:50720211:1 gene:PAHAL_9G352600 transcript:PVH32241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAATFSRFGYPSCKPNAPAGRYCCYHKPPPKAAAAAGNGVLSLSSSWRCRSTPREIMAGAGRRPEKKDVADEEEWRSYLAPERLEVLRQLEPWVEEHVLPLLKPVEASWQPSDLLPDPAALGSDGFHAACLDLRAAAAGVPDELLVCLVANMVTEEALPTYPSGLNRFEVVRDATGTDTTAWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVERTVQRLIRDGMTIHAHASPFYGFVCVSFQERATAIAHGNTGRLVGARGAGDAALARICGTVAADEKRHEAAYSRIMGKLFEVEPDAAVRAMAYMMRRRIDMPTAFINDGRHGAGDFYARIIAIAEKAGTYTLSDYRCILEHLIGQWGVKELAAGLSGDGRRARDYLCALPNRIERMEEKAHDRATKAQKMPTRIPINWIFGRTISV >PVH30968 pep chromosome:PHallii_v3.1:9:1260947:1262818:1 gene:PAHAL_9G022100 transcript:PVH30968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRGKRTAEASSQTRPDLPKPKRGAAAPAAPPLGVGEAPAAGSAPSPWPLHVHTRETMHLDVAHTLRSGRKVFFLNTDKPGPMQFRKGIAYVLRCWVAFECCHVLCMTPSPETLKPVYDISWRTPACAKVALKHYNRLNQDEHELVKAVDSKAFFYNGQWMHANFLAESKGGTSCAELVPKYFFAELRIGPEGKEKMRCVSCIKMDPDNPETAPVRGCSICPSQIFHPAAGGHRGPSGNRAVSMP >PVH31428 pep chromosome:PHallii_v3.1:9:8852814:8854385:-1 gene:PAHAL_9G144100 transcript:PVH31428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPKERPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSSPSSKRGKRGAAASPSPPPSVSPSLATSPPPASPPPPPPAAAVAVSPSDRPRPDLPPARRARGGKGGGFGLGLGFLAFSGVVSLALLVIWSKKVVAAVTLASFSLFLLESVRSSLRPRLPRPAATERLHFLDGRGRVSPIREVDAETEPSRPSCSDTDRGSEVSILAVEEDSGALDDSTSPKAKTKKRSWKKLIASAKKLHKGRKGKEADSLGSFRSDGDQADATVRGSNATAADPSDSRRGTTNQTDAAVAKEPDSLRGSRHSQGGIEIVAAPVALVAEEEEQEQGKAGNRFPAALVLVAVALAGLVAGKLPAVALTVLCYAFLSSVQGSPCGGGCPPGRRSEVPML >PAN49146 pep chromosome:PHallii_v3.1:9:59505638:59510593:1 gene:PAHAL_9G429500 transcript:PAN49146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSTSSTEQAHPRQSALRRGTRIVARRGRRRPGMYMHGRAPLKGSTNASHCVGEWRGSRRERTTTSSVPAPAARPARGTRAGQGHVTPTLAPSTCSMDCLAAPAWPPRRSPSSSALRRRARGQGPGSWHDDSKRPLPVARRPDPTRLSRRRSKLNWLPRGTPRRSSDLGSYIGSFTVNFILPVDICCW >PAN49149 pep chromosome:PHallii_v3.1:9:59505802:59507408:1 gene:PAHAL_9G429500 transcript:PAN49149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSTSSTEQAHPRQSALRRGTRIVARRGRRRPGMYMHGRAPLKGSTNASHCVGEWRGSRRERTTTSSVPAPAARPARGTRAGQGHVTPTLAPSTCSMDCLAAPAWPPRRSPSSSALRRRARGQGPGSWHDDSKRPLPVARRPDPTRLSRRRSKLNWLPRGTPRRSSDLQTIRSSACAMRHPADPRS >PAN44475 pep chromosome:PHallii_v3.1:9:2598749:2601653:1 gene:PAHAL_9G046300 transcript:PAN44475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPAVAAELWRPPHLAAGGGRAVEATSAVTEKSSGGRGGGGAGRRRQRETPASEDDSSRIVSTSGGGGQDLTDSGAKRFKSNKSNDNNGNLRTEAETDSRSAGKAVSKNPPAPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQCQVEFLSMKLEAFNAHPSNVVEAFPSKDFASQTYSTAPGLTFDTQTPREYGQGTSTSEWLHMQIGGAYERVS >PVH31052 pep chromosome:PHallii_v3.1:9:2598510:2601937:1 gene:PAHAL_9G046300 transcript:PVH31052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLALSLHPPSLLSPPQVCTVPLRALPPPPRSGRISLPPALPLRTHLRNPRPAPRVASCFAASRHGPGPGGRCGALAPAAPRRGRRPSCGGHLRRHGEEQWRTRRRRRRTEEAEGDPSVRGRLVPDRLHFRRRRSGFDSGAKRFKSNKSNDNNGNLRTEAETDSRSAGKAVSKNPPAPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQCQVEFLSMKLEAFNAHPSNVVEAFPSKDFASQTYSTAPGLTFDTQTPREYGQGTSTSEWLHMQIGGAYERVS >PAN44478 pep chromosome:PHallii_v3.1:9:2602024:2608797:1 gene:PAHAL_9G046400 transcript:PAN44478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPPQDQQQRAAEGAAAWEDPAALTPRRARFPRACHYRPNAPPPPGPAPPPPRPRRSGNAGDETPEYRVVTPLVAEPESPAELPRWRLRCMWELASVLNFLHVFRPLLNIAVEFTAEELEDAIIAPNGTLDNVHMPLLKSIPPVTRMAMGRGTWVTVLCKKLKNWWHWVAEGDLPIVASHGAEIELYRTLDPATRLVILKAICDIRCEQEDIRNFIDSSLRHGYHLPIFRKERIGGDSYGISYWYEDDPILGHRLYREIRRVEYAKESSKRTKGKGASSVPVISYQWEAVASNFDEFNTAAEKLFSSRNRTEVSLGKKLKFNYLPEIEKIHKKKEKLLKKQHREALLLDSYLTVNGFTSGRSRRERKRVTYTFDDYERSINEAIKTTKKSENVAEIGPSPLCKGFYGESAAKSYHYQANNREGKAATLHRSYRQRKRSQRYTKDFVEAISDIDLNIDSDDDIMGEAVYDEEYVRSKKQQKAGLSENDEEFQLEQVASDGGNGVVHSLSASKDADELQWYKRFPLHNPQGTKLRSVDEIQIGIRRSKRSTRPRINYQQYDISGRDTEFGKQEKCSASDPDVGSDAQNDMEVSTTSQDREEEDDEVNKARQWCIEKALVPSRESESVRRKFLDLNEQVPVGGLDDAPVLVKDEHPNNGHEKCSAAH >PAN44477 pep chromosome:PHallii_v3.1:9:2602024:2608797:1 gene:PAHAL_9G046400 transcript:PAN44477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPPQDQQQRAAEGAAAWEDPAALTPRRARFPRACHYRPNAPPPPGPAPPPPRPRRSGNAGDETPEYRVVTPLVAEPESPAELPRWRLRCMWELASVLNFLHVFRPLLNIAVEFTAEELEDAIIAPNGTLDNVHMPLLKSIPPVTRMAMGRGTWVTVLCKKLKNWWHWVAEGDLPIVASHGAEIELYRTLDPATRLVILKAICDIRCEQEDIRNFIDSSLRHGYHLPIFRKERIGGDSYGISYWYEDDPILGHRLYREIRRVEYAKESSKRTKGKGASSVPVISYQWEAVASNFDEFNTAAEKLFSSRNRTEVSLGKKLKFNYLPEIEKIHKKKEKLLKKQHREALLLDSYLTVNGFTSGRSRRERKRVTYTFDDYERSINEAIKTTKKSENVAEIGPSPLCKGFYGESAAKSYHYQANNREGKAATLHRRQRKRSQRYTKDFVEAISDIDLNIDSDDDIMGEAVYDEEYVRSKKQQKAGLSENDEEFQLEQVASDGGNGVVHSLSASKDADELQWYKRFPLHNPQGTKLRSVDEIQIGIRRSKRSTRPRINYQQYDISGRDTEFGKQEKCSASDPDVGSDAQNDMEVSTTSQDREEEDDEVNKARQWCIEKALVPSRESESVRRKFLDLNEQVPVGGLDDAPVLVKDEHPNNGHEKCSAAH >PVH31053 pep chromosome:PHallii_v3.1:9:2603444:2608171:1 gene:PAHAL_9G046400 transcript:PVH31053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIIHDEHFTAPLPLLHTANDYAVQSIPPVTRMAMGRGTWVTVLCKKLKNWWHWVAEGDLPIVASHGAEIELYRTLDPATRLVILKAICDIRCEQEDIRNFIDSSLRHGYHLPIFRKERIGGDSYGISYWYEDDPILGHRLYREIRRVEYAKESSKRTKGKGASSVPVISYQWEAVASNFDEFNTAAEKLFSSRNRTEVSLGKKLKFNYLPEIEKIHKKKEKLLKKQHREALLLDSYLTVNGFTSGRSRRERKRVTYTFDDYERSINEAIKTTKKSENVAEIGPSPLCKGFYGESAAKSYHYQANNREGKAATLHRRQRKRSQRYTKDFVEAISDIDLNIDSDDDIMGEAVYDEEYVRSKKQQKAGLSENDEEFQLEQVASDGGNGVVHSLSASKDADELQWYKRFPLHNPQGTKLRSVDEIQIGIRRSKRSTRPRINYQQYDISGRDTEFGKQEKCSASDPDVGSDAQNDMEVSTTSQDREEEDDEVNKARQWCIEKALVPSRESESVRRKFLDLNEQVPVGGLDDAPVLVKDEHPNNGHEKCSAAH >PAN44479 pep chromosome:PHallii_v3.1:9:2603122:2608797:1 gene:PAHAL_9G046400 transcript:PAN44479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIIHDEHFTAPLPLLHTANDYAVQSIPPVTRMAMGRGTWVTVLCKKLKNWWHWVAEGDLPIVASHGAEIELYRTLDPATRLVILKAICDIRCEQEDIRNFIDSSLRHGYHLPIFRKERIGGDSYGISYWYEDDPILGHRLYREIRRVEYAKESSKRTKGKGASSVPVISYQWEAVASNFDEFNTAAEKLFSSRNRTEVSLGKKLKFNYLPEIEKIHKKKEKLLKKQHREALLLDSYLTVNGFTSGRSRRERKRVTYTFDDYERSINEAIKTTKKSENVAEIGPSPLCKGFYGESAAKSYHYQANNREGKAATLHRRQRKRSQRYTKDFVEAISDIDLNIDSDDDIMGEAVYDEEYVRSKKQQKAGLSENDEEFQLEQVASDGGNGVVHSLSASKDADELQWYKRFPLHNPQGTKLRSVDEIQIGIRRSKRSTRPRINYQQYDISGRDTEFGKQEKCSASDPDVGSDAQNDMEVSTTSQDREEEDDEVNKARQWCIEKALVPSRESESVRRKFLDLNEQVPVGGLDDAPVLVKDEHPNNGHEKCSAAH >PAN44476 pep chromosome:PHallii_v3.1:9:2603444:2608171:1 gene:PAHAL_9G046400 transcript:PAN44476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIIHDEHFTAPLPLLHTANDYAVQSIPPVTRMAMGRGTWVTVLCKKLKNWWHWVAEGDLPIVASHGAEIELYRTLDPATRLVILKAICDIRCEQEDIRNFIDSSLRHGYHLPIFRKERIGGDSYGISYWYEDDPILGHRLYREIRRVEYAKESSKRTKGKGASSVPVISYQWEAVASNFDEFNTAAEKLFSSRNRTEVSLGKKLKFNYLPEIEKIHKKKEKLLKKQHREALLLDSYLTVNGFTSGRSRRERKRVTYTFDDYERSINEAIKTTKKSENVAEIGPSPLCKGFYGESAAKSYHYQANNREGKAATLHRSYRQRKRSQRYTKDFVEAISDIDLNIDSDDDIMGEAVYDEEYVRSKKQQKAGLSENDEEFQLEQVASDGGNGVVHSLSASKDADELQWYKRFPLHNPQGTKLRSVDEIQIGIRRSKRSTRPRINYQQYDISGRDTEFGKQEKCSASDPDVGSDAQNDMEVSTTSQDREEEDDEVNKARQWCIEKALVPSRESESVRRKFLDLNEQVPVGGLDDAPVLVKDEHPNNGHEKCSAAH >PAN44480 pep chromosome:PHallii_v3.1:9:2603122:2608797:1 gene:PAHAL_9G046400 transcript:PAN44480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIIHDEHFTAPLPLLHTANDYAVQSIPPVTRMAMGRGTWVTVLCKKLKNWWHWVAEGDLPIVASHGAEIELYRTLDPATRLVILKAICDIRCEQEDIRNFIDSSLRHGYHLPIFRKERIGGDSYGISYWYEDDPILGHRLYREIRRVEYAKESSKRTKGKGASSVPVISYQWEAVASNFDEFNTAAEKLFSSRNRTEVSLGKKLKFNYLPEIEKIHKKKEKLLKKQHREALLLDSYLTVNGFTSGRSRRERKRVTYTFDDYERSINEAIKTTKKSENVAEIGPSPLCKGFYGESAAKSYHYQANNREGKAATLHRSYRQRKRSQRYTKDFVEAISDIDLNIDSDDDIMGEAVYDEEYVRSKKQQKAGLSENDEEFQLEQVASDGGNGVVHSLSASKDADELQWYKRFPLHNPQGTKLRSVDEIQIGIRRSKRSTRPRINYQQYDISGRDTEFGKQEKCSASDPDVGSDAQNDMEVSTTSQDREEEDDEVNKARQWCIEKALVPSRESESVRRKFLDLNEQVPVGGLDDAPVLVKDEHPNNGHEKCSAAH >PVH31177 pep chromosome:PHallii_v3.1:9:4252849:4257464:1 gene:PAHAL_9G073800 transcript:PVH31177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISPRLAAPAASRGSPSSSLTTTSPSRLGIHGRSRSGLYVPADTASRHHRDRERPALHFASPVVSTSATSTSSSGPIDRQFDSDELRRLCRGPNPEAAVKLLDQMLRRGEAELEPDEQAALLQSCADARSLAVLRRAHRLLASSPSSAIPASILHGIATQYLKLGARGDARRVLEGPAPPPRRGKAGEDAAAQAKRREAYEKVRELHEQIRAAGYVPDTRHVLHDIDEGAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGVCSCGDFWTWLCVPWISQTLLCSRRPLQI >PAN48124 pep chromosome:PHallii_v3.1:9:52801584:52803675:-1 gene:PAHAL_9G360300 transcript:PAN48124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSANHQWLEELENEDLGELDFIDLLSMQQLAESLADELWDQPTQEQQVQLDLDQRQQPMYPTGFSFLGDIRKSYAEGFPTTMAISAGGGDSVFSFTDGKSKQLSFSSPEPKQDGDASTTAGKFGARSPATMESKGRRRASSSVHEHVLVERRRREKMHLQFATLASIIPDTTKRDKVSLLGSTIDYVHHLRGRLKALQDEQFQSTGSTAESPPLDARCCIGSEDDGEASQKIEADVRGTTVLLRVVCREKKGVLIMVLKELEKHGLSITSTNVLPLADQSLLNITVTAQIEDGSSTAVEFVNNLNSALRNF >PVH32278 pep chromosome:PHallii_v3.1:9:52801850:52802909:-1 gene:PAHAL_9G360300 transcript:PVH32278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLAESLADELWDQPTQEQQVQLDLDQRQQPMYPTGFSFLGDIRKSYAEGFPTTMAISAGGGDSVFSFTDGKSKQLSFSSPEPKQDGDASTTAGKFGARSPATMESKGRRRASSSVHEHVLVERRRREKMHLQFATLASIIPDTTKRDKVSLLGSTIDYVHHLRGRLKALQDEQFQSTGSTAESPPLDARCCIGSEDDGEASQKIEADVRGTTVLLRVVCREKKGVLIMVLKELEKHGLSITSTNVLPLADQSLLNITVTAQIEDGSSTAVEFVNNLNSALRNF >PAN51486 pep chromosome:PHallii_v3.1:9:70860889:70869152:-1 gene:PAHAL_9G597800 transcript:PAN51486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPNPPPPRPSAGGKPPAAMAPPRKSRWGPPPPGAAPAGDKAAPSTSARTPTPTHPADSRRHPAPPAPAPRNPASPAAALRPPPQAETPPPPPYDFHNLDRRTMLLADGTVRTYFALPPDYPFEPAPLPPLPHHLLPRAGPDLWPPPPQMPMPMTMQMPPHEAKRKHPADQDDGFPRHPKQPRFDAPPHHPPQPSPHAAVDRHALRRAFLKYAKMLNESSAQKRSYVEGGRVPCLACGRDFADAHGLVMHAYNPPNTDSLVDHLGLHKALCVLMGWDYTKVPENSKGYQSLPADIVRESREDLIVWPPTVIIHNTATGRKKDGRFEGLGNKDMDKKITELGFSGGKSKSLYGKEGHLGLTLIKFANNPAGLKEAERLAEFLERQDHGRIGWSRARATHSIDSDQNPLLVETDTRTGERMRILYGYLAISSDLDELDSDSRKRAFLKSRREFDLSD >PAN45336 pep chromosome:PHallii_v3.1:9:6499337:6503509:1 gene:PAHAL_9G111100 transcript:PAN45336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVTAAELLYGMPLLRSVTGGDGGGAEPGGCGALLAELKQLWGEIGKSREERERMVRDLEAECMRVYRRKVDEATGERALLHQSLAAGEAEIAALTAALGAENSPQLKVNKWTMSLTERVSSATSLLEELRTIRAERSRQFADIKWEIEKISAEIAGRSYGYEGSPRASEVEEHDLTIRRLNEYKARLTNLQKEKSDRLHRVLEQVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSISDSTLGSLAQVVAMLASEKAKRAAMLREAVVPLVELWELMDSPEEERRGFRKVTAVLNPDKDEALSSGVLSVATINKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDDQIAKAREELQSRKDIMDRINKWLLACEEEQWLEEYNMDENRFSTGRIARLNLKRAEKARLIITKIPAIVDNLMSRTLAWESERKKPFLYDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRGCAEPCAVNRKRVDAARPAPSVRSGASSSGSGSSGGGAAELLRPRSSAAGAGAGHCGEFLKGARRLSGPPFSYAAVPKGGGGMSSSLALS >PAN48015 pep chromosome:PHallii_v3.1:9:35561810:35563175:-1 gene:PAHAL_9G310200 transcript:PAN48015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACTTGFGADRRLLFEKESSTYTYLLANVADPDKPTVVSLALPVLGIGWVHEDDARQLLLAARSGRRPRPLRGRPRAVRRCVRQRQPVVYSHREKKKRTGRGGRRQPGPWGVLRGDGQKWPSGGRPEAAWRLRRGRRELRPLASLFRPLARTPTMPIRPKELQIRVTYETSFHFSRLWIHW >PAN49514 pep chromosome:PHallii_v3.1:9:58089998:58093536:-1 gene:PAHAL_9G412000 transcript:PAN49514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLCTTGVSINIVILSALLLSTNVFSSHVLHGNGSEIDLSTLLTFKAHVSDPTGILARNWTRETSFCHWIGVSCSHRNLQRVVALELPDMPLHGEVIPHLGNLSFLSVLNLRNTGLTGSIPPDLGRLRRLRKLALGENSLSGAIPSALGNLTSLQVLMLRNNSISGQIPEELRGLRNIRHINFQKNLLNGSIHVDLFNNTPWLSYLNLDNNSLSGMIPRSIGTLPALQSLGLQKNKLVGPVPQSIFNMSMLQELYLAGNYLAGPIPGNRSFNLPMLKTIALSWNNFTGEIPVGLSKCHNLAVLSLSFNSFEGTVPTWLAKLPELAVIYLGSNKLHGPIPAALSNLTSLIGLDLSVGNLTGNIPPELEQLNQLTHLMFSQNQLTGSFPSFASNLSKLSVLYLDRNLLIGFVPTTLGSSGNLQLISLGGNYLEGNLNFLSSLSNCRQLYHLDIGLNHFTGGIPDSIGNLSNQLLSFLADGNNLIGEIPPSVSNLSSLTIIDFAENQLRSTIPKTMMLMEKLVFMYLYGNRLYGAIPTEIGVLGNLERLILNDNQLSGPIPHELGNLSQLIYFAAFQNQLSASIPGSLFHIVGLVELDLHQNSLSGSLPNEIGTQLQISSIDVSSNLLHGSLPNSFGQLQTLIFLNLSHNFLNDSIPDSFGKLTSLKLMDLSHNKLSSTIPTYLAHFRDLISLNLSFNKFYGQIPEGGIFTKITFQSLMGNSGLCSASLLGFLPCPNNHHSRNHKHIHKLWIVSIITLVGVGVVCMYVIISKWRKKKVVVSVGMVDMNNQRLLISYHDIVRATDNFSESNLLGVGTFGKVYKGQLRDDMMVAIKVLNMQLEQAIRSFDTECNVLRMARHHNLIRILNTCSNLDFKALIL >PAN48542 pep chromosome:PHallii_v3.1:9:56043432:56044891:1 gene:PAHAL_9G389000 transcript:PAN48542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAAVIVSSSKVAAAALAVALFAVLASYAEAAKPGGGWLPAKATWYGAPNGAGPDDNGGACGFKHTNQYPYMSMTSCGNEPLFKDGKGCGACYQIRCLNSTHDACSGRAKRVIITDMNYYPVSKYHFDLSGTAFGAMAKTGLNDKLRHAGILDIQFRRVPCNYKGLTVNFRVQVGSNPNYFAVLVQYAGKDGAVVQVDLMETDGATGRPTGAWTPMRVSWGAVWRLDTSRPLRAPFSLRIRSDSGKTLVADNVIPADWKPMTDYPSSVQFP >PAN44243 pep chromosome:PHallii_v3.1:9:1562264:1567003:1 gene:PAHAL_9G027900 transcript:PAN44243 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MALVSTATVASSSYHCDLLLFPTARRSWRGPRRSRGAAGARLAVLERAGAGTSSGLAVLERAGAGAGLAALERAGAAAAAARREEVVPAGNGRNSYEVESLIDRLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCFPDEHIHAIVIGVLGRQGPALLEKCLEVFHDLPAESRTALSYTSLIAAYARNALHEEARTLLDQMKTAGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDVSPSVRPDLTTYNTLLAAAAVRSLADQSEMLLRTMLEAGVSPDTVSYRHIVDAFASAGNLSRVAELFSEMASTGHTPEASAYLGLMEAHTRVGATAEAVAVLRQMQADGCAPTAATYRVLLDLYGRQGRFDGVRELFREMRTAVPPDTDTYNVLFRVFGDGGFFKEVVELFHDMLQTGVEPDMVTCENVMAACGRGGLHEDAREVLEYMTREGMVPTADAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAYAKGGLFQEAEAIFSRMTNNAGFQKNKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSSVTVPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTNRASSTHQVIASLIKGEYDDSSNWQMVEYTLENSSLEGCDYSLRFFNALLDVLWWFGQKARAARVLDQAVKFGLFPELYRDTKLVWSLDVHRMSVGGALVAVSVWLNKLYDRLKGDEDLPQLASVVVLRGEMEKSTITRGLPTAKVVYSFLNDTLSASFHFPKWNKGRIICLKSQLKKLQSAIDSSDGSATAGFVRMTNSHLPSPGSKIYTREAQDDNGTVHLPDESLVEEKESELLAL >PAN44373 pep chromosome:PHallii_v3.1:9:2078801:2081560:-1 gene:PAHAL_9G037700 transcript:PAN44373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKS >PAN49768 pep chromosome:PHallii_v3.1:9:62716382:62720495:-1 gene:PAHAL_9G474500 transcript:PAN49768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATACPPLSLPSTSLLRGGRSARAGPRRRQLSAVRCSAVGEAVAEAASAGTAEEPLLVSAIRGKKVERPPVWLMRQAGRYMKSYQLLCERYPKFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAAAVNEVREFVPEEWVPYVGQALNLLRQEVKNEAAVLGFVGAPFTLASYCVEGGSSKNFTMIKKLAFSEPAILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPADFEEFSLPYLKQIVDSVRETHPDLPLILYASGSGGLLERLPLSGVDVVSLDWMVDMAEGRKRLGANVAVQGNVDPGVLFGSKEFISKRIYDTVQKAGNVGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >PVH32027 pep chromosome:PHallii_v3.1:9:31469535:31483656:-1 gene:PAHAL_9G294900 transcript:PVH32027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLLMVDASLLLSTLCYRTIKTPEGNIVKAVDSSAAVIGRDTLAKTVYARLFDWLVDNINKSIGQDVESRSQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNLRAHPRLEKPKLSKTDFALFHFAGKVTYQTDLFLEKNRDYVIAEHQNLLSSSECSFISGLFASNQDDPSKSSYKFSSVASRFKLQLQALMETLSSTEPHYIRCIKPNSLNCPQKFENGSVLQQLRSGGVLEAIRISLAGYPTRRTYSEFINRFGLLVPEHMDERFDERSLTQRILKQLNLENFQLGRTKVFLRAGQIAILDSRRGEILDNASRILQGYFRTFIARKKFLSTRKASISIQIYCRGCLARNVLEAKKQIAAAVSVERYARRWLCRCAYLHLRSAALAIQSGIRYILAVQRLQHLKNAKASTVIQAWWRMQKLHNFHQQYRRATVLIQCCWRQKLAKRALRNLKHAAYEAGALREAKGKLEKSLEDLTLLFTLERRQRLAAEESKALEISKLVKILDSVKLELEASNEENKKNCKKIASLQHDLELSSKDQEALRNSLSQIEEVKKENISLKENNAEMEQELLKAQKSSHDNMDKLHDVEKNYVHLRDNLKSLEDKISNLEDENHLLRQKALNLSPRHGRTGSHHIGASPCSPRSFYESSPVKLAPLPHNTTELRRSRMNSDRHEDYHDVLQRCIKDDMGFKKGKPVAACIIYKCLLHWGVFEAERTTIFDFIIHTINNILKTENENDTLPYWLANVSAFLCMLQRNLRSKGFIMAPSRSSSDTHLSEKANETFRYPLKAFGQRTSMSHIDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGGGRRSISPDVAMQQPISTHWDRIVKFLDSLMDRLHQNFVPSFFIRKLVTQVFSFINVQLFNSMLLRRECCTFSNGEYVKSGLCVLEKWIVEAEEEHAGASWDELKFIRQAVDFLIIPHKSKKTLEQIKSNICPALSVRQIYRICTMYWDDKYGTHSVSAEVVAKMRDMVSNDTQNPVSNSFLLDDDLSIPFTTEEIAEEVPDVDMSNIEMPSSLRHVHSAQFLMQHLQSSYLSRSIA >PAN47484 pep chromosome:PHallii_v3.1:9:31469535:31486695:-1 gene:PAHAL_9G294900 transcript:PAN47484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRPGTAVWVEHAALTWAEAEVVSSPASQPSKSSVTVVLSTGVKAVVDGRKVLPRDTEADLGGVDDMTKLVYLHEPGVLSNLARRYTLNEIYTYTGRILIAVNPFAKLSHMYNMHMMEQYRGVQFGELSPHVFAIADASYKAMVSENRSQSILVSGESGAGKTETTKLIMRYLTFVGGHATGDVRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHMYELEGVSEAEQYFQTRRAMDIVGICFSDQEAIFRTVAAILHLGNIEFSPGKEFDSSVIKDEKCKFHLQMAADLLMVDASLLLSTLCYRTIKTPEGNIVKAVDSSAAVIGRDTLAKTVYARLFDWLVDNINKSIGQDVESRSQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNLRAHPRLEKPKLSKTDFALFHFAGKVTYQTDLFLEKNRDYVIAEHQNLLSSSECSFISGLFASNQDDPSKSSYKFSSVASRFKLQLQALMETLSSTEPHYIRCIKPNSLNCPQKFENGSVLQQLRSGGVLEAIRISLAGYPTRRTYSEFINRFGLLVPEHMDERFDERSLTQRILKQLNLENFQLGRTKVFLRAGQIAILDSRRGEILDNASRILQGYFRTFIARKKFLSTRKASISIQIYCRGCLARNVLEAKKQIAAAVSVERYARRWLCRCAYLHLRSAALAIQSGIRYILAVQRLQHLKNAKASTVIQAWWRMQKLHNFHQQYRRATVLIQCCWRQKLAKRALRNLKHAAYEAGALREAKGKLEKSLEDLTLLFTLERRQRLAAEESKALEISKLVKILDSVKLELEASNEENKKNCKKIASLQHDLELSSKDQEALRNSLSQIEEVKKENISLKENNAEMEQELLKAQKSSHDNMDKLHDVEKNYVHLRDNLKSLEDKISNLEDENHLLRQKALNLSPRHGRTGSHHIGASPCSPRSFYESSPVKLAPLPHNTTELRRSRMNSDRHEDYHDVLQRCIKDDMGFKKGKPVAACIIYKCLLHWGVFEAERTTIFDFIIHTINNILKTENENDTLPYWLANVSAFLCMLQRNLRSKGFIMAPSRSSSDTHLSEKANETFRYPLKAFGQRTSMSHIDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGGGRRSISPDVAMQQPISTHWDRIVKFLDSLMDRLHQNFVPSFFIRKLVTQVFSFINVQLFNSMLLRRECCTFSNGEYVKSGLCVLEKWIVEAEEEHAGASWDELKFIRQAVDFLIIPHKSKKTLEQIKSNICPALSVRQIYRICTMYWDDKYGTHSVSAEVVAKMRDMVSNDTQNPVSNSFLLDDDLSIPFTTEEIAEEVPDVDMSNIEMPSSLRHVHSAQFLMQHLQSSYLSRSIA >PVH33294 pep chromosome:PHallii_v3.1:9:72261038:72262417:-1 gene:PAHAL_9G619900 transcript:PVH33294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFDGHGGTDAACFVRKNLLKFIVEDMHFPTNVEKAIRGAFLKADHALADSHSLDNSSGTTALTALIFGRTLFIANAGDCRAVLGKRGRAVELSRDHKPTCKSEKIRIEKLGGTVFDGYLNGQLAVARALGDWHMKGPKGSISPLSAEPELQEIVLSEEDEFLIIGCDGLWDVMTSQCAVSIVRKELMQHNNPEKCSGELVQEALKRDSCDNLTVVVICFSSNPPPQIEIPRSRVRRSISLEGLHLLRGALDSNA >PAN51777 pep chromosome:PHallii_v3.1:9:72260687:72264062:-1 gene:PAHAL_9G619900 transcript:PAN51777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGVEPQTPPDSPSMDAAVGKPPRHHLTSIRHCASSARIAAAAASSPDYGLDLGTMSLISPTDVRAGFLPVFRSGSCAETGPKSYMEDEHVCVDNLIEHLGGRAAGIPAPGAFYGVFDGHGGTDAACFVRKNLLKFIVEDMHFPTNVEKAIRGAFLKADHALADSHSLDNSSGTTALTALIFGRTLFIANAGDCRAVLGKRGRAVELSRDHKPTCKSEKIRIEKLGGTVFDGYLNGQLAVARALGDWHMKGPKGSISPLSAEPELQEIVLSEEDEFLIIGCDGLWDVMTSQCAVSIVRKELMQHNNPEKCSGELVQEALKRDSCDNLTVVVICFSSNPPPQIEIPRSRVRRSISLEGLHLLRGALDSNA >PVH33295 pep chromosome:PHallii_v3.1:9:72261038:72262894:-1 gene:PAHAL_9G619900 transcript:PVH33295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSIHVFDGHGGTDAACFVRKNLLKFIVEDMHFPTNVEKAIRGAFLKADHALADSHSLDNSSGTTALTALIFGRTLFIANAGDCRAVLGKRGRAVELSRDHKPTCKSEKIRIEKLGGTVFDGYLNGQLAVARALGDWHMKGPKGSISPLSAEPELQEIVLSEEDEFLIIGCDGLWDVMTSQCAVSIVRKELMQHNNPEKCSGELVQEALKRDSCDNLTVVVICFSSNPPPQIEIPRSRVRRSISLEGLHLLRGALDSNA >PAN44173 pep chromosome:PHallii_v3.1:9:1263144:1267306:1 gene:PAHAL_9G022200 transcript:PAN44173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRHRQVEANGISMHVAEAGPEGASAPAVLFVHGFPELWYSWRHQMGYLATRGYRCVAPDLRGYGGTTAPPEPSSYTVFHIVGDLIALLDALRLPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPGVKPVEYFRAAYGDDYYVCRFQEPGVEAEFTAFDLKNFFKLALTVQTTGSSSMNLRKMQAYNKEIKLPSWLSEEDVSYLASVYAKTGFAGGVNYYRCLDLNWELMAPWTGAKVQVPTKFIVGDGDLAYHHPGVKSYIHNGGLKRDVPMLEEVVVIKGAGHFIQQERALEISEHVYDYIKKFGTGVSTLKISKL >PAN51346 pep chromosome:PHallii_v3.1:9:70252435:70253762:-1 gene:PAHAL_9G587300 transcript:PAN51346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix dimerisation region bHLH domain containing protein [Source: Projected from Oryza sativa (Os03g0171700)] MSGRRGRISDDEINELISKLQALLPESSRRRNASRSSASKLLKETCSYIKSLHREVDDLSERLSGLMATMDNDSPQAEIIRSLLR >PAN51347 pep chromosome:PHallii_v3.1:9:70252316:70253772:-1 gene:PAHAL_9G587300 transcript:PAN51347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix dimerisation region bHLH domain containing protein [Source: Projected from Oryza sativa (Os03g0171700)] MSGRRGRISDDEINELISKLQALLPESSRRRNASRSSASKLLKETCSYIKSLHREVDDLSERLSGLMATMDNDSPQAEIIRSLLR >PAN48501 pep chromosome:PHallii_v3.1:9:55727925:55733416:1 gene:PAHAL_9G385700 transcript:PAN48501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:Projected from Arabidopsis thaliana (AT5G61500) UniProtKB/TrEMBL;Acc:A0A178UM04] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKPYLPADKQFLVTRNVPCLRRAISVKEEYDAAGAEVILDDDDDGEGWLATHGVQASKPDEEEDIPSMDTLDIGKSDGIKSIPTYFSGGKKEEEEEEDIPDMDTYEDTGDNLVAAEPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLLAGKHASVHPCKHAAVMKKIVDVLMSRGVEPEVDKYLFIFLKFIASVIPTIEYDYTMDFDLGSTS >PAN50594 pep chromosome:PHallii_v3.1:9:63889695:63894092:-1 gene:PAHAL_9G490600 transcript:PAN50594 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRPKLEGFSIPRPTSYSFERSQPVPRLYRPTDDPDLDDIAFYDDAPTDDPASAAVASKSEDGEEIDPLDAFMAEIQEEIRAPPPPPKPEALRRADSDDDEDDPVESFLRAKKDAGLTLAADAMRAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPALDHSTIEYDAFTKDFYEENPSISGMSDQEVADYMKSLAIRVSGFDVPRPIKNFEDCGFPVPLMNAIAKQAYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELDKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQVRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFASKKARVDELEKELNQRGFRIAALHGDKDQASRMETLQKFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEARFAGELVHSLIAAGQDVPNELMDLAMKDGRFRAKRDSRKGGKKGGKGKGGGGGGGGGAGRGRGVRGVDFGLGIGYNAESGSQVPAPRTATVNSLKTGMMQQFKSSFVSGSSNTPSSSAPSFVRPALRGFVSGGTIGGDARPAQSAPSFVPASRPAQSAPSFVPASRPEGNSNENGNQNPESSRDRSRERKRPSGWDR >PAN51713 pep chromosome:PHallii_v3.1:9:71873733:71875617:1 gene:PAHAL_9G614700 transcript:PAN51713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLQVFGQPASTDVARVLTCLFEKKLEFELVRIDTFKTHHKLPEFIRLRDPNGQVTFKHGDKTLVDSRDICRYVCNQFPNDGNKTLYGSGALERASIEQWLQAEAQNFGPPSSALVFQLAFVPHLSHLGVRQDHAVIAENEDKLKQILDVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVNTERGRKLFTNKKNVAKWYDKISKRETWAQVVKMQKEHPGAFE >PAN46049 pep chromosome:PHallii_v3.1:9:9956794:9960795:1 gene:PAHAL_9G160200 transcript:PAN46049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILAVASPLKTLNPSSAGHRHRRLSTLLLRLPPPVLRGRRLQCSAQYGEAAAPPTTPRPAEIPWSRELCNSVRLIGTVGTEVELRQLPSGSAVARGRLAVWKSATETTWVTLQFWDNLAHVASEHVKKGDRIYVSGRLVSDTVDEGPEKRHVYYKVAVQELNFIESMQPVRLYEPEASQDTPGGRRGDYFDSTSSSTEDKNRDNSSSSRSTEELWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAVLDSRMGSLQDNERKPVSYMYADEFMTLDGNR >PAN49437 pep chromosome:PHallii_v3.1:9:61064604:61068335:1 gene:PAHAL_9G449200 transcript:PAN49437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNSHGKRHSDYAENGGGKRRNPGDDSYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTETQAKIRIGESVPGCEERVITIFSSSRETNTIDDAEDKVCPAQDALFRVHERLASDEGPGNEDSEEVVPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETGAQIRVLSNDHIPACAINGDELLQVSGDAVVVRKALRQVSSRLHDNPSKSQHLLASSLTQPYAGSSHLGSSSTAPIVGITPVIPAFGGYKGDVAGDWPSVYQPRRDESSAKEFSLRLLCAAVNVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGAEDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKSDAESAEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTTRANIRILSKENVPKVAAEDEEMIQISGGLDVARHALVQIATRLKANFFEREGALSAFPPVIPYHPMPAGVSDEPKYLSRDTKPVGHYLYSSGFRASDDMIPSDSYGSYSSSQAPGGGYGSYSGYSGRSTSSGLSGHSSLPYGKRHGY >PAN49438 pep chromosome:PHallii_v3.1:9:61064604:61068335:1 gene:PAHAL_9G449200 transcript:PAN49438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNSHGKRHSDYAENGGGKRRNPGDDSYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTETQAKIRIGESVPGCEERVITIFSSSRETNTIDDAEDKVCPAQDALFRVHERLASDEGPGNEDSEEVVPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETGAQIRVLSNDHIPACAINGDELLQVSGDAVVVRKALRQVSSRLHDNPSKSQHLLASSLTQPYAGSSHLGSSSTAPIVGITPVIPAFGGYKGDVAGDWPSVYQPRRDESSAKEFSLRLLCAAVNVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGAEDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKSDAESAEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTTRANIRILSKENVPKVAAEDEEMIQISGGLDVARHALVQIATRLKANFFEREGALSAFPPVIPYHPMPAGVSDEPKYLSRDTKPVGHYLYSSGFRASDDMIPSDSYGSYSSSQAPGGGYGSYSGYSGRSTSSGLSGHSSLPYGKRHGY >PAN44415 pep chromosome:PHallii_v3.1:9:2285151:2287495:-1 gene:PAHAL_9G041300 transcript:PAN44415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWACSLVTTPSSNPTRKQSNKQSNCVRWHKLALPPMASPRLVLVAPLLALLVVSAGALESAGGTTTNGTSADGASSLASSADGADAGIGDGPLGSSGTQGVFTLDRYGGDALAALTLASRLVFAAPLLAWLFAPGALEAPGTTNGTSVDGAAAGTRARPLGSSVFALDRYGARGDGRHDDTQALARAWKAACASPRPAVVLVPGGRRYLLKLVTLHGPCRSGVTLAVKGTLVASPNRADWSDRDRRLWIVFRSVSKLTINGGGTIDGNGNKWWPHSCKINKALPCKEAPTALSFHFCTNLRVEDLKIVNSQQIHVSVEDCTNVQLARLSITAPDTSPNTDGIHITRSKDVKVTDCKIKTGDDCISIEHGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGINIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYSVKNPIIIDQNYCDKNNPCKEQRSAVEVSNVVFKNIRGTTITEDAIKLKCSKHVPCHGITLQNIDLKMQGGKGATESTCQNAKWRRSGTVIPQPCTAKNYEYEGLELSSI >PAN46256 pep chromosome:PHallii_v3.1:9:10837647:10840050:-1 gene:PAHAL_9G173100 transcript:PAN46256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPSPAEAAPQLDAATAAEEDEWDADGYVIPNLLTQDNDVIEPSIPKAKDPEPLQAKDEKIYLGPHGAPPSQVKQQELNTVGRKQRFRNKLKEADRKFTGNAQENKVESLRELMGARASGTSIPRSSPRDWLDPHCHESEFDRKPTR >PVH31232 pep chromosome:PHallii_v3.1:9:5230294:5233963:1 gene:PAHAL_9G091300 transcript:PVH31232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRKVLYMLTGGRWWYSAFAFACLATDKSRARASIGRGQRTESEERRERSHRTAAKMLAVFDPTVAKCPEGLRSPPVAGAAAAAAGGVGALMKGFSAAHDGAVTVSLGPSGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIANLKQHYGLSKTANEVTILIEAYRTLRDRGPVPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSEDHLVFSDDAGLLKTGCGNSFAPFPKGCFYTTSGGLQSYEHPLHEVKAVPRVDSQGQMCGSTFKVDSEAKKKQDASIPRVGSAADWSNQF >PVH32211 pep chromosome:PHallii_v3.1:9:49083048:49083989:1 gene:PAHAL_9G347500 transcript:PVH32211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPNIRWDREGHAHTNTLHWEGFPRLLWESLQIFGYDVPPRYDGYEFVEAGVPRCRVKMTIPQHPSRYLWQPVTICTLGHRLVDTFESAALEAIHVFCDKHPEEVAAYPIGLFPATDSRDPEWTFRISCCSHLLGEVSLETLQTLIRFMNVQHHYQLLQHRGMNQLSTLAQAHHGTITQQLDELNELHTIKNAQVDLLAQRDVIINNLENQIHEREMVITQRNTIIEFLQDQVQDLTIELDDAVNHINEFHEQPVPPVVPEENESEEEEEDPEEIEGESSLYSEHGDPAPNPQSNHSSSGSLSSVGNLDDY >PAN44541 pep chromosome:PHallii_v3.1:9:2911690:2915845:-1 gene:PAHAL_9G051800 transcript:PAN44541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTARSLHLPTPPKPSNPSSHHRLPVPSHFRPRPQRLVRRLAASSSDLTSFPDPAPTPNGVYVPWAAAHPAAAAAIDVDAATEAELRENGFRSTRRTKLVCTIGPATCGAAELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNDEKGFAVAVMMDTEGSEIHMGDLGGAPSAKAEDGEVWTFSVRSSDTSLPDRIIHVNYDGFAEDVKAGDELFVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTIWRDGSVVRERNAMLPTISSKDWIDIDFGIAEGVDFIAVSFVKSAEVINHLKSYITARSRGSDIGVIAKIESIDALKNLEEIIRASDGVIVARGDLGAQIPLEQVPSIQQKIVRMCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALGVLRSVSLRIEKWWREEKRHEALELQDVSSSFSDKISEEICNSAAKMANNLGVDAVFVYTKDGHMASLLSRCRPDCPIFAFTSSTSVRRRLNLQWGLIPFWLSDSDDMESNLNRTFSLLKARGMVQSGDLVIALSDMLQSIQVMNVP >PVH30994 pep chromosome:PHallii_v3.1:9:1764410:1765024:-1 gene:PAHAL_9G031500 transcript:PVH30994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHCISSSATCLSASHAHRRGKMGEIRNSMSPAACQIPGGNRRLCPRRRPAEPRCRHGPWAPLTAGRRQVAGARMVPDGSMHKVTMSLLGYPDIDRIDDSIFSRVIGPF >PVH32479 pep chromosome:PHallii_v3.1:9:57605391:57607117:-1 gene:PAHAL_9G407700 transcript:PVH32479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRASSTEQPAEGLPTTPIRASRSLASSAAMPTLRSTLQPSGPAGQAMLPDGPIEEAGWELRAGLKGGRRMLRRALRPSQPPVSGPRQHFHVAVRVDAGPAAVTIGLASAGMGRQLATTRPGRLLRCHARQGFRHPDRRCPAPPRLTEGAPSASSSVRASIRLPRRRGHHRHRHNGRRRRGGWGRGVKAHDVAVAGWPRRRREIVVHTLQLVVAAVLPAEFLRSVHGGPARVEGARIRGETRGGRRALHYHFAGEGRRGWWNLRSWGGRRSWDKSRRRAGVVAGAGVAVSARWEGSSASVGGEGERPRRWPPGLSPFVGAGYFTEIEDQAIGHVMRVCDAGRSISPQMGQQRRTVSRHPSSRSTNRAMRDSATRRRGARLPSSSSTRAGRCRGTAPPPPAQWPAASLLCTSSWFSSLAAQ >PAN48703 pep chromosome:PHallii_v3.1:9:53362405:53364969:1 gene:PAHAL_9G365000 transcript:PAN48703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPARFASLRTERPRQMGRRRGGGGGGGGGGRGGGRGRGRDEEDDLHLHKAARSGDSAAAESLCESNPLAVNSRDRLSRTPLHLAAWAGHVEVVRCLCKHRADVGAAAMDDTAAIHFASQKGHIEVVRELLTAGATVKAKNRKGFTALHFAAQNSHLDLVKYLVKKGVDVTAKTKGGQTALHVAENDDVRAFLKECEQSLKKGAELPSEKKDDSAQDDGGGKSSREGMKDGDDAGQGEKRKSEGVTASSSPQVKKAKVSLGHLVGENDVDEEEED >PAN46781 pep chromosome:PHallii_v3.1:9:13854316:13856652:1 gene:PAHAL_9G214100 transcript:PAN46781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGYVSLEEIEEYNYVANQTFNTKEDFFKFYDAYALHKGFSVRKDKVSYKPSTKEVIWRRFVCSCEGYRMEKHFETTDQKRQPRALTRCECNARLDVQRSASNGIWYVIDFVDVHTEGLMILKKRRQWSFGLVGFVHARLWK >PAN49928 pep chromosome:PHallii_v3.1:9:63478699:63479925:1 gene:PAHAL_9G485500 transcript:PAN49928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASASVGRMLQPSLAAATGAAPSSFASPPQQVPATPVRRRPGGVAVRCAPSGGVAPADDTMSKLRVGSPIVVVEAPVMLKTAASVPSLRYNSGQVKAGDVGRIMARKPKDVWAVRLAVGTYLLDGKFFRPLDAGEDDEESPVTPDE >PAN46683 pep chromosome:PHallii_v3.1:9:13292632:13302649:1 gene:PAHAL_9G207000 transcript:PAN46683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRAYKLQEFVAHASSVNCAKFGRRTSRILITGGEDLKVNLWAVGKPSALLSLSGLTSPVESVSFDSSEVTIGAGSASGTIKIWDVEEAKVVRTFTGHRSNCASLDFHPFGEFLASGSSDTNMKIWDIRKKKCIHTYKGHTRRIDVLKFTPDGRWIVSGGADNSVKIWDLTAGKLLHDFSLHKGPVNCLDFHPHEFLLATGSADKTLKFWDLETFELIGSSGPENSREYFEPANVVRSMKFNSDGKTLFCGLHETLKVLSWEPIICHDVVDVGWSTLADLTVDEGKLLGCSYNQNCVGVWVVDLMKHEPYADNCAGSHLNGSVDGLIQSDNSKSAVFGRLLVSRSPAADETGSDTLLGCPMSTSKDIPVSASSVVRKTLAKPPGKRDLQLTRSDSAPLLSPRVRLNPNFFDSQKRQPDTAVALPASIVRSKVDLSSDAGMLSRNSRAAAAPMYKSVSHILGYDSKESSFLPVLAPRHSSKGNVDPILSEAATGELPVIEPQNIEVGLSANHGKESGKLIHIIDSRSSKMVEEVGCIRITDDVGYKNINPETNLRVIPDIDCTRRAPECTKEREHIFLSKPISSQRKFIRKSSSAGDDSRSDSVCTESVKSNEAGSWYGVSCFDKQNSDAAWNTEFANRERNEVIGMSQWMESSGRHAVEHRPYSYNYDNIQYVPTLYSSRLHPTLPGKLSASASDEDDMYGLMENHQEFIHVMKSRLAKLEVVCRCWQRNDIRDSIDATWRMLDFAVTTDIINALMENSNCITLDICASLLHLSSSLLESKYDRHLSVALGMILSLVKSFGATISSALSAAPPVGVDLEAEQRLKRCSLCFQELRKASASLKSLTRRQGEVGRSAQELALFLQDILQLSSV >PAN46421 pep chromosome:PHallii_v3.1:9:11694809:11705057:-1 gene:PAHAL_9G184900 transcript:PAN46421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGSGAAAPVAVQLVWWRICRRDGGEKGRRRAVAPPPRLGEPARSMFLCWTSSVTGLFN >PAN51533 pep chromosome:PHallii_v3.1:9:71037514:71044789:1 gene:PAHAL_9G600800 transcript:PAN51533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDEYEYLEKTVEASGAPANGAAAPGSGEKEKDKDKERSSRRRSSGGDEERDAAERRSKRSRSEEGRDRDRERHRERERERDRDRHRSSRERRDRDRDDKEKERERDKDKERRSRDREREKEKEREREKDRQRRSRSRSERRRDEEERDRYRDRDVRRRKEEAAEPEVDPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSTASSSGAASGGARKLYVGNLHSNINEEQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFVQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGVQVSGATTGDLDDDEGGGLALNASSRALLMQKLDRSGITTSLTGGMGTTGLNTPVGIPAASVLGAAPAAAPVLRPTVPGLGSIPGATLPITSPSIELAPPSECLLLKNMFDPALETDPDFDLDIRDDVQEECSKFGQLKHIFVDKNTAGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMTPQQYEMKFPS >PAN51532 pep chromosome:PHallii_v3.1:9:71037514:71042687:1 gene:PAHAL_9G600800 transcript:PAN51532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDEYEYLEKTVEASGAPANGAAAPGSGEKEKDKDKERSSRRRSSGGDEERDAAERRSKRSRSEEGRDRDRERHRERERERDRDRHRSSRERRDRDRDDKEKERERDKDKERRSRDREREKEKEREREKDRQRRSRSRSERRRDEEERDRYRDRDVRRRKEEAAEPEVDPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSTASSSGAASGGARKLYVGNLHSNINEEQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFVQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGVQVSGATTGDLDDDEGGGLALNASSRALLMQKLDRSGITTRYIFGG >PVH32159 pep chromosome:PHallii_v3.1:9:44018020:44021924:-1 gene:PAHAL_9G335000 transcript:PVH32159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGESSYERQAPQLHPPAVDSPHAPSRPQAQARPSVPQLHHPRAQARVMISLHTSTSKLSDLSIFLQINQLLRLPWILEPIQM >PVH32277 pep chromosome:PHallii_v3.1:9:52784797:52787673:1 gene:PAHAL_9G360200 transcript:PVH32277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPRSSPSSSANSKQSPPPSPSPPPPSQQASTSPPKIQSSSFPAAELPPPPPPPRKSGGSTSSKDGKKKSSSSSDNTAAVITGVVLGVVGFALLMAIVTCVCCSRKKKKHPPPMNMPFYTDEKGKVYYPNAGMPPMWKQYGSNGSIAPMPGWHPHGGGSNPLSPPQGSMAAPLSGEMGGPYSSGPHGPALPPPPPNVALGFSKSSFSYEELAAATSGFSPGNMLGQGGFGYVYKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGNQRMLVYEFVPNNTLEHHLYAKGGPVMDWPTRMKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDNNFEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELLTGRRPIDTTNYMEDSLVDWARPLLATALAGEAGFEELVDPRLGGEYSSMEVERLAACAAASTRHSAKRRPRMSQVVRALEGDASLDDLHQDGVKPGQSMLFSTGGGGGGSQNMSRFRQLAFDSGDYDDYSSEYSTDSSTARPPRRP >PVH32138 pep chromosome:PHallii_v3.1:9:42377211:42378213:-1 gene:PAHAL_9G327800 transcript:PVH32138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTFETAALEAIKLFCKQHPMEVAAYPIGLFPTIDPNNSEWNFRTEHLGHMLGDLAEETVRILTRFMDVQHHYQILLRHGVNQITGVAQSHYRNADRQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILAADDAQAHIEELQQQPILHAIPIMPEEEEEDPEEIEGISDIDSEHGDAVLSPYHSPSGSQSSIGNFDDF >PAN48101 pep chromosome:PHallii_v3.1:9:52649840:52652968:1 gene:PAHAL_9G358800 transcript:PAN48101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQQNNLPRATTAAQGMRCLSCTAAAFRPANPAGRGAMAQLPSLSPPTTAAQGKHCHSSATASRPAGPAARGAMEFDYFDVIDFEATCERDSRIYPQEIIEFPAVLVDAANGRIVSSFRTYVRPRHHPRLTAFCSELTGIRQDQVDGGVDVEQALAMHDAWLTEAGAAKNRLAVVTWGDWDCRTMLEFECSFKGLTKPSYFNQWVNLRVPFEAAFGAGRRNLQEAVAEAGLQWNGRLHCGLDDARNTARLLAELMQRGVTISITGSLAPPPQPEPQPQPQPQPQPQLQPQQPPVNHSLSSCFSGAVAADCYCYCGMAIRRGVMAMPGPMQGHCFFICGNWTPSLGPVCPFFIWAT >PAN48000 pep chromosome:PHallii_v3.1:9:28971791:28981792:1 gene:PAHAL_9G284500 transcript:PAN48000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MEASASASAPAALLPLLAAPPRFLHLPLRRPSTRRHGCGSGLLLQPPPPGPGSRARSRTTCCALSPDQADVLQGGTSLVSVAALQLVWLRWLRWRSATHGDSPEVLHEHGKSIVNKALGVSKKMYDSNCAAQTTHDSGLPELGVSGRMIVDEMYCNAVDISGREEVSCSSPTANCSIEKVTSVPVMPDTVSEGQDKTKYFSNSIGRVAGLPYQFLSLSGHQEVVQNSQGHTAKQKDAWDANLVECRQDQEEHLDFTSLSSFKRIAEDHLNFVPLAGNRNLFEPGKGIESTNSNAGSSYLPAGHFAPVACLREGPVSKQEKAVKDHDGAPVTGWSISNILNKENLDNFTQAKRGGLKGTKDTLDYSRIYNSFLIDGRLKDCVDLLESMEQNGLLDMKKIHHASFFSMCKKQRAVLEALRFCRLIDSPKISTFNMLLSVCANSQDFDGALQVMELLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCARAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAESSESKGSRPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVKPDEMFLSALVDVAGHAKRADAAFEIMKDARAKGLHVGTIAYSSLMGACCNAKDWKKALQLYEEIKSIKLTPTVSMMNALITSLCDGDQVLKAVDVLNEMNRIGVCPNEITYSVLFVACERNGEAQLGLDLFEQLIIDGIGINPTIIGSLTGLCLQMFDSDLSLGNIIVNFSLGKPQIDNKWTSAATTVYRNAVSTGLVPSSDVLSQVLGCLRLPHENSLKSRFIENMGISCDIPHNPNINSLFEGFGEYDIRAFSILEEAASLGAVASISMKDTRIVIDARKLRIHTAEVSLLATLRSLKHRLAAGARLPNVTILLPTEKKQVDIDEREKTLKLAGRIGQAVGSLLRRLGISYQGEESHGRMRINGLTLRRWFNPKLNSKSSSAAPADLLPLSSRLAKGIADQQRDIRSLSF >PAN47999 pep chromosome:PHallii_v3.1:9:28971791:28981792:1 gene:PAHAL_9G284500 transcript:PAN47999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MEASASASAPAALLPLLAAPPRFLHLPLRRPSTRRHGCGSGLLLQPPPPGPGSRARSRTTCCALSPDQADVLQGGTSLVSVAALQLVWLRWLRWRSATHGDSPEVLHEHGKSIVNKALGVSKKMYDSNCAAQTTHDSGLPELGVSGRMIVDEMYCNAGIFPRVNTVHKETQAYSVTIPLTPPVTSVDISGREEVSCSSPTANCSIEKVTSVPVMPDTVSEGQDKTKYFSNSIGRVAGLPYQFLSLSGHQEVVQNSQGHTAKQKDAWDANLVECRQDQEEHLDFTSLSSFKRIAEDHLNFVPLAGNRNLFEPGKGIESTNSNAGSSYLPAGHFAPVACLREGPVSKQEKAVKDHDGAPVTGWSISNILNKENLDNFTQAKRGGLKGTKDTLDYSRIYNSFLIDGRLKDCVDLLESMEQNGLLDMKKIHHASFFSMCKKQRAVLEALRFCRLIDSPKISTFNMLLSVCANSQDFDGALQVMELLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCARAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAESSESKGSRPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVKPDEMFLSALVDVAGHAKRADAAFEIMKDARAKGLHVGTIAYSSLMGACCNAKDWKKALQLYEEIKSIKLTPTVSMMNALITSLCDGDQVLKAVDVLNEMNRIGVCPNEITYSVLFVACERNGEAQLGLDLFEQLIIDGIGINPTIIGSLTGLCLQMFDSDLSLGNIIVNFSLGKPQIDNKWTSAATTVYRNAVSTGLVPSSDVLSQVLGCLRLPHENSLKSRFIENMGISCDIPHNPNINSLFEGFGEYDIRAFSILEEAASLGAVASISMKDTRIVIDARKLRIHTAEVSLLATLRSLKHRLAAGARLPNVTILLPTEKKQVDIDEREKTLKLAGRIGQAVGSLLRRLGISYQGEESHGRMRINGLTLRRWFNPKLNSKSSSAAPADLLPLSSRLAKGIADQQRDIRSLSF >PVH32010 pep chromosome:PHallii_v3.1:9:30709463:30710777:-1 gene:PAHAL_9G290000 transcript:PVH32010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAGYLHMSRLPPAKPTNGPPAPPPIVDSSLGSSDLGPPAPSQPRPPRFAPASPRHPTPTREPRSR >PAN45625 pep chromosome:PHallii_v3.1:9:7868727:7876716:1 gene:PAHAL_9G131200 transcript:PAN45625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGDEDEFKDALAAADSPPSSPSLASKPKPAAAAGGGGGLGRRLLSSIPIPASLSAAIGRFSGPKPPPANVGLGLLLHGDLATPADGYGTPASDAATAISSPHLPPLASLQRQHGEDQVAMLGAGVGEEGLGLVPAEEQGRPTAEDREQEGVAVDGCSANRNDFSLRGQEEEGEQCHGDELGVAVDGCMVQDQEELVEQEGAPKDCAAVVEDQSNSTAVEQCASDETRAVKDDNAVEVKEQVTDQEGAVSILFAAEDGIAVGSQEEDDVLVAKHGEDVISVQDQLEVVEQCTGDQLRTTTDDSAGQDQDLLEQEEATEYYTVLGAVEQCNNDGSKEVKDVNIMEEEERAVEQEGALGILDAAKDLCVLDTAKNGAPVEPQEEDVVVKEQNEDVIFVQGQHKVVEQCTGDLLRTATDDNAAQDQDVVEQEGATEYYTAVEAVEQCTNDGSKAVKDGNVVEEKEKAVEQEVALGILDAAKDCSTVESQEEDDVVVAEKSGDNISVQNEHKVVEQCTGDQLKATADDNATQDQGVLEQEVATECYTALEAVEECANDESRAAKDGNVVEEKERPLKQEGDVSVLNAAKDNIIVESQEEDVVAAEQCEGDISVQDQHKVVEQCTSGLRTTMDDNSAEGQEVVEQEGAVVDRDSTTYGIAVEDKEKEVKQSACDESRATNDENAVEANKKMMDQEDVIDKHGVIKDVSAVELLEENSVVVVEQGGDAITLPDEGNVVEQCTSDQLRATMDDNAAENQEIGEQGGAIVERVVITDGISVEDQEKEVEQSAGAESTATKDEDGVEDNEDVVVAEQGGVEISVGDVGNVVKQCTSDQLRANMEDNAAECQEEVVEQEGAIFERGATVSGTTVEDQDKEDEQSAGNELRVTKDENTVEDAIDKQGATKDGSGVESQEEDVVLGEQGGDGISVRDEGNVVEQCSSDQQRTTTDDNSAEDPEMVDQGVASILGADKVDIAVESREDIVVAEQVEDGVSVQNQDKAVEQCTSGQLRTSTDGFAAEDQEASRENIGFSTRYPQRPGKLNCRFYMSNGSCSYGSSCHFNHPQLKATLEVSNFPSEQRNREVEFLELNRVGLPIREGARKCTYYMRNGTCRYGKKCCFNHPEQVLDVQLHMPTGWDDTNLQSSPHSKKSAEHTTINDISSGSEILPPNILRMLLPPQNEPPCTEEKEMKVNKDPDWPSASDDSDGCCSADSSDGPLCKQEHVDYPERPECPFLQRFGNCKFASACQYYHPEDKFPSRYNPIDKFPSRYHPKDNFQSRYRSKRDPPLAEELKVYPDRPGEPECPFYMKTGSCKFGADCKFHHPKDLTQSMQCPGSPKRSVAANEHNPAARIPSIHGPASPERSVAANEHHQAARITLQDHMHQQQKYPARPGQPDCRYYMQFGTCKFQSACMFNHPKDRLSSGWHPAQCPFYMKTGTCQFGSACEFSHPKDQCSSTGEAIGDGTDYEHDFVTKSENVLQQQEKTIYPERPGEPECSHYMKHGYCKFQKSCKFHHPTYRLSRT >PAN45626 pep chromosome:PHallii_v3.1:9:7868727:7876762:1 gene:PAHAL_9G131200 transcript:PAN45626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGDEDEFKDALAAADSPPSSPSLASKPKPAAAAGGGGGLGRRLLSSIPIPASLSAAIGRFSGPKPPPANVGLGLLLHGDLATPADGYGTPASDAATAISSPHLPPLASLQRQHGEDQVAMLGAGVGEEGLGLVPAEEQGRPTAEDREQEGVAVDGCSANRNDFSLRGQEEEGEQCHGDELGVAVDGCMVQDQEELVEQEGAPKDCAAVVEDQSNSTAVEQCASDETRAVKDDNAVEVKEQVTDQEGAVSILFAAEDGIAVGSQEEDDVLVAKHGEDVISVQDQLEVVEQCTGDQLRTTTDDSAGQDQDLLEQEEATEYYTVLGAVEQCNNDGSKEVKDVNIMEEEERAVEQEGALGILDAAKDLCVLDTAKNGAPVEPQEEDVVVKEQNEDVIFVQGQHKVVEQCTGDLLRTATDDNAAQDQDVVEQEGATEYYTAVEAVEQCTNDGSKAVKDGNVVEEKEKAVEQEVALGILDAAKDCSTVESQEEDDVVVAEKSGDNISVQNEHKVVEQCTGDQLKATADDNATQDQGVLEQEVATECYTALEAVEECANDESRAAKDGNVVEEKERPLKQEGDVSVLNAAKDNIIVESQEEDVVAAEQCEGDISVQDQHKVVEQCTSGLRTTMDDNSAEGQEVVEQEGAVVDRDSTTYGIAVEDKEKEVKQSACDESRATNDENAVEANKKMMDQEDVIDKHGVIKDVSAVELLEENSVVVVEQGGDAITLPDEGNVVEQCTSDQLRATMDDNAAENQEIGEQGGAIVERVVITDGISVEDQEKEVEQSAGAESTATKDEDGVEDNEDVVVAEQGGVEISVGDVGNVVKQCTSDQLRANMEDNAAECQEEVVEQEGAIFERGATVSGTTVEDQDKEDEQSAGNELRVTKDENTVEDAIDKQGATKDGSGVESQEEDVVLGEQGGDGISVRDEGNVVEQCSSDQQRTTTDDNSAEDPEMVDQGVASILGADKVDIAVESREDIVVAEQVEDGVSVQNQDKAVEQCTSGQLRTSTDGFAAEDQEASRENIGFSTRYPQRPGKLNCRFYMSNGSCSYGSSCHFNHPQLKATLEVSNFPSEQRNREVEFLELNRVGLPIREGARKCTYYMRNGWDDTNLQSSPHSKKSAEHTTINDISSGSEILPPNILRMLLPPQNEPPCTEEKEMKVNKDPDWPSASDDSDGCCSADSSDGPLCKQEHVDYPERPECPFLQRFGNCKFASACQYYHPEDKFPSRYNPIDKFPSRYHPKDNFQSRYRSKRDPPLAEELKVYPDRPGEPECPFYMKTGSCKFGADCKFHHPKDLTQSMQCPGSPKRSVAANEHNPAARIPSIHGPASPERSVAANEHHQAARITLQDHMHQQQKYPARPGQPDCRYYMQFGTCKFQSACMFNHPKDRLSSGWHPAQCPFYMKTGTCQFGSACEFSHPKDQCSSTGEAIGDGTDYEHDFVTKSENVLQQQEKTIYPERPGEPECSHYMKHGYCKFQKSCKFHHPTYRLSRT >PAN44008 pep chromosome:PHallii_v3.1:9:648401:649787:-1 gene:PAHAL_9G010900 transcript:PAN44008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMASFSAEDFFPNAAGRLVDRLMGLVARRERIFGELDAFFETVIDQHMDPARAVPDNGGDLVDVLIGLWKGHRGTLRFTRDHVKAIILDTFLGGIDTSSVTILWAMSELIRNPRVLRKAQDEVRAAVGSDKARVEPDDVPGLPYLRMVVKETLRLHPPATLLLPRETTRDVRICGYDVPARTRVFVNAWAIGRDPASWADAEVFDPDRFEASEVDYNGAHFELVPFGAGRRICPGLAMGETNVTFTLANLLYCFDWAVPEGIAPEDVSMEETGRLTFHRKAPLVLVPTRFRQQ >PAN46167 pep chromosome:PHallii_v3.1:9:10391620:10397474:-1 gene:PAHAL_9G166700 transcript:PAN46167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMAMSAFAVTSPSSHGYALSALHARHSQRLHTRRIRSQVRALAQTQLQYKKLGDSDLLISEVTLGTMTFGEQNTEKEAHDMLSYSFDQGINILDTAEIYPVPTNKDTQGSTDLYIGRWMQSKPRDKIILATKVAGYSERSAFLRDNAKVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEYFYNPTKWRPSVPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKTQGLPKIVSIQNSYSLIVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYLDANADISKRSRLNLFPGYMARYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATTMDQLKENIDAFTSAPRPLPQEVLDGIEDLFKRYKDPAIL >PAN46166 pep chromosome:PHallii_v3.1:9:10391612:10397474:-1 gene:PAHAL_9G166700 transcript:PAN46166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMAMSAFAVTSPSSHGYALSALHARHSQRLHTRRIRSQVRALAQTQLQYKKLGDSDLLISEVTLGTMTFGEQNTEKEAHDMLSYSFDQGINILDTAEIYPVPTNKDTQGSTDLYIGRWMQSKPRDKIILATKVAGYSERSAFLRDNAKVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEYFYNPTKWRPSVPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKTQGLPKIVSIQNSYSLIVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYLDANADISKRSRLNLFPGYMARYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATTMDQLKENIDAFTSAPRPLPQEVLDGIEDLFKRYKDPAIL >PAN44799 pep chromosome:PHallii_v3.1:9:4107619:4110639:-1 gene:PAHAL_9G071000 transcript:PAN44799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAEHEPRKLFVGGLPRCGVTQEGLRAHFARYGHVVEALVMQYPDGMGRGFGFIEFQDEEAALRALDARETEAHDAFFGRKVDVKKAEKKQGTRSAPTQSSSYKRDADPKKIFVGGLGDRITRDDLSGYFEKFGTITDAVVCHDKLTRKARGFGFVTFDSQEAADKVLENRFHHLKGTKVETTHAKPRSSMDGGGWGHRSPANDYGGMYSPHNGPFVPCNGPYLVPYPYPYLYASPGMMNYGYVMNQIGTSNDTGMIVMRPPPTMYAHYGSSYGHDAANLKLQSDSGVMGNQPSAGLKSDPAKPDSNLP >PAN44368 pep chromosome:PHallii_v3.1:9:2058047:2062292:-1 gene:PAHAL_9G037500 transcript:PAN44368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGRGVRYWCHMCEEVIDPMPEMKCPSCEGGFVEEMDSEGFEPATDTRSDRSLSALAPLLFGMLEGSSRRSRLRREAMEDADADEDDGEEEDDDEDDSDREFELFSRRRRRPSALSRLIQTMHDDIRGLDHTGSDTERDMERERRERRRAERQAVERRVERQRERLRAIERLSNRGRERTESLILINSNNEAIILQGTFGRDQEESSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVAALPTVNIKEVLGCSVCLEDFEIGAEAKQMPCQHKFHSQCILPWLELHSSCPICRFQLPTEETKNPCESASSAGAMNGDGDNVVASSSDTESINRNGDNHSDSPIFSALSALFSDPSSSSDDESAPRSSET >PAN50851 pep chromosome:PHallii_v3.1:9:68046466:68049865:-1 gene:PAHAL_9G551600 transcript:PAN50851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDKVDAKEREKIEAVRKLLRKQAPLSAKQAQYCNDACVERFLRSRGESVKKAAKHLRTVLSWRETVGADHIMADEFSAELADGVAFVAGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPAAAAAVVGKAGVGSASSRFSVTPTDNPVKPWYLSTIPASVGSRSVVPTSSPSLVGASPLSARSFSFASPAALRSTAATPPPFPRGGGGGPLTPSAAAKGQKTPPPAPQQFPRTPRPSFLQSPSMLFAFRKDGQASRVERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLISIVGEKFKQKPEFKQKPVQQPLRRHAGLHQQHHHHYQQQQRI >PAN50465 pep chromosome:PHallii_v3.1:9:66025469:66030246:1 gene:PAHAL_9G522100 transcript:PAN50465 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOH2 [Source:Projected from Arabidopsis thaliana (AT3G19260) UniProtKB/TrEMBL;Acc:A0A178VL39] MVGVSGAEAVSVAVLFSFAFICARFLLDSLVYKPLAVYLFNTKASKLMNDEARQAKIVKFSESIWKLTYYASVQAWVLMIIKQEPWSLDTMQYFDGWPNQPIPKTLMLFYMCQCGFYVYSIGALVAWETRRKDFAVMMSHHVITSTLIGVSYLTGFFRIGIIILALHDASDVFLETAKLCKYTEKELGASLFFGLFATSWLLLRLIYFPFWIIKTSSYHSITFLRKLDEFPTTLYYIFNTMLLTLLVFHVYWGKLICLMIMRQLNNKGQVTDDVRSDSEDDE >PAN44136 pep chromosome:PHallii_v3.1:9:1137161:1140842:-1 gene:PAHAL_9G020200 transcript:PAN44136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MAAMVAGALRRGSGYGGGGGFRCSSRLLAQSLRRFVSTLVVAEHEGGFVKPSSLSALAAAEAIAKENKISVLLGGSGPALHKAADHAASSHPLVSEVLVADSEAFAHPLAEPWAELLRSVQQKRGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTAIKEPRVFVRPIYAGNALCTVKYTGEDPCVMSIRSTSFSPTTEAMSETKVAPITQVDLSFLSEGSIGKSTWVNLTSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGLVADLFEVLDELLKKIPDKK >PVH31694 pep chromosome:PHallii_v3.1:9:13851638:13852143:-1 gene:PAHAL_9G214000 transcript:PVH31694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLNWMQTKLHGLHGGRRKSEFIADPAWLDDSSSGLPQTDKLNDGWTAAVSERFGMREGHRLKSYGRLDELSSSCRRN >PAN48781 pep chromosome:PHallii_v3.1:9:57377066:57380206:1 gene:PAHAL_9G404700 transcript:PAN48781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPSRTLPPPAARPVASSAPRRHPRPPPAPHHHLHPQHEQQGGATTSVLGVGVPNAWLSQRTPVLGLRAWVLVAAGAAAAALALLCVIVCLCRRCRRHRAPRLAPPAHRPGASKSLRHRVHAHQHHQAVAMPPAKDAEEAAAPWRPPPIEAIKAEQKAPLILAGHFARTSVETATSSGGDGGSIDSGGDGGGSDAEVPEAARRGWGRRYTRREMEEATAGLAAANVMGEGGYGVVYKGVLRDGTAVAIKNLHNNRGQAEKDFRKEVQTIGRVRHKNLVSLLGYCSEAACRMLVYQYMENSNLDKWLHHDDSEISPLTWDIRMRILLGTAKGLAYLHEGLEPKIVHRDIKSSNILMDRQWNAKVSDFGLAKLLCSERSFVTTRVMGTFGYVAPEYAKTGMLNERSDVYSFGVLVMEVITGRTPVDYTRPADEVNLVEWLKHMVAERRVEEVLDPRLPEPPPSKALKRAVLAALRCVDPEGSQRPTMAHVVHMLEDDQIQRDEFKLARDLSPRESDSYEREHCR >PVH30869 pep chromosome:PHallii_v3.1:9:63899:65323:1 gene:PAHAL_9G001000 transcript:PVH30869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLNFALGINMAGVASRRQIEDGEADSSDRPQNEKGEYITERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIKDCPECGPRRPEARDSVFQRIGPAPLRQARVRSSQKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHARPQEELSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTVGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN51035 pep chromosome:PHallii_v3.1:9:68846540:68852528:1 gene:PAHAL_9G565000 transcript:PAN51035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MPKMSAIMLCTCSGDQSKFEDLPRSPESLATRDFSANGSSSKMASRETTPDDSQVNEVESDLKETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLRPRMTIAIAESIKPRVPPRSSRRKSSQVNGMSTHMSMHSVSLLLEAILLKAKSLDTLGRVTDAAEECRTIIDIIESAWPYGVPDGTAEECKLIDIFHLALEYLPKLWMRSGCFDEAIIAYRKALTKPWNLDSQRSANLQKDLAVTLLYCGVEVKFPQEFSQERNLVSPGNNIEEAILLLLILTRKLSLQEIKWDPELVNHLMYALSLSGHYEVMASHLEMLLPGTYTRSERWYILALCYSAAGMDDSALNIIRNGVCVLERKGKPHIPSLLLGAKLCCKNPKHAAEGIKFANKAMKSFRSCDMHFISIVNHFLGVCHGPFSRSSTSDLDRSRLQDDALRLLQDAAAMAKYNPGILYSLAWENAMQRKLNAAVESATECLEMVMGGSVSAWKLLILILSAQQNLQEAEAVADFAMNEAEKDDQLDILRLKAQIQASRGQFKSAVESFRVLLSTIQVKKEVWKSTTCKEVKSLQKLEMDAWLDLASIYTKLEAWHDSNICLDKAISLDFFYPKCWHVRGLLLDSQSLHQEALMVFSFALSIDPDYVPSMVCMAEILRNIGGNSLSIARTFLRNALRLEPTNHRAWLSLGLVLKAEGSLLEAADCFQAAYELRELSPIQDFSKQLPIMLH >PAN45510 pep chromosome:PHallii_v3.1:9:7412072:7417405:1 gene:PAHAL_9G124500 transcript:PAN45510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAIVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSSVPINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSFAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIASIKRLSVSVMLAPDLPLSAIGDEKRLMQTILNISGNAVKFTKEGHITLVASIVKADSLREFRTPEFHPAASDDHFYLKVQVKDTGCGISPQDLPHVFTKFAHPQSGGNRGFNGSGLGLAICKRFVSLMGGHIWIDSEGTGRGCTATFIIKLGVCDNTNTYQQQLIPLVWPGSADSDSSGLKALPDGKGSTSLKSRYQRSV >PVH32735 pep chromosome:PHallii_v3.1:9:62714606:62715042:1 gene:PAHAL_9G474300 transcript:PVH32735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSTVLTSGDTTTCLARDNLCIYVRFDHTAASQCLPQSESSNSFGVYQSHNATARQRCSYGIYGNMQLLFRIQLLQAKLIPSTLQHFRLEKTANWFCCL >PAN50378 pep chromosome:PHallii_v3.1:9:65657875:65660176:-1 gene:PAHAL_9G515900 transcript:PAN50378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIILLCLFLLAHAAASASAEGEARFGGGMLLPLRVQAVPPPANKLRFRHDVSLTVSVAVGTPPQNVTMVLDTGSELSWLLCNGTGAPRPAAAFDALASSTYAAVRCRSPECQWRGRDLPVPPFCAAPPSDSCRVSLAYADASSADGVLAADTFLLGGAPPAPALFGCVTSYYSATGGGGGGNGTDSSEAATGLLGMNRGSLSFVTQTATHRFAYCIAPGDGPGLLVLGGDGLALAPQLNYTPLIEIPQPLPYFDRVAYSVQLEGIRVGAALLPIPKSVLAPDHTGAGQTMVDSGTQFTFLLADAYAALKAEFASRTRALLAPLGEPGFVFQGAFDACFRAPEARVAAACPRLPEVGLVLRGAEVAVAGERLLYRVPGERRGEGGAEAVWCLTFGSSDMAGMSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATERLGSGA >PAN47590 pep chromosome:PHallii_v3.1:9:18514578:18516367:1 gene:PAHAL_9G256900 transcript:PAN47590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRSGNGGGKAVTFDDAVAGRRRNGSLSSSYLDTGNKDAETGRAARPLPAHGMGSRRRTYADGELDVFAAERYFKGAMDGDGGDRKEAVATAVVEVPPVQTAASRPAEAVVMARPSSTRASTVSVTASSASSANSQTALFRGGHRRGGSRDKKCCVQVGVLMRTCSGKRSVRVDAGATKEVHDTGELAASRIDWYRELRMQKAAIGLAGDDGINHGVVAAGLPPSLTLGTAKVAAIGREMTREEKAAELTCSSSMRRSFALVAPVRGNVPATGRVGDAGGAAGRGGNDVDGDDDDGAGSESSSDLFEIKSLMIEDCPYEPSEASIQWSVVTASAADASEQGGDRPSGRWASGGGGRGPVAGRQHREHRPVGLLAGCASHRAVDVSTATKAAPNAAAMQQRIGAFQKGRTGA >PVH32172 pep chromosome:PHallii_v3.1:9:46122982:46127753:1 gene:PAHAL_9G339100 transcript:PVH32172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASRPAVHPVEAPPPPPAAAAQAQAQGQGEGEGVAHPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAVMASTNDFPSVSAFSYLVAAAILQCLWSLSLAFVDIYALLVKRSLRNARAVCIFTIGDGESLFSLVMISTYVLRITVQVLRLPQQWLS >PVH32171 pep chromosome:PHallii_v3.1:9:46122982:46127753:1 gene:PAHAL_9G339100 transcript:PVH32171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASRPAVHPVEAPPPPPAAAAQAQAQGQGEGEGVAHPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAVMASTNDFPSVSAFSYLVAAAILQCLWSLSLAFVDIYALLVKRSLRNARAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICAENHCASFETATAMAFISWFALAPSCILNFWSMASR >PVH32579 pep chromosome:PHallii_v3.1:9:59977499:59977813:1 gene:PAHAL_9G433200 transcript:PVH32579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGEAPKSCSSIPPPSGTAPWMTNRRGPGRREGGLACYGQAGQRELPWRDCSLVSIRPIGVASSTSCLLAAGLSRVVELVAVPALTVMGSRACGWDRSWKGRR >PAN51592 pep chromosome:PHallii_v3.1:9:71314139:71315489:-1 gene:PAHAL_9G605700 transcript:PAN51592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTYEYRHVVLPSEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQEAAAAAAAQMLPK >PAN46174 pep chromosome:PHallii_v3.1:9:10424344:10429249:1 gene:PAHAL_9G167400 transcript:PAN46174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDAFQTEGSNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEEKNDELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWCENLKIINHCIDSIGSKASIDPSEVEWSYTYNRKKLPSENGIDSHWNGVRKQPMVPNDWWVEDLCELEVDLYKRVIMTIKAKGRTPPVVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRHAALDAIVFLLPTEEGSVSCGFLLKLLKAAYLLESGESHRNNLIKRIGAQLDGASVADLLIPVNTDENSVYNIDLVMAIVEEFMLQNSDNGKAKLQDDEEIVDAENVSVTTVSSTSKLAVAKLIDGYLAEIAKDPNLPLPKLMALAEMASSLPRPTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACMHAVQNERLPLRVVVQVLFFEQVRASVASARSDPSAELPSAVRSLLPRENGNSIGSSRSAATTTTEEECGVPTSSDINSLRSMRLANNSGGSERSSGSSDTNKNGDDKSGAGKAKGMLMPKKILSKLWSGKTNAGENSSSDTLESPGSVNPEEVKSTQSRITRRSVS >PAN46176 pep chromosome:PHallii_v3.1:9:10423561:10429249:1 gene:PAHAL_9G167400 transcript:PAN46176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDAFQTEGSNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEEKNDELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWCENLKIINHCIDSIGSKASIDPSEVEWSYTYNRKKLPSENGIDSHWNGVRKQPMVPNDWWVEDLCELEVDLYKRVIMTIKAKGRTPPVVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRHAALDAIVFLLPTEEGSVSCGFLLKLLKAAYLLESGESHRNNLIKRIGAQLDGASVADLLIPVNTDENSVYNIDLVMAIVEEFMLQNSDNGKAKLQDDEEIVDAENVSVTTVSSTSKLAVAKLIDGYLAEIAKDPNLPLPKLMALAEMASSLPRPTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACMHAVQNERLPLRVVVQVLFFEQVRASVASARSDPSAELPSAVRSLLPRENGNSIGSSRSAATTTTEEECGVPTSSDINSLRSMRLANNSGGSERSSGSSDTNKNGDDKSGAGKAKGMLMPKKILSKLWSGKTNAGENSSSDTLESPGSVNPEEVKSTQSRITRRSVS >PAN46175 pep chromosome:PHallii_v3.1:9:10423114:10429284:1 gene:PAHAL_9G167400 transcript:PAN46175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDAFQTEGSNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEEKNDELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWCENLKIINHCIDSIGSKASIDPSEVEWSYTYNRKKLPSENGIDSHWNGVRKQPMVPNDWWVEDLCELEVDLYKRVIMTIKAKGRTPPVVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRHAALDAIVFLLPTEEGSVSCGFLLKLLKAAYLLESGESHRNNLIKRIGAQLDGASVADLLIPVNTDENSVYNIDLVMAIVEEFMLQNSDNGKAKLQDDEEIVDAENVSVTTVSSTSKLAVAKLIDGYLAEIAKDPNLPLPKLMALAEMASSLPRPTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACMHAVQNERLPLRVVVQVLFFEQVRASVASARSDPSAELPSAVRSLLPRENGNSIGSSRSAATTTTEEECGVPTSSDINSLRSMRLANNSGGSERSSGSSDTNKNGDDKSGAGKAKGMLMPKKILSKLWSGKTNAGENSSSDTLESPGSVNPEEVKSTQSRITRRSVS >PAN50643 pep chromosome:PHallii_v3.1:9:66880603:66883744:-1 gene:PAHAL_9G534600 transcript:PAN50643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPAHAALCSLALLFLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCAADAATGKFACATGDCGSGSVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNVPMLIVPQGAGGAAGGGTNGSADSGKCMATGCLVDLNGACPADLRVMATASASTGAGAGGGAVACRSACEAFGTPQYCCSGAYGNPNTCKPSTYSQFFKTACPRAYSYAYDDSTSTFTCAAGTSYAITFCPSTTSGKYSGENPQAAGVQPTNGSMVYLGGEQLATGGAARSSPLILAAAALAAVALL >PAN51007 pep chromosome:PHallii_v3.1:9:68764811:68768700:1 gene:PAHAL_9G563600 transcript:PAN51007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKGPGLFSDIGKKAKDLLTKDYTYDQKFTISTVSASGVGLTSTAVKKGGLYTLDVSSVYKYKNTLVDIKVDTESNISTTLTVLDALPSTKLVTSVKLPDYNSGKVELQYFHENASFATVVGTKPSPVVELSGTVGAQGVSFGAEAGYDTATGKLTKYTAAIGVTKPDYHAAFILADKGDTIKVSGVYHLDEKQKASAVAELTRRLSTNENTLTVGGLYKIDPQTAVKARLNNTGTLAALLQHELKPKSLLTISGEFDTKALDRAPKFGLALALKP >PAN49430 pep chromosome:PHallii_v3.1:9:61032527:61038931:-1 gene:PAHAL_9G448600 transcript:PAN49430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLLDKSLNPNKLLKEQFVSNLTGSSMSEIAALSTIVPALVVLRKWSSGDNTRRDAAKKNDDKVLPVRKDWMQYFSTLVVDYLTVVLPVLLVFTVLAEWAYTCAISLIILISVYIMFKRSQSHLKAGLSQPPSLRTDISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMSFRAALSSVSPLVFLGFARIISTSGVDYQVHVGEYGVHWNFFFTLAAVSILTSIVRIHPKHCGLVGLLILAGYQIWLSSGLNEYLISDKRSADIISQNKEGVYSILGYWGMFLIGVSLGYYLFVDTSSKGKSRNTQVVKVWVLAAAFWILAIILDRYIERVSRRMCNFAYVMLVFGQNFQVLSILTLADFISYEKNLILEDAFNQNMLGSFLLANILTGLVNLSVDTLSASSLTAFMILRHIHHRYVDELKAICQALVVSLTRCLSQNLD >PAN49429 pep chromosome:PHallii_v3.1:9:61033391:61038745:-1 gene:PAHAL_9G448600 transcript:PAN49429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLLDKSLNPNKLLKEQFVSNLTGSSMSEIAALSTIVPALVVLRKWSSGDNTRRDAAKKNDDKVLPVRKDWMQYFSTLVVDYLTVVLPVLLVFTVLAEWAYTCAISLIILISVYIMFKRSQSHLKAGLSQPPSLRTDISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMSFRAALSSVSPLVFLGFARIISTSGVDYQVHVGEYGVHWNFFFTLAAVSILTSIVRIHPKHCGLVGLLILAGYQIWLSSGLNEYLISDKRSADIISQNKEGVYSILDAGINAGYWGMFLIGVSLGYYLFVDTSSKGKSRNTQVVKVWVLAAAFWILAIILDRYIERVSRRMCNFAYVMLVFGQNFQVLSILTLADFISYEKNLILEDAFNQNMLGSFLLANILTGLVNLSVDTLSASSLTAFMILSVYTFTLCMVTGLAHFFGVRMKFW >PAN49432 pep chromosome:PHallii_v3.1:9:61033391:61038745:-1 gene:PAHAL_9G448600 transcript:PAN49432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLLDKSLNPNKLLKEQFVSNLTGSSMSEIAALSTIVPALVVLRKWSSGDNTRRDAAKKNDDKVLPVRKDWMQYFSTLVVDYLTVVLPVLLVFTVLAEWAYTCAISLIILISVYIMFKRSQSHLKAGLSQPPSLRTDISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMSFRAALSSVSPLVFLGFARIISTSGVDYQVHVGEYGVHWNFFFTLAAVSILTSIVRIHPKHCGLVGLLILAGYQIWLSSGLNEYLISDKRSADIISQNKEGVYSILGYWGMFLIGVSLGYYLFVDTSSKGKSRNTQVVKVWVLAAAFWILAIILDRYIERVSRRMCNFAYVMLVFGQNFQVLSILTLADFISYEKNLILEDAFNQNMLGSFLLANILTGLVNLSVDTLSASSLTAFMILSVYTFTLCMVTGLAHFFGVRMKFW >PVH32858 pep chromosome:PHallii_v3.1:9:65124163:65127397:1 gene:PAHAL_9G508400 transcript:PVH32858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPPPISLRRRPFLLPALLVALYSIPGTFSLRFVTLDTVEIFTTHEWFGKPTVYFHCNGENKTYLPDVKEAHTLYTFKGEESWQPLTELPEKKCKRCGLYEEDTFKPADVFDEWEMCSSDFKDGKYTRLKENQFNATFLCPNCTASAGVHGNREPSSEVETNKASVLVIIIVSVLASVLVIIALFLGYKYWQKKKRERDQARFLKLFEEGDDLEDELGLSNEL >PAN48752 pep chromosome:PHallii_v3.1:9:57178076:57190869:-1 gene:PAHAL_9G402700 transcript:PAN48752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVGLIVFSPRGKLHEFASAASLQKTIDRYKSYTRENLSSKAIQQQNIQHVKADALSLANRLEALEKSKRKFLGENLEDCSVEELHGLEVKLEKSLHVIRGKKTQLLEQQIAKLKEKERTLLKDNKELREKQRDVQPPLVAPAVTRCLPPWSRNLPVPCNDDVDVETELYIGLPGRERSANRDSG >PVH32823 pep chromosome:PHallii_v3.1:9:64310973:64312778:-1 gene:PAHAL_9G496100 transcript:PVH32823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRNFVTDRHETINNRGSRKERQEAPVKLGSPSSSAAAQPSSKPSPHSPPFSKTSLEKKEGNRKSPKSQREIKQNRSGGYNKQLLRPAAAHHRKQPPPSRHRAEREKPSGGRRGDGRKGEIACLPAAVGWWLPPPHPLLSSPAHPHSPRLTSHGFPLASPPLHAPIRRHLPGERDAIQLRCRRRGCPACCLRAPLQSPSGLAALPLDAIARLHGVLGRSKRWPSRGSAMLWVTRLSGFFSAAMVMVVLSPSLQSFPPAEAIRSSQFDGSVRFPGQIAGGARGIAFRRAPSFRNAADCGGGGAGNGTAANVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHARCPESVFFHFLVSDPGLGDLVRAVFPQLRFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTGRFWSDQRFAGTFAGRRPCYFNTGVMVLDLERWRQAGYTQRIERWMEIQKSPPGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVQGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPAGAEESR >PAN45545 pep chromosome:PHallii_v3.1:9:7542139:7543176:1 gene:PAHAL_9G126600 transcript:PAN45545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin 18 kD [Source: Projected from Oryza sativa (Os03g0699000)] MADRDARGGIYGGTHTGQQGGGGRPVGEHVKGMIHDKGPTASQALTVATLFPLGGLLLVLSGLALAASVVGLAVATPVFLIFSPVLVPAALLIGMAVTGFLTSGALGLGGLSSLTCLANTARQAFQRTPDYVEEARRRMAEAAAHAGHKTAQAGHAIQSRAQEAAGGGGAGAGGAGGGRASS >PAN46475 pep chromosome:PHallii_v3.1:9:11949740:11958074:-1 gene:PAHAL_9G188600 transcript:PAN46475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSDHHEQNLTSPRGLIHKILRRTNSRRSPTAAEQQTSPVSPETNNTLFSKQKDPDDVINDPEKASTHSIRIEDEKSDLLGCEVYSGKLTLDNRAKSASSEQSGSGSSGNCFDARLSTEALIWGSNILKLEDIVSVSYHSGLRYFTVHSCPLEKRSSGLSCFMKPRRTQKDLKFLSTSPHEAFRWVNSFADQQCYVNLLPHPMASSKKHSSELIPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAASVPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGLTPIDVFSVEWIQSGTMHYGTTVSYFGFISDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPVSDVNGAEHRILAGQEKVDASDLYDDVVWRSRAECLPRASSLSSIDSIMSTGIMSGAELEVCSPHANNEPSELVRALDPKSKRLSLGRASTFKEPEEVLHPQSHGTSTPSWRRSKSKSRTEKAWPGLTMMNDAKSSKVNTVHDKEDTSSTISDPGPVWDSGPKWDAEPKWDNQPNWEPETPIELHSPREDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEFDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPNVEYVKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCQLIGRPAKNPVQ >PAN50676 pep chromosome:PHallii_v3.1:9:67038769:67039722:1 gene:PAHAL_9G537100 transcript:PAN50676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKEMCQEPCDELSEISSQAASNTEASNSSGRVSLDLSLTVAAAAAAESSTTDNSNGNSGGGGAQVDAAATVAAREPSRVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRALRLDAAAPYGYYADVASLPLYGSGLYPIGIQAHASPAARPEQQQQRHDAAAAARADELKPARGLLGPMPFFVGDDEVSFGWPGSFRPTAAGAPAGGAALNSAGGSAMFAGEEPDLTLRL >PAN52019 pep chromosome:PHallii_v3.1:9:73414071:73416039:1 gene:PAHAL_9G636800 transcript:PAN52019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAKRMRAAMSNEEDTTSQGSAGGGVAASSGVVAVAVAAAATEGDDMAVVAADDQMGSAETEDHIQRILVAIDNYTRQVSEMLDAGRALFKDLAADFEERLCSIHRERLERWEEEIRELRARDAANEQARALLHNAQLHLLHTVRD >PAN49445 pep chromosome:PHallii_v3.1:9:61098681:61100595:1 gene:PAHAL_9G449700 transcript:PAN49445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLFSTSLSPQFLSLSAKPTPATPSATAAFPSRAPQLRALSVAAAWRPLAPVRAAAAVAEELEAEGQAGGEEEFSADLRIFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYVLDGRSLRVNSGPAPPREQSSPRGPRGEANRVYVGNLSWGVDNSALANLFNKQGEVLEARVIYDRESGRSRGFGFVTYGSSEEVENAISNLDGTELDGRQIRVTVAESRPPRQQY >PAN44819 pep chromosome:PHallii_v3.1:9:4202416:4203375:-1 gene:PAHAL_9G072700 transcript:PAN44819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNPNPPGLGFPYFPPNPYLPRPPPQPQPRPQAPPPPQRFPPPMRAPPPPQCAPPPPQPPPPRRAPPPPRRAPPPPTLPPPPPRRAPPPTTLPPPPSPPIRPPPPPIRPPPPPTPRPQAPPPPHPFVPPPPHILPPPTPLLPPPSPPHHIVIIVVFVSLGSLLLLACLAALLCWHKRGRKTETKAEVLNFSDHVHVHKDTMPGPGAANVVRLTVDEDVKFQEAVKKQDAIGESSSTAAAGKTTHHHLPWTWHKKHESREDKKTELINVTKHKHVDEKIIPGPHGEKIEVLSEDEDIRFEEAGENKEEFEKSKARITKS >PAN45306 pep chromosome:PHallii_v3.1:9:6365232:6372328:-1 gene:PAHAL_9G109100 transcript:PAN45306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MAAGDASATSSSATPYPDRLREALDVLSQACESGVCDALEAASFTVSEIVDAAAATSAEADDGRDDGDATAAARVSEEMLREVHEFLSRPSSNQMAIDALSLVLPKHVAKLGAQIGGCWDLAAAILKFFVMNCSPRDMLSILCEALDAAMDVPNDSSSFVLLLNALAKVLTLIQRRHIEQVKVALPVVLKVMCTTVQECDEEHGNAVVDLFNAAHEIGNAIQEMCKAVVNKNKDLCAILGLYSLQIIALVSQSRQQDILSACGSVVLQHFRFLKSSGFTYLGLLTGSDATAATDKLSKEDDAEFLEWFSLATDGTALTVVWTFMYDAMSKYAGEELELALKEVQGNYMKKWEAINMLKCVLSSISYPWIIKSHGINLLLSLTGENHVQEINNHVDFTFYVPRTFATLKAIESVMMGAPEALMRKKAFAALKKVISMVPSSQRFNILQALLSNSMSPSLTAILLDLVRDEVLRESRQAENDCAESDGLPPWASHALELVELILRPPQGGPPCLPDHSEQVVSALNLLRFILIIDSRGPRSGKLFQKETLQKVHSEWLIPLRPIVSRIQSENERGDSELANQILCSLNPVQLVLYRCIELVEEKIKGC >PVH31246 pep chromosome:PHallii_v3.1:9:5424925:5427159:1 gene:PAHAL_9G094600 transcript:PVH31246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSAGSNSEKLETVERRLETTHARQRRRRVGSVVRNGVGEASSAAWRGRCSCCSQWRASSSEPGCPGDWSQAGRPWALSAGSKDVEAGSGRNAGDAPVRRESKQRKRRCVEILPRSGVGVDGISLTVRLDMCGPDWARSQCDSVVSDLWRQMEDAGAGGRVAPLLKDAGRQVHPCHAPPRGVVSALN >PVH33155 pep chromosome:PHallii_v3.1:9:69850084:69851091:1 gene:PAHAL_9G580600 transcript:PVH33155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLIGLLKDHDVPGFRTHNAWSKEAWTNIVCRLNAKFGCSFTLNQVKQKEQDLKKDYRVVKELQEESGFGWDSERKMVTAPPNVWANFAARKNNSDALTRQDKSFPYFDDLFALYDVLQCNCAGRYAEGRTRHGMDHYANKAKNASNPSTQQASAAVIYQSPSPTWPAEFDSGLQFPFDEEAGVTPVQHMKTPPSSTPTPLEGTESRRGKKQKTKSCSPEEGFHERYLKLKREEIDRFAAIEEKKLEDPYSINKCITVLESLNDLQMGDILLASDIFQNKNNREVFLSFQGDAIRLAWVKREIGRLQAEKN >PAN51337 pep chromosome:PHallii_v3.1:9:70212934:70213314:1 gene:PAHAL_9G586600 transcript:PAN51337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPLALPLGIALLLLRAAATLPAPSRAEWAPVPDVNGLLIKQVGQFAVLVYDMAHRKDLSFVGVMRGHTQDAVDGGTNYRLVVAAARPGGAGTTTTVEYDSLVWGVPGSRADTWKLHRFRKIDS >PVH31573 pep chromosome:PHallii_v3.1:9:11430444:11433837:1 gene:PAHAL_9G180800 transcript:PVH31573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVVCCLSSYGSMASFLNFYPLDIRMDEVTQAVENLKKEWSQAVSQLEESIAAIKSCGKSGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGQATLESWDEQYKKLRASLRNANLQAKENIRKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQMMVQEVERSASTLATFDESTSVLRKAEGEYQGHRSLRMRTRGLLSTMQRQDVLDRVILTVGFTIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDIVAKAQPGPAAANVPAPAPPIYDEL >PAN47211 pep chromosome:PHallii_v3.1:9:16374797:16377492:1 gene:PAHAL_9G242500 transcript:PAN47211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLGGSSYVNKVSSTAKPESPPKIQSPSERDRSEERKLPSNPGEVEALRRGASSAARNPLVAFSFAELRRVANDFRKDAQIGGGGFGRVYKGSFSPPGDAALLPVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLVGYCCEGDHRVLVYEYLPLGSVESHLFSRTSPPLPWAARMRIALGAARGLAFLHGAQPAPVIYRDFKTSNILLGAGFHAKLSDFGLAKDGPVGEASHVSTRVMGTYGYAAPEYMMTGHLTASSDVYSYGVVLLELLTGRRSLDRSRPPREQALTDWALPALPHKKRVMGIVDPRLLQGGDGDEGAPPARAVQKAAMLAYHCLNRNPKARPLMRDVVASLEPLQQPPEEPGAAA >PAN51454 pep chromosome:PHallii_v3.1:9:70697618:70698620:-1 gene:PAHAL_9G595700 transcript:PAN51454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTAAAKSTDKDKDKKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >PAN46035 pep chromosome:PHallii_v3.1:9:9877006:9878605:1 gene:PAHAL_9G159200 transcript:PAN46035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSGPTTGKRALRHLLLLCLLLPCLSQPLPAPSPSPAAAPTFPLSPFNDRLDAAYVALQAWKHAIIEDPKNLTGNWCGPYVCNYTGVFCTAAPDDPHILTVAGVDLNHGRIAGYLPDHIGLLADVALLHLNSNRFHGTLPGSMQHMRLLFELDVSNNLLSGAFPSFLTSLPSLKYLDLRFNNFDGQLPDDVFGRQLSLDALFANNNRFNVTLSSRTLTNSTASVIVLANTELKGCLPPSIGDMADTLVELVLLNTSISSCIPPEIGKLKKLKVLDLSHNELAGELPESIGDMESLEVLNVGYNQLSGVVPESICLLPKLRNLTVTGNYFCGEPVSCLHVPLRDDRMNCIPEWPHQRTHEECIAFEHRPPVHCGADGCILHHPL >PAN50914 pep chromosome:PHallii_v3.1:9:68352209:68352574:-1 gene:PAHAL_9G556400 transcript:PAN50914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDDGVKPLPRGAAGRAATRTIGGRGRGEHPRSAERDGGGLAVVAVGGQRGTLYDSFELNAMVARLNRLLNANGDGAGGSGSGSGGAGRPRKAAGSWLAAPKVLFRRIKGAFLGGRRGDG >PAN44080 pep chromosome:PHallii_v3.1:9:890877:894305:-1 gene:PAHAL_9G015200 transcript:PAN44080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAAYSASATVVAMAKGNGRGKGVRGGASAGTNKVIRPPRITSNVKQSLRILKFWKEYERKQTSGPQPATRYRKKKVIKEVLPDDADIYEDPSSTLQLTNEGLEIASPVILVDGYNVCGYWGKLKKDFMSGRQEIARQMLIDELVSFSAVREVKVVVVFDAASSGLSTHKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDLELKETRSTSLQGKLLQHKLNPKVVHALKGLRNKLEEQERQKK >PAN44081 pep chromosome:PHallii_v3.1:9:890380:894451:-1 gene:PAHAL_9G015200 transcript:PAN44081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAAYSASATVVAMAKGNGRGKGVRGGASAGTNKEYERKQTSGPQPATRYRKKKVIKEVLPDDADIYEDPSSTLQLTNEGLEIASPVILVDGYNVCGYWGKLKKDFMSGRQEIARQMLIDELVSFSAVREVKVVVVFDAASSGLSTHKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDLELKETRSTSLQGKLLQHKLNPKVVHALKGLRNKLEEQERQKK >PVH30936 pep chromosome:PHallii_v3.1:9:964998:966924:-1 gene:PAHAL_9G016600 transcript:PVH30936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPLVQLSYHLPAANPSTFLSPFQSKGDSPSVLGRSYVDADGCCAESEAAADQPGSQQRLRTPIDRDERRQRPVEWAGACAACHFIAKHVCRILGKMVVSTGFLRPKQGANSSDNFQVEQLFKIFRGNSNGGLAEGRATAAAAGGTGELRGCSSSNWCSREQQRRTDLAWQPAAGSGAARAGVAWRPRSSWLLSPAAPWRFSFLVAGRSSCWIPKCDTHLISDFRIRYYLSRPNRIERNNHGRLAVSIHFSFWSSLKETKIQTFQSSLSVTECRTAAAELVQPRASSKGGRIRPLPLGKPLGWCWWTCNANEAAPFAMCLRSGSEFA >PVH31171 pep chromosome:PHallii_v3.1:9:4213542:4214009:1 gene:PAHAL_9G072900 transcript:PVH31171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCADPATSVLVLLGGLAWGGAAARAALRDPRLAPGRGGRTGGRRRHPARYPARDPSRRPDPRWPVRGTAALLLGQEDGGGAWSSRARRLPWPFDGPIQRALLFRATWHAVLPENGPGRDAVSCFRDCVPLLWHVKYCTRLELDLRLPQKHILPL >PAN51135 pep chromosome:PHallii_v3.1:9:69367366:69371565:1 gene:PAHAL_9G573000 transcript:PAN51135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLEMWLPPAAGEGAVAAGLFLDAGDAAAHGALLAAMPGCSVSFGTRSRRRRGAPPGFLSLTMSVKGGRGFVPGSVGLLAGAEEKGGTEEAEALVAGKKAVEEVAVAEGNALLLQEKDARAGAGALNMTKHLWAGAVAAMVSRTVVAPLERLKLEYIVRGEQRNLFELMHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNEETTNFERFIAGAFAGVTATLMCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLHSPEGKKRVSMMKQQKQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >PVH32764 pep chromosome:PHallii_v3.1:9:63229221:63230719:-1 gene:PAHAL_9G481400 transcript:PVH32764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMASTFRIHCRASDDLGLAIVGGEPVLTKANGRDDRQLWLKDLTYGAGVTDEAGSPAFALVNKATGEALKHSLGHGHPVRAVRLHLAGYVDESVLWAESDEDLGDGFRRVHMLNNIDFIFDAEASIPDLGGARDGTRLILFRWNGGLNQQWRIAPHRAPAAAVAELPEHARPVRILCQSGQGLSLTVRDRTAVLARADDEDECQCWIQSFRNTGHVTDGAGHRAFALVNRATGKALGHCRGDEQVYLAGHNPDSVDVALLWTQSNDLGQGFHNIRTVSDVDTVLDAANAVPEVGGAHDGTPVIVFPWNDGSNQKWKMLPFY >PVH31783 pep chromosome:PHallii_v3.1:9:15407387:15408432:1 gene:PAHAL_9G232700 transcript:PVH31783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKFVALSFIVLLSIGLSNAARASRYVSAGGGGGGGGGGGGSGDGSGSGSGYGSGSGYGQASGSSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGSNGGAYAQGGGQGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYNGGYAQGGGQGGGGGGGQNGGSGSGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGSGGGGGQYGGSGQGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGQSGPGGSGYGNGSGSGSGSARSGGGYP >PAN46413 pep chromosome:PHallii_v3.1:9:11633300:11635214:1 gene:PAHAL_9G184200 transcript:PAN46413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSAPAHRPANPMALVEATPPGASTSAAEPADPPFLVVLDGVETPIREGTLYGSGGGTVTVTAPGHLSAEGLRSVLVRSGGGDGAGGATTARFTLCGDAAAEGVGAVAFDRCGAARAEGAREVSATRCRAAEVERAGKVTLERCRDARLRGGGAVRAARCRRADVESFGGARLARCKDARLDWCGTVEVEMCRAVDVTRCGAVTGERCRVVNAAGCGSVAVAHAEVHMLEEERQLLQ >PAN50323 pep chromosome:PHallii_v3.1:9:65379315:65383898:-1 gene:PAHAL_9G512500 transcript:PAN50323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKETNGSNGEHTTSRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCFVADMVDGLIKLMNGNNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKVVLRDGLVLMEDDFRERLAVPKKAKA >PAN51940 pep chromosome:PHallii_v3.1:9:73058459:73062674:1 gene:PAHAL_9G631600 transcript:PAN51940 gene_biotype:protein_coding transcript_biotype:protein_coding description:SK31 [Source:Projected from Arabidopsis thaliana (AT3G61140) UniProtKB/TrEMBL;Acc:A0A178VFN7] MDVEGEVPAAAAAAIANGLGGGEEASPAPFSAEQLDVEAYAAQYSGRTRLARLVFVADRCGVEAMQLEALRMAYDEIKRGEDVQLHRDVALKINGRLGPRYGLDQAWVDTVSRRAEQRKEKLENELNGYRTNLIKESIRMGYNDIGDFFYAHGQLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFAHVSNYVSKAEQTPDSLDPIIVAKLRAAAGLANLETKKYKLAARKFVETGIELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNINFRNFLELVPEVRELVNDFYASRYGSCLGHLEKLKPNLLLDIHLHEHVETLYTDIRHKAIIQYTLPFISVDLNTMATAFKTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNTTFQRVLQTGNEFERDVKSMLLRANLLKHEYSQRTSGSRKM >PAN49883 pep chromosome:PHallii_v3.1:9:63262526:63266435:1 gene:PAHAL_9G481800 transcript:PAN49883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVECVAAGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLRKAMDLTGLLCAVMLDTKGPEIRTGFLKDGKPVKLTQGKEITITTDYSIKGDENMISMSYQRIAVDLKPGSTILCADGTITLTVLSCDPAQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLQLVRSVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICLQAESHTDYGAIFKLISNAAPIPMSPLESLASSAVRTANITKASLILVLTRGGTTARLVAKYRPAMPVISAVVPEMKTDDNFNWTCSDERPARHSMIVRGLIPMLSAATSKASDTEATEEAINFAIDHAKKLKICKSGDSVVALHRIGASSVIKILTVN >PVH32768 pep chromosome:PHallii_v3.1:9:63263942:63266438:1 gene:PAHAL_9G481800 transcript:PVH32768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVADLCKNSCIYLTDYMNILECNSKVEECVYCFVCVLNLMIFLFVQGPEIRTGFLKDGKPVKLTQGKEITITTDYSIKGDENMISMSYQRIAVDLKPGSTILCADGTITLTVLSCDPAQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLQLVRSVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICLQAESHTDYGAIFKLISNAAPIPMSPLESLASSAVRTANITKASLILVLTRGGTTARLVAKYRPAMPVISAVVPEMKTDDNFNWTCSDERPARHSMIVRGLIPMLSAATSKASDTEATEEAINFAIDHAKKLKICKSGDSVVALHRIGASSVIKILTVN >PAN52035 pep chromosome:PHallii_v3.1:9:71858135:71858608:-1 gene:PAHAL_9G614300 transcript:PAN52035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGHFGQAGSASIYTTTTRSDGGRSRRRREMHGRPARTDLAGWPLPTARQTKIPSFTSPTPAQAQPSPMLRCASPSAAFLPPLTVTSPPRLLLLASSSRPGRQGKGLPSGTHADSMPGQRQAPLRHMDGALLLASLVRPGLVYSPHLAVT >PVH32888 pep chromosome:PHallii_v3.1:9:65674919:65679940:-1 gene:PAHAL_9G516500 transcript:PVH32888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSQGISLRSPPAGPRGQGGRRSSSSVPAAAAAATRGWGQPHAGQALSISSARYESAARPWRGGASSSAIRAAASTGAQPGGDPVPAEPRIELPAVFTLFSEAAKTGAAFFIASSGAAFLLGSFGGFGGGGGGLFGGGGWGAGGGGAGGGGGGGFWSQLFSAGAANADDKSSGDWDPHGLPVNMTVPLTKLSGLKRYKLSELKFFDRAASGGGSYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEVKPKPDNTIGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPETVRGEVLGMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTNEVVCEVVEGDITKVEYQFQDKLGNIVEGNTQLPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWIDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGIDRMAFLQANITRDNTEFVNGAIIGDRYIFQLDQGLGIGSKNPFFNRHQLTLTKFINLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFALGGPYSVRGFSNGELGASRNILELATELRIPVRNTHVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGLVRGEYIVDHNAGTGTIFFRFGERF >PVH32206 pep chromosome:PHallii_v3.1:9:48568399:48569102:1 gene:PAHAL_9G346200 transcript:PVH32206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAHRFREAQFHGQDGAALRGRSAGLHGQDRTALGVGFAGLHGPARATLGKCRVVPWPSLVGLHG >PAN49582 pep chromosome:PHallii_v3.1:9:61608076:61610445:-1 gene:PAHAL_9G457700 transcript:PAN49582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPPPGASSLAAVLATALLLVTVLRRRRSAATRKYNLPPGPRPWPVIGNLHLIGSLPHRSLHELSARHGPLMSLRFGSVPVVVASSVDAARFVLKTHDAAFIDRPRMASGRYTAYDFSDVVWSPYGAYWRQARKLWQTKLLSARQLRSQEHVRVEELRALLRSLSSPSFAGRAVALKEHLLMLSLNVISRMALGRKYVGDGEDAAGSPVSPGEFRWMVDELFVLNGVLSVGDFIPWLSWLDPQGYVGRMKRLGKMFDRFLEHVLDEHNERRRRVGDGFVATDMVDLLLELADDPGLEVPIERDGVKGFTLDLIAGGTDTSAVAVEWAMSELLRNPDVLAKATGELDSVVGHDRLVTEQDIPKLPYLEAIVKETFRLHPISPLLAPRLSREDASTGSYDVPAGTLVFVNAWAIGRDPAVWGRTAEEFRPERFVGSGVDVKGQDLELLPFGSGRRMCPGYALGLKMVQVTLANLLHAFACRLPDGVAAEELSMEEKFGLSMPRKVPLEVVAEPKLPAHLYAIGP >PAN50716 pep chromosome:PHallii_v3.1:9:67268174:67271613:-1 gene:PAHAL_9G540600 transcript:PAN50716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GID8 homolog [Source:Projected from Arabidopsis thaliana (AT1G61150) UniProtKB/Swiss-Prot;Acc:Q84WK5] MFLSRIVLRDLDSIDSPASMASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDVADKFRIESGTQPEIDLATITDRMEVKKAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKISEALEFAQEELAPRGEENQTFLEEIEKTVALLVFEDIKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLLWTQNQLDEKAAYPRINDLTTAELQDPSI >PAN50637 pep chromosome:PHallii_v3.1:9:66857084:66859139:1 gene:PAHAL_9G534200 transcript:PAN50637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQHEFLYYRMWRKKALAVLVLLLVFWWRNQNDKRTRANRVKYAPLKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCAEALNARFGIGATRHQVYRHFRALKEKWNWIRQALAKSGNGFDAASRKFNLPYSEKSPSKLGLMEEPFGESDQANGFLAIDQYISDAEDDRSETETDDSFTVEHGENDSDTIARSNSPDLAFSSGLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVTSSAPAPADPYATLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASGQSFGTWVTDYLGAKYGASGGYACEYDSSE >PAN50636 pep chromosome:PHallii_v3.1:9:66857084:66859139:1 gene:PAHAL_9G534200 transcript:PAN50636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQHEFLYYRMWRKKALAVLVLLLVFWWRNQNDKRTRANRVKYAPLKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCAEALNARFGIGATRHQVYRHFRALKEKWNWIRQALAKSGNGFDAASRKFNLPYSEKSPSKLGLMEEPFGESDQANGFLAIDQYISDAEDDRSETETDDSFTVEHGENDSDTIARSNSPDLAFSSGLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVTSSAPAPADPYATLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASGQSFGTWVTDYLGAKYGASGGYACEYDSSE >PAN50639 pep chromosome:PHallii_v3.1:9:66856794:66859139:1 gene:PAHAL_9G534200 transcript:PAN50639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQHEFLYYRMWRKKALAVLVLLLVFWWRNQNDKRTRANRVKYAPLKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCAEALNARFGIGATRHQVYRHFRALKEKWNWIRQALAKSGNGFDAASRKFNLPYSEKSPSKLGTLKYNYLTRPIKFFQLMEEPFGESDQANGFLAIDQYISDAEDDRSETETDDSFTVEHGENDSDTIARSNSPDLAFSSGLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVTSSAPAPADPYATLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASGQSFGTWVTDYLGAKYGASGGYACEYDSSE >PAN50634 pep chromosome:PHallii_v3.1:9:66857084:66859139:1 gene:PAHAL_9G534200 transcript:PAN50634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQHEFLYYRMWRKKALAVLVLLLVFWWRNQNDKRTRANRVKYAPLKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCAEALNARFGIGATRHQVYRHFRALKEKWNWIRQALAKSGNGFDAASRKFNLPYSEKSPSKLGTLKYNYLTRPIKFFQLMEEPFGESDQANGFLAIDQYISDAEDDRSETETDDSFTVEHGENDSDTIARSNSPDLAFSSGLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVTSSAPAPADPYATLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASGQSFGTWVTDYLGAKYGASGGYACEYDSSE >PAN50635 pep chromosome:PHallii_v3.1:9:66857084:66859139:1 gene:PAHAL_9G534200 transcript:PAN50635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQHEFLYYRMWRKKALAVLVLLLVFWWRNQNDKRTRANRVKYAPLKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCAEALNARFGIGATRHQVYRHFRALKEKWNWIRQALAKSGNGFDAASRKFNLPYSEKSPSKLGTLKYNYLTRPIKFFQLMEEPFGESDQANGFLAIDQYISDAEDDRSETETDDSFTVEHGENDSDTIARSNSPDLAFSSGLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVTSSAPAPADPYATLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASGQSFGTWVTDYLGAKYGASGGYACEYDSSE >PAN50638 pep chromosome:PHallii_v3.1:9:66856792:66859192:1 gene:PAHAL_9G534200 transcript:PAN50638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQHEFLYYRMWRKKALAVLVLLLVFWWRNQNDKRTRANRVKYAPLKKNKVGSADVVYREKYKTWTDDSTEFMLQWYVDYQKDKPATFRWKQHHHHLCAEALNARFGIGATRHQVYRHFRALKEKWNWIRQALAKSGNGFDAASRKFNLPYSEKSPSKLGTLKYNYLTRPIKFFQLMEEPFGESDQANGFLAIDQYISDAEDDRSETETDDSFTVEHGENDSDTIARSNSPDLAFSSGLKHKNMKSPMKKLRKHKEKRANALENDKIASSIVMLANSVTSSAPAPADPYATLWKRIEDIPFPPRDKVDIATFLSKPEQMYLRNYLNAASGQSFGTWVTDYLGAKYGASGGYACEYDSSE >PVH31228 pep chromosome:PHallii_v3.1:9:5134205:5135742:-1 gene:PAHAL_9G089700 transcript:PVH31228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRHAASNLEPHAGPPHNTDPKFPTHQAHQPTDDEPMDAERRETDPPPPVAAAAAPRRAAAGGTPTASRGSSTASSSSSNSHPSAPASTGTPPSAVVPWAARAGDSCYYPGCRKDANCACEMCLASIDATRDLVRAPEAASARRFFAGAAAASRGRRPALFCRDRGAGAGSERTEPPSTPPMRSTAKSRLPPGQTAAAGRGARAAGSPHDWALYAATVLGFLLLLWVDTGLVPEAAARGFGPKLSPGAVARVGADARLAPGGLEHKLRVLEQRVGQLVGGERAANCSSQDSVWRLLQNDQQVFRWRCTVYKSVAEEVSVWGSPLRTSGLLPAALSARHLTLLSGEITEVAELSGVSHSLAVVRREGVADGAGEQRQFLGLPEEERGGGAAGARDVGAGVPEERAVRGHAADTGRCGAARVQVLDDGAAKAAVKEAAFRWRTGEPNLTWPGRGSICCLGCL >PVH31229 pep chromosome:PHallii_v3.1:9:5133933:5135807:-1 gene:PAHAL_9G089700 transcript:PVH31229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRHAASNLEPHAGPPHNTDPKFPTHQAHQPTDDEPMDAERRETDPPPPVAAAAAPRRAAAGGTPTASRGSSTASSSSSNSHPSAPASTGTPPSAVVPWAARAGDSCYYPGCRKDANCACEMCLASIDATRDLVRAPEAASARRFFAGAAAASRGRRPALFCRDRGAGAGSERTEPPSTPPMRSTAKSRLPPGQTAAAGRGARAAGSPHDWALYAATVLGFLLLLWVDTGLVPEAAARGFGPKLSPGAVARVGADARLAPGGLEHKLRVLEQRVGQLVGGERAANCSSQDSVWRLLQNDQQVFRWRCTVYKSVAEEVSVWGSPLRTSGLLPAALSARHLTLLSGEITEWSDGRVWPTVRASNGSSWAYRRKSAAAVRLEPETWVLEYQRSALFEGTRLIPAAAELLASRCSTMARRRLQSKRRLFGGAQASPT >PAN44146 pep chromosome:PHallii_v3.1:9:1165918:1170010:-1 gene:PAHAL_9G020700 transcript:PAN44146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSGGAASSSRTVPENRFYNPPHVRRQQQQQQQQQRLQGQRSASPSLSPSPSPRSARQKPPPPPPGAIAVAVSASVDVDSRVDSDDSSSTTSSKPSVASTAATTTTAVEVNVTTAGATAAEEAGNLERFLTSTTPSVPAQYLPKTRLRLRRSGDAMDSRPYFCLGDLWESFREWSAYGAGVPLVLNGRDSVIQYYVPYLSAIQLYADPSRPASRNRRLGDESDGESMDTSSESSIENDVDRLRVSAVEATHRLENGGLQSDDGEPAASSSFPMFEYLEKDPPYGREPLTDKVSTLADRFPALKTFKSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTFHCLATPCKDCDPSMPACPGFGGINRCANATGKLSLPIFGLAPYKFRASIWASDGTQERERVTLLMQEADSWLRRIRVDHPDFRFFASHFNTTWR >PAN48028 pep chromosome:PHallii_v3.1:9:32296969:32302832:1 gene:PAHAL_9G299100 transcript:PAN48028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNQMQGGLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIAPSIPSGPPNSDPVNEGPVLSVRYSLDHKVIGIQRSRHEIEFRNRETGVTCSKKCRADSETILGFFWTDCPTCDVILVKTSGLDLLAYEPQSHAFRLVESKKFNVSWYLYTHESRLILLASGMQCTMFTGYQFSAGGIVKIPKFEMMMSKTEANNKPVLAADDVHIVTVYGRIYCLQLDRVSTSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDAKVIILYDVALDSYAPVSAPLPLLVRGLPSNNRQASQTADSQSSAYGGTIYGDGWNFLIPDLICDAENGLLWRLHLDLEAIAASSSDAPSILEFLQRRKSDPSMVKMICLAIVRMIILERRPVTTVAKAMDVVLDSYSRLMKMGGGLPGVRQIHEQSQRLGGQPAEGSHVISQGTSPGPTVSPSVNPDQAGGVANRSTEANSGVDHGIDRATLNTSSDSDEITNVSGVTSQGTSGYQTSDAINKRQQVVGEDSRPLSSGTSMQQGQHAGSVAISPIEMFQSVFALVEDEMMGDPAYLTAVIMEFLRSTSKAGLKAPPNLYVMMATLLARSNRYAEIALFVSNKILEPSKELAMQLMELGQQHPPTRKLGLDMLRERSLHHDYVAALLQDGYYLEALRYARKYKVITVQPALFLEKAVASNSAQNLATVLSFFCEFTPSFKTTSDFGRYRHILSEMV >PVH32036 pep chromosome:PHallii_v3.1:9:32296969:32302806:1 gene:PAHAL_9G299100 transcript:PVH32036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSNILHCDVIYQTSGILYWKIAPSIPSGPPNSDPVNEGPVLSVRYSLDHKVIGIQRSRHEIEFRNRETGVTCSKKCRADSETILGFFWTDCPTCDVILVKTSGLDLLAYEPQSHAFRLVESKKFNVSWYLYTHESRLILLASGMQCTMFTGYQFSAGGIVKIPKFEMMMSKTEANNKPVLAADDVHIVTVYGRIYCLQLDRVSTSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDAKVIILYDVALDSYAPVSAPLPLLVRGLPSNNRQASQTADSQSSAYGGTIYGDGWNFLIPDLICDAENGLLWRLHLDLEAIAASSSDAPSILEFLQRRKSDPSMVKMICLAIVRMIILERRPVTTVAKAMDVVLDSYSRLMKMGGGLPGVRQIHEQSQRLGGQPAEGSHVISQGTSPGPTVSPSVNPDQAGGVANRSTEANSGVDHGIDRATLNTSSDSDEITNVSGVTSQGTSGYQTSDAINKRQQVVGEDSRPLSSGTSMQQGQHAGSVAISPIEMFQSVFALVEDEMMGDPAYLTAVIMEFLRSTSKAGLKAPPNLYVMMATLLARSNRYAEIALFVSNKILEPSKELAMQLMELGQQHPPTRKLGLDMLRERSLHHDYVAALLQDGYYLEALRYARKYKVITVQPALFLEKAVASNSAQNLATVLSFFCEFTPSFKTTSDFGRYRHILSEMV >PAN44884 pep chromosome:PHallii_v3.1:9:4477528:4479101:-1 gene:PAHAL_9G077300 transcript:PAN44884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMDRAAVPVKRVWLGLVARLGLRRKTGLRKLRKEVRTCEYRDVHVMWEMLRTTDGPVPLAEKEAAAAAAVAAATSARKKKNAWRRFIYYCCAF >PAN47698 pep chromosome:PHallii_v3.1:9:43430416:43431389:-1 gene:PAHAL_9G331800 transcript:PAN47698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLHQVCIFFYPLFSSSKDSVIFFGALFLLFSYSLPWSVLPQDQEARGAWSPRCPGVTQVIFPLPPVEHAWHVPCTVCGGASVASSTRNTSRQSPEAVPPYAVAEHYMGKAICFI >PAN49576 pep chromosome:PHallii_v3.1:9:61585467:61586655:1 gene:PAHAL_9G457300 transcript:PAN49576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAAKPVLRVAAICGSIRKASWHRGLIRAAAEVCEESIPGLRVDDVDVADLPMLNTDLETDGGRGFPPAVEAFRAKVRDADCFLFASPEYNYSITSPLKNALDWASRGVNCWADKPAAIVCAGGNFGGGRSSYHLRQVGVFLDIHFLNKPELFVFAFDDPDRFFDADGNLIHAETRERLGKVLLSLQAFTLRLQNKD >PAN51068 pep chromosome:PHallii_v3.1:9:69025039:69028203:1 gene:PAHAL_9G567600 transcript:PAN51068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MSQAAQCPYCRASGPARCATTQPPLSRAVSECSACARIVLERHLHTHPFFPLLPTLHPLPLVTPDLATAVEPAPAPSPGGEDDEDPFLPAGFVSAFSAFSLERHPVLARSASAFSGLLAELERALTVDSAAASSNPDPAGPMVSVDSLRAYLQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQQPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPDYTPATPPEKAFPMTTIYSVRSSSGKDLYQDKIFDNIKQKGPEPAEPDHMVIVKEEEEKKISALGRPPAKLEPHELSKAFWPSNAQFSTSPKSDRDKTETSVRGFNLNEVSCAMDSDRADSTVKPNFGDRSLNESNMLPSPNRQPLPWQLKQGAPAAGPSYSRLREQHISLDLVAALKGIGKRSAGDGGDGRDKEGK >PAN49125 pep chromosome:PHallii_v3.1:9:56156778:56159389:-1 gene:PAHAL_9G390000 transcript:PAN49125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTVAVVGAGAAGLVVARELLRAGLDVVVFEKSARAGGTWAYDPRADADPLGRDPAAPGAVHGSLYASLRTNLPRELMGFSDFPLAGRVFAGDARAFPGHREVLAFLDAFAEESGVAARVRLRAEVLRVRPLGQGQRERWVVAWRGEDGEVAEEVFDAVVVCNGHWTVPQVAEIGGIDNWRGKQMHSHNYRVPEPFRDQNVVVVGMGASGTDIALEVSHVAKEVHIASRYSEDRLEKVGLCKNVWIHTEVDCIQDDGKIRFTDGSAVAADSILYCTGYHYHFPFLDLDGLAVDDNRVGPLYNHVFPPKYAPNLSFIGLPLKTIIFQSVEMESKWVAAVLSGRATLPGEEDMMAAVREHYRQMEEAGRPKRHTHVIMPNWEEHLNWLADQVGEPRLEAARLEMLEKAVRCAWSMDEGYRDRWEQEDDSGTSSL >PAN44227 pep chromosome:PHallii_v3.1:9:1494279:1497555:1 gene:PAHAL_9G026500 transcript:PAN44227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPAASRRQSTTSSRRSGELPGGAGAHGHRPSYDRGRAPFSPDVYGRQLSSYSARSSQVSRSGSFKAAAQRVAGAFTSCFVPRVQVKTEEEEVKSRGTAECHVSIDSAGSGQEGRALTISDLSKATSNFSEKNIIKQGGSSTMYRGKLKDGSQIAAKCVRKLNGQYLTAELWRELETLQNIEHQNLARFLGFFERNNDSLIVLEYVSNGSLREHLDESCGNGLELVQRLNIAIDVAHAITYLHEYKEHPIIHGGIRSSVVLLTDALAAKVTGFGLLGMAASASGSGTGSGSDATPAKGAAGYVDPEYLTTYQLTDKSDVYSFGVLVVELVTGRLPVERSRGGEARLTTKWALQKCRGGEAVVAMDPRMRRSPASVAAVERMLTLAAQCVAASRKDRPSMRRCTEVLWAIRREYHRREEPRCAAVADERSDEWVLR >PAN45660 pep chromosome:PHallii_v3.1:9:8044372:8050024:-1 gene:PAHAL_9G133500 transcript:PAN45660 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-11 [Source:Projected from Arabidopsis thaliana (AT5G22830) UniProtKB/TrEMBL;Acc:A0A178ULQ2] MASVPLSASSQAGLLLLPLPLLQPPGASAGACLRYRLPRLPPALSSVRKGGLLPLPILAAEGKDGQAVTKEEVEEDDEEEVEVRKEGEEDGGSGDGGVAREDASRGSGRFAADYISLGIKEPVYEVIEVRSTGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILINLGSLRAIAMYERVLIFNYNSQGGKAFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSRILRLEQRLMKIEPSVAALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLEDPHEIRRICIMGRNCTLDRLDDHMECSVPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLETNAWAFWATTGGIVVGALAGFFLMYSYLKARKIL >PVH33021 pep chromosome:PHallii_v3.1:9:67847419:67848509:1 gene:PAHAL_9G549100 transcript:PVH33021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPTDDEVEEVAIVGGPSNGGRKRGGSNTKLANFAVEEDVNIVRSWLEISCDPIVNTGQKRDNFWDRVMKQYNGRRGSFPKRTLRSVQSRWDKIKQEATKFAGYMAKAIRDDASGTSDADKTTLAASDFAAIEGYNFQFMHCWDLMKDEPKWQDVKQRSSKTVGSNTIDLDGDEASPAGTGKRPIGRDAAKACKKKCPSGSTSSSEYASNLQALSLQKMTMWQEENSKKVNRFDHLASIEEKRFDEMREHNKSILQLEEEKIKIMRDKLNMQMQEKERERLEREKQEDERILKVDLDSCTPELRMYYEALREEILHKVSARRQRSRQV >PVH31572 pep chromosome:PHallii_v3.1:9:11424364:11427255:-1 gene:PAHAL_9G180700 transcript:PVH31572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSSLPPPTIPGSTKPAAAPKPHKLWPAVLSASAPAAATAATPAGADGGGGRLSALIRSLCAAGRTAEAARELFAAGAGAGIVAYNAMVAGYCRAGQLSAARRLAEAVPVPPNAYTYFPVVRALCARGRIADALAVLDGMPRRGCAPIPPMYHVILEAACRGGGFRSAVRVLGALHDRGCALDAGNCNLVLNAICDQGSVDEAVRLLRDLPSFGCQPDVVSYNAVLKGLCMAKRWGDVEDLMEEMVRVDCPPNIVTFNTLIGYLCRNGLFERVHEVLAQMAEHGCTPDIRMYATIIDGVCKEGHLEVAHEILSRMPSYGLKPNVVCYNTVLKGLCSAEQWEEAEELLAEMFDEDCLLDDVTFNILVDFFCQNGLVDRVIELLEQMLEHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMAACGCKPNTISYTIVLKGLCSAERWVDAEELMSQMIRQGCAPNPVTFNTLINFLCKKGMVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTDEALELLNVMVKKGMSPNTIIYSSIACALSREGRINKVIQMLDSIQDATIRSDAVLYNAVISSLCKRGETDRAIEFLAYMVSSGCMPNESTYTILIRGLASEGFVKEAQEMMSELCSKGALRKHLMQHFGIV >PAN49907 pep chromosome:PHallii_v3.1:9:63363302:63366693:-1 gene:PAHAL_9G483600 transcript:PAN49907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLPGLRCCHLPARGTLPPLGPGLPRRSLPRASALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKISDMVWRRTGANVDAVEATAVQGSAADVAQPEDVQEDVAGEGVLRLAATRDWVYGDSSPPLSKRRSAKDRQNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLETAVSYAFGVGFSCLYLQLLCRHTDNLSKEDIPEVFLKKKVKKIGITSEDLKNTIEKTLGGAGVALSSPRLVIPAVIFGMSALSDHFQNSFFSFEVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEEDADSNSA >PVH32774 pep chromosome:PHallii_v3.1:9:63362470:63366693:-1 gene:PAHAL_9G483600 transcript:PVH32774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLPGLRCCHLPARGTLPPLGPGLPRRSLPRASALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKISDMVWRRTGANVDAVEATAVQGSAADVAQPEDVQEDVAGEGVLRLAATRDWVYGDSSPPLSKRRSAKNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLETAVSYAFGVGFSCLYLQLLCRHTDNLSKEDIPEVFLKKKVKKIGITSEDLKNTIEKTLGGAGVALSSPRLVIPAVIFGMSALSDHFQNSFFSFEVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEEDADSNSA >PVH32775 pep chromosome:PHallii_v3.1:9:63364223:63366588:-1 gene:PAHAL_9G483600 transcript:PVH32775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLPGLRCCHLPARGTLPPLGPGLPRRSLPRASALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKISDMVWRRTGANVDAVEATAVQGSAADVAQPEDVQEDVAGEGVLRLAATRDWVYGDSSPPLSKRRSAKDRQNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLETAVSYAFGVGFSCLYLQLLCRHTDNLSKEDIPEVFLKKKVKKIGITSEDLKNTIEKTLGGAGVALSSPRLVIPAVIFGMSALSDHFQNSFFSFEVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEEDADSNSA >PVH33136 pep chromosome:PHallii_v3.1:9:69557333:69560178:1 gene:PAHAL_9G576300 transcript:PVH33136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDEDQPPPKRPSASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATREAVAVRNLLAASAARTASRFPNARTLLLKGRPRFADFNLLPQGWDASAFRPWAAAIAAGAFPALTSLYLKRIPVTDADLDLLSRSLPASFRDLSLHLCDGFSSRGLASIASHCRGLRVLDVVECDMAEEQEVVDWVAAFPPEPTNLESLSFECYEPPVAFAALEALVARSPRLNRLGVNQHISLGQLRRLMAHAPRLSHLGTGSFRPADGGEEGLGFGEVLAAFSSAGRARTLVSLSGFRELAQEYLPTIAVVCSNLKTLDLSYTPVTPNQILMFIGQCYNLETLWVLDSVLDEGLENVAISCKKLQCLRVLPLDAHEDADVLVSEVGLTAISRGCPKLRSILYFCQRMTNTAVIAMSQNCPELKVFRLCIMGRHQPDHATGEPMDEGFGAIVQNCSKLTRLSTSGHLTDRAFEYIGRYGKSLRTLSVAFAGNSDMALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARGLPRMVVELINGQPENERAEAVDILYMYRSLDGPREDVPPFVKIL >PVH33134 pep chromosome:PHallii_v3.1:9:69557333:69560178:1 gene:PAHAL_9G576300 transcript:PVH33134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDEDQPPPKRPSASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATREAVAVRNLLAASAARTASRFPNARTLLLKGRPRFADFNLLPQGWDASAFRPWAAAIAAGAFPALTSLYLKRIPVTDADLDLLSRSLPASFRDLSLHLCDGFSSRGLASIASHCRGLRVLDVVECDMAEEQEVVDWVAAFPPEPTNLESLSFECYEPPVAFAALEALVARSPRLNRLGVNQHISLGQLRRLMAHAPRLSHLGTGSFRPADGGEEGLGFGEVLAAFSSAGRARTLVSLSGFRELAQEYLPTIAVVCSNLKTLDLSYTPVTPNQILMFIGQCYNLETLWVLDSVLDEGLENVAISCKKLQCLRVLPLDAHEDADVLVSEVGLTAISRGCPKLRSILYFCQRMTNTAVIAMSQNCPELKVFRLCIMGRHQPDHATGEPMDEGFGAIVQNCSKLTRLSTSGHLTDRAFEYIGRYGKSLRTLSVAFAGNSDMALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARGLPRMVVELINGQPENERAEAVDILYMYRSLDGPREDVPPFVKIL >PVH33135 pep chromosome:PHallii_v3.1:9:69557313:69560247:1 gene:PAHAL_9G576300 transcript:PVH33135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDEDQPPPKRPSASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATREAVAVRNLLAASAARTASRFPNARTLLLKGRPRFADFNLLPQGWDASAFRPWAAAIAAGAFPALTSLYLKRIPVTDADLDLLSRSLPASFRDLSLHLCDGFSSRGLASIASHCRGLRVLDVVECDMAEEQEVVDWVAAFPPEPTNLESLSFECYEPPVAFAALEALVARSPRLNRLGVNQHISLGQLRRLMAHAPRLSHLGTGSFRPADGGEEGLGFGEVLAAFSSAGRARTLVSLSGFRELAQEYLPTIAVVCSNLKTLDLSYTPVTPNQILMFIGQCYNLETLWVLDSVLDEGLENVAISCKKLQCLRVLPLDAHEDADVLVSEVGLTAISRGCPKLRSILYFCQRMTNTAVIAMSQNCPELKVFRLCIMGRHQPDHATGEPMDEGFGAIVQNCSKLTRLSTSGHLTDRAFEYIGRYGKSLRTLSVAFAGNSDMALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARGLPRMVVELINGQPENERAEAVDILYMYRSLDGPREDVPPFVKIL >PAN51176 pep chromosome:PHallii_v3.1:9:69557333:69560178:1 gene:PAHAL_9G576300 transcript:PAN51176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDEDQPPPKRPSASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATREAVAVRNLLAASAARTASRFPNARTLLLKGRPRFADFNLLPQGWDASAFRPWAAAIAAGAFPALTSLYLKRIPVTDADLDLLSRSLPASFRDLSLHLCDGFSSRGLASIASHCRGLRVLDVVECDMAEEQEVVDWVAAFPPEPTNLESLSFECYEPPVAFAALEALVARSPRLNRLGVNQHISLGQLRRLMAHAPRLSHLGTGSFRPADGGEEGLGFGEVLAAFSSAGRARTLVSLSGFRELAQEYLPTIAVVCSNLKTLDLSYTPVTPNQILMFIGQCYNLETLWVLDSVLDEGLENVAISCKKLQCLRVLPLDAHEDADVLVSEVGLTAISRGCPKLRSILYFCQRMTNTAVIAMSQNCPELKVFRLCIMGRHQPDHATGEPMDEGFGAIVQNCSKLTRLSTSGHLTDRAFEYIGRYGKSLRTLSVAFAGNSDMALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARGLPRMVVELINGQPENERAEAVDILYMYRSLDGPREDVPPFVKIL >PAN51646 pep chromosome:PHallii_v3.1:9:69746645:69748548:1 gene:PAHAL_9G578700 transcript:PAN51646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAKQGMSAYEAARERTVLENKRKMEALNLHHLSAAVKEAPKTPSPMKQKRRRIIEDAVVVPSPPRRSRRLANLPEVKYAEIAPHSADRMTRSPRRPTDLIHLASRGSISMKARMEAARKAEELESQLDPEFPSFVKAMLHSHVVRGFWLGLPSHFCDTYMPKQDSIVTLVDEKDEEFDTNYLAYKKGLSGGWAGFAICHGMQDGDAAVFQLIKPTTFKVHIIRAASDDHSEEDE >PAN50686 pep chromosome:PHallii_v3.1:9:67105673:67109724:1 gene:PAHAL_9G538400 transcript:PAN50686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) UniProtKB/Swiss-Prot;Acc:Q9C5X2] MEVEDDDAVAAAASAWPGSTRRFHLLQFLLHASKRLDLQPIVKYSALAFFAGRFLPALSRKMGFCGARSGRAVRSWLLEPLRDSNLELFALVAVWIASKIHDLRPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEHNIGSTRIAFIYLEELLIHFREISKLGDLLDLDVCMEILDVLYENEDTSLLFNSPCSLAASTLVAAYAISVPKQTWEFPILPWVRFATSYDEEEIMKIVLTILLHVLKPDGIREKYEGDFDVRCLL >PAN50685 pep chromosome:PHallii_v3.1:9:67105821:67107963:1 gene:PAHAL_9G538400 transcript:PAN50685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) UniProtKB/Swiss-Prot;Acc:Q9C5X2] MEVEDDDAVAAAASAWPGSTRRFHLLQFLLHASKRLDLQPIVKYSALAFFAGRFLPALSRKMGFCGARSGRAVRSWLLEPLRDSNLELFALVAVWIASKIHDLRPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEHNIGSTRIAFIYLEELLIHFREISKLGDLLDLDVCMEILDVLYENEDTSLLFNSPCSLAASTLVAAYAISVPKQTWEFPILPWGV >PAN47170 pep chromosome:PHallii_v3.1:9:16114971:16116178:-1 gene:PAHAL_9G239000 transcript:PAN47170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKSLVLLCTVLAACLALAAAGWSPGTATFYGGADGSGTMGGACGYDNLYNAGYGVNNAALSTTLFNDGASCGQCYKITCDRSRPGGQYCKPGNSITVTATNLCPPNYALPNGGWCGPGRPHFDMAQPAWEHIGVYQAGVVPVFYQQVKCSRSGGVRFSIAGSNYFLLVNIQNLAGSGSVTAAWVKGDKTGWIQMSRNWGANWQALSGLVGQSLSFAVTSTGGQYIQFLNVVPSWWQFGMAFTTNKNFVR >PAN50988 pep chromosome:PHallii_v3.1:9:68690805:68697643:1 gene:PAHAL_9G562500 transcript:PAN50988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPPSHQSKPSQHRRQHHNPGPRQPPPQQRYVHKSASPAAPKPSPPSQPSLTTALRSSTASSSASGSGRGTSGRVGGPAADRFVAYLPHDEAVAAGLGGLDAHESQTVVDLLNDALAALLRAKPRELWRQVAQNTSLHDFLDSYLQFRHRWYDLPHRSPKRAVAGLVVGELELCRRVFMVLYRISSNKDPGAGRGESLSMKEHTALLLEKKLLDLPKLLDVCAIYEHDNNKLTSSLVTNAINVQPNVLDGINIVIPQFLGIFHTMHDRCMTSLQVLTSTGSIDNGYIQLHKDFLEVLDFINDAIVTLDSFVGAYQPAALLFCTNFEMSYGVEELLNTLSRLYDSLLPSLLQGFKVISKSQSNGLASPDSMLSDTALGIRMLSKRTVRFGWRLLHYCYLNDQLKEHDAQSSTKMFPANVEDPIIRGDILVQTLKDINREATNSSQLNHGNTFLQSLESEFQLMSQVDNIRNKGWMYMDDEQFQFISRLCGSTHSWNSIPDLPVSSHGGELQQRDEEMAMVESKISQIRDLFPDYGKGFLAACLEAYNLNPEEVIQRILEGTLHQDLLALDTSLEEMPQKKAPTAVKDKGKGILVETAPQITAKPHKVAQARYIVQDGPSSAASSAPQGSSSAISSAPQGPSSAVSSEFQGSSSAISSVSQGPSSAVSSEFQGSSAMSSVSSVPQGRFTRKANDDLPDTAILDSKNAKDAVRSVILDSQYEYEDEYDDSFDDLGFSVVESSFEETDGANDTDTLSHGPRWSSQKKPQFYVKDGKNYSYKVAGSVAVSSAREAAVLRQTQKDTIYGLGRGGNVPFGVPSRQHIDVEEAEVHVANNYGRGDSNPRGRGRRGGRGQGNPLEENENPSGQGYGRAGRRGGWNQGSMAEENGNPNGQQGFGRGGRRGGSNQRSLAEEDDANSLGRQQGFGRGARRGRNHDRPAEDSEDPDPAEGFARGGPAPRGGDPGRGGGRNHHRRDRAMKKHMQGLTGL >PAN50620 pep chromosome:PHallii_v3.1:9:66773066:66780599:-1 gene:PAHAL_9G533300 transcript:PAN50620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWPWKKKSSDKSSNGDALQNSNQEQEDKAPKFVQISPQTYANLTESEEKVKVLEENVKVLNEQLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLSKLAAEEKAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLVEFEQELIRAGAENDALTRSLQERAELLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYELHVVSKELEIRNEEKNMSVRSADVATKQHQEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGREYGDHRVRRSPAKNSSFHRPMSPMSPVPDYAIENLQHMQRDNEFLTARLLTMEEETKMLKEALTKRNGELQSSRSMYAKTAGKLRSLEVQMLTGNQHKSPTPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWTNALVSELSHFKKEKAAKSSATEDSNRLELMDDFLEMERLACLSSEANGNGSTIDKMKIDEVGATLSGITEGDGVKDLQSASPVSETTSSKQQLSEKSSLLKLQSRISSLLDSESPENNAGKMIDSIRNILKDIEDEADSINANGTHHLDGTLSSGSKCAMDQELKSAIFRIQDFVKLLDQELSKFQGQSSDYDGLFEKTQHFSALIDKVLSNDNGLNDFVMALSVILSETSQIKFTMSRDNSNEAESNNLDCVDKVTLLENKVQPEPVKDSVSDLCPLLPRSSSDPEFEGPADAGFDAKTALKICSPEEYEQLISEKTNLEVELAKRNDIIEETKVRLGDMEKNLEDFTAKLADSEKSNSLSETQLKCMAESYKSLESRKVELENEIEVLRSKIDTLTAELTDERQSHQEDLAKYKDLEEKMERYELERSSMFVDEDPDTNSKQEKEIAAAAEKLAECQETILILGRQLQAMRPSADSLGSSPNRQRMEDFLQDSVGTTAGEFSQKPAGQPDTDQEILGAGNESPVNGFKTHMTPSDADGSPFPTPNNSKRPKHRSRSSSSSSFANHQLPEKQSRGFSRFFTKGKE >PAN46085 pep chromosome:PHallii_v3.1:9:10073393:10076981:-1 gene:PAHAL_9G162000 transcript:PAN46085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type IV inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) UniProtKB/Swiss-Prot;Acc:Q5EAF2] MGNCSSLTLPTWRSQLQSNSLVSIDEGGTHDGIKTIPIQKACEFTTNSVLCVCIITWNMNGKMSVEDITKLGRSNRKFDLLVVGLQEAPKCDVSQVLQETMADTHILLGQKSMQSLQMLIFGSKSSENHIREMKVDKQAVGGFGGMIGRKKGAVAMYINFSGIRMVFVSCHLAAHEHKVEKRNSEFQRISQSLFSKYGIPYAQSADITVWLGDLNYRLEGISSIPARKMIEENRQSKLRGKDQLLQEAEKGEVFNGYYEGTLTFKPTYKYDVGSSIYDTSHKIRVPSWTDRILFKVDHSSGLDAVLSSYESLDCVRSSDHKPVKAHLCLKVRSGDD >PAN51948 pep chromosome:PHallii_v3.1:9:71239363:71239980:1 gene:PAHAL_9G604300 transcript:PAN51948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRFHRLISPPAGRQGEHGRPVPEPRRRRPSPRRQPPPPPQLTPRRESCDPHLTGARPTRRALDHPPRSPRRTQQQAITCAAPAALLSSPPPGRRAGRSERLQAGVASRRVLPLLGTNGNPSPPSRPSSAGRGPAPPPSRFLVSIPSAARPAPEPTHLSSRFPTRAARSAPAALCPRTQKSLFGRLARVFFCVFRAMSYPLVPA >PVH30940 pep chromosome:PHallii_v3.1:9:1063477:1064286:-1 gene:PAHAL_9G018400 transcript:PVH30940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYEYEREQAEKACRYAEELFLAGNIPGAHRHASRAKRLCPSLPGVANALAVYEAHAAAGGSWRAVLGIQTGAAATQDAIKKQYRRLSLLVHPDKARCAAAEGAFKLVRRACEEALSSAASSRDTSPPQVPRAAAQPNVRRAAAPPPPMMQPMYYAPRVLMRVYCSSCKNEYAAKIGRLEQQGGMKCARCPEWLSPPCQKKPPASKEPTAVPGRQVFQCPAKCPECGAAYTSKVCVGRWCLRCKACTKSSMVDVQGPEQATAKIRKRA >PAN47036 pep chromosome:PHallii_v3.1:9:15084167:15087851:-1 gene:PAHAL_9G229700 transcript:PAN47036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDETALLVGGGTGRVARSRRRPRTRLLVGSGGPGRGCSRTGRHRTRLAAVGDTGPGPQCFESERYFSLSSLLTLRATNFIFYTFSFLS >PAN47840 pep chromosome:PHallii_v3.1:9:42768175:42771158:-1 gene:PAHAL_9G329000 transcript:PAN47840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQFRRKRDGVVQVLDGSEIRALVENRDAFARFVDDRFRKLDGDGDGRLSVKELQPAVADIGAAIGLPARGSSRQADHIYAEVLNEFTHGKQDSVSKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVDSSRYEPEAAAIFSQVNSGGNVSLRQCLLAALLKLTVDHGMPPASDSWVVENIVEPAMQQLGTDQLDQPVSQEAFFHDFKKLLSIITRRLQQHPVIVAHTEKTFEGSGIKRLLSNKFEFDKLLDSVCRGVPKEHKDKISKGYLRVALDRIADSASLPPYGAVDQVDAVVNEAFKMAKADDGKLVDEAEFKKLLSEILGAIMLQLDGNPIAVSTNTVVHEPMSAPSSLLSPTPLSPVVSSPSE >PVH32146 pep chromosome:PHallii_v3.1:9:42767890:42771533:-1 gene:PAHAL_9G329000 transcript:PVH32146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQFRRKRDGVVQVLDGSEIRALVENRDAFARFVDDRFRKLDGDGDGRLSVKELQPAVADIGAAIGLPARGSSRQADHIYAEVLNEFTHGKQDSVSKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVDSSRYEPEAAAIFSQVNSGGNVSLRQCLLAALLKLTVDHGMPPASDSWVVENIVEPAMQQLGTDQLDQPVSQEAFFHDFKKLLSIITRRLQQHPVIVAHTEKTFEGSGIKRLLSNKFEFDKRCAERTQR >PVH33267 pep chromosome:PHallii_v3.1:9:71686554:71689224:-1 gene:PAHAL_9G611400 transcript:PVH33267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELLKHGAKPTDDMIHQSSVVRMCALGLVNLKGCQSVAAAAMMGMAKEAKMMCDWMKRENKLLTFNMSEPPELEVARFIRDRTLDVMISILQESSFPFSKDQKSIYCPFT >PVH31558 pep chromosome:PHallii_v3.1:9:11225865:11226300:-1 gene:PAHAL_9G178400 transcript:PVH31558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVVFGRLPLPGECRPRLRDAGATRSYLNMSTNATAASSNSLDESKLTLQFCTQRQLHCVPAEGELCYCCENRLPSQPRCFLRPEECKANCPYCTPKCPSPPPETSMDGSTSIRREMIE >PAN47500 pep chromosome:PHallii_v3.1:9:31758210:31759881:-1 gene:PAHAL_9G296700 transcript:PAN47500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAVRAAGHGGKVEEARAMPAEVSWEMLDKSRFFVLGAALFSGVSAALYPAVVLKTHLQVAPPPQAAASTAAAVILRRDGPRGFYRGFGASLVGTVPARALYMAALEATKSAVGSAALRLGVAEPAANAAASAAGGVSAAVAAQVVWTPVDVVSQRLMVQTAPAAAAAAHYRGGADAFRKILLADGVRGLYRGFGVSVFTYAPSSAAWWASYTTAQRLLWRAVGPAHHDSRGAAMAVQGASAAAAGGAAALVTMPLDTVKTRLQVMDAGARAPTLAAAARDLVAEGGWAACYRGLGPRWASMSLSAATMVTAYEFLKRLSAKEGSL >PAN48575 pep chromosome:PHallii_v3.1:9:56291178:56292029:1 gene:PAHAL_9G391400 transcript:PAN48575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAALLLAVSLVLAAVASATYCPPPPAPKPPTPTPSGGGHHCPRDALKLGVCANVLGLVKGKIGSPPYQPCCSLLDGLVDLEAAVCLCTAIKANILGINLNLPIDLSLILNNCGKSCPNDFHCA >PAN50844 pep chromosome:PHallii_v3.1:9:65680089:65683028:-1 gene:PAHAL_9G516600 transcript:PAN50844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLAPPRFKCPPSTRAAVFREPGGGAGSRPGRVNCSVTSTAVVDEELLECLSVGPPPSPYPTILGGFGEALLNKEAMVAAAAAEAVALARAAAEVAGEVARMAQRDHRTDSPQRDDSEGSFLAREVRRTEAGWESRRAGLELLEGEEFSSIFSDESEDEGECTEGVVAVKSARRFERRARRVRAAMKAAKSFSKGRPVGAASSSKKRLKGCRNPLGCFYKMTGPRLLTAKQEVEFSEGIQDLLKLEAIQKELAHYNGGEPTFSQWAAAAGTDENTLRKRLNYGVYCKNRMVKSNVRLVISIAREHEGPGTEFSDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPSHMADASSRVKECRRRLHRQLKRLPSNEEIALDTGMPIRRVEAAMSLPRYTVSFTSKVGCTDVTYQEIMPDASVETAEEVLHRWLMKEEVDRALGSLSPREKQVIRYRFGIEGGRPRTLHDIGQLMGVSRERIRQIELGAFRKLRGKEKVQSLQHYLQPAESW >PAN50659 pep chromosome:PHallii_v3.1:9:66964224:66967637:1 gene:PAHAL_9G535700 transcript:PAN50659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASSNAKQSLYPVVDQSHPDLNTPFYSAPTTSTAGSGTGGSLYPTVDPNELAENLFPETAEEDAAPPPPTTEETVVAVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHSVAVLARLTPEKPHQRRGLFRLFSSGRSGGDGAEQEPVQWPLTRDVAAVKLDPAHYFFSLHVPHTDHPDDKDDAEEGADEAEPALSYGLTVAGKGQEKVLEQLDRVLEEYTTFSVKQVEAAAKEKSEVMDSRAVAEITPEEAVGDKKEVVEEKAAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRHGEAVTKKSVGPSTKPTQVKPSTLRRMKRARRVTKMSNRVANSILSGVLKVTGFVTSTVMNSKPAQKFFKLMPGEVILASLDGFGKIWDAVEVSGKNVMQTSSVVTTSVVTHRYGEQAGEATQNYLHATGNALGAAWAVFKIRKALDPKGNMKKSSIVSQAAHAVAKESITRQKKK >PVH31559 pep chromosome:PHallii_v3.1:9:11233542:11233942:1 gene:PAHAL_9G178500 transcript:PVH31559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGTPLLRASAFAAAIDDAITIVAADDPVEELARRRVRVAASRGRDGGLERGLALRRRGGPAASCSGCRGGMIRATGEERGCRSEEAATPVALGIGAEAAAVAKAPMTFDPMAFFCVAVL >PAN50612 pep chromosome:PHallii_v3.1:9:66726637:66728932:-1 gene:PAHAL_9G532700 transcript:PAN50612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTTSSPSPTISAMNPLLPSSTFPKSPHPPDPNPSDPNPSPCSYLLHADADDEALIQIPGQNPSLVGASAPFALLPAIDPAPHISSQFYTFSAASYGLMLRCILAGRPASSDEIRSATSLSVLASWRAVWKDRNEDTAYLTAWKRIQDKLATSADGRHLHFKTNAAQRVSHVGMWRDIVSEAHADPDLLRHLAFKDTVDRIKHSWTVGAKFYGIPESFIRVCVAACPVCKAAPAGQPDSAISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSSAGTAASSSASNSEGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKTFVYLEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVNEEGDPTFSAKGDEDVDIDDSHQAVLQQVRDLRSEVLLLEGKVAKMHPELLGPLSTELSEVLHRIRKFNLESNVYQPEETMMVGNEEVGGWGVGDVSRHLDQHDAAFCKDDEMLDDDDTDFGSSLGPIVSWDRMAAECEDRKMLMGDSPKCDKWMLKDDVGDFDAKSILNCGDDDGVEDSKIIKPLMHDDTMVTDPSLVGIHVEGFYTGTKWYDSPVCLDSSVDAGDSSFRHGEIV >PAN48259 pep chromosome:PHallii_v3.1:9:54122123:54128038:1 gene:PAHAL_9G371800 transcript:PAN48259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDCQVLSSMAAMAGASSSADALFIPNPGALAGFMSSSAAAMPFHHFTTTTASLIPKEEGGIMGALQAAKDEDMELEMDMELSGGSGSGHLDGLLSFADVDDDRPEQKPQHSGLELQTVDAAGNQQLATNNGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVLLRAENESLKSENYRLQAAIRNVVCPNCGHAAVLGEMSYEEQQLRIENARLKDELDRLACMATRYGGGRQPSMSSALGCLSAPPPLLMPPLDLDMSVYSRHFTDQSSVMGCGDLIQSVLAPPQQIAGGAEHHAASSYMGSMAPVPEQDRQLVLDLAATAADTLAKMCRAGEPLWVRCRGASSEVMVADEHAQMFSWPVDGGKQGGGSAAAARTEGSRDSAVVIMNSITLVDAFLDANKWMELFPSIVSKARTIQVINHGAASGHLGSGSLVLMQAEVQFPSPLVPAREVVFFRHCVHNAEEGTWSVVDFPAEGFQLEALQTSSVVKCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLHQVFKDYVAKGTAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGSQSWTSLSESTEDTIRVTTRKNTDPGQPSGVILTAVSTSWLPFSHQRVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPNGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATNPLPAATSASSGNGESSPGKPDEPASGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITTALKGAGASRAEPAAAGGSD >PAN48260 pep chromosome:PHallii_v3.1:9:54121777:54128038:1 gene:PAHAL_9G371800 transcript:PAN48260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDCQVLSSMAAMAGASSSADALFIPNPGALAGFMSSSAAAMPFHHFTTTTASLIPKEEGGIMGALQAAKDEDMELEMDMELSGGSGSGHLDGLLSFADVDDDRPEQKPQHSGLELQTVDAAGNQQLATNNGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVLLRAENESLKSENYRLQAAIRNVVCPNCGHAAVLGEMSYEEQQLRIENARLKDELDRLACMATRYGGGRQPSMSSALGCLSAPPPLLMPPLDLDMSVYSRHFTDQSSVMGCGDLIQSVLAPPQQIAGGAEHHAASSYMGSMAPVPEQDRQLVLDLAATAADTLAKMCRAGEPLWVRCRGASSEVMVADEHAQMFSWPVDGGKQGGGSAAAARTEGSRDSAVVIMNSITLVDAFLDANKWMELFPSIVSKARTIQVINHGAASGHLGSGSLVLMQAEVQFPSPLVPAREVVFFRHCVHNAEEGTWSVVDFPAEGFQLEALQTSSVVKCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLHQVFKDYVAKGTAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGSQSWTSLSESTEDTIRVTTRKNTDPGQPSGVILTAVSTSWLPFSHQRVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPNGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATNPLPAATSASSGNGESSPGKPDEPASGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITTALKGAGASRAEPAAAGGSD >PAN48258 pep chromosome:PHallii_v3.1:9:54121774:54128038:1 gene:PAHAL_9G371800 transcript:PAN48258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDCQVLSSMAAMAGASSSADALFIPNPGALAGFMSSSAAAMPFHHFTTTTASLIPKEEGGIMGALQAAKDEDMELEMDMELSGGSGSGHLDGLLSFADVDDDRPEQKPQHSGLELQTVDAAGNQQLATNNGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVLLRAENESLKSENYRLQAAIRNVVCPNCGHAAVLGEMSYEEQQLRIENARLKDELDRLACMATRYGGGRQPSMSSALGCLSAPPPLLMPPLDLDMSVYSRHFTDQSSVMGCGDLIQSVLAPPQQIAGGAEHHAASSYMGSMAPVPEQDRQLVLDLAATAADTLAKMCRAGEPLWVRCRGASSEVMVADEHAQMFSWPVDGGKQGGGSAAAARTEGSRDSAVVIMNSITLVDAFLDANKWMELFPSIVSKARTIQVINHGAASGHLGSGSLVLMQAEVQFPSPLVPAREVVFFRHCVHNAEEGTWSVVDFPAEGFQLEALQTSSVVKCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLHQVFKDYVAKGTAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGSQSWTSLSESTEDTIRVTTRKNTDPGQPSGVILTAVSTSWLPFSHQRVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPNGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATNPLPAATSASSGNGESSPGKPDEPASGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITTALKGAGASRAEPAAAGGSD >PAN51615 pep chromosome:PHallii_v3.1:9:71454718:71460405:1 gene:PAHAL_9G607700 transcript:PAN51615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGGLQEGRSGELESLLEAIKSSEVLENRIALINQLESSFQYSPDDLSLILDSLTMSWDDSECSGVSHCMLHKSILQVALKCSCIDMTDCLRQFLALGAKASSWCRKHILWSVESIEESEEVQEEEHSRILPEIISMTLNISIKLLPSAAKCITVVMVHTIGDFISELLSLMESSIVDNKKIHGAATDIARAAPIFLDETAKLCRVYSEAAKADDCKMSIPDEDTTVKHSERGLASEVTRIASSTIQTLCKLGTYAASSGGSQVALLNVSWKGTVSLLQSGKGMIEEKVNVREIILTLLSLSIESLRVAAETWCTPLLETLGTSEARRAFLPIKFFLINAVRICSAYPSEAMAIYKNIIRCALVITSASIFFSKKPQLKAANEALVELLEPTLFVLLDTLMKSSEVTPESKCQLARYFFENEEANDSDHMGQANQGEINLASLDCIFSMDSDVDHRNRALLPAELIVFLHFLNASPWLTEEVVIQLSKKLQSLLNILTSEDIYSYVLGFEIPSLYGADHSPAVVWQPVYTSLIQAMKTFMLSAVALSAAWNELEAFLLGNLFHPHFLCLEIVTELWCFFMRYAETETSINIVNQLFLLLKIVASPEEVLVPLSALRKVAHSVCIILSYASSATVDQVYTCMLNDENPSKSSILHLALVMEGFPFDSLSGGIKELAVKKMFTSFAGYLESYSKNHRAINVPTSSLGVIGFPVHALASALQRCEIKDDSIIDEKSITAMFKFTISLINMYGTAPDSGKDHLAKHISSMLGIISNTRHLCAFSEMERLTLQLHTLFLSTSGNSNAILSQCKPSMASFMAILGHLNVTEDDANELSSAMWDLYHLLLKERHWALIHLVMGSFGYFAARTAFTQLWKFVPGDAALSYNASTGTSIDENGFMLELRAYLQKEAALHTDRWSEEQFQLLVSEGRALKKLVEAYSEIPVVPEPEKVAITKDASTKKRKVPDGICEGMVLLQNGLKAMRGAFDEADFAELKDRFAAHMSRLEDAVSQIATLSDEI >PAN51628 pep chromosome:PHallii_v3.1:9:71516196:71521543:1 gene:PAHAL_9G608600 transcript:PAN51628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCATASLASKARRAGCSARQVGSRLAWSRNYAAKDIKFGVQARALMLRGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFSDRVKNVGASLVKQVANATNDTAGDGTTCATILTKAIFTEGCKSVAAGMNAMDLRRGIVMAVDAVVTNLKGMARMISTSEEIAQVATISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVLEGMKLDRGYISPYFITNQKNQKCELNEPLILIHNKKISNVQAMVKVMELALQKQKPLLIVAGDLQSEALGTLILNKLRAGVKVCAVKAPGFGDTKKANLQDLAILTGAEVITEELGMNLENFEPQMFGTCKKVTISKDDTIILDGAGDKKAIEERAEQLRSAIEQCTSDFDKEKLQERLAKLSGGVAVLKIGGASETEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVKIIQNALKAPVQTIASNAGVEGAVIAGKLLEQENTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALMDAASVSSLMTTTESIIVETPKEESNAPPMEY >PVH33257 pep chromosome:PHallii_v3.1:9:71516196:71521543:1 gene:PAHAL_9G608600 transcript:PVH33257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFSDRVKNVGASLVKQVANATNDTAGDGTTCATILTKAIFTEGCKSVAAGMNAMDLRRGIVMAVDAVVTNLKGMARMISTSEEIAQVATISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVLEGMKLDRGYISPYFITNQKNQKCELNEPLILIHNKKISNVQAMVKVMELALQKQKPLLIVAGDLQSEALGTLILNKLRAGVKVCAVKAPGFGDTKKANLQDLAILTGAEVITEELGMNLENFEPQMFGTCKKVTISKDDTIILDGAGDKKAIEERAEQLRSAIEQCTSDFDKEKLQERLAKLSGGVAVLKIGGASETEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVKIIQNALKAPVQTIASNAGVEGAVIAGKLLEQENTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALMDAASVSSLMTTTESIIVETPKEESNAPPMEY >PAN51627 pep chromosome:PHallii_v3.1:9:71516196:71521543:1 gene:PAHAL_9G608600 transcript:PAN51627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFSDRVKNVGASLVKQVANATNDTAGDGTTCATILTKAIFTEGCKSVAAGMNAMDLRRGIVMAVDAVVTNLKGMARMISTSEEIAQVATISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVLEGMKLDRGYISPYFITNQKNQKCELNEPLILIHNKKISNVQAMVKVMELALQKQKPLLIVAGDLQSEALGTLILNKLRAGVKVCAVKAPGFGDTKKANLQDLAILTGAEVITEELGMNLENFEPQMFGTCKKVTISKDDTIILDGAGDKKAIEERAEQLRSAIEQCTSDFDKEKLQERLAKLSGGVAVLKIGGASETEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVKIIQNALKAPVQTIASNAGVEGAVIAGKLLEQENTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALMDAASVSSLMTTTESIIVETPKEESNAPPMEY >PAN46635 pep chromosome:PHallii_v3.1:9:12769954:12771156:1 gene:PAHAL_9G200600 transcript:PAN46635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAILDLDPTHERAGRVLEDIVRLEQMIFPEHASPARSLRDELKRRNFGLVYSTPGAGGEEVAGYAVYTCNTSLCATIIELAVRESCRRQGHGEALLRAAVERCRGRRVQRVILHVDPARTAAVALYRKAGFQVDATVEGYYAPQRDAYRMFMDLQ >PVH32549 pep chromosome:PHallii_v3.1:9:59196981:59197601:-1 gene:PAHAL_9G425800 transcript:PVH32549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAGRGRGSSATPTLKDLSPSRWSPRERSSSRGRSTSCSPAEMQRCRLTGDEVAALVVTVSSALVAAAAAAARLSSPCHGRRHRPCPPRHPRRPGCWPARRGAGRRPAGARAPTAAGDSAMT >PAN49835 pep chromosome:PHallii_v3.1:9:62951032:62956803:1 gene:PAHAL_9G478300 transcript:PAN49835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Cytochrome P450 CYP714B3 [Source: Projected from Oryza sativa (Os03g0332100)] MEQVAMAVKVLLSLCCVGACGLVVYLYSILWLEPQRVLAEFRMQGIGGPPPSFPYGNIADMREAVAAAKAARASARRAAAGGGGVGIVHDYRPAVLPYYEKWRKEHGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWLHQRKIIAPEFFLDKVKGMVDLMADSAQPLLKLWEERVDRNGGITDIKIDDDIRAYSADVISRTCFGSSYIKGKEIFMKIRELQQAVSKPNVLAEMTGLRFFPSTRNKQAWELHKEVRKLILEIVKESGEDRNLLSAILHSASCSRVGIAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVRAEVHEVCRGRPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQDLRLGGVHIPKGVNIYIPVSTMHLDPKLWGADVKEFNPERFSDVKPQLHSYLPFGAGARTCLGQGFAMAELKILISLIISKFVLKLSPHYEHSPILKLTMEPELGVDLTLTKVQCLC >PVH31429 pep chromosome:PHallii_v3.1:9:8901811:8902599:-1 gene:PAHAL_9G144700 transcript:PVH31429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSSSSLCWRTGGGALVSSLAAPSFLHMAGRRRDRQPGRSRAASWRGAAAPWRGAAPGWPRGVRSGGAGTATGPAGRGQEGAAPGWPRGGSGHGRGAAAAWPRASKKPRRRAERGRRAARERSSGAWLATVGERRLPPGRTRARSGHGGGERHRRGDTRPGGGLAGIRGRPRADS >PAN43914 pep chromosome:PHallii_v3.1:9:271270:275325:1 gene:PAHAL_9G002600 transcript:PAN43914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPTTDSALLLGSSALHRAARTRRASAARLPGANRRRPQALVRASAKEIAFDQGSRASLQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGASLIREVASKTNDSAGDGTTTASVLAREIIKLGMLSVTSGANPVSIKKGIDKTVQKLVEELEKKSRPVKGGGDIKAVAAISAGNDEFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMELDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQSKDLGLLVEKTTVEQLGIARKVTISSSSTTIIADAASKDDIQARIAQLKRELSQTDSTYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFIPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVDKIKESEWEYGYNAMADKHENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKAPAAAGAPEGSFAM >PAN45748 pep chromosome:PHallii_v3.1:9:8545095:8549795:-1 gene:PAHAL_9G139400 transcript:PAN45748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMSLFIKCATNTLQHEQPGLAAAGLDFSEATFPSLQALREASHAAEMVQELINGVHAPNSWSSAGGDGAASLNFAQPGSNPLRGLPDLGHGPPPTRRTGVGSMSASYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVKELQEKLKDVEAGGSSGRSIETRLVRAKRPCLHAAAATEDDGSQRSASPGTPTARKELPEIEVRFSEKNVMVRVHCEKSKGVVVKVLTEIEELQLTVIHANVMLFSACTLIIAITAKVEEGFSATKEEIADRLDSALLNQHSSCNSTEETGTY >PVH31409 pep chromosome:PHallii_v3.1:9:8545681:8549564:-1 gene:PAHAL_9G139400 transcript:PVH31409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMSLFIKCATNTLQHEQPGLAAAGLDFSEATFPSLQALREASHAAEMVQELINGVHAPNSWSSAGGDGAASLNFAQPGSNPLRGLPDLGHGPPPTRRTGVGSMSASYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVKELQEKLKDVEAGGSSGRSIETRLVRAKRPCLHAAAATEDDGSQRSASPGTPTARKELPEIEVRFSEKNVMVRVHCEKSKGVVVKVLTEIEELQLTVIHANVMLFSACTLIIAITAKVEEGFSATKEEIADRLDSALLNQHSSCNSTEETGTY >PVH31410 pep chromosome:PHallii_v3.1:9:8547904:8549564:-1 gene:PAHAL_9G139400 transcript:PVH31410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMSLFIKCATNTLQHEQPGLAAAGLDFSEATFPSLQALREASHAAEMVQELINGVHAPNSWSSAGGDGAASLNFAQPGSNPLRGLPDLGHGPPPTRRTGVGSMSASYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVKELQEKLKDVEAGGSSGRSIETRLVRAKRPCLHAAAATEDDGSQRSASPGTPTARKELPEIEVRFSEKNVMVRVHCEKSKGVVVKVLTEIEELQLTVIHANVMLFSACTLIIAITAKVCYNQIKHNYIL >PVH31411 pep chromosome:PHallii_v3.1:9:8547429:8549795:-1 gene:PAHAL_9G139400 transcript:PVH31411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMSLFIKCATNTLQHEQPGLAAAGLDFSEATFPSLQALREASHAAEMVQELINGVHAPNSWSSAGGDGAASLNFAQPGSNPLRGLPDLGHGPPPTRRTGVGSMSASYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVKELQEKLKDVEAGGSSGRSIETRLVRAKRPCLHAAAATEDDGSQRSASPGTPTARKELPEIEVRFSEKNVMVRVHCEKSKGVVVKVLTEIEELQLTVIHANVMLFSACTLIIAITAKVCYNQIKHNYIL >PAN44079 pep chromosome:PHallii_v3.1:9:886399:889119:1 gene:PAHAL_9G015100 transcript:PAN44079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSENPTVTERGSKDRRDDKHEDGDKKEGGGGFIEKVKDFIHDIGEKIEEAVGFGKPSADVSGIHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGIIPDAGTIHAHGEETVKIPVSLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPLQKSGEIPVPYKPDVDVEKIKFHHFSFEETTATLHIKLENKNDFDLGLNMLEYEMWLGDDSIASAELTQTAKIEKQGITRMQIPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDEDDDDDDDN >PAN44078 pep chromosome:PHallii_v3.1:9:886399:889119:1 gene:PAHAL_9G015100 transcript:PAN44078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSENPTVTERGSKDRRDDKHEDGDKKEGGGGFIEKVKDFIHDIGEKIEEAVGFGKPSADVSGIHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGIIPDAGTIHAHGEETVKIPVSLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPLQKSGEIPVPYKPDVDVEKIKFHHFSFEETTATLHIKLENKNDFDLGLNMLEYEMWLGDDSIASAELTQTAKIEKQGITRMQIPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDEDDDDDDDN >PAN45438 pep chromosome:PHallii_v3.1:9:7033905:7035107:1 gene:PAHAL_9G119100 transcript:PAN45438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIELTREYGYVVLVLVAYSFLNLWMGLQVGKARRKYKVSYPTMYAVESENKDAKLFNCVQRGHQNSLEVMPLFFAMLLLGGLRHPAAAAGLGALYTVARLFYFTGYATGVPRNRMRIGVRLSVVAGVGLIGCTASFGISLIVRETP >PVH31810 pep chromosome:PHallii_v3.1:9:16017996:16018523:-1 gene:PAHAL_9G237900 transcript:PVH31810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPAPHGREPRRADHRPFHHAIFPVKPRAPFLSSLPPPPHPHPPPPSDFRSIPSHREPNPPRHKAQGFARSRRQCCTCTRPDSGSSVRSCPPDPRRSRRPDQAAADPGGAFAPPPPSFLPDFSGGRASGGSNLMLPARSRRTAA >PVH32567 pep chromosome:PHallii_v3.1:9:59626543:59628711:-1 gene:PAHAL_9G431400 transcript:PVH32567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDALCTAAAALADPLNWGKAAEELAGSHLEEVQRMVAEYRQPVVRIEGASLRIAQVAAVAAGGCARVELDDSARGRVKASSDWVMASMANGTDSYGVTTGFGATSHRRTKQGGALQQELIRFLNAGAFGTGADGHVLPAQATRAAMLVRTNTLLQGYSGIRFEILEAIVRLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRANAVAVAPDGSKVNAAEAFRIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVESAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAVDLRHIEENVKSAVKSCVTAVARRTLSTNSANDLHAARFCEKDLLQEVEREAVFAYADDPCIANYPLMKKLRSVLVERALANGAAELDAETSVLAKVARFEEELRAALPGAVEAARAAVEGGAAAVPNRISECRSYPLYRFVREELGAAYLTGEKARSPGEELNKVLVAISQGKHIDPLLECLKEWNGEPLPICGTEPGRMSRRGDDVSEAD >PAN51729 pep chromosome:PHallii_v3.1:9:70093653:70095702:1 gene:PAHAL_9G584600 transcript:PAN51729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLVPTTSGGLHVCPSPPRPRRRRCCHVTAAALPPASDGVGRRAVSLAGVAAWLTTAVGREYYLHFLCSVWAATWFRLRVCARCVADFGLPELPIVSGADAASPLDKYVKRKKLEPLETYVPAVLLTIDQFVDLEKSLQFEKPRFDETRSLLRSGPASSLRINIRAVAQYADSNGQGKAASDAVDECLRALEDLDSLLLHASRNDPSASVETMRSKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPADEYVEENAAELDPKLKQLEDIL >PAN51307 pep chromosome:PHallii_v3.1:9:70093653:70095693:1 gene:PAHAL_9G584600 transcript:PAN51307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLVPTTSGGLHVCPSPPRPRRRRCCHVTAAALPPASDGVGRRAVSLAGVAAWLTTAVGRADAASPLDKYVKRKKLEPLETYVPAVLLTIDQFVDLEKSLQFEKPRFDETRSLLRSGPASSLRINIRAVAQYADSNGQGKAASDAVDECLRALEDLDSLLLHASRNDPSASVETMRSKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPADEYVEENAAELDPKLKQLEDIL >PVH33293 pep chromosome:PHallii_v3.1:9:72240790:72244185:1 gene:PAHAL_9G619600 transcript:PVH33293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVRTVVGRERSGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQGSTMQQVPRPDQEHLYGGSGMHMELCSQDTQQQQWDHECDGPCCCICRSPKPRKEPMLPLLLHPQLKSMRELTMRVQPNNRTETRREREEEEDYGGNASPKSLLRGPGPGICEGDGSSPPRLYCALAAAAGGYNYMRIMQAAMGGMAAAPGAPPPHAEPEVEPLQGAGIKRQRHEARPAAACGGHAAPAPPPNKLFTFLGFVVAPGPAACRRDHPFEIASRTRVPRTANRLPCSVDTPSNKAVVRRGANNPPPSVAWAHDDDHGCSLSLSLALDTGSGRCCTHGGEEGSLLSPTTSSAGSRISLDLSLSTSN >PAN50374 pep chromosome:PHallii_v3.1:9:65643102:65648352:-1 gene:PAHAL_9G515600 transcript:PAN50374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEDKLKDYEIIREGEAEILQLKKNAVFFNPVQALAASGLRSLRYACEVDGLGKVVALDIDKASIECCKRNIKFNGASATSKVEAHVADARVYMLTHPKEFDVVDIDPYGSPSVFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSYPTKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNTPLKLSYIYQCVGCDSFHLQCLGRTISKNSTVKHAPAIGPVVPQECSDCGKKFNVGGPIWSAPIHDQDWVVSTLAGVKSMKDRYPAYDKITSVLTTVSEELHDAPLFFSLHNIAGTVKCMSPSLVMFRSAVLNAGYRISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPHDSPGTAILSKSPTLEVDFSRAVAALSKAQMKKVKRFLPNPERHWGPKVRAGRKITSKHVSLLGPEAINSILNGAVSHEDGNGAALDKPAPEPEGIKDDEPSTKRQKTGDDEEANEP >PAN50375 pep chromosome:PHallii_v3.1:9:65643371:65648065:-1 gene:PAHAL_9G515600 transcript:PAN50375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEDKLKDYEIIREGEAEILQLKKNAVFFNPVQVHNRDMSIAVLRAFVGKRKEEHEALVNKRNKLHQENKKSETSVQNGEDASTSQLDEMDVVPEKDINQAQDEIEDLSTEATKTPSWKVTRELKPPVVLEALAASGLRSLRYACEVDGLGKVVALDIDKASIECCKRNIKFNGASATSKVEAHVADARVYMLTHPKEFDVVDIDPYGSPSVFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSYPTKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNTPLKLSYIYQCVGCDSFHLQCLGRTISKNSTVKHAPAIGPVVPQECSDCGKKFNVGGPIWSAPIHDQDWVVSTLAGVKSMKDRYPAYDKITSVLTTVSEELHDAPLFFSLHNIAGTVKCMSPSLVMFRSAVLNAGYRISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPHDSPGTAILSKSPTLEVDFSRAVAALSKAQMKKVKRFLPNPERHWGPKVRAGRKITSKHVSLLGPEAINSILNGAVSHEDGNGAALDKPAPEPEGIKDDEPSTKRQKTGDDEEANEP >PAN48840 pep chromosome:PHallii_v3.1:9:57722042:57723908:1 gene:PAHAL_9G409500 transcript:PAN48840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTSLHLPKHLLLPSPRRTRHRPSSSVSFVAAAAARANGVNGQAPEKPPPPPNGKARINGVNGKKGVNGHVNGVNGKKGVNGHVNGHADRIHLSVSTGGGGGAGGQDGSGLRVAFQGAPGAYSEFAAKTALPGCDTLPCRAFADALAAVERGAADRAILPVESTMEGTALRNYDLLLRHGLVVAQEINLFVHYCLLAMPGVRAAEVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNRMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSRPPSPVALPVDADAKTSMVVAHRGGSMMVLLKVLSAFSSRNINLTKLEVINNDGAGAGAGARPPVMILDTSARGAPTLRAFPHVLYVDCAGAAHDPRVREAIQEIEKFAVFVRVLGCYAADSTVYDLQ >PAN51898 pep chromosome:PHallii_v3.1:9:72784758:72788608:1 gene:PAHAL_9G628200 transcript:PAN51898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSAAAASLDAWWDDVNNSPLWQDRTFHALALLYGVVAVVALVQLIRIECRVPEFGWTTQKVFHFLNFIVNSVRSTVFVLRRNVHLVHPEIFQHVLIDLPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPAFYTINGVVYTIQIVLWLLTWWKPVQAVIILSKMFFAATSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTTICFGCFLIRCVMMCFSAFDKGADLDVLNHPILNFFYYLLVEIVPSSLVLFILRKLPPKRGIAQYHPIH >PAN43915 pep chromosome:PHallii_v3.1:9:275326:279262:1 gene:PAHAL_9G002700 transcript:PAN43915 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36990) UniProtKB/Swiss-Prot;Acc:Q9LD95] MNSGRSLLSSPLFASSSPNFRGNTSIPSSPSPSRTSVPMIHDNTGRASTACHYSPSLVAEEQLHGSKDTSTLRGEKALLELLLDMALDQHVNGKKLISQDTEDSDFESYLRDATSRVLYQPAFIEEGDSTSQSSSASTTEPVGSLDLGAPSMTPTEEVMSPEEESGTSPTQLDVPQLHRVDPGHSYEELLGNCQVFVRSKRLLERRSKKRKVPRASSNDALCSVVNSKKKEKPKKFGRVLDPDEPFKLFLRDRETTEFLTAKEEKQMFSQIQNLMKLEEAQRKLEVQCGREPTVAEWAEAVGMSCRELQSSIRIGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVFALLRKVGKARLECIMEGEQPTNENVARRAGITIEKLARLRAKTRKPRSMQDRVWSDDGVTYQEITEDPNVEPPELSVDRLMMRQQVQNFLGILNPREKEIIEHRFGIHDGEPKTLHVIGDMYGLSKERIRQVQNKALDKLKRSASAQGFDVYFDLLT >PVH31130 pep chromosome:PHallii_v3.1:9:3634036:3634642:1 gene:PAHAL_9G062900 transcript:PVH31130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRIWITDSRIRTGRGPLILEEDSARPAMPLVCRVADKELELADSNDAATARNFLVSFFHCISTLLIRRLLQSLLTNDDPFRNIQGLITSSHQPHSMFCLLYPSTKCNALKI >PAN50958 pep chromosome:PHallii_v3.1:9:68570096:68572340:1 gene:PAHAL_9G560500 transcript:PAN50958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGHHPNLKGIYKNHNERVVCVRNLPPEEILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTDLKM >PAN44258 pep chromosome:PHallii_v3.1:9:1634782:1636545:-1 gene:PAHAL_9G029200 transcript:PAN44258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQGAGPRPPLPLAASRCRLAPLAPPLVRLAVASSSSPPSLRLSCRGPAPRWRRASVRARAGAGGGRRRESPYEVLGVSPSAAPNEIKRAYRRLALKYHPDVNKEPNAQEKFLRIKHAYNTLMNSESRSKYASSSSDSSWSSSSSRESKSTAAEEPFYGFAEFLKDLQTEFQNWEAGLNSDQKPKSLWEELAAIGEEFVEFLENELKIDDSSPSGNTGNDPYTQFGGQAKNARDEKTSTNSFDDGVSEIEAALEKLKKELGLG >PAN49292 pep chromosome:PHallii_v3.1:9:60381613:60385844:1 gene:PAHAL_9G439000 transcript:PAN49292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSALPRGGHALIVTSGHLRHLDPHLQVPPLLLANSLIAAFSRAAAPRLAFPLLRRLLAGAYPLRPDGFTFPPLFRAAPGPASAAQLHACALRLGLLHPSVFASGSLVHAYLRFGRVAEACRVFDEMPERDVPAWNAMLSGLCRNARAADAVALFGRMVGEGLAGDAVTLSSVLPMCVLLGDRALALAMHVYAVKHGLDGELFVCNALIDVYGKLGMLEEARWVFDVMALRDLVTWNSIISANEQGGKVAAAVELFHGMRESGVSPDVLTLVSLASAVGQCGDERGAKSVHCYVMKMGWDAGDIIAGNAMVDMYAKLSKIEAAQRVFDNLPARDVVSWNTLITGYMQNGLANEAIRTYNNMQRHEGLKPVQGTFVSVLPAYSNLGALQQGLRMHALSIKTGLNLDLYVNTCLIDLYAKCGKLAEAMLLFEDMPRRSTAPWNAIIAGLGVHGHGAKALNLFSQMQQQGIKPDQVTFVSLLAACSHAGLVDQGRSFFDSMQNVYGVVPIEKHYACMVDMLGRAGQLDEAYEFIQGMPIKPDSAVWGALLGACRIHGNVEMGKVISQKLFELDPENVGYYVLMSNMYAKIGKWDGVDAVRSLVRRQNLQKTPGWSSMEVKGSVNVFYSGTQTEPHPQHEEIQRELQDLLAKMKSLGYVPDYSFVLQDVEQDEKEQILNNHSERLAIAFGIINTPPRTPLHVYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGHCSCGDFW >PAN49291 pep chromosome:PHallii_v3.1:9:60381613:60385844:1 gene:PAHAL_9G439000 transcript:PAN49291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSALPRGGHALIVTSGHLRHLDPHLQVPPLLLANSLIAAFSRAAAPRLAFPLLRRLLAGAYPLRPDGFTFPPLFRAAPGPASAAQLHACALRLGLLHPSVFASGSLVHAYLRFGRVAEACRVFDEMPERDVPAWNAMLSGLCRNARAADAVALFGRMVGEGLAGDAVTLSSVLPMCVLLGDRALALAMHVYAVKHGLDGELFVCNALIDVYGKLGMLEEARWVFDVMALRDLVTWNSIISANEQGGKVAAAVELFHGMRESGVSPDVLTLVSLASAVGQCGDERGAKSVHCYVMKMGWDAGDIIAGNAMVDMYAKLSKIEAAQRVFDNLPARDVVSWNTLITGYMQNGLANEAIRTYNNMQRHEGLKPVQGTFVSVLPAYSNLGALQQGLRMHALSIKTGLNLDLYVNTCLIDLYAKCGKLAEAMLLFEDMPRRSTAPWNAIIAGLGVHGHGAKALNLFSQMQQQGIKPDQVTFVSLLAACSHAGLVDQGRSFFDSMQNVYGVVPIEKHYACMVDMLGRAGQLDEAYEFIQGMPIKPDSAVWGALLGACRIHGNVEMGKVISQKLFELDPENVGYYVLMSNMYAKIGKWDGVDAVRSLVRRQNLQKTPGWSSMEVKGSVNVFYSGTQTEPHPQHEEIQRELQDLLAKMKSLGYVPDYSFVLQDVEQDEKEQILNNHSERLAIAFGIINTPPRTPLHVYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGHCSCGDFW >PAN49293 pep chromosome:PHallii_v3.1:9:60381663:60385717:1 gene:PAHAL_9G439000 transcript:PAN49293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSALPRGGHALIVTSGHLRHLDPHLQVPPLLLANSLIAAFSRAAAPRLAFPLLRRLLAGAYPLRPDGFTFPPLFRAAPGPASAAQLHACALRLGLLHPSVFASGSLVHAYLRFGRVAEACRVFDEMPERDVPAWNAMLSGLCRNARAADAVALFGRMVGEGLAGDAVTLSSVLPMCVLLGDRALALAMHVYAVKHGLDGELFVCNALIDVYGKLGMLEEARWVFDVMALRDLVTWNSIISANEQGGKVAAAVELFHGMRESGVSPDVLTLVSLASAVGQCGDERGAKSVHCYVMKMGWDAGDIIAGNAMVDMYAKLSKIEAAQRVFDNLPARDVVSWNTLITGYMQNGLANEAIRTYNNMQRHEGLKPVQGTFVSVLPAYSNLGALQQGLRMHALSIKTGLNLDLYVNTCLIDLYAKCGKLAEAMLLFEDMPRRSTAPWNAIIAGLGVHGHGAKALNLFSQMQQQGIKPDQVTFVSLLAACSHAGLVDQGRSFFDSMQNVYGVVPIEKHYACMVDMLGRAGQLDEAYEFIQGMPIKPDSAVWGALLGACRIHGNVEMGKVISQKLFELDPENVGYYVLMSNMYAKIGKWDGVDAVRSLVRRQNLQKTPGWSSMEVKGSVNVFYSGTQTEPHPQHEEIQRELQDLLAKMKSLGYVPDYSFVLQDVEQDEKEQILNNHSERLAIAFGIINTPPRTPLHVYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGHCSCGDF >PAN50447 pep chromosome:PHallii_v3.1:9:65945548:65945943:-1 gene:PAHAL_9G520700 transcript:PAN50447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEAAGTRQQQFGSMDEFWGFYLSQHSKPGTRRWHFLGTLASLACAALAAATGRAAPLLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSLLCDYRMFGLILTGRIDAELDRLRIQPRPDSPATSAHQD >PVH31240 pep chromosome:PHallii_v3.1:9:5300792:5301490:1 gene:PAHAL_9G092800 transcript:PVH31240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILGLHFFTKFCSPFFHFEVLLKRFVLGIFLIIFSPKILLPNFSLSQFFSFFPSNLFISFFFLQLFCS >PVH31630 pep chromosome:PHallii_v3.1:9:12513543:12516642:1 gene:PAHAL_9G195300 transcript:PVH31630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCDLLDVDPPELQFPFVLDKQISCPLRLTNRTDNTLAFKVKTTSPRKYCVRPNNGVVPPRFSCTVVVTMQAQKVVPPDLQCKDKFLVQSVIVGDGLASKDITSQMFVKEGGNVVEEVKLKVAYVMPPEPQSEIAEEHDGLERILVPMQRNVDNGRSTSELSSGSVSLRSAEEVGSPVGRIVKSEEFLKAAGPALLSAIIAKLTEEKNSALEQNRKLRDELELVRREASKQQGSFSLVLLIAIGLLCIILGYLVNK >PAN46582 pep chromosome:PHallii_v3.1:9:12513543:12516642:1 gene:PAHAL_9G195300 transcript:PAN46582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCDLLDVDPPELQFPFVLDKQISCPLRLTNRTDNTLAFKVKTTSPRKYCVRPNNGVVPPRFSCTVVVTMQAQKVVPPDLQCKDKFLVQSVIVGDGLASKDITSQMFVKEGGNVVEEVKLKVAYVMPPEPQSEIAEEHDGLERILVPMQRNVDNGRSTSELSSGSVSLRSAEEVGSPVGRIVKSEEFLKAAGPALETKIYPGPAEQSNQLSAIIAKLTEEKNSALEQNRKLRDELELVRREASKQQGSFSLVLLIAIGLLCIILGYLVNK >PAN43895 pep chromosome:PHallii_v3.1:9:52127:55223:-1 gene:PAHAL_9G000800 transcript:PAN43895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICCSDTGDAPIPKPTQSRHAMAALAVFRHQRRASSWAAAVADHARSGRHAAALTVFRRVLRAHPAVATSDQLAYTALLRCRDRRLAYQIHAQVCRRGLAASNPILACSLLAFYADCEDRDSATRVFDEMPHPDAVSYTAMISALLRAGDCHRALALYPRMLPLCAPTQHTFSKLLAACTSLRLRRHGTMLHAQLLRWGSWGPHLSLVLKTALLHMYAACGAMASARTVLHATPETDVVLWTAIITAYSRGGQLQPALQAFRDMERAAVPPNAFTYAALIAACSSAHSLHIGQLLHARLIKFRLQHDTSACNALLDLYSKSSTRFLDLLHAFCAVDTPNVVSWTAFIAGLVRHGRDQEAFAAFARMRATGVQPNSFTLSTLLKGCTSAQACQLAAKIHAYVLKTSFESLDAAVGNSLVDVYARSARMDDAWDVATTMSFVRDRFTYTSLAKGLNQIGLHHRALTMILHMFHEEVDIDGFSLACFLSAAATLASMEAGKQLHCCAVKLGLSHEVSVSNSLIDMYSRCKCLEDAKSTFRLIREPSVVSWNSIISGLASNGCHAEALLTFEDMILVGAQPDGVTFSVVLSACSYGGLVDIGIKHFNSMMNLFGVPPQKSHYTLFLDMLGQAGHLTKVARTIDSGAVQPDFVNLQDPVGILQAP >PVH33090 pep chromosome:PHallii_v3.1:9:68897104:68901488:-1 gene:PAHAL_9G565800 transcript:PVH33090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSSSGSHRRPEASEPALPPDAAPAEELSSYEAACRSDPELRTFDTTLQRRTSRAISTLAVGVEVRSLSLDSLREVTGCLLDMNQEVVRVILDCKKDIWKSPDLFDLVEDYFECSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEDDAAATAAPSARYARTLHELRQFKAAGDPFTDEFFAAFQAVYRQQLTMLEKLQQRKHRLDKKIKTIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAAAIPLGSMGKWIDSLLKGYQDALRGQKDVVSAMQVGTFIAIKDLDSIKVLINRVEVEISSMIDCVEFAERDEEAVKFGVEEIKKKLENFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRNPN >PAN51043 pep chromosome:PHallii_v3.1:9:68897104:68901500:-1 gene:PAHAL_9G565800 transcript:PAN51043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSSSGSHRRPEASEPALPPDAAPAEELSSYEAACRSDPELRTFDTTLQRRTSRAISTLAVGVEVRSLSLDSLREVTGCLLDMNQEVVRVILDCKKDIWKSPDLFDLVEDYFECSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEDDAAATAAPSARYARTLHELRQFKAAGDPFTDEFFAAFQAVYRQQLTMLEKLQQRKHRLDKKIKTIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAAAIPLGSMGKWIDSLLKGYQDALRGQKDVVSAMQVGTFIAIKDLDSIKVLINRVEVEISSMIDCVEFAERDEEAVKFGVEEIKKKLENFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRNPN >PAN47386 pep chromosome:PHallii_v3.1:9:17861273:17867818:-1 gene:PAHAL_9G254100 transcript:PAN47386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAGSRGGGGCGGLDAQIEQLMECRPLPETEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHSFIQFEPAPRRGEPDVTRRTPDYFL >PAN46236 pep chromosome:PHallii_v3.1:9:11301685:11304728:-1 gene:PAHAL_9G179000 transcript:PAN46236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTISLDSPSCEVTLLILCSVVYRDVTRQAKGLYRLKHVGALRNGLAYLSRYNSGWFCHTGGRAPSTDPTIVQNPHGSTIHRATIVQNSNRARAQERRRSRRPGSSRSRRARRRRRSRRSSTAANASKIAKSSDESKQKKIQPALNSNDKLSLPCQQPVEEEAPPIPITELRSKPIHVRRRRCQLWTVQLAAARCNRRTMAMVRVGGGRALRAAAATKWQPRWSGRGEVPPLPAGLGISPSPSAGRGGWEGPWCSYESGRGGEGGRRSAELRPDDVPRGKGRWQRQYVGWPGKGTAAGAELATPWADWACSTRPLLLQYSVEKMGHAWP >PVH32509 pep chromosome:PHallii_v3.1:9:58385159:58387080:1 gene:PAHAL_9G416300 transcript:PVH32509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGFSPNMLGKIRPSSTRGSPSPETSPFPISPRLARAAAGEMESGEGGGCRLPEELLVEILRRLPYRSLCRTRCVSRSWRDLSHHPEHRIRLPQDLAGLLYTNHAPSPLHGDFAVPFAPAGSSPFPGLGFLPCGARALPLDCCNGLLLCRGGGSGGCHYVCNPATGKFTTLPEPASGFQALALAGFEPHGASPRFHVLNFARTVPVQRVFFDDDFEESNDDTLSDDGDAYGGRELFDSCEESSKYCVQGLEVFSSETGKWVESHVCRESRVRLVEGMGSVFIDGFVNLLTHERKVLAVDPAGQACRLISLPVSSWFGLVGCLGQSQGFLQYAAQEDCSCTMMQIWTLKDFEKGVWMLKHRFEIEVAPQTKILFDYAGNTLCSEIFYVVVFHPERDLVFLPVEGYKLLSYNLINTEVKEICKLEPETRPRFLLYVPSYADFVK >PAN45692 pep chromosome:PHallii_v3.1:9:8180443:8201941:-1 gene:PAHAL_9G135000 transcript:PAN45692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPVKFFSVFLAVSVVGWVVFTFAARLLAWFLSRVLAASVGFRVAGFNCLRDVTIKFHKGSVESVSIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSSENKKVRKSARPRSTGKGKWLVTSSMARLFSVSVTDLIIKVPRGAVDIKELTVDTLKIAGPSHILGVKLHLLPLNVHFGDLGLAADPMGSCNLLDAFQSDQASVSNSEKSLAPFVCEDLLITCDFGHEKEKGIKIINLELKCGHVIANIDERLFRKKHTVPKYNTFSSNTGDAIMDTSAIKQTTKSKSVLPALKKQMLTFPDKVSFSVPKLDVKFRHLHEGLSVDNNITGIQFTCAKSLPQDDLEEATPHFDVQIDLSEVHLVREGSSSLLEVLKVVTIASLDVPVDPFLPIRAEIDAKLGGTQCNIMLSRLMPWLWLHSLRSRGMKLSKRDSNQEISQKKEFKPILWTCTVAAPETAVMLYSIDGLALYHACSQSLHLFANNIASKGIQIHTELGELLVHMEEEYREFLKENRFGVDTYSGSLMHIARVSLDWGYRESDVQDMIETSRHALVLSIDTSDIEVKFGFKHLESLVLNLMSFRTLFKSLQPSGGSAKEKNLEHRGDKKSKGVKILKLSLQKCSVSYCGDANIVNMAIDDPKRVNYGSQGGQVIVSVSADGTPRRASITSVLPGSNRNLRFSASLVISHLSMCMDKERKTTEAELERVKTIYEELPEDHSSGVRVTLLDMQNAKIVRRSSGHTEAAVCSLFSATDINLRWEPDAHLALYETFIRFKHFLHYNKFQNSEKLINTEVANSKANEHGNMTAGSIKPQKSNRKGSIFAIDVDVLRVSAELADGVEANMHVQSIFTENAKIGVLSEGMSLSFNGSRVLKSTRIQISCIPFSNGSLLGAKVEPSTKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMLRALKLVSSAKRSLLCTDGKEKSKKVNSRASKVGSVKFVLRKLTADIEEEPIQGWLDEHYHLMKNKVCELGVRLKFLEEAISGSVDPNNRSSERKILYDGIEVGMHDTAAIQRFQEEIHKKTFRSYYVACQNMVPAEGSGACSEGFQAGFKPSSRRASLLSLSASELDVTLIRIDGGEVEMVEFIKGLDPVCQEQNIPFSRLYGSDVSVLAGSLVVQLRDYTSPLFSSLSAKCQGRVVLAQQATCFQPQIHQDVYIGRWRKVTMLRSASGTTPAMKMYSNLPIYFQKGEISFGVGYEPSFADISYAFQVALRRANLSKRASSSPAVQPPKKERSLPWWDDMRYYLHGKIVLYFNETKWKFLATTNPYEHMEKLQIVSEYMEIQQTDGHVDVSAKEFKMFISSIDSITKNCRLTRPSGVPRPFIYAPLFSLNVVIDWQCESGNPLNHYLHALPVEGEPRKKVYDPFRSTYLSLRWNFSLRPLQSQCGNGPSSPFYGNNSLVRGTTSGSSCKTADDEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWRRFGIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHVTLYPEDDPARGLTLKMSNLKYELCYSRGKQQYTFDCKRESLDLVYRGLDLHRPEVYLMRDNSNLSSVENVCKVRTSVQHSPGKFIHDRSNMGNFQEKHEEGFLLSSDYFTIRKQTRKADPERLVGWQDTGRSLEITYVRSEFEDDSESDHTLSEPSDDDDDFNVVLADNCQRVFVYGLKILWTLDNRNAVWSWVGGISKAFEPPKPSPSRQYAQRKMIEERNAEGSKLVQDASSSIHVASPSVQHVDASDSSSPFHNKANCSSDKDVKHDIFDDSDKGGTPQYMVNVYTPQFNLQPEESNGRFLLAAASGRVLARSFHSVVHVGKEMLEQALETSSLHILELQPEMTWNKNELSVMLKDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCQMYFRYTRHKGGTADLRVKPLKELCFNSPDITATMTSRQFQVMFDVLRNLLLANLPKPRRNSLKYPSDDEDIEEETDEVVPDGVQEVELAKINLEQKDRERKLLLDDIRSLTGNWDKGTDQFHSVEKGDCLWMINCGKTSLVAGLHRDFKNIETSRKSASSALREELHKAAQSCLEKGKNKTPSCAMRISMKISKVVWSMLADGNTFAEAEINDMVYDFDRDYKDIGIARFTTKYFVVRNCMANAKCDTLLSAWNTPPGKINMLRVDAKQGAPKDGNSPLELFQVEIYPLRIYLSEAMYRMMWEYFFPEEDDSQRRQEVWRVSTSTGPRRTRRPSSGVDAATSSSYSVREHELPGKSGAIVSTSTNVSSWQGLHGDNSQVSKFQSIKANMVCGSHQELRRSSSFDRTCYESATESVTSNDVLSLVNSSAVYSKVDVNSSVSENSVVVTDMWRSKTKDSKPAKSGRLSHEEKKVGKSNNDEKKTRARKTMEFRNIKISQVELLVTYEGSRLAINDLRLLMDTFHKPEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFSNHREILEGAVPENDLNLSDSDVDHHGKPDQLTASWLKRPGDGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGDGHSDEYHDEWSESDGEYPFARQLTITKAKKLIRRKFRPRGQKNSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHEQ >PAN45689 pep chromosome:PHallii_v3.1:9:8180851:8199156:-1 gene:PAHAL_9G135000 transcript:PAN45689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFSVSVTDLIIKVPRGAVDIKELTVDTLKIAGPSHILGVKLHLLPLNVHFGDLGLAADPMGSCNLLDAFQSDQASVSNSEKSLAPFVCEDLLITCDFGHEKEKGIKIINLELKCGHVIANIDERLFRKKHTVPKYNTFSSNTGDAIMDTSAIKQTTKSKSVLPALKKQMLTFPDKVSFSVPKLDVKFRHLHEGLSVDNNITGIQFTCAKSLPQDDLEEATPHFDVQIDLSEVHLVREGSSSLLEVLKVVTIASLDVPVDPFLPIRAEIDAKLGGTQCNIMLSRLMPWLWLHSLRSRGMKLSKRDSNQEISQKKEFKPILWTCTVAAPETAVMLYSIDGLALYHACSQSLHLFANNIASKGIQIHTELGELLVHMEEEYREFLKENRFGVDTYSGSLMHIARVSLDWGYRESDVQDMIETSRHALVLSIDTSDIEVKFGFKHLESLVLNLMSFRTLFKSLQPSGGSAKEKNLEHRGDKKSKGVKILKLSLQKCSVSYCGDANIVNMAIDDPKRVNYGSQGGQVIVSVSADGTPRRASITSVLPGSNRNLRFSASLVISHLSMCMDKERKTTEAELERVKTIYEELPEDHSSGVRVTLLDMQNAKIVRRSSGHTEAAVCSLFSATDINLRWEPDAHLALYETFIRFKHFLHYNKFQNSEKLINTEVANSKANEHGNMTAGSIKPQKSNRKGSIFAIDVDVLRVSAELADGVEANMHVQSIFTENAKIGVLSEGMSLSFNGSRVLKSTRIQISCIPFSNGSLLGAKVEPSTKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMLRALKLVSSAKRSLLCTDGKEKSKKVNSRASKVGSVKFVLRKLTADIEEEPIQGWLDEHYHLMKNKVCELGVRLKFLEEAISGSVDPNNRSSERKILYDGIEVGMHDTAAIQRFQEEIHKKTFRSYYVACQNMVPAEGSGACSEGFQAGFKPSSRRASLLSLSASELDVTLIRIDGGEVEMVEFIKGLDPVCQEQNIPFSRLYGSDVSVLAGSLVVQLRDYTSPLFSSLSAKCQGRVVLAQQATCFQPQIHQDVYIGRWRKVTMLRSASGTTPAMKMYSNLPIYFQKGEISFGVGYEPSFADISYAFQVALRRANLSKRASSSPAVQPPKKERSLPWWDDMRYYLHGKIVLYFNETKWKFLATTNPYEHMEKLQIVSEYMEIQQTDGHVDVSAKEFKMFISSIDSITKNCRLTRPSGVPRPFIYAPLFSLNVVIDWQCESGNPLNHYLHALPVEGEPRKKVYDPFRSTYLSLRWNFSLRPLQSQCGNGPSSPFYGNNSLVRGTTSGSSCKTADDEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWRRFGIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHVTLYPEDDPARGLTLKMSNLKYELCYSRGKQQYTFDCKRESLDLVYRGLDLHRPEVYLMRDNSNLSSVENVCKVRTSVQHSPGKFIHDRSNMGNFQEKHEEGFLLSSDYFTIRKQTRKADPERLVGWQDTGRSLEITYVRSEFEDDSESDHTLSEPSDDDDDFNVVLADNCQRVFVYGLKILWTLDNRNAVWSWVGGISKAFEPPKPSPSRQYAQRKMIEERNAEGSKLVQDASSSIHVASPSVQHVDASDSSSPFHNKANCSSDKDVKHDIFDDSDKGGTPQYMVNVYTPQFNLQPEESNGRFLLAAASGRVLARSFHSVVHVGKEMLEQALETSSLHILELQPEMTWNKNELSVMLKDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCQMYFRYTRHKGGTADLRVKPLKELCFNSPDITATMTSRQFQVMFDVLRNLLLANLPKPRRNSLKYPSDDEDIEEETDEVVPDGVQEVELAKINLEQKDRERKLLLDDIRSLTGNWDKGTDQFHSVEKGDCLWMINCGKTSLVAGLHRDFKNIETSRKSASSALREELHKAAQSCLEKGKNKTPSCAMRISMKISKVVWSMLADGNTFAEAEINDMVYDFDRDYKDIGIARFTTKYFVVRNCMANAKCDTLLSAWNTPPGKINMLRVDAKQGAPKDGNSPLELFQVEIYPLRIYLSEAMYRMMWEYFFPEEDDSQRRQEVWRVSTSTGPRRTRRPSSGVDAATSSSYSVREHELPGKSGAIVSTSTNVSSWQGLHGDNSQVSKFQSIKANMVCGSHQELRRSSSFDRTCYESATESVTSNDVLSLVNSSAVYSKVDVNSSVSENSVVVTDMWRSKTKDSKPAKSGRLSHEEKKVGKSNNDEKKTRARKTMEFRNIKISQVELLVTYEGSRLAINDLRLLMDTFHKPEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFSNHREILEGAVPENDLNLSDSDVDHHGKPDQLTASWLKRPGDGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGDGHSDEYHDEWSESDGEYPFARQLTITKAKKLIRRKFRPRGQKNSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHEQ >PVH33073 pep chromosome:PHallii_v3.1:9:68592817:68593509:-1 gene:PAHAL_9G561100 transcript:PVH33073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIRGVFVYGSKTGAVAAARLAWRSRGRAAARLAGRSRGRGGGGGRSSRAGEGPRRGGAGAAAGEGPRAQAAGGGAATGEGPRRGGGGQGRRRGREQGRRRGMGRSGRRSSGGGEAPRRGVGGAGAPRAQAASGGAGRGAAAGGRSRGAAAGEGRRGRRRGGGWAEQGRRGRRRRRGARAGAPRREGGAGEEQERAAEERAAAREGRSRGGRRRAGEEQGRRRSGPRWEP >PVH31085 pep chromosome:PHallii_v3.1:9:3027123:3033484:-1 gene:PAHAL_9G053600 transcript:PVH31085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSESLHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTIAECKRLARENAKDIIACGFDVERTFIFTDLNYVGGGILGITPEDHIGKVSFPPVQAAPSFPSLFPHLFPGNDQLRCLIPCAIDQDPYFRMTRDVAPKIGFQKPSLIESRFFPALQGESTKMSASDPNSAIYVTDSSKQIKAKVNKYAFSGGQASVELHRKLGANLDVDVPIKYLNFFLEDDDELDHIKKEYKEGRMLTGEVKQRLIAVLSELVARHQRARAQVTEEMVDAFMAVRPLPNMFG >PAN44836 pep chromosome:PHallii_v3.1:9:4271037:4271960:1 gene:PAHAL_9G074000 transcript:PAN44836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISPRLAAPAASRGSPSSSLTTTSPSRLGIHGRSRSGLYVPADTASRHHRDRERPALHFASPVVSTSATSTSSSGPIDRQFDSDELRRLCRGPNPEAAVKLLDQMLRRGEAELEPDEQAALLQSCADARSLAVLRRAHRLLASSPSSAIPASILHGIATQYLKLGARGDARRVLEGPAPPPRRGKAGEDAAAQAKRREAYEKVRELHEQIRAAGYVPDTRHVLHDIDEGAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGVCSCGDFW >PAN45916 pep chromosome:PHallii_v3.1:9:9240336:9241415:-1 gene:PAHAL_9G150200 transcript:PAN45916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPAARASAAGASRKRRRVSVGSTEHYEELSRLGEGSFGAVVKARHRVTGQAVAIKRLTLADADGGLAEDPMREASFHEACGDSPYIVGFHGVVRDPATSRLCLVMECAGGPSLHDYLHQRRRDPKLPEGTVRTVMWQLLTAAKKMHGSRIIHRDIKPQNILVAGDHSAVKICDFGLAMSMSDAPPYEQAGTLFYKAPEMLLDMPVYGAAVDAWSLGCVMAEIISGRPLFQGCYEDGQLCAIFDVLGVPDDKTWPVFSSTAFATTLLPELDVHQNNYLRELFPEATLSKEGFEVLNGLLTCNPDERLTADAALEHMWFAKVDPLELPRKDEVASALLGKKKLLMVPAACAKRRKLQCV >PAN44241 pep chromosome:PHallii_v3.1:9:1534394:1537543:1 gene:PAHAL_9G027200 transcript:PAN44241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGCTRSPGPMARSFVGHATVHACLAMARKRRQTRQRSLAMLAKRHANQKKKGHSEKETKSGTQKVFWTPQDDKKICEACVDMIQKKQLMQRQGALADERLNKLLHIFVGTMTKESLGRRCHALKDL >PAN45926 pep chromosome:PHallii_v3.1:9:9275296:9275700:-1 gene:PAHAL_9G151200 transcript:PAN45926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVPDLQMAPDSITPELPELAPDSETMVSDSLPPGSFLCARCHLVHEDRQAWNRAHSRRWPCSRCGLVHAEYRLGVMIYGLDEFDCELLISDLDNVVMHGNALMLPAHVLKMLDEKSERELAVGKDHAKAPVR >PAN51544 pep chromosome:PHallii_v3.1:9:71082358:71087289:-1 gene:PAHAL_9G601600 transcript:PAN51544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGVADNPDGEGGAQRPPPPVGARAALGTPPVSAGAAYSASTSGGSAGSPSSRSEQHAPDGGGKGAAPGAAAAASASTPASESTFLRLNNLDINGDDAPSSQAPTSKKKKRGARAVGPDKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPNNNIEAPDPDNPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSISDIEELKTELVGLKGRIEKKSAYLQELQDQYVGLQNLIQRNEQLYGSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKEMQFCGREQHASTQEPISNGGESSSMSNIYWQQVQHAGRPNNGTVWLPGSPPIPGILKGRVKHEH >PVH32283 pep chromosome:PHallii_v3.1:9:53007445:53007948:-1 gene:PAHAL_9G361400 transcript:PVH32283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYSGVLGVEEPHQSIPNLVVKLYCGDDTVGEVLRQNSSMPE >PVH31594 pep chromosome:PHallii_v3.1:9:11678659:11679785:-1 gene:PAHAL_9G184500 transcript:PVH31594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPMASSTCCLAISVLLACSFLLPPTHARLLLADDTSNTVNDSKSFSIRAGSGEGGGRGFGVSIGHGGHDTSIGIGGGFGGGAGTARGGGASVGGGAGAGVGIDVGHGGVDVGIGGGGGGAVSAGSVQGGGGGGGGVGVHIGRGGVSVGTGGGGGGGVGGGNDGGGSGGGSGVGRSGNAVGSGGGYGNANGSSGGGAGSGVGSAGGAVGGGSGGGGGQG >PAN50407 pep chromosome:PHallii_v3.1:9:65796854:65800050:1 gene:PAHAL_9G517900 transcript:PAN50407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSGTAIGSSGRWALHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEEELGERIKEWEARGFRVTGSVCDLSARDQRERLLCDVADRFGGKLDILVNNVGTNIRKPTTEFSAEEYSFLMATNLESAYHLCQIAHPLLKLSGSGSIIFISSVAGVVGIFSGTLYAMTKGAINQLTKNLACEWAKDNIRANSVAPWYIATSLTEGLLANKNFEEQVVSRTPLGRVGEPGEISALVAFLCMPGSTYITGQTISVDGGMTVNGFYPTKPF >PAN50409 pep chromosome:PHallii_v3.1:9:65796694:65803380:1 gene:PAHAL_9G517900 transcript:PAN50409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSGTAIGSSGRWALHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEEELGERIKEWEARGFRVTGSVCDLSARDQRERLLCDVADRFGGKLDILVNNVGTNIRKPTTEFSAEEYSFLMATNLESAYHLCQIAHPLLKLSGSGSIIFISSVAGVVGIFSGTLYAMTKGAINQLTKNLACEWAKDNIRANSVAPWYIATSLTEGLLANKNFEEQVVSRTPLGRVGEPGEISALVAFLCMPGSTYITGQTISVDGAIENTHGEASCHTDWSRRSREIAAADIDRHSDRGASEMGTTWQNGPHHWWNPLHQVCSG >PAN44246 pep chromosome:PHallii_v3.1:9:1568761:1573272:1 gene:PAHAL_9G028100 transcript:PAN44246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRQPEESDGPRRAGGAEPGGSSSSSLPYRPGEPKRPRVALRDVITEVMRNTSIEKFFMAIEPLIRRVVKEEIESAFANHASMMASVTDTLPCTSKNLQLQFMTRLSLPIFTGSKIEGEGSLSITIALVDTLTRQIVASGKESLMKVEIVVLEGDFESGEDDDWTAQEFNNNIVKEREGKRPLISGDVFVALIDGIGTVGELSFTDNSSWTRSRKFRLGARTEDGCFNGVRVREAKSESFVVKDHRGELYKKHHPPFLDDEVWRLEKIGKDGAFHKRLNRESIRTVKDFLTLLHLDGPRLRKILGGGMSTKMWEATVEHAETCVLTDKVHYYYPDGVNKAGVVFNVVGEVRGLISDKFVSVDDLTEQEKAEAHVAVKQAYEHWKNVFTCDNETLVENSSQLFNTRSPSLHENQYNQFPTQVSTDGFVLSHSTLQSPDIFSMEPSTSLDPCVLETEESNENRFQSEMPLVGGHEAPQESNTLDKFSNSLVYEDCSHPPFADIYYGPVDPSISFDTQDLGAALKGFIATISKPKAAYRGWRMLSYVLGWIFYTKRIVARRKKHGK >PVH31318 pep chromosome:PHallii_v3.1:9:6512986:6513990:-1 gene:PAHAL_9G111400 transcript:PVH31318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQHQERPREVYRECMRNHAAKLGTYASDGCCEYTPDDGQPAAMLCAACGCHRNFHRKAFLDAAAGAPGGGGGLHGAMLTSSPGAPAGYGMHMAMAAAGMGGDGGAHGSAGRRRTRTKFTEEQKERMARFAERLGWRMPKREPGRAPGDDEVGRFCREIGVTRQVFKVWMHNHKAGGGSGGAGAGVAAQTSSSTTRGGGGVGAAGGGSMSPVMGGDGEDDEEVRGSEMCM >PVH31551 pep chromosome:PHallii_v3.1:9:11113929:11116005:-1 gene:PAHAL_9G177000 transcript:PVH31551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVDQQQTTGTTASPSLSSLSDSTLERLQRQVCGWRRSRAFFLHHFSLRSTGIAAQRIESWMGEVAPRPSTAAPPPPSPRTPLLLRMGRRMGIGRLPWMLR >PVH31552 pep chromosome:PHallii_v3.1:9:11114475:11116000:-1 gene:PAHAL_9G177000 transcript:PVH31552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVDQQQTTGTTASPSLSSLSDSTLERLQRQVCGWRRSRAFFLHHFSLRSTGIAAQRIESWMGEVAPRPSTAAPPPPSPRTPLLLRKQNGAQNGDREAAVDAEIARVNKLPAHSSYATHRMKVLNKLRHLLSIKRTTSQDEELELLFASLSI >PVH31553 pep chromosome:PHallii_v3.1:9:11115229:11116000:-1 gene:PAHAL_9G177000 transcript:PVH31553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVDQQQTTGTTASPSLSSLSDSTLERLQRQVCGWRRSRAFFLHHFSLRSTGIAAQRIESWMGEVAPRPSTAAPPPPSPRTPLLLRNRESFMMNRKRHCSMPASRMGRRMGIGRLPWMLR >PVH31550 pep chromosome:PHallii_v3.1:9:11115229:11116000:-1 gene:PAHAL_9G177000 transcript:PVH31550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVDQQQTTGTTASPSLSSLSDSTLERLQRQVCGWRRSRAFFLHHFSLRSTGIAAQRIESWMGEVAPRPSTAAPPPPSPRTPLLLRESFMMNRKRHCSMPASRMGRRMGIGRLPWMLR >PAN47066 pep chromosome:PHallii_v3.1:9:15250943:15251962:1 gene:PAHAL_9G231400 transcript:PAN47066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSTAPLPLDGRVALVTGGSRGIGREVSSHLAALGARVVVNYASNPAKADELVAELASRGLRVVAVRADVSDPDAVRALFDRAEEAFGSPPHIVVACAGILDPKYPALADTAVEDFDATFAVNVRGKFLVCREAARRIPPHSGGRIVAFSSTTVAALPPGYGAYVASNAAVEAMTRILAKEVAAKGITANVVAPGPVRTELFLAGKDEAFIERVAKNSMGRIAETTDIAPVVAFLASDASSWVNGQVIRVNGGVA >PAN49556 pep chromosome:PHallii_v3.1:9:61507744:61511923:-1 gene:PAHAL_9G455700 transcript:PAN49556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MAGYRSRSPSRSYSPRRRYSRSPPRPKRYDDPRDRYRVGGGGGGGARRGYGRPSAQSGLLIRNISLTARPEDIRVPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQEMNHQIIGGREISIVYAEENRKTPQEMRMRTRISGRYIDRRYTRRSLSRSPRSHSHSYSPSLSLARHDFRGRDNRDNYSPGGSYSPRPRNNRHYRSGSRSPSPDGCKPQMSPITDGHGPAAVSSPR >PAN47807 pep chromosome:PHallii_v3.1:9:24222753:24224011:-1 gene:PAHAL_9G274500 transcript:PAN47807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVPRRLLAGVAAVLLVAILAHAAEPEMAFMVVGLAKCADCTRKNMKAEAAFNGLKVVVKCKNADGVFETKALGEVDRSGAFSVPLTADILREDGELKQDCFAQLHSATNQPCPGQEPSWIVRPSSDDDKKKTFVAVPGKMHYSSKECASAFLCDHFHKKHLFHKKPIMMPHFHNKPAPEHKPPTSVPVYHAPVPEYKPPTPVPVYHSPVPEYKPPTPAHSHPTPIYHRPADQKTQNPETDPEKFKKLLPFIKKNPFFFPKFPKFPPGEEEIKV >PVH31399 pep chromosome:PHallii_v3.1:9:8107810:8107962:-1 gene:PAHAL_9G134200 transcript:PVH31399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGELRQWRTLDVFVETPRLLGLRRGCLPRSRIAASATCGSCSMKCCTSR >PAN49696 pep chromosome:PHallii_v3.1:9:62351480:62356821:-1 gene:PAHAL_9G468600 transcript:PAN49696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFARLAARAAASRRGLPHAASSARPALAPSASPAEPRVGPHWLVPARGHVGHSHHHGGEDGGEASERIFRLGLAADVALTAGKAVTGYLSGSTAIVADAAHSLSDIVLSGVALLSYRAAKAPKDREHPYGHGKFESLGALGISSMLLVTSGGIAWHAFEVLQGVLSSAPDIIGNTLHAHHDHGSGDHHHGIDLEHPVLALSMTTLAISVKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSILGLPLLDPLAGLIVSGMILKAGIQTGYESVLELVDAAVDPSLLEPIRETILKVDGVKGCHQLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKEHNQVAEVFIHIDPSYSMGPNMNLKRAFNNSDIRNSEAIPRQQSAEAIVSDIISSHFSKKMSLEHLMLHYVQGRVLLQVQVSMSPEILIRDAMEVAKQAEELILRADASISQVSVQLRLGQQI >PAN44262 pep chromosome:PHallii_v3.1:9:1671634:1675012:1 gene:PAHAL_9G029900 transcript:PAN44262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMPAALVLLLVAALAAPAAARIPGVYGGGDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGLSCGACFEIKCENQPGWRWCRAGSPSILVTATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKSGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKSSSTGWLPMSRNWGQNWQSNAILVGDALSFRVTGSDRRTSTSWNVAPRNWQFGQTFEGKNFRV >PVH31549 pep chromosome:PHallii_v3.1:9:11101792:11102523:-1 gene:PAHAL_9G176700 transcript:PVH31549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVEENDLDQINLEDHGMENLEDDEACVFHIRDFEYEYAVDPDTGSKGSDSYDVVYKDLPKKYRTLKKAKNCEFCHAKRYPDEGPAFCCKKGKVNIYMPELPAELCRLFASRPIRMQSIFKSRFNILTRTSPSQALEFPLIIILCLLEVLVFIILKHKVTYIIDWISLCLVGMSLAICSYTFMTLMRPLHIG >PAN50581 pep chromosome:PHallii_v3.1:9:66567053:66572055:1 gene:PAHAL_9G529900 transcript:PAN50581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain base biosynthesis protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36480) UniProtKB/Swiss-Prot;Acc:Q94IB8] MDMALPVVNATAVVLARVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLTRKSYKPPKKPLTEKEIDELCDEWEPEPLCPPIKEGARIDTPMLESAAGPHTIVDGKEVVNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTHGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRNNIALLHKELSDTPGLEISSHVLSPIVFLKLKKSTGSPTTDLDLLETIAERVLKEDSVFIVTSKKSNLDRCKLPIGIRLFVSAGHTESDISTLSSSLKRVSASVLSDHF >PAN49332 pep chromosome:PHallii_v3.1:9:57109250:57114543:1 gene:PAHAL_9G402000 transcript:PAN49332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSLLCWAPPTTAYLGPIYSPDHTSLHPHPETHTLRTRPHLATIVRHTPSSSLPKPRATFPIRTATASPGAMLPYSGDHRRSPPPPPQRTAFSSSLSPSAAPFPPAHPVGPGRDLPTAPSVYAAGGDWAGTSWMEPPASYMAPAATPPGYQGEASHSSPYGIYSRNHFSNFVGARSLRSETSNSISEKQPGTCPESSEALSNDFGSSVFHQQQNAFVSKLLDRSGAEDTGYPPRQDLMQYRFGSTYDKYMTQLSSCSAEAQPHIFSTRYVDSSEMAKAAVPLMNDTIGGNSFSFSSYMNPCRINLDYFDCVWNEQKDLGYQTADKQHGKWSNSDDVATVGNYPLSSLGENHAGSEHLGNGRPMQESAETKHDLGSFNSKLSSTEVGFVQPRDLSSELLEVNNTSVDSPCWKGTPGSYQPSFGIMEKNDAPHTVIGTVGYISSHQSQKVPELSSEYPGRFLERQEASGSENDPFKAFKSLARCKNSEDHKEVPPVDAKVHSDMATHASYLPYKEHGRTQRFYDSREDSKNVISLSQLESACPASKPKLLGEHDGSLPASINEVMSKSVLNPIATNPRVDADRLTTGSPHGHGSSVVVEREESTQKRGEGPSQCFPVVEGNMLNMSCDSSSSTRAIFLKLMHNLSVVLLSTCKGGSSLLEDEEELLQSVIQNLAAASLKRSKVEQKNDDGLCNSSQMKFKNINCARNNLSMEMHACSAQENSDSEFKTTVSQVLTSHLDNKLPDDTEVSQASIYRNLWIEAEASACKLKYDLQHARMKLATEKGHNSTLKVPDSLGGSKDSNSSISSGKPQNRGKESFTCTVALQGQGGDSGDRQSPVVNRSVFNGGDADVFARFKVLQSRLDNVNSFGEIDCEEQQEASKKSNAVEDAVLARLKVLKSRPDSITLSSQESIKHQLDASTNKEDNVDDAVMARLRILESRPNNVTFLDQESSKQPLDEIKTVEGGVDDAVMARLRILKSRPDNIISMGDNSKEQEEVCIGPLNEVDLSVMANGGITNTKVPAEQCWKLILSDDVAHCLGGKDSIGGIDTSGDGTCAGENNEIGGSADASTPKRCKATSDEGNIEGAVHVENHVLLETAGNSHVCTEGSHETHLISSPGHQYGSTPSEWEHVLKENFFHPGK >PAN45696 pep chromosome:PHallii_v3.1:9:8214739:8220708:1 gene:PAHAL_9G135100 transcript:PAN45696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYSSPGSERDSQTMYSTESGNASYPMPSALGNLLYPNNASSGPYTEFSGIIQPQQNFMELPGHPSAMSHDSSSNEATNMGTSLTEQRSFGPLKDMRNEMLMHLMDGAHSSGSDLIHSDAHNTAQVEFGMLNNHNSTSVPSAPGQGLSLSLNTHILAPSYPYWSAKPDLLTPNSYQGDDNRMKGMQSEASRAIRNSKYLKAAQELLDEIVSVWKSVKQKADKGPAEAGKGDGKETEGGIKSEGVSSNPQESGANAAAELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQLVMSSFDMVAGSGAAKPYTAVALQTISRHFRCLKDAINDQIGVIRKKLGEDDNTSGKEGKLTRLRYIDQQIRQQRAFQQYGMLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLSRQTGLTRSQISNWFINARVRLWKPMIEDMYREEVGDGELDSNSSSDNGARSKDKAPSSEEKEDLKTSTSQACQSNQLDESKANVGGMMSLNGAPAGGFHEANPEDSFMSLMLKAQRPGETDGSGLLHHAVAHHSDESTQFMAYHLAELGRYGNNNVSLTLGLQHAENSLSSVPNTQPGFPGVRDEDIYNATAPLNVTSTSSDYESTNQMDQQQRQRFEPSPLMHDFVA >PVH32993 pep chromosome:PHallii_v3.1:9:67423218:67425824:1 gene:PAHAL_9G543400 transcript:PVH32993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFGNNYYYVSLFSAASVPPMSFTKGPFPAYAITDHALQIFSVQVTEVKDDVEWPLHVYGWVATRDSVDPKRNLLFNRTRDNCQVLTQQGYDSYLQLTGPSRAVVFIDPVTFQDGFEHNMPVYYEDKPYLIHKCCSNKRSTIEVTYAAFHKTVEATVVRVQVTDGSWPDHLQGLVVCRTTSLDKGDIMLLDSRDGAMPIACDGAIGLSRRVVSVEVKGQLSVDVVASQIDDKSDVVAKDRAVFRYTIARTSAGRCDLGFCKVEITVAWSLLATLRDMMGSRTE >PAN44124 pep chromosome:PHallii_v3.1:9:1100658:1103911:1 gene:PAHAL_9G019300 transcript:PAN44124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRPIVLVFLLLVLIITSQFEWKQQIGEAEANPTATRRRQQALEREDAVKEKIILAQEKNIQQLNELIQSLQLQLLHCRGSNSTAHTTSSQSTGDNEVEGQEMIGD >PAN45657 pep chromosome:PHallii_v3.1:9:8607123:8608552:1 gene:PAHAL_9G140300 transcript:PAN45657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDEDSGTAMYRVARDLPIIVRYRAGNAVWILSTREGSMSSGHGPRRCCDLCWQHKSCQLHPIMDTEEEEEPDRHARWKHTHHLWLPAAGGVGGGRDGRPLSSQHRSHHRRGVARRGCCGWPASKAKRRPLFLLMKEAGTELMGAWNWPFRFFFFLSARSLSLSLYLSHTHTHPTVDYRYADPNNPYPNRPPSCLRKWETVSWRNI >PVH33143 pep chromosome:PHallii_v3.1:9:69643866:69644650:1 gene:PAHAL_9G577400 transcript:PVH33143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQYAYEVWREIKRSFGFRCKIRYPVSMKQWLFDFLSTATEDEATIFTITVWHVWEARNSVRNGEKQVHPKIIAEKAKAYWTPPPDGWLMINVDAAIFKSPPRMGVGFVARNHKGDFIAAGCQLVKRFDDPELAEAIAIRRAVSFSLENNFQKVVVASDCQNVIKKINSKVYDRSHVGIIIQDTTHVLAKVTDKFDDFVWFNEPSEMIQIILCNE >PAN46813 pep chromosome:PHallii_v3.1:9:14006566:14009994:-1 gene:PAHAL_9G216600 transcript:PAN46813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAMLCTRVSLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPAAVLKPQSSRDISLLLSFLSGSSLSKVTVAARGAGHSIHGQAQALDGIVVEMSSLPAEIEFYKGGEGEISYADVSGGVMWIDLLEQSLKLGLAPRSWTDYLYLTVGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPSKDADLFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFSIFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSIAFPANVDFNPDFGTKNSPNIYYCIEFAVHDYQHKNTNVEQVVEVISRQMSHMVSQLYSVEVSYFDFLNRVRMEEMSLRSIGLWEVHHPWLNMFVPKAGINDFRDLLMDNVSPDSFEGLILIYPLLIDKWDTNTSVVLPDSGSTDRVMYVVGILRSANPEGGCSHHCLQELLRRHRRIAYTAGVHLGAKQYLAHHPTPSGWHQHFGRRWERFAERKNRFDPLRILGPGQGIFPRRDDNAAFGS >PVH32710 pep chromosome:PHallii_v3.1:9:62186919:62187765:1 gene:PAHAL_9G466600 transcript:PVH32710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGILIDALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRCPDIQSWRVTATGFRHQDTYPLTVRKALRYLCRIFEGHLAATPVRFFPPAIRTPIWEARMRSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAQAEARAAAAVSSEAVAQESLRQARDRRMQEWTQSGTPVPAIGEDHVLFGTPVIGWGPLFGSTQAPPENPESSAAAVEGDTVTQPLTDGNPEDGEQGSLTLPTLEEDTPRE >PAN50653 pep chromosome:PHallii_v3.1:9:66924849:66929847:1 gene:PAHAL_9G535100 transcript:PAN50653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGENALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENKDHASSSNSDSVMAEAEPASNAAADDKKEQPKDDDDLLQQALAMSMEGGASGSAAVADAAMAEAGAVDPDLALALQMSVQDANMSSDSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEEKKEEDKDKSDKPEDGKN >PVH33373 pep chromosome:PHallii_v3.1:9:73530261:73536851:-1 gene:PAHAL_9G638100 transcript:PVH33373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTEPFPNVNMVEGYDRSTRRQLDFTFGINMAGHTSRRQQETDSCDRPQKEERDYITEEQVRHVRIQLLVLPHQRPVFSHLLRKYQYQYQQRLQRETEEEEYERRTGKRLRKREDTRDHWHCPLPTLEDCPECKSQKQDSRSASVFQRLGPVQPCYGQVESSRTGRNSEDEDDKYHRSRWCRDGLNRSQKRRVQRKARPDLAEKVHRPQKAEMSSSRKVWRPKKSKADVKTSVDAHMVFVLPAEFHAPGHKEVPKNYKHLKALYLKGYINGQAVSRMLVDTGAAVNIMPYAVLRRLGHFVGDLIKTNITLSDFNGQTSEAQGVLSVDLTIGGKTIPTSFFVVNSKDSYTVLLGRDWIHANCYIPSTMHQCLIQWNGNEVEVVHADDSVEVSYAAMSVWDAEDKEPILGISLEGCDRVEATKNGVRLVLSTALTE >PVH31089 pep chromosome:PHallii_v3.1:9:3111242:3113845:-1 gene:PAHAL_9G054200 transcript:PVH31089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPACVLLGRAVYFRKHPVAGVVGGTTRRQSSSEPIPSVDAIEADIATYMRAMTPDLQVVDPPGVSCLTIVRPHPSHPFPKHGYTWNQQSGNAVAADKNLVLIYVGSYRPISTYGGCYLLVDTASSSLSRIPGVHHNSFPYSCVGAAGAVIMAREGGAFVLAELLFKLPSPAEPGVPAFGKLCLWQSSEWVYRVGRLPSELRHTWRLHTSFSVQSRNLLCWVDLLHGLLLCDLGRNGEVDSPDDLPDLGMSFVPLPHSCSIGEHHHRRLIPQDFCTMACVDGTIKFLTMEGFVEHNPIALVTFALDLDGPSPTWMKDTVLRLDDLWADKTLISKGVPRITPLFPMLSTQEHDVVYLVIGGRVDDVEGYKVERAQLLLSVDLRKAMVISATQDNSLRTLLCWRDILVINAS >PVH31275 pep chromosome:PHallii_v3.1:9:5894503:5905951:1 gene:PAHAL_9G101800 transcript:PVH31275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFVRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLSAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPMTDEYSISGTTFAPEGFIYDAGGLQLEFPPQSPCLLHLAMCSALCNESTLQFNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISILEFSRDRKMMSVLCSRKQQEIMFSKGAPESIMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPAGQQSISYADEANLTFIGLVGMLDPPREEVRDAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFAGYSYTASEFEGLPPLERTNALQRMVLFSRVEPSHKKMLVEALQTHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLIPVQLLWVNLVTDGLPATAIGFNKPDGNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFVWWFVYSENGPGLPYSELVNFDSCSARQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIHPWSNLWLVGSIILTMLLHVAVLYIEPLAALFSVSPLSWAEWKIVLYLSFPVILIDEVLKLFSRSPRGRRFPLRLWRRDILPKESRDN >PVH31273 pep chromosome:PHallii_v3.1:9:5890127:5901372:1 gene:PAHAL_9G101800 transcript:PVH31273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYAKSVAEVLEAFGVDRTKGLSDSQVEQHARLYGKNVLPQEESTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLSAFLEPSVIFMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKELESTSAMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFVRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLSAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPMTDEYSISGTTFAPEGFIYDAGGLQLEFPPQSPCLLHLAMCSALCNESTLQFNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISILEFSRDRKMMSVLCSRKQQEIMFSKGAPESIMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPAGQQSISYADEANLTFIGLVGMLDPPREEVRDAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFAGYSYTASEFEGLPPLERTNALQRMVLFSRVEPSHKKMLVEALQTHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSMSTSLFFICAVMKID >PVH31274 pep chromosome:PHallii_v3.1:9:5890127:5905951:1 gene:PAHAL_9G101800 transcript:PVH31274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYAKSVAEVLEAFGVDRTKGLSDSQVEQHARLYGKNVLPQEESTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLSAFLEPSVIFMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKELESTSAMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFVRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLSAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPMTDEYSISGTTFAPEGFIYDAGGLQLEFPPQSPCLLHLAMCSALCNESTLQFNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISILEFSRDRKMMSVLCSRKQQEIMFSKGAPESIMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPAGQQSISYADEANLTFIGLVGMLDPPREEVRDAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFAGYSYTASEFEGLPPLERTNALQRMVLFSRVEPSHKKMLVEALQTHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLIPVQLLWVNLVTDGLPATAIGFNKPDGNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFVWWFVYSENGPGLPYSELVNFDSCSARQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIHPWSNLWLVGSIILTMLLHVAVLYIEPLAALFSVSPLSWAEWKIVLYLSFPVILIDEVLKLFSRSPRGRRFPLRLWRRDILPKESRDN >PAN48507 pep chromosome:PHallii_v3.1:9:55764834:55769605:-1 gene:PAHAL_9G386300 transcript:PAN48507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNILSQSWRKGAHVLQAGNPAPALYTCWSRFHSGQILSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAHMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDRFEGKIRAVVQSLIDNGINVKLYLD >PAN44653 pep chromosome:PHallii_v3.1:9:3373852:3376830:-1 gene:PAHAL_9G059200 transcript:PAN44653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQHFLLLAAAATLLLLPSGSTATAVEYCKKGRDYPVKVSGVEVVPDPVIRGEPATFKISASTDKNITTGKLVIDVAYFIFHVHSETHNFCDETSCPATGEFMLASQQTLPSFTPPGSYTLTMKLLGDSNEELTCISFGFSIGFVTPNAIF >PAN46460 pep chromosome:PHallii_v3.1:9:11872372:11878478:-1 gene:PAHAL_9G187500 transcript:PAN46460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HLB1 [Source:Projected from Arabidopsis thaliana (AT5G41950) UniProtKB/Swiss-Prot;Acc:Q9FHY8] MEEPTESRRAGAEDLANGALEPEEAAPEVEVEVEEEEPPRSATAKQEEAKAALGAEGSRPFTMRELLGELKEDGEPAATGSGGSARSAFGDGNGIGSAEAEGSSYSQDSTQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVNAIERNPDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQHLVKATTWDVSISFQLEISNLDFLIANVAVFLTCLSFLLQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPDVSPNELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPANNAIAPHKDWERSQFILNHEGLQQADASDQPPSQSAGHMDRGRKPVRINVADIVSVSACADLTLPSGASLCIETIHGPTFLVADSWEALDGWLDAIRLVYTIFARGKSDVLAGIITG >PAN46461 pep chromosome:PHallii_v3.1:9:11872278:11878613:-1 gene:PAHAL_9G187500 transcript:PAN46461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HLB1 [Source:Projected from Arabidopsis thaliana (AT5G41950) UniProtKB/Swiss-Prot;Acc:Q9FHY8] MEEPTESRRAGAEDLANGALEPEEAAPEVEVEVEEEEPPRSATAKQEEAKAALGAEGSRPFTMRELLGELKEDGEPAATGSGGSARSAFGDGNGIGSAEAEGSSYSQDSTQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVNAIERNPDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPDVSPNELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPANNAIAPHKDWERSQFILNHEGLQQADASDQPPSQSAGHMDRGRKPVRINVADIVSVSACADLTLPSGASLCIETIHGPTFLVADSWEALDGWLDAIRLVYTIFARGKSDVLAGIITG >PAN46315 pep chromosome:PHallii_v3.1:9:11097144:11099733:-1 gene:PAHAL_9G176500 transcript:PAN46315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDQADEVLSSLPAPIAKDSLSMMHSKFIGCKLYISESRNPTAIAAIDLAAKTDPQVAVLSKFEDSLYNRVRYTLVSYIIDDSSTGEVIYSPIRKVLLAMMEAAFSAINLELHSGAHPRMGVNDDLSFHPLGQATMEDAASLAKQVASDIGNDFQVPVFLYGAVHPTGKSVGAIRRELGYYRPNHMGNQWSGTMLPDVLPIKPDEGPTSVSSERGATTVGATPFLEAYNVPVLSKDVATVRQITRRLSGRGGGLPTVQALALLHGDVCTEIACLLDPDHVSAYQIQTVVEQIAAEQGLEVEKGYYTDLTKDRMLDKYLKITAD >PAN44133 pep chromosome:PHallii_v3.1:9:1117480:1120028:-1 gene:PAHAL_9G019800 transcript:PAN44133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRSLHGKDMTKDSTFEFERKRNRPERYDRNMTEQTLKAIPLITKIRHERLKKHITDRHKPGKKKELERDNKELEQDINMLPKKLISNEAAEKTKVKVKVKVVQQQTEDRMEE >PVH31401 pep chromosome:PHallii_v3.1:9:8250738:8251787:-1 gene:PAHAL_9G135600 transcript:PVH31401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPVLLLLLCAVGAAAAALPRYREAPHFTNSAAAQCPPPLPPSDADAACSPRAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESIFFHFVASAAAPGATAGELRATVRASFPSLAFRVYPFADEARVAGLISTSIRGALDRPLNYARSYLASTLPPCVRRVVYLDSDVVLTDDIAALAATPLPGEEAAVAAPEYCGANFTAYFTPGFWASPALSSVFAGRRACYFNTGVMVLDLARWRRAGYTAQIEEWMELQKRVRIYELGSLPPFLLVFAGRIASVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGRPCPLDAVWAKYDLLRPAAGIESS >PVH33007 pep chromosome:PHallii_v3.1:9:67648810:67650038:-1 gene:PAHAL_9G545900 transcript:PVH33007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRNNLPPHSSQGTSKPPNYGFLDPSAMADPQFVAFLQASYAAQVAQAAQPAYFSAPAYIDLESSPASWPPRATSLAALHAQLRPPIGIPAMPPTPNFDNSPTQVKSRTSNFTIAEDKAICSAFINVSKDPIVGVNQSSEAYWDRVHKFLYSNTPVERQRPAQSIRKRWGTIQKDTARFCFYKAEQDRKNQSGKTEEDRIEDAKKQYHALVGKPFAFMHCWESLHGQRKWLDLVGAKGKDADNNGEESTPDLVDLGFPEEDANDSRPIGRDSAKKRRSSDLQSSSTASAYVEVLQKMTDHKGKQIVAEVEWATAFNDREDRKLTLEEKKREDGIMKMDLSALDPYQRRYFRREIKAILARTRADDDEQEMDDDFGA >PAN44030 pep chromosome:PHallii_v3.1:9:758754:764727:-1 gene:PAHAL_9G012300 transcript:PAN44030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKIIREIGDGTCGNVFMAYNVETNEIVAVKKMKRKFFQWEECVSLREVKALQKLIHPNIVKLKEVTMENHELFFIFEHMECNLYDVIRERQVAFSEGDIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTNGIVKIADFGLAREVSSSPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICTVLGTPDCTVWPEGMNLPRSSSFKYFQIPPRNLWELIPNASLEAIDLIQRLCSWDPRRRPTAEQALQHPFFNVCNWVPRPVHDAPDTKTNESKAHPKLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLANHVPQRTEEEILLYSGFENTPGFWPLVPSDRPIGDVPAMPSWQQAYMVDSQSSLPGFSGSPFGLSLQPSLLENHSLAPIRQVNFF >PAN44029 pep chromosome:PHallii_v3.1:9:758754:764727:-1 gene:PAHAL_9G012300 transcript:PAN44029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKIIREIGDGTCGNVFMAYNVETNEIVAVKKMKRKFFQWEECVSLREVKALQKLIHPNIVKLKEVTMENHELFFIFEHMECNLYDVIRERQVAFSEGDIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTNGIVKIADFGLAREVSSSPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICTVLGTPDCTVWPEGMNLPRSSSFKYFQIPPRNLWELIPNASLEAIDLIQRLCSWDPRRRPTAEQALQHPFFNVCNWVPRPVHDAPDTKTNESKAHPKLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLANHVPQRTEEEILLYSGFENTPGFWPLVPSDRPIGDVPAMPSWQQAYMVDSQSSLPGFSGSPFGLSLQPSLLENHSLAPIRQVNFF >PAN44032 pep chromosome:PHallii_v3.1:9:759016:763284:-1 gene:PAHAL_9G012300 transcript:PAN44032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKIIREIGDGTCGNVFMAYNVETNEIVAVKKMKRKFFQWEECVSLREVKALQKLIHPNIVKLKEVTMENHELFFIFEHMECNLYDVIRERQVAFSEGDIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTNGIVKIADFGLAREVSSSPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICTVLGTPDCTVWPEGMNLPRSSSFKYFQIPPRNLWELIPNASLEAIDLIQRLCSWDPRRRPTAEQALQHPFFNVCNWVPRPVHDAPDTKTNESKAHPKLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLANHVPQRTEEEILLYSGFENTPAGFWPLVPSDRPIGDVPAMPSWQQAYMVDSQSSLPGFSGSPFGLSLQPSLLENHSLAPIRQVNFF >PAN44033 pep chromosome:PHallii_v3.1:9:759016:763284:-1 gene:PAHAL_9G012300 transcript:PAN44033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKIIREIGDGTCGNVFMAYNVETNEIVAVKKMKRKFFQWEECVSLREVKALQKLIHPNIVKLKEVTMENHELFFIFEHMECNLYDVIRERQVAFSEGDIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTNGIVKIADFGLAREVSSSPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICTVLGTPDCTVWPEGMNLPRSSSFKYFQIPPRNLWELIPNASLEAIDLIQRLCSWDPRRRPTAEQALQHPFFNVCNWVPRPVHDAPDTKTNESKAHPKLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLANHVPQRTEEEILLYSGFENTPAGFWPLVPSDRPIGDVPAMPSWQQAYMVDSQSSLPGFSGSPFGLSLQPSLLENHSLAPIRQVNFF >PAN45984 pep chromosome:PHallii_v3.1:9:9585673:9592662:1 gene:PAHAL_9G155900 transcript:PAN45984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPRKRASHPTKPRAGSRRGGGGGDDDPFFESEPKRRRGGRDEDIESEDSDDDAVGFGGAVDEDGDEGVKEEEEEETAGEKKVRMAKELLKKLSDAAKRKEEDEDEDEDEDEAGGRRVAEILQRRQLEESGRKRRELASRVLPPEPKDGFKFIVKHRQPVTAVALSNDGDRGFSASKDGVIAHWDVETGKSEKYLWPSENVLISHHAKPPLSAKRSKHILALAVSSDGRYLASGGMDRHIHLWDVRSREHIQAFSGHRGPISCLAFGPDSSELFSGSFDRSIMQWNAEDRTYMNCLYGHQNEILTMDALNKDRLLTVARDRTMHLWKIPEESQLVFRAPAAASLECCSFIDDKEFLSGSDDGSIELWSIMRKKPTFIVRNAHPSLDSDDQELPKENGIHKPENVSMAQSWVSAVAARKGSDLAASGAGNGLVRLWAIEPDSKGIRPLFKFKLDGFVNSLDIAKSGRFIVAGVGQEPRLGRWGRVRSAQNGVAIHPIRLEGEKDEL >PVH31583 pep chromosome:PHallii_v3.1:9:11562760:11565358:1 gene:PAHAL_9G182600 transcript:PVH31583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNAFMNLAAKFSLSKTRSNVIDRSTTAPLALTDGRDLGESESGDAGSVDELGNGRDKGIGELIASLRTGEVSSWGKERIRKVLRDRFEHSTAAKIYSDIASVRDTSHWNSLLKEAYGNGYRKEDTDETVDWEALLHAPFAEIAGCIRDRGNQSQMALRILAFLIRIKRDHGSIDLEWLRYVPRAKAMRYLRSIHGLGAKSVDCIRLLSLRHRAFPVDTNVARIVTRLGWVELQPLADSQEFHLVNTYPVMSDIQKYLDPLLCKIPADEVYELHCQQITFGKTICTKR >PAN51336 pep chromosome:PHallii_v3.1:9:70206819:70207190:1 gene:PAHAL_9G586500 transcript:PAN51336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPLVFPLGVALLLAVAATLPAPSRAEWAAVPDVNGLVIKQVGQFAVLVYGLAHRKDLAFVGVVRGQTQEAVGGGTNYRLVVAATKPGGDGSAAEYDCLVWGVPGSRSDTWKLRRFRKIQS >PAN44516 pep chromosome:PHallii_v3.1:9:2762673:2766857:-1 gene:PAHAL_9G049400 transcript:PAN44516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPSTGKPWRYQHLSALLSSAVLEWVLMLLLLLEGLLSYLVTTFAHLCKLQPPCPMCTRLDHVLGKAQKGFYCNLMCSSHKAEASSWAFCHIHQKLVDVHSMCEACLLSFATDKKSNLETYRSLVGKLGVGIDNAGCRDNFTSGNDATEAPVQKETLCSCCSRPLEVKSHPFVVLQSKASGIGIEGICRVVSRDHQSIDEINYVAYSELKTSDTESEPQQPGGDVGNLQKDDYINLKEGFAIGHHQLTKIADDMPPYDNSQEKNPEQSELTLVQNGGSDSKPIENSEELDNIQDDGNGKASLQSTDLTIKDEQQITKHPGTRDKLEDDVWHNALSSTEELSSVDTKSAETDTITDEKNAEFTHTARRKDSFRVHEDLKSLLSHLSTASQAPDFDSSTVQNQHEQAILHNITRALSLDRNYSGISESMVHEAEGECTIDQLKQQIELDCKSINHLWKELEEERNASAVAANQTMGMITRLQEEKAAMQMEALQYQRMMEEQSKYDRKDLQKMAQTVQTLQAEIEGYKIKLKDQLLVDEIHDHMRLSYPKEHGSSISRIKSLSGFEDEKTYISKRLRNLRQKLHDFSNNSKLVPFPRLSDDKEGSVDDRNNEDACEDADEDDNTDDSEFNKHLGRNGDIFRDLKHGRGHDPEGQYHAMVSENDLASFEDQIAEVSERLMALDADRSFLEHSVNSLRNGEAGEELIRDIASSLMELRKVGTTWKEYD >PAN47713 pep chromosome:PHallii_v3.1:9:40994589:40996245:-1 gene:PAHAL_9G325200 transcript:PAN47713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPRGLLLGVCAALMVIAVATGSAASVVVGLAKCADCTRKNLKAEAAFRGLQVAIKCKNSKGDYESKAVSELDGSGAFNVPLTTDLHGADCLAQLHSAAGTPCPGQEPSRIVPQSESHFVVVPGKTNNPSAECASVTICGPIKKHFLDHFHKKPVPPKPKPEPKPEPKPQPEYHPPTPTYGSPTPTYGSPTPIYHPPARHLFDKKKLFDHFHKNYDHHPFLDHFHKKSVPPKPKPEPKPKPDYHPPTPTYGSPTPIYHPPARHLFDKLLDHFHKDHDHHHFFDHFHKKPVPPKPEPKPQPEYHPPTPTYGSPTPIYHPPVKHLSDKKHWLDHFHKEHEHHHFFDHFHKKPVPPKPKPEPMPKPLPEYHPPTPTYSSPTPTYGSPTPIYHPPAEH >PVH31444 pep chromosome:PHallii_v3.1:9:9082380:9091905:1 gene:PAHAL_9G148000 transcript:PVH31444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLESQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTSAVLSTKSSVATSSTQPTVSTTSSQSTSQDQTEETVSQESNPESTPQTPPSKGGNLGPSVPVVPIAVSTGSVAVSVPAETINSPVRPTVPTTAAAILSSATPRSAPESMPAVTSIPANLSSTLKDDDSMSFPPRRPSPAITEIGIGRGIARGITSQTLGTAPISIGPVPGNGSLVSPLGNKVQPQQVPRTNDAISSDSPSTNENPIIGGRVFSPPIVSGAQWRPQTAGAFQNQSETSQFRGRPEISADQREKYLQRYHQVQQQQGSLINVSNIAGINQKQFPTQQPNPLLQQFNSQSSSISSQVNLGLGAQVSDAGHVKSEEQQQSLAEDVGVESAATTGANKQTSEDDTKIPYPNPAAPAAENSQLPRETDLLPGQPLQPGMSSSGVGVIGRRSMSDLGAIGDNLTGTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKNYVPRHPAVTPASYPQIQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAAKELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQAN >PAN45866 pep chromosome:PHallii_v3.1:9:9082380:9091905:1 gene:PAHAL_9G148000 transcript:PAN45866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLESQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTSAVLSTKSSVATSSTQPTVSTTSSQSTSQDQTEETVSQESNPESTPQTPPSKGGNLGPSVPVVPIAVSTGSVAVSVPAETINSPVRPTVPTTAAAILSSATPRSAPESMPAVTSIPANLSSTLKDDDSMSFPPRRPSPAITEIGIGRGIARGITSQTLGTAPISIGPVPGNGSVSALPAINDLSKRNLLNTDERINSGGLSQQLVSPLGNKVQPQQVPRTNDAISSDSPSTNENPIIGGRVFSPPIVSGAQWRPQTAGAFQNQSETSQFRGRPEISADQREKYLQRYHQVQQQQGSLINVSNIAGINQKQFPTQQPNPLLQQFNSQSSSISSQVNLGLGAQVSDAGHVKSEEQQQSLAEDVGVESAATTGANKQTSEDDTKIPYPNPAAPAAENSQLPRETDLLPGQPLQPGMSSSGVGVIGRRSMSDLGAIGDNLTGTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKNYVPRHPAVTPASYPQIQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAAKELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQAN >PAN45865 pep chromosome:PHallii_v3.1:9:9082380:9091905:1 gene:PAHAL_9G148000 transcript:PAN45865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLESQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKPTVSTTSSQSTSQDQTEETVSQESNPESTPQTPPSKGGNLGPSVPVVPIAVSTGSVAVSVPAETINSPVRPTVPTTAAAILSSATPRSAPESMPAVTSIPANLSSTLKDDDSMSFPPRRPSPAITEIGIGRGIARGITSQTLGTAPISIGPVPGNGSVSALPAINDLSKRNLLNTDERINSGGLSQQLVSPLGNKVQPQQVPRTNDAISSDSPSTNENPIIGGRVFSPPIVSGAQWRPQTAGAFQNQSETSQFRGRPEISADQREKYLQRYHQVQQQQGSLINVSNIAGINQKQFPTQQPNPLLQQFNSQSSSISSQVNLGLGAQVSDAGHVKSEEQQQSLAEDVGVESAATTGANKQTSEDDTKIPYPNPAAPAAENSQLPRETDLLPGQPLQPGMSSSGVGVIGRRSMSDLGAIGDNLTGTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKNYVPRHPAVTPASYPQIQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAAKELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQAN >PAN45867 pep chromosome:PHallii_v3.1:9:9082380:9091905:1 gene:PAHAL_9G148000 transcript:PAN45867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLESQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTSAVLSTKSSVATSSTQPTVSTTSSQSTSQDQTEETVSQESNPESTPQTPPSKGGNLGPSVPVVPIAVSTGSVAVSVPAETINSPVRPTVPTTAAAILSSATPRSAPESMPAVTSIPANLSSTLKDDDSMSFPPRRPSPAITEIGIGRGIARGITSQTLGTAPISIGPVPGNGSLVSPLGNKVQPQQVPRTNDAISSDSPSTNENPIIGGRVFSPPIVSGAQWRPQTAGAFQNQSETSQFRGRPEISADQREKYLQRYHQVQQQQGSLINVSNIAGINQKQFPTQQPNPLLQQFNSQSSSISSQVNLGLGAQVSDAGHVKSEEQQQSLAEDVGVESAATTGANKQTSEDDTKIPYPNPAAPAAENSQLPRETDLLPGQPLQPGMSSSGVGVIGRRSMSDLGAIGDNLTGTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKNYVPRHPAVTPASYPQIQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAAKELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQAN >PVH31445 pep chromosome:PHallii_v3.1:9:9082380:9091905:1 gene:PAHAL_9G148000 transcript:PVH31445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLESQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTSAVLSTKSSVATSSTQPTVSTTSSQSTSQDQTEETVSQESNPESTPQTPPSKGGNLGPSVPVVPIAVSTGSVAVSVPAETINSPVRPTVPTTAAAILSSATPRSAPESMPAVTSIPANLSSTLKDDDSMSFPPRRPSPAITEIGIGRGIARGITSQTLGTAPISIGPVPGNGSVSALPAINDLSKRNLLNTDERINSGGLSQQLVSPLGNKVQPQQVPRTNDAISSDSPSTNENPIIGGRVFSPPIVSGAQWRPQTAGAFQNQSETSQFRGRPEISADQREKYLQRYHQVQQQQGSLINVSNIAGINQKQFPTQQPNPLLQQFNSQSSSISSQVNLGLGAQVSDAGHVKSEEQQQSLAEDVGVESAATTGANKQTSEDDTKIPYPNPAAPAAENSQLPRETDLLPGQPLQPGMSSSGVGVIGRRSMSDLGAIGDNLTGTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKNYVPRHPAVTPASYPQIQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAAKELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQAN >PAN50338 pep chromosome:PHallii_v3.1:9:65474175:65476339:-1 gene:PAHAL_9G513600 transcript:PAN50338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNGDDGPAVGIDLGTTYSCVSVWRNDRGEVIPNDQGNLLTPSCVAFAGAARLVGDAAVNQAALNPANTIHDVKRLIGRRFSDEIVQEDIKLWPFKVVAGRQDRPMILVQYKGEEKQFSAKEISSMVLAKMKETAEVYLETTVKHAVITVPVYFNNSQRQATIDAGTIAGLDVMCINEPIAAAIAYGLEKMPSSDGRRTVLIFDLGGGTMDVSLLNIDPGVDIDMALFDVKAIAGDTHLGGADFDNEMVKHFLREFIRKHKKMDVRSNPRALRRLRAACERAKRLLSSTSQTSIDIDSFHDGIDFYATMTRCRFEELNRDLFGKCVEAVEKCLRDAAVDRSSIDDVVLVGGSTRIPKVQSMLRDFFGGKELCLGINPDEAVAHGAAIKAAVIRSDAGRQKMRELILVEVTPLSAGNTTVPAKKEWLFSTCTDNQDSVLIRVYEGERASTRDNYLLGRFELSGIARAPRAAPRIVVSFEVDENGVLNVSAEDKTTGQKKEITISSDRGLLSKEEIERIVQEAEEFKAEDKEKMKEAGQTNGRP >PAN51473 pep chromosome:PHallii_v3.1:9:70788043:70789350:1 gene:PAHAL_9G596900 transcript:PAN51473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCEPHRLHCLNTAPGLPHANHSSTCTSASDQALFQFQFQLHLTSAAMEAAVECAKCECCGLVEDCTQDYILGVRATFGGRWLCGLCSEAVRDEAARGTTTKQRGPAAAAAAARLEEALRDHMAFCGKCRRSPAFRVADGMRQMLRRCSK >PAN50934 pep chromosome:PHallii_v3.1:9:68420282:68422507:-1 gene:PAHAL_9G558000 transcript:PAN50934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFASNAIAGSLKKKVQPTKCSTSNPDCSDDEVSSCTSREEGLDCPICWESFNLVENVPYVMWCGHTMCKNCILGLHWAVVKFPSLPIQLPLFISCPWCNLLSFRLVYKGNLRFPRKNYFLLWMVESMNGERAKFHSTNHNEHHSSWHSSAGPSSSHHHRRNAVIRAESSSGRDTNFIRNIFHTDNISASLQKLMVCFMQLTAKFPLVIIFLLIVLYAVPASAAVLVLYVLVTFLFALPSFLILYFAYPSLDWLVREIFA >PAN51522 pep chromosome:PHallii_v3.1:9:70989056:70990578:-1 gene:PAHAL_9G599900 transcript:PAN51522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLTLFVVVAAAFFAPAKGWNYGTATFYGGADASGTMGGACGYGNLYQAGYGTNTAALSSVLFNNGAACGQCYLIMCDSNASPWCKGGAAVTITATNFCPPNWAQPSNRGGWCNPPRPHFDMAQPAWERIGVYKAGIIPVLYQQVTCWRQGGIRITIGGSTFFQLVNFANVAGSGSIRSVSVKGTKTGWIALNRNWGANWQCNSALVGQELSFIVTSNGGQTLYLNNVVPAWWRFGMAFASNYNFYY >PAN46091 pep chromosome:PHallii_v3.1:9:10124043:10129079:1 gene:PAHAL_9G162700 transcript:PAN46091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVRALLSAATRGKVDELRQLVARSDAEVLGSVRTPKFDTAVHIAALHGHSRFVSEALSLNRELLVSRNSDGDTPLHLAARAGKERVARLLAGLAQGWTFDASSRDDGFQHPLEMENNAGDTPLHVAVRHRREPVALTLIEASPYSAFQLNDSMESPLHLAAREGLSYVVWKILGIATVEQRPSISDRGTPLHEAARGGHSFILMLLVQKRPELTELVDASGSTALHYAAERNEKRMVKILLRRSIFVALKRNNDLQSPLHVASSCGSTEAIMEILKQSAGIAERANALKCLLRYVQSEEVVNRADMDGNTPLHLAVKLGRPQMCLQLLRDQRINPCIVNKDGQTAGSILDTRIAHFVMLDPIFIPFAFLSLIAYNTKSIPSARGGKPKPLSKFLSQYVELRMGTYTLVSTLIATVTFSSLFTMPSGYDQQDGTAVLGHHAAFKVFVVANTLAMLSSIIIVAWSHWLTIISCVAMVVSVTTAVYLTVVAKSPLLAYLVIAMGCSTPVVVLVMLGKNVFATPA >PAN51776 pep chromosome:PHallii_v3.1:9:72249883:72251627:1 gene:PAHAL_9G619800 transcript:PAN51776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPLPATRAANETGAATPDQQGTGGAAARRAVSALLFLAAVALPCLVVYRHRAVALGDVLEPPRLGAPSDDDLDLDIDDAKLEEVLRGAAMANDTVILTTLNAAWSEPGSVLDVFLESFRTGESTRELLDHLVIVSLDTAAHARCRQVHRHCFALVTGGVDFSGQKNFMTDGYLKMMWSRINFLGQVLEKGFSFIFTDTDIVWFRNPLPHFYLDGDFQIACDHFTGDPDDLSNAPNGGFAYVRSNSETIEFYRFWYAAREKHPGLHDQDVLNSIKHDSFVAELGVKIKFLSTELFGGLCEPSRNMSRVCTMHANCCIGLSRKISDLNAMLRDWRRFMALPRDDKHSVSWTVPRNCR >PAN47848 pep chromosome:PHallii_v3.1:9:46880993:46886473:-1 gene:PAHAL_9G340400 transcript:PAN47848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRRSEAVRAPIVYHQQNLEEHEPLSSAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPDNPDSGLEKSDIKNKTDDQQDFINDQESLKVDESCKKGVPEDKPDEEDVCPICLEEYDEENPCSVTKCEHHFHLCCILEWMERSDTCPVCDQITLVDEMFE >PAN47849 pep chromosome:PHallii_v3.1:9:46881378:46886449:-1 gene:PAHAL_9G340400 transcript:PAN47849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRRSEAVRAPIVYHQQNLEEHEPLSSAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPDNPDSGLEKSDIKNKTDDQQDFINDQESLKVDESCKKGVPEDKPDEEDVCPICLEEYDEENPCSVTKCEHHFHLCCILEWMERSDTCPVCDQITLVDEMFE >PAN45479 pep chromosome:PHallii_v3.1:9:7296065:7299396:-1 gene:PAHAL_9G122400 transcript:PAN45479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPFARRDIEAAGAGAGAGSDDSPAAKKGKPELPGARPALTRSEAFAFAAVLALFTAGIFCVFLTAPRREFGQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGASSCYFVSKLIGRPLVSWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLVGLIPASYITVKAGRALGDLKSVRELYDFKTLVVLFLIGSVAVAPTILKRKRTYE >PVH32578 pep chromosome:PHallii_v3.1:9:59669133:59669812:1 gene:PAHAL_9G432000 transcript:PVH32578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESSLNLHGNRLTMPAMDKLELPCFLLCSAPFFSEPVTSGPKISKICV >PAN43946 pep chromosome:PHallii_v3.1:9:406653:407756:-1 gene:PAHAL_9G005000 transcript:PAN43946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSKPRNLGGSLPVPNVQHLAAAELTAPVLHRYLRDADADAPALSSTNAAAAAASSSVPVVDLARLLDPAHADEEAARLRAACQDWGFFHLVNHGVPDQVIHDVKEDIKAFFRLPLADKQALAQGPAGIEGYGQAFVVSEDQKLDWADMLFLSTQPPEYRSLNFWPSRPATFRGSLERYSLAVQRVATHLLAAMARNLGVEDTGKMTAIAAAQAMRINYYPPCPQAHDRVLGLSPHSDAVGLTLLLQVSPVPGLQIRREGAWIPVAPLPGALVANVGDVIEVLTNGRYKSIEHRAVVNASQERVSVAAFHSARFDANYGPLQEIIRAGEAPLYRTIAVEDYVKLLLSNKLQGKSAMDAMKINPPA >PAN47077 pep chromosome:PHallii_v3.1:9:15361249:15362379:1 gene:PAHAL_9G232400 transcript:PAN47077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCTKLVALGFAVLLSIGFSDAARVVKFGSYASAGGGGGGGGGGVGSTGGGWGGGSGGGGGYGAGQTSGSWWDRFASSVAGGGGGGQGGGGGTNGGAGSGGGSGYGSGSSSTAAAGPISGNYANANGNGGGGGMGGGADGGYGSGAGGGAGKGQGESGVALAPTGVYNGGVADATGGGSGQGGGNGGGAAGAPSYGTGGGIGGGRGESGSDGSWGSGFAQGIGAGTGGGGGGGSQGGSGGGGGVGSGSGSAGIH >PAN48808 pep chromosome:PHallii_v3.1:9:57590185:57591639:-1 gene:PAHAL_9G407200 transcript:PAN48808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGGELKLLGMWASPFVTRAKLALQIKGLSYEYVEEDLGSKSELLLSSNPVHKAVPVLIHDGKPVCESSVVVQYIDEAFAGAGPSLLPADPYERAVARFWAAYLEDKLVTPWRQVLRVKTDEERAEVMRQTIAAADVLEGGLKESSGGMGPFFGGESVGYVDVLLGGMISWVKATEVFSGAKIIDAAKTPLLAAWMERFCELDAARAVLQDVGALVEYARALQARFAAAAPNN >PAN48394 pep chromosome:PHallii_v3.1:9:54953745:54956464:1 gene:PAHAL_9G379400 transcript:PAN48394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPARPAALLAAFIMVLASAVSCRAQLANSYYAGKCGNASVETIIQDAVKARLAWDKRMVAGLLHLLFHDCFVAGCDASILLDGPNTEKTALQNNGLFGYDLIDDIKTTLENACPGVVSCADIIVAATRDAVGMCGGPIYQVQLGRRDGMVSQASMASILPGPNVDIPTAIDLFSKKGLNSFDMAILMGAHTVGVTHCSVIHNRLYNFNGTGLPDPAMDPLYVWILSTFACPQGQAFDNIVYLDDPSSILLVDKSYYAQIMKRHGVLSVDQALGDHSSTAWMVNFLATTDFFPSMFSYALNKLSALDVLTGNAGEIRRNCRRTN >PAN46853 pep chromosome:PHallii_v3.1:9:14345741:14347767:1 gene:PAHAL_9G219900 transcript:PAN46853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSRGRSKPRQGHGSKVAPSSPAGEQTIFKWSIDGFSSLIDKGAGWTYSRVFEAMGHNWCLKLNPRDKKSGDDKEYVSLRLELANSSVKPDTVVNASFKLLIYDQSFGKHSEHEVSHSFQTASTSSGIPWMISLRKLKKQPSTFLRNNGCVFGVEFLKVTTSKANTTSETLFVQKASIFNEAKTYTWDIEDFFALKNPGYSPEFEVGGYKWNIIMYPSRDGNHLSLYLKLKKTNDLPMDTANLVELTLYVKDQENGKHRKGTGRCQFAKNTRTWGWTKFISLEDFKDSGNGYLVKTKCCVVAEVAIVGSSKME >PAN44939 pep chromosome:PHallii_v3.1:9:4737391:4739096:-1 gene:PAHAL_9G082000 transcript:PAN44939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPRVLVLPYPAQGHVIPMMELSHCLVEHGVKVTFVNTELNHGLILDAMATRDSELGGVAMVSIPDGLGSGDDRKDLARLTDSFSTVMPGELEKLVGRINADATGSERISWLIADVNMAWAFPVAKRLGLRAAGFCPSAAAMFATRIKIPEMIRDGVLDEGGWPRWRGTFQLAPAMPPIDTSEFSWNRAGDPRGQPIIFQLILRNNAATHLAETIVCNSIQDLEPGAFALFPGVLPVGPLSSDKPVGSFWAEDASCAAWLDAQPASSVVYVAFGSFAAYDAAQLVELAEGLLLTSRPFLWVVRPGSAGEELLERLRRRTAPRGRVVGWCPQRRVLAHPAVACFLTHCGWNSTMEAAANGVPLLCWPYFTDQFLNQRYICDVWRTGLKVPRPAGGGTVQLVGRDVVRGKVEELLGDAGTKARALALRDLARRAVGEDGPSRRNLKGFADLVKGSAS >PAN51086 pep chromosome:PHallii_v3.1:9:69135508:69139770:-1 gene:PAHAL_9G568700 transcript:PAN51086 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MPANMISKILEKAVLPLEVIAPHVKTEAARTSVLRNPNMEKLQKGYLFPEISMKHEAHLKKYPDAKVISLGIGDTTEPIPRVITSAMAEYALALSTPEGYQGYGPEQGQMNLRKVIAEKVYPNMGIKESEVFISDGAQCDIARLQMLFGPNVTIAVQDPTFPGYVDNGVIVGQTGEADEAGKYAGISYMRCAPENHFFPDLSRVPRTDVIFFCSPNNPTGHAASAAQLRELVDFARRNGSIIVFDAAYAWYVSDEEEAGGGDNKKPRSIYEVPGAREVAIEICSFSKFAGFTGVRLGWAVVPGELRYADGSPVARDFDRIVCTCFNGASSVAQAGGLACLATEEGRAAVRRVVGVYKENARVLVDTFASLGKEVYGGADSPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFVRVSAFNSRDRVLEAAARLRKYLA >PAN49088 pep chromosome:PHallii_v3.1:9:59142748:59146355:-1 gene:PAHAL_9G425200 transcript:PAN49088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQEQFEIKFRLPDGTDIGPRRFPPASTVATLKETIIAQWPKDKEKGPRTVNDVKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPTSGKQSDKRAAKKAKDFRCGCAIM >PVH32546 pep chromosome:PHallii_v3.1:9:59142173:59146872:-1 gene:PAHAL_9G425200 transcript:PVH32546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQEQFEIKFRLPDGTDIGPRRFPPASTVATLKETIIAQWPKDKEKGPRTVNDVKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPTSGKQSDKRAAKKAKDFRCGCAIM >PAN45038 pep chromosome:PHallii_v3.1:9:5093820:5102036:-1 gene:PAHAL_9G089100 transcript:PAN45038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHATGLGMGHNGSKGTPMAGMEDGTAKDLKKVPASLEANKSSGDDDLGGGPALTGRKRKDWTRSSADENGAVTKRVLRSDAMRLRAEAETASGLAVEVSKTDSLNKKHREAVVEACKSGVLTTHTCNGEEGNILVNGNVGNISEESARRPENNMEMSGVAATEFPQGDGLGTRGSIAQLDDKRVKSDEKISAGTGEEQNESRAGTSSLSVDESQANKVSHGPFQGEVIDSAAANDDNKSTDLGRISPTSGSECVEHEDTVVCTEGVVLRSGDQEVEKHSHIDDVCTETEISLTESGRCTVDNHTDLTECSKQDEKGSLANETNDVSPHDIVFTRRKSKSRKACESKQVKCKEELRFEKRVTRSATVRQREVSGSSCKATANEATLGSKGRKGDIVAHYTRKVSSTVSLKPHHAALVERNTNAKKQTVKGKVENDNHANATENKDSENETKVNSKSQPLVRSISIVKKTTEAAVSAMDQNISGSAITEKNDTEHTDSDGVKSENKTPVQKPVMSVGAKIVASKKRILESGLDKIAGRSPIAMPSMKKTRNTSSDPDIEQLNKSSGEKLGGKNCDSGNKRVLRERQRRNQTNLSSRSSDHTNQNAIKLTQEQSDDDEVGCDTSYRRTRRGRSRDAPPPVVPEQEDSSDSEEDFVVKKNWQKRKKSDRTAGSKLKNTSAPSKAGRLGRSILNSSESSPLSLPAGKGKVKVAEGKGKSDRAPIMKTVSPSGQTNTGTLREEKQKISDQIKAILVDAGWTIDLRPRNGRNYMDSVYIPPNGKGSYWSVTKAYYAFRETMESEQKDSSKVQSLSKKSVGSPGKRQASSSSGCTLTEDILSKLKRVVVNRRTTKVEIQRLRKKAFKKGTSKEKKNKITNSRGNERKKRGGCALLARGSNKESDSSADGFVPYEWKRTIFSWLIDLDVISVNTKLKCMDESHLEVLLEGIVTRDGINCSCCGKVLSVLEFVAHAGGEVKKPYRNIVVDGLDIDLLHCLTNAWNKQSDSERQDFFPISIEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLGLEALPADDWCCANCSCKFCHEHSSDDAEDITDADSSLRSCSQCEEQYHQACSPEIDSITSDSDQSCNLFCQQSCRLLFEELQNLLGVKKDLEQEFSCRVVQRIHEDVPETVIALDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYSCGSNFVRLDFHGFYIFILERGDEMIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILRSLNVEKLIIPAISELVDTWTSKFGFSPLDDSEKQEVKSISMLVFPGTGLLQKPLLKKALPDEDPCPLGAGAILSGNKSGKPSDVAIEASLCSIASPDPLGSGVTEHMDTNGDGTCNGDVSQQSSHP >PAN45037 pep chromosome:PHallii_v3.1:9:5092841:5102513:-1 gene:PAHAL_9G089100 transcript:PAN45037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNGSKGTPMAGMEDGTAKDLKKVPASLEANKSSGDDDLGGGPALTGRKRKDWTRSSADENGAVTKRVLRSDAMRLRAEAETASGLAVEVSKTDSLNKKHREAVVEACKSGVLTTHTCNGEEGNILVNGNVGNISEESARRPENNMEMSGVAATEFPQGDGLGTRGSIAQLDDKRVKSDEKISAGTGEEQNESRAGTSSLSVDESQANKVSHGPFQGEVIDSAAANDDNKSTDLGRISPTSGSECVEHEDTVVCTEGVVLRSGDQEVEKHSHIDDVCTETEISLTESGRCTVDNHTDLTECSKQDEKGSLANETNDVSPHDIVFTRRKSKSRKACESKQVKCKEELRFEKRVTRSATVRQREVSGSSCKATANEATLGSKGRKGDIVAHYTRKVSSTVSLKPHHAALVERNTNAKKQTVKGKVENDNHANATENKDSENETKVNSKSQPLVRSISIVKKTTEAAVSAMDQNISGSAITEKNDTEHTDSDGVKSENKTPVQKPVMSVGAKIVASKKRILESGLDKIAGRSPIAMPSMKKTRNTSSDPDIEQLNKSSGEKLGGKNCDSGNKRVLRERQRRNQTNLSSRSSDHTNQNAIKLTQEQSDDDEVGCDTSYRRTRRGRSRDAPPPVVPEQEDSSDSEEDFVVKKNWQKRKKSDRTAGSKLKNTSAPSKAGRLGRSILNSSESSPLSLPAGKGKVKVAEGKGKSDRAPIMKTVSPSGQTNTGTLREEKQKISDQIKAILVDAGWTIDLRPRNGRNYMDSVYIPPNGKGSYWSVTKAYYAFRETMESEQKDSSKVQSLSKKSVGSPGKRQASSSSGCTLTEDILSKLKRVVVNRRTTKVEIQRLRKKAFKKGTSKEKKNKITNSRGNERKKRGGCALLARGSNKESDSSADGFVPYEWKRTIFSWLIDLDVISVNTKLKCMDESHLEVLLEGIVTRDGINCSCCGKVLSVLEFVAHAGGEVKKPYRNIVVDGLDIDLLHCLTNAWNKQSDSERQDFFPISIEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLGLEALPADDWCCANCSCKFCHEHSSDDAEDITDADSSLRSCSQCEEQYHQACSPEIDSITSDSDQSCNLFCQQSCRLLFEELQNLLGVKKDLEQEFSCRVVQRIHEDVPETVIALDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYSCGSNFVRLDFHGFYIFILERGDEMIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILRSLNVEKLIIPAISELVDTWTSKFGFSPLDDSEKQEVKSISMLVFPGTGLLQKPLLKKALPDEDPCPLGAGAILSGNKSGKPSDVAIEASLCSIASPDPLGSGVTEHMDTNGDGTCNGDVSQQSSHP >PAN50679 pep chromosome:PHallii_v3.1:9:67054317:67057589:1 gene:PAHAL_9G537300 transcript:PAN50679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSPMAFLTVFLAAAGSCLPVAAAGGPLPPAGTPLFFREGYTQLFGDSNLALHGDGKRVHISLDERTGAGFASQGAYLHGLFSARIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTEDFHRYAILWSRDRIIFYVDETPIREVVRTESMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFADLALRGCAVGRRACEEPGDAAAPPMSPAQRSAMEAFRARYMTYGYCYDRLRYPAPLPECSVGPEAAAFLPSGDARAALRRHGRRHRTRGGADSAL >PVH31241 pep chromosome:PHallii_v3.1:9:5309332:5310620:1 gene:PAHAL_9G093000 transcript:PVH31241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPWARPPPSQASPRRELRPCLGAPPNFGASSGLAPAHPPSSARAPASPRLGASSALLSSYRPGPGTRPRRGGEPGASAAASASSMASPRLGASSALLSNCRPGPGTRRRGRGGAANSAAASASSTTASSAYAAASDLVPVLSLVAMTLRSAGSSCTVQSLFS >PAN51754 pep chromosome:PHallii_v3.1:9:72144236:72150065:-1 gene:PAHAL_9G618300 transcript:PAN51754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRKPPPPPPPPPPPAETPSPQRRRKKKGRPSLLDLQRRSLRLQAQNPSPAPSPSRRDPNPSDDDDDGVGSGRRRQKRLKSVLSGVVKEEPGEGKKDAAKATGKGVAALDGGGPTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEIIEHPMDFSTIREKLLNDSYSNLEQFEDDVFLLTSNAMSYNSADTIYFRQARSIEALAKKDFENLRQPSDEEEEPKPPARRGRPPKNPKMEDDVSPDLSNVKTNKPEDNADTIRKRSTGDRTRNTSTPLKDPSAFHSMFGSFSAKRTDKIGDYSGSSKWGKKPPSLDDDRRSTYDQHYSRNISLFAAFDDERKLLVPVGVQQQHAYARSLARFAGKLGPVGWDIAANRIRRALPPGTNFGPGWVVDGEPPQNSQCPLVVASTNPSSEDTAPPNMPSKTDVLHHKSGLSSNGDVTGEALTRTQTVASTSASFDKSSEITSKVMKHENGVNKSCGGTDSTGTAPPLQQHSHNREIHSNINGFTAMSNAMSQYGGQGLFGSGIPMTHAQVLGMFSAMNGKANGYIHGHQLTADSLKTAQNGDVGRATVNPVQGAGQDPKNANDNNSAHPSLNAGVQSSGSPPRGKLANPKHPDLALQL >PAN50645 pep chromosome:PHallii_v3.1:9:66891502:66895003:1 gene:PAHAL_9G534700 transcript:PAN50645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARVFVVVLVLICIFLREGRAATFTFVNRCTGTVWPGIQSNAGSSRLDPTGFVLPPGTSRAVPVPSGWSGRVWARTGCAQDGAGKMVCATGDCGSGSLECNGQNAATPATLAEFTLAGGNGDDFYDVSLVDGYNLPILIEPAGGATGATTCAAAGCTADLNARCPAELRTEGGAGCRSACDAFGKPEYCCSGAYANPNTCRPTAYSQVFKSACPKSYSYAYDDPTSTFTCAGGRDYTVTFCPVATPSVKSSGGPGATTAPPTTGGLTPTLPGAGTGATPQMPRPAGGQQGGADGQGVMLGDNSWIASLATGDASSAPPPTRVALALRSSLLAPFLLLGLLL >PVH32873 pep chromosome:PHallii_v3.1:9:65277874:65279043:1 gene:PAHAL_9G511000 transcript:PVH32873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPSAPLPASAAAAAAAGNGRATSASPVDALFLQNLMSRVQLRPPFLDTNSFLTQDLDDFLLNEFAALSAAAGASDDDDDEDDEDDGLAGGEARRRRMLAREEAKLEKEIVRMVLAGEAEEKLKPNSGQSVAVGDHHLCVGFHDEAGGEYRVWEWHGHVMLFDDEDGYSAEYIYGNHFEPLAAATARAKKREKEKREKDLSMGLRDLVVGTDDGGNGNRSKENGSSGGPRVVRRNVVNSPAAPAR >PAN49273 pep chromosome:PHallii_v3.1:9:60342036:60347949:1 gene:PAHAL_9G438300 transcript:PAN49273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAAGVAALLLATVFTGVTGDTNSDDVTALNTFYTTLNSPSQLTNWVPQNGDPCGQSWLGVTCSGSRVITIKVPGMGLNGTLGYNMNLLTELSELDVSNNNLGGSDIPYNLPPNLERLNLNKNNFIGTLPYSISQMSTLQYLNLGHNQLSDINVVFDQLTNLTTLDLSYNSFSGTLPESFSNMTSLSTLYLQNNQFTGTIDVLTDLPLTELNVANNQFSGSIPDKLKSINNLQTHGNSFSNSPAPAAMEPPSDTPPSRRPSPSRTPSSSKNNSSPSQGSDTDNGGSDGKSSKVGGAAVAGIVISLVVVGALVAFFLIKRKAMRRQQGGDLEKNKRLSPLASGKINQLRPIRSISLSRTGKELKNNVSMNLKPPSRIELHKFSDENDPTNKPATKKVNLSSIRATAYTVADLQVATESFSADNLVGEGSFGRVYRAQLSDQKVLAVKKINFSAIPDHPSDFFIKLVANVAKLNHPNLSELDGYCSEHGQCLLAYEFYKNGCLHDLLHLSDGNSRSLSWNNRVKIALGSARALEYLHETCSPSIIHKNFKSSNILLDDELNPHISDCGFAELIPNQELQESDDNSGYRAPEATMSGQYSQKSDVYSFGVVMLELLTGRKAFDSSQPRSQQSLVRWATPQLHDIELLDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGAGLSSERNSCRFDESGDHTF >PAN49274 pep chromosome:PHallii_v3.1:9:60342036:60347949:1 gene:PAHAL_9G438300 transcript:PAN49274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAAGVAALLLATVFTGVTGDTNSDDVTALNTFYTTLNSPSQLTNWVPQNGDPCGQSWLGVTCSGSRVITIKVPGMGLNGTLGYNMNLLTELSELDVSNNNLGGSDIPYNLPPNLERLNLNKNNFIGTLPYSISQMSTLQYLNLGHNQLSDINVVFDQLTNLTTLDLSYNSFSGTLPESFSNMTSLSTLYLQNNQFTGTIDVLTDLPLTELNVANNQFSGSIPDKLKSINNLQTHGNSFSNSPAPAAMEPPSDTPPSRRPSPSRTPSSSKNNSSPSQGSDTDNGGSDGKSSKVGGAAVAGIVISLVVVGALVAFFLIKRKAMRRQQGGDLEKNKRLSPLASGKINQLRPIRSISLSRTGKELKNNVSMNLKPPSRIELHKFSDENDPTNKPATKKVNLSSIRATAYTVADLQVATESFSADNLVGEGSFGRVYRAQLSDQKVLAVKKINFSAIPDHPSDFFIKLVANVAKLNHPNLSELDGYCSEHGQCLLAYEFYKNGCLHDLLHLSDGNSRSLSWNNRVKIALGSARALEYLHETCSPSIIHKNFKSSNILLDDELNPHISDCGFAELIPNQELQESDDNSGYRAPEATMSGQYSQKSDVYSFGVVMLELLTGRKAFDSSQPRSQQSLVRWATPQLHDIELLDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGAGLSSERNSCRFDESGDHTF >PVH32170 pep chromosome:PHallii_v3.1:9:46103837:46104088:-1 gene:PAHAL_9G339000 transcript:PVH32170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGARAQGDVGRRCGHEGPLRVAGGARLPPPCTVSGCPSRRCGKPRRRRMPFPFRMGSLSCARHFHFARLLLSPLRSCSPSI >PAN43887 pep chromosome:PHallii_v3.1:9:22641:30591:-1 gene:PAHAL_9G000300 transcript:PAN43887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCEGLIGRFGSSNKRNITILKNVNGVLKPSRMTLLLGPPSSGKSTLMRALTGKLDKNLKVSGSITYCGHPISEFYPERTSAYVGQYDLHNAEMTVRETLDFSRRCLGIGARYEMLAELARRERDAGIKPDPEIDAFMKATAVQGQETNILTDVTLKVLGLDICADIIVGDEMLRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKFMRQLVHVMNETVVISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSKKDQQQYWYLDEEYHYVSVPEFAERFKSFHVGQLMQKELQTPFEKSKTHPAALTTRKYGLSSWESLKAVMSREQLLMKRNSFIYIFKVTQLIILALMSMTVFLRTKMPHGQISDGNKFFGALTFSLITVMFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGLANIILKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFATHQVAMALFRFLGAFLKTMVVANTFGMFVLLIIFIFGGFVIRRNDIKPWWIWGYWASPMMYSQNAISINEFLASRWAIPNNDTTIDAPTVGKAILKSKGLFTGEWGFWVSIGALIGFIILFNILYLWALTYLSPSSGSNTQISEGEDNEHEMVVKGRNKDEISQAVYSDPGTNGATKRPAQSRVTLTFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVVEGDITLSGFPKKQETFARISGYCEQTDIHSPNVTVYESIIYSAWLRLSSDVDDSTKKVVTQGKLLTEMFVEEVMALVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGELGRHSHKLVEYFEAIPGVPKITEGYNPATWVLEVSSPLSEARLNMNFAEIYANSVLYRKNQELIKELNTPPPDYQDLSFPTKYSQNFYGQCVANFWKQYRSYWKNPPYNAMRYLMTLLFGLVFGTVFWQKGKNIDSQQDLYNLLGATYAATFFLGASNCMTVQPVVSIERAVFYREKAAGMYSPLSYAFAQACVEVIYNIIQGILYTVLIYTMIGYDWKADKFFYFLFFITASFNYFTLFGMMLVACTPSALLANILITFALPLWNLFAGFLIVRPALPIWWRWYYWANPVSWTIYGAVASQFGENGGLLSVPGGSPVMVKEFLKDNLGIRHDFLGYVVLVHFAYIIAFFFVFGYSIKFFNFQKR >PAN43886 pep chromosome:PHallii_v3.1:9:22613:30602:-1 gene:PAHAL_9G000300 transcript:PAN43886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCEGLIGRFGSSNKRNITILKNVNGVLKPSRMTLLLGPPSSGKSTLMRALTGKLDKNLKVSGSITYCGHPISEFYPERTSAYVGQYDLHNAEMTVRETLDFSRRCLGIGARYEMLAELARRERDAGIKPDPEIDAFMKATAVQGQETNILTDVTLKVLGLDICADIIVGDEMLRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKFMRQLVHVMNETVVISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSKKDQQQYWYLDEEYHYVSVPEFAERFKSFHVGQLMQKELQTPFEKSKTHPAALTTRKYGLSSWESLKAVMSREQLLMKRNSFIYIFKVTQLIILALMSMTVFLRTKMPHGQISDGNKFFGALTFSLITVMFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGLANIILKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFATHQVAMALFRFLGAFLKTMVVANTFGMFVLLIIFIFGGFVIRRNDIKPWWIWGYWASPMMYSQNAISINEFLASRWAIPNNDTTIDAPTVGKAILKSKGLFTGEWGFWVSIGALIGFIILFNILYLWALTYLSPSSGSNTQISEGEDNEHEMVVKGRNKDEISQAVYSDPGTNGATKRPAQSRVTLTFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVVEGDITLSGFPKKQETFARISGYCEQTDIHSPNVTVYESIIYSAWLRLSSDVDDSTKKMFVEEVMALVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGELGRHSHKLVEYFEAIPGVPKITEGYNPATWVLEVSSPLSEARLNMNFAEIYANSVLYRKNQELIKELNTPPPDYQDLSFPTKYSQNFYGQCVANFWKQYRSYWKNPPYNAMRYLMTLLFGLVFGTVFWQKGKNIDSQQDLYNLLGATYAATFFLGASNCMTVQPVVSIERAVFYREKAAGMYSPLSYAFAQACVEVIYNIIQGILYTVLIYTMIGYDWKADKFFYFLFFITASFNYFTLFGMMLVACTPSALLANILITFALPLWNLFAGFLIVRPALPIWWRWYYWANPVSWTIYGAVASQFGENGGLLSVPGGSPVMVKEFLKDNLGIRHDFLGYVVLVHFAYIIAFFFVFGYSIKFFNFQKR >PAN44991 pep chromosome:PHallii_v3.1:9:4967519:4970100:1 gene:PAHAL_9G086400 transcript:PAN44991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASAVSRYAAYDSPSPSPSPRRAAQPSAPTPGGAHGSSSSSSRALVVAGRSGRDLLGAGGRPQPHHQGNLGSVLRRLISMDKKPPSAKAHLPVPPAAVAAAAKNNGGGKLPGLSRKLFQKGSPADAAAAKKTKALTDVKNGGNNANTRTLAMVLRSERELLAQSKAQEDEIAALRLQLENKDREVERLKDLCLRQREEIRTLKDAVLFPDAGPEPEPDHRLRDEISTLTNQIQCLAQELAQVKAEKHSARSGFDDDGYCSSPRTPGFNEETAFSLECSIGEAETPNCGSPDEMFSKDLNPCLTPCIVKSKSDVSAQFQSSSHFIKECQESSGSHRSSSKARADRSYNSFGKPISKSSDHQKPTSGTSSKRRVYKSDQDKIYQNLF >PAN50089 pep chromosome:PHallii_v3.1:9:64399987:64403858:-1 gene:PAHAL_9G497300 transcript:PAN50089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAPIRDLLTSFSPSADFLALSSGDGRIKVWDAVRGRLQTEFADIPAVEAGAGAETKRGHLALDYTCMKWVQLSGKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWKVSNCHPGGVTAVAYSKHGPIVYTAGADGMVCRIDASDGSVLGKFRSSSKAISALAVSSDGNILATAAGQLRTFDTSDNKKIQKFSGHPVAVRSMVFSNDSQYVLSSGIGERYIAIWKLGGSKTQSSNCILSMEHPAIFVDCKCSDEGEIHVLAISEIGVCYFWSACNTDDLRNKKPTKITLSESSLSRTQAFSIFAAKLQGMDGPNSAHVLLAYGSVVKPSFDKLLLCYGTDINLGMSQDGVLLPNTQATMAKKGQSVKKQETVTALDRANAEDAILPLPKLHTQEKKRKHDVTKPSGDIEPSIHSDLTTTSPIQKRVLVQRIERGICIEDMMRECGVLDNGVDQSTEGHPGISTNIFSGFFGDGSIKVDANLPSKKIRAHLRSLKPGDACKLLENLISAWKTRSGSTELVLRWIYCLLVIHGRFIHSEKSTKLISNLEKMCAERYTATEDLLKLSGRLQLIRAQVDKAANNASELPSEDKQVADVAAQSDEEEEEEVDEMVFGQDSDSSKNGYDDAE >PAN50090 pep chromosome:PHallii_v3.1:9:64399209:64404023:-1 gene:PAHAL_9G497300 transcript:PAN50090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAPIRDLLTSFSPSADFLALSSGDGRIKVWDAVRGRLQTEFADIPAVEAGAGAETKRGHLALDYTCMKWVQLSGKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWKVSNCHPGGVTAVAYSKHGPIVYTAGADGMVCRIDASDGSVLGKFRSSSKAISALAVSSDGNILATAAGQLRTFDTSDNKKIQKFSGHPVAVRSMVFSNDSQYVLSSGIGERYIAIWKLGGSKTQSSNCILSMEHPAIFVDCKCSDEGEIHVLAISEIGVCYFWSACNTDDLRNKKPTKITLSESSLSRTQAFSIFAAKLQGMDGPNSAHVLLAYGSVVKPSFDKLLLCYGTDINLGMSQDGVLLPNTQATMAKKGQSVKKQETVTALDRANAEDAILPLPKLHTQEKKRKHDVTKPSGDIEPSIHSDLTTTSPIQKRVLVQRIERGICIEDMMRECGVLDNGVDQSTEGHPGISTNIFSGFFGDGSIKVDANLPSKKIRAHLRSLKPGDACKLLENLISAWKTRSGSTELVLRWIYCLLVIHGRFIHSEKSTKLISNLEKMCAERYTATEDLLKLSGRLQLIRAQVDKAANNASELPSEDKQVADVAAQSDEEEEEEVDEMVFGQDSDSSKNGYDDAE >PVH32829 pep chromosome:PHallii_v3.1:9:64399987:64403858:-1 gene:PAHAL_9G497300 transcript:PVH32829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAPIRDLLTSFSPSADFLALSSGDGRIKVWDAVRGRLQTEFADIPAVEAGAGAETKRGHLALDYTCMKWVQLSGKQKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWKVSNCHPGGVTAVAYSKHGPIVYTAGADGMVCRIDASDGSVLGKFRSSSKAISALAVSSDGNILATAAGQLRTFDTSDNKKIQKFSGHPVAVRSMVFSNDSQYVLSSGIGERYIAIWKLGGSKTQSSNCILSMEHPAIFVDCKCSDEGEIHVLAISEIGVCYFWSACNTDDLRNKKPTKITLSESSLSRTQAFSIFAAKLQGMDGPNSAHVLLAYGSVVKPSFDKLLLCYGTDINLGMSQDGVLLPNTQATMAKKGQSVKKQETVTALDRANAEDAILPLPKLHTQEKKRKHDVTKPSGDIEPSIHSDLTTTSPIQKRVLVQRIERGICIEDMMRECGVLDNGVDQSTEGHPGISTNIFSGFFGDGSIKVDANLPSKKIRAHLRSLKPGDACKLLENLISAWKTRSGSTELVLRWIYCLLVIHGRFIHSEKSTKLISNLEKMCAERYTATEDLLKLSGRLQLIRAQVDKAANNASELPSEDKQVADVAAQSDEEEEEEVDEMVFGQDSDSSKNGYDDAE >PVH31334 pep chromosome:PHallii_v3.1:9:6886544:6900100:1 gene:PAHAL_9G117500 transcript:PVH31334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQKNEAPSKSVSTSLNMESTSAIALQAVAGPYMWNSQWSVAVQCIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGNENSAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPQVTQCLTAVVHRAFETMLSWLEDRISDIGEGADVRESVLSDHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATIRSLYQKIAREWLTSALSYAPCTTQGLIQENFCKPSGVQRTQHTADVVSLLSEIRICSGKNDWNGIRTANIPAVMDSAAAASGAKKEAPDFTLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGVNMGMAPPGTQSAQPHQSFDEVFVSKFVSLLQSFVVAAEKQPIDNSQFRETCSQATALLLDHMMSDSRANLEGFSQLIRLLCWCPAYISTPDSMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPAHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFSYAPEWYESQNKSYAQREAQSVSVFVHFLQNVRSSNPVDSASKSQGREGEHSTADQIHPVWGCVDNYATAREKRKQLLLTLSQNEADRLEVWAQPINTKDTTTFRGKISSDKWIDHIRTAFAVDPRIALSMPLRFPTNATMQSEITQLVQTRLLELRTIPEALPFFITPKAVDENSVLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEQKLVEGYLLGATRRSNIFAHILIWHLQGEYVDESEKDAAALKGTAFQSLLPAVRDKIIESFTPEARDMFEREFDFFDKVTSISGVLFPLPKDERRAGIRRELEKITIPGDDLYLPTATNKFVRGIQLDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVISLLRDVFQAVGLNLYLFPYGVLPTGPGRGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAARRHMNGILTTVNLMVDSGLPCFSRGDPINNLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >PAN45422 pep chromosome:PHallii_v3.1:9:6883196:6900100:1 gene:PAHAL_9G117500 transcript:PAN45422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNELCDLVAAHPDLLLADKLAWLSSRCAAAPAAAAPQRASRAHLHSLLALARLLPAGGAGAGGDAVPPAPLLSFLKAHAFLSPAFWPQSFAPAPFLSRLLPLLAAAPASPALSSALSAALLAALDVADPASAPLARAFLSASAAAAPLPLLPADAAPVAARLLLEFPASKDAPARTKGKGEEAVGEENGGIRDVVRRFEEEQVEELERKEVAFRLIVHMLGGEGGLEAEQVGKVRNAAARQVRSLTDFLKIRKRDWREQGAQLRARINTKLMCCQAAVVVLVRSVSTMDTDSKSSKDMLQQTLAWFIDATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGRSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKGKDAVPVIRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKALGASENNTVPSEATTERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLVAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQKNEAPSKSVSTSLNMESTSAIALQAVAGPYMWNSQWSVAVQCIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGNENSAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPQVTQCLTAVVHRAFETMLSWLEDRISDIGEGADVRESVLSDHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATIRSLYQKIAREWLTSALSYAPCTTQGLIQENFCKPSGVQRTQHTADVVSLLSEIRICSGKNDWNGIRTANIPAVMDSAAAASGAKKEAPDFTLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGVNMGMAPPGTQSAQPHQSFDEVFVSKFVSLLQSFVVAAEKQPIDNSQFRETCSQATALLLDHMMSDSRANLEGFSQLIRLLCWCPAYISTPDSMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPAHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFSYAPEWYESQNKSYAQREAQSVSVFVHFLQNVRSSNPVDSASKSQGREGEHSTADQIHPVWGCVDNYATAREKRKQLLLTLSQNEADRLEVWAQPINTKDTTTFRGKISSDKWIDHIRTAFAVDPRIALSMPLRFPTNATMQSEITQLVQTRLLELRTIPEALPFFITPKAVDENSVLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEQKLVEGYLLGATRRSNIFAHILIWHLQGEYVDESEKDAAALKGTAFQSLLPAVRDKIIESFTPEARDMFEREFDFFDKVTSISGVLFPLPKDERRAGIRRELEKITIPGDDLYLPTATNKFVRGIQLDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVISLLRDVFQAVGLNLYLFPYGVLPTGPGRGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAARRHMNGILTTVNLMVDSGLPCFSRGDPINNLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >PAN48357 pep chromosome:PHallii_v3.1:9:54717035:54717890:1 gene:PAHAL_9G377400 transcript:PAN48357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSATALKATVAAIFMVVAMSSMGKPAAAAGTSCRERHCISECPSKCQAKADSSCSGLKYGGSQYCLMSCLDVCKSSCKSACNSGDPMCPSGGTEACDPTCTTKCDNHCNDQERPNYRPCLSAVFQGCKNSCEEGCKGGN >PAN52105 pep chromosome:PHallii_v3.1:9:73801203:73801692:1 gene:PAHAL_9G642500 transcript:PAN52105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLYGDDDYPGHHGQQQHQSAAAAVAKVLAAFSMLLLSGLALTATVLALIVATPLLVIFSPVLVPAAITVALLTAGFVSSGGFGTAAVGVLAWMYRYLKTPEQQQQQLSSKDWAHQRLEQARAP >PVH32258 pep chromosome:PHallii_v3.1:9:51500131:51502535:1 gene:PAHAL_9G355300 transcript:PVH32258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPTTASFLRPPPLPHTPHPRLLSRPPSASFRVAEILGGRGLCNGEVGVRKELASSPPPPTTTTTSFSPESSTESTPTDAAPPAVDPGAFEKELLGLTGGFPGGEVGLKDFVAKNPPPPPRSKKSVSQPQAGLSAPPRPPELPLFLPGMVVLVKNPNNAYHKYCGIVQRVTDGKVAVLFEGGNWDRLITFNLDELEGREKGPPMVNPKSVVLEDLVAELDNDDDKEDEAAKKEEPEGTVAAA >PVH32259 pep chromosome:PHallii_v3.1:9:51500131:51502535:1 gene:PAHAL_9G355300 transcript:PVH32259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPTTASFLRPPPLPHTPHPRLLSRPPSASFRVAEILGGRGLCNGEVGVRKELASSPPPPTTTTTSFSPESSTESTPTDAAPPAVDPGAFEKELLGLTGGFPGGEVGLKDFVAKNPPPPPRSKKSVSQPQAGLSAPPRPPELPLFLPGMVVLVKNPNNAYHKYCGIVQRVTDGKVAVLFEGGNWDRLITFNLDELEGREKGPPMVNPKSVVLEDLVAELDNDDDKEDEAAKKEEPEGTVAAA >PAN47115 pep chromosome:PHallii_v3.1:9:15710374:15712909:1 gene:PAHAL_9G235200 transcript:PAN47115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGDNLQVLSALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDTAKPDPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLMLMVICSIASALSFGHTPTGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGIVTLIISAAFRAGYPAPAYQDNAAASTVTQADFVWRIILMLGAAPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQTEIFDEQEKLDEMVTASGNNFGLFSREFARRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFTSINWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVALIDVVGRFAIQLLGFFMMTVFMLGLAIPYHHWTTSGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQNQDKSKADHGYPAGIGVRNSLFVLAGVNMLGFFLTFLVPESKGKSLEEMSGEAEDGEEAAAAGGGRAVRPSETQMV >PAN51446 pep chromosome:PHallii_v3.1:9:70644947:70645153:1 gene:PAHAL_9G595200 transcript:PAN51446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVLLAVVLMQCCNAIVAARPLLEAAAVAGGDGGWLGLINQVLDKGNPSGPPPNGNPGGGQGPRRP >PAN49389 pep chromosome:PHallii_v3.1:9:60875058:60878729:-1 gene:PAHAL_9G445400 transcript:PAN49389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPIKMKRGLLILWSLFYFSAANTATRNQANHPLEYDDLRLSGKYIEGKLDSTLKNGTSPSVHRAPKNNLQDQDKIVSMPGQTGIVEFDQYAGYVTVDGKAGRALFYYFVEAPQDPLDKPLVLWLNGGPGCSSFGSGAMLELGPFSVRSDNKTLYRKRHAWNRVANMLFVEIPAGVGYSYSNTTSDYYNIGDQRTTDDAYTFLENWLEKFPEYQDRDFFITGESYAGHYIPELANLIVSKNRANNATNIKLKGVAIGNADLDDNLTLQASFDYYWMHAMISRKAYRAIKDKCGFNGTYTKDCQNAMKLATQEKGNVDDYDIYAPTCHDASNSSKSSDSLVFGDPCTNHYVSSYLNRPEVQKALHANTTGLDYPWMDCSQHVFDNWKDSPETMLPSIKKVISSGIRIWLYSGDMDAVCSFISTQYVLDILELPIETSWRPWRLDNEVAGYVVGYKGLVFATVKGAGHMVPYYQPRRALALFSSFLEGKLPPH >PVH32621 pep chromosome:PHallii_v3.1:9:60875555:60878677:-1 gene:PAHAL_9G445400 transcript:PVH32621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPIKMKRGLLILWSLFYFSAANTATRNQANHPLEYDDLRLSGKYIEGKLDSTLKNGTSPSVHRAPKNNLQDQDKIVSMPGQTGIVEFDQYAGYVTVDGKAGRALFYYFVEAPQDPLDKPLVLWLNGGPGCSSFGSGAMLELGPFSVRSDNKTLYRKRHAWNRVANMLFVEIPAGVGYSYSNTTSDYYNIGDQRTTDDAYTFLENWLEKFPEYQDRDFFITGESYAGHYIPELANLIVSKNRANNATNIKLKGVAIGNADLDDNLTLQASFDYYWMHAMISRKAYRAIKDKCGFNGTYTKDCQNAMKLATQEKGNVDDYDIYAPTCHDASNSSKSSDSFQLVFGDPCTNHYVSSYLNRPEVQKALHANTTGLDYPWMDCSQHVFDNWKDSPETMLPSIKKVISSGIRIWLYSGDMDAVCSFISTQYVLDILELPIETSWRPWRLDNEVAGYVVGYKGLVFATVKGAGHMVPYYQPRRALALFSSFLEGKLPPH >PVH32176 pep chromosome:PHallii_v3.1:9:46755657:46756650:1 gene:PAHAL_9G340100 transcript:PVH32176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALFIHLVIARLQQPSAYLRQDVHDFTAGELAASHPSASSKAFKNTPPIISTTPLFGGDEEYRRLPRALQTSA >PAN48594 pep chromosome:PHallii_v3.1:9:56522766:56526080:-1 gene:PAHAL_9G393600 transcript:PAN48594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASRVSKRALSTFAAAKLPEAVAAAGEAVAAAEPLPPATPAPCERTGSRVLGFEDTGRLFAGEPTSALVRTLAALQALSVGPLVDAATAALRSPAVAGSALGRAAARATAYRHFCAGETADEAAAVVRRLWRGGMGGILDYGIEDAEDGHACDRNAAGFIAAVDVAASLPPGSASVCIKITALCPIALLEKTSDLLRWQKQHPSFNLPWKAHSFPILSDSSPLYLTASEPPALSAEEERELELAHERLLAVCARCAEHGIPLLVDAEYATVQPAIDYFTLVGALAFNGEACAADGERPIVHGTIQAYLRDARDRLEAMVAAAERERVRLGLKVVRGAYLTRETRLAASLGVPSPIHGSIQDTHDCYNGCAAFLLDRVRRGSASVMLATHNIESGQLAAAQALELGIPKGDRNLQFAQLMGMADGLSLSLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSASSFDRKLLRTELARRVKAVVVGRE >PAN50797 pep chromosome:PHallii_v3.1:9:67712727:67717118:1 gene:PAHAL_9G547200 transcript:PAN50797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGRSKSDSIRVLRMDSVDSSSPRCLAQEQQDAGELKDQNSTSKMPSCPTELPCSLKREVQVLEERLNDQFVMRRALEKALGYKPCSILSSNESCIPKPTEELIKEIAVLELEVICLEQHLLTLYRKAFEQQLCPANSSCDMENDRQPVRSLSGILSEASELDFSTPRKHPLVQSSRMVLARKSTPTTSTSETSHEKTNIGRSHSSLLHRSVRVSPSANNLARALKPCHTLPLSFVEEGKCMDSGIVSLADILGTRVADHVPQTPNKISEDMIKCIAAIYIRLRDVPTVQHAFFPSPCSSFSSASGLSSKYTADIWSPRCRKESFIEAWQENALGNGESRELGLQYDSVVEVSALCKGDQRSADVKDMIRKYMSLVQLLETADLSGMKNEEKLAFWINVHNAMMMHAHIEYGIPQSNSKRMLLTKVSYNISGQRVNAELIEYQILCCRSHSSGQWLRLLLYPKWKSRDKDELQGFAVDRPEPLVHFTLSSGSYSDPVVRLYSPKSLFQQLEAAKEEYIRANVGVRGRGQHKIILPKALELYARDAGLGPQEVVAAVECHLPEGLRDAARRSQHAGRARGRGGGGGGGFPGVEWKPHNLAFRYLLAKELVGGGSPACGRQLEKGGPVGAQRADP >PAN50800 pep chromosome:PHallii_v3.1:9:67712727:67717118:1 gene:PAHAL_9G547200 transcript:PAN50800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGRSKSDSIRVLRMDSVDSSSPRCLAQEQQDAGELKDQNSTSKMPSCPTELPCSLKREVQVLEERLNDQFVMRRALEKALGYKPCSILSSNESCIPKPTEELIKEIAVLELEVICLEQHLLTLYRKAFEQQLCPANSSCDMENDRQPVRSLSGILSEASELDFSTPRKHPLVQSSRMVLARKSTPTTSTSETSHEKTNIGRSHSSLLHRSVRVSPSANNLARALKPCHTLPLSFVEEGKCMDSGIVSLADILGTRVADHVPQTPNKISEDMIKCIAAIYIRLRDVPTVQHAFFPSPCSSFSSASGLSSKYTADIWSPRCRKESFIEAWQENALGNGESRELGLQYDSVVEVSALCKGDQRSADVKDMIRKYMSLVQLLETADLSGMKNEEKLAFWINVHNAMMMHAHIEYGIPQSNSKRMLLTKVSYNISGQRVNAELIEYQILCCRSHSSGQWLRLLLYPKWKSRDKDELQGFAVDRPEPLVHFTLSSGSYSDPVVRLYSPKSLFQQLEAAKEEYIRANVGVRGRGQHKIILPKALELYARDAGLGPQEVVAAVECHLPEGLRDAARRSQHAGRARGRGGGGGGGFPGVEWKPHNLAFRYLLAKELVGGGSPACGRQLEKGGPVGAQRADP >PAN49802 pep chromosome:PHallii_v3.1:9:62817504:62822972:1 gene:PAHAL_9G476300 transcript:PAN49802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRRAALSLALGLSLVALLAARGADASVHEYAGGGFAPRANSFFFHGGSEGLYASDPSSNSSASFIRFDTVVFRRTLESASRHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKFCRVGEVIIRPNPDNPEWPQRIQTFFEGKNEETTMLPQLVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLALGLLWFIQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITIWAVTFTAVKKTVSRLLLLVVSMGYGIVLPTLGGITSRVAALGFIYFVASEALELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAVSVLISIAWIGYELYFNATDPLSELWQRAWIIPSFWNVLSYALLAIICILWSPSRNPTGFAYSEDAGEGADEEGLSLVGSAMKGTGDMVNMHIFPEDKRA >PAN50735 pep chromosome:PHallii_v3.1:9:67343809:67350902:1 gene:PAHAL_9G542200 transcript:PAN50735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCFLRAAAAPRLLYRTAASRHLPSPLTVLRKGFSEQSVLPITDSVGSFQGPSVQNTPRIPLYDDNLSSGILDTLSNPTEGVPLADPSNGRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLEFIPSHAAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPAYKSNRTPTPDTVVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRVAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLKSVDEVEDERIKQVLISQAEQAILCKSLATLRSDLPSYMVPFKTADLVFQKPQDDGTKFIKLLRALEAYAEGSSADPIIRRATYIWNKLKS >PAN51302 pep chromosome:PHallii_v3.1:9:70080924:70081568:-1 gene:PAHAL_9G584200 transcript:PAN51302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATGSSSLRSSCSLPNLLVWLLNLSLLALATAAVGPVFLLRPRPTPFGWALVSVHAATLLSALAALCAQLTHLCLAGHAALALAAMSGHALASAAFFLRRDRSLALLGSARDRREQLVLAFLEEVLLLGMFLAQAVALAAACVVRRRWAREYQAAETEKAAVARKRGRKMARVQAESAAAAEAGVKAVDEKVMRSSSGKKVHWANNDGFEEC >PAN51807 pep chromosome:PHallii_v3.1:9:72373088:72377518:-1 gene:PAHAL_9G622100 transcript:PAN51807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVDELRSTSVVQKVHGQSVLLSRISSCSAMNNPVFNDAYSAYNVPRRSYHGMDAPVGLSSVMAPSPFLASAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGDCFTRTIKDEGFVSLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGERQFNGLLDVYKKTLASDGIRGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLVGSLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSMDAFKQIIAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGG >PVH32512 pep chromosome:PHallii_v3.1:9:58436726:58440075:1 gene:PAHAL_9G416700 transcript:PVH32512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLCWTTGYGFHEDSVKAGKAVAAGLLGKKCDLLMNPKVMVPSWTEAGARLVVARFLDQYVSVGGLSLIEEGGTTFSFGRACEKCHVKSVMQIHDPRFYWKVATEADLGLADAYINGYFSFPDQREGLLNLFLILIANRDVHKSSTNIASKRSYISRGWWTPLLLTAGVASAKYILSHVSRKNTVKQSRRNISKHYDLSNDFFALFLDPTMNYSSGIFKVEDESLEAAQLRKVRVLIDKANVERDHHVLEIGSGWGTLAIEVVKRTRCKYTGITLSEEQLKYAQRKVKEAGLEDHVTFLLCDYRHIPTCHKYDRIISCEMIEHVGHEYMNGFFSSCEYHLAEDGLFVLQFSSIPEERYDEYRRSSDFIKEYIFPGACLPSLARVTSAMSKASRLCIEHVENIGYHYYPTLMRWMDNLTDNRDKVLALGFDDRFIRTWEYYFIYSAAGFKSRTLGNYQIVFSRPGNDKLPNYVVAVA >PVH32511 pep chromosome:PHallii_v3.1:9:58436512:58440076:1 gene:PAHAL_9G416700 transcript:PVH32511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKVMVPSWTEAGARLVVARFLDQYVSVGGLSLIEEGGTTFSFGRACEKCHVKSVMQIHDPRFYWKVATEADLGLADAYINGYFSFPDQREGLLNLFLILIANRDVHKSSTNIASKRSYISRGWWTPLLLTAGVASAKYILSHVSRKNTVKQSRRNISKHYDLSNDFFALFLDPTMNYSSGIFKVEDESLEAAQLRKVRVLIDKANVERDHHVLEIGSGWGTLAIEVVKRTRCKYTGITLSEEQLKYAQRKVKEAGLEDHVTFLLCDYRHIPTCHKYDRIISCEMIEHVGHEYMNGFFSSCEYHLAEDGLFVLQFSSIPEERYDEYRRSSDFIKEYIFPGACLPSLARVTSAMSKASRLCIEHVENIGYHYYPTLMRWMDNLTDNRDKVLALGFDDRFIRTWEYYFIYSAAGFKSRTLGNYQIVFSRPGNDKLPNYVVAVA >PAN44780 pep chromosome:PHallii_v3.1:9:4009579:4016336:-1 gene:PAHAL_9G069700 transcript:PAN44780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPSIRAPASKQTATLQVAVKCRPLTDNEQRRSRHIIQVIDDKTVVVLDPDLSKDYLDLIQNRTKERRYTFDHVYPPGCSNSDVYKNISSTISGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFEMIKKDGGPDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPQHGIIVAGLRSIKVHSADRILELLNIGNSRRKTESTEANATSSRSHAVLEITVKRKQKGQYGNQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTLDTHVEDYQRMIDNLQVEVSQLKKELAEKEHQLSVKPTEKAADSELSWLNVLSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARHQVKEMDSTVLQALTSRRQVILDNIRDNDEAGSGYRKDIEMNESRRRQLQDMIEEAVSNNGNKTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQREALRSLWNILYGTGLNQKQIRKLAAKQGLTVEGCPLPSSSPDVTTPPSFPPHSRLPQFMSFPSPQSEPYSPSTCFFQHGFSTMSLHQNQHETPTICRQEHLSSYYMTSGCSPYSGDGKQWSSGRSMPFFSTPEKPREMSGFYPATESAQYQGSKEHSGSHGLGLHRKDSWSMERK >PVH32144 pep chromosome:PHallii_v3.1:9:42622566:42622919:-1 gene:PAHAL_9G328700 transcript:PVH32144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQLSETEYKSLSILQPTNPSTLPLIHSSTMLSPTIGNTSIEIVLESSYTLCCSLSSLITL >PVH31231 pep chromosome:PHallii_v3.1:9:5202905:5204082:-1 gene:PAHAL_9G091100 transcript:PVH31231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRRSGELGGGRTANRRRRHLYLVFDDWLWGYSIRKAPPGYPNDFAAAFGTKIIAMTPTNVDGTATHPLAPSCVVPVFDVRTRLFAFAPRPKMNLFDPIYFSVGEGLFVLSSGSFQLLHPPAALEEPGGDLCWEWRELPKHPFDRMDVTSYAVHPDGRTIFVSTSAATFTFDTAKVHRKWRRHGRWTLPFTGRAYFDGELDAWVGLIGDPDEIVGICAFDVVSSDPRVHGRAAPGLEAQQKQVLPVVQCVSIDDDCVDKKRNFHEFVHDQESGEIRPCRYLFRLTTFSLKFDKHGHLTAGNSQRVRYYKVPQAITDSALLEYPVAFWM >PAN45722 pep chromosome:PHallii_v3.1:9:8393136:8399779:-1 gene:PAHAL_9G137500 transcript:PAN45722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYHCCSAPFFEHIVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLVWICFPVAYPISKLLDCVLGHGQTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFAIDINAKLDRELLQEVLEKGHSRVPVYYEKKTNIIGLILVKNLLSVNADHEVPIKSVTIRKIPRVFEDMPLYDILNEFQKGHSHMAVVIRKNIPNESAEQPANDGGTFDVTIAIDEKNNEKVVKNLPPPLRRWKSYPNTQNVSNRGSRPKKWSKDQSDVLQIHEEPLPTLSEDEEAVGIITMEDVIEELLQEEIYDETDVHEEQ >PVH31406 pep chromosome:PHallii_v3.1:9:8393136:8399751:-1 gene:PAHAL_9G137500 transcript:PVH31406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYHCCSAPFFEHIVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLVWICFPVAYPISKLLDCVLGHGQTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFAIDINAKLDRELLQEVLEKGHSRVPVYYEKKTNIIGLILVKNLLSVNADHEVPIKSVTIRKIPRVFEDMPLYDILNEFQKGHSHMAVVIRKNIPNESAEQPANDGGTFDVTIAIDEKNNEKVVKNLPPPLRRWKSYPNTQNVSNRGSRPKKWSKDQSDVLQIHEEPLPTLSEDEEAVGIITMEDVIEELLQEEIYDETDVHEEQ >PVH33271 pep chromosome:PHallii_v3.1:9:71782768:71783784:1 gene:PAHAL_9G612900 transcript:PVH33271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAPSSPAVKIFVREPQEAMHGDQRLSSTSVPVGHPADPRREDGNRRQAPTAAATGRSSRSMAVDQPLTGKEPAGLEWSTTASTPERICPPRQGTAPARLEIGSAARVTAASEHCIPAS >PAN45958 pep chromosome:PHallii_v3.1:9:9473516:9475257:-1 gene:PAHAL_9G153800 transcript:PAN45958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLSFALSLAILLLLQLEAATAQPGAGDAVPQLPRAELAAVFRVMADLLGDPAWPQLHPRPCTDTPWPGLQCEAAPDDARVLRASRLHFGPDVATPPCRPGARLDAASLRGLPHLKTLSLFGCFAGAGVELSAALFAGGPPSSLEQIVLKSNPGLRGPIPATLGGLRSLRVLSLSQNGFGGGIPRELAGLAALQQLDLSYNNITGEIPEEIGGMASLTILDLSWNGIAGGVPAALGKLRRLQKADLSHNRLAGRVPPEVGSLRELVFLDLSHNALAGPLPGSLAGLSKLQYLLLQENPLGTAVPSVVGALRRLQVLGLSECGLTGPIPRAAFAALGSLTALSLDRNRLDGPIPATLAALPHLGQLNLSQNRLAGEIALPGEFVARLGRRLDVRGNDELCVGRGLQGSGYLVAPPCADRRDGGRSPEGSGAAAAAAPGGRRRGYGYGAVGVLACHVFVSSLVYRL >PAN49754 pep chromosome:PHallii_v3.1:9:62622625:62634571:1 gene:PAHAL_9G472900 transcript:PAN49754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os03g0340500)] MSAPKLDRNLSIRDRVEDTLHAHRNELVALLSKYVNKGKGILQPHHILDALDEVHGSGGGALAEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRLNVHELSVEQLTIPEYLRFKEELVDGQHNDPYMLELDFEPFNVSVPRPNRSSSIGNGVQFLNRHLSSIMFRNRDCLEPLLDFLRGHRHKGHVMMLNDRIQSLGRLQSVLTKAEDYLSKLPADTPYSQFAYKFQEWGLEKGWGDTAEHVLEMIHLLLDILQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDVTPKILIVTRLIPDAKGTSCNQRLERISGTQHTYILRVPFRNENGVLKKWISRFDVWPYLERFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPHTEKAKRLTSLHGSIESLIYDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAFAKCSKLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKNYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPDQAANLIADFFERCKQDPNHWVKVSEAGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELVKTVPLAVDQAQ >PAN51026 pep chromosome:PHallii_v3.1:9:68819776:68824179:-1 gene:PAHAL_9G564600 transcript:PAN51026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGSIRAAAKAAVIGGYRSAAYMRRTVIPSSHSSSSSSAADSRKASTIAVDDWVIPDHEVFGPVPTHEEAMAATLDLKDAFEIAQVESQTAHIDTPKTHLSPTDQDNHAKIAQQIAIPELVHSETPQVVVHSETSEKEDNYENLLAAAGTPGRVVQAFTLLQESPEAQDVVAALASDKNVWDAVMKNEKVMKFYKTYESKLSECSSAASSVSGDEVVDGDAASVQNSNGLHPSAGESLKDYLEKMKALVSEMMSNLSNMMQDLVATSDEGRGKGKIKTLILSSSKDFPSAPSAFVLLAIASIMVILLKRA >PVH31490 pep chromosome:PHallii_v3.1:9:9849041:9849916:1 gene:PAHAL_9G158700 transcript:PVH31490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKTQQSIQALTNCQLDLPASRSEEPLPSSLHADYGAPDGDLEAAAIATARLLSGTAGSKSAAAVVASSSGGARGTGTSTRPARRFDEYIWPRSHHPAGRRRHRSEQAAASPEPPNHRAHRPASVARAPDEVTRKTPPRCFQSRPHTSHRTDARLAGAPPHSAVPSFHLLRRERSLALLHLATATLVHLLLRCNRRWRRGRPRTGAGRGAARRQAWRAHNAPRSLKTPAPLRHSIHLPYDPAGDAPPLVPAVSSRLHEQGSPCEATRGHVCPPL >PAN46437 pep chromosome:PHallii_v3.1:9:11752492:11769783:1 gene:PAHAL_9G185800 transcript:PAN46437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEWQLVFKYPKVPTLRHFPLRQESNIEVLFSEPVVITACEFLEQNAAPSTSNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELGLDHSLANIVSSPSEGKLEDLPPALYSSKLSFEESLSSLKPLSFQATDVDLSFEAKKVLHLTLKMYQISAVENLIPNLRSAVISAISKYVTASTNHILHTWNQDLANAFTKSDSDSQEINKILTEACNELSEIWKNVHAAADSNDNGFAFGVDEELPTTKILVELFNQCFPYYKNFSLLDLQCPSQNKWLVLSLSLVLLLCSSKESCFNFVSSGGMGQIINLLCWKTPKSAATTLLLLGIVEHATRHAFGCEAFLGWWPRTDHNSIPGGSSDGYCSLLKLLLEKERHDIASLATYVLQRLRFYEILSKYESAVVKVISDLPADKLSTDGVPFLISAIVELTEMSKLIIFCGPIEDPSPVATARRIFKSEHLEGLLSYKATIDLITSSKYSFLQYDTDPYLLSLIQERSFFPLSAALLSSPILHLASGPAAEILMGIASSIESIILSLLFSRSGLSFLLSQPEATEVIVLSLQDVENVNKAECITLRQAFVLLSKGFFCRPQEVGIITELHLKVGSAANRILSVPPNSDELLWVLWELCAISRSDSGRQALLALGYFPEAISVLLRSLSSYKDLDSVMAKNGGSPLGLAIFHSAAEILEVLVADSTSSSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGACGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADSNSSSDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISDDKAVASSLFEEGALTVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQAIVDLMIPSLVLLINLLHILRETKEQYRNKKLLNSLLQLHREVSPRLAACAADLSFMSPTFAVGFGVVCHLITSALACWPLYNWAPGLFHFLLENVEATNASVPLGPKAAFSLLCLLGDLFPDEGIWLWKDELPSLSAIRSLSTSTVLGPQVEKEVNWYLHPEHVDILLVRLTPQLDRFAHIIDNFATSALMVIQDMLRIFIVRVASEKIVCAVVLLRPIFIWLDDKVDATSLSERDIFKVHQLLQFIVKLAEHPNGKALLWKMGVARILRKLLQNCSSVCFLDDMTFGRASSSSTNDLMLKWRIPLFKSLAYIFSIDPSNNEKAIAEEPLSEESVHECSSIMHHLLTFCQILPVGREMLACSLAFKELASSYTFRSAVTLILSQIHTSNQDVIEKDENDANRNSSTVDNWSCFSSLFKCWKKLIKNIGSDQPTDYLVETIYSLTLGAIALSQYGENLEGLLILRYLFGLPSDPSDSLESSGESLNEIASFLKTFEEKICQGLENSKTSVGKSLLPQVLNSIKLLRSILENSGLSTDSVQMVLEEGTDSPSKIARSVVMTAHLMPSLVDVPVNDESPFLFSNAWKVIVDSEEPVDCQEGEFAKRLVWELPDSSDRQLMPGQSARRKLTLGENGSRRARDNQVPEPTGQFSRSLNTNNASSGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVNSTPRGTLSGRPPSIHVDEFMARQRERQNPVPASTGDAPQVKSQTSLDDNLRAKPENPHQPKADLDDDQEIEIVFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPIVEETENQENERIPFSQRATSRLKDDESPGIDISSQTAMLSEANDPSERKYSVPSPEKNSFRDHVSPISVSGRSSAQAARQQSSRSRYEKRSPQKFSDTSLSSGSHGHEHRHSNNHPPLPPMPPPISSMPSQNSDLVNRQSSSYSSRDRQTPNASGYPTQSFDTSMPSAFTGLQGQTQYMLTGAGGSSSNDLPNADAKLLWNTFPVNRIPLETFSSGLSARPMPPQPYSAVATQHVTMSSSSPATLYNQGSVVQPSPTASIISDSNLGMNSASGSMLASNMLPSFASQFLMGRPSMPTSFFGTPLPQVQLSSALPQNISNSQPSVSSVQPRPPPPPPPPQQPHPSQTLQQLGTMQLPQQDQPLSYPQSAILPQVPLQFPNQLPIPQLQLYHQSQQESVQALRQAGEQSQLQNQNVQGDSFSQQQQDSGINLNQFFSSPEAIQSLLSDREKLCQLLEQNPKLMQMLQDRIGQL >PAN46436 pep chromosome:PHallii_v3.1:9:11751547:11769780:1 gene:PAHAL_9G185800 transcript:PAN46436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNAAPSTSNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELGLDHSLANIVSSPSEGKLEDLPPALYSSKLSFEESLSSLKPLSFQATDVDLSFEAKKVLHLTLKMYQISAVENLIPNLRSAVISAISKYVTASTNHILHTWNQDLANAFTKSDSDSQEINKILTEACNELSEIWKNVHAAADSNDNGFAFGVDEELPTTKILVELFNQCFPYYKNFSLLDLQCPSQNKWLVLSLSLVLLLCSSKESCFNFVSSGGMGQIINLLCWKTPKSAATTLLLLGIVEHATRHAFGCEAFLGWWPRTDHNSIPGGSSDGYCSLLKLLLEKERHDIASLATYVLQRLRFYEILSKYESAVVKVISDLPADKLSTDGVPFLISAIVELTEMSKLIIFCGPIEDPSPVATARRIFKSEHLEGLLSYKATIDLITSSKYSFLQYDTDPYLLSLIQERSFFPLSAALLSSPILHLASGPAAEILMGIASSIESIILSLLFSRSGLSFLLSQPEATEVIVLSLQDVENVNKAECITLRQAFVLLSKGFFCRPQEVGIITELHLKVGSAANRILSVPPNSDELLWVLWELCAISRSDSGRQALLALGYFPEAISVLLRSLSSYKDLDSVMAKNGGSPLGLAIFHSAAEILEVLVADSTSSSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGACGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADSNSSSDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISDDKAVASSLFEEGALTVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQAIVDLMIPSLVLLINLLHILRETKEQYRNKKLLNSLLQLHREVSPRLAACAADLSFMSPTFAVGFGVVCHLITSALACWPLYNWAPGLFHFLLENVEATNASVPLGPKAAFSLLCLLGDLFPDEGIWLWKDELPSLSAIRSLSTSTVLGPQVEKEVNWYLHPEHVDILLVRLTPQLDRFAHIIDNFATSALMVIQDMLRIFIVRVASEKIVCAVVLLRPIFIWLDDKVDATSLSERDIFKVHQLLQFIVKLAEHPNGKALLWKMGVARILRKLLQNCSSVCFLDDMTFGRASSSSTNDLMLKWRIPLFKSLAYIFSIDPSNNEKAIAEEPLSEESVHECSSIMHHLLTFCQILPVGREMLACSLAFKELASSYTFRSAVTLILSQIHTSNQDVIEKDENDANRNSSTVDNWSCFSSLFKCWKKLIKNIGSDQPTDYLVETIYSLTLGAIALSQYGENLEGLLILRYLFGLPSDPSDSLESSGESLNEIASFLKTFEEKICQGLENSKTSVGKSLLPQVLNSIKLLRSILENSGLSTDSVQMVLEEGTDSPSKIARSVVMTAHLMPSLVDVPVNDESPFLFSNAWKVIVDSEEPVDCQEGEFAKRLVWELPDSSDRQLMPGQSARRKLTLGENGSRRARDNQVPEPTGQFSRSLNTNNASSGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVNSTPRGTLSGRPPSIHVDEFMARQRERQNPVPASTGDAPQVKSQTSLDDNLRAKPENPHQPKADLDDDQEIEIVFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPIVEETENQENERIPFSQRATSRLKDDESPGIDISSQTAMLSEANDPSERKYSVPSPEKNSFRDHVSPISVSGRSSAQAARQQSSRSRYEKRSPQKFSDTSLSSGSHGHEHRHSNNHPPLPPMPPPISSMPSQNSDLVNRQSSSYSSRDRQTPNASGYPTQSFDTSMPSAFTGLQGQTQYMLTGAGGSSSNDLPNADAKLLWNTFPVNRIPLETFSSGLSARPMPPQPYSAVATQHVTMSSSSPATLYNQGSVVQPSPTASIISDSNLGMNSASGSMLASNMLPSFASQFLMGRPSMPTSFFGTPLPQVQLSSALPQNISNSQPSVSSVQPRPPPPPPPPQQPHPSQTLQQLGTMQLPQQDQPLSYPQSAILPQVPLQFPNQLPIPQLQLYHQSQQESVQALRQAGEQSQLQNQNVQGDSFSQQQQDSGINLNQFFSSPEAIQSLLSDREKLCQLLEQNPKLMQMLQDRIGQL >PVH32132 pep chromosome:PHallii_v3.1:9:41314468:41317104:-1 gene:PAHAL_9G326200 transcript:PVH32132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKARLKEFGIHDLVDVLSNANSIAHKKNKLNCRNRENSEDEYDPTNNDTDEEDLLDNDTPEGCNKKTAAMHPEAIKTRSKRVFAEPQTTRSTRSKKTTSYRDASLAPNEIFPTNVPALFDDNNHMTNELHAIAPSDGHNQMGNEVRNHMPVLKHWKDYKKNSALLQQFRGTLKAKFDINTNDASVQKACSAMMKNAIRQQLHRLKKQYFDPFPLHLVSKSSPIKSMTDEQWNDLVESWKRPKKMHHHTTGSRSYPVHVENLSEMETRLSASREGEQSLSPTKVVADVLAEKTKKSSFLKNIGIHNACSRPSIRSIEAQLEVEKRANGDLRAVVDAQREQLDLLSKQVKETEQGRIREQDEMKKKQAEMEAKLQLVLSQIKST >PVH33035 pep chromosome:PHallii_v3.1:9:68117307:68121333:-1 gene:PAHAL_9G552800 transcript:PVH33035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRPTRPRRCPHERKLTSFLAAVASLSDPLKPSPSLPAGAVPAAPTPTAYNALMSAYSRAGRPDEVLRLFRSLPFQPTAPLFTTLISSLADSGRHRAARAAFSSLLVSGLPPTTSVLTALLKAHRTASVESVYHVFSDFLAAMAAAGCTADAAVYNCLISALCDFRRVDEASGVLDLMLDNHIWPTIRSYTTILRGYCEQGRILEAERLVDTMIEVGCPPDVITYSVLIEGLCSVGEFGKVERVLGESEEMGWTPNSVTYTIYMSALCRMGFSDEAFRQVDIMWSRGVSMTVETVNILFDCLCRDSRFSEAVCLLEYSGELGWEVDVFCYNTLMSRLCEVGDFARVLKLLVDLIKKGIGPDMFSFTIAIRSLCGAGKFQVAKYLLDNEAMAYDVVAFNTLMHGLCMVGDLHGVIEIYVDMTSRNVIPNNFTIAMVIDSLCKQKKFLTAINFLLEPSIEYLVPCHESLGKCLVLDLESSRKCLVPDHFVRLTNWLVKAKGLGYVLILLRKIHSKGLALDVFLFNSLVRIFCWEGYCKHENFYEVSFILDSMLECLSNQQTT >PAN44707 pep chromosome:PHallii_v3.1:9:3642675:3644598:1 gene:PAHAL_9G063300 transcript:PAN44707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSGSATEKIMSSIIDTIADSLPKQKSGQFDPGAVSEKVKNKLFGRQKTLHGVLGGGKSADVLLWRNKKISSSVLALATAIWVSFEWLDYHFLTIVSFALVLVMVVQFVWSNFSNMLSGSPSQVPRVALPDEMFVNIAVAIGAQVNKFLSFLQDVSCERNLKHFLVAIAGLWAAAVIGSWCNFLTVIYIGFVCAHTLPVLYEKYEDQIDGFLYNLLGLLRDQYQKLDQGVLSKIPKGNMKAKKNE >PAN48252 pep chromosome:PHallii_v3.1:9:54069631:54070825:-1 gene:PAHAL_9G371400 transcript:PAN48252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLSLGSPAGRPSRLSAAGEEEAGAADEKAAKAVARAAAAPVRSIHLVPVLTLLCFLVLFLLSHDPSAAAALTDSPVLAAAATVTARSLDAAAGAADATTASSGVYRRLKQSRVRRLGMARRRL >PVH32882 pep chromosome:PHallii_v3.1:9:65510108:65511562:-1 gene:PAHAL_9G514100 transcript:PVH32882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSTGFKQVHLNGCARALMENMGYHVTGTQVGNHLRKWKKIYGKIQKLKNLSGALWDEETCTISLEREHYLAHIQIHRDDAKYLNCPIEHYHEMATIFGNSLATGAYAKGASDPLASEVTATANASQETKDGAETNEQGEGSPLEAEEMTFSANTNGAGSSGTKPPAAKKHKVAAVEDPNIAMVSIMSEGLGNLAAAIEKVGKEDDGIPEGLYDDMMSIPGFDEAHLDHYYAYLCEHPSLARRFYNMRLSSKMVWVARYIKEHL >PAN44300 pep chromosome:PHallii_v3.1:9:1843340:1844925:-1 gene:PAHAL_9G032900 transcript:PAN44300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDSHDPSGADKGYHGGYPAGYGQYPAGYPAPPGVYPPGQGYPVLPGGYPQPGGYPPSNGAYPPGAYPPSGYPHQPTFPPAGYPGHGPATPGHGAMYGGGHGAGGSAGYGAVIAGGAAAAAAAYGAHKMSHGHGGVHGMYGHHGKFKHGKFGKHKKMFGKHKKMFGRKWK >PAN49496 pep chromosome:PHallii_v3.1:9:61365680:61367120:1 gene:PAHAL_9G453400 transcript:PAN49496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALRLAVAVTCAFLVLASACQGLEVGYYKKSCPRVEHIVRAEVKKFVYKNAGIGAGLIRLLFHDCFVQGCDGSVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVEKACPGTVSCADIVAFAARDAAYFLSRLRVKINMPAGRLDGRVSNASEALDNLPPPFFNVTELVDAFAAKGLDAEDMVVLSGAHTVGRAHCSSFVPDRLAAPSDIDAGFANVLRRRCPADPAPGNDPTVNQDLATPNAFDNQYYKDVLAHKVLFTSDAALLTAPETAKMVRDNAYIPGWWEDKFKAAFVKMSRVEVKTGKQGEIRKNCRVVNHA >PAN44803 pep chromosome:PHallii_v3.1:9:4130570:4132216:-1 gene:PAHAL_9G071400 transcript:PAN44803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVAFSRRRRRWILLAAAGAAAAVGAYKIYHHPAVAARRRRLVRLAAAVAAFADAAASSADAAALVASDLADFVRSDSDEVPRSVRQLAKLAASPEVSATVSALSEAVASGVLRGAGSSGSAPGPGGAVALSDRLVDKLFSDSGERLASAVAGSFARHLVLAFYSTPSAPGEASSSPTMWVNVVATGKCRKAISNWVEVFVGAAVREFIDKTIHINTYEQLFEGLTNPKHDAKVKELLVSVCNGAVETLVKTTHHVMYNTNDKLDASGDGNGGVGEGWVETVSSTLAVPSNRKFVLDVTGRVTFETVRSFLEFVLWKLQDGARKGGDTVVDSGLRVVR >PAN45322 pep chromosome:PHallii_v3.1:9:6428722:6433819:-1 gene:PAHAL_9G110000 transcript:PAN45322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSHGVGGSDESVHSTFASRYVRASLPRFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPHKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTQKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKTGRFNIVSKDSGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIQKVLHELDGLPARAPSGGDLAALAAAEASQREMNKQREVISLWKRAVLAKKKTNGVC >PVH33251 pep chromosome:PHallii_v3.1:9:71450216:71452533:1 gene:PAHAL_9G607600 transcript:PVH33251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESEDHACEMYNDYVEKIGFSIRKSTTKYRLDGNLYQKYIVCSAQGCGNTESSKGTTRSGCSARIQFGVSKEGIWTVQRVVLEHNHYLASPNKRKNLRSQRRVTKADRKLIGQIREAGMRPAQVYEFMKEFYGGADKMPYSWMDCNNEIGRERKKYLESNDAQTLCNYLKNKQHEDPTFFYAVDIDKEDGPDKGCIANFFWADGQSIMDYACFGDAVLFDTTFQTNKFEMPFTPILGINHHKQTILFGAALIFDETIPSFVWLFETFLTAMSGKHPSTIFTDQDAAMAGAIAYVFPNTSHRLCIWHIYLNAAKHFGHVINKHKEFLPAFKSCVYEDRSEYYFNKKWHELLREYNLEDNDWLANLYSLREKLAIIYRDSFTADMTSTQRSEGMIMSSRKDFHSRRKIPVSYSPNLPMLKTVAESYTRRMYSEFEAEFKDQFLLAGQLLKVEGSILTYMVAHLQSDQGATVMFNIKNMTITCSCRKFEATGILCKHALKVFNIKDIFILPSKYILNRWPKYAKRQFFVEKHGIEKENLSTQAAPLLDDLENAIDKLDLDADNSIIKVQEKANELAPVSSYCSADTLTGKISFRAPRVVKGPKKKHPPHPLKRKRGRKIEVPTKTKVLIQNKKRTLEPVRLKLIACQTMCTANLQLRHFHQSRAVMLMPQCRTLICT >PAN49960 pep chromosome:PHallii_v3.1:9:63669735:63673836:-1 gene:PAHAL_9G487900 transcript:PAN49960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERKRKVSLFDVVDETSVSAKLGRGATNGAAAAAGGANPTVNRWNGRPYSARYFEILEKRRTLPVWQQKDEFLRALRDNQTLILVGETGSGKTTQIPQFVLETEGLGNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLEKYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSSAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTQLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNNREYYVNIRKALLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERTTNRG >PAN49959 pep chromosome:PHallii_v3.1:9:63670217:63672501:-1 gene:PAHAL_9G487900 transcript:PAN49959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLREAMADPLLEKYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSSAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTQLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNNREYYVNIRKALLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERTTNRG >PAN49955 pep chromosome:PHallii_v3.1:9:63659673:63662338:-1 gene:PAHAL_9G487700 transcript:PAN49955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVSLLDAHLSRCRSARHLLQIHAQFLASGLLADAFAASRLLLFTTSTAAGRLLPRPFDHSLRLLRLVRSPNAFSCNTILKAALRHGLPHLCLPLYASMPTLPDSYTYPLLAAACAARGDAREGRQLHSHAFRHGFSYNVYLRNALVHLYSACGCVADARRVFDEGPVWDAVSWNTILAAYVRAGDVEQAIGVFARMPERSAAAVSSMVALFGRSGMVDEAKRVFDGAEHRDAFTWAAMISCFERNGQFVEALGVFSDMRAEGWHVDEAVMVSVIAACTQSEVIRNGEVCHGLVFRAGLGLRLNVQNTLIHMYSSCLDVAAARRLFDSGESLDHFSWNSMIAGYLQNGRVEDAKALFSVMPDKDNVSWSTMMSGCVQNNQSSEALTFFDNMKAHGIRPDEVTLVSIISACTNLSALEQGKSVHEYIKEYQYNITVVLGTSLIDMYMKCGCLDAALEVFDTVEEKGTPCWNAVIVGLAMNGLVTKSLDMFSEMEASATAVPNEITFTGVLSACRHAGLVEEGRQFFKLMQNKYQIVPNIRHYGCMVDLLGRAGYVREAEDLIQSMPMSPDVPAWGALLGACWKHGDSEVGERVGRKLVELDPHHDGFQTMLSNIYASEGMWQCVKDLRGSMKQHVAKAAGYSVVESPHSS >PVH31937 pep chromosome:PHallii_v3.1:9:21997772:21998166:-1 gene:PAHAL_9G267600 transcript:PVH31937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN48841 pep chromosome:PHallii_v3.1:9:54103310:54116874:1 gene:PAHAL_9G371600 transcript:PAN48841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAAAASDETLAAVFAQLKPHTVALLDLLRSRRASSSSASFLREMAAFLRSAPAPALQLCFDYTVFPLLLLLDAAVQCRKQGNAPEQSAGDIVITDAVAEAGLACLEVLLTKCRLTSVNQMVAMMKKLTSGAMLSPLEASEEFRSGIIRCFRAMVLQLQPCSEWSCSCNQATVLPTTSTNTYFSLKSSKLHAHPEECLLAFLQSQNASAAVGHWLSLLLQASELEASRGHHGSADVRKEALHALRILIAKVGSADALAFFLPGIVSRLGKVLYTSKTMISGAAGSSLSIEQAILGLTEALMIVLNDKENFSAHDMAIKEDWAHSSGGGGSTEHVLQMLRQLPTKSLSEQIGHDETTDDSTFDVNSSADRKALHVKRTKKWLEETTSNVDKLLSATFPHLSIHSSEKVRRSVVSGVRGLLSCCGSTLKRSKMLLVESLCVLACDDAAAVSEAAEDALLYLFNQGHNFITENEISDIFTRLVERLPQVVFGSEETTALSHARRLLALTFYAGPQFLINHLHRSPVTAARFFDCLGLCISHSSQFSGSMDKLIVSKPLSVGYLYSVAELKSSAYSKDATNSSLHATYTSAASKISVIHDNGLSNAVLGTVEYDLPHVPPWFVHAGSQKLYLVLAGIIRLVGLSTVSGNETAASLSLFVDILLDHFRRLSTELRSKNIYRDGVDRWYMKSEAGHTLRQASSAVCMLNELIYGLSDRSLGMFLQLLQKRSAQLIRTARQNDQLTACVEHNERKVWGFNEQKDTKDNIIHCIGSILHEYVSPEVWDLPTEKDVELSLTELNLPLHFYRDTTALHTVTLEGIGILGAVLGQDFARSGFMHSSLYLLLRELISSSAQIRMASDAVLRALAAAGGHCSVGQFVVANADYIVDSLCRQLRHLDMNPHVPDVLASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEIAKACRHESTNLPDDVQSFYVKVSTEGQEVKNMIEKRMESRATSERMNVDAQSDFMSLEYWEDLLCKLNEMKRYRRIVGSLTGSCLSAATPLLSSTKETACLVALDVVENAIISIAKVEEAYKCENRSKGVIEEAMQFLSFDELDGTDATEDVDENRLLPAMNKLWPYLIICLRNKVSVPVVRKCTEALSRATGISGGDFYVRRFHKDGHIVWRLLALSPFRRKRMSMMDEKAIILPYRDTSLTSEEPMSEISSQKIQIAVLDMIAAISSNKRSAIALESELKKVCGLVVGIAYSSLTGLQEAAIRALAGLACMDADLVWLLLADVYYSLNQRDIPLPPNQDVPELSDLLPPSMSSREYLFVQYGGEGVRCDVDPPSVHEVFKRMQDVVLM >PAN48255 pep chromosome:PHallii_v3.1:9:54103016:54116961:1 gene:PAHAL_9G371600 transcript:PAN48255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAAAASDETLAAVFAQLKPHTVALLDLLRSRRASSSSASFLREMAAFLRSAPAPALQLCFDYTVFPLLLLLDAAVQCRKQGNAPEQSAGDIVITDAVAEAGLACLEVLLTKCRLTSVNQMVAMMKKLTSGAMLSPLEASEEFRSGIIRCFRAMVLQLQPCSEWSCSCNQATVLPTTSTNTYFSLKSSKLHAHPEECLLAFLQSQNASAAVGHWLSLLLQASELEASRGHHGSADVRKEALHALRILIAKVGSADALAFFLPGIVSRLGKVLYTSKTMISGAAGSSLSIEQAILGLTEALMIVLNDKENFSAHDMAIKEDWAHSSGGGGSTEHVLQMLRQLPTKSLSEQIGHDETTDDSTFDVNSSADRKALHVKRTKKWLEETTSNVDKLLSATFPHLSIHSSEKVRRSVVSGVRGLLSCCGSTLKRSKMLLVESLCVLACDDAAAVSEAAEDALLYLFNQGHNFITENEISDIFTRLVERLPQVVFGSEETTALSHARRLLALTFYAGPQFLINHLHRSPVTAARFFDCLGLCISHSSQFSGSMDKLIVSKPLSVGYLYSVAELKSSAYSKDATNSSLHATYTSAASKISVIHDNGLSNAVLGTVEYDLPHVPPWFVHAGSQKLYLVLAGIIRLVGLSTVSGNETAASLSLFVDILLDHFRRLSTELRSKNIYRDGVDRWYMKSEAGHTLRQASSAVCMLNELIYGLSDRSLGMFLQLLQKRSAQLIRTARQNDQLTACVEHNERKVWGFNEQKDTKDNIIHCIGSILHEYVSPEVWDLPTEKDVELSLTELNLPLHFYRDTTALHTVTLEGIGILGAVLGQDFARSGFMHSSLYLLLRELISSSAQIRMASDAVLRALAAAGGHCSVGQFVVANADYIVDSLCRQLRHLDMNPHVPDVLASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEIAKACRHESTNLPDDVQSFYVKVSTEGQEVKNMIEKRMESRATSERMNVDAQSDFMSLEYWEDLLCKLNEMKRYRRIVGSLTGSCLSAATPLLSSTKETACLVALDVVENAIISIAKVEEAYKCENRSKGVIEEAMQFLSFDELDGTDATEDVDENRLLPAMNKLWPYLIICLRNKVSVPVVRKCTEALSRATGISGGDFYVRRFHKDGHIVWRLLALSPFRRKRMSMMDEKAIILPYRDTSLTSEEPMSEISSQKIQIAVLDMIAAISSNKRSAIALESELKKVCGLVVGIAYSSLTGLQEAAIRALAGLACMDADLVWLLLADVYYSLNQRDIPLPPNQDVPELSDLLPPSMSSREYLFVQYGGEGVRCDVDPPSVHEVFKRMQDVVLM >PAN45605 pep chromosome:PHallii_v3.1:9:7789937:7790704:1 gene:PAHAL_9G130200 transcript:PAN45605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLCSHGGRLVPRGGPDGALRYVGGETRVLVVPRSATFRDLAARAAEVAGGAEVRAIRHRLADDEGLEDVLVSVTCDEELAHMRHEYDRLRATRPGARFRVFVTTTAASAGSGGGVYQQRATAGLPPLAPAMRRVQSERAMLHRRLAYPAPVRRVQSAQEFSGDIHAQQPFHHHRHQQCCCSCRQRRDLCAPGPPPAWPMNALPYMPKKATAAPSMPAAKAAGRVVFTDAAREMATSRDAQAAMEERRAIWEFE >PVH32835 pep chromosome:PHallii_v3.1:9:64531685:64532140:-1 gene:PAHAL_9G499700 transcript:PVH32835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGVPLAVLSCLALLAAAGARRPEPAAPGVAGLAQRLEGEGSQQCWEALVDIKSCTGEIILFLLNGEAYLGPGCCRAIRVIEQRCWATDAMLSAIGFTPEEGDMLKGYCDAGDGGGSPPPQRAVAAGGGVAARESVAAVAGRKSASAHR >PAN50912 pep chromosome:PHallii_v3.1:9:68348817:68351536:-1 gene:PAHAL_9G556300 transcript:PAN50912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAKTPTKPLGPASAARTPTKPLGPAAAAGNTPTKTPCSARARLSHASENAHPNIPGTPPPPQPTPSKPVLRSPAAAGAKSAASAKKKPSTPALAAPPPPPRERERRFLVAKKGARRRRNVGSVGGGGGGEIDFDKCREAAHQALRASQEEFFRKQRAEVAAAVEEQLVVQQEEEVKAAAEEDAKSSALEDELEGNEAELEGSSKVRAMRTKAMAKAMSSVPDPGAGRVKHLVHAFESLLTISAATSDAEKAGEGSWALPGLQPLKDEPPPISVFSSADFLKAGPNRLCSSLDGNTDRLSWDSRTSAGGRRSRRNSSESLRSSWNKKLKVTSQHPFKLRTEQRGRFKEQQFVQKMQEMLLEEEKKRIHIAQGLPWTTDEPECLVKPAIKERTEPIDLVLHSDVRALERAEFDQHVLERNKFAEQQRLEWERQQELEEQERIRQLRRTELIPKAQPMPYFDRPFIPKRSTKPATIPMEPKFHLRPEKLSCYCRQHCMELGKLKPPLQQPCEHQLHQSRNSEHRHT >PAN50913 pep chromosome:PHallii_v3.1:9:68348330:68351631:-1 gene:PAHAL_9G556300 transcript:PAN50913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAKTPTKPLGPASAARTPTKPLGPAAAAGNTPTKTPCSARARLSHASENAHPNIPGTPPPPQPTPSKPVLRSPAAAGAKSAASAKKKPSTPALAAPPPPPRERERRFLVAKKGARRRRNVGSVGGGGGGEIDFDKCREAAHQALRASQEEFFRKQRAEVAAAVEEQLVVQQEEEVKAAAEEDAKSSALEDELEGNEAELEGSSKVRAMRTKAMAKAMSSVPDPGAGRVKHLVHAFESLLTISAATSDAEKAGEGSWALPGLQPLKDEPPPISVFSSADFLKAGPNRLCSSLDGNTDRLSWDSRTSAGGRRSRRNSSESLRSSWNKKLKVTSQHPFKLRTEQRGRFKEQQFVQKMQEMLLEEEKKRIHIAQGLPWTTDEPECLVKPAIKERTEPIDLVLHSDVRALERAEFDQHVLERNKFAEQQRLEWERQQELEEQERIRQLRRTELIPKAQPMPYFDRPFIPKRSTKPATIPMEPKFHLRPEKLSCTAWSLES >PAN48601 pep chromosome:PHallii_v3.1:9:56559671:56562662:1 gene:PAHAL_9G394300 transcript:PAN48601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSSPSVSVSDESSEAGPAGERGGCCSSPSTRSLVDTAGNLSRTVSDVSTSFSEQCSSVDHSGPFESAAAMAKLIDRSPASAAASLSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGSCHKLEPLPAGKKAMWRREMDCLLSVCDYIVEFYPTTQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIDILDSFQKAEFWYADAGTRSFGSVTSSSSTMSSSFRKSVHRNEDKWWLPVPCVPDTGLTEKACKDLQKKRDCANQIHKAAVAINSGVLSDMEVPESFMAVLPKSGRASVGDSVYRVMLGADKFSPDFLLDTLDISSEHDALAMADRVEAAMYVWRRKASGSHGKLPWSKVKELAADDDDKNVSLASRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIVSWIDDVLFADKSTRKLSDNLKS >PVH32414 pep chromosome:PHallii_v3.1:9:56559671:56562699:1 gene:PAHAL_9G394300 transcript:PVH32414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSSPSVSVSDESSEAGPAGERGGCCSSPSTRSLVDTAGNLSRTVSDVSTSFSEQCSSVDHSGPFESAAAMAKLIDRSPASAAASLSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGSCHKLEPLPAGKKAMWRREMDCLLSVCDYIVEFYPTTQTLPDGTKVMATRPRSDIYINLPALEKLDAMLIDILDSFQKAEFWYADAGTRSFGSVTSSSSTMSSSFRKSVHRNEDKWWLPVPCVPDTGLTEKACKDLQKKRDCANQIHKAAVAINSGVLSDMEVPESFMAVLPKSGRASVGDSVYRVMLGADKFSPDFLLDTLDISSEHDALAMADRVEAAMYVWRRKASGSHGKLPWSKVKELAADDDDKNVSLASRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIVSWIDDVLFADKSTRKLSDNLKS >PAN48600 pep chromosome:PHallii_v3.1:9:56559671:56562682:1 gene:PAHAL_9G394300 transcript:PAN48600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSSPSVSVSDESSEAGPAGERGGCCSSPSTRSLVDTAGNLSRTVSDVSTSFSEQCSSVDHSGPFESAAAMAKLIDRSPASAAASLSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGSCHKLEPLPAGKKAMWRREMDCLLSVCDYIVEFYPTTQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIDILDSFQKAEFWYADAGTRSFGSVTSSSSTMSSSFRKSVHRNEDKWWLPVPCVPDTGLTEKACKDLQKKRDCANQIHKAAVAINSGVLSDMEVPESFMAVLPKSGRASVGDSVYRVMLGADKFSPDFLLDTLDISSEHDALAMADRVEAAMYVWRRKASGSHGKLPWSKVKELAADDDDKNVSLASRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIVSWIDDVLFADKSTRKLSDNLKS >PAN48602 pep chromosome:PHallii_v3.1:9:56559323:56562717:1 gene:PAHAL_9G394300 transcript:PAN48602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSSPSVSVSDESSEAGPAGERGGCCSSPSTRSLVDTAGNLSRTVSDVSTSFSEQCSSVDHSGPFESAAAMAKLIDRSPASAAASLSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGSCHKLEPLPAGKKAMWRREMDCLLSVCDYIVEFYPTTQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIDILDSFQKAEFWYADAGTRSFGSVTSSSSTMSSSFRKSVHRNEDKWWLPVPCVPDTGLTEKACKDLQKKRDCANQIHKAAVAINSGVLSDMEVPESFMAVLPKSGRASVGDSVYRVMLGADKFSPDFLLDTLDISSEHDALAMADRVEAAMYVWRRKASGSHGKLPWSKVKELAADDDDKNVSLASRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIVSWIDDVLFADKSTRKLSDNLKS >PAN49315 pep chromosome:PHallii_v3.1:9:60521704:60524093:1 gene:PAHAL_9G440300 transcript:PAN49315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRIDEAAELELELESELELELEMENGDVKPARSSVAASAMAASYNDQIRPLLDAVDRLRQLNVSQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDGDDPRMQVEYGNGTVVPVASEAEVADAIEAATAEIAGSGKGISEAPITLLVRKKGVPDLTLVDLPGITRVPVLGQPEDIYDQIAGIIRAYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKADKAPEGLLEKVTVDDVHIGLGYVCVRNRVGDETYEEARAAEAALFAEHPLLSRIDKSMAGIPTLARRLTQIQASIIARCLPDIVKQINDKLGRSSDELGQMPPDLATVADAVREFYHIVKKARASLEKVLVRGEFDEHPDDRRLHGTARIAEMLACYASKLPALCPASGEPFLVEEMRVLEETKGINLPNFLPRSALLVLLRKKLESVAHVPHDLVAQVWGYVEELVMGILQRHSRSYPQVQPSCRRAVQSLMDKARARSTQHVKELIDMELVSDYTANPDYTTKWNNTMKDDGHGVFLRVVEDPGRSPTVVLPGYGETDVSHLRAHPRLASQAFDLRARLDAYWSCVVLRLVDGLALHILYSVKHLVEKDLEEELADQVVGSNMDGVERMLVPTPATAAKRDRLRKSISLLRECRELVANTMDKINTATTDRL >PAN51995 pep chromosome:PHallii_v3.1:9:71460338:71461060:1 gene:PAHAL_9G607800 transcript:PAN51995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHRHGIAASVSTSAAPGASSRRCSSASSSRRLLPPRPRRGVAGGCAALEAAERRTSATEPATGVFGLQVLVPGTAAHLARSPLLSRIRRRRWRPRLLAANPSTFLSPFPPKGRFALRPRPWLRRRGRLLCGIRGGGGSASSVCRPRREAAAAG >PAN43917 pep chromosome:PHallii_v3.1:9:285636:291111:1 gene:PAHAL_9G002900 transcript:PAN43917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPTFSPDPTPPDHPSPSPAKRSAWKHPAPNGPAVMDANHWPALSEAAKNTNKLAPSPDSTRPPESSSPSPAPVAASSATPNSSNSHKHASGTHHGRHKPARRGAAAGEHSPRDHPDRSTGGWDHATGAAGGRGAHRNHNNGGARRGNGTTAAAAGSGGGFANRRRGGYEPFYRGPPPMGMGPYMRGAPPPPPPMTVPPPFMGPPPPPASPMRPFAGPMVFHDMQSPVSPVSPIYFYGPPPPPEALRGLALAPPMVGPPAYPYFQAPSEPQPEPEPEPQPDAEEERTKLLNQIEFYFSKENLCSDVYLRQQMDGHGWVDISLIAGFKKVQELTKDLQYVKEIVQSSSILEMQGAKIRKQNDWEKWVIPRESNPDIPSSSASVARPNVNNLTAHLGGMGLHESASSSSTVEQNHHDVIQNGSPSGNDEAPVTEDNSGHHQQLLE >PVH32148 pep chromosome:PHallii_v3.1:9:42984767:42985251:1 gene:PAHAL_9G329900 transcript:PVH32148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGDKKGKGKAVEKPKRRGLMRNASRTELLQCQIHRVSHSVQFASVRHRQILRGS >PAN49755 pep chromosome:PHallii_v3.1:9:62636728:62637263:-1 gene:PAHAL_9G473100 transcript:PAN49755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGGAAPAPAPHGAAVSHRATSALAAVSVFLVLLCLFWRFVWQCRKHEGRSGPARVAAADTSSSAPPPSGPPRDGAGEAARHAPRTTLPAFVRVAAAGFSGAEKVDCAVCLAELRDGAAVRLVPGCGHGFHAECIEAWFRVNSTCPLCRAAVDAAAAAGQSAGEAQECGGAGSV >PVH31233 pep chromosome:PHallii_v3.1:9:5242852:5243732:1 gene:PAHAL_9G091500 transcript:PVH31233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCTIGNCNKALIGPEPTVLSTKSMMLFFSCASVVQSPRGFPREATTCRMPATIIDVYVVVVDVFKTTIAIARRAID >PVH31322 pep chromosome:PHallii_v3.1:9:6540322:6546725:-1 gene:PAHAL_9G111900 transcript:PVH31322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os03g0717700)] MGVGGGGAGEAAAAAEEEAGKDGEGAGGGWRVKVRLSRGVVVLWVLVAAVVWAGLHYHFRRAAMRKAEEGLISMCEERARMLQDQFAVSVNHVHALAILVATFHYEKHPPALDQDTFADYTARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPVQDEYAPVIYSQETVSYIEGLDMMSGEEDRENILRSRASGKAVLTRPFRLMSNHLGVVLTFPVYLVDLTADAKEEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNNSNPLLMYGSEVPLGNPSPWHVCMLDFGDPYRKHHMICRYRNKPHVPWSAITTPSGVFVIFMLVGYILYAAWSRYDNVKEDCRKMEELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKVEPVAIGMNGHKNETTAVATSVSRNTLSGFEAADSRNNWENFKLLLSYEKNEMPYESVSDKVTLVVSVEDTGIGIPLEAQGKVFTPFMQADSSTSRTYGGTGIGLSISKCLVEIMGGQINFVSRPHVGSTFTFTAVLQRCDRSAISDSKPVMLHPLPSSFKGLSALLVDRRPVRATVTKYHLQRLGITSEVVATIELALGALSGRNGHSLASTKLPCMLLIESDSWSSKMDVSLRSRLLEMKQNGHTPVLPKIILLTAAESDKLKANYAVDFVITKPLKASTLAACLFQALGITQTQPSHEKRDSSVSLRGLLLGKNILVVDDNKVNLRVAAGTLKKFGAKVECVESGKDALTLLQIPYKFDLCLMDIQMPEMDGFEATRHIRAMEARVNEQADAGDDSEADGATGAGKWHLPVLAMTADVIQATHEECTKCGMDGYVTKPFEEKQLFQAVQKFLDPGMSS >PVH32309 pep chromosome:PHallii_v3.1:9:53562871:53567350:1 gene:PAHAL_9G367000 transcript:PVH32309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPPPEPSSNSNKQGWGEHEEIHVSSGGVQAYTDDDADCESRRPLLLGTPASAECYSVSAAAFPFFFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSVQTGLVVSGSLYGALIGSVLAYTIADFLGRRKELILASISYLIGALLTAVAPNFAIMVVGRFLYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGNAYVEVVSGWRYMYATSTPLCLVMGVGMCWLPPSPRWLLLCAIQGKGNLPETKEIATRCLCRLRGQTSPDLVSDQVNLILEELSYIDEEKQVGFREIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGITVSLFLLSSYYTLLKDASYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGLLFCGFGVIAVASLVFIFWMVPETKGLTLEEIEASL >PAN48198 pep chromosome:PHallii_v3.1:9:53562833:53567350:1 gene:PAHAL_9G367000 transcript:PAN48198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPPPEPSSNSNKGWGEHEEIHVSSGGVQAYTDDDADCESRRPLLLGTPASAECYSVSAAAFPFFFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSVQTGLVVSGSLYGALIGSVLAYTIADFLGRRKELILASISYLIGALLTAVAPNFAIMVVGRFLYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGNAYVEVVSGWRYMYATSTPLCLVMGVGMCWLPPSPRWLLLCAIQGKGNLPETKEIATRCLCRLRGQTSPDLVSDQVNLILEELSYIDEEKQVGFREIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGITVSLFLLSSYYTLLKDASYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGLLFCGFGVIAVASLVFIFWMVPETKGLTLEEIEASL >PAN46547 pep chromosome:PHallii_v3.1:9:12300023:12301867:1 gene:PAHAL_9G192800 transcript:PAN46547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPRRHRAARATMATPPPPEILHCRSLLDLAADLIPEILLRLPPHDPGQLVRCTTVCKPWRRLLTDPAFLRRYREFHGPPPMLGFLFYLELPRDRFVARFVRTTPFRPRALDHRGCYVRDARHGRVLFSNVTNEETKHDLLVWNPITGERWGLPLPVISGMEWNVTVLCAAAAREGGGECDHLDCHRGPSLVAFVGSDEDGTTCAYVYSSEAATWSDAAYAEHNNDLAIMDMEPCTLVGNRTYCLAAESKTIVEYDLGRRKLAFIDRPLSYQGRAVLMPAMGGGLGFAGVRGSCLYLWSRETGPDRTATWTQSRVLELSTLPGRAPLNEPATVGFAEGLGVIFVRTDAGVFAINLKSGRVKKMSNREGIYHVIPYTSFHTPDHTTG >PAN49407 pep chromosome:PHallii_v3.1:9:60972495:60973384:1 gene:PAHAL_9G447200 transcript:PAN49407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPTAEVDARAAAAATGDAGRASSLAGGGQPPAVKGGATISVSVVLLAVLAASVAALLMSSVPRAGDGVGEGVVGNGMQGAGGGAGEAAGKRAEPVEHAVGDAGIPGFNSRLDAFRAWARLTWMKLRRARPDEPRYGDAADAGSAGSVADAAKKSFEMGKETVEQAAATAARATGDAVEAIEEKVKGAASPSSDDSEL >PVH32636 pep chromosome:PHallii_v3.1:9:60972495:60973384:1 gene:PAHAL_9G447200 transcript:PVH32636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPTAEVDARAAAAATGDAGRASSLAGGGQPPAVKGGATISVSVVLLAVLAASVAALLMSSVPRAGDGVGEGVVGNGMQGAGGGAGEAAGKRAEPVEHAVGDAGIPGFNSRLDAFRAWARLTWMKLRRARPDEPRYLYGDAADAGSAGSVADAAKKSFEMGKETVEQAAATAARATGDAVEAIEEKVKGAASPSSDDSEL >PAN46513 pep chromosome:PHallii_v3.1:9:12181731:12186102:-1 gene:PAHAL_9G190700 transcript:PAN46513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSRARLVSLLFAFLLARIAEAGPPAAAAAGSRYLTKDERWMNQRLDHFSPTDHRRFKQRYFEFLDYHRAPGGPIFLRICGESACGGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFKRLATENLRFLSSKQALFDLAVFREYYQESLNARYNRTGFDNPWFVIGVSYSGALSAWFRLKFPHLTCGSLASSGVVRAVYNYTDFDRQVGESAGPECKAALQETTRLVEQQLLSDSRLVKTLFGAQTLKNDDFLYLLADAAAEAFQYGHPDAVCTPLVNAKKNGKNLVETFAQIVKVFYVKEMETPVSSYDQEYLKKTTPDDSSSRLWWFQVCSEVAYFQVAPKTDSVRSARINTKYHLDLCRNVFGEGFYPDVSMTNLYYGGTRIAASKIVFTNGSQDPWRHASKQKSSKDMPSYLMKCSNCGHGTDLRGCPQSPFRIQGDSSNCSSPAAVNTVRQQIAKYIDLWLSQCQKPSASGEW >PVH33087 pep chromosome:PHallii_v3.1:9:68811238:68813616:-1 gene:PAHAL_9G564300 transcript:PVH33087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPSTSASATASSSPAAASNPRTDPDYALVSSVADALVSASRLPSPPPMDTVLAPYLPRLTSLHHPRVLSLAAANPSLASPHTLLAYRRLVSPPSCLSSLLPLLPVLPYRDLLPLLLGFVPLDPLRHLHRHLLSSLPTSALADAALSAYSRLRLPHLAAQLLHSLRRRGRVRPSLQAANAVLSALARSPSTSPQASLDAFRSLIGLRLHPNHYTFNLLVHTHCSKGTLADALSTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKEGIAPTRPTYNTLVSAYARLGWMKQATKVVEAMTAFGFEPDLWTYNVLAAGLCQAGKVGEAFRLKDEMEGLGIVSPDVVTYNTLLDACFKWQCSSDALNLLEEMRDKGVKASLVTHNIVVKGLCREGQLEEASGRLKMMEEEGLAPDVITYNTLVDAYCKAGNVAKAFMLMDEMVGRGLKMDTFTLNTLLLNLCKQKRYEEAEELLRSPPQRGFLPDEVSYGTVMATYFKEYNPEPALRLWDEMVERKLTPSVSTYNTLIKGLSRMGKLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLYGKLDKALKLFESWVEKGKKVDVITYNTLIQALCKDGNVDMALHFFADMEVRGLQPDAFTYNVVLSALSEAGRSEEAQNLLHKLDESGKLSEKYSYPLMNETAKNPEVKCDTEIGGNARGDDQENYNKRVNELCIGGQLKEAKAVLDEMMQKGMSVDSSTYITLMEGLIKRQKRQTHAAG >PAN51020 pep chromosome:PHallii_v3.1:9:68808061:68813677:-1 gene:PAHAL_9G564300 transcript:PAN51020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPSTSASATASSSPAAASNPRTDPDYALVSSVADALVSASRLPSPPPMDTVLAPYLPRLTSLHHPRVLSLAAANPSLASPHTLLAYRRLVSPPSCLSSLLPLLPVLPYRDLLPLLLGFVPLDPLRHLHRHLLSSLPTSALADAALSAYSRLRLPHLAAQLLHSLRRRGRVRPSLQAANAVLSALARSPSTSPQASLDAFRSLIGLRLHPNHYTFNLLVHTHCSKGTLADALSTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKEGIAPTRPTYNTLVSAYARLGWMKQATKVVEAMTAFGFEPDLWTYNVLAAGLCQAGKVGEAFRLKDEMEGLGIVSPDVVTYNTLLDACFKWQCSSDALNLLEEMRDKGVKASLVTHNIVVKGLCREGQLEEASGRLKMMEEEGLAPDVITYNTLVDAYCKAGNVAKAFMLMDEMVGRGLKMDTFTLNTLLLNLCKQKRYEEAEELLRSPPQRGFLPDEVSYGTVMATYFKEYNPEPALRLWDEMVERKLTPSVSTYNTLIKGLSRMGKLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLYGKLDKALKLFESWVEKGKKVDVITYNTLIQALCKDGNVDMALHFFADMEVRGLQPDAFTYNVVLSALSEAGRSEEAQNLLHKLDESGKLSEKYSYPLMNETAKNPEVKCDTEIGGNARGDDQENYNKRVNELCIGGQLKEAKAVLDEMMQKGMSVDSSTYITLMEGLIKRQKRQTHAAG >PVH33086 pep chromosome:PHallii_v3.1:9:68808061:68813677:-1 gene:PAHAL_9G564300 transcript:PVH33086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPSTSASATASSSPAAASNPRTDPDYALVSSVADALVSASRLPSPPPMDTVLAPYLPRLTSLHHPRVLSLAAANPSLASPHTLLAYRRLVSPPSCLSSLLPLLPVLPYRDLLPLLLGFVPLDPLRHLHRHLLSSLPTSALADAALSAYSRLRLPHLAAQLLHSLRRRGRVRPSLQAANAVLSALARSPSTSPQASLDAFRSLIGLRLHPNHYTFNLLVHTHCSKGTLADALSTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKEGIAPTRPTYNTLVSAYARLGWMKQATKVVEAMTAFGFEPDLWTYNVLAAGLCQAGKVGEAFRLKDEMEGLGIVSPDVVTYNTLLDACFKWQCSSDALNLLEEMRDKGVKASLVTHNIVVKGLCREGQLEEASGRLKMMEEEGLAPDVITYNTLVDAYCKAGNVAKAFMLMDEMVGRGLKMDTFTLNTLLLNLCKQKRYEEAEELLRSPPQRGFLPDEVSYGTVMATYFKEYNPEPALRLWDEMVERKLTPSVSTYNTLIKGLSRMGKLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLYGKLDKALKLFESWVEKGKKVDVITYNTLIQALCKDGNVDMALHFFADMEVRGLQPDAFTYNVVLSALSEAGRSEEAQNLLHKLDESGKLSEKYSYPLMNETAKNPEVKCDTEIGGNARGDDQENYNKRVNELCIGGQLKEAKAVLDEMMQKGMSVDSSTYITLMEGLIKRQKRQTHAAG >PVH33085 pep chromosome:PHallii_v3.1:9:68811238:68813616:-1 gene:PAHAL_9G564300 transcript:PVH33085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPSTSASATASSSPAAASNPRTDPDYALVSSVADALVSASRLPSPPPMDTVLAPYLPRLTSLHHPRVLSLAAANPSLASPHTLLAYRRLVSPPSCLSSLLPLLPVLPYRDLLPLLLGFVPLDPLRHLHRHLLSSLPTSALADAALSAYSRLRLPHLAAQLLHSLRRRGRVRPSLQAANAVLSALARSPSTSPQASLDAFRSLIGLRLHPNHYTFNLLVHTHCSKGTLADALSTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKEGIAPTRPTYNTLVSAYARLGWMKQATKVVEAMTAFGFEPDLWTYNVLAAGLCQAGKVGEAFRLKDEMEGLGIVSPDVVTYNTLLDACFKWQCSSDALNLLEEMRDKGVKASLVTHNIVVKGLCREGQLEEASGRLKMMEEEGLAPDVITYNTLVDAYCKAGNVAKAFMLMDEMVGRGLKMDTFTLNTLLLNLCKQKRYEEAEELLRSPPQRGFLPDEVSYGTVMATYFKEYNPEPALRLWDEMVERKLTPSVSTYNTLIKGLSRMGKLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLYGKLDKALKLFESWVEKGKKVDVITYNTLIQALCKDGNVDMALHFFADMEVRGLQPDAFTYNVVLSALSEAGRSEEAQNLLHKLDESGKLSEKYSYPLMNETAKNPEVKCDTEIGGNARGDDQENYNKRVNELCIGGQLKEAKAVLDEMMQKGMSVDSSTYITLMEGLIKRQKRQTHAAG >PVH33084 pep chromosome:PHallii_v3.1:9:68809295:68813677:-1 gene:PAHAL_9G564300 transcript:PVH33084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPSTSASATASSSPAAASNPRTDPDYALVSSVADALVSASRLPSPPPMDTVLAPYLPRLTSLHHPRVLSLAAANPSLASPHTLLAYRRLVSPPSCLSSLLPLLPVLPYRDLLPLLLGFVPLDPLRHLHRHLLSSLPTSALADAALSAYSRLRLPHLAAQLLHSLRRRGRVRPSLQAANAVLSALARSPSTSPQASLDAFRSLIGLRLHPNHYTFNLLVHTHCSKGTLADALSTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKEGIAPTRPTYNTLVSAYARLGWMKQATKVVEAMTAFGFEPDLWTYNVLAAGLCQAGKVGEAFRLKDEMEGLGIVSPDVVTYNTLLDACFKWQCSSDALNLLEEMRDKGVKASLVTHNIVVKGLCREGQLEEASGRLKMMEEEGLAPDVITYNTLVDAYCKAGNVAKAFMLMDEMVGRGLKMDTFTLNTLLLNLCKQKRYEEAEELLRSPPQRGFLPDEVSYGTVMATYFKEYNPEPALRLWDEMVERKLTPSVSTYNTLIKGLSRMGKLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLYGKLDKALKLFESWVEKGKKVDVITYNTLIQALCKDGNVDMALHFFADMEVRGLQPDAFTYNVVLSALSEAGRSEEAQNLLHKLDESGKLSEKYSYPLMNETAKNPEVKCDTEIGGNARGDDQENYNKRVNELCIGGQLKEAKAVLDEMMQKGMSVDSSTYITLMEGLIKRQKRQTHAAG >PAN44763 pep chromosome:PHallii_v3.1:9:3921527:3925674:-1 gene:PAHAL_9G068200 transcript:PAN44763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVPDLGSDFAQKLLKDLRRRRERLGFESAPPPAQRGTANAAAPRDAYSNSRKPLQVQKPQQAAPAPRVGRSEAATNKSLYRQGNSSTAGPGKARRHDAPAVAQSHAIVPFQGGAGGSKRTTPAANAGVDVQMSLALALSNSGKLQNVQLMARQGAGGSILFGEPNRTTQARHLPTPGAHVGQVAIGVQKLNDILMAYSSGGMRRGSVEIGKQLLRGAMDLEESLGMLMMLQDASDYLESSGNGKVLLLEGGKENWKSATPRSTRSASARLVEIFDDGSETEQRDNAKSSSDAFMQIVPHSLPQNYRSNRSSPLQLTTATDNSKSNAASGEKDDSKVRTPSLIAKLMGLENLPSAKVVAERKGTERFVKPEAVPRRATATNVMVGTLPIRIIASERVPSKGQIKNFQTREWNINLTKSEEPVLSNKFSHLMADKQTRQTMRQVLGKEEGTERRVSLSQVVDEKIVHQDMKLTEDSNQQKTAISAGKRTNFLQRFRKNAKNKSVTEEKDIVQENKQKLRKKQPTSIKQRDSELKPRRTREKFNKENLATPENKARGKNGKTARTDQMRSQPQSKPTDKHIMEKKVQNYSRTQGETASQNYRRTQNETCSQNLEHKRSLKSEPTHMKEKFEYITMIELKNGEDTQVDDTGAHKPPDNTSGDDGIFKQSAAEMEDSSTTSRISPEQSEKQFTEEIIDPITTVEHNTADSIAETNADKVDHTSSETTQILETTSKGEPQEQQLQQMKEVNDQSRNGLDHIMKPDNLKDSTNHKMNVVSCDSFTENQLLLMEMLLKDPYLLESAKVITGFHPVSVIHVNTGKWLDKGNKVLSDVGREVIRRKGKRTEAMVDVSMMRAANPKLQTLDDLIRELDGDIQSLNIPKKPHQQSDNSTAENLKMVLLSDIENTHSDANSVWDFGWNRIWELPIEKNEVVMDLEKNILGGIITDVARELIGVSLRHGYCACEA >PVH32997 pep chromosome:PHallii_v3.1:9:67492000:67492464:-1 gene:PAHAL_9G544100 transcript:PVH32997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGTLGWVNLWRGIMLCNVLVGSDPVLHYIRFPKPMAGNMSEYLQTPARAVRDVTCSDGFIRLIEIEKMAMMDAARASNGPRNRAVGTRTPESYKPGGWIAVTWKTATSSNQWIKDCTVYVSRGSILPVLMHNHPEKFRIGKPCAGWPCLEYA >PVH31230 pep chromosome:PHallii_v3.1:9:5156145:5164654:1 gene:PAHAL_9G090200 transcript:PVH31230 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MAVAALLRRRVLSSPHAVSSCRNAIYVRCPFSNSDPHSVVNYTNYRFWRGYHNSGKFDLTDMTHPHMWYPKAREKKRNVYLHVGPTNSGKTYSALKRLEASSSGVYCGPLRLLAREVAQRLNKINVPCNLITGQERDEIEGAKHTSVTVEMADVTTEYQCAVIDEIQMVGCKSRGFSFTRALLGLCSDELHVCGDPAAVPLIQRILEATGDLVRYYERLSPLVPLKSPLGSFSNIKAGDCLVTFSRRGIYTLKKRIEMEGKHLCSVVYGSLPPETRTKQATMFNDDTSDLNVLVASDAIGMGLNLNISRIIFSTMMKYDGFNFRELTVSEIKQIAGRAGRYGSKFPVGEVTCLDAEDLPLLHSSLKSPSPIIERAGLFPTFDLLALYSRLHGTDFFHPVLERFLEKAKLSPDYFIAECEDILKVAAIVDDLPLGLYDKYLFCISPVDMRDDISVQGLVQFAENYARKGIVRLKEIFTPGTLQVPKTHNQLKELESVHKVLELYVWLSFRVENSFPDREVAASQKSICSMLIEEYLVRSGWQPQGRKKFLHGPQKLLRKYDASQMHKYFQEVDARPK >PAN45058 pep chromosome:PHallii_v3.1:9:5156145:5164654:1 gene:PAHAL_9G090200 transcript:PAN45058 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MAVAALLRRRVLSSPHAVSSCRNAIYVRCPFSNSDPHSVVNYTNYRFWRGYHNSGKFDLTDMTHPHMWYPKAREKKRNVYLHVGPTNSGKTYSALKRLEASSSGVYCGPLRLLAREVAQRLNKINVPCNLITGQERDEIEGAKHTSVTVEMADVTTEYQCAVIDEIQMVGCKSRGFSFTRALLGLCSDELHVCGDPAAVPLIQRILEATGDLVTVRYYERLSPLVPLKSPLGSFSNIKAGDCLVTFSRRGIYTLKKRIEMEGKHLCSVVYGSLPPETRTKQATMFNDDTSDLNVLVASDAIGMGLNLNISRIIFSTMMKYDGFNFRELTVSEIKQIAGRAGRYGSKFPVGEVTCLDAEDLPLLHSSLKSPSPIIERAGLFPTFDLLALYSRLHGTDFFHPVLERFLEKAKLSPDYFIAECEDILKVAAIVDDLPLGLYDKYLFCISPVDMRDDISVQGLVQFAENYARKGIVRLKEIFTPGTLQVPKTHNQLKELESVHKVLELYVWLSFRVENSFPDREVAASQKSICSMLIEEYLVRSGWQPQGRKKFLHGPQKLLRKYDASQMHKYFQEVDARPK >PAN45063 pep chromosome:PHallii_v3.1:9:5157405:5164654:1 gene:PAHAL_9G090200 transcript:PAN45063 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MWYPKAREKKRNVYLHVGPTNSGKTYSALKRLEASSSGVYCGPLRLLAREVAQRLNKINVPCNLITGQERDEIEGAKHTSVTVEMADVTTEYQCAVIDEIQMVGCKSRGFSFTRALLGLCSDELHVCGDPAAVPLIQRILEATGDLVTVRYYERLSPLVPLKSPLGSFSNIKAGDCLVTFSRRGIYTLKKRIEMEGKHLCSVVYGSLPPETRTKQATMFNDDTSDLNVLVASDAIGMGLNLNISRIIFSTMMKYDGFNFRELTVSEIKQIAGRAGRYGSKFPVGEVTCLDAEDLPLLHSSLKSPSPIIERAGLFPTFDLLALYSRLHGTDFFHPVLERFLEKAKLSPDYFIAECEDILKVAAIVDDLPLGLYDKYLFCISPVDMRDDISVQGLVQFAENYARKGIVRLKEIFTPGTLQVPKTHNQLKELESVHKVLELYVWLSFRVENSFPDREVAASQKSICSMLIEEYLVRSGWQPQGRKKFLHGPQKLLRKYDASQMHKYFQEVDARPK >PAN46291 pep chromosome:PHallii_v3.1:9:11005171:11008517:1 gene:PAHAL_9G175200 transcript:PAN46291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNEMDMGYEDEPPEPEVEEGAEEELENNNEDTPDDVVDAEGEEKEQEKAKRERKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >PVH32228 pep chromosome:PHallii_v3.1:9:49440281:49441136:1 gene:PAHAL_9G348700 transcript:PVH32228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKKMFMFRWNEQVAHLCVEVVEKDGFQPAGTAGSNATGGPGYATSSVTDAGAAEGVGGTGDTCSLPNHAKEPFGQVDWSTLTIIVDAHYDGDMVTLADENKLFEVMGFKEADEKAEEEAAIEYGIPMIPAELQEDLREAGIPVDDKVDEDPVWDWDRDNLDMRVRIVYSCMFDSRLVVRQHVIVEEF >PAN44297 pep chromosome:PHallii_v3.1:9:1827435:1829796:1 gene:PAHAL_9G032600 transcript:PAN44297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLFRDMKGLSCSSPASTAICPSLERQPMVRSHKAIASPLSQVPTEPRTHRHDSKKGQQHKTAVVANGGGLVSPAGSSRYLLSGSAPASAATEEIQEVDAAPAADAKLEEASEVADPKSRQAQEQVVVLKVSLHCKACAGKVKKHLSKMEGVTSFDIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQLWAAPAPATTIAA >PAN51652 pep chromosome:PHallii_v3.1:9:71620672:71624119:-1 gene:PAHAL_9G610300 transcript:PAN51652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAAAVPIEISSDEEDGVKMPAAAAGKRKSPEGALDWAENILVEEDFGAVGESLVDSAAMQELLDSLTDATGIVVGDEGVVDDKNTVRDACGGGGDDDDDCVILDGDPDKPVAVAKEEGPRRDAAEDELQIVAEKGQLACRDFPHPRHLCAALPFSTSSHASHCSMCHCYVCDSPAPCAFWGKGTAHTDHCHATDKDAKWKKLRQSSKNKSQPPPKRRSIQNFSHSSATAPSLQSSVNANSSTGRLPVSTILAKNQLVDPCIMAPQNMMQGVSLLRPPSPTPRATISSYRSKTARVAPAVYAPSNANTLQPSVPSYVPMQPAQAHAFQRAQVPPGGRVSAGTSQSYQPQHSSAPIGSQGHRYRPPSYTLLANMVVGTGVPLSRYTSVATQGTQYQQVPLADAGLKEKEAIASLARELGVPDYNSNQPLGQQSVSTPQSLHPSQLLAQAKASQQAQVNKRYVPATSQMRPSSGHNLSNHASGSPVLSSGSVPVQQPLCQLNTQNSVTPSNLLDGK >PVH33005 pep chromosome:PHallii_v3.1:9:67593966:67594399:-1 gene:PAHAL_9G545600 transcript:PVH33005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PAN48779 pep chromosome:PHallii_v3.1:9:57355142:57356564:-1 gene:PAHAL_9G404500 transcript:PAN48779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MAAPPPHPFVYIDAAALHSLLPFPALIPHLRAGLAHPELSAGIQCPQRVSFPLPTAPSAALLLMPSWCAHPSLPYLALKAVTSFPSNAPRLPSVHAAVSLFSSATGAPLASVDGSALTLLRTAAVSALAASLLASPSRPPSVLALAGAGALAPYLAEAHLAALPSISRVLVWNRTRSKSAALVARLRAAHPGLAVEEVEAMDEAVAAADIVSCATGSREPIVRGGLLRPGAHLDLVGSFTPAMRECDDEALRRGRVFIDFEAAMEEAGELVGAVQRGVLRRTDVAGTLAELAAGTVEGRRSDDEITVFKSVGTAVVDLLATQLAYETHIATTKNA >PVH32202 pep chromosome:PHallii_v3.1:9:48099099:48100042:-1 gene:PAHAL_9G345100 transcript:PVH32202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESSVGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWTTKRRHVQQLSVAEMRMLRWFCGHTRRDRIRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELVMDRSAWRLAINVPEP >PVH33028 pep chromosome:PHallii_v3.1:9:67994971:68001801:1 gene:PAHAL_9G550800 transcript:PVH33028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSDKGSQQTDWRLHQYHIRNIVKDEEELVLSKIFFESRDNLCELAEEARVEAEWDVSTPADSREKCTTCSNPRIYTETDELDHISLKERYRILLADKNTCTATVSARESSKTSSKRNQEVTAYEEDICSMLQEISSAPPIIESNPMDDSNSSRLLGEDDSGFLSISGPSVCEVGCSHDPVEGNQVGGAAACGSESSELIVRKQGGLLADVKLEPALEGYEIDPSESPRANTAHAEGSVPSLGVKDELNECDLPGLCEKISFSSRKRRKMKTTSYSNEKTLEEDACTNDEGIAYCSRQRRMKKTATVSIEKALDEDAPGLLQILLTRGIVVEEIKLYGAEEDNEMIPDSSESSFEDLENVIANIFPKRTSLLKLSIARHEKGGKAIYCLSCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSLPIEWQIHRLVIAMKLSGCGRTALIENRPLLVGEDLTEGEAHVLEEYGWIRNTGLGTMVNYRDRVVHDRWMEKSVSDWRAKIGKLLMTGYAEGQSVTIHGPKKVSDLLEATGDAEIDIKLEDPF >PAN50842 pep chromosome:PHallii_v3.1:9:67993961:68001801:1 gene:PAHAL_9G550800 transcript:PAN50842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGFSSLISRHDSGPDPDHCPLAGRGRLTVQPPAPTRADFSPPLPGRCEACVATERTDGASQQSWPGLPRGVEFNPSDGDLLWHLAAEVGNGQAERHPFINNFIKSVDDDRGFSYTHPRDIPGVRQDGHASYFFHRRFESYSNEGDANISWKKIGTSRSIILDGTLQGCKEVFVLYADMMSDKGSQQTDWRLHQYHIRNIVKDEEELVLSKIFFESRDNLCELAEEARVEAEWDVSTPADSREKCTTCSNPRIYTETDELDHISLKERYRILLADKNTCTATVSARESSKTSSKRNQEVTAYEEDICSMLQEISSAPPIIESNPMDDSNSSRLLGEDDSGFLSISGPSVCEVGCSHDPVEGNQVGGAAACGSESSELIVRKQGGLLADVKLEPALEGYEIDPSESPRANTAHAEGSVPSLGVKDELNECDLPGLCEKISFSSRKRRKMKTTSYSNEKTLEEDACTNDEGIAYCSRQRRMKKTATVSIEKALDEDAPGLLQILLTRGIVVEEIKLYGAEEDNEMIPDSSESSFEDLENVIANIFPKRTSLLKLSIARHEKGGKAIYCLSCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSLPIEWQIHRLVIAMKLSGCGRTALIENRPLLVGEDLTEGEAHVLEEYGWIRNTGLGTMVNYRDRVVHDRWMEKSVSDWRAKIGKLLMTGYAEGQSVTIHGPKKVSDLLEATGDAEIDIKLEDPF >PVH33027 pep chromosome:PHallii_v3.1:9:67993961:68001801:1 gene:PAHAL_9G550800 transcript:PVH33027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGFSSLISRHDSGPDPDHCPLAGRGRLTVQPPAPTRADFSPPLPGRCEACVATERTDGASQQSWPGLPRGVEFNPSDGDLLWHLAAEVGNGQAERHPFINNFIKSVDDDRGFSYTHPRDIPGVRQDGHASYFFHRRFESYSNEGDANISWKKIGTSRSIILDGTLQGCKEVFVLYADMMSDKGSQQTDWRLHQYHIRNIVKDEEELVLSKIFFESRDNLCELAEEARVEAEWDVSTPADSREKCTTCSNPRIYTETDELDHISLKERYRILLADKNTCTATVSARESSKTSSKRNQEVTAYEEDICSMLQEISSAPPIIESNPMDDSNSSRLLGEDDSGFLSISGPSVCEVGCSHDPVEGNQVGGAAACGSESSELIVRKQGGLLADVKLEPALEGYEIDPSESPRANTAHAEGSVPSLGVKDELNECDLPGLCEKISFSSRKRRKMKTTSYSNEKTLEEDACTNDEGIAYCSRQRRMKKTATVSIEKALDEDAPGLLQILLTRGIVVEEIKLYGAEEDNEMIPDSSESSFEDLENVIANIFPKRTSLLKLSIARHEKGGKAIYCLSCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNSS >PVH30934 pep chromosome:PHallii_v3.1:9:938416:941315:1 gene:PAHAL_9G016100 transcript:PVH30934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATENAAPTPTPPAPATSSPPPKSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKGEDK >PVH30935 pep chromosome:PHallii_v3.1:9:938416:941315:1 gene:PAHAL_9G016100 transcript:PVH30935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATENAAPTPTPPAPATSSPPPKSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKVWNVPSAYSEGEDK >PAN51103 pep chromosome:PHallii_v3.1:9:69194191:69213277:1 gene:PAHAL_9G570100 transcript:PAN51103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPMVVIWTQRPCLFLVPPFASTRPPSEEEIGGSTRIRRSTNLDRVSDIVAMADAAQSIPAAIASLQTYSTALYAFTAAWRSVESHAAGLDSTLAARLAGFSELELICSAMDGAGLRAHLTEHRDELKEPARALDAALLVAPDPGLLALSAAAGFCRAPPEVAKSDGDIKVSCCLLIGLLDRLRAIGVKPSPEARDEARAVAADWKRGKRIGPEAMFKQEMFAFLLLVGVFGLVEDVGGASEVLDLIVSIASRERAVDAFVGLGLDLDQHMPVLIEKMKQKSKQLEAVKFIQALNVVHKYPLMPVLRSYISAAALAGKMIRIRGDDPASQNAADAKERMLLGTLQKFIQEHKLEELPILEEANKRTAQLDKQSAERKRAAAAAVAAAQQVSKNIEEQRKIQQLMQPAKRSKVDNVVLGSSGQNVHSAGTPSQQFIPRQSIHTAVAPNQYQAALNPNVLPAITQISQVVTGNHRPIGIQSQVPVAPAVPTHYGGPDYEVTSSRPYRSSTLAPGPSALNVPSGRASSRSRLYSGDPLAAVSRSSGKKGSSYNYSLSNMSTYDPK >PAN50233 pep chromosome:PHallii_v3.1:9:65057674:65060040:-1 gene:PAHAL_9G507000 transcript:PAN50233 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MEEYGGGDLLSEAMGSAARVVVVEDCVEAPGAFVLHLLLKRALAGGGAAAFLALAQPFTHYDRILRKMGCNLSLHRRNERLHFFELQAFPGGARDGAIADCFVRLYSEIQRVVEATRTGENAGQFTITIDDVSLLEVAAHGSVDNVLDFLYYCVTLTSEMNCSLVILIHEDIYAVEENMGLLLHLRHIADLVVKAAPLSTGLAADVHGQLSVVNKGTFSEQRAKAQKVWSFHFKVKENGTDFFYPGSRH >PAN50234 pep chromosome:PHallii_v3.1:9:65057956:65059870:-1 gene:PAHAL_9G507000 transcript:PAN50234 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MEEYGGGDLLSEAMGSAARVVVVEDCVEAPGAFVLHLLLKRALAGGGAAAFLALAQPFTHYDRILRKMGCNLSLHRRNERLHFFELQAFPAAGGARDGAIADCFVRLYSEIQRVVEATRTGENAGQFTITIDDVSLLEVAAHGSVDNVLDFLYYCVTLTSEMNCSLVILIHEDIYAVEENMGLLLHLRHIADLVVKAAPLSTGLAADVHGQLSVVNKGTFSEQRAKAQKVWSFHFKVKENGTDFFYPGSRH >PVH32019 pep chromosome:PHallii_v3.1:9:31272572:31273078:-1 gene:PAHAL_9G293600 transcript:PVH32019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRSNLKLGKFRTLLKNLSKDQKDLVKSCGFGSILDFDCSEAPRSVSFWLAKRFDVHSRTVNLQNGSSFVLNPFTVHQILGIPLGGRKISTRASKLVKDVIIDDTGTATVAPTVDHLFSLLNSELTGDKFVRIFMLIALAIFLCPTSYGSASSHYRDCISKGHIKM >PAN47762 pep chromosome:PHallii_v3.1:9:47950620:47952780:1 gene:PAHAL_9G343800 transcript:PAN47762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTLPLPHRLMITGLLILAGAMGAAYAVVAPAPTPPLPPPQMKGLPLTPPLPPPRLGAPARTPLPPPTRMSAPPPTPPLPPPRLGVPLPTPPLPPPRAPLLPPSRKGAPSPTPLPSPRLGAPLPTPPRLGVPSQAPLFPPSRKGAPPTVPTLPPPKLGVPLPAIPLPPRLGVPPRAPLIPPSQKVTPPPTPTLTPSQLGAPLPTPLVPPRLGVPPHAPLLPPTRKAAPSPTPLPTPKVGAPIPTPLTPRQAPLLPSPRKGAPPSTPHLPSPQLGAPLPTPPAPARAPLLPPSRTKRAPSPTPIPPPRFGAPLPTPISPPQKGAPLPTPHLPSPQLGAPLLTPPIPPQMGVPPRAPLISPSRKATPPPTPTIPSPRLGAPLPTPPLPPRLGVPPRSPLLPPSRKATPPPTPLPTPQLGAPIQPPLPPPRAPLLPSPRKVAPPPTPRISSPQLGAPLPTPSLPPRMGAPPRAPLLPSPRKGAPPPTPRISSPQLGAPLPTPPLSPQMGAPPRAPLPFPQKGGPPPTPRLPSPQLGAPVPTPPWAHIKLVG >PVH31316 pep chromosome:PHallii_v3.1:9:6447071:6447887:1 gene:PAHAL_9G110300 transcript:PVH31316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAGIASPARILLENRLLLPGNGRPRRCRRGASPVAMPCPSLGIPLGPSGLPPISCPECGDRVVEDKSWKNSGKVPGCCRFFKSISEYRKGARSNEQHLSADWVPSCSGANHGPVPNLVGA >PVH32224 pep chromosome:PHallii_v3.1:9:49260005:49264523:-1 gene:PAHAL_9G348100 transcript:PVH32224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPRAFAKVFLPALPPPPLLTAPVFPRRPTTRGAGAAAMSAAATPPVQAPRKWEGLVDEALERDVLGACLDQAPERRRVREAFKDVQLSIDHCLFKGQYSGIGTKESYERNSRGVEIFSKCWFPENHRMKAIVCLCHGYGDTCTFFLDGVARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFAKVKGNPEHSGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPVRQVLIFMAKLLPKEKLVPQKDLAELAFKEKQKQEQCSFNVIAYKDKPRLRTALEMLNTTQEIERCLEEVSLPMIILHGEADLVTDPAVSKALYEKARSQDKKLCLYKGAYHAVLEGEPDDTIFQALNDLISWLDQHSTKDEGSS >PAN47717 pep chromosome:PHallii_v3.1:9:49260005:49264497:-1 gene:PAHAL_9G348100 transcript:PAN47717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACARNSFPFPKKKKKRHNKWRQSRGPSRRSSSRRSRRRPSSLLPSSRGSRPTTRGAGAAAMSAAATPPVQAPRKWEGLVDEALERDVLGACLDQAPERRRVREAFKDVQLSIDHCLFKGQYSGIGTKESYERNSRGVEIFSKCWFPENHRMKAIVCLCHGYGDTCTFFLDGVARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFAKVKGNPEHSGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPVRQVLIFMAKLLPKEKLVPQKDLAELAFKEKQKQEQCSFNVIAYKDKPRLRTALEMLNTTQEIERCLEEVSLPMIILHGEADLVTDPAVSKALYEKARSQDKKLCLYKGAYHAVLEGEPDDTIFQALNDLISWLDQHSTKDEGSS >PVH32225 pep chromosome:PHallii_v3.1:9:49260647:49264219:-1 gene:PAHAL_9G348100 transcript:PVH32225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAATPPVQAPRKWEGLVDEALERDVLGACLDQAPERRRVREAFKDVQLSIDHCLFKGQYSGIGTKESYERNSRGVEIFSKCWFPENHRMKAIVCLCHGYGDTCTFFLDGVARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFAKVKGNPEHSGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPVRQVLIFMAKLLPKEKLVPQKDLAELAFKEKQKQEQCSFNVIAYKDKPRLRTALEMLNTTQEIERCLEEVSLPMIILHGEADLVTDPAVSKALYEKARSQDKKLCLYKGAYHAVLEGEPDDTIFQALNDLISWLDQHSTKDEGSS >PVH32540 pep chromosome:PHallii_v3.1:9:58956440:58958536:1 gene:PAHAL_9G423600 transcript:PVH32540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKVDLRALDPSSPGWASARAAVTAAMLENGCVVAACDTLGPGLRRALFERAMPELFSFPAETKQRNVSSDAALRGYVGKLAGLDFESFNVAAVTDPSSVRDFSSIFWPRGNPEFCDTVISFVSTLLKLEHTVEKMTLDGLGVREENIAGHRQTLTHSLRLSHYSVPEEPKMGVTLPRHTDPSFTTAIVQHEVEGLEVQAKDGSWITIPPEADTITIIAGDLLTVVTNGRVPACIHRVKTASNRERFSVLFTAVAKNGAVLSPMDELVDRDHPLMYNPLKTDEYVVFRYSEEGLKVSNPLEEFCGVHKSGSSTE >PAN46471 pep chromosome:PHallii_v3.1:9:11911295:11915530:1 gene:PAHAL_9G188000 transcript:PAN46471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine gamma-lyase [Source:Projected from Arabidopsis thaliana (AT1G64660) UniProtKB/Swiss-Prot;Acc:Q9SGU9] MAQAMAAASELVTPLKRPFGSDGLGDGNISSSSINGSVALGADDEKPKARRREADPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPDRGDMYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISAVLMQLVGAGGHVVASRCLYGGTHALLSRFLPRASGLRATFVDADDEAAVRAAVVPGETRVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNEMMDLQEGALMLLGPTMNAKVAFELSERLPHLPLRMQEHSRRALAFASRMQRLGLRVLYPGLPDHPHHARLAAAANPGYGSGGMLCVDMGTEDRANRLMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEIPPEDRARAGISPGLVRMSVGYNGTLEQRWAQFERALALMQPPLPSPTAAAAAATALLHHKAAADRDVPDAGNSNHRKH >PAN49252 pep chromosome:PHallii_v3.1:9:60250504:60256045:1 gene:PAHAL_9G436800 transcript:PAN49252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) (Sucrose-UDP glucosyltransferase 2) [Source: Projected from Oryza sativa (Os03g0401300)] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSSLPADTPYSDFHHRFQELGLEKGWGDCSKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESHKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWDKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >PAN49253 pep chromosome:PHallii_v3.1:9:60251583:60256045:1 gene:PAHAL_9G436800 transcript:PAN49253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) (Sucrose-UDP glucosyltransferase 2) [Source: Projected from Oryza sativa (Os03g0401300)] MLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSSLPADTPYSDFHHRFQELGLEKGWGDCSKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESHKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWDKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >PAN49254 pep chromosome:PHallii_v3.1:9:60251255:60256045:1 gene:PAHAL_9G436800 transcript:PAN49254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) (Sucrose-UDP glucosyltransferase 2) [Source: Projected from Oryza sativa (Os03g0401300)] MLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSSLPADTPYSDFHHRFQELGLEKGWGDCSKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESHKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWDKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >PAN49251 pep chromosome:PHallii_v3.1:9:60249324:60256101:1 gene:PAHAL_9G436800 transcript:PAN49251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) (Sucrose-UDP glucosyltransferase 2) [Source: Projected from Oryza sativa (Os03g0401300)] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSSLPADTPYSDFHHRFQELGLEKGWGDCSKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESHKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWDKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >PAN45021 pep chromosome:PHallii_v3.1:9:5041342:5047984:1 gene:PAHAL_9G088000 transcript:PAN45021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLRRGLGILLVPLPNAPSRPPPTSLAALLLHPYRLNGFSRRSLCSFPSGGRAVEQFSDDEYDHEYEDLRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGRVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRSLLSLDKSGGNTRSGSEVAEKADNVNLDEQHDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGFKMLELRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGEGLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERSGYRLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKNSSFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKVVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVASIGEFLSAALQPPEPLAVQNAVEFLKMIGALDGNENLTDLGRYLSILPVDPKLGKMLIMGAVFRCIDPVLTVVAGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDSGLIDTDANTNNSLSHNQSLVRGIICSGLFPGIASVVHRESSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSVLILFGGAVTKGSMAGHLKMLDGYIDFFMDPSLSECYLQLKEELDKLIQQKLEDPNFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLRAPEEDGKSSLIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRGLVEFKGMQFVGKPKRNKQLAERDAAIEALGWLTQTSGVKPRDEGDDASPLDLTDSMLKLLTRPRRHSKNSSRKR >PAN51081 pep chromosome:PHallii_v3.1:9:69112096:69117294:1 gene:PAHAL_9G568400 transcript:PAN51081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAAVESSATVSAFEVSKRPDTAKLVLNSPSPPSLREELATVVGKAFRPRASGGAGGGRAPRCAWVLPALQCVFPVLQWGRSYTLKSFKSDVMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMIQNIVDPAADPATYRSLVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDIVSVIKAVCSALHDPWHPGNFFIGCSFLIFILTTRFIGRRYKKLFWLSAIAPLISVILSTTAVYATRADKHGVKIIQKVHAGVNPSSVKKIHLNGPHTAECAKIAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMLAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTMSNIIMSITVFITLELFMKFLYYTPMAVLASIILSALPGLIDIKEACNIWKIDKMDFLTCLGAFVGVLFGSVEIGLAVAIAISFAKIIIQSLRPQVEILGRLQGTNIFCSIRQYPVACRTPTVLTIRIDTSFMCFINASFIKERIIQWVREEVEASDGKGKERIQAVVLDMSSVVNIDTSGLTALEEIHKELVSLGIQMAIAGPGWNAVQKMKVSRVVDRVGEDWIFLTVGEAVEACLSAHKGTALEC >PAN45864 pep chromosome:PHallii_v3.1:9:9076732:9081805:1 gene:PAHAL_9G147900 transcript:PAN45864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETGSSGRGGSGWGGGFRCLMRRKQVDSDRVRAEGQALLAKELNVPELVAIGVGSTVGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGPDSLPWILARHQLPWFNIIVDPCAAALVFIVTVLLCVGIKESSFAQGVVTVLNACVMIFVIIAGSYIGFQIGWVGYKVSDGYFPYGVNGMLTGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGVALAICCALYMAVSIVIVGLVPYFAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKSTIVTGICAAALAFAMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPPSMQESFRLNQECDAEKDRDLLGDGNCNISQMKDVIVVVESMDPLIEKRQHRGKMDETKRRKIAAFSIGSVCVGVLILTSSASVTWLPFLPICIGCIIGIVLLLAGLGALSWIEQDNGRHSFGHCGGFTCPFVPVLPVMCILINTYLLINLGGDTWMRVGIWLLMGVLVYIFYGRTHSSLTDVVYVPVAQADEIYRSSSGYVS >PAN49723 pep chromosome:PHallii_v3.1:9:62504386:62505915:-1 gene:PAHAL_9G471000 transcript:PAN49723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAADEYYYGGGPGPRGAPHGLLLAVVVGLVVAGPLFLGDGGEALTEAVAELLSPVGLLLLPVCLLFLIRVLSSDRGAAALADVFAFGGSPDAVHRVGGSPVGVALMLLLILALLYYRSSLFGGDGGGDE >PAN49592 pep chromosome:PHallii_v3.1:9:61638450:61650594:1 gene:PAHAL_9G458500 transcript:PAN49592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAPEGEGQGPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGLDRPYREDLLSKMEQDLDGNKVVKEVIFGPGEKTYRYCKHISKQHLPNLSSDLMKKGKHFILIRNPLNILPSFDKVIPPSFLELGIGELVSIYSELCGLGKPPPVIDADDLQREPEAVLRGLCEDLGIPFQPQMLKWEAGPKEFDGIWAPWWYRSVHKSTGFSKSRQYPLTFPSAYYDLLEQSLPFYNMLKRQVRRTIGSHQPPLPDPPLPVPANKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYHGKVFKLDEHLDRLFDSAKAMAFSNVPTRDWIKDAIFKTLIANGMFDNAHIRLTLTRGKKVTSGMSPAFNLYGCALIVLAEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGTVLTPHADYCLPGITRATVMDLVVKENFVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQKAYKILTAGQGVPIPRNDDA >PAN49593 pep chromosome:PHallii_v3.1:9:61638450:61650594:1 gene:PAHAL_9G458500 transcript:PAN49593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAPEGEGQGPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGLDRPYREDLLSKMDLDGNKVVKEVIFGPGEKTYRYCKHISKQHLPNLSSDLMKKGKHFILIRNPLNILPSFDKVIPPSFLELGIGELVSIYSELCGLGKPPPVIDADDLQREPEAVLRGLCEDLGIPFQPQMLKWEAGPKEFDGIWAPWWYRSVHKSTGFSKSRQYPLTFPSAYYDLLEQSLPFYNMLKRQVRRTIGSHQPPLPDPPLPVPANKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYHGKVFKLDEHLDRLFDSAKAMAFSNVPTRDWIKDAIFKTLIANGMFDNAHIRLTLTRGKKVTSGMSPAFNLYGCALIVLAEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGTVLTPHADYCLPGITRATVMDLVVKENFVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQKAYKILTAGQGVPIPRNDDA >PAN44942 pep chromosome:PHallii_v3.1:9:4745609:4747573:-1 gene:PAHAL_9G082300 transcript:PAN44942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGHILVLPFPAQGHVTPFMELSHRLVDHGFEVTFVNTEVDHSLVLGALQPAGGAAALGSIHLASIPDGLADGDDRKDFNKLLDACSRHMPGNLERLVTQLEAVRRPKVNWLVGDVVMSPCFEVARKLGIRVASFWTASAACLAIMLNVSKLIEEGLINDKGWAERDETFQLAPGMPTLQTSRMPWMDGTGTPVGQPALFELVARFVKLNSLADVVVCNSFDEAEVGAFKLYPDILPVGPLFADRELKKPVGHFLPEDERCVKWLDARPDRSVVYVAFGSMAIFDPRQFEELAEGLELAGRPFLWVVRPDFTPGLSKAWLAEFRQRVAGTGIIVSWCSQQQVLAHRAVACFVTHCGWNSTMEGVRNGVPFLCWPYFCDQFLDRSYVTSVWRTGLAVSPGADGIVTKEELRSKVEQVLGDGDIKERARLLMDVSRRCIRGSGSSCENFNKFVTLLSE >PVH31510 pep chromosome:PHallii_v3.1:9:10278707:10283185:-1 gene:PAHAL_9G165000 transcript:PVH31510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNGGSRMKKPCDCCKRYLDHLDGKNQSMSCFLRHMTANFKHSMVMPNRFLKNFAGKLSGTIKLVSPNGSLYDVEVTKRFNKVMLRHGWGDFVDAHHIEENNFLLFRHIENSLFEVLILDADGCEKVFSCAGIKNTQSVQDKIVDSVYISSSSFHDPTESSASERLVRCEKGGSSHREKTAKMVATSSSSESSGEDNLSENESFQLDDLQTNPGPNYVLSRGSYLSKAQEERVMALIQEIQPESTVYVAVMRKCHILKPGPYLAIPKGYAFGHFLHERTNVTLQRPGKSKKWHPRFYQRKDKRMYMLRGQWLDFVRDNHVQVEDICILVPAKGGRRFTFTVYLLHATATHSRGGTAFQRVGSFHGRSSTKMASAVHIKEASSDDHVSSDSDKDEASHKSLKSNSGGPSEAPYIVSSKSCLSQSQKKIVEEKVRAIQSEVPVFVAIMKKMNADVTYRYCIMELGKRFAAPHLPHRGQTVLLQCMKKVWKTKMVIHSGSRRWFLVGGWTTFVRDNGLRVGDICLFELKKKGELTMRVHIISREQF >PVH32313 pep chromosome:PHallii_v3.1:9:53705431:53706240:-1 gene:PAHAL_9G369000 transcript:PVH32313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWQQQSSYLISNKKKVHTNLLHCCRLETRGRPLAATNLTLVLGRNNKRKNIRKTCPPERRLFS >PAN44361 pep chromosome:PHallii_v3.1:9:2038720:2040432:-1 gene:PAHAL_9G036900 transcript:PAN44361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPVRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGTREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAAKKGSLRLDDWVLCRLYNKKNEWEKMRLGKESAAGAVAKEEAMDMTTSHSHSQSHSHSWGETRTPESEIVDNDPFPELDSFPAFQDPAAAMMVPKKEPHADDGNNNAAKNSDLFVDLSYDDIQSMYSGLDMLPPPGEDFYSSLFASPRVKGNQPAGAAGLAPF >PVH31526 pep chromosome:PHallii_v3.1:9:10757451:10758684:1 gene:PAHAL_9G171700 transcript:PVH31526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVWAAGGLLCYSLVSPGSGSLRRALPLLPPPSPEILAGIGPRLLPHARAAFARAPPGFSRFSFARRPLGQPNRHLESERGHLAARARHARGRRIHTRLVGVPFSLSFFFFFSEQKHSTVIILLLLVYQRHGLIEATTRGSGACSLELCHV >PAN45540 pep chromosome:PHallii_v3.1:9:7517265:7520872:-1 gene:PAHAL_9G126200 transcript:PAN45540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPLSLDPAPFPVLRPGSGSCGGRVLPGPAPRLCRPLRAAPVVPATAEEPPSAVARGRLESLSQVAGVLGTQWGDEGKGKLVDVLAQRFDVVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCEGRLLVSDRAHLLFDLHQVVDGLREAELGISLIGTTRRGIGPCYSNKVIRNGLRVCDLRHMDTFGAKLNTLLRDAALRFKDFEYDNKILKEEVEKYKRFAERLEPFITDTVHFMNESILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGISPRSLGDIIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGISYYTNDGNTVQSFPADLDLLEQIKVKYEALPGWEEDISSIRDYNDLPETARRYVERIEELVGVPVHYIGVGPGRDALIYK >PAN46557 pep chromosome:PHallii_v3.1:9:12358712:12363395:1 gene:PAHAL_9G193500 transcript:PAN46557 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY family transcription factor, Leaf developmen [Source: Projected from Oryza sativa (Os10g0508300)] MSSSSSSSSAASAAAVFPPSPPPLLVENLPPLHQLTPVAAAPPASEQLCYVHCHFCDTVLVVSVPTSSLFKTVTVRCGHCSSLLTVNMRGLLFPGTPTTNASAAAAPADVTSTTTTITTPPQPPPPASSVNNGQFHFPHSVNLAPNPPHQSLLDEISSANPSLQLLEQHGLGGLIPGGRNAAAPPQPQPAAAGNKGAKEPSPRANPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLKKTSLLPQDHHQRKDALLKDGLYAAAAAAAAAAANMGVAPY >PAN46558 pep chromosome:PHallii_v3.1:9:12359521:12363395:1 gene:PAHAL_9G193500 transcript:PAN46558 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY family transcription factor, Leaf developmen [Source: Projected from Oryza sativa (Os10g0508300)] MRGLLFPGTPTTNASAAAAPADVTSTTTTITTPPQPPPPASSVNNGQFHFPHSVNLAPNPPHQSLLDEISSANPSLQLLEQHGLGGLIPGGRNAAAPPQPQPAAAGNKGAKEPSPRANPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLKKTSLLPQDHHQRKDALLKDGLYAAAAAAAAAAANMGVAPY >PAN48328 pep chromosome:PHallii_v3.1:9:54481491:54484574:-1 gene:PAHAL_9G375500 transcript:PAN48328 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g27600/T29A15_90 [Source:Projected from Arabidopsis thaliana (AT4G27600) UniProtKB/TrEMBL;Acc:Q940Y6] MALASATTAAATASSPSRFVRRFSSSPPPPSLLPLAARPGAGSSPRACSYHRFVVQWKGRARALLGGISDAGASESDDDEEDALREGQREGEGEPAVEPAAAAAELERWDVLGLGQAMVDFSGMVDDEFLERLGIEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSSQSAGYPELKIAMAGSVGSDPLGSFYRAKLRRANVHFLSKPVKDGTTGTVIVLTTLDAQRTMLAYQGTSSTLSYDSDLADLVSKSNVLIVEGYLFELPHTIEAIKQACEDAHKNGALIAVTASDVSCIKRCYNDFWDIVGNYADILFANANEARAFCELPSTDSPMSATRYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCIPVDTCGAGDAYASGILYGILRGASDLKGIGLLASQVAAVVVEQQGTRLRVQDADRLAECFAHHLDSLEFCSDV >PVH33190 pep chromosome:PHallii_v3.1:9:70410776:70411818:-1 gene:PAHAL_9G590800 transcript:PVH33190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPVLLVLAAVAAALACAGAEPPQQERSALQAFLVGTPHERALGWNASVPACAWTGVRCDAADATVVELRLPMPPGTLGGLRGLQVLSLRDNCLLGDIPATSSACRSSAP >PAN46427 pep chromosome:PHallii_v3.1:9:11712926:11715858:1 gene:PAHAL_9G185200 transcript:PAN46427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGSKKRASAAADLTDDLIVEILSRLPVKSICRFKCVSRHWHGLISHPEHRKTLPQTLSGFFYPRNLLNHEDAITEIPDFVGISRGEELPFLDPSLPFLPGYRWIRPEDSSGGLLLCNCWKENPRDEFNYVVCNPATDKWVVLPEAPDDLSSVSTIRLGFDPAISSHFHVFQLLEEDQYGYITGLNIYSSETGVWSHKENGWSDEVVPVDSRGVFMNEMLHLISYDVTILTVDTEGKTWRTIPLLESMGFENFCKGPVAFLGQSQGRLCYMNTRKHIASKISVWILEDYSAGRWIFKYNISTSQIFGEVDLMMELDYALIAIHPEANVIFFVWKCEDLLMSYDMDRGKVCVICSLTENMYRAFLPYLPYIPFYHGLADQD >PAN46428 pep chromosome:PHallii_v3.1:9:11712926:11715858:1 gene:PAHAL_9G185200 transcript:PAN46428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGSKKRASAAADLTDDLIVEILSRLPVKSICRFKCVSRHWHGLISHPEHRKTLPQTLSGFFYPRNLLNHEDAITEIPDFVGISRGEELPFLDPSLPFLPGYRWIRPEDSSGGLLLCNCWKENPRDEFNYVVCNPATDKWVVLPEAPDDLSSVSTIRLGFDPAISSHFHVFQLLEEDQYGYITGLNIYSSETGVWSHKENGWSDEVVPVDSRGVFMNEMLHLISYDVTILTVDTEGKTWRTIPLLESMGFENFCKGPVAFLGQSQGRLCYMNTRKHIASKISVWILEDYSAGRWIFKYNISTSQIFGEVDLMMELDYALIAIHPEANVIFFVWKCEDLLMSYDMDRGKVCVICSLTENMYRAFLPYLPYIPFYHGLADQD >PAN51162 pep chromosome:PHallii_v3.1:9:69496198:69498080:-1 gene:PAHAL_9G575300 transcript:PAN51162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAAVFSPAAAAGHFGYGRGDSPYALPWCDMGGLGDLCAGDYWDQELADAWAAPAVWDDWGEAASRDQSSDASSDQHQGKEAAPEPAPAVRRKRRRTKVVKNKEEIETQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAHRGDQASIVGGAINYVRELEQLLQSLEVQKSIRNRRGGSTDAAGSSSPFAGFFSFPQYSTSPGHGCSSSSTTSLGGSSNTSNAASSDASGGSAESGRPVAVADIEVTMVEGHASLKVLARRRPKQLLKLVAGLHQLRIPPLHLNMTTVDAMVLYTFSLKVEDDSKMGSVEDIATAVHEILGSVQRQEETAAM >PAN46647 pep chromosome:PHallii_v3.1:9:12818381:12830653:-1 gene:PAHAL_9G201500 transcript:PAN46647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MTSSAPPNPSPVPVPVPVPVSTPTPTPNGTASPPKDQPPPPQQQQQAGGQEELAPADGGGAEAAEAGVVAGVAGEAMEVDGGPGSGDAEAGGVVGVGGGGGAGGGGQQASPATVFRIRLKQSPASLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPNKGPVNLVRDASSWQCEHEWRQDLSVVTKWLSGVSPYRWLPASSTSSNLKTFEEKFLTQQPQSSAGWPSILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIVTESGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSVPPSLNPPSWPGFAPLAAYLFSLQDYLVSEGAQTKKQTENETTEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSRQPVVLHPIFGSPTSFGGQPPMQIFWSTRVNKSIPPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPDFDQVDSYHVNVGSAIAPPAFSSSSCCLASVWHDTLKDRTILKIIRVLPPAILSTQTKVNSAAWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIAFLDADFHSLPTMQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIEPDKMTVEPALLPNIQGYVDAVLDLASHFITRLRRYASFCRTLASHVGPSSTTGTSRNMVTSPTNSSPTPSNNQGNQGGATSGPGNSQMQEWVQGAIAKISNNSDGAATTTPNPMSGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRLLANAQKNQDSAIQKIQHMMNAKTEDSSTTVRSGLGAAKVEDGQAMRGGQFALGAKGPEENPIGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSTLPHPLPASQVGSNNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDFGPLDNMPHQKVSGSINPHLSDMEEDYGNSLGVQSLWPRKRRLSERDAAFGLKTSVGLGGYLGVMGSRRDVVTAVWRTGLDGEWYKCIRCLRQTCAFAQPGAPNPTNEREAWWISRWSHACPMCGGSWVKVV >PAN44149 pep chromosome:PHallii_v3.1:9:1176121:1181865:1 gene:PAHAL_9G020900 transcript:PAN44149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MVGGGGSDLQSPKKRKREHAEGKTKPRPQVEGGGHGAKRKKHSGAGGYADHGGGAGEAVAKKKRPVTPKEKRLAAKEMSEARKRKRKRHYSLEKELTKLWEKMRCHDVSKEERSKVVSEAIRKMDGKYLDIAGSHVTARILQTCVKWCSQSERDAIFGDLQPHLLTLSRKKYAVFLVKKLIELATKNQFASFISSLHGHVAKLLPHTIGAAVVDYAYQRATQPQKRQLLLELYSTELQLFKDLTVQSSCSVLETISKLGLQKSSVLQYMTIEIQKILEKGTVEYSIVHTAILEYFTIADKTSALDVICQLIPLLTQGASIIDGDEPSITSELPKKTKAKKKRLSEPLIVRIMQTREGLKLAISCLKHGSAKDRKKIIKSLKGHIMKLALNDFGCLFLINIISIVDDTKLVSKIVIQELAKNLKQLIFDKNGRRPLLQLLHPLCSRYLSPVDLACLSYSVPSLSSRKDEALESATEVTSENKVDAVTDKEPDGLEGMQIVSESKKDPSQRRHELLIKSELAEALVQSCMENVGELLRTNFGKEVLYEVAVGGKDNVLEGITDKIHKLHDAIASDAAQPKTEDIEHAFENFFSSRGIRRMIIDCPAFAVTLWRKALKGKCKIWAEGHSSKVVAAFLESPSSEVKDLAKRELQPLIDTGILKVPDHKAMEK >PAN46179 pep chromosome:PHallii_v3.1:9:10447482:10449127:-1 gene:PAHAL_9G167700 transcript:PAN46179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRKASPGLADDLMISCGGGRSHASSNTFAPAPASRESWAEAVGAVAAAQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGSNTRTNFWPRAAGAAAPAAAVFTLQHPPPPSALPSKVTNLLLLRLKKARSSAGAVTLAQQEAPVQHRQAQQGYGGQDEYSFQVDDFLSYDGASDELQVVKHEVASNCSQEAEDDGDEEVGGEEDEAPLDFGFMDKQPSPAREVDDAGPLYSPFEMVADELGCTAEVEPSGPINEVMKRMKYERKISASLYALSGVSECLRLRLGDGGDHVGAGRHELALSGLRDACRKQQEQEVVDEGGNAVGHEESSSCSNSISSEAASSSPEAASSRQEAKAAESDMLLWSSLDLPPIC >PAN51600 pep chromosome:PHallii_v3.1:9:71346651:71350038:-1 gene:PAHAL_9G606500 transcript:PAN51600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPREESPIGANEIRITAQGRPRNYITYALALLQDNAVDDIVIKAMGRAINKTVVIVELLKRRIVGLHQNTSIESIDITDTWEPLEEGLVTLETTRHVSLITIKLSKKELDTSSPGYQTPIPADQVRPAAEFDQDAEAIPSGRGRGRGRRGRGFSNGGVDYNDEFGEPEEAPRGNRGRGRGRGRRGSFGPGRGYGGDAYPMEEAGGYDDGYNAPPMQGYEGGRGRGRGRGRGRGRGGQGRGPPPQE >PAN45405 pep chromosome:PHallii_v3.1:9:6806415:6809906:1 gene:PAHAL_9G116300 transcript:PAN45405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTPAPPPPWRPRRIHSALPLLLLVAAALAARAGADDLASDGRALLAFRDAVGPRLAWNASDPAGACSWTGVTCENGRVAVLRLPGATLSGAIPAGTLGNLTALHTLSLRLNGLSGALPDDLANAAALRNVFLNGNRLSGGFPRGVLGIQGLVRLALDGNDLSGPIPAALGNLTHLRTLLLENNRFSGEIPDLKQQLQQFNVSFNQLNGSVPAALRSQPRSAFLGTGLCGGPLGPCPGEVSPSPAPAGQTPSPTPVPSGSGSGGSNGGSNGGNGGESGHKSKKLSGGAIAGIAIGSALGAALLLFLLVCLCRRSGGTKTQSLEMPPPSPTPAAVAGGRKPPEMTSGAAVAPLTTIGHPNAPIGQSTSGKKLVFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLSEPEFRERISEIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRSSGRTPLNWDLRSSIALAAARGVEYIHSTSSTASHGNIKSSNVLLGKSYQARVSDNGLTTLVAPSSSPSRTTGYRAPEVTDSRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVNRSEWSSEVFDMELMRHQNGEEQMAQILQLAIDCVAQVPEARPSMAHVVTRIEDIKKSGVASNIEEGDDQSSKAESEVPTNPFAP >PAN46877 pep chromosome:PHallii_v3.1:9:14478308:14481514:-1 gene:PAHAL_9G221400 transcript:PAN46877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLREMDSALPPGFRFYPSDEELVCFYLRNKVANQRVASGTLVEVDLHAREPWELPDVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRVVHEQGTRALVGMRKTLVFYRGRAPNGQKTGWVMHEFRLETPNSTPKEDWVLCRVFNKMKPLSEGEEAAGSRIQRGHPATATGAEPSSPPAFLGSLPNPTANPADDFYQQRQALSSGALLMNLAILQQGSFLDYCSPVVHHGAAVGAPHNAGCGGDDAAMAMALGHVGFEEHGMGEALEMEYAQPEGGCGYRGGLYF >PAN43973 pep chromosome:PHallii_v3.1:9:518739:522050:1 gene:PAHAL_9G007900 transcript:PAN43973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MSSRHRRLASLTKLLTTHVNAARHRDALSLFSRMLSAPDLPPPTDPSFAHAFPLAIKSATALRLPRAAASFHAFADKCGLLSSPFLSSALIASYGAGASHELARRLFDELPARNAIVWSAMVSVHVRAGDLTAAASALDAMDVTPTASCFNTIIAAVAESGEHPARAIEVYRHMRRVGVAPSFITLLALVPACTAMGSLTSIKEVHGFAVRHGMSAISHIGSSLIEAYGRCGSLAGAQRVFDQVQDRDVVVWSSLVSAYAFHGRAEVAMSLFRHMKDQDDVRPDSIMFLSLLAACAHSGHADDALQYFDVLTKRYGVEACRDHYSCLVDVLGRAGRLHEAYELIQTMPVEVTAKAWGALLAACRKYGEVGLAEVAGRALFEIEPENAGNFVSLANIYSDRGMHEEAERVRREMEQRGVHRLPGSSWMIHRKSSC >PVH31673 pep chromosome:PHallii_v3.1:9:13358146:13361010:-1 gene:PAHAL_9G207600 transcript:PVH31673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMKGVIPRTGPFFVAALRLLPAGALLVAFAAARGRKQPSGWEAWLAVAAFGLIDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLASLLFGESIGAIGVGGLVLGVVGLLLLEVPALSVDGNDTAIWGSGEWLMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVISVLNHDPALSGHIQDLTWSDILALGYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFLTPMFASIFGYLYLGETFSPVQIGGALLTLVAIYMVNYKSIVGEK >PAN46849 pep chromosome:PHallii_v3.1:9:14295429:14298640:-1 gene:PAHAL_9G219400 transcript:PAN46849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLIANLIVMGSTIIGRAMLQAYRKALDNANKTGVAHEAINNIRRASKTMTEQEARQILGVSENSTWEEIVQRYDNLFERNAKSGSFYLQSKVHRAKECLETVYQKNKQDEPPT >PAN46761 pep chromosome:PHallii_v3.1:9:13761118:13765994:-1 gene:PAHAL_9G212600 transcript:PAN46761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAAAYFAAAARKQYFCYQCNRTVLIAASAAAAGELSCPDCHGDFLEEVTVPAPTFIPLPFPFPFASTTIPAASTAPAPAAGSGGSPSLSSSSSSAATSPSQPNDISSILNTFLGLGEQSGRVGGGVRSAAGTATPENEAEPFDPVMFFQNYLHSLMEGGANIQVLLDDASLSLGPGLGRAGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSALPDVVVTHAMVAAAEGAECAVCKDDFSPGEVAKQMPCKHIYHADCIVPWLELHNSCPICRFELPTDDPDYEGRKASNPQPPPVGIAAVASGSSTAADEGMEEREENARVVERRFNVSLPWPFSGLGGQTSQQEGNNGGSGSNSQGSGSQDGGAPSSKN >PAN49678 pep chromosome:PHallii_v3.1:9:62158365:62162558:1 gene:PAHAL_9G466000 transcript:PAN49678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCIHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIQYAKVVKMMGNRANAVNLDFSEILSDEEVETQLKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGKASQKHKGKISRSLAAKTALAIRYDALGDGEDNSIGTESRLKLETRLQVLEKTEFGKSAGSAKGKPKIEAYEKDRKKGAGALITPAKAYNPAADVFLGKSTEETPKKSELASKKRKHHDAETAPPTEPAAEAIQEDGDQDRKKKKKKKSKETEETPVADADGEKKKKKKSKDTEEEPSVATAEGGKKKKKKKADTDGEDVAMQAEESGKKDKKKKKKKHADDE >PAN49744 pep chromosome:PHallii_v3.1:9:62580466:62586421:1 gene:PAHAL_9G472400 transcript:PAN49744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMAPASQPSSQQPPQPPTVATVLRQATRDPSAAAAHLPELPHEALADILSSLSAASPAGHLALLPAILALSLSPSAASAALSALLSAPSWPSATLLAVASLLRDLPPAYRNRVPAFLGKILSLLPSADAQDLPAIAYQLLLLASKPLHPRAVLAGLLRFFGGRRGARVRAPPSIARQVEGTVLLNFAFVVKQDPVLAREVLAAVKADAAGALSGFAVAVLLSVARVRRFNEGAVSVLRDAAAVSRRDYRMSRRCKWLPDCMKEELTRATQCVEKGLLKAVDESIVGREHVLPSIVQVGFLLLEVQDGDRTDEARLGEGVMGTEEIGINMLRSLFEIHEMARTKIIERCKFQILSAKPQQSAPVLRLLGCLIRGHPFPMLEYIAHLKELLDYFSFMNDKISTGLISCILPLTKFSRDLKDYIILVVRKAMFKREDMVRIAATNAIVELIIAESRRNEANPFEDSSSQPSSSQQPETRLEFGRGLFQELSGIFRRCLSQQTSVKEVLYEGLIRIVTSDPAVAGNVLDFLWPHFLNYYTEAGRTSSSDLFVKALSSIQKYLRISLTEDQRGQSQDTGSLASQSEMAHCHSLAMLGIIEVFVDFAASKLEKASDESKEMIEKEILELVDAHSGFERKTSNCREKIARRRGNAGDATDKHTNEPKENSNASLQKLREKRGKFLDSSLYELSVMCVKQCDADSYNNCSQRPSQAKSNQSSYLISFVLKAFLELFKSLATKDSGNFRIKLYESLKKLIQPIMQLIWRLLLDSNQENGGTKRNMAQGKKNIECKKDQLYLALACLKELLKPSVSGDHSSDIIEVIISSAPPIIEDMMEAGELDKNDTTMVEDRSTKNVHALLKILKMLYARVLSQSLLRESEVVTELILSISRKLHLEQRHLLGTWATDLCRKKTMQSPSMAREVVKLTIHLTPAPDDMILVCEIAAELKKLMTSEKDISRDSSDTFHIVNCKTKSSLAAVCLQMVELSLTELDWGLGKLKAMLTLGYDSASIDEDQPADERTQRLALEEALYSRSTLVVHALSSFAHMSLKDTQADHFLKLTAKFYKLLTRMSKSQIAPKGYAQSIPSIKFQKLAEVTCRMLTSPLYDFVSSVQENQETPRKVNLAKIKRESKCIPDLIYQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFRIEDKSGERQQEEDRTPANAVPSDNEPDEDAGGPNTPVESYADENASSESEHDEDAGGLKAPVEANADENTRSSIPCGSTMQESEPDEEEEILARRKRAKTKQVVQDSDEEAEDE >PAN49745 pep chromosome:PHallii_v3.1:9:62580466:62586421:1 gene:PAHAL_9G472400 transcript:PAN49745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMAPASQPSSQQPPQPPTVATVLRQATRDPSAAAAHLPELPHEALADILSSLSAASPAGHLALLPAILALSLSPSAASAALSALLSAPSWPSATLLAVASLLRDLPPAYRNRVPAFLGKILSLLPSADAQDLPAIAYQLLLLASKPLHPRAVLAGLLRFFGGRRGARVRAPPSIARQVEGTVLLNFAFVVKQDPVLAREVLAAVKADAAGALSGFAVAVLLSVARVRRFNEGAVSVLRDAAAVSRRDYRMSRRCKWLPDCMKEELTRATQCVEKGLLKAVDESIVGREHVLPSIVQVGFLLLEVQDGDRTDEARLGEGVMGTEEIGINMLRSLFEIHEMARTKIIERCKFQILSAKPQQSAPVLRLLGCLIRGHPFPMLEYIAHLKELLDYFSFMNDKISTGLISCILPLTKFSRDLKDYIILVVRKAMFKREDMVRIAATNAIVELIIAESRRNEANPFEDSSSQPSSSQQPETRLEFGRGLFQELSGIFRRCLSQQTSVKEVLYEGLIRIVTSDPAVAGNVLDFLWPHFLNYYTEDAECPLKISLCFKLENAKLCIVEPLDCLLSCVSRILRIQQNNKCERPHDAYKCFGFSASQDNEAGRTSSSDLFVKALSSIQKYLRISLTEDQRGQSQDTGSLASQSEMAHCHSLAMLGIIEVFVDFAASKLEKASDESKEMIEKEILELVDAHSGFERKTSNCREKIARRRGNAGDATDKHTNEPKENSNASLQKLREKRGKFLDSSLYELSVMCVKQCDADSYNNCSQRPSQAKSNQSSYLISFVLKAFLELFKSLATKDSGNFRIKLYESLKKLIQPIMQLIWRLLLDSNQENGGTKRNMAQGKKNIECKKDQLYLALACLKELLKPSVSGDHSSDIIEVIISSAPPIIEDMMEAGELDKNDTTMVEDRSTKNVHALLKILKMLYARVLSQSLLRESEVVTELILSISRKLHLEQRHLLGTWATDLCRKKTMQSPSMAREVVKLTIHLTPAPDDMILVCEIAAELKKLMTSEKDISRDSSDTFHIVNCKTKSSLAAVCLQMVELSLTELDWGLGKLKAMLTLGYDSASIDEDQPADERTQRLALEEALYSRSTLVVHALSSFAHMSLKDTQADHFLKLTAKFYKLLTRMSKSQIAPKGYAQSIPSIKFQKLAEVTCRMLTSPLYDFVSSVQENQETPRKVNLAKIKRESKCIPDLIYQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFRIEDKSGERQQEEDRTPANAVPSDNEPDEDAGGPNTPVESYADENASSESEHDEDAGGLKAPVEANADENTRSSIPCGSTMQESEPDEEEEILARRKRAKTKQVVQDSDEEAEDE >PAN49663 pep chromosome:PHallii_v3.1:9:62040985:62045428:-1 gene:PAHAL_9G464700 transcript:PAN49663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKEKAVNVSGKPRHSNDVNRPNDKKGAGGGAGGGARSAATVRRLKMYKTRPKRDRSGKVLRNEFQSKELPNTRIEPDRRWFGNTRVVGQPQLEMFREELQNRLSDNFSVILKERKLPLSLLQDHQKQARAHLLDVEPFEHAFGPKGKRKRPKLTALDYESLLKKADDSQDAFEQKYASSKLPREEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLIPAWATKGWLRTLSKDYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLEDAAEHIGEVLRRVKKEHLQRAYKIQDWTDDNDFLLQLCRTTGKLLKGGEPDLTTAAKMVLHDWQRGKIPFFVPPPQQSDDGASESAEPIDKTEEDGVSSDRTAAAMKAIAGIISSQQNMNVPCHKEHDADNEDIESEDNEEIELPEQSE >PAN49662 pep chromosome:PHallii_v3.1:9:62041106:62045428:-1 gene:PAHAL_9G464700 transcript:PAN49662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKEKAVNVSGKPRHSNDVNRPNDKKGAGGGAGGGARSAATVRRLKMYKTRPKRDRSGKVLRNEFQSKELPNTRIEPDRRWFGNTRVVGQPQLEMFREELQNRLSDNFSVILKERKLPLSLLQDHQKQARAHLLDVEPFEHAFGPKGKRKRPKLTALDYESLLKKADDSQDAFEQKYASSKLPREEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLIPAWATKGWLRTLSKDYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLEDAAEHIGEVLRRVKKEHLQRAYKIQDWTDDNDFLLQLCRTTGKLLKGGEPDLTTAAKMVLHDWQRGKIPFFVPPPQQSDDGASESAEPIDKTEEDGVSSDRTAAAMKAIAGIISSQQNMNVPCHKEHDADNEDIESEDNEEIELPEQSE >PAN46038 pep chromosome:PHallii_v3.1:9:9891616:9896841:1 gene:PAHAL_9G159300 transcript:PAN46038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVDKGCYVCIVIGYCEGGDMAEAIKRANDTHFSEEKLCQWLVQLLMALDYLHAHHILHRDVKCSNIFLTRDQNIRLGDFGLAKILTPDDLASSVVGTPSHMCPELLADIPYGNKSDIWSLGCCMYEMAALRPAFKAFDMQALINKITKSIVSPLPTRYSGAFRGLVRSMLRRSPEHRPSAAELLKHPHLQPYVLQVHLKSSPSRSVIPVHQSPTEKRMTFAAEPVYRSKGRRNSLGNERIVTFSKPSPEGNYISYIPGMKDCTTPQSAKELSVEESQVEDVTSKTVAARTSSIMKTPKTTPWKMMTTLKNRLEAPQASYDRTSHIEQFSRTPVDNRSTRLARRASLPLPVFETPKRSSISILQQLESPDVSVNSPRIDRIAEFPLASSGEEPRFSVGKPSLSSAHGSCSAATPPFVDRSITKDKCTAQTLRTAAAAASDGENDSRGDDDDSSTGRNATAAAVSSRGSSGSSRQRRQQQRRFDTTSYQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPGEKVSPRETAIWLTKSFKETGL >PAN46037 pep chromosome:PHallii_v3.1:9:9891616:9896841:1 gene:PAHAL_9G159300 transcript:PAN46037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVDKGCYVCIVIGYCEGGDMAEAIKRANDTHFSEEKLCQWLVQLLMALDYLHAHHILHRDVKCSNIFLTRDQNIRLGDFGLAKILTPDDLASSVVGTPSHMCPELLADIPYGNKSDIWSLGCCMYEMAALRPAFKAFDMQALINKITKSIVSPLPTRYSGAFRGLVRSMLRRSPEHRPSAAELLKHPHLQPYVLQVHLKSSPSRSVIPVHQSPTEKRMTFAAEPVYRSKGRRNSLGNERIVTFSKPSPEGNYISYIPGMKDCTTPQSAKELSVEESQVEDVTSKTVAARTSSIMKTPKTTPWKMMTTLKNRLEAPQASYDRTSHIEFSRTPVDNRSTRLARRASLPLPVFETPKRSSISILQQLESPDVSVNSPRIDRIAEFPLASSGEEPRFSVGKPSLSSAHGSCSAATPPFVDRSITKDKCTAQTLRTAAAAASDGENDSRGDDDDSSTGRNATAAAVSSRGSSGSSRQRRQQQRRFDTTSYQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPGEKVSPRETAIWLTKSFKETGL >PAN50419 pep chromosome:PHallii_v3.1:9:65850392:65856647:1 gene:PAHAL_9G518600 transcript:PAN50419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGASGRGGGGGGGRRVGEYELLRPIGSGAYSQVWLGRHQERGTEVAVKEIAMERLSRKLRESLLSEVDILRRIRHPNVIALHDSVKDHGRIYLILEYCRGGDLHAYLQRHKRVSETVAKHFIRQLASGLQMLRDNNVVHRDLKPQNILLVENNENSLLKIADFGFAKFLQPFALAETLCGSPLYMAPEVMQAQKYDAKADLWSVGVILYQLVTGIPPFNGDNQIQLLKNILRSREIQFPSDCELSHGCIDLCRKLLRLNSVERLTVEEFVHHPFLSEHAPERTLSRTPSDIRDLPSSQSSQEDCMPFPLDDESSGQDESPVPDSKSPMKSYGFPMGKRLDKTSGQSPSKHIGLFSRYVLGNNHPPSSQHHDHAGKKTKESNIDEVQGRKGGYPEDQEYVFVSGPLPEGSSSSTNASRQLNLPAKYDNSSVSPPKLTLSSAPMPINGVPFNRQQSAGTGSLDSHCSPASGTSQGSADMSDAIDQPPSDYLTRIRLLEQYASAIAGLVKDEIKAGRHLEAFSIQLIVLATWKQAIHLCNTFVASAARESPSQDIPMKGLCADVPHLLANSQLVDDACMQIERQFLSDVEYAEELASTVGQIADGTEMPDAIEIIFQSALHIGRRGGVDEMFGKAADAKTGYTRAVSMLRFLLIEAPLLALNPPLTLTRSDRHRLRTYIEALNTRLGQMHSQSH >PAN50420 pep chromosome:PHallii_v3.1:9:65850392:65856647:1 gene:PAHAL_9G518600 transcript:PAN50420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGASGRGGGGGGGRRVGEYELLRPIGSGAYSQVWLGRHQERGTEVAVKEIAMERLSRKLRESLLSEVDILRRIRHPNVIALHDSVKDHGRIYLILEYCRGGDLHAYLQRHKRVSETVAKHFIRQLASGLQMLRDNNVVHRDLKPQNILLVENNENSLLKIADFGFAKFLQPFALAETLCGSPLYMAPEVMQAQKYDAKADLWSVGVILYQLVTGIPPFNGDNQIQLLKNILRSREIQFPSDCELSHGCIDLCRKLLRLNSVERLTVEEFVHHPFLSEHAPERTLSRTPSDIRDLPSSQSSQEDCMPFPLDDESSGQDESPVPDSKSPMKSYGFPMGKRLDKTSGQSPSKHIGLFSRYVLGNNHPPSSQHHDHAGKKTKESNIDEVQGRKGGYPEDSPIIDSLEFVDQEYVFVSGPLPEGSSSSTNASRQLNLPAKYDNSSVSPPKLTLSSAPMPINGVPFNRQQSAGTGSLDSHCSPASGTSQGSADMSDAIDQPPSDYLTRIRLLEQYASAIAGLVKDEIKAGRHLEAFSIQLIVLATWKQAIHLCNTFVASAARESPSQDIPMKGLCADVPHLLANSQLVDDACMQIERQFLSDVEYAEELASTVGQIADGTEMPDAIEIIFQSALHIGRRGGVDEMFGKAADAKTGYTRAVSMLRFLLIEAPLLALNPPLTLTRSDRHRLRTYIEALNTRLGQMHSQSH >PAN46950 pep chromosome:PHallii_v3.1:9:14847934:14848929:-1 gene:PAHAL_9G226300 transcript:PAN46950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIRKRPAPDGLFCPAAGAGGREKKRPRYQFGSIYNYEKLEVLGEGTYGVVVKARDLRTGEAVAIKWIRPAVASARGVDGAVAPDLRAVFREAGCLSACRGHPSIVQMREVAADEVTGDVFIVMEFVGPSLESRLTRRFSEGETRAIMRQLLRGAEELHGAGIIHRDIKPDNILVGPGGALKICDLGMAVPARPAGEPYPEETVGALWYRAPELLMGFRSYGPAIDMWALGCVMAEVLTGEPLFGGAETSDDMYAKVLELCGMDTPELQTSLRELSEAGQEVLCGLLSFKAEKRLTAAEALSHRWFDEEDAPLSTLCSQPDRRGFISFF >PVH32119 pep chromosome:PHallii_v3.1:9:39080970:39082701:-1 gene:PAHAL_9G319400 transcript:PVH32119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKKKAELNAKKRKNYHRRQTDREAASTIVETPDGRSVSVNTTVTIGATTTPMTDKPETNALDDSWLHCNYSYPSAVRDDIDASDALPASVG >PVH32726 pep chromosome:PHallii_v3.1:9:62456811:62459461:1 gene:PAHAL_9G470100 transcript:PVH32726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIAMITMVTTKRRSAIDGMDRNETSTTPNFWALLVLCMATFGNWCLWFIPNLLELWYLQLNYVMTCIIWQPLCLCCAFFMMARTCYKCKALSPYYTSQIIGTRLMCAGLKCWCELLIVYVHASFPILCC >PAN48400 pep chromosome:PHallii_v3.1:9:55034832:55036886:-1 gene:PAHAL_9G379800 transcript:PAN48400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVVPPPPPPQALPERRVPVVPRLADDGGGGGRGDARGSSAGGGGSGSGGVAGISPSILIIAVIVVVMLLASLCIHYFIRHLCRHVGPAGSSSASSRQGPPLPLVVRPAAAASVAPADGHGGGGKAAAAAEAEAERLIARLPLFTLSSSLASVPKSSRDCAVCQSAFRDDDELRLLPACRHAFHSRCVDPWLRGNPSCPLCRASIALPHPPLTDLLRVELGSVSSRRSNPDAAAAAVRAYPLPSGLPNSASSEYLVEEELQVVLKPSPRAATGSSDPPTQQPPQQQQQQLAAVERGQPSSSTVGLTPTASFRSTAERWSSRWSNRWSSRWSSGRWSSRYDAGTVTAAATAEWWWDMDGGAAPTARRREAEDGNGSASFYGFVRWLTGAY >PVH32232 pep chromosome:PHallii_v3.1:9:49727982:49728548:-1 gene:PAHAL_9G349800 transcript:PVH32232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHIRRDRIRNEEIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPES >PAN52003 pep chromosome:PHallii_v3.1:9:73342177:73349744:1 gene:PAHAL_9G635800 transcript:PAN52003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTRDTDDSKTEKEADSASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDNMEVISPMKDGTVTDWDIVDNIWNHAFRRRLLINPEEHPMLIAEPSTNTAQQREKAAELMFENYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGFVLQKSVGTSPVGGEFLTDCMMKSLESKGVVIRPRYSFKKKEISPGEYKIVDLDLPNTTESYRLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMSVRGLPRMVIDSVNRCDVDIRKELFSNILLSGGSSSILQLKERLEKEVLEESPQAARVKVMASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >PAN51935 pep chromosome:PHallii_v3.1:9:73043587:73047237:1 gene:PAHAL_9G631300 transcript:PAN51935 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MKMVSLCHPVGIRTCGKFATRNAAKVLCSMETPPSQSTIKVVIIGATKEIGRTAIVAISGMEEPLEIPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAAAFGLSSIVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPNPSDLPSQDAIQIANNISDLGQIYNKEDMDTSNPARGQVLGEDGVRVHSMVLPGLVSSTSINFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVVRLKNLIYGLEKFL >PAN51936 pep chromosome:PHallii_v3.1:9:73043587:73047237:1 gene:PAHAL_9G631300 transcript:PAN51936 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MKMVSLCHPVGIRTCGKFATRNAAKVLCSMETPPSQSTIKVVIIGATKEIGRTAIVAVSKARGMELAGAIDSQGIGEDAGQISGMEEPLEIPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAAAFGLSSIVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPNPSDLPSQDAIQIANNISDLGQIYNKEDMDTSNPARGQVLGEDGVRVHSMVLPGLVSSTSINFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVVRLKNLIYGLEKFL >PVH30923 pep chromosome:PHallii_v3.1:9:843128:845981:1 gene:PAHAL_9G013900 transcript:PVH30923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPEEIKPRVMSLLSLKEAARTSTVATNWRSLWTCYPNLCFDGSSNVEESTDEDDDNSIIQQHSGTGLDKFSIIKYSLKTKSRDHLGRWIRFATGSKAKAIDVDLWAKRERVRQATQVYNFPLEALRAQEGPFIRSLSLKYVSMKPHSEMMCGFTKLRRLLLHSVRIVGDLPGLLNGCWALEDQELIKCYGVADLNVPCRLDKLRRLVISQTPVHMVGFHVTGLTQFEFNGDEIPIALHGCSKLEKATIDLGDASVKQKSNRALCYAFTEIPGISAVRVLNLHAYMDHQRLWASQVHTWVTKPTHMFISLRHLTCEMRILLAHYMDLAPHLETLQVHMMRYATDRSCWRGEVKGEEVSCCMRRLDHLKTVYMSGFRCYRAQVELLCGILKRGSALEHVTIEPKATVAEDSLSRIFGIPEREIREWAHQTSERFGRTITAVQTSESEEEVAVIKV >PAN51659 pep chromosome:PHallii_v3.1:9:71633847:71635806:-1 gene:PAHAL_9G610600 transcript:PAN51659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRSASTPVLGALLPPGCHSPAVTSPAVHFAESPAAAYHPPAISCHLAGSGSDHERSRGLGPGMRRTSSEGNLDSLAGRADDPHLLPPSGKCVPRARHVPLETIQSFTDRRASTDDEDEEEDDNDDFEADREMSFGQFSFLAGGGSTYSQEHPLFLARGLGIDRLGSGLLGADGGGGGFGGSDGGGSNLVTSGNGGDRSGIEMHYKKMIEENPCNGLFLRNYAQFLYQVKGDYWRAEEYYSRAILADPDDGELLSEYAKLVWDVHRDEERASSYFDRAAKASPENSHVLAAHAAFLWDTEDADEPEDSGSCALGYAGFAPAHSSLASATI >PAN50049 pep chromosome:PHallii_v3.1:9:64162200:64166816:1 gene:PAHAL_9G493900 transcript:PAN50049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKRGRWTAEEDGILASYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADVKRGNISKEEEYVIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAGPDAAITIDMSKLHSADKRRGGRTPGRSPKSAAATASGGGSKARSKQLPDRDKKPEAAESGEEKGASGPVAAAAASAASSPRHSDGARSAVVDPDRNQPGSSSGVAGGGGTNTPEGPWSEDATGPLVLDPTAMEFGGLWEAESEMEALLSSGGGIGPDHDPLTGFEAVCEAQVDDLLDMDWDGFAAHLWGEPPAAAHQEQQNDDDRSTLLQPDEPQAAAAGCSNNQEDELESFATWLLSDSF >PAN46823 pep chromosome:PHallii_v3.1:9:14123749:14126324:1 gene:PAHAL_9G217600 transcript:PAN46823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCCPSHGRFCLILLLAGAAVASSGSRAAALGAEGSSDVKLHVDSACLTPQEHKHGAAMGTRMPIVHQHGPCSPLTDKHGKPPSFEEILAADQRRVDYIHRRVSETTGQVRPKRAEPSAPPVQLQPASLASSAASANLPASSGNALGTGNYVVTIGLGTPEERLTVVFDTGSDTTWVQCQPCVASCYRQKEPLFSPAKSSTYANISCSSSYCSDLDISGCSGGHCLYRVRYGDGSETVGFYAQDTLTLASDAVKEFRFGCGEQNRGLFGQTAGLMGLGRGKTSLTSQAYGKYSGVFSYCLPATPSGTGFLDLGPGAPAANASLTPMLTHKGPTFYYVGLTGIKVDGRALSVPDSVFSTAGAILDSGTVITRLPPKAYAPLRSAFAKAMADLGYKKATATSFLDTCYDLTGVQGKALPAVSLAFQGGASLDVDASGILYMVDMSRACLGFAPNNDDTDVAIIGNTQQKTYSVLHDLGKKLVSFAPGAC >PAN45121 pep chromosome:PHallii_v3.1:9:5430790:5431583:1 gene:PAHAL_9G094900 transcript:PAN45121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQFMEKADCNMVDKMTEQALKNEVKQQKEALEAEKEVWEIEKHELIMEKDQLRARWRFSQSCCSALQSIVKNELEEKKTVWIVVVCLIGTLVAMLFGVILEMK >PAN48496 pep chromosome:PHallii_v3.1:9:55639469:55641673:1 gene:PAHAL_9G385400 transcript:PAN48496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFLSMGEVALECLFFHLGFMLFSSSSDPAIEVVACKVSLALLFVKRARSTITMASLTTMEKMLLFHKLESDLFHRLVHDLAQDPAAMRWVIALWLWFESVGHHDFIRRVAALPGPVVLRFVEEAIACLRCLANPPGQGATASDGDGRDRRLPCTNALLTEHINDVAYFQGRREVLDGVSHQHRSICLAVCNVGNTTTCMPSNTGGVPATPPMVSSPIRATPRVVPLPLNPMATSFPLNPMASPWIPIMQSPLPDDYRSLFITFSKGYPISKEDIMEFFNSVFGPCVETVMVEKVAPGQQPVYGRVVLRSAAMIPVVLDGRQTAKFLIKGRHLWARIYIPSTRLSDA >PAN49398 pep chromosome:PHallii_v3.1:9:60932286:60935512:1 gene:PAHAL_9G446300 transcript:PAN49398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEGTPSPQPAAAEPATAARAQPISAAQFLSWKQRKDAEEAVRKAEAAQKRAADIASGAVQMNGRELFQHEPWVFDNNIY >PAN44201 pep chromosome:PHallii_v3.1:9:1374774:1379103:1 gene:PAHAL_9G024400 transcript:PAN44201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAGGEEASSALSAPMKATSEGAWQGDSPLHFALPLITLQICLVLVLTRGLAVALRPLRQPRVIAEIIGGILLGPSALGRSKVFLNHVFPPESLTVLDTLANIGLLFFLFLVGLELDPASLRRTGRSALAIAVAGISLPFAFGVGSSLALRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDITAWILLALAIALSGSGSPFVSIYVLLCAVGFVAAAIFLVRPVLVYVARRSPAGEPVKESFICSTVVIVLAAGLATDAIGIHALFGGFVIGVLIPKEGAYADALTEKMEDLVASLFLPLYFASSGLKTNVATISGAKSWGFLVLVITTACAGKIGGTVLASLLMRVPPREALALGLLMNTKGLVELIVLNIGRDRKVLNEESFAILVLMALITTFITTPAVTAVYTPARRGASYKHRTVERLDADSELRVLACFHASRCIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARQNGLPFSSRRGRDGGGEVVVAFEAFQRLSAVRVKPMTAISDLNTIHEDIVASALNKRAALVVLPFHKMLCHDGTMEPVDRAYHQANVRVLRSAPCSVAVLVDRVLGGAAQVSAPDVSYAVLVLFFGGPDDREALAYAARMGEHPGIELTVARFIASAAKPNAADGSPAKDEEALQRYVTRTQRSGDCSVRYEEVTATERQEVTSAIKALGKGKNLVVTGRLARTSTPLVEKSHCPELGPVGSYLATAEFSTTASALVVQRYNPRSDPTCSRPAGEEEDEEEAVVPVSNNLDMGVLACV >PAN44202 pep chromosome:PHallii_v3.1:9:1374774:1379103:1 gene:PAHAL_9G024400 transcript:PAN44202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAGGEEASSALSAPMKATSEGAWQGDSPLHFALPLITLQICLVLVLTRGLAVALRPLRQPRVIAEIIGGILLGPSALGRSKVFLNHVFPPESLTVLDTLANIGLLFFLFLVGLELDPASLRRTGRSALAIAVAGISLPFAFGVGSSLALRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDITAWILLALAIALSGSGSPFVSIYVLLCAVGFVAAAIFLVRPVLVYVARRSPAGEPVKESFICSTVVIVLAAGLATDAIGIHALFGGFVIGVLIPKEGAYADALTEKMEDLVASLFLPLYFASSGLKTNVATISGAKSWGFLVLVITTACAGKIGGTVLASLLMRVPPREALALGLLMNTKGLVELIVLNIGRDRKVLNEESFAILVLMALITTFITTPAVTAVYTPARRGASYKHRTVERLDADSELRVLACFHASRCIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARQNGLPFSSRRGRDGGGEVVVAFEAFQRLSAVRVKPMTAISDLNTIHEDIVASALNKRAALVVLPFHKMLCHDGTMEPVDRAYHQANVRVLRSAPCSVAVLVDRVLGGAAQVSAPDVSYAVLVLFFGGPDDREALAYAARMGEHPGIELTVARFIASAAKPNAADGSPAKDEEALQRYVTRTQRSGDCSVRYEEVTATERQEVTSAIKALGKGKNLVVTGRLARTSTPLVEKSHCPELGPVGSYLATAEFSTTASALVVQRYNPRSDPTCSRPAGEEEDEEEAVVPVSNNLDMGVLACV >PVH33220 pep chromosome:PHallii_v3.1:9:71009036:71010901:-1 gene:PAHAL_9G600300 transcript:PVH33220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSDALCDHLQCHGAPFLVVFMVSDTAAAGVTSAFRYRQGTNTWADATTTNIKPAVLIGGSLFFTTLETGGRILRYDLLAERLSLIQPASMAQVPCRDYILLPGVDGRLLLAKVQLSTLLLWETVVPPNRTVVTWKGISLCPSEIKKDIRQNFHQW >PVH33301 pep chromosome:PHallii_v3.1:9:72333554:72349082:1 gene:PAHAL_9G621700 transcript:PVH33301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSRTLSRAGPMQQPGSRRILRTQTAVNLGEPMFDSEVVPSSLVEIAPILRVANEVEGANPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLMGRGQKSDAREIQTFYQQYYKKYIQALQNASDQVDRAQLTKAYQTAAVLFEVLKAVTHQHAVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAASALRNTRGLPWPKNYEHKVNEDLLDWLQAMFGFQTDSVSNQREHLILLLANIHIRRNPKSDQQSKLDDNALNDVMKRLFKNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLAGNVSALTGEYVKPAYGGEKEAFLRKVVTPIYHTIAKEAERSKREKGNHSEWRNYDDLNEYFWSADCFRLGWPMRADADFFYQPSNLADERNETTRIVRQKGKVNFVELRSFWHIFRSFDRLWSFFILALQVMIILAWNGGLLANIFDYVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTMAALWVVILPVTYAYTWENPTGIIRAIKSWFGNGQNHPPLFVISVVMYLSPSMLSAILFLLPFLRRKLESSDFKLVRLIMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLTKFAFSYYVEIKPLVEPTKDIMKSPIRTYRWHEFFPREKSNVGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFDSIPLAFNSCLIPVETSDAKRKKGLKSYLHNRFKEMEHVDKENIAARFAQMWNEIVTSFREEDLIDNREKELLLVPYVSDQALGVMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLDNDYYFSCAIEECYKSFKNIINGLVQGEPEKRVIHKIFEEVDKYISEDKVITDLNMRALPDLYNKFVELVNYLEKNDEKDRSAVIKNFQDMLEIVTRDIFDDQLSIVESNHGGSYQRNEGTTTWDQEYQLFQPSGAIKFPLKVTDTDAWLEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGWEEELKESEELTEELRLWASYRGQTLARTVRGMMYYRKALILEAFLDMAKREDLMEGYKAAESVTDEQWKIHQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALSSAQDILQLMRNYSSLRVAYIDEVEDRVRDKKMETAYYSTLVKVALTKDSESVDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSRGRFIHNHPLQVALASQSLVQLGFLMALPMMMEIGLERGFGKALSEFIMMNLQLATVFFTFSLGTKTHYYGRMLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIIYQLFGQSYRSTIAYIFITFSMWFLVLTWLFAPFLFNPSGFEWAKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEVEQEHLKYSGTIGIFLEMILAVRFFIYQYGLVYHLHITRDKSILVYLISWLVIVVVLLVMKTVSVGRRRFSADFQLFFRLIKFLIFVSFTAILIVLIVLFQMTLQDIFVCFLAFLPSGWGLLLIAQACKPLARQVGLWGSVRALARAYEIIMGVLLFTPITIFAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQTKERASRNKD >PAN45106 pep chromosome:PHallii_v3.1:9:5361974:5365058:1 gene:PAHAL_9G093700 transcript:PAN45106 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MAAATPAAAPRHSCAKLSVAVEDPKAAGGGAVFVRATWLPTRFSLAVTDGAGAWVADASDAEVRLRAEQWDQPVAEYLALAERYLAFHQPDSTYSFHDAGKGNRRLSWTFERQGTKLEWRWKLQPSPNTQQTIYGILDFLMDANIRLSEEVVRKTQSFDKLKQEAEKCLQQSERFNTEKAEFEQATFSKFVGVLNSKKAKLRQLRDKVAELESADKPPKDEEEENSTDRTELFEEGSDKEASVHDEPSETGSGDPHSSPEKSAATSRGRGRGRKRANK >PAN45107 pep chromosome:PHallii_v3.1:9:5362173:5365023:1 gene:PAHAL_9G093700 transcript:PAN45107 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MAAATPAAAPRHSCAKLSVAVEDPKAAGGGAVFVRATWLPTRFSLAVTDGAGAWVADASDAEVRLRAEQWDQPVAEYLALAERYLAFHQPDSTYSFHDAGKGNRRLSWTFERQGTKLEWRWKLQPSPNTQQTIYGILDFLMDANIRLSEEVVRKTQSFDKLKQEAEKCLQQSERFNTEKAEFEQATFSKFVGVLNSKKAKLRQLRDKVAELESADKPPKDEEEENSTDRTELFEEGSDKEASVHDEPSETGSGDPHSSPEKSAATSRGRGRGRKRANK >PAN50725 pep chromosome:PHallii_v3.1:9:67305115:67309738:1 gene:PAHAL_9G541600 transcript:PAN50725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANGRGGDDDARAPLLAGPGRRRNSVASMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLPEAEREYYEKQFATLRSFEEVDSLEESNEINEEDELAEQNQSEFAMKISNYANIVLLVLKVYATIRSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLIVNEAPDKLNQIQLLWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >PAN51425 pep chromosome:PHallii_v3.1:9:70535161:70542059:1 gene:PAHAL_9G593200 transcript:PAN51425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWPTALLGCAVAVAVLAAAVECAVTYDKKAVMIDGQRRILFSGSVHYPRSTPDMWEGLIQKAKDGGLDVIQTYVFWNGHEPTPGNYYFEERYDLVRFIKTVQKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKTAMQGFTEKIVGMMKSEKLFASQGGPIILSQIENEYGPEGKEFGAAGQSYINWAAKMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDAFSANKPYKPMMWTEAWSGWFTEFGGTIRQRPVEDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLGTMQEAHVFRSPSGCAAFLANYNSNSYAKVVFNNEHYSLPPWSISILPDCKNVVFNSATVGVQTSQMQMWADGASSMMWERYDEEVDSLAAVPLLTTTGLLEQLNVTRDSSDYLWYITSVEISPSENFLQAGKPLSLSVQSAGHTLHVFINGQLQGSAYGTREDRRIKYNGIANLRAGTNKIALLSVACGLPNVGVHYETWNTGVVGPVVLHGLNEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSSSVEWMQGSLLAQNQQPLAWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYANGDCKECSYTGTFRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKKWQIESYGEREYHRAKVHLRCAPGQSISAIKFASFGTPMGTCGSFQQGDCHSANSHTVLEKKCIGLQRCVVAISPESFGGDPCPNVTKRVAVEAVCSPTA >PAN51808 pep chromosome:PHallii_v3.1:9:70536083:70542059:1 gene:PAHAL_9G593200 transcript:PAN51808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWEGLIQKAKDGGLDVIQTYVFWNGHEPTPGNYYFEERYDLVRFIKTVQKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKTAMQGFTEKIVGMMKSEKLFASQGGPIILSQIENEYGPEGKEFGAAGQSYINWAAKMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDAFSANKPYKPMMWTEAWSGWFTEFGGTIRQRPVEDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLGTMQEAHVFRSPSGCAAFLANYNSNSYAKVVFNNEHYSLPPWSISILPDCKNVVFNSATVGVQTSQMQMWADGASSMMWERYDEEVDSLAAVPLLTTTGLLEQLNVTRDSSDYLWYITSVEISPSENFLQAGKPLSLSVQSAGHTLHVFINGQLQGSAYGTREDRRIKYNGIANLRAGTNKIALLSVACGLPNVGVHYETWNTGVVGPVVLHGLNEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSSSVEWMQGSLLAQNQQPLAWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYANGDCKECSYTGTFRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKKWQIESYGEREYHRAKVHLRCAPGQSISAIKFASFGTPMGTCGSFQQGDCHSANSHTVLEKKCIGLQRCVVAISPESFGGDPCPNVTKRVAVEAVCSPTA >PAN45071 pep chromosome:PHallii_v3.1:9:5193521:5196752:-1 gene:PAHAL_9G091000 transcript:PAN45071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVPFARPGPCWDLKKLCEKSVSVLRCKLGCSAVYNSVEGHHLQKPHIVHSYKVNFTRVSHHLCKSLKERTTRHRLHRFHVNASSDDDFRSSRNIAISLFKRYKNVINRGGGDNLKEFISAGVNAYALGCTDEGLRKELMDIEDSGLEIEGLGSCGGTSLKFKVHSFEIRECILWLGIVFITILCTPQPTVIRWSPTPPVSADVLHQWKGFCAIIADAYYVKGMAWLPVKILQLEQMAVTGTSEKPSVVASRMQLVFSTLEEVSPQWPRV >PAN45950 pep chromosome:PHallii_v3.1:9:10015124:10021443:-1 gene:PAHAL_9G161200 transcript:PAN45950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGGGLAKALLLHVAALSTAGAVAAVAALARRRIRGPKSKEETPAVMAEMPKLRVAKSGRLEDLEKFSHYVARQMGFEDINECPQLCKLANNYLRSSKNCMEDIYGFFANAKDAESLYAKFLEELDKCILGYFAFHWDHATYLISSALTADCGTTKKLRNVFMEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGIPAAGGGGVGDDAHCTDVMAPVAHSERSPVLLLMGGGMGAGKSTVLKEIKEEALWANAVVVEADAFKEADVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTIAMARAVHRRRHRMGAGYKVGDGGAVTETYWEKDDADGAPPPGARRPYRIEVVGVVCDAYLAVARGIRRAIVTRRAVRVRSQLQSHKRFAAAFQRYSRLVDGARLFSTNSLGSAQLIAWKDGLNSSLLVEPREIDCLEKVSRLNENATSVHDLYPDGTTTCGSRSIWEDMIASPSRAAAQRQLREAIRSVEEAAANGGTKTAQCHLLEAICSAEEAAAAANGTEPEAQTAATAAS >PAN49236 pep chromosome:PHallii_v3.1:9:60130997:60133397:-1 gene:PAHAL_9G435500 transcript:PAN49236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQASGGIGDILATGDRDFLVRSSGEHVKISSIEASSVALYFSASWCPPCRRFTPKLIEVYEELTTQDKSLEVVFVSRDRDEESFNAYFAKMPWLAVPFSDSECLQRFNKRYKVNGIPNLVILSGETGEIYTKEGVKFISEYGIGASPFTLERINELKEQEKAAKDNQTIHSVLGTHTRDYLISNTGDKVPISELEGKYVALFFMVRPIDEFTTVLTKIYEKLKEVGEKFEVVAVYFNNDESVFSESFASMPWLAIPRGDKVCDKLVRYFELRTLPTLVLIGPDGKTLNNNIADVIEEHCFEAWEAFPFSDEKLESFTEKSKAKEASQTLGSLLVKDDLNFVIQKEGAKVPVSELVGKTVILYFSAKWCPPCRDFLPTLVKEYSKIKEKHSDFEIIFISIDRDQSSYDEFFSDMPWLALPLGDERKESLMKKFKILEIPSLIVVGPSGVTLTKDARSHLLAHGADAFPFTEETLQELGKKLDGEAKAWPEKVKHELHERHELALARRDTADTYSCDGCEGLGSSWSYRCERCDFNLHPKCALGKGKGEATDESPAGYAREGGVCRKA >PVH31844 pep chromosome:PHallii_v3.1:9:16838220:16839491:1 gene:PAHAL_9G246300 transcript:PVH31844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSNTEADAGASPGIRRCAACKNQRRRCSQDCILAPYFPASDPQRYASVQRVFGASNVARMLQDLPIHERGKAADIMAVEAHRRVQDPVYGCAGIVSRLQGEIRAVQCELARTQAQIAVHATAAARARPAVGTAQLNAPAQAALTQQQQEQDDALVMQQDPFQGLDALLVDDYSVDVNLVDDEMNTD >PAN46728 pep chromosome:PHallii_v3.1:9:13876527:13883484:-1 gene:PAHAL_9G214600 transcript:PAN46728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERGNLAQQEHIFRIIRTEYYVKNFALHKPPNIPSHDVPLEEKYIYHVDSTGATITAGCCVNLIYKYCEKLPKDRYYMPKPSFDVALKDGSYQCTLIMPPNAAFRTIVGPPSSTCNLANQLVSLEACKKLHQLGEFNDHLVPLTEEPTNIDTAVTDGKCLSGPGTTKRKELHGTINVHGLSGNWIHESETVTLNTYRFDFVCDQEDEVYAGFVLLMESALDDDVANSKINLFLIPNKMVYTTVTPCGKIQLNKEQLRKGKLFQEFFFNGIFGKLFHGSRMSGLQREFIFRKGYEIQWSSDNMYLLLPLRHTSHVQCDLNIQWEAVASCSDAVKHLRNLYLEDGNLNYENLSPNKRNKGEEIIHLANRSLHFSSVKNSVVLSLHTGRIYSVLDLILDTTADDSFDEMYNGKASPFASFVDYYHQKYGIVIQHPGQPLLLLKQSHNAHNLLFSKLKYLDGSTGNPLLLEKEQIHARVPPELLIHIDVTTDILKSFYLLPSVMHRLQSLMLASQLRSDIGYTLHIPSSLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSTAVCNATLHKHGIWRSLQGYVRDSAFDPRRWVAPGQISLRPFPCTCGVETASVPFCGRYISDDPSFVVGKPCDRGHRWICSKTLSDCVEALVGAYYVGGGITAALWVMRWFGIDIRCDMNLVQKVKSNASHLCYLSKLKDIEELEAKLKYNFSVKSLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYASHTDVDPGELTDLRSALVSNENFAQAVVRNNIHNHLQHGSGILLEQITEYLRFSFECTRNENEFLQQATCKVPKVLGDIMESITGAIFIDVNFNVDMVWKIVEPLLSPMITPDKLALPPYRELLELCSHLGCFINSKCTSKGEELIIEMTVQLRDELLIAQGHDRNRKSANAKAAARILVHLKKRGLSLKQCLSKAKQLDTVSSELQSQLTSLETRLGYPDVDGRLSLEGLSSVGETVVLPLKMDKGGPRTALFRLCKILQWPIPKFEFVEQRFRTPIILDGVTTTNFNSFVSTITLHIPDVTVITLQGDQRTDKKSSQDSASLIMLEKLQELKVCICKMQQQSGMDHIS >PAN49790 pep chromosome:PHallii_v3.1:9:62777597:62779192:1 gene:PAHAL_9G475500 transcript:PAN49790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWSPDFHTFTDLLQSDRSQVSSQDESSPIHRRSDVNSSSQPRVLFSPAAPPAPEAPPPPYHYPYGSYSYPPPPYAPPPGTRSGTEGPYPPPSYAPPPYGPYPPPPHAAPTVPSPVSVESQNEGAGATKPKRPKRLDWTIAEEEKLVHAWVYHSNDSVTGNNQTGFSFWGQIAETFNSTAEPSRCRTAKQLKDHWNVYNCEVTLFNGYYIQEERVRQSGADDAMVMEGAMARYENDPKVMTAFKRHHWWRAVRHEPKWAAKHGPGSGSDVSSKRTQLGVLGEYSSSGTQDTEEDNETRPIGRDKAKTAKRKEKAKGKEKGKKSSSSSAVASKVFAMKNMWGGLVKAKLFKQWNIMKSRSTADMDEAEKRTHFKAVKMVEKEFGLDENSEED >PAN51802 pep chromosome:PHallii_v3.1:9:72349201:72354463:1 gene:PAHAL_9G621800 transcript:PAN51802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVWLAFLVPFYVPGGVIPTSPSMSIGVKILKLQSFHTSFRNLNRWLRVAVKPGIEELTLRAPDGFRKEYMFPCSLLSDGVRNSIRYLDLFRCTFSPTAELGPLRSLTSLHLSQVRITGDELQCLLSNSLALEKLKLSNCRGIICLIIFSCMRLKLIESRAPNLSTLDLHGKPKLSLGEALQLKNLRMDQSNLLCYARTELPSIMPNLETLDLRSGDEVVNTPMLPTKFLCLKHLTICLP >PAN51804 pep chromosome:PHallii_v3.1:9:72349859:72354463:1 gene:PAHAL_9G621800 transcript:PAN51804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRERDGQILAPNGSIASANKRKGSPRQQDGDSSYHSGGLPRCTLSKKDSDSSFLKENGDGDSQAGKTMICSIPDLPEDIWHHIHSLMPLCDAARVACLSRAFLRSWRCYPNLTFNEYVFSPEAPIYGCGLCNIIDCIMRNHSGIGVKILKLQSFHTSFRNLNRWLRVAVKPGIEELTLRAPDGFRKEYMFPCSLLSDGVRNSIRYLDLFRCTFSPTAELGPLRSLTSLHLSQVRITGDELQCLLSNSLALEKLKLSNCRGIICLIIFSCMRLKLIESRAPNLSTLDLHGKPKLSLGEALQLKNLRMDQSNLLCYARTELPSIMPNLETLDLRSGDEVVNTPMLPTKFLCLKHLTICLP >PVH33302 pep chromosome:PHallii_v3.1:9:72349776:72354463:1 gene:PAHAL_9G621800 transcript:PVH33302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVWLAFLVPFYVPGGVIPTSPSMSIGVKILKLQSFHTSFRNLNRWLRVAVKPGIEELTLRAPDGFRKEYMFPCSLLSDGVRNSIRYLDLFRCTFSPTAELGPLRSLTSLHLSQVRITGDELQCLLSNSLALEKLKLSNCRGIICLIIFSCMRLKLIESRAPNLSTLDLHGKPKLSLGEALQLKNLRMDQSNLLCYARTELPSIMPNLETLDLRSGDEVVNTPMLPTKFLCLKHLTICLP >PVH33303 pep chromosome:PHallii_v3.1:9:72349942:72354463:1 gene:PAHAL_9G621800 transcript:PVH33303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSIPDLPEDIWHHIHSLMPLCDAARVACLSRAFLRSWRCYPNLTFNEYVFSPEAPIYGCGLCNIIDCIMRNHSGIGVKILKLQSFHTSFRNLNRWLRVAVKPGIEELTLRAPDGFRKEYMFPCSLLSDGVRNSIRYLDLFRCTFSPTAELGPLRSLTSLHLSQVRITGDELQCLLSNSLALEKLKLSNCRGIICLIIFSCMRLKLIESRAPNLSTLDLHGKPKLSLGEALQLKNLRMDQSNLLCYARTELPSIMPNLETLDLRSGDEVVNTPMLPTKFLCLKHLTICLP >PAN49746 pep chromosome:PHallii_v3.1:9:62587757:62590404:1 gene:PAHAL_9G472500 transcript:PAN49746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNPFGSAPPPSPYISLTLSTRLQNPRTRPSAAAAAADRHCSPNAAMGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSDFNAVILKRLFMSKTNRPPLSLRRLVKFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >PAN44249 pep chromosome:PHallii_v3.1:9:1590210:1592930:1 gene:PAHAL_9G028500 transcript:PAN44249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSLRVGVMEDRGDWVHDSSVDHRGRPPSRGATGSWKAAMFIILIEFSERLSYYGIATSLMLYLTKVLQEEMKVAAKNVNYWMSVTTLMPLLGGFLADGYLGRFSTVVFSTVIYLLGLMVLATAQLSPRLKPDHSLRLHEVLFFVGIYLVSVGTGGHKPALESFGADQFDESHAAERVQKMSFFNWWNCALCSGVLLGVTVIVYAQDRIGWGAASVVLAAVMAASLVVFLAGRRFYRYRVPEGSPLTPLLQAVVAAVRKRRLVLPADARELYEVKPQNIKKRLLCHTHQLRFLDKAAIVEYGGGEDAAGPWRLATVTQVEETKLVLAMVPIWVATLPFGMAAAQVSTFFIKQGSVMDRRVGPHFVLPPASIFALAAVGMIATVAVYDKVLVPYLRRATGGERGISILRRVGIGMAFAIVALAVAAVVERRRLQSPAPASMSVLWLVPQFLLMGVGDGFALVGLQEYFYDQVPDNMRSLGIGLYLSVIGAGSFLSSLVITAADRASSRGGRASWFAKDLNHSRIDLFYWLLACIGAVNLAFYAVVATRYSYKPVRPGKVANDKSAGDIC >PAN48922 pep chromosome:PHallii_v3.1:9:58157033:58160642:1 gene:PAHAL_9G413000 transcript:PAN48922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLPEAVPRLLTPETLRSAAKQSQGIHLVPLSLRRAIKRYLRDQDKAHMNRKVLLLSTSFDRAKGTGAELAAAATRGALLDDPNAPSGAEQRAARWKVRSTYGDIGLQYREDETVAYVASRMPAIYAACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWALRAVWPKSIEQVNLVEPSKEMQRAGQSLLDNLKGLPLIHSYDSIQELNRNIEKHERGHDLVISSYALGEIPSLSDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSLSRPPSNMKSIVAQEASLKNGSFVVAPCPHDGRCPLENSDKYCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRKPEDLIIDYDDQFPSEQDEEVPNDGGDSLVPYASDEHELSLFHDSEEAEEEEEEQTIRADLGGGWGRIIYSPIRRGKQVQMDVCRATKRDASEGAFERVVVTKSKNPTLHFQARRSLWGDLWPF >PAN48921 pep chromosome:PHallii_v3.1:9:58157033:58160642:1 gene:PAHAL_9G413000 transcript:PAN48921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLPEAVPRLLTPETLRSAAKQSQGIHLVPLSLRRAIKRYLRDQDKAHMNRKVLLLSTSFDRAKGTGAELAAAATRGALLDDPNAPSGAEQRAARWKVRSTYGDIGLQYREDETVAYVASRMPAIYAACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWALRAVWPKSIEQVNLVEPSKEMQRAGQSLLDNLKGLPLIHSYDSIQELNRNIEKHERGHDLVISSYALGEIPSLSDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRCRKIEKSLSRPPSNMKSIVAQEASLKNGSFVVAPCPHDGRCPLENSDKYCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRKPEDLIIDYDDQFPSEQDEEVPNDGGDSLVPYASDEHELSLFHDSEEAEEEEEEQTIRADLGGGWGRIIYSPIRRGKQVQMDVCRATKRDASEGAFERVVVTKSKNPTLHFQARRSLWGDLWPF >PAN45494 pep chromosome:PHallii_v3.1:9:7358710:7363317:1 gene:PAHAL_9G123200 transcript:PAN45494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSIILIVASLLPFSASDRQGDALYDMKLKLNATGSQLSDWNQNQVNPCTWNSVICDNNNNVVQVTLASMGFTGFLSPRIGELEYLNVLSLPGNNITGGIPEQFGNLSRLTSLDLEDNLLVGPIPASLGQLSKLQLLILSQNNLNGSIPEMLTSISSLTDIRLAYNKLTGQIPPQLFQVARYNFSGNNLTCGANFLHPCASNVSYQGSSRGSKIGIVIGTIGGVMGLLILGAICIICNGRRKGHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDECFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGHLDAIVDRNLNSNYNGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRREDYERMQQRFDWGEDSIYNQDAIELSAGR >PVH31441 pep chromosome:PHallii_v3.1:9:9027152:9029706:-1 gene:PAHAL_9G147300 transcript:PVH31441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRAAAPFVLSSPSPLPATSASSSRQPEVLWAQRSEKIYLTISLPDAKDVELKTEPKGLFTFSAVAHGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESEASGDSDDDFDGANEGDESDDDDGMLYLPDLEKLRGK >PVH31442 pep chromosome:PHallii_v3.1:9:9027152:9029706:-1 gene:PAHAL_9G147300 transcript:PVH31442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRAAAPFVLSSPSPLPATSASSSRQPEVLWAQRSEKIYLTISLPDAKDVELKTEPKGLFTFSAVAHGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESEASGDSDDDFDGANEGDESDDDDGMLCECPSYLPDLEKLRGK >PVH31440 pep chromosome:PHallii_v3.1:9:9026193:9029816:-1 gene:PAHAL_9G147300 transcript:PVH31440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRAAAPFVLSSPSPLPATSASSSRQPEVLWAQRSEKIYLTISLPDAKDVELKTEPKGLFTFSAVAHGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESASGDSDDDFDGANEGDESDDDDGMLYLPDLEKLRGK >PVH32719 pep chromosome:PHallii_v3.1:9:62314835:62316913:-1 gene:PAHAL_9G468200 transcript:PVH32719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAAMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLRARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PAN49074 pep chromosome:PHallii_v3.1:9:59005577:59007247:1 gene:PAHAL_9G423800 transcript:PAN49074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPADLHFVMMPLMAQGHVLPMVDLARLIAGHGARVTVVLTPVNAARNRTVLENVGRAGLAVDVAEIPFPGNAVGLPEGFESLDMVTDISLGIRFYQAWDLLAEPLEAYLRSLPRRPDCLIADACGSWTAGVTRRLGIPRLILPVTSAFHLLATHNLITHGTYDRAADDFEPFEVPDFPVRVVVNRAASLGLPLMEKHQREMIEAVATADGVFINTCTDLEGVFVERYAEAISRKVWAVGPLCLLNTAVAGTMADRENRAAMDAERIVSWLDARPPASVLYVNFGSMARLFPLQVAELAAGLEASRRPFIWVVKETETAGIDAEFEARVKDRGHIICGWAPQMTILSHPSVGGFLTHCGWNSVVEAVSHGLPLLTWPHFHDQFLIEALVVDVLGVGVRVGVKVPTTHIGLVKPGQLLEVQVGRDHVERAVTEVMDEGPAGAARRARVNELADKVRTTAEGGSRDTNVKNMISHVIELVCKSKEKKMDDADGKIPVA >PAN51549 pep chromosome:PHallii_v3.1:9:71106295:71111929:-1 gene:PAHAL_9G602100 transcript:PAN51549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYLRYEPALTFGVIASPESNVCYDPSGRLLLAAALDRLAAWDLKRGLPSVSFAPSSSSPSLAVSCIASSPSAAVSSSIASGHADGSIRLWDAETGACEATLHGHRSAASALRFAPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLVFLDSGKKLVSCSKDKFIRVWDLETQHCLQIVGGHRSEIWSMDVDPSERFLVSGSADPEMRVFRIRQSAEEGEDWSKWDVLKLFGEIPRQSKERVATVRFNRNGSLVACQVAGKTADIYRVLDETEAIRKAKRRMHRKKEKASAKSMIAEGNGTVIDPLPAPDLQNPTVIVTDVFKLLQVLRASKKICSISFSPSNPPRGCLATLSLSLNNNTLETYSVDSEKLSKMYSIEMHGHQSDIRSVSLNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSGYGLCSTFVGNRFALVGTKSGTLEIINIASGSLTEVIEAHAGSIRSIVPIPDEDGTAGARGFVTGSADHDVKFWEYQEVQKSDNDSKQLTVTNMRTLKMTEDVLAVSIGPQGKHIAVALLDCNVKVYYMDTLKHFLNLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHRSIFAHSDSVMDVKFVYRTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLTISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEKEKRLEETFEADLDNDNEYRYGQKDDAPDEGSVGVPGRKTKETVTAADAIMDALDTAEEELKRLNQHKLEEQNNGRPAKFQPNVIMQGQSPSDYVLNVVSRIRPNDLEQALLALPFSDALKLMSYLKEWSTVPSKVELVCRVCLVLLQTHHNQLTTTPAARSLLTELKDILYSRVKECKDTIGFNLAAMDHIKELLAMRSDAPFRDAKTKLMEIRKELSKRSDRPDGNERRKKKKKKASGES >PVH31187 pep chromosome:PHallii_v3.1:9:4443908:4444333:1 gene:PAHAL_9G076700 transcript:PVH31187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPACPRCCVSAAATRVVMHGAARYSPHPCRLARTCSEQAARATGPGARQPAGVRALETSAVWACAPRRAAVGGHGRMGRAAGAGRRRAPPNGRRWGPARAAPTYGVARSAGRVGVHQFPTGFWCTPGAGGAARFSLYRW >PVH32314 pep chromosome:PHallii_v3.1:9:53724863:53725597:1 gene:PAHAL_9G369300 transcript:PVH32314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGMDALYREQAAQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEKPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN49905 pep chromosome:PHallii_v3.1:9:63351292:63351972:1 gene:PAHAL_9G483400 transcript:PAN49905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVAGVAAVRLSSRLQLATSFFPKPPSSSARRGGRRGGSAAGPPPALSADVRVVIRRHFPVAGPCGSRIVQKVAEDIALRRRPARSLRGPDRVERELAEDVLPLVANPFDRGAVVAAGREICAHVAAACADPRVASGGVRVLVLVDTFACPVVLRPRPLCKPMWSGAVVKNAIARADDPCAGLEAGSELPAAAAMEQPRPIGVIGGGRPEPVEERFQGWLPW >PVH31482 pep chromosome:PHallii_v3.1:9:9628503:9629814:-1 gene:PAHAL_9G156300 transcript:PVH31482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSKSRRCPLTELPPQLEGGPPAPPLSFDILLEIVARSDAVTLVRCAASCKPLRRDILNPGFIRRVCHEPGGVVPPCVLGFLDLGNNDEVVPRPPLACFSLAHPASPRRRPSPRSTSRLSWPSTAPPTSSAATTSRRTSMCVYDPLTGNLTHLLSAPDIDRHSGRVPYTYVLLTAADGICLSFLLLAAEFNTWLTGSIKGSMLQDRCSEVVLGGGLIHWLMYENENRPKSYHILTYDVRTAAAGSIELPTDGLPDHTARSRYPFGCCRPPAAAAGRGTPSSTRRGLYGLWRRICWQHAAAYRSSWTRRGIVLLQSFANIFYLVATGEEALIALDVVTEEMRRVNRKGNGLAYELDLESRLSAMKTF >PVH31718 pep chromosome:PHallii_v3.1:9:14335352:14336545:1 gene:PAHAL_9G219700 transcript:PVH31718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDDIAWGRNDGKQAEMRGPRPDRPHAFIEPVIDASKSAAGVGTRAPFLSCRSRSRRRIHPRRRSTPGRGGSLRRWRWCW >PAN44252 pep chromosome:PHallii_v3.1:9:1583141:1584629:1 gene:PAHAL_9G028400 transcript:PAN44252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHSRAIHFTTICPFLQRLLHIIQMPSRSEMRPDRMIKSEEPFLNSEERNETNLFDFVKCDSTPYQICYVHLQLLLLVLPLRKNMFCPHKKKISQTFQATGTCKMLVAENDSE >PAN46604 pep chromosome:PHallii_v3.1:9:12617088:12621502:1 gene:PAHAL_9G196900 transcript:PAN46604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQPRARYPPGYGSGGGGRGGGGGNGGGGGGNHNYYGRNPQPQPHHHQHYHHQQPPPQQQPQQHAHRNSSHQHQQWLRRDQGHASAAGSGDAAGRTAAQLDAVDSSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSVEALIHFLPPSRQLLMFSATFPVTVKEFKEKYLPKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKTIPPQIDLAVYCQ >PVH31543 pep chromosome:PHallii_v3.1:9:11014844:11018675:1 gene:PAHAL_9G175400 transcript:PVH31543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPIVKLFRTARERLLGDSSDQYHIRLFGKADAHGDIYSAPVASEVVGLIVGDIGQTDVGRDIIIEDRSSRLQRINEQHCKFMAMQYPILFPYGEDGYHESLMYRQIGSSLSVKRSKATMAEYFAYRLHDRPSDFNTPLRCGRLTQAYEVDAYCSVEGERIDHYRTPSFQRKYRSAPYNSLANSVSSGIRSASSVGQRIILPASFTGSPRYLYQKYQDCIGICRKHGCPDLFITFTSNPAWPEIMEALPPGLQPSDRPDIIDRIFKMKLNILMDDIKKRKFFGPINADNFISAQLPDPTTDPIGYAAVSSHMVHGPCGALNTSSPCMSEGKCSKFYPKQFCEKTTILENGFVQYARPKNGLVVTKNNVDIDNTFIVPHNVDLVVKYQAHINVERVNHDGMHKYLFKYVTKGFDCARVGFHGNSSTQGSSNDTINEIQNYLECRYVTPHDAAWRLLQYDIHHTDPSVERLPVHLPFENSVVFTEEDDLEEVIENPNNLITKLTAWFEANNQFPAARERTYIEFPESKGKLALAVASSGIASLLLPGGRTPHSRFKFPLDIRENSMCSIKKNTHLAELIQQTSLIVWDEAPVNHKYLFEALDRSLRDILSENRPNAQDKQFGGITVALGGDFRQTLPVVQNATKHQILRACIVNSYLWRHCIVLQLTQNMRLTSTFLTPSDREDLRLFSEWLLRVGNGTEPFIQIQNEPSSTYIQIPQSLLLHPDYRNLDGLISFVYSSGCQPTDIPSYFCDRAILAPTNEVVTEINNKMISQLTTYEMSYYSSDSIDDTSANHSTLESLYPTEFLNTITINGLPEHVLKLKIGVPIMLLRNLDPSRGLCNGTRLIVTQLTTRVIEGEIITGKARGSKAYIPRIITTSNQSKWPFKLKRRQFPIRLSYAMTINKSQGQTLNTVGAYLPSPIFSHGQLYVAFSRVTSPKGLRILIENSPPLFEDCTHNIVYGEVFSQI >PVH32341 pep chromosome:PHallii_v3.1:9:54450868:54454512:1 gene:PAHAL_9G374900 transcript:PVH32341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVKDLTAGTVGGAANLFVGHPFDTIKVKLQSQPTPAPGQLPKYAGAIDAVKQTIAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSAPGAPLTVGQQVVAGAGAGVAVSFLACPTELIKCRLQAQSSLAEAAAASGVAVPKGPIDVAKHVVRDAGARGLFKGLVPTLGREVPGNAVMFGVYEATKQYLAGGPDTSNLGRGSQILAGGLAGAALWLSVYPTDVVKSVIQVDDYKNPRYSGSLDALRKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALG >PAN49583 pep chromosome:PHallii_v3.1:9:61610482:61613896:-1 gene:PAHAL_9G457800 transcript:PAN49583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNSGKQRSEEEWRAILSPEQFRILRLKGTELPGTGEYNKFKADGVYNCAGCGTPLYKSDTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >PVH32676 pep chromosome:PHallii_v3.1:9:61610902:61613896:-1 gene:PAHAL_9G457800 transcript:PVH32676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNSGKQRSEEEWRAILSPEQFRILRLKGTELPGTGEYNKFKADGVYNCAGCGTPLYKSDTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >PAN46257 pep chromosome:PHallii_v3.1:9:10836579:10837590:1 gene:PAHAL_9G173000 transcript:PAN46257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAPSLLAVSSGAAFTAQPSPRKGASLFRDRVVSRRARISAKLGGDGELKPPGKKKFITRDEEPEQYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >PAN45008 pep chromosome:PHallii_v3.1:9:5006873:5011322:-1 gene:PAHAL_9G087300 transcript:PAN45008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGELAGRIRSGVLEPLEDRFTEILIWSLQCYDAQVRKRLVPLLRRHRMALLTAPAVAALLLLFWSAAYGDAQLPYKDPSLPVEARVKDLLGRMTLAEKIGQMTQIERQVASPEVLKDNFIGSLLSGGGSVPRKQATAAEWMAMISDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPDLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHKIVQAMTELIPGLQGDVPQNFTSGMPYVAGKNKVAACAKHFVGDGGTHDGINENNTIIDRQGLMSIHMPAYLDSLRKGVSTVMISYSSWNGIKMHANHNLITNFLKGRLNFKGFTISDWEGIDRITSPAGSNYSYSVQAGILAGIDMIMVPNNYQSFISILTGHVNSGVIPMSRIDDAVTRILRVKFTMGLFENPMPDSTMADQLGKKEHRDLAREAVRKSLVLLKNGKPGEAPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGTTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVAVGEHPYTETKGDSMNLTIPDPGPSTIQTVCGAVRCATVLFSGRPVVIQPFLGATDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTKGNAY >PAN45731 pep chromosome:PHallii_v3.1:9:8438115:8439592:-1 gene:PAHAL_9G138100 transcript:PAN45731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASCCLPSGVGAVRGPERPRQTRRPACLCPAPAASCAAPQYCCGHPHGRPDRLALRHSGARLQIAEASCPARFPNCNPLNETLHLSHEEWKHCSSFSET >PVH31154 pep chromosome:PHallii_v3.1:9:3935013:3937705:1 gene:PAHAL_9G068500 transcript:PVH31154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDHYARLLQLCQTAVNPSAGRAIHAHAVKAGLLVSAYLCNNLLSYYAGASVSGGSFRDARNLFNEIPPVRRNVFTWNTLLSMYAKSGRLADARAMFDGMPERDAVSWTVMIVGLNRAGRFWEAVKTFVDMVGEGLAPTQFTLTNVLSSCAATEASGIGRKVHSFVVKLGLSSCVPVANSVLNMYGKFGDAETATAVFERMPVRSVSSWNAMVSLYARQGRMDFAVSMFHNMEERSIVSWNAVIAGYNQNGLDDMALKFFSRMLSDSFMEPDAFTVTSVLSACANLRMLKMGKQMHSYILRKRMPYSGQIINALISTYAKSGSVETARRIMDQAVISDLNVISFTALLEGYVKLGDMKQAREIFDVMNNRDVVAWTAMIVGYQQNGQNDEAVKLFRSMIKSGPEPNSYTLAAILSACASLACLDYGKQIHGKAIRSLQEQSVSVSNAIITMYARSGSVPLARRVFDRICWRKETVTWTSMIVALAQHGFGEEAVGLFEEMLCVGVKPDRITYIGVFSACTHAGFVDKGKRYYDQMQNEHGIVPEMSHYACMVDLLARAGLLTEAQEFIQRMPVMPDAIVWGSLLSACRVRKNADLAELAAEKLLLIDPDNSGAYSALANVYSACGRWNDAARIWKLRKDKAVKKETGFSWTHVHNKVHVFGADDVLHPQRDAIYKKAAEMWEEIKKAGFVPDLNSVLHDVDDELKEELLSRHSEKLAIAFGLINTPEKTTLRIMKNLRVCNDCHAAIKFISKVVDREIIVRDATRFHHFRDGFCSCKDYW >PAN51927 pep chromosome:PHallii_v3.1:9:72990788:72993841:-1 gene:PAHAL_9G630500 transcript:PAN51927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAEEQGRTLFGVRLTDRPRWQQFLICASGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGFVYLALIRLQGFTMKQMVNPWRTYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFQEYVSAVMLVIGLILFTLADAQTSPNFSMVGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLALPMVLTGELMTAWTSCSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLITMGIVLKLLPENQEGGPRRQAKKVERSYDDDKKRVIRELEEEKTALV >PVH32455 pep chromosome:PHallii_v3.1:9:56995191:56996092:1 gene:PAHAL_9G400100 transcript:PVH32455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYMQSALKKYNSEQNLDEDLGFEFVKAIKESFIVEGAVNFYRHFNFTAMQRSAIHLFFAEVIPDGESCDVSCCRLLQDNHNGRCLGCKNQGDPDLRHPASDSVYAGGHDDSTFPFLIDSDSEDDSD >PAN48239 pep chromosome:PHallii_v3.1:9:53950331:53954321:-1 gene:PAHAL_9G370200 transcript:PAN48239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPGSVEAPAVGKSVCVMDAAGPLGHALVDRLLRRGYTVHAATYGRGEEDDEGDGEAAAGALRKHLSRGGGGGDAYGHRLKVFRADPFDYHAIADAVRGCAGVFCMFNTPDDQAQCDEVTVEEEVRAAHNVLEACAQTDAMERVVFTSSVTAVVWNGDADKEEEHLAVDERCWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLLTAPGLTAAHPYLKGAPDMYGGGVLATVDVDFLADAHVAAYECPTAYGRYLCFDNAVCRPEDAVKLAQMLSPAALRSPPSEELKVIPQRIQNKKLNKLMLEFASGVYGELD >PVH32879 pep chromosome:PHallii_v3.1:9:65458868:65459533:-1 gene:PAHAL_9G513400 transcript:PVH32879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSVHDVVLVGGSTRIPRVQSMIREFFDGKELFRSINPDEAVAYGAAIQACILSGGAVSGAVGDMVLLDVTPLSLGIEVNLNYTMSVVIPRNTAIPTKQTKRFTTLFDNQVTCTIKVYEGECASTKGNNLLGVFLLSGIPPAPRGVPVILVTFDIDVNGVMNVSAEERSTGRRNNIVIASRTGRLRKEEIERMVREAEKRKGKGMTKLVERQALLALEA >PVH31416 pep chromosome:PHallii_v3.1:9:8603764:8606836:1 gene:PAHAL_9G140200 transcript:PVH31416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSIFSSPPNPPGQSPEGQAWCWSNHIPILLVGKIAALSDSFIGYKDGAGCSTMECNGKDKPTLFAKQKMDQLWREIIKVYYPTSLPAKNQVAVNQRSSLARGNNNQLWIAAEGICVRGGGLCELHCAVCVCFRSE >PVH32154 pep chromosome:PHallii_v3.1:9:43573192:43578083:1 gene:PAHAL_9G332700 transcript:PVH32154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGSAASELMGGPNGLSGATAAAFELQEATIDSIHRAFAAGELTSRSLVELYLRRIASLDPALHAVIELDPDGALAAADRADAAARSGSSSLSQLHGIPVLLKDNIAAAGPLNATAGSLAMVGSSPARDAGVVERLRNAGAVLLGTASLSEWCNFRGPGIPAGWSPRGGQGKNPYVPSATPCSSSSGSTIAAAANMAAVTIGAETDGLTHQPPITRTVSDVVHVLEAIVGYDARDAEATRKASQYIPEGGYRQSLKIDGLRGKRLGILRKDFFRFPLGSVQEKVFSEHFAIISKMGANLVDNLDIPSMNVINDAVQSGERALMLAEFKLSLNSYLSELAKSPVRSLSDIIDFNNKHPIEVHIILLYVHLISLLIFLAEFGQDYLIQSDATNGIGRTEERAIARLNKLCKRGLEKVMQDNLLDAIVAPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKVSEPKLIEIAYSFEQATKVRKPPSLQHSII >PAN44638 pep chromosome:PHallii_v3.1:9:3322158:3328712:-1 gene:PAHAL_9G058300 transcript:PAN44638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGPPSLQPDEHRPGSPTKCSGAVAKSLHDRDVVKPHRSNRPCWASDPLTTPHAPSLAAKPTPARAGGPPRLACGMPHAVLARLPPGSVRLVIAFGLLLLVSLLVLLRRPAGPLARPGDGRLPVPDPAALFLSLSAGANASIAADLRALTAGPHLAGTPAAAPTAAHVLGRLRAAGLQTLTREYAPLLSYPGRASSLALLRPDGSPLARLSLDEPADEGRRLVPPYHAYAPSGAAVAEAVFVNLGREEDYATLERLGVGVRGRVAVARRGGGYRGGVVARAAEKGAVAVLIAGRADGGVERGVVLLGGPGDPLTPGWAATDGAERLGFDDEAVKRRFPKIPSMPVSAETAVAIIRSLGGPPIPAEWQAGLGVDAGGVGPGPTLVNFTYQEDRKFEKIHDIFGVIKGSEEPDRYVILGNHRDTWTYGAVDPNSGTASLLDIARRLGIMLQSGWKPRRSIILCSWDAEEFGMIGSTEWVEENLADLHSKAVAYLNVDCAVQGVGFFAGSTPQLDKLLVDITRQVKDPDVMGKMVHDTWNEMNGGISIERLARTDSDFAPFLHHAGIPSVDLYYGKEFPGYHTALDSYNWMEKHGDPLFLRHLAITEIWGLLALRLADDPVLPFDYQVYASQLQEHTNALSALMNNSQAVNLMSGFINDLSDAAIEVLKEAKKLQQLDLYNEHARIRRRLLNDRLLLAERSFLHAEGLKGRGWFKHLVYSPPEDYESKLSFFPGITDAISRSGNLSADERESAIQHEVWKVSRAIQRAARVLRGEFSRQNEQSNFSSVVTP >PVH31104 pep chromosome:PHallii_v3.1:9:3322502:3328584:-1 gene:PAHAL_9G058300 transcript:PVH31104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGPPSLQPDEHRPGSPTKCSGAVAKSLHDRDVVKPHRSNRPCWASDPLTTPHAPSLAAKPTPARAGGPPRLACGMPHAVLARLPPGSVRLVIAFGLLLLVSLLVLLRRPAGPLARPGDGRLPVPDPAALFLSLSAGANASIAADLRALTAGPHLAGTPAAAPTAAHVLGRLRAAGLQTLTREYAPLLSYPGRASSLALLRPDGSPLARLSLDEPADEGRRLVPPYHAYAPSGAAVAEAVFVNLGREEDYATLERLGVGVRGRVAVARRGGGYRGGVVARAAEKGAVAVLIAGRADGGVERGVVLLGGPGDPLTPGWAATDGAERLGFDDEAVKRRFPKIPSMPVSAETAVAIIRSLGGPPIPAEWQAGLGVDAGGVGPGPTLVNFTYQTDQTMIALISLFKEDRKFEKIHDIFGVIKGSEEPDRYVILGNHRDTWTYGAVDPNSGTASLLDIARRLGIMLQSGWKPRRSIILCSWDAEEFGMIGSTEWVEENLADLHSKAVAYLNVDCAVQGVGFFAGSTPQLDKLLVDITRQVKDPDVMGKMVHDTWNEMNGGISIERLARTDSDFAPFLHHAGIPSVDLYYGKEFPGYHTALDSYNWMEKHGDPLFLRHLAITEIWGLLALRLADDPVLPFDYQVYASQLQEHTNALSALMNNSQAVNLMSGFINDLSDAAIEVLKEAKKLQQLDLYNEHARIRRRLLNDRLLLAERSFLHAEGLKGRGWFKHLVYSPPEDYESKLSFFPGITDAISRSGNLSADERESAIQHEVWKVSRAIQRAARVLRGEFSRQNEQSNFSSVVTP >PAN43987 pep chromosome:PHallii_v3.1:9:571458:573831:-1 gene:PAHAL_9G009300 transcript:PAN43987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATAARPLAGASVRLPLSARRQPVRVALLPRAPPALQSLSLGRPAQAQPSARGRSVRCLAALNPEMRATLDKVVGSNKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQETLEKAICS >PAN51766 pep chromosome:PHallii_v3.1:9:72218722:72219727:1 gene:PAHAL_9G619100 transcript:PAN51766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFHPTTAPLFGHLPAADMSFLQDVHPEITDALLGFVYDPLDPANAALDDLLDLPPHDDAAFLRPIHGDGDAKVERHCAKRQRACGHDAWRGLARIDSGQQSNGGGNRQVPALPELPNEFVLALPPPPPPAPPQLPEPAAYAKKATGNVSQSVQSAAARQRRKRISEKTAELSRLIPGGHKMNTAEMLEEAARHVKLLQAQVGVLALMRTVGSIENEKAPSMAQEQMHALLVRGSVQERLAAEGKCLVPRKLVDAVARDSSVKSNALVSRDLGRFVASLQAGQ >PAN48923 pep chromosome:PHallii_v3.1:9:58160862:58165838:1 gene:PAHAL_9G413100 transcript:PAN48923 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSDAVEKFRFCIDRGGTFTDIYAEVPGRSEGYVMKLLSVDPSNYDDAPIEGIRRILEEFSGERIPRSSKIPTGKIEWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVIEVDERVELIQDGEGDGSSVEGISGELVRVAKPVDVEALKPLFKGLLDKGIRCLAVVLMHSYTYPHHELVVEKLALQMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGSEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFELETTKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGDLAITDANLILGTVIPKYFPSIFGPNEDMPLDYEATRRAFENLADEINSHRKSQDPSVKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSEVLVHRYCGILSAYGMGLADVIEDLQEPYSAVYNADSAAEASRREFLLVKQVKEKLKEQGFGDESIKTDSYLNLRYEGTDTAIMVKQPEKGSGNDYAAEFEKLFQQEYGFKLLNRKILICDVRVQGVGCTNILKPRELTPISTKPVQESSCQIYFSYGWQETPLYKLENLGYGHVLEGPAVIMNGNSTVIIEKDCKAIITKYGNIKIEISAAPSTVEISEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLNYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKCIWEEGAAIKAFKLVERGIFQEEGIVQLLQSPCSEELSGYKIPGTRRIQDNLSDLHAQVAANQRGIALIKELINQYGLVTVQSYMSHVQKNAEVAVREMLKAVASRVQKENGSCVIEDEDYMDDGSVLHLKLTLDASKGEATIDFEGTSPEVYGNWNAPEAVTTAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWDGTGGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRENSGGSGFHRGGDGLVREIEFRRPIVVSILSERRVHAPRGLKGGANGARGANYLVRKDGRKIYLGGKNTVTVSAGDILQIFTPGGGGFGSP >PAN50000 pep chromosome:PHallii_v3.1:9:63927561:63930486:-1 gene:PAHAL_9G491100 transcript:PAN50000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRSSSSSSSSYHLVSPRSLLLLSFASSSLLFSFLFALFALRHGRPLHLPFASAPVGANASAVTIARAPALGGSVGVAGVAEVDEAVRGRRSWDWVAEGARRAVAGDLSPVPAVASAIEVKGAVTGGGNGGAPANAEVLEGQEIAEAGSYSIGASDLAMEAKEEVVHVGGDGKKLEKDSVSEKPNSAEGKNLIKKDVSSTTETPLDVGNASASLEVTATAEKLEGTEPVRAINFSMEASGTAMDMRDESLQDVHVGNKYNSSAQAAYAYQLEEQRESSDHSAGKNNSGAAPADANKQDPNLIEEAVTSKTDSLQRDAVHCDVYDGSWVFDETYPLYTSDRCPFIDEGFSCAANGRMDHSYMKWRWQPKHCNIPRFDARRMLEMLRGKRLVFIGDSINRNQWESMMCLLRTAVSDPSRIHESRGRKITKEKGDYNFKFLDYNCTVEFHVTHFLVHEGKTRIGQKQMKTLRIDTVDRSSSRWKGADVLVFNTAHWWSHHKTKSGVNYYQEGDHVHPHLDSSTAFRRALTTWASWVDRYINPRQTQVFFRSSSPSHFSGGEWNSGGHCRESTQPLNDTRARLVPDRNLILEQVTKQMKTPVTILNVTNLSGIRIDGHPSIYGRKAVDLTASNVQDCSHWCLPGVPDTWNELLFYHLVSSHVKDVTS >PVH31221 pep chromosome:PHallii_v3.1:9:4950935:4952477:-1 gene:PAHAL_9G086000 transcript:PVH31221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRRAADPLGIGFVFVKPNPLGPSGGHAHARPTVAGRIDAHVAVSSKRASATVASPPGVSRACSRVGVTHAMPPWLGELEATGRGIGWTVEDVTDGDLASAVL >PAN46051 pep chromosome:PHallii_v3.1:9:9962161:9963811:-1 gene:PAHAL_9G160300 transcript:PAN46051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVGDLMATELRLGLPGTVDEMKAAALSAPSTPRGKKRTTADAVEDAAAEEAGKRDVEAAPPAAKAPVVGWPPVRSYRRSCFQASSKQSKATKEEAAPSSNAAPSAAANTTTTGGSFVKVSMDGAPYLRKVDLRMYKGYRELREALEAMFVSSNNGGANLSEFAVTYEDKDGDLMLVGDVPFEMFASTCKKLRIMKRSEATGLGSARQ >PVH31640 pep chromosome:PHallii_v3.1:9:12673311:12673421:-1 gene:PAHAL_9G198300 transcript:PVH31640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISDIKLIRTDTTLDLSQKAEKGMLWNGGLGPLI >PAN49995 pep chromosome:PHallii_v3.1:9:63912265:63915426:1 gene:PAHAL_9G490800 transcript:PAN49995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAASSSSLTAPLLRPNPNTNPAPRSLPLLRNRRCIRAVTAAIAGGAGAYGAAQRRGIWSIRDDLVVPRSPYFPVEYAAGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPTKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPVSS >PAN45942 pep chromosome:PHallii_v3.1:9:9370061:9375878:-1 gene:PAHAL_9G152500 transcript:PAN45942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRPPRSSSGGVEPKIRQVGFFTPDASAPSVLLPPAAAVPAPLAKQGAAAGSPPASDDLSPGRLSPVMIPPPRHADLLAPGSPSPAAADAVLATSAPARSSARLDVASEIADDDSWSRAPSATELEENKRGLSEIRNEGAPASIPQKQKTSKAERRAIQEAQRAAKAAAKEAGKSAGAASAAGPVTSKQAKSAKNAHKKDLPQAASTVASEKKVTERLPERERKLDAPHPRMQFDDVHKVEKAKKRAVVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYTTPPNKTLSRDLTAKVSSYVSFLIECRPLSISMGNAIRFLKNRIAKLPHTLSESEAKASLQSDIDRFINEKIILADKAIVSHAITKVRDNDVLLTYGSSSVVEMILDYAHELGRKFRVIVVDSRPKLEGQELLRRLVAKGINCTYTHVNAISYIMHEVTRVFLGASSVLSNGTVYSRVGTASVAMVAHAFGVPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKAEDHLKNWADNANLQLLNLTYDATPHDYVSMIITDYGMLPPTSVPVIVREYRKEQLWI >PVH32543 pep chromosome:PHallii_v3.1:9:59077831:59078568:-1 gene:PAHAL_9G424100 transcript:PVH32543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVNGICHAEPGLPKLLLLSLERVGIMELPEYAYREYLAGGTLRCDMMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAAPLRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQATQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN50265 pep chromosome:PHallii_v3.1:9:65144957:65145547:-1 gene:PAHAL_9G508700 transcript:PAN50265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCWCVHAARGGAMATPLSGPFAPTTVLRSPLRLRALLLPFAPRAASSSGEDQEQAPPAPATTKTATADDDFEERVLRIKSRVGPKKRGARKKKAAASASANAVTLPPVPLREPRSALGAPVEFGFTAYSERLNGALAALGLAALLLVELGSGQALVKYHQPATLFLQAYTVAAAAALFVKYEKERISTWPGPPTK >PAN49868 pep chromosome:PHallii_v3.1:9:60423376:60427868:-1 gene:PAHAL_9G439600 transcript:PAN49868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHADVDGSGVPLAVLLKRELCNQKVEKPDILFGEANKSKKGEDFTLLMAKCHRRPGEGAAGGGGGGQNAGDDDTISVFAIFDGHNGSAAAIYTRENLINNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDANEEEVERVTASGGEVGRINIAGGAGIGPLRCWPGGLCLSRSIGDTDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALHFQEALNYTRGVPAEAAANRIVKEAVTSKGLRDDTTCIVVDILPPEKLSPPLKRHGKGGIKALFRRRPSDEMSEDQMDRGCLEPDVVEEIYEEGSAMLAQRLNINYPAGNMFKLHDCAVCQLEMKPGEGISVHGIMPKHSRVDPWGGPFLCSSCQVKKEAMEGKLHSRSTDVFTQSL >PAN49300 pep chromosome:PHallii_v3.1:9:60422669:60427871:-1 gene:PAHAL_9G439600 transcript:PAN49300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHADVDGSGVPLAVLLKRELCNQKVEKPDILFGEANKSKKGEDFTLLMAKCHRRPGEGAAGGGGGGQNAGDDDTISVFAIFDGHNGSAAAIYTRENLINNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDANEEEVERVTASGGEVGRINIAGGAGIGPLRCWPGGLCLSRSIGDTDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALHFQEALNYTRGVPAEAAANRIVKEAVTSKGLRDDTTCIVVDILPPEKLSPPLKRHGKGGIKALFRRRPSDEMSEDQMDRGCLEPDVVEEIYEEGSAMLAQRLNINYPAGNMFKLHDCAVCQLEMKPGEGISVHGIMPKHSRVDPWGGPFLCSSCQVKKEAMEGKLHSRNSQSAVQPVPK >PAN49645 pep chromosome:PHallii_v3.1:9:61873586:61891510:-1 gene:PAHAL_9G462500 transcript:PAN49645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGLVQLLVGFVVAWEAVELVLRHGLLLSVFKLGVLAALSAAAGCVAVIFLARAVAWVLQRAAKLSIGCRSYGLNYLRDITISSPKGAVESICIGEIRLVLRKPLTQLGFTILTHGPILQLQISDLDVMLRQPVKSANKKKPSPRKPSSTSSTKSKGKSKAQAKWRLITSVASLLSLSIVELRLKSPKAALGIKDLKIDISKTGGLDPVLNVQINIIPLFVQALESDSIDKNTSVFSKLDWCVSGQYCSAMDSSDCSSFLFEDISFSCDLHQRDKGIKVKNLDLILGPIVVNLEEKLLTKKKQSASTVADQKDEPSVDNKSAARSEGGKLASLNKKISLFPEKVSFNMSKLDLKFLPKDHGLSINNEIGSISLRCTRLQPQDGFGESATHLRLETAVTEIHLLMDGATSVLEVAKISTVVSANIPTQPALPVQAEVDVKISGFQCNLIMSRIKPLIRINSDKKKPPVVHESPQQEKAPKEKLALAWACTLSAPDLTIVLHSLDDVPLYHCIFQSTNVSASKLVDQGTRLHVKLGELKLLFAGKRLQSMNESISGTLLHISRSTLDLEQKDPGKDNGEDHAKSSISVNISGIRMYFCFYYLESLCANAMSYKVFLKSILPPKKRSVQENAPQKSSKKAKGAQLIKINVAQCFVMYDGDMRLEDMTIADPKRVNFGSQGGRVVIINEDNGSPRMAYVNSTSLPDHKNVHFSTSLEISQVCVSLNKTKHSMQVELERFRVTHKEDQLDNKPVEETKLLDVRKAKFVQRSGGQNDAAACSLINVTDIAIRWEPDPYLELLEVATRLKSVLHRMKLQNSVTEVKDDTLSMDIPAKKEDHGQQEKAQKKRESVIALDLESLKISGEFADGVEAMVQVGSIFSENAKIGVLIEALAVSFCDAWIFKSSRMQLSRIPISVSDSHPDKKLQSAAVCDWVIQCRDANICLPFRLQLRAIDDAVEDTLRAFKLLSAAKTSVLFPEKKSSATSSSSSKKNKSKSVAFRYVRIIVRDLIAEIEEEPIQGWLDEHISLMKNVFCESAVRLNLLDEVALGKNKDSPKAKLDTSASEKNNDCPEADADVPGPHSIEKLREEIYRQAFRSYYQACQKLPVSEGSGACSSGFQSGFKMSTRRASVMSVHAKDIDVSLSKIDGGDEGMISFIKSVDPVCAKNDIPFSRLYGSNFTLKTRSLSAYLRDYAFPLFAGTSGKCDGRLVLGQQATTFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKTYADIPLHFKKGEVSFGVGYEPVFADISYAFTVALRRANLAKRWFFEHPEPPRRERSLPWWDDMRNYIHGRFNLSFTETEWHLPASTNPYEKHDQMLITSGYMEICYVDGYVSLSSKSLKVYITSLESLAKKTSLEIPHHPGIPFLETPSFFMDISIQWGCDSGNPMDHFIFALPAEGKPRDKVFDPFRSTSLSLKWSFSLKPSTTETIEHQRKSDVLTNDSPTVNVGAHDLVWLARWWNLLFLPPHKLRLFSRFPRFGVPRFVRSGNLPLDRVMTEQCIRFDAILLRINNMPLQPDDPAKGLTLHFTKFRIEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLVKVFLNRIPEPSTSKDSKAENKSTKDRDSPGCEKGKTKTSSTEKSRDDGFFLHSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLIKSEFDGGDESDHDQSGSDDEGFNVVVADSCQRVFVHGLKILWNLENRAAILSWVGGLTQAVQPPKPSPSRQYTQRKILEKKQLIKEAEMPKDGALNSVSSASQPSEPQQIKSSESPPSNGSNKSDLTPSSETALKPSNNSDAEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVMVRSFHSIVQVGQEMFEKAIGSSNVATGGAGPEMTWSRVELSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYLRFTRHKGGTPELKVKPLKELTFNSPDITAGMTSRQFQVMMDVLTNLLFARTPRKPKSNLSYPLDNDDDDIEEASDAVVPDGVEEVELAKIGVEVKERARKLLLDDIRALSTGVESSHDQSPSPKADDATWIVTGSRLILVKQLKKGLVNVRNGRKEAYSTLRIAMQKAAQLRLAEKEKNKSPSCAMRVSTRINKIVWSMLADGKSFAEAEINDLIFDFDRDYKDIGIAQLTTKLFVLRNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQQPQKRQELFKVSTTAGTRRKKSSSNVEINSPNNQSSKESTFAQKPELRRTSSFDRTWEETVAESVANELVSQMQGQSNAQSESQDAAKDSKLLRPVRSTREDKKIVEPNEVKQTRPQKLMDFRNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWPRLFSRVKKHIVWGVLKSVTGMQGKKFKAKSTSQKEPSAALISASDFNLSDSDGDDAGNSDQLPAFLKKPSDGAGDGFATSVKGLFNSQKKKAKAFVLKTMKGEAEHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKLNKSKVHKNSAPEQEVLPPRAPAGYNTDSSDSSSPETSPKD >PAN50904 pep chromosome:PHallii_v3.1:9:68324850:68326871:-1 gene:PAHAL_9G555900 transcript:PAN50904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFERRASSGFRPELRGGDNDVLQLACGFLWVLASAMRGAFGHHRAQTGCVQHSYPHLLSPPMKTSSVIAQAS >PAN50905 pep chromosome:PHallii_v3.1:9:68325143:68326152:-1 gene:PAHAL_9G555900 transcript:PAN50905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFERRASSGFRPELRGGDNDVLQLACGFLWVLASAMRGAFGHHRAQTGCVQHSYPHLLSPPMKTSSVIAQAS >PAN49672 pep chromosome:PHallii_v3.1:9:62082830:62084467:-1 gene:PAHAL_9G465400 transcript:PAN49672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Superoxide dismutase [Source: Projected from Oryza sativa (Os03g0351500)] MVKAVAVLGSSEGVKGTIYFTQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDENRHAGDLGNVTAGADGVANINVTDCQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >PAN47582 pep chromosome:PHallii_v3.1:9:18374577:18379366:-1 gene:PAHAL_9G256300 transcript:PAN47582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCCVAARPHGTSTANREWSSIGRSDPTWRTNAGFSPPVSRGWEYRINSEGLSYGSHGDSGVAANYGSSLSSNSKEASRSWERNELPQEHRYSTSEGAISYFNSPDVSFQNQHVMLPMLQDSSVDEYMRVSVSEPIGALLLSEGISGQQNSGGSTSSRSEGSEYDIVPKSYSSTPRNFPSRRSFLSKPVHPLSFPEHALEAQGNQSPVASASSNNPLHSEYKGTGEVRSPGPMDYGSGNHGESGNWSAASSMDLTDVSERPEADRAGPLRPYNIMQKTRCDLCERLLTKRSPWGSRRIVRTGDLPVAGVLPCSHVYHAECLERTTPKGQKHDPPCPVCDKLAGKDTEYWSICRLKNGFPRLRSLGEGPSRVWSCAHAGDCVAGAVQIPRSNSIALLTRSGHKRHASLKGDPGKDLAETSKSACM >PVH31901 pep chromosome:PHallii_v3.1:9:18374221:18380260:-1 gene:PAHAL_9G256300 transcript:PVH31901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMLQDSSVDEYMRVSVSEPIGALLLSEGISGQQNSGGSTSSRSEGSEYDIVPKSYSSTPRNFPSRRSFLSKPVHPLSFPEHALEAQGNQSPVASASSNNPLHSEYKGTGEVRSPGPMDYGSGNHGESGNWSAASSMDLTDVSERPEADRAGPLRPYNIMQKTRCDLCERLLTKRSPWGSRRIVRTGDLPVAGVLPCSHVYHAECLERTTPKGQKHDPPCPVCDKLAGKDTEYWSICRLKNGFPRLRSLGEGPSRVWSCAHAGDCVAGAVQIPRSNSIALLTRSGHKRHASLKGDPGKDLAETSKSACM >PAN50133 pep chromosome:PHallii_v3.1:9:64579460:64585565:1 gene:PAHAL_9G500700 transcript:PAN50133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTKRAEGAEDSSDGVVKKGRIGESVVGAEAMVAGEEVGGGGSGSGNGSGVAEIDEDLHSRQLAVYGRETMRRLFASNVLISGLNGLGAEIAKNLALAGVKSVTLHDAGNVELWDLSGNFFLSEDDIGNNRAVACVAKLQELNNAVDISALTEELATKHLSKFQAVVFTDISMDKAFEFDDYCRSHQPPICFIKTEVRGLFGSVFCDFGPEFTVHDIDGEDPHTGIIASITNDNPAMVYSVDDERLDFQDGDLVVFSEVQGMTELNDGKPRRIIRSRPYSFCIEEDTSKFGIYAKGGIVTQVKEQSILQFKSLRDSIREPGNFPLSDCLKFDRPPLLHFAFLALDKFRKEFGRFPAVGCGQDAQRIVEFTASFNEATIDYKIEGKLDEKLLRLFASGSRAVLNPMAAIFGGIVGQEVVKACSGKFHPLYQFLYFDSLESLPTHQLDPKDLKPLNSRYDAQISVFGSKLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCSRKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAASAINSCFHIDALQNRACPETEHVFHDAFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGTKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLDKTPNEVNSFLSNPTQYAATMKKAGDAQARELLERIRECLEEERCERFDDCITWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLEFSATDVSHVQFIMAASILRAVCFGIDIPDWAKSTSNLIDAISKVYVPEFKPKSGVKIQTDEKANNISSASVDDAVVIEDLLTKLEACTKKLPPGFRMKPIHFEKDDDTNFHMDLIAGLANMRARTYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYHNTFANLAIPMLTISEPLPPTVIKHQGMRWTVWDRWSIKGDITVAELLKWLSDKGLSAYSVSYGTSLLYNTMFPRHKDRLGRKIADVAKEVAKVDVPEYRKHLDVVVACEDNKGNDIDIPLISIYFR >PAN44782 pep chromosome:PHallii_v3.1:9:4022502:4026722:1 gene:PAHAL_9G069800 transcript:PAN44782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRCRLAAGAFFCLAVLLLRADAAAAQGQPPPHQTALAPQDVAALRGLRASLGVRARDWPARADPCAAWRGVTCRAGRVVELRLSGLRRTRAGARRAAFAVDPLRGLTALETLNASGFPLPGRIPAWFGRGLPPSLGVVDLRSARVNGELPADLGTSGNLTTLVLSGNSLTGCIPASLLSIPGLRVLDLSSNNLTGPLPNLPFSGSNAAGVLFNASGNSLYGAIGWSLRKWFWVVDMSGNYFDQVVGTGFENWTDGVVDLRMNCLSGAAGQRTRGDCEAFYTRNGVRLGEDPEPSSPSPEPQPVPSTSKRRDKWKHVLAGVLGGAAIVVTLGLGALVFCLLRRRGRMRPRARGVDQIEEGIRSGRRSSSVNPVTMSPMASPGGSGSPKGVPVIIDDFTYEQLHHATGGFGENNLVKHGHSGNMYHGVLESGFEVVVKKVDMKSRKKCQGELSFLTKHSHRRIVPLLGHLAKDEEQLLVYTYMAKGDLTTALHKKSVEVEEGLRSLDWITRLKIAIGVSEALCFLHDECSPPLVHRDIQASSVLLDDKFEVHLGSLSKICIQQSEGSPSFFSRIFRSSKSLDKNRSGPPASSSYDVYCFGKVLLELITGNFGVSGSNDADSDEWLARTLGYIDAYDKEGVSGIVDPSLVVDEDHLEEVWAVAIVAKTCLNPKPSRRPLARYILKALENPLRVVREREELHSHSSRLKSTSSGSWRFAFHGNKYQSWDVMPTSGKTLAQKNKAKSQRTEGSDEDEENSFSFKRASRENFPDPVELEDSVVV >PAN47576 pep chromosome:PHallii_v3.1:9:18356202:18362865:1 gene:PAHAL_9G256000 transcript:PAN47576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil protein, Homologous chromosome pairing and synapsis in meiosi [Source: Projected from Oryza sativa (Os10g0405500)] MEVELPHIHKATSSDYLGLASSQYPSGKFPKVSVGITIPRAGSISRGKDATPAFDRNLSQVTDGISRPPKDDNASIRVSQEAAKKDGSAKGVPEAGSVMKVSVSQPDDNTCEQTGTFSFGTRREQGSSLDKLETPEFISSQQKRNLESADKIKPNSEMLRMKLWEILGGTSQNKQAVASPNPDDFKTPNQPKSQTVKAPSSGNKEVFTSPFPDNIKTPDPLNRQTVNFTKCKLSLDPIESDSDSPKVVEIRPVTRSLGRKKEPAASKQQSFSAKKPLSTFRSTPKKKVLDNVFTFNEKCTPKTVVKHAIGGSGSLRNLRSSNRKAKVEAQKIHYSDRISDKTMQDDKEGKLSSRNTPSDNKIEKATSFSSLSRPGKTAESCSRSPKRGRKVNVMAKVGPRKMQFSENLLAKTQNDEQTKLYSPHKVSLKSKENDSSTSPPEDSDRSPHGHKAAENNFNSPPSEAANPSPEPKIYPWDHDVSPEITGKFGQKVASTWTDRFRDMPDDHPSPTLAPNVNTSPQINKGLDGDLYTSKYPKSMDWSRSSSLASDPESEPPDEMDKTTELPGSESPASSEERENSKQPSLSSPSPTEDEGTKSSKQSFAKGYKSHKWLSDIDGSDKSPLEHVGRKSHLKEDRMSKRRLSSGTQETIISEKEQEQCPENYLTRAFDQLIVVLGRFQTKIKSETSKKSSEILSATGEIIRQHLEGVEVQMQADVDKLVNVGKSKRKRLESTFEEQQENLRILHEKFKEEVNKQLLDCKNSLEDFESYHAELKGVADKQKLSHKKLIVNAEKSIGAQLNDAEVKIAEVQKRARKRMNGLKYVLKELIAETAD >PAN46992 pep chromosome:PHallii_v3.1:9:12929733:12930512:-1 gene:PAHAL_9G202500 transcript:PAN46992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRHGPGARSTRLTRRHRHTHSRHARDPAGARRRHVEVRCTTAATAAGAGAVDAPPAAATVAVAVERRPAAVRLSELGLRSWPKWGGPPGRYALSYGARQTCYVVRGRLSATVEGSPDGAIQFGAGDLVVFARGTRCTWHIVSAVDMHYAFDPS >PAN49658 pep chromosome:PHallii_v3.1:9:59341281:59346410:1 gene:PAHAL_9G427100 transcript:PAN49658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGEGIAIATMQLVLIWSITSTVMLVASGAHPPSADSLAHCPKTCGDVSIRYPFGIGPGCFRQGFEVTCNRTTKPWKLLLSNTTTQVTGLYPSGTVLASFVYTIPMAPGVGTYNLSWQSPGRNLNIESYNYFAFLGCGIGVYLFHPDTGDLVGHCTSKCSSMAAMLIETQGGSCNGMGCCTVTFPVPFRGFRVTVIKNNDTVPQPFSDVTVKAFLSFRPYKFSIMELLSDKINASTIGALSAYLSTVIADEPNCKSAQLNNKTQYACSNSNCRDVQNGGYYCACSGNFDGGNPYLLDDCKQEYNPTPKTNCSRSCGKTYIPFPFGLEPGCFAKRRFQLNCASNRTLIARPPAKYEVTNISLDEGLLYVNKLSESEDANTNYLSIYYGGSDYFGQQLIYGLGQSGLSEEYGAWSWSITNSTCESAKQNSTYACLSTNSKCIGVTHGTIYIGYRCKCSLGFEGNPYVQNGCTDIDECLIPNYCNGTCYNFQGSYSCCPHGMSFDPVRRQCTSSKGQNILLGISVGISSGFGVLLLTLTAIISVKRWKRGMQKKIRRAYFRKNKGLLLEQLISSSESVTHNTRIFSLEELEKATNNFDSTRIIGHGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHNLLHGDLGAKCLLTWEDRIRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDDAFTTKVSDFGASRSISIDQTRVVTAVQGTFGYLDPEYYYTGQLTEKSDVYSFGVILVELLTRKKSIFVNCLGEKQNLCHCFLQALIDKTIMDMVDPQVAEEANQSEIDEIALVAEMCLRLKGENRPKMKEVELRLQLLRAKPSRSHKEESKRGREIQQSLSSEYKSTSLTVTKRAEIGFVANLPSQAVSRCHTMEQEMIYSAEFPR >PAN49112 pep chromosome:PHallii_v3.1:9:59341923:59346410:1 gene:PAHAL_9G427100 transcript:PAN49112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGEGIAIATMQLVLIWSITSTVMLVASGAHPPSADSLAHCPKTCGDVSIRYPFGIGPGCFRQGFEVTCNRTTKPWKLLLSNTTTQVTGLYPSGTVLASFVYTIPMAPGVGTYNLSWQSPGRNLNIESYNYFAFLGCGIGVYLFHPDTGDLVGHCTSKCSSMAAMLIETQGGSCNGMGCCTVTFPVPFRGFRVTVIKNNDTVPQPFSDVTVKAFLSFRPYKFSIMELLSDKINASTIGALSAYLSTVIADEPNCKSAQLNNKTQYACSNSNCRDVQNGGYYCACSGNFDGGNPYLLDDCKQEYNPTPKTNCSRSCGKTYIPFPFGLEPGCFAKRRFQLNCASNRTLIARPPAKYEVTNISLDEGLLYVNKLSESEDANTNYLSIYYGGSDYFGQQLIYGLGQSGLSEEYGAWSWSITNSTCESAKQNSTYACLSTNSKCIGVTHGTIYIGYRCKCSLGFEGNPYVQNGCTDIDECLIPNYCNGTCYNFQGSYSCCPHGMSFDPVRRQCTSSKGQNILLGISVGISSGFGVLLLTLTAIISVKRWKRGMQKKIRRAYFRKNKGLLLEQLISSSESVTHNTRIFSLEELEKATNNFDSTRIIGHGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHNLLHGDLGAKCLLTWEDRIRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDDAFTTKVSDFGASRSISIDQTRVVTAVQGTFGYLDPEYYYTGQLTEKSDVYSFGVILVELLTRKKSIFVNCLGEKQNLCHCFLQALIDKTIMDMVDPQVAEEANQSEIDEIALVAEMCLRLKGENRPKMKEVELRLQLLRAKPSRSHKEESKRGREIQQSLSSEYKSTSLTVTKRAEIGFVANLPSQAVSRCHTMEQEMIYSAEFPR >PAN49115 pep chromosome:PHallii_v3.1:9:59341081:59347194:1 gene:PAHAL_9G427100 transcript:PAN49115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGEGIAIATMQLVLIWSITSTVMLVASGAHPPSADSLAHCPKTCGDVSIRYPFGIGPGCFRQGFEVTCNRTTKPWKLLLSNTTTQVTGLYPSGTVLASFVYTIPMAPGVGTYNLSWQSPGRNLNIESYNYFAFLGCGIGVYLFHPDTGDLVGHCTSKCSSMAAMLIETQGGSCNGMGCCTVTFPVPFRGFRVTVIKNNDTVPQPFSDVTVKAFLSFRPYKFSIMELLSDKINASTIGALSAYLSTVIADEPNCKSAQLNNKTQYACSNSNCRDVQNGGYYCACSGNFDGGNPYLLDDCKQEYNPTPKTNCSRSCGKTYIPFPFGLEPGCFAKRRFQLNCASNRTLIARPPAKYEVTNISLDEGLLYVNKLSESEDANTNYLSIYYGGSDYFGQQLIYGLGQSGLSEEYGAWSWSITNSTCESAKQNSTYACLSTNSKCIGVTHGTIYIGYRCKCSLGFEGNPYVQNGCTDIDECLIPNYCNGTCYNFQGSYSCCPHGMSFDPVRRQCTSSKGQNILLGISVGISSGFGVLLLTLTAIISVKRWKRGMQKKIRRAYFRKNKGLLLEQLISSSESVTHNTRIFSLEELEKATNNFDSTRIIGHGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHNLLHGDLGAKCLLTWEDRIRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDDAFTTKVSDFGASRSISIDQTRVVTAVQGTFGYLDPEYYYTGQLTEKSDVYSFGVILVELLTRKKSIFVNCLGEKQNLCHCFLQALIDKTIMDMVDPQVAEEANQSEIDEIALVAEMCLRLKGENRPKMKEVELRLQLLRAKPSRSHKEESKRGREIQQSLSSEYKSTSLTVTKRAEIGFVANLPSQAVSRCHTMEQEMIYSAEFPR >PAN51120 pep chromosome:PHallii_v3.1:9:69255343:69256726:1 gene:PAHAL_9G571300 transcript:PAN51120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKTTAMARSPCLLALTVAALLAAFAARVDGATGTAAPAPAPSADCTDALLSLAGCLSYVQEGSTVATPDPTCCSGLRDVVRGEVACLCQLFQGGQNLGISLNMTKALQLPAVCKVKTPPVSKCHVSVPGVPTASPVPAPSAGAPFFSQSPSSPAPSGSPAAEGTGIASSTPAPSPARSGAASLSAASAHSLFTAVAAAATLLGYRVL >PVH32988 pep chromosome:PHallii_v3.1:9:67379177:67379428:1 gene:PAHAL_9G542600 transcript:PVH32988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNKDFDFVPWVGDRTGELLFQSPRVLPPFCVPVPCFLLLAHVFPIHLLACYKLARSYSATRKVHLVIRNLQSEVILVQN >PVH32987 pep chromosome:PHallii_v3.1:9:67378377:67380866:1 gene:PAHAL_9G542600 transcript:PVH32987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNKDFDFVPWVGDRTGELLFQSPRVLPPFCVPVPCFLLLAHVFPIHLLACYKLARSYSATRKVASWQLLVLCNQPV >PAN48650 pep chromosome:PHallii_v3.1:9:56768519:56769046:-1 gene:PAHAL_9G397300 transcript:PAN48650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAACTAGGDGGAAATWTPPFCTIMAVDTSDFSYLSCPRCELALPDGAVSCFACGGGGGGGGGQPAPTRVYRLLLSLATHDRVVPVVLFNRAARTLMGCPADDLARFFAAHPGSARAAAEALRGEMCRVVLRASARNKRSTGAADERLRVVSVVPLRDSFRPIVDALRTLYPRS >PAN48651 pep chromosome:PHallii_v3.1:9:56766581:56769100:-1 gene:PAHAL_9G397300 transcript:PAN48651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAACTAGGDGGAAATWTPPFCTIMAVDTSDFSYLSCPRCELALPDGAVSCFACGGGGGGGGGQPAPTRVYRLLLSLATHDRVVPVVLFNRAARTLMGCPADDLARFFAAHPGSARAAAEALRGEMCRVVLRASARNKRSTGAADERLRVVSVVPLRDSFRPIVDALRTLYPRS >PAN48652 pep chromosome:PHallii_v3.1:9:56766534:56769100:-1 gene:PAHAL_9G397300 transcript:PAN48652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAACTAGGDGGAAATWTPPFCTIMAVDTSDFSYLSCPRCELALPDGAVSCFACGGGGGGGGGQPAPTRVYRLLLSLATHDRVVPVVLFNRAARTLMGCPADDLARFFAAHPGSARAAAEALRGEMCRVVLRASARNKRSTGAADERLRVVSVVPLRDSFRPIVDALRTLYPRS >PAN51390 pep chromosome:PHallii_v3.1:9:70402610:70405677:1 gene:PAHAL_9G590600 transcript:PAN51390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMYGTVSRQILRLTNSLHASHHRWVCRLAKQVGRLMMLTASALTNHWVVGRSSCRGHTSNGTDGCFKVLTWNHNGIKGKQFLPREKVAATGWQITGAVPKDPKKGIHTKWWEKDIKDNMKNIKSQEDFDKQLSMAGDKFTLAHCFSPSCRACKALHSKVKEEKYVHCIFNNFTIIKRRKKCMFCINLY >PAN46837 pep chromosome:PHallii_v3.1:9:14217797:14225764:-1 gene:PAHAL_9G218900 transcript:PAN46837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAMRSGSSDGGGGYDKGGMDTGKYVRYTPEQVEALERVYAECPKPSSARRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDVSCESNVTTPANLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSFGIVTVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIEIVCMQMYAPTTLVPARDFWTLRYTTTLEDGSLVVCERSLSGSGVGQSTATAQQFVRAEMLPSGYLVRQCEGGGSIVRIVDHLDLDAWSVPEVLRPLYESSRVVAQKMTTAALRYIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIITCNSKKIRNSSNPASAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASALKTSPCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDEGVLSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDIKTDGVPSGRTLDLASSLEVGTTAQHASGDGSQDDCNLRSVLTIAFQFPYEIHLQDSVAAIARQYVRSIVSAVQRVSMAISPSRSGLSTGQKIISGFPEAATLVRWICQSYQYHLGVDLVSHADEAGESLLRMFWDHQDAVLCCSFKEKPVFTFGNQMGIDMLETTLIALQDLTLDKIFDEAGRKALHVEIPKLMEQGYAYLPAGVCLSGMGRHVSYEQAVAWKVLGEDSNVHCLAFCFVNWSFV >PAN46838 pep chromosome:PHallii_v3.1:9:14217719:14225766:-1 gene:PAHAL_9G218900 transcript:PAN46838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAMRSGSSDGGGGYDKGGMDTGKYVRYTPEQVEALERVYAECPKPSSARRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDVSCESNVTTPANLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSFGIVTVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIEIVCMQMYAPTTLVPARDFWTLRYTTTLEDGSLVVCERSLSGSGVGQSTATAQQFVRAEMLPSGYLVRQCEGGGSIVRIVDHLDLDAWSVPEVLRPLYESSRVVAQKMTTAALRYIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIITCNSKKIRNSSNPASAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASALKTSPCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDEGVLSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDIKTDGVPSGRTLDLASSLEVGTTAQHASGDGSQDDCNLRSVLTIAFQFPYEIHLQDSVAAIARQYVRSIVSAVQRVSMAISPSRSGLSTGQKIISGFPEAATLVRWICQSYQYHLGVDLVSHADEAGESLLRMFWDHQDAVLCCSFKEKPVFTFGNQMGIDMLETTLIALQDLTLDKIFDEAGRKALHVEIPKLMEQGYAYLPAGVCLSGMGRHVSYEQAVAWKVLGEDSNVHCLAFCFVNWSFV >PAN47280 pep chromosome:PHallii_v3.1:9:17278432:17279186:1 gene:PAHAL_9G249000 transcript:PAN47280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRWHNKKERHQCKVCKKYGHRWYKCKDGDPDDIAAMLAEKVPPKKRKKITEPSCESTDPAPKAMHFPYRSGSGSNQLEPLSIKYLMIPTCEITPPPAISKSTREKIAKGKAKTAGKKKKKEVSVPPDSPAMGTRSKTPQKDSPTSDTRSKRKLPLPDLNC >PAN50228 pep chromosome:PHallii_v3.1:9:65037005:65041524:1 gene:PAHAL_9G506700 transcript:PAN50228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFLFALVRAARSRSRAELQTVQRRRPSDLAQRFSHSAAAPERPSFGIAFDIDGVILRGRSPIGGAPRAIRRLYSEDGTLKIPFLFLTNGGGVPEHRRALELSQLLGVSISPTQVVHGHSPYRELVDRFENDLIVAVGKGEPAVVMSEYGFRKVLSIDEYASYFKDIDPLAPFKTWKVDQETNNYMSAKVHPSYDVYSERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGSGKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQINDHPLKYTSYGKPNPFVFKNAATILEKLVMSMYPNSQTSKEVKDCQFSTIYMVGDNPEVDINGASKAGHPWSSVLTRTGVFRGNDNDPQFPADTVVDTVEDAINYILEKECIR >PVH32068 pep chromosome:PHallii_v3.1:9:34089385:34092650:1 gene:PAHAL_9G305300 transcript:PVH32068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMHPKPLLQFPHDPLPGLVSGGGKTNALETEKPNFNFSAYSLALKSSMVISQEALNYLASGHGLRHEQDLWVVLRAGTVLHAPAHCAAGLRHFGHRYLHRASCSSTQQGPWPSPTLWFLSYLAWGRSSAKHEADAMCRMSQIK >PVH32067 pep chromosome:PHallii_v3.1:9:34089385:34092650:1 gene:PAHAL_9G305300 transcript:PVH32067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISQEALNYLASGHGLRHEQDLWVVLRAGTVLHAPAHCAAGLRHFGHRYLHRASCSSTQQGPWPSPTLWFLSYLAWGRSSAKHEADAMCRMSQIK >PVH32069 pep chromosome:PHallii_v3.1:9:34089384:34092651:1 gene:PAHAL_9G305300 transcript:PVH32069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMHPKPLLQFPHDPLPGLVSGGGKTNALETEKPNFNFSAYSLALKSSMVISQEALNYLASGHGLRHEQDLWVVLRAGTVLHAPAHCAAGLRHFGHRYLHRASCSSTQQGPWPSPTLWYAIVVHHPCILKVACLAKLPRAGTIHRLIDSNMFETCCMSMYCSYMCKIW >PVH32070 pep chromosome:PHallii_v3.1:9:34089964:34091492:1 gene:PAHAL_9G305300 transcript:PVH32070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMHPKPLLQFPHDPLPGLVSGGGKTNALETEKPNFNFSAYSLALKSSMVISQEALNYLASGHGLRHEQDLWVVLRAGTVLHAPAHCAAGLRHFGHRYLHRASCSSTQQGPWPSPTLWCIWLVIELH >PVH32066 pep chromosome:PHallii_v3.1:9:34089385:34092650:1 gene:PAHAL_9G305300 transcript:PVH32066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMHPKPLLQFPHDPLPGLVSGGGKTNALETEKPNFNFSAYSLALKSSMVISQEALNYLASGHGLRHEQDLWVVLRAGTVLHAPAHCAAGLRHFGHRYLHRASCSSTQQGPWPSPTLWYAIVVHHPCILKVACLAKLPRAGTIHRLIDSNMFETCCMSMYCSYMCKIW >PAN44902 pep chromosome:PHallii_v3.1:9:4559564:4560539:1 gene:PAHAL_9G078600 transcript:PAN44902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQATAALALLLFLLLAPPALRVSMAGSGFCDGKCTTRCSKASRHDDCLKYCGICCAACGCVPSGTAGHKDECPCYRDMTTGHGNRTRPKCP >PAN47654 pep chromosome:PHallii_v3.1:9:22278592:22282599:1 gene:PAHAL_9G269000 transcript:PAN47654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSCFPTLLAAGRKKKNHKVAAAKVSGNECPKVKPVEFIDAPVAPGGGEFENKVAPRDVEFAAAADMVPVASHEGGDQFVAAKASVKGGDLSDFEFDFHVPNKSDGDGVEKRATDTAANAAVIAGDAEAEPSPKLKRSCSNIETKRPGPREALEMPARSRSYGDLGDLIGGLALDTSATPHGAPEASPASVKTSHTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPGSARPVEACSPGRHGGYTSDTLEEGPAADRKNKKIMVDDSPSASNQWVAFCAENSLHDRVSAWVCSIENEPPFRIAEVDENYDGVGNMDDDDEHGECAARPRPVELVESSSGKNHGKSKRCAAADEVVQANTIVQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSSNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLSFNKITTAKALGQLVANYHSLLALNLVGNPVQANVGDDALRRTVTGLLPNLAYLNKQPVKPQRSAREVATDSVARAALGGSGSGSRSLRKRASRRLTQSPRSSSLTRGRSGGGDGSVRSRSKGRHHG >PAN49631 pep chromosome:PHallii_v3.1:9:61825648:61829446:1 gene:PAHAL_9G461500 transcript:PAN49631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIVGLGLGDERDITVRGLDAVRSCSKIYMEAYTSLLSLGLDPTALANLEKLYGKEITVADREMVEERVDQVLLEAADADIAFLVVGDPFGATTHTDLVVRAKKMGVQVKVIHNASVMNAIGVCGLQLYRYGETISIPFFTEEWRPDSFYEKIQNNSRLGLHTLCLLDIRVKEPTWESLARGKKVYEPPRFMTVNTAISQLLEVEEVRGGSAYGRSTLCIGVARLGSDDQKIVAGPMEKLLDIDFGPPLHCLIIVGETHPLEEEMLEFYKM >PVH31910 pep chromosome:PHallii_v3.1:9:19051046:19054212:-1 gene:PAHAL_9G258500 transcript:PVH31910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGREGWLGVGSTNPQPQPASHRQSARRPSVTSLAHALYVRHPTRNQVQIFSLWFNLNCILLIDRI >PAN50070 pep chromosome:PHallii_v3.1:9:64298842:64302892:-1 gene:PAHAL_9G496000 transcript:PAN50070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLNRRASLPRAGMEYFDARRKPHNVGKIIAALVLTTLCIFVLKQSPGFGGNNVFSRHQPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNMGAVKVLQELFPQPGRLQFIFADLGDQKSINKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPRKVGIYNVGTGRGRSVNEFVDACKKATGVDIKVEYLNRRPGDYAEVYSDPTKINKELNWTAQYTDLKESLSVAWRWQKSHPHGYGTN >PAN50069 pep chromosome:PHallii_v3.1:9:64298210:64303400:-1 gene:PAHAL_9G496000 transcript:PAN50069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFDARRKPHNVGKIIAALVLTTLCIFVLKQSPGFGGNNVFSRHQPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNMGAVKVLQELFPQPGRLQFIFADLGDQKSINKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPRKVGIYNVGTGRGRSVNEFVDACKKATGVDIKVEYLNRRPGDYAEVYSDPTKINKELNWTAQYTDLKESLSVAWRWQKSHPHGYGTN >PAN49640 pep chromosome:PHallii_v3.1:9:61862122:61865532:1 gene:PAHAL_9G462200 transcript:PAN49640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQFAMVEELASLIKDNLHSKHLILSTEEALIAALQELRCADDGDSDGGEEDGAADTIELQPAGAYHRLLLHRLAEIYGFAHESVGEGEDRHLVLQRCPETAIPPVLISDMLWKFDNTDDSTSVDDSISVVLTRNDTDSQKPCKVDVVQEDTSIKNSHLKDTTDLKPLKQSAVFPAASLKEREAAYRAARERIFSGDDPKGNDKSYVKCRQVPVVAQRMIAHALGKKVQNPTETVASTEGRGKHLPDGPKVPTHSRKNFYPIATDNSEEHNVRNGKPNSASRNSYQTSSSQRCRTANSRAATAESLKKEQTGAARRMFAHALGLSAAQGSYGALPKPK >PAN48603 pep chromosome:PHallii_v3.1:9:56565669:56571154:-1 gene:PAHAL_9G394400 transcript:PAN48603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGHRRSASQDEDNVGCVWGLMRMLYFRRDPKFLLDSKQLGGRHAFREINEIGQSTKRSRDFDGIEEDGNIEECTFQKPTVKNLMEDELRKVELLKKIPNDEVQRRLADLENGVSLDEKPEHINRLTDNSCHQTGVSTALTPSVDSEVLNHAEEYDLESVLADFLGEIYSCHNECPHGDCKDKNELCPSLKSLIHKKVNDLNNLPRSMGRGQSQESNDGKLSEQNNLFNTMAAQSKQFKDALEILGSNKELFLKLLQKPNPQIVDNIQKHQNNKVASGLEPSNIPGQTNFGGRVGSNQHLLATKEQAKERKYKFFWRKGKSNRSQMPEATIGPQTVSKIVILKPNPERRIHQKAISSARPLHQQPSTSHVPECSGREASKFSIKEVKKRFRIVTGESRRERNLAPAEDLGRDPRRHKDSVIAIKKDFRHVPEGSLADTSASSFKNSIIPFNSSKQKQQNSSITEINVRTVPQGESIFYEQAKKHLSEMLKDNDRSVNYPAVQVSKSLEGILSVPHCNASTPRNSPREKGCLELSPEETDGCLSCKVEREECTQERCQSQDDMGSIACCTSAAVDDQVTVQEGYCMNEANEGLQDVSDELDNMYIEGLDKLDCSENICNMQSVPEEQSIDDIHQEILEETKEEKEHAEVTPDSPESMVEKLEQHEPETPEPRASTKLVSDGSSEQSEETQEKPSPVSVLESFFEDFGSPDCMNKKECELHEDLQRTLCFPDDESDVKVLWEDKNVRLDYIKLVLELSELCAEQNLEVWYLEDELISPCLFEELQDQGDQTDDLKLLFDCICEALTEIQERYFRLSSWLSFLRHDIRTPPIGENLITEVDKYVHGYIQYSLPSTLDQIIKKDLEVQAWMNVRSKTEEIIMEIWEFVLDELIDEAVFDLWI >PAN51185 pep chromosome:PHallii_v3.1:9:67251714:67254860:1 gene:PAHAL_9G540200 transcript:PAN51185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESQEPFPKNSIARRSERTTDIQGVKHHTSSWRACSYILVTQCFEELAYFGIQFNLVIFLKTVLHDSNVTAARNYTNWQGTCYIAPLVGAIIADSYLGRYLTTVAFFTVYLLGMVAMSISASFLKGGSSQSVVFFLGLYMMAIGAGGIKPCVSSFGADQFDDSSPAERLKKYSFFNWFFFATYIGSFVSGTAVVWVQDHYGWAVGLWLPTLFIALAIASFLLGSSKYRVQKPMGSPIVRVFQVIVAAIQKWNAVLPYDDSLLHELPEKTPMAADIHKLQHTPVLRFLDKAAVISSTEDPSDSDPWRLCTVTQVEELKVIIGMLPIWTTGIVFFAVLAQFSSTFLEQGRSMNKLVGAFAVPPASLASFDAVSVLIWVPVYDRVLVPAARRLTGSARGISELQRYGAGLLLSVLVMVAAALVETQRLALASAHGEGQSSMSILWQVPQYFLVGASVVFTCVGQTEFFYNEAPPSMRSLCSALALLTVALGSYVSSLVVTAVAWLTTRGGGPGWIPDDLNDGHLERFFWLLAAMSTLNLAVFVYCATQYKRKTVS >PVH31943 pep chromosome:PHallii_v3.1:9:22275624:22276575:1 gene:PAHAL_9G268900 transcript:PVH31943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELSAHATLLPSLRRSICTAGVPFASLEINSPPLSGRAAAACGAGATRSIIKRLFGRARAAESGAARSEERSRAVRV >PAN47362 pep chromosome:PHallii_v3.1:9:17705412:17709610:1 gene:PAHAL_9G252500 transcript:PAN47362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVEAVVAAGVEPFPTVDKCDASGRGSHAVAADLDGTLLRSRSTFPYYALVAFEAGGVPRLLLLLLLSPLAAALRVVVSEAACARVLVFAATAGARVRDIESAARAVLPRFYAADVHPGAWRVFSACARRRVVLTSTPRVMAEPFLRECLGADAVAGTELATWRGRATGLVDARRGVLVGRRKAEALREIFAADGDAPDVGLGDSRSDYAFMSMCKEAYIVPRAPVEPLPMDQLPRPVIFHDGRLVRRPTPLVALLVALWFPAGFALACLRIAAGALLPMPLVYYAFWALGVRVLVRGAPPPPRAERAAGRRGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRASDEAMIRELLAEGDLVICPEGTTCREPFLLRFSALFAELTDEVVPVAMENRMSMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLSKLPTELTCAGGKTSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVAPKS >PAN50906 pep chromosome:PHallii_v3.1:9:68332032:68337621:1 gene:PAHAL_9G556000 transcript:PAN50906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMGMDAYRFSIAWSRILPNGTGQVNQAGIDHYNKVIDALVSKGIQPYVTLYHWDLPQALEDRYNGWLDRQIVNDFAAYAETCFKAFGDRVKHWITLNEPHTVAVQGYDAGLHAPGRCSVLLHLYCKSGNSGTEPYIVAHNFILAHATVSDIYRRKYKATQNGQLGIAFDVIWYEPMTNSTIDIEATKRAQEFQLGWFADPFFFGDYPATMRTRVAERLPKFTADESALVKGALDFMGINHYTTFYARHNNTNIIGRLLNDTLADTGTVSLPFDKNGKPIGDRANSIWLYIVPSGMRKMMNYVKERYNSPPVYITENGMDDGNSPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYNDNLKRYPKNSVQWFKSLLSSS >PAN50908 pep chromosome:PHallii_v3.1:9:68332032:68337621:1 gene:PAHAL_9G556000 transcript:PAN50908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIKSGRHTTALPAPPLLLLLLVGASLQGRCIAQGGGAGLTRGSFPKGFVFGTAAAAYQYEGAVKVDGRGQTIWDTFAHTFGKISDFSNADVAVDQYHRFQEDVQLMADMGMDAYRFSIAWSRILPNGTGQVNQAGIDHYNKVIDALVSKGIQPYVTLYHWDLPQALEDRYNGWLDRQIVNDFAAYAETCFKAFGDRVKHWITLNEPHTVAVQGYDAGLHAPGRCSVLLHLYCKSGNSGTEPYIVAHNFILAHATVSDIYRRKYKATQNGQLGIAFDVIWYEPMTNSTIDIEATKRAQEFQLGWFADPFFFGDYPATMRTRVAERLPKFTADESALVKGALDFMGINHYTTFYARHNNTNIIGRLLNDTLADTGTVSLPFDKNGKPIGDRANSIWLYIVPSGMRKMMNYVKERYNSPPVYITENGMDDGNSPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYNDNLKRYPKNSVQWFKSLLSSS >PAN45578 pep chromosome:PHallii_v3.1:9:7681720:7684526:-1 gene:PAHAL_9G128500 transcript:PAN45578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPPARVPAITKFLKPYILKMNFTNNFVSAQVIHTPSATVTCSASSQEKLLRSSMESTRDVAAAAKIGKLLGERLLFKGIPAVSVSMSRDQKYHGKVKAVIDSLRDAGVKLL >PAN49624 pep chromosome:PHallii_v3.1:9:61793820:61801309:-1 gene:PAHAL_9G460800 transcript:PAN49624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPPQNPSSGDPSDASSLAAAALDVPARAWRSLVSRLPPLLAAVSDLQRRYFGVPRRRRRRRAALPLPLRPAAAHSARIAGEMPKAFVILDDVVQHTLTNLHSIHKSLLFWQAKAEGTNSQKVYFMIFERGPRAFVDATYQTLTRLGSNGRPVQYILHSASDMVSTKLAALASMQHCLATFLAEIHSEVDRCREGLTANSDKSLHTLFIVLNTTFSKLEVSLRNAGEGQDELCTHDGNSYELFGKLPEVDLESQEWTESLLTDGISLIYQNLQKLDSFLSSQLSSHKKPNRLTIYWLPYTCGALGLSVCSLWLLRHSSLMGSPDIDNWIQDAKESVAGFWDEHVEKPIISIRDELFETFKQRDKGVMENQEVQLTEDSLRRMLVAFCEQTEGRKLPEDLPVQAMLETLTKRYEKELIHPIQNLFSGELARAMLIQIQKLKLDLESGLLEMDQILRANAINFAVLAALPAFGLSLLLLMLLRTWIQRDHGAEGRGNIARCQRRLLLVDVERRLMEFQHYRDNGMEEEARYKFGLVLYTLDRLCKAVESHAKETGEWLSLREDIFDLAKLDMGMADKMVVVSRLKWMYNCLLPFSSSRLPRL >PVH32288 pep chromosome:PHallii_v3.1:9:53012270:53012589:-1 gene:PAHAL_9G361900 transcript:PVH32288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFIYLLTRNGSRAGLKKDLRVSRVGPGGSLNAFLFLPIGVISQGLAMVRGRRGKKHT >PAN47823 pep chromosome:PHallii_v3.1:9:47273110:47275462:-1 gene:PAHAL_9G342300 transcript:PAN47823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEMHMMVVQRLLLSLVHALMFRRNKGMHSFCLYPFAANRLPTCDCLLLDV >PAN48966 pep chromosome:PHallii_v3.1:9:58375777:58377400:1 gene:PAHAL_9G416000 transcript:PAN48966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQALCQPLLISAIAVVLVRILRRLLGPKTRLPPGPWKLPVIGSMHHLVNVLPHRALRDLAGAHGPLMMLQLGETPLVVVSSREMARQVLKTHDANFATRPRLLCGEVVLYRWADIVFSPSGEYWRKLRQLCAAEVLSPKRVLTFRHIREQEMASQVERIRAAGPSTPVDLSAMFYNLAITIISRASFGNRQRNADEFLTAMKAGVALASGFKIPDLFPTWRPVLAAVTGMRRTLEDVHRTVDSTLEGVIEERQRVRDEKARSSGKAADAAEEENLVDLLIGLQERGSSGFHLSRDSIKAIIFDMFTAGTGTLASSLDWGMSELMRNQRVMSKLQHEIREAFRGKAAVTEVNIQAANLPYLKLVIKETLRLHPPVPLLLPRESIDACEIEGYQIPARSRVIVNAWAIGRDPRYWDDADEFKPERFQDSAMDFVGSSYEYIPFGAGRRMCPGISYGLPVLEMALVQLLYHFNWSLEGGTDEVDMTEAPGLGVRRKSPLLLCATQFVPETCSS >PAN49365 pep chromosome:PHallii_v3.1:9:60786849:60794300:-1 gene:PAHAL_9G444100 transcript:PAN49365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRRFVLPGQPPDFSQILQEAQKRWLRPTEICEILSNYKLFSIAAEPPNRPMSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGCKQSFSRVKEEFMQLSNVDSPSCSNSITSQNQMGPQTMEAAESPISRQISDYEDTESADNFRASSRYHPLAEMQQPVDGFITENMFYASSSTVGSRQGYHGEMLPTTANVDNHSLSHHDIARMFGDSNTGLSTVSRILFDSVPFNEPFTDYSTGFTEPTLHSSFACLEANNMDNSSRLQTFTSEALYTNHLSKEEADALSFTGIPSSEVNGSRCTEGSIKHPLLKQSSLDLMTIEPTGLKKHDSFSRWMSKELEEVVDLGIKSTSDAFWSNIETVKVPDGSNVLTNEQLDAYVVSPSLSQDQLFSILNVSPSCVYIGTNTKVSVTGTFLVNKEHVENRKWSCMFGNVEVPAEVLTDGTLRCYAPANQLGRVPFYVTCSNRVACSEVREFEYRDPETQYMEPSPSQANGVNEMHLHIRLEKLLTLGPDDHQVHVLSSGNEKYELINAISSLMLDVKWSDQESPSANKVVSTARDQSLKKLVKEKLHHWLICKINDDGKGPNVLCKEGQGVIHLVAALGYDWAIRPIIVAGVNVNFRDAHGWTALHWAASLGRERTIGVLITNGAAAGALTDPTSEFPSGRSPADLASVNGHKGIAGFLAESALTSHLSALTIRESKDSTAEVCGLPAVEDLTGINSTQLAGEDSHAESLEGSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDGDCGLSDERTLSLVSVKPGHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKSYRKVVWSVGIVEKVILRWRRKRPGLRGFRPEKQLEGPSQIQPAKAEDEYDFLHDGRRQAEASLQRALARVHSMSQYPEAREQYHRLTTCVAEMKQSRMMQDEMLNDASGGDGNDFMAGLEDLICMDDAAMPAIW >PAN49366 pep chromosome:PHallii_v3.1:9:60786653:60794536:-1 gene:PAHAL_9G444100 transcript:PAN49366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRRFVLPGQPPDFSQILQEAQKRWLRPTEICEILSNYKLFSIAAEPPNRPMSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGCKQSFSRVKEEFMQLSNVDSPSCSNSITSQNQMGPQTMEAAESPISRQISDYEDTESDNFRASSRYHPLAEMQQPVDGFITENMFYASSSTVGSRQGYHGEMLPTTANVDNHSLSHHDIARMFGDSNTGLSTVSRILFDSVPFNEPFTDYSTGFTEPTLHSSFACLEANNMDNSSRLQTFTSEALYTNHLSKEEADALSFTGIPSSEVNGSRCTEGSIKHPLLKQSSLDLMTIEPTGLKKHDSFSRWMSKELEEVVDLGIKSTSDAFWSNIETVKVPDGSNVLTNEQLDAYVVSPSLSQDQLFSILNVSPSCVYIGTNTKVSVTGTFLVNKEHVENRKWSCMFGNVEVPAEVLTDGTLRCYAPANQLGRVPFYVTCSNRVACSEVREFEYRDPETQYMEPSPSQANGVNEMHLHIRLEKLLTLGPDDHQVHVLSSGNEKYELINAISSLMLDVKWSDQESPSANKVVSTARDQSLKKLVKEKLHHWLICKINDDGKGPNVLCKEGQGVIHLVAALGYDWAIRPIIVAGVNVNFRDAHGWTALHWAASLGRERTIGVLITNGAAAGALTDPTSEFPSGRSPADLASVNGHKGIAGFLAESALTSHLSALTIRESKDSTAEVCGLPAVEDLTGINSTQLAGEDSHAESLEGSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDGDCGLSDERTLSLVSVKPGHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKSYRKVVWSVGIVEKVILRWRRKRPGLRGFRPEKQLEGPSQIQPAKAEDEYDFLHDGRRQAEASLQRALARVHSMSQYPEAREQYHRLTTCVAEMKQSRMMQDEMLNDASGGDGNDFMAGLEDLICMDDAAMPAIW >PVH31479 pep chromosome:PHallii_v3.1:9:9563631:9565087:1 gene:PAHAL_9G155500 transcript:PVH31479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVLILKIKSTIYCVAWLDENGQPNEPLVCMDSAWLLDTIQARHIRKPNAPYCPSNSPWPGLHTHVLGSQPQPGLPDDRLLPTLGRRAEVRRRGAAAGGAGDLRWIGLTCGAAVLRRESRRGCAAPGGRCGRWRLASPRGRVGAWRLAARRGRAAPCLEAGAVASGAWRVEAKAAGLDRRTAEARRAGGRAGKQKQPQAAGRSIFLQSCNAIPIFSTKLEQMDL >PAN50328 pep chromosome:PHallii_v3.1:9:65429670:65430522:1 gene:PAHAL_9G513000 transcript:PAN50328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEVGREGRLPRAPKGTVRSVLEFPIFVIPSACPLDYPLFFGAIGVMDRVSWWRAGNVLMCWPRWRAGNVPPSIRLYIYIVRISISQSHRRQFQQISV >PVH32841 pep chromosome:PHallii_v3.1:9:64685807:64689138:1 gene:PAHAL_9G502100 transcript:PVH32841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPAEHRPAEEEEEAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKETSKVRLVMRQAKTLKICANHLVVSTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFKDSVEEIAEQQGKNDEKESEEASSAAELVEKLTVTEAKKEEAADKEEAPAADDKKDVKE >PVH32760 pep chromosome:PHallii_v3.1:9:63140378:63143745:1 gene:PAHAL_9G480400 transcript:PVH32760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAICDMVTVARYLNLTMVIPELDKQSFWADPSDFGDIFDVNHFIDSLRDEVKIVKELPQKFSEKVPLSMQPISWSSEKYYLRQILPLVRKHKVVRFSKTDSRLANNGLPLKLQKLRCYVNYNALRFTPSIEALGNKMVSILRRTGSFIVLHLRYEMDMLAFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTQIYIASGEIYGGETRLAVLKTEFPNIVRCGRRCFYLMMSYDPFRSTRLKWQHWTILFLSPVMFLSPVMMETWLKS >PAN49866 pep chromosome:PHallii_v3.1:9:63139936:63143745:1 gene:PAHAL_9G480400 transcript:PAN49866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAICDMVTVARYLNLTMVIPELDKQSFWADPSDFGDIFDVNHFIDSLRDEVKIVKELPQKFSEKVPLSMQPISWSSEKYYLRQILPLVRKHKVVRFSKTDSRLANNGLPLKLQKLRCYVNYNALRFTPSIEALGNKMVSILRRTGSFIVLHLRYEMDMLAFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTQIYIASGEIYGGETRLAVLKTEFPNIVRKEMLLSDDELRPFQKHSTQMAALDYLVSVASDVFIPSNDGNMAKVVEGHRRFAGFHKTIQLDRKKLVELIDLFEDQELSWEEFSVAVKELHMGRMSQPTRRRVIPGQPKEEGYFYANPHECLGPARKRMERLKHIEI >PVH32759 pep chromosome:PHallii_v3.1:9:63140091:63142606:1 gene:PAHAL_9G480400 transcript:PVH32759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKASPPGAVRRRLGGAGASAVGWALRVATSIVAWTLLLHLFTFLGIPRPPLPIARPSCLGGRSNSTAAEALVAASEAARRAPPALPPRRLYKSNGYLLVSCNGGLNQMRAAICDMVTVARYLNLTMVIPELDKQSFWADPSDFGDIFDVNHFIDSLRDEVKIVKELPQKFSEKVPLSMQPISWSSEKYYLRQILPLVRKHKVVRFSKTDSRLANNGLPLKLQKLRCYVNYNALRFTPSIEALGNKMVSILRRTGSFIVLHLRYEMDMLAFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTQIYIASGEIYGGETRLAVLKTEFPNIVRCGRRCFYLMMSYDPFRSTRLKWQHWTILFLSPVMFLSPVMMETWLKS >PAN49864 pep chromosome:PHallii_v3.1:9:63139936:63143745:1 gene:PAHAL_9G480400 transcript:PAN49864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKASPPGAVRRRLGGAGASAVGWALRVATSIVAWTLLLHLFTFLGIPRPPLPIARPSCLGGRSNSTAAEALVAASEAARRAPPALPPRRLYKSNGYLLVSCNGGLNQMRAAICDMVTVARYLNLTMVIPELDKQSFWADPSDFGDIFDVNHFIDSLRDEVKIVKELPQKFSEKVPLSMQPISWSSEKYYLRQILPLVRKHKVVRFSKTDSRLANNGLPLKLQKLRCYVNYNALRFTPSIEALGNKMVSILRRTGSFIVLHLRYEMDMLAFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTQIYIASGEIYGGETRLAVLKTEFPNIVRKEMLLSDDELRPFQKHSTQMAALDYLVSVASDVFIPSNDGNMAKVVEGHRRFAGFHKTIQLDRKKLVELIDLFEDQELSWEEFSVAVKELHMGRMSQPTRRRVIPGQPKEEGYFYANPHECLGPARKRMERLKHIEI >PAN47814 pep chromosome:PHallii_v3.1:9:47650121:47651045:1 gene:PAHAL_9G343100 transcript:PAN47814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPGMVELVSGERIPATSWNHYRYGVNITFVNTQKAVWAEFWKYYKLPEEGAYDDHARRVFHHNAYIVVRDMISYARIQVVASYLERTQGIRFEKKRDAGKYYLTEEQYREEMIPWMATREEAYHALCYY >PAN46356 pep chromosome:PHallii_v3.1:9:11361720:11366640:1 gene:PAHAL_9G179900 transcript:PAN46356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGGSKLESPLLGPAAASRGGSGHGEAASGELEGILSDASLPWRRRMAAATLVEMRLLVRLAAPAVVVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVVYAFSRPILVLLGESPEIAAAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYQFGLGLLGASLMLSISWWVIVVAQFVYIVTSRRCRLTWTGFSWQAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMVAVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVLISVVILLCRDYISYIFTEGEDVSQAVSQLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILVWVTFRTNWDKEVEEAQKRLNKWEEKSPQLLH >PVH31564 pep chromosome:PHallii_v3.1:9:11364333:11366797:1 gene:PAHAL_9G179900 transcript:PVH31564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVVYAFSRPILVLLGESPEIAAAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYQFGLGLLGASLMLSISWWVIVVAQFVYIVTSRRCRLTWTGFSWQAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMVAVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVLISVVILLCRDYISYIFTEGEDVSQAVSQLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILVWVTFRTNWDKEVEEAQKRLNKWEEKSPQLLH >PAN46357 pep chromosome:PHallii_v3.1:9:11361720:11366640:1 gene:PAHAL_9G179900 transcript:PAN46357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGGSKLESPLLGPAAASRGGSGHGEAASGELEGILSDASLPWRRRMAAATLVEMRLLVRLAAPAVVVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVVYAFSRPILVLLGESPEIAAAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYQFGLGLLGASLMLSISWWVIVVAQFVYIVTSRRCRLTWTGFSWQAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMVAVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVLISVVILLCRDYISYIFTEGEDVSQAVSQLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILVWVTFRTNWDKEVEEAQKRLNKWEEKSPQLLH >PAN45066 pep chromosome:PHallii_v3.1:9:5171069:5172595:-1 gene:PAHAL_9G090500 transcript:PAN45066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRPPPKGPEWYAGDAEAGQAARPLYPMMLEDPRLRWAFIRKVYSILSIQMLLTVAVAAIVVYVRPVALFFVSTPGGFGLYIFLIILPFIVLCPLYYYYQHHPVNLLLLGLFTVAISFAVGLTCAFTKGEVILESAILTSVVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQLFFPLGRVSLMVYGGLAALVFCGYIIYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS >PAN47606 pep chromosome:PHallii_v3.1:9:20767446:20771865:1 gene:PAHAL_9G263700 transcript:PAN47606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILVPPLPMPHVKAVTATLGASAMPGGSSAADGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHAPIIPPPLPPPSYARPGRPRLSDFHDRSFEGDYGREVDDIGTGISESGVRGKLIKRNKKSSGELDIYGGHRINVEAIGTLGEDSRSEIRSRGDRDIDNQQILQAEEKEVVSSVATVLSDLCGPGDWMPMAKLHTELLEQFGNVWHPSRVRKYLTTDDWSPTETKGRPWFGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >PAN50214 pep chromosome:PHallii_v3.1:9:64987295:64991732:-1 gene:PAHAL_9G505600 transcript:PAN50214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTCLRLPFLPARARSTNPAATSPSPRRPSLKCSAANGDSSIKPNSISNSPAPVPRATVADGVESVDVNGLRRPSAPVSGLTVPGARDPHWLPRPLTSADVMDANGKGLKVAYQGCPGAYSEAAAKKAYPNCETVPCEHFDTAFQAVQNWVADRAVLPLENSLGGSIHRNYDLLLRHSLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTELGIEHREAVDDTAGAAKFVAEQMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKERPLRVSDDCLLKNFDYLFYVDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDVNEP >PAN50215 pep chromosome:PHallii_v3.1:9:64987295:64991678:-1 gene:PAHAL_9G505600 transcript:PAN50215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTCLRLPFLPARARSTNPAATSPSPRRPSLKCSAANGDSSIKPNSISNSPAPVPRATVADGVESVDVNGLRRPSAPVSGLTVPGARDPHWLPRPLTSADVMDANGKGLKVAYQGCPGAYSEAAAKKAYPNCETVPCEHFDTAFQAVQNWVADRAVLPLENSLGGSIHRNYDLLLRHSLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTELGIEHREAVDDTAGAAKFVAEQMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKERPLRVSDDCLLKNFDYLFYVDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDVNEP >PAN44203 pep chromosome:PHallii_v3.1:9:1383562:1383933:-1 gene:PAHAL_9G024500 transcript:PAN44203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSVASAPAGRTAGVAPWPYLEYMARWERQVERRQLFLRSYHFSRDADVPRSPRARARRVVWAGLRRLRRAAATGLRRLRARLRLCFGWATRRRSRRSSNFRYGRLSGAGKARTAAASVCFW >PAN47508 pep chromosome:PHallii_v3.1:9:31915172:31916350:-1 gene:PAHAL_9G297900 transcript:PAN47508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRERHNNFRALMHAMMNLKAYSNQDARFEVPGDAPRLEPSLEPSVDHMDGVLRRPRRCSSPASP >PAN50496 pep chromosome:PHallii_v3.1:9:66224791:66226828:1 gene:PAHAL_9G524600 transcript:PAN50496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAKKRRTGGKLENDVEDAKGAAAVAVASDVTASAIAASYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICMRVPLVMRLQDDPAMDSPKLQLEYNHGRVVTTNEAKVAYAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPEDIYDQIAKIIKDYIAPKESIILNSIRMSQQVDRTGERTLAVVTKVDKAPEGLLEKVTMDDVNIGLGYVCVRNRIGEETNDQARVEEARLFKNHPLLSKIDKSMVRIPVLAQRLMQIQATIIARCLPDIVKQINDRLIRSRTELDQMPPDLNNTGDAVRAFFHIVKEVCTSLEKILVRAESDDYPDDCYFHGTARIAVAGMLNRYAKKLPTECPKRSDELFLMEEIRVLQETMGINLPTFLPRSAFHVMLKKKVEMICQVPQDLVNEVWEYVEDLVMKILFQHSENFPQVQPSCRLAIQSLMEKARARSAQHVKELIEMELTWAAVMEGQKKFMESVENNSKPTKITLEGFGEVDVCHLRMHADLAGQAFDLRARLTAYWKIIVLRLVDGLALHVVRGVKRLVENDLEEELADQLLGNNMAGVQRMLSPLPSTETKRDRIKKSIVLLQQSKEAVANIMDRISAADEV >PAN49902 pep chromosome:PHallii_v3.1:9:63347154:63350825:-1 gene:PAHAL_9G483300 transcript:PAN49902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNLRRRLHHGDVDGRKNEHVDISSADALNEPLLGSSNDNGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANILVGSGSIFGRLFPFSLDNQSSPVYLSPLQEERLNTLRRRLQIPFDGSRIEHQDALRQLWRLAYPTREIPPLKSELWKEMGWQGTDPSTDFRGGGLISLENLIFFAKNYPNSFQMLLNKVQGQRSDWEYPFAVAGINISFMLIQMLDLQSTVPSSKSGIRFLELLGWDESAFDHLYCVAFRLLDAQWLVKRASYMEFNEVMKSTRTQLERELVLEDVLAVKDLPSYTMLDK >PAN49904 pep chromosome:PHallii_v3.1:9:63347154:63350872:-1 gene:PAHAL_9G483300 transcript:PAN49904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNLRRRLHHGDVDGRKNEHVDISSADALNEPLLGSSNDNGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANILVGSGSIFGRLFPFSLDNQSSPVYLSPLQEERLNTLRRRLQIPFDGSRIEHQDALRQLWRLAYPTREIPPLKSELWKEMGWQGTDPSTDFRGGGLISLENLIFFAKNYPNSFQMLLNKVQGQRSDWEYPFAVAGINISFMLIQMLDLQSTVPSSKSGIRFLELLGWDESAFDHLYCVAFRLLDAQWLVKRASYMEFNEVMKSTRTQLERELVLEDVLAVKDLPSYTMLDK >PAN49903 pep chromosome:PHallii_v3.1:9:63347154:63350865:-1 gene:PAHAL_9G483300 transcript:PAN49903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNLRRRLHHGDVDGRKNEHVDISSADALNEPLLGSSNDNGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANILVGSGSIFGRLFPFSLDNQSSPVYLSPLQEERLNTLRRRLQIPFDGSRIEHQDALRQLWRLAYPTREIPPLKSELWKEMGWQGTDPSTDFRGGGLISLENLIFFAKNYPNSFQMLLNKVQGQRSDWEYPFAVAGINISFMLIQMLDLQSTVPSSKSGIRFLELLGWDESAFDHLYCVAFRLLDAQWLVKRASYMEFNS >PAN48148 pep chromosome:PHallii_v3.1:9:53063127:53069564:-1 gene:PAHAL_9G363200 transcript:PAN48148 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MAAPPSPPSPSPSPSGEIAVHRSGGAMDASSSPSRTELLSMVKKHSHLIGWTIVDAEDDASDVGMDHKFWHEMLDLFFLRGGKSKRSEEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWAPTLEKVINSNTAEVDWERSFYLNLIAHTSYSVTVAIFCIGDLRNGVAKSKQLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNIYFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEETESKNPGSNVQPGLSCGSAQEKPPKRTLFSGYVSYQNVREAYDAGRSKFGSFLSLGHDHTKLDRLYMRGPEGRGEVEVAVSGIADQSLEKSKKDQGDSFRVLVHRAASAASKLAKHAYESASANKQMDGELVPLKCCLMSVSLPWDYIAHDLLHKDTPPLDL >PVH31677 pep chromosome:PHallii_v3.1:9:13453252:13453929:-1 gene:PAHAL_9G208700 transcript:PVH31677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAVVRITLLQSVRTAKATTPPTWLLASLEEHRDRRDFLLADGERITCACSWCWYGKSEVYFGEDRAAEERAACPHHQEEFSQRLSTV >PAN46156 pep chromosome:PHallii_v3.1:9:10348082:10354242:-1 gene:PAHAL_9G166000 transcript:PAN46156 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MSAAAGTPAEGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEALTKQKIEHLHAQCATLTDNDISHFQKVAEKKMLELEASRDLSKIWLHTDMDAFYAAVETLEDPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVRPNFERYTHYSELTRKVFQRYDPNFIATSLDEAYLNITNVCIERGITGEEVATELRAAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVLTFVSTLPIRKIGGIGKVTEQMLRQVLGINTCQEMLQKAAFLCALFSECSAGGTETPEQRQRKSISCERTFTATKDSSLLFEKLANLAENLTDDLQKEGLKGKTLTLKLKTADFEVRTRAVTTRGYLNSKEDILIYATKLLKAEMPLSLRLMGLRMSQLHDEKDDSSTSTQKTLDIFFRSSNSNLNVNGANGLSITNISGQDNGPISVTTKDEYLVPDTGTGASTDQQDFFVHDESIFIPEQRNLVNYNNEAVLSNPVIGDGLGGAKIDDVTPSAKVMNAEKLDDVDQLISHEATASSSKPGQQLWIDGYICSICGFELPPGFEEERQEHSDFHLAESLQQEETADSKRPICSGRLAEKPCSTTAPTPKKKLKSSKEGKHIPIDAFFAKCNKSL >PAN46155 pep chromosome:PHallii_v3.1:9:10348457:10354006:-1 gene:PAHAL_9G166000 transcript:PAN46155 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MSAAAGTPAEGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEALTKQKIEHLHAQCATLTDNDISHFQKVAEKKMLELEASRDLSKIWLHTDMDAFYAAVETLEDPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVRPNFERYTHYSELTRKVFQRYDPNFIATSLDEAYLNITNVCIERGITGEEVATELRAAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVLTFVSTLPIRKIGGIGKVTEQMLRQVLGINTCQEMLQKAAFLCALFSECSADFFLSVGLGLGGTETPEQRQRKSISCERTFTATKDSSLLFEKLANLAENLTDDLQKEGLKGKTLTLKLKTADFEVRTRAVTTRGYLNSKEDILIYATKLLKAEMPLSLRLMGLRMSQLHDEKDDSSTSTQKTLDIFFRSSNSNLNVNGANGLSITNISGQDNGPISVTTKDEYLVPDTGTGASTDQQDFFVHDESIFIPEQRNLVNYNNEAVLSNPVIGDGLGGAKIDDVTPSAKVMNAEKLDDVDQLISHEATASSSKPGQQLWIDGYICSICGFELPPGFEEERQEHSDFHLAESLQQEETADSKRPICSGRLAEKPCSTTAPTPKKKLKSSKEGKHIPIDAFFAKCNKSL >PAN46153 pep chromosome:PHallii_v3.1:9:10348082:10353071:-1 gene:PAHAL_9G166000 transcript:PAN46153 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MYVAEKKMLELEASRDLSKIWLHTDMDAFYAAVETLEDPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVRPNFERYTHYSELTRKVFQRYDPNFIATSLDEAYLNITNVCIERGITGEEVATELRAAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVLTFVSTLPIRKIGGIGKVTEQMLRQVLGINTCQEMLQKAAFLCALFSECSADFFLSVGLGLGGTETPEQRQRKSISCERTFTATKDSSLLFEKLANLAENLTDDLQKEGLKGKTLTLKLKTADFEVRTRAVTTRGYLNSKEDILIYATKLLKAEMPLSLRLMGLRMSQLHDEKDDSSTSTQKTLDIFFRSSNSNLNVNGANGLSITNISGQDNGPISVTTKDEYLVPDTGTGASTDQQDFFVHDESIFIPEQRNLVNYNNEAVLSNPVIGDGLGGAKIDDVTPSAKVMNAEKLDDVDQLISHEATASSSKPGQQLWIDGYICSICGFELPPGFEEERQEHSDFHLAESLQQEETADSKRPICSGRLAEKPCSTTAPTPKKKLKSSKEGKHIPIDAFFAKCNKSL >PAN46154 pep chromosome:PHallii_v3.1:9:10348457:10352848:-1 gene:PAHAL_9G166000 transcript:PAN46154 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MLELEASRDLSKIWLHTDMDAFYAAVETLEDPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVRPNFERYTHYSELTRKVFQRYDPNFIATSLDEAYLNITNVCIERGITGEEVATELRAAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVLTFVSTLPIRKIGGIGKVTEQMLRQVLGINTCQEMLQKAAFLCALFSECSADFFLSVGLGLGGTETPEQRQRKSISCERTFTATKDSSLLFEKLANLAENLTDDLQKEGLKGKTLTLKLKTADFEVRTRAVTTRGYLNSKEDILIYATKLLKAEMPLSLRLMGLRMSQLHDEKDDSSTSTQKTLDIFFRSSNSNLNVNGANGLSITNISGQDNGPISVTTKDEYLVPDTGTGASTDQQDFFVHDESIFIPEQRNLVNYNNEAVLSNPVIGDGLGGAKIDDVTPSAKVMNAEKLDDVDQLISHEATASSSKPGQQLWIDGYICSICGFELPPGFEEERQEHSDFHLAESLQQEETADSKRPICSGRLAEKPCSTTAPTPKKKLKSSKEGKHIPIDAFFAKCNKSL >PAN48211 pep chromosome:PHallii_v3.1:9:53633821:53637479:-1 gene:PAHAL_9G368000 transcript:PAN48211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMDKVNALGERLKISGAEVSRKMSVGVSSMSFKMKEFFQGQNMADKIVDEATLETMDMPDWATNLEICDMVNTERVNSVEVIRAVKRRIMLKNPRVQYLSLVLLETIVKNCDKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALMLIEAWGESGDDLRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPAVEPYSEAAQEGYQEIPDESFVPARTVPAVQVNEAFEVARNSVELLSTVLSSSPQKEVLQDDLTTTLVQQCQQCQYTIQRIVEMAGDNEAQLFEALSIHEELQKVLAKYEELKEPVHVEPEPEPAMIPVTVEPEESPRAVSKEDAHVKKPGSSGDRPGGDDLLQDLDDMIFGKKGGTSSQRDTTPRKDQKDDFITF >PAN48210 pep chromosome:PHallii_v3.1:9:53634334:53637516:-1 gene:PAHAL_9G368000 transcript:PAN48210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMDKVNALGERLKISGAEVSRKMSVGVSSMSFKMKEFFQGQNMADKIVDEATLETMDMPDWATNLEICDMVNTERVNSVEVIRAVKRRIMLKNPRVQYLSLVLLETIVKNCDKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALMLIEAWGESGDDLRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPAVEPYSEAAQEGYQEIPDESFVPARTVPAVQVNEAFEVARNSVELLSTVLSSSPQKEVLQDDLTTTLVQQCQQCQYTIQRIVEMAGDNEAQLFEALSIHEELQKVLAKYEELKEPVHVEPEPEPAMIPVTVEPEESPRAVSKEDAHVKKPGSSGDRPGGDDLLQDLDDMIFGKKGGTSSQRDTTPRKDQKDDFITF >PVH32736 pep chromosome:PHallii_v3.1:9:62715738:62716121:1 gene:PAHAL_9G474400 transcript:PVH32736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQEGIELGARQVSEDCGGLLIAASKLRGSNSSLVQSPNCKGESVQHGASTSVLDPCVCNCILDS >PVH32359 pep chromosome:PHallii_v3.1:9:55235316:55236324:-1 gene:PAHAL_9G381700 transcript:PVH32359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVNHHHQVRRAVRRSRSPLITDSANSSSRTTDDEADADEAPTSSVEPPSYTAKRLSCRLVLCLVLAPFLLWRRTDQPVALAGMTVLYLNTICAAGLCGVAIAERRRRSGSEKSAKAVRINDKSDPAFPAMVASLMSLVCAARVLWMCFSFGPEDAVSAIFELSFMAIVSLFSWTLFVDGCLLHGALFSGHTLGMLGVAGLMFFYTMLFALAMLFAMATAGLLGYTLTVDQQYKQLQRASH >PAN51013 pep chromosome:PHallii_v3.1:9:68786134:68789979:-1 gene:PAHAL_9G564000 transcript:PAN51013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAEAVVRYLRDGRLDGEHAPALALEGPLQCCSLAAGAMLHVTAALASQAAAGKAQSRGLVVVAFDRSPEVYLEFMRRRGLDANALNRCVRILDCYTDPLGWKQKFQNRQHQENSTKQFSTNKENITVLRNVKDVNKMMYSITELGGGFEGEGKRYFSIAVDSISSLLRHASVPSISGLLSNLRSHEQVSSIFWLIHSDLHEPKVPRAFECLSTMVACVEPALVDPACVESPGSMSTLEQNYSKAKFIVRLKRRNGRVKHFYEDLYVEGNDVKFDSAPASAEVNQSLVPKVQFNLELSEKERTDRANVVLPFEHQGKGEPIQIYDGRRSLPEGQRDPNLAPALVDELVPKSGTAKGEIHYVRDSDDEQPDSDEDPDDDLDI >PVH33083 pep chromosome:PHallii_v3.1:9:68786134:68789979:-1 gene:PAHAL_9G564000 transcript:PVH33083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MRRRGLDANALNRCVRILDCYTDPLGWKQKFQNRQHQENSTKQFSTNKENITVLRNVKDVNKMMYSITELGGGFEGEGKRYFSIAVDSISSLLRHASVPSISGLLSNLRSHEQVSSIFWLIHSDLHEPKVPRAFECLSTMVACVEPALVDPACVESPGSMSTLEQNYSKAKFIVRLKRRNGRVKHFYEDLYVEGNDVKFDSAPASAEVNQSLVPKVQFNLELSEKERTDRANVVLPFEHQGKGEPIQIYDGRRSLPEGQRDPNLAPALVDELVPKSGTAKGEIHYVRDSDDEQPDSDEDPDDDLDI >PVH33082 pep chromosome:PHallii_v3.1:9:68786892:68789616:-1 gene:PAHAL_9G564000 transcript:PVH33082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAEAVVRYLRDGRLDGEHAPALALEGPLQCCSLAAGAMLHVTAALASQAAAGKAQSRGLVVVAFDRSPEVYLEFMRRRGLDANALNRCVRILDCYTDPLGWKQKFQNRQHQENSTKQFSTNKENITVLRNVKDVNKMMYSITELGGGFEGEGKRYFSIAVDSISSLLRHASVPSISGLLSNLRSHEQVSSIFWLIHSDLHEPKVPRAFECLSTMVACVEPALVDPACVESPGSMSTLEQNYSKAKFIVRLKRRNGRVKHFDPCLCSMRTCMLREMMSNLILLLQVQK >PVH32779 pep chromosome:PHallii_v3.1:9:63374286:63379403:1 gene:PAHAL_9G483900 transcript:PVH32779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFFSFRSFTSSAGNGKAAPANDAMNENKLDEGGTGRASQSPGARSFRSRSRHGASRNEESSHPQLRRSFSFSSSAIDRSLDERMMSRDIPCSMSNDSDAPGHIGEVECYTWSPERHPSRREYTIKVPNSHGFQETDSSHSRCQSCSTGHSPVSSPVALKCRPARLTNLLNKNEVLDLYIDSEQEVTRLNEKQNQKFPIRSTAPYLGQGRPPRPHSTAPSSPTLRKEIVESPSNIDIDDAWHSQLAHGGTNGTCKVASMCHGGGHDARHFKVSSEKLSHFEELRSQTMTTVEDIYEDLQDVRPPSPFFYSSSTDPVSSATSRYFAADISCHEESHGVHDFRLEQEADEKLLQRAKEVDACFMLSPLENRKLNALRDKRLDSTEMLQLIQGLIEDRKMLASELSSQIKARLTERFATKEEYKRSKLELETRTRRLEKEKIDIQSNLETELDRRSSDWSVKLERSQFEEQRLRERVREIAEQNVSFQREITLLQSYKVDATSRIKSLELQNKHLDNELQKVKVDHENLHNSLVESHDKLAQAIAERDKIRELLEDKAEANKALHKIIARLQRACNEQEKTIAGLRQGFGAELEKRAAGNSDMINRMQMELNRLTGVEQNLRKEIQSCTLEMESLRQENIAILNRLQRSEDGVNFSTILLDQELHARVESLQTQALSLLDDASQLCAKLLELIKPKSSKNSGDVDALVVIEHTLKYQSMNGGIENVKQRLRAIKSLLTEKQNKEETRQSAGGCLLGQEKVSGDDIETRLREEAVISRILKEKLLSKELDIEQLQSDLAASVRIQDVLQNEIQRVQDELRCLTHKSKHLEVQVSKKDGTINQIEQDFQESAKELTALRCMLRTVSDERDVSWQESKQLKRTVNGLQNEVASLKQKIKLLDEDIMLKESEILLRDGEISILRDSIDKPFDICSPRSLKQFGLE >PAN49912 pep chromosome:PHallii_v3.1:9:63374286:63379403:1 gene:PAHAL_9G483900 transcript:PAN49912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFFSFRSFTSSAGNGKAAPANDAMNENKLDEGGTGRASQSPGARSFRSRSRHGASRNEESSHPQLRRSFSFSSSAIDRSLDERMMSRDIPCSMSNDSDAPGHIGEVECYTWSPERHPSRREYTIKVPNSHGFQETDSSHSRCQSCSTGHSPVSSPVALKCRPARLTNLLNKNEVLDLYIDSEQEVTRLNEKQNQKFPIRSTAPYLGQGRPPRPHSTAPSSPTLRKEIVESPSNIDIDDAWHSQLAHGGTNGTCKVASMCHGGGHDARHFKVSSEKLSHFEELRSQTMTTVEDIYEDLQDVRPPSPFFYSSSTDPVSSATSRYFAADISCHEESHGVHDFRLEQEADEKLLQRAKEVDACFMLSPLENRKLNALRDKRLDSTEMLQLIQGLIEDRKMLASELSSQIKARLTERFATKEEYKRSKLELETRTRRLEKEKIDIQSNLETELDRRSSDWSVKLERSQFEEQRLRERVREIAEQNVSFQREITLLQSYKVDATSRIKSLELQNKHLDNELQKVKVDHENLHNSLVESHDKLAQAIAERDKIRELLEDKAEANKALHKIIARLQRACNEQEKTIAGLRQGFGAELEKRAAGNSDMINRMQMELNRLTGVEQNLRKEIQSCTLEMESLRQENIAILNRLQRSEDGVNFSTILLDQELHARVESLQTQALSLLDDASQLCAKLLELIKPKSSKNSGDVDALVVIEHTLKYQSMNGGIENVKQRLRAIKSLLTEKQNKEETRQSAGGCLLGQEKDDIETRLREEAVISRILKEKLLSKELDIEQLQSDLAASVRIQDVLQNEIQRVQDELRCLTHKSKHLEVQVSKKDGTINQIEQDFQESAKELTALRCMLRTVSDERDVSWQESKQLKRTVNGLQNEVASLKQKIKLLDEDIMLKESEILLRDGEISILRDSIDKPFDICSPRSLKQFGLE >PAN48862 pep chromosome:PHallii_v3.1:9:57840537:57841256:1 gene:PAHAL_9G410900 transcript:PAN48862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMKIIVLCAFLMLVVVSPYTAATSTAMATEEKPVELAGEGQADSAGYSSANTNDIITHLAPPDPYEKRHGNYIPPKP >PAN48347 pep chromosome:PHallii_v3.1:9:54632069:54635249:-1 gene:PAHAL_9G376800 transcript:PAN48347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATATGYWKATGKDRRIACDGGVYGLRKTLVFYRGRAPGGERTDWVMHEYRLCQDLAHGACNFIGAYALCRVIKRHEAGLLQGEPAAKVKGASNAAGGRGQMSKVSSSSSLVSSEQLSAFTPTNSSPPPPTLDMSRGMCTMAESGNTYQSPLAYGGDATATATTGLPSSPLPPPLFMPSDHSSPHDTFFIGDVFPAESRSHAPPLFGGGDMGMGAVEHELRWDSFAYPNTFSNGAEMWNAAASPMLCRQASDGGGVDDLAAFFFSEDNRIVF >PAN48348 pep chromosome:PHallii_v3.1:9:54631991:54635340:-1 gene:PAHAL_9G376800 transcript:PAN48348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATATGYWKATGKDRRIACDGGVYGLRKTLVFYRGRAPGGERTDWVMHEYRLCQDLAHGACNFIGAYALCRVIKRHEAGLLQGEPAAKVKGASNAAGGRGQMSKVSSSSSLVSSEQLSAFTPTNSSPPPPTLDMSRGMCTMAESGNTYQSPLAYGGDATATATTGLPSSPLPPPLFMPSDHSSPHDTFFIGDVFPAESRSHAPPLFGGGDMGMGAVEHELRWDSFAYPNTFSNGAEMWNAAASPMLCRQASDGGGVDDLAAFFFSEDNRIVF >PVH32355 pep chromosome:PHallii_v3.1:9:55078646:55111762:1 gene:PAHAL_9G380200 transcript:PVH32355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAFLLQKYLGNYVRGLNKEALKISVWRGDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEIKLLERQQQLKSELNSSWLGSFISTVIGNIKLSIGNIHIRYEDVESNPGHPFAAGVVLSKLSAVTVDDLGKETFATGGDLERVKKSVELESLAMYFNSDSSPWTVDKPWEDLLPSEWSQIFEFPEQGSSGSVSKKHTYILQPVSGKAKYTKIQLTEAKKTGQALQNAAVDLDDVTLSLSKDGYRDILKMADNFSSFNQRLRYAHLRPSLPVKSDPRAWWKYSYKVVTQEIKKSSWSLSWEQLLRNARLRKAYVSLYASLLKSDMSRLVVDDHEEIKRMDRELDMEVILQWRMLAHKFVEQSAETYQYAQQNKKQSWWSFGWTGSSKDEEDLKSFTDEDWERLNQIIGYKENNEYIPDQQDMKLMQFDFEIRMNHNASKLTIDDSECLADLSCQDFFCNLKMYPEAKIFGLKLGSYRLLSPYGLLAESANAVDSFVGIFSYKPFDEQLDWSLTAKASPCYITYLKDSIDQIVGFFKSSPTISQNLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNMDIAAPKITVPTKFRPDDVHETKLLLDLGNLVLRTEEIWDSYSSEEQDIYLNFNLVLSDVSAFLVDGDYHWNETSDGINLLPVIDRCGIALKLQQIHLESPLYPSTRMAIRVPSLGFHFSPARYHRLMEILKIFQDSDSENSTSDLEHLWDQADFEGWSSLLTWKGVGNREAAWQRRYLRLVGPFLYVFENSTSTTYKQWLSLRGKQVHQVPTELTNGVHNILALHDSGQVNPKIVEDTGALILLFDNEEGRKIWQSRLQGAIYRASGSAAVSSFPEVALPSETNSFKGNFTDIVDTEKLFVAGILDELKICFSCGYESNHKLKKILLAKESSLFEFRAVGGQVELSIKGGNLLIGTILGSLEIEDQYYYPGSPVPRFLARSFINSMQTQEVPSPSRKNSAGPKGTPLKKTDSEENFFEASDDFDEFETPMVQERTISDYFSTQNFLPTSLPSLQPPTFNRIPGLIPDSELQTVGFTFDGNDTFDSFVKAQIVIYDQHSPQYNNLDNRVVVSIATLTFFCHRPTVIAIMEFMNSINLANGPDTDKDKDTYPATVEGGTIEESMSDLGPEPAIKGLLAKGKSRIVFHLTCNMAEAQILLMNENGDRLATLSQNNLSTDIKVFTSSFSIKAALGNLKISDDSLRSSHPYFWVCDMRNPGGHSFVEIDFSSYNVGDEDYCGYDYSLVGQLSEVRIVYLNRFVQEIISYFMGLVPKSSDGVVKLKDDVTNSEKWVSKTDMEGSPALKLDVSFSRPIICMPRETDSADFLELDVLYITVQNEFQWIGGDKNEMSAVHLDILTVTVKDINLVIGMDMVRGETIIQDVEGLSFELRRSLRDLRHQLPAVEAAIKVDVLKAALSNREYEIISECALSNFSETPRTMPTLDDPRYGTATTPSHASASSSESIQDLSHDAETWISNKFSVSINLVELSLHSGSTRDSRLASVQASGAWLLYKSNTREESFLYATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSADGYDNPNEPDSGEQRIQKDLGLEPIPSMLILDAIFRKASSSLSLCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMISPVVLNDQIYYQEDSTFSLSPQKPLIVDSERFDHFIYDGKGGKLYLLDREGKIISSPSSESFIHVLGCKRLQFRNVTIVNGEYLDSCVSLGDDCCYSASENDCVYLIREDDGLSPGPSKEIAEDTIKNESADVSTEFIMELQAIGPELTFYSTSRNAGENLALSTKVIHARTDAYCRLIMKGGSMEMSGNILGLKMESNGIRVIEPFDMAVKYSNASGKTNLHLLVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLECYQFDKIATVQGNTNDQVFSFWRPRAPSGYAIFGDYLTPMNDPPSKGVLALNTNVIRVKRPLSYKLVWQSSSPRTNIFHQNERDLKNKLSNVGQLCSVWLPVAPVGYVAMGCVVSPGTAEPPLSSVFCLTASLVSSCNLRDCIALRDNSDMIFWRVDNSFGSFLPGDPASMSMHGNAYDLRHMLFNSADSSPKTISRRQDYMNDASQLERSALTSGRLFEAVASFKLIWSNSGTSSPKKLSIWRPMLSEGMCYFGDIAVNGYEPPNSAVVLRDTGEDTFLRAPEGYDLVGRIKKHQGTEGISFWFPKAPSGFVALGCVASKSSPEKEDFSLLRCIRSDMVMGGQFSEESVWDSSNAKTSEPFSLWIVDNDAGTFLVRSGYRKPPKRLALKLAGPPTSSSSDSIIIDAEIKAFSAVSFDDYGGMMVPLFGMSFDSVGLSYHGGSHHLNATVSLSFVARSYNDKYSSWEPFIEPTDGFLRYQCDMNTPGSPGQLRITSTRDLNLNVSVSNTNMLSQAYASWNNISLGDELNKKETFSSTERPVLDVHRRSCYYVVPQNKLGQDIYIRTAENSSSLVTLLPSGDDRSIKVPASKDLLDSHLNGKSAKSYRLMITAILADAEIKVGEGLATGEYMTAVRLFSENHSISDAQQQSARTCAAAGEHSSQNIRKVNWNEMFFFKVKSEENYILELLVLDAGRGEPVGIYSAPLKQVVQKLPPTSKSDSAKFDLTSGDLMSTKTVEPETVKLSGKIRFSVIVSGRASVQQGNTASPGRSKTGYIQISPSKDGPWTNMKLNYAVPAACWRFGNCVIASEATVNEGNRYVSIRSLVSVMNTTNFVVDLRLKGIFVRSALSDEQGKDSSVKEDQILMGTLEPNSVVPVPLSGLSHPDVPYMLQLRPAIHHEHMNYSWSDVQERRSQTEFRKEEILDICVSDLYESENLLFCSQIDGTSSSCHGLWFCLSIEAKEIGKDVHMDPVYDWSIVIKSPLCLAYYLPISAHYTVSSSHLGDEDSSCSRGTLNPGEAVKVHNVDPRNPLYLSLIPHGGWEQMDEPVLISHPTQAPSKFINLSSSLSRRTVQILLEQSSDNDYLMARVIRIYVPYWISFARLPPLTLRFIDTSGKKEKKRILARSHLETSEKHLYDIKHDELVEGYTIASGLNFKGLGLLSCVGGHGGRFGSVKELSPLGDMDGAIDLSAYDDDGKCMHILLCSKPSSYQAVPTKVIHVRPYITFTNRIGQDLYIKLNVQDEPKVLQAYDWRVSFMYSQSDTDKLQVRLVDTDWCQPLEIVKEDTIIIAMRKQDGNKKFVKAEIRGYEEGSRFLVVFRMGPAYGPIRIENRTGTTTISTRQSGLGEDTWIEVKPLSTRKYSWDDPYGQKAIDVSIQKGDVACVLCVDLENPVGSYASFREHGLKFSMVETSDIKILKFTDYPRKDEVYGLPGSELMDHQASALKENEIEPGARPLELIVELGVVGISLIDHKPRELLYLHLQKVFISYMTGYDSGTTSRFKLILGQLQLDNQLPLSTMPVVLATESKPDSNHPVFKANIAVSNVTSNGIQVYPHVYIRVIDETWRLNIHEPIIWALVDFYNNLRFVSASSSTTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGVWSPVLSAVGNAFKIQVHLRKVMHRSRFMRKSSIIPAIMNRIKRDLIHNPLHLIFSVDFFGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGLIGIAPGLGRAFVGFIVQPLSGALDFFSLTVDGISASFMRCVNIISNKSVPQRIRDPRAIHRDGIIREYDKVEAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFILPNQRIALVTNKRVILLQCLDLDKMDKKPSKILWDVPWEEVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCSVDEDREPQALSLCSSVRKMWRSHQAAMKVIPLKVPSGQRHVYFASDDDKRESHSLSRSLISSRGTSSDVEQRLMNHTVNFQKMWSSEPELQSRCKLVAKQVADDGRVFSIWRPLCPNGYVSIGDIAHAGTYPPPLTAVYKNANGNFALPLGYDLVWRNCAEDYKSPVSIWLPRPPGGYLALGCVAVPAFEEPPLDCALCVDERLTEDAEYEEQIIWASSDAYPWGCYIYQVRSDSLQFMALRVPKEQSELRPKKILESHVQRASGTPRQEKQAYRA >PVH31723 pep chromosome:PHallii_v3.1:9:14375258:14377085:1 gene:PAHAL_9G220500 transcript:PVH31723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPCVPGASAALVRKADDPAFEWKICGFSALLERGAIPASSALFRCCGYEGFLQVIPMHDRPGAESPYIALDLVLSPTKLMQCSRCQCTTIQMEPIADTKKHVVVQKKPIIVQNIFLQKKGTIKGSCTWSINNFLNSKLPPFSFV >PVH32878 pep chromosome:PHallii_v3.1:9:65362090:65365319:1 gene:PAHAL_9G512200 transcript:PVH32878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAARRLRELQAQTGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEVQLRKMEAGGNDRLNAFLAARGVPKETPHVAKYNSNAAAAYRDRIVALAEGRPWTDPPVVKETPGSGAPAPARKPPVHTSAASGGGGGGGAGGWDDWDDDFRPDMRRNQSVGSFAAAGTQSGRQPPRSKSTQDMYTRQQLEASAANKEDFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGGAAQGDVMQVVSQGFGRLSLVAASAAQSAASVVQVGTKEIQSKMREGGYDQKVNETVSVVANKTAEIGSRTWGIMRGVMALATQKVEEYAKDGGVGGWGDDWQRSEQSNEPYQRFEHETNGNGWNSSQNSSSKNYNSSSWDDWDDQGKKEEPAKPHQSSDSWAGWDDGKDDSPSFSSHSTSNKGSNQNGTSGGSYWTEGGFR >PAN50965 pep chromosome:PHallii_v3.1:9:68590812:68591729:1 gene:PAHAL_9G561000 transcript:PAN50965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWWDRVVLPVRRVWLGVASRFGVRQTGLWRLRQEVSTCEYEDVHVMWEMLSRTTAPAPAPRRHSRFRQQPRPWGDRFRLCRGF >PAN44085 pep chromosome:PHallii_v3.1:9:915385:917172:-1 gene:PAHAL_9G015700 transcript:PAN44085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGETSAPAQPPPPPPPPDAEGEDESTCRDVFVEFMTKVARFEELAESGKRLLMRFHQELDYFQRPQIPTESDVMNQILKSNRTGRMRSYLEAGCRLHCQNISNINQLRSCEDGLKDHINKVKALLEELECLVEDIYGITLTASLSALKVSDSHSIDSKLTTESCIMEGVSTLQEEDKSVDELDSDVSYVTVMVIIRNMLKLDYMMQERIISALSIKTPSLELQSYCLMWDLRPYIDDNVMHLAWKMCP >PVH30938 pep chromosome:PHallii_v3.1:9:1001992:1002704:1 gene:PAHAL_9G017000 transcript:PVH30938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDESFKRPGSIPFKWEVQPGIPKQEAPPAGDSGSTTAAPAPGLPPATPRLALPPAARVSALASSPASCRRSSTVSSAPLSPPETPPPPPSSQHRRSMSARFATSLVLPFTRRPRRERAAKDDVDYCLLYTERMISRKTA >PAN50178 pep chromosome:PHallii_v3.1:9:64881217:64885691:-1 gene:PAHAL_9G504000 transcript:PAN50178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEFPLPPVVFTPSTPTHRRHPAPGVGASSPPAFAPPRPSTSSAANPLPFMSFDVSAAAASSSAPPLYTGPIGIGGSGASFEDEPPLLEELGINTRQIWRKTISILHPLRTADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMAFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIF >PAN44165 pep chromosome:PHallii_v3.1:9:1243317:1244253:1 gene:PAHAL_9G021700 transcript:PAN44165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAKPSDPAPEPVTGFPVGGVPGAPAASQWSSGLFDCFDDCGLCCLTCWCPCITFGRVAEVVDRGATSCGASGALYALLAYLTGCQWIYSCTYRAKLRAQFGLPENPCCDCCVHFCCEPCALCQQYKELKARGFDPDLGWELNAQRANAGGAAMYPPAAQGMGR >PVH30878 pep chromosome:PHallii_v3.1:9:317263:322929:-1 gene:PAHAL_9G003400 transcript:PVH30878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRQAGRQQQQPTPICHRPPAPIHHRQQQQATTPVEMAASLVSSLTLPLISAVLGAAIALVFLAGYLRRKRAAIAHIPPSATTAAPDQPKHVRPSNQAQHRKGHPRPHHNAADKDAAKKHHHLDVNTLRGHTDSVTALHFSNDGANLSTVCADGAVRIFRIDDTSSKSFKILRINLPAGAHPTGVAFSEGSSSVVVAAQALLGSSLYMYADVSAPPTAQNKQQGKLSPPEIKWSHQKIHGKESVLNLAAAHATHGPGDGSTIIISCSEATDIKVWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTCLCFAPNSEQIITASKDGSIRVWNINVRYHLDEDPKTLRVLPIPLHDSKGSICQYDHMSISPDGKVLAVTSESTLQWLCVETGAVLDTAEKAHEGHITGIAWAPRKIPNGGVPAFILGTAGVDKKVKLWLAPQVGST >PAN44393 pep chromosome:PHallii_v3.1:9:2171569:2178576:1 gene:PAHAL_9G039200 transcript:PAN44393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATSPAAAANLAGKSGVRVVVIGDPGTGKSSLVVALATEQFPENVPRVMPPTRLPADYFPDRVPITIIDTSSSPEQKPKLIAECQAADAVVLTYACDRPATLERLSSFWLPELRRLQLKTPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFIICDNDKDGALSDVELNEFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDDLIAMPIKRAPDQTLELTSEVVDFLRGIFNMFDIDNDGALLPTELEDLFSTAPENPWSSDPYKDCAEKNVLGGLSLEGFLSKWALMTLRDPTNSYANLVYVGYPGEFLSAFTVTRKRRVDRKKQQTQRNVFQCYVFGARGSGKTSLLQSFIGRQPSDALPSNSERFATNSVELPDGTRKTLILREIPEGDVRSLLSDRESLAPCDVAVFVYDSCDEYSWQRARDLLVQVATHGENTGYEVPCLIVAAKDDLDQCAQALQESTRVSQDMGIETPIPISVKLRDLNNIFCRIVHAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRVYAARKNSSS >PAN48583 pep chromosome:PHallii_v3.1:9:56363505:56364398:1 gene:PAHAL_9G392000 transcript:PAN48583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGLVVALNLILFAAGVHGCAPYCGSTYVPEPPAPSSYVPEPPTPTTPATDAHGHRPAGRCPVDALKLEVCASVLGGLVKISLPEDRERCCRLLDGLADIDAAACLCTLLKANILDISLRVPIDISLHLNQCDRRNSPRGLTCPRF >PAN46345 pep chromosome:PHallii_v3.1:9:11261178:11265789:1 gene:PAHAL_9G178700 transcript:PAN46345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNKSRGAGIGGGGGDELVLRGSVSKKWTFLLCLGSFCIGLLFTNRMWTMPEPKEIIRRSTLEVEKMNLVAGDCAPKSIGDAKDVPGEVPRTQDVIQTLDKTISNLEMELASAKATQKSMLSVAPASESTGKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGIIIRFIIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKAYFVAAVSMWDAEYYIKVDDDVHVNIATLGNTLARHRSKPRVYVGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYIALNQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSADRIKEVHQRCGESENAIWNAKF >PAN51788 pep chromosome:PHallii_v3.1:9:72301827:72303576:1 gene:PAHAL_9G620900 transcript:PAN51788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSAQLLLLPLPDAHHHRALLPPPLPSPSCSRPLSSPLRSRRSSTSAARTGLRVLSPDTSIAVTTDAQPGSADNTTGWAEFASRVSGEWDGLGADFTAAGDPVELPESVVPEAYREWGVEVFDWQTQCPTLADPAAPCALHYRLVRLLPTVGCEADAATVHTSHQRHAASAAAFAYAAGGSYVAAWPKGPAPVLEVEHCIVRPGSAEVRVRVVQTVALGKEARLRGLKVFSEQWYGPFRNGEQLGGCAVRETAFAAGEKLDVAEVLGQWETTEVAAARFSDELDPETGKFAELSPDEPSKLRRDADGVVALPKQLWSAFREHGDGEFLCEVGWALGGGSAVTSRCVLSKDGDVKEITAAYESRVSGGT >PAN50386 pep chromosome:PHallii_v3.1:9:65685599:65686972:-1 gene:PAHAL_9G516800 transcript:PAN50386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTLIDWSLQCSCVCPEASNPATLGNGGHDGVQMAKQEGGRSAENVETPATGRRRHGGSGLFGLSSDESGTLLVVATLITTLSYQIGSNVPGGYWQDDAPGHHLAGEPIMRTQRLWLYRVFVWSNWFGFAASMGLTLSLLTGVPPRCRFVRGLFVLSYSSLVLSFTTQQGRSNSHIWISVLVWTGAVALIASVINYRTHRHLRRLIDWLVAEPVAQTS >PAN48203 pep chromosome:PHallii_v3.1:9:53605131:53607142:1 gene:PAHAL_9G367500 transcript:PAN48203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTGVTSATTGTAAGGGAGWPCCGGLNLSVRLNVLLLLSVVATNLVSLYHLSLRAATVPPVLLQQRQQDGDQELVLIRQLDAIRAGVSQLNHLRSSSPPPPPPPPELLLYSRLAPVASACSAHPDLLHRYMSYTPFVPCPDDALSLAEPLLLRGCHPLPRRRCFSPTAAASASKLLPADPFSPLPDAAVRWPKDGKCKSFSCLPPSLGFDVTRTEAARFLRARGPLDLTAPQLLRLASLSRAGPIRLGLDIGGGTGTLAARLKKLANATVVTTTMNLGAPYSEAAAARGVVPLHAPLQQRFPLGDGTMDVVRAGHAVNRWIPEAALEFLWYDADRVLRPGGLLWVDHFWCRRSDLEGVYAAMLRRLGYKTIKWAVADKSVAGGGNSGKDEVYLTALLQKPFS >PAN45138 pep chromosome:PHallii_v3.1:9:5532449:5537248:-1 gene:PAHAL_9G096100 transcript:PAN45138 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSSQRERWIFQPHDLTERWAAANQRAAETLAQYGTTRLKVGSLDGSVHSPDHVEGSSDVKPLSYEEEQLTRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYTSCKVEENHVSAEELGKGIQQDHQIILNNEIILLKTLDFDLIVYAPYRSIEGFVDDLEGFCREDNSALQRLKELHQTAISYADKMMLTDAPLLYTPGQLALAALHKSNDTLKVFNFERYLETIFSRQHSDCPVEQFVQSINAIHYLVDQLKIPTVKDMRHVDRKLKHCWDRSSHDEHKKKEKKSKHKSKRTSTDAQLNG >PAN45136 pep chromosome:PHallii_v3.1:9:5532449:5537207:-1 gene:PAHAL_9G096100 transcript:PAN45136 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSSQRERWIFQPHDLTERWAAANQRAAETLAQYGTTRLKVGSLDGSVHSPDHVEGSSDVKPLSYEEEQLTRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYTSCKVEENHVSAEELGKGIQQDHQIILNNEIILLKTLDFDLIVYAPYRSIEGFVDDLEGFCREDNSALQRLKELHQTAISYADKMMLTDAPLLYTPGQLALAALHKSNDTLKVFNFERYLETIFSRQHSDCPVEQFVQSINAIHYLVDQLKIPTVKDMRHVDRKLKHCWDRSSHDEHKKKEKKSKHKSKRTSTDAQLNG >PAN45139 pep chromosome:PHallii_v3.1:9:5532448:5537312:-1 gene:PAHAL_9G096100 transcript:PAN45139 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSSQRERWIFQPHDLTERWAAANQRAAETLAQYGTTRLKVGSLDGSVHSPDHVEGSSDVKPLSYEEEQLTRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYTSCKVEENHVSAEELGKGIQQDHQIILNNEIILLKTLDFDLIVYAPYRSIEGFVDDLEGFCREDNSALQRLKELHQTAISYADKMMLTDAPLLYTPGQLALAALHKSNDTLKVFNFERYLETIFSRQHSDCPVEQFVQSINAIHYLVDQLKIPTVKDMRHVDRKLKHCWDRSSHDEHKKKEKKSKHKSKRTSTDAQLNG >PAN45137 pep chromosome:PHallii_v3.1:9:5532449:5537312:-1 gene:PAHAL_9G096100 transcript:PAN45137 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSSQRERWIFQPHDLTERWAAANQRAAETLAQYGTTRLKVGSLDGSVHSPDHVEGSSDVKPLSYEEEQLTRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYTSCKVEENHVSAEELGKGIQQDHQIILNNEIILLKTLDFDLIVYAPYRSIEGFVDDLEGFCREDNSALQRLKELHQTAISYADKMMLTDAPLLYTPGQLALAALHKSNDTLKVFNFERYLETIFSRQHSDCPVEQFVQSINAIHYLVDQLKIPTVKDMRHVDRKLKHCWDRSSHDEHKKKEKKSKHKSKRTSTDAQLNG >PAN44002 pep chromosome:PHallii_v3.1:9:641469:643788:-1 gene:PAHAL_9G010700 transcript:PAN44002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAAPVLDCSLPQQWQLTTLLVLVVPMLFLLLATRSGRKARRLHLPPGPPRLPILGNLHQLGALPHQSLRVLARRHGPAMLLRLGSVPTLVVSSAEAAREVMKARDVDCCSRPDTPGARRLSYGHKDVAFAPYSEYWREMRKLFVVEFLSARRVEASRYAREAEVEKLIGRLSRAAGTPVFLEDHIFALMDGVIGTVAFGNIYGTEQFAHRKHFHDVLDEAMSAKAGFSAEDYYPNAIGRLVDRLTGAAARRERVFRDLDAFFDVIIDQHLDPSRAAPEKGPDLIDAFVALMRERRHRQQGVGFTRDNIKGLLSNVFTASVDTSSVTMVWAMAELIRRPAMLRKVQEEIRAAVGDDKERVQADDMPKLRYLKMVVKETLRLHPAVPLLLPRETLRHVGICGYDVPARTRILVNAWAIGRDPASWEDPEEFDPGRFERNKQDDVGFNGTHFELVPFGAGRRMCPGMALGVATTEFTLANLLYCFDWALPEGMAPEDVSMEEAGGLTVRKKTPLVLVPTRQDTHKSASSAS >PAN52010 pep chromosome:PHallii_v3.1:9:73386177:73388287:-1 gene:PAHAL_9G636200 transcript:PAN52010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKLLLLAAVAAVSLIAASPGADARQCGHAQTLLISFSSVSRPNPDPTNPAPLTTTVVTVLRVRRLGPHQIRRTEALPAAAAASESEVASSVQERAKDILVVVSGLLFGFGCGALTAATMYLVWSLIASTCASGYDDVYSDDEDQLSDSESPKKAGYVIIHDAEEYGAGKN >PAN46403 pep chromosome:PHallii_v3.1:9:11595579:11596135:1 gene:PAHAL_9G183300 transcript:PAN46403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVFALFALLALCAVSASATSYLRYTPPMMQPYPLAMLQQQRCMALMMQGIMSPECQQLGAMFPITQQMQMQQYCIPPVMQGVMAPRCQCGSMCQMPMQLPYMYSTAAMRNPLAYPQQCVAGCSC >PAN44190 pep chromosome:PHallii_v3.1:9:1333319:1336010:1 gene:PAHAL_9G023400 transcript:PAN44190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCPLALTFFFCCILLETISCSGAFVELSYDSTKVKILSSSVITEFRVVVTEKQHAYLFLKPFECRRKPCRPEHLAGSFVNEVLDPDRHLNVSNIIVMANERQLGALRRTLQSIHAYLGAVGLGKSVKVSPELLQSSLRIMAKNRAQKKQWGKVREFVRRSGSFIQLEIEAEANSELALDAEIQEVVALLSADAGVVLHLKSRAAPSAEAMANLVGEINREKRLLGVLVDVSSPRRELGEARATAHDEFSPVTNPATMPVTNPVTVPATNPVSNPMSPGFVTVPSTNPGNGFSTNPNLPPLYPEPTTPVTMPVPTTMPPATVPTPFASPVTAPTMPGPVTNPTAPVSNPATTPTQFPGTSPVTNPVTTYPYPPQQGGGAGAGGMPTTPVYQPPATMPGTVQPGAPTVAGQTWCVAKTGLTDLELQNGIDYACGIGQADCSAIQPMGACYNPNTLQAHASYAFNSYFQRNPSPTSCDFGGAGMLVNVNPSSGTCMYQTSAGFGAGYSPGATGTAPTGYTPGMSGAVPGGYSPGWTGSVGGGSGSTVLNANNPGGNSMYGSDNPTGLTAGSASLSCGWVLCLIWMVTFALVKEKV >PVH31342 pep chromosome:PHallii_v3.1:9:7065286:7068481:1 gene:PAHAL_9G119900 transcript:PVH31342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQPTGTTEQPAPSHSSPHIVAYLLDSTSSPPPLPPPRAAMPPAGSLTAEQLSFFDANGYLVLEPFSGEEDVQALRDRMAELIAGLDDGGADGTQHHRMEMDDYYFNSAENISFFYEDKAFGDDGCLKQPKELSIRFVGHALHEHDPVFKKFSFSENISSIFPSLRYKRPAIVQSRYIFKIIHTYIHTEPPSCTGLWFALEDATVNNGCLWAIPRSHKNSLKIRMIKDENGTYFDRPTPSYDHKEFVPLEVKSGSLVVIHGNLVHKSAENLSPTSRHAFVLHLVDTEGCEWSKGNWIKRKTAPEAIYVSS >PAN50661 pep chromosome:PHallii_v3.1:9:66967849:66971183:1 gene:PAHAL_9G535800 transcript:PAN50661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g49142 [Source:Projected from Arabidopsis thaliana (AT3G49142) UniProtKB/Swiss-Prot;Acc:P0C899] MHASRSHLPATGNADALLRLVAACRAPAHLASLRAAHARLLLLLHPSHPSAAPARVKLIQAYAACSALPAAHAVLYSLCSPDRGAATTICFNVLVRALTAASLHRDALRLFASMRPRGPACTPDHYTYPLALKSCAASKDILLGLQIHSAVAKLGLDANRYVAHSAISMYARCSRPEDAYRVFDGMQNRDVVSWNAMISGFARAGLFERAVEVFKEFVALPCSIPDAGTMASILPAMENAKAEDIILVRKAFNEMQLKELISWNAMLAIYANNEFHVKAVELFLRMEKDGVEPDSMTLATVLPPCGELSAFSVGKRIHEIIKRKGMLPNLLLENALTDMYASCGCLEDAREVFDSMSARDVISWTSIISAYGKHGHGREAVDLFEKMLGQGLEPDSIAFVAVLAACSHAGLLDVGKRYFDSMTCRYHITPKAEHYTCMVDLLGRAGCISEAYDFIMTMSIEPNERVWGALLQACRMHSNMDIGLVAADSLFRLVPQQTGYYVLLSNMYARAGRWADVTSVRSVMAKKGIKKLPGASIVELGDRVHTFHIGDRCHPQSEIIYQKLDELLGRIRGMGYNPEVEATLHDVEEEDKEGHLSVHSEKLAIAFLLINTSPGTPIRVTMNLRTCGDCHLAAKLISTITSREIILKDTNRIHHIVQGACSCGDYW >PVH32963 pep chromosome:PHallii_v3.1:9:66967848:66971297:1 gene:PAHAL_9G535800 transcript:PVH32963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g49142 [Source:Projected from Arabidopsis thaliana (AT3G49142) UniProtKB/Swiss-Prot;Acc:P0C899] MHASRSHLPATGNADALLRLVAACRAPAHLASLRAAHARLLLLLHPSHPSAAPARVKLIQAYAACSALPAAHAVLYSLCSPDRGAATTICFNVLVRALTAASLHRDALRLFASMRPRGPACTPDHYTYPLALKSCAASKDILLGLQIHSAVAKLGLDANRYVAHSAISMYARCSRPEDAYRVFDGMQNRDVVSWNAMISGFARAGLFERAVEVFKEFVALPCSIPDAGTMASILPAMENAKAEDIILVRKAFNEMQLKELISWNAMLAIYANNEFHVKAVELFLRMEKDGVEPDSMTLATVLPPCGELSAFSVGKRIHEIIKRKGMLPNLLLENALTDMYASCGCLEDAREVFDSMSARDVISWTSIISAYGKHGHGREAVDLFEKMLGQGLEPDSIAFVAVLAACSHAGLLDVGKRYFDSMTCRYHITPKAEHYTCMVDLLGRAGCISEAYDFIMTMSIEPNERVWGALLQACRMHSNMDIGLVAADSLFRLVPQQTGYYVLLSNMYARAGRWADVTSVRSVMAKKGIKKLPGASIVELGDRVHTFHIGDRCHPQSEIIYQKLDELLGRIRGMGYNPEVEATLHDVEEEDKEGHLSVHSEKLAIAFLLINTSPGTPIRVTMNLRTCGDCHLAAKLISTITSREIILKDTNRIHHIVQGACSCGDYW >PAN47178 pep chromosome:PHallii_v3.1:9:16171438:16172114:-1 gene:PAHAL_9G239800 transcript:PAN47178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMMRATFLLAALAVAYAGAGALAGEQCGRQTGGMLCPSNIQDGRCGIGKGYCGEGCQSGACSPNRKCGHLAGGATCDANQCCSQYGYCGFGVEFCGEGCQSGGVPREPDLRQPGRRVNGYCGLGLEYCGDGCQSGACSADWQCGGRADDGAACANNYCCSKYGYCGLGDDFCGAGCQSGACSSGAAVQGLELVVNQTAASSGGEAIAH >PAN48994 pep chromosome:PHallii_v3.1:9:58504656:58510862:1 gene:PAHAL_9G417700 transcript:PAN48994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVDVPSCIQLLRSCSAAAGRQLHQLLLKSGHVPSSLPPTNSVLLMYARSSPLHSRDAHLLFGEMPTRNCFSYNSLITALFKSGDLHGALRVFRSMPDRNTFSWNVVITGFTGAGDLDTAHNLLDEMPVKDAVACNAVLHKYVRCGRVDEAFALLKRIGPRCNAEMISSPWNDPFVLTTVVGACADRVKYDFGRQAHARMVVAKIEIDSVLGCTLIDMYCKCGDLDSARRVHDGLEHVDEFSLSALVHGYTSCGQLHEALCLVDKVENPRIALWNSLISGCVPAYHGDGAFVLFVRMLRSGMLPNSSTYAIVLNMCGFFGMLKPGQQTHGCALKGGAVNDLIAASALIDFYSKCSLWADACQAFSELRHYDTIVLNSMITVYSNCGRTDEARRVFDMIPSKSVISWNSMIVGFSQNGHALDAMELFCEMHRLGLRLDKVAIASVLSASSSICSISFGEQIFGLAIALGLQSDQIVASSLIDLYCKCGNLVNGCRIFDGIDNPDEVLWNSMLIGYASNGYGQEALELLKLMQSRGVKPSERTFVGVLSACCHSGLVEEGLRWFYQMKEDFGVNPSAEHYACVTDLLVRVGRLDEAVEFIENMPFKADALSWTSIIGGCKAQGNEALLHKLAKKLMETEVSPHSSLYVQLSSTLAAQGNWAKSAEIRSMMRDRRISKNAGCSWIDRA >PVH32696 pep chromosome:PHallii_v3.1:9:61900970:61904825:1 gene:PAHAL_9G462800 transcript:PVH32696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASQSDAPRREPGQRRPMCGVCTKPLRLCLCGRLRSPPVDTAVGVTVLQHAMEAHHPLNSTRVARLGLRNLAVAQVTDVNHRAHFILTTLEAGGATAFGPGGGPVTARSGNLAFPRDGTCGKSDGEVGASCDFEEPWLNPSGDLGVEDVDFGGTSDSLNLGDVHDGIFCDFVGEVDSPDCADNGVGSFGFAKMKSDGQPADLERPSSGANQIVVDSVNGETNRQSGVGVTYKVNGNLCHPVIANGSEVHRMFNDCNGIGVEKIEVGADMGQDWTRKSMDKCTIAFTDKELRIDIERGVKPKIRWLSRGPLGEAAVSNGFVVTKLQKKKCKLTGYVTEFEEFSITIPPKSALLFPCQRAISIDASGCQVQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDRVSLYSEVRHEPRVGCLSTIESIVVAMRKLGEDSKGLDDLLDVFESMIADQRRCKDENVKQKLKF >PVH32695 pep chromosome:PHallii_v3.1:9:61900970:61904825:1 gene:PAHAL_9G462800 transcript:PVH32695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASQSDAPRREPGQRRPMCGVCTKPLRLCLCGRLRSPPVDTAVGVTVLQHAMEAHHPLNSTRVARLGLRNLAVAQVTDVNHRAHFILTTLEAGGATAFGPGGGPVTARSGNLAFPRDGTCGKSDGEVGASCDFEEPWLNPSGDLGVEDVDFGGTSDSLNLGDVHDGIFCDFVGEVDSPDCADNGVGSFGFAKMKSDGQPADLERPSSGANQIVVDSVNGETNRQSGVGVTYKVNGNLCHPVIANGSEVHRMFNDCNGIGVEKIEVGADMGQDWTRKSMDKCTIAFTDKELRIDIERGVKPKIRWLSRGPLGEAAVSNGFVVTKLQKKKCKLTGYVTEFEEFSITIPPKSALLFPCQRAISIDASGCQVQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDRVSLYSEVRHEPRVGCLSTIESIVVAMRKLGEDSKGLDDLLDVFESMIADQRRCKDENVKQKLKF >PVH32694 pep chromosome:PHallii_v3.1:9:61900952:61904825:1 gene:PAHAL_9G462800 transcript:PVH32694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASQSDAPRREPGQRRPMCGVCTKPLRLCLCGRLRSPPVDTAVGVTVLQHAMEAHHPLNSTRVARLGLRNLAVAQVTDVNHRAHFILTTLEAGGATAFGPGGGPVTARSGNLAFPRDGTCGKSDGEVGASCDFEEPWLNPSGDLGVEDVDFGGTSDSLNLGDVHDGIFCDFVGEVDSPDCADNGVGSFGFAKMKSDGQPADLERPSSGANQIVVDSVNGETNRQSGVGVTYKV >PVH32693 pep chromosome:PHallii_v3.1:9:61900970:61904825:1 gene:PAHAL_9G462800 transcript:PVH32693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASQSDAPRREPGQRRPMCGVCTKPLRLCLCGRLRSPPVDTAVGVTVLQHAMEAHHPLNSTRVARLGLRNLAVAQVTDVNHRAHFILTTLEAGGATAFGPGGGPVTARSGNLAFPRDGTCGKSDGEVGASCDFEEPWLNPSGDLGVEDVDFGGTSDSLNLGDVHDGIFCDFVGEVDSPDCADNGVGSFGFAKMKSDGQPADLERPSSGANQIVVDSVNGETNRQSGVGVTYKV >PAN51650 pep chromosome:PHallii_v3.1:9:71612307:71615475:-1 gene:PAHAL_9G610100 transcript:PAN51650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLAHQTGAAAAVTAAPAAPRSAVVAAASTVAPSSTAPSSAPALQAQTVTVDPAPAQGQDSVKPDLAMVCQALVDGKPEAEHADVAAEVKSKEGVPVFVMMPLDTVRKDGNSLNRRRAVEASLAALKSAGVEGIMVDVWWGIAEAEGPGQYNFNGYMELMEMARKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRSGRRNYEYVSLGCDAMPVLKGRTPIQCYADFMRAFRDHFATYMGNTIVEIQVGMGPAGELRYPSYPESNGTWCFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPSDAGSYKDWPEDTPFFRREGGWNTEYGQFFMSWYSQMLLEHGERILSAATGVFTASPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRQHDGYQPIARMLGRHGAVLNFTCVEMRDHEQPQDAQCRPEGLVQQVAAAAREAGIGLAGENALPRYDETAHDQVVATAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSGAGKRDMCREQVEREASGVAHATQPLVQEAAVALTN >PVH31400 pep chromosome:PHallii_v3.1:9:8141238:8141588:1 gene:PAHAL_9G134500 transcript:PVH31400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLPVMEAARAEDLTRGWWRQIPPPLDAVVAQGMMKLTQATDAARQPQCSHTHATGEAPTRPSPGPHLDSTTVLDAIQALRTTVRVRWTQFEHCRPQFEHCKPQFERAELDPERG >PAN49609 pep chromosome:PHallii_v3.1:9:61723278:61731745:1 gene:PAHAL_9G459600 transcript:PAN49609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MGPPRPLPLLLFVLLLALLPSPPAAAAAAAAGGLSAWGALRGAAGSRRASPAEQEAAAAGVLRRLLPSYARSFSFQIDSKGSVCGESSCFRINNVVDNSGTGGAEILIQGTTGVELVSGLHWYLKYWCGAHISWDKTGGAQLASVPSPGSLPHVKGEGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKVFKSFNVTDRDLDNFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPAVFSKLFPSANITRLGDWNTVDADPRWCCTYLLGPSDALFIEVGQAFIKQQIKEYGDVTNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKNAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPIWKMSSQFYGVPYIWCLLHNFGGNIEMYGILDSVASGPIDAHTSYNSTMIGVGMCMEGIEQNPVVYELTSEMAFRDKKVEVEDWLKTYSYRRYGQANAEIEKAWRYLYRTIYNCTDGIADHNKDYIVEFPDISPSSFSSQLSNRRSMSIRSNRRRFFLSEVSGSLPHPHLWYSTKEAVKALELFLNAGNDFSRTLTYRYDLVDLTRQCLSKLANEVYLDAMRSYQKKDLNGLNSHTRKFLELIVDIDTLLAADDNFLLGSWLESAKSLAVTENERKQYEWNARTQVTMWYDNTETEQSKLHDYANKFWSGLLKSYYLPRASKYFAYLTRSLQENRSFQLEEWRKDWISYSNQWQSGKELYAVKATGDALAISRSLYRKYFS >PAN51272 pep chromosome:PHallii_v3.1:9:69977652:69979242:-1 gene:PAHAL_9G582000 transcript:PAN51272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEQQQQAANKAAGGSRFAVTCGLLRQYMKEQGGNGALRLAPAVAMGLVPGADAAEGTEERKAVLELFPQQAGTLKDEQQRKRKEPADERAPLTIFYGGKMVVFDDFPAEKAEELMQLAGSSNAAAPGQNALAQPSLTDMPIARKASLKRFMEKRKNRLTAGDPYPAAANESSKPAVKDEGAPWLSVNSALSLS >PAN44421 pep chromosome:PHallii_v3.1:9:2308183:2310029:-1 gene:PAHAL_9G041700 transcript:PAN44421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKENKINKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWRETKFSRTPFQEFTDLLAKPTKGLMLEAPVETVEA >PVH32013 pep chromosome:PHallii_v3.1:9:30785487:30787264:-1 gene:PAHAL_9G290400 transcript:PVH32013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPRVDGKIKKIEMPEDVYVKMFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVSEDYAMAVADFEYRKEKKAKKKAYKELKEIARSEGKVPPPNPYPSAIKEIQAEEKKYVMDRFYNPKVIEIANKMKEERDMLLRDRVASGQW >PVH32012 pep chromosome:PHallii_v3.1:9:30785453:30787264:-1 gene:PAHAL_9G290400 transcript:PVH32012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMRGDLLTKTRKLVKGLAKPAPTWLKAMEEAPPVTFPRVDGKIKKIEMPEDVYVKMFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVSEDYAMAVADFEYRKEKKAKKKAYKELKEIARSEGKVPPPNPYPSAIKEIQAEEKKYVMDRFYNPKVIEIANKMKEERDMLLRDRVASGQW >PAN48952 pep chromosome:PHallii_v3.1:9:58294234:58295223:1 gene:PAHAL_9G415100 transcript:PAN48952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLLPLLLLLAALAAAAAFAVPARAALGGGGGGTGPRVGGWSAIPDVSDPRIQELGEWALGQAKRARLAGEGLRFRRVVRGEQQVVAGMNYRLYVDAADAAGRSAPYVAVVYEQGWTSTRELASFNKAPRAH >PAN46329 pep chromosome:PHallii_v3.1:9:11127196:11129312:-1 gene:PAHAL_9G177200 transcript:PAN46329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRWASASGTELPGRSPPHSAALSPGRQSARRSVSRSDTAPGSGGAASIARTLWPSSTSSGSKSGKNKAAPRAPSPLSSASSSSSVAATLADHLAKDDAPQSLSRQRSCTELPRFADADAEARKIGKSSAGKGGGHAFGRSMRFLPSTKPAGVTLTPGRVAPSDLRRLANGGLSLDAASSGSECSDASRSSSTTPRTTASKPLSPLLPRTSSVRLLGSSNTQWALSPGRRSGSPLKAATTLPTVPEAKGRKSLISLGWGHIFHRRKHAAEDASIAAAAATLLSSPVSTSRSSTGGGGSEAGHQMRMAHCRLLQWRFANARAEAVRKRKQASAELDLMGAWASVSEVRGKVARKRVQLEKEKQKIKLNTVLSFQMKDLESWGKVETEHAAALASTVGCTRAAVCRLPLTNGAKVSLPPLATILQQAVEFALTTKAMTRSFGPMAKDTALVISELVRVASEEKALLQECLELLGRVSALQVEEQSLRCHLVQSSSPSAVTVECTQALGF >PAN49012 pep chromosome:PHallii_v3.1:9:58609979:58614368:1 gene:PAHAL_9G419500 transcript:PAN49012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFARYATLEGYALDLLAILQELGVESCIYVGHSVSAVIGALASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQDELDELFEAMRSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVSVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >PAN45923 pep chromosome:PHallii_v3.1:9:9262069:9271021:1 gene:PAHAL_9G150800 transcript:PAN45923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSKWIKSLVGIRKQEKGHNAEKQQKGQNAESRETGSSADQSFHERKHSLDPEGELAVGDIPVQSEALTDDSNTQAISDSICPDSTSLDAHVSQDEHESKEDMAATVVQSAFRAFLARRALRALKGIVLLQALIRGHAVRRQTEETLQCMQALVKAQARVRARQVRVALENQVARKKIPEQDDHENHVREVEGGWCGSIGSMEEMQAKALKRQEAAAKRERAMAYALTHQRQAGSKHQKSTSLQGPELDDNHWGSNWLDRWMAVRPWENRLLDSNAKESMPTHKDKQDLETKSQITPKGKVPTSNTPSGPSKKKGANHKKSYSDVSCTSFARPANVLPSTSLGSFKQKAKVTDEVFEEVTSQPTDVASKAKHNSKDKLVQANTPAKNRLSLPNNVGREAGKGPTRRNSMSRSDPKSRADAAKQGGKQVELQA >PAN45924 pep chromosome:PHallii_v3.1:9:9261488:9267582:1 gene:PAHAL_9G150800 transcript:PAN45924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSKWIKSLVGIRKQEKGHNAEKQQKGQNAESRETGSSADQSFHERKHSLDPEGELAVGDIPVQSEALTDDSNTQAISDSICPDSTSLDAHVSQDEHESKEDMAATVVQSAFRAFLARRALRALKGIVLLQALIRGHAVRRQTEETLQCMQALVKAQARVRARQVRVALENQVARKKIPEQDDHENHVREVEGGWCGSIGSMEEMQAKALKRQEAAAKRERAMAYALTHQRQAGSKHQKSTSLQGPELDDNHWGSNWLDRWMAVRPWENRLLDSNAKESMPTHKDKQDLETKSQITPKGKVPTSNTPSGPSKKKGANHKKSYSDVSCTSFARPANVLPSTSLGSFKQKAKVTDEVFEEVTSQPTDVASKAKHNSKDKLVQANTPAKNRLSLPNNVIKKIAEVCS >PAN45925 pep chromosome:PHallii_v3.1:9:9261359:9271038:1 gene:PAHAL_9G150800 transcript:PAN45925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSKWIKSLVGIRKQEKGHNAEKQQKGQNAESRETGSSADQSFHERKHSLDPEGELAVGDIPVQSEALTDDSNTQAISDSICPDSTSLDAHVSQDEHESKEDMAATVVQSAFRAFLARRALRALKGIVLLQALIRGHAVRRQTEETLQCMQALVKAQARVRARQVRVALENQVARKKIPEQDDHENHVREVEGGWCGSIGSMEEMQAKALKRQEAAAKRERAMAYALTHQRQAGSKHQKSTSLQGPELDDNHWGSNWLDRWMAVRPWENRLLDSNAKESMPTHKDKQDLETKSQITPKGKVPTSNTPSGPSKKKGANHKKSYSDVSCTSFARPANVLPSTSLGSFKQKAKVTDEVFEEVTSQPTDVASKAKHNSKDKLVQANTPAKNRLSLPNNVGREAGKGPTRRNSMSRSDPKSRADAAKQGGKQVELQA >PVH32977 pep chromosome:PHallii_v3.1:9:67119945:67122066:-1 gene:PAHAL_9G538600 transcript:PVH32977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPDHTFPLDATAFAQVAPNSWLLDLSTLALAAVPRSAVVFLLPAAAAALPPGKAVAVYFQAAANRPFAFLGALGATRPSASFSLPEAGDEPEPAVGPAKLGVAVEDAAALPPPPDEQRAERVALRVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPTYLKSFDF >PVH31868 pep chromosome:PHallii_v3.1:9:17329456:17330101:-1 gene:PAHAL_9G249400 transcript:PVH31868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCIVADGKWWKDQNDAMPGCICFRDAPLEHEEQMRIMFEAVSVTNETSFVSSNGEGGGQEDDGGQNNSELEREGQVPTPPNVTPTLGKRPAPLSPKGKKKKTFRDQCMKRSVDAYEKKAESSNNSATSNVVDSVREGIGNILDQVIKDGAEEGSDEHYYATQLHIKKEYRDVFITLKTSNGRLN >PAN51431 pep chromosome:PHallii_v3.1:9:70579883:70580384:-1 gene:PAHAL_9G593900 transcript:PAN51431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRSMVDGFGPGFIPPNSTLLPSPIESPRTTMILSRTTKLPTNRSHGLMNHAPPQFLSKTVSVSLILSCIELSQLACLILSDCHH >PVH33202 pep chromosome:PHallii_v3.1:9:70563105:70564692:1 gene:PAHAL_9G593600 transcript:PVH33202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPRRLPRLPTATAAIKRSAGTLVVDLGGIGARPSTAALAAAATAAAAAGRASECQSLLLRMSRRRGASRRDIVSSLLASSPTFPQTQVFDLLIRTYTQSRKPREAFEAFRLLLDHRVPIPASASNALLAALSRAGWPHLTAEAYRLVLSSNSEVSCRRCGCSNGIGRFDG >PVH32445 pep chromosome:PHallii_v3.1:9:56832923:56833750:-1 gene:PAHAL_9G398100 transcript:PVH32445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRPIPSIQTNAIQPWPAARWSSGQRTWGRRHKTGRRGVRYGRQRQRTQAGQRRAGAARHGRRGSKPGEGLVAGGGCPPSGAHTATAPGPPRTRREMRMLQRLLLRPQARHLQVQPRHETARLVEHIDLLF >PAN50680 pep chromosome:PHallii_v3.1:9:64337040:64339099:1 gene:PAHAL_9G496700 transcript:PAN50680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGAVIGASTVLVVAVIAAVCFVSFKGHGGRAEGEMSTSVRSIKSFCQPLDFKETCESALEATAGNATSTTDLTKAIFKVTSDRIEQAVRESAVLNALKNDPRTSAALSNCKELLDYAIDDLKTTFDRLGGFEMTNFKAAVDDLRTWLSSALTYQETCLDGFENTTTDAADKMRKALNSSQELTENILAIVDEFSETLASLDLPGFHRRLLADKGVVAPSWMSGAKRRLLQTSPGAPDFKPDVTVAADGSGDFRTINEALARVPRKSAAAYVMYVKAGTYREYVSVPRNVTNLVVIGDGATRTVVTGSKSFTMNITTKDTATMEVIGNGFLMRGVGVENTAGARNHQAVALRVQSDLSAFYECRFDGYQDTLYTHTSRQYYRDCVVTGTIDFIFGNAQVVLQNCRIQVRRCMDNQQNIVTAQGRKERRSAGGTVIHNCTVEPHPEFESSAGRLRTFLGRPWKEHSRTLYIQSEIGGFVDPQGWLPWLGDFGLNTCYYAEVENRGPGADMSRRARWRGVRNVTYQQAQQKYTVERFIQGQQWLPKLGVPFIPGLLPQNQTGRIH >PAN45134 pep chromosome:PHallii_v3.1:9:5537366:5540410:1 gene:PAHAL_9G096200 transcript:PAN45134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRDSPSLFRHARGIMYALKEKGVDMAIASRSPTPDIAKVFIDKLELQSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIKTVSKMGVTSVLVENGVNLDMFKLGLSNFATSFAASSRKQDE >PVH32043 pep chromosome:PHallii_v3.1:9:32435052:32437172:1 gene:PAHAL_9G300000 transcript:PVH32043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVRSLREGRWPRDRAHGLPDSPLTVRGPPEPPLASHASAGGRSSHDGEPRSPRAPPRSRSPHVLVAGGRNRARLARLGGRSLARTGCCSRTQLRRRWSRPLILALRQVLPLPRQSLIWENGFVLWRQGAETALGWTLHEPGGDDRGERGVS >PVH31608 pep chromosome:PHallii_v3.1:9:12201533:12207060:1 gene:PAHAL_9G190900 transcript:PVH31608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYARNVHGVDADGGGGGGVGATTITVSAAGAAEDAAEAGRGGGRRSGRPSPAGTPRGGRAGATPARSSAAGSPWAGSPLGLPDGIAPSPATSASTPRRFFRRPFPPPSPAKHIKASLARRLGQRSPASASQVPKPPAEVPMPEHGAGGGGSGGAGEVERELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARARKGDMRGQVLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYNEGDAKIIVEQILNVVAFCHLQGVVHRDLKPENFLFSTKDEHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDTPWQSVSPEAKDFVKRLLNKDYRKRMTAAQALSHPWLRDEHQQIPLDMLVFKLVKAYLRSTPLKRAALKALSRAITEDELIYIRAQYNLLEPNSRDGRICIDNFRMALLQNSTDAMKESRTLEILNALEPLAYRRMDFEEFRAATISPYQLEAVARWEEIANTAFEYFEEEGNRAITIEELAQEMNLSSAAYSIVRDWIRPSDGKLSLLGYTKFLHGLTMRSGNARRHH >PAN48177 pep chromosome:PHallii_v3.1:9:53378112:53379248:1 gene:PAHAL_9G365300 transcript:PAN48177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMAMMPGLAACCVDAAGITAPSPCGTRAPPRRAAYFRRRMITAAAMTAPEKAEVLRSLDGWAESNLLPLLKPVERSWQPHDLLPDSSSPGFREAVDELRARAREIPDDYYVCLVGNMVTEEALPTYHAALNSFVGYDSPSCADAWARWSRGWTAEENRHGDLLNRYLYLCGRVDVRRVEQTIHHLIAAGMQLAAGGCPYRGFIYTAFQERATAISHGNTARRAAALGDASLARVCGAIAGDERRHEAAYTRVVAELFRRTPDAAMRALGYMMRERILMPAHHMFDGRDAELFRHYAAVAQGLGVYTTADYAGLVEFFVERWGVAELGHGLTGEGRRAQEYVCRLPERVRRMDARRRQTQLQRVPFSWVFDRQVELEL >PAN45039 pep chromosome:PHallii_v3.1:9:5091956:5093822:1 gene:PAHAL_9G089000 transcript:PAN45039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MLSPPPPPMPTLSKCCRSSPAPKLQRAAETGKKPTCTGAARLPITRRAHAASLLLGLAGMAVAAPAPAPVRADDESNGGEEGVLSAIKSIFDPNEKTKAGKVLPKAYLKAARDVVRTLRESLEEDDGGDMAKFRRNADAAKESIREFLGGWRGQQTVAAEESYVALEKAIRSLAEFYSKAGPSAPLPQDVKNKILDDLSTADAYL >PAN47254 pep chromosome:PHallii_v3.1:9:16936529:16939661:-1 gene:PAHAL_9G246800 transcript:PAN47254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVVIPEQHNHHHRHPGPRSKPSGPHFTSPPPSRGFRGMNCRSFHSGGCMGVLPSPPPPPARTYSSPEPKTPKQQQLPRHGGKRTRPISISPSTSPPSRSELWAGPAFSNSPPPSSLPIPKFSLRQKRSISLELPPVERSDDVEVRPHAKSAPSSPVGGSGYDFFNDNETATAIATENLRRILQLDIAD >PAN49534 pep chromosome:PHallii_v3.1:9:58673331:58675311:-1 gene:PAHAL_9G420700 transcript:PAN49534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSSAVLCPALICLLLLATGSLAQSPAAAPAKAPPNSSKATPAPSADASTAPAAAPSTTAPAAPAPKSASKASPAPAAAPTTTTTPAPAAAPTKPKSKAPAPAPPAKATAPAPAATPPAATPPATVEAPAAAPPAPVPEVPAAAPAPETKPAEAPAPAPAKKKKPSSPPKSKKKKKGASAPAPAAEAPVSKKHKAADAPATDAEAPGPSGDAAAADTASAAGRTAGAIASAVAVALGAAALLA >PAN50115 pep chromosome:PHallii_v3.1:9:64518672:64520186:1 gene:PAHAL_9G499400 transcript:PAN50115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIMNKVGTYWLGQRANKEISSAGDDIESLSTSVGEGAKWLVNKLKGKMQKPLPDLLKEHGLPVGLFPREATNYEFAPETRRLTVYIPSPCEVGYRDGSELRFDATVSGTLGEGRLTEVEGIKTKVLVWARVIAVKADAAKVHFTAGIKRSRSRDAYEVVRGGITVDEF >PAN46672 pep chromosome:PHallii_v3.1:9:13536920:13537738:-1 gene:PAHAL_9G209300 transcript:PAN46672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRSNAPPTLDEDSDISDVPLPNPIFTSLAEDNENLEQWAGENVGDTHLGKRKTKVLRPQRPEKKGKMIRSPPEEELASNETTPEPSGGGDEGNIDDDDDDDDDNDDGGSGYPVSSQAGGRSDRSMSPIRFTGETDFTHTTQDQDHEGDSSSSVSSTFSYPRPPSYPYPYPQPYPYPYPQPYSHPPPYPSHFIQLPVHLGMSTSGQIGALQEYYYGYHTYQQEETEE >PAN45869 pep chromosome:PHallii_v3.1:9:9094265:9098055:1 gene:PAHAL_9G148100 transcript:PAN45869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEGARRRVVVEVCNARNLMPKDGQGTACAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHDPDAMASETLELNLYNDKKAIAATGSGRRGGTFLGKVKVAGASFAKAGDEALVYYPLEKRSVFSQIKGEIGLKIWFVDDPPPPPPAAPAAEEKGADAAAADKKEAPAEGKGEKAPDAAAAAAAAPAEEKAEAAPAEEKKAEEAKTEEKKPDAAEKKDDKGGKKKSPEKGKKDGEKPKEEGKAKEDDKKDAAAPPPSPSKQAPPPSPSKKDLAIAGIAGDLEIRPQSAAEKSMAASGASASYDLVDRVPYLFVRLLKAKRHGGGGGQPLYAQLAIGTHAVRTRAATAAGEWDLVFAFHKDSLTDTSLEVTVHEEAKKPAKEGEPVPPDANLGFVSFDLQEVPKRSPPDSALAPQWYTLEGHGSEDGAAACDVMLAVWVGTQVDEAFQEAWHSDSGGYLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPSPPDAKVKQCGPIFPELYVKAQLGAQVFKTGRVPLGSAAAGTSNPSWNEDLLFVAAEPFDPFLTVVVEDVFSGQPVGQARVPLSTVHRRSDDRVEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGIRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYKVADAGGDPGKLPKDSRIGKLRIRLSTLDTNRVYMNTFPLTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPGQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHSWSMRRSKSNWFRVVGCLSHVATAVRWAHRVRTWAHPPTTVLVHALLVAVVLCPEMILPTVCLYLFLVLLWRYRARPRQPTGMDPRLSHVDSVSPDELDEEFDGLPSCRPADVVRMRYDRLRAVAGRAQTLLGDVAAQGERVEALLSWRDPRATGVFAAVCLLAALVLYAVPFKVLLLGMGFYYLRHPRFRGDMPSAGFNFFRRLPSLSDRVL >PAN48947 pep chromosome:PHallii_v3.1:9:58277220:58280919:-1 gene:PAHAL_9G414600 transcript:PAN48947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MCSIFAMRSLLAAAAAASAPTVLRAGAFPPRPPLLSLPFRRGHAAGNMLGTARSVPAAAQSRIGGGGSAIEARAVQSGEIHVIVGPMFAGKTTALLRRVQAEAGSGRTVALIKSDKDNRYGLDSVVTHDGTKMACWALSELSSFHEKLGIEAYDKVDVIGIDEAQFFDDLYDFCCKAVDRDGKIVVVAGLDGDYKRKKFGSVLDIVPLADSVTKLTARCELCGRRAFFTLRKTQETKTELIGGADVYMPVCRQHYMDGQVVIEATRIVLDLGRSTVTAQALK >PVH32501 pep chromosome:PHallii_v3.1:9:58277488:58280571:-1 gene:PAHAL_9G414600 transcript:PVH32501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MCSIFAMRSLLAAAAAASAPTVLRAGAFPPRPPLLSLPFRRGHAAGNMLGTARSVPAAAQSRIGGGGSAIEARAVQSGEIHVIVGPMFAGKTTALLRRVQAEAGSGRTVALIKSDKDNRYGLDSVVTHDGTKMACWALSELSSFHEKLGIEAYDKVDVIGIDEAQFFDDLYDFCCKAVDRDGKIVVVAGLDGDYKRKKFGSVLDIVPLADSVTKLTARCELCGRRAFFTLRKTQETKTELIGGADVYMPVCRQHYMDGQVVIEATRIVLDLGRSTVTAQALK >PAN47185 pep chromosome:PHallii_v3.1:9:16230767:16233532:1 gene:PAHAL_9G240600 transcript:PAN47185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSPSNPASPQPPPLLLTPPEPAPPPPPPPPEARPRPRATVADGVRGLVRSGETLIRAVFRGSSAHPRHHLQQHHLHQQQPQHHSPADIMKRLQRETFSDVMKFMEKHDQIEHILSLYKSGKGFEFLHLPIQVKIALDAVGALFLVDGNEFEQAKATLDKAGKRTGLSSRFIFESKTRGNDTIAAELSTRLGAGAHLGEEIGRPVELTRLQYNARINKWLSMILVPFGAQCNNFLHSSSMIQNLRTQASFDGPPSFLEHHNCAAGLRIEGSKYTASFAELIFGSGGLDSGGGGTNRMTTFGQVSYRPANDVKLNLSGLWQLHSLSPRFNNLGILAIPLGSLKPEKATAADTEEQTELSVKFHRHAGANLHTVESTVAVHGASPAQHLAQSIALMVDCELYETLKAEAWFQMERSNSGSVRWGFSLSDIPENELGWGVRVGGTAEGRKHHLQQLDLEGYLNFNLGKGARLQPGLVYAKMGEKMAPALLLRSSWFM >PAN45216 pep chromosome:PHallii_v3.1:9:5936037:5940808:-1 gene:PAHAL_9G102700 transcript:PAN45216 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR1 [Source:Projected from Arabidopsis thaliana (AT5G65890) UniProtKB/Swiss-Prot;Acc:Q9FHP1] MKYVSGPYFEPDFDPLLDRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQTGRKLTDPSLPEFIQRALVPFHRAGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITSVLVDNGCHVESGQAWTHNGRAAGVLYVTATADGAALHPSRWARIERLVNAVVDARENVTGERHWVRVSEPVQGRVHTERRLHQLMHDDRDYESGPAPTPVDEELFSMGDKAATARTARRAETRVSIDSWEERGYAVVKMTSRDRPRLLFDTVCALTDMHYVVFHATVGSQGALAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRATHGMRVEVRAADRSGLLSDFTRVLREHGLSLLRVELKRHKDEAVGIFYLVTDTGGEVRTEAVRAVQARVAEMDVSLDIVKEAPGWPPVRKTSVPAPPAAGQQQAQQRPRPSLGSLLWSHLGKLSNNFSYIRS >PAN44690 pep chromosome:PHallii_v3.1:9:3521920:3525755:1 gene:PAHAL_9G061900 transcript:PAN44690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRAPPAPAPLVGSARVLFGSGLRFASTRVLKPRGLTASSAMKSFRLSDLSDAEASGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVRVSDLPDAELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNSLPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKHAEQWEEFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEVEATVT >PVH31119 pep chromosome:PHallii_v3.1:9:3521920:3525755:1 gene:PAHAL_9G061900 transcript:PVH31119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFRLSDLSDAEASGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVRVSDLPDAELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNSLPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKHAEQWEEFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEVEATVT >PAN51422 pep chromosome:PHallii_v3.1:9:70515541:70516957:1 gene:PAHAL_9G592900 transcript:PAN51422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPLSPPRAHAALFSCGSAPIIFGRRSHSATPRRRAWPLQARRNKNYRSDEDAGGAAEPKIITLGRPGKSRRRRNRKQQQRQKDEADDGDEEEEEDDDERDATIPEVVTNRMMRRVGVSVGLPLALGVGFFPVFYYLKAVQKVDVPTWIPFGVSFVFFGAALLGVSYGIVSASWDPAREGSLLGWTEARRNWPVFWDSLRGRSPPRRG >PAN45396 pep chromosome:PHallii_v3.1:9:6760597:6770027:-1 gene:PAHAL_9G115700 transcript:PAN45396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGSLIGLVNRIQRACTVLGDHGGGAGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDGGHEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQAESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMLSARRKEKEYFESSPEYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIIAMINKTIDEIEAQLDKLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSMQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQSDIAAAANESLERFREDGRKTVLRLVEMEASYLTVEFFRKLPTEPEKAADKNTPVSDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAIVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDALVKRLELYKSARNEIDSVAWK >PAN49730 pep chromosome:PHallii_v3.1:9:62517334:62522235:-1 gene:PAHAL_9G471400 transcript:PAN49730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNPAMRRAKPARGPEKKRRHGPRLPTTMRRELDAMGPAPTRGSDDEEVSDVGAEDVYEYEEGVPEEEAGKNGRYDAVAKYEYEFDSDASEADEDVPSEEGEDMEEDENDDGEDEEKQIRILQETTGMPREAFDGKKRKQPLELPLQHGDGPVTIHDLLDNIQGKPGYSKVRKRLQQQEKKTMVMAAPLPKVEREKLERRVTYDTSRGELTKWERKVKHNREAPTLFFENDSNLGVNTIAAIANEFKPRTEFEKRIAEITRSTEIMEAHKNDGAKILELNKIDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRMLKKDKLKAASADFEADPEAAKDYAMKQEFKRAEERMTLKHKNTSKWAKRILKRGLSVQDEGTRAAIAAQLQQNALLTRKMNSMKDDSSSEGSSDDDDDENDSEAKMLNRGKEKILKVLEEDKEIPNSGVFSLPFMERAMKKQEDAAYEEARQALEEYDDSLRKLEDDNTEQNEDLIKVTGKRTFGPAKNTHKNVNKRQKLEETENSDSEYDSDPAQHLGDDEATTKQDDIKLGTALLDDEQNDLYRSFDDIMKNPGPKTTFEVGMLAGDSWKTVKSSKGNDNDITNKSKLQAPSIVDLNPKQWDNNPDSDSEEEMVEGFLTISDTKESYELPSQAELIRQAFAGDDVEAEFEKDKMEVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMIKEHEIAKRNREEALKRRKDSKLKHVIISEHVDKKVEKYLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVSALNRPAIVKKPGVIIKPIQYEEVDPHQKPDEPKRVIQRVTPNQNAKKASSKQSKGATSHKRKW >PVH32825 pep chromosome:PHallii_v3.1:9:64328067:64330146:-1 gene:PAHAL_9G496500 transcript:PVH32825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALSMGAATVATASSITERCPSAATCASCPYLSTQFGSETPRSLSQSQSLARSEVSSLLTLELFPVRNLLPVSVACCRS >PAN50080 pep chromosome:PHallii_v3.1:9:64328066:64330147:-1 gene:PAHAL_9G496500 transcript:PAN50080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALSMGAATVATASSITERCPSAATCASCPYLSTQFGSETPRSLSQSQSLARSEVSSLLTLELFPVRNLLPVSVACCRS >PAN50077 pep chromosome:PHallii_v3.1:9:64328066:64330147:-1 gene:PAHAL_9G496500 transcript:PAN50077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALSMGAATVATASSITERCPSAATCASCPYLSTQFGSETPRSLSQSQSLARSEVSSLLTLELFPVRNLLPVSVACCRS >PAN50081 pep chromosome:PHallii_v3.1:9:64328241:64330045:-1 gene:PAHAL_9G496500 transcript:PAN50081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALSMGAATVATASSITERCPSAATCASCPYLSTQFGSETPRSLSQSQSLARSEVSSLLTLELFPVRNLLPVSVACCRS >PAN50082 pep chromosome:PHallii_v3.1:9:64328123:64330374:-1 gene:PAHAL_9G496500 transcript:PAN50082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALSMGAATVATASSITERCPSAATCASCPYLSTQFGSETPRSLSQSQSLARSEVSSLLTLELFPVRNLLPVSVACCRS >PAN50079 pep chromosome:PHallii_v3.1:9:64329523:64329873:-1 gene:PAHAL_9G496500 transcript:PAN50079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALSMGAATVATASSITERCPSAATCASCPYLSTQFGSETPRSLSQSQSLARSEVSSLLTLELFPVRNLLPVSVACCRS >PAN50078 pep chromosome:PHallii_v3.1:9:64329523:64329873:-1 gene:PAHAL_9G496500 transcript:PAN50078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALSMGAATVATASSITERCPSAATCASCPYLSTQFGSETPRSLSQSQSLARSEVSSLLTLELFPVRNLLPVSVACCRS >PAN50533 pep chromosome:PHallii_v3.1:9:66337207:66340109:-1 gene:PAHAL_9G526200 transcript:PAN50533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNECLHQFTNDSVLEEMKKAYMVEQETKEMKQ >PAN44469 pep chromosome:PHallii_v3.1:9:2499604:2512165:1 gene:PAHAL_9G045400 transcript:PAN44469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRDHHQWPRPGQGYDPRAAAAAQWYGAASTSFPAPGAAPLHGINPYAFASNPLFAANPFNTLVGDLLLQNPAALASYQQQQLQQAHHFPSHAYHQTPTSNIQHRPTKAAASALPAPAPPQPQQQPRQQAALDRAQVAARNAREELVKNGEGVTGWKVAQAVLVALKVDSWGSLGIQLQDVPLLRDLFLIEGKVNAFIHCYVAARKIVTISDLEVEICKNEGVGQFEELGLGPFLQHPLVAHYFSVPSDLSKVPKLSSEEVISVLQKFVDKSKKKITVEDFLDHLSEQKSVSGKEKLGVRVQSLGLHISLLRQARQTEVSTVKLLGTKSGSGHSSQEKYLSKNTNFHTHKKALDERFNSLTNRIKQLPGINKHIHFDSTDDETDGDTSCEDDKNDDNEGKNGCSVLDKKDGDKRVNSCPYPSKTEEMERLGLKSEIKKRKRPAVERRKARQIEQKGILREKRKFEENASPSSSCKQPKKQQKLQKHEASLNCFLSIGKLENFITTWKETCREHPVQQVLEMIANYYGQSPTEKKKIINFCSQYPGIGLLNVAVKSMGCGLLDSIYDVIQLSSENDMSSSPLHNTTTEVMEIEPPSKENTSCIGNGANNRSEDNGTGHSVSIDDVIRRITEYIECNSRASGDVALQVRALHDCETWVTTQFSVNQFSALGHGTFLQFLEKHCHQFPTALSSFLKGGNCDSSSLEVSVLQQQIEVLLGQAESNWMEDGDFSEDSLVMILKRQFPTISFDVAQDKSGEGFPGYIKRHGKSIQTNSLKFSISLLEKRWSGTLPGRHENVDGLMSNVAEQYYFGGMVCSREAINCLLRAPMLSDLHIWSHWDLLFAPTLGSFLHWLLTTGPIQELSCIVTTDGRFIRVDPSATVDQFLEAIIQRSPFQVAVKLLSLLYVYDGSTNTPMSLLKCYAQRAVKLIVDNNHDLMNANSENTQVSSAESIRSDSLPNFDDAVHLIAKFVLNCLGHLPLEFRSLAADILLAGLRAVTKNCYSVMLHEATEDWQLCMLHDIGLSLGIAEWVEDCRRLCLTEEVHVQTEMHSSAKLTSAASEVDTLESSNMLISSDVDMMDERRKLFPGTNDQVGKDNKDNKVLNHSGTEANIADYPMMGETNHEEASLVIETIRREEFGLDQALSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNAYPEDVEPTLAFILQENGIVVLNNERGFSAENIRALCDIGNSTKKGSNRGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITDGQIGFVLPTAVPPYSTTSFSIMLSIEDDKDARSLGNTCILLPFRSKFREGTGMCSIVSMFSDLHPSLLLFLHRLKCIKFKNLLDDTLLIMRREVLGDGIVRISHGIETMSWLVVSKRLQGTIVRNDVCTTEIAVAFTLQETEEGDYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADSAWNQWLLSEFPSLFVSAQETFCALPCFQRCPGKAVTAFLSFIPLAGEVHGFFSHLPHLILSKLRLTRCMFLDGSTLQWVFPCNTLRGWDEQTKMLLSDGLLHEHLGLGYLSKDIVISDNLSRALGIHDYGPNILIDTISSICRIDGCIESLGLEWLCAWFVTLYLTLLSHSSRNVSLTRSLEDDLLNTVRKIPCIPLSDGSFSSIADGPIWLPYDIVNSIPECRGSIQNFPVLYSNLRTVSPHLLSASCKNKYLNEEVRTNDLVDILQKIGVRKLSGHDIIKNHIMISLRDGLDANTADKMVREYVSFIMVHLQSSCTSCNFEKEEIVSELRKRPIFLTNHGYKCPADEPIHFSKDYGNSVDVGRLLQDVEINWIELDSCYLMHHGSKSSRFELEKWRRFFEEMGVTDFVQTVKVEKKSSQVDSFLGGHSLADVSAKPCAVYDWESPELSSILSAFSSKRCRENCVYLLEVLDRLWDDHYSAKARSLTNATHCGENRTVESSFLKCIQSFKWIASSMDEDLHCATDLFYNCDNVRSLFGSVAPYAVPQVCSSSLRKDLGFKTEVSYCDALMVLKSWMTSQAPFSASMSQMCKFYTFLSEGVADSKIDIKQDFLSSPSVFTPLQRPRSTEVIPGKFLPPKDLYWHDPTGCSEITEEFIAIKSRSMFPRRMLSADYPSLCEFFTEACGVPKVPTTSNYVEMLIRLSTAALPSQSANHVFRVFVRWANDLHSESDKTDDILYLKESLQKLETTILPTTVDKWVSLHPSFGLVCWVDDDELKQQFKNSRDINFIQFGDLCFEDRQMLNGRVASLMKSLGIQALSKVVYREAIFYGTAENREKASLICWLLPYMQRYIYKMHRDTYINFQQNEIMKLSNLQVVVVDKLFHKYVLRGLESSSKKRFKCHCLLQGNTLYATQDADPHSVFLELSRIFFDGSPDLHFANFLHMIKTMAESGTTAEQIESFIINNQNVPELPEHEAIWSFSSLSATNQGAANQEVDPQGVEFQLPCEFNVPNHQKAPVMISSWPLSHWRTAPGFKTPLISHQACTQEALVKDAGPSPDLSMPALRGHTEDALLSVDLDGDWIIEENARTETTLLADNTATILDEPQMVMSVDPSNGPAYSVVEAGSSSPTVRVELTNFNENLANLVEESNRLTPDASQLKTGRFGEAVVHKYFAEQLGSNNVRWVNEKTETGLPYDIVVTHSEGFTEYVEVKTTVSSRKDWFDITPREWQFALEKGDLFSIARVILSSTKKASIEMLKNPYKLYKQKTLRLGLLISR >PVH33326 pep chromosome:PHallii_v3.1:9:72836696:72839579:1 gene:PAHAL_9G628800 transcript:PVH33326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGRKVAGRGEEMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDTDNISDCERLYKAFLQEINTFELPLLKSKAVVDANIREKESFNELQVEIERQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLIYLQPPRSETEKLISDLEKEIADLEAENVACVRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAIAEEKMSIEESGSASDAMAVD >PVH33328 pep chromosome:PHallii_v3.1:9:72836714:72839493:1 gene:PAHAL_9G628800 transcript:PVH33328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDTDNISDCERLYKAFLQEINTFELPLLKSKAVVDANIREKESFNELQVEIERQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLIYLQPPRSETEKLISDLEKEIADLEAENVACVRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAIAEEKMSIEESGSASDAMAVD >PVH33327 pep chromosome:PHallii_v3.1:9:72837364:72839493:1 gene:PAHAL_9G628800 transcript:PVH33327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGRKVAGRGEEMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDTDNISDCERLYKAFLQEINTFELPLLKSKAVVDANIREKESFNELQVEIERQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLIYLQPPRSETEKLISDLEKEIADLEAENVACVRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAIAEEKMSIEESGSASDAMAVD >PAN51906 pep chromosome:PHallii_v3.1:9:72836696:72839579:1 gene:PAHAL_9G628800 transcript:PAN51906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGRKVAGRGEEMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDTDNISDCERLYKAFLQEINTFELPLLKSKAVVDANIREKESFNELQVEIERQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLIYLQPPRSETEKLISDLEKEIADLEAENVACVRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAIAEEKMSIEESGSASDAMAVD >PAN44905 pep chromosome:PHallii_v3.1:9:4577478:4580581:1 gene:PAHAL_9G079000 transcript:PAN44905 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] MASAAAWEGPTAAELKAAGAEAIPGGVRVKGWVIQSHKGPILNAASLQRFEDGLQTTHLPEMVFGESFLSLEHTQTGIKLHFNALDALKAWKEEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYCGSDAVVLNSGTTQTSLDGCGTLCWEDTNDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRLHCSFGNGDGTKPVVLRECCWREATFASLSAKGYPSDSAAYADPNLIAQKLPIVMQKTQKLKIPN >PVH32753 pep chromosome:PHallii_v3.1:9:62932014:62942461:-1 gene:PAHAL_9G478100 transcript:PVH32753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINVQVPFLFKLAIDWLAALGGAESSLASFTETNATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSRMVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLRNYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIMDEPHAQPLQFKGGCIEFENVHFGYVPERKILDGATFTVPAGQSVAIVGTSGSGKSTILRLLFRFFDSTSGSVRIDGQDIRGVTLDSLRKCLGVVPQDTVLFNDTIKHNIQYGRLSAKDEEVYDAARRAAIHDTIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKSLSVGRTSIFIAHRLTTAMQCDQIIVLENGKVIEQGPHDVLLSMGGRYAELWFQQNNSDAVDPAAVNLEV >PVH32754 pep chromosome:PHallii_v3.1:9:62936223:62943917:-1 gene:PAHAL_9G478100 transcript:PVH32754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSRILAAGHLLRVSRLEPSQTAAAAAGFRRLDGASRPGVPKPLTNPLLGPNCGIPPGKGGLFGRLGSFLPVSAYPPHGARLARDTRGHAFSTSANAVTVGKPDNDKVQKDASKKDVDEQIADSQILKNLGKYLLLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAIDWLAALGGAESSLASFTETNATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSRMVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLRNYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIMDEPHAQPLQFKGGCIEFENVHFGYVPERKILDGATFTVPAGQSVAIVGTSGSALMRKERKSGEGQGGGAHMEGEEIGSGLL >PAN49833 pep chromosome:PHallii_v3.1:9:62931650:62943917:-1 gene:PAHAL_9G478100 transcript:PAN49833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSRILAAGHLLRVSRLEPSQTAAAAAGFRRLDGASRPGVPKPLTNPLLGPNCGIPPGKGGLFGRLGSFLPVSAYPPHGARLARDTRGHAFSTSANAVTVGKPDNDKVQKDASKKDVDEQIADSQILKNLGKYLLLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAIDWLAALGGAESSLASFTETNATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSRMVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLRNYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIMDEPHAQPLQFKGGCIEFENVHFGYVPERKILDGATFTVPAGQSVAIVGTSGSGKSTILRLLFRFFDSTSGSVRIDGQDIRGVTLDSLRKCLGVVPQDTVLFNDTIKHNIQYGRLSAKDEEVYDAARRAAIHDTIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKSLSVGRTSIFIAHRLTTAMQCDQIIVLENGKVIEQGPHDVLLSMGGRYAELWFQQNNSDAVDPAAVNLEV >PAN45418 pep chromosome:PHallii_v3.1:9:6868719:6874054:-1 gene:PAHAL_9G117300 transcript:PAN45418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSTQKEVQVAPIRRPGSVSLNQSPRSLSYSPTENAVLICSDADGGSYELYIVPKDSAGRADYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVAIFDLQQRLVLGELQTPAVKYVAWSTDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAERIAAELGENVPSLPEGKSHSLLMPPAPLTACGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDIIDASEVVANGADGFVDAEENEPNEEDGEEGGWDLEDLELPPETETPKAAGSARSAVFVAPTPGIPVSQIWTQRSSLAGEHAASGNFDTAMRLLNRQLGIRNFAPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWNESASPNVRGPPALVFSFSQLEDRLKAAYKATTEGRFPEALRQFLSILHTIPVIVVDSRREVDEVKELIEIVREYVLGLKMELKRKELRDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQKNYATAAHFARMLLENSPQEAQAKKARQVLQACQDKNDSHQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >PAN45277 pep chromosome:PHallii_v3.1:9:6868876:6874057:-1 gene:PAHAL_9G117300 transcript:PAN45277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSTQKEVQVAPIRRPGSVSLNQSPRSLSYSPTENAVLICSDADGGSYELYIVPKDSAGRADYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVAIFDLQQRLVLGELQTPAVKYVAWSTDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAERIAAELGENVPSLPEGKSHSLLMPPAPLTACGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDIIDASEVVANGADGFVDAEENEPNEEDGEEGGWDLEDLELPPETETPKAAGSARSAVFVAPTPGIPVSQIWTQRSSLAGEHAASGNFDTAMRLLNRQLGIRNFAPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWNESASPNVRGPPALVFSFSQLEDRLKAAYKATTEGRFPEALRQFLSILHTIPVIVVDSRREVDEVKELIEIVREYVLGLKMELKRKELRDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQKNYATAAHFARMLLENSPQEAQAKKARQVLQACQDKNDSHQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >PAN45419 pep chromosome:PHallii_v3.1:9:6868721:6874054:-1 gene:PAHAL_9G117300 transcript:PAN45419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSTQKEVQVAPIRRPGSVSLNQSPRSLSYSPTENAVLICSDADGGSYELYIVPKDSAGRADYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVAIFDLQQRLVLGELQTPAVKYVAWSTDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAERIAAELGENVPSLPEGKSHSLLMPPAPLTACGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDIIDASEVVANGADGFVDAEENEPNEEDGEEGGWDLEDLELPPETETPKAAGSARSAVFVAPTPGIPVSQIWTQRSSLAGEHAASGNFDTAMRLLNRQLGIRNFAPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWNESASPNVRGPPALVFSFSQLEDRLKAAYKATTEGRFPEALRQFLSILHTIPVIVVDSRREVDEVKELIEIVREYVLGLKMELKRKELRDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQKNYATAAHFARMLLENSPQEAQAKKARQVLQACQDKNDSHQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >PAN47327 pep chromosome:PHallii_v3.1:9:17644460:17650176:1 gene:PAHAL_9G252000 transcript:PAN47327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPSILSRHSYGKAAATAAAPPPASLPLRAPPPPMASLLRLQGLAPALNVPRHRCFLSPLRLASASTAPLARRISTAASTNAPEPPTSEPNLESGLYLVATPIGNLEDITLRALRVLKCANVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILRRLHEGEAVALISDAGTPGISDPGMELARLCAAEKIPVVPIPGPSAAIAALSASGLPSNEFTFVGFLPKHARSRRDRLEISAREAATQIFYVPPHGIHQFLVDAASSFGDSRSCVIAREITKLHEEFWRGTLGEANEAFATRQPKGEITVLIEGQLISVDETPSEDFLEHELRELMAKGHTLSAAVKLVTEATSAKKKDVYALALRLFGK >PAN47326 pep chromosome:PHallii_v3.1:9:17644355:17649721:1 gene:PAHAL_9G252000 transcript:PAN47326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPSILSRHSYGKAAATAAAPPPASLPLRAPPPPMASLLRLQGLAPALNVPRHRCFLSPLRLASASTAPLARRISTAASTNAPEPPTSEPNLESGLYLVATPIGNLEDITLRALRVLKCANVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILRRLHEGEAVALISDAGTPGISDPGMELARLCAAEKIPVVPIPGPSAAIAALSASGLPSNEFTFVGFLPKHARSRRDRLEISAREAATQIFYVPPHGIHQFLVDAASSFGDSRSCVIAREITKLHEEFWRGTLGEANEAFATRQPKGEITVLIEGQLISVDETPSEDFLEHELRELMAKGHTLSANEVDSIFPLIKARGGVHVTASFQKPSIILNAVKG >PAN47325 pep chromosome:PHallii_v3.1:9:17644626:17648203:1 gene:PAHAL_9G252000 transcript:PAN47325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPSILSRHSYGKAAATAAAPPPASLPLRAPPPPMASLLRLQGLAPALNVPRHRCFLSPLRLASASTAPLARRISTAASTNAPEPPTSEPNLESGLYLVATPIGNLEDITLRALRVLKCANVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILRRLHEGEAVALISDAGTPGISDPGMELARLCAAEKIPVVPIPGPSAAIAALSASGLPSNEFTFVGFLPKHARSRRDRLEISAREAATQIFYVPPHGIHQFLVDAASSFGDSRSCVIAREITKLHEEFWRGTLGEANEAFATRQPKGEITVLIEGQLISVDETPSEDFLEHELRELMAKGHTLSAAVKLVTEATSAKKKDVYALALRLFGK >PAN47328 pep chromosome:PHallii_v3.1:9:17644626:17649179:1 gene:PAHAL_9G252000 transcript:PAN47328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPSILSRHSYGKAAATAAAPPPASLPLRAPPPPMASLLRLQGLAPALNVPRHRCFLSPLRLASASTAPLARRISTAASTNAPEPPTSEPNLESGLYLVATPIGNLEDITLRALRVLKCANVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILRRLHEGEAVALISDAGTPGISDPGMELARLCAAEKIPVVPIPGPSAAIAALSASGLPSNEFTFVGFLPKHARSRRDRLEISAREAATQIFYVPPHGIHQFLVDAASSFGDSRSCVIAREITKLHEEFWRGTLGEANEAFATRQPKGEITVLIEGQLISVDETPSEDFLEHELRELMAKGHTLSAAVKLVTEATSAKKKDVYALALRLFGK >PAN46211 pep chromosome:PHallii_v3.1:9:11183731:11188253:-1 gene:PAHAL_9G177900 transcript:PAN46211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKEGPLGCLAISDVNCTLRGNTCNYPQHKCVISYPAMPPYHVGCLPFDESWQLFKQLAFCHQDQNIEKAFEEIGRKIVQKCGGLPLAVKAIGSALRFEEDEDTWTDILDSEQWNLPTREDTVLPALKLSYVRMPIHLKRCFVFFTLFPMGHIFMKENVIYLWISLGILKQTSHRNPENIGNRYFNDLLQRTMVQRVLLDGGHNCFTIHDLFLDLAKFVSGEDILKLDTQYMPYLNDASQNLRYLSLAVSSSDHTILDLHTLPVTGGLRILQVINDLDDNKRYYSSLFKNNRRCFSKLFSHHINVTLPEDLRGLRHLRALDLSRSALTSLPESIGELKLLRYLCIFQTRIAKLPGSICSLYNLKVLDARTNMLRELPQDIQKLVSLQHLSLDLWSPLCMPRGIGKLTRLKTLTMYSVGTGNWHCNIAELHHLVNLHGELCITGLSRVTNIDDAQTANLVSKKHLQILRLDWSAGFYATECEHIVNQNNATSTPELDEDVFESLKPQRNLEELEVVNYSGYKYPSWLGDPAFSRLAKVTLWKQKCKFLPALGQLPQLCELLIIHMECVERIGREFYGQDSVEPFPALEKLEFQDMPNWVEWYEVSENDFPSLRELKIKDSNELGILPQKLPSNLKKLVIMNCEKVVRLPTVPCLAHLVLKGNIGEETLSCLHFPLLKTLKVCFLRKAEEIKLENLPMLEGLAITGCKRLFSIEGVCSVESLSLLKIKDCPNLQLPFKPLPQKVQQSTVTNCPQLQEWAEWQQTQMSEPRYQLEEPDGASYDQEVVEALRDESEDDFEVFREDEDDDFYDRILEAGKLSGVAIDYNDDSDDAC >PAN45366 pep chromosome:PHallii_v3.1:9:6663311:6663808:-1 gene:PAHAL_9G113600 transcript:PAN45366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPACPVVVASPLLFLLAACCCGLLFQAAECGRPPSSPREPWKSPAAVRRDGAEEAPPHQRAAGTRGTTTRRAVEVVGADVGVGRQTGGGAARATPSSSGATPARGKPGPRRRMSGELRPPALKLARRILAAAAVEAGPGAGTDGAAASCHSYSEHTRPCPPSKR >PAN47212 pep chromosome:PHallii_v3.1:9:16398129:16398887:-1 gene:PAHAL_9G242600 transcript:PAN47212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGAERAMLGCFQVARRPSLSYEEGSTSAPSTSASPPTSSASTSSPAFLDDDDAMYLDDAEPEPDAGGLSTAIAARRFFLASPGRSNSIVDSVEHPPAASLARDSASNVRALRRAATSAFPASAAAAAASSSASSSAAKAPFRDDDMQPVRKVSLSTDAPRADFLKSMVEMVEALGLDPRRRDADLARLHDLLLCYIALNERDALRDILGAFADLMCLLDGGKQDAAATATAGGGGEKRDAQVQDRTTARG >PAN44616 pep chromosome:PHallii_v3.1:9:3271572:3272456:-1 gene:PAHAL_9G057800 transcript:PAN44616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTLDLELRLGLGPRDSSPRSNGAAAEGARRVDLLFSTDARKGAGCGEAVSDRGETEQPTSAAKDVLQVPQKEEDEEEEEAAAAEPGSKRSKTEPPASPPAARKKAEQEDGGATEPAWVRAELLSRHALPPELPLHFVQEKVLTESDLKSDQSRLLIWSGGSDRLRPLLSAGELTHCGLDSTHRRRTKSPAQGQEVDGAKKRRDDKTRYPGVPVLVYERAAERAPAALRLNSFLSTKAMVINGHGYGSFVAGSGFKKGDRVEVWAFRRPNDQHLCFVVAKRDDDRLAAINPQE >PVH32532 pep chromosome:PHallii_v3.1:9:58804963:58807222:1 gene:PAHAL_9G422100 transcript:PVH32532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSDARPPSLSEDGTKQGKTLYIGVANLQAVGAWLIFCPAAVQPLLRRRGRLLAPRHRPAVRRRPCKRERRRAPHPHAASLPAQSVHVRVPRCLHAPCLFPGLERLGLHLYLQSVYKH >PAN45715 pep chromosome:PHallii_v3.1:9:8340899:8343431:-1 gene:PAHAL_9G136800 transcript:PAN45715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSFPLVAGAVVIGVISGNVIFGPPLQKYWAERQQQEAAKEGQAGST >PVH31895 pep chromosome:PHallii_v3.1:9:18085617:18086444:-1 gene:PAHAL_9G254800 transcript:PVH31895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKRPDVPLQAAKLASKTGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKKEEWIELVKYWCDPKNQVHGLHHCFC >PAN50756 pep chromosome:PHallii_v3.1:9:67476684:67480209:-1 gene:PAHAL_9G543900 transcript:PAN50756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSALRLILGSSSASRRQILSEMGYKFTLLSADIDEKEIRKEKPEELVVALAHAKADAILEKMKNNGMMKEIVDSQETTLMITADQVVVHDGVIREKPSTSEEARKFIKGYSESHAATIGSVLVTNVKTGARKEGWDKAEVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKALTEKLIKESLSEK >PAN48910 pep chromosome:PHallii_v3.1:9:58101884:58106629:1 gene:PAHAL_9G412200 transcript:PAN48910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMMRRLKSIASGRSSVSDPGGDSGSKRPKFEQDGAGDIVIEPHLNEDKPMRIDQESSSSSNRDAEASTSTSMKLVKTEEPGTDLLPKEMNDMTISDDKADGHNDKEIEGVTLDGNGTETGQIIVTTIGGLNGKPKQKVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTQRGEVYLNLVLEFVSETVYRVAKYYNRMNQRVPIIYVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVVAELMIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKVCLPNGRPLPPLFDFTAAELEGLPIELVHRIIPEHMRK >PAN45099 pep chromosome:PHallii_v3.1:9:5304664:5306186:-1 gene:PAHAL_9G092900 transcript:PAN45099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSAAAQPPPPASSAAMYGSAPAYVASASSASGGGGYSYPAPASSGAGAGYAKIPTYPAPPSSAYPSPNPPPPQVSTQAPIQDPTAPPSPLARAAELVTRFREQGQALIAARRPWGEVFRAPAFSKPPSLGEAVARMRRNTAYFRANYALAVVAVFAASLLWHPGTLFALLFLCAAWFFLYFARPAQGGQPLRVLGMEFDDGTVLAALCGVTVIAMLFTDVGWNVVGSVMIGAALVGAHAALRSTDDLFLTEQEAAGDGLVAAAGPILPTYVRIG >PAN47768 pep chromosome:PHallii_v3.1:9:49593680:49595558:-1 gene:PAHAL_9G349500 transcript:PAN47768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVVSAVAGDLVNRFMSFLISKYKTEEQLEKKMKRLQDLLIRAHMIVEEAEDRYITNSKMLLQLKKLVEVMYQGYHVLDTIKFRTLFGSRADENELVINILQQENIPPFAPAVLPIIGGSRVGKKTLVAHVCKNEKVRSKFSTILHMHGENIRRIAHEVGPGRYLIVVEFTSDVDEEDWLKFYSSVKKMGRGSKIIIISRIAKLSRFGTVKPVRLNGFSQEEYSYLFKVLAFGGTNPEEHPQLAVIAEDLAVALGGSLITANVCADMMRKNLDVHFWISLLKKYRNVVMKNFSVFGEHPKNLMDQDHPIDITRLASSSRSSLPSSATLRLMPPHSEVDNSKTELPKVMFGDLIAGSAVLPREGFELVAWESRIPPYERFVNFAAYCDEEPISQHHTASAGKKRKRFDK >PAN47271 pep chromosome:PHallii_v3.1:9:16715896:16725359:-1 gene:PAHAL_9G245500 transcript:PAN47271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALARHDSRQYSWLWVSHISPKNSKWLQENLSDMDTKVKSMIKLINEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHRTMSEAFPNQMPSVSDESPSSFGQEMEPQTPVMSTFTRAPFDSDERKDGVGVSPQHSTSKGNGTHPEETSALSSRKSLKLFNDFSSSGENAPRAGFDGKVRKGLTFESPEGKGKEDISKDLVNLQQEVSRLLAESQKLKQQMLSESERANKAENEIQSLKETVLQLNSDKDTSLLQNNQSSERISTLESELSKAQADLKKLTDEMAADVQKLINAETLNIAIQSEAQGLDLKMKMQQQELDQKLKELEIFRLSFQEEHEKRMQAENALLSQGKELAQSHEEVPRLIAEIKMTNEKLNELKQTNGDLENTVCELKKDIESLTEQNHSSEVLIQKLHDEINTLKDSNNELQSEIQSLKSIISQLNTEKNAALLQHQRCVEQVSLLESQLSKLQSELEEAEKKVQLLIHDLEQKREEATSVHARLQDECHRHTQTEATLLMTEGLHSQLQEKMETLTLDLDESTKKLSELENDKLNLESTLKELKSTILDLNNEKDAALLEQEQSLKKTSNLELELSKVQLELEKHKQKIQLLEQEIAHKNESVDSLELSLKDECEKRLQAQTSLESMERMYSESQEDVSRLHVEIEKQNVKLNALENLSSELQKTILLLNSEKDATLHENQQSAARIFDLESALTALKADLEQVEGKIQILEQELEHKKEEADNLQNSLHDEAQKRIEGEASLLMMTNLRSESQNEVNRLALEIEKLTGNLSQVENSKMDLENIVTKHTEEIHILREQNISTELMIKNLNLELDALKELNVKLQTEMGLHIGEKEALQRDFACQRDEKENLEGMHHTLVDEMEALKTSAAINQKLIEELQITNLKLKEACAKNEVEKALLSEKVQEVEKLSEEYSLLENSLSDANAEMDALREKIKAFEASESSLKDIISSHVSEKAVLASELEILGKSLSDVSEKNSTLDISLSDMKTELEDLRTKLKNSEESCQAHLANNSALSAEMDAVRENIKALEASESSMKDAISCHVSEKAVLASELEILGKSLFDVSEKNSILDISLSDTKIELEDLRMKLKDCEESCQVLSAEKNNLFSQLESISVIMKALEDKHANLEDKHSSVSREKDFAYDQVRELQGQLRVKDEEYEVAVKSHQLQVDTYEKQISSLQDKNHYMEEVLQQEQQKNICASINTVILESSLADEQDKKVALFTKCKKYAQANHSATMLVSELMEEARYHEEERKTLLMHNEKLREGISQQMKVLNICKDLRPADLAEDEILLQTVSDETINILKLKGETEDVNRLMYTELSVLSTVLLQVGMELRDLHLQKCALEKEVESGAAESLSLQNRNHQMLEENELLRKGLQESSEREEVLKTEVFDIQEKLSCLKESYQVSQDEITNLTKKNESLSKEYQSLSEKYNYLEDETDTVLEECMMLENLCLFFRGHNNEIASALVSLTDEMALLSLAKGDLDLEVNELTRRLTVLESENNHLKEYFVYLLEILRTRLVLSEFDLNTNKSVCQDLFIELENCMAQLMQKDDELLEVEEKFQFLQEKNRELCGVVGSLQVAIEGAKVVKGELEKKITRLSEQCTTKDDEILQLRQANEALQSDVEQYERQFVSLMDDAITSSVNSAVYEEKALELLMEGKDTEISAITLKELLMKEIYSRDAHIEELQKKMTGIQEEHAELKAELSTHLNLIASLADHVSVLEQNTFSLSKPCSTEGKEETARVPHVQEGNDGLESHCLPRGTPELQGLIARLEALQVVVLNAKDRQDQESAESAAKLVAANTEIQELKARGSSRMEAKEIYSDNEKQKDVEVSKGKQVQIMKDIELDKISTCPPYGMGATLYPLGNGANAELDDDMLQLWEAAETNCKNQTAKSSSSEHDIQAVEEVKSEYPSSELVRGRDLGINKLEVSKGPVEPHEVWSKSVLERLASDAQRLLSMQASIEELKRKMEEPAKGKSPMNSEYSSVSTQLHETEGYVLEQINFNNKLSRKAENYPALSDNMNTEREGYSSRRKISEQVQKGSENVARLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKDRGGGQKKKKKRAPFCGCVRPKPRTEP >PAN51121 pep chromosome:PHallii_v3.1:9:69256261:69262229:-1 gene:PAHAL_9G571400 transcript:PAN51121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVPATAAVLDFRPGSSPPRDSAVPRRDTGGAEEVPGVPGFQDKGAVATELTGSKSAVSESQAVSGDISGGPAGGDAGGVDVFCSENGAELESAEPGVLDVRLGAPVVGHHGRKLDGMGFGSDEAGEVEGISLVEVSPSDASSSLDATRSIGGYSLVDGSLPEARGAQGCEQEVQDVPTGTSTAVGFPNGDLSSGFGIKQSDDVDGRNGLAGGELELSTDGDDAKQATELAGILCNERVEGMEANLEEREASNGSTDRVDRMETSLDDSEASDGSTTQDSDTDVETESSGSSIEELDTGYGAHIPQLDQAICKVARENNTSGTKSSDRMTSVPESTLVLASGAAMLPHPSKVSTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDSCEKIITETQGAPGMRTEEVLAKAADEARSPGSSTVLVAHFDGQVLNASNIGDSGFLVIRNGEVYQKSKPMTYGFNFPLQIEKGDDPLKLVQKYAIDLQEGDVIVTATDGLFDNVYEEEVAGTVSKSLEADLKPTEIAELLVARAKEVGRRGFGSSPFSDAALAAGYLGYSGGKLDDVTVVVSIVRKSEV >PAN44141 pep chromosome:PHallii_v3.1:9:1143558:1143797:1 gene:PAHAL_9G020300 transcript:PAN44141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPQLQAGEGSWAHEVKQALRDKLRRSCGTNAAPAPRPAGEGRTAAAAAGSSRGADCRGSATEDPIRRVMFLAPWGHT >PVH33043 pep chromosome:PHallii_v3.1:9:68250773:68251885:1 gene:PAHAL_9G555000 transcript:PVH33043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKGAPNVRGAVAALLLLTSHAGLALPSNGEPLRREGWRRLQLGGGEGSTLARTHTRLTCAAFLLPAPPRDAPVGDVVSPQAAHEAGGELWAAAHEVGGQLRAGNGRSRGGKVPWCCSRFFKILQWLIQNVEVEIHVATCCHGLILGLFSSPRVNAKKP >PAN45776 pep chromosome:PHallii_v3.1:9:8689445:8692991:1 gene:PAHAL_9G141400 transcript:PAN45776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTQLHALAPLAVLVLLAAAAPLLAGAQPASPDPAADACANPSADGACHNVPKALRLKLIAIPTILVSSVIGVCLPLFSRYVPALRPDRNLFVIVKAFASGVILATGYMHVLPDSFNNLTSPCLPKKPWGDFSFTAFVAMLAALFTLMVDSLMLTFYNRKRSGNTSGRRAGAAVADHESPAHGHWHGHGDIVAAESAAVAKPEDDDEASKVQLRRNRVVVQVLEMGIIVHSVVIGLGMGASQSVCTIRPLVAAMCFHQLFEGMGLGGCILQAEYGARMKAGLVFFFSTTTPFGIALGLALTKVYRENSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPRLQGSVRLQLLSFAAVLLGAGGMSIMAKWA >PAN46505 pep chromosome:PHallii_v3.1:9:12133998:12138224:1 gene:PAHAL_9G190400 transcript:PAN46505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIMVAVPKIAMECLQGPLSWVLLASLAFVLLQLRRRGKAPLPPGPKPLPIIGNMTMMDQLTHRGLAALAEQYGGLLHLRLGQLHAFAVSTPEYAREVLQAQDGAFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWVAVRDESAALVRAVASSGGEAVNLGELIFNLTKNVIFRAAFGTRDGEGQDEFIAILQEFSKLFGAFNIGDFIPWLSWMDPQGINRRLRDARAALDRFIDKIIDEHMRRGKSPDDADADMVDDMLAFLAEAKPSKDGGKPAAGDVDDLQSTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRLQQELADVVGYDRNVSESDLDRLPFLRCVVKETLRLHPPIPLLLHETAEDCVVGGYSVPRGSRVMINVWAIGRDRASWEDADAFRPSRFAPEGDAAGLDFKGGCFEFLPFGSGRRSCPGMALGLYALELAVAQLAHGFSWSLPDGMKPSELDMGDIFGLTAPRATRLYAVPTPRLNCPLY >PVH31699 pep chromosome:PHallii_v3.1:9:13962571:13962867:-1 gene:PAHAL_9G215800 transcript:PVH31699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYTANDDLRACGLPSDDDGHLVASTEALFGSSIAPINVDFGATAGAGDGGGVGASATPNGTLTPTAFTSNTGQLKRVRSAAWNDFDEVLETLPNGK >PAN48587 pep chromosome:PHallii_v3.1:9:56466132:56467251:1 gene:PAHAL_9G392900 transcript:PAN48587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLALLLAVTLLRAAASSGCEYSTCLPPATPYPQPPSGGGGGDGGGGGYSSGSCPIDALKLEVCADVLHLLRLRVGVPDGERCCPLLEGLADLDAAVCLCLAIRANVLGVVLDVPVDLTLLLNFCHKDRVAGFVCPAN >PAN48839 pep chromosome:PHallii_v3.1:9:57716092:57717618:-1 gene:PAHAL_9G409400 transcript:PAN48839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRRPEEQRAAGPCGRRARAETRHPVYRGVRLRAGKWVSEIRELRKPSRIWLGTYPTPEMAAAAYDAAASALRGAGAALNFPDAARSRPAPASASPEDVRAAAAEAAAAMDDRLRLREPRGDGGGGGADDAVAIVDEDDLFEMPRLMMSMAEGLMMSPPALGPAAAAASMEADEEGVSLWDHS >PAN44391 pep chromosome:PHallii_v3.1:9:2169122:2170851:-1 gene:PAHAL_9G039100 transcript:PAN44391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSSPSSSSATKRLEGKVALVTGGATGIGEAIVRLFRENGAKVCIADIQDEAGQQLRDALGGGDVAMFVHCDVTAEEDVSAAVDAAAERFGALDVMVNNAGVTGSKVTDIRNVDLAEVRRVFDINVHGVFLGMKHAARAMIPRRRGSIVSLASVASVGGGMGPHAYTASKHAVAGLTKSVAAELGRHGVRVNCVSPYAVPTALSMPHLPQGARAGDALKDFLAFVGGEANLKGVDLMPRDVAEAVLYLASDEARYVSALNLVVDGGFTSVNNAMKQFED >PVH31808 pep chromosome:PHallii_v3.1:9:15987116:15989298:-1 gene:PAHAL_9G237500 transcript:PVH31808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKKAAAKDGAAGGDKKKEKDAGAAPAGPQPIVLKVDLHCAGCAGKVRKAIKRAPGVESVTADMAAGKVVVTGPADATELKERIEARAKKPVQIVSAGAGPPKKDKDKEKKADGGGEKKPDKEKGGGGGGDKKADKEKAGGGGEKKAEKDKGGDKPKEEKKAKEPKEETVTLKIRLHCDGCIDRIKRRIYKIKGVKDVAIDAGKDLVKVTGTMDAAALPGYLRDKLSRTVDVVAPAKKDGGGGDKKDKGDGGDKKKDGGEEKKDKPAGAASASVAPMPMADAGMYQMPPHYGYAPYPPAPGGYYGAAPAPHPAGFYHPSAGGQYPPPPAYPYGASHLHAPQMFSDENPNACSVM >PAN46308 pep chromosome:PHallii_v3.1:9:11051697:11071737:1 gene:PAHAL_9G175900 transcript:PAN46308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAATAAPPEEEDPQTLARWYQLEALERAVAGNTVAFLETGAGKTLIAVLLLRAYAHRLRSAPPPSFAVFLVPTVVLVSQQARVIEAHTDLRVNQFYGEMGVDLWRADTWRAAIDGTEVLVMTPQILLDNLRHSFFRLRDIALLIFDECHHANGNSPYASILKEFYHPQLNSRPSNPVPRIFGMTASLINSKDLQRASYSVKISNLENLMNAKVYTVDSESALSQYIPFAKTRIVQYDDSSIPSELYSHTTGCLKKLEAKHLEILKLKLNGSSFENAEKRIKKVSATFLYCISHLGVWLAAKAAEVLQTYKEIGLSFWGEKLDERAEGFVRNYAKDVYNDLSETISKMTERGVQRHIGEDFAADLQDGLLTSKVHFLIKSLLEYRHMQDLRCIVFVERVITSIILESLLSTIHQMSGWIVRYMAGSQQQCRNKHIEIIDSFRRGKVHLIIATQILEEGLDVPSCNLIIRFDPSATVRSFIQSRGRARKQNSDYVLLVRRGDANAHSKTLEFLASGQIMREESLRLASTPCQPLPNTLCKEECYVVQSTGAVVTLNSSVPLIYFFCSKLPSDEYFKPLPRFNIDKALGTCTLHLPNSSPVHTVYAQGEVSVLKQVVCLKACRELHAIGALTDALLPELTVLEDEPDIVVEKYKEEQPDYFPEQLVDNWLHFSRLGLYYCYKISLEGYSKTTPAEIVLAVKSDMGSDFISNSFKLWGVQDYVTVTMRYVGIIHLNQEQVIVARRFQTTILSLIISNDHLEVGDSIKNLLEMPLSPGVVYLLLPVVAGKIDWCSIKFSASPMLEATNKDIRRCHSCKDTDFVQTKDGPLCRCTLKNSIVCTPHNGTLYAVSGFLDLNVNSLLHRSDGSVVSYKTHFKTRHGLDLTCEDQPLLAASKILKVRNFLQKGNCQEKKETSNRNAVELPPELCIVVMSPLSAHTLYSFSFIPSIMYRIQCMLLSVKLKRQLGPIMQQFDIPSLKILEALTTKKCQEEFSQESLETLGDSFLKYIATQHLFSKYKHQHEGMLTKMKKNLISNAALCQLACSNNLVGYIRSEPFHPETWIIPGLGYDTCGSSKIVIQSPNMYSLRKLKLSIKSKRIADSVEALIGAYLSAAGEQGAYFFMKSLGMDIEFHNMPIERKVTIKSEEFINRRSLEEMLGYDFNDPSLLVEALTHGSYQIAGTTACYQRLEFLGDAVLDHIFTDYFYHQYPECTPELLTDLRSASVNNYCYAHAAVKAGLHKHILHLSSALYRRMADYLDTFKQSFSGPSYGWEAGIGLPKVLGDVIESIAGAIYVDSKCDKEIVWRSMKRLLEPLATPDTLEMDPVKELNEFCASRAYGITYTVTHDNRVSSVVAEVQTKGTAYKATRTGITKDDAKKLAAKAMLQDMKAADGMK >PVH31547 pep chromosome:PHallii_v3.1:9:11057193:11071690:1 gene:PAHAL_9G175900 transcript:PVH31547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREESLRLASTPCQPLPNTLCKEECYVVQSTGAVVTLNSSVPLIYFFCSKLPSDEYFKPLPRFNIDKALGTCTLHLPNSSPVHTVYAQGEVSVLKQVVCLKACRELHAIGALTDALLPELTVLEDEPDIVVEKYKEEQPDYFPEQLVDNWLHFSRLGLYYCYKISLEGYSKTTPAEIVLAVKSDMGSDFISNSFKLWGVQDYVTVTMRYVGIIHLNQEQVIVARRFQTTILSLIISNDHLEVGDSIKNLLEMPLSPGVVYLLLPVVAGKIDWCSIKFSASPMLEATNKDIRRCHSCKDTDFVQTKDGPLCRCTLKNSIVCTPHNGTLYAVSGFLDLNVNSLLHRSDGSVVSYKTHFKTRHGLDLTCEDQPLLAASKILKVRNFLQKGNCQEKKETSNRNAVELPPELCIVVMSPLSAHTLYSFSFIPSIMYRIQCMLLSVKLKRQLGPIMQQFDIPSLKILEALTTKKCQEEFSQESLETLGDSFLKYIATQHLFSKYKHQHEGMLTKMKKNLISNAALCQLACSNNLVGYIRSEPFHPETWIIPGLGYDTCGSSKIVIQSPNMYSLRKLKLSIKSKRIADSVEALIGAYLSAAGEQGAYFFMKSLGMDIEFHNMPIERKVTIKSEEFINRRSLEEMLGYDFNDPSLLVEALTHGSYQIAGTTACYQRLEFLGDAVLDHIFTDYFYHQYPECTPELLTDLRSASVNNYCYAHAAVKAGLHKHILHLSSALYRRMADYLDTFKQSFSGPSYGWEAGIGLPKVLGDVIESIAGAIYVDSKCDKEIVWRSMKRLLEPLATPDTLEMDPVKELNEFCASRAYGITYTVTHDNRVSSVVAEVQTKGTAYKATRTGITKDDAKKLAAKAMLQDMKAADGMK >PVH31546 pep chromosome:PHallii_v3.1:9:11051697:11070145:1 gene:PAHAL_9G175900 transcript:PVH31546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAATAAPPEEEDPQTLARWYQLEALERAVAGNTVAFLETGAGKTLIAVLLLRAYAHRLRSAPPPSFAVFLVPTVVLVSQQARVIEAHTDLRVNQFYGEMGVDLWRADTWRAAIDGTEVLVMTPQILLDNLRHSFFRLRDIALLIFDECHHANGNSPYASILKEFYHPQLNSRPSNPVPRIFGMTASLINSKDLQRASYSVKISNLENLMNAKVYTVDSESALSQYIPFAKTRIVQYDDSSIPSELYSHTTGCLKKLEAKHLEILKLKLNGSSFENAEKRIKKVSATFLYCISHLGVWLAAKAAEVLQTYKEIGLSFWGEKLDERAEGFVRNYAKDVYNDLSETISKMTERGVQRHIGEDFAADLQDGLLTSKVHFLIKSLLEYRHMQDLRCIVFVERVITSIILESLLSTIHQMSGWIVRYMAGSQQQCRNKHIEIIDSFRRGKVHLIIATQILEEGLDVPSCNLIIRFDPSATVRSFIQSRGRARKQNSDYVLLVRRGDANAHSKTLEFLASGQIMREESLRLASTPCQPLPNTLCKEECYVVQSTGAVVTLNSSVPLIYFFCSKLPSDEYFKPLPRFNIDKALGTCTLHLPNSSPVHTVYAQGEVSVLKQVVCLKACRELHAIGALTDALLPELTVLEDEPDIVVEKYKEEQPDYFPEQLVDNWLHFSRLGLYYCYKISLEGYSKTTPAEIVLAVKSDMGSDFISNSFKLWGVQDYVTVTMRYVGIIHLNQEQVIVARRFQTTILSLIISNDHLEVGDSIKNLLEMPLSPGVVYLLLPVVAGKIDWCSIKFSASPMLEATNKDIRRCHSCKDTDFVQTKDGPLCRCTLKNSIVCTPHNGTLYAVSGFLDLNVNSLLHRSDGSVVSYKTHFKTRHGLDLTCEDQPLLAASKILKVRNFLQKGNCQEKKETSNRNAVELPPELCIVVMSPLSAHTLYSFSFIPSIMYRIQCMLLSVKLKRQLGPIMQQFDIPSLKILEALTTKKCQEEFSQESLETLGDSFLKYIATQHLFSKYKHQHEGMLTKMKKNLISNAALCQLACSNNLVGYIRSEPFHPETWIIPGLGYDTCGSSKIVIQSPNMYSLRKLKLSIKSKRIADSVEALIGAYLSAAGEQGAYFFMKSLGMDIEFHNMPIERKVTIKSEEFINRRSLEEMLGYDFNDPSLLVEALTHGSYQIAGTTACYQEDGGMERPKWHAT >PAN44813 pep chromosome:PHallii_v3.1:9:4175694:4177407:-1 gene:PAHAL_9G072400 transcript:PAN44813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDGSVTGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFYSYTAFCFLVTIMGLVIPWSCTLAMIDVCSVFVGCPLRVPGVMVIVVVGDWVLSILSFAAACSSAAVIDLLLQFHGSQCSPRFCGRYQLSAMMAFLSWFLTAASAIFNFWFVASL >PAN44814 pep chromosome:PHallii_v3.1:9:4175782:4177058:-1 gene:PAHAL_9G072400 transcript:PAN44814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDGSVTGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFYSYTAFCFLVTIMGLVIPWSCTLAMIDVCSVFVGCPLRVPGVMVIVVVGDWVLSILSFAAACSSAAVIDLLLQFHGSQCSPRFCGRYQLSAMMAFLSWFLTAASAIFNFWFVASL >PVH31358 pep chromosome:PHallii_v3.1:9:7393514:7394905:-1 gene:PAHAL_9G124100 transcript:PVH31358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADDSAMHIVIFPWLAFGHLLPCLELAERLAARGHRVSFISTPRNLARLPPVRPTLARLVDLVALPLPRVDGLPDGAEATSDVPYDKFELHRKAFDGLAAPFAAFLDAACAAVDGGRRPDWVVADFIHHWVASAAQERNVPCAMLVLCAAGVTASAGQPGDSHAEQRQAICESMSAAPAFEAQQAAELFAAEGASGPSIISSFVQTLTRSRFVAVRSCPELEPDAFPLLSRLYGKPAVPLGLLPPQPAGTRGVSRNTENDATMRWLDAQPAKSVLYVALGTEAPLRAELLRELAHGLELAGTRFLWALRPPAGAEEDSIIPVGFADRTGERGLVTTRWVPQVSVLAHGAVGAFLTHCGWGSVVEGLQFGHPLVMLPIFGDQGPNARLMEGRQVGALVPRNEKDGSFDRAGVAGAVRAVAVGEEGRAFATNARKLQEVVADRACHERCIDGFIQHLRSCCNE >PVH31726 pep chromosome:PHallii_v3.1:9:14492176:14495767:1 gene:PAHAL_9G221500 transcript:PVH31726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPPPPPWFPPPPPRAVFSPRCTALQNPSTHAQHCHLLDGAPQSQGHASPRHARARDLAAPFAREIGACVRARRWGAACEAFASMRAVGAAPDRFLLPQVLRACAGLGAPRLAAAAHALAAKGGAALAGDPVVGNAIVAMYAALGDVASARAAFASLPDRDVVAWTALIGAHADAGELDEAFGLFEQMQESGVRPDVISWNTLVSGFARNGDLGAALHLFDEMRLRGVEPGVNSWNCIISGCVQNALYDEAMGIFQEMCESKMPDSVTVASILPACTGLQALRIGKQLHSYVLRHGIKLNVYIGASLISMYSECGEFDYARVVFSSIEEEKNVTVWNELIQLYIREGRMDKAWEAFNLMQEDGLEPDIVTYNSFIAEYARAGQKEQAYELFSGMVGMGLKPNVVSMNALICGFYQHGLYTDALDAFRYMQCSGDGKAKSWKFLDNSSPIKPTGTTITGVLSLLTDLKLDRLGKEVHCYALKNGLTSNIFVSSKLVDLYGKTGDMTSAANVFQKIENKNVVTWNSLLAAYKHNRKPEVALKLFGEVLESNLHPNLVTVQIALLSCGMTMALGYGRELHSYITKCWSGGYPATLACALMDMYGKCGNIEDARLVFECTVPKDIAVWNTMMSCYLLHRMPRDVIDLFNCLEQSNIQPDPITFILLLSACKQEGLLEEAQSYFYNMEDVYGIKPTLKHYTCMVDIMGSAGLLVESLALIQKMPLEPDACLWSTVLRACKMHSNLEVGEKAAKALFELEPDNTSNYMVLSNIYADTGLWESTEAVRDAMTEQGLHVERQCSWLHNGTTVHAFEAGDLSHPAIDAILSTWKDLTIRMEQSGYSPRDIEPYCNVEVDPFSCHHTEKIAVCYGLISTNDNEPIRISKNFRMCMECHSSIKFISRDKNRQILVSDGCTYHHFRDGTCSCGDAW >PAN44914 pep chromosome:PHallii_v3.1:9:4620343:4623096:-1 gene:PAHAL_9G080000 transcript:PAN44914 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MSQPVTPRRTTRSSAGSASDPASPSKSRPKSTPRRQLIPAASKEEEGEDERSAINALLEALPGRRAQASDLLRLLAPAPALPLLLYGGAATGKTRALLLALRHIRPRPRRVAYAALRSLPSPRALFASLLSQLSPPTSSSASSRQRVPDKPSDFVAALRDALASLCAQGEAVYLVFDNLEVVRSWDKGGQLLALILRLHDLLRLPQVVLVYVSSATPDAYYSMTGSVEPNHIYFPDYAVDEVRDILMRGHSNPKLYTSFLSVALKPLFRVTRRVDELGAALEPLFRRYCEPLGDLKAVPDESTKRRLFEHIQPHLAVALNETFSVPMRASVDQCKDGNSGVKASTKRQFGSRDSLSTELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNHKRKRKSSQASMNMKDTMVEEMLMKGPGTFPLERLLAIFQCITSVSEDALNDVECPDSMMNGSGMTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGAARYRSNIDEDLALKVARSVSFPLSKYIYRR >PVH32859 pep chromosome:PHallii_v3.1:9:65141866:65143890:1 gene:PAHAL_9G508600 transcript:PVH32859 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) UniProtKB/TrEMBL;Acc:A0A178WDX4] MENNLQQARLHPHDKAAYQSGHDGRPNKYQVIHRLESRTANLPLRYPLSSPSLSSPSVRVMDPAAPRRGRLQIYLLVVALTVLVALAARSGAEVITLTEETFSDKIKEKDTVWFVQFCVPWCKHCKNLGTLWEDLGKVIEGEDEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRDVESLKNFVLNEAEKAGEAKLQAD >PAN50037 pep chromosome:PHallii_v3.1:9:64061846:64065365:1 gene:PAHAL_9G493200 transcript:PAN50037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPSAVASPPISTSLPATRSRHWYGTPVAASSRSSRERERVGRMSSGRGSAAAERDIDDLPRNDANYTALTPLWFLERAALAQPGRASVVHGPVRYTWADTYRRCRRFASALARRSVGHGSTVAVIAPNIPAVYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAEESLKILSEKNKSAFRPPILIVIGDPTCDPKSLQYALGKGAIEYEEFLKTGDPEFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGSVYLWTLPMFHCNGWCYTWALAAFCGTSICLRQVSTKAIYYGIAKQGVTHFCAAPVVLNNLINAPASETFLPLPRVVNVNVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDELPLEERSRLHCRQGIRYIALEGLDVVDPKTMAPVPADGKTYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVRHPDGYIEVRDRMKDVIISGGENISSLEVEKVVCTHPAVLEASVVARADERWGESPCAFVTLKDGAADCSEDTANDIMRFCRERMPGYWVPKSVIFGPLPKTATGKIKKHELRAKAKELGPVKKSRM >PAN50038 pep chromosome:PHallii_v3.1:9:64062342:64065369:1 gene:PAHAL_9G493200 transcript:PAN50038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAEESLKILSEKNKSAFRPPILIVIGDPTCDPKSLQYALGKGAIEYEEFLKTGDPEFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGSVYLWTLPMFHCNGWCYTWALAAFCGTSICLRQVSTKAIYYGIAKQGVTHFCAAPVVLNNLINAPASETFLPLPRVVNVNVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDELPLEERSRLHCRQGIRYIALEGLDVVDPKTMAPVPADGKTYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVRHPDGYIEVRDRMKDVIISGGENISSLEVEKVVCTHPAVLEASVVARADERWGESPCAFVTLKDGAADCSEDTANDIMRFCRERMPGYWVPKSVIFGPLPKTATGKIKKHELRAKAKELGPVKKSRM >PAN50915 pep chromosome:PHallii_v3.1:9:68352996:68356714:-1 gene:PAHAL_9G556500 transcript:PAN50915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPMYKKQNSMGTPVHKTNPFDSDSDSEVPSKPSRAQSVPVQHTDQSVQELEDYAITKARETTHKVNDCVRAAEAIREDATQTLQTLHRQGEQIMRSHQLAADIERDLTVSEKLLGSLGGLFSKAWRPKRNQQIKGPDSENNWPARTANHTEQRWRLGIAPTRQESPSPVQTTPATPMGKIQAEKAKQDDALSDLSNTLGQLKEMAVDMGTEIDRQNKALVPFSDDVDELNFRLKGANQRGRRLLGK >PAN48155 pep chromosome:PHallii_v3.1:9:53169693:53170073:-1 gene:PAHAL_9G363900 transcript:PAN48155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSKKKKQATDAACSGRPAARPHWRRRDPADTSVYVVHPAQFRAVVQQLTGAAAPSPPPPGPHQHQAGNGAAAAQQQTQPAAAVTGTDSAQQHGSSGEESCSGAKTLGQMRDECMAWANADD >PAN48589 pep chromosome:PHallii_v3.1:9:56470474:56473376:-1 gene:PAHAL_9G393100 transcript:PAN48589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLTAAGSRILGGGGARAAAAALRQRAGMGLPVGRHIVPDKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFAALGVAATVNDKASKIPYTPKVYPYDNLRVELGDRP >PAN46845 pep chromosome:PHallii_v3.1:9:14288591:14293539:-1 gene:PAHAL_9G219300 transcript:PAN46845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGAGSRMGVAGALSLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLYVAQRLRFFEPKPIDARTVISFGLLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTIVLETIFLNKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTKRDVFAFNYTVEVVAFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILRDPFSARNVAGILIAIFGMGLYSYYSVAESRKKTEAAISLPVAAQMIEKDSAPLLGAKGSPRPENKSEDSFDYMPRTAKSAFTGR >PVH32086 pep chromosome:PHallii_v3.1:9:34744605:34744859:-1 gene:PAHAL_9G307400 transcript:PVH32086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFVAHHLLAKIEKVNMKEEKEIIVTWSRASSILPAMVGHTFAIHNGKEHIPIYITNHMVGCKLGEFVPTRYESARKDTKSRR >PAN51601 pep chromosome:PHallii_v3.1:9:71351222:71353740:1 gene:PAHAL_9G606600 transcript:PAN51601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGGRNGLRDDEDDIAEHAEAFAGASDDEDVPPHLRALANAAQTGDVDALRAALDNHDDSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEMVQYMLNFAANRDDCIVRMLNTVDSEGDTPLHHAARGEHLDVVKLLLEAGASPKKENTYGQTPADMADQDTEVRTLLLAKQVEASTHMSDD >PAN48642 pep chromosome:PHallii_v3.1:9:56744686:56747972:-1 gene:PAHAL_9G396900 transcript:PAN48642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MAFSRLLPSRGRLLSALLHNPGPIPIPTPSGAAAAGTPASTPLAPFLRSFASATRRAGPSSRPRAVDIGARARQLQTRRLWSYGLAFACAGGFVVAVLATFQDQLVFYVTPTDALAKFAADPSKSRVRLGGLVLEGSVAHPSPSSPEIEFVVTDLITDVLVRYDGALPDLFREGHSVVVEGFLKPFTDDLRRDDGRKVAEKARECACFLRGTEVLAKHDEKYMPKEVGEALERNKKQLEADAEAAAAAQGTIVAAAAEGAKA >PAN48209 pep chromosome:PHallii_v3.1:9:53623520:53628073:-1 gene:PAHAL_9G367900 transcript:PAN48209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDATAPHALLLPYPAQGHVIPFMELAHRLLDRGFAVTFVNTEFNHRRVVEAAAGAPAAEGGRRLRLVGVPDGMDDGEDRDNLVRLNATMPETMPPRLEALLDGGGGSAGGEGLGRVTCVVVDAGMSWALDAAKRRGLPTAALWAASAAVLAVIVGAKNLIRDGVIDDDGAPVKLDSSDSFRLAESMAPMDATFLAWNYMGNRDAERMVFHYLTTTAWAAVANADVLLCNTFSDLEPDIFTHHSPASILPIGPLRRWQRRSTSGEAPVVGHFWRTDDETCLSFLDAQPHGSVVYVAFGSLTVMSPAQLQELALALGTSGRPFLWVFRPGLAGKLPTALTDQVAQHGRGKLVEWAPQERVLAHPAVGCFLTHCGWNSTLEGIRNGVPLLCWPYFTDQFTNQTYICDIWGVGVRMAPIDRQGIVTKEEIMERLESLLGDGGVKERVSKLKELAERSMSGEGQSLKNLNVFMEFVRK >PVH32187 pep chromosome:PHallii_v3.1:9:47104205:47108927:1 gene:PAHAL_9G341500 transcript:PVH32187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKATMICVDNSDWMRNGDYRRSRFAEQSDAVATICNAKMQANRESSVGVLAMAGRGVSVIVKPTDDIGKVLARMHGSGLQIGGEANLTVAIQVAQLALKDRQNKQQQQRIIVFVGSPVIDDKDVLEAIGKKLKKNNVALDVVDFGESDGEKHEKLEALVAAVSSGGNSHIIHVLPGEDFLSNVILSSSILAEDQESVLAAGFEFGVDPNVDPELALAFQVSIEEERARQEDAAEESSETKSTGQSLTSNDDIVMADAESKPNPYTEDKRNLQTVCLVSFS >PVH32268 pep chromosome:PHallii_v3.1:9:52505070:52513990:-1 gene:PAHAL_9G358000 transcript:PVH32268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIMVSVATGAMNSLLDKLTMLLGKEFRLHNGVKRDIAFLKDELSCINALLEKLANMEVLDLQMKVWRKQVREMAYDIEDCIDDYMRRPDRQRSSGVIGFFRVYVQKVKELVGRHGVAQQIKELKDRIVEVKHRRKRYKLDSEVDRGTNNVLSIDPRLPALYVESSDLVGIDIPRDQLINMLDDGEPSLKVISIAGLGGLGKTTLANEAYKKISWLFDCKAFVSVSQKPDVKKILQIILSQVKNQDCANTETGDENQLINSLRGFLKNKRYFIVIDDIWNTQAWKTIKCALIENTCGSRILVTTRIVTIAKSCCSPHHGTVYELRPLNEADSISLFYKRIFGSEDLCPSNLKDVATEIIKKCGGLPLAIITMASLMTTKLDSREEWVSVCNSIGLGLQNYRVEEIERILSLSYNDLPYHLKTCLLYLSMYPEDYKVDMFQLVRRWIAEGFVKVESGRSLVDEGKSYFNELINRSLIQPVSIGLDGQATACRVHDMILDLIVSKAVEENFITPIGDPMQTLVSQEKVRRLSIDYRAPEAVMSQSSLIFSHARSLSVFGYSEKMLSISNCQALRVLDLESSVKLQNCYLQNIGDLFQLRYLRIAASSITRLPEQIGELQYLETLDLRRTWIRKLPASIVKLRRLIFLLVNGSQLPEGVRNLQFLEELSGVSMYNECSKDSLQELGSLTNLRTLRLTWHISDSRSDRAAYTDILASSLGKLVSSRLRFLCIVRWPGSADIPLDSWSSPPHLLRELYVPGCCFQRIPEWMTSMANLYRLSIRVKQVTQEILHILGALPALLDLELRSEAADEPMEMLSFCNSQFRCMKIFRLYGPIMGLMFEDGAMPELEALSIEIRACQVQSAFAGHPDLGIHHLTSLRDLNVWINCGGARLQEVEVLEVAISDAVNLISNHPKLYFHRDNQEEMVKDDTIMPCN >PAN51881 pep chromosome:PHallii_v3.1:9:72695727:72698189:1 gene:PAHAL_9G626900 transcript:PAN51881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLISTAVHDELPENYVRPEMQRPRLHEVVSDAQIPVVDLADPDLTAVIARIGEACSTHGFFQVLNHGVPVELMDAMLAVAYDFFRLPPEEKAKLYSDDPAKKMRLSTSFNVRKETVHNWRDYLRLHCHPLEQYVPEWPANPPSFREIVSAYCREVRELGFRLYAAISASLGLEEEYVKKALGEQEQHMAVNFYPKCPAPDLTFGLPAHTDPNALTILLMDQQVAGLQVLKEGRWIAVNPRPNALVINIGDQLQALSNGRYKSVWHRAVVNADRPRMSVASFLCPCNDVLIGPAAKLVTEGSPAVYRDYTYAEYYSKFWSRNLDQEHCLELFRT >PAN45682 pep chromosome:PHallii_v3.1:9:8156625:8161173:1 gene:PAHAL_9G134600 transcript:PAN45682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERHTNRHRGFGFVTFEDRRAVDSAIKEMHGQELDGRTISVNKAEPKMNTDDTRYDNGGGRGEYRGGRGDGPPPGNCFECGRPGHWVRDCPSAGGGRSGRFSSKFGGGSGGGRGDRFSGSDRFGDHYMDDRYDGGRYGYRDQVDTRDRYAGGRDRYANDRYPSGGDHFGADRYGGGSDRYAPSGYGRERERSYDRDGVRGGGGGGGSGYDRSGPRGGGSYDRDGPRGGMGGGYDRDGPRGGVADRYGGGGPARYDGGSYRERPGPYDRPSRGGGRFDDRY >PAN45681 pep chromosome:PHallii_v3.1:9:8156625:8161173:1 gene:PAHAL_9G134600 transcript:PAN45681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEGRIFVGGLSWQTDERKLQEAFDRFGKVVDAQIMLERHTNRHRGFGFVTFEDRRAVDSAIKEMHGQELDGRTISVNKAEPKMNTDDTRYDNGGGRGEYRGGRGDGPPPGNCFECGRPGHWVRDCPSAGGGRSGRFSSKFGGGSGGGRGDRFSGSDRFGDHYMDDRYDGGRYGYRDQVDTRDRYAGGRDRYANDRYPSGGDHFGADRYGGGSDRYAPSGYGRERERSYDRDGVRGGGGGGGSGYDRSGPRGGGSYDRDGPRGGMGGGYDRDGPRGGVADRYGGGGPARYDGGSYRERPGPYDRPSRGGGRFDDRY >PAN45026 pep chromosome:PHallii_v3.1:9:5067794:5072086:-1 gene:PAHAL_9G088600 transcript:PAN45026 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDDRAHGIMGQTKEQIKYLLGVSSHGYDRSNMDDDESDRMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKHEGKIPPDEPPVLPPAPVVSRDEKRRLGFSTPQSSKKFREREWDRERGIDFDLMPPPGSSKKAGTSMDLDQMIDPNEPTYCICHQVSYGDMIACDNENCEGGEWFHYTCVGLTPETRFKGKWFCPTCRNLQ >PAN45027 pep chromosome:PHallii_v3.1:9:5067794:5072127:-1 gene:PAHAL_9G088600 transcript:PAN45027 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDDRAHGIMGQTKEQIKYLLGVSSHGYDRSNMDDDESDRMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKHEGKIPPDEPPVLPPAPVVSRDEKRRLGFSTPQSSKKFREREWDRERGIDFDLMPPPGSSKKAGTSMDLDQMIDPNEPTYCICHQVSYGDMIACDNENCEGGEWFHYTCVGLTPETRFKGKWFCPTCRNLQ >PAN51059 pep chromosome:PHallii_v3.1:9:68960174:68961526:1 gene:PAHAL_9G566800 transcript:PAN51059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLFHSCRSPSAAAAVTPTATLLRSERPPVVPAPAGGACCPRRGPLRRRQPQPPSACGAGGCAVDYGADDLPPARGTPAYRWLKSSRWHVVEAADAYASDGDGDQTPRVKIDARRRVRHSRRRRRRVLYRRAAAPGSWSSGDSGWFSSDDDDGGPFGAESSSSALQVASTTTTESSSTGASGNSAGAVARAPARREKSAAAMAGGFAVVKRSDDPRGDFRRSMAEMVVGRGIYDADGLERLLRCFLALNDRRHRRDIVAAFGDVWEAIFANPPPSHSNANPAAASSHASSCKAAAVTRP >PAN44725 pep chromosome:PHallii_v3.1:9:3701977:3702575:-1 gene:PAHAL_9G065000 transcript:PAN44725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSVSAQRGHIPVIVGEGKELQRVLVHRKVLHHPYFTGLLELAAMEFGHDQKGVLRIPCDVKCFHTIVQLIRSSTRRRKVTISCLLVPKLMQQSERVRG >PVH31180 pep chromosome:PHallii_v3.1:9:4319529:4323921:1 gene:PAHAL_9G074800 transcript:PVH31180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFHFLNCAFLTFGPHVVYYSATPLSEYDTIGTSVKAAAVYLGTALVKLVCLATLLKVPENDSFDPYQELMKVFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGIEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPT >PVH31729 pep chromosome:PHallii_v3.1:9:14519319:14519677:1 gene:PAHAL_9G221900 transcript:PVH31729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILELLLFMVCTSASYPIFFRVSCPFFEVNRVSCPQQRLVPQFFLPDLSPILIASAVHSTN >PAN46691 pep chromosome:PHallii_v3.1:9:13354427:13355389:1 gene:PAHAL_9G207500 transcript:PAN46691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNVVQRCLPKEIQDILQKNKINDISCIRSVFELSGRFECWYFTDGSSTGIIGELQNLIVKCCTFEPTIKD >PAN46093 pep chromosome:PHallii_v3.1:9:10139694:10141998:-1 gene:PAHAL_9G162900 transcript:PAN46093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLPPGFRFHPTDEELVTYYLTRKVSDFAFATRAIADVDLNKCEPWDLPGKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHCGMLVGMKKTLVFYRGRAPKGEKTSWVMHEYRLQNKFPYKPNKEEWVVCRVFKKCQIIKMRPPQGSPTMDDSPCHDGNASLGELGELDVSSILGSFTPAAAHASSTSSPGALHQGAGESFGHRMDINAYMSWMAAANQGAAAAAAAAMLPWATTPGLFGNVFAPNHQLVQKPLPFAGCSQPRDFGGVAANVGSEHAMFASSLAKVEMECDQQQQQPAPAPEQQLGMDESTWRAF >PAN50110 pep chromosome:PHallii_v3.1:9:64492902:64495865:-1 gene:PAHAL_9G498900 transcript:PAN50110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSCGRDGIRCDAGSRRERRGGVSPLLLLLLLLLAAAAAVPAHGQRSDGVVIAQADLQGLQAIRQALVDPRGFLSGWNGTGLDACSGGWAGIKCAGGKVVAIQLPFKGLAGTLSDKIGQLTALRRLSFHDNIIGGQVPAAIGFLRELRGVYLHNNRFAGAVPPALGGCALLQTLDLSGNYLSGSIPSTLANATRLFRINLAYNNLSGVVPSSLTSLPFLESLQLGNNNLSGVMPPAIGNLRLLHELSLGSNLISGSIPEEIGNLSKLRSLDLSDNLLGGSLPASLCNLTSLVELNLDGNDIGGHIPECFDGLKNLTKLSLKRNVLDGEIPATVGNLSALSLLDVSENNLTGEIPASLSGLANLNSLNVSYNNLSGPVPAVLSNKFNSTSFVGNLQLCGFNGSAICTSASSPVVSPSPPLPLSERRTRKLNKRELIFAVGGILLLFLLLFCCVLLFWRKDKKESSSPKKSAKDATTTKTVGKTGSGAGSGTDGGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSQKEFEAEVNALGKLRHPNLLALRAYYMGPKGEKLLVFDFMPKGNLASFLHARAPDSIPVDWPTRMNIAMGVARGLHHLHTDANMVHGNLTSNNILLDEGNNAKIADCGLSRLMSAAANSNVIAAAGALGYRAPELSKLKKANTKTDIYSLGVVMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSETGEELVKTLKLALHCVDPSPLARPEAQQVLRQLEQIKPSIAVSAASSFTGEPSHTTATATSVTDETRSTVTE >PAN43958 pep chromosome:PHallii_v3.1:9:464123:467828:1 gene:PAHAL_9G006500 transcript:PAN43958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDAFTDKNAVFRRLKAKPENKMCFDCNAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWNDGGKVEAKYTSRAAELYRQILSKEVAKSATNDNALPSSPVASEPPKPADDFPEFKLSDAPAENLNGKQEPKSPKAPPRSPKAPTHPTFATSVKKPIGAKKVGGKTGGLGVRKLTTKPNESLYEQKPEEPKPAVPALTTTSTTKSSPSLHSRFEYVENEPSADSRTGGSHVTGHVAPPKSSDFFQEYGMGNGFQKKSSNASKAQIQETDEARKKFSNAKAISSSQFFGTQNREEKEAQLSLQKFAGSSSISSADLFGHGRDVDDSNLDLSAADLINRISFQASQDLSSLKDIAGETGKKLTSLASNFISDLDRML >PAN44865 pep chromosome:PHallii_v3.1:9:4402649:4412249:1 gene:PAHAL_9G076300 transcript:PAN44865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWWRRSGSPSGSSQSSSAFTPASPARASTSRLIGGGGSGPSSRRDGGGGSGEPQPRLSRAPRMRYVVDDLEIGVPAIGVDPTARRDAAAGFGLATASSTPISRSPSNMEVAPARSSSTPMLLPHPLPLPGDGEPSCRGPGRPLPSPTSRMLDGDWNLPAAEAPGVLETGSERMPPLLARRVVAQTLPKTHEHNDFRLNGTTCGERRKAFKEKFQDKNSDETLNFRLNIPARSAPSSGFSSPVQSPRRLSNVDFSSAAISIQDTNVWSARSIWSSDLMGSSPPSPSPDKFAGGQERSPRSSPLRNPVLRSRNPSAPPSPMHPKLFPENHVSRNEGNGSASFHPLPLPPASVSPKQTNTSHQVVSKVEMPSVAGQWQKGKLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSETIEERFYIYLEYVHPGSIHKYVHQHCGSLTESVIRNFTRHILKGLAFLHSQKIMHRDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVRATLDKSAGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLRTDPPIPDNLSPEGKDFLRCCFKRNPAERPTASKLLEHPFIQNSNHFNQHGSTPYNQHGSTPSFAAIKSPDTGHSGARDKVPWKNDSCMRGKHANGETSSARSSGSLAYRLTTPLPNSGTNSLSPPPLSSASSSGSANHTPKGMNFSVTYPQPSPLPKPNGKEAINLILH >PAN48390 pep chromosome:PHallii_v3.1:9:51287126:51288238:-1 gene:PAHAL_9G355000 transcript:PAN48390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMSSLLFQLFRDSSLLQDYFAVDWWWRTRLWCSTVQVTTAVLTSHVRPPWFQLVYMLLEVAVCLCRIVSMSGSNWIFRGFGRPVKHGSFQIVNAIQYIFFQVHSYLSIGGGSEDVLLLLFFQVIVIYPNQSPLLRSCQCNHRIQRSCGLNNLQRLGYLE >PVH31102 pep chromosome:PHallii_v3.1:9:3310695:3312442:1 gene:PAHAL_9G058000 transcript:PVH31102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDRKTDMIMAGGRHPMKVKYSVGFKSDGQITALHLDLGINAGISADVTPLMPRAIIGALKKYNWGALEFNTKLCKTNGSSKSAMQAPGDVQGSFIAEAIIEHVASVLSLDNTIRRKNLHDFESLAEFYGESAGDASTYSLVSMFDKLALSPDYQHRATMIEHYNSSNKWTKRGISWVPITYEVNLRPTPGKVSIMNDGSIAVEVGGVEIGQGLCFKVKQMTAFGLGQLCPDGGECLPDKVRVIQADTLSMIQGGFTAGSTTSETSCEAVRQSCAVLVERLKPIKGSLEAKAKPVEWSALIGQVSKTYQFCLCW >PVH31609 pep chromosome:PHallii_v3.1:9:12235758:12236715:-1 gene:PAHAL_9G191400 transcript:PVH31609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHASRHAAAVPGSADAAGAEVIGVVAEATVAVAEASAVIFSRCAAMSPDVSAVSSRKWLARLGVAPATSKVAPETVASALERLEELEECIAGLESGSEKVFRRLLQSRVLLLNIRNPL >PVH32995 pep chromosome:PHallii_v3.1:9:67472107:67473753:-1 gene:PAHAL_9G543800 transcript:PVH32995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLAAMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKGKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHSDLLSKFNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVLEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQDNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN44612 pep chromosome:PHallii_v3.1:9:3260364:3260826:-1 gene:PAHAL_9G057500 transcript:PAN44612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPWTLLVAAAMAAALLPVPASAKTYMVGDGAGWDTGVDYDAWARGKKFKVGDTLVFRYSTPEHDVVQVDARGFAECVAPDNTVLLTSGNDHVVLGQAGRFFFICDTEGECSSGLKLTVNVH >PVH32037 pep chromosome:PHallii_v3.1:9:32303000:32303751:1 gene:PAHAL_9G299200 transcript:PVH32037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLHSALHGDSESKDKRKKGERKSMPLLQVTDTQTELGRAREQLSGEEAVGGGHPQRATGLRARHAGPGHGCRCPSALIAPTGGESRAIAAVAVAGGRLLAPPPHHQCCPLGPPLELLNHQQRYVRHCNPASSLTPTGRHTQPTFLCLLLCSSS >PVH33006 pep chromosome:PHallii_v3.1:9:67644455:67645261:1 gene:PAHAL_9G545800 transcript:PVH33006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQRGRAAMGHWRGVWEISPTSFVSHATPSSASWKEQGQRAAARGGSRSSGRCRAGAAAEQGATARGEQEKGRRAGEQEQGAAARGGSRAGAWGGAEGGGAWGEQPSRGGGARGEQEKGRRAGGAGAGGGGARGRRRAGRGEEQRAAARGGSSRAGAAARGGAGEAAAARGEQEEGGARGEQEEGRRRGGGSRRRGGARGSRRRGGAGSRRRGGAAGRGAGEGAARGGSRRRGDGAGGAGEGAASGGAGQGFRAAARGEHCRAGAAA >PAN50664 pep chromosome:PHallii_v3.1:9:66986641:66990365:-1 gene:PAHAL_9G536100 transcript:PAN50664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYVRNPVAVAEAETTAKLQKESVKVEKKKEKRSVKKALQQGETSKHSKHSHKKRKHEDASTAGQEPKKVSKESLEQSEKSGLSEERGAPCFIQTVRDSPESSHDSSKRRKVVLPSPSQAKNGNIVRIKFKSNQDSQAVLEKPRVLEQPSVQQMGSGSLLSKQNSILHHNKVNVRSAAAQQAVQKRVITEHPAKPMQRVVSQPAVKVTQPVELSVKAPVSRSDLLPPKFLGRVEPSPSRAMGRSDPQPVKMTQRAQHPPARDLLKGPQVPSEEIQRKSPAISTKVAQKEFRSAVQFPEAPQLPALQKPKEPPVIKQQQEPVISVPKEEPCFSGRNAEAAPVQEARLSRSDKKKIRKAEKKEKKFSDLFVTWNPVSLQMEGSDLGEQDWLLGSTRNTDASMTCRASDFSVPFQSMEQQPSLQPRATFLPDLHIYQLPYVIPF >PAN50665 pep chromosome:PHallii_v3.1:9:66987128:66990365:-1 gene:PAHAL_9G536100 transcript:PAN50665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYVRNPVAVAEAETTAKKESVKVEKKKEKRSVKKALQQGETSKHSKHSHKKRKHEDASTAGQEPKKVSKESLEQSEKSGLSEERGAPCFIQTVRDSPESSHDSSKRRKVVLPSPSQAKNGNIVRIKFKSNQDSQAVLEKPRVLEQPSVQQMGSGSLLSKQNSILHHNKVNVRSAAAQQAVQKRVITEHPAKPMQRVVSQPAVKVTQPVELSVKAPVSRSDLLPPKFLGRVEPSPSRAMGRSDPQPVKMTQRAQHPPARDLLKGPQVPSEEIQRKSPAISTKVAQKEFRSAVQFPEAPQLPALQKPKEPPVIKQQQEPVISVPKEEPCFSGRNAEAAPVQEARLSRSDKKKIRKAEKKEKKFSDLFVTWNPVSLQMEGSDLGEQDWLLGSTRNTDASMTCRASDFSVPFQSMEQQPSLQPRATFLPDLHIYQLPYVIPF >PAN43926 pep chromosome:PHallii_v3.1:9:330163:341703:1 gene:PAHAL_9G003700 transcript:PAN43926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLRDLSQPIDVTLLDATVAAFYGTGSKEERSAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEVTFRQERLYVNKLNIILVQVLKHEWPARWSSFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPETIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEITPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAAAVSMMGLQAQMVPGIVDGTGTAVQHRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLATTIADLEPHQIHTFYESVGHMIQAESDNAKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDATSVPYQYTDNAMFVRDYTIKLLGTSFPNMTATEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPIELQDEMVDS >PAN43925 pep chromosome:PHallii_v3.1:9:330163:340999:1 gene:PAHAL_9G003700 transcript:PAN43925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLRDLSQPIDVTLLDATVAAFYGTGSKEERSAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEVTFRQERLYVNKLNIILVQVLKHEWPARWSSFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPETIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEITPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAAAVSMMGLQAQMVPGIVDGTGTAVQHRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLATTIADLEPHQIHTFYESVGHMIQAESDNAKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDATSVPYQYTDNAMFVRDYTIKLLGTSFPNMTATEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPIELQDEMVDS >PVH31663 pep chromosome:PHallii_v3.1:9:13047548:13056107:-1 gene:PAHAL_9G204900 transcript:PVH31663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MATTPSTSAPAPTSSAFPLTTAARFPRSSACPVRASALAERRRTRRRRAPEGGGAGGDRSAAAGAVEKGLRLAFLEQLAERARAADAAGVADTIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRIFAKKGLSTRGMEILAAMERYKYDIRKAWLILVEELVKNHYLEDANTVFLKGAKGGLRGTDEIYDLLIEEDCKAGDHSNALTVAYQMEASGRMATTFHFNCLLSVQATCGIPEVAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAADVAELLGMMVEDHKRIQPNARTYALLVECFTKYCMVNESIRHFRALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRPVELLDALEAMADDNQSIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEDDVRRVVERLKKLVVGPKKNVAKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEGLYELISRIKPEDGNTEFWKRRFLGETRNYLCEEDSNEEDQDLDDELDDDDDDEDDDDDESTKEAEEDEIDDEEAVEQTENQAGDETKDKPAKGPNQHLQMIGVQLLKDLEKTPVSSKKSKRMPEIDDDEDWFPEDPIEAFKVMREERMFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVMDLGGTPTIGDCAIILRAAMRAPLPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLASKKSGNSALPQPTE >PVH31662 pep chromosome:PHallii_v3.1:9:13047147:13056180:-1 gene:PAHAL_9G204900 transcript:PVH31662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MKLLWPWSVFLPRRVFLPGAWKFLLLWRDIKELVKNHYLEDANTVFLKGAKGGLRGTDEIYDLLIEEDCKAGDHSNALTVAYQMEASGRMATTFHFNCLLSVQATCGIPEVAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAADVAELLGMMVEDHKRIQPNARTYALLVECFTKYCMVNESIRHFRALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRPVELLDALEAMADDNQSIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEDDVRRVVERLKKLVVGPKKNVAKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEGLYELISRIKPEDGNTEFWKRRFLGETRNYLCEEDSNEEDQDLDDELDDDDDDEDDDDDESTKEAEEDEIDDEEAVEQTENQAGDETKDKPAKGPNQHLQMIGVQLLKDLEKTPVSSKKSKRMPEIDDDEDWFPEDPIEAFKVMREERMFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVMDLGGTPTIGDCAIILRAAMRAPLPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLASKKSGNSALPQPTE >PAN50554 pep chromosome:PHallii_v3.1:9:66397813:66398997:1 gene:PAHAL_9G527300 transcript:PAN50554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQYPPMCASAPPTPGNMEMALVGVAAYPPGPAQVLPVRPQQLGGGAPADGDRSGWAGNDPSTLLVVATLLTALAYLLGTSMPGGYWPHDTPSRDRRAVYRAGDPVMRDLHRPRYWVFRAATWAGVASSMVMTLSLLVRMPVGSRHVVWSFSVAYSSLVLTFIVSQSRTHLSLDILIWVAVLALIWLVVSLHPERRARVIRAIFCCNREN >PAN49742 pep chromosome:PHallii_v3.1:9:62572430:62574738:-1 gene:PAHAL_9G472300 transcript:PAN49742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPSFVVGIIGNVISILVFASPIATFRRIVRNASTEDFRWLPYVTTLLSTSLWTFYGLLKPGGLLVVTVNGAGAALEAAYVTLYLIYAPRETKAKMLKLVLAVNVGFLAAVVVVTLAALHGGVRLLAVGVLCAALTIGMYAAPLGAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSVLVKDYFIGVRPQRHRLRPGHGAAGAVHGVPEGDAAAAQGGRRRRRRGGGRGGRAGAADDGAGGGDGAAAGAAAQGAQPAQAVGRAAVVVAAGRAREHHQVHVRHPRRAALRPAPARPRPVRARQEGRRRRGGRVTSAVVQAFLCA >PAN49741 pep chromosome:PHallii_v3.1:9:62572117:62575116:-1 gene:PAHAL_9G472300 transcript:PAN49741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPSFVVGIIGNVISILVFASPIATFRRIVRNASTEDFRWLPYVTTLLSTSLWTFYGLLKPGGLLVVTVNGAGAALEAAYVTLYLIYAPRETKAKMLKLVLAVNVGFLAAVVVVTLAALHGGVRLLAVGVLCAALTIGMYAAPLGAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSVLVKDYFIGVPNAIGFVLGTAQLVLYMAYRKATPPPRKEDDDGDGEAGDEEEGLAQLMTGQAVEMAQRRARLHKGLSLPKPSGAPLSSSPRDGLGSIIKSMSATPVELHSVLHQHGHGRFEPVKKDDDVEADE >PVH31706 pep chromosome:PHallii_v3.1:9:13995921:13997695:-1 gene:PAHAL_9G216500 transcript:PVH31706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHICFASFRDRVLSSLPFLPKAPKPSSPLPRAAAAAAMDKSMLGDLDGLPEEDKMRMAVMIEQIQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >PAN51540 pep chromosome:PHallii_v3.1:9:71068816:71072975:1 gene:PAHAL_9G601300 transcript:PAN51540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAAPAAGYGADGVYRSPRPAVRIESDPGLSLTDLVFRRADACPSALALADAATGQALTFSAFRSAVLTMAVALTSRAGVRPGDVVLLLAPNCVLYPVCFFAVTALGAVATTANPLYTPREIAKQVTDSRAKLAITVSELLPKIAELRLPTILLDGDAASATASMPPGASVTLYSDLIAGAQETEYRRPPTKQSDTAALLYSSGTTGASKGVILTHRNFISAAPMITADQDAHGEGPNVFLCFLPMFHIFGLSVIIFAQMQRGNAVVAMSMPRFDMDFVMAAVQRHRVTHLFCVPPVMIALAKIGRAGKYDLSSLRFIGSGAAPLGKDVMEVVARNFPDAIIGQGYGMTETCGIISLEYPEKGQARQFGSTGSLVSGVEAKIVDIETLKCMPPNQLGEICVRGPNIMQGYFNNVQATEFTIKQGWLHTGDIGYFDEGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAEAGEVPIAYVVRSPVSSLTEVDVQKFIEKQVAYYKRLRRVTFVDSVPKSASGKILRRELIAQVRSSKL >PVH32001 pep chromosome:PHallii_v3.1:9:29835225:29835776:1 gene:PAHAL_9G287000 transcript:PVH32001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEPVAVSAVLPEALGKSEERALILGWAYYLYAFSSYPLHTWLPSVYRRHDNWYTRGASFPVLSY >PAN49129 pep chromosome:PHallii_v3.1:9:59422274:59427443:1 gene:PAHAL_9G428100 transcript:PAN49129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVTADSAQSRRSPPPPSPAPSMARFQQQPSGRQAPPPGADPFAFGVVAFIGICFVLISLSVPSSVLHQVPEGHVGVYWRGGALLKTITPPGFHLKLPWITQYEAIQVTLQTDQVRDIPCGTKGGVMISFDKIEVVNRLRKDYVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPGSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKKQEQIDNEMYLAREKALADANYYRILKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRLLKNYLDDVSRKDHSEL >PVH32058 pep chromosome:PHallii_v3.1:9:33362346:33363281:-1 gene:PAHAL_9G303500 transcript:PVH32058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFCDHAGHLHTNALHWEGFSRLLWESLSLFFYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSQWQPIEVDVVGYRLVDTIETAALEAIHLFCNQHPMEVAGYPIGLFPAIDSGDPEWNFRIGHYGHLLGDSAEETLCGLIRFMNVQHHYQILLRRGMGQFIGIAQGHYRNANQQVTQIVELQALVTEKEEIITAREEAILHPEDQINESDAIITQRNTTIEFLQEQIHDLILEVDDAHAHIDKLQQQLVPPAVPVAPEGGEEDPEEIEGVSDLDSEHGDPEPNPHPDHSSSSSQSSIGNLDDF >PAN51152 pep chromosome:PHallii_v3.1:9:69440610:69443063:1 gene:PAHAL_9G574200 transcript:PAN51152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSSKPIRCKAAVCRAAGEPLAIEEIVVDPPRAYEIRIKIVCTTLCHTDITFWQAKVAPAFPRILGHEAYGVVESVGEHVEGFAAGDAVVPTLLGQCDHCPSCASEHNNLCSSVPITLAPGMRRDGTTRFRDAQGNPLHNLLAVSSFSEYTVVDVTQVVKVDPAIPPKIACLLGCGAGTGVGAAWRLAKVEPGSSVAIFGLGSVGLAVAQGAKMCGASKIIGVDLNPEKEKVGEAFGVTDFVNPTQIDKSSVSEVISEMTGGGVDYSFECVGLPSVMTDAFRSTRTGNGKTIILGLGNQTDLLCVPALELLFGKCLIGSALGGIKPKTDIPILAEKCMSRELELDGLVTHEVGLREINTAFDLLLQGKSLRCIIWMDK >PAN48246 pep chromosome:PHallii_v3.1:9:53983766:53985862:1 gene:PAHAL_9G370600 transcript:PAN48246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSHRRRQSRRHTPSLPPPPAIIKHRSGGGRSHRQRANQPIAPRLSTRQAMEAATATSSPRLTRSASLSAARLPRSRAARFPPSPQLCPLSSAAAASPRALHPLRRARSDADLASFTRSAVLLRHAPIPAILEADEEEDDRSPAGLDRLLDGAGAGRNGVGGRQGGGQGGNGGSGGQGSSCDMGEYYRRVLLLDPENPLLLRNYGKYLHEVEGDLAGAEGCYARALLACPGDADLLSLYGRVIWEARQEKDLAEAYFERAVQAAPDDCYVLGSYASFLWDAEEDDDEAAPAATAPAAVAAPAAPCDDPALVPAC >PAN48340 pep chromosome:PHallii_v3.1:9:54576462:54577708:-1 gene:PAHAL_9G376400 transcript:PAN48340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPSSASSSLDHGCSYLYKKNVPLLLTFAMADAHYLFDEMHTPCLARNCTQSSSRVLSL >PVH30975 pep chromosome:PHallii_v3.1:9:1349096:1352023:-1 gene:PAHAL_9G023700 transcript:PVH30975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLNHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGYYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >PAN50862 pep chromosome:PHallii_v3.1:9:68116050:68117243:1 gene:PAHAL_9G552700 transcript:PAN50862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSRGGDVVSWTKRVSALARSGRAAEAVAAFSRMDAAPNALTLASVLPACAALRSLGAGRAVHGFWLRRGGAPGANLIVDNAVLDVYAKCGALGSARRLFDGMPERDVRSWTAMVWGLARGGSPHDAVGMFRAMLSDGGGGGAAPNEATVVSVLHAVARTGSLACGEALHSYALKRGLAGEQVVGNALINAYAKCGDARMAFRAFGELPEKDLVSWGTVTMAMAMNGRCSEALLLLSLMLRRGVRPDGAVFLALLSACCHAGLADQALLFLDAMTRVYGIAPGKEHYTCALDACRRAGRLDKFEEIVSQMPVEYDQQVLGVYYASASEWRARGVAGVGGEQLWERFLGGEVDAGGGMYTLMSKSLADAGRWEDACAVRERMIAKRIEKDVACTWSY >PVH32542 pep chromosome:PHallii_v3.1:9:58959778:58961672:-1 gene:PAHAL_9G423700 transcript:PVH32542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAANLLIFCRNTSYRCWPLSAMATAKLHFVLVPLMAQGHVFPMVDLARVVAGHGARVTVVLTPVNAARNRTLLENVARAGLAVDVAELPFPGAAVGLPEGFESLDMVTDISLAIRFYQAIDLLAEPLEAYLRSLPRRPDCLVADASGSWTAGVTRRLGVPRLVLHASSAFNLLAMHNLAQARHVCDRAADDFEPFEVPEFPVRLVVNRATSFGLRLMENQQVETVEAEATADGILVNSCTDLEGAFLERYAEALGRKLWAIGPLCLLNTNAAGTVARRENRTAMDVEHIVSWLDARPAASVLYINFGSIARLFPPQVAELAAGLEASRRRFIWVVKETAGLDVEFEARVKDRGLVIRGWAPQMTILSHPSVGGFLTHCGWNSTVEAVSHGLPLLTWPHFADQFLNEALVVDVLGVGVRVGVKVPATHIGLVKPGELLEVQVGRDLVERAVAEVMNEGPAGAARRARANELADKVRAVMVEGGSPDTNVKDMIRHIVELPRKEGMAFGAAEVVMSKGKEKKMDDTDGKLPVASE >PVH31238 pep chromosome:PHallii_v3.1:9:5262050:5263930:-1 gene:PAHAL_9G092100 transcript:PVH31238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVISWNTMVAGFVNAGKLDLACKYFFEVPSRDLISWKALLAGFARLEDFSAMIELFNDMLASRVNPDKVTAVTLISAATGNGSLNHGRSIHGWVVKGFGLQDAFLASALVDMYCKCGNVRGAYSVFEKALDKDVTLWTAMISGLAFNGHGTEALDLYWKMQAEFVAPNGVTFLAVLSACNHAGLLDKDAGFLTL >PAN51174 pep chromosome:PHallii_v3.1:9:67200214:67202912:-1 gene:PAHAL_9G539800 transcript:PAN51174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIQVISRQIKAATATGDCCGGDAVGDSCGATRRAIPAAATRRAAAEASDATATAPRRSPFRLLSNAVVQIIRGTRSEKYTAKDWLCEVCGNFNKVNHFLIFYLPFFHCGMCKKMYNGIVYFNLVDVEVNGKSILPEVKHQRRRLECTSYAFAHAQELNDIVENIILDDGSPIDAVDPMILADTYNMMFGEKKTE >PVH31615 pep chromosome:PHallii_v3.1:9:12272261:12272858:1 gene:PAHAL_9G192300 transcript:PVH31615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWKSPESTKIYTDDRTMCQNFIIEVLSLDAKCYRKLLPANVKDYLSRNIGRDIN >PVH31014 pep chromosome:PHallii_v3.1:9:2088212:2089048:1 gene:PAHAL_9G037800 transcript:PVH31014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQQSDASDELELEIFLQVASKLDLTSSKAILRRHALVLRSLLSDVSSDGKLWIRWTSSTE >PAN50203 pep chromosome:PHallii_v3.1:9:64957582:64960702:1 gene:PAHAL_9G505000 transcript:PAN50203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIKEFRIVMPMSMEEYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQLTSKVYHLQSKIPSWMKGFAPATALTVHEDSWCAFPKSRTVIKCPLFSKCSLTIDTVNRPDNGCSENVHNLNSEQLAAREVEIIDIASISRDYWSKVISAPNVDLTAFKSQRTERGPLLKGWMDSCRPVMTAYKLVIMDAPIWGLGERLEDCIIAGERALVLACHRLCFAWIDEWYGMTVEQIREMERQTDMLLKKTLKKPGKAGSKHEGKRKTLKDEIAVVGSCT >PAN50202 pep chromosome:PHallii_v3.1:9:64957582:64960702:1 gene:PAHAL_9G505000 transcript:PAN50202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIKEFRIVMPMSMEEYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQLTSKVYHLQSKIPSWMKGFAPATALTVHEDSWCAFPKSRTVIKCPLFSKCSLTIDTVNRPDNGCSENVHNLNSEQLAAREVEIIDIASISRDYWSKVISAPNVDLTAFKSQRTERGPLLKGWMDSCRPVMTAYKLVIMDAPIWGLGERLEDCIIAGERALVLACHRLCFAWIDEWYGMTVEQIREMERQTDMLLKKTLKKPGKAGSKHEGKRKTLKDEIAVVGSCT >PAN50204 pep chromosome:PHallii_v3.1:9:64957948:64960702:1 gene:PAHAL_9G505000 transcript:PAN50204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSMEEYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQLTSKVYHLQSKIPSWMKGFAPATALTVHEDSWCAFPKSRTVIKCPLFSKCSLTIDTVNRPDNGCSENVHNLNSEQLAAREVEIIDIASISRDYWSKVISAPNVDLTAFKSQRTERGPLLKGWMDSCRPVMTAYKLVIMDAPIWGLGERLEDCIIAGERALVLACHRLCFAWIDEWYGMTVEQIREMERQTDMLLKKTLKKPGKAGSKHEGKRKTLKDEIAVVGSCT >PAN47269 pep chromosome:PHallii_v3.1:9:16755446:16759775:1 gene:PAHAL_9G245700 transcript:PAN47269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGGGGGNSKMSKLSWSKSLVRKWFNIRGKSHDFHADAVAAGTGRSGGGDDDWMDGGFTRRDSCGAKKSRTERPSRRSHERSRRSKIDLDAAEATVMLDYRIFAATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGSSGGFGGSGSLQTPSPAPYPVAEMDADFEGSRQNNPSFFHRRSFQSGLSRSLRADGDILAGPGPARLERRYSVNDRVMYGSRPSDYEANCRWGGGQSDDEDDGGGGSPSTMFSPMSHVYGNAPPTEECNGSARGPARYCLVASKQMVGLFLMIWARKEMKNDIRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPRVCGQYERCPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSSWSSQLDIEELLPYSYGYTDINPYGYTDLNFF >PAN47111 pep chromosome:PHallii_v3.1:9:15675599:15687569:1 gene:PAHAL_9G234900 transcript:PAN47111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGIAAPDQSPPLVMPSKMKLEVEDQQLADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNIGWEIGDEGQILFTEPLFTPKTLREQLVQLMFEKFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRLEIGGVDLTNLFARELKKSNPSVNFDISDVERLKEQYACCTEDQLAFEAIESSCQPERHTLPDGQVITIEKERYIVGEALFQPNILGLEDYGIVHQLVTSVSNVSSEYHRQLLENTMLCGATASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWMGGAILAKVVFPQNQHVTKGEYDETGPSIVHKKCF >PVH31796 pep chromosome:PHallii_v3.1:9:15675599:15687569:1 gene:PAHAL_9G234900 transcript:PVH31796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGIAAPDQSPPLVMPSKMKLEVEDQQLADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNIGWEIGDEGQILFTEPLFTPKTLREQLVQLMFEKFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRLEIGGVDLTNLFARELKKSNPSVNFDISDVERLKEQYACCTEDQLAFEAIESSCQPERHTLPDGQVITIEKERYIVGEALFQPNILGLEDYGIVHQLVTSVSNVSSEYHRQLLENTMLCGATASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWMGGAILAKVVFPQNQHVTKGEYDETGPSIVHKKCF >PVH33346 pep chromosome:PHallii_v3.1:9:73144358:73145977:1 gene:PAHAL_9G632600 transcript:PVH33346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPAPLPPTNTPSRAPCPSRAPAVPAARRRRQRPKPPSSPSVPDAEQPDALARILRTEAAVSGVSRKAAAARQQSTRLWPRAVLEALDSAVAACRWESALEIFELLRKQHWYEPRSQTYARLLMMLGKCRQPGPATALFKAMLSERLRPTVDVYTALVGAYGYSGLLEEALATVDQMKGAADCKPDGYTFSVLIDCCAKSRRFDLIPAVLDEMSYLGLECNSVIHNAIINGYGKAGLLEEMESALSKMLEGGNNVPDIYTMNSIIWAYGHYGRTDEMEKGYNEFELMGVEPDTKTFNIMIKSYGKAGMYDKMMSTFKYMKKRFFSPTAVTFNIVIECFGRAGNIKKMEYYFRLMKIQGVKPSPITYCSLVNGYSKAGLLDKVPGIIRQTENTDVVLDTPFFNCVISAYAKSGDIKIMEEMIQLMKDKKCKPDNVTYATMIQAYNAHGMDEAARLLEMEAERFDKKLLGPVSEVDGK >PVH31520 pep chromosome:PHallii_v3.1:9:10579998:10581025:1 gene:PAHAL_9G169300 transcript:PVH31520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFIYGRSYKTADVHETCLLLCNKMSVETVEQRFCRSSESCWRRVCFLLDGHLTFGCEGSCTDQHVFLQM >PVH31507 pep chromosome:PHallii_v3.1:9:10248565:10253803:-1 gene:PAHAL_9G164600 transcript:PVH31507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRSASQTKKSCDCCKRYLDHLDGKNQKMNYFLRRVTTSSKHDMNLPMVVYDVEVVEHFNKVVLRHGWDTFVDAHDIEKNDFLLFRHTEKSCFEVLILDSNGCEKVFPCTGIVSTPSFKETSVDSVDISSSPRHEITESSESERFTRCEKGNSCHCRQTVKMAATSSSSESGDIPSESESFESDDLQTSPGTDYVLSRRSYLSEAQEEKVVALIREIQPKVTAFVAIMRKSHVQGPSAFLRPGKSKKWHPKFYRSLYMFRGQWLDFVRVNHVQVGYICLLLRTKGARKFAFTVHLFRTTAARSRVGTNSRSVSSCHGISNPNMALAVDIKEEPTDEEHVSSESDMHGVSNKYQEEDSEGSFEPPYIISFKSCLSQSQKKMVEEKVRAIQCDVPIYVAIMNKCSVKIRYELEISARYAAANLPDRRQSMVLHYMAKSWKTQMVIRSGSRWFLCEGWPNFVCDNGFKSVTCLFELEKNERKLTMTVHVIFSELL >PVH33004 pep chromosome:PHallii_v3.1:9:67583277:67585012:-1 gene:PAHAL_9G545500 transcript:PVH33004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMASGSNWLGFSLAPHTAMEVPNASEPAPAHHAPPPTSTTISSSGNNAAATSNFLFSPMAAPYPGYYCVGGAYGDGTSSAGVYYSHLPTVPIKSDGSICSIEGMMPSSPPKLEDFLGGGNGNGGGQETATYYSHQQGQEEEASRDYHQYQPHQLVPYNFQPLTEAEMLQEDAAPIDEAMAAAKNFLLTSYGACYSNGEMHPLSLSMMSPGSQSSSCVSAAPQQQQHQMAAAASAAAAQGRSNGGGEQGVGRKRGTGKGGQKQPVHRKSIDTFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQG >PAN49172 pep chromosome:PHallii_v3.1:9:59635444:59640788:1 gene:PAHAL_9G431500 transcript:PAN49172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGTGHGGGDARRRALEHRRSARRRLPGWIWWLLGIFLLVGFMLFVLHHNQKEQFRPPVVDNGSEIEEIPHEKVNFTEELLSSTSLARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEGAVSGRAITQDEAHPIISRLARLIYKAQDAHYDISTTIVTLKSHALALEERAKAAIVQSAEFGQLAAESFPKTLHCLTVKLTEEWLRNQKHRSRSEEQRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRIHFGAMSTWFLINDFKGCTVEVRCIDEFSWLNASSSPLVRQLSEAETQGYYYSAGSKNPEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPTISSKIDPHTCGWAFGMNIFDLIAWRKANATSLYHYWQEQNSDLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERYVNISHPYVRECMLH >PAN50440 pep chromosome:PHallii_v3.1:9:65926686:65927693:-1 gene:PAHAL_9G520200 transcript:PAN50440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRSNVFDPFSLDLWDPFEGFPFGSGGSSSSLFPSFPRTSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERNKEQEEKTDTWHRVERSSGRFLRRFRLPENAKTEQIRASMENGVLTVTVPKEEVKKPEVKSIQISG >PAN51018 pep chromosome:PHallii_v3.1:9:68803433:68806852:-1 gene:PAHAL_9G564200 transcript:PAN51018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVAGGLMVAKPAFWLGWIALLQGCMVRALPYDYSASIECLPEPLEPQYGGGILRNADFSAGLRGWSTFGYGSVAESKSAAGNGFAVVLNRTRSYQSVSQKVHLQRDTHYTLSAWLQVGDGSADVRAVVKTVGDFVHVGGVVAKAGCWSMLKGGLTAASSGPAELYFESNATVDLWVDSVSLNPFTKDEWAAHRGESVAAARKKTVRLQATDSAGNPLAGAAVSLDAVRTNFPLGAAMSRHILTNSAYQTWFASRFAVATFENEMKWYSTEPAPGREDYTVPDAMMAFAKSNGIAVRGHNVFWDQPSQQPAWVQSLPYPQLLAAASRRIRSVMSRYAGQVIGWDVVNENLHFNFYEGRFGWDASTAFYAAARLLDAGSALMFMNEFNTLEQPGDMAALPNRYLQRLRQIIAAYPENGAGMAIGLEGHFTNPNIPYMRAALDTLAQAGIPIWLTEVDVAAGPAQAQHLEEVLREAYAHPAVQGIVLWSAWRPEGCYVMCLTDNNFKNLPQGDVVDKLIAEWRSTPRAGATDAQGFFEAELVHGEYKVSVSHPALNNSVSRSVKVELGSGSEHYFIDVQV >PAN48960 pep chromosome:PHallii_v3.1:9:58343906:58345196:1 gene:PAHAL_9G415600 transcript:PAN48960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAHQKEVAAAETSEAMERRFRPIAPKPLPASPPPMPIGTTVSSTLLGPHKRNRQDYLVPSPMSKRERDAMSYPPPPVWWATGDGEVPVVMRAWCMRESFLPGCEEHLRGLSLEGSSVSPWAPSPDAGQRLFPVERDLISKLQVPKVIKPRPARPVRTIICIDCSSIVVATTSAVEVAMSKKTPREVEVELELPDALPAIVSGCNNNRVYLANDAYKAMVGQPVCPWLDSLPGAGASRRINGEVVLSVGAFSTTSHLPSIRCAFPCTARISWEREDASASLTVPCAVERLTSNRDDYCFIWRFDSEKASIMYCIT >PVH31413 pep chromosome:PHallii_v3.1:9:8563365:8564945:1 gene:PAHAL_9G139600 transcript:PVH31413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHLESSSDIEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKVLESTHAKLELAHYDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHEYQELEFAYEVIDPSLEKVVNEKVNTSTSCDDLLIDAYATNVVAKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKELGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESRKKLPKQLWVPKALFTNVQGPKLVWVPKTQK >PAN49143 pep chromosome:PHallii_v3.1:9:59490611:59493429:1 gene:PAHAL_9G429200 transcript:PAN49143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1 [Source:Projected from Arabidopsis thaliana (AT2G03680) UniProtKB/Swiss-Prot;Acc:Q9SJW3] MGVGPRTTRRRAHPPPPPLYTNQPLALPLSAEARAGAAPLRTGHRPRRSRRHRRPRPISSPQPLVSPFTIKMSRGGSAGGGQSQLGYLFGSGEAPKPAVAPAAPAASAPPAEKPAPAKPDVTKQIPAGVASQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLDYLFGGK >PAN45088 pep chromosome:PHallii_v3.1:9:5279096:5282978:-1 gene:PAHAL_9G092400 transcript:PAN45088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAELEAVEGLRWPWHSWPPAPPAAASLVVPTAVLCSPLQHPTAPDLLPLLPYAPLRCATPGCGAALNPFSRVHHGSARWSCPFCGAGANPFPRRLAPDALPAELFPTHSSVEYALAADPAEAGGPGPPALVFVVDAATEPAELAVLKGEVRRVVQGLPEGVRVALVTFAASVWVHDLGFEGCARVVVISGERELESDKIQELLGVHHSRYNKLAMPRSAEAQRFLLPVSECEFNFTSAIEDLSSMSACPRGHRPLRATGAAISTAIALLEGCCSPSTGGRIMVFTSGPATVGPGLVVETDLGKAIRSHRDIFNSNAPLTDKARDFYKKVAKRLTDHALVLDLFACSLDQVGAAELRNPIEVSGGLIVHTESFESEQFKSCFRHMFKRESTDYLNMNFNATIEIVTSKEVKICGALGPCISLRRKNSSVSDKEIGEGGTNYWKTSSLSSKTCIAFFFRVDCSHKAEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAAPRSPEIAAGFDQEAAAAVMARLAVYRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSLSPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALMVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSEGSEVIFTDDVSLQVFIEHLQELAVQG >PAN47995 pep chromosome:PHallii_v3.1:9:41308750:41310148:1 gene:PAHAL_9G326100 transcript:PAN47995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRRNQNPIVFLDLNIGGEYVGRVVIELFADKVPKAAENFRLLCTGERGNGLHYKGVPFHRVVPGFMCQGGDITAGNGTGGESAIGDGRYFPDEGLGAVRHDAPGVVSMANAGPNTNGSQFFITFDEAPWLDGRHVAFGRVVDGMGVVRAIEKAGSMSGRTVRPVVIADCGELKFRALF >PAN50830 pep chromosome:PHallii_v3.1:9:67876597:67879923:1 gene:PAHAL_9G549500 transcript:PAN50830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTDLVNLNLSDCTDKIIAEYIWVGGSGIDLRSKARTVKGPITSVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDHILVMCDCYTPQGEPIPTNKRYNAAKIFSHPDVAAEVPWYGIEQEYTLLQKDVSWPIGWPVGGYPGPQGPYYCAAGADKAFGRDVVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEMAGVVLSLDPKPIKGDWNGAGAHTNYSTKSMREPGGYEVIKKAIEKLGKRHTEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTILWKGN >PVH32298 pep chromosome:PHallii_v3.1:9:53041613:53042810:1 gene:PAHAL_9G363000 transcript:PVH32298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLNLSAIGRLALMAVTPAPGGVARNLCNKGRLAAMARPLVVCSRSECCRSECEDATSHGLRVGDRGVVASLLSGWRLRHEFDRIDDGAVGSWRWRWSCKTPQIRWRGAPAGPGATCAGGGASEERMRSSAGWLARRRWRRRRQWRWGRRRRGRGS >PAN45431 pep chromosome:PHallii_v3.1:9:6938174:6944101:1 gene:PAHAL_9G118300 transcript:PAN45431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREDAEEGSAPKRHKRSHHRHHHGGSDPEDAAGAEGSAGAASLAPGEAGEGAIALEEGAAAAADAGRALAPAPKPDINSDAILARYPQSQRVKEENHKDADLNDSKSREETEEKFEQLVSKLGGNVPEKHKAEARKRADVTLKKYSEIQLQKQQLEIVRCSNNEGTSGNQKTVGVSGLGEGIPMSERSEDIFANDIFGESPTGAQELGMKRGFLIKENAHCDNWDDPGGYYTYRCGEVLHGRYEIMAGHGMGVFSNVVRAKDLKAGKDDSNEVAIKILRNIPVIYKAGKQEISILEKLASADPKDRRHCVRFISSFMYRNHLCLIFESLHMNLRELLKKFGSDVGIKLTAVRTYSKQLFIALKHLKSCNVLHCDIKPDNVLVNEAKTKLKLCDFGSAMSAGMNETTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCSLFELYSGKVLFPGKTNNDMLRLHMESKGTLPKKMLRKGTFAKEHFDQDFNYFDEKDPVTKMTVKKHPVMNIKRQDLSSFVSSLPGEDPKMLSKFNDILKRILVLDPEKRLKVEQALSHPFVSGE >PVH30993 pep chromosome:PHallii_v3.1:9:1759421:1761456:-1 gene:PAHAL_9G031300 transcript:PVH30993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARSGVAVNDDCMLKFGELQSKRLHRFIVYKMDDKFKEIIVEKVGDRETSYEDFTNSLPENDCRYAIYDFDFVTAEDVQKSRIFYILWSPSTAKVKSKMLYASSNQKFKSGLNGIQVELQATDATEISLDEIKDRAR >PAN50135 pep chromosome:PHallii_v3.1:9:64591460:64596555:-1 gene:PAHAL_9G500900 transcript:PAN50135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNFLTTIKSLKLIEGCKAAQLYALSSLGPVSTSGSGDAGGSGRPQPPPPPKTISLRSGSLYYPHAAPSTSGAFTPEHHLPCGLPVADALEPTLDACLRPVDHVGALAASFRRVSAATAGGDDDLCDAYLEQHALFQSIGDARLLRRSLRAARVHADDPHRRVVLAAWLRYERREDELDPAPPPLAPCTATTPLLECPRAAVFASVSSGVEPVCPCRRPPPTPATPPPQRLRRNTSGAVSEMSEEEEPETNDLWFIIGEEEVACERSCIAALSKPLNTLLYGGFAEAQRDRIDFSRDGITARGMRAVAAYSRHGQVDDFPPDIISQLLAFANKFCCDGLKAACDNKLASMVRGVDDAHSLIEVGLEEASHLLVASCLQAFLRELPKSLTNPDIARLLCTPEGRECLDIAGNASFALYYFLSYVAMEQNLKSNTTVMLLERLNECAELPWQKQLALHQLGCVMLARGEFEDAQEWFEAAVAEGHVYSLAGEARAKYKRGHKYAAYKLMNSVVGDYEEPAGWMYQERSLYCVGKEKQSDLQSATELDPTMTFPYKYRACALLEEDNAASAIAEISKVIGFKMATDCLELRAWFYLALEEYELAVQDVRAILTLDPGYMMFHGRMHGEQLIELLRGQVQLWDMADCWMQLYDRWSAVDDIGSLAVVQQMLSREPGNSSLRFRQSLLLLRLNCQKAAMRSLRYARNSSVHEHERLVYEGWILYDSGHRDEALAKAEQSISLQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIFVDCDMLDEAAECYGIALNIKHTRAHQGLARVHYLKNRKQAAFDEMTKLVQIATNSASAYEKRSEYGERDAAKNDLNTATLLDPTRTYPYRYRAAVLMDENKEEEAIAELSGAIAFKPDLQLLHLRAAFFDSMGDTASTMRDCEAALCLDPTNGDTLELYSKASARAEPES >PAN46292 pep chromosome:PHallii_v3.1:9:11008495:11010439:-1 gene:PAHAL_9G175300 transcript:PAN46292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) UniProtKB/TrEMBL;Acc:Q9LVZ5] MATLSSCSRLSDTGAAAIRRHHRRQPGRAGVVVTRRSSSASVRTAAAAATAAPVAVEQQNKEVSLPTWAEFELGRAPVYWKTSNGLPPSPGEGLTLFYNPAATKMAPNDVFGVAFNGGFNQPIMCGGEPRQMTLQVRGSADPPIYTIRIRVPQHAVSLVFSFTNGAEWDGPYTLKFKVPKPWQNKPLSFFNEGLADELNREGACDRAIYPDENIAITSCAIEGYYEEGGDRCKLDIVSGCMDPSSGLFDPLATVDDGSCPLESDSEE >PAN51897 pep chromosome:PHallii_v3.1:9:72780538:72784358:1 gene:PAHAL_9G628100 transcript:PAN51897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSALSSFLLCCFYPSGGHRHGHRAGAYYYSSHPTSANTLYGYQEGPLAGRRMGRSSRPLSLQTVELKVRMCCSGCERVVKHALTRLRGVDSVEVDVEMEKVTVTGYVDRHRVLKEVRRAGKKAEFWPNPNLPLHFTSAKDYFHDEESYRRTYNYYRHGYNGDKHGHLPEPQRGADPVSNMFNDDDVNACSVM >PAN52012 pep chromosome:PHallii_v3.1:9:73391631:73394295:1 gene:PAHAL_9G636400 transcript:PAN52012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPVSRGAFASVLLGRRALGASLVAARCASSAASPAAAVTASDHASFVKEIAATDPPEHLNSLLNVLQARGEMIVSPGAKRGLIPVVIPLSESPAGNLTSLLRWPTAPTGMEMPVVEVRKHGLWLLAKNVKQYIHRILVEADINANAGDDIWAAVDEAGENLYTKGDFKESQLANLDVYLLKKVGLFPDVIERKTLHHLGKGDNVSALITGEFYTRDQFPGFGRPFVFNAEILKRVGRTSEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKITEEGKREDLKKGKAPEQVVLDEAAFLMDLAYVDGNWDDVVDRIAECYREAGLDDIAKFIAYRE >PVH31211 pep chromosome:PHallii_v3.1:9:4778710:4782108:1 gene:PAHAL_9G083100 transcript:PVH31211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLAEGAMALAVAPEANSSSGGAAASPAGPLWWVSECHGVWYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQAWQCMPDRAFSWNILSLFTKSGMLFLEVSLIAFLLQGNDASGFESLARTFVISGAVVAADVLLKTIYVFGFGVPLFIDGGQGTGGKWGLWILHKLVLTGVYGLIVFMHHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVASGAGFGLWLYNLTTVCYHSLYLPLLYATFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >PAN45454 pep chromosome:PHallii_v3.1:9:7090852:7091349:1 gene:PAHAL_9G120500 transcript:PAN45454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRARDPSDRWRGPSRRQAFGFHAAAAFPLPDPAAAEAFIFRARLQPRSSMWPHAVTVRWRSLCFAHSSGVRAWPGPAEPPSRTAGGARGSPLPARASASVRSCAEPTDLAAIEQEALRCSRGVLEFSLLPHGTRIVCVRARAADRLLSYAFQDLSRSVPADSYC >PVH32294 pep chromosome:PHallii_v3.1:9:53019409:53019897:-1 gene:PAHAL_9G362600 transcript:PVH32294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVAGVLGAALLCAIHGATVENTLFKDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLRAYDFVSQEIRAAEGPEFETFYTKNILLNEGIRAWMAAQD >PAN48857 pep chromosome:PHallii_v3.1:9:57770717:57773287:-1 gene:PAHAL_9G410200 transcript:PAN48857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWVSGLLGGADSAAAAAAAAAGPAAVGLGDLPELCAAQVLLRLDPPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLMEFVGTGEEGRRRRRRAGKKEIYARLSKPVPFGDGQKEFWLDKSKGMICMALSSKALVITGIDDRRYWQHMPTSESRFQSVAYLQQIWWFEVVGEVDFCFPVGTYSLYFRVHLGKFYKRFGRRHCSSEHVHGWNKKPVRFQLSTSDGQQALSQCYLEEPGSWVLYHAGDFVASKPDQLMKLKFSMAQIDCTHTKGGLCVDSVLIYPKGKGFQHGRVVRSRR >PAN44180 pep chromosome:PHallii_v3.1:9:1285563:1292193:1 gene:PAHAL_9G022700 transcript:PAN44180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAAASADGGAFLEFVDYAVSMLSSSGGDGNESPGDGPAPARPPWGWVVAQVLKSCCAYSSGVTAAIILSDLFQSWTEQHKSLTSKRKVELTKLINTRNKRRRLPNTVTIDSIHEKNFLSPKSVLEAVVIDAFVLPGTNIYMLTLGDMWSASTIDLYLHRRYYDCIGQYGILKKGREVMLTGCCLRTAMEGSGHARILPTEYMVILLDEDQDEDAMLLAAQFCTYSFSSMILDESRNDVPYSFYARIEKIESLEPFRCTERKQVVLVDNDDAKMNFILWGEQVLLANLFSAGSMLALDRPFIANFVDSNHEESQELCLEYGSATQVYMVPIAQQEEQVPFTPTQMKSQGPRLSCLPTDNVASQVTLPRDLHGSVDFSKYPFRVYVSDLHDKMVGVCLFGTITSVCKASTSGTAFYLELVDTTRVVLIKLIFIGPWSLGRVGVGHMVYISGLACTMSSTNILEVSWREKEPGSLFVNLSLLPALLNSSCLHNLAPLSDLPRLTNRTHVCRVRLDHIDCNSLKVLLFHNICGCVVNEHSGELQCSFCKVSCHSGFVHGFQLHLTIADDSAKVFAWCVGQTAIEFLQISPDEYLELPEDERAMYLYTLQNESFMVAIANTSKRVDGCGASDEALPVWEITRAQKCE >PAN48368 pep chromosome:PHallii_v3.1:9:54780334:54782460:-1 gene:PAHAL_9G378100 transcript:PAN48368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAWWLPPPAAAPRAAGPCAPAARPLARRRIPRRAATVVSPRAFGRADFDGFVRRAWRGANAGAERLAFEARQAAQHLEGRFSISRRLSEASRAARERAMEIDAELGIGRRWRSFSVDFSRNWPRYRRELNDFMATPIGRALATLFFLWLALSGWLFRIFIFGTFVLPFAGPLLLGTFANRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNSSSGGPRSSRRAEPDVIDVEYEEK >PAN48369 pep chromosome:PHallii_v3.1:9:54779981:54782510:-1 gene:PAHAL_9G378100 transcript:PAN48369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAWWLPPPAAAPRAAGPCAPAARPLARRRIPRRAATVVSPRAFGRADFDGFVRRAWRGANAGAERLAFEARQAAQHLEGRFSISRRLSEASRAARERAMEIDAELGIGRRWRSFSVDFSRNWPRYRRELNDFMATPIGRALAGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNSSSGGPRSSRRAEPDVIDVEYEEK >PAN51859 pep chromosome:PHallii_v3.1:9:72563238:72566763:-1 gene:PAHAL_9G625100 transcript:PAN51859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRIPRPAAVAVALCAAALLAACAGASRAGCRKHVAKITEYGAVGDGKTLNTAAFAKAVADLSERARDGGAALVVPPGKWLTGPFNLTSHFTLYLDEGAEILASQDMKDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGKNGTINGQGQVWWDKYRAKELQFTRGYLLELLYSDSIIISNVTFVDSPSWNLHPTYCTNVTISGVTILAPVHSPNTDGIDPDSSSHVKIEDCYIVSGDDCIAVKSGWDEYGIKFNMPSQHIVIKRLTCISPTSAMIALGSEMSGGIRDVRAEDSVAINTESAVRVKSGVGRGGFVKDIFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPNAMPQVTSINYRDVFAENVTMAGRMEGIPNDPYTGICISNVTAQLAPDAKKLQWNCTNVKGVTSDVTPKPCPELGAEGMPCAFPEEELIIGPRKLPKCTY >PVH32609 pep chromosome:PHallii_v3.1:9:60679394:60679885:1 gene:PAHAL_9G442100 transcript:PVH32609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGREISPHREHLSPAAPLVAGEGRQGAEQARGVSMGIEAALVSTSSWSGTRHAGLEPRRVREEGQANSSSAAGQHHQERELQLHRGPTRPDELERHGGGWWLAQGERRGIGEEMERRKKERERRADRWALPSCGIHVSKSTIKTGQWPNMNGFKSWVAKDL >PVH31889 pep chromosome:PHallii_v3.1:9:17663898:17664961:-1 gene:PAHAL_9G252400 transcript:PVH31889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVQEHPGRRDRGREMAEHCSRCRTSGARRPLLFCALMALLSFVAAGPFVLAEGIVFHVANRCPFPVWPASAPNTGHPVLAGGGFLVPQGKSKRVVAPATWNGRFWARTGCNFTANGGVGAAAACLTGDCEGRLACNGSVGAPPATLVEVSMHEGPGKGSSYDVSLVDGYNLPVSVSAVPGTGAADPSKCAIAGCARDVNAVCPPEMQVTAKSAVVACKSACLAFGLDAFCCRGAYATPAACRGSVYSRLFKDACPAYYSYAYDTTATTASACHAREYVITFCPGRWGGEPDGAAAAQF >PAN51298 pep chromosome:PHallii_v3.1:9:70070923:70073406:-1 gene:PAHAL_9G584000 transcript:PAN51298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNADPPQHHASTPPLLLAVRHIPFPGVHRPRALPATDVLAPLARRLEEFVSAAAAHPLLKPLFAVHSHLSSFSQSRRRLVAARRDTLLSGEHCFAAVLGDSVAGMVVSNGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPDPAPAPQHHRQSRASTSTCSAPLDLTANQRKWMQRMQSRKSQGGDGDH >PAN46040 pep chromosome:PHallii_v3.1:9:9902242:9904819:-1 gene:PAHAL_9G159400 transcript:PAN46040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGKWLRSFLPGRRGGRDKGGAADPADLALALPGPGTGTTTTTPASTPGAKEKRRWSFRRPAASPAKDAQGGRLAHYGSLEPRVLDPDQHAVAVAIATAAAAEAAMAAKHAAAAVVRLSSSAPGSKRTVIGIEEAAAIKIQAVFRSYLARKALCALRGLVKLQALVRGHLVRRQASHTLRCMQALVAAQNRARAARMRMLDDEKPVRTPRTTPTRRSSPHHPRFRHHQDMEENVKIVEVDTGIGEVHGTPRTSRRSSCYATPLCRTPSKNELYQKISPTPSALTDASARTYSGRYDDFSFATARSSPYRYAPSRPHHHDEGADKPAADHPMFVPSYMANTESSRAKARSQSAPRQRLSVSSAAEAACPWERQPSSGRRRASLESQAQATPCARGVAPKYVPVRVQRCPSQASAPGACPWGARLDRWSASAHDSECGSTSTVMTAATTTYCWSLATDNAGMA >PAN51228 pep chromosome:PHallii_v3.1:9:69804247:69805882:-1 gene:PAHAL_9G579700 transcript:PAN51228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCCDDHGFTCARHLDCCQFTDGEANQQHLNNAGIQIQVAEGDVKRSHLKFQDKATSSHQAFLLYTRELDKVTRAVSRETFGPLYLVT >PAN51229 pep chromosome:PHallii_v3.1:9:69804273:69805882:-1 gene:PAHAL_9G579700 transcript:PAN51229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCCDDHGFTCARHLDCCQFTDGEANQQHLNNAGIQIQVAEGDVKRSHLKFQDKATSSHQAFLLYTREVLVRECVKLASAIRRAAAGCVSPSTGADADDLPYMQLDKVTRAVSRETFGPLYLVT >PAN51243 pep chromosome:PHallii_v3.1:9:69873300:69875404:1 gene:PAHAL_9G580900 transcript:PAN51243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGGAPRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKEQEEEAAAAPDFAAITDYPAADQWGGDQWASDVAAPPVAVSGTGAEWGAAAAPVPTGEGWDPAGAPAPVEGAVPPVVAAPAGWDPAAQPTAQGWE >PVH33209 pep chromosome:PHallii_v3.1:9:70714878:70717981:-1 gene:PAHAL_9G595900 transcript:PVH33209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLLPGMVTVKEEWPPSSPPPEEEVEDMDAAEAPRPMEGLHEVGPPPFLTKTFDLVADPATDQVVSWGRTGTSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQRQLLRLIKRRRAPSYLPGSQQQALGSCLEVGQFGSLDEEMDRLKRDKSILLAEVVKLRQEQQSTRAEMRAMEERLQHAEHKQVQMMGFLARALQSPDFFQQLAQHQERRRELEDAFSRKRRRPIDAAPFEEAGGRVQPQQDVEPPPPPPPLSRAGGGFEAELGGEPGTSELENLALNIQGLGKRRQDEKQAPGGETAELTDDFWEELLSEGMMGGGAGATVPVLPELEMRRQRPGRYVDALAQKLSSMSNTAAS >PAN51457 pep chromosome:PHallii_v3.1:9:70715597:70718041:-1 gene:PAHAL_9G595900 transcript:PAN51457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLLPGMVTVKEEWPPSSPPPEEEVEDMDAAEAPRPMEGLHEVGPPPFLTKTFDLVADPATDQVVSWGRTGTSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQRQLLRLIKRRRAPSYLPGSQQQALGSCLEVGQFGSLDEEMDRLKRDKSILLAEVVKLRQEQQSTRAEMRAMEERLQHAEHKQVQMMGFLARALQSPDFFQQLAQHQERRRELEDAFSRKRRRPIDAAPFEEAGGRVQPQQDVEPPPPPPPLSRAGGGFEAELGGEPGTSELENLALNIQGLGKRRQDEKQAPGGETAELTDDFWEELLSEGMMGGGAGATVPVLPELEMRRQRPGRYVDALAQKLSSMSNTAAR >PVH31658 pep chromosome:PHallii_v3.1:9:13003856:13005971:-1 gene:PAHAL_9G203900 transcript:PVH31658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPLHINLDLLTADVTISPLRCGWPKRDCYSLFLFSPYLISVSLDFYELKLPTLYT >PVH31855 pep chromosome:PHallii_v3.1:9:17052720:17053803:1 gene:PAHAL_9G247900 transcript:PVH31855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSPAAAAAAGGGGVCWRSASAIVAGVETSFHVLKIIGYSRTKDVPNGKRIESRPFRVGSSTWHVRYYPNGGNSGVIDFLSLYLHLEDTFDKAVKAQVKISLLDQDGKPVPSYSSITKVVNFSDVRTWGYSKFIKREVLEKSEHLKDDSFSVRSDVTVMKDVHTQDTPIVIVPPLDMHRHFGDLLLSKEGADVKFRVRKRKFHAHRSVLAARSPVFKKVLHGPMKEGASINTIPIDDILKLICEDKLCKHIDTGSAATILVLAEQHNRHGLKEACFDFLSASTTLKAVMETEGFEYLTKSCPSILKELLSNGIFC >PAN45435 pep chromosome:PHallii_v3.1:9:7020318:7021727:1 gene:PAHAL_9G118800 transcript:PAN45435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRGSAARGSGAAVVALVLLCVLLQGEVAESAVYTVGDRGGWSFNTASWPRGKRFRAGDVLVFKYSPKAHNVVPVSAAGYSSCAAPRGARALTSGNDRVTLKRGANYFICSFPGHCQAGMKVAVTAA >PAN46444 pep chromosome:PHallii_v3.1:9:11793014:11794910:-1 gene:PAHAL_9G186300 transcript:PAN46444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQLHRLLLPPLLLLLSWPFLLRDGGRSPAAPPDLHPVVLLPGHVCSQLGARLAGAYEPPAPGCGARKGEGWFRLWENYTALRDPALAPCYADQLRLVYDPAARDYRNFPGVETRVVSFGTTRGFGSDNPAIKNDCMRMLVDALEGVGYRDGENMFGAPYDFRYAPAPPGQPNLHFSGFVSSLRRLVERASERNRGRPVILVGHSHGSINAAAFLNQNTLRWRRRYIKHFVMTSMGAGGAVGPLKTLASGTGDVLSGNTSRSFASAFLTLPSPKVFGHAPLLITRARNYSAYDLPEFLAAIGFSDDEVAARYRARALPATLNVFRAPIVPMTCINAIGAPTVEKLVYWDGDFSAEPEVVYGDGDGMITLASFLALDTVIGGDPDQEYYKSVLIPNTTHSGIMKDGFALQCVVTEILEANRR >PVH33014 pep chromosome:PHallii_v3.1:9:67770002:67771588:1 gene:PAHAL_9G548100 transcript:PVH33014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRQRRQLPHLTLPLDHFALRLPPQPQPAAATAPSTSASEARLSDYERISVLGHGNGGTVYKVRHRRSAQPFALKLFADGDPSATREAEILKLAADAPHVVRLHAVIPSASAAAAGEAPAALALELMPGGSLAGLLRRLGRPMAEHPIAAVARQALLGLAALHALRVVHRDLKPSNLLVGAGGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAILELYLGHFPLLPEGQRPDWAALMCAICFGEAPEPPAAASEEFRDFVARCLEKKAGQRASVAELLEHPFVAERDAAGAQQVLAALVAEAEQGDL >PAN51094 pep chromosome:PHallii_v3.1:9:66846176:66847749:1 gene:PAHAL_9G534000 transcript:PAN51094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGTISPSKLSAISSFRYLLLKKRKRKRKRKKQQQGCSVMGTRLPQAAALFAALLAVSFGAAAWKAEAAAPPPVVVGSIKCLDCSPDGVNAEDALQGLQVAIKCKSAADESYETKAVGPLDDAGVFRIPLAADLLRDDGNLDGDCFAQLHSAPDTPCVGQAPPRIAPSLSQDGTADTASATYLEAAADTVFSPVACACGKKKKHFMFGPPPPPPRPTPNPPTPTYGPPTPTPTPVPEPRPPAPEEPEPFFKKKPKVKFMHRKKPCPPLADDDTTRPAAGGQQEKLAKKLH >PAN48016 pep chromosome:PHallii_v3.1:9:35564945:35568591:1 gene:PAHAL_9G310400 transcript:PAN48016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILARAGATAAASGSLPKSTGRRHRNAVIVAAGTATGQAVPQEGALERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNLVYPDYYLSPFHAYDEGNLSWLAAAEAEPATMSIAKRAISEAKSIEEANQIVRGSWLNAIEEHHLKYSGNCEINDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQKEEKLSRQNPIRWVHANGESTGLPSDSFDLVSLAYVCHECPARAITGLVKEAFRVLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLDETMRQVGFVNVCSILTDPRHRTVTATVPY >PVH31366 pep chromosome:PHallii_v3.1:9:7622308:7626902:-1 gene:PAHAL_9G127600 transcript:PVH31366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKATPHPAGERDPLLPSSASASPPPYLDHHPADSYAVLLVPVRLRRRLRRGGRCVAPCLVALFLLALAGFLLWPADPDVSLARLRLAHVSVAARPAVAVTISAALKVRVRNPDLFALDYSRLDVDIGYRGKRLGRVTSGGGRVRARAVSYVDADLQLDGIRVVEDAIYLLEDLARGSVPFDAVVEVDGHLHLFFLSVPVKGRISCVVHVNPHNQTILHQDCYPE >PAN44395 pep chromosome:PHallii_v3.1:9:2183124:2187402:1 gene:PAHAL_9G039400 transcript:PAN44395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 16, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G12600) UniProtKB/Swiss-Prot;Acc:Q9LHK1] MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRANNDETSDDEHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHLLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELTSWPEQSTRQRTWLTVPEAASQCRYQWMQEALLTGFSDWHDKWSRGGGGTNCDPA >PVH31021 pep chromosome:PHallii_v3.1:9:2183132:2187426:1 gene:PAHAL_9G039400 transcript:PVH31021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 16, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G12600) UniProtKB/Swiss-Prot;Acc:Q9LHK1] MCDLVARTGRHQQRCIPFRYRANNDETSDDEHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHLLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELTSWPEQSTRQRTWLTVPEAASQCRYQWMQEALLTGFSDWHDKWSRGGGGTNCDPA >PAN44394 pep chromosome:PHallii_v3.1:9:2183606:2187039:1 gene:PAHAL_9G039400 transcript:PAN44394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 16, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G12600) UniProtKB/Swiss-Prot;Acc:Q9LHK1] MRRLVRCALYSSPAYGAVPLVPVNGSLRCATGGIDCFLWCIPFRYRANNDETSDDEHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHLLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELTSWPEQSTRQRTWLTVPEAASQCRYQWMQEALLTGFSDWHDKWSRGGGGTNCDPA >PAN48053 pep chromosome:PHallii_v3.1:9:40209228:40209767:1 gene:PAHAL_9G322600 transcript:PAN48053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPAAAPSMHHAQWNAHFGHPVLKNVLRKQMRKKPKIVQPAPCEVCKIQCDTLEVLMIHKQGKKHKKNLEKLQDSITPKPILKPPSNAMGPTMVPSAADNCVVPAVQPKKKKISSAATPADLEVKKRRVLEAGAAQGEVKICTVCNVVVNSQKVYEFHIAGQKHQAMVQKQQSLHFAA >PVH33145 pep chromosome:PHallii_v3.1:9:69695809:69699415:1 gene:PAHAL_9G578200 transcript:PVH33145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] MAPRGARAEAAASSGSEDEEEEAGFSRSYFLAKEKEPSSGKKRARAAAGKLSDLNLVDEQVLRASLAEIPPKHEEEVDALTRSYKDQYRNWLFELRCGFGLLMYGFGSKKQLLEDFASTTLTDFTVIVINGYLPSINLKQVIATIAEMFWDQAKSKRKRQPGTRSQLSQPFPSQSFDDIISFLKRQTSDDVDDQVCLLIHNIDGPALRDAESQQCLAQVSCCPQVRVVASTDHVNAPLLWDKKMVHTQYRWSWYHVPTFAPYKVECVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLRIPLVSDALEKLLQELG >PAN43961 pep chromosome:PHallii_v3.1:9:472404:474558:-1 gene:PAHAL_9G006800 transcript:PAN43961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARLRSAASLRGVLLRHFSVGPASTPRAVSRVSDFQVPQTIVWRHFSTCKHNSLAKRDDLGLPACLHNQTRWASQATAVKETEASGSKISIGPKPKEIKEDDNDGNLVYQGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVIIKGAVASTVIFLSATTTAALHWFVSPYIHKLRWCPGSDSFEAEVMTWLATPLKRTIKFADVRPPETNRPFVTFKAEGNFYFVDAEHFSNKALLARLTPQKLPHESAFKNL >PVH32273 pep chromosome:PHallii_v3.1:9:52687459:52688193:1 gene:PAHAL_9G359200 transcript:PVH32273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYVAGGTLRCDMMVFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAANAEYSLAALQVQIQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN47524 pep chromosome:PHallii_v3.1:9:32354965:32360821:-1 gene:PAHAL_9G299700 transcript:PAN47524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHPGDAPLTAANNIQPFFVLHKAAAAAASSVPSSRTRRRIDASLPSSPNPKSAKRPRDVDAQDEEDSELYEQLRLEAFHRIWSRIQSTIDEVLRGINLKLFDQVLQWAQESFSAIRAVAKPCHDEVRQPYPLLTDVICRRIPTAFVLTKNAESVDDITTFRDLAGHLQSSGCHLAKLSAAELSVKHGIGGCFRSLLRQLLSDVPDVADVSALASWYCEAENYDQPIIVIIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPKKLLSSEALQQLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVTMFLRNYFFRHDGTITSFISALKLACSKHFSMEPLSFLCMGVLEEDCEEFWHDKFEALPQVIQKYAFALPSCTSATNLSHSSNDMVKGLSTLLKLQKDWSSVLLCLYEAGRHDKVQLLDIFCEAVNPDLQTQNVSNPEPPVSKLNIEKLSGMKSGSGKVYMAQVMNAIRYLPMETLLHVLEVWSIHLRGMSEINDKVKELQSTTIDADSARATKEKWNRRSTGSTGNATAPLNEKVALLLQDITRKYLVPVECLPFHEIICFKNVGVLQSALIGNPRRMVQLDLLKSQSHLKCSCCSRTGTALSGSLHDTSIMCNLAQEYGDVINLHDWYISFEGIINSRNSKVKRKSYSSPSKKKSKSTPPESEAMIQTRFCRAVTEMQITGLLRMTSKRRPDLVQRITFGP >PAN47525 pep chromosome:PHallii_v3.1:9:32354447:32361072:-1 gene:PAHAL_9G299700 transcript:PAN47525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHPGDAPLTAANNIQPFFVLHKAAAAAASSVPSSRTRRRIDASLPSSPNPKSAKRPRDVDAQDEEDSELYEQLRLEAFHRIWSRIQSTIDEVLRGINLKLFDQVLQWAQESFSAIRAVAKPCHDEVRQPYPLLTDVICRRIPTAFVLTKNAESVDDITTFRDLAGHLQSSGCHLAKLSAAELSVKHGIGGCFRSLLRQLLSDVPDVADVSALASWYCEAENYDQPIIVIIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPKKLLSSEALQQLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVTMFLRNYFFRHDGTITSFISALKEFWHDKFEALPQVIQKYAFALPSCTSATNLSHSSNDMVKGLSTLLKLQKDWSSVLLCLYEAGRHDKVQLLDIFCEAVNPDLQTQNVSNPEPPVSKLNIEKLSGMKSGSGKVYMAQVMNAIRYLPMETLLHVLEVWSIHLRGMSEINDKVKELQSTTIDADSARATKEKWNRRSTGSTGNATAPLNEKVALLLQDITRKYLVPVECLPFHEIICFKNVGVLQSALIGNPRRMVQLDLLKSQSHLKCSCCSRTGTALSGSLHDTSIMCNLAQEYGDVINLHDWYISFEGIINSRNSKVKRKSYSSPSKKKSKSTPPESEAMIQTRFCRAVTEMQITGLLRMTSKRRPDLVQRITFGP >PVH32039 pep chromosome:PHallii_v3.1:9:32354447:32361072:-1 gene:PAHAL_9G299700 transcript:PVH32039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHPGDAPLTAANNIQPFFVLHKAAAAAASSVPSSRTRRRIDASLPSSPNPKSAKRPRDVDAQDEEDSELYEQLRLEAFHRIWSRIQSTIDEVLRGINLKLFDQVLQWAQESFSAIRAVAKPCHDEVRQPYPLLTDVICRRIPTAFVLTKSVDDITTFRDLAGHLQSSGCHLAKLSAAELSVKHGIGGCFRSLLRQLLSDVPDVADVSALASWYCEAENYDQPIIVIIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPKKLLSSEALQQLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVTMFLRNYFFRHDGTITSFISALKLACSKHFSMEPLSFLCMGVLEEDCEEFWHDKFEALPQVIQKYAFALPSCTSATNLSHSSNDMVKGLSTLLKLQKDWSSVLLCLYEAGRHDKVQLLDIFCEAVNPDLQTQNVSNPEPPVSKLNIEKLSGMKSGSGKVYMAQVMNAIRYLPMETLLHVLEVWSIHLRGMSEINDKVKELQSTTIDADSARATKEKWNRRSTGSTGNATAPLNEKVALLLQDITRKYLVPVECLPFHEIICFKNVGVLQSALIGNPRRMVQLDLLKSQSHLKCSCCSRTGTALSGSLHDTSIMCNLAQEYGDVINLHDWYISFEGIINSRNSKVKRKSYSSPSKKKSKSTPPESEAMIQTRFCRAVTEMQITGLLRMTSKRRPDLVQRITFGP >PAN44023 pep chromosome:PHallii_v3.1:9:744319:747344:1 gene:PAHAL_9G012100 transcript:PAN44023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAGEPEVIRDKAAMRAWARRRRAEGKTVALVPTMGFLHDGHLSLVSAAAAASATGPVAVVVSIYVNPSQFAPTEDLATYPSDLAGDLRKLAATGAVAAVFCPPDLYVRAGAGGSGGAVSCLEEASCGDGHETWIRVERLEKGLCGSRRPVFFRGVATVVAKLFNIVEPDIAVFGKKDYQQWRVICRMVRDLDFAIDIIGSEIVREADGLAMSSRNVHLSHEEREKALSIHRSLVNAKTAALNGNNHSEQIKDQIVQTLTEAGGQVDYVEIVEQENLVALERIDRPAAICVAAWFGKVRLIDNMEIQTHHPDGGASI >PAN44022 pep chromosome:PHallii_v3.1:9:744319:747344:1 gene:PAHAL_9G012100 transcript:PAN44022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAGEPEVIRDKAAMRAWARRRRAEGKTVALVPTMGFLHDGHLSLVSAAAAASATGPVAVVVSIYVNPSQFAPTEDLATYPSDLAGDLRKLAATGAVAAVFCPPDLYVRAGAGGSGGAVSCLEEASCGDGHETWIRVERLEKGLCGSRRPVFFRGVATVVAKLFNIVEPDIAVFGKKDYQQWRVICRMALSIHRSLVNAKTAALNGNNHSEQIKDQIVQTLTEAGGQVDYVEIVEQENLVALERIDRPAAICVAAWFGKVRLIDNMEIQTHHPDGGASI >PAN44024 pep chromosome:PHallii_v3.1:9:744319:747344:1 gene:PAHAL_9G012100 transcript:PAN44024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAGEPEVIRDKAAMRAWARRRRAEGKTVALVPTMGFLHDGHLSLVSAAAAASATGPVAVVVSIYVNPSQFAPTEDLATYPSDLAGDLRKLAATGAVAAVFCPPDLYVRAGAGGSGGAVSCLEEASCGDGHETWIRVERLEKGLCGSRRPVFFRGVATVVAKLFNIVEPDIAVFGKKDYQQWRVICRMVRDLDFAIDIIGSEIVREADGLAMSSRNVHLSHEEREKIVEQENLVALERIDRPAAICVAAWFGKVRLIDNMEIQTHHPDGGASI >PVH32731 pep chromosome:PHallii_v3.1:9:62701334:62704225:-1 gene:PAHAL_9G474100 transcript:PVH32731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWWQPGGVDVLTTSTDAQPLRSGQQAFSPENVCQSIILRPIQSQSTTNRCNTCSPVQETVQRSLRRRRAGISFTVHPGLREAARSVSRGRDWLREGRRLYARTRRVCSIRAGKLLHLLRFA >PAN50961 pep chromosome:PHallii_v3.1:9:68576496:68578999:-1 gene:PAHAL_9G560700 transcript:PAN50961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFTARRAARGARLADPDPEPDPYADALRDPHGLAARRRRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYLDNNNIGDSAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISLGSSASRPSISLDEFSASDVFRIIDFRNRETRHQPNGPNSSSFHPSSESDEERPTISSSNLHRSSGLSKAAFLRLQIEIFETSKDDNREPSPECSICLDGFYDGDELIKLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSQS >PAN43910 pep chromosome:PHallii_v3.1:9:211843:213340:-1 gene:PAHAL_9G002300 transcript:PAN43910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGYSGRGGFGHHSSSSSSDGRGYHGNPCLTMHQPWASLLVHGIKRVEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVDGITGINFPQHYPVSRLLGCVEVVGCLRSQELVCWEDVPQSVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYDGAVRGLTPVQGPLPVKFPLPDPRDRSSLKPGSLTFDAPKSALQKSPSVTAAIAGARAAATQFSKKDHNAAAACTSHVREQCSGNGDLPSIVQGSTAAYSQNLDAEPLRRPRLEYGASSRLVTVALKELKQLSGSERGGGQPVGRS >PVH30876 pep chromosome:PHallii_v3.1:9:211421:213515:-1 gene:PAHAL_9G002300 transcript:PVH30876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGYSGRGGFGHHSSSSSSDGRGYHGNPCLTMHQPWASLLVHGIKRVEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVDGITGINFPQHYPVSRLLGCVEVVGCLRSQELVCWEDVPQSVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYDGAVRGLTPVQGPLPVKFPLPDPRDRSSLKPGSLTFDAPKSALQKSPSVTAAIAGARAAATQFSKKDHNAAAACTSHVREQCSGNGDLPSIVQGSTAAYSQNLDAEPLRRPRLEYGASSRWH >PAN48417 pep chromosome:PHallii_v3.1:9:55154280:55158803:-1 gene:PAHAL_9G380700 transcript:PAN48417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRIKDALEKHLEKSSPSTSRGVVTKERERLAPPGKLSASLGKAAKVSDSEEFESDSEDSDVSGSEGEDTSWIAWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEELHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYTPRVFGFKLHKKS >PAN45048 pep chromosome:PHallii_v3.1:9:5783065:5783340:-1 gene:PAHAL_9G100100 transcript:PAN45048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVRRIMAGVGKLGPGLKLLLVVVLVASASDGSDASRLPKGAPTAAGEQHLHSSNGGLVASSAKLAVGSKASAGHSGCTFNPNNSGRRCP >PAN51426 pep chromosome:PHallii_v3.1:9:70550225:70553935:1 gene:PAHAL_9G593300 transcript:PAN51426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFSASTDQRDLAGGGDMSFHHYTTSNPYSDSPTGGLMPFPATIVSEGHVAHGGDGRDESASFVNARDGPTSGAEMGLQTQLLMANASAAQHQGLSLSLGTQGVPVSLYQYRQAGMAAASLLSPGQTTTAGRNAQSIYIQNSKYLKAARELLDEVVNVRDAIKRKGDKNQSKDSGEGKDAEKSEEKADEHEGNSSAELTPSERQDLQNKVSALMALLDQVDRKYRHYRNQMQIVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAIGSQVQSLRRSLGEKDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENAGNKGKDEAISSEDHDEFQSPSSAAKHGAAVGHLNAFKSEAIGGMDAGGVGLSGLAGGALGSYTTSLNLGAVGNGSSSSSSLLQDALAHHHGDPRFVAYGDMGGLGGGYDGGSVSLTLGLQHCNDAGTVPAEQQGLLYGNAGDFEFMNGSEDRQRFGPSQLLHDFVA >PAN50677 pep chromosome:PHallii_v3.1:9:67040870:67044501:-1 gene:PAHAL_9G537200 transcript:PAN50677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MFGDSDGSKDASAAAPGSSAPEPPFPNRELTLSSYLCDKPPLASAAAAAAGPSSPPNPAAAAAPAAEDAAASAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLSSDAPAPPPSSQPPSSSQPPAQLASLLPADGDLRGGSATTAAAAAVPAAPPPRRTYSANTGRTRSINSDDMSYSYSVFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATAPLKEGTSSFFPTELPARMVAPAAALSAGGSFDGSRGGMQSSRPDRILREIVSDSVATMAQVLQEFPSETLEVLRETVRNMIDAPERRDELASLQRKLERRSDLTAETLGRANKTLLEILVAIKTGMAVFVTGKGRVSSSELVEMFLLTRCRNLNCKSVLPVDDCECKICSTKKGFCSACMCPVCQKFDCAANTCSWVGCDVCSHWCHAACALERNLIRPGPTLKGAMGTTEMQFQCLGCNHASEMFGFVKEVFNCCAENWSPETQMKELDFVRKIFAASEDFEGKGLHAKAEEVLSMLAKKLITPSEATSSMLQFFKYGVTDYSVTGSKSKGILAAQTSKSTDMLHLQTPTITPPKSSFNFKPSTSILDTQIEALKASPKALPIETHFSSASKDDDASSLETIVKCKEAEAKLFQKLADDARKEVDSYRHIVRAKTQKLEEEYATKLAKLGFQEIEEKRRKKVEELKMLENSHYDYHKMKLRMQTEIQGLLERMEATKKMWV >PAN44911 pep chromosome:PHallii_v3.1:9:4603966:4606486:1 gene:PAHAL_9G079600 transcript:PAN44911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAYVTILFLSFLFLFSLLGHRHRKINGENKRMQRLPPSPPAIPVLGHLHLLGKPIHAALARLAERYGPVFSLRLGSREAVVVSSAACARECFTEHDVCFANRPRFPSLLLVSFGGATLPMCGYGPYWRNLRRVATVQLLSAHRVSCMLPTISGEVRAMARRMYRSAEAAPGGAARVELKRRLFEVSLSALMETIARTKTSRAEGEADADTDMSPEAQELMKALDVFIPLLSAANMWDYLPVLRWFDVFSVRRKILAAVRARDAFLRRLIDAERRRLDDGEESEKKSMIGVLLSLQKSEPEVYTDTTIMALCSSMFSGGAETTATAAEWAMSLLLNHPEALTKARAEIDASVGTSRLLGADDVPRLGYLRCILSETLRLYPVVPTLIPHESTADCAVGGCRVPGGTMLLVNVYAIHRDPAAWADPAAFRPERFEGGGADGLFMMPFGMGRRKCPGEALALRTLGLVLGTLIQCFDWGTVGGAGEVDMAEGVGITLPRAVPLEAMCRPRQCMVGVLREL >PAN51210 pep chromosome:PHallii_v3.1:9:69712121:69715477:-1 gene:PAHAL_9G578500 transcript:PAN51210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVVTGATGFMGARLCAALAGAGHDVRAFALCGVDASGLPPSVEVVYGDVTDEESLAAAFRGRDVVFHTAAAVEAWLPDASVFHTVNVGGLENVLKAAKRTPAVKKIVYTSSYFAIGPTDGYVADEKQVHKGKAFCTEYEKSKFLADRIALQAAAEGVPITIVYPGVMYGPGTLTSGNLVCRVLIERFNWRLPGYIGDGYDRESFSHVDDVVSGHIAAMEKGRVGERYLLTGENTSFEQIFNLAANITNTKPPKFHIPLWLLEIYGRISVFVARITGKPPLISYHAVDCIRHQWAYSCDKAKKELGYSPRSLTEGLAETLLWLKNEKLIEF >PAN51209 pep chromosome:PHallii_v3.1:9:69712694:69715274:-1 gene:PAHAL_9G578500 transcript:PAN51209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVVTGATGFMGARLCAALAGAGHDVRAFALCGVDASGLPPSVEVVYGDVTDEESLAAAFRGRDVVFHTAAAVEAWLPDASVFHTVNVGGLENVLKAAKRTPAVKKIVYTSSYFAIGPTDGYVADEKQVHKGKAFCTEYEKSKFLADRIALQAAAEGVPITIVYPGVMYGPGTLTSGNLVCRVLIERFNWRLPGYIGDGYDRESFSHVDDVVSGHIAAMEKGRVGERYLLTGENTSFEQIFNLAANITNTKPPKFHIPLWLLEIYGRISVFVARITGKPPLISYHVCVLFSILLFWFIITK >PAN49874 pep chromosome:PHallii_v3.1:9:63207533:63209680:1 gene:PAHAL_9G481100 transcript:PAN49874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQAVAKGSVVSPSGNRAAPGLLDRRRGAVAARMAPSAVRIGGTWRKTAFLGGRLAVGPRRSRSAPRTLVASPVQMNMNLAIGKSMRWWEKGLQPNMREIESAQDLVDSLTNAGDRLVIVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHKPDRCSIGPTRGLEESELLALAGNKDLQFTYTKKPELIPSGDAAAEVIAPEPAKLPAATKPLVRLGSEDRSLVSSGR >PVH33282 pep chromosome:PHallii_v3.1:9:72005574:72006083:-1 gene:PAHAL_9G616200 transcript:PVH33282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASAIHTSLTKIQRKVFCKQQRIGTWRFDQDELRAAFADMVIEDEQPFCFGEKPGLRKFMAKACPRFQLPSRRTCTRDTVRCYFQEKAKLKKFFKNSYQRVCLTTDCWTSQQQDGYMTVTASFIDGNWKMHKKVICFFMVKGHKGDDIGKNVIRCMTEWGLERVMTNS >PVH31906 pep chromosome:PHallii_v3.1:9:18827239:18828514:1 gene:PAHAL_9G257800 transcript:PVH31906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRERECVMQTRAYCCCFCCFEMSHVSHLTSAKNKKGLAVPLLLPCMCALHQG >PAN49062 pep chromosome:PHallii_v3.1:9:58881572:58885562:1 gene:PAHAL_9G423000 transcript:PAN49062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVVKRHRCTHSANCVCLKGHISEDAMYLVFKHMNWNPKMIAIFSGVCKWFDEFAKRVLWKEFCQARAPKMMKDLHSDGSHIVDGNWKALGKLLIYCSGCPRGDLFSNIHVPIPGHFVYRTRFSRTLGKSLLPPQCRSDVLYVSESCEHLDQGEEGDLGLFRGILKSFAGSNMKKMLIERQATFHPNEVCPYCKTKLWHLMQPNMIPSSASTRLDADDDSVEYYVCLNGHIIGSCTLMPFSDSEDTKEE >PVH31961 pep chromosome:PHallii_v3.1:9:23996751:24003329:-1 gene:PAHAL_9G273800 transcript:PVH31961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MASLAATAAVAAPAEVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSIPFIPAEDLPDDLSREFDIIVDAMFGFSFHGTPRSPFDDLIQRLISLSVIGNSVKKPAIVSVDIPSGWHVEEGDVDGGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPILNKYGLKLPPYHGTSMCVRIGKAPSVDISSLRENYISPELLESQVMPDPFDQFLRWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQSFVWYTNYGSRKAHDLSENPNAALLFYWNEMNRQVRVEGSVEKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIAGRDVLQQAYKELEHKYSDGSLIPKPEYWGGYRLTPTLFEFWQGQQSRLHDRLQYSQREVDGSTTWDIERLSP >PVH31962 pep chromosome:PHallii_v3.1:9:23996478:24003329:-1 gene:PAHAL_9G273800 transcript:PVH31962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MASLAATAAVAAPAEVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSIPFIPAEDLPDDLSREFDIIVDAMFGFSFHGTPRSPFDDLIQRLISLSVIGNSVKKPAIVSVDIPSGWHVEEGDVDGGGIKPDMLFLRWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQSFVWYTNYGSRKAHDLSENPNAALLFYWNEMNRQVRVEGSVEKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIAGRDVLQQAYKELEHKYSDGSLIPKPEYWGGYRLTPTLFEFWQGQQSRLHDRLQYSQREVDGSTTWDIERLSP >PVH31963 pep chromosome:PHallii_v3.1:9:23997461:24003006:-1 gene:PAHAL_9G273800 transcript:PVH31963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MASLAATAAVAAPAEVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSIPFIPAEDLPDDLSREFDIIVDAMFGFSFHGTPRSPFDDLIQRLISLSVIGNSVKKPAIVSVDIPSGWHVEEGDVDGGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPILNKYGLKLPPYHGTSMCVRIGKAPSVDISSLRENYISPELLESQVMPDPFDQFLRWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQSFVWYTNYGSRKAHDLSENPNAALLFYWNEMNRQVRVEGSVEKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIAGRDVLQQAYKELEHKYSDGSLIPKPEYWGGYRLTPTLFEFWQGQQSRLHDRLQYSQREVDGSTTWDIERLSP >PAN51372 pep chromosome:PHallii_v3.1:9:70354395:70358503:1 gene:PAHAL_9G589700 transcript:PAN51372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRPRVWLVTACAAVLLWASVAQLVAVGRLLLLFGVAGNADPSPPPSALPPPRIYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFIDSLRDEVHIIKQLPGRFGARDSDVILQMPPVSWSDEKYYLHQILPLFRKHSAIHFNKTDARLANNGISTELQLLRCRVNFQALKFTPQIEGLGNKLIHELRAKGSFVALHLRYEMDMLAFSGCNHGLSPEEAEELKKMRYAYPWWRDKEIDSQAKRSQGLCPLTPEETSLVLRALGFQKDALIYIAAGEIYGGDRRLEPLRAAFPKLVRKEMLLDSDVLRQFQNHSSQMAALDFIVSTASDVFIPTFDGNMAKLVEGHRRFLGFQRSVVLDRRKLVELLDLYTSNTISWDNFASSVREAHKSRVAQPSCRRKLENRPKEEDYFYANPHECLANSSLCS >PVH30976 pep chromosome:PHallii_v3.1:9:1353765:1356357:1 gene:PAHAL_9G023800 transcript:PVH30976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLAEHAPGAAVQRRVENYRGRVIAVDASLSIYQFLIVVGRKGSELLTNESGEITSHLQGMLNRTVRMLEAGIKPVFVFDGEPPEMKKKELAKRSLKRDDATKDLNRAIEIGDEDSVEKFSKRTVKVTKKHNDDCKRLLRLMGVPVVEAPGEAEAQCAALCENHQV >PAN45430 pep chromosome:PHallii_v3.1:9:6934766:6937107:-1 gene:PAHAL_9G118200 transcript:PAN45430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHLHSALALVLLSLLCTGFLCGVVDGAESAKGKKGGKAASGPVIGIDLGTTYSCVGVYRNGHVDIIANDQGNRITPSWVAFTDDERLVGEAAKNQAPLNPQRTIFDIKRLIGRRFDDEEVQRDVRYLPYKVVDRGGKPYVEVPMRGGERKAFSPEEISAMILSKMRETAESFLGRRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDKKGAEMNVLVYDLGGGTFDVSVLSLDRGVFEVLATSGDTHLGGEDFDQRVMDHFIRLIRRKHGRDISKDGRALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSEPLTRAKFEELNMDLFKKTLGPVKKAIADAKLKKTDIDEIVLVGGSTRIPKVQELLTEFFDGKEPNKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLTGIPPAPRGVPQIEVTFEVDENGILHVTAADKAGGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSTVKDGGGVAGNIGEEDRERMEAALAEALEWLEEQDGGGRTAEKEDYEEKLREVEEVCGPVIKQVYEKSGGGAGGSAGAVDENDVNEL >PVH31339 pep chromosome:PHallii_v3.1:9:6946778:6947900:-1 gene:PAHAL_9G118500 transcript:PVH31339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLDVLLGRTTKQTARLRSLLGLAVTRLGWCGATARCEQLLRLGRPDRALARAEHAVREQDALDALADLEAYCGLIAERAALVDEHRECPDELREAAAGLVYAAARCGDLPELQEVRSILAAKFGREFVSAASELRSGCGVNPKIVQKLSTKQPSLERRQMLLQEIAAEKGIAVSVYEPPCQGSGRSNDNHRKTKQDEEMIRTPPVDDLDEDVSGDSAQRYKDVEAAAQAAFKSAASAAAAAKAAMELSRGEARGPGDGRKTGTALMDHESKKGDDSVDGKKFEKIGHARNYSSEIEILPDDEANHGNTAANELKHHEQREPARGKPQSVRTKWGF >PAN48590 pep chromosome:PHallii_v3.1:9:56506264:56508961:1 gene:PAHAL_9G393200 transcript:PAN48590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMLFAGSAREGHTGTLLLLQQQQQGLAAGKVSGAGGLWEPTSVLDHRHSPSPSPPTSASTLSSPLGAATAGVAALAGANAKNVSPPPPAAAWPGGAAGEEAAVGGKEEWQLTPLDMGLGAGEGWDATGSVLSDGAAPPGLAPDHTFLRWIIGGEDASAGMGSVMDPPVLELDHAPSMMSPAFGSNMPFAPAMEDTKAPPFGHASNLLLHHHQHHPQPHAAFFGSHPSFDAAPQTPKRHHPMAPAPAPKLQSFPGPAAPAGGFVPAMKPKAEAANDEAAAAVDQLAEAAKLAEAGDAFGAREILARLNYRLPAAPPAGTPLLRSAFYFKEALRLALSPTGEAPAPPVSTPYDVVLKLGAYKAFSEVSPVLQFAHLTCVQAVLDEIGGAGRIHVLDFDIGMGEQWASLMQELAQRCPAATLKVTALVSSATHHPLELQLIHENLSGFAAELGVFLQFAVFNIDTLDPAELVAITSGDAVAVHLPVGSAHVAAMPAILRLVKRLGAKVVVSMDRGCDRTELPFAAHLFQAFQSCVSLLESVDAVRPDADAVAKIERFLVQPGVERRVVARHRAAAMDKPPLPWRTVFASAGFVPVQASTFAESQAEALLKRMALMGFRVEKRGGALCLYWQRGELVSVSAWRC >PAN48771 pep chromosome:PHallii_v3.1:9:57309330:57311000:1 gene:PAHAL_9G404000 transcript:PAN48771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGLERDEAEGRLYVGNLDFRISESDVIKMFSPFGKITAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPMVVHLASEKSSLDSSDSHRALKDKKVTGGSAIRSAQTDRAAKIAAIKNKLKSLEEEGCSTKRPRLTPNDLTGTKEHSHKKF >PAN48769 pep chromosome:PHallii_v3.1:9:57302103:57311000:1 gene:PAHAL_9G404000 transcript:PAN48769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGLERDEAEGRLYVGNLDFRISESDVIKMFSPFGKITAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPMVVHLASEKSSLDSSDSHRALKDKKVTGGSAIRSAQTDRAAKIAAIKNKLKSLEEEGCSTKRPRLTPNDLTGTKEHSHKKF >PAN48767 pep chromosome:PHallii_v3.1:9:57302061:57311022:1 gene:PAHAL_9G404000 transcript:PAN48767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPFGKITAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPMVVHLASEKSSLDSSDSHRALKDKKVTGGSAIRSAQTDRAAKIAAIKNKLKSLEEEGCSTKRPRLTPNDLTGTKEHSHKKF >PAN47078 pep chromosome:PHallii_v3.1:9:15372874:15373701:1 gene:PAHAL_9G232500 transcript:PAN47078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKFVALSFIVLLSIGLSNAARVSRYVSAGGGGGGGGGGGGSGDGSGSGNGSGSGYGQASGSSSGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGSNGGAYAQGGGQGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYSGGYAQGGGQGGGGGGGQNGGSGSGSGSGSGYGQASGYGPYGGGYAQAGGQGGGSGGGGGQYGGSGQGSGSGSSYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGNGSGSGSGSAGSGGGYP >PAN51515 pep chromosome:PHallii_v3.1:9:70963865:70967312:1 gene:PAHAL_9G599400 transcript:PAN51515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISTPAASPSPSPSQTRIRLPGGSALLPSRRLPVAAWPRLRIQPAPPGAAIAMALPLRHESLSAAEPEEAPPAAFGAPWKLLGSLLPKASTAALFLLMTLITGTLHSSIPHSAYASMQPVANTGGRLFTTEILSSGWAGFLAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVFRAWGTRVVGLTLLIIGAMGVREASEVQGSTQLVLEGVDASMSGSEPLQVPSAPRKKKVGFATFATGVVHGLQPDALLMVLPALALPSRFAGAAFLGMFLVGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLAAIGMGLALLVGQFFGFSLY >PVH31276 pep chromosome:PHallii_v3.1:9:5923860:5926367:1 gene:PAHAL_9G102300 transcript:PVH31276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALLLAMAVAIHGGSHSAWCFASDTISTDSPISGDRTVVSRGGGNFELRFFSPAGGGSTTASSSRSNYYVGIWYKKVMSQRTPVWVANRAAPVADPASSRLAVAADGNLVLTNEAGKLVWSSNVSSASSSNGAAVAAVILDTGNLVLRRESGEVLWQSVEHPTDTWLPGARLGMNKITGDVQALVSWKSAGDPAPGMFTLGINPNGSSQYLTKWNRTVASWSSGEWNNDVFAGVPEMTSHYKYDFKFVSDANTSYFTYSLQDPTVISRLVLDVSGQLRQIMWEPSTDEWMIIWTEPHRLCDVYAVCGAFGVCNEKSELYCSCPAGFRPSSIGDWELGDHSMGCRRNNPLRCDGGANSSVHGKGDGDAFLLAPGVSLPRNPSPAQASSAGDCRLACLRSCDCTAYSYASHCSLWYGGLLNLQWRVEDTAGMDDLYLRLSAMDVPSSKGRRRKIVFASIPAVASSILALPVIVSVVVIRMFRRRQRSMAFMQAASEGSNLVVFKYGDVRRATKNFSEKLGGGSFGSVYKGTLPGGQVAIAVKKLEGRLCVGEKQFRNEVRTIGVIQHVNLVRLRGFSSHGGERLLVYDHMPNGSLDKALFGGAPALSWRARFQIALGAARGMLYLHEGCRDCIIHCDIKPKNILLDEDLVPKVADFGLAKLLARDFSRVLTTVRGTIGYLAPEWISGVPITAKADVYSYGMVLLEIVSGRRNARCWPATEQEPSLSGYFPLVAARKVSQGEALDGLLDERLHGDADPRELERACRVACWCVQDDEARRPTMEQVVQALEGVVAVDVPPVPTSLRALAENSGSVMSARTSAYLDGLSRSHLQDSS >PVH32561 pep chromosome:PHallii_v3.1:9:59483309:59483602:-1 gene:PAHAL_9G429100 transcript:PVH32561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFCSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PVH31950 pep chromosome:PHallii_v3.1:9:22867493:22868425:1 gene:PAHAL_9G271000 transcript:PVH31950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDFAGHAHTNALHWEGFPHLLWESLQVFGYTEPPPYDGVEYEEEGVPRCRVKMTVPPHPTLSLWQPIEVNVIGHRLADTFEAAAIEAIHIFCDQHLEEVAGYPIGLFPTMDSRDPEWTFRVTYCDHLLGNLAGETLRTAVRFMNAQYRYQTLQQHGIYCLTNIAQGYRNQVGRQNTQIEGLQATVTAKEDVITRREEIIQHREEQIVESDALIVQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPVQPVVDEFESEQEEEDPEEVEGVSEIDSEHGDPVLSPYHSSSGSQSSVGNLDDF >PVH31654 pep chromosome:PHallii_v3.1:9:12960072:12961803:1 gene:PAHAL_9G203100 transcript:PVH31654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATADPCAICLGEISRGQAVFATWRDVPAVEQPVPAPAMPPPRAYADDDPVAQAAVQAPEVGEMALKTHCEFPPVARDASCDSFAVLVHATAPGAAAADAEAPRAPLDLVTVLDVSGSMTGNKLALPKQAMRFVIDNLAPPTVLAIRLVRMSDDGKASTKRAVESLVAGGSTNIGSGLHVASEVLADRRYRNAVTSVILLSDGQDTCIRHRDFTELVPLPFRAAVNRPGPIHTFGFGSDHDAAAMHTVAEDSFAQCIGGLLSVVEQDARVVVECAHPGVRVREVKSGRYESRIDADGRAASVEVGELYAEEERRFLLFVDVPVAEATEDATQLVKVRCTYREVATGRAAVVGDDAVVQRPAEVTDPEASVEVERERVRVAAAEEIAAARAAAERCAFEEAGRILHGQLYRVHVSLESAPGGNPMLDVLEEELEELEECMEDEEEYERVGRARVLKGLSSHAQQRASYVAVRKRSASKRERERAPQPYMTTTMESMVKKSQKLREEHPTSPPPLPEKRKRGSDRS >PAN47943 pep chromosome:PHallii_v3.1:9:35195565:35207336:1 gene:PAHAL_9G308700 transcript:PAN47943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPSAEGFLQASSCLPCTAEEERELVAALTREAEENVKDGDLRYLVSQSWWMEWQRYVGLVSCDENGNEQLPQATNRPGQIDNSKLVSAETISGSEEPELQRTLREGEDYTLVPQQVWWKLFQWYKGGPEIPRKVIFDSPTCKGYMVDVYPLCLKLIDGRDNSEQNIRISRKAKIHELYSVVCSLMSVEQSEIVIWDYYQKSKSKKLTNLNETLDEAQITMDQEILFEMKLDESSSGFSSRSTNNELALIPLGPSTSSLSIAGGPTISNSFSSGIGSSFSQDNSFSPLLKDSADGYNSFSNGTKDDTHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLEDYTREINTENPLGLQGELAVAFGELLRKLWSSGRTSVAPRPFKSKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDDEFAEECWNYHKARNDSIIVDKFQGQYKSTLVCPVCNKISVTFDPFMYLSLPLPSTVTRMITVTVFSGTGDALPMPFTVTVQKNGNCRDLTKALADVCCLKSSETLLLAEVYERRIYRYLTNPIEGLHNIKDEDILVAYRLPVGHEKLLRLEILHRRADRCAAEPQFNINRKLIGCPLVTCIPNDSTGKSDIYVAVSAVLVPFVRANAHGPDVSAVKLNGNGPSLDGIVLTDNGTTCEEGLSTSTGDDDAVDEHLPFQLSLTDEKGSVRNAINTDSNRVLGIVMRVLMDWSDREHEMYNIDYMDEVPEVFKPGFLSKKTRQEAVNLFSCLDAFLKEEPLGPDDMWYCPGCKEHRQATKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPIHDLNMSKYVKQTSRGDRQPMYELYAVINHYGGLGGGHYSAYAKLVEEDNWYHFDDSHVSSVNEDAIRTSAAYVLFYRRVGGSSTVANGIPVDIEMVDSLET >PAN51845 pep chromosome:PHallii_v3.1:9:70657512:70666855:-1 gene:PAHAL_9G595400 transcript:PAN51845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGASRNKLEIAISLAWSRCSMRFLALFLSVPNTPKSQRDIASVTHAHSAAPGARDQSWVGSESPRPAATPCPPPSSLAITVPSVSQTQKRLSVRLVPLVTPLATAPRQPSRPRAEADTPETSARRASEREVVKAMRSQLHAAVSPPLPPPPPPSIRRALPSATPRALRFHAFPWRFRVHHHGRGVGARRADDPPGNPGVGVLTSESGADDNDTTVKNAETPNTSTATASSLRFPTQGNSNMAAIISIAICFLHKIVSSRMQLMNLLPWMSSGITTLPFACISDPIRKPIPLKLDVTFPPLPDVRWSISRLYYLFNSQLERNIVLSIVTLLITCFSFVIVGGLLFHKFRKQQHSLEDCFWEAWACLCSSSTHLRQKTRIERVIGFFLAIWGILFYSRLLSAMTEQFRTQMHKVREGAQLQVIEDDHIIICGVNSHLIPILNQLDKFHESAIRLGVATARKQRILLLSDLPRKQVDKIADSMAKDLNHIDVITKSCSLSMPKSFERAAAHRAKSIIILPVKNERYEVDTDAFVSLLALQPITQIASIPIIVEASNSTTCELLKSINGLNVQPVEMVASKLFVQCSRQKGLLKIYKHLLNQRKNVFNLFSLTELGGMKYMDVRRKIHDAVVCGIFRSGKIYFHPSDDEVLKETDKLLLIVPVRGRRRPQYTVLSGPKEKQTSSHYKESREDQGSSMAATTEKETRLKSIVKRPLKSLSKSSDYMLGPKESVLIVGWRPKVTEMIREYDSYLGPGSTVEILSETPVKERSSIVNPLLQSQLKNVKVSHRVGCPLNYDTLKDTIINIRKSAKSGKKVPLSIVVISDRDWLIGDATQADKQLAYTLLLAENICKKHGIMVENLVSEIVDTGLGKQISKIRPSLSFIGAEEVMSRVTAQVAETSELNEVWKDILNAEGDEIYIKEIGLYMKEGEKISFSELSERAVLRREVAIGYVKDGKQHINPTNKLEPLSLEMTDSLIVISEFEGEQPIMMDRETSG >PVH32517 pep chromosome:PHallii_v3.1:9:58544741:58545738:-1 gene:PAHAL_9G418200 transcript:PVH32517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYHLKQYEKEHMKMAMLKQEETFRQQVQELHRLYRVQKLLMTDAANAAAMPAATRCDLEDERRAAENHAGSSKSWDDAYPEQGKATTPQLALQESELELTLSLGCFGTAGKKAAAKKETSSSVDSRTSISSSSTESGSPDRRLVLPAPSLIGSAAAIRPGAGSVGQRLEQDGLQQPPWLHKCLNLAR >PAN48999 pep chromosome:PHallii_v3.1:9:58544741:58545904:-1 gene:PAHAL_9G418200 transcript:PAN48999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYHLKQYEKEHMKMAMLKQEETFRQQVQELHRLYRVQKLLMTDAANAAAMPAATRCDLEDERRAAENHAGSSKSWDDAYPEQGKATTPQLALQESELELTLSLGCFGTAGKKAAAKKETSSSVDSRTSISSSSTESGSPDRRLVLPAPSLIGSAAAIRPGAGSVGQRLEQDGLQQPPWLHKCLNLAR >PAN45064 pep chromosome:PHallii_v3.1:9:5164787:5166897:-1 gene:PAHAL_9G090300 transcript:PAN45064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCLRALGAPLRGFVLHSGKRVASCFSTAGFDLPDWFKNPEDGGSCAGLGGDGDDDFVLPAKSGTLEERRETATGSGTKPLSILAGCPASHEEAEFEADLDEVSRILSSRFASPEAIVIAMDCCHVRVSGRLVDKILHRFNSDWVAAFGFFMWAGTQDGYRHCANSYDSMVDIVGKFKQFDLMCGLISQMHEVGGLVSLVTMTKVMRRLCGANRWSDAIDAFHKMDQFGVAKDTKAMNVLLDTLCKERSVKRAMGAFQQLMGTIPPDESSFNTLVHGWCNARMMKEARKTMKQMEEHGFSPSVVTYTSLIEAYCMEKDFQTVDNILGEMRRRGCPPNIITYTIVMHALGKAGRTQEALDTFEKVKQDACTPDASFYNSLIYILGRAGRFLDANFVVAEMHRTGISPNVTTFNTLISAACDHSQAENALKLLVQMEEKSCKPDIKTYTPLLKLCCKRQWVRTLLFLICHMFRKDITPDFSTYTLLVSWLCRNGKLVQSCLFLEEMVSKGFAPKQETFDLVLDKLENRNMHSAMRKIQLLRVQVAGNRRKSNETRW >PAN47360 pep chromosome:PHallii_v3.1:9:38611352:38617095:-1 gene:PAHAL_9G318100 transcript:PAN47360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MPPATAPASSTRVHPSRVRVLHPGGGRTTGPVVYWMLRDQRLADNWALLHAAGLAAASAPAAPIAIAFALFPRPFLLGARRRQLGFLLRGLRRLAADARARGLPFFLLEGGPAEVPVLVRRLGASALVADFSPLRPVREALDAVLGELRRDVADMAVHQVDAHNVVPVWAASGKLEYSAKTFRGKVSKVMDEYLVEYPELPALMPWDGEQPEDVDWDALINRICRDAENVPEIDWCEPGEAAAMEVLLGSKDGFLTKRIKNYDMGRNDPTKPRALSCLSPYLHFGHISAQRCALEAKKCHHLSPKSVDAFLEELIIRRELAENFCYYQPHYDSLAGAWEWARKTLMDHAGDKREHIYTRELLENAKTSDPLWNASQLEMVHLGKMHGFMRMYWAKKILEWTTGPEEALSVAIYLNDKYHIDGRDPNGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLVAQAKKRKAEEARDTGPKHPKSEAIQQLNMSKTLI >PVH32111 pep chromosome:PHallii_v3.1:9:38614478:38616893:-1 gene:PAHAL_9G318100 transcript:PVH32111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MPPATAPASSTRVHPSRVRVLHPGGGRTTGPVVYWMLRDQRLADNWALLHAAGLAAASAPAAPIAIAFALFPRPFLLGARRRQLGFLLRGLRRLAADARARGLPFFLLEGGPAEVPVLVRRLGASALVADFSPLRPVREALDAVLGELRRDVADMAVHQVDAHNVVPVWAASGKLEYSAKTFRGKVSKVMDEYLVEYPELPALMPWDGEQPEDVDWDALINRICRDAENVPEIDWCEPGEAAAMEVLLGSKDGFLTKRIKNYDMGRNDPTKPRALSCLSPYLHFGHISAQRCALEAKKCHHLSPKSVDAFLEELIIRRELAENFCYYQPHYDSLAGAWEWARKTLMDHAGDKREHIYTRELLENAKTSDPLWNASQLEMVHLGKMHGFMRLQNVLGQKDSRMDHWT >PAN49428 pep chromosome:PHallii_v3.1:9:61028551:61030947:-1 gene:PAHAL_9G448500 transcript:PAN49428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKEGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPATTGLMRCSKSCRLRWTNYLRPGIRRGGFSDQEDRLIVHLQALLGNRWAAIASYLPYRTDNDVKNYWNTHLKKKLLVQQHQHQQRAPSTLSPPPNKGQWELRLQTDIDLARRALRDALSVPLAGKMMLSGTRGATAPAPPPLPAESPPPALVQAYALTARDVSCMLDGWARPTPGKKSGSPATPPPPVAAESASGSTSELTECSASISSAPNRRAAPVAAQLFVREEKAAAGGEEVPLSEIESWLLEDGGGEQKPAHDGLLLDAALYNFGF >PVH30991 pep chromosome:PHallii_v3.1:9:1704142:1705224:-1 gene:PAHAL_9G030600 transcript:PVH30991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQAFNSVASAVTGELAGRALSGLIGKFAKQATADEKLQRLEMLLIRIHSAVEASEKHAVENTWLIRWRDKLRDATLEGDQVLASFRNRASHAQAVGNANNKLHDGASSSTTGAASPAPEATGTALSFTRIALSGMAQGIHRARMMVFSSEDEGMKRLNATVEKLEQLSADIGEFVRLLKLEVLQATEQKHFPVVEAPSYASAPSMINKASQNKPGEKTHEDQHHMLVYRLRRAFSAVCTAVKEADNRYLNDREWLASWADILREAERQGGAVLRATRPGGVPAVESDQEKDELCRFVRSMESLVGDVGHFSALASLCPSY >PVH33354 pep chromosome:PHallii_v3.1:9:73190575:73197096:-1 gene:PAHAL_9G633800 transcript:PVH33354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEARCPGGGDPMDFSWTADGENHAAACASPGRDEEDAPAPALSPQEVAESMILVSGPRVVMSGLRMGDCRSDDSVLFINAGGGAIEGCDSNIKVTGDSFFEGGDVIETNESIIEGGDCPSIYHSARYGSFSYNFNGLAPGDYFLDLHFAEILYTCGPKGLSTFDVLVQDEKVLSQLDVYAVVGGNRPLQVRDIRVTVEMNGAIMVDFKGVRGSPMVCAICIRKAPVLTANSVTDGNALCKRCSADIDFSSTQTRTSKLISKYEKQIEELTSQCTMKTNECYMAWSSVDTTNLELGRLKIELHQKGAEMDSLEQALGRESGQLRNVSQKYENDKKLWTAAISNLERKIKAMKQEQALLSLEAHDCANAIPDLSKMIGAVQALVAQCEDLKLKYYEEMDKRKKLHNIVQETKGNIRVFCRCRPLSKDEVSSGQKYVVDFDGANDGDIVITNAGTSKKTFRFDRVFTPKDDQDVVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKESVTYDLSVSVLEVYNEQIRDLLATSPSKKLEIKQSSEGYHHVPGLVEAKVTNIKEVWDVLLAGSNARAVGSNNVNEHSSRSHCMLCITVKAKNLLNGECTSSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDCLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRRIELGPAKKQVDTVELQKTKQMLERAKQEGRLKDDSLRKLEENLQNLETKAKGKEQLCKNLQEKVKELEGQLDSKAHSQITSEKQQRQLSGKLKEKEEMCTALQQKIVELECKLRQPHQSEVEVLKQTIKELEFKLKEQEHDRSAVELKIKELELRLKEQEHQRSVAELKNKELELKLKEQEHQRSVAELKAREIGHELLETQRTEAMLQIKLRDLESKTKVQETNMTLDSAIATPREAKLPLFSREEAMSEKEKRILRSSNSLNKQQPLSENSSVPDGPEAAVNEKKRKGDARNASIGGEQENNGLAAGQNVGRKRSLPGEREARVKRKSTEPQVKNLGRSTASSRAAAAATHKVAAPSSRVPRQQPGGTKTRGWVR >PAN51975 pep chromosome:PHallii_v3.1:9:73190575:73197096:-1 gene:PAHAL_9G633800 transcript:PAN51975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEARCPGGGDPMDFSWTADGENHAAACASPGRDEEDAPAPALSPQEVAESMILVSGPRVVMSGLRMGDCRSDDSVLFINAGGGAIEGCDSNIKVTGDSFFEGGDVIETNESIIEGGDCPSIYHSARYGSFSYNFNGLAPGDYFLDLHFAEILYTCGPKGLSTFDVLVQDEKVLSQLDVYAVVGGNRPLQVRDIRVTVEMNGAIMVDFKGVRGSPMVCAICIRKAPVLTVTDGNALCKRCSADIDFSSTQTRTSKLISKYEKQIEELTSQCTMKTNECYMAWSSVDTTNLELGRLKIELHQKGAEMDSLEQALGRESGQLRNVSQKYENDKKLWTAAISNLERKIKAMKQEQALLSLEAHDCANAIPDLSKMIGAVQALVAQCEDLKLKYYEEMDKRKKLHNIVQETKGNIRVFCRCRPLSKDEVSSGQKYVVDFDGANDGDIVITNAGTSKKTFRFDRVFTPKDDQDVVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKESVTYDLSVSVLEVYNEQIRDLLATSPSKKLEIKQSSEGYHHVPGLVEAKVTNIKEVWDVLLAGSNARAVGSNNVNEHSSRSHCMLCITVKAKNLLNGECTSSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDCLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRRIELGPAKKQVDTVELQKTKQMLERAKQEGRLKDDSLRKLEENLQNLETKAKGKEQLCKNLQEKVKELEGQLDSKAHSQITSEKQQRQLSGKLKEKEEMCTALQQKIVELECKLRQPHQSEVEVLKQTIKELEFKLKEQEHDRSAVELKIKELELRLKEQEHQRSVAELKNKELELKLKEQEHQRSVAELKAREIGHELLETQRTEAMLQIKLRDLESKTKVQETNMTLDSAIATPREAKLPLFSREEAMSEKEKRILRSSNSLNKQQPLSENSSVPDGPEAAVNEKKRKGDARNASIGGEQENNGLAAGQNVGRKRSLPGEREARVKRKSTEPQVKNLGRSTASSRAAAAATHKVAAPSSRVPRQQPGGTKTRGWVR >PAN51973 pep chromosome:PHallii_v3.1:9:73190232:73197246:-1 gene:PAHAL_9G633800 transcript:PAN51973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEARCPGGGDPMDFSWTADGENHAAACASPGRDEEDAPAPALSPQEVAESMILVSGPRVVMSGLRMGDCRSDDSVLFINAGGGAIEGCDSNIKVTGDSFFEGGDVIETNESIIEGGDCPSIYHSARYGSFSYNFNGLAPGDYFLDLHFAEILYTCGPKGLSTFDVLVQDEKVLSQLDVYAVVGGNRPLQVRDIRVTVEMNGAIMVDFKGVRGSPMVCAICIRKAPVLTVTDGNALCKRCSADIDFSSTQTRTSKLISKYEKQIEELTSQCTMKTNECYMAWSSVDTTNLELGRLKIELHQKGAEMDSLEQALGRESGQLRNVSQKYENDKKLWTAAISNLERKIKAMKQEQALLSLEAHDCANAIPDLSKMIGAVQALVAQCEDLKLKYYEEMDKRKKLHNIVQETKGNIRVFCRCRPLSKDEVSSGQKYVVDFDGANDGDIVITNAGTSKKTFRFDRVFTPKDDQDVVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKESVTYDLSVSVLEVYNEQIRDLLATSPSKKLEIKQSSEGYHHVPGLVEAKVTNIKEVWDVLLAGSNARAVGSNNVNEHSSRSHCMLCITVKAKNLLNGECTSSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDCLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRRIELGPAKKQVDTVELQKTKQMLERAKQEGRLKDDSLRKLEENLQNLETKAKGKEQLCKNLQEKVKELEGQLDSKAHSQITSEKQQRQLSGKLKEKEEMCTALQQKIVELECKLRQPHQSEVEVLKQTIKELEFKLKEQEHDRSAVELKIKELELRLKEQEHQRSVAELKAREIGHELLETQRTEAMLQIKLRDLESKTKVQETNMTLDSAIATPREAKLPLFSREEAMSEKEKRILRSSNSLNKQQPLSENSSVPDGPEAAVNEKKRKGDARNASIGGEQENNGLAAGQNVGRKRSLPGEREARVKRKSTEPQVKNLGRSTASSRAAAAATHKVAAPSSRVPRQQPGGTKTRGWVR >PVH33353 pep chromosome:PHallii_v3.1:9:73190281:73197246:-1 gene:PAHAL_9G633800 transcript:PVH33353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEARCPGGGDPMDFSWTADGENHAAACASPGRDEEDAPAPALSPQEVAESMILVSGPRVVMSGLRMGDCRSDDSVLFINAGGGAIEGCDSNIKVTGDSFFEGGDVIETNESIIEGGDCPSIYHSARYGSFSYNFNGLAPGDYFLDLHFAEILYTCGPKGLSTFDVLVQDEKVLSQLDVYAVVGGNRPLQVRDIRVTVEMNGAIMVDFKGVRGSPMVCAICIRKAPVLTANSVTDGNALCKRCSADIDFSSTQTRTSKLISKYEKQIEELTSQCTMKTNECYMAWSSVDTTNLELGRLKIELHQKGAEMDSLEQALGRESGQLRNVSQKYENDKKLWTAAISNLERKIKAMKQEQALLSLEAHDCANAIPDLSKMIGAVQALVAQCEDLKLKYYEEMDKRKKLHNIVQETKGNIRVFCRCRPLSKDEVSSGQKYVVDFDGANDGDIVITNAGTSKKTFRFDRVFTPKDDQDVVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKESVTYDLSVSVLEVYNEQIRDLLATSPSKKLEIKQSSEGYHHVPGLVEAKVTNIKEVWDVLLAGSNARAVGSNNVNEHSSRSHCMLCITVKAKNLLNGECTSSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDCLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRRIELGPAKKQVDTVELQKTKQMLERAKQEGRLKDDSLRKLEENLQNLETKAKGKEQLCKNLQEKVKELEGQLDSKAHSQITSEKQQRQLSGKLKEKEEMCTALQQKIVELECKLRQPHQSEVEVLKQTIKELEFKLKEQEHDRSAVELKIKELELRLKEQEHQRSVAELKAREIGHELLETQRTEAMLQIKLRDLESKTKVQETNMTLDSAIATPREAKLPLFSREEAMSEKEKRILRSSNSLNKQQPLSENSSVPDGPEAAVNEKKRKGDARNASIGGEQENNGLAAGQNVGRKRSLPGEREARVKRKSTEPQVKNLGRSTASSRAAAAATHKVAAPSSRVPRQQPGGTKTRGWVR >PAN47786 pep chromosome:PHallii_v3.1:9:25349811:25358973:-1 gene:PAHAL_9G276400 transcript:PAN47786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKRRRSHSPVEYKEGCDKDYETSGRKDNSRDLEESKDTRLGRGHDSGRHTDRHSFGTSRDSKRHDDYRRYHDMYSDDYGRSHTRASRSDRESRTDTYYDRSKRDYTSGRSRGDQRDIDSRYGEKSVSRDQRSINERKQDSPRAYQRNDIGEYNKYTDARKQEYRGYGDDRDHCRVVDKNKETIKEDEVLKKRDGKEIEKEALVETREKRRSLFSSTGTNTDNPDDAKLSSVTNEALDNSAASLDDGANAAKVAAMKAAELVNRNIAAFGAGTGRLSTDQKKKLLWGNKKSNTSEETSNRWDLNLFSDRERQEKFNKLMSLRMPWWLWLIAGCEEQCPGSGEKGSEHGRELRRSQEAGGARHQPREALYSRTTPKRWEDRWSWPLGNSACKCYGVGKFESLLCIILMACLSRHADG >PAN51246 pep chromosome:PHallii_v3.1:9:69863924:69867190:1 gene:PAHAL_9G580700 transcript:PAN51246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:Projected from Arabidopsis thaliana (AT4G33250) UniProtKB/Swiss-Prot;Acc:Q9SZA3] MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERMSIQIVARILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEAAKNRHILEVVPGFEQAIQGYAIHLLSLTYQKVPRPVLAEAINIEGLALDKFLEYHAANSGWVIEKGGQSQVIVLPRNEFNHPELKKNTADTVPFEHVTRIFPVLS >PAN44014 pep chromosome:PHallii_v3.1:9:694186:700238:1 gene:PAHAL_9G011300 transcript:PAN44014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAVASPPAVHAPAPATDLFGEPIEAHPPWFKPDSFLRADFDPDAYVAELRSYVPLESLAAELRAHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLADLRDKVAGFRAGAAAALAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPSAPSDSSNAEVQSIDTQNVEAGTGVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVYPLIQKIVPQNYAKAVAGASSDGLEDDYEQIKQCVEKDCKFILEISSSANSGLHVFDFLGNSILKEVLSAIQKGKPGAFSPGKPKEFLKNYKASLGFLDFLEGHCQSKSAVTKFRSEPAYTDFMRQWNVGVYFSLRFQEIAGGLDSTLTNTISPAGMNDAQGKPLLLKQSLKLLENLQTCWSDEVLVFSHCDKFLRLSLQLISRYTTWLSSGLSARKASDGSPNSPADAEWALSIPIDDFIYIMHDVHAVIGELSESGSFIGHVNQLLASCPIEVLNLVKQSILQAVEPLKELLPAIINVMIGIIVKKSNEDLKHLKGITATYRMANKLPVRHSPYVSGILHPLKVFLEGERVNYLSEDDKTKLCRGSTDKITVMYYDLVSEVVTVARKTESSLQRLRQGAQRRVGASTDASDNIISDTDKICMQLFLDIQEYARNLHAMGIDAREIDSYRALWQCVAPKDKQENIQF >PVH30899 pep chromosome:PHallii_v3.1:9:694186:700238:1 gene:PAHAL_9G011300 transcript:PVH30899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVQGATKLLDGSLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVYPLIQKIVPQNYAKAVAGASSDGLEDDYEQIKQCVEKDCKFILEISSSANSGLHVFDFLGNSILKEVLSAIQKGKPGAFSPGKPKEFLKNYKASLGFLDFLEGHCQSKSAVTKFRSEPAYTDFMRQWNVGVYFSLRFQEIAGGLDSTLTNTISPAGMNDAQGKPLLLKQSLKLLENLQTCWSDEVLVFSHCDKFLRLSLQLISRYTTWLSSGLSARKASDGSPNSPADAEWALSIPIDDFIYIMHDVHAVIGELSESGSFIGHVNQLLASCPIEVLNLVKQSILQAVEPLKELLPAIINVMIGIIVKKSNEDLKHLKGITATYRMANKLPVRHSPYVSGILHPLKVFLEGERVNYLSEDDKTKLCRGSTDKITVMYYDLVSEVVTVARKTESSLQRLRQGAQRRVGASTDASDNIISDTDKICMQLFLDIQEYARNLHAMGIDAREIDSYRALWQCVAPKDKQENIQF >PAN50400 pep chromosome:PHallii_v3.1:9:65715395:65720927:-1 gene:PAHAL_9G517400 transcript:PAN50400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHKWKAVEGGGCAGVAGGGDQRRRCVAASLSMLIAATLAFLAYVAFFPNDGAGGLYRLWRCQDCAGELGEFPGDEAAAADGPSASRAAREPTTLSHVVFGIGASARTWDQRRGYAELWWRPDQMRGHVWLDEEPVSPWPAATCPPYRVSADASRFGDRASAARMARIVADSFLAVAAELGNDTARDEPRWFVMGDDDTVFFPDNLVAVLRKYDHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAALAKAIDGCLDRYVYFYGSDQRVQACLTELGVPLTREPGFHQVDIRGDAYGMLAAHPVAPLVSLHHLDHIQPISPRGKTALEAVRPLVAASRLDPARALQQSICYQRGPGYVWSVSVAWGYTAQLYPWAVAPHDLEVPLQTFRTWRSWADGPFVFNTRPLSPRDACARHAMFFLSAARNGTATTVTEYARHDAAPPSEKECDRASFRAASTVHTVRVIAPRMSESDWRRAPRRQCCKTRRTSWGSVLEVRIRRCGRGELTSP >PVH31852 pep chromosome:PHallii_v3.1:9:17033490:17037905:1 gene:PAHAL_9G247500 transcript:PVH31852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFDVDWRSSSSSNLRNKHSEIQMLAIGSKYSEIQMLITGKQATTWKGRRKRRRQDATTWRHRRRRHMERQPSLELAPGEIAPGSRAAAPAGTMSALLLLITSPRRNPPDGRGIHASRRESRNCALGKGLISHLGVEQLALFS >PAN49685 pep chromosome:PHallii_v3.1:9:62226489:62228732:1 gene:PAHAL_9G467100 transcript:PAN49685 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIEL1 [Source:Projected from Arabidopsis thaliana (AT5G18650) UniProtKB/TrEMBL;Acc:A0A178UJV2] MEHDAEARRGFARMGFGCKHYRRRCRIRAPCCGDVFHCRHCHNESTKDGHELDRHAVQSVICLVCDTEQPVAPVCCNCGVCMGEYFCRTCKFFDDDVDKEHYHCKDCGICRVGGRENFFHCQKCGSCYSTTLREKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSTSIFDMDKFLRALDAEMEASYCYMGKSIYTRKIQAIYQQPESFRY >PAN49684 pep chromosome:PHallii_v3.1:9:62225547:62229372:1 gene:PAHAL_9G467100 transcript:PAN49684 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIEL1 [Source:Projected from Arabidopsis thaliana (AT5G18650) UniProtKB/TrEMBL;Acc:A0A178UJV2] MEHDAEARRGFARMGFGCKHYRRRCRIRAPCCGDVFHCRHCHNESTKDGHELDRHAVQSVICLVCDTEQPVAPVCCNCGVCMGEYFCRTCKFFDDDVDKEHYHCKDCGICRVGGRENFFHCQKCGSCYSTTLREKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSTSIFDMDKFLRALDAEMEASYCYMGKGWIVCYDCRDTTQVFSGVAGHKCCHCQSHNTCRVAPPVLP >PAN51232 pep chromosome:PHallii_v3.1:9:69813708:69814793:1 gene:PAHAL_9G579800 transcript:PAN51232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRAPSLFHLEEGVGASTSTPIMAAASGAAGELVGLRLIIQPSPRKQRPTVLRRSAVRIAAAASASSKCGHENGRMFVGLEFLKRCFCCHKNLDATMDVFVYKGEQAFCSAECRCQHMAKEERREIEMLIRKRRDAFHRRHAAAAPKMQGSNRLIMRLQTAAR >PAN51573 pep chromosome:PHallii_v3.1:9:71244876:71247444:1 gene:PAHAL_9G604500 transcript:PAN51573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGDAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVVPEDKSSDEELSEEEDDSGDEEILGKPDP >PAN49508 pep chromosome:PHallii_v3.1:9:61427231:61429496:-1 gene:PAHAL_9G454700 transcript:PAN49508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMVRLFLLVLCPCAAIVSAGRYDPPGASCYKRLFSLGDSITDTGNLASVAPNSSVLAFPYGETFFHRPSGRFCDGRLIVDFIAEALKLPFVTPFLAGKTAADFRHGANFAVSGATALGQQFFRDMGLDLAILPPFSLDVQLGWFERVLHLLGPTEKERQDIMSSSLFLLGEVGINDYNHPFFQNRSFADEIRPLVPKVIEKIENATKVLIGLGAKNIVVPGAVPLGCVPRYLTLFQSDDPGDYDGAGCIRWLNEFAEEHNRALRRMLGRVLRGPGVAVVYADYYAAVQEITRDPRKHGFSKDAALTACCGDGGPHNSGVLISCNATSVLCPDPSEHISWDGLHLTEAAYRLVALGVLHGPYAAPSILSTCGC >PAN51384 pep chromosome:PHallii_v3.1:9:70393749:70396946:1 gene:PAHAL_9G590400 transcript:PAN51384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MHTTMPMNTYYSFSWQILRLTKLPHKCCQQVCYLIKKVKATILELHACRKKVYCSRTLMASALMNHWVVGRSSHCGYASNRSDGCFKILTRNYNGIKGKQFLPTEKVASTGWQITRAVPKDPKKGIRTKWWEKDMKDNMKNIKSQEDFDKQLLMAGDKFTVVHFFSPSCGACKGIHSKVHQFARMYPGLQFLMVNYNEQTEICKRLNVYVLPLFRFYRGAEGRICSFSCTISTIHKFKDALKRHGVETESLATEKGLEESEPKSFAPPSDIPNTSDPSLNMDGDDGRVEPSNE >PVH32705 pep chromosome:PHallii_v3.1:9:62016495:62017265:-1 gene:PAHAL_9G464400 transcript:PVH32705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCHVADHDDVHRLLPPEILEDIGVVKADELQHLAVVEELAARLATVLGSTANVAQCHAIPPRRATASSYQHRRRPHPQVYGLQHVQQGGGFPGGSNAQMQMGQASPFPNSRRMPEQDLTLLLPRFAPAPARPPVVGTVVPHHELAKHSSRGTGVFLPCSDRGPIHHATRQTVPPRTPQKCRRAAAATAVPGGGRQQDGHAAAVRQQRLHLHAQLAIAHAVAGAEMLEQQLHMMNTMATPHARPLELALPHGWIH >PAN50700 pep chromosome:PHallii_v3.1:9:67168145:67170929:-1 gene:PAHAL_9G539500 transcript:PAN50700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKAVSESDMSVHSTFASRYVRSSLPRYRMSENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIVTGANVQVCWEKFARYFEVELREVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLEVKNGETGWGTPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLERTGRFDIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSRLPPPLPLAPLVRKKSELETQRSVTEAWKKFVLAKKTNGVC >PAN51978 pep chromosome:PHallii_v3.1:9:73211957:73216494:1 gene:PAHAL_9G634100 transcript:PAN51978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPGMPPFSPAAGTPVGVGQKASTPAPAPPPISSRPPEGQQQQQVVDELGAGATASAGGGSSFADHEAGMSAGGDEGDRGGPSGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEQVSRRLEAMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGASSSPAPHPPASSLAATPVAMAPPATPLAVLGAPGMAPAMHAEPPPRVASVPQPAPLMSGTTTAPAAAATAAASDAACMMTPGDVSFSSGSDGEDTEDTGDGGKRKRHGGDVGGSGSIKMMRFFEGLMRQVMERQEEMQQRFIEAIEKREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRVTGQTIPMPSVAPPAFIGALTHPPLQPTPVASAAPAPAQHQQPPSIQLSPKTHTMPKPAQPQPQHQTPPPAQPQSKEIIVRAPAESQDTAGSGGGTPSPSRWPKAEVHALIQLRTELEARYQDSGPKGPLWEDISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESDKKRPEDSKTCPYYHQLDALYRSKALASSGAGGVAAAAAPRPDHQAGVTVLAAVPLSQTAPHAEHGGKQDCSNGNGSGCAGRGSSDNGGSSGGMQTQASNGRFSVEGAGGNGAASNKLQQGITITKETAATEPRPQPVSMNDSYVNDTVDSDSSMDDGDEEDEFDDDDEGNVGGGGGGNSKMQYEIQFQRQQQGQSSVVRPNASGAAGSSGPGPAAATSGSFLTMVHH >PAN51977 pep chromosome:PHallii_v3.1:9:73212280:73218035:1 gene:PAHAL_9G634100 transcript:PAN51977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPGMPPFSPAAGTPVGVGQKASTPAPAPPPISSRPPEGQQQQQVVDELGAGATASAGGGSSFADHEAGMSAGGDEGDRGGPSGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEQVSRRLEAMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGASSSPAPHPPASSLAATPVAMAPPATPLAVLGAPGMAPAMHAEPPPRVASVPQPAPLMSGTTTAPAAAATAAASDAACMMTPGDVSFSSGSDGEDTEDTGDGGKRKRHGGDVGGSGSIKMMRFFEGLMRQVMERQEEMQQRFIEAIEKREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRVTGQTIPMPSVAPPAFIGALTHPPLQPTPVASAAPAPAQHQQPPSIQLSPKTHTMPKPAQPQPQHQTPPPAQPQSKEIIVRAPAESQDTAGSGGGTPSPSRWPKAEVHALIQLRTELEARYQDSGPKGPLWEDISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESDKKRPEDSKTCPYYHQLDALYRSKALASSGAGGVAAAAAPRPDHQAGVTVLAAVPLSQTAPHAEHGGKQDCSNGNGSGCAGRGSSDNGGSSGGMQTQASNGRFSVEGAGGNGAASNKLQQGITITKETAATEPRPQPVSMNDSYVNDTVDSDSSMDDGDEEDEFDDDDEGNVGGGGGGNSKMQYEIQFQRQQQGQSSVVRPNASGAAGSSGPGPAAATSGSFLTMVHH >PVH31199 pep chromosome:PHallii_v3.1:9:4630140:4632366:-1 gene:PAHAL_9G080200 transcript:PVH31199 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 58 [Source:Projected from Arabidopsis thaliana (AT3G01080) UniProtKB/TrEMBL;Acc:A0A1I9LLS8] MDDRRGRGDAMRQRPFAPAAQGQERVFDGGGGGPAFGGDFDQGSSLMALLGAGGVSSSQPPLPSWGVEEVTAAPAINLVPQSFAMVSYAPPAPSYQQPTSFGQSPPGGRMDPYPPYLHADPPPQWPPPRSTAAAASSFPPQNFTVLLPRYDQDMQQLRASALFGVGSSQPHGLPLPPPAAAIEQPAKDGYSWRKYGQKQLKDAESPRSYYKCTRDGCPVKKVVERSFDGFITEITYKGRHNHPRPQERGHAGGGNEALAAAEDMDGPSDDDDDDALHEDDVDGAPGMGAGGEAGQRVVKKPKIIIQTPSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADNCNVRKQIERASTDPRCVLTTYTGRHNHDPPGRGNEAAAAACSAGQPTPPAGGSGAFQQTGGARELKEER >PAN49481 pep chromosome:PHallii_v3.1:9:61283620:61287076:-1 gene:PAHAL_9G452300 transcript:PAN49481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALPLPLAVAAALLALAAAWLWDYAVARHLLRPRAVASVLRAQGVRGPPYRYLRGSNGDVRRMKAEADGAALDARDHDYLPRVVPHFLAWKYKYGAPFLYWFGPQPRICLFDYESVRQVLWNKSGHFTKTDTHPTILAMLGKGLVLVEGTDWVRHRRVANPAFAMDKLKMMTTTMVSCAERLIRECEELAATNKSGEVEVEFSRHFQELTAEVISRTAFGSSYKEGKEVFRTQKQLLALAMATLLNLQLPGFKYLPTKNNRLKWMLEKKMRTTLMAIIQPRLASKGSGFGDDLLGLMLEACFMTEQGEKRDELALTMDEIIDECKTFFFAGHETTSHLLTWAVFLLSLHPEWQERLREEVLRECGKANPTADMLSKLKEMTMVLLETLRLYGPVLTMLRKPISDIRLGSLSIPKGTGIMIPIPILHRDKEVWGENANEFDPLRFENGITNAAKTPQALLSFSIGPRSCIGQNFAMLEAKSVMAMILQKFSFTLSPKYVHAPTDLITLQPKFGLPIVLRPLDV >PAN51393 pep chromosome:PHallii_v3.1:9:70412233:70414920:1 gene:PAHAL_9G590900 transcript:PAN51393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLHLTSCHLAAHPVCRGSGSGGSTTLRSLADQRSRKGVVQNCPSPRSFTTCALKTPSYGGRSRGKINPRDLFTFSYKFNTDIPMSETQGASIDEYLQNSPRIVGAVFPDQRKRRKLNDEEWSVQLLPIQFLFLSASPVIVMRFVRKSGGKEYPPNVPIHATSLLLMEVTDYKLNGLDSNSMPSHLALTVRGLMYPQREGRKSLRGHVEMTVGFNLPPVLAMVPESIIRGVGETVLRQLAEQMKQDFDTGLEADFKRYTREKLTDRRTSP >PAN51391 pep chromosome:PHallii_v3.1:9:70412463:70414920:1 gene:PAHAL_9G590900 transcript:PAN51391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLHLTSCHLAAHPVCRGSGSGGSTTLRSLADQRSRKGVVQNCPSPRSFTTCALKTPSYGGRSRGKINPRDLFTFSYKFNTDIPMSETQGASIDEYLQNSPRIVGAVFPDQRKRRKLNDEEWSVQLLPIQFLFLSASPVIVMRFVRKSGGKEYPPNVPIHATSLLLMEVTDYKLNGLDSNSMPSHLALTVRGLMYPQREGRKSLRGHVEMTVGFNLPPVLAMVPESIIRGVGETVLRQLAEQMKQDFDTGLEADFKRYTREKLTDRRTSP >PAN52030 pep chromosome:PHallii_v3.1:9:71944499:71949612:1 gene:PAHAL_9G615800 transcript:PAN52030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACSRGLAWPPFDLTTARGAAPWPHRPAPRRRAAIRCCCAGPDHEPRRRLSRAAAAAPERAEEWRVDGNKPSAAAPGRRRANLTAMPPLPFPAPRSRRQFKQQDFYPRCTQRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGEDPGENGFRCRWARMGGQSHDGSTEWKETWWEKSDWTGYKELGAEKSGKNAEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGERWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPLERPPGVFPNIDFSSAPPPKDDPPGMPPSTPLE >PAN51971 pep chromosome:PHallii_v3.1:9:73188626:73189813:-1 gene:PAHAL_9G633700 transcript:PAN51971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRATTMEDGPHAAGFLRPTSTLDIRAFYLRLSSSSPAPADLALVYLPAIGGAALGLNGRALPPAAPAEVTLRQVAGDAYASADRVAAAEGARFEVYAGKEMAAEGVFRRRRGAGEVGWRVECRRAGPVAVAEVVVLAEGGVLMRDRARATSRRGVGCGSTRLEGIPEEATDLGWGCQCGSCGDEEWEVVSDDGEPWKEEEETVRWAMEMGVWAVCLGVGLLATARRFRRKRAFW >PVH32270 pep chromosome:PHallii_v3.1:9:52549475:52551015:1 gene:PAHAL_9G358300 transcript:PVH32270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDVVSWTTMITAYVQHGHGDKALQMFPTMVAEGFRPNEFTVCSVLKACAEEKALRFGKQLHGAVVKKLYKYDIHVGSALVTMYTRCGEVFDAQAVFDKMPRRNTITWTSMISGYAQSGFGEEAVLLFRKMKMRRVFVNNLTIVGLLSACGSMKSIHLGKELHAQIIKNRMEDNLQIGSTLVWLYSKCGGHTYAARILEAMPDRDAVAWTAMISGYNNLGHNVEALKSLDEMLWDGVTPNNYTYSSALKACARLEALQDGKRIHGVVNKTQAFSNVFVGCSLIDMYMRCGEVDEARRVFDAMPEHNLVTWKVMITGFTQNGLSEEALKYMYLMKQEGYDVDDFVLSTVLTSCGDLQLKSDRISFLAQ >PVH32718 pep chromosome:PHallii_v3.1:9:62313919:62314751:-1 gene:PAHAL_9G468100 transcript:PVH32718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PAN45465 pep chromosome:PHallii_v3.1:9:7227387:7232095:1 gene:PAHAL_9G121500 transcript:PAN45465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVAPAMIAVGGAGGEETAEGAVVEKTLQNGDVYRGGFSQGAPHGKGKYVWADGCMYEGEWRKGKASGKGRFSWPSGATFEGEFRGGRIEGQGAFVGPDGATYRGAWVADRRHGVGAKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVLSGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPGTCVDLPAISGTFFAPVGAGAAGTVRKRSSVEGVGEKAAPRICIWESEGEAGDITCDIVDALEASMLYKEAAAVAGGATYMRALPQRSTRRAASGVPRWASSAATTPECKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRALKQADFDPKEKFWTRFPPEGSKVTPPHSSAEFRWKDYCPMVFRHLRKLFTVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLNSYYQHVSRYNNSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRSWYNDLHEQLRRDCNFLESEGIMDYSFLVGVHFCDDISASKMGSSTFTASPKLLTKSESFQGGGTPELCFSDDDFDMIPDCRRKPLIRLGSHMPARAEQASRRSEFDPYLFTGGGFLFPNQTGEVHDVILYFGIIDILQDYDITKKLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >PAN51027 pep chromosome:PHallii_v3.1:9:68824882:68829939:-1 gene:PAHAL_9G564700 transcript:PAN51027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRSRHQKAFGLRCYPAGHRSVTLLLWSLAALVVVVNFHLLIIHKEEDESMSTHEIRRSIMRELEAVEEEKFKLSPPRSRRNPRAVRRKGERKPPTIVDEFLDESSAVHDMFFPELNMAVDPINGGNDSMYLYYPGRVWLDTDGKPIQAHGGGVLYDKKTKTYFWYGENKDGKTYKTHSKGADRVDIVGVSCYSSKDLWTWKNEGVVLRGEEKNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKASVGVAVSDSPTGLFTYLYSKRPHDCESRDMTIFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVTDDMRRFLIAQHREAPALFKHEGTYYMVTSGCTGWAPNTALAHAATSVMGPWETLGNPCVGGNEVFRSTTFFSQSTFVLPVPGLPGSFIFMADRWNPSDLRDSRYVWLPLTIGGVPDEAADYSFMFPLWSRVSIYWHKRWRLPDGWRDS >PVH32100 pep chromosome:PHallii_v3.1:9:36020159:36020442:-1 gene:PAHAL_9G311600 transcript:PVH32100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGGGAARFAGEDPPWVGPLGSTYHSAGDGREMQVRGGAAWQVRGGAAWQVSAGRRQPCRCWSPARQQVGAA >PAN44164 pep chromosome:PHallii_v3.1:9:1235795:1236959:1 gene:PAHAL_9G021600 transcript:PAN44164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARSAMSCYCGSLLAVVVVLFLSASLGTGAGAGADLKGSCAATPHPDVCVSALQKDSDAKAAASPRDLAEAAIRAASAAGSAAGDYARREMDTVKDNGMWQCLNECAEDIEEALSHLDDSDGEIDDGKMKDVKLFLDTAEQNVWDCDQSCRGAANTPVKTALLAKNEDFEKLMSVALALIKRTAAGDAAQGPAAASKPKPKTKQ >PAN44603 pep chromosome:PHallii_v3.1:9:3227176:3232163:-1 gene:PAHAL_9G056800 transcript:PAN44603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLALQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >PVH31277 pep chromosome:PHallii_v3.1:9:5927768:5928209:-1 gene:PAHAL_9G102400 transcript:PVH31277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSRGTRALAVLGRRMASCAGGGGRGAGLGPVGLVAAPRCQSHGFYRSGAGADDDVRELIRAASRAGRAPGVGGVGPRSQSVAVGRLDEDRACELGLGDGERAQALGLGPRSKSCAAVAVAPSARTARRAGAAA >PVH31733 pep chromosome:PHallii_v3.1:9:14535552:14544281:-1 gene:PAHAL_9G222300 transcript:PVH31733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKRRGRFRNSLRQMAMECLCSSEQLNGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKAERRKTHSQWDSPPMPLHAVSLHMEAIYLKARALHDLGKYKEAARECRMILDIVEAAIPEGLPPGFGKGCKLNEIICKAVELLPELWKSGGFSLEAISSYRRSLLNNWNLDGDTVARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALAMSGQLIPLAGQFEEPLPGVLDKIEWLYNVALCYLAEEDDLSALNLLKQILKAGEDSDHLKELLLASKACVEISAHTEGASYARRAIANMQGGCEQMAGVAELLLGVTLSNQARSAISETERTAWQCEALEVLGNADKKMHGKDSRVLYSLSLENAEQRKLEPASFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIVDAALDQTGKWSQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSSAAGIYSAKGNKDDKGLEIETWYDLALLYLGMAQWRDAEVCVLKIRSISPYSALAWHATGKIYEAKGLTKEALGAFFRALDLDPKHVPSLISIATVLRQLGNRPLSSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQASAFLEETAPVEPFR >PVH31735 pep chromosome:PHallii_v3.1:9:14535550:14544281:-1 gene:PAHAL_9G222300 transcript:PVH31735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKRRGRFRNSLRQMAMECLCSSEQLNGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKAERRKTHSQWDSPPMPLHAVSLHMEAIYLKARALHDLGKYKEAARECRMILDIVEAAIPEGLPPGFGKGCKLNEIICKAVELLPELWKSGGFSLEAISSYRRSLLNNWNLDGDTVARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALAMSGQLIPLAGQFEEPLPGVLDKIEWLYNVALCYLAEEDDLSALNLLKQILKAGEDSDHLKELLLASKACVEISAHTEGASYARRAIANMQGGCEQMAGVAELLLGVTLSNQARSAISETERTAWQCEALEVLGNADKKMHGKDSRVLYSLSLENAEQRKLEPASFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIVDAALDQTGKWSQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSSAAGIYSAKGNKDDKGLEIETWYDLALLYLGMAQWRDAEVCVLKIRSISPYSALAWHATGKIYEAKGLTKEALGAFFRALDLDPKHVPSLISIATVLRQLGNRPLSSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQASAFLEETAPVEPFR >PAN46890 pep chromosome:PHallii_v3.1:9:14535721:14544281:-1 gene:PAHAL_9G222300 transcript:PAN46890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKRRGRFRNSLRQMAMECLCSSEQLNGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKAERRKTHSQWDSPPMPLHAVSLHMEAIYLKARALHDLGKYKEAARECRMILDIVEAAIPEGLPPGFGKGCKLNEIICKAVELLPELWKSGGFSLEAISSYRRSLLNNWNLDGDTVARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALAMSGQLIPLAGQFEEPLPGVLDKIEWLYNVALCYLAEEDDLSALNLLKQILKAGEDSDHLKELLLASKACVEISAHTEGASYARRAIANMQGGCEQMAGVAELLLGVTLSNQARSAISETERTAWQCEALEVLGNADKKMHGKDSRVLYSLSLENAEQRKLEPASFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIVDAALDQTGKWSQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSSAAGIYSAKGNKDDKGLEIETWYDLALLYLGMAQWRDAEVCVLKIRSISPYSALAWHATGKIYEAKGLTKEALGAFFRALDLDPKHVPSLISIATVLRQLGNRPLSSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQASAFLEETAPVEPFR >PVH31734 pep chromosome:PHallii_v3.1:9:14535721:14544281:-1 gene:PAHAL_9G222300 transcript:PVH31734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKRRGRFRNSLRQMAMECLCSSEQLNGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKAERRKTHSQWDSPPMPLHAVSLHMEAIYLKARALHDLGKYKEAARECRMILDIVEAAIPEGLPPGFGKGCKLNEIICKAVELLPELWKSGGFSLEAISSYRRSLLNNWNLDGDTVARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALAMSGQLIPLAGQFEEPLPGVLDKIEWLYNVALCYLAEEDDLSALNLLKQILKAGEDSDHLKELLLASKACVEISAHTEGASYARRAIANMQGGCEQMAGVAELLLGVTLSNQARSAISETERTAWQCEALEVLGNADKKMHGKDSRVLYSLSLENAEQRKLEPASFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIVDAALDQTGKWSQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSSAAGIYSAKGNKDDKGLEIETWYDLALLYLGMAQWRDAEVCVLKIRSISPYSALAWHATGKIYEAKGLTKEALGAFFRALDLDPKHVPSLISIATVLRQLGNRPLSSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQASAFLEETAPVEPFR >PAN45489 pep chromosome:PHallii_v3.1:9:7326629:7335856:1 gene:PAHAL_9G122800 transcript:PAN45489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDSTAHNILEHMLLDECANPKRLPLSLLEAITNNFSNKREIGRGGFAVVYKGMLGNGTVAVKKLSTTLDMHEKKFSEEVRCLLKVRHKNIVRFLGYCADTQGEMIGYEGKVIMADVRNRLLCFEFVPNGSLHDYITDASCGLEWRVRYEMIRGICEGLQYLHENHIVHLDLKPSNILLDDKMVPKIADFGLSRCFDANQSRDIASKLIGSIGYLAPEFYDRQITFNLDIYSLGVIVIELLTGRKGYSTVEKIVENWRNRLEISLQDTPLQQVQACAEIGIMCIDPNPENRPIIQHIIKRFMELGSTEEFVQAGESSSAAQVLTLEVPVSIPVGSSATSSVSTTNAGYASSTTTEAMFIISPNGKFQQKIKSWTRGCLLGSGSFGMVYEAISDEGMFFAAKEVSLLDQGSIAKDYILGLEQEIALLSQFEHENIVKYYGSDKDESKLYIFIELVTQGSLSSIYQKYKLRDSQVSVYTRQILNGLIYLHERDVVHRDIKCANILVHANGSVKLADFGLAEISKNYMLRESKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRLKPFPNVEWIEVFFMIGRGEQPPIPNYLSKEAQDFIGQCVRVDPENRPSASQLLEHPFVNRPLRASFESSPPGLPS >PVH31353 pep chromosome:PHallii_v3.1:9:7327371:7335856:1 gene:PAHAL_9G122800 transcript:PVH31353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDSTAHNILEHMLLDECANPKRLPLSLLEAITNNFSNKREIGRGGFAVVYKGMLGNGTVAVKKLSTTLDMHEKKFSEEVRCLLKVRHKNIVRFLGYCADTQGEMIGYEGKVIMADVRNRLLCFEFVPNGSLHDYITDASCGLEWRVRYEMIRGICEGLQYLHENHIVHLDLKPSNILLDDKMVPKIADFGLSRCFDANQSRDIASKLIGSIGYLAPEFYDRQITFNLDIYSLGVIVIELLTGRKGYSTVEKIVENWRNRLEISLQDTPLQQVQACAEIGIMCIDPNPENRPIIQHIIKRFMELGSTEEFVQAGESSSAAQVLTLEVPVSIPVGSSATSSVSTTNAGYASSTTTEAMFIISPNGKFQQKIKSWTRGCLLGSGSFGMVYEAISDEGMFFAAKEVSLLDQGSIAKDYILGLEQEIALLSQFEHENIVKYYGSDKDESKLYIFIELVTQGSLSSIYQKYKLRDSQVSVYTRQILNGLIYLHERDVVHRDIKCANILVHANGSVKLADFGLAELQISKNYMLRESKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRLKPFPNVEWIEVFFMIGRGEQPPIPNYLSKEAQDFIGQCVRVDPENRPSASQLLEHPFVNRPLRASFESSPPGLPS >PVH31351 pep chromosome:PHallii_v3.1:9:7326629:7335856:1 gene:PAHAL_9G122800 transcript:PVH31351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDSTAHNILEHMLLDECANPKRLPLSLLEAITNNFSNKREIGRGGFAVVYKGMLGNGTVAVKKLSTTLDMHEKKFSEEVRCLLKVRHKNIVRFLGYCADTQGEMIGYEGKVIMADVRNRLLCFEFVPNGSLHDYITDASCGLEWRVRYEMIRGICEGLQYLHENHIVHLDLKPSNILLDDKMVPKIADFGLSRCFDANQSRDIASKLIGSIGYLAPEFYDRQITFNLDIYSLGVIVIELLTGRKGYSTVEKIVENWRNRLEISLQDTPLQQVQACAEIGIMCIDPNPENRPIIQHIIKRFMELGSTEEFVQAGESSSAAQVLTLEVPVSIPVGSSATSSVSTTNAGYASSTTTEAMFIISPNGKFQQKIKSWTRGCLLGSGSFGMVYEAISDEGMFFAAKEVSLLDQGSIAKDYILGLEQEIALLSQFEHENIVKYYGSDKDESKLYIFIELVTQGSLSSIYQKYKLRDSQVSVYTRQILNGLIYLHERDVVHRDIKCANILVHANGSVKLADFGLAEISKNYMLRESKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRLKPFPNVEWIEVFFMIGRGEQPPIPNYLSKEAQDFIGQCVRVDPENRPSASQLLEHPFVNRPLRASFESSPPGLPS >PVH31352 pep chromosome:PHallii_v3.1:9:7327371:7335856:1 gene:PAHAL_9G122800 transcript:PVH31352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDSTAHNILEHMLLDECANPKRLPLSLLEAITNNFSNKREIGRGGFAVVYKGMLGNGTVAVKKLSTTLDMHEKKFSEEVRCLLKVRHKNIVRFLGYCADTQGEMIGYEGKVIMADVRNRLLCFEFVPNGSLHDYITDASCGLEWRVRYEMIRGICEGLQYLHENHIVHLDLKPSNILLDDKMVPKIADFGLSRCFDANQSRDIASKLIGSIGYLAPEFYDRQITFNLDIYSLGVIVIELLTGRKGYSTVEKIVENWRNRLEISLQDTPLQQVQACAEIGIMCIDPNPENRPIIQHIIKRFMELGSTEEFVQAGESSSAAQVLTLEVPVSIPVGSSATSSVSTTNAGYASSTTTEAMFIISPNGKFQQKIKSWTRGCLLGSGSFGMVYEAISDEGMFFAAKEVSLLDQGSIAKDYILGLEQEIALLSQFEHENIVKYYGSDKDESKLYIFIELVTQGSLSSIYQKYKLRDSQVSVYTRQILNGLIYLHERDVVHRDIKCANILVHANGSVKLADFGLAELQISKNYMLRESKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRLKPFPNVEWIEVFFMIGRGEQPPIPNYLSKEAQDFIGQCVRVDPENRPSASQLLEHPFVNRPLRASFESSPPGLPS >PAN48696 pep chromosome:PHallii_v3.1:9:56951983:56957478:1 gene:PAHAL_9G399500 transcript:PAN48696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGAGSGESPPAAVGEAPAPAGATLHIRCANGSKFTVQADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVASPAASGAPAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRPSGIFGPGFPELEQVEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMSNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTASNPFAALLGNQGSNQPGQPATNAPTPGSESTTGTPAPNTNPLPNPWSTNAGGAQGAARSGPTSSARTGATGGLGGSGSPDLSSLLGGLAGSQRSGAAGGLGGLGSADLGSILGGSPDASFLNQMLQNPAMMQMMQSIMSDPQTMNQLLNFNPNARNLMESNTQLREMFQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQQQPSQDGNNSGSATGTRGNPSLDTLMSMLSGLGSGGGLGVPNNSNVPPEELYATQLTQLREMGFIDNAENIQALVATAGNVHAAVERLLGNLGQ >PAN51403 pep chromosome:PHallii_v3.1:9:70446120:70449764:1 gene:PAHAL_9G591700 transcript:PAN51403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYLRKGKVSGEVAVMEVPGGALLGVRTRSRTLALQRAQRPPDKGEVGEAAGDYLELRSRRLEKPHKEQAAPAPAPKKGAARKAAAAVSRALAEDEVEVSFGENVLDFDAMERNTRETTPCSLIRSSDMISTPGSTTKSKTSKSMTSRRRMEASVCRFIPSSLEMEEFFTAAEQHEQDTFREKYNFCPVNDSPLPGRYEWARLGC >PAN46339 pep chromosome:PHallii_v3.1:9:11215512:11219017:-1 gene:PAHAL_9G178300 transcript:PAN46339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKSMAVVPVKEEVNHQIVSNEEEEEEDIEALAEPPDWLPDGWIMEVYREEDGTINRYYTSPISDYTFNMKSEVLEYLFSQADERILESKESGAGNSFQKEHEWLPKGWVMEVRAGGEKMDKMYKFYVYPKTGVRLLSKHDVVLYINEEKVSKCDTNGQCNTSSNDNLLAIVEFHPSGLPKGWVKELVFRKTKEGLIRRDPHESLRSRLIISDARSSRSRGAPQIEYGQIMNSSQDGDTSGSDSPYEPEEENIRSRKAKGKEATNSRTTNRPTIRPPQLRIKEEVTDNQDAF >PAN46340 pep chromosome:PHallii_v3.1:9:11215600:11218983:-1 gene:PAHAL_9G178300 transcript:PAN46340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKSMAVVPVKEEVNHQIVSNEEEEEEDIEALAEPPDWLPDGWIMEVYREEDGTINRYYTSPISDYTFNMKSEVLEYLFSQADERILESKESGAGNSFQKEHEWLPKGWVMEVRAGGEKMDKMYKFYVYPKTGVRLLSKHDVVLYINEEKVSKCDTNGQCNTSSNDNLLAIVEFHPSGLPKGWVKELVFRKTKEGLIRRDPYYTDSASSYTFRTLKSALCFVETGKVSKRAFIQRISVHDLYSFDNPADLHESLRSRLIISDARSSRSRGAPQIEYGQIMNSSQDGDTSGSDSPYEPEEENIRSRKAKGKEATNSRTTNRPTIRPPQLRIKEEVTDNQDAF >PAN46967 pep chromosome:PHallii_v3.1:9:14936062:14942375:-1 gene:PAHAL_9G227800 transcript:PAN46967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) UniProtKB/TrEMBL;Acc:A0A1I9LLU9] MLFQVGGQGARPTFFEMSAAQQLPASLRAALTYSLGVFALRRPLLHKVLDYEDEFFALLMGVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRKSLGTESSDKVYASALRKRQKILSVVFLVVLPYFKSKLQSIYNREREARLQATLWGQDDVRFDEAGFVLDQEQTSQAQNDPTIGEVSNLTRFKKNFASLIGVCYPWIHATNEGLSFAYQLLYLLDATAFYSPALHVLGLHVCRATGQELMDSSSRISRIRNRELERLRGPPWLKAVQRVFLSCIYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPTPKVAKDGIPLPTDKTLCPLCCQKRANPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPATVEQIRRLFHDL >PVH31849 pep chromosome:PHallii_v3.1:9:17001682:17003578:1 gene:PAHAL_9G247200 transcript:PVH31849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISASSVGSSGAPPQPSSRSSITAAAATGKHQLKIDSYSLTTAVPRGTHIKSSNFQAAGYSWYINYFPNGCGRLLKRARGYVSLQLVLDGAAADGAVKAQFTLSLLNQTGQPAPETVRKSPVHKLAGGWWFYRFIKKEELERPFRGLLRDDGFTLQCEVVVLDRFRAVKTAPFVEVPPPDLQRHLGELLLSGEASDVTLQAGGVRFDAHRCVLAARSPVFKAELLGSMKEGTSNCDIHIEDMEPRVFKAVLQFMYTDALPEVEKKEEAAMSQHLLEAADRFNLQRLKLLCEDKLCGCIDTGSVVTTLVLAEQHSCQGLKEKCFEFLKSTGLNAVMATDGFNHLATSCPTVLEELMSKLTLH >PVH31848 pep chromosome:PHallii_v3.1:9:17001682:17003578:1 gene:PAHAL_9G247200 transcript:PVH31848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISASSVGSSGAPPQPSSRSSITAAAATGKHQLKIDSYSLTTAVPRGTHIKSSNFQAAGYSWYINYFPNGCGRLLKRARGYVSLQLVLDGAAADGAVKAQFTLSLLNQTGQPAPETVRKSPVHKLAGGWWFYRFIKKEELERPFRGLLRDDGFTLQCEVVVLDRFRAVKTAPFVEVPPPDLQRHLGELLLSGEASDVTLQAGGVRFDAHRCVLAARSPVFKAELLGSMKEGTSNCDIHIEDMEPRVFKAVLQFMYTDALPEVEKKEEAAMSQHLLEAADRFNLQRLKLLCEDKLCGCIDTGSVVTTLVLAEQHSCQGLKEKCFEFLKSTGLNAVMATDGFNHLATSCPTVLEELMSKLTLH >PAN47530 pep chromosome:PHallii_v3.1:9:32514247:32516510:-1 gene:PAHAL_9G300200 transcript:PAN47530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 78A7 [Source:Projected from Arabidopsis thaliana (AT5G09970) UniProtKB/Swiss-Prot;Acc:Q9FIB0] MAMAASSCTDAAWWAYALPALLGADTLCAHPALLAAALLLATVSAALLAWAASSGGPAWAHGRGRLGATPIVGPRGLPVFGSIFALSRGLPHRALAAMARAVGPRARELMAFSVGDTPAVVSSCPATARELLAHPSFADRPVKRSARELMFARAIGFAPSGEYWRRLRRIASTHLFSPRRVAAHEPGRQADAGAMLRAIAAEQSASGAVVLRPHLQTAALNNIMGSVFGRRYDVSSGAGAAEAEQLKNMVREGFELLGAFNWSDHLPWLAHFYDPSNVARRCAALVPRVQAFVRGVIDEHRRRRQNSAAPDDNADFVDVLLSLEGDEKLGEDDMVAVLWEMIFRGTDTTALLTEWCMAELVRHPAVQARLRAEVDATVGAGGCPTDADVARMPYLQAVVKETLRAHPPGPLLSWARLATADVPLSNGMVVPAGTTAMVNMWAITHDAAVWADPDMFAPERFLPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLTTVGLWVARLVHAFEWALPDGAPPVCLDEVLKLSLEMKTPLAAAAFPRAA >PVH31059 pep chromosome:PHallii_v3.1:9:2698130:2698803:1 gene:PAHAL_9G048300 transcript:PVH31059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFEGKVFWADLSRGLAYHDLRATAGNPAVEFDFFGLPYGYEILFADLPEDELTEPPEMNGPYRLRRGLRQRGLLWKELWEQVAGRVLRYKDDVEMWDVELEPRYPVLMPDDTLCLVLQDVRPWRRRTKETPVEVDRICRFDMGSKRPLWHGIVDACHVTWPVILPGDFFFTKGRPPPKNPRESRLPARNRRRHASW >PVH31688 pep chromosome:PHallii_v3.1:9:13830494:13833940:1 gene:PAHAL_9G213500 transcript:PVH31688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFPGTTAVIKEEDEENGGVSGGLVPSDQELRNKFWEDVKRRAELRKRGLCASGSAQPPPLPPPPVRHNPSLPPFQNKACTTTASAPTGASPMGKEAGHRLELAGVRQPPPKQYQRRPPGQRASVQPQHPAPNACRALAPPAPPPAHAAGPGAMPPPLHRRSPPPKPPRHPAAKKKPTVPCAFCRVLCMTAWHQEQHEKGRKHRNKVAYLAGEMNVQCPVCDVHLSGALNVEQHFAGKQHIWRLKLKGGA >PVH32909 pep chromosome:PHallii_v3.1:9:66107029:66107702:-1 gene:PAHAL_9G523400 transcript:PVH32909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINETVSLLSLYQKFLFIMLFMIKIRLSQKQIWSSHDRARCQILNPSALRHCRWASMNFPQASSPFCTMQSSLSFFVSGQAQQIFFFVLVSGAGAVVAGGSAEAEGCAAAAAAGRRPGRGGVPRDL >PVH32607 pep chromosome:PHallii_v3.1:9:60601887:60602601:1 gene:PAHAL_9G441200 transcript:PVH32607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICCSIYGCASICGAGSGGGAGMRRAARACVGKDTCYGGTSGSSRRWRLLASVLATRSSGSCGLEGGRRPVLRTGAVDGAAALTGEGAEQAAALAREGAEHADAERIGPSRDLPTDPWEKVFGWGKQGPPRARM >PAN47306 pep chromosome:PHallii_v3.1:9:17531152:17535503:1 gene:PAHAL_9G251000 transcript:PAN47306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLPSASAAAAVRSLARAVSPRHPLLLHASRSGRGRPLPLLAAAASPGSRLCRALSVSGSAAAGGSNGTTSGAAEREYDYDLFTIGAGSGGMRASRLASALYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWMYETDPKHDWRTLITNKNLELQRLVGIQTNTLKNSSVTIIEGRGKIVDPHTVSVDGKLYTAKNILVAVGGRPSKPNIPGIDHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEVRDFVAEQMSFRGVKFHIEQTPQAVTKSYDGLLSLKTNKETISGFSHVMFATGRKPNTKNLGLEDVGVKMDEHGAVVVDEYSRTSVDSIWAVGDVTNRLNLTPVALMEAGAIARTIFGNEPTKPDYSAVPSSVFSQPPIGQVGLTEEKAIEMYGDVDVYTSNFKPLRATLSGLPDRVYIKVIVCAKTSKVLGVHMCGEDAPEIIQGIAIAVKAGLMKENFDATVGVHPTTAEEIVTMRNPTREVRRDTAAEAKTTDEAVSRK >PAN47307 pep chromosome:PHallii_v3.1:9:17531152:17535503:1 gene:PAHAL_9G251000 transcript:PAN47307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLPSASAAAAVRSLARAVSPRHPLLLHASRSGRGRPLPLLAAAASPGSRLCRALSVSGSAAAGGSNGTTSGAAEREYDYDLFTIGAGSGGMRASRLASALYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWMYETDPKHDWRTLITNKNLELQRLVGIQTNTLKNSSVTIIEGRGKIVDPHTVSVDGKLYTAKNILVAVGGRPSKPNIPGIDHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEVRDFVAEQMSFRGVKFHIEQTPQAVTKSYDGLLSLKTNKETISGFSHVMFATGRKPNTKNLGLEDVGVKMDEHGAVVVDEYSRTSVDSIWAVGDVTNRLNLTPVALMEAGAIARTIFGNEPTKPDYSAVPSSVFSQPPIGQVGLTEEKAIEMYGDVDVYTSNFKPLRATLSGLPDRVYIKVIVCAKTSKVLGVHMCGEDAPEIIQGIAIAVKAGLMKENFDATVGVHPTTAEEIVTMRNPTREVRRDTAAEAKTTDEAVSRK >PAN46815 pep chromosome:PHallii_v3.1:9:14041163:14049130:-1 gene:PAHAL_9G216800 transcript:PAN46815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVSSTKHIKDDVSPPRPPPVAMQGHGMAHFMLLPALALVVVASAWLTPAALGDPQATQLNLGCSTYNATPASAFLLALNSTFADLRANLSAGGGFATAAEPRAAAPAFAMAQCRPYVVGRDCVACFDTAAARLRGSCGAANGARVIFDGCVIRYESAAFFDQATLPGNTQLCNGSAVGVGGFADAARALVADLAAAVPRVPGFAAAAARGGVYAAAQCVETVGEGGCAQCLKVAVGNIDGCPPNSDGRAVDAGCFMRYSHKPFFPANATVDLAAYLRSGKKSSRKGAIIAGIMGGVAFLIFIGLLTFLLIRWSRNLRPRRGDILGATELQGPTSFYYHDLKAATNNFNEKSKLGEGGFGDVYKGLLKNGKTVAVKRLIVMDTSRAKADFESEVKLISNVHHRNLVRLLGCSRKASEFLLVYEYMANGSLDKFLFGERRGTLNWKQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEILSGRKSNDTKLDPETQYLLEWVWKLYESDNLLALVDESLDPEEYKPDEVKRIMEIALLCTQSAVAARPMMSEVVVLLLTRNDPELQPTRPTFIDATSRVRGETSTSSSSSASKATVSVSQVSGRWTNNGCTMLTDVPRSSLMDSIIFWMWPRQTSRKVSCVARASIPRTRRVTPL >PAN48741 pep chromosome:PHallii_v3.1:9:57105542:57106286:-1 gene:PAHAL_9G401900 transcript:PAN48741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVSDLVLSFFCCCFYAPGDLRGGAHHYGGGHAHPGGRNAACRHRGAGGRSRRVSLQTVELKVRMCCEGCERVVRQALQNLRGVDSIEVSVPMEKVTVTGYVDRAQVLREVRRSGKKAEFWPSGGAALWFTSPRSYFRDDGGSYRRDSYNYRRHGYSDGDRHGRMREPTRSAGPAGNMFNDDDVNAACRIM >PVH31389 pep chromosome:PHallii_v3.1:9:7961013:7966921:1 gene:PAHAL_9G132100 transcript:PVH31389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGREDEEASRKLKSMDVDKAENGGGAGEESPRPAVKYYGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTLTAGADSLHPAECPAADGPCGNKATSYQLAVLFMAFAFLVLGSAGIRPCSMPFGADQFNPHTESGRRGINSFFNWYYFTFTAAMMISATVIIYVQSNVSWPIGLGIPTALMFLACVLFFMGTRLYVRVTPEGSPFTSVVQVLSAALKKRSLKQPKDPKQDLFDPPHTSAMVTKLAHTDQFRCLDKAAIVASPEEVRPGGAAPADPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRRLGNFHIPAASFTVFAMLAQTLWIPFYDRLLLPKLRKVTGKEEGFTLLQRQGIGIALSTVAMVISAIVEDRRRAIALSHPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGSGRNWLAQDLNKGRLDLFYWTIAGIGVFNFIYFVICARWYRFKGTSN >PVH32843 pep chromosome:PHallii_v3.1:9:64803271:64804054:-1 gene:PAHAL_9G503200 transcript:PVH32843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVKWRYFRSPNWWSSAHGAARLTRAGPHGKGILGASEPFGAMWTWRWWPRTRPDPSPWPEQDRKQIRPRPVGSVLFFFK >PVH32851 pep chromosome:PHallii_v3.1:9:64968925:64969356:-1 gene:PAHAL_9G505300 transcript:PVH32851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAKLTADAPPMSSSAPRPHRPAAPPSWVRVPSRRPRGDPPSRGTRGKAAAGPSPPCPRLWHRRQPRACSSCCCSGSQRGDPPRRAAASCYPRSATPAVASPARTPPPRYLMGRPAHPCTTGRGAPLYRTSRCPRRRAGIA >PAN44896 pep chromosome:PHallii_v3.1:9:4537821:4541082:-1 gene:PAHAL_9G078200 transcript:PAN44896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFRLTWLEARKVFARMLSSGGAASVSRDVLVDALDPAKRLCKLIITCRKASALEHELDHSGVRVTPEVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSFHTVVASLAKIRQYQLMWDVVGVMRKEGVANVETFGIIMRKYARAQKFDEAVYTFNVMEKYSVAPNLAAFNSLLSALCKSKNVRKAQEIFDKMNNRFIPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDALCKTRRVEEAVRVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFDNAFRVMDDMEGHGITPNSRTWNIILNNLISHGKDDEAYRVFRSMIKRCQPDSDTYTMMIKMFCENDKIEMALKVWRYMGLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGISPPGSTFGKLRQLLLKEGRKDVLDFLVEKMKILIQEPLFD >PAN44895 pep chromosome:PHallii_v3.1:9:4539414:4540877:-1 gene:PAHAL_9G078200 transcript:PAN44895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFRLTWLEARKVFARMLSSGGAASVSRDVLVDALDPAKRLCKLIITCRKASALEHELDHSGVRVTPEVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSFHTVVASLAKIRQYQLMWDVVGVMRKEGVANVETFGIIMRKYARAQKFDEAVYTFNVMEKYSVAPNLAAFNSLLSALCKSKNVRKAQEIFDKMNNRFIPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDALCKTRRVEEAVRVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFDNAFRVMDDMEGHGITPNSRTWNIILNNLISHGKDDEAYRVFRSMIKRCQPDSDTYTMMIKMFCENDKIEMALKVWRYMGLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGISPPGSTFGKLRQLLLKEGRKDVLDFLVEKMKILIQEPLFD >PAN44897 pep chromosome:PHallii_v3.1:9:4538185:4541081:-1 gene:PAHAL_9G078200 transcript:PAN44897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFRLTWLEARKVFARMLSSGGAASVSRDVLVDALDPAKRLCKLIITCRKASALEHELDHSGVRVTPEVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSFHTVVASLAKIRQYQLMWDVVGVMRKEGVANVETFGIIMRKYARAQKFDEAVYTFNVMEKYSVAPNLAAFNSLLSALCKSKNVRKAQEIFDKMNNRFIPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDALCKTRRVEEAVRVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFDNAFRVMDDMEGHGITPNSRTWNIILNNLISHGKDDEAYRVFRSMIKRCQPDSDTYTMMIKMFCENDKIEMALKVWRYMGLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGISPPGSTFGKLRQLLLKEGRKDVLDFLVEKMKILIQEPLFD >PAN44898 pep chromosome:PHallii_v3.1:9:4538185:4541066:-1 gene:PAHAL_9G078200 transcript:PAN44898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFRLTWLEARKVFARMLSSGGAASVSRDVLVDALDPAKRLCKLIITCRKASALEHELDHSGVRVTPEVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSFHTVVASLAKIRQYQLMWDVVGVMRKEGVANVETFGIIMRKYARAQKFDEAVYTFNVMEKYSVAPNLAAFNSLLSALCKSKNVRKAQEIFDKMNNRFIPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDALCKTRRVEEAVRVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFDNAFRVMDDMEGHGITPNSRTWNIILNNLISHGKDDEAYRVFRSMIKRCQPDSDTYTMMIKMFCENDKIEMALKVWRYMGLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGISPPGSTFGKLRQLLLKEGRKDVLDFLVEKMKILIQEPLFD >PAN50738 pep chromosome:PHallii_v3.1:9:67358164:67359488:1 gene:PAHAL_9G542400 transcript:PAN50738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISGHDATWLIEVECKNTSHVCTAPSEHSQKDLKVALIYAVLFCFLMVTCYVALYLKWFKLSAMFVIFGILLPVSLKISRHRRLKRKRERRLLLPLSM >PVH32986 pep chromosome:PHallii_v3.1:9:67356544:67359877:1 gene:PAHAL_9G542400 transcript:PVH32986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRAKLVEQLREHQIRSAQSYSSALAVFSPSPHIASRKDLKVALIYAVLFCFLMVTCYVALYLKWFKLSAMFVIFGILLPVSLKISRHRRLKRKRERRLLLPLSM >PAN44440 pep chromosome:PHallii_v3.1:9:2387854:2390076:-1 gene:PAHAL_9G043100 transcript:PAN44440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMMSALSNWLVNPRRNPLARLHMRAVSSRLRKYGLRYDDLYDPYFDLDIKEALGRLPREVVDARIQRLKRAMDLSMKHQYLPEDLQAAQTPFRGYLSDMLALVKKESAEREALGALPLYQRTIP >PAN50559 pep chromosome:PHallii_v3.1:9:66426456:66429645:-1 gene:PAHAL_9G527600 transcript:PAN50559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMEETVIRIASESKPGNGQDPLTELPRAMETLVAHGHEVEKLIGLEEDTELTPCEGMEFESEDAARDFYSTYATNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETHGCDGLHSDQKQHERAGTRVGCKAMIMIKKFGPGKWMVTKFVKNHNHGPVPPRKLDSRPVNEDCDPMEKPHPIDVDSVEEPFEGMEFESEEAAKLFYVNYARLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRTKKVTTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLSYSKMIPITSNVTSGEVAHFDAKSADPNDVKNEGHGEGTQCNHADSLTVLYNNLCQEAIKFAKEGSVTEEIYHVAVSALKEAAEKVAEVKRSRPMAHRGFISESKHDVLHVKTMSTLQCSNQVELKTTSLGSRPFQESANNLLLVPTNILTDSRLHNRVNNVPLSSDFSSNGRQGRHTTEGSFMHFDNIKETSSQKSQNTRSNQAIHGKDEGIRGSSEETMVAIPAIPLALCMPMMQNLPGSSADGPYRLLAAPIEAVPISYRPAEPVRQPQKGVCALGPFAGVLTELNKRGTGPNSLVHATALACGARVVPLEEAASLISAVQSKIRSGGAIIAKLPSSNLSFPVPPAIAMSSSSEGEENGHSEPLMVDINRNCHDQSSDEMKLQSEPSELETEADN >PAN45444 pep chromosome:PHallii_v3.1:9:7645912:7650293:-1 gene:PAHAL_9G128000 transcript:PAN45444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLLGATRLLSSPLPRPRPRPPLLPPRRGLRLLLRPARLPALRAHCRPPPPAAGEEPARPQPLLALDALRRSVLDSLAALKRPALALLLAGALLAAASSGGPHAVALAASGGRVGGSAFSSRSSSPPSYGYSAPAPRGGYTSAPFYSPSPFVSFGPAVGIGFGGSGFLVALMGFAAFLYLAGFLSDSPGNGSVLTETQKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSTADVKRSMDDGEKRFNQLSIEERGKFDEETLVNVNSIKRNKTGSQRSSGFSNEYIVITVLVAAEGVHKLPAINSSNDLKTALQKLGSIPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >PAN45975 pep chromosome:PHallii_v3.1:9:9545718:9547521:-1 gene:PAHAL_9G155000 transcript:PAN45975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASSSSATAAAAPASSSPPKPPNNAASTTITTMLDPQSSPYPDAAASSVSSASSSSTSSSQADRSSTFSLDSSSAATPSSSPPRPHRASDVAWAPIRGRALGPRDFTLLRRVGAGDIGTVYLCRLEGGQAEGPAPACAYAMKVVDRRALAKKGKLGRAAAEKRVLRRLDHPFLPTMFADFDAGTDFSCIVMEFCPGGDLHSLRHRMPGRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRGDGHIMLTDFDLSLESTASPSLEDARSGGDSGRAPAPTCLPIPELQLLRLRRWKRRAAAPRPRFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAAVDWWAYGVFLYELIYGRTPFVGETNEATLRNIVRRPLEFPAAPAASHGDDAAARDLIARLLDKDPRTRLGSRRGAADVKAHGFFKGLNLALLRSSPPPVVPPPAAPLHRCDDKAADVQQLFDHF >PAN46008 pep chromosome:PHallii_v3.1:9:9719300:9722600:1 gene:PAHAL_9G157300 transcript:PAN46008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQIVCHACRTVLLYPRGAPSVCCAVCQSVTTVPPPGLEMAQLICGGCRTLLMYTRSADTVRCSCCNTVNLVRPVNNIAHVNCGRCQTTLMYPYGAHSVKCAICNHITTTGVNTVAPTPSARPASNGSSYSTSSTSVPKSQPQNVTVVVENPMTVDDKGKLVSNVVVGVTTGKN >PAN46010 pep chromosome:PHallii_v3.1:9:9719659:9722600:1 gene:PAHAL_9G157300 transcript:PAN46010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLICGGCRTLLMYTRSADTVRCSCCNTVNLVRPVNNIAHVNCGRCQTTLMYPYGAHSVKCAICNHITTTGVNTVAPTPSARPASNGSSYSTSSTSVPKSQPQNVTVVVENPMTVDDKGKLVSNVVVGVTTGKN >PAN46009 pep chromosome:PHallii_v3.1:9:9719300:9722591:1 gene:PAHAL_9G157300 transcript:PAN46009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLICGGCRTLLMYTRSADTVRCSCCNTVNLVRPVNNIAHVNCGRCQTTLMYPYGAHSVKCAICNHITTTGVNTVAPTPSARPASNGSSYSTSSTSVPKSQPQNVTVVVENPMTVDDKGKLVSNVVVGVTTGKN >PVH31483 pep chromosome:PHallii_v3.1:9:9719636:9722588:1 gene:PAHAL_9G157300 transcript:PVH31483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVGLAVGTRWLVGRSADRSRCRPLIEFSAGGPGRSLLGSYDGRVASRVSISVGTGCSFGHSSPVPGMQSQIVCHACRTVLLYPRGAPSVCCAVCQSVTTVPPPGLEMAQLICGGCRTLLMYTRSADTVRCSCCNTVNLVRPVNNIAHVNCGRCQTTLMYPYGAHSVKCAICNHITTTGVNTVAPTPSARPASNGSSYSTSSTSVPKSQPQNVTVVVENPMTVDDKGKLVSNVVVGVTTGKN >PAN44132 pep chromosome:PHallii_v3.1:9:1109610:1113348:1 gene:PAHAL_9G019600 transcript:PAN44132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAEYRCFIGNLSWSTTDESLKDAFAKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDFDRDRGSRYDRGRDYGGGGGRAPRGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >PVH30948 pep chromosome:PHallii_v3.1:9:1109610:1113348:1 gene:PAHAL_9G019600 transcript:PVH30948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAEYRCFIGNLSWSTTDESLKDAFAKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDFDRDRGSRYDRGRDYGGGGGRAPRGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >PAN44129 pep chromosome:PHallii_v3.1:9:1109610:1113348:1 gene:PAHAL_9G019600 transcript:PAN44129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAEYRCFIGNLSWSTTDESLKDAFAKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDFDRDRGSRYDRGRDYGGGGGRAPRGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >PAN44131 pep chromosome:PHallii_v3.1:9:1109585:1113348:1 gene:PAHAL_9G019600 transcript:PAN44131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAEYRCFIGNLSWSTTDESLKDAFAKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDFDRDRGSRYDRGRDYGGGGGRAPRGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >PVH30947 pep chromosome:PHallii_v3.1:9:1109610:1113348:1 gene:PAHAL_9G019600 transcript:PVH30947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAEYRCFIGNLSWSTTDESLKDAFAKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDFDRDRGSRYDRGRDYGGGGGRAPRGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >PAN44128 pep chromosome:PHallii_v3.1:9:1109610:1113348:1 gene:PAHAL_9G019600 transcript:PAN44128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAEYRCFIGNLSWSTTDESLKDAFAKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDFDRDRGSRYDRGRDYGGGGGRAPRGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >PAN44130 pep chromosome:PHallii_v3.1:9:1109601:1113348:1 gene:PAHAL_9G019600 transcript:PAN44130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAEYRCFIGNLSWSTTDESLKDAFAKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDFDRDRGSRYDRGRDYGGGGGRAPRGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >PVH31112 pep chromosome:PHallii_v3.1:9:3442724:3443991:1 gene:PAHAL_9G060400 transcript:PVH31112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSPTQAAANLSLTLAPAPGSAGAVGGVEGGGGGGGGGRATACVDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMAPQPPNAAPPSPTGYAGVAGAGGLPAPHAYAAAGHGYAAPVPAPFPIASHSSSAGGLQYYDGAAAAAAAAASVSAGEGGVVAPRARFATHQPVLAVSASAEEDPPGAGRDDLIDMLNWRRGSHGPTASAAATTASPASTTTTLTTSGAGAADGSTGNNDDGGGELDLNLSL >PAN46435 pep chromosome:PHallii_v3.1:9:11745000:11749042:-1 gene:PAHAL_9G185700 transcript:PAN46435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCASCLASACAGCACNLCTSAASAVTRRSARIAYCGLFAASLILSFLLRQFAAPLLQHIPWINAFDQTPPDEWFQMNAVLRVSLGNFLFFAIFAIMMIGIKDQNDRRDAWHHGGWIAKIAVWAVLIVLMFCVPNIVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEQKWEIALLVVTVVCYLSTFAFSGVLFMWFNPSGHDCGLNVFFIVMTLILAFAFAIVALHPQVSGSIMPASVISVYCAYLCYTSLSSEPDDYACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGAKNPLLGDEETGKGDDKESEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSAASEKSELMDVGWTTVWVRICTEWVTAALYIWTLIAPLLFPDRDFS >PAN44473 pep chromosome:PHallii_v3.1:9:2523973:2527887:1 gene:PAHAL_9G045800 transcript:PAN44473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPAGSVRPAAALAILFGALVLMALVMDDGEKAALPASDAAIGGRRMMLRADGEQRTLEDFRADDPFQDSKRRVPNGPDPIHNRGTGKSGRSPGRA >PVH31048 pep chromosome:PHallii_v3.1:9:2523973:2527887:1 gene:PAHAL_9G045800 transcript:PVH31048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPAGSVRPAAALAILFGALVLMALVMDDGEKAALPASDAAIGGRRMMLRADGEQRTLEDFRADDPFQDSKRRVPNGPDPIHNRGTGKSGRSPGRA >PVH32763 pep chromosome:PHallii_v3.1:9:63220195:63228449:1 gene:PAHAL_9G481300 transcript:PVH32763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHGSSRHMSASQKELGDEDARVVRVGDAARTNERLDFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDAYEDWRRHRSDRAENGRLAAVLSPVVPGGAQFLPTKWKHVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLSTPPERLAGAVIRCERPNRNIYGFQANLELEGESRRIPLGPSNIVLRGCELKNTAWAIGVVVYAGRETKAMLNNAGTPKKRSRLETHMNRETLFLSAILVVLCSLVATLSGVWLRTHEEELELAQFFHKKDYLKRDENNDYENYNYYGIAAQIVFIFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTRLFDESSNTRFQCRALNINEDLGQIKCIFSDKTGTLTQNKMEFRCASIDGVDYSDIARQRPPAEGDRIWAPKVSVNTDQELVRLIRDGGDTEQGRQTREFFLALATCNTIVPMVTDGPDPKKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRYDVLGLHEFDSDRKRMSVIIGCPDKSVKLFVKGADSSMFGVIDKTFNSDVIQATEKHLHSYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGNLLRGVAADIERSLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTRDMTQIVINSRSRDSCRKSLDDAIAMVNKYQSFSTDPQLRVPLALIIDGNSLVYIFDTDWEEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYAQLYGPGQREENYNLRLFIFIMMDSVWQSAACFFIPYLAYRKSVIDSSSLGDLWTLSVVILVNIHLAMDVIRWNWITHAAIWGSIVATWICVMIIDSIPILPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAAKAFTEYFIPSDIQIAREMEKSQDTHDVTHPEVQMSSVSRA >PAN49876 pep chromosome:PHallii_v3.1:9:63215042:63228449:1 gene:PAHAL_9G481300 transcript:PAN49876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPERPLLPPSTPPLPPSQQADEAAGEAAMDDVPARLPDPVPSPILRHSPANSVRRTRSLRSLMADSPSVTFAANLRSGSKAESIASSLESFQFQRDGSKSGTPAGLGRVSTRRSASERAGSQRDLRDEDARFVYINDADRTNAPPAGIPDNSIHTTKYSVLTFLPRNLYEQFHRVAYLYFLVLVALNMVPQLGVLTPAVSVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASVLVGGVFQPKRWKEVQVGEVLRVVANETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMPTPPEALAGVIKCERPNRNIYGFLATVDLDGRRAVSLGPSNIMLRGCELKNTAWVVGVAVYTGRDTKVMLNSSGAPSKRSRLETHMNRETLMLAVVLFVMCFVVALLAGIWLGDHGDDLAVIPFFRKRDFSSRDDPDGTYNWYGMGAEVVFTFMKSVIQFQVIIPIALYISMELVRVGQAFFMVQDRHMFDEKREAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGADFSDTDGAGDGHSVTDEDGVVLRPKTAVRTDPRLVALLRDGAGARADRARDFFLALATCNTIVPIAADGAAAGERVLEYQGESPDEQALVYAAAAYGYALVERTSGHIVIDVFGARQRYDVLGLHEFDSDRKRMSVIIGCPDKSVKLFVKGADSSMFGVIDKTFNSDVIQATEKHLHSYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGNLLRGVAADIERSLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTRDMTQIVINSRSRDSCRKSLDDAIAMVNKYQSFSTDPQLRVPLALIIDGNSLVYIFDTDWEEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYAQLYGPGQREENYNLRLFIFIMMDSVWQSAACFFIPYLAYRKSVIDSSSLGDLWTLSVVILVNIHLAMDVIRWNWITHAAIWGSIVATWICVMIIDSIPILPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAAKAFTEYFIPSDIQIAREMEKSQDTHDVTHPEVQMSSVSRA >PAN49877 pep chromosome:PHallii_v3.1:9:63220195:63228449:1 gene:PAHAL_9G481300 transcript:PAN49877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHGSSRHMSASQKELGDEDARVVRVGDAARTNERLDFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDAYEDWRRHRSDRAENGRLAAVLSPVVPGGAQFLPTKWKHVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLSTPPERLAGAVIRCERPNRNIYGFQANLELEGESRRIPLGPSNIVLRGCELKNTAWAIGVVVYAGRETKAMLNNAGTPKKRSRLETHMNRETLFLSAILVVLCSLVATLSGVWLRTHEEELELAQFFHKKDYLKRDENNDYENYNYYGIAAQIVFIFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTRLFDESSNTRFQCRALNINEDLGQIKCIFSDKTGTLTQNKMEFRCASIDGVDYSDIARQRPPEGDRIWAPKVSVNTDQELVRLIRDGGDTEQGRQTREFFLALATCNTIVPMVTDGPDPKKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRYDVLGLHEFDSDRKRMSVIIGCPDKSVKLFVKGADSSMFGVIDKTFNSDVIQATEKHLHSYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGNLLRGVAADIERSLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTRDMTQIVINSRSRDSCRKSLDDAIAMVNKYQSFSTDPQLRVPLALIIDGNSLVYIFDTDWEEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYAQLYGPGQREENYNLRLFIFIMMDSVWQSAACFFIPYLAYRKSVIDSSSLGDLWTLSVVILVNIHLAMDVIRWNWITHAAIWGSIVATWICVMIIDSIPILPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAAKAFTEYFIPSDIQIAREMEKSQDTHDVTHPEVQMSSVSRA >PAN50994 pep chromosome:PHallii_v3.1:9:68721588:68724082:1 gene:PAHAL_9G563000 transcript:PAN50994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAAAASTSAPATPTSILICREDGSDLFADADEGGAGADLVVARDDRLLVVDQDDEYVAVLLSKESASAASCGEVLAEEMEEWMKAARSGCVRWIIKTTAMFRFSGKTAYVAVTYLDRFLAQRRVNRGQEWALQLLAVACLSLASKVEEHHAPRLSELRLDAFEFDSASILRMELLVLGTLQWQMIAATPFPYISCFAARFRQDERRAIVLRAVECVFAAIKAMSSVEYQPSTIAVASILVARGNDETPAANLDELKAILGSSWPQLDTGHVYSCYSAMAQEEDKSWMHSAEVASSGVSVAAHAGSPDASVGTNNAAGTAPPATPDNNNKRRRLRSPQRQ >PAN50993 pep chromosome:PHallii_v3.1:9:68721588:68724082:1 gene:PAHAL_9G563000 transcript:PAN50993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFSGKTAYVAVTYLDRFLAQRRVNRGQEWALQLLAVACLSLASKVEEHHAPRLSELRLDAFEFDSASILRMELLVLGTLQWQMIAATPFPYISCFAARFRQDERRAIVLRAVECVFAAIKAMSSVEYQPSTIAVASILVARGNDETPAANLDELKAILGSSWPQLDTGHVYSCYSAMAQEEDKSWMHSAEVASSGVSVAAHAGSPDASVGTNNAAGTAPPATPDNNNKRRRLRSPQRQ >PVH31839 pep chromosome:PHallii_v3.1:9:16710595:16711545:1 gene:PAHAL_9G245300 transcript:PVH31839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQAAESAVLGRAPRGGTAAIMQSAARRNERLGVVARDEAATDGAAAECGVAVTEARVPGARVVTEFVADQPVGQYTEAVEDDAADGAAAEVGELGGGGQAGAARDGTKITIGEALEATAFSAGDQPVEPSDAAAIAAAEARASGTDEAPPDGLAARARAAADANALALREEDRATLRDVLADATSRLGADKEVEREDAARVVGAEVRGDPDAAARPGGVAASIATAARLNRGRQ >PVH31502 pep chromosome:PHallii_v3.1:9:10184083:10186589:1 gene:PAHAL_9G163600 transcript:PVH31502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGLPAFANGEMLKTLVGRRVRTVVQVQHDEGGLLVGQSTDGHQLTIRGAMDVPVSHFMEVFGTADSDQSICAEVCTDFGNDFDAEAFNGLCKFANKVKEPFL >PAN48229 pep chromosome:PHallii_v3.1:9:53706412:53710063:1 gene:PAHAL_9G369100 transcript:PAN48229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTSLKPRKEGQQTQEELQKRNLREELEERERKHYSSKDKSYAEERDRRKSSSQLLLEGSKRDAEDKIVPREIDADDSDVEPKSDDESDEDEDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNPGSFSVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >PAN51325 pep chromosome:PHallii_v3.1:9:70165893:70168978:1 gene:PAHAL_9G585600 transcript:PAN51325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTLSHQAAAGSGKSLFPAAPAAHSVRLPKTRPRAPAAVSTATAAVHADCAEDRVSALSQVSGVLGSQWGDEGKGKLVDALAPRFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILHEGTQCVVGNGAVIHVPGFFGEIDGLESNGVRCDGRILVSDRAHLLFDLHQVVDGLREAELEDSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFQGFKYSKSMLKEEVERYKRFAEHLEPFIADTVHVLNESIKQNKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGLSEIKVGVSYNQADGQKLQSFPGDLDTLEQVQVNYEVLPGWQSDISSIRSYKELPQSARRYVERIEELVGVPVHYIGVGPGRDALIYK >PAN46239 pep chromosome:PHallii_v3.1:9:11311867:11316629:1 gene:PAHAL_9G179100 transcript:PAN46239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAGAGGGLEIVPHSSDLELDLPPENSLRQDSLYRDATRPAHHAGHHGQESWVRTLRLAFQCVGIMYADLGTSPLYVYSNTFKKGVGHPDDVLGVLSIIIYSFILFTMVKIVFIALYANDEGDGGTFALYSLISRYARICLIPNQQAEDELVLRYKHHPKPSATLRRAQWMKNLLETSKAAKISLFFLTILATALAISDCMLNPPISVLAAVNGLKLRAPHLTKADAEVWITVGILVVFFSVQRFGTDKIGYTFAPVVTVWLLLISGIGIYNVINYDIGTLRAFNPKYIIDYFRRTKKKGWVSLGEILLCVTGTEALFADLGYFSIRSIQLSSTFGLLPSVLLTYIGQAAYLRKHMSMDISNAFFNSVPSSLFWPTFVLALITAVIGSQAMVSCAFATMSHLQALNCFPRVKILRTSRHYSGQMYIPEVNIFLCISACVVTLSFRTTGFIAKAHEICVVLVMIITTLLMTIVMLLVWKVKIWWIVIFFIVFMSTESIYTAAVLYKFIHGPYLSLAISAVLMMIMIVWHYVHVKRYKYELEHTVSRDKVKDILERQDLKRIPGFGLIYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKHLPIPHVDVSERFLFRQVEPKELMVFRCVARYGYRDTLEMANGFVKILVEHLQYYIRDISLYGLGEPLRISDYSAHMDSFSKEKPSGHAIYAEEMLTPIQSFSELTMHPVGMSNIWTHLQGGKMNVEEMLKIEEDQKIIQSEVNNGVIYILGETEVVAKPHSNLLKKIVVNYAYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >PAN46349 pep chromosome:PHallii_v3.1:9:11311867:11316628:1 gene:PAHAL_9G179100 transcript:PAN46349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAGAGGGLEIVPHSSDLELDLPPENSLRQDSLYRDATRPAHHAGHHGQESWVRTLRLAFQCVGIMYADLGTSPLYVYSNTFKKGVGHPDDVLGVLSIIIYSFILFTMVKIVFIALYANDEGDGGTFALYSLISRYARICLIPNQQAEDELVLRYKHHPKPSATLRRAQWMKNLLETSKAAKISLFFLTILATALAISDCMLNPPISVLAAVNGLKLRAPHLTKDAEVWITVGILVVFFSVQRFGTDKIGYTFAPVVTVWLLLISGIGIYNVINYDIGTLRAFNPKYIIDYFRRTKKKGWVSLGEILLCVTGTEALFADLGYFSIRSIQLSSTFGLLPSVLLTYIGQAAYLRKHMSMDISNAFFNSVPSSLFWPTFVLALITAVIGSQAMVSCAFATMSHLQALNCFPRVKILRTSRHYSGQMYIPEVNIFLCISACVVTLSFRTTGFIAKAHEICVVLVMIITTLLMTIVMLLVWKVKIWWIVIFFIVFMSTESIYTAAVLYKFIHGPYLSLAISAVLMMIMIVWHYVHVKRYKYELEHTVSRDKVKDILERQDLKRIPGFGLIYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKHLPIPHVDVSERFLFRQVEPKELMVFRCVARYGYRDTLEMANGFVKILVEHLQYYIRDISLYGLGEPLRISDYSAHMDSFSKEKPSGHAIYAEEMLTPIQSFSELTMHPVGMSNIWTHLQGGKMNVEEMLKIEEDQKIIQSEVNNGVIYILGETEVVAKPHSNLLKKIVVNYAYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >PVH33218 pep chromosome:PHallii_v3.1:9:70969528:70973619:-1 gene:PAHAL_9G599600 transcript:PVH33218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSADPHAAVAGTGTPPPSDSPFGAKKAGAAAAAWKRPGGATVPAAVAVENPIMDTDSWPALPGLASPPPPAAGPAAKASPKAASPASTGAVISPVSLGISGAPDANPGNEAPVRNPVARRALVMPAADGLEKSAPAPEPSPVYVPNARSNGEDFHHQNGRFGSHTHGRGGGYGGGNRRGNGGGGGRRGNEHHGGFDGPRRGGGRRDGHGPAHQQRGHQPTYIRAPPALAVVAGAPPPPPPFLSPATPQTPPYGVPMGFPADIAPHVYYFAAAAPTEGIQGLPFVPHPASPQAILVDPLRKELLEQIDYYFSDDNLCKDTYLRQRMDDQGWVPLSLIAGFPKVQKLTDNIQFILETVMMSTVVEVQGDKLRRRGTWENWLLPKPNYSAGSSGPFSPVTSNTDLLASQFRSVGLEGATYHANMQGMPGEALLTRSVTSVSLGYHAPTLGGLHNNGSGPLFGPKAAKNLLRSDTF >PAN51517 pep chromosome:PHallii_v3.1:9:70969109:70974040:-1 gene:PAHAL_9G599600 transcript:PAN51517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSADPHAAVAGTGTPPPSDSPFGAKKAGAAAAAWKRPGGATVPAAVAVENPIMDTDSWPALPGLASPPPPAAGPAAKASPKAASPASTGAVISPVSLGISGAPDANPGNEAPVRNPVARRALVMPAADGLEKSAPAPEPSPVYVPNARSNGEDFHHQNGRFGSHTHGRGGGYGGGNRRGNGGGGGRRGNEHHGGFDGPRRGGGRRDGHGPAHQQRGHQPTYIRAPPALAVVAGAPPPPPPFLSPATPQTPPYGVPMGFPDIAPHVYYFAAAAPTEGIQGLPFVPHPASPQAILVDPLRKELLEQIDYYFSDDNLCKDTYLRQRMDDQGWVPLSLIAGFPKVQKLTDNIQFILETVMMSTVVEVQGDKLRRRGTWENWLLPKPNYSAGSSGPFSPVTSNTDLLASQFRSVGLEGATYHANMQGMPGEALLTRSVTSVSLGYHAPTLGGLHNNGSGPLFGPKAAKNLLRSDTF >PVH32722 pep chromosome:PHallii_v3.1:9:62394048:62394726:1 gene:PAHAL_9G469200 transcript:PVH32722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTNMPAADRPHQCAPRRGGRPRGRGVPAAASAARPRPRAATSQTSNPVRPQAASAGARGQSRASRAGPCFRRTQSRPPIPDKNQCETPPTNGRIKQTHATPVPRDVHTHAHRGSHETTSSELQVARLTGAADNGCETSSLLEQPAAVAENANPIHAGPSGEGKAGGELS >PAN45746 pep chromosome:PHallii_v3.1:9:8537030:8540213:-1 gene:PAHAL_9G139300 transcript:PAN45746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLSVTVCKLSFSPELHIIYSNYGRERAPLMDLRYTAKLRTGIRMEEDSSLFMQWAMDTLRHERPVAGAISNDCSEATFPSLQALREASHAAEMVQELISAAPANSWSSGDDTTDGSSGGNNSAGAAMDHDAWPPTPNSARRAPSRSGSGTNLPVSWNFGAAASALPGSDGMLAAAEAAPTRRPPDIVCRSPPTRRAGAKGAGSMSTPYAQDHIIAERKRREKINQRFIELSTVIPSLKKMDKATILSDATKYVKELQEKLRGLEADGSSNGRSIVKTVVLVKRPCLHAAAKPDEDGSPLSASSAAPAVRKQLPEIEARFSDKSVMVRINCEDGKGVAVKLLTEVEELRLSITHANVMPFPAGTLIITITAKVEEGFTVTAEEIVGRLNSALLHQHNSSCNSTDDETGN >PAN45747 pep chromosome:PHallii_v3.1:9:8537072:8540180:-1 gene:PAHAL_9G139300 transcript:PAN45747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSSLFMQWAMDTLRHERPVAGAISNDCSEATFPSLQALREASHAAEMVQELISAAPANSWSSGDDTTDGSSGGNNSAGAAMDHDAWPPTPNSARRAPSRSGSGTNLPVSWNFGAAASALPGSDGMLAAAEAAPTRRPPDIVCRSPPTRRAGAKGAGSMSTPYAQDHIIAERKRREKINQRFIELSTVIPSLKKMDKATILSDATKYVKELQEKLRGLEADGSSNGRSIVKTVVLVKRPCLHAAAKPDEDGSPLSASSAAPAVRKQLPEIEARFSDKSVMVRINCEDGKGVAVKLLTEVEELRLSITHANVMPFPAGTLIITITAKVEEGFTVTAEEIVGRLNSALLHQHNSSCNSTDDETGN >PAN47396 pep chromosome:PHallii_v3.1:9:20271769:20273205:-1 gene:PAHAL_9G262600 transcript:PAN47396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHAVATGLYLYDYPYTRSLVSPCTLIHLQQQPWSKIIQRSSPSPEITVLPIISIPGARRPSAMSSLIRSFASLYCQKLRSTTVLGPAAAPRFQAVDRERFSASSGAAGTRPAPALPRQYREQQRTPFFTWARLAIGSVLAAATPLLHSRWASILRIQSEVEMVKDAAEGVVEVVEEAATFAEKVSSEVAKQLPEGGRLRTAAVLVEHASKEVAHEAHLAQDIIHKMDEIEEDVKAIIEPIVDHRKHFHAKAAHISNSSQK >PAN50874 pep chromosome:PHallii_v3.1:9:68166580:68174554:1 gene:PAHAL_9G553700 transcript:PAN50874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRSSNYSSQEVKTPLDGEINYQDYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEISLKHIRTRKNQMLLDQLFDLKSKEQELQDLNKDLRKKLQETNAENVLHVSWEEGGHSGASGNAIEPYQGFLQHPENDPSLQIGYHQQAYMDQLNNEDMGHPNEHGRSGWI >PVH32346 pep chromosome:PHallii_v3.1:9:54548382:54555158:1 gene:PAHAL_9G376100 transcript:PVH32346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVQSGGRSPKRLNGPSASQQPKAAPDGTQNGGLSKGKKRERGEQGIESAKWDRDCLVKVDDSEPGSFNLEDIKSEVAKITEKGGLPNAEAVEKLVHLMQLDRTEQKIDLAGRVVLVDVIAATESSDCLGRFVQSRGLPMLDSWLQEAHKGKSGDGSSPKEADKPIDDLLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKHLDIQKKAKCLVENWKKRVDAEMKSSDAKPLVSGQAVSWSGKAGLQEISIAGNKRGGSSENSPKNPVPTVSSSKVLTDKPGGTDAAAKLSPVVSTSSKLQHVQPTNVTTNLKDQPCKSTGGTGGSELPTVREEKSSSSSQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSWSHRRANNGLVSGNLKEASAGRSVSLDRSLLQDKSSQTETASEKGVDMPSDHLNSHRLIVRFPNPGRSPARSASGGSFEDPSVTGGRASSPVVGDRHEQTDRRVKMKTESSRPHLPSDANAESWHSNDIKEAAGSEEGDKSPCAMLDDDNSRTPDDSVKDAHVPRVACSYVNEKGVCSSETRAGNSFSPMNALIEYSEASHSLQAGDDTAMNLLASVAGEISKSELVSPSSSPRSSSAKKLVLEGDSTGKFKVESDVDPSQDPGPTDAKKVIVGKEVKNDACLVVKEEQHQTVPSPELADPKAVGSSAKVETHEGRANKCNSQPASVDSKGENLDACSVPGKVEDGCADKDGAVESALGSQCSLVVSNRNSRSILAGESSLSAADKQDQGLFKSSNHKQLPGVSDHPGAFDRRDSIAGKLDLMAGEVRKADAVGDSSTVRNEDQKKEHAISSLADVTKLVEAASPLGVANVIKEMKETKDSSSESNSHVKSEGLNSQLIEHSAKQSSKKSSDGVCGKEDGKEDLVSSDEGSSLAAHTKSNATAKLDFDLNEGIPGDDGHQSEPAVSPVICTSAIHLPGLSPFTSPITSGLQPAPITVAAPAKGPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRKVLDMPITTRDIPVSHAAGKQSRPTLGFDLNVADDQALEEDVPQSSAQTTCSESGNTRSRDGSSRSAGIELDLNRTDEVADNGQFVPNASHRVEVPLLPARSLPGVFSNAGKNSSRDFDLNSGPCLDDASTEPTPKNLPTKNTGSIQFIPQVPGVRMNNAAMSNISPWFASANPCGPVPIQSFLPSREQPYPIEAAPGTQRIIAPTADSGQFGGDPSRAPVISSSPTMVFHPPAYQYAGFAFPPSVHLQTPSFSIGSATFTNSAPAGVPYFPTISPSPVGPTGALPAHHSRQYAINLAEGSSSSGRDNNRKWESQGLDLNSGPGSIDLEGKDERAPLPIRQNLITPPHGFAEEQGRIYQMPVVGIKRKEPDGSWDTERSTYKQLSWQ >PAN48333 pep chromosome:PHallii_v3.1:9:54547325:54555157:1 gene:PAHAL_9G376100 transcript:PAN48333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGWRGAEGCDGRRRRLRLMWPAARVEAEAPPPPAQGPPTSPSSSSVPPSRTISYPPESAARKQDFVDSPRPVSPDSFIKDGREIRVGDCALFRAVGVPPFIGLIRWIEKKEEGYPKLRVSWLYRPADVKLNKGIQLNAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCLRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTRLEMRAAVQSGGRSPKRLNGPSASQQPKAAPDGTQNGGLSKGKKRERGEQGIESAKWDRDCLVKVDDSEPGSFNLEDIKSEVAKITEKGGLPNAEAVEKLVHLMQLDRTEQKIDLAGRVVLVDVIAATESSDCLGRFVQSRGLPMLDSWLQEAHKGKSGDGSSPKEADKPIDDLLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKHLDIQKKAKCLVENWKKRVDAEMKSSDAKPLVSGQAVSWSGKAGLQEISIAGNKRGGSSENSPKNPVPTVSSSKVLTDKPGGTDAAAKLSPVVSTSSKLQHVQPTNVTTNLKDQPCKSTGGTGGSELPTVREEKSSSSSQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSWSHRRANNGLVSGNLKEASAGRSVSLDRSLLQDKSSQTETASEKGVDMPSDHLNSHRLIVRFPNPGRSPARSASGGSFEDPSVTGGRASSPVVGDRHEQTDRRVKMKTESSRPHLPSDANAESWHSNDIKEAAGSEEGDKSPCAMLDDDNSRTPDDSVKDAHVPRVACSYVNEKGVCSSETRAGNSFSPMNALIEYSEASHSLQAGDDTAMNLLASVAGEISKSELVSPSSSPRSSSAKKLVLEGDSTGKFKVESDVDPSQDPGPTDAKKVIVGKEVKNDACLVVKEEQHQTVPSPELADPKAVGSSAKVETHEGRANKCNSQPASVDSKGENLDACSVPGKVEDGCADKDGAVESALGSQCSLVVSNRNSRSILAGESSLSAADKQDQGLFKSSNHKQLPGVSDHPGAFDRRDSIAGKLDLMAGEVRKADAVGDSSTVRNEDQKKEHAISSLADVTKLVEAASPLGVANVIKEMKETKDSSSESNSHVKSEGLNSQLIEHSAKQSSKKSSDGVCGKEDGKEDLVSSDEGSSLAAHTKSNATAKLDFDLNEGIPGDDGHQSEPAVSPVICTSAIHLPGLSPFTSPITSGLQPAPITVAAPAKGPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRKVLDMPITTRDIPVSHAAGKQSRPTLGFDLNVADDQALEEDVPQSSAQTTCSESGNTRSRDGSSRSAGIELDLNRTDEVADNGQFVPNASHRVEVPLLPARSLPGVFSNAGKNSSRDFDLNSGPCLDDASTEPTPKNLPTKNTGSIQFIPQVPGVRMNNAAMSNISPWFASANPCGPVPIQSFLPSREQPYPIEAAPGTQRIIAPTADSGQFGGDPSRAPVISSSPTMVFHPPAYQYAGFAFPPSVHLQTPSFSIGSATFTNSAPAGVPYFPTISPSPVGPTGALPAHHSRQYAINLAEGSSSSGRDNNRKWESQGLDLNSGPGSIDLEGKDERAPLPIRQNLITPPHGFAEEQGRIYQMPVVGIKRKEPDGSWDTERSTYKQLSWQ >PAN48334 pep chromosome:PHallii_v3.1:9:54547325:54555157:1 gene:PAHAL_9G376100 transcript:PAN48334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVQSGGRSPKRLNGPSASQQPKAAPDGTQNGGLSKGKKRERGEQGIESAKWDRDCLVKVDDSEPGSFNLEDIKSEVAKITEKGGLPNAEAVEKLVHLMQLDRTEQKIDLAGRVVLVDVIAATESSDCLGRFVQSRGLPMLDSWLQEAHKGKSGDGSSPKEADKPIDDLLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKHLDIQKKAKCLVENWKKRVDAEMKSSDAKPLVSGQAVSWSGKAGLQEISIAGNKRGGSSENSPKNPVPTVSSSKVLTDKPGGTDAAAKLSPVVSTSSKLQHVQPTNVTTNLKDQPCKSTGGTGGSELPTVREEKSSSSSQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSWSHRRANNGLVSGNLKEASAGRSVSLDRSLLQDKSSQTETASEKGVDMPSDHLNSHRLIVRFPNPGRSPARSASGGSFEDPSVTGGRASSPVVGDRHEQTDRRVKMKTESSRPHLPSDANAESWHSNDIKEAAGSEEGDKSPCAMLDDDNSRTPDDSVKDAHVPRVACSYVNEKGVCSSETRAGNSFSPMNALIEYSEASHSLQAGDDTAMNLLASVAGEISKSELVSPSSSPRSSSAKKLVLEGDSTGKFKVESDVDPSQDPGPTDAKKVIVGKEVKNDACLVVKEEQHQTVPSPELADPKAVGSSAKVETHEGRANKCNSQPASVDSKGENLDACSVPGKVEDGCADKDGAVESALGSQCSLVVSNRNSRSILAGESSLSAADKQDQGLFKSSNHKQLPGVSDHPGAFDRRDSIAGKLDLMAGEVRKADAVGDSSTVRNEDQKKEHAISSLADVTKLVEAASPLGVANVIKEMKETKDSSSESNSHVKSEGLNSQLIEHSAKQSSKKSSDGVCGKEDGKEDLVSSDEGSSLAAHTKSNATAKLDFDLNEGIPGDDGHQSEPAVSPVICTSAIHLPGLSPFTSPITSGLQPAPITVAAPAKGPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRKVLDMPITTRDIPVSHAAGKQSRPTLGFDLNVADDQALEEDVPQSSAQTTCSESGNTRSRDGSSRSAGIELDLNRTDEVADNGQFVPNASHRVEVPLLPARSLPGVFSNAGKNSSRDFDLNSGPCLDDASTEPTPKNLPTKNTGSIQFIPQVPGVRMNNAAMSNISPWFASANPCGPVPIQSFLPSREQPYPIEAAPGTQRIIAPTADSGQFGGDPSRAPVISSSPTMVFHPPAYQYAGFAFPPSVHLQTPSFSIGSATFTNSAPAGVPYFPTISPSPVGPTGALPAHHSRQYAINLAEGSSSSGRDNNRKWESQGLDLNSGPGSIDLEGKDERAPLPIRQNLITPPHGFAEEQGRIYQMPVVGIKRKEPDGSWDTERSTYKQLSWQ >PVH31386 pep chromosome:PHallii_v3.1:9:7878067:7883224:1 gene:PAHAL_9G131300 transcript:PVH31386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAFASKLAEILAGMAKEEVEMLLGVPGEITKLETTLGDLSSILADAERRRIRDSAVERWVRELKDVMYDADDILDLCQIMEGGEGPSSSAAAPKTTPGCCNISRMLFCFRNPVVAHEIGRKIQAVNQRLVALEKRSSRFGFITQAINSSGHYANKSTNPWSDGNRNTGPAFIQSEIVGEKVEESKKQLIDLLIKKVGTHTGSSGSNNVAVAAAITGAGGIGKTTLARMVFNDATLVESFDKTVWLSINKEVNEIALLHRAIAAFGGDYGGLAADKALLEHALKQVVRQKKFLLVMDDVWSDKVWNELLRVPLNDGASGSQVLVTTRNDGVARMMKAQYLHRVDKLETQDAWALLKKQLVLNESDEADVDGLKDNGIRIVEKCDGLPLAVKVLGGLLLNTSRTRDAWVDVSNHVTWSMEGMDDDINKAVYLSYEELPSHLKQCFLFCSLFPKDELLSFGVISQLWIAEGYTDNKLSSKLPEDLALEYYKELISRNLLEPDKRSYDQLGCTMHDVVRSFAQYITKDEAVLITEGQDVRRTIGGTSKLRHLSISRRPVEWDALKSQTSLRTLMLFRSTTTVEPKDLMNNISGLRVLYLDNENPVELPDSICRLKHLRYLCLSRTSISMIPQGIGDLKFLQALELRGCTNFSQLPNSILKLRKLRSLNFSDTAITSVPRGFGKLEDLVRLMGFPTHSGDSTDVWCSLEELGPLSKLKVLGIRDLEKATSGSTAAKAMLTSKHHLTNLYLIFTSKLGKNGEVEDDIGEEEHERTEEVLANLCPPTCTEILDIRGYFARGLPQWMRTMSAFGSLRRLALGDYACCTQLPNGLGQLPFLDYFWVDRAPSVQCVRHDFLHPSLGGEADGKDKGPRLPGTRNNNPRQPHRISRGAGVAFPKLTRLGFQGMLEWTEWEWEQHVPAMPALEALVINNCKLQRLPAGLAQHASRLRKFDLTYAQHLISVENFPSLVNLWLYGNPRLERISNNPSLQFIDISNCPALKEMDGLPSLRSLEWWDLGAEALPQYLREANLKKLRVDCSRSLLKLIALQDESSEWGKIKHVQQLKAYGHKTEEEEPDQSSQEDEEANEEEANQLEEDEVEEDTIQSEEEEDADEEKNNQSEEDEEAEWYIYYTKEPYSFNAYLGESTGITQECKE >PVH31387 pep chromosome:PHallii_v3.1:9:7878067:7883224:1 gene:PAHAL_9G131300 transcript:PVH31387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAFASKLAEILAGMAKEEVEMLLGVPGEITKLETTLGDLSSILADAERRRIRDSAVERWVRELKDVMYDADDILDLCQIMEGGEGPSSSAAAPKTTPGCCNISRMLFCFRNPVVAHEIGRKIQAVNQRLVALEKRSSRFGFITQAINSSGHYANKSTNPWSDGNRNTGPAFIQSEIVGEKVEESKKQLIDLLIKKVGTHTGSSGSNNVAVAAAITGAGGIGKTTLARMVFNDATLVESFDKTVWLSINKEVNEIALLHRAIAAFGGDYGGLAADKALLEHALKQVVRQKKFLLVMDDVWSDKVWNELLRVPLNDGASGSQVLVTTRNDGVARMMKAQYLHRVDKLETQDAWALLKKQLVLNESDEADVDGLKDNGIRIVEKCDGLPLAVKVLGGLLLNTSRTRDAWVDVSNHVTWSMEGMDDDINKAVYLSYEELPSHLKQCFLFCSLFPKDELLSFGVISQLWIAEGYTDNKLSSKLPEDLALEYYKELISRNLLEPDKRSYDQLGCTMHDVVRSFAQYITKDEAVLITEGQDVRRTIGGTSKLRHLSISRRPVEWDALKSQTSLRTLMLFRSTTTVEPKDLMNNISGLRVLYLDNENPVELPDSICRLKHLRYLCLSRTSISMIPQGIGDLKFLQALELRGCTNFSQLPNSILKLRKLRSLNFSDTAITSVPRGFGKLEDLVRLMGFPTHSGDSTDVWCSLEELGPLSKLKVLGIRDLEKATSGSTAAKAMLTSKHHLTNLYLIFTSKLGKNGEVEDDIGEEEHERTEEVLANLCPPTCTEILDIRGYFARGLPQWMRTMSAFGSLRRLALGDYACCTQLPNGLGQLPFLDYFWVDRAPSVQCVRHDFLHPSLGGEADGKDKGPRLPGTRNNNPRQPHRISRGAGVAFPKLTRLGFQGMLEWTEWEWEQHVPAMPALEALVINNCKLQRLPAGLAQHASRLRKFDLTYAQHLISVENFPSLVNLWLYGNPRLERISNNPSLQFIDISNCPALKEMDGLPSLRSLEWWDLGAEALPQYLREANLKKLRVDCSRSLLKLIALQDESSEWGKIKHVQQLKAYGHKTEEEEPDQSSQEDEEANEEEANQLEEDEVEEDTIQSEEEEDADEEKNNQSEEDEEAEWYIYYTKEPYSFNAYLGESTGDFIIFDLLGGFIVVNLCKSHKMDSFAKLYELVCLDFAQE >PAN45628 pep chromosome:PHallii_v3.1:9:7878067:7883224:1 gene:PAHAL_9G131300 transcript:PAN45628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAFASKLAEILAGMAKEEVEMLLGVPGEITKLETTLGDLSSILADAERRRIRDSAVERWVRELKDVMYDADDILDLCQIMEGGEGPSSSAAAPKTTPGCCNISRMLFCFRNPVVAHEIGRKIQAVNQRLVALEKRSSRFGFITQAINSSGHYANKSTNPWSDGNRNTGPAFIQSEIVGEKVEESKKQLIDLLIKKVGTHTGSSGSNNVAVAAAITGAGGIGKTTLARMVFNDATLVESFDKTVWLSINKEVNEIALLHRAIAAFGGDYGGLAADKALLEHALKQVVRQKKFLLVMDDVWSDKVWNELLRVPLNDGASGSQVLVTTRNDGVARMMKAQYLHRVDKLETQDAWALLKKQLVLNESDEADVDGLKDNGIRIVEKCDGLPLAVKVLGGLLLNTSRTRDAWVDVSNHVTWSMEGMDDDINKAVYLSYEELPSHLKQCFLFCSLFPKDELLSFGVISQLWIAEGYTDNKLSSKLPEDLALEYYKELISRNLLEPDKRSYDQLGCTMHDVVRSFAQYITKDEAVLITEGQDVRRTIGGTSKLRHLSISRRPVEWDALKSQTSLRTLMLFRSTTTVEPKDLMNNISGLRVLYLDNENPVELPDSICRLKHLRYLCLSRTSISMIPQGIGDLKFLQALELRGCTNFSQLPNSILKLRKLRSLNFSDTAITSVPRGFGKLEDLVRLMGFPTHSGDSTDVWCSLEELGPLSKLKVLGIRDLEKATSGSTAAKAMLTSKHHLTNLYLIFTSKLGKNGEVEDDIGEEEHERTEEVLANLCPPTCTEILDIRGYFARGLPQWMRTMSAFGSLRRLALGDYACCTQLPNGLGQLPFLDYFWVDRAPSVQCVRHDFLHPSLGGEADGKDKGPRLPGTRNNNPRQPHRISRGAGVAFPKLTRLGFQGMLEWTEWEWEQHVPAMPALEALVINNCKLQRLPAGLAQHASRLRKFDLTYAQHLISVENFPSLVNLWLYGNPRLERISNNPSLQFIDISNCPALKEMDGLPSLRSLEWWDLGAEALPQYLREANLKKLRVDCSRSLLKLIALQDESSEWGKIKHVQQLKAYGHKTEEEEPDQSSQEDEEANEEEANQLEEDEVEEDTIQSEEEEDADEEKNNQSEEDEEAEWYIYYTKEPYSFNAYLGESTGITQECKE >PAN45627 pep chromosome:PHallii_v3.1:9:7878067:7883224:1 gene:PAHAL_9G131300 transcript:PAN45627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAFASKLAEILAGMAKEEVEMLLGVPGEITKLETTLGDLSSILADAERRRIRDSAVERWVRELKDVMYDADDILDLCQIMEGGEGPSSSAAAPKTTPGCCNISRMLFCFRNPVVAHEIGRKIQAVNQRLVALEKRSSRFGFITQAINSSGHYANKSTNPWSDGNRNTGPAFIQSEIVGEKVEESKKQLIDLLIKKVGTHTGSSGSNNVAVAAAITGAGGIGKTTLARMVFNDATLVESFDKTVWLSINKEVNEIALLHRAIAAFGGDYGGLAADKALLEHALKQVVRQKKFLLVMDDVWSDKVWNELLRVPLNDGASGSQVLVTTRNDGVARMMKAQYLHRVDKLETQDAWALLKKQLVLNESDEADVDGLKDNGIRIVEKCDGLPLAVKVLGGLLLNTSRTRDAWVDVSNHVTWSMEGMDDDINKAVYLSYEELPSHLKQCFLFCSLFPKDELLSFGVISQLWIAEGYTDNKLSSKLPEDLALEYYKELISRNLLEPDKRSYDQLGCTMHDVVRSFAQYITKDEAVLITEGQDVRRTIGGTSKLRHLSISRRPVEWDALKSQTSLRTLMLFRSTTTVEPKDLMNNISGLRVLYLDNENPVELPDSICRLKHLRYLCLSRTSISMIPQGIGDLKFLQALELRGCTNFSQLPNSILKLRKLRSLNFSDTAITSVPRGFGKLEDLVRLMGFPTHSGDSTDVWCSLEELGPLSKLKVLGIRDLEKATSGSTAAKAMLTSKHHLTNLYLIFTSKLGKNGEVEDDIGEEEHERTEEVLANLCPPTCTEILDIRGYFARGLPQWMRTMSAFGSLRRLALGDYACCTQLPNGLGQLPFLDYFWVDRAPSVQCVRHDFLHPSLGGEADGKDKGPRLPGTRNNNPRQPHRISRGAGVAFPKLTRLGFQGMLEWTEWEWEQHVPAMPALEALVINNCKLQRLPAGLAQHASRLRKFDLTYAQHLISVENFPSLVNLWLYGNPRLERISNNPSLQFIDISNCPALKEMDGLPSLRSLEWWDLGAEALPQYLREANLKKLRVDCSRSLLKLIALQDESSEWGKIKHVQQLKAYGHKTEEEEPDQSSQEDEEANEEEANQLEEDEVEEDTIQSEEEEDADEEKNNQSEEDEEAEWYIYYTKEPYSFNAYLGESTGDFIIFDLLGGFIVVNLCKSHKMDSFAKLYELVCLDFAQE >PVH31762 pep chromosome:PHallii_v3.1:9:14788130:14788477:1 gene:PAHAL_9G225600 transcript:PVH31762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSSVMLPISGGMLPVSLLPPRYSDLTFSSLPISGGIEPVRLLPSSLRSSNLVRLAISTGIAPTSPKDPKSSAASCLSFPMLRGIDPVRCLLLVILNKAKLVELPIPSGMVPCK >PAN47953 pep chromosome:PHallii_v3.1:9:42972500:42974985:1 gene:PAHAL_9G329700 transcript:PAN47953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRREKLVLSLLCVAFLQGWMVHSVEYDHTASIECLGDPMKPLYNGGVIQNGEFNSGLMGWSTHRNSKAGVRSSPSGNKFAVVHGAGGTLSSSGNVLPSHSVYQRVRMQRDTHYSLSAWLQVPAGSADVKAVVKAPHGERIIAGSVVAQSGCWSMLKGGMTAYSSGHGEIYFESDAPVEIWVDSVSLQPFSFDEWEAHARRSANATRRSTIRLVAKGAHDDKPMANATVIIELLRAGFPFGNTMTKEILNLPAYEKWFTSRFTVATFENEMKWYSTEWNQNQEDYRIPDAMLQLANKYGIRVRGHNVFWDDQNSQIRWVRPMNTDQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFEGKFGPTASAQIYNQVGQIDHSAILFMNEFNTLEQPGDPNPVPSKYVAKMTQIRSYPGNGGLKLGVGLESHFSTPNFPYMRSALDTLAKLKLPMWLTEVDVIKGPNQVKFLEQVLREGYGHPSVNGMIMWAAWHANGCYVMCLTDNNFKNLPVGNVVDKLIAEWKTHSKAATTDENGIVELNLPHGEYNFTVTHPSVKGAIIHTMTVDAASSALEHTITVRV >PVH33358 pep chromosome:PHallii_v3.1:9:73247635:73249134:1 gene:PAHAL_9G634400 transcript:PVH33358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAHSGWKSSPTFDWRLPLDARSSVGSLLSLHRPRLELQHSSLGLRRPGPVARSSASALLGLHRPRLDLRDRRSGSGAPARSPRGPAPLPPGAPPFWIGTGPGWGDRRKDGEGGEWVPRVMKGKKLDTDIWVPPVIMKSGLKCKGYCWS >PAN47800 pep chromosome:PHallii_v3.1:9:27332714:27333265:-1 gene:PAHAL_9G281400 transcript:PAN47800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLVSASSSLAATTLLLLLSAAAAAAAAPLQAQAGTACHNDIIALRTTCYEFVQEGGRALPPSSNCCATLMGLTNVPCVCDYLGSDFDVDLDKVFYVGRHCGVAIPRGCGGPIEQKGIVGSFKP >PAN47799 pep chromosome:PHallii_v3.1:9:27332256:27333324:-1 gene:PAHAL_9G281400 transcript:PAN47799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLVSASSSLAATTLLLLLSAAAAAAAAPLQAQAGTACHNDIIALRTTCYEFVQEGGRALPPSSNCCATLMGLTNVPCVCDYLGSDFDVDLDKVFYVGRHCGVAIPRGCGDLKQV >PAN45152 pep chromosome:PHallii_v3.1:9:5589942:5593851:1 gene:PAHAL_9G097300 transcript:PAN45152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRDPWGGPLEISNADSATDDDRSRDLDRAALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCVVLDRKIFMWTVGTILGLGVFIGFVMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTVRRSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHIKELIKWGTDYILKTFNSSADTIDRIVAQVGVGDTSKGSSQPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVKGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNSYLTIATAPGMAKHAGAYWFGSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPLFNSFNFTKGGLIQLNHGGPQPLQYAVNAAFLASLYSDYLEAADTPGWYCGPNFYTTEVLRKFARSQLDYILGKNPQKMSYVVGFGNKYPKRPHHRGASIPHNGVKYGCKGGFKWRETKKANPNVLVGAMVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISIADIPTGRYSIDKNTIFSAIPPMFPTPPPPPSAWKP >PVH32626 pep chromosome:PHallii_v3.1:9:60913847:60914867:1 gene:PAHAL_9G445700 transcript:PVH32626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPTSYWLKLSSSSPACLSLPASRISPSLSPASPPSPSLSPSLAAQQIPISSLLLIQQGRCRSYPLHSSGLHGRLLLHGLQI >PAN50149 pep chromosome:PHallii_v3.1:9:64680369:64684676:-1 gene:PAHAL_9G502000 transcript:PAN50149 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS1 [Source:Projected from Arabidopsis thaliana (AT3G08970) UniProtKB/TrEMBL;Acc:A0A178VJB6] MERTKLQPAKQNRIEAARHAAMGIPLRCLLVALLVLSSVSLHVSAAKTIDPYKVLGVDKNASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPGFGGGNFGNHEGHTYFTGGGPKTSYFTSGDGWQTMGGQGNTKTFSFSFGGNPGASGGNPFGGGFDLGDVFSNLFGGGSMGGSQHGGSAGSARANTGTSGQHSGAAKIQDITTQIFSKEISDQGITWLLLFYTPQSKGQFVLESVMQDVVHSLDGVLRAGKVNCDNEKSLCKKAGVSLGKSARLFIYSYTTTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRVDIGQFSFPPNVLPNLPQVLLLSSKKDTPVMWRAISGIFRNRLTFYDAEVHDVSHPLLKSLGVKNLPALIGRTVNGEEHLLKDGISVKDLRSGIRELKTLLESFEKKNKKLASSQANKKPSSQREENKVPLLTASNVEEICGEKTSVCIIGVFGSTKAKGQLEAVLSEISKKTLIRGQNYNSGSALSYALLDKNKQSAFLSSFDKSGFKSSDKLLIAYKPRRGRFAVYNDEVTLEEAERFVGSVLNGDVQLSPTKQKPVLR >PAN49588 pep chromosome:PHallii_v3.1:9:61626061:61630545:1 gene:PAHAL_9G458200 transcript:PAN49588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFFRMASRLRPSTPEEVVRSIKDSFLALNTRTHAKALEEVEKNIASLKLLISGDGEVEPNQEQVLQITIEICKEDVISLLVQNLPSLGWTVRKDLVHCWCILLRQKDDESYCCVQYIENHLELLDFLVGCYKNLDIALNCGNMLRECIKYPTLAKYILESGSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLGSHYDQFFELYSRLLFSNNYVTRRQAMKFLSEFLLEAPNSQIMKRYIVEVRFLNIMINLLKDSSKNIRICAFHVFKVFVANPNKPRCIIEALLENRRELLKLLHNLPTSKGDDELDEEKDLIIQQIQKLA >PAN51413 pep chromosome:PHallii_v3.1:9:70471500:70478446:-1 gene:PAHAL_9G592300 transcript:PAN51413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVKPAPGGRMLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGIFTDDVSEASPVDGYFMRYKWYRIQSDRRAAVCSVHPTEQATLQCIGCLKSKIPVAKSYHCSAKCFCDAWQHHRVLHERAISALNENGTEEEELFGRFGSGSSSSGIISAALSGSTPNLSQSSGFNNGPTPVYPTGTEKSSGETWFEVGRSRTYTATADDIGHVLRFECVVVDLETRGTVGAPTSVMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDSRASSFGTFTVLSYNILADTYATSDTYSYCPTWALSWAYRRQNLLREIIGYHADIICLQEVQNNHFEEFFAPELDKHGYQALYKKRTTEIYAGTPQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALTRLVKDNIALIAVLEAKFGNHGTENPSKRQLLCVANTHINIHHDLKDVKLWQIHTLLKGLEKIAVSADIPMLVCGDFNSIPGSTSHGLLAMGKVDQLHPDLAVDPLGILRPLSKLTHQLPLVSAYSSFARMASVGYDLDHQRRRMDPTTNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCTPRVRR >PAN51412 pep chromosome:PHallii_v3.1:9:70471554:70478429:-1 gene:PAHAL_9G592300 transcript:PAN51412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVKPAPGGRMLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGIFTDDVSEASPVDGYFMRYKWYRIQSDRRAAVCSVHPTEQATLQCIGCLKSKIPVAKSYHCSAKCFCDAWQHHRVLHERAISALNENGTEEEELFGRFGSGSSSSGIISAALSGSTPNLSQSSGFNNGPTPVYPTGTEKSSGETWFEVGRSRTYTATADDIGHVLRFECVVVDLETRGTVGAPTSVMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDSRASSFGTFTVLSYNILADTYATSDTYSYCPTWALSWAYRRQNLLREIIGYHADIICLQEVQNNHFEEFFAPELDKHGYQALYKKRTTEIYAGTPQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALTRLVKDNIALIAVLEAKFGNHGTENPSKRQLLCVANTHINIHHDLKDVKLWQIHTLLKGLEKIAVSADIPMLVCGDFNSIPGSTSHGLLAMGKVDQLHPDLAVDPLGILRPLSKLTHQLPLVSAYSSFARMASVGYDLDHQRRRMDPTTNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCTPRVRR >PAN51414 pep chromosome:PHallii_v3.1:9:70471520:70478439:-1 gene:PAHAL_9G592300 transcript:PAN51414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVKPAPGGRMLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGIFTDDVSEASPVDGYFMRYKWYRIQSDRRAAVCSVHPTEQATLQCIGCLKSKIPVAKSYHCSAKCFCDAWQHHRVLHERAISALNENGTEEEELFGRFGSGSSSSGIISAALSGSTPNLSQSSGFNNGPTPVYPTGTEKSSGETWFEVGRSRTYTATADDIGHVLRFECVVVDLETRGTVGAPTSVMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDSRASSFGTFTVLSYNILADTYATSDTYSYCPTWALSWAYRRQNLLREIIGYHADIICLQEVQNNHFEEFFAPELDKHGYQALYKKRTTEIYAGTPQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALTRLVKDNIALIAVLEAKFGNHGTENPSKRQLLCVANTHINIHHDLKDVKLWQIHTLLKGLEKIAVSADIPMLVCGDFNSIPGSTSHGLLAMGKVDQLHPDLAVDPLGILRPLSKLTHQLPLVSAYSSFARMASVGYDLDHQRRRMDPTTNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCTPRVRR >PAN49597 pep chromosome:PHallii_v3.1:9:61671977:61674618:-1 gene:PAHAL_9G458900 transcript:PAN49597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVESVPETSIHEFTVKDCNGKEVSLETYKGKVLLVVNVASKCGFTETNYTQLTELYQKYRDKDFEILAFPCNQFLRQEPGTAEQAKDFACTRFKAEYPVFQKVRVNGPDAAPVYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVIERYATSTTPMSIEKDIQKALEE >PAN50201 pep chromosome:PHallii_v3.1:9:64953939:64955284:1 gene:PAHAL_9G504900 transcript:PAN50201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGSGSTGTGTTTTTTTTQQQYSSCRSVSPPSRVSSCSPPPPPPAMQVVGNAPPTLVLSPCAACKILRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQKQANELKVQLARAQADLLNAQAQHANLLALVCIEMANRRDSNHHQQQHQPPSPPLMDGGGGGGGGGCSDFGAAAYQAFYDSDMDSATWPDHEAQLWT >PAN51127 pep chromosome:PHallii_v3.1:9:69329197:69332651:1 gene:PAHAL_9G572200 transcript:PAN51127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein UPSTREAM OF FLC [Source:Projected from Arabidopsis thaliana (AT5G10150) UniProtKB/Swiss-Prot;Acc:Q9LX14] MMEVGGAEGRRAAGRVEASPERGRPAYAAVARPAPARPMRRVQIIYYLCRNGQLEHPHFMEIAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSADRFQHLRVTDRSPTKPMALPHSHKQYVDAYRDAAVEDPEDDELGYSYHHRRAGPHAGARLARADKLAAVSARTNRSRPVELPAEETSPPSSTSSDKPPAPAPAPLQPGRAEYPEPEPNRPGSMLLQLIACGSAAGAAGGGSGKCRAEPRRSCGLVSRLSARAGADEEDEDAAAGSADLGRRFGHLAVEDKEYFSGSIVEGAGGRGTPLPASSLKRSNSYNEERRLGVGGIGEDGADEQMEGDGGGVRGRCIPGRKKQPPQK >PAN44855 pep chromosome:PHallii_v3.1:9:4799754:4803250:-1 gene:PAHAL_9G083600 transcript:PAN44855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGGSAAAAAAPGAAGADVLAVEQGSAAATTAQVAAGGAATPARRRNRRRSRRRRDRSAGEAAVAASEKTEAPVSDAISTQGGSALQARCHLEAVAEHLSDSGLQTTAEKITDSNKTRKRDFQVGNKVLKVSAADGAACSCLARHEHSVGQIEASRSKLYKRSDVQFPIYGCTAQGSDYHSKESNFPEMKSPHLSGNLEEVYQNRIFPGRSSLQKSEEYKENENGASLNSSSLMEEKKRSKRRGGKRHNRCKKATPQDSPLPTTADTSGLMPVVSENNTSCLKPKGQRENQDQNKVPITNLVPVDTAKRSEAYGVNLDSDPEKRCERGTSNLDGTFEKMCELGKSTLDYTNMERHGLQEQDALCSSNDHNANYLSPSHLAEAYMEKLKLVFSPGRPFGFPKKKLLILDLNGLLADINGDYHNAHMADAKVRGKLVFRRPYCDDFLNFCALNFELGVWSSRKKENVASVVSIVMRDFKPRLLFCWDMSKCTFTGHKTLENMHKPLVLKELRKLWNKEDPDLPWEEGDYSASNTLLVDDSPYKALRNPPHTAIFPRSYSYLNWNDNSLGPGGDLRVYLQNLAAADDVECFVRNNPFGQPFITESDPHWNFYAQIANKGSAPLTCCA >PAN46218 pep chromosome:PHallii_v3.1:9:10729320:10732905:1 gene:PAHAL_9G171100 transcript:PAN46218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETGRKLLQIDVSSDTVCPWCFVGKKNLERAMEQTKDKYNFEVRWHPFFLNPDAPKEGIRKSDFYKMKFGPVQFERATSRMTEIFRGLGLEYDMSGLTGNTMDSHRLITLAEHQGYDKQNALVEELFQSYFCQGKYIGDKQVLLDVARKVGIEGAEELLEDPSRGVDEVQEELNKYSSAISGVPHFVINGKYQLSGGQPPSVFMRAFEMAAKDKA >PVH31806 pep chromosome:PHallii_v3.1:9:15960775:15962169:1 gene:PAHAL_9G237100 transcript:PVH31806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITAGADPIPVPSATNDPPPTQTNEIRAKPKRKTLFSYYQKARVEAQGQGQNDNDIVDQSPQLDENDSEDVPSPAPAKIRRLNSDASVLNVEHDPGLRCQIWEYPIDEQDQVRKIYIMHGPFQFKKDQYPYSGPEAHPRCFKAHWFKDFPWLEYSPAKDAAFCFPCYLFGKKPSGKVGSDVFTVKGFNT >PAN49693 pep chromosome:PHallii_v3.1:9:62324459:62325490:1 gene:PAHAL_9G468300 transcript:PAN49693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARINMSAAWLVLAVALALLLPSSFCAAEPIKTTPTLFSFRLPLPNGVSGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAHSANYRRIPLCTASVVPSEETESMCGRPLGLQFFAKTGDLYIADTYLGLMKVGPDGGEAEVLATQADGVPFHFVNGLDVDQATGDVYFTDSSTTYPRRFNTEIMMNADATGRLLRYDARSEQVTVLRDGLPYPNGVAVSADRTHVVVAHTVPAQAFRYWLRGPKAEQYELLADLPGYPDNVRRDARGGYWVALNQEKARIDAAAAPAKHLVGVRLRADGMEVEELTAAKGVTLSDVAEKDGKLWLGSVELDYVGLVY >PAN44074 pep chromosome:PHallii_v3.1:9:854688:855095:-1 gene:PAHAL_9G014200 transcript:PAN44074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAVPNSGDGDGGGGERSWFLAAPAGQRKLPLARASLLRGNSGAKPPLAVAGARTDDEDWGWEWRREPDAVGETGAKIRRRRSGSTSATMRQSPEPDAVGEGEPGGRHGGGTILKTCRGFCVNIRIAITNRDPI >PAN52052 pep chromosome:PHallii_v3.1:9:73605989:73606795:-1 gene:PAHAL_9G639200 transcript:PAN52052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTHLVAVAVVLAALVGGAWCGPPKVPPGKNISADCDGKWLEAKATWYGKPTGAGPDDNGGACGYKEVNKAPFNSMGACGNSPIFKDGLGCGSCYEIKCDKPAECSGEPVIVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYPADTKIAFHVEKGCNPNYLALLLKYAAGDGDIVGVDIKEKGAKEYQSLKHSWGAIWRMDTPKPIKGPISIRITSEGGKTLEQEDVIPEGWKPDTLYPSKLQF >PVH31256 pep chromosome:PHallii_v3.1:9:5519840:5520691:1 gene:PAHAL_9G095900 transcript:PVH31256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVVLGLANQRKKSATQMEMKPIRNSLGRNPISDALHRNPGVELNGQGPGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRQVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRCVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNSVGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLVLNVISAYAPQAGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGAANVGYERIHGGFGYGSRNEGGRMF >PAN47239 pep chromosome:PHallii_v3.1:9:16609522:16614070:-1 gene:PAHAL_9G244300 transcript:PAN47239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEFQDGKQEVIEAWYMDDSQEDQRLPHHREPKEFIPLEKLSELGIVSWRLNPDNWENDENLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPERKEYLDKLVKTEGGNQAIEAR >PAN46734 pep chromosome:PHallii_v3.1:9:13635766:13636218:-1 gene:PAHAL_9G211300 transcript:PAN46734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAKLAFLAAAAAVLLLLLAPSRAVARHHHRAPAVSPNKGGGTRAVMTVNGFEKGQEGGGPAACDGHYHSNGDLIAALSTGWFAGGRRCHKPIRITSAHNGRSVVARVVDECDSRHGCRTNVVDTSKAVWDALGLDTNVGVVPVTWSDA >PAN51806 pep chromosome:PHallii_v3.1:9:72369500:72372798:-1 gene:PAHAL_9G622000 transcript:PAN51806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHELCSPSGIQRVHGQSVLLSRISSYSAMNNPVFNNVPPRPYHGMNATVGPSPVFASAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFARTMKDEGVISLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKASKKGGERQFNGLVDVYKKTLASDGIRGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLVGTLQDNFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNGSLDAFKKIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >PAN43953 pep chromosome:PHallii_v3.1:9:449863:453919:-1 gene:PAHAL_9G005800 transcript:PAN43953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGVAAAAAAAAAAAATVTTAVAPPVAPAPAAATAHAAVGNGGAAAAPPPPFLMKTYEMVDDPATDDVVSWGPGNNSFIVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPSLQGNSQPQQPQLQNAPLPACVEVGKFGLEEEIERLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMESRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAVNKKRRLPKQDGGLDSESGSASLDGQIVKYQPMINEAAKAMLRKILKLDASHRFESVGNSDDFLLENYMPAAQGFDSSSSTRNSGVTLAEVPANSGLPYVPASSGLSAICSSSVAPEIQCPVVLDNNSSNQVPNMSVVPPVSKIIAPGSDITLPEFPDLADIVPDDSDIPGGPFGMPGPEFPLTEEGDDSIPIETDEILYNDETQKLPAIVDSFWEQFLVGSPLSVDNDEVDSGVLDTRETPQENGWNKVEHVANLTEQMGLLSPNHRG >PAN44886 pep chromosome:PHallii_v3.1:9:4490532:4492230:-1 gene:PAHAL_9G077500 transcript:PAN44886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSPSPSLLVLAAVAAAVCLAQPGAADLKVGYYASTCPNVEAIVRGVVQQKMQATIRTIGSTIRLFFHDCFVEGCDGSVLIESTPGNQAEKDASDNKSLAFEGFDTVRSAKAAVEAACPDTVSCADVLALATRDAIAMSGGPFFPMELGRLDGLSSTASSVPGQLPEPNQTMDQLLAVFKAHGLNMSDLVALSAAHSVGLAHCSKFSDRLYKHRPGKPTDPTLNPKYARFLESKCPDGGPDNLVLMDQASPAELDNQYYRNLQDGGGLLGSDELLYTDNRTRPMVDALANSTAAFYKAFADAIVRLGRVGVKSGRRGNIRKQCDVFN >PAN49761 pep chromosome:PHallii_v3.1:9:62664756:62667936:-1 gene:PAHAL_9G473600 transcript:PAN49761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQGARNLARRFSRLAAAAETAAAAPRMPAFDHVPLPYDGPSAAEIARKRAEYLSPSLFHFYSKPLNIVEGKRQYLYDEHGRRYLDAFAGIATVCCGHCHPDVVDAITAQARRLQHSTVLYLNHAIADFAEALASKLPGDLKVVFFTNSGTEANELAILMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFNVVQSGVHHAVNPDPYRGAFGSDAEKYARDVQEIIEFGTTGQVAGFISEAIQGVGGIVEVSPGYLPLAYEKVRNAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLKVLEKERLQENAFVVGSYLKDRLRGLQEKHEIIGDVRGTGFILGVELVTDRQLKTPAKEEICHAMEHMKDMGVLVGKGGFYGNVFRITPPLCFSKEDADFFVEVMDVALSKL >PAN50351 pep chromosome:PHallii_v3.1:9:65519343:65522562:1 gene:PAHAL_9G514200 transcript:PAN50351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKALGAVKDQTSISLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAACSRRLSRTRDYVVALKSLMLVHRLLVDGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFFLHERKQGSNAGSSANGPSPRDRWGSPDPYGRRSPSYSSPPGYGGYDDYRERNGSNNDDKRPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYAWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEPEPDMNDIKALPAPEDFKEPEPEKVEEEVKPEPPPQPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKPAMSGGMDPLLLNGMYDQGTVRQHVSAQVTTGSASSVALPAPGQKTQVLALPAPDGSMQTVGGDPFAASLTIPPPSYVQMADLEKKQQFLTQEQMMWQQYQRDGMQGQSSLNKLDRAYNNGLPPNPAMPYGMPMPYNTNPMPMAYTGNPGYYYPTY >PAN47030 pep chromosome:PHallii_v3.1:9:13125457:13127267:1 gene:PAHAL_9G205400 transcript:PAN47030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTVGPSFQKDAADKPDAAAGGVLWPSRACYLVALATAFWAVASILFQPSPFSLPLLPSVTVSQHPPHEQPPPLFAGQALAQSNQTVAADRCAGRYIYVYDLPPRFNDDIVRGCRTLRPWMDMCPYMPNCGMGRPLGAGGGAFPGRGWFATDQFMLDVIVRCRMRRYACLTADPARAAAVFVPAYASLDGGRHLWNGTATRDALAADLVAWLARRPEWRAADGSDHFLAAGRTAWDFLRKTDDDGDWGTKLLNLPAVRNMTALVLEVDPWHRSATIAVPYPTYFHPAAAADVRDWQAKARAAERRWLFSFAGAARPGSNRTVRAEIFQQCGASSRCGMFRCAKGSECESSPGAVMRLFESSVFCLQPRGDTATRRSTFDAVLAGCIPVFFHPDSAYTQYTAHLPPDPASWSVLIMHTDVTDRNVSIEETLAWIPPETVRAMREEVIRLIPRLVYADPRSTRVDFKDAFDIAVEAVLDRVAKRRRGDVVDVEGR >PVH32874 pep chromosome:PHallii_v3.1:9:65318567:65321636:-1 gene:PAHAL_9G511300 transcript:PVH32874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIVVNCHRCPRRLLFLFFCAGNFGDLGFEVGGGAVEVIPMMMRGGRLMCLSSQVLGMRCFNTEIFVSRLSFYTTEEEIKDVFSPFGNVKEARLMRDHQTGRMKGFGFVKYSSQAEAEKAVKAMDGRILRGRLSFVEMARDPKTSRIPPLLKIDHFLL >PAN46412 pep chromosome:PHallii_v3.1:9:11631053:11632763:1 gene:PAHAL_9G184100 transcript:PAN46412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVDPKAAASAHQFTVVIDGVETAVHEGVLRCSGGGTVAVVAPGVLEVTRLQHVVVCGGGGGDVRFARCGYAAAEGCAVASFRRCGAVRVDGARGVAVRRCRSADVERAGAVAIRRCAGAARVRGAGELRVGRCREADVGGCADAAVARCRTARADGCGALALGRCGSADVTRCGAVRVDRCRDASVSGCGAVAVRRGKVSVVEALKPVSPPVYQQAKPVLAPPVEIMSK >PAN47240 pep chromosome:PHallii_v3.1:9:16616173:16618936:-1 gene:PAHAL_9G244400 transcript:PAN47240 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] MAPQAWIVGEKGEDLQGPKEFLPLSKLEDIGVLYWQLDPKKSESEEELAKIRKERGYSYMDLIEICPDKLENYEEKLKNFFTEHMHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFTLDSANYVKLMRLFIGEPVWTTLNRPQEGHPARLGYVKNVMGNTGFALAAH >PAN51674 pep chromosome:PHallii_v3.1:9:71711977:71713452:-1 gene:PAHAL_9G612000 transcript:PAN51674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLGCFGGAKERRRRRKRSPAQSPNGRARAAPRVTPKKVDLDGEVVSAAAPLLATLLELRDSTDDMCLAVVKKKVTFDPNVTTFEAVAIPEEDGEGADPEEDGSSREKDWMLTPECAKSEAFPLNHRYSNCADSDNDSEYEDAEEEEYDEFEDDDEDEEEEDGLDECAIDDEGEEHGLLSIGRSEEEACESLFLLPIRKTPKDSGGQVAATGAAASEAPAVLNSVENLTQWKEAKPSVAAAPKSSDKENVALGQENRMDLLAEPAVATKKKDERPAFSDYSYTPSTPSKQEASVDASLSTWLGSSGTPESNSVRSYSPISREDRPILGALTVEDIKISSAKSSPRRSRSPSPSPDDMPILGTVGAYWNCSAKGADPVTRGGFMRTRTRFGQNFAG >PVH33268 pep chromosome:PHallii_v3.1:9:71710353:71713558:-1 gene:PAHAL_9G612000 transcript:PVH33268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLGCFGGAKERRRRRKRSPAQSPNGRARAAPRVTPKKVDLDGEVVSAAAPLLATLLELRDSTDDMCLAVVKKKVTFDPNVTTFEAVAIPEEDGEGADPEEDGSSREKDWMLTPECAKSEAFPLNHRYSNCADSDNDSEYEDAEEEEYDEFEDDDEDEEEEDGLDECAIDDEGEEHGLLSIGRSEEEACESLFLLPIRKTPKDSGGQVAATGAAASEAPAVLNSVENLTQWKEAKPSVAAAPKSSDKENVALGQENRMDLLAEPAVATKKKDERPAFSDYSYTPSTPSKQEASVDASLSTWLGSSGTPESNSVRSYSPISREDRPILGALTVEDIKISSAKSSPRRSRSPSPSPDDMPILGTVGAYWNCSAKGADPVTRGGFMRTRTRFGQDETVNC >PAN45725 pep chromosome:PHallii_v3.1:9:8403792:8410901:1 gene:PAHAL_9G137600 transcript:PAN45725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGGLLASAGINIGLCVLFLSLYSILRKQPSNVKVYFGRRIAEERNRLRDAFILERFVPSPSWIVKSLRCTEDEILDAAGLDAVVFNRIIVFSIRIFSLAAILCILGVLPLNYFGQDMLHVRIPSASLETFTIGNVQERSRWLWVHCVVLYIISVVACVLLYLEYKHISRLRLCHISRATSNPSHFTVLVRGIPKSSTESFSRTVQGFFTKYHASSYLSHQVVYKVGKVQKIVSGAKKVYRKFRHFKGTAVDQRCRSITFQCCFCGASSNSFQLLPIDFEQESEIPNVNDSSFSSTDEECGAAFIFFKTRYAALVVAKILQTSNPMRWVTSLAPERDDIYWSNLWLPYKQLWIRHISTLGGSIVFMFLFLMPVTFIQGLTQLEQLQQRLPFLRGILEKNYMTQLITGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAISQVIALSRPKEIPMQLARAVPVQATFFTTYVLTSGWASLSSELMQLFALTWNFIRRYILRMEEDDLLYSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLLYFCLSYVVYRNQFLNVYCTKYDTGGLYWPIAHNATIFSLVLTQIICLGVFALKKSPVAAGFTVPLIIFTLLFYQYCRKRHLPLFKTFPAQNLIDMDMEDQQMGRTEDLHHRLHNAYFQFPDTDDIPLEGVHSVGRDEDGSGTSGESSRKESADDELSHPTLDGLPVSRLRNAVRSLGSVLRPQRRELAV >PAN45726 pep chromosome:PHallii_v3.1:9:8405780:8410862:1 gene:PAHAL_9G137600 transcript:PAN45726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVRIPSASLETFTIGNVQERSRWLWVHCVVLYIISVVACVLLYLEYKHISRLRLCHISRATSNPSHFTVLVRGIPKSSTESFSRTVQGFFTKYHASSYLSHQVVYKVGKVQKIVSGAKKVYRKFRHFKGTAVDQRCRSITFQCCFCGASSNSFQLLPIDFEQESEIPNVNDSSFSSTDEECGAAFIFFKTRYAALVVAKILQTSNPMRWVTSLAPERDDIYWSNLWLPYKQLWIRHISTLGGSIVFMFLFLMPVTFIQGLTQLEQLQQRLPFLRGILEKNYMTQLITGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAISQVIALSRPKEIPMQLARAVPVQATFFTTYVLTSGWASLSSELMQLFALTWNFIRRYILRMEEDDLLYSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLLYFCLSYVVYRNQFLNVYCTKYDTGGLYWPIAHNATIFSLVLTQIICLGVFALKKSPVAAGFTVPLIIFTLLFYQYCRKRHLPLFKTFPAQNLIDMDMEDQQMGRTEDLHHRLHNAYFQFPDTDDIPLEGVHSVGRDEDGSGTSGESSRKESADDELSHPTLDGLPVSRLRNAVRSLGSVLRPQRRELAV >PAN45723 pep chromosome:PHallii_v3.1:9:8403792:8410862:1 gene:PAHAL_9G137600 transcript:PAN45723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGGLLASAGINIGLCVLFLSLYSILRKQPSNVKVYFGRRIAEERNRLRDAFILERFVPSPSWIVKSLRCTEDEILDAAGLDAVVFNRIIVFSSCYTQCTTGPADAFIFNSIRIFSLAAILCILGVLPLNYFGQDMLHVRIPSASLETFTIGNVQERSRWLWVHCVVLYIISVVACVLLYLEYKHISRLRLCHISRATSNPSHFTVLVRGIPKSSTESFSRTVQGFFTKYHASSYLSHQVVYKVGKVQKIVSGAKKVYRKFRHFKGTAVDQRCRSITFQCCFCGASSNSFQLLPIDFEQESEIPNVNDSSFSSTDEECGAAFIFFKTRYAALVVAKILQTSNPMRWVTSLAPERDDIYWSNLWLPYKQLWIRHISTLGGSIVFMFLFLMPVTFIQGLTQLEQLQQRLPFLRGILEKNYMTQLITGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAISQVIALSRPKEIPMQLARAVPVQATFFTTYVLTSGWASLSSELMQLFALTWNFIRRYILRMEEDDLLYSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLLYFCLSYVVYRNQFLNVYCTKYDTGGLYWPIAHNATIFSLVLTQIICLGVFALKKSPVAAGFTVPLIIFTLLFYQYCRKRHLPLFKTFPAQNLIDMDMEDQQMGRTEDLHHRLHNAYFQFPDTDDIPLEGVHSVGRDEDGSGTSGESSRKESADDELSHPTLDGLPVSRLRNAVRSLGSVLRPQRRELAV >PAN45724 pep chromosome:PHallii_v3.1:9:8403708:8410900:1 gene:PAHAL_9G137600 transcript:PAN45724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGGLLASAGINIGLCVLFLSLYSILRKQPSNVKVYFGRRIAEERNRLRDAFILERFVPSPSWIVKSLRCTEDEILDAAGLDAVVFNRIIVFSIRIFSLAAILCILGVLPLNYFGQDMLHVRIPSASLETFTIGNVQERSRWLWVHCVVLYIISVVACVLLYLEYKHISRLRLCHISRATSNPSHFTVLVRGIPKSSTESFSRTVQGFFTKYHASSYLSHQVVYKVGKVQKIVSGAKKVYRKFRHFKGTAVDQRCRSITFQCCFCGASSNSFQLLPIDFEQESEIPNVNDSSFSSTDEECGAAFIFFKTRYAALVVAKILQTSNPMRWVTSLAPERDDIYWSNLWLPYKQLWIRHISTLGGSIVFMFLFLMPVTFIQGLTQLEQLQQRLPFLRGILEKNYMTQLITGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAISQVIALSRPKEIPMQLARAVPVQATFFTTYVLTSGWASLSSELMQLFALTWNFIRRYILRMEEDDLLYSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLLYFCLSYVVYRNQFLNVYCTKYDTGGLYWPIAHNATIFSLVLTQIICLGVFALKKSPVAAGFTVPLIIFTLLFYQYCRKRHLPLFKTFPAQNLIDMDMEDQQMGRTEDLHHRLHNAYFQFPDTDDIPLEGVHSVGRDEDGSGTSGESSRKESADDELSHPTLDGLPVSRLRNAVRSLGSVLRPQRRELAV >PVH32912 pep chromosome:PHallii_v3.1:9:66109331:66120521:1 gene:PAHAL_9G523500 transcript:PVH32912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSADPEAPSPSPSPSPSPVKPSPPSADGKRARRCVQSKLSWGLVKPAGGGEGGGVGGAGSGGAGVAARGGEAEAVPPVPAAEEAAVEEVREEPEKGKKKRKPRKSEPSRKPSSKGEKTGQDPAKDEVISVDESPQKKQRKGRKQVAALKVPNANRKRCKALESPDGPGSCQQLHISQIEAGSPEAAQVLIDVDLMSTPSKAGHVNDNVDVLASQDKSPLVVDLRSEAKMAAEENRRLSSGKKMHPFFASRKMNKGASQDHDVINIEDVHGVCDFERDPPFYPIHVVYQLEASMLIHWSNWIITDLSSLDTDAVAPQKSVSFFEGLVKPLTIETTCKRHSNQLAEPILSECTTSGMAFPSFSDKQSEHSCPLDVINVDNYLVSNASCQASLLDLHGWPEVPQNGCEPACYLWTDKYRPETAAQVCGNSEHVKFLAEWLRGWDERGHKIGAANGDTNDSSYQDESDADSSDNASDCENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEVIDPLRDEDSSDPDSGTPDRTEYQNSASRATRVVIDCDQQKSPVGYCSGSKVNDEAPKQVVNKTLILFEDVDTVFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQLALDFKYPSNSELLSHVAMICKSEGVDVTVPQLKHVIDICLGDIRRTVMLLQFWHQGKQQSTERPNECLCGPFSLDLDAVHSTVPKMLPWEFPCKLSETVYMEVDKTILVAEEKKKQMEVSYLEGLQLQVTAPLIKGRSTAKTRKAKKSKLKCGHSAEHNDISPCKNDLDDFHDLPDIPLPSDQQRKRNRCGSLLLSESDDDPADVHTEKHDIFTVTEVGFFPQPSEAPHMHSQGVPNQFIFPIESRETFGMADSFKNPPESNMSGSISQVCDTFMSQGVSCVPESSFIVEGTSASISDDDFLSRAVSNDLSTFHDSGTYTAFRTVLEDNDNAKNAMAEQQKDVEDVIGETSEAYVESFGRNEQASCSTAGFQLMDECSRAESIWLLSGKKSNDSCKVEQVQDTWNRLRSCCPELSCEANHNKAASGALKLASGVSDLISELDLMLSRCYPLTKDMLDPPSTPCAEPDDFSCYSNQVEMGSIYAQHALCIFSRKSQDIDGGSLDLSQELLLASTAAVSLGKIISSGLTKNCGSANVSHIKNPTNCISKRRERQVLLCGTLSPVIPPKLLQSLRGPAFVDYVSSIGQISRLENLRLSENKGINKQRRARQSRHYLSSGTLLLSPEDVVLLAESGCFGCRREKVIEQAPYIG >PVH32913 pep chromosome:PHallii_v3.1:9:66109597:66119585:1 gene:PAHAL_9G523500 transcript:PVH32913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSADPEAPSPSPSPSPSPVKPSPPSADGKRARRCVQSKLSWGLVKPAGGGEGGGVGGAGSGGAGVAARGGEAEAVPPVPAAEEAAVEEVREEPEKGKKKRKPRKSEPSRKPSSKGEKTGQDPAKDEVISVDESPQKKQRKGRKQVAALKVPNANRKRCKALESPDGPGSCQQLHISQIEAGSPEAAQVLIDVDLMSTPSKAGHVNDNVDVLASQDKSPLVVDLRSEAKMAAEENRRLSSGKKMHPFFASRKMNKGASQDHDVINIEDVHGVCDFERDPPFYPIHVVYQLEASMLIHWSNWIITDLSSLDTDAVAPQKSVSFFEGLVKPLTIETTCKRHSNQLAEPILSECTTSGMAFPSFSDKQSEHSCPLDVINVDNYLVSNASCQASLLDLHGWPEVPQNGCEPACYLWTDKYRPETAAQVCGNSEHVKFLAEWLRGWDERGHKIGAANGDTNDSSYQDESDADSSDNASDCENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEVIDPLRDEDSSDPDSGTPDRTEYQNSASRATRVVIDCDQQKSPVGYCSGSKVNDEAPKQVVNKTLILFEDVDTVFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQLALDFKYPSNSELLSHVAMICKSEGVDVTVPQLKHVIDICLGDIRRTVMLLQFWHQGKQQSTERPNECLCGPFSLDLDAVHSTVPKMLPWEFPCKLSETVYMEVDKTILVAEEKKKQMEVSYLEGLQLQVTAPLIKGRSTAKTRKAKKSKLKCGHSAEHNDISPCKNDLDDFHDLPDIPLPSDQQRKRNRCGSLLLSESDDDPADVHTEKHDIFTVTEVGFFPQPSEAPHMHSQGVPNQFIFPIESRETFGMADSFKNPPESNMSGSISQVCDTFMSQGVSCVPESSFIVEGTSASISDDDFLSRAVSNDLSTFHDSGTYTAFRTVLEDNDNAKNAMAEQQKDVEDVIGETSEAYVESFGRNEQASCSTAGFQLMDECSRAESIWLLSGKKSNDSCKVEQVQDTWNRLRSCCPELSCEANHNKAASGALKLASGVSDLISELDLMLSRCYPLTKDMLDPPSTPCAEPDDFSCYSNQVEMGSIYAQHALCIFSRKSQDIDGGSLDLSQELLLASTAAVSLGKIISSGLTKNCGSANVSHIKNPTNCISKRRERQVLLCGTLSPVIPPKLLQSLRGPAFVDYVSSIGQISRLENLRLSENKGINKQRRARQSRHYLSSGTLLLSPEDVVLLAESGCFGCRREKVIEQAPYIG >PVH32911 pep chromosome:PHallii_v3.1:9:66109331:66120522:1 gene:PAHAL_9G523500 transcript:PVH32911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSADPEAPSPSPSPSPSPVKPSPPSADGKRARRCVQSKLSWGLVKPAGGGEGGGVGGAGSGGAGVAARGGEAEAVPPVPAAEEAAVEEVREEPEKGKKKRKPRKSEPSRKPSSKGEKTGQDPAKDEVISVDESPQKKQRKGRKQVAALKVPNANRKRCKALESPDGPGSCQQLHISQIEAGSPEAAQVLIDVDLMSTPSKAGHVNDNVDVLASQDKSPLVVDLRSEAKMAAEENRRLSSGKKMHPFFASRKMNKGASQDHDVINIEDVHGVCDFERDPPFYPIHVVYQLEASMLIHWSNWIITDLSSLDTDAVAPQKSVSFFEGLVKPLTIETTCKRHSNQLAEPILSECTTSGMAFPSFSDKQSEHSCPLDVINVDNYLVSNASCQASLLDLHGWPEVPQNGCEPACYLWTDKYRPETAAQVCGNSEHVKFLAEWLRGWDERGHKIGAANGDTNDSSYQDESDADSSDNASDCENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEVIDPLRDEDSSDPDSGTPDRTEYQNSASRATRVVIDCDQQKSPVGYCSGSKVNDEAPKQVVNKTLILFEDVDTVFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQLALDFKYPSNSELLSHVAMICKSEGVDVTVPQLKHVIDICLGDIRRTVMLLQFWHQGKQQSTERPNECLCGPFSLDLDAVHSTVPKMLPWEFPCKLSETVYMEVDKTILVAEEKKKQMEVSYLEGLQLQVTAPLIKGRSTAKTRKAKKSKLKCGHSAEHNDISPCKNDLDDFHDLPDIPLPSDQQRKRNRCGSLLLSESDDDPADVHTEKHDIFTVTEVGFFPQPSEAPHMHSQGVPNQFIFPIESRETFGMADSFKNPPESNMSGSISQVCDTFMSQGVSCVPESSFIVEGTSASISDDDFLSRAVSNDLSTFHDSGTYTAFRTVLEDNDNAKNAMAEQQKDVEDVIGETSEAYVESFGRNEQASCSTAGFQLMDECSRAESIWLLSGKKSNDSCKVEQVQDTWNRLRSCCPELSCEANHNKAASGALKLASGVSDLISELDLMLSRCYPLTKDMLDPPSTPCAEPDDFSCYSNQVEMGSIYAQHALCIFSRKSQDIDGGSLDLSQELLLASTAAVSLGKIISSGLTKNCGSANVSHIKNPTNCISKRRERQVLLCGTLSPVIPPKLLQSLRGPAFVDYVSSIGQISRLENLRLSENKGINKQRRARQSRHYLSSGTLLLSPEDVVLLAESGCFGCRREKVIEQAPYIADDLSWAQNPHVKI >PVH32910 pep chromosome:PHallii_v3.1:9:66109331:66120503:1 gene:PAHAL_9G523500 transcript:PVH32910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSADPEAPSPSPSPSPSPVKPSPPSADGKRARRCVQSKLSWGLVKPAGGGEGGGVGGAGSGGAGVAARGGEAEAVPPVPAAEEAAVEEVREEPEKGKKKRKPRKSEPSRKPSSKGEKTGQDPAKDEVISVDESPQKKQRKGRKQVAALKVPNANRKRCKALESPDGPGSCQQLHISQIEAGSPEAAQVLIDVDLMSTPSKAGHVNDNVDVLASQDKSPLVVDLRSEAKMAAEENRRLSSGKKMHPFFASRKMNKGASQDHDVINIEDVHGVCDFERDPPFYPIHVVYQLEASMLIHWSNWIITDLSSLDTDAVAPQKSVSFFEGLVKPLTIETTCKRHSNQLAEPILSECTTSGMAFPSFSDKQSEHSCPLDVINVDNYLVSNASCQASLLDLHGWPEVPQNGCEPACYLWTDKYRPETAAQVCGNSEHVKFLAEWLRGWDERGHKIGAANGDTNDSSYQDESDADSSDNASDCENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEVIDPLRDEDSSDPDSGTPDRTEYQNSASRATRVVIDCDQQKSPVGYCSGSKVNDEAPKQVVNKTLILFEDVDTVFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQLALDFKYPSNSELLSHVAMICKSEGVDVTVPQLKHVIDICLGDIRRTVMLLQFWHQGKQQSTERPNECLCGPFSLDLDAVHSTVPKMLPWEFPCKLSETVYMEVDKTILVAEEKKKQMEVSYLEGLQLQVTAPLIKGRSTAKTRKAKKSKLKCGHSAEHNDISPCKNDLDDFHDLPDIPLPSDQQRKRNRCGSLLLSESDDDPADVHTEKHDIFTVTEVGFFPQPSEAPHMHSQGVPNQFIFPIESRETFGMADSFKNPPESNMSGSISQVCDTFMSQGVSCVPESSFIVEGTSASISDDDFLSRAVSNDLSTFHDSGTYTAFRTVLEDNDNAKNAMAEQQKDVEDVIGETSEAYVESFGRNEQASCSTAGFQLMDECSRAESIWLLSGKKSNDSCKVEQVQDTWNRLRSCCPELSCEANHNKAASGALKLASGVSDLISELDLMLSRCYPLTKDMLDPPSTPCAEPDDFSCYSNQVEMGSIYAQHALCIFSRKSQDIDGGSLDLSQELLLASTAAVSLGKIISSGLTKNCGSANVSHIKNPTNCISKRRERQVLLCGTLSPVIPPKLLQSLRGPAFVDYVSSIGQISRLENLRLSENKGINKQRRARQSRHYLSSGTLLLSPEDVVLLAESGCFGCRREKVIEQAPYIG >PVH31998 pep chromosome:PHallii_v3.1:9:29815614:29815814:-1 gene:PAHAL_9G286700 transcript:PVH31998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEPVAVSAVLPEALGKSEERALILGWAYYLYAFSSYPLHTWLPSVYRRHDNWYTRGASFPVLSY >PAN48783 pep chromosome:PHallii_v3.1:9:57381683:57386520:-1 gene:PAHAL_9G404900 transcript:PAN48783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGPAHPASPPAAAAAMAAGGQPLVVTLNCLDDPSLEQDALSGAAAVEHAPLSALSAGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSSSPSAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFRMSVLYFDPLYEATGKIKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGGCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAIAILQSFLYDGVVPNNVISDEDEEISEVGYEDDQFGKQEKDHALQVCDGEQQTADSQSTTEYDKRRAISQPEEPQASAQSHSIGSRSEGRRSRSGKKGKKRPARRRSQQKMDELSTLEGGSNYSSRRDDDNQVLSSSSRFASPEDSKNKQKSSAESPMEIISENKLPAGLGRKLPEKLKEGFVIALKARDNSGFYVSRQRVAGDGWYLDVIPNATKRDPAAQFLVTFRNKDTMGLRSFVAGGKLLQANNKMEFVFASHSFDVCESWMLDGSLSECCKLINRKNSSAVLEVHFEIIGAPSEEDGVIRWLD >PAN43956 pep chromosome:PHallii_v3.1:9:455432:461581:-1 gene:PAHAL_9G006000 transcript:PAN43956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKHKDPAPEAAGGASPAAAIRSLFSADNPFRRKPSTEEPPAAAAAPPATRPRKHPSPDAEAVEPSSRKKKRKEEGPQRKRKRDEVEAGRGRRSGAGKEKPPSVGEKRKAPDDAAAAAGGAGEDDEETFDDESKLLRTVFVGNLPLRTKRKVLTKEFAAFGEIESVRIRSVPLVDTKLTRKGAVLQGKVNELVDNVHAYIVFKDEQSARTALSHNMALFGGNHIRVDMACPPRKKLRGEGPLYDRKRTVFVGNLPFDVKDEELYQLFCGSSGSEGDVEAIRVIRDPNSSLGKGIAYVLFKTREAANAIVRKREMKIRDRSLRLTHAKQVDTTPKKTSEVRKKKRVPKHKEVSTPGSKSNEGSDKAKRKASALSYQGLRSSKSGVVKKVKVNQRPSIQGKQSKTNETGASARKGKRPAVAARKAKQLAKKRKLDASTPENTHRSKKPRK >PAN49075 pep chromosome:PHallii_v3.1:9:59037283:59039142:1 gene:PAHAL_9G423900 transcript:PAN49075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTDLHFVMMPLMAQGHVLPMVDLARLIAGHGARVTLVLTPVNAARNRTILENVARAGLAVDVAEIPFPGNAVGLPEGFESLDMVTDISLGIRFYQAWDLLAEPLEAYLRSLPRRPDCLIADACGSWTAGVTRRLGVPRLILPVTSAFHLLATHNLITHGTYDRAADDFEPFEVPNFPVRVVVNRAASLGLPHMEKHQREMIEAVATADGVFINTCTDLEGVFVERYAEAISRKVWAVGPLCLFNTAAAGTMADRENRAAMDAERTVSWLDARPPASVLYVNFGSMARLFPLQVAELAAGLEASRRPFIWVVKETETAGIDAEFEARVKDRGQIICGWAPQMTILSHPSVGGFLTHCGWNSVVEAVSHGLPLLTWPHFHDQFLIETLVVDVLGVGVRVGVKVPTTHIGLVKPGQLLEVQVGRDHVERAVAEVMDEGPAGAARRARVKEHADKVRATAEGGSRDTNVKNMIGHVIELVCKSKEKKMDDADGKIPVA >PVH32083 pep chromosome:PHallii_v3.1:9:34713761:34713871:-1 gene:PAHAL_9G306900 transcript:PVH32083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein I [Source:Projected from Arabidopsis thaliana (ATCG00080) UniProtKB/TrEMBL;Acc:A0A1B1W4S2] MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >PAN50422 pep chromosome:PHallii_v3.1:9:65860667:65864816:-1 gene:PAHAL_9G518800 transcript:PAN50422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT2G39840) UniProtKB/TrEMBL;Acc:A0A178VUA1] MAAAPAAGGQGGGGMDAALLDDIIRRLLEVRTARPGKQVQLSEAEIRQLCTVSRDIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNTLPVAALIDDKILCMHGGLSPDLTHLDEIKNLQRPTDVPDQGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMGNKM >PAN45762 pep chromosome:PHallii_v3.1:9:8614384:8616632:1 gene:PAHAL_9G140400 transcript:PAN45762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAHHLLHAGGGAGGQRQLLAPHAGWAWAAGDAACREPPASAARQGQRRPVTGQQQQHRFQHPCAATPVAAAGPQLVAPPGRYAPGPQLCAADASESGVTFGGGGGARQEALAAAAAATRKRKRAGEGQAAQALGIAAADVAAHFQQQLVDVDGLVLQHTSKMWVELREQRRRHAGQVVAAVEAAAAKRLRAKGEEIERIGRLNWALEERVKSLYVEAQVWRDLAQSNEAAANALRGELQQALDAQQARCGVVLAGDAESCCCGENDVAGGTGAVNEGEEDEAGTTSGRRMCTMCGEGAAEVLLLPCRHLCACAPCAGAARACPACGCAKNGSVCVNFS >PAN48186 pep chromosome:PHallii_v3.1:9:53517246:53522130:1 gene:PAHAL_9G366100 transcript:PAN48186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGRGGGANRPAWLQQYELVGKIGEGTYGLVFLARLKPAHPAPGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNAPINPYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKALCDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKNTPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPWWQNDQQHIQGHKYENPGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQPGEKIVQYPVRPVDTTTDFEGTTSLQPTQAPSGNAAQGQSVSRSMPRQMPQQPMVGMPRVAAGTNMAAFNAASQAGIAGLNPGNIPMQRGAGGQSHPHQLRRKADQGMMQNPGYPQQKRRF >PAN51115 pep chromosome:PHallii_v3.1:9:69248095:69252491:1 gene:PAHAL_9G571100 transcript:PAN51115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIESFRVESPNVRYGPSEIESEYRYDTTELVHEGKDGASRWVVRPKSVKYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIHAPFKSLLPMVNPDDIVFGGWDISNMNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQVDQIIKDIREFKEKNKVDKVVVLWTANTERYSSVCAGLNDTVENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >PVH31976 pep chromosome:PHallii_v3.1:9:26325338:26325778:-1 gene:PAHAL_9G278700 transcript:PVH31976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQQKSIHIPLSLKKPKILCYNCSVEVLGGTVDEDGCKLITVFVEAYVFVLL >PAN46643 pep chromosome:PHallii_v3.1:9:12787441:12794653:-1 gene:PAHAL_9G201000 transcript:PAN46643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDARCVREESLLDRSAGAAMEEAGGEQRRHHPLGVFFRDARLAFGWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDAMSNGRDKDKINQENKRAVSDSEMEELISPEEASATTSKSSFETDSCEVSIEQKRKNIPSVSTALLLGGVLGLLETLLLVLSAKPILGYMGVTPDSAMMKPALQYLVLRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDAINIVLDPIFMFVFQYGVRGAAIAHVISQYFIASILLWRLWLHIDLLPPSLNHLQFGRFLKNGFLLLARVIAATCCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRVLQLALVLGLLLSVLLGIGLRMGSRLFTSDQGVLHHIYIGIPFVCLTQPINALAFVFDGINYGASDFGYAAYSMVLVAIVSIICILTLASYSGFTGIWVALVIYMSLRMFAGFWRIGTARGPWAFLRS >PAN46642 pep chromosome:PHallii_v3.1:9:12787774:12794119:-1 gene:PAHAL_9G201000 transcript:PAN46642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDAMSNGRDKDKINQENKRAVSDSEMEELISPEEASATTSKSSFETDSCEVSIEQKRKNIPSVSTALLLGGVLGLLETLLLVLSAKPILGYMGVTPDSAMMKPALQYLVLRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDAINIVLDPIFMFVFQYGVRGAAIAHVISQYFIASILLWRLWLHIDLLPPSLNHLQFGRFLKNGFLLLARVIAATCCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRVLQLALVLGLLLSVLLGIGLRMGSRLFTSDQGVLHHIYIGIPFVCLTQPINALAFVFDGINYGASDFGYAAYSMVLVAIVSIICILTLASYSGFTGIWVALVIYMSLRMFAGFWRIGTARGPWAFLRS >PVH32505 pep chromosome:PHallii_v3.1:9:58321383:58323884:1 gene:PAHAL_9G415400 transcript:PVH32505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGQQQPPVGVPPPQGYPGKDAYPPAGYPPAGYPPPAQGYPPQGYPPAGYPPQQGYPQQGYPPPYAQPPPQQRPQQSSGGPSFMEGCLAALCCCCLLEACF >PAN52047 pep chromosome:PHallii_v3.1:9:73564312:73572684:-1 gene:PAHAL_9G638600 transcript:PAN52047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLHRHRSSDRAGERYDFRFSNFRAVQVPAVSDRLFLSIVSVDSGKTIAKSSKAASRSGICQWPDTVLEPIWFSKDEVSKEYEECQYKIIVCLGSTKSGILGEIFLNLSNFLNLVDPTAISLPLKRCNSGTVLQLKVQCLGTKSKLSGVRSLRDMSPRLEDRSPTPTNDDMDNRSDCSDSMFNRGVHSSSENHLGATYQDEPGNRETSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYVGRQDSASSHASYVSAGRGDDGFRSNNSSFSSRASGPTMLQGSTPKTFANGLSQLSMGASDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLELLKKECSEKSKQQAELAVELSAAQAERDSYRHEIEELKSSLQDVNTRQTITGTPKRTDWIDLQKELEEEVKYLKESNADLTIQVNRTQEANIELLSILQELEETIEEQRVEISKISNVKQTADPQNGLLVKEDTEWAKKLSTKEDEIKMLREKLDHALNVGNAGGAGSSAIYLELEKENEILRAKIQELEKDCSELTDENLELIYKLKDNEVTKGQGPHISNSNDLQFEKLTSRIHQLEEELRNKEILRDDSFSEASMSNVDELQRKCADLELKLLKFRSQTCELEEKFHKSQDDLEQRNIELSELRRKMNGFHSTESEVSESGGTGKYQSRTADLEDTESETDMLKVRFELQLQENDNLRRSKVEMENFISEIQAEKNQLEQRLSASLKESSITSKCLDEVRQDIIVLSSSIDSHVSANKVLERKIIELESCKAELELHISELEQENIELSERISGLEAQLTYLTNEKESSELQMHDSRSLIVNLKDKVERQQSEMESQRLEFKQKQQESQRRLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNLIADLKKQKLELHGHLTQKEQELDESKKRNFDFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEERINRAHFMLNKIENEKTLEVENLEREVISLTAQVSSTHEERENATLDAIREVSVLRADKAKLEASLQDVSAQLRHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDMLRKATNELELKLKSSDYEKQQMLEEISGLNLQVQKIMNLQDEVFKLHSSLDEAKFEKGKLEELLRLVTEECEELKAQKAMLTDKISDMQETLKNGEEERRSRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKLQSLEQENEDLTRRVQVMEKGFEQMPHIKEENLGNQETGGDDQTAIQSKIQVLETKLAQALEENKLYRSQQKSPMPEGQSAGGDGKEGNTDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAVKKGRWF >PVH33377 pep chromosome:PHallii_v3.1:9:73565135:73571322:-1 gene:PAHAL_9G638600 transcript:PVH33377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYGSTKSGILGEIFLNLSNFLNLVDPTAISLPLKRCNSGTVLQLKVQCLGTKSKLSGVRSLRDMSPRLEDRSPTPTNDDMDNRSDCSDSMFNRGVHSSSENHLGATYQDEPGNRETSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYVGRQDSASSHASYVSAGRGDDGFRSNNSSFSSRASGPTMLQGSTPKTFANGLSQLSMGASDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLELLKKECSEKSKQQAELAVELSAAQAERDSYRHEIEELKSSLQDVNTRQTITGTPKRTDWIDLQKELEEEVKYLKESNADLTIQVNRTQEANIELLSILQELEETIEEQRVEISKISNVKQTADPQNGLLVKEDTEWAKKLSTKEDEIKMLREKLDHALNVGNAGGAGSSAIYLELEKENEILRAKIQELEKDCSELTDENLELIYKLKDNEVTKGQGPHISNSNDLQFEKLTSRIHQLEEELRNKEILRDDSFSEASMSNVDELQRKCADLELKLLKFRSQTCELEEKFHKSQDDLEQRNIELSELRRKMNGFHSTESEVSESGGTGKYQSRTADLEDTESETDMLKVRFELQLQENDNLRRSKVEMENFISEIQAEKNQLEQRLSASLKESSITSKCLDEVRQDIIVLSSSIDSHVSANKVLERKIIELESCKAELELHISELEQENIELSERISGLEAQLTYLTNEKESSELQMHDSRSLIVNLKDKVERQQSEMESQRLEFKQKQQESQRRLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNLIADLKKQKLELHGHLTQKEQELDESKKRNFDFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEERINRAHFMLNKIENEKTLEVENLEREVISLTAQVSSTHEERENATLDAIREVSVLRADKAKLEASLQDVSAQLRHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDMLRKATNELELKLKSSDYEKQQMLEEISGLNLQVQKIMNLQDEVFKLHSSLDEAKFEKGKLEELLRLVTEECEELKAQKAMLTDKISDMQETLKNGEEERRSRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKLQSLEQENEDLTRRVQVMEKGFEQMPHIKEENLGNQETGGDDQTAIQSKIQVLETKLAQALEENKLYRSQQKSPMPEGQSAGGDGKEGNTDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAVKKGRWF >PAN48665 pep chromosome:PHallii_v3.1:9:56784520:56787628:1 gene:PAHAL_9G397700 transcript:PAN48665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSGGRKLPFFTRSSSSSSSSKRNRSARRLPSLPKQDNAARALLASPSDASPSATPATAAARQTAQPPPPISVSAGAGGVVSGKVGKKKGSARLWMRLDRWGTSEIVELDKASIIRRAGLPPRDLRILGPVFSHSSSILAREKAMVINLEFIRAIVTAEEVLLLDPLAHEVLPFVDQLRQHLPLKSLVGGNNGGCAPDGNGEKQDGSPGGQLPCLNEATGAEHELPFEFQVLEVALEIVCSSLDLSVADLERHATPVLDELTKNVSTRNLERVRSLKSLLTRLLARVQKVRDEIEHLLDDNEDMEHLYLTRKQVQNQQVETIMSSATSNSIVPAGTGVARLNSSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIATNTYIAGAFAMNIPSTLYSITDGSLFWPFVGGTSSGCFVIFIVLLGYAWWKKLLGP >PAN51376 pep chromosome:PHallii_v3.1:9:68520155:68521758:-1 gene:PAHAL_9G559800 transcript:PAN51376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGSKFGLLDESLLDFSELCTLMSSLKVFKFQDLSCASMLGHVSGRLTTVSRRLGDALGDVERRLISPQQAFWVNVDVAHAAAFAPHAVGAAARALLWGAHAEHHEVLRVQRRDGGARQLRADGVHVEAARAGAVVHAADVRLADVAAAARFAVANGHLERERVLAVEEEPRGPGRRRAGSVQVEVSCAAAVAGAGARAAAAVAHEAAVAAAAPAEVDEDQMVLLVGAVPDRSGHGELGKATATLALQCDADEVASWFVFFVYLMAR >PVH30980 pep chromosome:PHallii_v3.1:9:1445005:1445669:1 gene:PAHAL_9G025500 transcript:PVH30980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLRGGMRSDDLCSPCAGQHARHLYPGSEPSSPATTARGGGCFLHENADVDDDDTASTSRSWWTRSSWAFLNDPPKEEVLLGRAQMSFACDQFHAARIVTGNVNA >PAN46605 pep chromosome:PHallii_v3.1:9:12623045:12626883:1 gene:PAHAL_9G197000 transcript:PAN46605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPLPVRPHRDGASRSAGQRVPSPLAAADLRPLSLSSHANRPTLRASHCHRRAPPASASTPPVPRPPPLFSSQGRRLCSRRRLARSRPATRRRIPSRGGPGLLGRMGSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVIALGGVIFLISLIGFLGAWKNISCLLWTYLIMLFVVLVAIMVFTVLAFIITNTGTGHVVPGARYKEYRLQDYSSWFVKQLNNTEKWTHLRSCLVKSDDCNNLSKRYKTLKQYKLAELTPMESGCCRPPAECGYPALNASYFDLSYHPVSTNIDCKLYKNARSVRCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILSFVYFVGCCARRNAGGSDAKGSGR >PVH32425 pep chromosome:PHallii_v3.1:9:56652353:56655510:1 gene:PAHAL_9G395800 transcript:PVH32425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWFYRKGPSGFSGTSTAEEVTAGVDGRSLVAVITGASSGIGLETARVLTLRGVHVVMPVRNVAAGLAVKESIVANIPGARIDVLELDLSSMASVRRFASEFESLNLPLNILINNAGVLTRNCSCSCDGLELHFATNHIAFGLNAGHFLLTNLLLENMKSTCRDSGTEGRIVNVTSAGHAMTYPEGICFDKIRDPSGLNDFIAYGQSKLANILHSNELSRIFKEEGVNISANAVHPGVIATNLFRGRTIVAAFLNSIGRIMCRSVEQGAATTCYVAMHPQVKGISGKYFADCNISSPSVQASDAELAKKLWQFSLQTVSA >PVH32426 pep chromosome:PHallii_v3.1:9:56652353:56655510:1 gene:PAHAL_9G395800 transcript:PVH32426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRNVAAGLAVKESIVANIPGARIDVLELDLSSMASVRRFASEFESLNLPLNILINNAGVLTRNCSCSCDGLELHFATNHIAFGLNAGHFLLTNLLLENMKSTCRDSGTEGRIVNVTSAGHAMTYPEGICFDKIRDPSGLNDFIAYGQSKLANILHSNELSRIFKEEGVNISANAVHPGVIATNLFRGRTIVAAFLNSIGRIMCRSVEQGAATTCYVAMHPQVKGISGKYFADCNISSPSVQASDAELAKKLWQFSLQTVSA >PAN48623 pep chromosome:PHallii_v3.1:9:56652353:56655510:1 gene:PAHAL_9G395800 transcript:PAN48623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWFYRKGPSGFSGTSTAEEVTAGVDGRSLVAVITGASSGIGLETARVLTLRGVHVVMPVRNVAAGLAVKESIVANIPGARIDVLELDLSSMASVRRFASEFESLNLPLNILINNAGVLTRNCSCSCDGLELHFATNHIGHFLLTNLLLENMKSTCRDSGTEGRIVNVTSAGHAMTYPEGICFDKIRDPSGLNDFIAYGQSKLANILHSNELSRIFKEEGVNISANAVHPGVIATNLFRGRTIVAAFLNSIGRIMCRSVEQGAATTCYVAMHPQVKGISGKYFADCNISSPSVQASDAELAKKLWQFSLQTVSA >PAN48624 pep chromosome:PHallii_v3.1:9:56652353:56655510:1 gene:PAHAL_9G395800 transcript:PAN48624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRNVAAGLAVKESIVANIPGARIDVLELDLSSMASVRRFASEFESLNLPLNILINNAGVLTRNCSCSCDGLELHFATNHIGHFLLTNLLLENMKSTCRDSGTEGRIVNVTSAGHAMTYPEGICFDKIRDPSGLNDFIAYGQSKLANILHSNELSRIFKEEGVNISANAVHPGVIATNLFRGRTIVAAFLNSIGRIMCRSVEQGAATTCYVAMHPQVKGISGKYFADCNISSPSVQASDAELAKKLWQFSLQTVSA >PVH32770 pep chromosome:PHallii_v3.1:9:63283880:63284869:-1 gene:PAHAL_9G482200 transcript:PVH32770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLFLRFQLQGPRAEAAITQTPVPSQIPDSESPPFANGALVPVPRVGIGSDFQIEQGFPAITIKLDRRASIPHRPPPLPLLIIHRLDPFKQRSSKRAHKMDHRLPRAVPAVRLSSRLQLATTFGAGPAPRGARRGSRGPPPAMTADVRVVIRRQFPVVGPGGTRIAEKVAVDIALRRRPSRKLRLPESVERALADEVLALVAHPFDRGAVVAARNEICTYVAAACDDQRIVRGGARVLVLIDTFACPVVFRSPPCKPAPQRVVCAPGNLVAPTATQRMDLEITMPTKKQNPYGVIGDRRPKPVVEEAPKLEVFGTMAGWTDSFSIFRS >PVH32327 pep chromosome:PHallii_v3.1:9:54286997:54287693:-1 gene:PAHAL_9G373000 transcript:PVH32327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMPDNPEDVKDVIQKVIRSLLKMDKGPADQPSDVPVIIGYGKLASQTTSGIAALHFKNLNMMKLLKAFLAARVIYGVHQLEHDLEPDRREHTHELECDVNSVVFVQRTKCCIFCFYSACIGNDLHVQSASRAGTLATSIPMTSRSSYNPFMPKDPVAMSYSRHHLQNVHGIHTSHGLVHLHAIYLNLMKTTN >PVH32780 pep chromosome:PHallii_v3.1:9:63423263:63423980:1 gene:PAHAL_9G484600 transcript:PVH32780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRPTRKGERCRGQTSRQGGPRSAMTLPARLPPPAVAAGENGCADVPGRGRPGQEEYIAVGLVSPSMMPPNPSDLSGRSNGRTNERAAPAGPAARAPRRR >PVH31914 pep chromosome:PHallii_v3.1:9:19401887:19402378:-1 gene:PAHAL_9G259700 transcript:PVH31914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPIAAYEVRAPLHWDAEEWDFRYQSEDDESLTDGEDLALLLGAELEEEEDDALWGEDLSLSEEETDSISSEEDPMAGTFLFDRSSDDTSDSCEGADDDDSFTSSSGGDDDDGRSYSSDSGTSIAPPSKHRKTSDVYWW >PVH33070 pep chromosome:PHallii_v3.1:9:68449921:68458037:1 gene:PAHAL_9G558400 transcript:PVH33070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIIKCLVENIVVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPLSSLPDMTAEPPRKDSGECLLNKSFLDTCSSAYGVMPHTQDNLGQPFVSKHFNVIDPLRTNNNLGRSVSKGNYFRIRSAFAYGAKRLRKLLECPKEDLIAELDQFFTNTWIRHGSGSRPDVPIPSLVDVQPLKVVPSVLSNSHRSVTAFKKKVENPKLLANQDNLHADQDYLTEVGHGYPDPSSQTIQKSDLHCRNLPRTVNPSVSHSQHQKVNVAQGNAKVSEQLERNHSAGLMQAERDKRVPNGFFVNDRNGQNRSRFARTRSSPELTDSSAEGFRGRGANVVGMEKPSKVDYGSRRNIMVPEVSSNHSTKSSQDESMSSLNSSHPSAKAVSDSNSVSSSYREDNGFVMNEELPNVSESLDMRHDEQVLVNIMESMKLHGFNGQIQLPMQIPSHLSVAHSHLLAPTGFSQKHLAAVPPANLIGAPWLPNMQFLRGFVPPPTQYIHNPTFAPNVGDGSESGKPVASDASHDTGKSWHEYGVGYSGQFGSEEKDPCIYDIDGKERSSLPNGVHVAPLERQTEFARENNGVDDETYTSMFQHQARSEANGVYSKSSGYVNVPSSHAISSRGKALDASSWDEATVNTTRSSADNWGKRPAFAAPATTTHGKTSWQMGNVTEHLPSEIDDGTRNMTVVPIINEASEIVAGSDSFSTQSRTSQVANDVDPSQISMPNPLFAPFLIGSPQPRQADSSGLTFVPTGPLVPFVVLPYVPGNSDGSGPQFDRSEGIDQLPANIAGRNFTSTESSATSTTSCSTMTEPSGEHKPDILNSDFVSHWHNLQYGRLCQNAHPLGPVLYPFPVPQMYLQGHAAFEGPGRPPAANVNWTQMVPPGQRVFPVMPLQPATERGTGVLHNYGEDAPRYRAGTGTYLPNPKVPYRDRHSNSRNYRGGYNGDRSDYTDKEGSWINSKQRNPNHSYGRSQSERAGMRSDRQANDESQPDRPRRTYRNDSYRHEASSQYLVQGQSFGSTSSMRRQGNTVHGVYTPQSTASNGPSALSGPPGPPFFMVYSYEPGTNHGASSSEPIEFGSLGPLPGADGDDIPRSARQVMHNGFYGQRRGPYRSGSSHSSPDQPSSPQPRR >PVH33069 pep chromosome:PHallii_v3.1:9:68448587:68457684:1 gene:PAHAL_9G558400 transcript:PVH33069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASVRVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKEIWANLVRDALEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPLSSLPDMTAEPPRKDSGECLLNKSFLDTCSSAYGVMPHTQDNLGQPFVSKHFNVIDPLRTNNNLGRSVSKGNYFRIRSAFAYGAKRLRKLLECPKEDLIAELDQFFTNTWIRHGSGSRPDVPIPSLVDVQPLKVVPSVLSNSHRSVTAFKKKVENPKLLANQDNLHADQDYLTEVGHGYPDPSSQTIQKSDLHCRNLPRTVNPSVSHSQHQKVNVAQGNAKVSEQLERNHSAGLMQAERDKRVPNGFFVNDRNGQNRSRFARTRSSPELTDSSAEGFRGRGANVVGMEKPSKVDYGSRRNIMVPEVSSNHSTKSSQDESMSSLNSSHPSAKAVSDSNSVSSSYREDNGFVMNEELPNVSESLDMRHDEQVLVNIMESMKLHGFNGQIQLPMQIPSHLSVAHSHLLAPTGFSQKHLAAVPPANLIGAPWLPNMQFLRGFVPPPTQYIHNPTFAPNVGDGSESGKPVASDASHDTGKSWHEYGVGYSGQFGSEEKDPCIYDIDGKERSSLPNGVHVAPLERQTEFARENNGVDDETYTSMFQHQARSEANGVYSKSSGYVNVPSSHAISSRGKALDASSWDEATVNTTRSSADNWGKRPAFAAPATTTHGKTSWQMGNVTEHLPSEIDDGTRNMTVVPIINEASEIVAGSDSFSTQSRTSQVANDVDPSQISMPNPLFAPFLIGSPQPRQADSSGLTFVPTGPLVPFVVLPYVPGNSDGSGPQFDRSEGIDQLPANIAGRNFTSTESSATSTTSCSTMTEPSGEHKPDILNSDFVSHWHNLQYGRLCQNAHPLGPVLYPFPVPQMYLQGHAAFEGPGRPPAANVNWTQMVPPGQRVFPVMPLQPATERGTGVLHNYGEDAPRYRAGTGTYLPNPKVPYRDRHSNSRNYRGGYNGDRSDYTDKEGSWINSKQRNPNHSYGRSQSERAGMRSDRQANDESQPDRPRRTYRNDSYRHEASSQYLVQGQSFGSTSSMRRQGNTVHGVYTPQSTASNGPSALSGPPGPPFFMVYSYEPGTNHGASSSEPIEFGSLGPLPGADGDDIPRSARQVMHNGFYGQRRGPYRSGSSHSSPDQPSSPQPRSCRCCQATSPQNAR >PVH33068 pep chromosome:PHallii_v3.1:9:68448245:68458013:1 gene:PAHAL_9G558400 transcript:PVH33068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASVRVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKEIWANLVRDALEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPLSSLPDMTAEPPRKDSGECLLNKSFLDTCSSAYGVMPHTQDNLGQPFVSKHFNVIDPLRTNNNLGRSVSKGNYFRIRSAFAYGAKRLRKLLECPKEDLIAELDQFFTNTWIRHGSGSRPDVPIPSLVDVQPLKVVPSVLSNSHRSVTAFKKKVENPKLLANQDNLHADQDYLTEVGHGYPDPSSQTIQKSDLHCRNLPRTVNPSVSHSQHQKVNVAQGNAKVSEQLERNHSAGLMQAERDKRVPNGFFVNDRNGQNRSRFARTRSSPELTDSSAEGFRGRGANVVGMEKPSKVDYGSRRNIMVPEVSSNHSTKSSQDESMSSLNSSHPSAKAVSDSNSVSSSYREDNGFVMNEELPNVSESLDMRHDEQVLVNIMESMKLHGFNGQIQLPMQIPSHLSVAHSHLLAPTGFSQKHLAAVPPANLIGAPWLPNMQFLRGFVPPPTQYIHNPTFAPNVGDGSESGKPVASDASHDTGKSWHEYGVGYSGQFGSEEKDPCIYDIDGKERSSLPNGVHVAPLERQTEFARENNGVDDETYTSMFQHQARSEANGVYSKSSGYVNVPSSHAISSRGKALDASSWDEATVNTTRSSADNWGKRPAFAAPATTTHGKTSWQMGNVTEHLPSEIDDGTRNMTVVPIINEASEIVAGSDSFSTQSRTSQVANDVDPSQISMPNPLFAPFLIGSPQPRQADSSGLTFVPTGPLVPFVVLPYVPGNSDGSGPQFDRSEGIDQLPANIAGRNFTSTESSATSTTSCSTMTEPSGEHKPDILNSDFVSHWHNLQYGRLCQNAHPLGPVLYPFPVPQMYLQGHAAFEGPGRPPAANVNWTQMVPPGQRVFPVMPLQPATERGTGVLHNYGEDAPRYRAGTGTYLPNPVPYRDRHSNSRNYRGGYNGDRSDYTDKEGSWINSKQRNPNHSYGRSQSERAGMRSDRQANDESQPDRPRRTYRNDSYRHEASSQYLVQGQSFGSTSSMRRQGNTVHGVYTPQSTASNGPSALSGPPGPPFFMVYSYEPGTNHGASSSEPIEFGSLGPLPGADGDDIPRSARQVMHNGFYGQRRGPYRSGSSHSSPDQPSSPQPRR >PVH33067 pep chromosome:PHallii_v3.1:9:68448106:68458397:1 gene:PAHAL_9G558400 transcript:PVH33067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASVRVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKEIWANLVRDALEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPLSSLPDMTAEPPRKDSGECLLNKSFLDTCSSAYGVMPHTQDNLGQPFVSKHFNVIDPLRTNNNLGRSVSKGNYFRIRSAFAYGAKRLRKLLECPKEDLIAELDQFFTNTWIRHGSGSRPDVPIPSLVDVQPLKVVPSVLSNSHRSVTAFKKKVENPKLLANQDNLHADQDYLTEVGHGYPDPSSQTIQKSDLHCRNLPRTVNPSVSHSQHQKVNVAQGNAKVSEQLERNHSAGLMQAERDKRVPNGFFVNDRNGQNRSRFARTRSSPELTDSSAEGFRGRGANVVGMEKPSKVDYGSRRNIMVPEVSSNHSTKSSQDESMSSLNSSHPSAKAVSDSNSVSSSYREDNGFVMNEELPNVSESLDMRHDEQVLVNIMESMKLHGFNGQIQLPMQIPSHLSVAHSHLLAPTGFSQKHLAAVPPANLIGAPWLPNMQFLRGFVPPPTQYIHNPTFAPNVGDGSESGKPVASDASHDTGKSWHEYGVGYSGQFGSEEKDPCIYDIDGKERSSLPNGVHVAPLERQTEFARENNGVDDETYTSMFQHQARSEANGVYSKSSGYVNVPSSHAISSRGKALDASSWDEATVNTTRSSADNWGKRPAFAAPATTTHGKTSWQMGNVTEHLPSEIDDGTRNMTVVPIINEASEIVAGSDSFSTQSRTSQVANDVDPSQISMPNPLFAPFLIGSPQPRQADSSGLTFVPTGPLVPFVVLPYVPGNSDGSGPQFDRSEGIDQLPANIAGRNFTSTESSATSTTSCSTMTEPSGEHKPDILNSDFVSHWHNLQYGRLCQNAHPLGPVLYPFPVPQMYLQGHAAFEGPGRPPAANVNWTQMVPPGQRVFPVMPLQPATERGTGVLHNYGEDAPRYRAGTGTYLPNPKVPYRDRHSNSRNYRGGYNGDRSDYTDKEGSWINSKQRNPNHSYGRSQSERAGMRSDRQANDESQPDRPRRTYRNDSYRHEASSQYLVQGQSFGSTSSMRRQGNTVHGVYTPQSTASNGPSALSGPPGPPFFMVYSYEPGTNHGASSSEPIEFGSLGPLPGADGDDIPRSARQVMHNGFYGQRRGPYRSGSSHSSPDQPSSPQPRR >PAN49675 pep chromosome:PHallii_v3.1:9:62164186:62165855:1 gene:PAHAL_9G466100 transcript:PAN49675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPTGFALFKVLDEGKLDKVEDLWKELTTSDSARRVVELKAFNKFENTSDALSAATLIIDSNPSNGLRKFLQKHCEGETLAVADSKLGNAIKEKLVSGAPYLFICL >PVH33191 pep chromosome:PHallii_v3.1:9:70424108:70431390:-1 gene:PAHAL_9G591300 transcript:PVH33191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAGGVPPRRAGGIRVRAPLVESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIIPNKRMSRSSRKERSQTQSPLLPGLPDELAISCLMWVARVEHPNMRLVCKRWNRLLSGNYYYSLRKKFGMAEEWVYVFKRDRDQKLSWYAFDPVNQLWKSLPPLPPEYSEAVGFGCAVLNGCYLYLFGGKDPVHGSMRRVVFYNARINKWLRAPDMLQKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWSCIAEMSTGMVPSIGVVHDGMWFLKGLNSHRQVVSEVYLPASKMWSTTGNEMVTGWRNPSISFRGWLYSADCRDGCKLKVYNRETGSWTRFIDTRNHLGNSRALEAAAFVSLTGKLCIIRNNMSITLIDISDPTTVTEVDSARIWEAFARKGQQRSFMANLWSTITGRNLKTDIMHCQVLQV >PAN50333 pep chromosome:PHallii_v3.1:9:65456657:65458130:1 gene:PAHAL_9G513300 transcript:PAN50333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKAGALYINPKKFGAVAKPCMVEMVAFLNCLALNKQEDDKCVRQKDLLVMCTQTQKGKPKNAAKTINYHLQRLGRDKFL >PAN50332 pep chromosome:PHallii_v3.1:9:65456498:65458194:1 gene:PAHAL_9G513300 transcript:PAN50332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKAGALYINPKKFGAVAKPCMVEMVAFLNCLALNKQEDDKCVRQKDLLVMCTQTQKGKPKNAAKTINYHLQRLGRDKFL >PAN51145 pep chromosome:PHallii_v3.1:9:69421644:69425030:1 gene:PAHAL_9G573700 transcript:PAN51145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHRSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITRRQSFDHIPRWLDELRAHADKNIVIMLVGNKSDLEEQRAVSTEDAKEFAEKENLFFLETSALQATNVESAFQTVLTEIFKIHSKKNMVSEPKSNGAAPAMPGKKVLVPGPAQEIPKSKCCSSM >PAN44204 pep chromosome:PHallii_v3.1:9:1389241:1394025:-1 gene:PAHAL_9G024700 transcript:PAN44204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) UniProtKB/TrEMBL;Acc:A0A178W8A7] MAAASFTAAKFLAPVAARSGGERAPPLPAGASSSSFARALRRGGAHHPRLHTALAVSSDVLAGNKAPQAAPAHPDVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNILGGFAFIGEGIPVATGAAFAAKYRHEVLKESGPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKTHYAARDPITALKKYIIEQNLGTESELKSIEKKIDDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >PAN48584 pep chromosome:PHallii_v3.1:9:56428302:56429194:1 gene:PAHAL_9G392600 transcript:PAN48584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGLVVALNLILFAAGVHGCAPYCGSTYVPEPPAPSSYVPEPPTPTTPATDAHGHRPAGRCPVDALKLEVCASVLGGLVKISLPEDRERCCRLLDGLADIDAAACLCTLLKANILDISLRVPIDISLHLNQCDRRNSPRGLTCPRF >PAN49732 pep chromosome:PHallii_v3.1:9:62530285:62532998:1 gene:PAHAL_9G471600 transcript:PAN49732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAGIRSTKRSSMPPAAVPDDLIDEILLRFPPEKPELLVRAALVCKCWFRLISDPRFRRRFRELHRAAPMLGFFCTERGRWTSRFVPTSSVPLPHAIRGNWRAVDARHGRVLISTSRSSGNDLVVWDPVTGEQHRLPKLPEHMYPPQYLLGFYWTAAVLCAAVEGGCDHFDCHRSPFLVVFVCTGPTEAFAYVYSSETGEWSGPASAQLRSARVHLNVPSALVGNALHFMSGKWGRTKKILKYVLGTQEISLIHLPHKPYNRRELMTMADGSLGFATVDGSKLCLWSMVSGTNASWAQSHTIDLKTIGTLPGSLTIVCCMDGMAAILMGINDQEFFVVNLKSRQIRKIPKGRFAYPVVPFMSFYTPALGAASTSEGPREGSSSA >PAN46739 pep chromosome:PHallii_v3.1:9:13917311:13925857:1 gene:PAHAL_9G215100 transcript:PAN46739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLAAAWPCAILLLLASALRSPAVASSGAAAGRPEWQVLTRANFSSQIRLHPHVLLLVTMPWYGESRALMADIEHLVGSNEELGRLKLMVVYRNFEKLLTDAIGASEGIKVIYYQRSMQFKYQGKLRARDILSSMRHIMSLKHEEAPFEVLHTKEDVETFIESTDKSILLYESCGWFTRLAHGHGGSNQSYEATSSNNHTENVDISGKTLTRESDGPLELVIEDEELTFGGGGQLTGSSWKGGFTLANESVSEKIGNTDDGNRKCTLQKFHQFESFYAKLTAIAREYFLPPEIARFGLITERSLLPSLDVVNEGSLETWFVVIHYLGCTTCSIIVKDGDDLGNILQSHHILGIKEVGADESGGKAIFPANRPSIILFIDRLSHSSKVRDESKFVIKLLRQYVQNNYPFHVSTGVLSSGTSKTRSKVVNSLRNTGISYAHSESGRLSAWASKLMELGDKMSVMVVNDGDNILYRTSSHDSGGNPLYDVLTKLLHKTRPGHRLKKTRINLVAKDVGLNMLSDDSKIQVVESLSAQESEYKRIDNSVATSDNSNDDITEVSVDENTAEETEYIDDGQAPSILEKTPATYPDENDNDLESDVAEVEDQSKSEASDMSPDLQEDVSYNAYSSGEVEGILHKRIAEETVIETLESDERNMHADQEKPVSSNEQDNGSSVLGKKFRKNEDVIYEENTFNLHEGSEESDTRCPHHATCSSSCSPVKDETDFTEHVTSSISDDRFAGSFYFSDGGYRLLKTLTGGSIIPSLVIIDPVQQKHYVFPEEIEYSYASLQNYLDSFMNGSLSSYFRVTSPAISSKELPRPPFVNHDFHEANSIPQLTTDSFCPLVFGSAGCDSKSEVSFSNTENLSSGWNKDVMVLFSNSWCGFCQRAELVVRELHRSFKSFSSYSDSSSANAQDVQVHTEGKNEKYAMNGFPVIYMIDCTSNECHHLLKSAGMEELYPTLLLFPAEKKSAIAYEGGMSVVHLIEFLESHVSNSRHLLEYKGYMWQKRMATQQDAPQAIQFHISDKGSGSVGSDLPNHSDVVTGSILTATDKLGTAVPFDNAKVLIVSSDSHGGFHGLIINKRLSWGIFKNLDSSMQPIKYAPLFYGGPVVVQGYHLVSLSRVTWEGYMQVIPGVYYGNIIATSRVVTRIMSGEQSVDDLWFFFGYSGWGYNQLLDELSEGAWLVSGKPIEDLDWPET >PAN50293 pep chromosome:PHallii_v3.1:9:65254162:65256194:-1 gene:PAHAL_9G510600 transcript:PAN50293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:Projected from Arabidopsis thaliana (AT1G61620) UniProtKB/TrEMBL;Acc:A0A178WN49] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKQEKEEEEEKLMLQKAKELDAFDQQNHGAVPQYHDRSGSQDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEASVKVDAPSTDTVCPEGQEKLKLKSLFPISFTEENADQKSKKSVEKSYMCPSCKSTLTNTMTLVAISTCGHVFCKKCSDKFLVKDKVCLECNKPFKERNLVPLEKGGTGFAAHDERLEARDFKYLGSGSGLGLVKPAPKAY >PAN47455 pep chromosome:PHallii_v3.1:9:31027200:31031219:-1 gene:PAHAL_9G292700 transcript:PAN47455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAEPRAGHLPRIGGLGLEVESVLRARMSRMSISASAGRGRKGPAVPKLGKEEIDLLAMVDKHKQDGQLERLKVYECKAYLRMHKLRLTGKKEVLLIRIREHIEVKNDGEEKYPVSSFVLNCKAKHLEKVIIKCKLCWKKGAPREVKGRLCGQRTNAGRIIKESYGTAKQQHTFTIEILCSKGYKPWPPLHLLLIKGRNLYKDKTMRQPWPDEVERNRVIQEKHERGDLARKSRAARIHEKENKKLLSLNRNRIKDNKIKEQHNMNQKQPQETQQSQNVKSTNILQQRVSEKKDPSPQNGEPGNTRQQHISSKATTSHHNKVFPQKGATRTFKQEFNGHQISSNQHGGSQLKVLSDPTHTQQMFKDHHHGYQQRNEVLPQEVPMRTSRKAFVDHQAPSQHNGGLGKTNHHQISSKHTPSMLKYPQQPPKHQNHNEEDGLQKYDDSSFQLQRKFTQHGSNFHQNARGGHQAHQPQRPRNQDENNAYYTPKCNDSSFQTRGKLTQHANAYQHGSNSHQYAQVNHQTLRPRNQDFNSSDQSYGQDYHNQGYHDYRGITRGQYQPQQSRNQNYYGRRPMTQDQYRTQQNHHQNYNGHRKMNQNQYHPRQNQPLQFLEQQPQLRPCRYYYQDRWCPYGEGCWFSHDI >PVH31463 pep chromosome:PHallii_v3.1:9:9268244:9268537:1 gene:PAHAL_9G151000 transcript:PVH31463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSFLYLSINCRTKKIASMVDLPGMNPNWFWITLVNLLKRCSITLSQSFIVWLISLIPR >PAN44663 pep chromosome:PHallii_v3.1:9:3420167:3428879:1 gene:PAHAL_9G060000 transcript:PAN44663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPHAAPAASKRPFSSTTTSPSPSSSPAPPLMKKAKHPAASSSSAGTTEKNGIHLDAAVAAAAAASGGRTNGEEDTEMALADQDELPTPSGPGSAGVAANLFRKKATLPQPSTSARKPLRIKIGQPKLPKNFEEDTWAILKDAITAIFLKKKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEIHIAEKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLQLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSDSFEKPFLECTSEFYATEGVKYMQQSDIPDYLKHVESRLQEEHERCILYLEANTRKPLIATTEKQLLERHTSAIIEKGFTMLMDANRINDLSRMYNLFQRVNAVELLKLALSAYIRATGQGIIMDEEKDKELVPFLLEFKASLDKILEESFAKNEAFSNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFRQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKDSTGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLIAELYQQLKFPVKPADIKKRIESLIDREYLERDRSNPQIYNYLA >PAN47143 pep chromosome:PHallii_v3.1:9:15893588:15898875:1 gene:PAHAL_9G236500 transcript:PAN47143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEAVVAANGAGRPHAVVVPYPLQGHVIPAVHLALRLAERGFAVTFVNTESVHHQTARALRGTGGGGGGDIFAGVRGGQEGRLDLRYELVSDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRVVADPAATCLVVDTFFVWPATLARRLGVPYVSFWTEPALIFNLYYHMDLLAEHGHFKCKEPRKDTITYIPGVPSIEPGELMSYLQETDTTSVVHRIIFKAFDEARRADYVLCNTVEELEPSTIAALRAEKPFYAVGPIFPAGFARSAVATSMWAESDCSRWLGAHPPGSVLYISFGSYAHVTRRELHEIAGGVLASGARFLWVLRPDVVSSDDPDPLPEGFAAAAGGRGLVVPWCCQVEVLSHAAVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQFTNRRLVAREWRAGVSIGDRGAVAADEVRARIEGVMGGEEGERLRGQVRKLRATLEAAVAPGGSSRSNLDEFVDVLKRRCGGQ >PAN51211 pep chromosome:PHallii_v3.1:9:69711145:69713421:1 gene:PAHAL_9G578400 transcript:PAN51211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLAPPPWPPDRSPLQCGRWRRPEPRLDGPGGCRTRRHIRRRQRRATLRWRRRRRLLRRREGGLRRRRRGARTRARRARLRPPPRTGGLPGLLDNQFSWQVVEQFLGKLGGGSKKSNHNFDPA >PAN47951 pep chromosome:PHallii_v3.1:9:42989404:42991963:1 gene:PAHAL_9G330100 transcript:PAN47951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSPASPAAGPKVPLPTMADIMAASRAQGLHVRLRTVGPLFRVTATRGEGEDAVELGRAEGGVRPWPGGAVLHLDSMRMTRATLSVSDRPLFGLGMFLGAVAVRHGFDAGCKRAELLAIKDTPLYHDKLVRFYTRMGFKVVHEVDGSSITDLAHMLVWGGRGTRMNANIEDLLIKWGKRFRPQD >PAN47950 pep chromosome:PHallii_v3.1:9:42989427:42991963:1 gene:PAHAL_9G330100 transcript:PAN47950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSPASPAAGPKVPLPTMADIMAASRAQGLHVRLRTVGPLFRVTATRGEGEDAVELGRAEGGVRPWPGGAVLHLDSMRMTRATLSVSDRPLFGLGMFLGAVAVRHGFDAGCKRAELLAIKDTPLYHDKLVRFYTRMGFKVVHEVDGSSITDLAHMLVWGGRGTRMNANIEDLLIKWGKRFRPQD >PVH30870 pep chromosome:PHallii_v3.1:9:69039:69392:-1 gene:PAHAL_9G001100 transcript:PVH30870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSINKKKAALDAKIDTSASAHRLHLLEKELEDLEARVQATKHCIQEEKNLIAGSKQEAEILTTELKTDLAQLNSLNKQVVPGADEEDEAVLDEVDRIRLDAIAAIDAFLLQPCPR >PAN45117 pep chromosome:PHallii_v3.1:9:5421670:5423569:-1 gene:PAHAL_9G094500 transcript:PAN45117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASGSSRLPTTKAFQTDSHLPSSSKSGNASLDRIPSLKFPFLWEPKNTHRISRGAEQRAALITLGAASFTPEKKLGRFLSEEVKNIDLLLPLAYEITRTMILRQFGAAQLALERQCWSKIAETIVHQAIVSCQTFTLIGVAGSLVGSVPLFAEGCVGVMKSFFMHFHAMSHTVDRGEIIKLLIEALDMFLMGTALLKFGMGMYIMFYGSQSIQTPAGHANTPHLGAFNLKKLKDGARIRSITQAKTRIGHAILRLLQVGVLEKFKSVPLVTGLDMACFAGAVVASSASVFLLSKLSMGQEQLKQSCA >PAN47939 pep chromosome:PHallii_v3.1:9:33158711:33165771:1 gene:PAHAL_9G302800 transcript:PAN47939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSASASTPRRGGAAVDYVSMSPSPSHTPRSSSSHKPTPRHRDRDRAAPLFNNGGINAAQATQQQESSTPKAATATSKGPGSGGVNVQVVLRCRPLSEEERRTGTPVVITCNDQRREVSVAQNIANKQIDRTFVFDKVFGPKSQQQDVFNHAVVPLVNEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKALNGDLPSDAGVIPRAVKCIFDILEAQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVLVRGLEEELVSSAAEIYRILDRGSAKRKTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATIAPSVHCLEETLSTLDYAHRAKHIKNKPEVNQKMMKSALIRDLYFEMDRIKQELFAAREKNGVYIPREQYLADEAEKKAMSEKLDRLELVLESKDKQLDELQGLYESQKLLSADLSDKIQRLQKTMKETECTLADLEERYMQANDTIKEKQYLIENLLKSEKVLVGEAQTLRSELENTAGDLYGLFSKLERKEKIEDANRSIVQHFHSQLTQDISLLHRTVSTSVYQQESLLNSLEEEMKAFLSSKGKVAGELQEHVRKLKETIDSRIVELHGFANELKHKSQLSFENLNSQVITHTSGLEDCMKGMLVDADQLLVELQNGLSQQEMNFGTFIEQQHEELSRNLERMKSVSATTMNFFRTIDSHALELKKVLEESQMAHQKQLFQLQKKFEVVVADEEKYLMEKVAGLLAESNARKKNVVRDDICSLNTTASEHYDNLQTETTKLQDFTSSMNEQWEAYMQRAEEVFQQNVSSIEQKRCFLAENLEQCKTKVESCSGQWSTAQNLVLALARSHAEATSSLVSDGTEESNQLNARFSSAVMAGFEDNNVSSKYLLCSIDDSLKFDHGICENVKSITMTSRAELHDLQRGHCEKTTVIAGNADTSLGDDYTVDEVTCSTLRRREIKIPSSQSIGELVTPPLEDLVKTFWDSRTPMKLDLNGNGKQSLLGSMTPETPRTPLAAIN >PVH32329 pep chromosome:PHallii_v3.1:9:54288966:54289259:1 gene:PAHAL_9G373200 transcript:PVH32329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDELEGVGEEANVLTPDRRELPRTPWTRNVRWRARGRGFEGNGVLGLGARRTRGGWKCVRRWGGRGCLNLGVISVRALQQEMAAQVGSWPGRPIGR >PAN49239 pep chromosome:PHallii_v3.1:9:60145660:60151041:-1 gene:PAHAL_9G435800 transcript:PAN49239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAGGGGERWCVVTGGRGFAARHLVTMLLRSGEWRVRVADLAPAIALDRDEEEGFLGAALREGQAAYVSADLRDKVQVARAFEGAEVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDACISCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADGEKLVMRANGREGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCAEKTLSSEDGAKIAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSIKIPVSVMMPVAHVVEWTYKKFAKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPLVPLKEGLKRTVESYPHLRAQNQTSISKASVFLGNGNLAKTLLWEDTKQTVTVLLLLAVIYYHLFTCGYTFITAMAKLLSLTALFLFIHGMLPANVFGHKVEKLEASNFHITQVEAHHVAHSISSSWNSLVGALRSLCRGNDWPLFLKVALSLLVVSILSSMSSQAAFKIGTALVFTGFKAYEKWEDTIDSMVGDVCSILLHIGSTKKSSSQKQK >PAN48419 pep chromosome:PHallii_v3.1:9:55165973:55169309:1 gene:PAHAL_9G380900 transcript:PAN48419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEERYEALKELGAGNFGVARLVRDKRTKELVAVKYIERGKKIDENVQREIINHQSLRHPNIVRFKEVCLTPTHLAIVMEYAAGGELFEKICTAGRFSEDESRYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVSDPSKRITIPEIKKHPWFLKNLPREISEWEKANYKDTEPAEPAQAVDEIMRIVQEAKTPGDMSKVVDPALLAEMAALESDEEEADAEDTY >PVH32362 pep chromosome:PHallii_v3.1:9:55496502:55497464:1 gene:PAHAL_9G384200 transcript:PVH32362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEVSALEGSSEEAGRDHSPGPVENNDVNNVEENDNQEEENMLPEDSSELPTEDTKDTSGDESSVDGGSTDNGSEDDPDWDQDDFNSSKQKCVRTEPGDGATRSEKGRVRGSGHYSDTQKGNQEEHANLMKRTLACVDGGTSSQARSARQKTGGKSDVVVEKTTVQTPGSLLHGGERS >PAN49210 pep chromosome:PHallii_v3.1:9:60020108:60023721:1 gene:PAHAL_9G433900 transcript:PAN49210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPLFPRLSPTPPPPLRARDRGLPSPGGRGRSALLAVARAKGKDEASFADRILDYIEGGPKLRRWYGAPDLLPKDGGAEDEEAESPDIEEPRDAVLVTDGDSEIGQMVILALILKRARVKALVKDKRSTEEAFGTYVECMVGDMEDKSFTKKALRGVRAVISPADDGFLSEPIDLKGVEHIVLLSQLAVYRSSGGLQAIMNSKLKKLAERDEEMVLASGIPSTIIRTGSLQSTPGGERGFDFTEGVAAKGRISKEDAATICVEALDGIPRKTLIFEVANGDEKVADWKAWFAEQIKRDEEIQ >PVH32588 pep chromosome:PHallii_v3.1:9:60020108:60023721:1 gene:PAHAL_9G433900 transcript:PVH32588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPLFPRLSPTPPPPLRARDRGLPSPGGRGRSALLAVARAKGKDEASFADRILDYIEGGPKLRRWYGAPDLLPKDGGAEDEEAESPDIEEPRDAVLVTDGDSEIGQMVILALILKRARVKALVKDKRSTEEAFGTYVECMVGDMEDKSFTKKALRGVRAVISPADDGFLSEPIDLKGVEHIVLLSQLAVYRSSGGLQAIMNSKLKKLAERDEEMVLASGIPSTIIRTGSLQSTPGGERGFDFTEGVAAKGRISKEDAATICVEALDGIPRKTLIFETFQLIPLCRLQMVMRRWQTGKHGLQSKSKEMKRFSKNIKLEVFFIC >PAN49920 pep chromosome:PHallii_v3.1:9:63417936:63423001:1 gene:PAHAL_9G484500 transcript:PAN49920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWSKLRNLDAYPKVNEDFYSRTLSGGLITILSSLAILLLFFSEIRLYLYSATESKLTVDTSRGERLHINFDVTFPALPCSLVAVDTMDVSGEQHYDIKHDIIKKRIDHLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEEVRDAYRKKGWALTNVELIDQCKREGFVQRLKDEQGEGCNIHGFVNVNKVAGNFHFAPGKSLEQSFNFLQDLLNLQPESYNISHKINKLSFGEEFPGVVNPLDGVVWTQDNSNGLTGMYQYFVKVVPTIYTDIRGRKIHSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIVDSFVYHGHRAIKKKMEIGKLG >PAN45022 pep chromosome:PHallii_v3.1:9:5048662:5054118:1 gene:PAHAL_9G088100 transcript:PAN45022 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSSSRFSPALQASDLNDFIAPSQDCIISLNKSSSSRRLQIKQKENVVSTKPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFIARINSGKTVIVSVSPQSRASLAAFFGLSQSQVLRKLTALFKSMGVKAVYDTSSSRDLSLIEVCNEFVSRYQKNQSSSGQEAGADLPMISSACPGWICYAEKTLGSYILPYISSVKSPQQAIGAAIKHHVVEKLGLKPYDVYHVTVMPCYDKKLEAVRDDFIFSVDGKEVTEVDSVLTTGEVLDLIQSKPIDFKTLEESSLDRLLTNVDEEGNLYGVSGGSGGYAETVFRHAARAIYKREIEGPLDFRILRNSDFREITLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPAQGQSAKELIQQLESVYTQDVSTSNPFDNPITKRLYDEWLGQPGSENAKKYLHTDYHPVVKSVASQLQNW >PAN48818 pep chromosome:PHallii_v3.1:9:57640521:57642098:-1 gene:PAHAL_9G408200 transcript:PAN48818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDELTLLGFWGSPFVLRVRLALSFKGLSYQYVEEDLKNKSELLLRSNPVHKKVPVLIHNGKPICETQIILQYLDEVYGGNGPSLLPADPYDRARARFWAAYIDDKLLSSFLMTSKGKTDEERAEGRRQSSAAAEDLEEALKECSKGRPFFGGDSVGYLDVVLGGFVPWLRLIDRSTGSKQFDVGKTPLLAAWLEHFGSLDAAKAVMPDLERLVAASKMRQA >PVH32625 pep chromosome:PHallii_v3.1:9:60915277:60917395:-1 gene:PAHAL_9G445800 transcript:PVH32625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNLLWATSKHDVYLVQNYSVMHWSPLLQRGREVLNVAGQLTPTENVKGAGRLSRVQVSTMALEDNLMVAGGFQGELICKYIDKPGVAFCTNLSGNNNSITNAVDIYRAPNGATRVTAANNDCVVRTFDTERYSLLTQFTFPWSVNNTSVCPDGKLMAVLGDSSDCLLTDSQSGKEIARLQGHLDYSFASAWHPDGHVLATGNQDATCRLWDVRNLSESLAVLPGRIGAVRGLRFSPDGRFLAAAEAADFVHVYDAAAGYAGAEQELDLFGEVAGAAFSPDGEVLFVSVADRTYGGVLEFRRRRSYGYLDSCFF >PAN49392 pep chromosome:PHallii_v3.1:9:60914754:60920478:-1 gene:PAHAL_9G445800 transcript:PAN49392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDLRDDLELVGGDYYFDDDDDFDDGFQTGSGGSSGLQHEQMDDTSASDYKEGKDIQGIPWERLNYSRDQYRKMRLKEYKNYQNLTRSRSGLEQECKQVERKDAFYDFQSSTRAVKSTIVHFQLRNLLWATSKHDVYLVQNYSVMHWSPLLQRGREVLNVAGQLTPTENVKGAGRLSRVQVSTMALEDNLMVAGGFQGELICKYIDKPGVAFCTNLSGNNNSITNAVDIYRAPNGATRVTAANNDCVVRTFDTERYSLLTQFTFPWSVNNTSVCPDGKLMAVLGDSSDCLLTDSQSGKEIARLQGHLDYSFASAWHPDGHVLATGNQDATCRLWDVRNLSESLAVLPGRIGAVRGLRFSPDGRFLAAAEAADFVHVYDAAAGYAGAEQELDLFGEVAGAAFSPDGEVLFVSVADRTYGGVLEFRRRRSYGYLDSCFF >PAN49107 pep chromosome:PHallii_v3.1:9:59328609:59329919:-1 gene:PAHAL_9G426800 transcript:PAN49107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANSDLSSSSRPTPPNSIFLLRFLLQHRSLLYISSRFVFPLQSNHCEPSESISAAILQALADCCSR >PAN51411 pep chromosome:PHallii_v3.1:9:70468892:70470630:-1 gene:PAHAL_9G592200 transcript:PAN51411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAQWAVVVAVAAAAAATLAGGDMNADKTECADQLVGLAPCLQYVQGQARAPPPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATRANASHCAQLLHIPPGSKDAAVFSPGGDKGSAAAPAKDNSTATTDSRGLQATNGAGRVSSAATNGVALTALLAGYLLLLVPELSPSSF >PAN48791 pep chromosome:PHallii_v3.1:9:53763257:53767048:1 gene:PAHAL_9G369400 transcript:PAN48791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTISSSGGGSATTAASQNPHLGVNKLGRSIRKATPPPPPQQQQPAARPPQPQPQVYNISKNQFRDIVQQLTAGTPSPSPRRRCSPSPSTSTSSTARRRRSRSPSRPPCASRGSARPQSPPPSRGPRPSTPTTTPCPPTLITATPSSTARRRSSPSPSRSTCPRPARPGPTPRSPRTCASLRTPSSAQPRRALRQPQRLPRPLRLQLGDMRLRRHTLTPSLRILTPRLRILIPRLRRPCPLPGYSLPRAAFSTCSRRRPGRPTRYSRQGFSTSRRSRPTSPRSRRFRGRASLGQGPCRRRRRGSGSRSRRQGSFRHRGLCRSSAQGGEICSKGAFSAAAAPVKQGGRVTFDGRDIATVRSVGSSARVGWRKTQVGCNLGHQYLLMLLTACAFG >PAN46206 pep chromosome:PHallii_v3.1:9:10616726:10619636:-1 gene:PAHAL_9G170300 transcript:PAN46206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAVEKLKALWDSQVNDEEQWALNYKLLKAAGLFAGSIFLMRNFGDLMAI >PVH31649 pep chromosome:PHallii_v3.1:9:12795554:12796517:-1 gene:PAHAL_9G201100 transcript:PVH31649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILEALDAVLSGAQVLAVPLRAGGRKKQLAGGAAAADFCWLTQEDLVRYFLNSIGLFYHVAARSVSSLGLVRTDFLSVRPGESALSAVPLIRRAVATETAVAVVTEDGHLVGEISPALLAACDETAAAAIATLSVADLMAYADYFGSPPEHILRAVKAGLKDKGLDAMLDLIEDETLSSFSPLSASSSSDEETGRAQLRRPSSGSYGRRSAEEPVVCSPASSLVAVMVQALAHRVSYVWVLDEDDDCRLAGIVTFADVLRVFREQLQ >PVH31648 pep chromosome:PHallii_v3.1:9:12795213:12797549:-1 gene:PAHAL_9G201100 transcript:PVH31648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLLANEVSDLCIGKPAVRSLPLSAAAGELAAALRRVARSGAAACVAVTGPARAVVGRVGLADVLCFLCTEPEALARPAAALAKPVSAILPKDGAGEVRRVDPRSSILEALDAVLSGAQVLAVPLRAGGRKKQLAGGAAAADFCWLTQEDLVRYFLNSIGLFYHVAARSVSSLGLVRTDFLSVRPGESALSAVPLIRRAVATETAVAVVTEDGHLVGEISPALLAACDETAAAAIATLSVADLMAYADYFGSPPEHILRAVKAGLKDKGLDAMLDLIEDETLSSFSPLSASSSSDEETGRAQLRRPSSGSYGRRSAEEPVVCSPASSLVAVMVQALAHRVSYVWVLDEDDDCRLAGIVTFADVLRVFREQLQ >PAN45620 pep chromosome:PHallii_v3.1:9:7844932:7850021:1 gene:PAHAL_9G130900 transcript:PAN45620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVGEGEGEVRRDMWGQEYRTSSAECAAALDAYYAAFLSFGRGRVAAVLRAAAADPTCALAAAHAAQAVAPRDPAGAAAFLAAAADNLGNATEYERAVFGTLSAMVGEERNEEVALERHFELLKKFPRDLLSLKRAQLICFYSGKPDLSLKFVEQVLPENQDQNYIYGMLAFPLLELGKMAEAERAARKGLAINKNDVWSQHNLCHVFQQECRFREATEFMESCSPSWTACTSFLLTHNWWHVAVCYLEAESPLQKVLDVYDRNIMKELEKSDCEAAEVYLNALGLLLRLFVRGHVDHAKERLTTLLDALKDESVWHVEWLLDLLMLWALSSMGEFKSAHDMLESLKSRVSSMEQNRQQVMQKAVQLAEAVYEFGNGEHKEVFDTLGPDFDALCYKMIGASDEQVDVFNEVWFTVLINAGETSKAIDVLGKQIRKREGAPFLWRLLGKAYSLDGRDAEASVAYEKASALQAAYSY >PVH32492 pep chromosome:PHallii_v3.1:9:58059053:58065422:-1 gene:PAHAL_9G411600 transcript:PVH32492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEEGDDFGEDDDDVDLISDLPDDALATVITKLDTKSGARTQLLSRRWRPLWRSSPLNLQVDRHLAPQESKRVKIFDEILSAHTGPCRRLRLHDANNLRRSGTWFRSIALHQLQELDICCKMLNRPLLLPPSALLRCSPTLTVLSLGNCKFPCSSSDENAAVLHFFFPKLKQLTLHGVAISENAFHGVIAGCPALESLLILDCIGLRRVSIRSRSLRSIGVRASFCTVIPEDMMIRELVVVDAPCLQRLLTFPPHGPTSIKVVTSCGGGALLPKLEVLGYVSNTIARFEIGSMEMTPAVLNAPVCTVRVLVVMSTGPNLGAILNLLNCFPCLETLYVMKTGRPTIPCLGHYLTKIVIRGYQGSRAHTGFTRFFVANASVLRVMNIAVPRKVTDKWMANQRKVLRTDCKASADAEIRFTYYYLRTEMDCAKRTHDLSVTDPFDL >PAN50715 pep chromosome:PHallii_v3.1:9:67265604:67268109:-1 gene:PAHAL_9G540500 transcript:PAN50715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRAASPRMKRPSFSLSVTTASQLHDAIDRLLPLLRADASHAPAARALAAAAASLRPPSTLLSNRILHLLSSHPATLPDALALLSSLPSPDVCSYNTLVAALARSPRGLASARALFDRMPRRDHFSWSAIVSAHARHGQPRAALALYRRMLREPGSAGADNEFTASSALVAAAAAQCVRAGRELHCRVVRRGIDADAVIWSALADMYAKCGRVDDARSVFDEMPVRDVVSWTAMVERYFDAGRGSEGFKHFVHMVRSGVRPNEFTYAGVLRACAEFTSEKLGRQVHGRMAKSRAGDSCFAESALVHMYSKCGDMGTAICIFEATPKPDLVSWTAVISGFAQNGQPEEALRYFDMFLRSGFRPDHVTFVGVLSACAHAGLVDKGLEVFHSIKDEYGIEHTADHYACVIDLLSRSGQFELAEQMINKMSVKPNKFLWASLLGGCRIHKNIRLARWAAEALFEIEPENPATYVTLANIYASVGLFDEVENVRQIMGSKGIAKIPASSWIEAGKRVHVFLVGDKSHPQAEEIYALLKKLYVKMREEGYVADTGFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPGDSPIKVFKNLRICGDCHTTIKFISRIVQREIIVRDSNRFHHFKHGSCSCRDYW >PAN51142 pep chromosome:PHallii_v3.1:9:69406219:69410841:1 gene:PAHAL_9G573600 transcript:PAN51142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMSCFAGLLVLGGKRKASKGKNKGAYAKKVNGNDCPKVKPVEFVDMADALDVSRGGDNDPACNSKFVVGAAAELAHHGGGGDGDNDKAAIKRGSSGADLVAGAGAGAGSSGYSSDGTGEIAKSAEPDAGGSSSVGRMSPTPTASPKLKRSCSNIETTRSSAPPKGFDMAAKSRSYNDLNALPPARSATPSGAPDASPAASVRTSCSADRVMLKKRSSRQVLPSRSRKLWWRLFLWSHRSLHRAGAATPALPLPDAPHQHDGYTSDTLDAVTADAKGKKAAAEEDPIPNQWVAFSAGASPLERVSAWVNSLGDGSFHAVSEEDATEHDAGGARPQCSEIVELPTPGKRHPQAKRRPADEAANQASSIVHTLNVFSSVAHISGMGLKAVPAIAAFSTLRAVNLSGNLIVQIAPGSLPKGLHSLDLSRNKIAVIEGLRELTRLRVLNLSYNRITRIGHGLSSCTAIRELYLAGNKISDVEGLHRLLKLAVLDVSFNRVTTARSLGQLVANYGSLRALNLLGNPVQAATGGDTLRRAVSGLLPRIEYLNRQAVKPQRAREEAKDSVARAALGNGGGWSSRRRAPRRANQSPGSSGKSRGRDGSSGRRGSRSRSKTRPQGQGSSLSRK >PVH32639 pep chromosome:PHallii_v3.1:9:61047823:61048884:1 gene:PAHAL_9G448800 transcript:PVH32639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCNGDRPLLCKNMSNRLYLRLHGHVARAPSSEESIQNLPSSPFPATRGLDKIRRQKWQRPHQSTTAAGPRRRTHSASVVASPYEAGQRPDGGRRSSGRPALRPRRRGRRGGRRRHRRCQLHLSGHSRLLLRWRAVGRVARAVGVGAVQRGGTRAAGGGVGVHGDVRDAGGGGHLQLRRQHRRQAPGMEARAPVQVGAPRRRRRRGEGGCCCCLPHGHDPDTHVQ >PVH33075 pep chromosome:PHallii_v3.1:9:68607495:68608855:1 gene:PAHAL_9G561500 transcript:PVH33075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAPRSFESGAPCHETELGFGPPEKLRTHDHDDLDAVAHLLRGLLASSPFTVGGHRWRVRYPFLDEDVAEPVRARFRLSIVSEARALFFIKFKEELLSHPEVQHDFTSRTGWGYSKFCQNEVLASLVRHRGLDRFSIRCDIVFLNGFRMEEAPSAAVPPSDLDRHLGDLLQSGRGTDVVFEVGGEAFAAHRCVLAARSPVISADLLGAASDGAAAAGVEPRAFKALLRYAYTDSLPEMDKEEEDAILRNLLVAADRYGLPRLKTICADKLCRVLDVATVEIVLALAEQHHCDRLKEACLQFLAAPANLRAVMDARGK >PAN50864 pep chromosome:PHallii_v3.1:9:68124806:68128666:-1 gene:PAHAL_9G552900 transcript:PAN50864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYLKELNRSPLAKSMIYRYPSHNYSKTGHGIDLSHFVQNILSFQVRKADGCFSSCRLVTFLGEGDYSADLQQRVCCLLCYIESSHPPSRACETWTPA >PAN49630 pep chromosome:PHallii_v3.1:9:61814166:61817321:1 gene:PAHAL_9G461200 transcript:PAN49630 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05190) UniProtKB/Swiss-Prot;Acc:O23049] MASLSPSLHLPCNSRTGFLGKTQGIRPRVIPAGRVGFVRTVVECKESRIGKKPIEVPSNVTLTLEEQFVKAKGPLGELSLSYPGEVKVVKEKSGKLRLFKTAETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGNDLVMNLGFSHPVRMAIPEGLKVKVEENTRIIVSGYDKSAIGQFAATIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >PAN50434 pep chromosome:PHallii_v3.1:9:65907805:65908666:-1 gene:PAHAL_9G519800 transcript:PAN50434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRSNVFDPFSLDLWDPFQGFPFGSGSGSSLFPRISSDSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERSKEQEEKNDKWHRVERSSGKFMRRFRLPENAKTEQVKASMENGVLTVTVPKEEVKKPEVKPVQITG >PAN46641 pep chromosome:PHallii_v3.1:9:13311796:13316914:-1 gene:PAHAL_9G207300 transcript:PAN46641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAGAAAEAMGREAAAAVAAELEDSAGKAVAVAAAAPVLSPLSETLWRDRAGAVLLGDVSARLAWRDLAVTVALADGNTQAVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLSGTILLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPREEKQALVEGTIIEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASVHQPSSEVFTLFDCLYLLSGGKTVYFGKASEACEFFSQAGFPCPPMRNPSDHFLRCINSDFDKVKATLKGSMKTRFERSDDPLEKITTSEAMRRLISYYQHSQYYINAQQKVEEMARVKGTVLDSGGSQASFAMQAFTLTKRSFINMSRDFGYYWLRLIIYIIVTLCIGTIYLNVGTGYSSILARGACASFIFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTLSAMPFLILITFISGTLCYFMVRLHPGFMHYLFFVLALYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFNNQDDELPKIPGEYILENVFQIDVNRSKWLDLAVLFSMIVIYRLLFFVMIKISEDVTPWVRGYIARRRVQNRRQRKVELAARSPSLRGYVVDVASLPADLP >PVH31033 pep chromosome:PHallii_v3.1:9:2250671:2255404:-1 gene:PAHAL_9G040600 transcript:PVH31033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHYYFLNFLAIFFLAVLHLHLEQSPRSTAATVILFCFIFFLPRGGYEQLGNHACMRFCCRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPGAGDGDDSGEPVYLVMLPLLEGQFRAALQGNDRDELEITLESGDKAVQTAQGTYMVYVHAGTNPFDTITQAVKVVERHLQTFHHRDKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKESSSAVVQEGAQFASRLTGIKENAKFQKKKNHDKDAEEQQQPQSHQEQAPGLKLLVEEAKREHGVKYVYVWHAMAGYWGGVKPAAAGMEHYESALAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVLRFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHHALEASVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHVSSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGCVRAEDVDAIADLAGPGWDGEAVVYTHRSGELIRLPKGATLPVTLKVLEFELFHVAPVKEVAPGVSFAPIGLLGMFNSGGAVEECEASAADAAAAAVVRLRVRGCGRFGAYCSRRPARCTLDAAEAEFTYDTDTGLAALDIPVPEQEFYRWNLEIQV >PAN44407 pep chromosome:PHallii_v3.1:9:2250671:2255416:-1 gene:PAHAL_9G040600 transcript:PAN44407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPKITVGDGRLVAHGRTILTGVPENIVLTHASGAGLVDGAFVGATAGEARSMHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPGAGDGDDSGEPVYLVMLPLLEGQFRAALQGNDRDELEITLESGDKAVQTAQGTYMVYVHAGTNPFDTITQAVKVVERHLQTFHHRDKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKESSSAVVQEGAQFASRLTGIKENAKFQKKKNHDKDAEEQQQPQSHQEQAPGLKLLVEEAKREHGVKYVYVWHAMAGYWGGVKPAAAGMEHYESALAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVLRFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHHALEASVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHVSSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGCVRAEDVDAIADLAGPGWDGEAVVYTHRSGELIRLPKGATLPVTLKVLEFELFHVAPVKEVAPGVSFAPIGLLGMFNSGGAVEECEASAADAAAAAVVRLRVRGCGRFGAYCSRRPARCTLDAAEAEFTYDTDTGLAALDIPVPEQEFYRWNLEIQV >PVH31032 pep chromosome:PHallii_v3.1:9:2250671:2255402:-1 gene:PAHAL_9G040600 transcript:PVH31032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCCRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPGAGDGDDSGEPVYLVMLPLLEGQFRAALQGNDRDELEITLESGDKAVQTAQGTYMVYVHAGTNPFDTITQAVKVVERHLQTFHHRDKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKESSSAVVQEGAQFASRLTGIKENAKFQKKKNHDKDAEEQQQPQSHQEQAPGLKLLVEEAKREHGVKYVYVWHAMAGYWGGVKPAAAGMEHYESALAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVLRFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHHALEASVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHVSSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGCVRAEDVDAIADLAGPGWDGEAVVYTHRSGELIRLPKGATLPVTLKVLEFELFHVAPVKEVAPGVSFAPIGLLGMFNSGGAVEECEASAADAAAAAVVRLRVRGCGRFGAYCSRRPARCTLDAAEAEFTYDTDTGLAALDIPVPEQEFYRWNLEIQV >PAN47480 pep chromosome:PHallii_v3.1:9:31454093:31458102:1 gene:PAHAL_9G294600 transcript:PAN47480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALPLLLVLASLSLANANAGGGGNTTTYIVFMDPARMPAVHASPAHWHAAHLESLSIDPARHLLYSYSAAAHGFAAALLPDHLTMLRGTPEVLQVVPDEVFQLHTTRSPEFLGLLTPAYQPAIGNLEAATHDVVIGVLDTGVWPESPSFSGGNLPPPPARWKGVCEAGVDFPPSACGRKLVGARSFARGLRAANGGAIGVGKTTFRSARDRDGHGTHTASTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGAAPYFRDTVAVGAFGAAAAGVFVSCSAGNSGPSGATVSNSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPSPHPAMLPLVYGSGRDNASKLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGRSVGDKIREYAARGGGRPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAAWSGIAGPTGLAKDGRRTNFNIISGTSMSCPHISGVAALLKAAHPNWSPAAIKSALMTTAYTVDNTNSSLRDAADGSLANAFAYGAGHVDPQKALSPGLVYDISTNDYVAFLCSLDYSAPHIQVITKMSNFSCPKKFRPGDLNYPSFSVVFKQKSKRVLRFRREVTNVGPATSVYNVKVSSPESVSVMVTPTKLTFKKVGQKQRYYVTFMSKAGQGQAKPNFGWISWVNDEHVVRSPVAYTWKM >PAN49177 pep chromosome:PHallii_v3.1:9:59659681:59663080:-1 gene:PAHAL_9G431900 transcript:PAN49177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAATAFRFHPAAFFSAHPGEAGAKRPLHHCPPHRILVPRRACLLRAKSSNGLPQIGASFGNTNEVLDGRPAGDGPPEQGGSTVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRTMISRTLTCRIDKRKNCGGKMEQFLQRCFYQSGQYNTEEGFAELDRKLKEKEEGRLPNRLFYLSIPPNIFVDVVRSASRTASSSSGWTRFIVEKPFGRDSESSGELTRSLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLKLEDVVVGQYKGHTRGGKSFPGYADDPTVPKGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNIGTDLDTATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYRREIPDAYERLLLDAIEGERRLFIRSDELDAAWGIFTPVLRELEDKRVAPELYPYGSRGPVGAHYLAANYNVRWGDVTGDDGSF >PAN49178 pep chromosome:PHallii_v3.1:9:59660034:59662890:-1 gene:PAHAL_9G431900 transcript:PAN49178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAATAFRFHPAAFFSAHPGEAGAKRPLHHCPPHRILVPRRACLLRAKSSNGLPQIGASFGNTNVPAEVLDGRPAGDGPPEQGGSTVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRTMISRTLTCRIDKRKNCGGKMEQFLQRCFYQSGQYNTEEGFAELDRKLKEKEEGRLPNRLFYLSIPPNIFVDVVRSASRTASSSSGWTRFIVEKPFGRDSESSGELTRSLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLKLEDVVVGQYKGHTRGGKSFPGYADDPTVPKGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNIGTDLDTATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYRREIPDAYERLLLDAIEGERRLFIRSDELDAAWGIFTPVLRELEDKRVAPELYPYGSRGPVGAHYLAANYNVRWGDVTGDDGSF >PAN43945 pep chromosome:PHallii_v3.1:9:403790:406378:-1 gene:PAHAL_9G004900 transcript:PAN43945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPAPAMAPTAASAFLFLSPPRSRNHLHSKPRPRPTAAAAASTRSLSDQLEPLSRTLLHDKPTPTADRPTPEPTWVNPSRPKPTVLSLRRHRRRSPSAHPSSAPLQPLLRAIRALPEDADLADSLHAFFPAGSPPSPSDALLLLNYLHPSWRKSLSLLAWLRALPDGAFPLDTIFFNVALKSLRAARQWPHAERLALDMLHAGVPLDNITYSTLITAARRCRQFTKAIEWFERMYAADGVLPDEVTYSAVLDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYEGIRFVFNEMREVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMTAQGVEPNARTLTALAKIYGRARWGRDALQLWEQMREMKLPADNILCNTLLSMCADVGLVAEAEQLFNEMKDPECRDVPKPDKWSYTAMINIYGSNGDTDRALQLFAEMLKGGIEPNIMSYTIVIQCLGKAQRIQQAVEVLEAGLEKGLKPDDRLCGCLLSVVALSSGEETEMVLSSLEKVNQNLVKLIRMLGEDQVDVDDFTKELKGVLNAAAPEVRRPYCNCLIDICQNHGFPPRRAREVFQLAQTYGLYSKIHSRKDEEWSLDLRSLSVGAAKTAFDDWMRTISERLVQHKDLPESFSVYTGSSTHKFAQGLASAFAAHLEQMAVPFRPSESHVGSFISSRDDLVSWLQISSSSVAIAG >PAN50241 pep chromosome:PHallii_v3.1:9:65074080:65078310:1 gene:PAHAL_9G507300 transcript:PAN50241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSVATHASLLLKAAAAKPFFSPRAAARIPPPSPHPTPRAPAAGRLPPTTATTAAAAGASRWFRWQSARGLCAAPHSGGGAGGEGMGSDAGVGARRRAVNGLAKEVPAVNGMSKEDPPPRLLTLPTVLTIGRVAAVPLLISTFYMDGRWAATATTGIFLAAAVTDWLDGYLARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSILRDGPWLLTVPSIAIIGREITMSAVREWAASQNSKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQGALVTPGVALLYVSAGLAIWSLVVYMRKIWRILLK >PAN47656 pep chromosome:PHallii_v3.1:9:22282853:22284473:1 gene:PAHAL_9G269100 transcript:PAN47656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALPCLLGSPRSTARASNNDTQHVTNAIIHTHPIYTYILSLHCNPCLSLTVAYCSAMENPGSFGAGCRLLLALLVIAFARPCHSSLYHLPPPVMIYHAGAVLDGAVPVSVLYYGAFSPHQKAIVADFLLSLSPRGRQPQHHWFGAPGPAPAPSVARWWETVDRYVRKADRDPPRVLLASQVHDEACSLGKTLSRLQVERLAVRLGVAPGGVAVVLTAADVAVEGQCSSACGAHGASAPGGAAHVWVGNAAVQCPGRCAWPFHPAEGAAYGARHAPGRSGRGGETLRAPNGDVGVDGMLVNLAAMLAGAVTNPYGHGFFQGDPGAPVEVAAACPGVYGRGAYPGYPGAVRLDTVTGAGYNVVGRNGRKYLVPALVDPDNYSCIIMS >PAN51358 pep chromosome:PHallii_v3.1:9:70302428:70302805:-1 gene:PAHAL_9G588200 transcript:PAN51358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGFHRSTNHPPSELHAEATPTRLVFIGRAGCQVPWRMQSGKSPWIGVDLALELGHGGGGHMVVSRALCCHMERTAEEEGPLGGWAGMALLLIDLKTKAPRLSPPWMEQFGRTMQHAYMPSPAAD >PVH32643 pep chromosome:PHallii_v3.1:9:61112073:61115954:1 gene:PAHAL_9G450000 transcript:PVH32643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTARAQSLSLPKSKLARIRRPGVVVQEGRKEGRKEGGRTDLGIRALSELTPPTPPTSARGAPRVSIHPSTTRLVAAPPCPAPKPPRLPRLTIGGGMAREAHLGGDAAAAAKDKNAEADALAAADGGGGGASAAGGHKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLYALLGFLVFPFIWAVPEALVTAELSTAMPGNGGFVLWADRAFGPFSGSLMGTWKYVSGAINGAAFPALCADYLARVVPAVGGGGPRVAAIVAFNVALSFLNYTGLTVVGWAAVGLGVASLSPFLLMSGAALPKIRPRRWGGVAAEKDWKLFFNTLFWNLNYWDSVSTMAGEVERPGKTLPKALVAAVSMTSLGYLLPLMAATGAVDVAPEDWGNGFFADAAGMIAGRWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFAARAPVFNTPWVSIVATSAITLGMSFFSFNNIVAAANFLYSLGMLLEFAAFIWLRAKRPDLPRPYRVPARLPGAVALCLVPSAFLVFVMAIAGWKVYAISAAFTAAGVGVYYLMRLCKARGCLRFSDGGDDEGAAAAAAAAYQRQGSRSGDV >PAN50156 pep chromosome:PHallii_v3.1:9:64715951:64718296:-1 gene:PAHAL_9G502400 transcript:PAN50156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTVAIPSSPVFSPSRRPLSCKAASASPEPAVAASPGPASAAAPAGSPLRPFALRALLREEPSPSSSPQPASGAAVASAPAGPVLKRRRPAPLVVPAAGATAAAAAAAAVAAVEADPRNEVEEEGEEFAAYCRRGKGRRRVEMEDRHVAKVALGGDPQVAFFGVLDGHGGKNAAEFAAENMPKFMAEELKVNGGEIEGAVKRGYLKTDEEFLKRDESGGACCVTAVLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWIVADPDTRTLLVDQQCEFLILASDGLWDKIDNQEAVDLARPLCTNNDKASRMAACRMLTETSISRGSTDDISVVIIQLQKFTSS >PAN44720 pep chromosome:PHallii_v3.1:9:3683360:3686663:-1 gene:PAHAL_9G064600 transcript:PAN44720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQEFIVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTCTKWWAVPTIWLPVVCYLFAKSILMGHTIQEVVLMALFGIFIWTLIEYTLHRFLFHIETKTYWTNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCFPFWNLVAFFATPSTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSTLWDTVFGTLPPSTTGKKN >PAN45677 pep chromosome:PHallii_v3.1:9:8121380:8128364:1 gene:PAHAL_9G134300 transcript:PAN45677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGGGGGRRGGRSDQGGGRGGAGAGRGRGREGAADLGAHPTGVARGWGGGRGDRGGAAAPGAAQRGGNFQPPHPVAGAGRGGYPGVAHQGRGQQVSAPAPTPSEVEALRRQVERKAVVTQVPAAGPFEGPSSAPAQRQAPSPVLSPAAAARPRMQAKAPGQAAPPATAGSSSSVPARAPAPGQAPAATARLETQGKSPAQATQMALAAPAGRLPPASSKALVLAPRPGYGTAGRKCRVRANHVQVRLADKEIYHYDVAITPESISRARNRWIINELVNLHKEYLGGRLPVYDGKKGLFTAGPLPFKAKEFVLMLTNPERANLGEKEYKVVIKDASKIDMYSLQQFLAGRQREMQQEIIQALDIALRECPSSRYTSISRSFFSSQEFGPGGPLGNGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPIIDFAVEYLNVRDTSKRLSDQERIKLKKALKGVRVETTHRRDISIRYKITGLTSAALNDLTFDQDGTRVSVVQYFRRQYNYSLKYTHWPCLQAGSASRPTYLPMEVCNIAKGQRYSSKLNEHQVKNILRLACQRPAEREERTLGVLKKNNYTADDYAKEFGIKVNNQLALVDARVLPAPKLKYHESGKEKVCNPFVGQWNMINKRMVDGGSIRFWACLTFTSQIHPNEIGRFCEDLVMMCNSIGMRISTQPCVQIKKARQENLEAAIRDIYGHSAEVLAQQGLSGQQLELLIIILPDMSGSYGLIKRLCETEVGVITQCCAPKNVKKGGKQFLENLALKINAKVGGRNTVLEDALNRNIPLLTDMPTIVFGADVTHPSPGEGSAPSIAAVVASMDWPQVTKYKCLVSSQGHRVEIINDLFTEVRDSVKGIVRGGMIRDLLISFKKSTGHKPKRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPENHRSKDQTDRSGNILPGTVVDTTICHPSEFDFYLCSHSGIQGTSRPAHYHVLFDENRFSADALQTLTYSLCYTYARCTRSVSIVPPAYYAHLGAFRARYYMEEDNFDQGSSTGTSWTYDQSVPVKQLPKIKENVQQFMFYC >PAN45678 pep chromosome:PHallii_v3.1:9:8121380:8128439:1 gene:PAHAL_9G134300 transcript:PAN45678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGGGGGRRGGRSDQGGGRGGAGAGRGRGREGAADLGAHPTGVARGWGGGRGDRGGAAAPGAAQRGGNFQPPHPVAGAGRGGYPGVAHQGRGQQVSAPAPTPSEVEALRRQVERKAVVTQVPAAGPFEGPSSAPAQRQAPSPVLSPAAAARPRMQAKAPGQAAPPATAGSSSSVPARAPAPGQAPAATARLETQGKSPAQATQMALAAPAGRLPPASSKALVLAPRPGYGTAGRKCRVRANHVQVRLADKEIYHYDVAITPESISRARNRWIINELVNLHKEYLGGRLPVYDGKKGLFTAGPLPFKAKEFVLMLTNPERANLGEKEYKVVIKDASKIDMYSLQQFLAGRQREMQQEIIQALDIALRECPSSRYTSISRSFFSSQEFGPGGPLGNGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPIIDFAVEYLNVRDTSKRLSDQERIKLKKALKGVRVETTHRRDISIRYKITGLTSAALNDLTFDQDGTRVSVVQYFRRQYNYSLKYTHWPCLQAGSASRPTYLPMEVCNIAKGQRYSSKLNEHQVKNILRLACQRPAEREERTLGVLKKNNYTADDYAKEFGIKVNNQLALVDARVLPAPKRMVDGGSIRFWACLTFTSQIHPNEIGRFCEDLVMMCNSIGMRISTQPCVQIKKARQENLEAAIRDIYGHSAEVLAQQGLSGQQLELLIIILPDMSGSYGLIKRLCETEVGVITQCCAPKNVKKGGKQFLENLALKINAKVGGRNTVLEDALNRNIPLLTDMPTIVFGADVTHPSPGEGSAPSIAAVVASMDWPQVTKYKCLVSSQGHRVEIINDLFTEVRDSVKGIVRGGMIRDLLISFKKSTGHKPKRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPENHRSKDQTDRSGNILPGTVVDTTICHPSEFDFYLCSHSGIQGTSRPAHYHVLFDENRFSADALQTLTYSLCYTYARCTRSVSIVPPAYYAHLGAFRARYYMEEDNFDQGSSTGTSWTYDQSVPVKQLPKIKENVQQFMFYC >PVH33266 pep chromosome:PHallii_v3.1:9:71671352:71675135:1 gene:PAHAL_9G611100 transcript:PVH33266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDDCITEDISSPIAAHILDFCDDGLGDDLFAAVATTSETFPASSDDVSSSTTTTPPVCSYSDETPAVVRTAYSPLPSFDYTLTALLEQEQHHDLDTELLPPIDGLSEVAYYPHAINEASIEQFNQMELPGTIAEQVPPMQMSSSAPALMPITSDYDECFTAALAGGFIGLDGAMFQQADAILPSCNAEAPQRGFFNSASDSSNSMVMLGEIQKMMEGEGLTGTYSDTDSMQGAFNNAEMQVGGNNQHLTNGCNGNPATLPTTELSGLEDSTFKVVRLSPEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEAAQSSSQIHEHYEQTDRMKEEDMMDTTDILAHLSGLNSYSYKYKCTIESWI >PAN51665 pep chromosome:PHallii_v3.1:9:71670763:71675135:1 gene:PAHAL_9G611100 transcript:PAN51665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVFEEDAACMGIPDGFCIEDISSPIAAHILDFCDDGLGDDLFAAVATTSETFPASSDDVSSSTTTTPPVCSYSDETPAVVRTAYSPLPSFDYTLTALLEQEQHHDLDTELLPPIDGLSEVAYYPHAINEASIEQFNQMELPGTIAEQVPPMQMSSSAPALMPITSDYDECFTAALAGGFIGLDGAMFQQADAILPSCNAEAPQRGFFNSASDSSNSMVMLGEIQKMMEGEGLTGTYSDTDSMQGAFNNAEMQVGGNNQHLTNGCNGNPATLPTTELSGLEDSTFKVVRLSPEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEAAQSSSQIHEHYEQTDRMKEEDMMDTTDILAHLSGLNSYSYKYKCTIESWI >PAN49307 pep chromosome:PHallii_v3.1:9:60445801:60446370:-1 gene:PAHAL_9G439900 transcript:PAN49307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSKLRRVSLILVLVAILLSSNHVMHSQGEPLAMMHRRFNLLSHSDEATRATVTRGTISPDGTGNGAAGGGGVLGEDTRATDPGHSPGAGHAATNNGVGRKLLGVN >PAN51848 pep chromosome:PHallii_v3.1:9:72519100:72524346:-1 gene:PAHAL_9G624400 transcript:PAN51848 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MPSHEACCGTMFWVYLTACVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPKDKLNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDTLVPSYVAVLISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRILLILFFPVAFPISKLLDRLLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALELTQKIAKDAMTPISETFSLDINAKLDLHTMGMIMTRGHSRVPIYSGSPSNIIGLILVKNLITCRTEDEVPIRNVTIRKIPRVADDLPLYDILNEFQKGHSHMAVVVKRTKEAGASTEKQKSTTADYKINPKDAHADGSSPSYVSTAGSRRNNIEKYGDGRSYNKKSERKRENILDFNTDPLPSYSMDEEAVGIITMEDVMEELLQEEIFDETDEYVDVHNKIRINMLPPGKSLSPAISPGGGPLSQGLRKTPMASPLSPYNHGSAVFRSPVSNHGQSPWTLPTILSPGQSSPNSSQDSRNSKGKHKKDGES >PVH33314 pep chromosome:PHallii_v3.1:9:72519175:72524348:-1 gene:PAHAL_9G624400 transcript:PVH33314 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MPSHEACCGTMFWVYLTACVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPKDKLNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDTLVPSYVAVLISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRILLILFFPVAFPISKLLDRLLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALELTQKIAKDAMTPISETFSLDINAKLDLHTMGMIMTRGHSRVPIYSGSPSNIIGLILVKNLITCRTEDEVPIRNVTIRKIPRVADDLPLYDILNEFQKGHSHMAVVVKRTKEAGASTEKQKSTTADYKINPKDAHADGSSPSYVSTAGSRRNNIEKYGDGRSYNKKSERKRENILDFNTDPLPSYSMDEEAVGIITMEDVMEELLQEEIFDETDEYVDVHNKIRINMLPPGKSLSPAISPGGGPLSQGLRKTPMASPLSPYNHGSAVFRSPVSNHGQSPWTLPTILSPGQSSPNSSQDSRNSKGKHKKDGES >PVH31814 pep chromosome:PHallii_v3.1:9:16154658:16155937:1 gene:PAHAL_9G239400 transcript:PVH31814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGADDNDAALAKAGANAAASSAKRDDETVLSPWRDMRPAADACMPVLGVAELWPELSRSVAAAKGKKAPAASSSTAAVAPTPKAALHEQLPQGITSKQICGAHTSRHGDRAVPAAAVEPSPTDAPNNMERRAAVPEQPPTHASSRRSSAAGVRGHHHQSGRFVPHLHGQGGEGFNGGGSRRPSGGANGRGNANANGSTRGGGRHGQEHRGRFNGQPRRRGHEDGHMPLDPPADYVEAPHHMHPPPLPPPFIPIFMPPYSYYHGPPVEYGPYGYGYPGYLPFIPEALPPFMQYAAPLNHMMHTNLEQEADPSQMEPPQQQHAPQQQPMQAPPNQIQQQDPKQMRQEIRQQIEYYFR >PVH31888 pep chromosome:PHallii_v3.1:9:17640625:17643979:1 gene:PAHAL_9G251900 transcript:PVH31888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATRTRTNTAAAALLYALTFRLLTRASSTAVTVSPPPAAAAAAEAHALLRWKSTLPRSSFTSAPLSSWSPATPACTTWAGVACGEDGRVAEVALPGAGIAGTLAALDLAALPALAGLNLSGNRLAGAIPASVSGLASLVSLDLSGNALTGGIPGAALAALPALRVLVLRNNSLGGAIPASLGGLRTLERLDLQATGLVSTLPPEMGGMASLSFLDLSSNNLSGGLPPEMGGMASLSFLDLSSNNLSGGLPPEMGGMTSLSFLDLSSNNLSGGLPPEMGGMTSLSFLDLSSNNLSGGLPPEMGGMASLSFLDLSSNNLSGGLPPEMGGMASLSFLYLYRNNLSGGLPPSFAGMSKMKELYLARNRLSGTIPPEIFKSWPDLTLLYLHYNSFTGTIPAEIGEAKKLRRLSLWSNNLTGVIPKETSGLPSLLMLHLGKNCLTGPIAPSLGNLTQLVILVLSFNNLTGMVPAEIGSLTALQELDLISNQLEGELPPTVSLLKVLSYLSLASNNFVGTVPNFASQKLVCAELNGNKFSGGFPLSFCQSTSLEILDLSSNQLSGQLPSCIWDLQDLRFMDLSNNALSGDVLASANSNLSLESLHLANNRFTGGFPSIIQYLKMLSVLDLGGNNFSGAIPSWIGSRLPLLRFLLLQSNMFNGSIPLQLLQLSHLQLLDLASNNFEGHIPCGLTNLTSMIRPQTEFNMMLAVPYQLLYLEADVNYADRVDVNWKMQTYEFQGAIALMTGIDLSGNSINGEIPTELTNLQGLRLLNLSRNHLSGTIPANIGDLKLLESLDLSWNELSGHIPSGFSALVSLSSLNLSNNMLSGEIPTGNQLDTLADPSIYSNNFGLCGFAMSIPCSTGSSIQPLDPNKEFEGVYVYYSIIAGVVFGMWLWFGSLVFFAPWRISFFYYVDFTQRKLMR >PAN51226 pep chromosome:PHallii_v3.1:9:69795811:69803357:-1 gene:PAHAL_9G579600 transcript:PAN51226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRSGGGGEMVGVPWLLLLLGCCCSIWPRGRIFAAADTDPNDLAVLNTLFTSLNSPGQLSGWQANGGDPCGQSWKGITCSGSGVTKIILPNLGLSGNLAYNMNNLGSLTELDMSQNSLGGGNQIQYNLPNMKLEKLNLAGNQFGGNLPYSISTMNNLKYLNLNRNHLQGNITDVFSSLYSLTELDLSFNSLTGDLPQSFTGLSSLKKLFLQNNQFTGYINVLANLPLDTLNVANNRFTGWIPSQLKKINSIQTDGNSWSTGPAPPPPPYTAPPPPNRRNSLGQNGDGSGGKSGIGGGGVAGIIISVLVVGAIVAFFLIKRRKRKAAMEEHFEQNQPFTSFPSNEVKDMKPIDESTAVDIESLASPASISLKPPPKIERHKSFDDDDDFSNKPVAKKSNIAPIKATVYSVADLQMATDSFSFDNLVGEGTFGRVYRAQFNDGKVLAIKKLDSTVMPFQSSDDFAELISNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHLSDAGLASFIPDAEFQAAEQSAGYTAPEVDMTAQYTLKSDVYSFGVVMLELLTGRRPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSRRADDQDPDFI >PVH32255 pep chromosome:PHallii_v3.1:9:51280351:51281532:-1 gene:PAHAL_9G354700 transcript:PVH32255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLKTNLLRQNRLYCFCPKQKNGCAKESRTFDYGWHKKFTLIVHSTRQKLCEWF >PAN49891 pep chromosome:PHallii_v3.1:9:63316135:63318353:-1 gene:PAHAL_9G482500 transcript:PAN49891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPLALVLLALAAAVAPGARAQISAAPLGAPARSLDCAGALRSLTPCLTYVERRSALTRPDKGCCGALAAVVGGGDAACLCGLLAGHGARGVRVDPVRALALPTICRVDAPPPRLCAALGMPVAEPPGGAAAPMESGSDVPTTTPAAAGAASGGPATHRLLFLAALPLPQCCLLAILSTLLLLL >PVH31755 pep chromosome:PHallii_v3.1:9:14749325:14752753:-1 gene:PAHAL_9G225100 transcript:PVH31755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWPPRAQGHRWRHAKRKRSRSPPPRLRRFPARRHLSPAPPLPLASPRQRPCLLSAAPRHPISDPPPPRPCLLRRRATTCSARTCPANCNSDRHELLYLNPPGRLQLQPRRKVVLLRRGTPQPQHPYLLRRRGTTCSVPICSARTCPAVCNSDRRELLRLNPPGRLQLQPRREVVLPAGSDQVPPHAASHVADPDPGRTGAGALWSGCRSAPMHGCGVPSAEATRRERGGGVEGGGDATAMPHRRRPRRWAAEGHLQKMEWGAILKRGLFLGSRISPLWVGAQLWAPLPKRRLARSLAIG >PVH31754 pep chromosome:PHallii_v3.1:9:14751674:14752753:-1 gene:PAHAL_9G225100 transcript:PVH31754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWPPRAQGHRWRHAKRKRSRSPPPRLRRFPARRHLSPAPPLPLASPRQRPCLLSAAPRHPISDPPPPRPCLLRRRATTCSARTCPANCNSDRHELLYLNPPGRLQLQPRRKVVLLRRGTPQPQHPYLLRRRGTTCSVPICSARTCPAVCNSDRRELLRLNPPGRLQLQPRREVVLPAGSDQVPPHAASHVADPDPGRTGAGALWSGCRSAPMHGCGVPSAEATRRERGGGVEGGGDATAMPHRRRPRRWAAEGHLQKMEWGAILKRGLFLGSRISPLWVGAQLWAPLPKRRLARSLAIG >PAN47584 pep chromosome:PHallii_v3.1:9:18484405:18487055:-1 gene:PAHAL_9G256400 transcript:PAN47584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVESTGGGGGDLLIKLFGKTIPVPETAAKESSGGGSGGSSSSTTESDVPENAHQDASDPSPQPEVVDAEEPKSSPETQQHGAGDMAGQREKLKKPDKVLPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKHAVAASQFLQRVRAALPAAAGDQLKTNGTVLSFGGHGAPPALQDLAEQVSHLKEKLLLPARNAGNPSPVGPCSEGFSSTDDKAHGGGIKEKPTVDRPANGAPHPASMNGAAVWPYGCAPAPSPAAYFSSGIAIPIYPAAPGYWGCMVPGTWSLPWPVQGVSSPTSAPSLSSSGPEPLTLGKHPREADEGRSAHGSGKVWAPKTIRIDDADEVARSSIWSLIGIKGDKKQDAADHRAAGHKHGTVFEQKREPKKPAMIASSPLLHTNPVALTRSVTFQEGS >PVH32953 pep chromosome:PHallii_v3.1:9:66721075:66722283:-1 gene:PAHAL_9G532500 transcript:PVH32953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVQITLRGPGGNSISCLCADKCKGRHIQMQVSKVCTGLRFPVVVVACVVIGQSFSLPYSLFFEVMKSLFCFCPIARDELFHVLLNLNPTYSPESCTIDARLNRKNDLQLAAIGPK >PAN45706 pep chromosome:PHallii_v3.1:9:8258309:8260745:-1 gene:PAHAL_9G135700 transcript:PAN45706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANVGESTSAGSSGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCLYRWLHVHAHSPECPVCKALVEEDKLVPLYGRGKDRVDPRSKNMPESDIPHRPTGQRPATAPQADANNNFPNANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDAAAYAQPAGFHYGYGHGHGFHGGHMGHAHGIHRQAPLGQQQQADIYLKALLLMVGVLVIASLLAF >PVH32085 pep chromosome:PHallii_v3.1:9:34741840:34741944:1 gene:PAHAL_9G307200 transcript:PVH32085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNILAFIATALFILVPTAFLLIIYVKTASQSD >PAN45778 pep chromosome:PHallii_v3.1:9:8699778:8704025:1 gene:PAHAL_9G141600 transcript:PAN45778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSTISFSSPSSSPQSPPPPLATPAELEAVRLRRLSDNLERLLDPAFLNCTDAEIALAAGKGGAAVGVHRCILSARSAFFLDHFASLPPPAAVGERPRLELDGLVPGGRHIGRDALMPVLGYLYTGRLKSPPQEATVCMDDACGHAACRPAIDFVVESMYAASGFQISELISLFQRHLSDFVGTALDEDVVPIVHVSSTCELQDLLNQCIQRIAVSTLDSGYLEKELPDDIYCKIKEIRQSAFQDESENAIVDPEHEKRVRNILKALDSDDVDLVGLLLQESAVTLDDAFALHYAAAYCEPKVFAELLKLNSANVNLKNNSGYTPLHIACMRREPAIILSLVERGASVLERTLDGRDALTICKRLTREKDCNRKLETCEETSKPYLCIHILEQELKRKSIIFDLISIEESIATPLLVDNFHMRLINLENRVAFARIFFPSEAKLVMRIAQADSTEEFAGITNFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSDVLDNFLNEESTDLIYLETGTPEDQRVKRMRFSELKEDVRKAFTKDKAAVAAIASSASSSSSVRYEGRGRQSNRKSKQSR >PAN49683 pep chromosome:PHallii_v3.1:9:62216553:62224346:1 gene:PAHAL_9G467000 transcript:PAN49683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGSGGGGGGDTEMGGWTGLLHSSTKLLEQAAPTPHFPPLQRNLDQLEVLSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATSVEEYLQQLHEVAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSLFSTLPKRSTNISTSGLARPALMPPSTSSPQASSGLPSVGIMPIPNKTIIENKSSVYAGVVRDLNDARGRSLPFNPAMAFRAVYESLSVDAVGTKSVTMQKMWHLIQALVGEGSTHRNVSRKMSLVIGARRHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFMRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVALSSRAAHNFAPLLADWISTNGAVSQETALAASEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREYNNASSSNVLNEGLVPYTLDDLQSYLNKFEPSYYTKNGKDPLIYPYVLFLSIQLLPAILYLSKEVGEEGYHVDAVHISIALADHGVLPDGVGSSQKIGVMDACAEAASIIRQYGSMYLCNGNIGLALQYYAQAAAAMGGGEVSWIGQGNADQQRQRSLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKAVEIHKRVGSFAMALQIINKCLSDAVCAMAHNRLDGESQATALIHSGNEILETARYSSEASVQDKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIRLPFLHLDPQSPNVIVDIFRNLSPYVQACIPDLLKVALNCIDNVRDTDGTLRAVKSKIANLVANNMSRNWPQDLYQKVAQCI >PAN51553 pep chromosome:PHallii_v3.1:9:71125404:71130659:1 gene:PAHAL_9G602500 transcript:PAN51553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRSPSAAAASASPTAAAAAAMAPAVGGVEPAVTLDQVPRWSDPDQRISSLSPTAAGSETQTSSFLSFSDPLTGDDAAAGPGGRGASRFPVDHEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESLATGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITAIVFCTTSSSDTEIYKRLLPLYFPRDKPEEEIAALKLPADVGDENGETVIDERKIRIKPLPTGAANSKTPIPALADIPLPDSGLTRRRNSFKLDSYLDPAFMSIIKDPDLRRKEQWEKSAQAHKGLNLANLLGFGDLGSPPLSAAEEYSLHSRYLAKANSMNLSDIAEMKIIYRGGVDSEGRAVMVVVGAHFLLRCLDLERFVLYVVKEFEPLVQKPYSIVYFHSAASLQPQPDLGFMKRLQQILGRKHQKNLHAIYILHPTLGLRTAILAMQLFVDGEVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >PAN45145 pep chromosome:PHallii_v3.1:9:5566254:5570610:1 gene:PAHAL_9G096700 transcript:PAN45145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVQAIRSHGSVLKYAVQQHISTPKPAMFPAAFSRFMSASSARLEDRGFETATVADVLKSKGKSADGSWLWCTTEDNVYDAVKSMTQHNVGALVVVKPGQEKTIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTKVLQAMQLMTENRIRHIPVIEGTGMLGMVSIGDVVRAVVNEHREELNRLNAYIQGGY >PAN45146 pep chromosome:PHallii_v3.1:9:5566188:5570743:1 gene:PAHAL_9G096700 transcript:PAN45146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVQAIRSHGSVLKYAVQQHISTPKPAMFPAAFSRFMSASSARLEDRGFETATVADVLKSKGKSADGSWLWCTTEDNVYDAVKSMTQHNVGALVVVKPGQEKTIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTKVLQAMQLMTENRIRHIPVIEGTGMLGMVSIGDVVRAVVNEHREELNRLNAYIQGGY >PAN47011 pep chromosome:PHallii_v3.1:9:13007417:13008143:-1 gene:PAHAL_9G204100 transcript:PAN47011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPPLPSPPPAPAGDGGPSTSSAPASVPRALPPTLLLVPPPPSSSGRSRGARGGRAVGGSGRGGGGKRKADAQPPDSGGARGRRIDGLAEAVRVVGRDVEAGVAAADILELAMAKGPMFAWLSYWPEEGFSKEDHPY >PVH33379 pep chromosome:PHallii_v3.1:9:73597285:73598055:1 gene:PAHAL_9G639000 transcript:PVH33379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYPADTKIAFHVEKGCNPNYLALLVKYAAGDGDIVDVDIKEKGAKEYQSLKHSWGAIWRMDTPKPIKGPISIRITSEGGKTLEQEDVIPEGWKPDTLYPSKLQF >PAN50624 pep chromosome:PHallii_v3.1:9:66807744:66811491:1 gene:PAHAL_9G533400 transcript:PAN50624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCRLLRAGWRPQRPCGSRLAAALCAAVVALALARAPAADATSASLLGINYGRVGSNLPPPQSVLPLLEGLGIGRVRVYDADPAVLRAFARTGVELVVGVPDECLAAVADPGGAAQWLKESIVPFLRDTKIAVLTVGNEVLTGGNSSALSRVLLPAMQSLHGAVAALGLDKQITITTAHNLGVLGTSYPPSAGAFRKDLLPYLCPILDYHARTGSPFLVNAYPYFAYSDDPKGVQLDYALLEPGFAGVQDPNSRLHYPNLLVAQVDAVYHAIAAANTAASRVVEVRVSETGWPSAGATNETGATPRNAARYNSNAMRLVAEGRGTPLKPGVPLRAYVFALFNENLKPGLASERYYGLFKPDGTPAYDLSFKLPRDNSTFGYGGGGSGNANGSSGYFNGGGNSGGYYDISAAVRDPPGRWWRAWAQAAAAAGAAAVLMVAV >PAN50623 pep chromosome:PHallii_v3.1:9:66807949:66809325:1 gene:PAHAL_9G533400 transcript:PAN50623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCRLLRAGWRPQRPCGSRLAAALCAAVVALALARAPAADATSASLLGINYGRVGSNLPPPQSVLPLLEGLGIGRVRVYDADPAVLRAFARTGVELVVGVPDECLAAVADPGGAAQWLKESIVPFLRDTKIAVLTVGNEVLTGGNSSALSRVLLPAMQSLHGAVAALGLDKQITITTAHNLGVLGTSYPPSAGAFRKDLLPYLCPILDYHARTGSPFLVNAYPYFAYSDDPKGVQLDYALLEPGFAGVQDPNSRLHYPNLLVAQVDAVYHAIAAANTAASRVVEVRVSETGWPSAGATNETGATPRNAARYNSNAMRLVAEGRGTPLKPGVPLRAYVFALFNENLKPGLASERYYGLFKPDGTPAYDLSFKLPRDNSTFGYGGGGSGNANGSSGYFNGGGNSGGYYDISAAVRDPPVELL >PAN49800 pep chromosome:PHallii_v3.1:9:62808656:62810303:-1 gene:PAHAL_9G476100 transcript:PAN49800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYKKQSHNSRAPQVCYSRRSVRTSVCIGSSLAESDMSTTLPAERAAAAVNDLVEARDGAATLRTFLLQLDDQRAPWAQRVVDGVLSRLSSAMSALDVGGAAAEGGQSPVAGSGSGGASRPQQSASSSGNTKKRSFSGRSQRPSDKKITATLEDDHVWRKYGQKEIQNSPYPRSYYRCTHSSGQGCNAKRQVQRSETDPSMYVVTYYGEHTCRDPSTIPLVVHAAGAAPDRASNLISFGHSGANDNAAASAGAGASSSQYLAIGGRTTADQLSTSWCTSDDMFSSSAGSFMQVEELISAVVGSAGVTSTATAGSSALDRGGLGGMVGGAGGTASFSPSPNALADFVVGSLGSIGGNDDDLFSMDP >PAN46566 pep chromosome:PHallii_v3.1:9:12930361:12934417:-1 gene:PAHAL_9G202600 transcript:PAN46566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGIDTSHVIISDGGNTTFVHIIIDKQTKTRTCILTPGDPPIVPSDLPMSSLSAALQDVSLLYLDGYSPQMALVVAKQADQMKIPILVDAEQERTKEELEGLLSLASYIVCSGKFPKNWTSIPSLPCALLEILVQYPRVKFVIATLGEKGCMMLERSEGDGAVEDTADIEVVAESLKLELHKDDVLPSCVSSKFMGVSARGLGTVFGRLLIGTAEVIPASELVDTTGCGDAFIGAVLHSLSAEMPAEKMLPFASQVEMQQKTVASVFLG >PAN48695 pep chromosome:PHallii_v3.1:9:56942578:56944986:-1 gene:PAHAL_9G399400 transcript:PAN48695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAAPLSLVLLLAFIAVPSPARGCDRCVRRSKATYQASSLALNAGSCGYGPLAASFNGGFLAAAGPELYRGGVGCGACFQVRCGDSELCSPAGAKVVVTDQARTSNRTGLVLSGAAYAAMARAGMAARLRARRVVDVEYKRIPCEYANRNLSIRVEGKSRPPSELSIRFLYQGGQTDIVAVDVATVGSSSWRFMTREHGPAWSTAQAPAGPLQLRLVVTGGYDGKWVWAESEVLPRRWEAGRVYDAGVQVADVAQEGCYPCDTHEWQ >PVH31637 pep chromosome:PHallii_v3.1:9:12590459:12590974:-1 gene:PAHAL_9G196500 transcript:PVH31637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYRNICGTAGRDWIFFRCIFIPSPSRETGARGGAEGDLGFADSNWRRAAASPRRIRASGNGDSGDSWREGAREGGEEERAGVWQGRRGRAEEIRRAVVGGWFAGGVLGLSPGALPHWSGAGAEERQASSQRPAASLQASSKVPCRQPCTLVAMVGS >PAN46474 pep chromosome:PHallii_v3.1:9:11948773:11949648:1 gene:PAHAL_9G188500 transcript:PAN46474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVSVARLLVLAATLTAALLAAAPPPTRAAWVESDYPSSMACGVTIPVEQCDPADGAANAACRDMCHYGGRRGGRCVAPGRLALVQGCHCRC >PAN49620 pep chromosome:PHallii_v3.1:9:61787533:61789901:1 gene:PAHAL_9G460500 transcript:PAN49620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFMDSVGSIFRGGDVLPWCDRDIIAGCEGEIAEAANEEQKNESLMRLSWALVHSRQPEDVNRGIGMLQASLDRHSSPLQTREKLYLLAVGHYRSGDYTRSRQLLERCLEIQPDWRQALTLQRLLEEKTRRDGMIGMAIITGAFGLVGLVAGGIIAAASSSSSSRKK >PAN49964 pep chromosome:PHallii_v3.1:9:63699264:63704343:1 gene:PAHAL_9G488400 transcript:PAN49964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPTNGAISLAYGPATGAGALHDPLLLPFDDGFTDEDLLGADAALLGGAAGDQTLLLLPLCPGANGCGGSGSASAEGFGAQAAPTSRAVTTPVAAADAGSFSLAPQPAPAPVSWGVATAAAAGSFSLAPQPAPAPISWEVVTADAADGGSPAPAPPSPALPLVHNTGSRTSIYRGVTRHRWTGRYEAHLWDNTCRKEGQKRKGRQVYLGGYDKEDKAARAYDIAALKYWGANATTNFPRENYIREIEDMQNMRRQDVVGSLRRNSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAALKFRGENAVTNFEPSRYNLREIAQRDIPILSPGRKLDQKPAPEAQGQAALSAPSFSQSQQSSNSLRPYFLPNPQQPLPPQPPLAQPLPIYNYSSGFGEPSFYWPYGNVEQKVQLDSKLELVNGLLQLANSAAN >PAN52099 pep chromosome:PHallii_v3.1:9:73781829:73785819:1 gene:PAHAL_9G642100 transcript:PAN52099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAFVEGPAGAGYSGRVTSFVVLSCIVAGSGGILFGYDLGISGGVTSMESFLSKFFPDVYHQMKGDKNVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTRRFGRRASILIGGTVFVVGSVFGGAAVNVYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCISIGILIANLINFGVEKIADGWGWRISLSLAAVPAAFLTLGAVFLPETPSFIIQRDGNIDEAKILLQRLRGTTRIQKELDDLVSASNVSRTIQHPLRNIFKRKYRPQLVIALLVPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRICATVANIVAMVVVDRFGRRKLFLVGGIQMILSQIMVGAVLAAKFKDHGGMEKEYAYLVLIIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSIVIAVIFLVTFVIGQTFLAMLCHLKFGTFFLFGGWVCVMTLFIYFFLPETKQLPMEQMEQVWRRHWFWKRIVGEEEEEEEREARSIALSTT >PVH31485 pep chromosome:PHallii_v3.1:9:9776678:9779685:-1 gene:PAHAL_9G157700 transcript:PVH31485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGDLDEYSNIISGCASFCSSSNTSKGEIRQPAGKYCSGLGCCQAPVSMNYSRPEGVQVSWLRGGADRQQDLLRLEPFVLVAEKGWFDQRPVADQLVGPPGGSQRPDAATIEVPLVLEWTVNNVAPRDDRYPGPACSPAVAQRLCRSANSECTTNGNGDYSCQCRGGYDGNPYLDGGCQDINECKLPPEVNGCFGDCSNTEGGFVCRCPPRTNGDHTRRGGCVPAALTGFSAGIGLGSGAGLILLALVAVFVTKKIRHRRVRKLKQKFFSQNRGQLLHQLVSQRADIAERMIITLDELEKATNNFDRSREIGGGGHGTVYKGILSDLQVVAIKKSKITVQKEIDEFINEVAILSQINHRNIVRLLGCCLETEVPLLVYEFISNGTLFHHLHVEGPTSIPWDSRLRIAAETAKALAYLHWSVSTPVIHRDIKSSNILLDDTMTSKVSDFGASRYAPMDSTGLTTKVQGTIGYLDPSYFYTGRLTERSDVFSFGVILVELLTRKKPFSYLTSDGEGLVAHFVRLLEEGNISQILDPQVIEEGGKEVQEVSILAASCINLRAEERPTMREVEHALEGLQVSKNKGSMVMSFKKGSMVRDEFEDDDGVEMT >PAN50280 pep chromosome:PHallii_v3.1:9:65201511:65205012:1 gene:PAHAL_9G509800 transcript:PAN50280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHRQSSMSKQHRPHHHRTSLSRTLASYLLREQRLLFVLLGFLLASSFFLLYPSLTPHPAAGSSAAIDFAAAVARKIPRGGASSVSAAGGARRLPVGVRKPSLRVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKDNVAHHLGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPMTVYGDGKQTRSFQYVSDLVDGLVTLMESNHIGPFNLGNPGEFTMLELAQVVKETIDPGASVEFKPNTADDPHMRKPDISKAKSLLNWEPKISLKQGLPRMVSDFQKRIMEEK >PAN48349 pep chromosome:PHallii_v3.1:9:54679141:54680640:-1 gene:PAHAL_9G376900 transcript:PAN48349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRPWTRQPPPVGRRRQRRPTASGTAAAASASANRCPTPPRNHNFSSSYCVRRCGDCARLRHPVDGLRPNAMPQPVAQPGRHTAWQNLPHPRRGPRQHFPRTRPQDAKVS >PAN46011 pep chromosome:PHallii_v3.1:9:9727768:9730208:1 gene:PAHAL_9G157400 transcript:PAN46011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSSVRFGHGVAAGPVHLGGGGGGAHQVMPAGAGMIPAGMGSFLLGASGMLAPGGNMVQPGGYLAPAGYSTVPNALHAAWNGVAAAGGGQQQPPASAGSGLLTRLPPLRGPWTEEEDETLKAMVEVYGERKWAAISRHLHGRIGKQCRERWTNHLRPEIDKAKNTWTEKDDMDLIEAHKVHGNRWSMIARTMEGRSENSVKNHWNATKRSLKAKRRLKKKKNAEAPPGQQWSVLEEYIRSLRPDDLAAAPPAPPSDDSPPSSYNVGYDGEVVSPPAAPGGGFDPAALGLYLSAAGGNNSSAVNLAAMNPNMAAPPYLGLDLNAYYYGAPLQQAPPQMMMMGQGQQATADNLITYPFVDHLAWHSPVPNADAYAASNANAAAGQHYYYYSDAGAAAAASANPEDDVDVVQMASREFQLNPSEEEVTLNLAGFM >PVH32099 pep chromosome:PHallii_v3.1:9:35671800:35672141:-1 gene:PAHAL_9G310900 transcript:PVH32099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKLATGLAVAVVACAFAFASTSTATVAAQSCAEQIKYFTNCLARDEIRQQCCVVVENTSCLCQLKRAVAVPCIPHRRHGHRCPVNVVPPAVQMAELQRLPCFKGLKCLRA >PAN50325 pep chromosome:PHallii_v3.1:9:65393198:65394238:1 gene:PAHAL_9G512700 transcript:PAN50325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAVSPAFAYTIVYVRDVEKSAAFYAAAFGYTVRRLDQSHRWAELESGATTIAFTPLHQRETDGLSGEVQLPDAAAARGPMEVCFVYADVDAAYRRAVEHGAVPVSAPEQKPWGQKSGFVRDIDGNIVRIGSHVRE >PVH33165 pep chromosome:PHallii_v3.1:9:70015428:70022437:1 gene:PAHAL_9G582900 transcript:PVH33165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASQPRRVRPLAAVSLVLFLLLLYSRSDGGSARAPALLGSVLRPVHRRFLSDADPAGPAPSGANLSEVATLNGEQPEDPSIACAGVARHEGFETQCEFLRAHPQCSSGGFVDYLGFFYCRCNRFRVLGYAVLGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGSGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLVTLVALSVVLIAGKVTVWGAMMFVSIYAIYAFVVAANEVLRKHARRLKFDVVTPLLPVRGSIFEQGTDEDESVYSSLLVEDADGEASQINTSLPQWMWASHVAIYSNHATGVGSPDSSRPLWGWSEGEADTSTPNISKLFLFMELPLTIPRRLTIPIVEEDRWSKEYAVASACLAPVLLAFLWSSQDGVSTKASIAAYVIASILGIGLAALAFLFTSSERPPRRFLFPWVFGGFVMSIVWFYIVANELVALLVALGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGLSMLLGAWSTAPSSYVLPQDRSLIYTMGFLVAGLIWALVMLPRGDMRPNKILGIGLIALYSVFLFIRSRMTKHENVVVPFNGKVRKRREC >PVH30916 pep chromosome:PHallii_v3.1:9:740807:742718:-1 gene:PAHAL_9G012000 transcript:PVH30916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPWQQSQKHKPGHDGRPNHLQHHPAFLAVSLFVRAFPSWPLDRLPYREREGYNTTHMKDRRGSSGGVERFAVFPFSMGCMSQSAVSVADPSEKKPQGDPSSSSSATATTTARTAGSSEDGAGETVKEKAAVAAASPGLVAAGVSRLMKGIKSLSLMFAGDGGDEEEEEREMVIGYPTDVQHVGHIGWDGHNKVGAMGMVNAFSLPSSLSLRQLEMAMDQAAHASA >PAN46464 pep chromosome:PHallii_v3.1:9:11881825:11884910:-1 gene:PAHAL_9G187700 transcript:PAN46464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAWGCVERVAAGLLGGPLAGGGRWNTAVAVGVTAAAGLVVVAVVVSSRRGGLKSPWWRRRRKAPLTSQEWRGLFTPEGKLQDGGVKLVKKVRSGGIEPSIRALVWPFLLGVYSLDSSEAERDAVKAQNRKGYLLLRKHCLRKSAYSMEDSKQSTNKTAGVNPEGGNSSEKGEESGCVSPVESEEVPKNPSVEEAISTEEGNPCLSTEQELQDDTSETKPEKMDDSQSSSSSSNEEESERSDVTHVETSHKDLASVCESSLEDEQESIPRYSRTGGNMDDIELSKAARPVKPTRAIEDFETWQRIIRLDAVRANDEWVSYSPSQTSVPREKAIESAKAVCLKDYEHLEPYRIYHASRLVAILEAYAIYDQEIGYCQGMSDLLAPLLAVLEEDDAAFWCFAGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAGIAKSSWRRMRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVVTLHDRIE >PVH32115 pep chromosome:PHallii_v3.1:9:38750086:38753196:1 gene:PAHAL_9G318700 transcript:PVH32115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESLKEMDLDQQADNLGANGGGLVWPSAMSSYMLQHLAQLVSNGTKTSTGFKQVHLNGCARALNENMGYHVTGTQVGNHLRKWKRTYGKIQKLKILSGALWDEETCTISLEREYYLAHIRIHHDDAKYLNCPIEHYHEMATIFGNSLATGAYAKGASDPLASEVTATENAPQETKDGAETDEQGGGSPPGADEMTFSANTNGTGSSGTKPPPAKKYKVAAVEDPNIAMVSIMSEGLGNLAAAIEKVVKEDDGIPEGLYDDMMSIPGFDEAHLDYYYAYLCEHPSLARRFYNIRLSSKMVWVARYIKQHLCGPDE >PAN50628 pep chromosome:PHallii_v3.1:9:66827552:66830990:1 gene:PAHAL_9G533800 transcript:PAN50628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPAAVMERERLTAEMAFRDPDGGEPAPSIVIKIRRRLPDFARNIKLKYVKLGIRHGGSPTSVLPMLCVPALAAAAYSFVRLDVIYYSIDLLTCVAWLGTAALLLTVYYFKRPRPVYLVEFACYKPEDQHKISKAGFLEMTESTGCFNEAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFKSTGIDPRRDVRILIVNCSLFNPTPSLASMIINHYKMREDVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNKRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEACKVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLRDTDMEPSKCALHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPALPSPGAGAAEDRRSRCNPWAEDVDKYPPKAYV >PVH31765 pep chromosome:PHallii_v3.1:9:14841079:14841609:-1 gene:PAHAL_9G226200 transcript:PVH31765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTCGASAGAGRRRRPRRYPPPPPAPALNPAPRRLCLQDLAPPRLQPPASSAASTRVTGGPHSSASSWIPAAIRSSALSWTLPTASRTIASPCGRTRATAGTCSAFAMGASSSLTARVTGSSCGIPPPVTDIALPPHQTSKATRSTASATRPPSGYS >PAN48096 pep chromosome:PHallii_v3.1:9:52599944:52601951:-1 gene:PAHAL_9G358500 transcript:PAN48096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPCDPDSMAEASGDARMVPARAPSEACRINALPSHVLTRAISFLDARQLVRTCVLSLQWRDLWRSVPRINASREEFDGMPGTWVEQNVLFKKFFNRFLMLRNPTPLDEFNLCYGVRDSEDSTDRDYVAESEDANLWIGHALHCNARSVKVSATEWEAKLHPDPVVFASKCFLTSLELTRVVLFAGFFKNLQMGCTLLERLKLYDCPICDAEVSSQTLKLFTVDTGCFPHREQASISIPSLVDFGYFSGERIPPLLTNLGSLETATVSIGTYAYDTPLSDICQFLRGLSNVTHLDLSYEGPKLEVQANFQWCPKFNNLTTLTLGEWCLCADFYALIVFLQNSPNLMKLILEIRKNPETHEKFIGELEERYFTCEHLKIVEIICPENWEDDPMLNDLVKFLVGNGISSDHIEIKNW >PAN48095 pep chromosome:PHallii_v3.1:9:52599524:52602210:-1 gene:PAHAL_9G358500 transcript:PAN48095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPCDPDSMAEASGDARMVPARAPSEACRINALPSHVLTRAISFLDARQLVRTCVLSLQWRDLWRSVPRINASREEFDGMPGTWVEQNVLFKKFFNRFLMLRNPTPLDEFNLCYGVRDSEDSTDRDYVAESEDANLWIGHALHCNARSVKVSATEWEAKLHPDPVVFASKCFLTSLELTRVVLFAGFFKNLQMGCTLLERLKLYDCPICDAEVSSQTLKLFTVDTGCFPHREQASISIPSLVDFGYFSGERIPPLLTNLGSLETATVSIGTYAYDTPLSDICQFLRGLSNVTHLDLSYEGPKLEVQANFQWCPKFNNLTTLTLGEWCLCADFYALIVFLQNSPNLMKLILEIRKNPETHEKFIGELEERYFTCEHLKIVEIICPENWEDDPMLNDLVKFLVGNGISSDHIEIKNWRDLYSQQYNHPLELLQI >PAN51685 pep chromosome:PHallii_v3.1:9:71735279:71739204:-1 gene:PAHAL_9G612400 transcript:PAN51685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMRKGKVSGEVALMEVPGGALLGVRTRSRTLALQRAQRPLDKGDAEDAAGEYLELRSRRLEKPHKEQAPAPAPKRGAGKKAAAASPALADDEVEGSFGENMLDFDAMERSTRETTPCSLIRNSEMISTPGSTTKSKSSNSMTSRRRMEASVCRFIPSSLEMEEFFTAAEQHEQHTFREKYNFCPVNDCPLPGRYEWTRLDC >PVH33122 pep chromosome:PHallii_v3.1:9:69388688:69389648:1 gene:PAHAL_9G573400 transcript:PVH33122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCIVADDKWWKDQNYAMTGCICFKDASLKHEEQMRIMFEVVSVTNETSFVLSNGEGGGQEDDGGQNSSELEREGQVPTPPNVTSTLGKRPAPLSPKGKKKKTFRDQCMKRLVEAYEKKAESSNNLATSNVVDSVREKIGNMLD >PVH33367 pep chromosome:PHallii_v3.1:9:73388482:73391429:-1 gene:PAHAL_9G636300 transcript:PVH33367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSSPVVVRLFFYIIHLCCGCGMEEANLQGPSIAPASTSHQDAQDQHQDETPPQEQEQEQISSSYKASEIATRFIEVIDPKSHVDTAAPIDSVKGAVTKFGGILDWREKRKRAHDECDKVQEDVTEHHRRSMEAEAGSAQVLHELRTTTSAADKLRLSLKKAQIEEAQARQEAELADRRLRELQRGASERAAAKAELEGALADLQAARAELQSREKVCAAAAAEAAAVEARAREAAAESRETGKAVEDLAAELFALKAELESSHAALVEAEEKRLSLALALEQDKSQWQMELEDAQQEAKRLRAELMAACDVEMKAEAASELMANLKAELLACAVEEDDKPTVSSLPMLEKINKELEDVKASVERAKDEARCLRVAAASMGDELAKEKAELAALRRKEALSSASIPSLNYELSRVTSELAAAEAAAKADKDESKMAEQIGEARREAEAAKAKARSAREEVAKAREEAGVAKASVTTMEARLEAVKREILAAATSEEIATASAAAILQESRPSNKSQQSEAAVEGGVTLTVEEYDELSRRARETEEIAGKRVMEAVKLIKEAKDAEVRSLEKLAKQDRQSEQRRQALEAATLEAEEEEFGKLSAERELRQWHAEHDPQRRAAGETESPRAGLAEISVLNDPGAGDGRGNPHILSPRGGYMPRTELMASTAEADARQRKTTFFPRMVMFLARKRAQSWK >PVH33366 pep chromosome:PHallii_v3.1:9:73388389:73391430:-1 gene:PAHAL_9G636300 transcript:PVH33366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANLQGPSIAPASTSHQDAQDQHQDETPPQEQEQEQISSSYKASEIATRFIEVIDPKSHVDTAAPIDSVKGAVTKFGGILDWREKRKRAHDECDKVQEDVTEHHRRSMEAEAGSAQVLHELRTTTSAADKLRLSLKKAQIEEAQARQEAELADRRLRELQRGASERAAAKAELEGALADLQAARAELQSREKVCAAAAAEAAAVEARAREAAAESRETGKAVEDLAAELFALKAELESSHAALVEAEEKRLSLALALEQDKSQWQMELEDAQQEAKRLRAELMAACDVEMKAEAASELMANLKAELLACAVEEDDKPTVSSLPMLEKINKELEDVKASVERAKDEARCLRVAAASMGDELAKEKAELAALRRKEALSSASIPSLNYELSRVTSELAAAEAAAKADKDESKMAEQIGEARREAEAAKAKARSAREEVAKAREEAGVAKASVTTMEARLEAVKREILAAATSEEIATASAAAILQESRPSNKSQQSEAAVEGGVTLTVEEYDELSRRARETEEIAGKRVMEAVKLIKEAKDAEVRSLEKLAKQDRQSEQRRQALEAATLEAEEEEFGKLSAERELRQWHAEHDPQRRAAGETESPRAGLAEISVLNDPGAGDGRGNPHILSPRGGYMPRTELMASTAEADARQRKTTFFPRMVMFLARKRAQSWK >PAN50711 pep chromosome:PHallii_v3.1:9:67239892:67242990:1 gene:PAHAL_9G540100 transcript:PAN50711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHKDMEAHRDTLLSEEPLLENSIERRSEKIIDIKGVKHHTTDSWRACTYILVTECFEELAYYGIQFNLVTFLKTVLHENNVTAARNYSNWQGTCYIAPLVGAIIADSYLGRYLTTVVFFTVYLVGMAAMSISASFPTCAGPDCLQDGSFKSFVFFLGLYMMAIGAGGIKPCVSSFGADQFDDSIPAERLKKDSFFNWFFFAIYIGSFVSGTAVVWVQDHCGWVVGLGIPTLFIAFAIASFMLGSSSYRFQKPLGSPIVRVFQVLVAATRKWAIRVPRDDSLLHEAPEMVDVHKLQHTPELRFLDKAAVISSDDEIADPWRLCTVTQVEELKVVVGMLPIWATGIVYFSVLAQFSSTFLEQGRTMNKQVGAFAIPPASLASFDAVSVIFWVPVYDRVLVPAARRLTGRERGLSELQRFGAGLLLSVLVMAAAALVEMRRLALARGEGLSSMSILWQVPQYFLVGASVVFACVGQTEFFYNEAPPSMRSLCSALALLTVALGSYLSSLVVTAVAWLTTGGGEAGWIPDDLNEGHLDRFFWLLAAMSALNLAVFVCCARRYSRKNVY >PVH32982 pep chromosome:PHallii_v3.1:9:67239892:67242990:1 gene:PAHAL_9G540100 transcript:PVH32982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMSISASFPTCAGPDCLQDGSFKSFVFFLGLYMMAIGAGGIKPCVSSFGADQFDDSIPAERLKKDSFFNWFFFAIYIGSFVSGTAVVWVQDHCGWVVGLGIPTLFIAFAIASFMLGSSSYRFQKPLGSPIVRVFQVLVAATRKWAIRVPRDDSLLHEAPEMVDVHKLQHTPELRFLDKAAVISSDDEIADPWRLCTVTQVEELKVVVGMLPIWATGIVYFSVLAQFSSTFLEQGRTMNKQVGAFAIPPASLASFDAVSVIFWVPVYDRVLVPAARRLTGRERGLSELQRFGAGLLLSVLVMAAAALVEMRRLALARGEGLSSMSILWQVPQYFLVGASVVFACVGQTEFFYNEAPPSMRSLCSALALLTVALGSYLSSLVVTAVAWLTTGGGEAGWIPDDLNEGHLDRFFWLLAAMSALNLAVFVCCARRYSRKNVY >PAN47274 pep chromosome:PHallii_v3.1:9:16705388:16707560:1 gene:PAHAL_9G245200 transcript:PAN47274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRWLKSILAGRKDGGGKKAPPQQQDGDATPLPAASSPREKKRWSFRRPATEQQQQQGKKPAAALSPLWSMDPAAAGIAGVSVSGREPDGLDQSNHAVVLAVAAAGAADAAVMAAAEAAAEVARLAAEEESDVSAICAVEEAAAARIQATFRGYLARKALCALRGLVKLQALIRGQLVRRQATATLRRMQALVDAQSRLRAQRARMVDADHVAAAAYQRRSPQHPRRRSSYEMDRSGEEHVKIVEVDVGDPAWRGRSSCSAAATESRERRFAEYYCYGGGGGGGGQCSPAPSSAAFGAELSPQHAYSGHFDDAFAFADHAAAAGSSPYVSPYDACDGYGAVPSYMANTESSRAKARSQSAPRQRTDGAAGAAALERQPSRRRGAPRKTMQRSSSHIGVPAAVGACGYGYGYGYGYGYHHQQQQQQQNPWAGVRLDRSSASLVGSECGSTSSVLTAATVGYCRSLVGFEVQRGRY >PAN44943 pep chromosome:PHallii_v3.1:9:4749332:4751255:-1 gene:PAHAL_9G082400 transcript:PAN44943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHILVLPLPAQGHVTPLMELSHRLVDHGFEVTFVNTQAHHARVVGALQAAGGTAALPGIHLASISDGVEDDAEDRKDVGKIIDSYSRHMPGHLERLIAEMEAAGRPKVRWLVCDVYTWWSFDVAKKFGIRVAAVWPAAVACLAINLKIPKLTEDGLLNNKGWPERDETFQLAPGIPPLHTSQLPWVNARAPEGQAVIFELFSRTDRLTALAEMVVCNSFREVEAGAFKLLPNVLPIGPLTSDRELRKPVGQFLPEDTRCLRWLDAQPDGSVVYVAFGSYTVFDPRQFRELALGLELTGRPFLWVVRPDFTTAAGDLSKAWFDEFQARVAGTGMVVSWCPQQKVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFADQFLDRNYITDVWRTGLAVSPGSGGVVTREELRSKVERVAGDGGIRERARLFRDAARRGVGKGGASYENFRKFVDLLRG >PAN50990 pep chromosome:PHallii_v3.1:9:68705563:68706271:1 gene:PAHAL_9G562800 transcript:PAN50990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAHNQDQELARIRELARGFPIATVAASHDGPPAFLPSGKAFPHTLEGNYEAVRASVERATSAQVALALSSRDGELALGGRVWRFHLGAGAGLDDPRRVCAGIRAHARAAMPRGSLVTLDGAEDAAYLVRHIVDGGLPRLRDEFLRACGDAWFWEVKVAYNAFLYGLGAADNEYLVRYKMDKVEEEERDRRLTELLHLHVHDEAVLQNIRIL >PAN51712 pep chromosome:PHallii_v3.1:9:71871798:71873732:1 gene:PAHAL_9G614600 transcript:PAN51712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVTVYGPVISPAVARVAACLLEKDVPFQIEPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYADRGNQALLGKKEDGAVGRAAIEQWIEAESQSFNPPSLAIIFQLAFAPMMGRTTDMSVVEQNEVKLTKVLDVYDQRLGESQYFAGDDFSLADLVHLPNADFLVNRTNKAGLITERKNLARWWDDVSARPAWKKVIEMQSSPRPS >PVH30999 pep chromosome:PHallii_v3.1:9:1851312:1858369:-1 gene:PAHAL_9G033100 transcript:PVH30999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGVIKDPAFLDGLKTRHLHHGFTSSLLLGFFYQDSAEAPEYLSQHHFDKTRCMAPSFTPTSELLPVTGRKEGYNSARPLSLSTFIQGIGSSLNFYEPVASQDSFLVLCRHSKDSEGHCRADKVRVCNPLTGEVLDGRMTQSFQLVAIWVKGKRFIYIYYCSKTRSWWPASFPELMAGLYLVSTPAAASHGKIHWLCGCWKSWSLSHVVSLHVDGEELSYLELPSEVKRNKTPLLGNSADGGLLLLLMKGLHMSLWKHKRNSADGSFLLLLLKGAQMSLWKHGSNTSSWVLSETINLASSLPRRVLQMHAREKIKLEMFLGKSGAVVLWVDGEGLFLFSLSDGSIRKIGNKRATKKHSLCPYEIDWVSCLSMMNLIVDDSLLLDAGRKIIQKRWRKMVARHMKKNKKHLDGEA >PAN44269 pep chromosome:PHallii_v3.1:9:1691304:1693381:1 gene:PAHAL_9G030400 transcript:PAN44269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTQVFEKLFKFTGPNLKHLLERPAVEGPDPEPGRYCLRLHRNRVYYASEALVRRATAVARPRLAAVGTPIGKFTHHGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKAGDGVVVMSMADVPLGFGVAARSAQDCRKADTNAVVVLHQADAGEYLRKEEELM >PAN46285 pep chromosome:PHallii_v3.1:9:10968371:10975641:-1 gene:PAHAL_9G174900 transcript:PAN46285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTLLEFQPNKGDVLPSHKFGTHDVVALKPNKADAGSASLGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAVQTGPCANLVPVLFGEKAPMRSKDAMKFSPFNKNLDDSQKDAISKALGSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLARYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNIKRDIRKELKTLAKEERKRQQLAVTDVLKNADVVLTTLTGASSKKLNGITFDLVVIDEAAQALEVACWIALLKGPRCILAGDHLQLPPTIQSVEAEKKGMGMTLFERLTEAYGEEITSMLTVQYRMHEHIMNWSSKELYNNKIKAHSSVAGHMLYDLEEVTRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVHASDVGIITPYAAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSSDKFMKRLVEYFEENGEYLSASEYQSS >PAN46284 pep chromosome:PHallii_v3.1:9:10968371:10975642:-1 gene:PAHAL_9G174900 transcript:PAN46284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSGGGGKGAAGRMMSLQEFVSSMAPLIDLEKAAEISAESETSAKSLERRGSVIANLKCTDAQTGLMGKTLLEFQPNKGDVLPSHKFGTHDVVALKPNKADAGSASLGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAVQTGPCANLVPVLFGEKAPMRSKDAMKFSPFNKNLDDSQKDAISKALGSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLARYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNIKRDIRKELKTLAKEERKRQQLAVTDVLKNADVVLTTLTGASSKKLNGITFDLVVIDEAAQALEVACWIALLKGPRCILAGDHLQLPPTIQSVEAEKKGMGMTLFERLTEAYGEEITSMLTVQYRMHEHIMNWSSKELYNNKIKAHSSVAGHMLYDLEEVTRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVHASDVGIITPYAAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSSDKFMKRLVEYFEENGEYLSASEYQSS >PAN52020 pep chromosome:PHallii_v3.1:9:73415214:73419124:-1 gene:PAHAL_9G636900 transcript:PAN52020 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MEVAGAQARAVPLLLRHPSSLRTSVSVSCAGSRRSWVAAATAEGDDTRGYDKVPMDTPGAYRLVDRATGRSVIVWGGTDDGDEAAMPSPAVLSRTTDRPSRGSAGGTGIGNFGRLKAQKIKSLVARSAYLKRGSSNRTSANRFDEPSFSDSDQEESYFERRKPVSDSERRAKQISSSRNERARGAHSLNSVLSQYRGEDSDSTGSEATSGSKGWGNIADVTYGRQNRKKREPLDFPQRKGPLDSGFFSRRSFKEIGCSDEIIGALRNFDFPRPSHIQALAYGPILEGRSCVIADQSGSGKTLAYLCPIVQNLRKEEVQGLHKSSPRNPRVIVLTPTAELASQVLNNCRLISKSGVPFRSMVATGGFRQKTQLESLEQELDVLIATPGRFLYLMQEGFVQLANLRCVVLDEVDILFGEEGFEQVLHQLITIAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPGVHRTSSRLEEILVDCSGDDNEERNPETAFSNKKSALVKIVEESPVRKTIIFCNKIETCRKVENVLRRLDRKASQIKVLPFHAALDQAQRIANIKEFLKKQTADSMFLVCTDRASRGIDFTNVDHVVLFDYPRDPSEYMRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPCV >PAN47616 pep chromosome:PHallii_v3.1:9:20916306:20925196:-1 gene:PAHAL_9G264200 transcript:PAN47616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPLAAGLLDLRPGKLSPKPPPPLPLPLPARRRTHATAATAAVPSPRHAVPELHSTTELADGSIVFRFAPPKPDVLEPQAEPASRGLGAAAATSPDSTVSPASGAVTGGETEPEPDSEQGVTGAGLGALAVVEQSRPNAAAVVSPAPPPPDAGAASAADKEEPEQAAGSNSGVEEAKARSASVEVIAAAESGYEPEGDGVAVGAGVLTSGAAVETTWTGLEPCEGSKDASAADASGGCEEATGTAGLEDSEAASEGSTARDLDTDVETESSGSSGDEQGAEFGVPLPTVEQVSKEVDWKKGTSEVKDSVRMVEIARSTLVLSSGAAILPHPSKVATGGEDAYFIAGNGWFGVADGVGQWSFEGINAGLYARELMDGCNKFVTENQGASDLRPEQILSKAADEACSPGSSTVLVAHFDGQVLQASNIGDSGFLVIRNGEVYEKSKPMVYGFNFPLQIEKGDDPFKLVQNYAIDLEEGDVIVMATDGLFDNVYEHEVAAIISKSLQADLKPTEIAEHLAAKAQEVGRSGAGRSPFSDAALSVGYLGFSGGKLDDITVVVSIIRTSEI >PAN47272 pep chromosome:PHallii_v3.1:9:16712946:16715306:1 gene:PAHAL_9G245400 transcript:PAN47272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGGHQIVVVVAPVAPGRLPPRVPVPPPRSFLRTVGASLRETLFPDEPWRAVAREPAGRARALAALRYAFPCLEWLPAYSLAKLRADVISGLTVASLAVPQGISYARLAGLDPVVGLYSSFVPALVYTVLGSSRDLAVGTMAVGSLLFASMLGPAVAPAAEPEMYARLAFTATFFAGAFQAALGLLRLGFLVDFLSHAAIVGFMGGCATVVCLSQLKGFLGLPHFTHDTGLPAIMASVFSQTGQWHWQPFVLGCCLFLFLQITRYIGKRKPMLFWVSAAAPLVSVILSTVLVYLIHGEKHGIQSIGSIKKGINPPSIHSLLFSSPHTWLAAKTGIITGIISLAEGSAVAKSFAMAKNYHVDGNKEMIAFGAMNMAGSCTSCYLTAGPFSRSAVNRDAGCRTAASNAVMALAVLATLLFLTPLFRHTPQVALSAIIASAMLGVVDLRAAARLARVDKVDFCVCLGTFLGVVFASVDIGLIVAVAVLVLRILLSVARPRTTALGRVPGTTVYRRMDQYDTARGTPGVLVLRIDSPIYFANASYLRERIARWIDDEVDRIRAAGEESLRCVVLDMGSVASIDSCGTKMVEDLKKSVDKRRLQIALANPGSEIMRKLDKSEVLQLVGDEWIFLTVAEACDYAQSNCKVGTGLQSVASPDEMV >PAN48579 pep chromosome:PHallii_v3.1:9:56307153:56307844:1 gene:PAHAL_9G391600 transcript:PAN48579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLALFLALSLLFAAAAHGCAPYCPGPVVPTPPVVPTPSHSHGRCPIDALKLRVCANVLGLVKVGLPQYDECCPLLQGLVDLDAAVCLCTAIKADVLGIHLNVPVSLNLILNKCGKICPADFTCPQ >PVH32165 pep chromosome:PHallii_v3.1:9:44948027:44948956:-1 gene:PAHAL_9G337300 transcript:PVH32165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLMWESLSLFHYTESPQYDGVEYREEGVPRCRVKMKIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPMEVAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETMLLRHGVSRLTGVAQSHYRNANHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDAQAHIEELQQQPIFPAIPIMPEEEEEDPEEIEGVSDIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PAN45798 pep chromosome:PHallii_v3.1:9:8772363:8773951:-1 gene:PAHAL_9G143200 transcript:PAN45798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRRLQVAVALALVVAVTAATLSSAQQAAVQPAAAFPALPSCPPAPLSLSPCIGYVFGVGSATLTACCSQLRSFLRAQAPCLCAASKLAPSPVGLLLGQAQTIIPNVCDLPNPCDDAAAGDGEGSAPAATSTPSVAGTAPPSSETTTPAATTPATDPSSGTPAADPDASGAPPQPAEDSPAAATAPAGAGSKLPQLLHAAGATSSRVMAAGTVFVTVFLASVATMYV >PVH31245 pep chromosome:PHallii_v3.1:9:5400891:5401711:-1 gene:PAHAL_9G094200 transcript:PVH31245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRCPQAPDPKRTRACRPLLLRPSPPPPSETRGVAPSYSVRRRRPRTRREAAAPERDARPPVAPSAGGHCCSVSRRRGSYLSVRCHCCPCVLSP >PAN47539 pep chromosome:PHallii_v3.1:9:32617234:32621871:-1 gene:PAHAL_9G301000 transcript:PAN47539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGEGSETFATVCSRRGEKGTNQDCSIVWEGFGCQDDTIFCGIFDGHGPWGHYVAKAVLNSLPPSLLCHWQEALTLASLIDHEKKLSDSRFDLWKQSYVAACAAVDDELRRSRRLDAVYSGSTALSIVKQGDLMVVANVGDSRAVLGTTSQDGALAAVQLTIDFKPNLPQEKERIRRCNGQVYCLADEPGVYRVWQPSRDLPGLAMSRAFGDYSVKDYSVISAGGDAEEDQQQGPVRHPRHRRRLGRAVERGDRADRGGDAGQGEGGEAAGGVRRPRVEAQAVGHRCRRLLGDLPLLPLAAVVGARATRKRASIDVRETTKCTSQDYDHRARCIRGWERNCRRPRFRTCSLSHDCDTAAGG >PAN45126 pep chromosome:PHallii_v3.1:9:5472685:5477904:-1 gene:PAHAL_9G095400 transcript:PAN45126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYLASLPATEERSGVTWTKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEFIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMSLDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGLIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLAAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVATLQSDSKIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >PVH31250 pep chromosome:PHallii_v3.1:9:5473816:5477765:-1 gene:PAHAL_9G095400 transcript:PVH31250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYLASLPATEERSGVTWTKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEFIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMSLDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGLIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLAAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVATLQSDSKIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >PAN46531 pep chromosome:PHallii_v3.1:9:12274152:12275236:1 gene:PAHAL_9G192400 transcript:PAN46531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARKVSVDAGREAEEMRRRNTELERAVAEAAAREERLRRELEAALARLAVAEEAEERLCVQLGELEAEAVAQAVEYQEHVRALSERLAFADCVLSRPSSSGIAAGVAGRD >PAN51705 pep chromosome:PHallii_v3.1:9:69987252:69991993:-1 gene:PAHAL_9G582300 transcript:PAN51705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGILLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVMEALDHQRNTSKSADLSESEKENLQRKVVIKEQKSVVASRYMLGVSSNNGKITNLNSSIDSDKSYGGSSICESNQKSVAPKVRQEAKPQERPNTTSPSHAKLVHTKQEINKETRKNSGTSPSPNGAAVVKKQMPKESKKESATERRSPPKLYRSSTTPASTSPPKLSLSAKQNGNSGPVPSVSSVKRRVTETISWDSLPTSLIKSGKAVVRRKNIALVVAAEAQREAAAAAYLVKGLGIFAEIRESSEVDPHAAITKFFQLHRLMVQQSAVWKAYSPEPGKESRAEKEKPSRKVSASQNKAAPYNTAKNSDDAQAGEKVEWAREDGFREICRSWVALKKESQSWFLSFLEDALESGFEFEDQTKTTRERVRGQSKGADGRIAARLSQLKETSNWLDQLQDEADGLVETIEQLKQKVYKCLLGTVETAASALEGR >PAN46383 pep chromosome:PHallii_v3.1:9:11508723:11511251:-1 gene:PAHAL_9G182100 transcript:PAN46383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALPAPAPSNSSWRRHASPAAAAASSSTPKSLLLLLPVLLLLLFALSRAPDLAFSSTSTAAAAAAHSSYSSRHLRPFDCYASPQASPVVANLVEGVPRPFFYSLADMGALPDRPHKNIARLLKGKRFRKPDISETIQGLLGGEVGRGGPSGGVVVDVGANVGMASFAAAVMGFRVVAFEPVFENLQRICDGVYLNRVRDRVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNEDIAVVVSTIPLDEVIPETERVLMIKIDVQGWEYHVLRGASKLLSRTKGEAPYLIYEEDERLLQASNTSSQEIRAFLSSVGYNDCTRRGTDAHCTKE >PAN50153 pep chromosome:PHallii_v3.1:9:61969518:61971164:1 gene:PAHAL_9G463700 transcript:PAN50153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTNVSSSEDSSDEEDIVTIALTNEEPSLPPSPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILGSTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLLRGEYIHKEILFNNARDYGLGSFPEPNMATTPSPEIKTSFIKEAGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN51182 pep chromosome:PHallii_v3.1:9:69581794:69590323:-1 gene:PAHAL_9G576600 transcript:PAN51182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLGGRGGGRGGGGGASKRPPAPHGRGRGGTSSISGMGAPPRGRAAASSAAAAQPAGRDEAFRLESSGPPAFAAIIRLTPDLIDEIRRAEEAGGGARIKFNPNMYNSSENVIDVNGKEFKFTWASERGELCDIYEERQSGEDGNGLLQECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGSMRRMHWKQKNEFFKKNKAAVIAPTKSVSKVKLSNNIPKGNFSTSPAPSPEQPGASIPSFPLGSDANNEVITPFDLNKEENNKTEKATPSKMSKGINRRASVHSASVDDNTNEVRSLLISILSENPKGMSLKALEKAVADVFPNTSKKIESSIKNIANYQAPGRYVLKPGLEVENSKRHASEEGRSINENVDEFPPSLKIDDPDIFESIDVVGSPAATAGDEKVNNGSEDKAGTSSESASDSDSDSDSSDSGSDSGSQSRSAAESGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKANTAQTKVADDLNLSSSPRDLTRLDVDDEQIDIGTNMDYRTTSPHIDLNNFNTNNDDAESDGLAAGNLKKPSQIPGSKNLPSNRMEPSRVDSKYNEMSYQEDLFDDSLRTTSEIPNEEAGQFTKQHPNRRKSTSKDGSNHGPMSIGDKSAKPKLRRSSGNENSTIELESGKKVKVDAASPVITGSFSDHKKSLLPEKHTNDRLNKETGSVSRIASRDSSPAMKGRPLASGNIQKTDQSPNVPIPAMHSERPKENIEKSSLKKKADKMQKPWHGTDVDFGTHGEGQHANFDGSDDSSTRKRSRHGDSLIDEKMLKRSKDANVNINSMNLTKTSSGNVGPDEITACPEPNEINGELSTLQRDNFERSPYGKKKLQRELSDLELGELRESSLENDNGRTRKQFERNSSSKSLDGKLTDINNSHSSMNNRKAHVTGFHDKGKPSPQEYGIGGHINQEAFPRKAAGYDFDDNRPQQRGNVPENQHFPRTDHSDSENISYRSGEKTSKKESRTAQGGMLEYPDMKKKTTSRLPQNGINNAIVSRTQKSISPSDNEERSRNNSLIETETGRKRDSSSDDDNLFFSKYDKDEPELKAPIKDFSQYKDYVQEYNEKYEAYSYLNSQIEKTKSEFLKVQEDLNVAKERDKEQYYNIVERLRDMYRESGARHKLMKKVFVLLHEELQTIKQRIRDFTEAYSNE >PVH33137 pep chromosome:PHallii_v3.1:9:69581794:69590220:-1 gene:PAHAL_9G576600 transcript:PVH33137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVKNSNSHGHLNAASCVIFMRNVRVERMEMGCFKSVALLGARSIVLDPANPSVKSQAKSMAAAAVEGSMRRMHWKQKNEFFKKNKAAVIAPTKSVSKVKLSNNIPKGNFSTSPAPSPEQPGASIPSFPLGSDANNEVITPFDLNKEENNKTEKATPSKMSKGINRRASVHSASVDDNTNEVRSLLISILSENPKGMSLKALEKAVADVFPNTSKKIESSIKNIANYQAPGRYVLKPGLEVENSKRHASEEGRSINENVDEFPPSLKIDDPDIFESIDVVGSPAATAGDEKVNNGSEDKAGTSSESASDSDSDSDSSDSGSDSGSQSRSAAESGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKANTAQTKVADDLNLSSSPRDLTRLDVDDEQIDIGTNMDYRTTSPHIDLNNFNTNNDDAESDGLAAGNLKKPSQIPGSKNLPSNRMEPSRVDSKYNEMSYQEDLFDDSLRTTSEIPNEEAGQFTKQHPNRRKSTSKDGSNHGPMSIGDKSAKPKLRRSSGNENSTIELESGKKVKVDAASPVITGSFSDHKKSLLPEKHTNDRLNKETGSVSRIASRDSSPAMKGRPLASGNIQKTDQSPNVPIPAMHSERPKENIEKSSLKKKADKMQKPWHGTDVDFGTHGEGQHANFDGSDDSSTRKRSRHGDSLIDEKMLKRSKDANVNINSMNLTKTSSGNVGPDEITACPEPNEINGELSTLQRDNFERSPYGKKKLQRELSDLELGELRESSLENDNGRTRKQFERNSSSKSLDGKLTDINNSHSSMNNRKAHVTGFHDKGKPSPQEYGIGGHINQEAFPRKAAGYDFDDNRPQQRGNVPENQHFPRTDHSDSENISYRSGEKTSKKESRTAQGGMLEYPDMKKKTTSRLPQNGINNAIVSRTQKSISPSDNEERSRNNSLIETETGRKRDSSSDDDNLFFSKYDKDEPELKAPIKDFSQYKDYVQEYNEKYEAYSYLNSQIEKTKSEFLKVQEDLNVAKERDKEQYYNIVERLRDMYRESGARHKLMKKVFVLLHEELQTIKQRIRDFTEAYSNE >PAN51181 pep chromosome:PHallii_v3.1:9:69582517:69587979:-1 gene:PAHAL_9G576600 transcript:PAN51181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGSMRRMHWKQKNEFFKKNKAAVIAPTKSVSKVKLSNNIPKGNFSTSPAPSPEQPGASIPSFPLGSDANNEVITPFDLNKEENNKTEKATPSKMSKGINRRASVHSASVDDNTNEVRSLLISILSENPKGMSLKALEKAVADVFPNTSKKIESSIKNIANYQAPGRYVLKPGLEVENSKRHASEEGRSINENVDEFPPSLKIDDPDIFESIDVVGSPAATAGDEKVNNGSEDKAGTSSESASDSDSDSDSSDSGSDSGSQSRSAAESGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKANTAQTKVADDLNLSSSPRDLTRLDVDDEQIDIGTNMDYRTTSPHIDLNNFNTNNDDAESDGLAAGNLKKPSQIPGSKNLPSNRMEPSRVDSKYNEMSYQEDLFDDSLRTTSEIPNEEAGQFTKQHPNRRKSTSKDGSNHGPMSIGDKSAKPKLRRSSGNENSTIELESGKKVKVDAASPVITGSFSDHKKSLLPEKHTNDRLNKETGSVSRIASRDSSPAMKGRPLASGNIQKTDQSPNVPIPAMHSERPKENIEKSSLKKKADKMQKPWHGTDVDFGTHGEGQHANFDGSDDSSTRKRSRHGDSLIDEKMLKRSKDANVNINSMNLTKTSSGNVGPDEITACPEPNEINGELSTLQRDNFERSPYGKKKLQRELSDLELGELRESSLENDNGRTRKQFERNSSSKSLDGKLTDINNSHSSMNNRKAHVTGFHDKGKPSPQEYGIGGHINQEAFPRKAAGYDFDDNRPQQRGNVPENQHFPRTDHSDSENISYRSGEKTSKKESRTAQGGMLEYPDMKKKTTSRLPQNGINNAIVSRTQKSISPSDNEERSRNNSLIETETGRKRDSSSDDDNLFFSKYDKDEPELKAPIKDFSQYKDYVQEYNEKYEAYSYLNSQIEKTKSEFLKVQEDLNVAKERDKEQYYNIVERLRDMYRESGARHKLMKKVFVLLHEELQTIKQRIRDFTEAYSNE >PVH33307 pep chromosome:PHallii_v3.1:9:72389314:72392857:-1 gene:PAHAL_9G622400 transcript:PVH33307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSYRAAAAAAALLLAPLLLLLPHWAAAATDAERRALLDFKAAITADPTGVLATWTPSGDPCAFVGVTCGPSSGAVQRLRIHGAGLAGALAPSLARLPALESISLFGNRLEGGVPPSLRALAPTLRKLNLSRNALAGEIPPFLGAFPWLRLLDLSYNAFAGEIPAALFDPCLRLRYVSLAHNNLTGPVPPGIAKCSRLAGFDFSYNRLSGELPDQVCAPPEMNYISVRSNSLSGDLAAKLTSCSSIDFFDVGSNRFSGAAPFALLGTVNITYFNVSSNAFDGEIPSITTCGSKFSYFDASGNQLTGPVPESVVNCRNLRVLDLGANALAGVVPPVIGTLRSLSVLRLAGNAGISGSIPAELGGTEMLVTLDLAGLALTGEIPGSLSQCQFLLELNLSGNKLQGAMPSTLNNLTYLKMLDLHRNQLDGGIPVTLGQLTNLVLLDLSENQLTGPIPQELGNLSNLMHFNVSFNNLSGMIPLVPVVQKFDFTAFMDNPLLCGHPLNTLCGRQGHRKRLGVPIIIAIVAAALILIGICIVCALNIKAYTCKSRDEDRKEEEEVLVSESTTIASPGSNAIIGKLVLFSKSLPSRYEDWETGTKALLDKDCLIGGGSIGTVYKATFENGLSIAVKKLETLGRVRDQDEFEHEMSQLGNLSHPNLVAFQGYYWSSSMQLLLSEFMANGSLYDHLHGNHPYGFSESSSRGGGGELSWERRFNIALVAARALAYLHHDCRPQILHLNIKSSNIMLDGKYEGKLADYGLGKLLPILGSIELSRIHTAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGKKPVDSPGMATAVVLHDYVREILEDGTASDCFDRNLRGFVEAELIQVLKLGLVCTSNTPSSRPSMAEVVQFLESVRTSS >PAN46230 pep chromosome:PHallii_v3.1:9:10747459:10753667:1 gene:PAHAL_9G171500 transcript:PAN46230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPMAAAAAAGEKKKSKGKKKGKNGPAKVAMKARAAAAEEQSNPFEAIWSRRKFDVLGKKRKGEERRVSRARSEAIRKRENTLLKEFEESAKSSVFHDRRIGETDDALPEFDKAVLRQQRERLAKLKRESKYNLPDEDEDEINVHNLLSEKDDFDEDVPFDDESDEEGKMVLSKKRLSLQGGDRPSETDLPQETHEHKSKKEVMTEIILKSKFFKAQKAKAREEDEHLVDKLDSEFASLAQTQALLSLTESTKVKANKNDSSAGLTGKEFFTKAKSDTYEKMVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEEERQKRMLGTADSSDEDDDNKDDNHMKLDNSKPISGDDLGDSFTDDSIRKKKGWVDEIYEKEGRKIGDDAAASDDEESDDEHADDDESDDDEEEDDAEDDSNDFGNMSARDWEQSDDDEVDAGDDEMEDVHEKEQEISGKVVKRDAQNLKKESNVKTQVKDGSVPFVIDAPNNLKDLSSLLDGRSETEIVEIISRIRTCNSIRLAAENRRKMQVFYGVLLQYFAVLATQSPVKFKIIDTLVKPLIEMSGETPYFAAICSRERLIHTRTRLCEDIKVPGKSSWPNLKTLLLLRLWSLTFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAIVFLQSLLVTSLKGKVATHLHNQINDQFMELKTLKPWLSIREQVHEVNPVNVLEIMGMDPDVPYFSSDDFKAGVLLSVAECLRGFVIIHEELSSFPEIFLPISSLLQEILDKSEVPGLLRDIFLEVIDLIKKRSDEHHASREPLRMRKKKPEPIKQLNPKFEENYIKGLDYDPDRERAQMKKLKKRLKSEKSGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQESAFKSGQLGKGKGRKRRR >PAN46231 pep chromosome:PHallii_v3.1:9:10747381:10753667:1 gene:PAHAL_9G171500 transcript:PAN46231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPMAAAAAAGEKKKSKGKKKGKNGPAKVAMKARAAAAEEQSNPFEAIWSRRKFDVLGKKRKGEERRVSRARSEAIRKRENTLLKEFEESAKSSVFHDRRIGETDDALPEFDKAVLRQQRERLAKLKRESKYNLPDEDEDEINVHNLLSEKDDFDEDVPFDDESDEEGKMVLSKKRLSLQGGDRPSETDLPQETHEHKSKKEVMTEIILKSKFFKAQKAKAREEDEHLVDKLDSEFASLAQTQALLSLTESTKVKANKNDSSAGLTGKEFFTKAKSDTYEKMVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEEERQKRMLGTADSSDEDDDNKDDNHMKLDNSKPISGDDLGDSFTDDSIRKKKGWVDEIYEKEGRKIGDDAAASDDEESDDEHADDDESDDDEEEDDAEDDSNDFGNMSARDWEQSDDDEVDAGDDEMEDVHEKEQEISGKVVKRDAQNLKKESNVKTQVKDGSVPFVIDAPNNLKDLSSLLDGRSETEIVEIISRIRTCNSIRLAAENRRKMQVFYGVLLQYFAVLATQSPVKFKIIDTLVKPLIEMSGETPYFAAICSRERLIHTRTRLCEDIKVPGKSSWPNLKTLLLLRLWSLTFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAIVFLQSLLVTSLKGKVATHLHNQINDQFMELKTLKPWLSIREQVHEVNPVNVLEIMGMDPDVPYFSSDDFKAGVLLSVAECLRGFVIIHEELSSFPEIFLPISSLLQEILDKSEVPGLLRDIFLEVIDLIKKRSDEHHASREPLRMRKKKPEPIKQLNPKFEENYIKGLDYDPDRERAQMKKLKKRLKSEKSGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQESAFKSGQLGKGKGRKRRR >PVH31525 pep chromosome:PHallii_v3.1:9:10747398:10753667:1 gene:PAHAL_9G171500 transcript:PVH31525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPMAAAAAAGEKKKSKGKKKGKNGPAKVAMKARAAAAEEQSNPFEAIWSRRKFDVLGKKRKGEERRVSRARSEAIRKRENTLLKEFEESAKSSVFHDRRIGETDDALPEFDKAVLRQQRERLAKLKRESKYNLPDEDEDEINVHNLLSEKDDFDEDVPFDDESDEEGKMVLSKKRLSLQGGDRPSETDLPQETHQEHKSKKEVMTEIILKSKFFKAQKAKAREEDEHLVDKLDSEFASLAQTQALLSLTESTKVKANKNDSSAGLTGKEFFTKAKSDTYEKMVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEEERQKRMLGTADSSDEDDDNKDDNHMKLDNSKPISGDDLGDSFTDDSIRKKKGWVDEIYEKEGRKIGDDAAASDDEESDDEHADDDESDDDEEEDDAEDDSNDFGNMSARDWEQSDDDEVDAGDDEMEDVHEKEQEISGKVVKRDAQNLKKESNVKTQVKDGSVPFVIDAPNNLKDLSSLLDGRSETEIVEIISRIRTCNSIRLAAENRRKMQVFYGVLLQYFAVLATQSPVKFKIIDTLVKPLIEMSGETPYFAAICSRERLIHTRTRLCEDIKVPGKSSWPNLKTLLLLRLWSLTFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAIVFLQSLLVTSLKGKVATHLHNQINDQFMELKTLKPWLSIREQVHEVNPVNVLEIMGMDPDVPYFSSDDFKAGVLLSVAECLRGFVIIHEELSSFPEIFLPISSLLQEILDKSEVPGLLRDIFLEVIDLIKKRSDEHHASREPLRMRKKKPEPIKQLNPKFEENYIKGLDYDPDRERAQMKKLKKRLKSEKSGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQESAFKSGQLGKGKGRKRRR >PAN47802 pep chromosome:PHallii_v3.1:9:27330474:27331484:-1 gene:PAHAL_9G281300 transcript:PAN47802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRVPTVALPLNRQIKCFRTFSDVDGGRSGVSFGEAAAKLLVLHGNSGEVFVGARPVRLVCSFLVKDGGLDFPSMGLLSWLLLDAAAVDFLWVVDSVDGAGLFLIQGHDDDGRCAPEWRRLAATKCWRSRRAPWLSFSPACRASSPTPAELEEVRCARIQGLHS >PAN46417 pep chromosome:PHallii_v3.1:9:11682173:11685960:1 gene:PAHAL_9G184600 transcript:PAN46417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSPPAARLAPLLRLHRLNPKPSLLRLHLLLPQMGRAAPSPHRAMSSVPSLRLSSWDSADDPLDFSAVAGAVFFPLRRRAAKRNRAPSPEFVEVRAAAGKRAGGAAEGAKRKRAPSPDIVEVPADADRRVSGAVEGMRRKRSLSPEVVEVPAAADKRAVGAAEGEEKARAAKKGNSNSHLDKKTVKVMTYNVWFHEDLELIRRMNAIGALIQHHSPDLIGFQEVTPDIYLLFEKSDWWQAYKCSLSHEEAMERPYYCMQMSKLPVESFNCKPFSNSHMGRELCTADVIVGGLIKLVLATSHLESPCPGPPTWDQMFSKERVAQANESLRILGAFRNVIFCGDMNWDDKGDGPFPLPDGWIDAWAELKPGENGWTYDTKANAMLSGNRKLQKRLDRFVCKLSDFKVDSIEMIGKEAIPGITYIKEKKVRQEIRQLVLPVLPSDHFGLVLTISSV >PAN46406 pep chromosome:PHallii_v3.1:9:11600169:11604916:-1 gene:PAHAL_9G183500 transcript:PAN46406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRSGFCPATRTFRSLRPPIALPPEDAPVSFPSFALSRLPSPLPAHPAFVDASTGAALSFAALLARVRSLSAALRGALGVARGDVTLVLAPPSLDVPVVYLAVLSLGAVVSPVSPLSTAADVARAVGLCSPSVVFATAATVSKVPAARELTVVLLDSPQFESFLHGHEPVEADGPPAEVRQSDVAAISYSSGTTGRTKAVAQSHRRLIASSLQVPSARPRAPGGPVVTLLGVPMFHSYGFHMLMRGVAAAETTAVVTAPRGGAAAVAAAAARCRATQMFVAPPVVVAMARGGGGGPEGFPDLVRVDCGGAPLSSAAASAFQERFPDVELSLALGSTEGGVISKMVGHHECHQIKSTGRLCSGVEAKVVDIISGGLLSTNEQGELCIQSPSVMLGYVGGNETRISAFDSDGWLKTGDLCYFDEDGFLYVVDRLKDLIKYKAYQVAPAELEDVLHLIPGISDAAVIPYPDEEAGQLPMALVVRQKGSNNLTEDQIMEFVAEQVAPHKKIRRVVFVDSIPRLPSGKLLRRELHKQITRSQSISRL >PAN46405 pep chromosome:PHallii_v3.1:9:11600169:11604917:-1 gene:PAHAL_9G183500 transcript:PAN46405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRSGFCPATRTFRSLRPPIALPPEDAPVSFPSFALSRLPSPLPAHPAFVDASTGAALSFAALLARVRSLSAALRGALGVARGDVTLVLAPPSLDVPVVYLAVLSLGAVVSPVSPLSTAADVARAVGLCSPSVVFATAATVSKVPAARELTVVLLDSPQFESFLHGHEPVEADGPPAEVRQSDVAAISYSSGTTGRTKAVAQSHRRLIASSLQVPSARPRAPGGPVVTLLGVPMFHSYGFHMLMRGVAAAETTAVVTAPRGGAAAVAAAAARCRATQMFVAPPVVVAMARGGGGGPEGFPDLVRVDCGGAPLSSAAASAFQERFPDVELSLALGSTEGGVISKMVGHHECHQIKSTGRLCSGVEAKVVDIISGGLLSTNEQGELCIQSPSVMLGYVGGNETRISAFDSDGWLKTGDLCYFDEDGFLYVVDRLKDLIKYKAYQIPG >PAN44442 pep chromosome:PHallii_v3.1:9:2392538:2393976:-1 gene:PAHAL_9G043200 transcript:PAN44442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQTQFSGRPCVISVTWSKSLMGQGLSIGVDDLSGQCLCKADIKPWLFSKKKGSKSLDVEDGKIEIFWDLSSAKFGAGPEPVEGFYVAVVFDLELVLLLGDMKKDAYRKTGANRSMLNAAFVARREHIYGKKVYSAKAQFCDKGQFHDIVIECDTIGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTASNAVFMFQTCQAPEKSLPWSYSQIFRESQLQGLGFSLILHAWKLE >PVH31667 pep chromosome:PHallii_v3.1:9:13219018:13219715:1 gene:PAHAL_9G206000 transcript:PVH31667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILRLRVFIAVMEASCAQDSPLLTGSDGNFQDSPDCTGTAWCLLSCQSLWGQLLVLKFSNYVRSRVLISTIAGIASIC >PAN51621 pep chromosome:PHallii_v3.1:9:71484315:71500859:-1 gene:PAHAL_9G608100 transcript:PAN51621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) UniProtKB/Swiss-Prot;Acc:Q9LSW2] MDYLKTVVPSQLMAERGSNLVVINPGSANVRMGFASQDVPFNIPHCIARHINPQEGKELNFSVRDQMLNCRASSSQNAERESAYDIIAALMKIPFLDEELPSPNQPLPPKMGRVDGFSLQQSRDDNKFTWTNVTERSIKPSTSIDRSVYKGAEEDTPPSTSGDGNGHDFEENKYKEMIFGEDALKIPPSESYCLSRPIRRGHFNVSHNYSLHQVLEDLRTIWNWILTEKLHINPRDRGLYSAILVLGETFDNREIKEMMSIVLHDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHHTWPNFQTDPVSKPIDMLMLNKIKESYSQIRSGTFDAVTIVHSYDNERSAGHQKTRLSALNVPPMGLLYPRVLVPEEYPPPPRSWFHDYDDMLEDTWQTSDSLYPSGNGGYGMWDNYPMFPTRLKKFDNIGLVEAIVSSVLSTGRVDLQRKLFCSIQLVGGAASTAGLAPVLEQRILNKIPANQSVERVEVLQSRTYPLFVPWKGGVILGVLDTGRDAWIHREDWIKNGVHIGSGRKYKDSYFLQAQVMCYYNI >PAN44888 pep chromosome:PHallii_v3.1:9:4510992:4515660:1 gene:PAHAL_9G077700 transcript:PAN44888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAPPWYRLPTGIAKPAAAAAVVVAALASSFLALPRPRAASVAAGSGLVMSKARVYADINVVRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKRSASA >PAN44889 pep chromosome:PHallii_v3.1:9:4510992:4516896:1 gene:PAHAL_9G077700 transcript:PAN44889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAPPWYRLPTGIAKPAAAAAVVVAALASSFLALPRPRAASVAAGSGLVMSKARVYADINVVRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFVQVRAAENSRTRPQ >PAN51684 pep chromosome:PHallii_v3.1:9:71728193:71731039:-1 gene:PAHAL_9G612300 transcript:PAN51684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGTKERGRFSRFTRCMSMQCLCSGDQMNRMDRAVQLSEYVDIKDGLNRRYSSPNFVIEQHANNTGMEDAELSLQRVGSLNYEEARALLGRVEYQRGHIEEALRVFDGIKVSTVIPEMKISIARKVGQQKPRPYSSSPALPFHAVTVLMETIYLKALALNDLGRFEEAARECSTILDIVESAVPEGLPSNFGNDCNLNETICRAVELLPELWKLGGFSLETVSSYRRALVTNWNLDAKTIAKIQKELAIFLLYSGCEASPPKLRCQLDGLFVPQNNLEEAILLLLILLMKFNLRRIERDPTVMHHLAFALSVSGQLKPLARQFKALLPGILDNREWLYNVALCYLASDDDLTALDLLRRVLKSGEDSNSFKELLLASKICCESSARVGEGVLYARRALAIQHGCCDQMDVVAGRLLGISLSNQARYATTDIERSSQQHEALEVLGDAGKKAHNRDFGTIYSLSLENAVQRKLDTAARYAKKLLKLEAGSELKTWLLIARIMSAQKRFEDAERIVNAALDQAGKWSQGDLLQTKAKIQIAQGQFRKAIETYTQILALIQVRMKSFGAGISVLQGTKTDKSLEIKTWYDLALLYLRMSQWKDAELCISKIKAISTYSPFACHATGKLLEAKGLAKEALQAYSTALDLEPKHVPSLISTATVLRQLCEKPLPAMRCFLTDALRVDRTNHVAWFNLGLLYEDEGDTAAIEAAECFKAAAVLEETAPAEPFR >PAN51683 pep chromosome:PHallii_v3.1:9:71727783:71732188:-1 gene:PAHAL_9G612300 transcript:PAN51683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGTKERGRFSRFTRCMSMQCLCSGDQMNRMDRAVQLSEYVDIKDGLNRRYSSPNFVIEQHANNTGMEDAELSLQRVGSLNYEEARALLGRVEYQRGHIEEALRVFDGIKVSTVIPEMKISIARKVGQQKPRPYSSSPALPFHAVTVLMETIYLKALALNDLGRFEEAARECSTILDIVESAVPEGLPSNFGNDCNLNETICRAVELLPELWKLGGFSLETVSSYRRALVTNWNLDAKTIAKIQKELAIFLLYSGCEASPPKLRCQLDGLFVPQNNLEEAILLLLILLMKFNLRRIERDPTVMHHLAFALSVSGQLKPLARQFKALLPGILDNREWLYNVALCYLASDDDLTALDLLRRVLKSGEDSNSFKELLLASKICCESSARVGEGVLYARRALAIQHGCCDQMDVVAGRLLGISLSNQARYATTDIERSSQQHEALEVLGDAGKKAHNRDFGTIYSLSLENAVQRKLDTAARYAKKLLKLEAGSELKTWLLIARIMSAQKRFEDAERIVNAALDQAGKWSQGDLLQTKAKIQIAQGQFRKAIETYTQILALIQVRMKSFGAGISVLQESYLKPKVWQRRLCKHIQQR >PVH32991 pep chromosome:PHallii_v3.1:9:67402652:67404819:1 gene:PAHAL_9G543100 transcript:PVH32991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIYEVLRNKIISEVKQSVILQEDGSEKLKLKDLSDAIYKDIGSKIMGQISDEVWNVIQSNETDIRGTVEAVYNRILNPEKAPEPSSKKLKRKGKEQQVLPAKTQTTVAVEAEDDDPLEPPGFGRNNQHNNIAAAAAAAAPAPAPAAAAAEQKQQPKPNLENHNQAKLNGGETAAASGPAGDDDDPMVPPGFG >PAN50748 pep chromosome:PHallii_v3.1:9:67399909:67404819:1 gene:PAHAL_9G543100 transcript:PAN50748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKPCPPTAAEVVGRLKDDGDFDALRRVIVRKVKDNEVLRNKIISEVKQSVILQEDGSEKLKLKDLSDAIYKDIGSKIMGQISDEVWNVIQSNETDIRGTVEAVYNRILNPEKAPEPSSKKLKRKGKEQQVLPAKTQTTVAVEAEDDDPLEPPGFGRNNQHNNIAAAAAAAAPAPAPAAAAAEQKQQPKPNLENHNQAKLNGGETAAASGPAGDDDDPMVPPGFG >PAN44506 pep chromosome:PHallii_v3.1:9:2730639:2736818:-1 gene:PAHAL_9G048700 transcript:PAN44506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MPKRDRDSEGRRRSSSSRRRRSPSPSDSEAASDSSGSRRRSRHSRRSRRRRDTPSSSSDASGSGSEDSGSDSGGGRGRRGRSGSRRRRDVTEEQIVEYMAKKAQKKAEKVAKKLKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKSEKKRQLERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLSFSDEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQTNVKYWEALMVVCDWELGEARKRDALDRARVRGEEPPPEVLAEERGLHASIEGDVKSLLDGKTSTELEDMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPSAAEQDVESEKEVDDKVEDEMLDDEDDKRYSPEPILQQTDNLLEEEDGSFSPQLMHGNEDEDAIDPEEDKAELDRKREAVVLEHQRKVQEAIKVKARVPDEMEMKAIKTMGAMEEGDAVFGAGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYSIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >PAN43981 pep chromosome:PHallii_v3.1:9:546233:548779:-1 gene:PAHAL_9G008700 transcript:PAN43981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEKTAAVKTEEPSPPAEQQPQPQPAAGATRRAGPSSAPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVVSPRQAAPAAEAVALDPHKYVATMQQLMQNPQFVAMAERLGSALMQDPAMATMLGGLTNPAHKEQLEARIARMKEDPTLKPILDEIETGGPAAMMKYWNDPEALHKFGRAMGVGPSSEAAGAEQAEAEEEAGEEGEYEEESIIHHTASVGDVEGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKECVALLVENGAGVTLQNLDGKTPIDVARLNNQEDVLKLLEKHAFV >PVH31475 pep chromosome:PHallii_v3.1:9:9534902:9537652:1 gene:PAHAL_9G154900 transcript:PVH31475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTFLPGARMGRSKVTGSWSHGLVSSKNLRDLSPGIYSCHPSPGSADFKLLLSWNSSVTYWSSGQWRGQYFSNMPEMSASYFFLSELVSNDQEEYFTYWLKNESMITRYVLDVSGQAKMLIWSDASEAWIFFYPEPGARCEVYAVCGPFTVCREDMLPFCNCMKGFSIRSQEDWELGDQTGGCKRNIPLNCASSNSSMSGGLTDMFYAMKNVRYPDNAKHNGAGSAEDCERSCLSDCSCYAYSYYDGCRIWNSELLNVAQGYSGSASKGILYLRLAAEEIKTSKHKRGMIVGLVTAATIFTASSLFAIICMFVRRRIRKHSSMKSSNIRGGIVAFRYKDLQHATKDFSEKLGGGSFGSVFKGILPDSTVIAVKRLDGARQGEKEFRAEVRSIGMIQHINLVKLIGFCCQGSKRLLVYEYMPNHSLDAHLFQNSGMSLCWSTRYKIALGVARGLAYLHENCQDCIIHCDIKPQNILIDASFVPKIADFGMAKIVVRDFSRVITTMRGTVGYLAPEWISGVAISSKVDVYSYGMVLLEIIFGRRNSREEYSSDRTYFPVQVVNKLREANVQCLMDQNIEDDINLEEVERACRVACWCIQDHESQRVNMGEVVQMLEGLIKVDVPPMPKVLEAISGGADSTIREAPRLENQ >PAN48948 pep chromosome:PHallii_v3.1:9:58281970:58283642:-1 gene:PAHAL_9G414700 transcript:PAN48948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCPILPFDETRWIIRIRRIFDEEIELGEDQPICVFDVPKPLLCTRPEAYIPQLVALGPYHHCREELGDMERYKLSAAKRAQSHLPATDFQQLVAVFTKLEHLIRAHYHRHLNLSNETLGWMMAIDVSFLLEFLQTFSKNSSQRALQRIPSRMSHLVDPSRRTSSHSMLLRDMVMLENQVPLFLLLKALETRGSSAQPVLSSMMTGFFQEVSTFRGIGCPCTDVNRHAHLLDFLYSNMVPGCTEESHGATGEAGDESRHRHDRRKRTLNSAMELLVRRGSKIMSVVIDFMLRFLLKFIASLPCLSILGEPIEQLTQQASEPRGASGAQNKDTSPLLEEIAVPSVAELAYTGVRFCPTVGDLSMIDFCPATATLHLPVIGVDINSEVVLRNLVAYEASVAAGPLVLARYVELMNGIIDTEEDARVLRECGVILNHLNSDQEVAELWNGMTRSVRLTRVPALDKVIDDLNRHHGGCWKVRVRTFVRAHVLGSRELLACVAVVLLVLFIGLQAFCVVRGCVPVSYGMASRKIGA >PAN50166 pep chromosome:PHallii_v3.1:9:64759874:64767672:-1 gene:PAHAL_9G502900 transcript:PAN50166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPAKKRRRESSPPAAGAASGGRKLLTGEHVEVLSCDPGLCGSWHQAVVIGILDNARTVRYTDFIDENGLPLVENIQVSDAIDGKSSMTGELVRGNVRPMCPHQPLQVSDASYGLCVDAFLEGSYWEGVIVDHAQGSMERKVFFPDEGDECIIGVDQLRLTQDWDEVTGKWKPRGFWLFLQILLSHEESDGLPVSVRQIWFDLRSKPSFRTDAKMWMCGTEAFWERSLADLITELQSICGRATLDGYQVETYSSLLEGSDSAAFQKERVETTVLDKLDPIPAVIFQTLSEYISCYRNNKRKSALVKKELAKQHLKSLGWTMLDDRGKNKFYISPDGKRFPSFLGACEACLASKEANDDQDCHTNNLLLDSASVVPKNLHYVPTSMDLILTENKSNDKLIETSSRHWESVQLDAQFSPQIASLLANYQDGTAVLQRRINSTQSLMLKKHLLALGWSIKFKVDEMVQENGHRRNFTRYRYESPDGKTYASVIQVICSLIVGSGKQVDGNRVDGITGKYNHWAAPKEDDHASVSTDLARLGKRKREDKFGALGKYIDYMEADKQNSRRRKLLRSNAKKFLKSAGWNFWRKEKSRNKLELRYSAPHGKSYNSLVAACKGYLEMEHQENNDQSFGIADYDSTDGSMHPSKLIALSGRLRDSNRRHDMPVLDRCSNIFTFSTRHGKSRKRKSSSVPLTCAQFLCSRHGRVLPSQHRAKTIFSLLIEKNILLPRDKVTYKQISDGPGIKEGSIGRDGVKCMCCNEIFSLENFEVHAGSSTPLPSAHMFLKDGRSLSQCLVDLMGVNTPRDSLRVRLKGRYSDLESDSICSVCHDGGEILLCDNCPSSYHHDCVGLEAIPEGSWYCPSCRCNICNLSDYDPDTSQFTEKTIVYCDQCEREYHVGCTRHSGHELICRPEGCWLCSSGCSKIFQHLQELIGKSVPTPVKGLSCTILRFYRENSSDHGHYDDAIMAEHYVKLCIALDVLHECFVTIIEPRTESDISEDIVFNRESELRRLNFRGFYTILLQKGGEVVSVGTFRICGQKFAELPLIGTRVPYRRQGMCRLLMNELEKLLLDLGVERLLIPAVPELLETWTGSFGFTVMSNSDRILLAENSILSFQGTTMCQKVLNIACNNLQDQNVPSISNSIYDKDVDIASTHSEVLNGTMMCISIDRTTICDKAVNIASTCSEVLIGTTMSNSDRELAENSSPCSLGTSSCQKVINTLGRPEGLNGFDYQVQESNIIGETMESDCQEGTSIAIEEMEQLETELVPEIQNNSGEEGICFIDGLKSMPEPQVGLAVEQELVLETQNNSAEEGICSINRCEEGICSIDALTSAQFGVTVDMHEQPYGRSVQRELHFD >PAN50167 pep chromosome:PHallii_v3.1:9:64760167:64767412:-1 gene:PAHAL_9G502900 transcript:PAN50167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPAKKRRRESSPPAAGAASGGRKLLTGEHVEVLSCDPGLCGSWHQAVVIGILDNARTVRYTDFIDENGLPLVENIQVSDAIDGKSSMTGELVRGNVRPMCPHQPLQVSDASYGLCVDAFLEGSYWEGVIVDHAQGSMERKVFFPDEGDECIIGVDQLRLTQDWDEVTGKWKPRGFWLFLQILLSHEESDGLPVSVRQIWFDLRSKPSFRTDAKMWMCGTEAFWERSLADLITELQSICGRATLDGYQVETYSSLLEGSDSAAFQKERVETTVLDKLDPIPAVIFQTLSEYISCYRNNKRKSALVKKELAKQHLKSLGWTMLDDRGKNKFYISPDGKRFPSFLGACEACLASKEANDDQDCHTNNLLLDSASVVPKNLHYVPTSMDLILTENKSNDKLIETSSRHWESVQLDAQFSPQIASLLANYQDGTAVLQRRINSTQSLMLKKHLLALGWSIKFKVDEMVQENGHRRNFTRYRYESPDGKTYASVIQVICSLIVGSGKQVDGNRVDGITGKYNHWAAPKEDDHASVSTDLARLGKRKREDKFGALGKYIDYMEADKQNSRRRKLLRSNAKKFLKSAGWNFWRKEKSRNKLELRYSAPHGKSYNSLVAACKGYLEMEHQENNDQSFGIADYDSTDGSMHPSKLIALSGRLRDSNRRHDMPVLDRCSNIFTFSTRHGKSRKRKSSSVPLTCAQFLCSRHGRVLPSQHRAKTIFSLLIEKNILLPRDKVTYKQISDGPGIKEGSIGRDGVKCMCCNEIFSLENFEVHAGSSTPLPSAHMFLKDGRSLSQCLVDLMGVNTPRDSLRVRLKGRYSDLESDSICSVCHDGGEILLCDNCPSSYHHDCVGLEAIPEGSWYCPSCRCNICNLSDYDPDTSQFTEKTIVYCDQCEREYHVGCTRHSGHELICRPEGCWLCSSGCSKIFQHLQELIGKSVPTPVKGLSCTILRFYRENSSDHGHYDDAIMAEHYVKLCIALDVLHECFVTIIEPRTESDISEDIVFNRESELRRLNFRGFYTILLQKGGEVVSVGTFRICGQKFAELPLIGTRVPYRRQGMCRLLMNELEKLLLDLGVERLLIPAVPELLETWTGSFGFTVMSNSDRILLAENSILSFQGTTMCQKVLNIACNNLQDQNVPSISNSIYDKDVDIASTHSEVLNGTMMCISIDRTTICDKAVNIASTCSEVLIGTTMSNSDRELAENSSPCSLGTSSCQKVINTLGRPEGLNGFDYQVQESNIIGETMESDCQEGTSIAIEEMEQLETELVPEIQNNSGEEGICFIDGLKSMPEPQVGLAVEQELVLETQNNSAEEGICSINRCEEGICSIDALTSAQFGVTVDMHEQPYGSAGADQCSENCTSTDVAQPVTRNTAPGLKYKFSGKCYERVKNGAHPRNVWLRVSTK >PAN50165 pep chromosome:PHallii_v3.1:9:64759873:64766467:-1 gene:PAHAL_9G502900 transcript:PAN50165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGELVRGNVRPMCPHQPLQVSDASYGLCVDAFLEGSYWEGVIVDHAQGSMERKVFFPDEGDECIIGVDQLRLTQDWDEVTGKWKPRGFWLFLQILLSHEESDGLPVSVRQIWFDLRSKPSFRTDAKMWMCGTEAFWERSLADLITELQSICGRATLDGYQVETYSSLLEGSDSAAFQKERVETTVLDKLDPIPAVIFQTLSEYISCYRNNKRKSALVKKELAKQHLKSLGWTMLDDRGKNKFYISPDGKRFPSFLGACEACLASKEANDDQDCHTNNLLLDSASVVPKNLHYVPTSMDLILTENKSNDKLIETSSRHWESVQLDAQFSPQIASLLANYQDGTAVLQRRINSTQSLMLKKHLLALGWSIKFKVDEMVQENGHRRNFTRYRYESPDGKTYASVIQVICSLIVGSGKQVDGNRVDGITGKYNHWAAPKEDDHASVSTDLARLGKRKREDKFGALGKYIDYMEADKQNSRRRKLLRSNAKKFLKSAGWNFWRKEKSRNKLELRYSAPHGKSYNSLVAACKGYLEMEHQENNDQSFGIADYDSTDGSMHPSKLIALSGRLRDSNRRHDMPVLDRCSNIFTFSTRHGKSRKRKSSSVPLTCAQFLCSRHGRVLPSQHRAKTIFSLLIEKNILLPRDKVTYKQISDGPGIKEGSIGRDGVKCMCCNEIFSLENFEVHAGSSTPLPSAHMFLKDGRSLSQCLVDLMGVNTPRDSLRVRLKGRYSDLESDSICSVCHDGGEILLCDNCPSSYHHDCVGLEAIPEGSWYCPSCRCNICNLSDYDPDTSQFTEKTIVYCDQCEREYHVGCTRHSGHELICRPEGCWLCSSGCSKIFQHLQELIGKSVPTPVKGLSCTILRFYRENSSDHGHYDDAIMAEHYVKLCIALDVLHECFVTIIEPRTESDISEDIVFNRESELRRLNFRGFYTILLQKGGEVVSVGTFRICGQKFAELPLIGTRVPYRRQGMCRLLMNELEKLLLDLGVERLLIPAVPELLETWTGSFGFTVMSNSDRILLAENSILSFQGTTMCQKVLNIACNNLQDQNVPSISNSIYDKDVDIASTHSEVLNGTMMCISIDRTTICDKAVNIASTCSEVLIGTTMSNSDRELAENSSPCSLGTSSCQKVINTLGRPEGLNGFDYQVQESNIIGETMESDCQEGTSIAIEEMEQLETELVPEIQNNSGEEGICFIDGLKSMPEPQVGLAVEQELVLETQNNSAEEGICSINRCEEGICSIDALTSAQFGVTVDMHEQPYGRSVQRELHFD >PVH33316 pep chromosome:PHallii_v3.1:9:72619116:72623844:1 gene:PAHAL_9G626000 transcript:PVH33316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMVCLQQVACIFSIVAAIVGSEELSEASQILNCMSDLVYWTVCACMQTQHKIEMDKRDGKFGPQPMAVPPVQQMSRIDQPTPPPAGYAPQPAYGQPYGGYPPPDQGYPPAGYPQGGAYPPPAQGYPQGGAYPPPAQGYPQGGAYPPPGYPPQGSYPPPQGSYPPQGYPAK >PAN51872 pep chromosome:PHallii_v3.1:9:72619117:72623844:1 gene:PAHAL_9G626000 transcript:PAN51872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQANLEKMHLRQSYRNLWHTDIMGTMQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMVCLQQVACIFSIVAAIVGSEELSEASQILNCMSDLVYWTVCACMQTQHKIEMDKRDGKFGPQPMAVPPVQQMSRIDQPTPPPAGYAPQPAYGQPYGGYPPPDQGYPPAGYPQGGAYPPPAQGYPQGGAYPPPAQGYPQGGAYPPPGYPPQGSYPPPQGSYPPQGYPAK >PAN45475 pep chromosome:PHallii_v3.1:9:7290059:7294055:-1 gene:PAHAL_9G122200 transcript:PAN45475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCRHMTCSVLFQKPLRCTERTPPYGLCSRPRARARRGEGEPHPARRALYPPLKKHATPSLAFAFVEKSRSRHRDFHRKSHAKIPLASPPCRRRRAMAPASLPLSLPRAPGAPLPPPASPGTSVAACSHLAAPSRGTRRRRGPSVALAGRQGGRWRAGVSSFPFLPSFFTGNKGEKDAEKAMRLKEELLAAIAPLDRGAEATSEDKERVEQIVQQLEAVNQVKEPLKSDLLNGKWELLYTTSTSILQPQRPKYLRPFGKIYQAINADTLRAQNMETCPYFNQVTANLVPLNPRRVAVQFDYFKIFSLISIKSPGSGKGELEITYLDEELRVSRGDKGNLFVLKMVDPTYRVPL >PAN44848 pep chromosome:PHallii_v3.1:9:4323911:4324769:-1 gene:PAHAL_9G074900 transcript:PAN44848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAVATIPSLAAPAARKRSGGVTYVEGMNAYSGLKGLNKVTMLGLRKTADYSFAKIVASLSPAGKTRRGSAFGAQMNAAGEIFRIAVIMNGLVLVGVAVGFVLLRVEAAVEESE >PVH31043 pep chromosome:PHallii_v3.1:9:2419712:2421079:-1 gene:PAHAL_9G043900 transcript:PVH31043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDRATIDGFLSTMKGGSIHFVAPCTFHGTPATKVYADGKAAPWFMLVAFCIPVAPGRSRLIWAFPRNAGVWLHKIMPRWFSHSVINRVLDSDICLIHFEERRVAAVGLDSWHKACYVPTSSDGMVVAFRNWFRKYCKHQVGWGTPQVDQLPPSPTKDKLLERYWSHVVQCTSCTVALKAMKALEVGLQVASVAIAGFLTAANGAFLTSTVQRTIVVSAALLCFLASRWLANYIEKNFYFQDYVHSYK >PAN48950 pep chromosome:PHallii_v3.1:9:58288297:58288838:1 gene:PAHAL_9G414900 transcript:PAN48950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRALLAAILLAAAAAAAAPAAATRAPRCGWSPVSDVSEPHIQELGSWAVAEYARRANGGLRFGKVTSAQEQVVDGVNYKLFLDAADASGGVADYGAVVYEQERTNTRELTSFARAPAK >PAN50025 pep chromosome:PHallii_v3.1:9:64023877:64027198:-1 gene:PAHAL_9G492500 transcript:PAN50025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MGSRLLARSAAARLLSHLRRRTPDPTHRLLPHGASLASLLGPTGDIPAAADSALLRYPARWSSSSTAAVAEVPMTADGLTMESIAGKGWTILPEAESDWRSHAAAVAQSIKLIKKRLKWGWILERTKQLAVVLEKPDLWDDPVFAGRVSREHGELMGKIKSVNQFEQELIEHIDMLRLAREENDNELEMESMRALADMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYRSWAQRRGYTITVVEEMPGEVAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDASSRYQIKDSDLRIERFRSGGPGGQHANTTESAIRIVHIPTGISATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEISDPDSVLEGDLDDFILNYLSSSLDEAEVSV >PAN50615 pep chromosome:PHallii_v3.1:9:64024452:64027114:-1 gene:PAHAL_9G492500 transcript:PAN50615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MGSRLLARSAAARLLSHLRRRTPDPTHRLLPHGASLASLLGPTGDIPAAADSALLRYPARWSSSSTAAVAEVPMTADGLTMESIAGKGWTILPEAESDWRSHAAAVAQSIKLIKKRLKWGWILERTKQLAVVLEKPDLWDDPVFAGRVSREHGELMGKIKSVNQFEQELIEHIDMLRLAREENDNELEMESMRALADMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYRSWAQRRGYTITVVEEMPGEVAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDASSRYQIKDSDLRIERFRSGGPGGQHANTTESAIRIVHIPTGISATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNAEHTQSLNEISWGNQIRSYVLHVSHTVWSKISGQTMKYQTLIQSSKET >PVH32608 pep chromosome:PHallii_v3.1:9:60631263:60633008:1 gene:PAHAL_9G441800 transcript:PVH32608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSAGGASPSSLLLCEENMDDVFGCSDGEGELAELGAGLDFPAFPLESDEVVASLMEKEKEQLIHVAAGHYLQRLNSGGVVSSWRIASIDWINKAQAHHNFGPLCFYLSINYLDRILSIKEPQVSSTDVCSEKYKFDAESIKNMEIFVMGSLNWRMQAVTPFSYINYFMDKFTQGKPLSCGFASRCSELILGTLEATKFLQFRPSEIAAAAVLLAAAESHALDFTSALIASNIPIDKQTVKRCYEAMQEVGLVKKNEGNASRPSVPKSPYGVLGASCFSFKTDDSQTPGSSQANHVYNPANKRT >PAN50479 pep chromosome:PHallii_v3.1:9:66085317:66087816:1 gene:PAHAL_9G523100 transcript:PAN50479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSWRHLALLFLLLSCLSRWPRTSAAASDTLSTGESLAGNRTLVSAGGKFELGFFSPAGGGSDYYVGVWYKRIPGRTVIWVLNRDSPVADPASAELTVARDGNLLLLLTGNGPQRAIWSSNSTGSRDGDDGDAAVAVLLDTGNLVLRGRRGGNSSAVVWQSFDHPTDTLVPGGWVGLNKRTGACQALRSWRSAADPSTGLYTDRVDPHGSGQYAFLWNGTAVYHYVGAWNGRYFAPIPEMGMSAKYTFVFVNRSEEVSYSFRVNDPSTVSRLVMSPHGQLTMFDWSDESGQWLLHWATPTSLCDVYSACGPFGLCDVASSEYCRCLPGFEPASPGDWAAQLWSAGCARRTTLQCSGNASSTDGFIPVQNVQLPSGYSPVADAAGSSGDCASACLRNCSCTAYAYRDGCLVWDGDLRNAQQLADGDAGASTLFLRVAAADLATASHGVATNGRVGVAILGASCVIALAILCLLFVLAWVRRREETVHRDGSLLPRYLARCTKNYSHKLGSGSFGSVYKGSLPDHTAIAVKRLEGSAQGEKQFRAEFRTLGTIQHVNLVRLRGFCATGHERLLVYDYMPNGSLASVLSESGHSSRPLDWRARFGIMAGVARGLAYLHERCQERIVHCDVKPENILLDAGLRPKVADFGMAKLIARDNSRALTTARGTVGYLAPEWILGLPITAKADHGMVLLELVSGRRNRDAAEAGRRGGGGCYFPLWAATKVREGQVLVLLDERLTGDADVEEHGRACNVACWCIQQDEALRPTMGQVVQVLEGSLRPGTAPVPRYLEQLCRARSERILVELAIFTSCRPVIESWKKMQCCKLDDYD >PAN50381 pep chromosome:PHallii_v3.1:9:65667968:65669593:-1 gene:PAHAL_9G516200 transcript:PAN50381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASSLSVLPLAPRRPLLLRRAARNVEGGGGGAPRPLRLARLRLRLRRGRQVQAAAAVAGDAELPLEEAEAAMRVAADDDSVTATVVSALLTLAFVGLSLLTLGVIYLSVQDFLQKREKEKFEKEEAERQKEEARKKRAKSRKKRRNY >PAN43985 pep chromosome:PHallii_v3.1:9:561784:565940:-1 gene:PAHAL_9G009100 transcript:PAN43985 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] MVRLTADLIWKSPHFFNAIKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQYLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERVAAAQKFHSKEAEEEAKKVPVKTFTPGQPDAQDTTNEVQAPKVVAPTPEQITAIKAAIANTHTLEEAARLEKALSTGQVPAEFAMPKPDANMAEASEQAEKMDTDGQNQESEADGQKQDDESTPIQED >PAN52096 pep chromosome:PHallii_v3.1:9:73772604:73777225:1 gene:PAHAL_9G641800 transcript:PAN52096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGPDASSLAAAVLDAATPPAAAAATSRVLDYLTRHAADQPRAFFADAFPSLLYRLFVSSPSSASFIDLAAADPALPDLLLTLLAPSGPLLAAAAAADRLALIRFVFPNERLPDWLRHALASPASTHPASPLLSPRVGSDLHLSVFEYYLFWFAYYPVSSASPAAPAASASTSNPGLRSRSRLESWVSTLATTAIRRPGQKPESSLYLKLLYAYLCEFVPTRTPPGRVGGGSRLLHRTTNDGIGAVSSFARAEFLLHTLVQFWLVGDDFSPLPMQTCNALGLRLPSRARAELSERPPSPGLGDAVKLLVMYLDCCNGRALEDVDAPTPSQGIPVWNGLLDTQAGFWNPLIQRPLYRFVLRTFLFCPIGAAIKNATQVFSVWLAYMEPWKVTQQELDGYGKQQAGEDQELQKCNMVYNPLWKTYVLSNYLFYSSMVVHFLGFAHKFIHSDVASVLLMVYKVLEVLSSTPELLDLLHKVDAAYHTKLVGSSPPYDDVLKYVPSIREQLKDWEDGLSETDADGSFLHEHWNSDLRLFSYDENGAYNLLQLLLIRAESEILRLSGDAQQALQTLDSIKSKMKKVFQGQIGGIHGSTSSLEELHYQHQQARGEVFTPKHPSLGKSSWDDVKYRGEWMKRPISETEVAWLARILIRLSDWLNDALGLDRGDADDSPAASATYVRFDRNDLNTVGGPKDAARIALVALCSVIVLVGQALLKFMRSHRVKINLRVFASKKLLSAAVVLYAMVVVTRNASG >PVH32416 pep chromosome:PHallii_v3.1:9:56598743:56601736:1 gene:PAHAL_9G394900 transcript:PVH32416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQGASSRSFSISSFASDEVDYSDLLSLHGDSSAETSGSMSQLASSLSDDMKMLRTEHVQSSALHTDLAEMDFDADLQDRLQKSFDEIVRLKKENFEESCQRQQVEKEFLVVRKKAKKLQEYLLKEIRRSKEIEEARATDQYLIQKMKKEIELLKIQRDEYIEKLCQAGEQMSLQPSEVAKEAYDKDKHEMEILREEIIQCKIHRDEYLAKLQAKNEPKLALVECVSDTNFDTNVLENELGAYRCTLDDRLRPDRDGAVRYLEKHTQFSLAELKLATENFSESMKIGEGGYGRVYKGTIGDTAVAVKILAHTENLQGLLQFQREVLILTKVRHPHLVNLLGACDEESALVYEYLPNGSLEDRLSCKGNTPALTWQVRTRIIGEICSALIFLHSHKPKPVVHGDLKPSNILLDADLVSKLGDFGIARFLVPSDTSTMVHLTDHPIGTMFYSDPEYMANGELTSGSDTYSFGIIVLRLLTGRHPREIVKRVEDAMINDELHTIIDRSAGEWPFVQAQQLARIGMRCAVEKRRRRADLATDVWPVVEPMMKSAALSSCPSTSSSIQDESGSVPHYFLCPILQKIMKNPHIAADGFTYEAEAIKDWLGAHDTSPMTNLALPHRATIPNSALRSAIQEHLQRGA >PVH31695 pep chromosome:PHallii_v3.1:9:13858453:13858981:-1 gene:PAHAL_9G214200 transcript:PVH31695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHADARVAALEAQLQALQQAANSADEAVNARGALLIDRLQDIPNRVREVATHGVRQGAATALSIAQVRFGHELRYLQPGFPGEDDPADYDELINDFEGAAEAVGNIVDEDGAVNNVFFGR >PVH31491 pep chromosome:PHallii_v3.1:9:9850844:9851632:1 gene:PAHAL_9G158800 transcript:PVH31491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRALIPHQSPPLSLLIIHRLHPFKQRSSKRAGKMDHRLPRGVPAVRLSSRLQLATTFGAEPAPSSRRGSRGPPPAMTADVRVVVRRHFPVVGPGGTRIAEKVAADIALRRRQSRRLRLPESVERALADEVLPLVAHPFDRGAVVAARNEICMHVAAACDDQRIVRGGARVHVLIDTFACPVVFRSPPCKPASQRVVRAPGNLVAPAATQSMDSEITVPTKKPNPYGVIGDRRPKPVVEEMPKLEGFGTMAGWTDSFSIFR >PAN46199 pep chromosome:PHallii_v3.1:9:10592411:10594402:1 gene:PAHAL_9G169600 transcript:PAN46199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRGRKHWCKVCKKSFPSYNSLGGHMNLHRVKRKQKNQARSTLSLPNIGDGPGGYGLRERRHSTWLLCDSSDDEYLTMVPKKEFQLCFKVFASCHALSVHMRAHARHERKMVAKEASRESNGYSDHKVVVSTPVMLTYGIEEVNAARVLLMISGYSGMDSASEHCDEDFEMDGNSAYCVQKSEMELDYSCHGKTGDAELMMPESPSSDVKLKFSSLSLVLKATESHDCKLCGKVFTSSKGLASHKKFHKVPDHEKVAASPNSAVSQTGQQLLEVDSRLLCLNLNLPGFSDRNYRSRSAKSAQTPSWTTRAFRSERMLGVV >PVH32285 pep chromosome:PHallii_v3.1:9:53008551:53009054:-1 gene:PAHAL_9G361600 transcript:PVH32285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRPPSGGARAISEIP >PAN50295 pep chromosome:PHallii_v3.1:9:62532999:62534720:1 gene:PAHAL_9G471700 transcript:PAN50295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGGGRPHHLDCHRGPFLVVFVCTGSREALACVYSSETGAWSGPASAQLRRARVHLAVPSALVGNALHFMSGDYGRSNKILKHDWARRKYL >PVH31047 pep chromosome:PHallii_v3.1:9:2517565:2518251:1 gene:PAHAL_9G045600 transcript:PVH31047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWCMTEYDEEGGDGHVLCKVYRSSSSLARGKLKPSSSSTNPAASSEQMAAQCYSASKRKATADHPLARPSKISNAPACASNYSVVDQEFYQVDHQVQEPLLTDDQTMMPVGIDYEFLFPAEEEQEQVQQNTLVTAEEEQFQQNNLVMAEETQLQRNNLVTAEEEQLQQNTPFTMDGLLGGPGYGEYGGCLGNTQVTMDELFSRSSGCYGTPTAMAPPHAGFFEGLAF >PVH31610 pep chromosome:PHallii_v3.1:9:12248225:12251543:-1 gene:PAHAL_9G191500 transcript:PVH31610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSYMESIKSTIFMTVRRIEDEIYMSFGMPGGSSTVKIKMEYSGKIKILVWNSSMLEWDVLQAEPDPECHAYGYCGAFGFCDNTEPVPTCKCLDGFEPISKEDGSNGRFPKGCRRKETLRCGRENRFLTLTAMKIPDKFVYVRNRSFEECSAECTSSCSCTAYAYANMNTSAINGDDTRCLIWMGDLIDTDKLIGTGENLYIRVNSLSDKKRRRNILKITLPVVSSLLILICMWLVWICNCQAKQSNKKIWKKMVSGALSNSDELADGKFPFFSFREIVLATNNFSSSNMLGHGGFGNVYKGTLECGKKIAVKRLSKGSGQGVLEFRNEAVLIAKLQHRNLVKLLGFCIHGDEKLLIYEYLPNKSLDAFLFNSTRKPLLHWSIRFNIITGIARGLLYLHQDSRLRIIHRDLKANNILLDDEMSPKISDFGMARIFYGNQQQGNTNRVVGT >PVH31611 pep chromosome:PHallii_v3.1:9:12248519:12251543:-1 gene:PAHAL_9G191500 transcript:PVH31611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSYMESIKSTIFMTVRRIEDEIYMSFGMPGGSSTVKIKMEYSGKIKILVWNSSMLEWDVLQAEPDPECHAYGYCGAFGFCDNTEPVPTCKCLDGFEPISKEDGSNGRFPKGCRRKETLRCGRENRFLTLTAMKIPDKFVYVRNRSFEECSAECTSSCSCTAYAYANMNTSAINGDDTRCLIWMGDLIDTDKLIGTGENLYIRVNSLSDKKRRRNILKITLPVVSSLLILICMWLVWICNCQAKQSNKKIWKKMVSGALSNSDELADGKFPFFSFREIVLATNNFSSSNMLGHGGFGNVYKGTLECGKKIAVKRLSKGSGQGVLEFRNEAVLIAKLQHRNLVKLLGFCIHGDEKLLIYEYLPNKSLDAFLFSTFSFCLAKFQ >PVH32833 pep chromosome:PHallii_v3.1:9:64497233:64502063:-1 gene:PAHAL_9G499000 transcript:PVH32833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLLPHRHRLLLQTLPRAAARYAAEADLHQRLCSTSAASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPVGGHPRLEQFMRSHVSRLLRTDLLAVLAELLRQDHVILSIKIYGVVRKEIWYRPDMYFYRDMLYMLARNKKVDETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEIYEDMRSSPDPPLSLPFRVILKGLVPYPELREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKFKF >PVH31496 pep chromosome:PHallii_v3.1:9:10039590:10042816:-1 gene:PAHAL_9G161500 transcript:PVH31496 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MLRIASRRAGSLGGRGSYSALAKGGPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALSKEFKLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPTENHGVQCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDVLVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGENSYIFGEGGAKRTAEEMDMKLLGEIPLEISIRTCSDEGKPIVISSPNSASAQAYIHVAEKVTERLKELANERQKGPEILL >PVH31495 pep chromosome:PHallii_v3.1:9:10039316:10042985:-1 gene:PAHAL_9G161500 transcript:PVH31495 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MLRIASRRAGSLGGRGSYSALAKGGPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALSKEFKLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPTENHGVQCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDVLVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGENSYIFGEGGAKRTAEEMDMKLLDTSRNQHQNMFR >PVH31159 pep chromosome:PHallii_v3.1:9:3943381:3947501:-1 gene:PAHAL_9G068800 transcript:PVH31159 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MPPSPTATTASATSHLALLFLLSSSSLFFFYKSIRLRRKPSPATATATGPARTTIPTLLYASATGTSKTLAARLSARLTADVGVPVNATDATSFDPDDLPSVPLLLLLVPTHDGGAPPPSAAFLARWLEESAADFRAGALLLSGLRFAVFGVGSRAYGETFNAAARSFSRWLRALGAVEVVPLGEGDVDGGDLEAVFEEWSGTVLRVVKGEELNEGVEGESDGLDELELEGEESDVDDEEEAVSGEIDMEDIAGKAPTRMQNGKVEGGLTNGGQNGVKEMVTPIIRTSLEKQGYKILGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNAAIDQHTKMVKQMKGVPGVKPEKLEEGLSPRHCALSLVGEPIMYPEINALVDELHRRHISTFLVTNAQFPEKIKMLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHEKDQRTVYRLTLVKGWNAEEIDAYAKLLNLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKEFSEVLASKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVISGEPFKSQDYMAVTPSWAVYGAEEGGFDPDQSRFKKERRHGTAALKG >PVH31158 pep chromosome:PHallii_v3.1:9:3943143:3947554:-1 gene:PAHAL_9G068800 transcript:PVH31158 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MPPSPTATTASATSHLALLFLLSSSSLFFFYKSIRLRRKPSPATATATGPARTTIPTLLYASATGTSKTLAARLSARLTADVGVPVNATDATSFDPDDLPSVPLLLLLVPTHDGGAPPPSAAFLARWLEESAADFRAGALLLSGLRFAVFGVGSRAYGETFNAAARSFSRWLRALGAVEVVPLGEGDVDGGDLEAVFEEWSGTVLRVVKGEELNEGVEGESDGLDELELEGEESDVDDEEEAVSGEIDMEDIAGKAPTRMQNGKVEGGLTNGGQNGVKEMVTPIIRTSLEKQGYKILGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNAAIDQHTKMVKQMKGVPGVKPEKLEEGLSPRHCALSLVGEPIMYPEINALVDELHRRHISTFLVTNAQFPEKIKMLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHEKDQRTVYRLTLVKGWNAEEIDAYAKLLNLGQPDFIEIKGLQLQS >PVH31917 pep chromosome:PHallii_v3.1:9:19782116:19784997:-1 gene:PAHAL_9G261200 transcript:PVH31917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRASSLSSSSSMEESFIPGGDGAACCCAWCVADEAASVGGEWWRQVLSPSPRRAVARVACRHCELSSLRASSLAVAERSSMASSSAWLLTTQNVRPRDDESTASVQVYG >PVH31006 pep chromosome:PHallii_v3.1:9:1952207:1952807:1 gene:PAHAL_9G035100 transcript:PVH31006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKNTERPVFQSDANHAPTCTVRMCSACSSADSSCLMCRWGNREAPWPRKTTAPRTDGPQSPHRGRGVLAPSGARIIARPLAWRLGASAVAPCRSSGLALFTELYQLPYPRCLVGQVSARSSSRGRMDDRATRGVIWPAALAIGPNPREQFADRAVAVHRRRPKVSCRCH >PAN46466 pep chromosome:PHallii_v3.1:9:11900052:11904032:1 gene:PAHAL_9G187900 transcript:PAN46466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLLRAARRRELASPLGSLGASLQSTCAANVFSKWGNFARPFSAKSAGNEVIGIDLGTTNSCVAVMEGKSPKVIENAEGARTTPSVVAFTQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQVGAFVLTKMKETAESYLGNSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSDFKKTEGIDLSKDRLALQRLREAAEKAKVELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVHNLIERTRDPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSESDIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEVASEIEAAIADLRQEMASDDIEKIKAKLEAANKAVSKIGQHMSGGGSGGSQSGSGPQSGGDQAPEAEYEEVKK >PVH32637 pep chromosome:PHallii_v3.1:9:61014632:61015060:-1 gene:PAHAL_9G448200 transcript:PVH32637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHRCKFLRRACSEGRSRGFGKRTAQGGRPGQGRQQPQHAFIWAEEAGNQKPIGNALLIYRPRVRSDEPARSTGTAGPSRRTEAAPPVPRLDASEATPGKREEQPPRLPGSLARRWQLVERAPRSCHPLLRINLGLCSSRF >PAN47073 pep chromosome:PHallii_v3.1:9:15298057:15298894:1 gene:PAHAL_9G231900 transcript:PAN47073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLVALGFIVLLGMGLANAVRVARYSSAGGTGTGEGGGGGYVNGAGSGFGSGAGSGESSSSGVHASAGGGGGGGGSSYNGGSGYGGGSGSGSGSSQYTQGSSYGYGGYSNAGGNGGGGGGGQAGGYYGSSGQGGGSGTGSGSSEAGTYWHGPSYAYANANGNGDGKGTGQNGGSGGGQGGGSGYGNANP >PAN50128 pep chromosome:PHallii_v3.1:9:64553011:64562611:-1 gene:PAHAL_9G500300 transcript:PAN50128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSARYAKHRRIGEDEEAEEDEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMQKGAESVPPFENLYMIKRELKHGKRVYSMEYHFMKSAKGKNSYWDDDTHSMQLSFGVDEFLMIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQSLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSSDFPARVKVHFSMKLTYRTPEYDYDNETLDSEATESLTENEVASHPKKQWDDDCPWAEWYSAEDPVKGFELTAIWGERTFEETLEMAEVENASSFDADSWFLHPVVSQYMVDDSIGKFVGFASQLHLLVNAYESSAEAQFLEDFVAGQDNSKSTTVPPPSVIDRVMKDLFSDEAGNSNYMEAENKYTRAMRGAPADSLFGQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSSSTIDLSSCLIHQKLQMLAICIERKNSLNREKGAGHKDETSTTTAITKTRKGSAGVVPKMMLLNTFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAIGLSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWVSDYKADGSSGWPPKGKLSQRMSEHGNVWRKIWNDAPPLPVSEQKSLLDPVREGEKVLHYLETLRPQQLLEQMVCTAFKSSADILNKTTYGGFKLMKTKMDQLYATMASTLKSLQGNSDISDLAGDLKRLCQVFEHIEKLLILAASVYRKLIDAPRLAQAVFADYFNYYLPKMGTTLESICYEKEFTTKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPLQREIIFSVQERISNGHYSSPTPLCTDDEQIQTHRMYISGTSNDLWVALSVTSWD >PAN50720 pep chromosome:PHallii_v3.1:9:64553011:64562610:-1 gene:PAHAL_9G500300 transcript:PAN50720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSARYAKHRRIGEDEEAEEDEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMQKGAESVPPFENLYMIKRELKHGKRVYSMEYHFMKSAKGKNSYWDDDTHSMQLSFGVDEFLMIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQSLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSSDFPARVKVHFSMKLTYRTPEYDYDNETLDSEATESLTENEVASHPKKQWDDDCPWAEWYSAEDPVKGFELTAIWGERTFEETLEMAEVENASSFDADSWFLHPVVSQYMVDDSIGKFVGFASQLHLLVNAYESSAEAQFLEDFVADTSGQDNSKSTTVPPPSVIDRVMKDLFSDEAGNSNYMEAENKYTRAMRGAPADSLFGQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSSSTIDLSSCLIHQKLQMLAICIERKNSLNREKGAGHKDETSTTTAITKTRKGSAGVVPKMMLLNTFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAIGLSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWVSDYKADGSSGWPPKGKLSQRMSEHGNVWRKIWNDAPPLPVSEQKSLLDPVREGEKVLHYLETLRPQQLLEQMVCTAFKSSADILNKTTYGGFKLMKTKMDQLYATMASTLKSLQGSL >PAN50127 pep chromosome:PHallii_v3.1:9:64553510:64562362:-1 gene:PAHAL_9G500300 transcript:PAN50127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSARYAKHRRIGEDEEAEEDEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMQKGAESVPPFENLYMIKRELKHGKRVYSMEYHFMKSAKGKNSYWDDDTHSMQLSFGVDEFLMIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQSLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSSDFPARVKVHFSMKLTYRTPEYDYDNETLDSEATESLTENEVASHPKKQWDDDCPWAEWYSAEDPVKGFELTAIWGERTFEETLEMAEVENASSFDADSWFLHPVVSQYMVDDSIGKFVGFASQLHLLVNAYESSAEAQFLEDFVADTSGQDNSKSTTVPPPSVIDRVMKDLFSDEAGNSNYMEAENKYTRAMRGAPADSLFGQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSSSTIDLSSCLIHQKLQMLAICIERKNSLNREKGAGHKDETSTTTAITKTRKGSAGVVPKMMLLNTFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAIGLSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWVSDYKADGSSGWPPKGKLSQRMSEHGNVWRKIWNDAPPLPVSEQKSLLDPVREGEKVLHYLETLRPQQLLEQMVCTAFKSSADILNKTTYGGFKLMKTKMDQLYATMASTLKSLQGNSDISDLAGDLKRLCQVFEHIEKLLILAASVYRKLIDAPRLAQAVFADYFNYYLPKMGTTLESICYEKEFTTKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPLQREIIFSVQERISNGHYSSPTPLCTDDEQIQTHRMYISGTSNDLWVALSVTSWD >PAN50121 pep chromosome:PHallii_v3.1:9:64533219:64536256:-1 gene:PAHAL_9G499800 transcript:PAN50121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNRRRPLPPFAPGLLLVLPLLAAAAAPPAASAVGVNWGFASSHPLPAAQVVQGLLLPNSVPRVRLAAASSDALAALAGTGVAVTVGVPDALLRPLASSTKAAAAWVHDNITRYASSVRFEYIAVGDEPFRLSHGQHFQPFVVPAAANIKKALTAAKLSTKIKVVVPCSADTYQNASMLPSKASFRPDVNKTMGELLSFLSNNSSPFMVELDPFLSFQQHKNLSLDYYLFQLMSHPVKDGQNKYDNYFDASIDAVVTALTNAGFSDMDVIVGRAGWPTDGAVNATPAIAQSFMTGLVNHLAKKSGTPLRPKVPPVETYLFSLLDEDQRNTASGGYERHHGIFTFDGQAKYYANIGQGPKSLKNAPDVGYFPSKWCVIDNNKDLSNVSASFSAACSNGDCSALSPGASCSGLGWPGNVSYAFNNYYQQHDQSEDSCNFNGLGLITTVDPSVDDCLFPLAIRTSAAASLHLTLAMFRLPVLWVCIFFLFIVDVAAYLCI >PAN46263 pep chromosome:PHallii_v3.1:9:10875805:10878262:-1 gene:PAHAL_9G173400 transcript:PAN46263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRIPFLAFFLLALLLKLIRSCITPSRAKPSPALRLPPGPWQLPLIGSLHHLLLSRFRDLPHRALLEMAGTHGPLMLLRLGSVPTLVVSSAEAAREVMRTHDLAFCSRHLSATIDILSCGGRDIIFSHYNERWRQLRKVCVLELFSQRRVLSFRPIREEEVANLLRSISGECGGGRPVNVSEGICHAINDVAVRTAIGDRCRYQDEYLRELDEAVRLTGGFNLADLYPSSPLVRRFSAAARDMARCQKNMYRIIESIIQERAVMRTPERDEDLLDVLLRLQREGGLQFALTNEIVSTVIFDIFSAGSETSSTVLVWAMAELVKNPQVMRKAQAEVRETFKGQDKLAEGDMVKLRYLHLVIKETLRLHAPVPLLLPRECREACQVMGYDVPRGTKVFVNVWAIARDNEFWHDGEEFRPERFDGSDIDFKGTDFEYTPFGAGRRMCPGILLGLANAELLLASLLYHFDWELPDGVRSEDLDMTEAFGITLRKKSRLWLKAKPHINVVSS >PVH32322 pep chromosome:PHallii_v3.1:9:54233853:54235261:-1 gene:PAHAL_9G372400 transcript:PVH32322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGIALRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIQAALKRANVDPSLVQEVYFGNVLSANLGQAPARQAAQGCFCYPKQRAWNCCS >PAN46145 pep chromosome:PHallii_v3.1:9:10307936:10309037:1 gene:PAHAL_9G165400 transcript:PAN46145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFVQAPEHRPVAPVSEATGVPVIDLSPLAATPPPPAGAVDALFAQATAARAAEAGRAFFALAAERNAAVRRTEPAPLGYYDTEHTKNVRDWKDVFDIFPRELPPPPAPSADGELVFVKPGDGDLPGFKLALEEYAAAMEELAFKLLELIARSLNLRPDRLHGFFREQTTYLRVNRYPPCPRPDLALGLGRRKDSGALTVRRPSTGEWARVRLVRGSLVVNVGDSIQVWSNDRYESVEHQASVNSEQERFSIPYFFNPARRTAVEPLEEMVSEERPSRYHAYDWGEFFCTRRRSNFRKLDVDNIQIAHLRDDRRLRD >PAN49403 pep chromosome:PHallii_v3.1:9:60947102:60952041:1 gene:PAHAL_9G446700 transcript:PAN49403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKEELVRRLFESIQSEKSADEDVGANADDQLPDANASEQTTVTITEVCHETVVHVSQQVEVPTPSVDVEASLNETSAAKGEEPESIAGGNLAFEEVQPHTESNNEPVLEKTSDADTNDAVIVNDAISTEVNSDLATSEVKSDATKATKIQEQDSAPAPADASHMDTDVVTAAPVSNDGEKLAPMDDLGDKVPMYDEEHKDSDIMNEDREPIVSKPNNQVPEVSLDLGSQIKCESISSDLSTNKKNNIEDNLNANNFDLELEVKPKMVEPSSGITSLGGDLQQLDDDKELVKNQSYVEGIDSTANVDSYKKDSPEGSPEKLNLDRSSGDESMEEDVMEIKQVESNMKSDEKTELNSEDVKEVTLPDSAVEASSVDTKEVIAEEKSAASTEKRKLEAEEVVANTEPIKRQRRWTSDGAKVPERQTLSQTVSDAPKDVFQPALKRSFGRSDSMASVDSPKERIVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVQIFWMDHIKTHCYVTFSSVDEAVATRDAVYNLQWPPNNGNKLIAEFVDPQEVKLKIEPPPPPAAPVSPAAAARVPPVQQALANQNVPRQAAAPKEQLPPPPPLAKPPTVDPAASARERLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSEEEVAAKLAAQGKGKKE >PVH32849 pep chromosome:PHallii_v3.1:9:64877132:64881060:-1 gene:PAHAL_9G503900 transcript:PVH32849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYKCCIFFTRRFALGDTTTPEDVRVLFSRFAGGSPYMGADDLRRYLAAWGGADGEVAEQVVDRVLQDRSRTPRFGRPALTVDDFMHFLFSEDLNPPLRHSKVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDTPIIKALQIGVRVIELDIWPNSSKDDIDVLHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITLEDHLTPDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVLLSTKPPKEYLEAKGGTMKDREIEPQFKKGEREEAAWGVEVPDIQDEMQVADRSDDDILYHERGLDDNKSQKACKHVAPEYKHLITIKAGKPKGALVDALKNDPDKVRRLSLSEQELAKVAARNGPNIVSFTHRNMLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGHGRALWLMYGFYKANGGCGYVKKPDFLMQTCPDGKVFDPKADLPVKATLKVKIYMGEGWHKDFKQTHFDSYSPPDFYVKVGIAGVPLDSVMRKTKAVEDNWVPVWEEEFAFPLTVPEIAVLRVEVHEQDVSEDDFGGQTALPVEELRPGIRAVSLFDHKGHKFKSVKLLMRFEFT >PAN44748 pep chromosome:PHallii_v3.1:9:3881455:3885011:1 gene:PAHAL_9G067200 transcript:PAN44748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSTSPPAAPSPPKSSPGPSAAQPPSADSPPPPSPPPAASSSPAPPEPSASSPPPPPRSRGSPLSPAKIHSPSPPEQSSRGSRGGGSPSPPPPVSTHRGGPTMVEIVFAAAGAAALLVILIAACVCCSRRTAPRRRKRPHNPMHYYADSSSAYEGNYTSGPQQQWQSDAGAAATMSSFGPPGGGWHAPPPDMTSGVYSSSPQPPPSPHEALGLGKGTFTYEQLAAATANFSPANLLGQGGFGYVHKGMLPGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRMLVYEFVPNKTLEYHLHGKGQPVMEWSTRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDNFFEAMVADFGLAKLTSDNSTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPVDTGTAISSFLEDSLVDWARPALSRALADGDYDGVADPRLHGSYDPVEMARLVASAAAAVRHSAKKRPKMSQIVRALEGDMSLEDLNEGVRPGQSMTFGTASASLYKANRAPGRYTSDMERIRQATVAIPEYSGGAVTGFGRPSPVGSEGPFDDDDLSPVKGQPRR >PAN47947 pep chromosome:PHallii_v3.1:9:42992467:42993534:-1 gene:PAHAL_9G330200 transcript:PAN47947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSVSKWTRNQMSPLLLMYWESCHPQSLRFLLSCLIAMLISTAFIISEALPYRKLVVSVKLPDDPLKLKLQYFHENATFATVVRMKPSPMIEFSATVGTEGVAFGAECRYDAARGKFRNYRAAIGMTSKYYHAALIMADKGDTIKVYGLYHFDKKQKVSAVVELTRKLSKKENTLTVGGLYTVDAQTTVKARLNDSGSLAALLRLQVKPKSHLMISGEFDMKALDKPPKIGLALALVP >PAN47946 pep chromosome:PHallii_v3.1:9:42992069:42996587:-1 gene:PAHAL_9G330200 transcript:PAN47946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSVSKWTRNQMSPLLLMYWESCHPQSLRFLLSCLIAMLISTAFIISEALPYRKLVVSVKLPDDPLKLKLQYFHENATFATVVRMKPSPMIEFSATVGTEGVAFGAECRYDAARGKFRNYRAAIGMTSKYYHAALIMADKGDTIKVYGLYHFDKKQKVSAVVELTRKLSKKENTLTVGGLYTVDAQTTVKARLNDSGSLAALLRLQVKPKSHLMISGEFDMKALDKPPKIGLALALVP >PAN47948 pep chromosome:PHallii_v3.1:9:42992467:42996439:-1 gene:PAHAL_9G330200 transcript:PAN47948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPGLFSDIGKKAKDLLTKDYTCDREVTLSTVTASGVCLTSTAVKKGGLYSLDISSVYQHKNALICFKVDAESNVSTTFNVLGVLPSTKLAISVKLPDCNASKISTAFIISEALPYRKLVVSVKLPDDPLKLKLQYFHENATFATVVRMKPSPMIEFSATVGTEGVAFGAECRYDAARGKFRNYRAAIGMTSKYYHAALIMADKGDTIKVYGLYHFDKKQKVSAVVELTRKLSKKENTLTVGGLYTVDAQTTVKARLNDSGSLAALLRLQVKPKSHLMISGEFDMKALDKPPKIGLALALVP >PVH32638 pep chromosome:PHallii_v3.1:9:61017806:61018198:1 gene:PAHAL_9G448300 transcript:PVH32638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLVQPDYRAQASAEVACLQLRSSSSSDMASTRGWSRLLAVSAFVCLLAVLHPAAMVNGLRREELVLGRDPAPAPAEAPAAGRGGGGANAVGVDAAGKRFVAPTATTVGAVQMSKWRVRRGSDPIHNRS >PAN46595 pep chromosome:PHallii_v3.1:9:12573457:12579080:-1 gene:PAHAL_9G196300 transcript:PAN46595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSRWRGGGGKAKAVFKLQFHATQVPELGWEAMMVVVTPQDAGRPTARSEPAEVTGGACRWAAPILEATKLPAGKDKIYQFLVYETGSSKAALLGEATVNLAEYADALKPSAVTLPLKGSPGALLHVTIQRVVGGAGGCGDDASENGDASPPVVKTPQRRTTLQSQLSRFEDEDREKARAAADAMSPVQDGLLIRKPPGMRFPSRRNTAMSVDPVGHLHNGSSFDAVSVSGSDGSSGRYTPKTSTSMHNTFLQDSGNVISPFANNGTLRNPLTSSGDWSGSSAPDASTDGSTSNSGEAGLRGEEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMSSLREERDALRRECEGLRGAKKMIHDSNGSGKRLSDGEDPWSQIEELKQELSHEKNLNADLRVQLQKMQESNSELLLAVKDLDELVEQKNREISILREDTHEDPQEVEYEHALSIVHNSGHKMALSETSSEQEKEDELMLDALAKKRDDISTSELEKKIMELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLDNELQTQAKKFEADIAEIMSAKVEQEQRAIKAEESLRKIRWNNATTAERLQEEFKVLSSQVSSAFSANERHLVQARKEVAELQLQKSQLEELLQKAQGDLGSFQDQHRVKVQQLITLVDFKSKEIDRLLMELKSKSDEFQNQKRCDEAKLSALSEEVDLLNAKIDKLSSERDELFEKNEQKDKELAGISEKDVQLQVKAAEINSLNEELAKLKDQVKMHLEELHNLKCLKNEKEETIGKLQIDIGSLKLQCENLKTLLSKKESEKDNLASQVLKLRRSLETREGAKTNGVNADVKDNQHPNHKRIKHNTGSTGSTTALPGANRQSAEGDCNGNGQDMRNTAEQSSKELASLKERNKAMEEELKDLHERYSEISLRFAEVEGERQQLVMTVRSLKNSLR >PAN46594 pep chromosome:PHallii_v3.1:9:12573935:12578421:-1 gene:PAHAL_9G196300 transcript:PAN46594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSRWRGGGGKAKAVFKLQFHATQVPELGWEAMMVVVTPQDAGRPTARSEPAEVTGGACRWAAPILEATKLPAGKDKIYQFLVYETGSSKAALLGEATVNLAEYADALKPSAVTLPLKGSPGALLHVTIQRVVGGAGGCGDDASSENGDASPPVVKTPQRRTTLQSQLSRFEDEDREKARAAADAMSPVQDGLLIRKPPGMRFPSRRNTAMSVDPVGHLHNGSSFDAVSVSGSDGSSGRYTPKTSTSMHNTFLQDSGNVISPFANNGTLRNPLTSSGDWSGSSAPDASTDGSTSNSGEAGLRGEEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMSSLREERDALRRECEGLRGAKKMIHDSNGSGKRLSDGEDPWSQIEELKQELSHEKNLNADLRVQLQKMQESNSELLLAVKDLDELVEQKNREISILREDTHEDPQEVEYEHALSIVHNSGHKMALSETSSEQEKEDELMLDALAKKRDDISTSELEKKIMELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLDNELQTQAKKFEADIAEIMSAKVEQEQRAIKAEESLRKIRWNNATTAERLQEEFKVLSSQVSSAFSANERHLVQARKEVAELQLQKSQLEELLQKAQGDLGSFQDQHRVKVQQLITLVDFKSKEIDRLLMELKSKSDEFQNQKRCDEAKLSALSEEVDLLNAKIDKLSSERDELFEKNEQKDKELAGISEKDVQLQVKAAEINSLNEELAKLKDQVKMHLEELHNLKCLKNEKEETIGKLQIDIGSLKLQCENLKTLLSKKESEKDNLASQVLKLRRSLETREGAKTNGVNADVKDNQHPNHKRIKHNTGSTGSTTALPGANRQSAEGDCNGNGQDMRNTAEQSSKELASLKERNKAMEEELKDLHERYSEISLRFAEVEGERQQLVMTVRSLKNSLR >PAN47557 pep chromosome:PHallii_v3.1:9:33085928:33089777:1 gene:PAHAL_9G302600 transcript:PAN47557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDTEGSGGGKQPQISLVGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLLVQPCVGLYSDKCTSKLGRRRPFIFTGCVIICISVIIIGFSSDIGYALGDTKEDCTVYTGKRLHAAAFFVMGFWLLDFSNNTVQGPARALMADLAGSHGPSAANAIFVSWMAIGNILGYSSGSTNNWHKWFPFLQTRACCEACANLKAAFLVSVVFLGLSTVVTMIFANEVPLDPVVAKQQSEGQASGPLAVFKGLKNLPPGMPQVLIVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPNEVANFQEGVRQGAFGLLLNSVVLGFSSFLIEPMCRKLTAKVVWVMSSFIVCIAMAMVTVLSSWSLGDIGGNVQDAAAVDKGLKSAALALFVALGFPFAVLCSVPFAVTAQLAASKGGGQGLCTGVLNISIVVPQMIIAVGSGPWDELFGKGNIPAFGVASVFAFTSAVAGIFMLPKLSKTSFKSVSMGGGH >PAN47556 pep chromosome:PHallii_v3.1:9:33085928:33089777:1 gene:PAHAL_9G302600 transcript:PAN47556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDTEGSGGGKQPQISLVGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLLVQPCVGLYSDKCTSKLGRRRPFIFTGCVIICISVIIIGFSSDIGYALGDTKEDCTVYTGKRLHAAAFFVMGFWLLDFSNNTVQGPARALMADLAGSHGPSAANAIFVSWMAIGNILGYSSGSTNNWHKWFPFLQTRACCEACANLKAAFLVSVVFLGLSTVVTMIFANEVPLDPVVAKQQSEGQASGPLAVFKGLKNLPPGMPQVLIVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPNEVANFQEGVRQGAFGLLLNSVVLGFSSFLIEPMCRKLTAKVVWVMSSFIVCIAMAMVTVLSSWSLGDIGGNVQDAAAVDKGLKSAALALFVALGFPFAVLCSVPFAVTAQLAASKGGGQGLCTGVLNISIVVPQMIIAVGSGPWDELFGKGNIPAFGVASVFAFTSAVAGIFMLPKLSKTSFKSVSMGGGH >PAN51781 pep chromosome:PHallii_v3.1:9:72276308:72278337:-1 gene:PAHAL_9G620200 transcript:PAN51781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFWSLLIVAWLPVLQVLLVGLLGALLASNRFNVLTSDARRSINKIVYIVFVPSLVFSSLASTVTLKDIISWWFMPVNMGIIFLIGAVLGWVSVKAFRPGEHLQGLVIACCSSGNWGTIPLMIVPAICNEEDNPFGDANTCNSLGLSYVSLSMALGNFYIWTHSYSVMKRSAKLYRAKCKNHHARTDTSKEHLGQGATDDYVAFVPPTSEIFSDDVGNSIISPLPPNDARASFLSRYLRAAKDLLVEVLIELWSPPSIAALVGFTVGTIDKMKSLVTEEGYPLRVIQDSTTLLGDATIPCTVLILGGNLTKGIGKTVVEPIVVISIIVIRYIVLPACGIGVVTAATKLGFLPRSPLYRYVLLLQSTVPPAMSIGTIAQLFDVGEEECSIIFLWTHLVAALALTLWSTVFMSLVL >PVH33296 pep chromosome:PHallii_v3.1:9:72276114:72278585:-1 gene:PAHAL_9G620200 transcript:PVH33296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGASIRLFILSSFHRSSSLAWRALSRSRTSSLVNMGIIFLIGAVLGWVSVKAFRPGEHLQGLVIACCSSGNWGTIPLMIVPAICNEEDNPFGDANTCNSLGLSYVSLSMALGNFYIWTHSYSVMKRSAKLYRAKCKNHHARTDTSKEHLGQGATDDYVAFVPPTSEIFSDDVGNSIISPLPPNDARASFLSRYLRAAKDLLVEVLIELWSPPSIAALVGFTVGTIDKMKSLVTEEGYPLRVIQDSTTLLGDATIPCTVLILGGNLTKGIGKTVVEPIVVISIIVIRYIVLPACGIGVVTAATKLGFLPRSPLYRYVLLLQSTVPPAMSIGTIAQLFDVGEEECSIIFLWTHLVAALALTLWSTVFMSLVL >PAN50597 pep chromosome:PHallii_v3.1:9:66628473:66629556:1 gene:PAHAL_9G531100 transcript:PAN50597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGDRRPESSIVEAFTLSPLPYPVILILLMVSLLLGVSWFFTYEDFIEEAAEQFSWALLAVPIALVLLIRWISSVDSFDGYFFGFYPSERRWRPGYGSAPAEGSSPWGVAMLVLLLIVLASFHETIRDMWRP >PAN47534 pep chromosome:PHallii_v3.1:9:32613884:32617309:1 gene:PAHAL_9G300900 transcript:PAN47534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAHPRLRPPAAVRSPSNVPQRPALLPPALPFPAARLRLRRLPDLSPPATRHRRPMHPPTATAASLGSAGGSFPELTCPAEFAVVAAPGGRISVVGFGSLLSERSARSTFPDLEGFRVAALRGFRRVFAHAAPIFFERGIAAEATKEFSSLSVEPCEGELIVVTVFEIKEEEVPAFLEREHEFRFLVVVPEGLDGVPFTNQAVVCARYSDEEYFKGRCQGSKEIYNQRYGRFNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLDTTGAGIMEEEPPESLKSRYGG >PAN51919 pep chromosome:PHallii_v3.1:9:72908405:72913644:-1 gene:PAHAL_9G629800 transcript:PAN51919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAKDVGILAMDIYFPPTCVLQEELETHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLKNYNIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEEYGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPICFESKYRGSHMAHAYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKHERKQFSIFDADYVVFHSPYNKLVQKSFARLCYNDFLRNCSTVDEESRGKLAPYAGLSSEESYQSRDLEKASQQVAKKLYESKVQPTTLIPKQVGNMYTASLYAAFASVIYNRHETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLLNIANILDVSEKLVARHVVPPKKFVETLKLMEHRYGAKDFVTSQDTSLLPAGTYFLTHVDSMYRRFYAVKDDGVTTAVSNGH >PAN51770 pep chromosome:PHallii_v3.1:9:72232655:72232970:-1 gene:PAHAL_9G619400 transcript:PAN51770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNSEDVPQKLSIGSPTKQILTKETNISQPKGTSCTTAIIIMPFVSCCWLSQPQATNRIIRQDMLYSGKFVTI >PVH31561 pep chromosome:PHallii_v3.1:9:11326034:11327234:1 gene:PAHAL_9G179400 transcript:PVH31561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKWQGLMIGIKAYGIILVYDVKTYGLWRIIVVKQPMYDLKGKLHVNSFWSDTLSINVNYTDHCNLWPVKFIIKTPLNQPRTTIAD >PVH32886 pep chromosome:PHallii_v3.1:9:65662273:65662545:-1 gene:PAHAL_9G516000 transcript:PVH32886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQFCAAGSAGRRGCSGYPPAIRRIFFGNSRDEPSSTSMFLCDELGFSAARQKLGVKLWGHPVLLSEIRRLLTRIM >PAN44259 pep chromosome:PHallii_v3.1:9:1636728:1639626:-1 gene:PAHAL_9G029300 transcript:PAN44259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDGGPDWNGLLKWSLAHGDGTNPPRALSEEDRKWFMEAMQANTVDVVQRMKEITQVMKTPEDILESQGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFKSDPSTNARTKALGAISSLIRHNQPGTSAFRLGNGYAGLKDALGSDDARLQRKALNLIQYLLQNNKADRSVATDLGLPKLMMHLASNDDSLVREAALSGLLELAQDKTSGNALPDQDKLKDILKSRIEEISLMDADDLHAAREERQLVDKLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVAGKMFEPPLRAWAAARPSPKDDSDSGSEKKDVPPLLLGPGPSSNSNS >PVH31283 pep chromosome:PHallii_v3.1:9:5948896:5950063:1 gene:PAHAL_9G103000 transcript:PVH31283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANHLPPCVVLLRSVNLERFSDERQHAWGAIRCPNRKAYGCGERGQELVEGLALHLRVDDHPNLTSPLCIRLSDQVLANESLIALTVVFRFEASELLYHLVYDAVGESLSVAEDLKSIRHPLLRGPPFPCGAATTSICSPWRSWLRQSSRSKGGGCVWPMRGKGPFFAHVAFSFQDHAFWANLMEGAMHCDLHAAGDRPHVDFDFLNLPPGFELELGGTMKLEQVDTTMDLALVNMFRTMGSAGDSIKFVSIDHIGDFGDRMVSVWTLHLDCGHGGGWIQDAQFRVRSIWELLEDFKKARLPENVTPGLEKVTECIPYVRQDQVPHIQ >PVH32274 pep chromosome:PHallii_v3.1:9:52718261:52719222:1 gene:PAHAL_9G359400 transcript:PVH32274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTIGLCRRTTEQVTLKNASSSWFLDLLAPGSQLFPVSLFSILRQLAYRN >PAN51106 pep chromosome:PHallii_v3.1:9:69220620:69223033:-1 gene:PAHAL_9G570600 transcript:PAN51106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNNEQGGAASSKPTADLEGGLHAMRLGDPSSPRLQPHQPAATSSLLLDPQQVLAPPAPPVERPPPHVPQSPPRELAPTATTPDMRLLPHQHQSQPEARSYGGVEPSPADRIQSNLGNWLISNAPAAPTPSVAPERSKLSASAEAFHPTSMPNLSVVQLPHALDAYHPSALLGSLRADAALFRLTREHVRARLLRQGTLDAYLLMSPEFSPHVVRLLEERDETMRRCVLAKVKPFVHTVMWTREGHAVFRELLRACEGRFDELEGIVQAACNRKGFLMIVVQQSLGVIALKELIRVVALRPQLRVTLLTALLNECLMEQSKGDVLLHHCFIVLPHEDCKTIIQVAVASIDAMLCFPMGCYCLLVCLWNATDGELQDLEDKILRRVSVIATYQCGTNFLEYALTFGSEELKVRIAKRVAENIVDLSGHRLGYCVVQACFRLTRSVEAVECVLSAFLLLRPSELEALVRGPHSNSVLAALLDTGKRYSPKLAEDLAKRIEALPAAVLQVEDAQLWLLMWVIDRLFH >PAN45131 pep chromosome:PHallii_v3.1:9:5512211:5527262:-1 gene:PAHAL_9G095800 transcript:PAN45131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASGAPPPAMDEKARRTRDLLASFYNTDPSAAAGAGAASPASLARPSPTAAPASPLDSINSTSFDPETYMNVLVQQSNLEGLLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSKSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFQDCKKASEEAMDLVIQHLQAKLYSDSEPIEARAEAVVLLKKLNFPVDNLKSNLLEKLEDCLLNLQNESTQASIGDISKTFRAYLIIFPDSERRLIELAQALFSNRYETVRGNLKKRIPSTDLLAMLRSLWEDASAIDEVIPEAALPAFSLETTRDMIGQHIATAFLHLQSEISDALVKTHSTSKEKLEESQLQNAMDTSKIRVSQGCIDLLQEFHHLIDGNTELLLKLRDLIIDWVQEGFQDFFQKLDRHFHVLSGRSKSFPQESSALDSVQIDKVPTVLVLMLAQLCVYIEQTTIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLELNGVVSEVKQILPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTNRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFVDDEAPISFLLKEVNNAAHERCLDPIPLEPPILDKLINAKLAKIKEQNLNMQ >PAN45922 pep chromosome:PHallii_v3.1:9:9255217:9260100:-1 gene:PAHAL_9G150700 transcript:PAN45922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGAHVDPFDIFSSFFGPSFGGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRSVICLKCKGKGSKSGASMRCPGCQGSGMKVTIRQLGPSMIQQMQTACNECKGTGESINEKDRCPGCKGEKVVQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPTSKLTDMEIDECEETTMHDVNNIEEEMRRKQAQAAQEAYEEDDEMPGGAQRVQCAQQ >PAN49160 pep chromosome:PHallii_v3.1:9:59581036:59582776:1 gene:PAHAL_9G430300 transcript:PAN49160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAVRRRKQTTKEDQFSTMPHHGRIVPTHGGGGRCGKEAHYSKQHHQLPGPPGAPPMAPRPAVPAEASVSAGGGEYASSSWVKPGCMMELARLAKIPQPESGLVCPRCRSAETKFCYYNNYSLSQPRYFCRACRRYWTHGGALRDLPFSSSVRRRRRNKPPSNKHESSEVSSCCASGKGGRASPSSSSGASAVPGGGGRAAAAATPVMHTQPLEQLASLAVAVGTERHRTVASRLWLPAGHSSLQGPVGYRHQLGNITAGVATTIRLEHQRYLPQRQPFSFLGYGDAVSAPTSAVGPLGASDAGGGSDTEAGGFAGGHTLAAAISRVLGPAALTTQSASEMMAANPPIPSTEMTGTLTTTSSPVEFLVESGLSHLLGSGSWPACSYGSCSAGNDSGGSTSCTAAPGNSVWPDPSGFTSSSSGTML >PAN44615 pep chromosome:PHallii_v3.1:9:3270454:3270990:-1 gene:PAHAL_9G057700 transcript:PAN44615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRKSVDVDEIGAAAAAPCATGCGFFGNPATGGMCSKCYREHAAATATATAAPTDERKKMQDVFKTSAIAPAAPRGEPPEKKARIITSATAVAPSPDVIGADGAVAGAPAKPAASRCAACRKKVGLLGFPCCCGETFCGAHRYAEKHACGFDYKGAGRERIARNNPVVVADKVAKI >PVH32124 pep chromosome:PHallii_v3.1:9:40011402:40011890:-1 gene:PAHAL_9G322000 transcript:PVH32124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSVSSVISYESESSREPTPEYDPIAAYEVRAPLHWDAEEWDFRSQSEDDESLTDGEDLALLLGAELEEDEDDASWGEDLSSSEEKDDSYNSEEDPMAGTFLLGRSSDETLDDTEEAEDDDGFANDSGDDDDDSSNGDSSDASIAPPAKRHKTAGVYWW >PAN50852 pep chromosome:PHallii_v3.1:9:68057560:68060709:1 gene:PAHAL_9G551700 transcript:PAN50852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 8 [Source:Projected from Arabidopsis thaliana (AT2G32120) UniProtKB/Swiss-Prot;Acc:Q9SKY8] MAEQLYTVASDSETTGEDKSQPSFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRNQKGMRSYVMFKDDNLSAGVTGGAAQENAHEERDILSGSAIFNMKRLIGRMDTDEVVQASKTLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAIAGLHVLRLMPEPTAVALLYAQQQQQLMHDNMGSGIEKIALIFNMGAGYCDVAVAATAGGVSQIRALSGCTVGGEDILQNIMRHVLPNFDILYAGQTMDRIRSMGLLRIATQDAIHRLANQETVEINVDLGNGQKLSKVLDHSEFEQVNRAIFEKCENIINQCLLDAKLVPEDINDVILVGGCSRIPRIRSLVLGLCKKEISYKNIDALEAAVSGAALEGAIASGVTDPSGTLDLLTIQATPMNLGIRVDGDNFAAIIPRNTTVPARRDMLFTTTHDNQTEALIAVYEGEGERAEDNHLLGYFKITGIPPAPKGSVEISVCMDIDASNVLRVFAGVVKPQGPAIPPFIEVRMPTLDDGHGWCGQALAKMYGKRLDLAVLPKMLQP >PAN45993 pep chromosome:PHallii_v3.1:9:9633753:9635128:-1 gene:PAHAL_9G156400 transcript:PAN45993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKRRRAFIAPPSPDHQTTVTTPRRPPEEEVEPSALTTLPADLLPEIAARSDVTRRSSRSPCVLGFLHAYDKARMGDADQDRDRPPAPAPCFSAAHPATPGVAYLGGDRSSDICVYDPMSGSRTFLPPPPGIRIRDRRASEYGVYYTYVLLTAADGIGDSSFLLLATDFTGLEVHSANITVQTVSSDNADGTCPWGPVTMAAHPRSRWYSVQPHCGAVVLPLHILTYDVGTATAGSIELPKHSLPQNCKVSNLHLASSPDGRLSLHVANKLKISGSQELFPYEIDLEARLSAMKTF >PAN45871 pep chromosome:PHallii_v3.1:9:9103710:9107026:1 gene:PAHAL_9G148200 transcript:PAN45871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHGGEITAAFPGGGGGGIAGASTGATPPPASPYQVAEIEEQIYSPFGNIEVPDSRGCCSGFTGSVTKVLFILHLLAFISLTVFLGVQASSHQNPAYKPFSNFIPLVSSVIVSTIAACFWVILAVANPPKAIKTSLWAAPVFAVACDVVILLVANGTALGIGALVVAFAIAAGLYSCWATGPRLRHASELLSASVIGAHLPPTTSCLVVFVLLATFGYMAFWTVAISCIAAAEGHFMNYQMAYVAALLVSMAWTMQILRYIVYVAVAKLAHGRLVYGIRMPGGAVEVFCGTIFGPAFGDICMGAVAVPVNSAVRGLARAMKTATRGNDEFIFSGRGCCFAVSEKMLGRANRWGFVHVGARGKAFCVASRDVWSLFVLRGIADLVDSDLTGSFCFLSSVTTGALASLIAGSWALAGMYKDQKKLALPVAIYAFLIGYYMVLHPNSLLFCVYLHY >PAN45872 pep chromosome:PHallii_v3.1:9:9103356:9107973:1 gene:PAHAL_9G148200 transcript:PAN45872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHGGEITAAFPGGGGGGIAGASTGATPPPASPYQVAEIEEQIYSPFGNIEVPDSRGCCSGFTGSVTKVLFILHLLAFISLTVFLGVQASSHQNPAYKPFSNFIPLVSSVIVSTIAACFWVILAVANPPKAIKTSLWAAPVFAVACDVVILLVANGTALGIGALVVAFAIAAGLYSCWATGPRLRHASELLSASVIGAHLPPTTSCLVVFVLLATFGYMAFWTVAISCIAAAEGHFMNYQMAYVAALLVSMAWTMQILRYIVYVAVAKLAHGRLVYGIRMPGGAVEVFCGTIFGPAFGDICMGAVAVPVNSAVRGLARAMKTATRGNDEFIFSGRGCCFAVSEKMLGRANRWGFVHVGARGKAFCVASRDVWSLFVLRGIADLVDSDLTGSFCFLSSVTTGALASLIAGSWALAGMYKDQKKLALPVAIYAFLIGYYMCRMMIAWPQACVAAYHVAYAENPQNTQLGTLIPEHLRELQALTADRDRPRAVDSSDDIDDS >PAN50307 pep chromosome:PHallii_v3.1:9:65329635:65330453:1 gene:PAHAL_9G511800 transcript:PAN50307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRGIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKNTFQYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILYDKKVPQRLKRKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKVIQHRLRWFGHVQRRPPEAPMCTGVLKRGDNVKRGRGRPRLTCDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PAN46600 pep chromosome:PHallii_v3.1:9:12600163:12603956:1 gene:PAHAL_9G196700 transcript:PAN46600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAPAKVARALAASAAVLVLLWCVHFRGGLSLGSPTNKGLIFNVHPVLMLIGFIILGSEAIMSYKILPWSHDTNKMVHMVLHAVALFLGSVGIYAAFKFHNESGIANLYSLHSWVGLGTICLYGIQWLLGVTTFFFPGASPTVRRRMLPWHVRSGLVVYILALLAAELGFLEKLTFLQAAGLGRYSAEALLVNFTALLVVLLGASVVLYVTAPMHSEHTHGYSAVHKP >PAN51575 pep chromosome:PHallii_v3.1:9:71250723:71252011:1 gene:PAHAL_9G604700 transcript:PAN51575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAFRCFGGGVAAHDPKPPLMTPSSSFDFREEYTSAFRTESYNDFWARVLDITLAHGAGLVPRPGGGTGGTASKRLPSYRLFAEHLLEPDQRAVRTALASAGKGRLQPGAHDLLATYYNETANASFLCSHLLRDIEQVRLRYRPLKNTLRKLARDVGVSNLADVSAALGQPFTALAVSQGKLRQAQLSSAELLRSLDSSRKKTRLRIKTLARLRRVLSVSFVSAAVVVAVVGACIGVHVLAAFAAFPMMPSAWLGLFSGRTERRALVQLEAAAKGTYILNRDMDTISRLVARVRDEGEHMLALLQLCVDNRAAAGEKGRLVQEVLKQLCKNEENFAQQLDELEEHLFLCFMTINKARSMVMKFIAAAEQGSGCHEKE >PVH31319 pep chromosome:PHallii_v3.1:9:6518509:6520695:1 gene:PAHAL_9G111500 transcript:PVH31319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKLKNYKAFGPQGHQRRFQYHWFSEFPSWLEYSESSGKAYCLLCFLCSKNIKKRGGFDAFTIQGFNNWKKVHDRKNCAFLVHIGSDPCSEHNNSAKECQALLNNLNHIDNIMEVASNQEREKNRLRLRTSIAVVKWLTFQACSLRAHDEKLESKNRGNFIELIKLLAEFNPEIAAVVLENAPQCAKYTSPDIQKEILSIFALKIRKHIREEIGDQKFSILVDETCDISKREQMAIVLRFVDIDGVLQERFFDLVHVRNTKALTLKAEICYVLSTYGFDVQNLRGQGYDGASNMRGELNGLQALVLKECPYAYYVHCYAHRLQLALVAAAKDVVPVTQFFQKLLFIVNTVDSSAKRHDELHDAQVVELARLLAVDELETGQGANQIRSLKRPGDTRWGSHLGSISSLMDIFNPVSTVLQNLAADSTASTNRADGDTSFNYMISFEFVFILCLMREILEITEQLGQALQKKSQDIVNAIRLVQTTKILLEKMRSDDGWETFICKVMEFCVDHDIDIPNMDETYILRGGRARRQPNHFTTDHFFRVEVFRATLDTQLAELNLKFNEKVIGLLSICVTLVPKNSFASFQSSEICKMVEKYYPADFNQQEIIGLEYQLNHFVVEASRSDDLKRIATLAELCKCLVNTGRHRVFNLVDRLLRLLVTLPVSTATAERAFSILKIIKTRLRNRMEDDFLANSMLVNIEAEILGDYNYEDIIHDFIDVKKRKVHF >PAN44899 pep chromosome:PHallii_v3.1:9:4541170:4545272:1 gene:PAHAL_9G078300 transcript:PAN44899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPESNEGKSPDELLCAAAKSGDEEEVARILASGADATHFDSAGLTPLMHAAAGGHAAVARLLLDCGAPWNALSPSGLSAGDLASDPDTYDLILDHALRSELILGTVARRQATPADTSDLPPCENYLESRVSFSEERVMDAESKAVMMAWERPLMEAHARAVCQGGGKVLNIGFGMGLVDEAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVRIVFGRWQDVMPQLGSYDGIFFDTYGEYYEDMREFHEHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVAMELANLGYSMQFIPLPVKDCLPDEVWKGVKQKYWQLDTYHLPVCQSE >PAN50750 pep chromosome:PHallii_v3.1:9:67412782:67416587:-1 gene:PAHAL_9G543200 transcript:PAN50750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPSTAAASTLLLPVLLLISTAVHCAAAADAPSSPGAAALLNLSAALTDPSGYLATHWTHDTALCSWPRVSCDAADRRVISLDLSGLNLSGPIPAAALSSLPHLQTLNLSNNILNSTFPEEIIASLRSLRVLDLYNNNLTGPLPAALPNLTDLVHVHLGGNFFSGSIPSSYGQWSRIRYLALSGNELTGEIPPELGNLTTLRELYLGYFNSFTGGIPPELGRLRALVRLDMANCGISGVIPPEVSNLTSLDTLFLQINALSGRLPTEIGAMGALKSLDLSNNLFVGSIPASFASLKNLTLLNLFRNRLAGEIPEFIGDLPSLEVLQLWENNFTGGIPPNLGVAATGLKIVDVSTNKLTGVLPSELCAGERLETFIALGNSLFGGIPDGLAGCPSLTRIRLGENYLNGTIPAKLFTLPNLTQIELHDNLLSGELRLEAGKVSSSIGELSLFNNRLSGQVPTGIGGLVGMQKLLLARNMLSGELPQEIGKLQQLSKADLSGNLISGEVPPAIGKCRLLTFLDLSGNKLSGRIPPELAGLRILNYLNVSHNALEGEIPPAIAGMQSLTAVDFSYNNLSGEIPATGQFAYFNATSFAGNAELCGAFLSPCRSHGVATSAFGSLSSTSKLLLVLGLLALSIIFAAAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAIGRAGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRFKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRMVTGSSKEGVMKIADPRLSTVPLYELTHVFYVAMLCVAEQSVERPTMREVVQILADMPGSTSSSIDAPLAIEPKEDGSPEKPQPQEGPHDSPPQQDLLSI >PAN45360 pep chromosome:PHallii_v3.1:9:6641492:6647771:-1 gene:PAHAL_9G113200 transcript:PAN45360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MSLRSSGRQLSFELLAGDLTADDADDTSPRSLPDTTSDGQRRRRRRSKRKRGFRSPPIEEAASEGEQPRGEGKGDAAAPFRVPDLRSSAETVCESSEAERSAASCVTYVGVELRQRSISGSARVVAASAEDGTSSCGSSTRESAAAAAVAVADVAAAAWRPEANGGVKKKLEKEESLDWEKYMKENSTILGEVERLDNSPLRYFLGELYGGNSLRSTIAVGNEKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIVVTIWRLLKTRKFLRPNAADLSDYGCFIVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAQGLSTCSTDNVTFELMQFLLDEAIAVVLILVHSFVLLAQAITLSACIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLINASLVFLCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKPDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRIFWILLWSVLTYFMLAIFKILVGLILRCLANWYVNLRLKRKQHVD >PAN45361 pep chromosome:PHallii_v3.1:9:6641492:6647771:-1 gene:PAHAL_9G113200 transcript:PAN45361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MSLRSSGRQLSFELLAGDLTADDADDTSPRSLPDTTSDGQRRRRRRSKRKRGFRSPPIEEAASEGEQPRGEGKGDAAAPFRVPDLRSSAETVCESSEAERSAASCVTYVGVELRQRSISGSARVVAASAEDGTSSCGSSTRESAAAAAVAVADVAAAAWRPEANGGVKKKLEKEESLDWEKYMKENSTILGEVERLDNSPLRYFLGELYGGNSLRSTIAVGNEKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIVVTIWRLLKTRKFLRPNAADLSDYGCFIVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAQGLSTCSTDNVTFELMQFLLDEAIAVVAFVVHSFVLLAQAITLSACIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLINASLVFLCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKPDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRIFWILLWSVLTYFMLAIFKILVGLILRCLANWYVNLRLKRKQHVD >PAN49224 pep chromosome:PHallii_v3.1:9:60080058:60083890:1 gene:PAHAL_9G434800 transcript:PAN49224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSAKKKKQHQEKQLSAWRFLFGGCLMGGAGAAGSGDNKVRPGPRTAATKQPPAGAAGLQQRLSVTDVMSTCSDQDLSVSLVGSNLHVFTVGELKAATQGFVDSNFLGEGGFGPVYKGAVAEGAKPGLRAQQIAVKLWDPEGTQGHKEWLSEVIFLGQLRHPNLVKLVGYCSEEEHRLLVYEYMPKGSLENHLFKTFPPVLSWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKTSNILLNPEYEAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKARPSRERHLVEHMRAWLKDPQKLARVMDPALEGAYPAAAAHRAALVAYRCLSGSPKSRPDMSRVVEDLEPLLAVTGDDDAAPGEPPAAAGEEAARKERARRRDGERREKQGRAQQDRGVARSPKRAVPRRRAPGQSQEFWEWHMPAQPKA >PAN45921 pep chromosome:PHallii_v3.1:9:9254595:9255056:1 gene:PAHAL_9G150600 transcript:PAN45921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGTLLGAALATLLAAFLLAAAAEDHVVGGAAWRIPTSPGLYRAWADNRTTYVGDSLVFRFETGFYDVVQVGRREFDECTADDPYQLYRTGPAVIHLDSKGVRYYVCTVGNYCSLGVKMYVVVEPR >PVH31936 pep chromosome:PHallii_v3.1:9:21854849:21856940:-1 gene:PAHAL_9G267400 transcript:PVH31936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSHRDEQSCRWRHAGRILLHREEPGTTGPPTTFTKTSRGAQKLQRLRAALRQELGASRGWRPSRLGAAGDPPELRPRQSSTSSSASHPSCGPAPSSASRPSCGPAPSSTSRLRLPARTPRRAPRLQPELCAELRPRDEVHPSSANAPNSAPAPLKLRQSAPQHHGEKRRRSGTARFARSRGIEPSRILEGIFVLECSIPALVNHTQKHRMERLSILLNQTLTRCTDVRMRNHAEVVYAEK >PAN43995 pep chromosome:PHallii_v3.1:9:616755:618668:1 gene:PAHAL_9G010200 transcript:PAN43995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAAAALLLPPRLVSLPPHPSLVPICKNPAPSSALLGRRGARLRAVGDRPGAGLADQNTVYNGVYGPWTVEDSDVREVLLYRSGLVTAAASFVAAASAAYLPEGNAAGDAVRQSIDLFYAAGAAGLGLSLVLIHIYVTPIKRFLQALWAAGVLGSVGTYLVAAQPLDEGLVQYVLEHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDNSTKSGLLGVWMVLFTIFAARKFQQPIKDDIGDKSVFMFNALPEEEKNALIQKLERQSEQKFE >PAN44531 pep chromosome:PHallii_v3.1:9:2854795:2860592:-1 gene:PAHAL_9G050900 transcript:PAN44531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQPPQGPEDDFLDQFFSMAGGSYSATAAGGGRAAGDQPFSLALSLDAAAAEASGSGKHEEGGKADREAVQLPGLFPPVFGGGVQPPHLRPSPPTQVFHAQQPKQGGAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGSKQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDDQPVKPEPNTPS >PAN44530 pep chromosome:PHallii_v3.1:9:2854795:2860593:-1 gene:PAHAL_9G050900 transcript:PAN44530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQPPQGPEDDFLDQFFSMAGGSYSATAAGGGRAAGDQPFSLALSLDAAAAEASGSGKHEEGGKAVRAPPSSDREAVQLPGLFPPVFGGGVQPPHLRPSPPTQVFHAQQPKQGGAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGSKQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDDQPVKPEPNTPS >PVH33017 pep chromosome:PHallii_v3.1:9:67815483:67817221:1 gene:PAHAL_9G548600 transcript:PVH33017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARGFNGVGLAVAVPAIYSLVADYSDDTTRGWAFGWVVMAQTVGFIAGSSFGLLLAPISLLGVPGWRLAFYAVALISVCIAALVWLLAADPRPVVTKDDAAPAPTLADLVREAKDVVRVPTFQLIVALGVAGSVPWSAFNFITMWLELIGFSHGQTSLIISLASIANLLGILFAGFLGDTMARRFPRTGRVALAQASTALTVPLAAALLLAFPGDPSAVVPYAAVCFAFGFTVSWPPVSTTNPIFAEIVPEKARTTVYALDRCFESVLASFGSPLVGILAERVFGYQPGTPGKSAEADHKNADALSKAIFSEIAVPVTICCLTYTALYWTYPADRRRAQMAALQAASEDQDDDCEASVVAHAAAADGLDQALLPGTRTG >PAN50813 pep chromosome:PHallii_v3.1:9:67813940:67817245:1 gene:PAHAL_9G548600 transcript:PAN50813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNADPLCPAGAAQCHPLWCARAGADSRAGMAGRRRQWTLALVSVAALLERADEALLPAVYREVGAALGASPSALGSLTMCRALVQTLCYPLATWAAARYDRARVVAAGAFLWAAATLLVGASGSFLQMALARGFNGVGLAVAVPAIYSLVADYSDDTTRGWAFGWVVMAQTVGFIAGSSFGLLLAPISLLGVPGWRLAFYAVALISVCIAALVWLLAADPRPVVTKDDAAPAPTLADLVREAKDVVRVPTFQLIVALGVAGSVPWSAFNFITMWLELIGFSHGQTSLIISLASIANLLGILFAGFLGDTMARRFPRTGRVALAQASTALTVPLAAALLLAFPGDPSAVVPYAAVCFAFGFTVSWPPVSTTNPIFAEIVPEKARTTVYALDRCFESVLASFGSPLVGILAERVFGYQPGTPGKSAEADHKNADALSKAIFSEIAVPVTICCLTYTALYWTYPADRRRAQMAALQAASEDQDDDCEASVVAHAAAADGLDQALLPGTRTG >PAN50814 pep chromosome:PHallii_v3.1:9:67814067:67817221:1 gene:PAHAL_9G548600 transcript:PAN50814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRRQWTLALVSVAALLERADEALLPAVYREVGAALGASPSALGSLTMCRALVQTLCYPLATWAAARYDRARVVAAGAFLWAAATLLVGASGSFLQMALARGFNGVGLAVAVPAIYSLVADYSDDTTRGWAFGWVVMAQTVGFIAGSSFGLLLAPISLLGVPGWRLAFYAVALISVCIAALVWLLAADPRPVVTKDDAAPAPTLADLVREAKDVVRVPTFQLIVALGVAGSVPWSAFNFITMWLELIGFSHGQTSLIISLASIANLLGILFAGFLGDTMARRFPRTGRVALAQASTALTVPLAAALLLAFPGDPSAVVPYAAVCFAFGFTVSWPPVSTTNPIFAEIVPEKARTTVYALDRCFESVLASFGSPLVGILAERVFGYQPGTPGKSAEADHKNADALSKAIFSEIAVPVTICCLTYTALYWTYPADRRRAQMAALQAASEDQDDDCEASVVAHAAAADGLDQALLPGTRTG >PVH30997 pep chromosome:PHallii_v3.1:9:1835934:1838982:1 gene:PAHAL_9G032700 transcript:PVH30997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDYVREAKKAKPMPAADHGDGGVDRISTLPDDVIVSILRLVGDARQVVRTGALSRRWRGVWTHVPALRFHSGPRFVPPRGARRFAAFVDNVLALRARSGSGIEKLGISLHVCHARGKPTLAPPSARAAEGWIRYAAQHAVRSFSFVVRALEKKTLGRDQEDDGGGGGHREEPVIALDVLPGSAKLETMHLFLGAARVRLPSTSVVFTSLTDLALGCLEIAADDSGHLLGRLLSPACCPSLQKLHLSAVRFEQDRAKELVLETSTLLELTMYSVETMKSLELRAPNLQDLKIWSCKELEALTVVSASGLKELTFSHNHLGVGIHGDLPCVRRLAISLSSHTHLDPFYNDSDINDTGICLLKRCSSASCIHVDLDIPRKTLDGWVDLIKDKIPQLPNVTSLAVKVHPMSERHSLGDGVVGLLTRFNSLSYLSLQLDEEFGWMIRRDDIYSKDAGHLAFICDHEDHWISNKVSLAQLREAAFRGLTGTGCELRFLRFVLESATDLEKVTPRKEAGLAHLSRGTGTGAAAGSTASLSLVFSCPGPWDSAASGFCAADAAGTGLEPVSDPSLCVRL >PVH32208 pep chromosome:PHallii_v3.1:9:48892139:48895846:-1 gene:PAHAL_9G346900 transcript:PVH32208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELATGAVNTLLGVIRNEARRLGRVQGDVLFIQEEMESMRSFLAHLARTRREEHDEQVRTWTNHVRILANDCNNCLDVYLYRRNPDFHRPRRGLRRYLWWGWALWWLREMVARHHAAGQLRELKERARDVGERRLRYGVKVSDAATPGHSSTPGAAGDPRAGLTEAAPSSSYTVAAAEDEDEDDDKDLEADGGGELLASTAADGGIITKNAKVKDYFKDKLLGWIQSLGKARVLVEEESIKASETPSQPMSIPSIIFELPVADVGDEVQVPEDVIACEGRCRFSRSILVDIPEVHPEDYLHVPLRPKDILFYILQALTGQPIQQPSWLQKLHVYIKKKELLREIKEYFDQKLKVAEKMEGIIRRIGNQLPQDKEIMKDKKQLEDSIKSKILDLDDLLWLLITFTEAVDVGEQQDQAWKKVNIRTLAMHYDNVIQQALGKLKSLVEAGTDSQFPLDCEDYKRILEEVFPRTPTGPGISSSTSTSVEAEIKEMICKVKDMIQELQEHSNRETADQIQKSTFQQAARKKIDEIKLKIREQLKIKKIMDRIQSQLKTDDRIMIILKTHHKYGWEAIKNTLSLLGSSGRFVGAAILMTTTTTKGARQAQEYNLHFPQVELIELSLVGCYLDIVRQHTGKHMHRGHFEIVRNILEKCGPNEFCMNIFVQAMKANPRRSTEELRRLHSILQATPPERLPSSIARKMLKFSYNDLSKQHKSCLLYLAIFNPAESGYKIRRSTLVGRWVAEGLITTEDWSWFSSVTEADKCFDALANRQFVHPVDIGATGRIKSCTVHPIVHRFITKIAKKQHIVEARLSIHLARHFSIFNDVRLRGSEDIGIFLNKNMHDLPQFSKLKVLDLEGCHYFANKEYLRDICSKILMLKYLSLRNTDVNQLPREINNLHELEVLDIRQTEIRASATRHVLLLKLKRLLAGDITDPLPCSTDTGTAKHCCSVDIPSFIEKMVDLEVMSNVKPRRDRDLKDIGGLSQLRKLGVVINKERHLQSLLGTISDLSICLLRSLSITLNIPTYSTDLKDLKNPPNSLESLTIKGRTQKEKLLKFLVPAPEDSNLAKVTLSGALDKDDLKVVAKLKNLGCVRLRHATYADSELTFGNDEFPKLKVLIVENSGITKISFAHEFPVLEKIVWSFNQDFCTRDKEYLPLSGIDKLQGLKELVLIGDVIAPEVDEAFKKYSNENKFVYKHKEPENQDLALGNGARKRRHGVARYPSIWQVVKGQHGKN >PAN51126 pep chromosome:PHallii_v3.1:9:69324238:69325652:-1 gene:PAHAL_9G572000 transcript:PAN51126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMSPWRWGFSGSWIEDVDAVGGDWCAPAMCSRARSGELLSAPPKFAPPKFEGWWDALSKLRRWHDHMLAKLKMAKIWSKLC >PVH33116 pep chromosome:PHallii_v3.1:9:69324651:69325234:-1 gene:PAHAL_9G572000 transcript:PVH33116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMSPWRWGFSGSWIEDVDAVGGDWCAPAMCSRARSGELLSAPPKFAPPKFEGWWDALSKLRRWHDHMLAKLKMAKIWSKLCWWVVLAIMSLDFLILDLVGFATVYWNLPFENRCG >PVH33117 pep chromosome:PHallii_v3.1:9:69324254:69325587:-1 gene:PAHAL_9G572000 transcript:PVH33117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWEGIGAHRRCAQGQEVVSCFLHLQSLPRQSLKVSEQTFLNIEHFENLVNHIAGSCMGTKRIHIWHELRGGMF >PVH33118 pep chromosome:PHallii_v3.1:9:69324257:69325544:-1 gene:PAHAL_9G572000 transcript:PVH33118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWEGIGAHRRCAQGQEVVSCFLHLQSLPRQSLKVSEQTFLNIEHFENLVNHIAGSCMGTKRIHIWHELRGGMF >PVH33115 pep chromosome:PHallii_v3.1:9:69323930:69326124:-1 gene:PAHAL_9G572000 transcript:PVH33115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMSPWRWGFSGSWIEDVDAVGGDWCAPAMCSRARSGELLSAPPKFAPPKFEGWWDALSKLRRWHDHMLAKLKMAKIWSKLC >PAN52004 pep chromosome:PHallii_v3.1:9:71493634:71493927:1 gene:PAHAL_9G608200 transcript:PAN52004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGVNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PAN45500 pep chromosome:PHallii_v3.1:9:7384137:7389819:-1 gene:PAHAL_9G123900 transcript:PAN45500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGIVTFFLVRVFKVVAPVKMTFNIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNVIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFIPWYLLEKPEMDVSQIQFNYSIFFLNALSAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESVITSLNIIGYAVALSGVVLYNYLKMKDVKANQLPADNNPDRSTKDKKALNTYRPDNSMDNNDGTVIGGLASEAAAAVDEEAPLIPSARISYVTRTQTGSFSNR >PAN49180 pep chromosome:PHallii_v3.1:9:56412495:56413383:1 gene:PAHAL_9G392300 transcript:PAN49180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGLIVALNLILFAAGVHGCAPYCGSTYVPEPPAPSSYVPEPPTPTTPATDAHGHRPAGRCPVDALKLEVCASVLGGLVKISLPEDRERCCRLLDGLADIDAAACLCTLLKANILDISLRVPIDISLHLNQCDRRNSPRGLTCPRF >PAN48843 pep chromosome:PHallii_v3.1:9:57741340:57747067:-1 gene:PAHAL_9G409800 transcript:PAN48843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVDLPVVDLASQDLRAAAASVRQACVEHGFFYVTNHGVDGALIEAVFAESKGFFDLPMEEKMKLQRRNHRGYTPPYAEKLDASSEFVGDLKESFYIGPIGDSDLQNDLNQWPSEECFPSWKETMKSYIATVLDTGKRILSLIALGLDLDAEFFHKIGALDCPSTFLRLLHYPGEVNESDSGNYGASAHSDYGAITLLVTDGTPGLQICREKGRDPQLWEDVHHIDGAFIVNIGDLLERWTNCVFRSTLHRVVAVGKERYSVAFFLDPNYDTVVQCIESCCSEADPPRFPPIRSGEYINGRINSTYK >PAN51190 pep chromosome:PHallii_v3.1:9:67290116:67291034:-1 gene:PAHAL_9G541200 transcript:PAN51190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALPTAGGARPRRARSRRRPRGRRLARALQPCVAGGTLPHARGAWPRGGLGAAGAVRPRGRRLARALQPRSRRSSPASCGACPRVRRGAAGGTLPHAGGACPRGGLGAAGCVRPRGRRLTRALQPHAAGVPHPPVAELAHAAGAASGARRRSQLGVARSAPPHASGAPAQRLDETSGARPRGRLSAPRTPAELADAAGFALPARRRSSPTRPARSSPARPCLHRCGHPQPASNSTISAYCCYSQAALPPCSS >PAN49856 pep chromosome:PHallii_v3.1:9:63029855:63034761:-1 gene:PAHAL_9G479500 transcript:PAN49856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGEKEMIRLAFGPESLLHAVMAKARKDLGLLLPASPTSVAAAGHVPFLQLPRQNSGRAGAPSPLSVSSPSSWAQAPVFSRSNSTTNGTAEEAAAGAGEELPSPVNGGAAPFFPQGGDALLDDLQLQEQLAFLNEGGVNSARQLPGLDGGECWSPGPGDGGGMLPFGLGWPNGGPVHRRSASVNELCLGGGGGGDGFGWKPCLYYARGFCKNGSSCRFVHSGLPDDAAALAATKMEAAADQQQQCQDFLLRSKSQRLGPAAFPYSPTGSLPGSPSAASKCLSFLLQHQQQQQQHDRAAAAAALMLGGGDEAHKFMGRPRLDRADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFSGCTTPTGLDARDPFDLHQLGTRMLQHSNSANELLLRRKLEEQQQAAELQQAIELQNRRLMGLQLLDLKARTAATVTSSPLPTPIANSFASSQPVSTTAVESPPESGEQLKLSSGFALEGKVNGGDKEESAGEASPNAADSDQSGEHNLPDSPFASPTKSAAFAHESFPAADTENTASRIGVDSGSNTTGGGNHLRPPTLDIPSPRPYFFPMHRLSSDHGAMGM >PAN45263 pep chromosome:PHallii_v3.1:9:6169609:6176420:-1 gene:PAHAL_9G106100 transcript:PAN45263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGCVGAEVEVDPEAAAAAAAAERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDALSIPAAVTTAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLSQKEYKRRPSYSSGEW >PAN45264 pep chromosome:PHallii_v3.1:9:6170538:6176177:-1 gene:PAHAL_9G106100 transcript:PAN45264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGCVGAEVEVDPEAAAAAAAAERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDALSIPAAVTTAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGM >PAN45262 pep chromosome:PHallii_v3.1:9:6169609:6176416:-1 gene:PAHAL_9G106100 transcript:PAN45262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGCVGAEVEVDPEAAAAAAAAERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDALSIPAAVTTAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPAFRNSLEFKDWLELGTLKLCLLSMYSWYGKMPGYEHVFTDFLLSLSQKEYKRRPSYSSGEW >PAN45364 pep chromosome:PHallii_v3.1:9:6650779:6655830:1 gene:PAHAL_9G113400 transcript:PAN45364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGQMTASNLLRTEGFPGNMGKRYGIIGARSLGSRRLRMSQHCFHQQHLCWPCAQRLTIRNIRMSSSPGAVVSKGFDSPLVEKSDSSLNGGIIHLYRIPFLQESETMELLKKVKAKVSANIVDIMTEQCFNIQLDNPLTPEKLSTLHWLLAETYEPEKLQTRSFLEEEVSRSSCAVIVEVGPRMTFSTAFSTNAVSICKSLSLVEVTRLERSRRYLLRLEPGSDPLDESQLKEFSALVHDRMTECVYPNKLASFQSDVVPEPVRVVPVIEKGKEALEEINMRMGLAFDKQDIDYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLLIDGETMPNTLFQLVKRPLKANPNNSVIGFKDNSSAIKGFPVNQLRPTIPGSTSPLSIIMRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIESSYAPWEDPSFSYPVSLAPPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCQRERVSMAVIGKIDGCGKIVLIDSAAVKHAKLNGLPPPTPVEELELEKVLGDMPQKTFEFKRVSQVTEPLDIAPEVTLLDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGNDGILLHIDLAKGNRRLGGSALAQAFDQIGNDCPDIDDVLYLKKVFEAIQELITQRLISAGHDISDGGLIVSVLEMAFAGNCGLKLDIDLEDRSLLEGLFAEELGLVIEVHSEYLNVVKQKLEAAGISANVIGEVTSSPEIEVFVDGNLHLKEKTSDLRDLWEETSFQLEELQCLNSCVKLEKEGLKSRTSPSWSLSFTPRFTDENLLIASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLVQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDIGGPLGLGGDMSQPRFIHNESGRFECRFTSVSIGNSPAIMFKGMEGSALGVWSAHGEGRAFFPDENVLATVVKSNLAPVRYCDDANNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQVQKSGPSPWLRMFQNAREWCS >PAN45116 pep chromosome:PHallii_v3.1:9:5419871:5420777:1 gene:PAHAL_9G094400 transcript:PAN45116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARIIFCVVVAAAVLAVVLLATVSPLPHRAGGGRRGAPGTRTFTVYVHPTVPAPVQAQQQGREAVRRGEASALVFHHRMTAGPESTSRTVGAASGFLLPAGDRGAAATVSVFDTVHLAFDGAAGLSGSLCVEASNRRPGKRGRGAEDKEVLRVVGGTGAFAFARGHAVLRRQRPGPGATAAALLLELSVSSAGS >PVH32354 pep chromosome:PHallii_v3.1:9:55070544:55072992:1 gene:PAHAL_9G380100 transcript:PVH32354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAHYHHRHHHRESPFLASRGGGAPESSRMAAAMELQQGQKEAMAPQQAPPLFLDFSHGDCGAGRKRQREAEAAPSMSPHLFSLQPQPQAQGPKVISLAQLHKRPAMGLRLDFDEGSEHVSSTSSASPSCLLSDELAAQRDQHRNEMDRLIQEHAERLRRALADARRRQYRSLLVAAEAAASQRIREKEAEASEAVRRGADLEDRVARLRAEAAAWQAKALADQSTAAALHAQLQQAAAAAQARGKAEEEEDNAGAAADDVGSCFVDPDRVVEIAPPPPPPARPCRTCRRASASVVLLPCRHLCVCADCEPAVPATAPFAAGAVAAACPMCRGAVTGTVQVFFS >PAN48405 pep chromosome:PHallii_v3.1:9:55070544:55072992:1 gene:PAHAL_9G380100 transcript:PAN48405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAHYHHRHHHRESPFLARGGGAPESSRMAAAMELQQGQKEAMAPQQAPPLFLDFSHGDCGAGRKRQREAEAAPSMSPHLFSLQPQPQAQGPKVISLAQLHKRPAMGLRLDFDEGSEHVSSTSSASPSCLLSDELAAQRDQHRNEMDRLIQEHAERLRRALADARRRQYRSLLVAAEAAASQRIREKEAEASEAVRRGADLEDRVARLRAEAAAWQAKALADQSTAAALHAQLQQAAAAAQARGKAEEEEDNAGAAADDVGSCFVDPDRVVEIAPPPPPPARPCRTCRRASASVVLLPCRHLCVCADCEPAVPATAPFAAGAVAAACPMCRGAVTGTVQVFFS >PAN49710 pep chromosome:PHallii_v3.1:9:62460989:62463613:1 gene:PAHAL_9G470200 transcript:PAN49710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYFYQSLLLSVATVALLQLVKLALTPRPRLPPGPWRLPVVGSMHHLVNVLPHRALRDLAAAHGPLMMLQLGQTPLVVASSRETARAVLKTHDTNFATRPKLLAGEIVGYEWVDILFAPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMLKVEEIRAAGASTPVNLSVMFHSITNSVVSRAAFGKKRKNAAEFLAATKAVVGLSSGFNMPDLFPTWTTVLAKLTGMTRRLKEIHRTVDTILEEIIEERKGARGEKIKSGVPTDDVDENLVDVLIGLQEKGGFGFQLTNSIIKAIILDMFAGGTGTSGSAMEWGMSELMRNPAVMKKLQGQIREAFRGKAVVTEGDLQASNLQYMKLVIKEALRLHPPAPLLVPRESIEECELDGYTIPAKSRVIINAWAIGRDPKYWEDPDEFKPERFEDGSRDFTGGSYEFLPFGSGRRMCPGFNYGLASMELAFVGLLYHFDWSLPEGVKEVYMAEAPGLGVRRRTPLMLCATPYVPVAGAAA >PAN47359 pep chromosome:PHallii_v3.1:9:38607266:38607559:-1 gene:PAHAL_9G318000 transcript:PAN47359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHVTSLGTLSCAFSRSIKTMCKSFFSSLYLPINCRTKKIASMVDLPGMNPNWFLVTLVNLLRRCSITLSQSFIVWLISLIPR >PAN46202 pep chromosome:PHallii_v3.1:9:10603704:10609162:-1 gene:PAHAL_9G170000 transcript:PAN46202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLAHSLYRRRIVEYKAAAYCSRLPFRARPPSRPRIGAGADTTSAHGIDSSIITIQTMKCWETLNHMAYKFGRLDKSDGKLTLKILSSIVERPGLDRITYIYCMAVQILIQAQMHSQAMSVLRHLALTGFSCNAIFSSLLRTISRFDSTNRAVFDLLVKAYVKEQKVVDAVVAVFFMDDCGFKASAVACNTILNALVEEGESKHAWLFLKESLSRKFPLDVTTCNIILNSLCTKGEFRKAEDMLQKMKSFRLANSVSYNTILHWYVKKGRFKAALCVLEDMERNSIQSDVYTYNIMIEKICKIKRSARAFLLLKRMREDNLTPDECTYNTLINGFFCEGKINHAHYVFNHMLRQTLAPSVATYTTMIDGYCRNGRTDKALSVLSEMQITGVMPSELTYSALLNGYCKLSMLQPALDLVKDLSSRGITINKTMYTILIDGLCQVGEISKAKQILRSMLEDGVDLDVITYSALINGMCRMAKLHETKEILSRMQKSGILPNHVLYTTLICYYCKAGYVKEALKHFVDMYRRGLVANAVIHNALLCAFYREEMITEAEHFRQYMSRMKISFDSVSFNCIIDSYCHRGNLAEAFSVYDNMVRYGHSPNVRTYQNLLRGLCQGGHLVQAKRFMSCLLDIPSAIDEKTFNALLHGICKYGTLDEALNLCEKMVKNNFLPDIHTYTILLSGFCRKGKILPAFIVLQMMLEKGLVPDTVAYTCLLNGLINEGQGKAASYVFQEIICKEGLYADCIAYNSLMKGYLKGGNLNTMKKVMSGVHKNEVYPNTASYNILMHGYVKKGQLSRSFYLYKDMVRKGIRPNNVTYRLLILGLSECGMIDIAVKFLEKMALEGIFPDKFAFDILITVFSDKSKMHKALQLFDCMKWLHMSPSNKTYSAMINGLIRKNCFDQSHAVLREMLEKGLQPNHTHYIALVNAKCRVGEIDGAFRLKEEMKALGVVPPEVADSSIIRGLCRCGKLEEAVIVFSNMMRSGMVPTVATFTSLMHGFCKESKIADALHLKGLMELCKLKVDVVSYNVIITGLCNNKRISDALELYVEMKSKGLWPNITTYITLIGAMYATRRMEVGEKILEDIEERGLIPSKHPESLERRMEDAIRRLNMIRNCRKGMPFKEVEVLPIESCQ >PVH32852 pep chromosome:PHallii_v3.1:9:65005752:65006529:-1 gene:PAHAL_9G505900 transcript:PVH32852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADPPRKPHGNQYPRRGDIKRKIIKDLTGGGGGGDSGSWGGGGGGGGGGDAGGGSAAGGGGGGYGAD >PAN44082 pep chromosome:PHallii_v3.1:9:895538:897693:1 gene:PAHAL_9G015300 transcript:PAN44082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRPATWEQAGDEYDYLFKVVLIGDSGVGKSNLLCRFTRNTFSLDSKSTIGVEFATRTIQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKAATFENVKRWLKELRDHADANTAVMLIGNKTDLAHLRAVAREGAAAFAEREGLSFIETSALDATNVDTAFETVLTEIHRTVSKKALASDEAASSASSVGEGQSIQVSAGDSGGLTTRCCAF >PAN49789 pep chromosome:PHallii_v3.1:9:62763625:62776828:-1 gene:PAHAL_9G475400 transcript:PAN49789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSRDDELVTKSPNDQRSYRLLRLANGLCALLVHDPEIYADGYPPQASKAHEHEEMQEEEGEDDEESDEDDAGYSDEEGDDDDGEDEDVEWEEDGSEPKKRKEKGGDKPLVKKAAAAMCVGIGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGASNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAETMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYMKNYHGGAMKLVIIGGEPLDILEGWTMELFSKVKAGPLLDMTPKTDMSFWKSGKLYKLEAVRDLHSLILSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLYFLKAKGWAGTLSAGVGSGGSQRSSYAYIFEMSIRLTDSGLKNVFEVIGAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLFYSEKHIVCGEYIYEDWDPELVKHALCFFNPDNMRVDLLSRSFDKQSQAIQCEPWFGSQYIEEDIPSSFIESWRNPVQLDADLHLPKKNEFIPGDFTLRNANSPRSSSEDNPHCIVDEPLIKLWYKMDMTFNVPRANTYFLISVKDGYSSLENSVLTELFANLLKDELNEVLYQAYVAKLETSLSVVGSKLEIKLYGYNDKLPILLSNILSTFRSFSPKTDRFEVIKEDLERAYKNTNLKPMSHSTYLRLQVLCEIFWDVDEKLGILMNLTFTDLVKFIPKILSQLHIEGLCHGNLSEEEAINISKIFCNTLSAQTLPEEARHGERVICIPNGENFVRSVRVKNDLEENSVVEVYYPVEQDIGKGATRLRAITDLFSSIIEEPCFDQLRTKEQLGYTVKSSPRMTYRMLGYCFQVMSSKYSPVYLQSRIDNFINGLSTLLDGLDDETFEHHRSGLIANKLEKDPSLSYQTDDYWSQIVCKRYIFDMPKLEAEELRTIKKDDVITWYNTYIRSLAPKHRRLAIHVYGCNSDIAEAAKLQEQLWTAIDDVQSLKVSSQFYSSLC >PVH31539 pep chromosome:PHallii_v3.1:9:10932290:10935314:-1 gene:PAHAL_9G174300 transcript:PVH31539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGHGHPPPAGEMTVAELGAASSISLRFCQVRALEAARPAPNAAAPGETRGDGMGENLEEEKEMVEVVEEVVEEEEEVVEVEVEEEVEEEEEETVEVEEEVEEEEEKADEDMQEGGDDEADDKIGKDGKDEEASDEVLQEEEVEEVTDDRIGNDIKDDNEIMGEEKNGDDEMQVVNEESREDGKIGSQDKEDASMEDEQDTGMEEAVTKKAPAMQGKEEEACGVKQEKEEEQKAREEEEGSKNVTTEETAVVEEVSQDQGNRALPGGFSDRTAACANMDAQRIVKLLFTNTGLNLEFHAALHRAPDAATLALHVVELFLHDKMLKTNKAWVNCVGLIRMVPVVVTELSADMIEQAKPVAKDWKEMIDNSECCTVLGSLASWAFLYFIISYNIVSEFETKEIFHLFATMPSKQQKMNYAMLFKDLRLTDRILANGCFILGTCFNLVDKYPPVSLLKGYVGKAKQTAIEISQKNMTRESLSVVVKELDNLRRAQYLAEQQITDSSLSTGIREEINGLLRKFGKRKQSLAKSYTASTSNSQQLHTECNKKHKKEREHHEGQESQQQGQQSKPGEKLEKKLDKPQQKQQQKQEDKLQEKQQQSKQQHAKRPRQRTLKQGLHYR >PAN49412 pep chromosome:PHallii_v3.1:9:60983297:60985862:-1 gene:PAHAL_9G447500 transcript:PAN49412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMDLMRRMPPASAETALNALLSLLPDHSLDLLSQVDLPLQVCMDKETLKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDNGGFIACFLIKKDGKGTRGYMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQVLSPALPFFAHMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >PAN49411 pep chromosome:PHallii_v3.1:9:60982703:60986318:-1 gene:PAHAL_9G447500 transcript:PAN49411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMDLMRRMPPASAETALNALLSLLPDHSLDLLSQVDLPLQVCMDKETLKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDNGGFIACFLIKKDGKGTRGYMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >PAN49572 pep chromosome:PHallii_v3.1:9:61567343:61571148:-1 gene:PAHAL_9G457000 transcript:PAN49572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKKGRDAGGESNGDGGSQKGGGEVPLSIQLDIVEHPGGGGGGLGTGGGGSASFFEPWREQTPGSGSGHGSSGRGGGREPPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASSLHITDFWCVTVILVGEGARVFSRSHELEWQHHATQTSTAGGALRSSSRFFRHIVHALADPAAAVGGGGAADDDARARAALFQRQIVAFMKRRAWHAPDVSLLPYTGWVFVSRKIGRLLNWLQLLSAFACVALSIMRLWRHDFGGDDNMRPALLLFYTLALAEASLFLFEKAYWTWKVSICKLLHQVSAECELGAYGLVSLTRFFYDAYSRCIAGSIFDGIKMDLVSFAEELILSDFLDEQLIGVRILQQFANSERSAGDTLRKVGTIPRSIDRLVEMLNWKRPDEEEVRRCAAEVVSKLAGKRQNALRVSGIPGSIESIMSLLYTGGNNAHAPVSQTPGDVAEDEASPAARGYDHLPFNLLGLLILKKLARDHDNCGKIGNARGLLAKIISFTQASPVLLRNPHASDSQVRAVKRALQLVKMLVSTTGSTGLALRKDVAEDVFTVSNLRDILRYGQQHRELQKLATDVLTGLALDESGKKAIVATGGVVKQLLSIFVSAEERELGGEAGEALAMLALESEDGCGAILKRGDVLDQLVAALQNGDARRLNAARLLRNLCAYSGEKHRERLRVATKALPAALSATMAERDKVLEVSVGLTAEIFRFIDGERFAAELRGAGVAEERAYAERLASVLRRYRYPEIRVPRMRRFVVQQVIWLVKCSRGDVYVELFREVGMERLLESIADTTSELECYHVFSGSVGISKHRENFSGIVDSALELIAGTGGGARAKE >PAN49599 pep chromosome:PHallii_v3.1:9:61677370:61690076:1 gene:PAHAL_9G459000 transcript:PAN49599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKRKRAAAAAAAAAAAAAKWKVGDLVLAKMKGFPAWPAMISEPEQWGQSSAKKKPLVYFYGTKQIAFCNYTDLEAFTEEKKRSLLAKRHGKGADFLRAVDEIIEVYDSLKEKDNNKLELAADEVKPVVEKLAENNGCMDTENLVNGSNMHSGQIIEDHSITTRNPDMVNSDGPSVTVKGDKPCVVNSAPDEPTEDVTILDEMRDIPLCPNSFSNKPRDAHPQNCYTRCRVPSLRKSRSSISVESRKAQGTGKLLDHHSHAEDDKANSGAVSTSDNVLLHSSVGTFNKSVALETISSKRRLNSPVKADSTCNSEASENGASETELKSNGTSNLPMDTAVIFKRKRKADRKPVPHYKDCTPPNKGEGLHAEYSEILPDSPNSKNEVNKSDGDEHLPLVKRARVRMGRSQMEDSPIDDIDVSNKKPELSMTADKCDMHFTPAVPGNDYSADQISAVVNTVSNPSSKFDMPILSGEGHPWKNKEYHPKILALDVEAALPPSKRLHRALEAMSANVAETNNSIPEVTGHNDMVLDSSLSTGNNHSNISAETVVTVSNKSGIVQSPGPSLDTEFVHSPSGKYTSESILQNNAISDSASVPSKANNHDNHIMTKGDICEETHMDSKAVSCSLVCNELDNDVCGKTSALCMKSNEPALDVTQTTSVPDRLSSSLEKASENVVIIDVKETRPFGSAACSVDGTDEPVDHANNNVITNAICHGETVIAESVNNVGDTASNSSLATKSSSIQSDADTRTSEVHTFSSLALKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPENFLNAKYIPETSVNTPPKEGSQRQLSPSNRIIRSTSANDNFHSRSPFDSIQQKKLAGHDEANAARRSFKDFLGTMTRTKESIARATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSITQCSRNQKGGAGDVYPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYVIRHHIREIETINEASFGSSRRPSRLERALNDPLRDNEGMLVDEYGSNAGFQLPNIICTKVLEEEDGNSSEDRSFEAVTPEQDAPDNDDNEESQMPVEKHRRILEEVDGELEMEDVAPPSEIEVTTKCRPEQSGTNCTTSDQRPSDVGPPLPVDKPPSPPPLPSSPPPVPPPPPAPIPQSAQMQPKLQMTSDPIGPHPPGATYNIQSQQPHSIEHQGNMNPSVAPLPPPPFNNSGYGGQSNQIPPPPPMAPLNPPGPHGNFPAPPAPYHGNNYHRPPTTSIPNEGYHMQPPPPPPPPNQFPPVPSEHQQRPHHWGTNCPPYPERYRYNGHDRGHHRHDRRHHGHDRHHFDDRGYLYDDRGYHYDDRGHYFDDRRHHFDDRGHHFDERAIRGAMHHEADRGRYPFPPGPPPIPDHFEAPPAPMHYGRPSDPPPGPCAGWSRPPRISNYSPSRHSMEPPVSHAAGGHGGWRPR >PAN49600 pep chromosome:PHallii_v3.1:9:61680848:61690076:1 gene:PAHAL_9G459000 transcript:PAN49600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTENLVNGSNMHSGQIIEDHSITTRNPDMVNSDGPSVTVKGDKPCVVNSAPDEPTEDVTILDEMRDIPLCPNSFSNKPRDAHPQNCYTRCRVPSLRKSRSSISVESRKAQGTGKLLDHHSHAEDDKANSGAVSTSDNVLLHSSVGTFNKSVALETISSKRRLNSPVKADSTCNSEASENGASETELKSNGTSNLPMDTAVIFKRKRKADRKPVPHYKDCTPPNKGEGLHAEYSEILPDSPNSKNEVNKSDGDEHLPLVKRARVRMGRSQMEDSPIDDIDVSNKKPELSMTADKCDMHFTPAVPGNDYSADQISAVVNTVSNPSSKFDMPILSGEGHPWKNKEYHPKILALDVEAALPPSKRLHRALEAMSANVAETNNSIPEVTGHNDMVLDSSLSTGNNHSNISAETVVTVSNKSGIVQSPGPSLDTEFVHSPSGKYTSESILQNNAISDSASVPSKANNHDNHIMTKGDICEETHMDSKAVSCSLVCNELDNDVCGKTSALCMKSNEPALDVTQTTSVPDRLSSSLEKASENVVIIDVKETRPFGSAACSVDGTDEPVDHANNNVITNAICHGETVIAESVNNVGDTASNSSLATKSSSIQSDADTRTSEVHTFSSLALKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPENFLNAKYIPETSVNTPPKEGSQRQLSPSNRIIRSTSANDNFHSRSPFDSIQQKKLAGHDEANAARRSFKDFLGTMTRTKESIARATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSITQCSRNQKGGAGDVYPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYVIRHHIREIETINEASFGSSRRPSRLERALNDPLRDNEGMLVDEYGSNAGFQLPNIICTKVLEEEDGNSSEDRSFEAVTPEQDAPDNDDNEESQMPVEKHRRILEEVDGELEMEDVAPPSEIEVTTKCRPEQSGTNCTTSDQRPSDVGPPLPVDKPPSPPPLPSSPPPVPPPPPAPIPQSAQMQPKLQMTSDPIGPHPPGATYNIQSQQPHSIEHQGNMNPSVAPLPPPPFNNSGYGGQSNQIPPPPPMAPLNPPGPHGNFPAPPAPYHGNNYHRPPTTSIPNEGYHMQPPPPPPPPNQFPPVPSEHQQRPHHWGTNCPPYPERYRYNGHDRGHHRHDRRHHGHDRHHFDDRGYLYDDRGYHYDDRGHYFDDRRHHFDDRGHHFDERAIRGAMHHEADRGRYPFPPGPPPIPDHFEAPPAPMHYGRPSDPPPGPCAGWSRPPRISNYSPSRHSMEPPVSHAAGGHGGWRPR >PAN50777 pep chromosome:PHallii_v3.1:9:67572871:67575476:-1 gene:PAHAL_9G545300 transcript:PAN50777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRSMEARGGGGAAVETHRVDLPPTATLADVKALLAAKISAAQPVPAESVRLSLNRSEELVSPDPAATLPALGLASGDLVFFALSPLTVLAPPAQALPRNPSPGSGSAPTAMAGDGGKGSEQPGTGGSSLQVRTVAVDPIVPAASDPVDVVMAEAVDATKGWSSFVLRDLKREMGNVGGAEGTAAGRLVAALHAALLEVGFLTTDPMGSHLSLPQDWPSVASKPLAIKYTIPELSPMSPAAEEGKVAVLNFSLMGNFVMVYGYVPGAQSEVCRLCLELARLEPLLYLDSDQLSRVQERGILDMWRVLKDEMCLPLMISLCQLNGLRLPPCLMALPAELKTKVLDILPGDDLARVECTCKEMRNLAADDSLWKKFIGKSKNYGEGARGAMSAKARFGEAWLANKRRQKRPHPIFWNYGWGNNPYSRPLRQPLIGGDSDRLPFIGNHGSVGRNFGNQRRNIVPNCILDGHRHNFL >PVH31736 pep chromosome:PHallii_v3.1:9:14551684:14551863:-1 gene:PAHAL_9G222500 transcript:PVH31736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHREYRAIMRITGQALCCMSYGIRLEDDQWIYLSTCCTFLIQRYTSCTFLILISIIDLL >PVH32193 pep chromosome:PHallii_v3.1:9:47634763:47647287:-1 gene:PAHAL_9G343000 transcript:PVH32193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPTTGGGCEGNEMGCERRGRPGSTPAGGTARPGLCTSGHEPALLHKPINPPRVRAQDGIQIGRAEEIRSEGSLPTYDSLWLLVNQCSATAVAVLRHCGGAERWWHGPSPNHKAGARPG >PVH32195 pep chromosome:PHallii_v3.1:9:47634763:47646849:-1 gene:PAHAL_9G343000 transcript:PVH32195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPTTGGGCEGNEMGCERRGRPGSTPAGGTARPGLCTSGHEPALLHKPINPPRVRAQDGIQIGRAEEIRSEGSLPTYDSLWLLVNQCSATAVAVLRHCGGAERWWHGPSPNHKAGARPG >PVH32194 pep chromosome:PHallii_v3.1:9:47644687:47647287:-1 gene:PAHAL_9G343000 transcript:PVH32194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPTTGGGCEGNEMGCERRGRPGSTPAGGTARPGLCTSGHEPALLHKPINPPRVRAQDGIQIGRAEEIRSEGSLPTYDSLWLLVNQCSATAVAVLRHCGGAERWWHGPSPNHKAGARPG >PVH33097 pep chromosome:PHallii_v3.1:9:69021522:69024654:1 gene:PAHAL_9G567500 transcript:PVH33097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT1G11755) UniProtKB/TrEMBL;Acc:Q8H0V2] MDSKAMEESLPSQAPIFMNQAPPHMSRPSIVLKLILGLLWGIIHLAISLFNLWSFLIYNLECYLISSGLLRKYQYLHLDRLKYLAIVVDSKEAKNTVKIRQLLCWLSTMGVKYICLYDIEGVLKKSFEPAMTGSRDGKAGEYLDIGSSINYSHSSHKGMAIECLSGSDGKEGIAKAANLLCSTYLNGATHGNGKREPTFTEVDMASALKAIGCGGPEPDLLLMYGPARCHLGFPAWRLRYTEIMHMGPLKSMRYGAIVKALYNFSKKYQNYGK >PVH32611 pep chromosome:PHallii_v3.1:9:60694330:60695093:1 gene:PAHAL_9G442400 transcript:PVH32611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEETEEISWLRVRMQPTSPVADLSCLRRDASGSSRVYTKFRNRLAPGHQGDLFRSVRQHSCWCKPTRNLLQRCSKAALLAWRFMAQNI >PVH32196 pep chromosome:PHallii_v3.1:9:47674903:47675391:-1 gene:PAHAL_9G343200 transcript:PVH32196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDLIAAYEVRAPLHWDAEEWDFHSQSEDDESLTDGEDLALLLGAELEEDEDDASWGEDLSSSEEKDNSYSSEEDPMAGTFLLGRSSDETLDDTEEAEYDDGFASDSGDDDDDSSNGDSSDASVAPPAKRRKTAGVYWW >PAN44515 pep chromosome:PHallii_v3.1:9:2766985:2772705:-1 gene:PAHAL_9G049500 transcript:PAN44515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGESGGDPAAARRRWDLTNKGPDSTPIVKETLEISTDEESDGVVICHPNGNSNTDGCDEATSGNHDDDSSEGQVISSVKDPDVEGDTQEDKCVNQDSLKLIDQEKSAPPKSPAKSASSGSERPKRVVPQPFSLSSQRRSSGGNGVVASPPINKEKSGDKNSISPASMTKKSTPMAPRKTLQPEQTFHPQEEDSCSVTSSTTTSTRAGRTKTTVPVAPSFVCANRADKRKEFYTKLEEKHKALEAEKDEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTSSRRKSCSDTAQTSEGKNTSASSARSHRHSIGSSKDANRVQCSPKNGVATKTRAVKPELQAL >PVH31097 pep chromosome:PHallii_v3.1:9:3219480:3219809:1 gene:PAHAL_9G056500 transcript:PVH31097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDTRPQYTAHLPGVLQWAHHAKKQLHWALLSKLDPLGNPTPTRPWSSPRRSLRRRELVGGDVDPNGSMASPYKYDADALSEASIAPPSPWPWSGMPPRCHRSPAHSNL >PVH31782 pep chromosome:PHallii_v3.1:9:15391541:15392346:1 gene:PAHAL_9G232600 transcript:PVH31782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPVTVQALVLGMARQVGMDLTVELMLKEADKVEVVGVDKMVGLAMALVPVLGTVRPVGMDPTMEGMPRVVAKVEVVAVDKMVGLEVDLVRVLAMVKLADMVRMVVVMLKQVGKVVVAVVAVDNMVVLDKVPVPVMVKLVGMDLMVVDMLRLVVKAAAVGKVVQAEVDMETAQEVVLDQPEVVADIHKS >PAN45019 pep chromosome:PHallii_v3.1:9:5035773:5039965:-1 gene:PAHAL_9G087900 transcript:PAN45019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMGKRVVRTVRVRNISDLATEREVREFFSFSGEIEHVDIRFDGTGRTAYVTFKDPKALEIALFLSGATIVDQVVNITPAEDYIYIPVTEQQLLVNEVTSTSSAPNAELEYSSPEANASPNSRIYVSKAQDVMTNVIARGSAMRQDAVNKAKAFDEKHQLRANASARINSFDKRVGLSQKINNGISVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQLAVSNLTAKGPAVVA >PAN50036 pep chromosome:PHallii_v3.1:9:64058997:64060047:1 gene:PAHAL_9G493100 transcript:PAN50036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVSAGAGAAAASGGGKVVMADGSVRALSEPVSVAELMMDHPCHFVVDARVLKEQGRREQQQQGGGGRAKVAPLPADHVLGAGGVYVLLPATRGKVSAEEARRALSAARSLARSRSMPGLRRKLSSKKGREEAAPDVSAQREATTEPPEEEEAEAARPDGFEHRPEFLSRELSSRGWKPSLRTIEERVALKKTPHWLF >PAN49619 pep chromosome:PHallii_v3.1:9:61780557:61785261:-1 gene:PAHAL_9G460400 transcript:PAN49619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALRSAAARARAAALSGGRWLGTSSAAETERERGREKEEEEERAGWELSAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEQPWGGSRLRYVDDGRPFWQHIVTSSTGCSDAQYFEELYQYFMTEKAWKLCDPDAENVFKALRRAGVKTAVVSNFDTRLRPLLQTLKCDHWFDAVAVSAEVAAEKPNPTIFLKACELLGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERVGVEVANK >PAN44402 pep chromosome:PHallii_v3.1:9:2230037:2235050:1 gene:PAHAL_9G040100 transcript:PAN44402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEPFDEVELLALSASPAVSPPRRLKRLKKFSSQITTTVATTTSPPAGSPPPPKEQASPGEETLAPSQSPPPNPSPQPLTSPDADAPTPLPDSSPAPVSSPLPPTDTAADDEEDDGLDPLFSETVGAGGWDPLGAPAEGDDAGDEEEMPRGGLIEELRRENSAKKRLDMDEADGGVAAAGAEAKGKRSKRKRKEEAPKESAREKKRSEKERRAQLDSIHAESQRLLRETRSASFRPIVQPVCKPISSVLEKIRLRKLEVLKKSNTTVEDDDDNAAASEPASDSAVHLDVLKVKEVTADDKDLRIDDVDKELGNLDQCNVAEDKDDLNSKEKDLHNCGTKAPDEETSDRLEDNHENTQSSDNHNNSVDQTQLPRSSSPVESTDESSSEDEEDNDKENIEPSARNNDVNTREQLRPAIGGDSCPDNAILKDFLDDEAEEEDDSDNDMMRFKDDEENYGSDENEVFNDLIAAGYEEKEVDHEKRNELHQKWLQQQDAAETNNVMQRLKFGHQEQKESVHEDEDIEDCEVESDKEMSYDLTPANVVRQNSEKAKQMIAKMFADDNDTYEHSDDEEIEEHLARQRISKREVDNNTLISPLEDDSSREVFGLIKKLNIAPQPKKRGKQSTSNHQMLIAGRNSSASKSSFLGRTASGPLVSSRRSVHRSYVFGRDDSNSSTRSCMSTSESISDMDQTNSSQPKKAKFSGSQPKPVGPQANLKSDTNSGVSLFEILRRTSSVTSDRQELSSQESCSTITESQAGHQFSAFKLSRRFSRVEARN >PVH32545 pep chromosome:PHallii_v3.1:9:59146848:59147066:1 gene:PAHAL_9G425300 transcript:PVH32545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPSQAKGNGLLVSAAEPRPRLCPGMTGDPDPADRAQGSGERDPRNQVGRAAGSCDVASRAVIKLIKISK >PVH33304 pep chromosome:PHallii_v3.1:9:72365914:72368319:-1 gene:PAHAL_9G621900 transcript:PVH33304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRRVVAAAALLACVLLPMAASPVSGRNITAILDGYKEYKLYNKYLSETKVCDEINSRQSTSMTILVLSNDAMTTLASDAGDSLPAIKNALRLHSVLDYYDRKKVKKYGDESAATLYQATGDAASTTGNVKVVDQEDKNYGFSAATPGGRICTVTKEVETHPFKFAILEVTAPIEFDGLFDTPSTSNLTRLLERAGCKVFASLAARSGVLKTYEAAMDKGLTLFAPNDDAFQAKDAPDVKSMSSANLTKLLQYNALPSYYTKTSLKFVKGTLRTLATTKAGVTVVAKGDDVSLDTGKSKSRVADTVVDSVPFCLLTVDSLLVPPELYVGAPEAAPAPAPAEAPQGSPPATQPADAPSVAADHAADHKTKKPSSSDASRPIGALAAACSVVLVSLLL >PAN49596 pep chromosome:PHallii_v3.1:9:61667684:61671830:1 gene:PAHAL_9G458800 transcript:PAN49596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASATLTPAAAGKSFTGFTKLCKGLAIILFLAHILVRLFPSAASYLALIPARAIPFAWNLVTAGYVEQTIPGVIVSIVGLLLFGKVLEPLWGAKELLKFIFIVNLSTSVCVFVTAIVLYYITQEESYLYTPLSGFYGVLSGLLVGIKQMLPDQELNLFVLKISAKWIPSMVAFVSVVVSFFLKESMAYLPTILFGIYVSWTYLRYFQKRLEVGLRGDPSDEFSFSSFFPGFLRPILDPIASIFHKLFCGRSARSEGTGQALDGSQLPGSGSIEANRRRERGQRALEQRLAEKLAAVRSAEGKTPPSPPTQQLEDDASDKV >PVH31469 pep chromosome:PHallii_v3.1:9:9450185:9455024:-1 gene:PAHAL_9G153100 transcript:PVH31469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSMEREKGIEKVVLTHAASFPTNTMCTSHWSVSLVACSADIESLISSGTYFNLHAQKAFNVFFKLSSNHDDLIGRSAYAFYCLSVISCVLVLWT >PVH31142 pep chromosome:PHallii_v3.1:9:3842313:3847368:-1 gene:PAHAL_9G066600 transcript:PVH31142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLIAGLSVAAAAMSGRYMIRAWQTFRTRAAMPRVRRFYPGGFQGEMNRREAALILGVRERATVDKIKEAHRRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGASVF >PVH31144 pep chromosome:PHallii_v3.1:9:3845067:3847368:-1 gene:PAHAL_9G066600 transcript:PVH31144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLIAGLSVAAAAMSGRYMIRAWQTFRTRAAMPRVRRFYPGGFQGEMNRREAALILGVRERATVDKIKEAHRRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGASVF >PVH31143 pep chromosome:PHallii_v3.1:9:3843227:3847368:-1 gene:PAHAL_9G066600 transcript:PVH31143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLIAGLSVAAAAMSGRYMIRAWQTFRTRAAMPRVRRFYPGGFQGEMNRREAALILGVRERATVDKIKEAHRRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGASVF >PVH32356 pep chromosome:PHallii_v3.1:9:55127535:55128535:1 gene:PAHAL_9G380400 transcript:PVH32356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAGAFYSPGPPFAMAMPALPYAPAALHPTAVPIPAPAAFYPAAPMPALAAFYPGGVPMQAPLPRPFRVPVNAVWADNFDAVRRDMGYFAAHARCVAVKVHYPGVIHGAQDLGALTAEKRYTVLKANVDALKPLQVGLAVATDDGRFAAWEFNLSDFDPDADPHAVQSLLHLGRRGLNCLEHRLRGVPMEQLTRALRRSGLLSSRPGVSLVTHTGAYHLAYLMKVLNGGDPLPGDMAGFLGSVRRSLGEDVYDVARMAADCRDMPVGLEHIAGRLRLAPPLALHPLAGAGSVLALEAFITLRYHQFRGDVTRYKGVLHGLQTV >PAN44408 pep chromosome:PHallii_v3.1:9:2259522:2261043:1 gene:PAHAL_9G040700 transcript:PAN44408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPLVCLGSYFEAFPTCVHVYWTLSGTVSVWTPVGSHLGGSPDWGWRRGLTFFLLIGLTAAAQIRSNGRKIPKGWTVPRARTARRRATGLVTRTEGKAMVHGLTLSRAWDLLDGPVGLFLRGPQYGRGRFRDCQTCVCQVPGRVSCLPRTSWLAPCHELLAAASFHTQTNFTHKLQPRLCATSAFVPVHASSPTVRTDRNTIPTSYKPSQQLKWRGFKSE >PAN45750 pep chromosome:PHallii_v3.1:9:8574859:8575845:-1 gene:PAHAL_9G139700 transcript:PAN45750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADVEYRCFVGGLAWATDNASLQQAFASYGDVLDSKVITDRETGRSRGFGFVTFSTEQSMLDAIEAMNGKELDGRNITVNQAQSRGGGGGGGGYGGGRQGGYGGGGGGYGGGGGGYGGGRREGGYGGGGGGGYGGGGGGYGGRREGGYGGGGYGSRGDSGGTWRN >PAN47646 pep chromosome:PHallii_v3.1:9:22023192:22026432:1 gene:PAHAL_9G267900 transcript:PAN47646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQPPPSAAFPRRRWQNRFSPTLVRDRCYTRCFHSAGLRRAAVPLQDGAVVHLWHPPAATTGAIPLHPVLLLHGFGASATWQWAPFLAPLLAAGLAPYVPDLIFFGASSSPAADRSPAYQAACIAAAMSALPSAPQRYAVVGVSYGGFVAYHLAHAFPAAVERLVLVAAGVCLEDADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTDNVKEKTELLYALINGRKLSDLPKISQQTLIIWGEHDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPSELCRLIRNYIVDPSVKYRDDCKGSWKSVIKRFAGSSLRKVDSSRPLL >PVH31940 pep chromosome:PHallii_v3.1:9:22023281:22025874:1 gene:PAHAL_9G267900 transcript:PVH31940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQPPPSAAFPRRRWQNRFSPTLVRDRCYTRCFHSAGLRRAAVPLQDGAVVHLWHPPAATTGAIPLHPVLLLHGFGASATWQWAPFLAPLLAAGLAPYVPDLIFFGASSSPAADRSPAYQAACIAAAMSALPSAPQRYAVVGVSYGGFVAYHLAHAFPAAVERLVLVAAGVCLEDADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTDNVKEKTELLYALINGRKLSDLPKISQQTLIIWGEHDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPSELCRLIRNYIVDPSVKYRDDCKVHNYIIIIAFVLN >PVH31915 pep chromosome:PHallii_v3.1:9:19526724:19527437:-1 gene:PAHAL_9G260300 transcript:PVH31915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTPTTSPKTSSRVPSCTQSFLWVRSPLEFRMAPDSDDSLFVASVVVWVLVVILAIVALHCPLPRRVVR >PVH33364 pep chromosome:PHallii_v3.1:9:73321920:73322949:1 gene:PAHAL_9G635400 transcript:PVH33364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCTLYSDGQTNASLFRTSDSIGLCHGRRIPSAEAVMAHDVEAPPGEGFSNKHKQQGPRWRRVQDLSESKRKVPQGPNPLHN >PVH31919 pep chromosome:PHallii_v3.1:9:19951020:19952543:1 gene:PAHAL_9G261800 transcript:PVH31919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLNDESTVRSPPDLPARALAAVSRRWIGPLLPHPPPSPAPRRHSFPVPRRAATPPLRRQLPHTGSSARHGGPASVVPRAAVTLGPRDPPSTAAGPLAPPGFGWREREGEVAAREERLDPRRCSPSRVAVTPPGGRGKAGGGGRGGREGRAAGERREGRRGKRGREGIGGREKEAGGLCRGRGSVRLVEGEGARIDDVGSGMAQFLGAY >PAN50749 pep chromosome:PHallii_v3.1:9:64672361:64676435:-1 gene:PAHAL_9G501800 transcript:PAN50749 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MNPDGGGSSSSSPPPSTSLGADADAEAKEEERGGKQVVVVLVGPPGSGKSTFADAVVAGSTAGRPWARVCQDTIGNGKAGTKIQCLKATSDALKEGRSVLIDRCNLEREQRADFVKLGSTLHVDVHAVALDLPAKVCISRAVSRKGHEGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMSCNDDGDIKKAVDLYNALGPSDSLPSGIFGQKSKGPVQVGIMKFLKKGDTSSVEKSSGPKITLSESKPGQQNPLPKHEKVEDGITCPVEVEKGLNDKNENEEHAKESDSDDVGSRTLAFPSISTADFQFDLDRASDIIVDTAADFLQKFDNIRLVLVDLSKKSKILSLVKEKASKKSIDCSRFFTFVGDITQLYTKGGLQCSVIANAANWRLKPGGGGVNAAIFNAAGESLHHATKKCADALRPGTSVVVPLPSISPLHQREGVTHVIHVLGPNMNPMRPDYLKNDYIKGSKILREAYNSLFENFASIVQGHMGKQNGKSGAEMSAFGGTSPNDTKMKREDSHGSERMKKHKMLPPIMTAKQQHGCTKANMPNYHDKSMTSSAAPNQARERVIKKSGVVTNKTWGSWAQALYELAMHPEKYKNTDSILETSDELIVLKDLYPKAKKHVLVISRTDGLDSLADVRKEHLPLLRRMHSAGVKWAQKFLEEDASLVFRLGYHSVPSMRQLHLHIISQDFDSTSLKNKKHWNSFSTPFFRDSVDVIEEIEQHGSATASSDEKILAMELRCHRKTG >PAN50147 pep chromosome:PHallii_v3.1:9:64672634:64676256:-1 gene:PAHAL_9G501800 transcript:PAN50147 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MNPDGGGSSSSSPPPSTSLGADADAEAKEEERGGKQVVVVLVGPPGSGKSTFADAVVAGSTAGRPWARVCQDTIGNGKAGTKIQCLKATSDALKEGRSVLIDRCNLEREQRADFVKLGSTLHVDVHAVALDLPAKVCISRAVSRKGHEGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMSCNDDGDIKKAVDLYNALGPSDSLPSGIFGQKSKGPVQVGIMKFLKKGDTSSVEKSSGPKITLSESKPGQQNPLPKHEKVEDGITCPVEVEKGLNDKNENEEHAKESDSDDVGSRTLAFPSISTADFQFDLDRASDIIVDTAADFLQKFDNIRLVLVDLSKKSKILSLVKEKASKKSIDCSRFFTFVGDITQLYTKGGLQCSVIANAANWRLKPGGGGVNAAIFNAAGESLHHATKKCADALRPGTSVVVPLPSISPLHQREGVTHVIHVLGPNMNPMRPDYLKNDYIKGSKILREAYNSLFENFASIVQGHMGKQNGKSGAEMSAFGGTSPNDTKMKREDSHGSERMKKHKMLPPIMTAKQQHGCTKANMPNYHDKSMTSSAAPNQARERVIKKSGVVTNKTWGSWAQALYELAMHPEKYKNTDSILETSDELIVLKDLYPKAKKHVLVISRTDGLDSLADVRKEHLPLLRRMHSAGVKWAQKFLEEDASLVFRLGYHSVPSMRQLHLHIISQDFDSTSLKNKKHWNSFSTPFFRDSVDVIEEIEQHGSATASSDEKILAMELRCHRCRSAHPNIPKLKSHIANCKSSFPSHLLQKNRLISSSTMHMDCT >PVH32980 pep chromosome:PHallii_v3.1:9:67199440:67200166:1 gene:PAHAL_9G539700 transcript:PVH32980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSFRPRQCIALSIPGPLLAIFAERTRLAIRSARASFSSRAPLPALLSPPARPPITTAPALECRRPPWQPHARAPPHSQIHPTEATILLRLVAPGASVSSPTSPTNQPCQPSAEGAPRQRTLDATLTSTVAGNSATPPPAGPPLLPAPLH >PAN47793 pep chromosome:PHallii_v3.1:9:44684149:44684421:-1 gene:PAHAL_9G336300 transcript:PAN47793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRGFLDLNSASIGALTVPDADLVSLSLFEEQDFLAAPSVLESQQVLNPTLPSVHSVSDGAASALFDRAPYGTATEDSLHEEAVQCVST >PAN45047 pep chromosome:PHallii_v3.1:9:5119853:5127060:1 gene:PAHAL_9G089600 transcript:PAN45047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMSYAGPAPELKLPVKFIKPRIWGFNGVPMSYIKPGHASGRDAVFWSDKMNEYLIDSLLHQQSIGNRGEARFFSAAYDSIITGVGERFGVAIDRNNIKNRLKHIKEAFYECRNILGEDTRIKWCPESRRFNADPNVWRELIQRKPEAKKWMTKTVDHYDRLMELFGKGREKRLAVESPKDTKKKARTEPLKERLQRTPLNGRVLAGDESLDKMVNKNEMPDEAMAPVELDLSELCRTENGIVAIPVRANAYGKGLPYAPENWPRSGDIWYWKVGSRASGAGHWADRYLAPPSRFREATRKRLGFASRIQVEEFVKREFPDVDPSTFFSMFIWKIPAEGYRIQKGTKQVTEQVRLHEPEPDVADPAGPCKARNSLCNLEREGFIESSPAQACNICCTVPGFCRECCCILCNRTVDYSFGSYSCIKCEAVVEENYICGHVAHLDCALRCYMAGTVGGSIGLDVQYYCRRCDNKTNLMMHVEKLMETCQSLGSRDEIEPVLNMGLCLLRGSRQMRAKSLENRLGSAMAKLKSGVDLVEVWKVEDNEGRATPSGGENSPPTSGVTVLGILQIPEAGALPGHPDLIDPLVDNDFQTAVENLPVYITGDHNVMSAKFEDEIDLALQELKKSQEVEHTVAEQRLYSQKDHILCLYRQLESERAQLADPMPLADTSNYGVMLANVLSRVDQVKREEEKFKSMLKIAGGFAKAPESVTKEFFGLAADR >PAN45046 pep chromosome:PHallii_v3.1:9:5119853:5127060:1 gene:PAHAL_9G089600 transcript:PAN45046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMSYAGPAPELKLPVKFIKPRIWGFNGVPMSYIKPGHASGRDAVFWSDKMNEYLIDSLLHQQSIGNRGEARFFSAAYDSIITGVGERFGVAIDRNNIKNRLKHIKEAFYECRNILGEDTRIKWCPESRRFNADPNVWRELIQRKPEAKKWMTKTVDHYDRLMELFGKGREKRLAVESPKDTKKKARTEPLKERLQRTPLNGRVLAGDESLDKMVNKNEMPDEAMAPVELDLSELCRTENGIVAIPVRANAYGKGLPYAPENWPRSGDIWYWKVGSRASGAGHWADRYLAPPSRFREATRKRLGFASRIQVEEFVKREFPDVDPSTFFSMFIWKIPAEGYRIQKGTKQVTEQVRLHEPEPDVADPAGPCKARNSLCNLEREGFIESSPAQACNICCTVPGFCRECCCILCNRTVDYSFGSYSCIKCEAVVEENYICGHVAHLDCALRCYMAGTVGGSIGLDVQYYCRRCDNKTNLMMHVEKLMETCQSLGSRDEIEPVLNMGLCLLRGSRQMRAKSLENRLGSAMAKEKIPHQPVV >PAN50002 pep chromosome:PHallii_v3.1:9:63920106:63927616:1 gene:PAHAL_9G491000 transcript:PAN50002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKASDSSSQRSKRPDQGMGRDAAAASVVAIRGKLTQLVRQIQCERLAYIKEKVELNRKTLQRHTCALFDVAAAAEVSSRGTEGENALSRRAAELQSTPVESDLANGMGETDVVYVQEENPAAGTLVLSGSGGPAQRTVVRFVKLPWIDRIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPVGNEALICSDSDDEIPEPEEEKHFFTEGEDQLIWKATQEHGLNREVVNVLCQFIDATPSEIEERSEVLFEKNEKLSGSSHKIHSQLSLDKTMDSILDSFDNLFCRRCLVFDCRLHGCSQNLVFPCEKQPYSFEPDENKRPCGDHCYLRFPQRREGFQDTHDDGLGGCATYTMESRTASHKVDVNILSESEDSNREEDNIKSMALVGTSGSKIVSSASAEKSTTPPSADTSETENASSDLPLSNLGKHRILKHGPRYRERSPGKRQKVFTSDISFASNILNKLSIPEIRDTRPEPRESGGDKLRILDESTKKTSTKDICGGSTTSTTENVGSNSNKLSSTKNFLEHSLSCWSSLERDLYLKGIEIFGKNSCLIARNLLCGLKTCMEVANYMYNNGATMAKRPLLSKSTLGDFAETEQDYVEQDMATRTRIYRRRGRNRKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCPCMENGTCCEKYCGCSKSCKNKFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPSRGDGYQCGNMKLLLKQQQRILLGRSDIAGWGAFIKNPVNKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKEHIEASEELFYDYRYGPDQAPDWARRPEGSKKDEASVSHHRAHKVAR >PAN50001 pep chromosome:PHallii_v3.1:9:63920106:63927616:1 gene:PAHAL_9G491000 transcript:PAN50001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKASDSSSQRSKRPDQGMGRDAAAASVVAIRGKLTQLVRQIQCERLAYIKEKVELNRKTLQRHTCALFDVAAAAEVSSRGTEGENALSRRAAELQSTPVESDLANGMGETDVVYVQEENPAAGTLVLSGSGGPAQRTVVRFVKLPWIDRIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPVGNEALICSDSDDEIPEPEEEKHFFTEGEDQLIWKATQEHGLNREVVNVLCQFIDATPSEIEERSEVLFEKNEKLSGSSHKIHSQLSLDKTMDSILDSFDNLFCRRCLVFDCRLHGCSQNLVFPCEKQPYSFEPDENKRPCGDHCYLRRREGFQDTHDDGLGGCATYTMESRTASHKVDVNILSESEDSNREEDNIKSMALVGTSGSKIVSSASAEKSTTPPSADTSETENASSDLPLSNLGKHRILKHGPRYRERSPGKRQKVFTSDISFASNILNKLSIPEIRDTRPEPRESGGDKLRILDESTKKTSTKDICGGSTTSTTENVGSNSNKLSSTKNFLEHSLSCWSSLERDLYLKGIEIFGKNSCLIARNLLCGLKTCMEVANYMYNNGATMAKRPLLSKSTLGDFAETEQDYVEQDMATRTRIYRRRGRNRKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCPCMENGTCCEKYCGCSKSCKNKFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPSRGDGYQCGNMKLLLKQQQRILLGRSDIAGWGAFIKNPVNKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKEHIEASEELFYDYRYGPDQAPDWARRPEGSKKDEASVSHHRAHKVAR >PVH32684 pep chromosome:PHallii_v3.1:9:61752609:61753859:-1 gene:PAHAL_9G459900 transcript:PVH32684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTGKIRRPHWKEKHGFGERDAGARRPWITTRSSLGARRSEGDVGQLHELPMLCTGRGWGLGQACARRRHRRIGDEGPAGCHICLGHISISTSCSYVKPFDADSSLVLFKKRKIRV >PAN45587 pep chromosome:PHallii_v3.1:9:7712626:7717015:-1 gene:PAHAL_9G129300 transcript:PAN45587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFSMYRGNLHIGGRDSGAAAERRWERPRPTLSAKRFRRLLRNRSLAIARLAGAPRRPGSPSSADVDGARGAAEHDEEARHDEEADGVDEQGGQEQQDEEEEPQQQGENGHGEEEQQHQAEEEQQEEGAVEDADMDDAGEIVVEGDGNGDAEEGQGESEGVDPNQEEVSYPDQIDEKKRKLNEKLDVLNKKKHDLVQMLKQVLNAEEEIRRRSMQASLRAAMPQPSENATDGSSVSRLAPRMTVDVNFGDVAGDSDAGSNQGTPGRPLHHFHSISPSAASFVRSPFGSLQGHTPRSPATFSMASPSRFAASGHQGQPPGLHSAALPGGNYVVSSPSPAASGGSSSVFRDPRPPNST >PAN47794 pep chromosome:PHallii_v3.1:9:44773226:44777742:1 gene:PAHAL_9G336600 transcript:PAN47794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGIADEKAPEAFGLSRHVAEAEMEEEHGGGAGGGVKSKLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLMGSWTAYLISFLYLEYRTRKERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYVNDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLLNGQVEGVAHSGPTRIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKSIYLLATVYVLTLTLPSAAAAYWAFGDALLTHSNALALLPRTPFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPHLAGPPPPAPFAPPPMAPAPAMPPATAFNATGLFPAVPSPAPSPMMNFFLRHHHHRHHGRHGL >PAN50175 pep chromosome:PHallii_v3.1:9:64869404:64873488:1 gene:PAHAL_9G503700 transcript:PAN50175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCFNGSAGWPEPVVRVQAVSDTCGDTIPERYVKPLSERPSLSPAAADAAGDGPNIPVVDLSMPDADATSEAVAAACREWGFFQAVNHGVRPELLRSARAAWRGFFRQPAEVRERYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHLLPPSLKSHDKWPSLPASLRETTEEYGEEVVQLCRRVMRLLSTGLGLEAGRLQAAFGGEGGEGACMRVNFYPRCPQPELTLGVAAHSDPGGMTMLLVDDHVRGLQVRSPGDGKWITVDPVPDAFIVNIGDQIQVLSNAAYKSVEHRVSVSAAEDRLSMAFFYNPRSDLPIAPMPELVAPGRPALYPEMTFDEYRVFIRQRGLAGKAQLQSLQAKAAAAAAPADDPAAGGSPSSS >PAN51438 pep chromosome:PHallii_v3.1:9:70613923:70619424:1 gene:PAHAL_9G594500 transcript:PAN51438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGEGKGNPGGGGGAGAKGGGRKRKFLPHGKPVRKGAYPLRPGVQGFFITCDGGRERQATREALSLLDSFYEDLVGGKGSDEKPKGIPDKPLNKKIKFEDSDSSDDEDEDNSVDEADNGNGNDVEKGENAPANQEQEVLNTSIPASKDVEEQAGTTEEPKEKKQRVEDPPVSEQTEQKETADEPKESTDKPKESTDKPKESSERNIDDLIDEDLKGLGDRKKRLFASLDSGCNGCIFIQMHKRAGDPGPVEIVQNMMSSAASTHIHMSRFILRVLPAEVACYASEEEITKAISPLVEKYFPKECPSVHKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLKNPDKTIIVQIAKTICMIGVVERYKELSKFNLRQLTSSESEK >PAN50097 pep chromosome:PHallii_v3.1:9:64421139:64428469:1 gene:PAHAL_9G497800 transcript:PAN50097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNCSFDDGHEIVEVAGEPGEPSGTMRLMDFIPIDIYIPSVERGALNKSRRRRRFLDFLRAHPSKDWFLRSTFVGRLRRGDHQASLGGTAEDSDSGGRRGPRRRFRVPFVRKIKWGKLWSYAASWCRKPENFAMIIWLAFVAAGLLLWFMLMTGMLDAAIPDDERRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPDGDREEIRKVYCRDGAARPRDRAHMLVVVTLLHVTCFAQYYCCALFWSYTRKDRPDWALNIGYGLGTGCPVIAGLYTAYSPLGRKQPDEADTESSSSAEAGQEQGDRAGNDSQQDLEIKVYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSVTALNVHDDDIRDTVVAVGVLLGLCGFLYGGFWRSQMRKRYKLPGGRGWWWCGSAAVGDCAKWLCCWSCALAQEVRTANFYDVEDDRFVDVVGARNGEGRPVLVPLPREASATTHTRSMSCPPKLDDAGGGGGVTSPLGMEMAAVAAMERSATYHPMRAPLTPLIQMDREE >PAN44534 pep chromosome:PHallii_v3.1:9:2875960:2878178:1 gene:PAHAL_9G051200 transcript:PAN44534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALKVASTSQPSSSSPAPVRSSPHPRLAVAIPGRRKAVAAIRAVAVVAPTAPPAPAKHAGKRCLPVSQTMSRLMAQGKTAFIPYITAGDPDLATTSEALRVLDACGADVIELGVPCSDPYADGPVIQASTVRALAGGVTLDGVLAMLKEVTPELSCPVVLFSYFKPVMERGMADFAAAVKESGAHGLLVPDLPQVATTALRSEAMKNHLELVLLTTPTTTEERMREVTEASDGFVYLVSVNGVTGPRANVNARVESLIQEVKQVTDKPVAVGFGISTPEHVKQVAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSMKSALP >PAN47124 pep chromosome:PHallii_v3.1:9:15793748:15796833:-1 gene:PAHAL_9G235700 transcript:PAN47124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEMDLEQLWTGPRNEKHLQECLGVAGVFGRCSSQMENQFHSLNVKDGEAADQETIIDDFDTKDYVDPSMLGCFFPNGSLGHGRRGPRRKNTSMRVVESVDVLGLQEPSTSGGRKHSTRKNNEHWKEDEVRQLVNGVSEYGVGKWKDVKTKYFLTSIRTPVHLKDKWKNLVKACKKENGRMLLPLDEALLERIMEIDKNDPYPKQSNSSHNRLAPPTSPNLHPALRWSVVKSRTK >PAN47571 pep chromosome:PHallii_v3.1:9:18254540:18257868:1 gene:PAHAL_9G255600 transcript:PAN47571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKEEQQGAAVGWMTVPAFGEWDVKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDEDLLQAQQGKAQAAAQPAKSSAAVAADDDHRRPLHGDSPTGRKKFLSYFQCCIRA >PVH31811 pep chromosome:PHallii_v3.1:9:16098077:16099376:-1 gene:PAHAL_9G238800 transcript:PVH31811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEMAKPLILCTALAACLALAAADWSPGTATFYGGPDGSDTMGGACGYGNLYNAGYGINNAALSETLFKDGASCGQCYLVICDGSRPGGQYCKPGTAITVSATNLCPANYGLPNGGWCGPGRPHFDMSQPAWENIGVYQAGVIPVLYQQVKCWRNGGVRFSIAGFNYFLLVNIQNLAGSGSVGAAWIKGDNTGWIQMSRNWGANWQALSGLVGQGLSFAVTSTGGQYIQFLNVVPGWWQFGMTFNTYQNFDY >PAN46476 pep chromosome:PHallii_v3.1:9:12501483:12503484:1 gene:PAHAL_9G195000 transcript:PAN46476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHCNGLLLLYDDWLYNPATDEVANLPERPTTPRAGMEFVTEHDVCSFLAFDPTVSSHYEVFLIPSVPWEEDIVKRAAGSAVLHSEWPPSPCILSVFSSRTGLWEDRSFSRQGEAAGKVADIQLDQRSEPYRHAEYWRGALYIHCQTDFVMRISSSNSTYRVIKPPIRGTEVLSKHSEFFLGRSEKGIYYALLDNHRLRVWILDESCDQTHWKLRQDTDLGPFPSLSCDHGPWVLENASSDYESEDEDEDEDEEQMEEEFEWNSDDDNIPPTNDMEEKCNAFMGILAFHPYKEIIFLDRSSRVLAYHLSSSKLEALGNLFTNVYLSMHVYVRSSFPYTPCWMGELPQTFK >PAN51707 pep chromosome:PHallii_v3.1:9:71850341:71852619:1 gene:PAHAL_9G614100 transcript:PAN51707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDEETFLDELMSLRREAAAAAPWQGYPAGSGAMMSDLLFYGADARSGGGGMDLPPFQELAPMPPAAPPHEEFNFDCLSEVCNPYRGSVAAPGEAAPGQTLVAPLHDAMVEEETSGDKGQYYGGGGSPTFVFGGGAGESSEMAIRGVGGPHHRSKLHGAPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDAIDYVKELTERIKALEEEIGASPEDLNLLNTLKDSSNSNNEMMVRNSTKFDVEKRGNGSTRIEICCPTNPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEEDGKRQVISTDEIKQALFRSAGYGGRCL >PVH33203 pep chromosome:PHallii_v3.1:9:70567476:70576523:1 gene:PAHAL_9G593800 transcript:PVH33203 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 3-alpha [Source:Projected from Arabidopsis thaliana (AT5G63920) UniProtKB/Swiss-Prot;Acc:Q9LVP1] MQPGGGGAAIRVLNVAEKPSVAKAVAQILSRGSMQSRAGRSQYNRVFEFNYAINGQACRMLVTSVTGHLMELEFEERFRRWHSCDPADLFHAPVRKSVPQDKQAIKQTLEEEARRCQWLVLWLDCDREGENIAYEVIEVCTGANSHLNIWRAHFSALIDREIHESVQHLGRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVIDVTGDGRNLVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCTYTSDEGTASFGWIRGHLFDYPSAVILYEMCVEEPMATVQNVRNQEKLKYPPYPLSTLELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHAIVREQVEHPVWGAYAHRLLTPEERLWRNPSNGGHDDKAHPPIHPTKFSRGENNWSPDHNKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYNIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKDENTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLESCLQQMKACFLDARVNKAKLLDAMGTFFARSSRPINETQNPVEAVRPCPACHESEMVLKQRQTGEFMVGCRSYPQCRNVVWLPGSISEASVTNQVCPICTPGPVYKIQFKFRRRDIPPNFDVDHLGCVGGCDDILQELMEISRFGSRSQAATPARGQTPNGVRQGPPRQDLHTNFRPAGQLNNENPSVMHSQGFRSTHTQNPSNASDAGQVLCTSCGEPCILRIANTDANRGRKFYKCQDPGCGFFKWEDELETATTRGRRGRGSSRQAPASASAGRRGGAQTRGRRGRGRNADGGMFVSATGDTVSGCCFTCGDPSHFANACPNRR >PVH31337 pep chromosome:PHallii_v3.1:9:6926192:6927491:-1 gene:PAHAL_9G117900 transcript:PVH31337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTEKRDLLAEHAEGLLDVGKSILESYSRVLESLASNIIAQIDDLLNVDELSKLCPARQRSAGPCWSTAGRTTPRGPEPREQRRPRLTGPARGCQARLDASAASVARLGVRADGENRVERARERGVRH >PAN44186 pep chromosome:PHallii_v3.1:9:1303883:1311585:-1 gene:PAHAL_9G023000 transcript:PAN44186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQSKSGPNHASPNGQSTADGDILDTPERGSMDSGNLGSHVQGRPRGSEGSSEKKGRSSKKNSRINSMPSLGKQPQMDTNWDISSSEENELPSRGTRNRRGNKKPSRRGFGKSFSIEQTSLPGLAESVLEKTRCMACMASSIFRASMMYLVEEGKRFIDRKRPTINTYMAIVNKGRAYVLSKIEYVYPIIRAWMLNAGRLMLLLLTVWLDCNVRGFDSLLRLGTNSLLAVLWCSMLSIFAMIGIKKMLIFMVIAASAVAFIGLGFAILLISVLAVVILWFYGSFWTSAVIVLGGASFFLKRERIALLVACLYSMYCARSYVGWLGLLLSLNLSFFSSDVLVQFLKNKVDNKKSTGSSRSSEQSSGRSGNIFEEFKPSADGTSQAGYARASDRNPGDPSTSGSEKELTSEDEVARLLNCTDHYSALGFRRYENIDVSSLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQNVSQKNGRNGTFQHGFSPSEGVDEGPHGLSRRIACKKCGDFHLWIYTGRAKSQARWCQDCKDFHQAKDGDGWVEQSFQPVLFGMLQKLDLPHAYVCAESYIFDVTEWFDCQGMRCPANTHKPSFHVNASIAKQSSGKGSSSAQRGGKVPNGANMDGELNEEEFFEWFQNAVNSGMFETTFSAQGDPTSPGGGSNGKGGGSSRKKRKGKKQW >PVH31313 pep chromosome:PHallii_v3.1:9:6372329:6373817:1 gene:PAHAL_9G109200 transcript:PVH31313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRFSCLRCCSPALLCCRGCLPALRCCRRRKLPAPAVLPLPAARAPPTSGRESFNGEQPSEPATSSSSERPAQGGAAECGRIGRCWRPPDGDGPRARGRWGWRRAVDRSGGARADKAAVRVQVRRRRLAGG >PAN44400 pep chromosome:PHallii_v3.1:9:2222294:2226360:-1 gene:PAHAL_9G039900 transcript:PAN44400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAAMGRAAAADEEEDVEFVEYDQDDEDAMEEDGPAARALPVPHIVSPAVLRTRGRFAGRSPSILASSRDRFDSLTNDGDQGHGPQRSIEGWTILVSGVKEDVEEGDLHDVFSEFGRVKDLHLNLERRTGYAKGYALIEYESFEEAQAAIRAMNGNQLLTKTVYVDWAFSRGPIKNITSTRPPRPRSRTPPRRLAALTPY >PAN45929 pep chromosome:PHallii_v3.1:9:9282652:9287162:1 gene:PAHAL_9G151400 transcript:PAN45929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAATPSPSRALLVLALAVTCLAQLAAGDTNGVYEPCSDAWIQRGDGFTFGVVFAGYNAFFSGNTQLSPCDRRLNLASSAQLAVFRPKVDEISLLTINTTTGFNPASAGGFMVAFAGRKYAARSAPIFVSNSSFTVSSFTLVLEFKKGRLQNLHWKKDGCGACTGKSNFVCLGKQTCAIRTQSCKTQGPVDCSIGIQLAFSGTDRHESVLNSWYEVSNLRQYSLYGLYSNLKDSLTGQFNKFF >PAN48844 pep chromosome:PHallii_v3.1:9:57749422:57750169:1 gene:PAHAL_9G409900 transcript:PAN48844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVDLGVWFIPLTLVIVPCRRIVLLLSRLEELRRSVARRPRAATADMWSRFATLNSMAFML >PVH33386 pep chromosome:PHallii_v3.1:9:73750969:73753708:-1 gene:PAHAL_9G641200 transcript:PVH33386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSALDDGNKWHSTHKIYGTRSELHTARAASEGRMESLPVPSVQAMVAATGGAHVPPRYLRPEVAADAVASDGEAAIPIIDFERLLLHHPEEEEACRGESARLHAACQEWGFFQLINHGVPDDVIEGMKANIEAFFGLPAETKQQFAQERGQLEGYGQLFVVSEDQKLDWADILYLNTQPPEHRNMRFWPDKPDTLRSALDAYSAAVKTVADRLLGIMSISLGLPPEVIASKCGGGGGGIQSVRMNYYPPCAEAGKVLGFSPHSDADLLTLVLQVNQVQGLQVKRQDGSWVPVRPLEGAFVVNVGDILQIFTNGRYRSVEHRAVINAERARLSVAAFHSPSIHATIGPLLTDQEPMYKTVDHQSFMRLFFSAKLEGKSFLQRMKLNLDTASTTTTN >PAN51405 pep chromosome:PHallii_v3.1:9:70464507:70465955:-1 gene:PAHAL_9G592000 transcript:PAN51405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAAALNCSGDSRTEAQRSLVPGRRRQARADVAWRVLGADVVDYIAFRGACSGWRACTPSPRDPTLRNYWFRPRRWVALFGGDAVRPDDAGEITFLHTRTARCLRVSLPELGATGSPTSPTASSSSCTSATPPSACSTPSRAPRSTSRPSPPCSKSVYFSAPPPDHVIWHSTTNRSSDQQCSARSTT >PAN48692 pep chromosome:PHallii_v3.1:9:56923155:56927849:-1 gene:PAHAL_9G399200 transcript:PAN48692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCRSSLRPGAGAGAHAAEKPPRHRPPPPPPTTSRPSPNAHPARAGGGGGGGDVPAFAEFSLADLRAATGGFAAENIVSESGEKAPNLVYRGQLKGPAGAPPRAIAVKKFAKLAWPDPKQFAEEAKGVGALRHRRIANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYISQALEYCSIKERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDIMRGRNIQAVMDSHLEGNYSAEVAITLVNLASQCLQYEPRDRPDIKKLVSILEPLQKKLEVPSYVMLGIPKPVEEPQAPPTPLRPLSPMGEACSRMDLTAIHQILFTTHYRDDEGSNELSFQEWTQQMRDMLDARKRGDFAFKDKDFKAAIDCYSQFVDVGTMVSPTVFARRSLCYLMCDQPDAALRDAMQAQIVSPDWPTAFYMQAVALSKLNMQSDAVDMLNEASELEEKRQKSTKGP >PAN44887 pep chromosome:PHallii_v3.1:9:4508708:4510433:1 gene:PAHAL_9G077600 transcript:PAN44887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEQVFRHCDKDTLKMAMLKHEQTFRQQVHELHRLYRIQKLLMRDLKRELKSQRNLSTSPNGGSTEHSRGALGMCAYEHRYAARGPGGYVAVTTPTPRTALSFDVVAPAVEYVRSAEEEDDDEAEEETDDDAELELTLAVGGGGAKKRYGEYPSGGESLSSSSTESDVLTASAREWRQARGTPYHQKRRPGAGLDVVQAVEDGVGMQAPPPLLFHWLSLKMA >PAN51394 pep chromosome:PHallii_v3.1:9:70405814:70410523:-1 gene:PAHAL_9G590700 transcript:PAN51394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKPWRLAGAIRTRHLSPDVRRQTKFGGRGFANDAPELNSDNGSLFRGGSSHVGEKRNPIFAGASEEARSDLVQKTGVKFKPDAHSAVKSCSGIGSLVISKCAHIFESREDTFDGRCSLQDVLKRGLWLSPGTLRRFWRVSELKPEDFLDILIGFGSSAGQVRNARFLWNLYRWASQQSKEFQHLPRSNETMVAILADAHMLSQAESLLLSLDDHMAMAVSSEVFSRIIQAYSEASNLEKSVALYDYARCKRLIPSVSCYQVLLHFLIRKRKDELILRVYLDMLQAGFGSCTKGDILDSVVMALIKKDKFLQALDILRQLKSLSLKLSKGSLSMIVEEFNKKKDIGDMMNFLEEWRCLPELRLCNRILASSCTNLGTDQAWLVFQRLEALGFSPDATTFGIFIFHSCREMKLKSAFVYLSECFSRHIKPRVCAYNAILGGVFREGLYRHAKYVFEDMVERKVTPNLSTYKITLAGYCWYRQFDDIERVLRDMKTNGVNDLPPGNCALSKTLSFLGLDHLGVKIKRDNGTGFPKAEFFDSVGNGLYLDTDSKKLEILLVQILDTALQSDVHSELVSASQGNVASALLVKDEAFQWGYAISPASCSELFKALCVSPAHAIDAIDLMEEMPDIFDKLGAQNLNLFIQTLSRNGMSAHARLVFKKLVREGLSIGQDTYTYLMIGFCKERNIAGFWECWNLATNYRWSPDSKDLMALTSCLCKWGVIEEALKLMNPLCDCYPDLFFGAYYALLEELCRTGFTSVGCAMLEALKEKGMVVDRSMFFCVMEGFLKEQKTAESIGMYDIWLNKCKELDAFTYSSILPSLPWLDTDRAKNLVESILNMEFTEFSYCSCILKELVQTGNIKRVMPVLQESTAGKLSGTLLNSLIQAFGCLKNWIMLDAVLCMMLKMHANLSISTYRFLVCRMCEQSRFSSASSLRTLFQHSDKSRKLISCNILIFYLFQRTNTSQVHDLLKDMECNGISPDRTTYDFLVYGFHKSGDTNSSVSMLDACIAQGIQPSNRSLRIVLSHYCRLGNLDKSLALFHLIESSGWKHGSIIRTILTSCLLSSGRHLEAKLCLNNLSKSEFIRYYTNFDDLIKKFCILGDLKTSLNLLDTMLKKGQLPSEVSYSSVMYRLCILKEFDQALDFLVEMQFASLTPSETSCDALVHGLCATGRTCDARKILQMLITLGSAPSYGMYRVVLDSYCRSNNLQKATALLHDMQQTGQVPNFEMHWSIISNFSSTNEKVEGHGEPILPNLFLNPP >PAN45784 pep chromosome:PHallii_v3.1:9:8724700:8729161:1 gene:PAHAL_9G142100 transcript:PAN45784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSSRVVPASVAQAFPAARGFHPPIDLYALPTGRSRASALPPRPSPSVSAPKTLLPLPPPPLSARAMATNGSSPRVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGTILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAAMKVSMRAALGLGPNNSNEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCLEIERLKRALERQIEQSMLKLRQSEEKLISLSKEKDQLMKERDAAFQEAHMWRTELGKAREQAVIQEATIARAEEKARVSEADAAARIKEAAEKLHAVEKEKQELLALVGELQSQVQREQSSTKQVCEERSESCSSADNSPPLTKHVDASDDDVDKACVSDSRSVLVSSESTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPEAEGGSLDIPVVNPPPVNDHMQGGATHP >PVH31421 pep chromosome:PHallii_v3.1:9:8724700:8729161:1 gene:PAHAL_9G142100 transcript:PVH31421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSSRVVPASVAQAFPAARGFHPPIDLYALPTGRSRASALPPRPSPSVSAPKTLLPLPPPPLSARAMATNGSSPVRAPRPSERVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGTILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAAMKVSMRAALGLGPNNSNEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCLEIERLKRALERQIEQSMLKLRQSEEKLISLSKEKDQLMKERDAAFQEAHMWRTELGKAREQAVIQEATIARAEEKARVSEADAAARIKEAAEKLHAVEKEKQELLALVGELQSQVQREQSSTKQVCEERSESCSSADNSPPLTKHVDASDDDVDKACVSDSRSVLVSSESTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPEAEGGSLDIPVVNPPPVNDHMQGGATHP >PAN45785 pep chromosome:PHallii_v3.1:9:8725642:8729196:1 gene:PAHAL_9G142100 transcript:PAN45785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKLRRNETAIKGTILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAAMKVSMRAALGLGPNNSNEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCLEIERLKRALERQIEQSMLKLRQSEEKLISLSKEKDQLMKERDAAFQEAHMWRTELGKAREQAVIQEATIARAEEKARVSEADAAARIKEAAEKLHAVEKEKQELLALVGELQSQVQREQSSTKQVCEERSESCSSADNSPPLTKHVDASDDDVDKACVSDSRSVLVSSESTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPEAEGGSLDIPVVNPPPVNDHMQGGATHP >PAN49756 pep chromosome:PHallii_v3.1:9:62642360:62642830:-1 gene:PAHAL_9G473200 transcript:PAN49756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSSSSSLLDRDLRFDLYAALAALGLSAFLVVCFWRLYRLTVSARPQDMLPIAGGKAAAAALRQKDLAALPVFVHGGGGAAVVECTVCLAEMKDGERGRLLPVCGHRFHVECIDQWFRANSTCPVCRAAAVGQPDAVPACKAGSSQVMVAVQS >PAN50065 pep chromosome:PHallii_v3.1:9:64260313:64262619:-1 gene:PAHAL_9G495700 transcript:PAN50065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSICCGNFHLLKNSIGSTCSIKLLKYHSRSIYETLFSIRRHQSRPLSTTVLARSTRKGSKQSFSNSRHLHGESVESSIEVFKQSELEQLKSLHTYNVEEKFSGVKTEWPATILVFDIETTGFSRREDRIIEFAVRDLMGGKNSTFQTLINPEKDVKNTYIHGISNNMLSRPDVPRFGELIPILLQYVWSRQMDGKPVLWIAHNGRSFDVPFLIFEFRRCKVEMPGDWLFVDTLPIARQLVDSEGSKLSSVSLEKLRERYKIPLTGSAHRAMQDVTTLCYVLQKLTFELKLTVPQLLEKSFRASDLPATRPEK >PAN46506 pep chromosome:PHallii_v3.1:9:12162896:12172825:-1 gene:PAHAL_9G190600 transcript:PAN46506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGPGAEVLSPGEADWPPELRLPPPPPPAPAALRGWAPPAPHLHLQVPAAAAPKEEEERPPPRHAEGGFDDQQFLGSIMGDAAPQHQQQQPPPAAAAPVKRKRGRPPKNRDGAPPAPAPAPVKPVKKKDEEVVCFICFDGGDLVVCDRRGCPKVYHPACIKRDESFFRARGKWDCGWHICSSCEKAVQYMCYTCTYSVCKGCIKQGKFFGVRGNKGFCDTCYGTILLIESKDDGAKVGVDFDDKNSWEYLFKLYWLDLKGKHLLTLEELISAKSHWSVPTTAGRREKEESSDDLYDANDDQNASFDISSRKRRRNNSSGKRGQKRKKDSSITARQCGISINSAGSLPNGSKSEGMSLPGVTEWASSELLEFIGHMRNGDSSYISQSDVQVLLLEYIKQNNLHDPRRRSQVMCDSRLRNLFRKPRVGHFEMLKLLEMHYLVKQTPTLNADSQRAVDSDSAEADAGGYNELIAKLGSDKRRKAHKKIERELTTNLEDYAAIDMHNINLIYLRRSLLEDLIDNDAAFSDKIAGSFVRIRISGLGNKQDMYRLVKVVGTPKVAERYSIGKKTTDYALEISNLDKKEVITMDTISNQDFTEEECKRLRQSMKFGLTTRLKVVDIYEKAKIFQSLRFKDWLENEKQRLSHLRDRASETGRRKQLRECVEKLQLLDTPEGKARMINEVPEVHADPHMAPNYESAEEQDYKKAVDWTINRNGPDLERKGAQSNSGESHMQKCLDGSSYTSSAPTEDVGHKSGAGSNINLNKTAEPALLGAVSNNTEPEQVWHYKDPKGTVQGPFTLSQLSKWVTYFPRNLRIWLTFESEENSLLLTEVLKKQQTDFVQPSAVSTSDKSIWAGTGQDRINSNLVANNSSSPIGYNAVYSYAPSRQFAEGSDTTKEDPKPLGATLPWRSLNDAHTLHGKVQHQVSYSSTILSSAGSYAAPSSHDSRQDNGGMWNSTIAPMNDSRKSNVEQHPDGCTMEDQLQTDTMSNLHKVSVLTAQQSERDPATSHSTTSLPEFKAMCQQERSYWSSAINTGAHDLQLSIALAKPESCSPTNPVEDRDSSSASVVSIQSGAPACLPQTGPSTSTSNSSKTEATTNQHKACRPDASNAPFDQLPEPKSGPVFSSKTQDVECEYPSPTPKLERKEPSMNQSGSTSVAPEDLATNTCVHSLISFVSEPLGQPASKIDSLHSLEERSCLEERHLRDRETITQMKHLFEETTVKRNNKLVNPVSDAEGIAVSDVLESLTEQSCEKYSIHEAVPSENFVPASAEEEQPQCSSPIALSPWGEPNYYQGEAVDSALWGVQDDPGNDMWSMPSPTPALQPSSGLGTDGKDASCIIEEVIADRGSNIFVETLPTQGEKKMQQGNSGASTGPGETEEVKPKPSAASGPSLDGSTKASGWQPSGSSLEGSAKASGWRPPALSLEGVTNDSGSQPSCLSTQGSAKASGWQRSSSSPDESRKASGWQRSSSSPDGSRKASGWQAPASSTEGAWRRSSTSPDGCIKASGWQRSGSFTQGSAKASGWQPSGSSADGSTKVDGRQRTSSSPEGTRKSSGLHWSGRESSKVKSVSGASENRKSSSHQATTPTGRHSVEAPKRHGNGDNSSSVWDEALGNSREASKRQGNGDKNAGWGEALGSNRSWNPSSGNASRGSQGSHHHDRHSHGTEFRRGSSNHPRSPRRYDYRHDHGSGGSSRSSRGQPQRGVCKYYENGHCYKGLKCQYLHR >PAN48316 pep chromosome:PHallii_v3.1:9:54413586:54415725:1 gene:PAHAL_9G374700 transcript:PAN48316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPGSAAPAGGAGMFVPAATAGTVLCCMCGVAMQPNPANMCARCLRARVDITEGVPRHAAVVYCPDCSSYLQPPRSWLRATPESPELMQILLRRINRPLARLRVSLSGAEFVFSEPHSKRLRLKLRLRREVLHGVVLEQTHPVEFVVHDRLCDSCSRAQANPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLAIRVAAAPGGLDFFFGSRSHAARLVDFLATVAPIQTQTAKQLVSHDTKSSVYNYKYTFSVEICPICREDLIALSPQASRDMGGLGPLVLCVKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEQESPEISIDGSRYQLAYAQVARVSDFGKNDTIFTVRTHLGHLLNPGDHALGYDLYGANLNDDDMDTAMTRYSLPEVVLVKKSYEKRPRTRRWKLKRLPVEEDAGNKAKGEEERRLDEYEAFLKDLEQDPDLRFNINLYKNEDYRSEMASTVGDDVPTVPLEELIEDLTLGDDEEDEGDEAVAGNNQSGMVE >PAN46971 pep chromosome:PHallii_v3.1:9:14946890:14947449:-1 gene:PAHAL_9G228100 transcript:PAN46971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVGAYTGGSGSSHARSGRRSAAAAAAAKLIVSRLRSTWRRGAARPRRRAAVSFGYDLRSYSQNFDDGLVGSSGHPRGARFQ >PAN47803 pep chromosome:PHallii_v3.1:9:26178337:26179198:-1 gene:PAHAL_9G278500 transcript:PAN47803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLMSSAGRILAATLLLLLLAAAHVAGTPAAAAAAGAPCHNDLVALRSTCYQYVQDDGGPMVQPSPHCCGTVRGIANATCVCDYFSSLDYINLDRVFYVAGQCAVAIPWSCGD >PAN49209 pep chromosome:PHallii_v3.1:9:60013234:60015986:-1 gene:PAHAL_9G433800 transcript:PAN49209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVIRGNSVVMIEALEPVAKSQ >PAN47704 pep chromosome:PHallii_v3.1:9:43578895:43581881:-1 gene:PAHAL_9G332800 transcript:PAN47704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPKILELSNGRITARIASWGATITSLLVPDAHGNVADVVLGFDDLEPYMKGISPYFGCIVGRVANRIKDGKFALNGAEYSLPINNGPNSLHGGLKGFDKVVWDVIEHKDGECPSITFQYHSKDGEEGYPGGVTVRATYSLPEATTLRLDMEATPHDKATPISLAQHTYWNLAGHNSGDILNHSVQIWGKHITPVDENTIPTGEIMPVEGTPFDFTTEHKIGERITDVPGEYDHNYVLDCGDEKNGVKHAAKLRDPSSLRTLDLWTNAPGMQFYTANYVSGITGKGGAVYEKHAGVCLETQGFPNAINQPNFPSVVVQPGEKYKHTMLCEFSI >PAN49279 pep chromosome:PHallii_v3.1:9:60358410:60362178:-1 gene:PAHAL_9G438600 transcript:PAN49279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVASGISSSSSPPPPRPHLRRAHFSVAARFDHQFGRARPSPNPIALFFLLLLLSQHLASRAWSIRLPPSARVPSLLGEGARPALHCADPPALSFVSGRGSPMMGAEKPALGFAEVDELELSSPAGSPAPPPRKMHSLDFEHIGSLAAVAESLSPGSRWGRALTSVRVVIFQAKINVLLPFGPLAIMLHYLSGKHQGWVFLFSLIGITPLAERLGYATEQLACYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHSDRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEAQYGKSEVALSRFSSCIMLVAYASYLFFQLKSHRSMYSPIGDEEEAIEDVEDEKEITQCEAICWLFILTIWISVLSGYLVDAIQGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQEMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVGASFFVHVDPDANES >PAN49664 pep chromosome:PHallii_v3.1:9:62046203:62049075:1 gene:PAHAL_9G464800 transcript:PAN49664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLFESASGYGLFHAYGIDEIGQSVEAVRSSVLDLERFGKAVKLTAFNPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHIAEVTGIPCQSNDFIQELLRGVRLHFDRFIKELKESDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYLYAKLAKFIVNKSDLAEKDIPALADIVGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSEASTSVFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIDSMVNGTSIDDDDNERTDASAKKSKKKKSKAEADGDAMELDKPSNAADGEAEPGTEKKKKKKKHKLEETQEQENVATNGDAEVTKTPKKKKKSREVSEDAEPKTATEGKKKKKKKAKAEDNE >PAN45227 pep chromosome:PHallii_v3.1:9:6007484:6010721:1 gene:PAHAL_9G103500 transcript:PAN45227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVSASLAPRVSLPPRVRPAAAASSRGLDLVSLSGRRHRHLRLRRSPSPAGIAAASSPSVPSPSGVGDALGGVEIYSAATGEPVLFRDLWDQNEGMTVVALLRHFGCPCCWELAAVLRDTKERFDSAGVKLIAVGVGTPAKARILAERLPFPLEYLYADPERKAYDLLGLYFGIGRTFFNPASVKVFSRFDSLKEAVKNYTIEATPDDREGVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVLNICCKVPVA >PAN46500 pep chromosome:PHallii_v3.1:9:12654484:12656266:1 gene:PAHAL_9G197800 transcript:PAN46500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYGTQDKCKACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKATGSFSKNFPTGPKANNEQSKVPNKLSSVFCGTQDKCAACKKTVYPLEKMTLEGEPYHKTCFKCARGGCLLTTASYASHNGILYCQNHFWQVFKETGSYNNLLKPTAAKNATAEEPAKVEPPKEEASPEQAPEAPEEEH >PVH33310 pep chromosome:PHallii_v3.1:9:72446192:72446728:1 gene:PAHAL_9G623000 transcript:PVH33310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEPLRLLKELEDDTQQQDDDVVLLEDQRNSREEETEDADVSEVQRNLTEQETQADKAVTEKQIRLVAIAKRRHEKQDRKSSKSSRVECMMERYIEMKKKECSQAADYSIKKCVYMLSTMDVIKQEKIKAYSVFKIPENREIFLCACEDDLESALCWLRSEIV >PAN48206 pep chromosome:PHallii_v3.1:9:53612159:53613885:1 gene:PAHAL_9G367700 transcript:PAN48206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAALAAAFFASKPRPQRPPQLLTPQLIDSRLPLPLRRPRSLFLPLVRPPPRLLPPVLLLRPPPTRHRHPPRHRPRASPRAPVPRLPHQAPDFPSPAQALLARGPLHCRCGGIRSNVSLGIPSQCLRLERRPRHPSQGRPISKRICAMRTRRPINLSYAIVLTHRGRAGNSEMLQQGFQPSAASLTAVFACCNKAGTMSELLQLLSFALVSGCKPTTAMWTCLIAHLCREGRLEEASSVLAKRRLLEQAFSFCVHLHATCQRILASWLILRSDISFDYLVACNSVLSALCKSGFPSEAIQFYIDKIDSRIKPDSYTYVGLLDSLCQSGRVYHAIDVYRILSGLARQGRSFLALRTLREAIHANYALDSVCYTIVLHGLFRAHMVEEACGLFEQRKQSGIAPNTSTYYNVMFRGLCRTKDLHAVKQYITEMEHADVEMDSISSNAVAVLIKSPRVGSAAAIIGYMLNLGMKSSTKTCSLLAQSIG >PAN50387 pep chromosome:PHallii_v3.1:9:65688508:65689119:-1 gene:PAHAL_9G516900 transcript:PAN50387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPATLANGGHGDGVEMAKQEGGRSAEDVETHAAGRRRHGGSGLFGLDSDDNGTLVVVATLITTLSYQVGSNVPGGYWQEDAPGHHVAGEPIMRTQRRWPYHVFIWSSWFGFAASMGLTLALLTGVPPRSRFVRGLFILSYSTLILSFVTQQAFSILWLSLLVWVGVIALITSAVYCRTHGHLRKFFDWLCREPVAQTAS >PAN49708 pep chromosome:PHallii_v3.1:9:62448416:62449889:-1 gene:PAHAL_9G470000 transcript:PAN49708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSSFKLWRAMVRVHSATQGPAAASDQPAAAAARSQLAGSEQPEVFTIWMKSLVLNGSGCTVYDSAGSIVYRVDNYGARRAADVCLMDLAGNVVLQILKKKLGFGRRWEGYRWSDQEQELRRRPWFKVARAWAWRGPSRCTCELSGDGAGLDAAATVRYRMDDGRIALSRGARIVDGATGLAVAEVKRKTTAAGVALGADVLTLAVEPGVDRSIIMGLVLVHGLINRAM >PAN48019 pep chromosome:PHallii_v3.1:9:35580035:35580808:1 gene:PAHAL_9G310600 transcript:PAN48019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVDDVVLVDESKAGVNMKLELWRHTLESRGFRLSRTKTKYMMCDFSPTRHEDGDVSLEGQVVVKKDTFQYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYSAECWPTKNRHVQQLSVAEMRMLRWFCGHTRKDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLNWDKTVKRNLKEWNIAKELAMDRSAWRLAINVPEP >PVH32771 pep chromosome:PHallii_v3.1:9:63285488:63291161:1 gene:PAHAL_9G482300 transcript:PVH32771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLKDLLGDRPLKGIFTALGLPEKIGGAVLDVLCSRGARLWNVEEEANKLRRTKERIRALLEDAEQRRFIDHDSVKLWLRELRAAAYDVDSLLDRLGTLTAASRLAAAEQSRKRKRPWPSIELSPWRRWELDEKIAQINERLGNIDRDRKRYRLQAGDGRRTAAQPTQRPRFLEAAAHRNERPIGRNEEKEQIVRALVSDNAGMDLPVVSIWGTAGIGKTALARLVHDDLEVENFFTDRIWVWLPDTCDVRTATKMIIEAVTSKKCELLSLDILQQRLHNHLRKKRFLLVIDNLWADGFQFWEFLRPSLTAGEKGSKVLITTQHERVSRMNSTILSIPLKPLEDEECWQILKVYALSGRSSRDQHDLESIGRSIAANCQGSPLAAKSLGVLLSDTHGGREQWKNILSEMRILEDDKSTNNILPSLQISYQHLPYHLKQCFAFCSVLPLGVEFEKDELVRLWIADGLVKSSGRRRVEMEAGRCFDELLWRSFFETSDTFPIRKFRVPSLMLELAQLVSKHESLTLGPDNSHIADHPEWIRYATILCPKDKPLAFDKIYHYENSRLLKLFPKTKLPSNQVPPELFSKLTCLRALDLSYTELDLLPDSIGFCIHLRYLNLRNTLIKTLPETVCNLFNLQTLDLRDCFWLMDLPEGMSRLVNMRHLCLHLDWDRVTAFRSMPSGIDKLLSLQTLSRFVTVSKDGGKCNITELKNLKIRGELCILNLEAATHSGAREANLSGKEYLHKLMLKWSDNTCKDEQQLDIENSERVLEALCAHSSLKHLRVDNYPGRKLPSWVEKLSSLDTLEIFSCPRLTQFSVEALRSLRNFRIRKCADLAVLPRGLCSLESLDCLEIHGTSNLRISAVDVLPRNISQLTVSGCDALEE >PAN49889 pep chromosome:PHallii_v3.1:9:63285489:63291161:1 gene:PAHAL_9G482300 transcript:PAN49889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLKDLLGDRPLKGIFTALGLPEKIGGAVLDVLCSRGARLWNVEEEANKLRRTKERIRALLEDAEQRRFIDHDSVKLWLRELRAAAYDVDSLLDRLGTLTAASRLAAAEQSRKRKRPWPSIELSPWRRWELDEKIAQINERLGNIDRDRKRYRLQAGDGRRTAAQPTQRPRFLEAAAHRNERPIGRNEEKEQIVRALVSDNAGMDLPVVSIWGTAGIGKTALARLVHDDLEVENFFTDRIWVWLPDTCDVRTATKMIIEAVTSKKCELLSLDILQQRLHNHLRKKRFLLVIDNLWADGFQFWEFLRPSLTAGEKGSKVLITTQHERVSRMNSTILSIPLKPLEDEECWQILKVYALSGRSSRDQHDLESIGRSIAANCQGSPLAAKSLGVLLSDTHGGREQWKNILSEMRILEDDKSTNNILPSLQISYQHLPYHLKQCFAFCSVLPLGVEFEKDELVRLWIADGLVKSSGRRRVEMEAGRCFDELLWRSFFETSDTFPIRKFRVPSLMLELAQLVSKHESLTLGPDNSHIADHPEWIRYATILCPKDKPLAFDKIYHYENSRLLKLFPKTKLPSNQVPPELFSKLTCLRALDLSYTELDLLPDSIGFCIHLRYLNLRNTLIKTLPETVCNLFNLQTLDLRDCFWLMDLPEGMSRLVNMRHLCLHLDWDRVTAFRSMPSGIDKLLSLQTLSRFVTVSKDGGKCNITELKNLKIRGELCILNLEAATHSGAREANLSGKEYLHKLMLKWSDNTCKDEQQLDIENSERVLEALCAHSSLKHLRVDNYPGRKLPSWVEKLSSLDTLEIFSCPRLTQFSVEALRSLRNFRIRKCADLAVLPRGLCSLESLDCLEIHGTSNLRISAVDVLPRNISQLTVSGCDALEE >PVH32772 pep chromosome:PHallii_v3.1:9:63285489:63291161:1 gene:PAHAL_9G482300 transcript:PVH32772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLKDLLGDRPLKGIFTALGLPEKIGGAVLDVLCSRGARLWNVEEEANKLRRTKERIRALLEDAEQRRFIDHDSVKLWLRELRAAAYDVDSLLDRLGTLTAASRLAAAEQSRKRKRPWPSIELSPWRRWELDEKIAQINERLGNIDRDRKRYRLQAGDGRRTAAQPTQRPRFLEAAAHRNERPIGRNEEKEQIVRALVSDNAGMDLPVVSIWGTAGIGKTALARLVHDDLEVENFFTDRIWVWLPDTCDVRTATKMIIEAVTSKKCELLSLDILQQRLHNHLRKKRFLLVIDNLWADGFQFWEFLRPSLTAGEKGSKVLITTQHERVSRMNSTILSIPLKPLEDEECWQILKVYALSGRSSRDQHDLESIGRSIAANCQGSPLAAKSLGVLLSDTHGGREQWKNILSEMRILEDDKSTNNILPSLQISYQHLPYHLKQCFAFCSVLPLGVEFEKDELVRLWIADGLVKSSGRRRVEMEAGRCFDELLWRSFFETSDTFPIRKFRVPSLMLELAQLVSKHESLTLGPDNSHIADHPEWIRYATILCPKDKPLAFDKIYHYENSRLLKLFPKTKLPSNQVPPELFSKLTCLRALDLSYTELDLLPDSIGFCIHLRYLNLRNTLIKTLPETVCNLFNLQTLDLRDCFWLMDLPEGMSRLVNMRHLCLHLDWDRVTAFRSMPSGIDKLLSLQTLSRFVTVSKDGGKCNITELKNLKIRGELCILNLEAATHSGAREANLSGKEYLHKLMLKWSDNTCKDEQQLDIENSERVLEALCAHSSLKHLRVDNYPGRKLPSWVEKLSSLDTLEIFSCPRLTQFSVEALRSLRNFRIRKCADLAVLPRGLCSLESLDCLEIHGTSNLRISAVDVLPRNISQLTVSGCDALEE >PVH33175 pep chromosome:PHallii_v3.1:9:70199159:70202097:-1 gene:PAHAL_9G586300 transcript:PVH33175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYSTGALPRGVRWREGSPWPPPSILLDLHSYIADRSNATTATATSTRGHTIQVSFWAADPPAMSNLCVHCPCAAESEDSGFEREPRVVGAEGRFVLLRGSFANDAKEELFMYKGDPDSPSLERVPLPLSRDVPRVSEFGIVPCGDDGHYLLVARTFTRLIPPEYELHIYSSVDQTWSIKPLPVPDAPGAEHIVEEKVITLGAGEGVLGRFPERRGAPGCPFHPVARADAREQGEIERIPPGRPRHAAPGCHLQQWHDQVHRGGEHRWIVTTIVPEKPKLIDPREKDVLYDSDLIMAQKRRDVDEKPRQIRKRGGWRAVTWSRTISSNCWRKGCVVDVDEISVDDAIYSSLMSGLGDEHDKSLKFRNLHSFSPTLSTDVDDLVYLRTVVKANDKNGWVVSLDLAEKTLTAIGSYSFARHDPCIYGYRLCSLSNYLNMASGQFPGQADAHQHGILPELWPAGSGGTATQAWTPRKRWRGA >PVH33042 pep chromosome:PHallii_v3.1:9:68246111:68250759:1 gene:PAHAL_9G554900 transcript:PVH33042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPVDTLKTRLQSQAIMTEAKAQKNIFQMVRTVWASDGLRGFYRGITPGVTGSLATGATYFGVIESTKTWLENANPNLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTQKSWASAAAEGSISQTHGTRMYGYYNGMLHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKTKYLPNSDLDVSNSFEGLVLGGLAGGCSAYLTTPLDVIKTRLQVQGSTSRYNGWLDAITKTWTSEGVHGLFKGSVPRIIWYIPASAFTFMAVEFLRDHFNDKVDTDASELATLSIDARSEVEEAA >PAN50889 pep chromosome:PHallii_v3.1:9:68245740:68250758:1 gene:PAHAL_9G554900 transcript:PAN50889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPSSPPALGAAAAAAAGVGGRSPNPLLPSPDLTDFFVWREFVWGGIAGAFGEGMMHPVDTLKTRLQSQAIMTEAKAQKNIFQMVRTVWASDGLRGFYRGITPGVTGSLATGATYFGVIESTKTWLENANPNLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTQKSWASAAAEGSISQTHGTRMYGYYNGMLHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKTKYLPNSDLDVSNSFEGLVLGGLAGGCSAYLTTPLDVIKTRLQVQGSTSRYNGWLDAITKTWTSEGVHGLFKGSVPRIIWYIPASAFTFMAVEFLRDHFNDKVDTDASELATLSIDARSEVEEAA >PVH33041 pep chromosome:PHallii_v3.1:9:68246111:68250759:1 gene:PAHAL_9G554900 transcript:PVH33041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTVWASDGLRGFYRGITPGVTGSLATGATYFGVIESTKTWLENANPNLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTQKSWASAAAEGSISQTHGTRMYGYYNGMLHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKTKYLPNSDLDVSNSFEGLVLGGLAGGCSAYLTTPLDVIKTRLQVQGSTSRYNGWLDAITKTWTSEGVHGLFKGSVPRIIWYIPASAFTFMAVEFLRDHFNDKVDTDASELATLSIDARSEVEEAA >PAN48946 pep chromosome:PHallii_v3.1:9:58263920:58265612:-1 gene:PAHAL_9G414500 transcript:PAN48946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEARNYDKIVNRDEITDAAAAAFANAGQVPEKYVRTEEVLDGVVVGEDESYELPVVDMASLLDPESAALEIGKLGDACRNWGFFQLTNHGVDEEVIQRMKDSTVQFFSSPLESKKKVAVRENGFEGFGHHYSRASGGKLDWAESVILITQPPQDRNMEMWPTNPPTFRDALEVYSVEMIGLAMKLLGFMAADLGVEREALVGAFTGRRQSMAIHYYPPCPHREKVMGITPHTDGLGLTLLLHVDGTPGLQIRKGGRWFPVRPLPGAFVVNVADILDVLSNGTYRSVEHRVIPDAERARTTVVIFQEASVGGAVAPLPGLVPKGGGARYRSIGVEEYIKGNFNALAEGTCFIESLRI >PVH31002 pep chromosome:PHallii_v3.1:9:1891260:1895738:-1 gene:PAHAL_9G034100 transcript:PVH31002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGPHVISLHDPNPPLLGRAPGPAAPAAPAPAPGPAAHPAFAAIEQRLLDRNLDIQELLVDNQRFAATHVALQKQLIAAQHELRAVSVAATRARAEREGEVDALAEQAAHIEAEARAVSAARAEVDKVHADVQVLAAARTELVNRLQGLREQLARKQAETSKTDAVRAQIETMRREIQKGRMIRIYLLHSCSSYLLASLLQRAVIHAQHPVKDINFVLTSQRSFYTSCG >PAN44317 pep chromosome:PHallii_v3.1:9:1891260:1895738:-1 gene:PAHAL_9G034100 transcript:PAN44317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGPHVISLHDPNPPLLGRAPGPAAPAAPAPAPGPAAHPAFAAIEQRLLDRNLDIQELLVDNQRFAATHVALQKQLIAAQHELRAVSVAATRARAEREGEVDALAEQAAHIEAEARAVSAARAEVDKVHADVQVLAAARTELVNRLQGLREQLARKQAETSKTDAVRAQIETMRREIQKGRAAVDFEKKAHSDNLEQSKAMEKNMIAVASEIERLRGELLNAEKGTTAVNPAAAVPNSGYAAAYGNSEATYTTMYGNPEATYAAQSYPDAYSTNQAHLHMQGNSHYMSQPVSYGQYESQHTNVQR >PVH31003 pep chromosome:PHallii_v3.1:9:1891608:1895615:-1 gene:PAHAL_9G034100 transcript:PVH31003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGPHVISLHDPNPPLLGRAPGPAAPAAPAPAPGPAAHPAFAAIEQRLLDRNLDIQELLVDNQRFAATHVALQKQLIAAQHELRAVSVAATRARAEREGEVDALAEQAAHIEAEARAVSAARAEVDKVHADVQVLAAARTELVNRLQGLREQLARKQAETSKTDAVRAQIETMRREIQKGRAAVDFEKKAHSDNLEQSKAMEKNMIAVASEIERLRGELLNAEKGTTAVNPAAAVPNSGYCFIRGVVTRVGPGYYSEDYPYIFWLLQTGYAAAYGNSEATYTTMYGNPEATYAAQSYPDAYSTNQAHLHMQGNSHYMSQPVSYGQYESQHTNVQR >PAN46004 pep chromosome:PHallii_v3.1:9:9687557:9689541:-1 gene:PAHAL_9G156900 transcript:PAN46004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYAAAASPRTTAMGVEKLSYQLFSLLESKFLFGAGAGCLSSGPGTPARAFLDGGRVRVLAIDGCGAGAEDALLAAAALARLETKLREQAEDPDARVADFFDVAAGAGAGGVLATMLFLRGPDGRPRYSAQDALAFVAGNAGRKDWGGRRGRWAKIFRGSRSGDRVFQRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVESDTFDFRLRDVCAATCAVGREPVAMRSVDGLTAIAAASAGVAAMGNPAAAAITHVLHNKQEFPLATSVEDILVLSIGAGASATIYDGSSTPMPTRSPSPRELARVTAQGVADMVDESVAMAFGHTCGSNYVRIQAGKAPTPLHAETAAAAAGAMLAQRNVESVLFRGRRLSEHTNAEKVDALAAELVKEQERRRRSPLPNVAIKQVGTPRLSSATTSSSGTATARTASTMPSPASWDSRR >PAN48832 pep chromosome:PHallii_v3.1:9:53967916:53975714:-1 gene:PAHAL_9G370400 transcript:PAN48832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVKLSRTINKIRIKGYEDRQQHGDVPSSTKKRKISDLGATWTNDELMCFYKAYHRHGKDWKKISAVVGHKSPDVVKALYTLHQTFLSLPKHQATSMGFIALVTGHCNLLELPPSHRGNGRTRASGEAKKHGEATQHKVHEVPYPHDSYHAWIIPGFSPYFKKRYYGELARNSQSHSVGNRTPRVPVIVPTDRNAIDDAAPELKNAISSTKWNNGKIDNDNANFSMNECSHDGRSGVMEATKGVECQTFLESKGTGGTEICQTQQPLKKRRMNQTMNGGRTSKVGHEAMIAVKEGNKPLGLLKEQHMFSAIISADDMFILDVLESLVNAPDKMSKLKINIAPDKMSKLKINIPSGALSESDFTLLESKDEGHSPFDLSKQGKLVYECSASKTKQKKHTELLNAVVPAEKINVAHAIDITEGSSNSDSATGIGDLPESTANIPCEVYPNVPREINPEISMSRRRKIKNKMHSKKMYVSCNEASDNVQARKLLHCLSSELLRRWCTHEWFYSAVDFPWFMDNDFAKYLNHPYLRHISRLARSEWSIVRSYRGKPRRFSDNFLEVERQQLEDYRNGVRACYAQLSDGSLDSLPADVARPFSIGQVVIVRDPCSRELCDGKVVAVEQDCCKVQFDNP >PVH31531 pep chromosome:PHallii_v3.1:9:10792308:10798978:-1 gene:PAHAL_9G172500 transcript:PVH31531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVGDRVLRERRHPPNVYCERDIGDDEEAPNNQVKPKRPKTSDAGKKKGPREAEVEQVDSKAHFPNGKSHSKINGSTKKWKYRGAGKVSGTKLLKRVDEKQKVSSSKHGNYDENNRKGKKMLKGKDALMCHQCQRNDKGRVVRCNSCMNKRFCVPCIERWYPDMSEDDFNAKCPYCRKNCNCKGCLRMRGVEEPPKKKISEENQIRYACHVLRLLLPWLRKLRQEQMEEKEFEAKIKGVSVDEVQLEQAECNLDERVYCNNCRTSIVDFHRSCKCCFYDLCLACCWEMRKGEVPGGEEVQSIEPEKRSRGYVFGTTPKSKDGNERVSSSRHSPNAEPCNGIAAGEDPNNPLLLWKAKSDGSITCPPNELGGCGGSLLDLKCLLPEKMLSELEEKADRIVSSEIFAKAVAISSGQCPCYEHSGNIRAQDVREAANRKGLSDNHLYCPVATGIQEDDLEHFQVHWARGEPVIVSDTLQLTSGLSWEPLVMWRALREKKINGKVEDEHFAVRAIDCLDWNEVEINIHMFFVGYTQGRTHPRPHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPNGALKPDLGPKTYIAYGFNQELGRGDSVTKLHCDMSDAVNILTHTAEVPYETYPLKEIEETRKNMKGQDLQELYGVSESSIIPNLSPTSTNSRNVTMDETSKTSCLDTNAVTPIDSEGDVWDKLPSHESKAQRKAAQCSNYIGNDSSAMFQNGGHCISDNQESGEQERPGGALWDIFRREDSDKLQDYLKKHALEFRHLHCNPVKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVDECIKLTGEFRRLPSHHRAKEDKLEIKKIALYALNQVINFLDPPSDGPMNGAGQSATESADEKKPKSGGGRRRGKVKREDKSSNDSAGEKPNRKRGRPRGETKSEDKSNDDAADEKPPKRRRGRPKVSGNRR >PVH31530 pep chromosome:PHallii_v3.1:9:10792308:10798972:-1 gene:PAHAL_9G172500 transcript:PVH31530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVGDRVLRERRHPPNVYCERDIGDDEEAPNNQVKPKRPKTSDAGKKKGPREAEVEQVDSKAHFPNGKSHSKINGNGEVLQSTKKWKYRGAGKVSGTKLLKRVDEKQKVSSSKHGNYDENNRKGKKMLKGKDALMCHQCQRNDKGRVVRCNSCMNKRFCVPCIERWYPDMSEDDFNAKCPYCRKNCNCKGCLRMRGVEEPPKKKISEENQIRYACHVLRLLLPWLRKLRQEQMEEKEFEAKIKGVSVDEVQLEQAECNLDERVYCNNCRTSIVDFHRSCKCCFYDLCLACCWEMRKGEVPGGEEVQSIEPEKRSRGYVFGTTPKSKDGNERVSSSRHSPNAEPCNGIAAGEDPNNPLLLWKAKSDGSITCPPNELGGCGGSLLDLKCLLPEKMLSELEEKADRIVSSEIFAKAVAISSGQCPCYEHSGNIRAQDVREAANRKGLSDNHLYCPVATGIQEDDLEHFQVHWARGEPVIVSDTLQLTSGLSWEPLVMWRALREKKINGKVEDEHFAVRAIDCLDWNEVEINIHMFFVGYTQGRTHPRPHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPNGALKPDLGPKTYIAYGFNQELGRGDSVTKLHCDMSDAVNILTHTAEVPYETYPLKEIEETRKNMKGQDLQELYGVSESSIIPNLSPTSTNSRNVTMDETSKTSCLDTNAVTPIDSEGDVWDKLPSHESKAQRKAAQCSNYIGNDSSAMFQNGGHCISDNQESGEQERPGGALWDIFRREDSDKLQDYLKKHALEFRHLHCNPVKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVDECIKLTGEFRRLPSHHRAKEDKLEIKKIALYALNQVINFLDPPSDGPMNGAGQSATESADEKKPKSGGGRRRGKVKREDKSSNDSAGEKPNRKRGRPRGETKSEDKSNDDAADEKPPKRRRGRPKVSGNRR >PVH32787 pep chromosome:PHallii_v3.1:9:63574692:63575873:-1 gene:PAHAL_9G486500 transcript:PVH32787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSKARAARLLSASGSSPASATAVPGKGQAIDTEYLVSFAVGTPPQPVQATLDTGSDLVWTQCQPCPSCYAQDLPYYDPNLSSTSAPLPCDAAACQQLDLSSCGARRWGNRTCVYTYSYGDRSVTTGRLDADTFTFDGDAGHAVVPGLAFGCGYFNNGLFSSNATGSGIAGFGRGALSLPSQLRVDNFSYCFTNVTGSAPSPVLLGLPANLYDSASGAVQTTRLIQSPANPTFYYLSLKSITVGSARLPAPESAFALRGNGSGGTIIDSGTSVTLLPPLVYGLLHDAFVSQVDLPVTNDEPLCFAVSSSSSGKKQQVPKLELQFDGATLDLPRENYVFEMEDGGRSNMCIAIMSSGGGMTIIGNYQQQNLHVLYDLAGNNLSFVPAHCDRV >PVH33389 pep chromosome:PHallii_v3.1:9:73762826:73763749:1 gene:PAHAL_9G641600 transcript:PVH33389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYGCYSSSSKVKLACLLMVVLLVSSHSKSHGGGSIAVYWGQNGNEGTLAQTCATGNYAFVNIAFLCSFGSGQTTPQLNLAGHCDPYSNACTNLTADITFCQSKGVKVLLSIGGGAGGYSLDSQQDAFQLAQYIWNNFLGGHSDKRPLGDAVLDGVDFDIEGGNPDHYGELAAYLKSYGASKQVYLSAAPQCPFPDQWVGKALQTGLFDHVWVQFYNNPPCQYTPGSTANLINSWNQWTTAINATYIFLGLPAAPDAAGSGFIPVESLKSQVLPALKNSTKYGGVMLWSKFYDDQDGYSSAIKNSV >PAN50418 pep chromosome:PHallii_v3.1:9:63121121:63122719:1 gene:PAHAL_9G480000 transcript:PAN50418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRAMEPPGLRSPGPGAPPAGRPAGAPDVVAPPAGLRGTPYHLLAGDVRENARLIPEACSRPLPPRCHDIAPRVAPFLCRTVREHGGRACLSWFGPVPRVIVADPGVAGDVLSSKFGHLERPNFAALTRLLADGVAGLEGEKWVRHRRILNPAFHLEKLKSMLPAFSACCEEMGGVCWLRRLVRAGCLAGAPEPHRRRHFSHRVRQQLLPRGEADFPAPGRAS >PVH31563 pep chromosome:PHallii_v3.1:9:11356901:11360715:1 gene:PAHAL_9G179800 transcript:PVH31563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGEAAGGSKLQSPLLAASGPAATSADGDGGHGVSRQLEGILGDVSLPWGRRMAAATLVEMRLLARLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNNGIQIFAYGLMLGMGSAVETRCGQAYGGLKHDMLGIYLQRATVLLMATGVPLAAVYASSRPILVLLGESPEIASAAATFVYGLIPQIVAYAAIFPIQKFLQAQSIVAPSAYISAATLAFHLALSYLIVYRLGLGLLGASLMLSVSNWVIAVGQFVYIVASRRFRLTWTGFSWQAFLRPAGTWYYQILVLIAGLLKDPELALASLSVCMTISGWVFMISVGFNAAACVRVSNELGAGNPKSAAFSVVVVTVLSFILSVIISVIILLCRDYISYIFTEGEDVSRAVSRLTPLLAFTLILDGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGISLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILVWVTFRTNWNKEVEEATRRLNKWEDKFPIS >PAN50909 pep chromosome:PHallii_v3.1:9:68338125:68342872:1 gene:PAHAL_9G556100 transcript:PAN50909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSGGRCRCRTALLRLVVVGAWLLQGCIAQGEGALTRGSFPEGFVFGTASSAYQYEGAVKEGGRGKTTWDTFAHTFGKIVDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRILPNGIGQVNQAGIDHYNKLIDALLAKGIEPYVTLYHWDLPQTLEDRYNGWLDRQIVNDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDDGLQAPGRCSLLLHLYCKAGNSGTEPYIVAHNFILAHATVSDIYRRNYKATQNGELGIAFDVMWFEPMTNTTIDIEAAKRGQEFQLGWFADPFFFGDYPASMRSRVGDRLPKFTADEAALVKGALDFVGINHYTTYYTKHNSTNIIGRLLHNTLADTGTISLPFRNGKPIGDRANSIWLYIVPSGMRSLMNYVKERYNNPPTYITENGMDDGNSPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWTAGYSSRFGLYFVDYKDNLKRYPKSSVQWFKALLSSK >PVH33057 pep chromosome:PHallii_v3.1:9:68339157:68342953:1 gene:PAHAL_9G556100 transcript:PVH33057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMGMDAYRFSIAWSRILPNGIGQVNQAGIDHYNKLIDALLAKGIEPYVTLYHWDLPQTLEDRYNGWLDRQIVNDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDDGLQAPGRCSLLLHLYCKAGNSGTEPYIVAHNFILAHATVSDIYRRNYKATQNGELGIAFDVMWFEPMTNTTIDIEAAKRGQEFQLGWFADPFFFGDYPASMRSRVGDRLPKFTADEAALVKGALDFVGINHYTTYYTKHNSTNIIGRLLHNTLADTGTISLPFRNGKPIGDRANSIWLYIVPSGMRSLMNYVKERYNNPPTYITENGMDDGNSPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWTAGYSSRFGLYFVDYKDNLKRYPKSSVQWFKALLSSK >PAN50910 pep chromosome:PHallii_v3.1:9:68339870:68342872:1 gene:PAHAL_9G556100 transcript:PAN50910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMGMDAYRFSIAWSRILPNGIGQVNQAGIDHYNKLIDALLAKGIEPYVTLYHWDLPQTLEDRYNGWLDRQIVNDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDDGLQAPGRCSLLLHLYCKAGNSGTEPYIVAHNFILAHATVSDIYRRNYKATQNGELGIAFDVMWFEPMTNTTIDIEAAKRGQEFQLGWFADPFFFGDYPASMRSRVGDRLPKFTADEAALVKGALDFVGINHYTTYYTKHNSTNIIGRLLHNTLADTGTISLPFRNGKPIGDRANSIWLYIVPSGMRSLMNYVKERYNNPPTYITENGMDDGNSPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWTAGYSSRFGLYFVDYKDNLKRYPKSSVQWFKALLSSK >PAN50658 pep chromosome:PHallii_v3.1:9:66949886:66953773:-1 gene:PAHAL_9G535500 transcript:PAN50658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKDSGSRSSSGSGRDQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSAIARPAQTVGCTVGIKHITYGSAGGSSNNVSDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKSKTNLSKWAVEVAETGTFLAPLGSGGPGGLPVPYLVIANKVDLVPRDGTRASSGNLVDLARQWAEKQGLLRRSEELPLTESFPGNSGLLSAAKQARYDKEALIKFFRMLIRRRYFSNEPPAPSPWSLTPREDTILSVETLGDVTDSFPRKSLSGEGFLYNGVVPLPAQRNLAPPPTLNPQQPVSSLDNYRYHRFSSSSLPDVSSGRTSREDTNV >PAN48432 pep chromosome:PHallii_v3.1:9:55226651:55229219:-1 gene:PAHAL_9G381600 transcript:PAN48432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGEVVEAAAVPRVVSILSALLQRVAERNDAAAAAEEGRRRPVSAFQGLTKPAISIGGYLERIFRFASCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLVEMNYLEVDFLFGIAFDLNVTPAAFASYCSVLQSEMAYLDPSAPVDAPRLHCYSAGTSDHHHDDPAAAATAAGCHRHSQQQPQLTV >PVH31023 pep chromosome:PHallii_v3.1:9:2209813:2210724:1 gene:PAHAL_9G039700 transcript:PVH31023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLHWATEVDQSLASTLRSRRQVQPNANREMSEEDHRRAEPTAVGCVERCVCMTCTGTSCSGDWRWNPP >PAN44460 pep chromosome:PHallii_v3.1:9:2460717:2467924:-1 gene:PAHAL_9G044700 transcript:PAN44460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSRPEEVVALVKLRVAAGQIKRQIPPEEHWGFAYSMLQNVSRSFALVIQQLGPELRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQEFYRHIYNRDWHYSCGTNNYKVLMDKFHHVSTAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYEEYCHYVAGLVGYGLSRLFYAAGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPQEIWSKYADKLEDFKYEENSQKAVQCLNDLVTNALIHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCALCYNNVNVFRGVVKMRRGLTARVIDETNSMSDVYTAFYEFSLLLESKIDDNDPNAALTRKRVDSIKQTCRSSGLLKSRGYHLDKSPYKPMLLMIVLLLVAIIFGVLYTK >PAN44459 pep chromosome:PHallii_v3.1:9:2461815:2467695:-1 gene:PAHAL_9G044700 transcript:PAN44459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSRPEEVVALVKLRVAAGQIKRQIPPEEHWGFAYSMLQNVSRSFALVIQQLGPELRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQEFYRHIYNRDWHYSCGTNNYKVLMDKFHHVSTAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYEEYCHYVAGLVGYGLSRLFYAAGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPQEIWSKYADKLEDFKYEENSQKAVQCLNDLVTNALIHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCALCYNNVNVFRGVVKMRRGLTARVIDETNSMSDVYTAFYEFSLLLESKIDDNDPNAALTRKRVDSIKQTCRSSGLLKSRGYHLDKSPYKPMLLMIVLLLVAIIFGVLYTK >PAN44434 pep chromosome:PHallii_v3.1:9:2369333:2371417:-1 gene:PAHAL_9G042800 transcript:PAN44434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLPSPKRARVATAPCGAGAPWASLPEDLVQLIGWRVLSGDLMDYVRFRAVCAHWSRSAARPGGRGLLDPRFHPRRWMMLPEGHGLYPGHPAFGGHVRFLNLSTGALARLHLPLFHDHVLLGCTDGLLLLLRHRDPGYTAVRLLHPFTGDVAELPPLSSLLPQMEHYGNMTEDRKLCTLRGFLSGVSAAVTVGPAAAGAITVVLALEIKLLVAHATTGDQRWTLLAGRLPRLLGPMVSFHGNLYAVTPKSPQRNSVCIWQIDPDAAEGRSLLPPRIIAHCPLVATLGAVHLVECGSELMLVGFNDAKPTDLVVYRVADLISGRVVPITNIGEHALFLGQRPLYASQNKGLPSVVANSITCRYRVTRTIH >PAN45151 pep chromosome:PHallii_v3.1:9:5581734:5586999:1 gene:PAHAL_9G097200 transcript:PAN45151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHCHRDRPSFVHLGRPDTIGLSRARKPLLSPLVSSPGKERALPSSPSPMASSTLAAPPFLQTLPAPNPSKQVSFRTSVRRLPVAASAAPSGAAAAARERRRFLERYGLNPDDFEDDAEEDPREERRRDRQGRRRSGRGEKAAEAAVAPAKAAEPRETHKLLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGSPASLRPGRWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVISEVLKPNLECTGFLDVSQIHMLRVEKFLANAEKSQGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGKDCFILVEYPLKTDMADSCGNLVKIADRRFGRTNLLIYGPTWSEKKRRT >PVH33024 pep chromosome:PHallii_v3.1:9:67936563:67938649:1 gene:PAHAL_9G549900 transcript:PVH33024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFWLLLLLAVSAVWVLRAWKTRRLGSKARKAATYTYPPGLEPYPLIGHLPQYLANRHRVLDWMTEAFERQPTCTFVLRRPGGVRGAITANPANVEHFLRGSFDNYPKGPRFASLLHDFLGRGIFNADGEAWRVQRKVASHEFNTRSLRAFVARCVHAELHGRLLPLLRRAAASGARLDLQDVLERFAFDNICRVAFDHDPRQLTDGGDGTADGGFADAFRDAANLSAGRFRYAVPGFWKIKKVLNVGSERRLRESIAMVHDFADRIIQSRREEMLRDGFEKHDLLSRFMASQDETYSESEVPLRDVVISFLLAGRETTSSALTWFFWLLSSRPDVQRRIRDEVAAVRARRARGDDVVGFDLDELREMHYVHAAITESMRLYPPVPLNSLRAETDDVLPDGTAVQAGWFVAYNSYGMGRMESIWGHDAREYRPERWLNPADGTFRPDSPFRFVAFHAGPRLCLGKEMAYIQMKSIVASVLEELDVAVDGAYRPGQVASLTLRMADGLPVTVKASRNF >PVH31838 pep chromosome:PHallii_v3.1:9:16628601:16629556:-1 gene:PAHAL_9G244600 transcript:PVH31838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALARSSTASEPVRLLLLTTLLASCAAGASVCDTANCGKGTCTELPKLIPGVPTYECHCDPGWSQAWKDIPFSPCVIPNCSFDGACFNISLTPPPPKPGLPTDVCAAVSCGPGGACKAGDAPFSYSCECQPGYANLLDLAALPCVNNCFFGKGCSALGLAPAPAPSSPSSPTPPSPAAPTGSHDSSGPSAPPSGTEGNSTATDSFFMHALARRVETTLAQ >PAN49289 pep chromosome:PHallii_v3.1:9:60370234:60375388:-1 gene:PAHAL_9G438800 transcript:PAN49289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAEADSPAIQMLGRLFRLTEVHLWDDSFAAGASDGQKHWRSAEAAPAEFHANKPRNKVFKDTDEGHSLVEDLELANLMGSLGLPVSFSTSKVNKNTCNKGKKKGRKIQCEAGNTQIDDAVRICANTEDRESDVQLMAVLEHTNLCNSSGTAIGYNKSCHDTDKMLKEGRPYAEEQESGCSTIYSAEKARGYEAENQCELGTCEPPDNLGNTAKEEYPIHENQAADSVLLESEEMARHDSLDGESARSCVNICQEERLSTKEDQISAETLSVPHDNNGVGQEACLSLAETSSVDEHAESSASNFCYEYGDWRIVWDPFYSRYYFYNIQTQESTWYPPEGLEDFASYCSIDATKELVELGSQYTSIAVQENNQAADDKHLDAQEQDHCSKLHYLSKIPDEEPINHSMITTIHEGEHTENKHNDSMTDVLEMGQEVASTKKKKRVRRSQSYHSCPDMAGNISNDIIKYWTQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVGAGVMIDCFTGVGGNAIQFATKCKHVIAVDIDPQRIDCAHHNASIYGVNDHIDFIVGDFINIAPQLKQTVSCREKLLSCRLLGVDLTMPKLMFMI >PAN49287 pep chromosome:PHallii_v3.1:9:60369821:60375388:-1 gene:PAHAL_9G438800 transcript:PAN49287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAEADSPAIQMLGRLFRLTEVHLWDDSFAAGASDGQKHWRSAEAAPAEFHANKPRNKVFKDTDEGHSLVEDLELANLMGSLGLPVSFSTSKVNKNTCNKGKKKGRKIQCEAGNTQIDDAVRICANTEDRESDVQLMAVLEHTNLCNSSGTAIGYNKSCHDTDKMLKEGRPYAEEQESGCSTIYSAEKARGYEAENQCELGTCEPPDNLGNTAKEEYPIHENQAADSVLLESEEMARHDSLDGESARSCVNICQEERLSTKEDQISAETLSVPHDNNGVGQEACLSLAETSSVDEHAESSASNFCYEYGDWRIVWDPFYSRYYFYNIQTQESTWYPPEGLEDFASYCSIDATKELVELGSQYTSIAVQENNQAADDKHLDAQEQDHCSKLHYLSKIPDEEPINHSMITTIHEGEHTENKHNDSMTDVLEMGQEVASTKKKKRVRRSQSYHSCPDMAGNISNDIIKYWTQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVGAGVMIDCFTGVGGNAIQFATKCKHVIAVDIDPQRIDCAHHNASIYGVNDHIDFIVGDFINIAPQLKGETAFMSPPWGGPDYAKVDVYDMKGMLKPCDGYSLFKLGTMIASRVVMFLPRNVDLNQLADISLSVDPPWAVEVEKNFLNGKLKAITAYFEEQDG >PAN49288 pep chromosome:PHallii_v3.1:9:60369271:60375517:-1 gene:PAHAL_9G438800 transcript:PAN49288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGLPVSFSTSKVNKNTCNKGKKKGRKIQCEAGNTQIDDAVRICANTEDRESDVQLMAVLEHTNLCNSSGTAIGYNKSCHDTDKMLKEGRPYAEEQESGCSTIYSAEKARGYEAENQCELGTCEPPDNLGNTAKEEYPIHENQAADSVLLESEEMARHDSLDGESARSCVNICQEERLSTKEDQISAETLSVPHDNNGVGQEACLSLAETSSVDEHAESSASNFCYEYGDWRIVWDPFYSRYYFYNIQTQESTWYPPEGLEDFASYCSIDATKELVELGSQYTSIAVQENNQAADDKHLDAQEQDHCSKLHYLSKIPDEEPINHSMITTIHEGEHTENKHNDSMTDVLEMGQEVASTKKKKRVRRSQSYHSCPDMAGNISNDIIKYWTQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVGAGVMIDCFTGVGGNAIQFATKCKHVIAVDIDPQRIDCAHHNASIYGVNDHIDFIVGDFINIAPQLKGETAFMSPPWGGPDYAKVDVYDMKGMLKPCDGYSLFKLGTMIASRVVMFLPRNVDLNQLADISLSVDPPWAVEVEKNFLNGKLKAITAYFEEQDG >PAN46396 pep chromosome:PHallii_v3.1:9:11572264:11575850:1 gene:PAHAL_9G182800 transcript:PAN46396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPHRRLLLLPLLLLAAAAAASTARADDLVAELQSLRARSPSGVIHLTDTSVTRFLSGPAARRPYSVLVFFDAASLHSKPDLHLPQLRTEFALLSASFLAHNPGSGDLFFADIEFAESQHSFHQFGVNSLPHVRLVRPEHATLGGSEQMDQSHFSRLADSMAEFVESRTGLEVGPIVRPPLLSRNQIILLGILFLVSIPFMIKRIIEGETLLHDRRVWMAGALFVYFFSVSGGMYGIIRHTPMFLTDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAGVTHVLVRVESLQTQRFAMLAVMVIGWWAVRKVIYLDNWKTGYSIHTFWPSSWR >PAN48588 pep chromosome:PHallii_v3.1:9:56469353:56470474:1 gene:PAHAL_9G393000 transcript:PAN48588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAARRNGRGATAPAAVLALSQLLLLATHSAACGGYSPCPKPPAVPAPCPPTPRTPTPSGGAGSSRCPVNALKLGACASVLGGLLSLELGQQQQGSSAPPGSTTKPCCQLLGGLADMDAAVCLCTALRANVLGVVQLSLPVGLSALVNSCGKKVPQGFQCPT >PAN49054 pep chromosome:PHallii_v3.1:9:58828890:58832179:-1 gene:PAHAL_9G422500 transcript:PAN49054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEGETNRLWRIRRTLMQMLRDRGYMIHETEDIGLKRAAFVDRYGDPVRRDDLVINRYKKDNPADQIYVFFPNEAKPGVKTIRNYVEKMKQENVFAGILVVQQALSAFARSAVQEVSQKYHLEVFQEAELLVNIKEHVLVPEHELLTPDQKKTLLERYTVKETQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >PAN49055 pep chromosome:PHallii_v3.1:9:58828890:58832123:-1 gene:PAHAL_9G422500 transcript:PAN49055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEGETNRLWRIRRTLMQMLRDRGYMIHETEDIGLKRAAFVDRYGDPVRRDDLVINRYKKDNPADQIYVFFPNEAKPGVKTIRNYVEKMKQENVFAGILVVQQALSAFARSAVQEVSQKYHLEVFQEAELLVNIKEHVLVPEHELLTPDQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >PAN50421 pep chromosome:PHallii_v3.1:9:65856938:65860351:1 gene:PAHAL_9G518700 transcript:PAN50421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHHASRGRRTLEEIRQKRAAERMQQAPPTAASHVDPYGNQRAGAELLARVQELENGNVELERENKMLLSKIAEKEVEKDALVNRLNDLERNVVPSLRKTLNDISLEKDAAVVAKEDALAQLRSMKKQLKEAEEEQYRAEQDSASLRAQLNTLQQQVMGNSYSGFPMGTSSEESHAMEKEIQDLQAQLKQESLLRQQEQQKLAEESQLRQLEQEKLAEEQSRFASLEAEKQQLEDQIAVLTKKATEDASEFAARKAFSMQDREKLENQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQEAIAVTVQWENQVRDCLKQNEELRSHLEKLRLEQASLLKVSNINTQSDNSISNSPELVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLTRLYRPVLRDIESNLMKMKQETYATIQ >PAN51832 pep chromosome:PHallii_v3.1:9:72483557:72484030:-1 gene:PAHAL_9G623500 transcript:PAN51832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVEHEHDRWAVMQACGGCSNSAFHSQDRWIGATTTIMEEKKPGWHFVLSGRHSQLVLARCMCSVLPLPAMWYRLFVS >PAN46082 pep chromosome:PHallii_v3.1:9:10054107:10058668:1 gene:PAHAL_9G161700 transcript:PAN46082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAVLSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGSVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVDMLGVYIQRSWIICGATALVLTPAYLFTGPILRALRQPADIAAVAGTYCRWVVPQLFAYAANFPLQKFFQSQSRVWVVTLISGAGLAVHVALNYIFVTRLGHGLLGAAIVGNITWWLIIVAQMGYLVSGCFPEAWTGFSMLAFKNLAAFVKLSLASAVMLCLELWYYTAVLILVGFLKNARLQIDVMSICINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVVMAVLTSGSIGAVFFAVFMAWRTGLPRFFSEDEDVLREAARLGYLLAGSIFLNSIQPVLSGVAIGAGWQALVAFVNIGSYYIVGIPLAALFGFKLKMDAMGIWVGMTLGTLLQTAILLFISYRTKWDKQAMRAEERVREWGGRSDALPSATQVAPAIEDADRLSNGSGDLQLQPQHRTG >PVH31497 pep chromosome:PHallii_v3.1:9:10056141:10058668:1 gene:PAHAL_9G161700 transcript:PVH31497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGMGSALETLCGQAVGAGQVDMLGVYIQRSWIICGATALVLTPAYLFTGPILRALRQPADIAAVAGTYCRWVVPQLFAYAANFPLQKFFQSQSRVWVVTLISGAGLAVHVALNYIFVTRLGHGLLGAAIVGNITWWLIIVAQMGYLVSGCFPEAWTGFSMLAFKNLAAFVKLSLASAVMLCLELWYYTAVLILVGFLKNARLQIDVMSICINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVVMAVLTSGSIGAVFFAVFMAWRTGLPRFFSEDEDVLREAARLGYLLAGSIFLNSIQPVLSGVAIGAGWQALVAFVNIGSYYIVGIPLAALFGFKLKMDAMGIWVGMTLGTLLQTAILLFISYRTKWDKQAMRAEERVREWGGRSDALPSATQVAPAIEDADRLSNGSGDLQLQPQHRTG >PAN46590 pep chromosome:PHallii_v3.1:9:12552818:12554776:-1 gene:PAHAL_9G195900 transcript:PAN46590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGAHLPPLRAARALSARLCPSRHLCSDGSRNGGESPSDTVHINGDGVREGGEEVTGLDAGRFPQEMLLRLPPPGGPPESDDDDGENFSPGHGPRRRFFEDVRLEADRIFRILLQDGPGFSARQALDEMRPKVSNALIREVLFRIVVSVDSVNRERYPKLAYKFFVWAGQQEGYRHNTSMYNVVMKVFAECGEVKAMWRLLEEMAEKGLPVSARTFHLLICSSGKVGLRRRLVERFIKLSTFRYRPFRNAFNAILHTLLTIEQYSLIEWVHEKMILEGHSPDILTYNIVMRAKYMLGKLDQFHRLLDEMGQNGFTPDLHTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPNVLHFTNLIDGLGRAGNLEACRYFFDEMVKKGCEPDVVCYTVMITSYVAAGEFEEAQRFFDDMLVRGQLPNVYTYNSMIGGLCTVGEFDKAFSMLKDMELHGCTPNFSVYSSLVSRLRNAGKDSQANNVIKYMTDKGHYLHLLSRFGGYKRC >PAN47313 pep chromosome:PHallii_v3.1:9:17602486:17604443:-1 gene:PAHAL_9G251400 transcript:PAN47313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPATAGGGGAPEDQGAGGEVGVEQGGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGVCSLVVGTLVTWCSSLVVASLWQWNGEKHTSYRLLAKSIFGPWGYWYVSVFQQVASVGNNIAIQIAAGSSLKAVYKHYHTAADSAMTLQQFIILFGAFELLLSQLPDIHSLRWVNAICTASTVGFAGTTIGVTICDGYRNDRKEVSYSLQGNTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVRANMYKGVSAAYTIIVMSYWTLAFSGYWAFGSQVQPYILSSLTAPRWAIVMANLFAVIQIAGCFQIYCRPTFAHFEERLHAKNRSCRSCLWRLMHTSAYMTVITLVSAAMPFFGDFVSICGAVGFTPLDFVLPGLALLKTSKLPDNLGSQYTVKVLSVAVAILFSIIGVLACIGAIRSITLDVRTYKFFHDI >PAN47314 pep chromosome:PHallii_v3.1:9:17602337:17604616:-1 gene:PAHAL_9G251400 transcript:PAN47314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPATAGGGGAPEDQGAGGEVGVEQGGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGVCSLVVGTLVTWCSSLVVASLWQWNGEKHTSYRLLAKSIFGPWGYWYVSVFQQVASVGNNIAIQIAAGSSLKAVYKHYHTAADSAMTLQQFIILFGAFELLLSQLPDIHSLRWVNAICTASTVGFAGTTIGVTICDGYRNDRKEVSYSLQGNTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVRANMYKGVSAAYTIIVMSYWTLAFSGYWAFGSQVQPYILSSLTAPRWAIVMANLFAVIQIAGCFQANICAL >PAN45602 pep chromosome:PHallii_v3.1:9:7777764:7780999:-1 gene:PAHAL_9G130000 transcript:PAN45602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGLRAHAGTIHKAAAQPSRPLPAPASDDRQPPAMESTACPARAATSVDLRWLLSVAAGGLFALLLLLATSPFPLPSSSSRLFLSQASRPTSSSPRPLPPLFVESTLSRPTPPAASLPPRFAYLISGSAGDAGMMSRCLLALYHPRNRYILHLDAEAPDADRAGLAAIVAAHPVLSAAGNVRVIEKANLVTYRGPTMVTTTLHAAAAFLWGEGRGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSNISWKAFARAMPVIIDPALYMKTKGDLFWVPEKRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNAEAFRNTTVNSDLHFISWDNPPMQHPHHLTLADWDRMLASGAPFARKFPRDDPVLDRIDADVLGRRPGDATVVAPGGWCAAGGGEGGGSDPCAAVGDAALLRPGPGAERLQRLVTSLLSEENFRPRQCVVEEDN >PVH31687 pep chromosome:PHallii_v3.1:9:13747431:13762093:-1 gene:PAHAL_9G212500 transcript:PVH31687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRFVDWPRFIKREYQIYLNPWECAENNVPWRAKSPDMLCLACDLYVRPPLHLPFSVLWTAAPAHSRLARLRYVKERCMYLGSYMYCATFSPPASLQSAPATRPLKSKNRRPASRSVQNALPRPRARARIGSRPAHPISLASRWREERAARSRQQPGPRLAVVRRTTGVISVTPMAWAPEQRIVEHFGRFLCFDEDSESEDISLDELEEELEEHKDYDVLITILRNGEKQRGLATLVEGNLGHIEESLIEDYIEDNDNLALLHDQIHECDIILSQIGSLLSGFQAHIGSISSEIRSLQVKSLDISVQLKNRKLVETKLAGFVEEIIAPPGLVDILVSGEVNDGYARSLEILSKKLKFVQVNPLINASKALNDITQELERLRQKALSKVSSHIIEIFFAMRKPGTNIQILQQNLLQKHRYLVVFLKEHGSETYGDLCASYVNTMNKVLSTYFRVYVEALERLKLDIGASSDLSGHYTSITDIITRGREHLRDHRFMFSLGERANILKEIDQPGLVPQVNSHKYPYEVIFRSIEKLLMDTASSEYLFIEAFFGEESLFYQVFEGPFAVIDHHLDLTLPKCHDAVCLMLMICITRKHQLVMSNRQLPCLENYFDKAVMYLWPRFKLVFDMYLRSSYQCDAKTLWIDGTHPHHIVRCYVEFTASLVQLNAECGDGQLDMNLERLRSAIDGLLVRLAQNFTTPKLQHLFVLNNYDMAISVMKEAGDEAKNLQRYFEDKLESNMMAFVDDLLMEHFSDLLRFVRSRVSEDLLFYTEHANIDELEPVVKNFAMKWRSALEIMHNEVVTSCSNLLSGMAILKAAMAQLLNDYNRLSECVKKIPAGSALNRHLVSITSISYEIRKYSRAL >PAN51200 pep chromosome:PHallii_v3.1:9:69665919:69667715:1 gene:PAHAL_9G577700 transcript:PAN51200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITAAMVKPVYSTPHPLAGEKVPLTVFDRAASDLFVPTVFAYPAPAPSNEALKEGLRKALAPYPHLAGRLAVDDRGRRFVHVNDEGVLVVEAALSADLSDVISNGMPAGNVDKLYPTLPEENVGAALLQIKLNRCRCGGLVIGIICHHHVADGHSMSTFFTTWASAVRAGKDFTFPSPPFLDRAATAVPRGTPAPVLDHWSIEFNRSGDGRSSRQYAVVPMEKIKNLTVHFTPDFVAELKARVGVRCSTFQCLLAHVWKKITAARGLEPEEFTQLRVSVNCRSRADPAVPMDFFGNMVLWAFPRLQVRDLLNSSYGRVVHAIRDAVARIDGEYIQSFVDFGAVADASGEELVETAATAGTMLCPDLEMDSWLRFQFHQMDLGSGPPCAFLPPDLPIEGLVLFVPSRATKGGVDLFMAVADCHAEAFEQICHSVD >PAN51691 pep chromosome:PHallii_v3.1:9:71772666:71777645:-1 gene:PAHAL_9G612700 transcript:PAN51691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane protein porin 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) UniProtKB/Swiss-Prot;Acc:Q9FKM2] MSKGPDGPVPFVNIGKRAKDLLYKDYNFDQKFSLSTSSSSGLNLTATGVRINEDFIGDIRTQHKSGRTTVDVIIDSDSKVSTTVTVDEALTGLKTSFSFKVPDHKSGKLDLQYAHNRFALNSTIGLTSAPLVELAATIGTSELSFGAEVGFDSTSASVTKYNSGIGYNKSDFSTSLLLADKGETLKASYIHLFNPTNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNSGKVGLLCQHEWRPKSLVTLSAEYDPKVVRSPSRFGMAISVKP >PAN49890 pep chromosome:PHallii_v3.1:9:63299437:63303615:-1 gene:PAHAL_9G482400 transcript:PAN49890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGLMMDQGMVFPGVHNFVDLLQQNGDKNLGFGSLMPQTSSGDQCVMGEGDLVDPPPESFPDAGEDDSDDDVDDIEELERRMWRDRMKLKRLRELQQSRGKDQAAAGGGGGDGSSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIAKYQADNAVPGAENELASGAASPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYLKLHPGARPPASAGGIASAISFNASSSEYDVDMVDECKGDEAGNQKTAVADPTAFNLGAAMLSDKFLMPTPMKEETADVEFIQKRSAAAAAEPELMLNNRVYTCNNVHCPHSDYSYGFLDRNARNSHQYTCKYNDPVPQSTENKPPPPPPQSQTFEGSFNQPNQALNNLDFSLPMDGQRSIAELMNMYDNNFMTNKNMSSDSVSIMERPNSLPQRMQMDDGFFGQGNGVFDDVNSMMQQPQQAPVQQQQQPAQQQFFIRDDTTYGSQMGDIAGTSEFRFGSGFNMSSTVDYPGAAQQKNDGPNWYY >PAN46431 pep chromosome:PHallii_v3.1:9:11724924:11729505:1 gene:PAHAL_9G185400 transcript:PAN46431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRPAPPAPAAAVTLPRRCLLTARSRLDSPSAPPPLACLRLRPAAPLRGIPPRTGCRAAAANADADADAAPSQGPGGDGGARGALVRLGEALSLGFPVWVASACAIALWRPPAFLWVGPTAQMLGISFTMLGMGMTLTLDDLKTALLMPRELAAGFILQYTVMPLSGFLVSKLLKLPAHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTATSTFAAAFMTPLLTSKLAGQYVAVDPMGLFVSTSQNSVLGVVLATKHFGNPLTAVPCAVSSICHSVYGSIVAGIWRSMPPTDKGE >PAN46432 pep chromosome:PHallii_v3.1:9:11724925:11729504:1 gene:PAHAL_9G185400 transcript:PAN46432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRPAPPAPAAAVTLPRRCLLTARSRLDSPSAPPPLACLRLRPAAPLRGIPPRTGCRAAAANADADADAAPSQGPGGDGGARGALVRLGEALSLGFPVWVASACAIALWRPPAFLWVGPTAQMLGISFTMLGMGMTLTLDDLKTALLMPRELAAGFILQYTVMPLSGFLVSKLLKLPAHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTATSTFAAAFMTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGVQVVLSVGCLHACGFFFGYVLSRILGIDISSARTISIEVGMQNSVLGVVLATKHFGNPLTAVPCAVSSICHSVYGSIVAGIWRSMPPTDKGE >PVH32826 pep chromosome:PHallii_v3.1:9:64333578:64336585:-1 gene:PAHAL_9G496600 transcript:PVH32826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPFLCLSLGSTSTSLQQPLPLAHAAAAMGLLDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKLWEMNNVGKRYEDIPVLGQRPAEE >PAN47081 pep chromosome:PHallii_v3.1:9:15413094:15414071:1 gene:PAHAL_9G232800 transcript:PAN47081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKIFAIGLIVLLGSGLTNAIRVVNHATADGQGGGGGGASGTGTSYGTGSGFGFGDADAYDGSAQSPTSNHAYGSGSGEGGGLWQGQDQYASGYGAGGASGVGHGDTGYWNTNTGGAAHANGAGGGGGSGGSYGNGSGSGGGKGIGEGEGDNYGPYGSSYANSGGSGSGSGGGHDGGFGSGSGGGSGNSGSDTTGYNDGTRNGSTP >PAN50181 pep chromosome:PHallii_v3.1:9:62166839:62168895:1 gene:PAHAL_9G466200 transcript:PAN50181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNENPITFEELSDEHKQRYNEIKAAFEADLIGSFERTRHNGIRWKGFSSEGALNEVDLSTPTEERTRALRQEVNYMVAHSLHRHSESLVNTLERVAVRVVQEIMKYQYSPTGPALGSHKGELPSLARPPVPYAFAAPEQYNSPAFVIYKVRGDPADHQFFSEPPKEVPHGYVCAYIPDGGNPTQPTQRITGGTSVVDADKQAWLTTYATGPSHEGMHSAPGIHTVDQIGAILRDQFGILPRRRAIGYTKPYPSEYDLIPLPPKYRLSEFTKFSGAEGSSSIEHKMTAYEQRHPELYQDKFKRQVVMVDAEDSEDSDDDQEIAVVEWARGAKPVSCKWVKQQGPSKGFDFDVSKVEQIFDLLLKEKQLKLPEGCKIPTAQELQGRSYCKWHNSFTHSTSDCKELRREIQSAIEQGQLILGQYAMKVDTQPFPNVNMVEGYDRSTHHQLDFTFGINMAGRTSRHQEADSCDRPQKEERDYITEEQVRHVRNQRPVSSHLLRRYQYHYQQHLQRETEEEEYERRTGKRLRKREDTRDHWYCPFFKYCWDSGIVGV >PAN52054 pep chromosome:PHallii_v3.1:9:73646078:73651026:1 gene:PAHAL_9G639700 transcript:PAN52054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNGCYAAPTNNQIQNHIYSMPSLSYKVPSVTECHASLSTNYIRETSQAVDLDWENLGFGLVETDFMYVAKCGPDGNFSEGEVLPFGPIAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMITGADRMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVHELLNADEVFCTGTAVVVSPVGSITYLGKRVEYGNQGVGVISQQLYKSLTSLQMGLVEDCMGWTVQLNQ >PAN52055 pep chromosome:PHallii_v3.1:9:73646078:73651026:1 gene:PAHAL_9G639700 transcript:PAN52055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNGCYAAPTNNQIQNHIYSMPSLSYKVPSVTECHASLSTNYMETSQAVDLDWENLGFGLVETDFMYVAKCGPDGNFSEGEVLPFGPIAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMITGADRMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVHELLNADEVFCTGTAVVVSPVGSITYLGKRVEYGNQGVGVISQQLYKSLTSLQMGLVEDCMGWTVQLNQ >PAN52057 pep chromosome:PHallii_v3.1:9:73646933:73651026:1 gene:PAHAL_9G639700 transcript:PAN52057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLASLGALPPAPPLAGWLTRHSLSPCLNKIQNHIYSMPSLSYKVPSVTECHASLSTNYIRETSQAVDLDWENLGFGLVETDFMYVAKCGPDGNFSEGEVLPFGPIAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMITGADRMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVHELLNADEVFCTGTAVVVSPVGSITYLGKRVEYGNQGVGVISQQLYKSLTSLQMGLVEDCMGWTVQLNQ >PVH33381 pep chromosome:PHallii_v3.1:9:73646933:73651026:1 gene:PAHAL_9G639700 transcript:PVH33381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSYKVPSVTECHASLSTNYIRETSQAVDLDWENLGFGLVETDFMYVAKCGPDGNFSEGEVLPFGPIAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMITGADRMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVHELLNADEVFCTGTAVVVSPVGSITYLGKRVEYGNQGVGVISQQLYKSLTSLQMGLVEDCMGWTVQLNQ >PAN52056 pep chromosome:PHallii_v3.1:9:73646933:73651026:1 gene:PAHAL_9G639700 transcript:PAN52056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLASLGALPPAPPLAGWLTRHSLSPCLNKIQNHIYSMPSLSYKVPSVTECHASLSTNYMETSQAVDLDWENLGFGLVETDFMYVAKCGPDGNFSEGEVLPFGPIAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMITGADRMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVHELLNADEVFCTGTAVVVSPVGSITYLGKRVEYGNQGVGVISQQLYKSLTSLQMGLVEDCMGWTVQLNQ >PAN47476 pep chromosome:PHallii_v3.1:9:31443924:31447949:-1 gene:PAHAL_9G294500 transcript:PAN47476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLRLGFVAPPYPRTSSGRFASSCRAVASAGGGPPRPITVSGDPPNVVSAPGRRIVAIGDVHGDLSQTRAALVLAGVLSAESDGHVWTGGRTVLVQVGDILDRGKDEIAILSLLSSLNVQAKSQGGAVFQVNGNHETMNVEGDFRYADQGGFDECIRFLEYLDECDGNWDDAFLSWVNVTERRKKEYRASPWNFVKKQKGFAARSLLFKRGGPLACELARHPVVLKVNDWIFCHGGLLPHHVEYGIERMNREVSTWMKSSGEDGDDETDIPFIATRGYDSVVWSRLYSQDSAERTRRALMLSSIIAEETLKSVGAKGMVVGHTPQIHGVNCKCDGKVWCVDVGMSYGVLYSRPEVLEIVNDRPRVLKKRRDSYDEMEVLDYL >PAN47475 pep chromosome:PHallii_v3.1:9:31445088:31447782:-1 gene:PAHAL_9G294500 transcript:PAN47475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLRLGFVAPPYPRTSSGRFASSCRAVASAGGGPPRPITVSGDPPNVVSAPGRRIVAIGDVHGDLSQTRAALVLAGVLSAESDGHVWTGGRTVLVQVGDILDRGKDEIAILSLLSSLNVQAKSQGGAVFQVNGNHETMNVEGDFRYADQGGFDECIRFLEYLDECDGNWDDAFLSWVNVTERRKKEYRASPWNFVKKQKGFAARSLLFKRGGPLACELARHPVVLKVNDWIFCHGGLLPHHVEYGIERMNREVSTWMKSSGEDGDDETDIPFIATRGYDSVVWSRLYSQDSAERTRRALMLSSIIAEETLKSVGAKGMVVGHTPQIHGVNCKCDGKVWCVDVGMSYGVLYSRPEVLEIVNDRPRVLKKRRDSYDEMEVLDYL >PAN47477 pep chromosome:PHallii_v3.1:9:31443923:31448000:-1 gene:PAHAL_9G294500 transcript:PAN47477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLRLGFVAPPYPRTSSGRFASSCRAVASAGGGPPRPITVSGDPPNVVSAPGRRIVAIGDVHGDLSQTRAALVLAGVLSAESDGHVWTGGRTVLVQVGDILDRGKDEIAILSLLSSLNVQAKSQGGAVFQVNGNHETMNVEGDFRYADQGGFDECIRFLEYLDECDGNWDDAFLSWVNVTERRKKEYRASPWNFVKKQKGFAARSLLFKRGGPLACELARHPVVLKVNDWIFCHGGLLPHHVEYGIERMNREVSTWMKSSGEDGDDETDIPFIATRGYDSVVWSRLYSQDSAERTRRALMLSSIIAEETLKSVGAKGMVVGHTPQIHGVNCKCDGKVWCVDVGMSYGVLYSRPEVLEIVNDRPRVLKKRRDSYDEMEVLDYL >PAN50883 pep chromosome:PHallii_v3.1:9:68222967:68227661:-1 gene:PAHAL_9G554500 transcript:PAN50883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSLPRTAAAVSVYALVALIAAGAAAAGGGEQPLSRIAIHRATVEPQPGAFVDASPALLGLEWKDREWVTVTYSNPKPSKDDWIGVFSPANFNDSICPSENQWVEAPLLCTAPIKFQFANYTSHNYEHTGKGSLRLQLINQREDFSFALFSGGLLNPKLIAHSKSVTFINPKAPVYPRLAQGKSWNEMTVTWTSGYSTNEATPFVRWGMQGQIQILSPAGTLTFSRNTMCGPPARTVGWRDPGFIHTSFLRDLWPNFMYSYQIGHQLFDGSIVWGHQYSFKAPPYPGEDSLQRVVIFGDMGKAEVDGSNEFNDFEPGSLNTTNQLIKDLKNIDMVFHIGDITYANGYLSQWDQFTAQVEPIASTVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAKNREQFWYLMDYGMFRFCVANTELDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSATFYADEGTTEEPMGRESLQSLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKGSDRYTGAFTATTHVVVGGGGASLADYTAARARWSHARDRDFGFAKLTAFNHTTLLFEYKKSRDGSVHDRFTVSRDYRDVLACGVDNCPSATLAS >PAN45952 pep chromosome:PHallii_v3.1:9:10025384:10025707:-1 gene:PAHAL_9G161300 transcript:PAN45952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANKVILRLSSTALAAALVLALVAASSAVRSVAAGRPAPGDDPHAVVRATTAAAAISATTKPDQTAATTDAAGPSGCSSNPNNGGGRCPHRQTTSSSNNSSIQTTD >PAN51618 pep chromosome:PHallii_v3.1:9:71462999:71484110:-1 gene:PAHAL_9G608000 transcript:PAN51618 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSSQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLSLPAPHEIPPRELQDYQRHYLILNHMGTIRAEHDEFSVRFASAMNQMIMLKSSDGVDNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQNITTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESILIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITHRMNKIFRENIDFLLERFENGDLCGVVELQQLLDILELTHQSISKFLELDSYCLMLSEMQENLSLVSYSSRISSQIWSEMQTDFLPNFILCNTTQRFVRSIKGTHHSSHRSSASSGKPYFYCGSHDLTMAYQGLAGLYRDFFGVPHMFAVVKLLGSRSLPAIIRALLDHISSKITGMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKTEVLHDLKEIGSALYWMSILDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKHAYSDSTPFTILLSSATNAVTSSPACPNPSTFLVMSKQAEAASLLYKSNLNSGSVLEYALAFTSATLDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYSYLEESITNPSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATVSHYQSSERTKSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGAPLHRMKFMNTVSAFETLPQRAT >PAN51617 pep chromosome:PHallii_v3.1:9:71462999:71482009:-1 gene:PAHAL_9G608000 transcript:PAN51617 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSSQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLSLPAPHEIPPRELQDYQRHYLILNHMGTIRAEHDEFSVRFASAMNQMIMLKSSDGVDNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQNITTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESILIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITHRMNKIFRENIDFLLERFENGDLCGVVELQQLLDILELTHQSISKFLELDSYCLMLSEMQENLSLVSYSSRISSQIWSEMQTDFLPNFILCNTTQRFVRSIKGTHHSSHRSSASSGKPYFYCGSHDLTMAYQGLAGLYRDFFGVPHMFAVVKLLGSRSLPAIIRALLDHISSKITGMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKTEVLHDLKEIGSALYWMSILDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKHAYSDSTPFTILLSSATNAVTSSPACPNPSTFLVMSKQAEAASLLYKSNLNSGSVLEYALAFTSATLDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYSYLEESITNPSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATVSHYQSSERTKSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGAPLHRMKFMNTVSAFETLPQRAT >PVH33252 pep chromosome:PHallii_v3.1:9:71463900:71484110:-1 gene:PAHAL_9G608000 transcript:PVH33252 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSSQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLSLPAPHEIPPRELQDYQRHYLILNHMGTIRAEHDEFSVRFASAMNQMIMLKSSDGVDNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQNITTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESILIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITHRMNKIFRENIDFLLERFENGDLCGVVELQQLLDILELTHQSISKFLELDSYCLMLSEMQENLSLVSYSSRISSQIWSEMQTDFLPNFILCNTTQRFVRSIKGTHHSSHRSSASSGKPYFYCGSHDLTMAYQGLAGLYRDFFGVPHMFAVVKLLGSRSLPAIIRALLDHISSKITGMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKTEVLHDLKEIGSALYWMSILDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKHAYSDSTPFTILLSSATNAVTSSPACPNPSTFLVMSKQAEAASLLYKSNLNSGSVLEYALAFTSATLDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYSYLEESITNPSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATVSHYQSSERTKSPNFLQV >PAN45314 pep chromosome:PHallii_v3.1:9:6383388:6386099:1 gene:PAHAL_9G109600 transcript:PAN45314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRWGGCFSGGDVRVGGRPLEEPEPEVFAFAEPLPAWAPGGGFARGRMCIGGGELELAAATSFQKICTLSSTGRGGSSTTFYRPVGVPEGFCLLGHYCQPNCRPLHGHLLVARLGARPTGAPLKPPPLCAPRDYTLVWEFRAGGGSGSRGDAGSCYGLCDAYFWVPVPPVGYKALGCLVTTEPQKPPFDEVTCVRADLTDECEPHGSLLHLQLARPPSSSESCAPAFAVRGVRPVHRGMWGKGVGAGTFCCAADGAAPREQGMACLSNVELDLSAMPTLEQAHAVIRHYGPTLFFHPKEVYLPSSVSWFFKNGAALYKRGGDGAGEEVDGEGSNLPGGGCNDGEYWIDVPSGERGRALCRGNIDSAELYAHVKPAMGGACTDVAMWVFCPFNGPARLKLGPISLPLGKTGRHVGDWEHFTLRVSNLTGELMGVYYSQHSGGHWVDASALEYTDGNRPVVYSSRNGHASYAYPGVYLQGSAALGIGIRNDAARSWLFVDSSAKYRIVAAEYLGEGAVAEPQWLQFMREWGPTVVYKSRKRMEWIVGRLPLRIRCRAENMLNKMPNELSREEGPTGPKEKNNWEGDERW >PAN50978 pep chromosome:PHallii_v3.1:9:66319379:66321024:-1 gene:PAHAL_9G525900 transcript:PAN50978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRLLYSRGRRLLVRLLLLACACAGEHRRREVGAPASQHRRVGDAHAAPAQRPRHHLRPHQLRPLQPLPPRRPLPRQPAGQGAPRGGGGDCTPHSVEYHVASNSFRPPFLFTDTWCSSGTVAPDGTLVQTGGWLDGYNNTRTMPACAGDKSCDWSEKQDTLAAGRWYATNQILPDGRAFTVGGLNQFSYEFYPKADPADKSAFQIPFLEQTRDPVLNNLYPFVHLNIDGNLFIFANNRAILLDYKSNKVVRTYPVLAGGDPRNYPSTGSSVLLPLKPNPTEAEVLVCGGAPKGSYPSSRHRHVTRTFVAIINGATDGTAGWDDAHTPAYAPVVYRPYHPTGDRFEQQATTGIARMYHSSVVLLRDDRLLVGGSNPHLYYNFSNVQFPTELSLEAFSPEYLDPSNNMLRPRILHPSPTGAAAIVTYGAKLMLKFSVPASARGRRGGLGEVSVTMVAPSFTTHSFAMNQRLLVLEVTKMAAVRVRAGTYYVSLTMPATAVLAPPGYCMVFVVNGLVPSPSEGIWVHIR >PVH33323 pep chromosome:PHallii_v3.1:9:72824696:72827467:-1 gene:PAHAL_9G628500 transcript:PVH33323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAECKHDFVDLLLSFLTYPVGSLFKNLGGTSHLGCSLDNLYSSAVDLDVSSLLTGVCSPQKTLLDPCISPFNTVFVNTLERTIPEWFNLGRVVLCSQCSRYDEIVKGCGSCGPQFSRDANYVVDDDLLIYQASAMLVMKHWCKVDKEHVLEMDVAISKLEAVDLLRAALTSKMALMDVFISRLEEACQVP >PAN50045 pep chromosome:PHallii_v3.1:9:64137436:64143950:-1 gene:PAHAL_9G493600 transcript:PAN50045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGTDDDIGDEHLFDGVRFFLVGFESDVASQYRSEMERRGGADAGPSGNGCTHVVVSNLFYDDPTCVAARAEGKKVVSEQWVEDSLDCGELADADRVIYWPVRHSKGIPGAQSLLICLTGYQKNYREYITKMVSLMGARFSKPLLANAVTHLICYKFEGEKYEVAKKVNIKLVNHRWLEDCLKAWKILPVDDYSKSTWDLELMDAQVNDSEHEAEAAGPRPLNNRSNVRCTPKSKNCEEAFVEPDVDASKRSPITPSGNRQVVAGGNLNTPGNTMNTEYADSKPHDTIGQGSPNSNLLAVSAMDDVLAPIQTSFGLSQKRDNSVVRNINSPLQEAEGKYVGVKTQDLASGILGPPSSREVTVFSNYHLNTLNGTPGILNVHTDNASGKSSTSHDQIYVAKVLLTSPSRGNQSVNDLDSSKVNRWQHQEKDGPSGTDVTGACWLTTDDMVTNHESNPKSGGDSKSNSIKNTRNSKKASRKSLLPEGRSVNHMASPKKAEESTPRADSNISSLQMGHQKIFEHADVQSMKGNENIKTADGLDGAHAQKRKSLVSPSSLNLQKEDPVSETGPLDSPFVSWLSDASDAEANALSFGKQQSSLSTSRKTRSRKTSIKHGGPINGIKLPESSSSDKNVKSLPKARMSLKAMAENKSTSPSPTVQDGRTRSSFSFQNKDREDTQGSGNAVNQDCLHEIGSACTKDQAHDKSVRSSSISHVVSSSGNAGTKITDPLKVNDNEVAVASNSELEKVVSVASVKEGKKRFKDTSRNALGETSNSKKVATHVGRNAGAKRPRGASIEAEGSPINSGKKVVSESWPGFPHEHADPASKSGCSSASAAELKTNPPKKALICRVTDIVAKRTRNACAKIDDARLASGLEFSKVNSQEHIEINPKKFFDIQNADEHQRNSPKKIPSTRVSNKAAKRSRKSDTNTSNETLVDKSETVATGSLFDDLFPSDNVEECPKNLSSCASASDCGTLTPKTISNARIRNAVPKRKMKTVEDKSGSKCGKVGTAIASVAKAVSSKRAEKISCNINKVTADQDSEKSNKDVIRDVSGLFCQDSGTVDKQEGPHNSKLRSSKRNKVPTSYHEKENRLDCSNLNSKSNRTGSLCSKSDAKSIEKRTLVLSEHQRVKGSKSGALTLSEPSLFILSGDREQRREYRSILRRLKGRVCRDSHHWTYEATHFIAPDPLRRTEKFFAAAAAGRWILKREYLTSCIEAGKFVDEEPFEWFGTGLNDGETISFDAPRKWRNIRQQMGHGAFYGMQIVVYGQLILPTL >PAN50044 pep chromosome:PHallii_v3.1:9:64137583:64143879:-1 gene:PAHAL_9G493600 transcript:PAN50044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGTDDDIGDEHLFDGVRFFLVGFESDVASQYRSEMERRGGADAGPSGNGCTHVVVSNLFYDDPTCVAARAEGKKVVSEQWVEDSLDCGELADADRVIYWPVRHSKGIPGAQSLLICLTGYQKNYREYITKMVSLMGARFSKPLLANAVTHLICYKFEGEKYEVAKKVNIKLVNHRWLEDCLKAWKILPVDDYSKSTWDLELMDAQVNDSEHEAEAAGPRPLNNRSNVRCTPKSKNCEEAFVEPDVDASKRSPITPSGNRQVVAGGNLNTPGNTMNTEYADSKPHDTIGQGSPNSNLLAVSAMDDVLAPIQTSFGLSQKRDNSVVRNINSPLQEAEGKYVGVKTQDLASGILGPPSSREVTVFSNYHLNTLNGTPGILNVHTDNASGKSSTSHDQIYVAKVLLTSPSRGNQSVNDLDSSKVNRWQHQEKDGPSGTDVTGACWLTTDDMVTNHESNPKSGGDSKSNSIKNTRNSKKASRKSLLPEGRSVNHMASPKKAEESTPRADSNISSLQMGHQKIFEHADVQSMKGNENIKTADGLDGAHAQKRKSLVSPSSLNLQKEDPVSETGPLDSPFVSWLSDASDAEANALSFGKQQSSLSTSRKTRSRKTSIKHGGPINGIKLPESSSSDKNVKSLPKARMSLKAMAENKSTSPSPTVQDGRTRSSFSFQNKDREDTQGSGNAVNQDCLHEIGSACTKDQAHDKSVRSSSISHVVSSSGNAGTKITDPLKVNDNEVAVASNSELEKVVSVASVKEGKKRFKDTSRNALGETSNSKKVATHVGRNAGAKRPRGASIEAEGSPINSGKKVVSESWPGFPHEHADPASKSGCSSASAAELKTNPPKKALICRVTDIVAKRTRNACAKIDDARLASGLEFSKVNSQEHIEINPKKFFDIQNADEHQRNSPKKIPSTRVSNKAAKRSRKSDTNTSNETLVDKSETVATGSLFDDLFPSDNVEECPKNLSSCASASDCGTLTPKTISNARIRNAVPKRKMKTVEDKSGSKCGKVGTAIASVAKAVSSKRAEKISCNINKVTADQDSEKSNKDVIRDVSGLFCQDSGTVDKQEGPHNSKLRSSKRNKVPTSYHEKENRLDCSNLNSKSNRTGSLCSKSDAKSIEKRTLVLSEHQRVKGSKSGALTLSEPSLFILSGDREQRREYRSILRRLKGRVCRDSHHWTYEATHFIAPDPLRRTEKFFAAAAAGRWILKREYLTSCIEAGKFVDEEPFEWFGTGLNDGETISFDAPRKWRNIRQQMGHGAFYGMQIVVYGQLILPTLDTVKRAVKAGDGTILATSPPYTRFLDSGVDFAVVSASIPRADAWVQEFIRHGIPCVSADYLVEYVCKPGHPLDRHVLFKTNNLANKSLEKLKKNQDEMATEETEQSEDEDEDDPEDLSCSACGRKDRGEVMLICGDEDGETGCGIGMHIDCCDPPLDAVPDDDWLCPKCAVPKAKTKRTRGTERKARGSRRR >PVH32814 pep chromosome:PHallii_v3.1:9:64137436:64143087:-1 gene:PAHAL_9G493600 transcript:PVH32814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMVSLMGARFSKPLLANAVTHLICYKFEGEKYEVAKKVNIKLVNHRWLEDCLKAWKILPVDDYSKSTWDLELMDAQVNDSEHEAEAAGPRPLNNRSNVRCTPKSKNCEEAFVEPDVDASKRSPITPSGNRQVVAGGNLNTPGNTMNTEYADSKPHDTIGQGSPNSNLLAVSAMDDVLAPIQTSFGLSQKRDNSVVRNINSPLQEAEGKYVGVKTQDLASGILGPPSSREVTVFSNYHLNTLNGTPGILNVHTDNASGKSSTSHDQIYVAKVLLTSPSRGNQSVNDLDSSKVNRWQHQEKDGPSGTDVTGACWLTTDDMVTNHESNPKSGGDSKSNSIKNTRNSKKASRKSLLPEGRSVNHMASPKKAEESTPRADSNISSLQMGHQKIFEHADVQSMKGNENIKTADGLDGAHAQKRKSLVSPSSLNLQKEDPVSETGPLDSPFVSWLSDASDAEANALSFGKQQSSLSTSRKTRSRKTSIKHGGPINGIKLPESSSSDKNVKSLPKARMSLKAMAENKSTSPSPTVQDGRTRSSFSFQNKDREDTQGSGNAVNQDCLHEIGSACTKDQAHDKSVRSSSISHVVSSSGNAGTKITDPLKVNDNEVAVASNSELEKVVSVASVKEGKKRFKDTSRNALGETSNSKKVATHVGRNAGAKRPRGASIEAEGSPINSGKKVVSESWPGFPHEHADPASKSGCSSASAAELKTNPPKKALICRVTDIVAKRTRNACAKIDDARLASGLEFSKVNSQEHIEINPKKFFDIQNADEHQRNSPKKIPSTRVSNKAAKRSRKSDTNTSNETLVDKSETVATGSLFDDLFPSDNVEECPKNLSSCASASDCGTLTPKTISNARIRNAVPKRKMKTVEDKSGSKCGKVGTAIASVAKAVSSKRAEKISCNINKVTADQDSEKSNKDVIRDVSGLFCQDSGTVDKQEGPHNSKLRSSKRNKVPTSYHEKENRLDCSNLNSKSNRTGSLCSKSDAKSIEKRTLVLSEHQRVKGSKSGALTLSEPSLFILSGDREQRREYRSILRRLKGRVCRDSHHWTYEATHFIAPDPLRRTEKFFAAAAAGRWILKREYLTSCIEAGKFVDEEPFEWFGTGLNDGETISFDAPRKWRNIRQQMGHGAFYGMQIVVYGQLILPTLDTVKRAVKAGDGTILATSPPYTRFLDSGVDFAVVSASIPRADAWVQEFIRHGIPCVSADYLVEYVCKPGHPLDRHVLFKTNNLANKSLEKLKKNQDEMATEETEQSEDEDEDDPEDLSCSACGRKDRGEVMLICGDEDGETGCGIGMHIDCCDPPLDAVPDDDWLCPKCAVPKAKTKRTRGTERKARGSRRR >PAN51994 pep chromosome:PHallii_v3.1:9:73317276:73321810:1 gene:PAHAL_9G635300 transcript:PAN51994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSGARGAHRGAAGTTTNPFLHHPATAAAAARTRSTLMRSHPPLAAHLLLLSSSTRRAMASTAAVARAAGVAAAEYEEVLGRLSSLITQKVRAHSGNRGNQWGLMAHYVKILDLEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFHTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPAYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGVSSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQQEESMVALKQRASELGISLQVADPLEPYHLKGQHLGLNGEHQYINAGLAVALASTWLEKQGHKDRIPLNRTDPLPDHFIRGLSNACLQGRAQIVPDSQVNSGESINSSLVFYLDGAHSPESMEICAKWFSHVTNDDRRIPSSAEQSQSSKSLKILLFNCMSVRDPMRLLPHLLDASTQNGVYFDLALFVPNQSQYNKLGSNTSAPAEPEQIDLSWQLSLQTVWEKLLQDKGIDSGNSSDTSKVFASLLPAIEWLRKNAEENRSTSFQVLVTGSLHLVGDVLRLINK >PAN45093 pep chromosome:PHallii_v3.1:9:5285696:5291188:1 gene:PAHAL_9G092500 transcript:PAN45093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAGAGGEGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPSDENLIPVVEELRRRLAPSCATQHDGKENLSGQHDAEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASAPTIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYTWNDNHGYVFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >PAN47063 pep chromosome:PHallii_v3.1:9:15229157:15237669:-1 gene:PAHAL_9G231100 transcript:PAN47063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARYAALRRATEEVAAVDAHAHNLVELGSAFPFLRCFSEAEGDALAHAPHSLSFKRSLRDIAALYNCEASLEKVEEFRTAEGLKSISLKCFQAANISAILIDDGITFDKMLDLESHKAFAPVIGRVLRIERLAETIINDESFSGSSWTLDSFTESYVAKLKSVSSQIVSLKSIAAYRSGLEINPNVSKTDAEDGLRKELTGPRPFRITNKNLIDYIFTCSLEIAVSVNLPVQIHTGFGDKDLDLRKCNPLHLRAVLEDKRFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVHGMTSSLKELLELAPIKKVMFSTDGYAFPETYYLGAKRARDVVYHALATACEDGDLSSQEAIEAVEDIFRRNTLNLYKLNVTNGSINHETAIAGGGVSSSSVEEDVLFVRIIWSDASGQNRCRVVPAGRFYEVTRNKGVGLTFAVMGMTSFCDGPADGTNLTGVGEIRLVPDMPTLLRLPWSSREEMVMADMQIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMKAGFENEFFLRRKLVSDGVEQWVPYDNTNYCSTSAFDGASSILQEVYSSLKTSGIVVEQLHAESGKGQFEIALKYILCTLAADKLIYARETIKSIARKHGLLATFLPKPDLNDIGSGSHVHLSLWENDQNVFMGSSKDNFYGMSKTGAQFLAGVYHHLSSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRGLKLPEPIESNPADYASKLKRLPQNLQESVESLSGDKVLHELIGDKLVTAAIAIRKAEIDHYAKNPGAFNDLIYRY >PVH32385 pep chromosome:PHallii_v3.1:9:55824741:55825190:-1 gene:PAHAL_9G387100 transcript:PVH32385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKILSSGQAARLRVRALLGQVAGSLLHQLAP >PAN51878 pep chromosome:PHallii_v3.1:9:70827733:70828565:-1 gene:PAHAL_9G597500 transcript:PAN51878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAISAMLNEESRLKVMVGNDPIKSAYSAVDDRDCYNYGEKGHLSYNYPYPRGSGARGGGARGARGGARGGHGGYGGGRGSRGGGRGRGCGGPRANVAVAVETPSVTLTGEQAKMWEQWQKSKESENSASTPPDSTATTTVQHFGNFANYAHSGEGEEHWEEDWDWSQA >PAN47697 pep chromosome:PHallii_v3.1:9:46725508:46754258:1 gene:PAHAL_9G339900 transcript:PAN47697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDRDCGSDAGAEWVWVRRPAEAEAVAAAAGWSAAAADEEARPLKVVFASPARYFTDASPIGNGRLGAMVWGGVESERLQLNHDTLWTGGPGNYTNPKAPAVLSKVRSLVDNGKYPEATAAAYDLSGDQTQVYQPLGDINLIFGKHIKHTNYKRELDLHTATVNVTYTVGEVLYSREHFASNPHQVIVTKISANKPGNISFTVSLTTPLDHKIRVTDANEIIMEGSCPGERPQKDNNASDHPSGIKFCAILYLHTNGANSKMEVIKDKMLKLDGADSVVLLLAAATSFEGPFIKPSESKLDPAVSAFMTLTVARSMSYSQLKAYHMDDYQSLFHRVSLQLSQGSNYQLGDNRIGQSAQAGSQDATVSNYAFLIADCTRSAVLNDSVKPTVDRIITFKENEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWSNDPSPPWDAAPHPNINLQMNYWPALPCNLSECQGPLFDFIGSLSVNGAKTAKVNYEASGWVSHQVTDLWAKTSPDAGDPVWALWPMGGPWLATHLWEHYNFTLDEQFLWKTAYPLLEGSASFLLDWLIQGHREYLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSIIREVFSAVILSADILGKSDTDVVQRIKKALPNLPPVKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMSLEQTPDLCKAVANSLYKRGNEGPGWSTSWKMALWAHLHNSDHAYKMILQLITLVDPEHEVSREGGLYSNLFTAHPPFQIDANFGFPAALSEMLVQSTGTDLYLLPVLPRNKWPHGCVKGLKARGGVTVNISWKEGSLHEALMWSSSGQNSLAKLHYGDQTATISISSGQVYRFSRDLKCLKTWPL >PAN51698 pep chromosome:PHallii_v3.1:9:71816434:71819130:1 gene:PAHAL_9G613400 transcript:PAN51698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MATPLLLPSRAAHAAATATCASQHLTAATAKEPPPRVRPKRGGGAGSSSGNGPKSFVLSHVAAGRMDEAADAFAAVRSPDAFLHNVMIRGFANAGLPRDALAAYRAMLAAGARPDRFTYPVVVKCCARLGALEGRAAHAAVIKLGLVTDVYTGNSLVAFYAKLGLVGDAEMVFDGMPARDIVSWNTLVDGYVSNGMGALALACFQEMNDALQMKHDSVGIIAALAACCLESALMQGREIHGYAIRRGLEQDVKVGTSLLDMYSKCGNIASAENVFATMPLRTVVTWNCMIGGYALNERPVDAFDCFMQMRAEGFQVEVVTAINLLAACAQAEGSLYGRSVHAYVIRRHFLPHVVLETALLEMYGEVGKVESSQKVFDQITDKTLVSWNNMIAAYMYKEMYWEAITLFLELLNRPLYPDYFTMTTVVPAFVLLGSLRQCRQMHSYIIKLGYGDNTLIMNAVVHMYARCGDIAASREIFDRMPGKDVISWNTIIIGYAIHGQGKTALEMFDEMKCYGMEPNESTFVSVLTACSVSGMEDEGWKQFNSMQQEYGMVPQIEHYGCMTDLLGRTGDLGKVFQFIENMPIAPTSRIWGSLLTASRNKNDIDIAEYAAERIFQLEHNNTGCYVVLSSMYADAGRWEDVERIRSLMKEKGFRRTEARSLVELHDKECSFVNGDMSHPQSQEIHEFSDILSREIGETFDSPSNLSDSDPFTSRRTVLPNKHSVRLAVAFGLISSEAGAPVLVKKNVRVCNDCHRALKLISKYSSRKIIVGDTKIYHVFSDGSCCCGDYW >PAN51673 pep chromosome:PHallii_v3.1:9:71700528:71705841:1 gene:PAHAL_9G611800 transcript:PAN51673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSPAMENGDLRGAAEEYAQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLIIYLTDKLHQGTVEASNNVTNWSGTVFLTPLLGAYVADAYLGRYWTFVVGSAIYFMGMVLLVLSVSLPALKPPPCHAGVCPKASALQLGVYFGGLYIVAFGNGGTKPNISTIGADQFDEFDPREKMHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSIAVFLAGTKLYRHRVPQGSPFTSMGRVIAAALFKWNVPVPTDAKELHELDLEVYTRKRKFRMDSTNSMRFLNKAAVKDNDGGGSPARWSLCTVTQVEETKRIINLVPLLVTMFVPCTLIAQTNTLFVKQGATMNRHMGPHFQIPPASLGAFVTLTMLVAVVVYDRVFVGAVRRYTKNPRGITILKRMGIGMFLQVVTMGIASATESRRLAYARSHGLDKTGGELGLTIFVLLPQFVLMGLADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGIGNILSSFLLSTVTRITRERGNAWVTNNLNASNLDYYYGFLTVLGGINFLAFLALSGVYRYKAESTETIDIVMGLEAEKAKLQAEPLG >PVH32716 pep chromosome:PHallii_v3.1:9:62292853:62296089:1 gene:PAHAL_9G467900 transcript:PVH32716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVATVTELKQSISGKRTFRPSLISRHANEWPPTDVSSDLTVEVGTSSFALHKFPLVSRSGKIRRLVAEAKDAKLARLSLHGTPGGAPAFELAAKFCYGVHVDVSVANVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIASSVAVLRSCEALLPASEDVNLVARLIAAIANNVCKEQLTSGLSKLDQCAQLKPPAAFVELDSPGDWWGKSVAGLGLDFFQRLLSAVKAKGLKQETMTRILINYAQNSLHGLMARDVHGAAKCGGGADADAIKKQRAVVETIVSLLPAQSKKSPVPMAFLSGLLKTAMAVSASSICRADLEKRIGMQLDQAILEDILIAAGAGAGAGTAAGQQHGLYDTDVVARIFSVFLNLDDDNEEDGGFDYDSPRSPKQSLLVKAAKLLDSYLAEVALDSNILPSKFISLAELLPDHARLVTDGLYRAVDIFLKVHPNIKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQAGSGSMGGHDAALFFGCSAAAAASAQGSVNMRSGSGGRHAGGRQRAVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFARSLSRLNALFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >PVH32717 pep chromosome:PHallii_v3.1:9:62292853:62296089:1 gene:PAHAL_9G467900 transcript:PVH32717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVATVTELKQSISGKRTFRPSLISRHANEWPPTDVSSDLTVEVGTSSFALHKLFAQFPLVSRSGKIRRLVAEAKDAKLARLSLHGTPGGAPAFELAAKFCYGVHVDVSVANVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIASSVAVLRSCEALLPASEDVNLVARLIAAIANNVCKEQLTSGLSKLDQCAQLKPPAAFVELDSPGDWWGKSVAGLGLDFFQRLLSAVKAKGLKQETMTRILINYAQNSLHGLMARDVHGAAKCGGGADADAIKKQRAVVETIVSLLPAQSKKSPVPMAFLSGLLKTAMAVSASSICRADLEKRIGMQLDQAILEDILIAAGAGAGAGTAAGQQHGLYDTDVVARIFSVFLNLDDDNEEDGGFDYDSPRSPKQSLLVKAAKLLDSYLAEVALDSNILPSKFISLAELLPDHARLVTDGLYRAVDIFLKVHPNIKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQAGSGSMGGHDAALFFGCSAAAAASAQGSVNMRSGSGGRHAGGRQRAVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFARSLSRLNALFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >PVH32095 pep chromosome:PHallii_v3.1:9:35560570:35561809:1 gene:PAHAL_9G310100 transcript:PVH32095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLPAIQSSLRTPASSISTDRWRVSQALTSGGADQDFSLLCGIPHLFAGCACRPHACTSRSASPSTALVLHFCLFPFPGYSVNELLEAKVG >PAN45326 pep chromosome:PHallii_v3.1:9:6447950:6448767:-1 gene:PAHAL_9G110400 transcript:PAN45326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKETLSFLGHEHCLLLVPLLSAAPMGHGRLPLHAMALGQRPLEIHPRSPPPPLDMPQQLVLAASEVRRQRHEL >PAN44916 pep chromosome:PHallii_v3.1:9:4625521:4630026:1 gene:PAHAL_9G080100 transcript:PAN44916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSSTRQRRRSRKLSVAARKFRRKVSAAIADAPIIRGAGDAAGCFTRHGVVHVEAPDSNVTLHLTKLQWQHSQMDAGSVVCEEAWYDSVSILESTDSDDDLDNDFASVSGDPLPDVTGGANAQQAPSPCKDAACLLGTVQLLRSIANAEACEGEPPEKRDDSSAATTATNGTSSCKAEECCSSAAREFQGAVPCSHRPFPASIPSNKVQPMPIVGVSPHQQQKKKTAMVRLSFRRRSYEGDEMTEMCGSANYLYRPRAGFTVPCSTGEKMSEGCWSVLEPSTFRVRGESFFKDKRKSPAPDCSPYTPIGADMFACTRKIHHIAQHLSLPSLKTHETFPSLLIVNIQLPTYPASVFGDNDGDGISLVLYFKLSDSFDKEISPQLQDSIERLMNEEMEKVRGFPVDSTVPYTERLKILAGLANPEDLQLSTAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDLDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKVEELPEHVLCCMRLNKIDFADNGQIPTLITAADE >PAN44915 pep chromosome:PHallii_v3.1:9:4625443:4630026:1 gene:PAHAL_9G080100 transcript:PAN44915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSSTRQRRRSRKLSVAARKFRRKVSAAIADAPIIRGAGDAAGCFTRHGVVHVEAPDSNVTLHLTKLQWQHSQMDAGSVVCEEAWYDSVSILESTDSDDDLDNDFASVSGDPLPDVTGGANAQQAPSPCKDAACLLGTVQLLRSIANAEACEGEPPEKRDDSSAATTATNGTSSCKAEECCSSAAREFQGAVPCSHRPFPASIPSNKVQPMPIVGVSPHQQQKKKTAMVRLSFRRRSYEGDEMTEMCGSANYLYRPRAGFTVPCSTGEKMSEGCWSVLEPSTFRVRGESFFKDKRKSPAPDCSPYTPIGADMFACTRKIHHIAQHLSLPSLKTHETFPSLLIVNIQLPTYPASVFGDNDGDGISLVLYFKLSDSFDKEISPQLQDSIERLMNEEMEKVRGFPVDSTVPYTERLKILAGLANPEDLQLSTAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDLDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKVEELPEHVLCCMRLNKIDFADNGQIPTLITAADE >PAN49667 pep chromosome:PHallii_v3.1:9:59395030:59397603:-1 gene:PAHAL_9G427500 transcript:PAN49667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVILNAYPPCPRTTYERRIEKCSLDIRELEKQPPSSALPSVQTSAMATFLTILPLLLSLHPLLSSAAPRDTLLLGSSLSVDKDQTDILRSRDGTFTCGFYSIYTNAFTFSIWYTHSSKTVVWTANRGRPVHARGAAVTLKKGRALVLTDYDGAVVWQAEGDNSAGVQYAQLLDTGNLVMKNSSSIVWQSFDSPTDTLLPTQRITAATKLVSTTGLHVPGHNIFHFTDSSILSLLYDDAEVHEIYWPDPDNGEYQNNRNRYNNTRFGGLDDIGNFFSSDFANQQALVASDQGLGIKRRLTLDPDGNLRLYSLNSSNGGWSVSWIAMSQPCNIHGFCGPNGICHYLPAPTCFCPPGYVMSNPGNWSQGCSPQVDINCTVDQVQPVQFVPLPGTDYWGSDQLHRDQVSLEACKNICRSDCTCKGFLYQQGTGSCYPKSSLFNGKAYTPPDKSYRTMYLKLPMSVNISGISVPRIDLLVSREYHPDCRQMSNKMPVEPFPEIHKTSQGEAKWLYFYGFAGAIFVLEVFFIASVWYFVLRRELGVSEIQAVEEGYKVMASNFRRYSYKELVKATRNFKDELGRGGSGIVYKGILDDSRGVAIKMLENVRQCEEEFQAELSIIGRINHMNLVRIWGFCSESSHRMLVTEYIENGSLANILFKGNILLEWRQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDQNLEPKIADFGLAKLLNRGGTDQNVSRVRGTIGYIAPEWISSLKITAKVDVYSYGVLLLELLLGKRVLDLAVGADEEVHRVLRKLVGTLADMLDREEPSSIAEVVDCRLNGQFNYTQVRTLIRLSVSCLDEDRNKRPTMESIVQMLLLADENLAA >PAN49120 pep chromosome:PHallii_v3.1:9:59394612:59397734:-1 gene:PAHAL_9G427500 transcript:PAN49120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVILNAYPPCPRTTYERRIEKCSLDIRELEKQPPSSALPSVQTSAMATFLTILPLLLSLHPLLSSAAPRDTLLLGSSLSVDKDQTDILRSRDGTFTCGFYSIYTNAFTFSIWYTHSSKTVVWTANRGRPVHARGAAVTLKKGRALVLTDYDGAVVWQAEGDNSAGVQYAQLLDTGNLVMKNSSSIVWQSFDSPTDTLLPTQRITAATKLVSTTGLHVPGHNIFHFTDSSILSLLYDDAEVHEIYWPDPDNGEYQNNRNRYNNTRFGGLDDIGNFFSSDFANQQALVASDQGLGIKRRLTLDPDGNLRLYSLNSSNGGWSVSWIAMSQPCNIHGFCGPNGICHYLPAPTCFCPPGYVMSNPGNWSQGCSPQVDINCTVDQVQPVQFVPLPGTDYWGSDQLHRDQVSLEACKNICRSDCTCKGFLYQQGTGSCYPKSSLFNGKAYTPPDKSYRTMYLKLPMSVNISGISVPRIDLLVSREYHPDCRQMSNKMPVEPFPEIHKTSQGEAKWLYFYGFAEIQAVEEGYKVMASNFRRYSYKELVKATRNFKDELGRGGSGIVYKGILDDSRGVAIKMLENVRQCEEEFQAELSIIGRINHMNLVRIWGFCSESSHRMLVTEYIENGSLANILFKGNILLEWRQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDQNLEPKIADFGLAKLLNRGGTDQNVSRVRGTIGYIAPEWISSLKITAKVDVYSYGVLLLELLLGKRVLDLAVGADEEVHRVLRKLVGTLADMLDREEPSSIAEVVDCRLNGQFNYTQVRTLIRLSVSCLDEDRNKRPTMESIVQMLLLADENLAA >PAN50949 pep chromosome:PHallii_v3.1:9:68510793:68515146:1 gene:PAHAL_9G559600 transcript:PAN50949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEERVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLVQIYLIGFYEEREFALYVSSISNELRIPVRYLREDKPHGSAGGIYSFRDYIMEDGPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFSAIEDVLKQKKDRANLRRVSSFEALQSATKALPADFVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRRTSPHLLASGDGKRTATIVGDVYIHPSAKVHPTSKIGPNVSISANARVGAGARLINCIILDDVEIMENAVVIHSIVGWKSSIGKWSRVQGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >PAN45621 pep chromosome:PHallii_v3.1:9:7850380:7856001:-1 gene:PAHAL_9G131000 transcript:PAN45621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAGRSALVALAFLLAVSPCLQARVDQLYHGRQVSDWSRQLNFELQNLSSSQNDGRHLLASPQEIAHRKLRARTGVRKKMEVVQQDDEALVKLENTGIERSKAVDSAVLGKYSIWRRENENEKADSRVRQMRDQMIMARIYSVLAKSRDKLDLYQELLARLKESQRSLGEATADAELPKSALERIKAMGQVLSKARDLLYDCKAITERLRAMLLSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFKADRPKTLTAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISRNFDPNACGWAYGMNMFDLEEWKRKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPTIERSEIDNAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHPYIHGCKISQ >PAN51168 pep chromosome:PHallii_v3.1:9:69523378:69524687:1 gene:PAHAL_9G575800 transcript:PAN51168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDISNAPAPRRYWLSPPVVLSACLVLLGAVGSLLIRVYFVHGGQCLWLSTMIQVSGWPLLLPALCVSMLLRSRRGGGGVADRLLPARLVGAVAVLGALFAAACFAYSLGSRALPLSTSSLLLATQLAFNAVAAVLFAGLRFTPFSANAVVLLTVGPAALGVGPSSEKLAGEASATAYWTGFFECVASAALLGLALPLVEVAMSNYGRRTSGAAVRLPSSYATVMQIQAVMGAAGTTVCLAGMAIAEDFQEIHREAAAFGLGETNYYLLLIFGAVSWQLCNLGIMGLIVCSSSLLAGIMIALVLPLAEVLAVVFLHEKFDGVKGIALVLSLWGFVSYLYGESAQKTTEARRYEDLDSSTCCPLMAGNL >PAN48421 pep chromosome:PHallii_v3.1:9:55169730:55173154:1 gene:PAHAL_9G381000 transcript:PAN48421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPIAPPAPAIAPRSLVPRTRPRRRCPTTARAATAGPGEWAPGSWRARPARQIPEYPDQAALEGAERSLEAFPPLVFAGEVRKLEERLGEAAMGRAFLLQGGDCAESFKDFGANNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETRDGVTLPSYRGDIINGDAFDEKSRVPDPERLIQAYGQSASTLNLLRGFAHGGFADLQRVTQWNLDFLRHSTQGDRYLELSQRVQDAIGFMAAAGMTPQHPIMTTAELWTSHECLHLPYEQALTREDSITGMYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLDPSELVKLCEILNPHNKPGRLTLITRMGAENMRVKLPHMIRAVRQAGMIVTWVSDPMHGNTISAPCGLKTRSFDAIRAELRAFFDVHEQEGSYPGGVHLEMTGQNVTECIGGSKAVTFDDLSDCYHTQCDPRLNASQSLELAFAIADRLRNKRNRAWNNWISRVVA >PAN43954 pep chromosome:PHallii_v3.1:9:454376:456312:1 gene:PAHAL_9G005900 transcript:PAN43954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NUCLEAR FUSION DEFECTIVE 2 [Source:Projected from Arabidopsis thaliana (AT1G24450) UniProtKB/Swiss-Prot;Acc:Q9FYL8] MATPPSPIIRCLLLLLVAFPSHPRARAAAGPARLSPSPFDAALAKLQARIGYAFRAPDLLRRAMTHASYSGENGRALAVLGLAAAQSAAALGALAADNDAAASAVSRRASEAASEAACAAAGTHVGIPAIVRVASRTSASAAPVVCGALRALIGAVAVDANSTDAAVEVFWRLHVLTAAAAAAAAM >PAN51375 pep chromosome:PHallii_v3.1:9:70363252:70365417:-1 gene:PAHAL_9G589900 transcript:PAN51375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGWFRRAAAAAAPRLPSGLPLIPPPPPALLAEAQSLVVPGLGAAVGPAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGERGNPSESSS >PAN48878 pep chromosome:PHallii_v3.1:9:54507481:54510682:-1 gene:PAHAL_9G375800 transcript:PAN48878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAPPPPLAPLTPIREAPSPSPPVESLSPRSLARLHPRSLPPLASPRLAGSPSLRPREPPPRRQRRLLRADDEQGGTLLSPNPRAAPGSPASSFPRATSAPPTKARRASLPQPPAGPRSPASRGATPPTCGRGPRSPASRGLPRRRARRGHAGGADRRWPEPLAVPAERVYQPLRGAATRLQARAQARRQGAGRARRK >PAN48397 pep chromosome:PHallii_v3.1:9:55019326:55020779:1 gene:PAHAL_9G379600 transcript:PAN48397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARMSAPALMVALAVLAFAAGGSAQLQYGFYKGKCNASDVEAVVQGIVKARFAREAPIVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTAPPNLSVKGYDLIAAIKAELEKRCPGVVSCSDIEILATRDAVALAGGLAYAVRTGRRDRRQSRASDVNLPAPEYTAAQAVGYYARLGMTPYETVVLLGAHTVGATHCSAIKNSRLYGYGGRPGATDPSMDRATASVYKKWVCPNVTSSDGNTVFLDDQWSALKVDNNYYKNLQLRRGVLSVDQNLYSDGSTRWIVDQLANNAGLFQAQFAKVLVKLSEVNVLTGTQGEIRKVCSKFN >PAN48702 pep chromosome:PHallii_v3.1:9:56992421:56994073:-1 gene:PAHAL_9G400000 transcript:PAN48702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLHSLTESQSHSKHEHDHRALEQADEMALAAAAVLLLLIPGLLLTAMAATPYPHGARGDPLLGASKRYEGSSDLVDLRYHMGPVLSAAPLRLYVLWYGRWDPAHQAPVRDFLLSLSDPSPPPPSVAAWWATAALYADQTLANVTRRVALAGEAADASASLGRALSRLDIQRVLAAAVAAGRLPADARGGAYLVLTAPGVGVQDFCRAVCGFHYFTFPSLVGHTLPYAWVGHSGGRCADVCAYPFALPSYMSRSGVAALRPPNGDAGVDGMVSVIAHELAELATNPLINAWYAGEDPTAPTEIADLCEGVYGTGGGGGYAGKVAVDAQGRSWNVNGRNGRKFLVQWLWSPEAKACVGPNASD >PAN48719 pep chromosome:PHallii_v3.1:9:57050256:57055901:-1 gene:PAHAL_9G401100 transcript:PAN48719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLFGATVVTTFSGAAADSIGRRPLLIASAVLYFVSGLVMLWAPSVYVLLLARLIDGLGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPKPDWRIMLGVLSIPSLIYLALTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTRIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSAYGLISQHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKADWDAESQREGDEYASDHGGDDIEDNLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSGLMQGGEAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELLEQRAAGPAMMHPSEAVAKGPKWAELFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNIGLSSSSASILISALTTLLMLPSIGIAMRLVDMSGRRFLLLATIPILIVALIILVVVNIVDMGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILALVFVYMKVPETKGMPLEVITEFFSVGAKQAREARED >PAN48718 pep chromosome:PHallii_v3.1:9:57050256:57055902:-1 gene:PAHAL_9G401100 transcript:PAN48718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLFGATVVTTFSGAAADSIGRRPLLIASAVLYFVSGLVMLWAPSVYVLLLARLIDGLGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPKPDWRIMLGVLSIPSLIYLALTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTRIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSAYGLISQHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKADWDAESQREGDEYASDHGGDDIEDNLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSGLMQGGEAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELLEQRAAGPAMMHPSEAVAKGPKWAELFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNIGLSSSSASILISALTTLLMLPSIGIAMRLVDMSGRRFLLLATIPILIVALIILVVVNIVDMGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILALVFVYMKVPETKGMPLEVITEFFSVGAKQAREARED >PAN48778 pep chromosome:PHallii_v3.1:9:57328313:57332591:-1 gene:PAHAL_9G404400 transcript:PAN48778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGGGGGGGGAQIKGMATHGGRYVLYNVYGNLFEVSSKYAPPIRPIGRGAYGIVCAAMNSETSEEVAIKKVGNAFDNHIDAKRTLREIKLLRHMDHENILALKDVIRPPSRENFNDVYIVTELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANILHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDASLGFLRSDNAKRYMKQLPQFPRQDFRLRFRNMSAGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEAHIKELIWRESLAFNPDPPY >PAN48777 pep chromosome:PHallii_v3.1:9:57328350:57332466:-1 gene:PAHAL_9G404400 transcript:PAN48777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGGGGGGGGAQIKGMATHGGRYVLYNVYGNLFEVSSKYAPPIRPIGRGAYGIVCAAMNSETSEEVAIKKVGNAFDNHIDAKRTLREIKLLRHMDHENILALKDVIRPPSRENFNDVYIVTELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANILHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDASLGFLRSDNAKRYMKQLPQFPRQDFRLRFRNMSAGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEAHIKELIWRESLAFNPDPPY >PAN44863 pep chromosome:PHallii_v3.1:9:4394190:4400739:-1 gene:PAHAL_9G076200 transcript:PAN44863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSELVERLQEVLRSSDLNTTTTAALRRRLEEDFGADLSHKKAFIREQVDLFLAEVAAKAEPEEPKEEEPEEAAVPKEEEPELEPEEGEGEEEEGEGEEQEEEEEEEEEDEDGDSGGARKKQRLDKGNGGKKRGGGFTKLCSLSPALQEFVGASELARTEVVKKLWAYIRENNLQDQSNKRKILPDERLKKIFNVNSIDMFQMNKALTKHIWPLNSEGPASPDRSTPKEKPQKRDRNEGKKQKGGSSGSGSGLLVPLQLSDDLVKFIGTGESMLSRSDVVKRMWEYIKENNLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >PAN51692 pep chromosome:PHallii_v3.1:9:71779087:71782255:-1 gene:PAHAL_9G612800 transcript:PAN51692 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSC1-like protein At4g35870 [Source:Projected from Arabidopsis thaliana (AT4G35870) UniProtKB/Swiss-Prot;Acc:Q9SZT4] MGPAAQPPDAGGGGDPEAWYGSIQYLINISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLILAAVAAAAVAAALPLNLLAGDAAIIDQFAATTISHIPKSSPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKTPLKDYFEHEYPGKVYRVIVPFDLCTLEYLVQELGKVRNKISWLEARLGARDLFDDFSHDEAAQSEEHWFVRKFKEVWAMAVQRLGFTEEHWLRKLQTKKLVLGSKLSDYKEGRAPGAGVAFVVFKDVYTANKAVRDFKMERKKTPIGRFFPVMELQLERSRWKVERAPPASDIYWNHLGLSKTSSRLRRIAVNTCLLLMLLFFSSPLAIISGMQNAARIINVEAMDHAKSWLAWLEGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDGPDCKQIERYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYSMMQNGEETNNLTVPLMPEREDSGLLDNIEGHDLSLYPLNRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGAAYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLAAMLLFFAVQGDSMKLQAICTLGMLVFYKLLPSRSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWDLYQS >PAN44677 pep chromosome:PHallii_v3.1:9:3487275:3494863:1 gene:PAHAL_9G061200 transcript:PAN44677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVRSSSGAAAEPPLVVARSSSPPHTPVASSAGASSPAMQTNIGRQASRVDSSSQVAAHAYHPSHSFDAAGTAMDSAPSCRPWERGDLLRRLATFKPSTWASKPKAASSLACAQRGWVNIDLDKIECESCGAHLIFNALMSWSPVEIASAGEAFAEQLDAAHQNCCPWRGNSCADSLVQLPLTQSALIGGFKDRCDGLLQFTSLPVIASSAIENMRMTRSAQIDHLLSQSITFLSGVLCCKAESTAGVEIHQDSSCSYSQAQKLIGLCGWEPRWLPNVQDCEENSTHSAKNAPSVGPDEPFYPHFVDHNKNSFSASAKKDKGKGKLPLRDSGCSMRSPLLDCSLCGATVRMWDFRPVLRPSRLSPNNIDAPETGRKLTLTRGISAASGINEWVTDGVERGQDEGRDEAATNEGKSPSNIGVDLNLTMAGGLSSPRSATPAASERFNNGGMGRDLMIGQPTGSEVGDCETSYESRGPSSRKRNLEEGGSTADNPQDRLHHADSIEGNFIDRDGEEVDDAAQDSDVPNKKSRGFDLFDAYRPSSGAGPSRNLSFDPDVGAGMFSHSRTIDLAVEHPTARDSLRASSVIAMDTVRTSGEDSMESVEYYPGDGNDIDMPSSSAHRNIEMNDVLDLNYSNQAQQSANAHAAAGSDAREIGGSSTNEGEEVINAETAPAFGRDQLSIGISGGSVGMGASHEAEIHGNAASLHRAESVVGDAEPIAELTETMGQTGESGPGPGLMDEFVPEEVNREEPHGDSQDMVSRSVGQADSGSKIYGSTKADSVESGEKIGHAIGIESSMRPSLSCNAGMCAGFDPAKDDVTQAGKILTTDGLMGLDYDPGNGLGATNGENDYESGLLEFDPVKHHNSYCPWVNGIVAAACCNNIGSSSSSSALSGWQLTIDALDTFHSLGQAQNQIMQSDSAASLYMDDQITHNRRLGRRPSVSTSYGKC >PAN51775 pep chromosome:PHallii_v3.1:9:72244776:72249183:-1 gene:PAHAL_9G619700 transcript:PAN51775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMDEGLDEIKHESIDLENIPVEEVFVKLKCSSKGLATSDAQARIAMFGPNKLEEKKESKVLKFLGFMWNPLSWVMECAALIAIVLANGDHRPPDWQDFVGIVVLLFLNSTISFLEENNAGSAAKELMANLAPRTKVLRDGRWSDEDAAILVPGDIISIKLGDIVPADARLLQGDPLKIDQSALTGECLPVTKNPGSSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLESIGNFCIASIAVGIIIEVLVMYPVQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSKQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRSLVEIFAPGVEKDDVVLFAARASRVENQDAIDAAMVGMLSDPKEARDGIEEVHFLPFNPVDKRTALTYIDLADGSWHRVSKGAPEQILDLCNCGNNVRNLVHTVIDKYAERGLRSLAVARQVVPEKSKESPGEPWEFVGLLPLLDPPRSDSADTITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKDEATASIPVEELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKKADIGIAVAGATDAARSASDIVLTLEGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTIAKDRVKPSPHPDSWKLNEIFATGIVYGTYMAVMTVIFFWAMKSTDFFSNTFRVRSLRGSDEEMMSALYLQVSIISQALIFVTRSRSWCFVDRPGYMLCAAFVIAQIVATLIAVYASFGFAKIQAIGWGWAGVIWLYSLVTFVPLDLFKFAIRYVLSGRAWDNVQNKTAFTTKKDYGREEREAQWATTQRSLHGLPAPESEQPGRSSSSYAELSEIAEQARRRAEVARFRETSTLRGHLESSAKLRGIDISAVKSPYYTM >PVH32766 pep chromosome:PHallii_v3.1:9:63254965:63261442:-1 gene:PAHAL_9G481600 transcript:PVH32766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRASVPSPDARTLCYACEIPQIGEPARIQFSSHLPRPPAPRAPKNPRKHAFAAGAGHATRAEAAAGSHLAHNCKSQFQSRFWSCNKISVQNRIEEMYHHQQQLQSHSHFLSSRQTFPPERHLLLQGGSIPAEPGLVLSTDAKPRLKWTPELHERFVDAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNVHTQANGGNAKNVVGCTMAMEKPPEGNGSPAGHLNLGTQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMQLSELVSKRKDLPLLKVAASSSLPLNETQPESGRLGEVERASGIPIHLTI >PVH33156 pep chromosome:PHallii_v3.1:9:69907387:69908094:1 gene:PAHAL_9G581300 transcript:PVH33156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLNVLHSNLNLFDSLNLTSHEDSERMLKNHSANFSTACIDANLFPPWFSQFPFKGPSKYPQEHIIGLSVDCGFYSIAYMDAWDGLHMKDFDQKTVIEYKKIVAYKIYHSKLNRICPDNDTVRVSPKRQKKN >PAN46048 pep chromosome:PHallii_v3.1:9:9954191:9956667:1 gene:PAHAL_9G160100 transcript:PAN46048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAVVAPVAAASSYLGAPPRAAAAAGRAPARAQVAAAGMSSRASSFVGCGLAVAAASIAARPRRTGSGGGGALGCKCLFGLGVPELAVIAGVAALVFGPKQLPEIGRNIGKTVKSFQQAAKEFETELKKEPGEGGDEPPPATPTAVGDGEEKKGA >PVH32163 pep chromosome:PHallii_v3.1:9:44681370:44682641:1 gene:PAHAL_9G336200 transcript:PVH32163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNCTMRYTSTSGSIVPKLTLGTYVLSEDPTQKRRKIISPWVLIKMMTEGEMFSKMVEKAFDFLDTDYDMVIYVFLFLKLVLIPVHQENAEDLSGDGHWFIVVVNMKARMFQVIDSLRNPDDMIHDCGLFTLKAIEYWDGCKLPNLKEFDKFTMRKQILAKWFHSPANKIERKSDFCLEGKGKNGTTVGNKGSGGEG >PVH32966 pep chromosome:PHallii_v3.1:9:67036410:67037067:1 gene:PAHAL_9G537000 transcript:PVH32966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAIPVACLLQAVGHFLLWPFSWMDGWMDGWMDGWVGGGGDGTKTAKGVEWKGKLN >PAN45397 pep chromosome:PHallii_v3.1:9:6770937:6776770:-1 gene:PAHAL_9G115800 transcript:PAN45397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine dehydratase [Source:Projected from Arabidopsis thaliana (AT3G10050) UniProtKB/TrEMBL;Acc:A0A178VHF4] MAAAATASASAAFPAASPLRRDERRPSRVAVSAAATTPAEAAALAAAPAPPPAPMVRVVPESLQRESGRLVAGFRERGAGADGEGFGGDGGAAGDGGPGAMEYLTSVLSSKVYDVAIESPLQLATKLSDRLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSPEQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWKSVERLGATVVLEGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDVITGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLYHGKRVMLEHVGGFADGVAVKTVGEETFRLCRELVDGIVMVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYNLKGETVVVITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKQFAELCWHLHRQRAWSNGESNGICETEDC >PAN45398 pep chromosome:PHallii_v3.1:9:6771337:6776658:-1 gene:PAHAL_9G115800 transcript:PAN45398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine dehydratase [Source:Projected from Arabidopsis thaliana (AT3G10050) UniProtKB/TrEMBL;Acc:A0A178VHF4] MAAAATASASAAFPAASPLRRDERRPSRVAVSAAATTPAEAAALAAAPAPPPAPMVRVVPESLQRESGRLVAGFRERGAGADGEGFGGDGGAAGDGGPGAMEYLTSVLSSKVYDVAIESPLQLATKLSDRLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSPEQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWKSVERLGATVVLEGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDVITGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLYHGKRVMLEHVGGFADGVAVKTVGEETFRLCRELVDGIVMVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYNLKGETVVVITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKQFAELVGRMNITEFKYRYDSNTKDALVLYSVGIYTDNELGAMVNRMESAKLRTVNLTDNDLAKDHLRYFIGGRSEVKDELVYRFIFPERPGALMKFLDAFSPRWNISLFHYRGQGEAGANVLVGIQVAPKDFDEFESRADSLGYEYMSEHNNEIYRLLLRDPNI >PAN44993 pep chromosome:PHallii_v3.1:9:4977046:4979738:1 gene:PAHAL_9G086600 transcript:PAN44993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGVVAAALVVAALAAFCGTDPLRTGSMVDFPGFEAHFVDLPEPAEMPQHADARERLRGAEVRFRGEVQGPESVAFDQRGRGPYTGVADGRVVFWDGERWAHFATVSPRWTQELCGGPKASPMDYLPNEHICGRPLGLRFDKKSGDLYIADAYFGLLKVGPEGGLATPLATEAEGVRFNFTNDLDLDEEGNVYFTDSSINYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNIQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSLYARLMSRHVKMRKFFLSLPIPAKYHYLMQIGGKLHAVIIKYSPEGEVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLMPFIAVFDLAKGS >PAN44995 pep chromosome:PHallii_v3.1:9:4977115:4979555:1 gene:PAHAL_9G086600 transcript:PAN44995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGVVAAALVVAALAAFCGTDPLRTGSMVDFPGFEAHFVDLPEPAEMPQHADARERLRGAEVRFRGEVQGPESVAFDQRGRGPYTGVADGRVVFWDGERWAHFATVSPRWTQELCGGPKASPMDYLPNEHICGRPLGLRFDKKSGDLYIADAYFGLLKVGPEGGLATPLATEAEGVRFNFTNDLDLDEEGNVYFTDSSINYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNIQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSLYARLMSRHVKMRKFFLSLPIPAKYHYLMQIGGKLHAVIIKYSPEGEVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLMPFIAVFDLAKGS >PAN44994 pep chromosome:PHallii_v3.1:9:4977048:4979738:1 gene:PAHAL_9G086600 transcript:PAN44994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGVVAAALVVAALAAFCGTDPLRTGSMVDFPGFEAHFVDLPEPAEMPQHADARERLRGAEVRFRGEVQGPESVAFDQRGRGPYTGVADGRVVFWDGERWAHFATVSPRWTQELCGGPKASPMDYLPNEHICGRPLGLRFDKKSGDLYIADAYFGLLKVGPEGGLATPLATEAEGVRFNFTNDLDLDEEGNVYFTDSSINYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNIQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSLYARLMSRHVKMRKFFLSLPIPAKYHYLMQIGGKLHAVIIKYSPEGEVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLMPFIAVFDLAKGS >PAN49302 pep chromosome:PHallii_v3.1:9:60418642:60423467:1 gene:PAHAL_9G439500 transcript:PAN49302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLGSNGHSETRIQDAENPGPVSVKSPDMSLEIQEKLPHTLTERQEELGSPVGHTVSSSFEMLSHIGPARASDDSSKEEGDHASLTNKTEVKNISENGFTRANTTPTAEVKSKEDNTNYHKNNAVAQDNIVKSEKGSEGSYRSLVDTTAPFESVKEAVTKFGGIVDWKAYRAQSLERRRVMQLELEKVQQEIPQFKEDWETAEVAKSNVMEELDRTNRLVEELKHKLERAQLEVDQAKQDSELALLRAQEMEQGIEDEASVIAQTQLTVAKGRHEKAVEELKLLKEELISMHEQYADLATERDVAIKRAKEVVSAAKDAEKQVEELTLELIASKEFLELAHGSHHEAEEHRLGAALAKEQDCLAWEKELQQAQEELDQLNKQIMSKTNLEAEVDENKRKLLSLKSELAAYMGHKLNEVAGVVQEQGSDEAKEISRSIKQALVSKRKELDEYRKKLENAKNEANLIRVIAESLRSELDREKASLATLQQSEGMASITVSSLEAELERTKQQIEMVRKKEAETREKMAELPRMLQQAAQDADDAKMVAHLAQEELRKAKEEAEQTKAAATTADIRLRAVLKEIEASKASERLAVVAAQAMQESEETGSFGDSPRGVTLPINEYHALSKRVHEAEEVANERVAAALAQIELAKESESRSLEKLGEALKVIDEKKSDLQIALERAEKANEGKLGAEQELRRWRAEHVQRRKAHEATKHADSPVSTPSRMFVEHKGYYQEEDEFLTDPKLHKSTGSVDQFVSDEKLRKKKSFLPQMSTLLSRKTQTQT >PVH32623 pep chromosome:PHallii_v3.1:9:60891458:60893755:-1 gene:PAHAL_9G445600 transcript:PVH32623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRSNQLTCVQERHPSALDRFDALAAAAKGKQVAVFLDYDGTLSPIVEDPDRAVMTDEMREAVRGVAARFPTAIVSGRCCDKVFGFVRLAELYYAGSHGMDIRGPTADPNHHGKEAKSVLCQPASEFLPVIEEVYGALVEQVEASIPGAKVENNKFCLSVHFRCVEEAAWGELFEQVRSVLKDYPGLRLTQGRKVLEVRPMIKWDKGKALEFLLDSLGYAERSDVFPIYIGDDRTDEDAFKVLRSRGQGAGILVSKFPKETSASFSLREPAEVRDFLRRLVDANT >PVH32624 pep chromosome:PHallii_v3.1:9:60891558:60894189:-1 gene:PAHAL_9G445600 transcript:PVH32624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHGVVLGPEDAVVAAAAAARHFSFPPPRKGGESCRKLAAQVDLGAAVMGSWLDSMKASSPRHRLMAPLAGAADAEHDDWMERHPSALDRFDALAAAAKGKQVAVFLDYDGTLSPIVEDPDRAVMTDEMREAVRGVAARFPTAIVSGRCCDKVFGFVRLAELYYAGSHGMDIRGPTADPNHHGKEAKSVLCQPASEFLPVIEEVYGALVEQVEASIPGAKVENNKFCLSVHFRCVEEAAWGELFEQVRSVLKDYPGLRLTQGRKVLEVRPMIKWDKGKALEFLLDSLGYAERSDVFPIYIGDDRTDEDAFKVLRSRGQGAGILVSKFPKETSASFSLREPAEVRDFLRRLVDANT >PAN51527 pep chromosome:PHallii_v3.1:9:69163438:69164088:-1 gene:PAHAL_9G569000 transcript:PAN51527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNHPVTVWLLPGAMLMLLSAAAAAAQGCAAARFQASARSFQRCTALPVLGATLYWTYHPANGTADLAFRAPQGAGGWVAWGINTDRPGSMVGSSVFVASPGAGGGAAVLTTVLESTSPSLTNGTLKFDVPVAPAAEYAGGAYTIYVTVALPGNNTVQNTVWQAGPLSGGRIAAHPMSGPNLQSTMRLDFLSGGRSVGTSNSVVHRRNLRNFQG >PVH33040 pep chromosome:PHallii_v3.1:9:68237230:68241852:-1 gene:PAHAL_9G554700 transcript:PVH33040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRLLLSPTVPNPAPAAAAARSSAISPPEMTLLGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWQPFTALLGDAPSVDVKKNVIVAITSDKGLCGGINSTSVKVSRALHKLTSGPEKESKYVILGEKGKVQLVRDSRKSIEMTVTELQKNPINYTQIAVLADDILKNVEYDALRVIFNKFHSVISFKPTMVTILSPEVAEKESEAGGKIGDLDSYEIEGGETKSEILQNLAEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >PAN45959 pep chromosome:PHallii_v3.1:9:9481255:9482939:1 gene:PAHAL_9G153900 transcript:PAN45959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAVAGALPRRQAVARASVSALVASLPLLYVSLLRPPPAALAGDTAFWFLMSNCVIAAIVATSADAGALLFRPAADDDGDGPSRDDGGGLPCASAAQPPPVAAQGGIIGCDAVVPLAVAAASQDEPHAEDVDTNGGRVQGEVMTSGVASSYSLGHALPSLIEGDDEEEEAAGSEPTAEMNHPVHQRGEEEEDTVVEPSTVKNRTVKAEAQGEDGIDVIPLATTKEGSALAEPEPGPWARVVTSTRSLPVEETAAAREGGLRRSATVGSKPAEEESEYWQLSDEELNRRVEDFIARFNREIRRQVEQEAGAF >PVH32281 pep chromosome:PHallii_v3.1:9:52965678:52966167:-1 gene:PAHAL_9G361000 transcript:PVH32281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGAEHGAAARIFCGCATLWILNSDGLTFQLWWGEGSHILMHCVSQQKLSYCIHARLVVILEVTYPLSLCNYYINERYVHI >PAN46865 pep chromosome:PHallii_v3.1:9:14388270:14392797:-1 gene:PAHAL_9G220700 transcript:PAN46865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKKSDKKAALDFAAWSFNVTTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLADLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNARGLIAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFNFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMVLAVLGMIWYGNASAKPGGKERRSVLPVRSASLKGSSEEKGGAEK >PVH31148 pep chromosome:PHallii_v3.1:9:3897840:3899002:-1 gene:PAHAL_9G067500 transcript:PVH31148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAKRRRVGPRHGTTTTADSQPPSIPADLLIEILARSDTRTIVRGAATCKPLRRASLGPAFHRLLAPRAGGFDRALLLGASCVFYDGCPRDIFFQEQRRPFSFDAELLSLYEPMVSRGCLVVVHWRGRPPSLTGHVTRVKPTGTAAVAIYPRTLLAVGDAGRSFQLLVAGKNLVMSIFSSEHGRWGATVKTKLPPNFFRTIPNRLSPPLVLGATVHWLCKEKGIIALDVSAARATVIELPPKCFSQVSCPKGMDKGLLASSADGRLSLLVAEPCVVAMWTLLAAAASWNLQVVIHRQAIGREGPGCVVRFLPFGERSGTVMLQMEAVGLVEIDLGSREARVLSDEFKKIGSPYYSRLQLCLHETDFLTLIGSITKNMKRF >PVH31523 pep chromosome:PHallii_v3.1:9:10595441:10596046:1 gene:PAHAL_9G169700 transcript:PVH31523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVAAPRPEQIGASRDHQRVLRGGAGPAAGGRDAGAGPPPPQGWPLRRLLGSRLQHCLQHHLLLQQPRPPAQARRDHAHEAEGGGGGSGEEAAEEGALPGRRGHRRRQVLVPAPPPPPRHALRRAVARGARSRSSPTTSATSGFPRRSSISAWPGPTPSSPCGSSWRTAATPAAASASPPGPPRRP >PVH31506 pep chromosome:PHallii_v3.1:9:10252545:10260400:-1 gene:PAHAL_9G164700 transcript:PVH31506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVCEVCGDIGFRHLLLCCRDCKCSAAHQYCLEKVVFDASLIEWFCYECLQKQSEITCVRSLEKVPSERPPSHAHFGSIVHQPITKRVESTRDAGPWKNRKSKSFVTIYASLNKNYSSQKKRTKNNSNMRPMGNCTNRGRIDKISAHTSAKASYSCEIIETESAKSNNGNNQQVDHENPGTLKIKQPRPLIVNCLGTSGDTDQSHMLETMEELARKSKKTPKILTAAFKGLVMNGENVVLGSNKLGSSCSTAELGNSVLEKPRGKSNSMKDSGGNHDNQTNQHASVGCKNMEVKSVKDKETVVAADQNKYGAVGSLRNSMDKSEVHGDSNSNSNIIDGLMPEREKEEVRFQLDYRAKCELPQRSMAANVPQLPTLQNDAVEKVTLYSPNDGCEEVFSCRGIKSIPSVRERSVDSVDISSISQHDTTEASESSVRFTEHQKGSSCRRGKTLEMAAASSSSEESGEDIPSENVSLESDDLRSSLGADYVLSYRTYLSEAQKGRVMTLIEEIQPEFTVYIATMRKTSVEPPGPYLGITKEYALAHFPDKSTSVTLEMPGKSKKWHPKFYKRNESRKNFLVGQWLDFVCDNHVQEGDIVLLLPTKGGRRSTFTVYVLHETATHSRGGAGFQRVGSCPGGSTTKMASEVHIEEEPTTGDHISQERDMHEIPHESLESEDSDPFQPPYFVPCKSPLSKSQKRIVEERVRAIRSEIPLCVAVMKNNNVGVAQRWMLELGSRYASVYLPTKGQTLVLQCGGKTWEAKMMFHNGRRWFINGGWPNFARGNGLRVGDICLFELKKKEKKLTMAVYIIRKEQF >PAN50450 pep chromosome:PHallii_v3.1:9:65961011:65964582:-1 gene:PAHAL_9G521000 transcript:PAN50450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAEAGERQLGRVLSFGIPDTALGLVMGYVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPERLFRRFPCLESLKLKAKPRAAMFNLISDDWGGSASPWIRQLSATFHFLKKLHLRRMIVSDDDISVLVRAKAHMLVSLKLDRCSGFSTPSLALVARSCKKLETLFLEESAIAENENDEWIRELATNNSVLETLNFFLTDLRASPEYLTLLVRNCQRLKTLKISECLMRDLTGLFLTAQTLQEFAGGSFEDPDQQAVNRNYENYYFPPSLHRLGLLYMGTNEMQILFPYSAALKKLDLQFAFLNTEDHCHIVKRCPNLETLEVRDVIGDRGLQVVAQTCKKLQRLRVERGDDEHGGLEDEQGRISQVGVMAVAQGCPELTYWAIHVSDITNAALEAVGTFSRNLNDFRLVLLDREAHITELPLDNGVRALLRGCTKLRRFAFYVRPGVLTDVGLGYVGEFSKNIRYMLLGNVGESDNGILQLSRGCPSLQKLELRGCLFSEHALAMAALQLKSLRYLWVQGYRASPTGADLMAMVRPFWNIEFIAPDQDGPCPDFRKQILAYYSLAGRRTDCPPSVTPLYPAF >PAN50924 pep chromosome:PHallii_v3.1:9:68382397:68386554:1 gene:PAHAL_9G557200 transcript:PAN50924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASFARALPLLVLLLLLTGGARGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRKLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDFIGNGNGFPSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVNIPRLIYLYLDNNNFIGRIPEGLYKHPYLKELYIEGNHFRPGTRPKGTHKVLELPDADSLA >PVH33058 pep chromosome:PHallii_v3.1:9:68382165:68386554:1 gene:PAHAL_9G557200 transcript:PVH33058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRKLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDFIGNGNGFPSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVNIPRLIYLYLDNNNFIGRIPEGLYKHPYLKELYIEGNHFRPGTRPKGTHKVLELPDADSLA >PAN50593 pep chromosome:PHallii_v3.1:9:66607976:66608859:1 gene:PAHAL_9G530700 transcript:PAN50593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFIATPALLLLAMVMLMPAASAHAQAPAPAPAPSQSLCPNGFSNIQAFETAARALVDKVEMIFLPNLMVLLDSTLAELGLLHPSVQLCVCGPNPHHSRSSMEPKIKCFGGKITL >PVH32140 pep chromosome:PHallii_v3.1:9:42472294:42473235:-1 gene:PAHAL_9G328100 transcript:PVH32140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFGTSLPMGQLPLRSFPLRSGSSSSARHRLPAVRRSPKSVVVAPGGQPPRDDAAILVDAGCSTLVDVRTFDPMINESEDYPTRLRLRNLEVGDGLPARHDVLLSNPLEDPMLLELELLSAPSSPATGMDEGSPPQVLNATAPRRWSRQQQQAFSIRRSERLAKKTQNVMMKKLGVTTDSRPPDASSFQQFKDTFSSTLILSHCEALDALLPSGMGSMATEVVAPVMVS >PVH32871 pep chromosome:PHallii_v3.1:9:65223576:65226130:-1 gene:PAHAL_9G510200 transcript:PVH32871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPKLRVIDTVQRLGVAYHFDEEIHAILNSVSMEGQDVGRMHDAHLMTSLFRLLRQNKSPTSPELLLRSLKDGTGGFKKSLREDTQGLLSLYEASHLAFGGEDILDEARVFSTEALRERLPLMRPHLRSSVDNTLAVPLHWAAPRLQARWFIDHYAGDGEADQVMLRFAELDFNNMQKLHQQELSRITRWWRNADLNKNLPFARDRLMECFYFATGVASEPGLAACREVVAKAFALIVVLDDIYDIYGTLGELAQFTDAIERWEAAASEQLPEYMKGIYLTIFNFSNEVAEHVRKTHGCDVRFLLKKAWHDLCKAFLLEAKWHYSNYKPTLQEYLDNGWVSVSGPLMLLHAFPMLNEGITQKSIDQLESHYPKLVQMVSKIFRLCNDSATHSEELKRGDAPSSIAIHMFENRAMETDARQAMRDLTMETWKIVNQDVYDDNCRYPPSFANACVNMARISHCIYQGGDGISAPDDGKRMEISELFLEPLKVAPDGGRY >PVH32649 pep chromosome:PHallii_v3.1:9:61275090:61275518:-1 gene:PAHAL_9G452000 transcript:PVH32649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLETLRLYGPALFMQRKPVTDITVGSKKLPKGVAVVIPIPIMHREEVWGDDAGGFNPLRFENGVTRAGKVPHAMLAFSMGPRSCIGQDLAMLEAKAMLALMLQKFSFTLSPGYVHAPADVFSLKPKFGLPVILRRLDGCS >PAN47369 pep chromosome:PHallii_v3.1:9:17734567:17747017:1 gene:PAHAL_9G252900 transcript:PAN47369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARIKDMVRVAKARLGGEQASGGGASSSSGFVRRESTARLGGGGTSFRRQPQPMAPTVRTVYCNDREANAPVGYKGNSVSTTKYSILTFVPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDILQGQRWESTPWKRLQVGDIVRIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALERTWDFVTPEKASGFKGEVQCEQPNNSLYTFTGNLIVDKQTIPLSPNQLLLRGCSLRNTEYIVGAVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFSMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNRFVVTILTMFTLLTLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESDTPALARTSNLNEELGQIEYIFSDKTGTLTRNLMEFFKCSIGGEMYGTGITEIEKGGAERAGIKIDDDEGKRSASAVHEKGFNFDDARIMCGAWRNEPNPEACKEFFRCLAICHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVERMGSIQDVPYEILNVLEFNSTRKRQSVVCRFPNGRMVLYCKGADNVVYERLADGNHVMKKTSREHLEQFGSAGLRTLCLAYRDLSREQYEIWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILIGCTAIEDKLQEGVPTCIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETDAIREAEDRGDPVEIARVIKDSVKQSLKSFHEEARHSLISTPERKMALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWNQSLYNVIFTALPVIIVGLFDKDVSASLSKRYPQLYKEGIRNSFFKWRVIAVWGFFAFYQSIVFFYFTASASRHGQGSSGKILGLWDVSTMAFSCVVVTVNLRLLMACNSITRWHYISVAGSIVAWFVFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWLFPYDYQIIQEQHRDEPHEYSRVQLPETSHLSPEEARSYMISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKAWDVARRASMKQQRAGKS >PVH31524 pep chromosome:PHallii_v3.1:9:10626980:10627260:1 gene:PAHAL_9G170400 transcript:PVH31524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDKAVQTGQARGQIRMHSHAHALANIHACSPEMAIGAARSTSHPCSQAQHGVGS >PAN49567 pep chromosome:PHallii_v3.1:9:61549668:61553851:-1 gene:PAHAL_9G456400 transcript:PAN49567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRGARAASAASTAVAAGASAGRCISIRLTAVAALSSGGRRRKGQRRGEAKPPSPSPLPPPPQPLPRHGETPSSKKKSGSRPSFDAKKNRPAELEQARGPRSPERGEARKGGTQQQQQEKAKAKRAVRWKCASGCGACCKLDKGPDFPTPDEIFADHPDDLQLYRSMTGDDGWCINYDKATRTCNIYQDRPSFCRVEPKVFDEFFGVPRSRFDREACSACLDNIKMVYGDDSAELSNFKRVIRDESKKMELEASKNQDKLLDT >PAN48534 pep chromosome:PHallii_v3.1:9:55965961:55968777:-1 gene:PAHAL_9G388200 transcript:PAN48534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSLPAAAALLLLSLLAGVHCREAQLDVGGADAAGAETYNASDAAVYWGPWQKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMGSCGNQPLFKDGKGCGSCYKIRCTKDKSCSGKAETVIITDMNYYPVSKYHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPATGRWTPMRESWGNVWRLDTNHRMQAPFSIRIRNESGKTLVAHNVIPANWRPNTFYRSFVQYS >PAN49476 pep chromosome:PHallii_v3.1:9:61260453:61263093:-1 gene:PAHAL_9G451800 transcript:PAN49476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAWAPLLLGALLAAALLLAAWRLVWRPRAVVRSLASQGVRGLPYRFLVGSLPEAKRLAVARRRGAPPLDAGSHDIMPFLLPPFHKWVADYGRTFLYWIGPVPAIFSVDLELIKEVLTDRTGLFAKDFMLPVLKVLFGNGLILANGDDWKRHRKVVLPAFNHERIKSMSAVTAEATEQMTRRWCDQILQNGAQRAAEIRVDRAISDLTAGIIGRVAFGTRDQEAGEVLQLLHEMQAMGAAAMLDAPILWYLPTRRNLKVRRLDKLVRTKIMAMMEARVAAKDDATCGGGYGDDLLGLMLEAWSPERQAGSDGTLTTEEVIDECKTFFGAGQETTATLLVWAMFLLSTHPQWQEKVREEVLREFSCDAGGGGGGVVVPNTDVLARLKLLHMVLLETLRLYPPIVFIQRTATSDAVLRGIKVARGTAVSIPIGMLQRDKEVWGSDADEFNPMRFKNGVSRAARDPNALLSFSLGPRACTGQSFGVVEAQIVMAMILRKFSFSLSPKYVHKPKYVVSLTPKNGMPLIVRNLDG >PAN48021 pep chromosome:PHallii_v3.1:9:50269581:50279107:1 gene:PAHAL_9G351200 transcript:PAN48021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRMLFDLNELPADADEEAAGVVPQEPAAVIPQEAAVSVSQEAAIVVSQPQKSLPVPTMHAPTLFQPGEGSQSQGILNNNAFKHASIGSGFQPFVRNRDSNNTKESRKEEDNMNSSIACSSMVANHITDNTAPKTEPRNQVSQVVEREEGEWSDADVISENAGSNVSNKDESVGTASTHMKKESQDSEPHLIKSGDVTKDDTAAECSDAEMADASKDHVLRGTTGSESTQNLECKGNQPGDDLDACNRSKDVKGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEASRAAGEKAAEKQNQQAIRERQSEMMGSERSNSADPSDQHAESNGDAEMDPQGRSKKMNAEEPSSDGYQQPVQRQASLKQSMDLKQPKGRPFSSQRTAATGQNTADQKPASKRSIISKKQTFANNMQYQDSSVERLIREVTSDKFWHNPEEAELECVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLEAVGRDAHVAVRVKIVDRRERGWYDVIVLPMHEYKWNFKEGDVAILSFPRPGSAAQSGRSSRRAVGSNEDAESECGRLVGTVRRHMPIDTRDPIGAIIHFYVGDSFDSNSESNVLRKLQPRSTWYLTGLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRSFNGPQLSAIHWAATHTAAGTSNGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGSTSTSSEAVAAGSIDELLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLHQLKGREQQLSQEIAYLQRELNMVAAAGRSQGSVGVDPDVLAQRDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDMSHGRESHRGGSSSYQNIHEAQFALRLYEHLQKFLKANGGKKVSVGIITPYKLQLKCLQREFREVMNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWAALIADAKDRKCFMDLDSIPKDFLPMKAPSNTPGRNSSNNIRNMRTGGPRPRHLDMFPEPRAGMNNRPDEDERPNSVPRNGSYRNLDDFGRPGDRPRDNMQFGVPRRPNSSNGRREV >PVH31325 pep chromosome:PHallii_v3.1:9:6673348:6673849:-1 gene:PAHAL_9G113800 transcript:PVH31325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSPAVCAVLPLLLAACFLFPAADGSRPSISSPHPEELREPAVAYYADLRDDEPHQHQGVGETGTTRPAGVEVEGAAEGRAAAGEDDDGGAAPSATASARGGDQGGGAGSRHWSAWSPTSPVPLRLARRVLAGAPGERAADSVARPSCRSSNVHVGCPPALHN >PVH30987 pep chromosome:PHallii_v3.1:9:1550545:1551438:-1 gene:PAHAL_9G027700 transcript:PVH30987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEDGSFRPRDDASSIALLRSLRSGDVDLSFVHRADVCSAAPADLVANLEPVPGTDLDQGGYNAIWLFYCPKRFKNAQGKPSGHRQRAIAGDGTCWHSEAGPKPVKGLDGATLSNLSYGRKEGGSSRSFNRMGWCMTEYDDKHGGGGSGGDHVLCKIYRSSSSLAKAGKSKPPTTQRSSGCKRKATGDEPQARPTKTSHKQACAGVDQEEFLLTDQQMAIPEPESLLPTEEEQFQDNTLFTFQELLGGPGYGEYYGVCSPDTQFTMDEMFSRSSGSCSMPTAMAPLDAGFFEGLAF >PAN44377 pep chromosome:PHallii_v3.1:9:2106940:2108363:1 gene:PAHAL_9G038300 transcript:PAN44377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTPSSPLSRATPPLSPTAGGTPSRLAVAPASPTTPQCAIPASPHTPGRGGGRAGASTPPPATPRTPRPEITLRQPTSQASQKRAPAAVRKPSRALRAIRALIRSLPLVAPAACRPASALPRRYTKPHDGHGGSDGARVTGTFYGHRRARITLAVQERPGSLPSLVLELGVPTGKLMQELSAGGHVRIALECEKKSKKASQPDGNGGGGNVSLLEEAMWTAYVNGRRVGYAVRREASEGDLAVMQLLSTVSVGAGVLPGDVVDAPAGAEADGEVAYMRAGFDRVIGSKDSESFYMVNPEGGAGGGTELSIFLVRV >PAN45641 pep chromosome:PHallii_v3.1:9:7966849:7972425:-1 gene:PAHAL_9G132200 transcript:PAN45641 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MRPFLPSLLLPTRALTLPPSPPHFHRRRRFLPAPLAASSRRPYRRRRDAPTQQTPRAPPPPPSRQQQQQPRPQQRAANAAAPGARRQEELEEAIYDFMRRSAKPGAFPTREELVAAGRADLAAAVASSGGWLSLGWSSAGGAARSSGGGHPDYPPETGAYHHDDLAPASADDSEWEEEKVSPSGRQPEMDETKEVTFKTGIEGMLTRLQRDRERARPPPRSSARDEGPSDNGALAGNSSAPSPSVAGDRHKRSTPENGSVHGSHPQNGMLDGNNTLQSSRNDAWKAWTLGKGGLSDFEAAEVLPTGSGGLSRYDELDTALAQNDFHRSSNGVAVSDYPSDGVDSERDEIHSRLQSLELDLSAALKTLRSRFDKVLSNMSNDNGATVVGDISDDWEFEETKIMQAQEELRSIRAKIAVLEGKMALEIIERNKIIEDKQRRLGEVEKALSELRTVCIMWAKPASEVLLVGSFDGWTSQRKLERSSERGMFSLNLRLYPGRYEIKFIVDGVWKNDPLRPTVYNNGHENNLLVVT >PAN49657 pep chromosome:PHallii_v3.1:9:62010416:62012927:1 gene:PAHAL_9G464200 transcript:PAN49657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARSLILGHLRLAAAPSSAASLRPAAALQEALWGRRWMSSEEAKGSFLDKSEVTDRIIKVVRNFQKIDDPSKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSVKVAVEFIASHPQAK >PAN51865 pep chromosome:PHallii_v3.1:9:72584900:72587996:-1 gene:PAHAL_9G625500 transcript:PAN51865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVILLLATFLPYTALAAFSPAFTFFLACGADSSVSFPRDSPARTFTKDDPFLTKASSSAQALTEANSNSAASPLYAAARASSSAFSYKLPIPDSAGQAAFLVLRLHFFPFAASQSGVSIESASFAVSVRDAYTVLPSFSPPSAGVVKEFFIPAGGSGDFRVTFTPDTGSVAFVNAVELFPAPSELLWNSSVTQVGAAAKTDMTQWQQEALETVYRLNVGGPKVTKENDTLWRTWLPDDPFLFGPAGISMVNTTSTQIEYAAYTSEVAPDIIYKTQRAPNASAGRLSLQPPLFNVTWTFLAEPRSSYLVRLHFCDYELVSSVIGAGIMFNVFIGPDIGTPDLMPTKTIATKANQAFYLDYAATAPITGNLTVSIGKSVKSSADEGGFLNGVEIMQLRPSDSSLKQTGSRASKKKTVLIVTLLAVLGASVLACAALCLIVLRRRRRHAPRPAPEVEKEASTLPWSPYTQDSSSGWPVEPSSRSGGEGTTGAMQRVGTQLHIPLEELKAATDNFHERNLIGVGGFGNVYRGALRDGTRVAVKRATRASKQGLPEFQTEIVVLSRIRHRHLVSLIGYCNEQAEMILVYEYMEKGTLRSQLYGSDSDSGEEAAPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDGFIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQALERDQINLAEWAVACQRRGQLDKVADPRILGQVNDNSLRKFAETAGRCLADYGQERPSMGDVLWNLEYCLQLQETHVRRDAFEDSAGVGAQFPDDVVVPRWVPSSSTSSFLTTGDPDETAVSVTDVGAANSKVFSQLSSGEGR >PAN51758 pep chromosome:PHallii_v3.1:9:72157576:72162348:1 gene:PAHAL_9G618500 transcript:PAN51758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQYLDFSHASTSRKWGHKRQGDGFEAPRNSMEFALEASHSYGVFQEDVPQYSCNTRQYPKSGLSHSSSPIKKLIHEDISFRTNEGHKRPGVIARLMGMDSPPLNATTESISRSEERRSEIAPRSVTRSRDPSEIISTKHVSFVQHNKDSIKHAPKQEIRAYDDERDLFGQLSKRNNEWSKPQLREHPQEEELQKFKKDFEAWQASRAWEQSRSFELESNLDDDDDKCTDIVPYRHQHHKGKDASSGNRYMHANDDLHRRRSKESSTSISGSRTFSLTSADACSTRLPLSRFYHEEERSLSPTRIVVLKPCPELSMDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGSMASDDKANRWAAGGDIPADPKQIARNIANQIRENVTRDLHPALVRSESTRSYRSDVPFNGQSQMDYIGRDARRQLSDRLKNVLRREPDAEPPFSHRRRAASTSFDEEPRPKPRHDMTSRKGKIRSKEEKKCAIGSDVRSFRYGSNKTPTQLDSEPVSPRNLMRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGHGSRPSSEERKGRKDAFNIKGKVSNLRQNLGLRAKLFGKKFHASDESFPDDLPPFGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLRTAAKDMSSSACELGVLSEQVYTEEEQAETSLVVLDEQDDDMDELDHPIKSFIRAVLVIAGMYGTRQNPSNLSSDCQAKPIPKRVLEEVESSSSTLAASSDGGALTEVDRRLLFDLINEALPGVVRASTTLCTFDKCYATAPRRASSGKKLLEALWKSVQVWLEPPSDNMTSSSASVDVLIGRDLSMSAWNGEFRDDTDELGGEVEEEMLDELVDEMVWDVLLNVGD >PVH33114 pep chromosome:PHallii_v3.1:9:69325688:69327000:-1 gene:PAHAL_9G572100 transcript:PVH33114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMRPIRPLTVRPVEKKKITASPERASWELSPSLPRNLRRYSHFFHHRRRYRHFSHHRRFAERRAVAQPISHQYAVDPESFSS >PAN49144 pep chromosome:PHallii_v3.1:9:59494301:59496550:1 gene:PAHAL_9G429300 transcript:PAN49144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTLTSSTQDYLLFLFPAATTFLSPLLAVLLLAVSLVWLFPGGPAWAALVISRRRATPPPPPGAPGVVTALAGPAAHRALASLSRSLPGGAALSAFSVGLTRLVVASRPDTARELLSSAAFADRPVKDAARELLFHRAMGFAPSGDYWRALRRISSAYLFSPRSVAASAPRRAAIGERMLRNLSTAGGGKDVVMRCVLHAASLDHVMATVFGARYDPASPEGVELEEMVKEGYDLLGLFNWGDHLPLLKWLDMQGVRRRCRSLVRRVNVFVARIIEEHRQKKSGANGGETAGDFVDVLLGLEGEEKLSDSDMIAVLWEMIFRGTDTVAILLEWVMARMVLHRNIQSKAQAELDAVVGRGGRAVSDADVARLPYLQCIVKETLRVHPPGPLLSWARLAVHDAVVGGHLVPAGTTAMVNMWAIARDPAVWPEPSAFRPERFEEEDVSVLGGDLRLAPFGAGRRVCPGKTMALATVHLWLAQLLHRFEWAPADGPGGGVHLSERLGMSLEMEKPLVCKVTPRW >PAN51276 pep chromosome:PHallii_v3.1:9:69997540:70000452:1 gene:PAHAL_9G582600 transcript:PAN51276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRTLTTEEIDQHLTAISERD >PAN49500 pep chromosome:PHallii_v3.1:9:61377023:61382141:-1 gene:PAHAL_9G453700 transcript:PAN49500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAELCTDPVVLSCAFLCLLLHLALRSSSGRGRGRLPPGPPGLPILGALPLVGPAPHAGLAALARRYGPIMYLRMGTAGVVVASSPAAARTFLKALDARYANRPAVASAADITYGCQNMVFANYGPRWKLMRKLASVHLLGARALADWACVRREEAGHLLRGVAEAAAAGRPVVVPEVLVCALANIVGQITVSRRVFDAQGDESNSYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRIHCQFDGLITKLLAEHAATAADRARRGRQDFVDRLRASMDAGADDESGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMLKNPSVMARAQEELDRVVGRGRRLEESDLPALPYLQAVCKEAMRLHPSTPLSLPHFSFDACDDVEGYRVPANTRLLVNIWAIGRDPATWEAPLEFRPERFLPGGAAEKVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHAFEWRLPDGEEKLDMGETFGLALPKAVPLRAVVTPRLVPEAYA >PAN50010 pep chromosome:PHallii_v3.1:9:63974923:63975315:-1 gene:PAHAL_9G491800 transcript:PAN50010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVTTMAAIAAFPAPRSLQQAPAPPQLRPNAVSFVARPVRAHRRLVAVAASSPATPPDLANKVSESIKQAQETCADDPVSGECVAAWDEVEELSAAASHARDRQKGADPLEEYCKDNPETDECRMYED >PAN44423 pep chromosome:PHallii_v3.1:9:2312723:2314813:-1 gene:PAHAL_9G041900 transcript:PAN44423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPWGMLLLLVLSVSSSSSSSAAVATLAVSAPPPAPAPRHAQDAEGLLINGNFETAPRKLNKTLIVGRHSLPGWTLRGHVEYVSGGPQPTGMFFAVPHGVHALRLGSRASASQNVTVRPGALYALTFAATRTCAQDENLRIAVVPSLSAPADIAVRTLYSGASADTWAWGFRASTPVAQVTFANPGVQEDAACGPLLDAVAIKELPTPYPTKDNLIKNPGFEIGPQVLKNSSVGVLLPPKQKDTTSPLPGWIIESLKAVRFIDAGHFSVPAGQYAVELVAGRESAIAQVIRTVPSRAYNLSFAVGDAQNGCHGSMLVEAFAGNVTQKVPFESAGKGAFKAASFRFVASGARTRLTFYSSYYHTKVSDGVSLCGPVLDQVKVVPLPVKA >PAN48519 pep chromosome:PHallii_v3.1:9:55827866:55829722:-1 gene:PAHAL_9G387300 transcript:PAN48519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHADAGRSLLPVPNVQALAQTYNGGSSDEQIPERYIRVEEAAEEVIGGRDISSAIPIIDLNKLLDPLSSKEERAKLGSACNQWGFFQLINHGISDEVIHNFRNDMAEFFKQPLEAKKMYSQIPGNLEGYGQHFVVSENQKLDWADMFFLILRPTDSRDTRFWPSHPPSFRNSIDRYSSETAKLASCLLKFLAMDMGAEPESLLEIFRGQPQNTRMTYYPPCRQADKVVGLSPHTDATSLTLLLQANGVQGLQIRKDGKWVAVNSLDGAFIVNVGDILEILSNGRYKSVEHRAVVHPTKERMSAAVFHQPCQDYATVGPLPELVKKDGEALYGSISYVDFIKGYFAAKLDGRSYLESLKS >PVH30918 pep chromosome:PHallii_v3.1:9:770636:774514:1 gene:PAHAL_9G012600 transcript:PVH30918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAAAVPAATAAEEEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLRVGLALLNDASARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSTRVARLEALLFEAKGEWAEAERAYALILENNPFDQIVHKRKIAIAKAQGDMSLAVDYLNKYLELFMADHDAWRELAETYVSLQMYKQAAFCYEELILAHPTIPLYHIAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCTSAINQLTKGRNKEEEGSELQSLAAEALLENYKQKAPSKVPLISSMLKNMKLS >PAN45649 pep chromosome:PHallii_v3.1:9:8018953:8024004:-1 gene:PAHAL_9G132900 transcript:PAN45649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSERRGSARQAGPLSAPSQSREDSVPLGARSRSSRHAPYRRPPRRPRPRTRPSEHHPPPAAGRTRPSTESRRPTAGMMACPAATTARIGCLWRSEGIAGVLPGSASPRRSRPARDTRARASELQQAPRPPAATAVPAHKVTVHDRQRGVVHEFVVPEDQYILHTAEAQDIRLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDQGYALLCVGFPSGDVEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMGDE >PAN50848 pep chromosome:PHallii_v3.1:9:68030771:68033382:1 gene:PAHAL_9G551300 transcript:PAN50848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPYLLLLLLLVHPAAAPASSALPPSQPPPPRPPQKVQVWPKPVTVSWPTAVYAPLAPSFSIRASPSHPSLRHAIAYYSRLIRSERYAPIVPPANYTLSRVPIRLLALSVADPVVPLGPGVDESYTLSVPPNTGSADISSATIWGAIRGLETFSQLSWAGGGPDSGGQPIVPCDIEISDHPLFTHRGILLDTARNYYPVRDILRTIRAMAFNKLNVFHWHITDSQSFPLVLPSVPNLANFGSYSPAMRYTDQDVRRVVRFAEAFGIRVIPEIDMPGHTGSWAGAYPEIVTCADKFWAPAAKPALAAEPGTGQLNPLNPKTYRVAQDVLRDLAALFPDPYLHGGADEVNTACWEDDPVVRRFLADGGSHDRLLELFVNATRPFLVHELNRTVVYWEDVLLGPKVSVGQTVLPRDTTVLQTWNNGAENTKRIVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDVQDKEREGTPLFNDPGGTGGSWCAPFKTWQRIYDYDILHGLTEDEATRVLGGEVALWSEQSDAVVLDARLWPRAAAAAETLWSGNKGANGRKRYANATARLNEWRYRMVARGIRAEPIQPLWCPLHPRMCHLSE >PAN48706 pep chromosome:PHallii_v3.1:9:57001958:57002637:-1 gene:PAHAL_9G400300 transcript:PAN48706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQRKFSAAVVVLLLVMAAEMGPVQAGECLSQSMTFKGPCFNSNRCNDKCLKESSAYSGGKCRGINLICWCITPCAAAPLAPEASPARRTGLGGVGASLE >PAN51153 pep chromosome:PHallii_v3.1:9:69444073:69446376:1 gene:PAHAL_9G574300 transcript:PAN51153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSPKRIRCKAAVCRGAGEPLAIEEILVDPPKAYEVRVKIICTSLCHTDISFWQAKVSPVFPRILGHEAYGVVESVGEHVEGFAAGDAVVPTILGQCDHCTSCTSETSNLCDAVPPTMGPGMRRDGTGRFWDEQGKPLHDLLAVSTFSEYTVMDMNQLVKLDPAVPPKLGCLISCGGATGVGAAWRLAMLKPGSSVAIFGLGSVGLAVAQGAKMCGASKIIGVDLNPDKEKFGKAFGVTDFVNPSQLDKNKSVSGVISEMSGGGVDCSFECIGVPSVMAEAFRSTKKGNGKTIVLGLGNDKDEVRLPAQDLLYGKCIMGSSLGGLKPKTHIPILAEKCMNKELELDGLATHEVGMQEINKAFDLLLQGKSLRCIIWMGK >PAN51851 pep chromosome:PHallii_v3.1:9:72538498:72545563:-1 gene:PAHAL_9G624700 transcript:PAN51851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATPRPSLILPRASSHSHSQPSAGGLTSDRVTASNRRRGDFVFVVNPSGANGRTGKQWKQLLPHLRTRLADQCNICECITSGPSHAIDVTREAIKDGADAVIAVGGDGTLHEVVNGFFWKGSPVRALDRGPDHSTALGLIPLGTGSDFARTFGWTNDPRDAIDRIVRGVKSKLDIGVMESPNREPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRAFWGHNNRDMRIKVTGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPFSGNLEVVILQHFKWYDFLLKLHRLYGGTHLSVNGVSSIRVQSIEVAEVTASGGIFVQSDGEHFGFLPTKFSVLPGAVDFFC >PVH32631 pep chromosome:PHallii_v3.1:9:60941075:60942554:1 gene:PAHAL_9G446500 transcript:PVH32631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIGCCEEENALMLVTEGQFELLLDSAENQLWELCQTGPEYVANGNLSDLLHDDKLPIPLIWILRIAIECAEALAYMHSHMYTQVIHGDVKPGNILLDSNFHARLSDFGISRLANTDKTLHTKNVIGSIGYMDPLFALDGRLTVTYDVYSFGVVLLELIARKKATTVVDNVNIVYAFTSALARGSGGVRGLFDAEIASKDNMKVVEGIAKIAGECLTMEREKRPEMIDVVERLRVLRQKASRQDQASQRSGLFSWVRKNKPAPPASANIPANILPSVSDDGFSSLMYRGKIYGVGTKVVIIKRFRLRGDRWNTDAEEFYNEIQMMSKHRQCPHLVPLLGYCTEKDKMILVYDYMDRGSLFAHLGTQKPPLTWKRRIEICIAAARGLC >PAN51130 pep chromosome:PHallii_v3.1:9:69339997:69343053:1 gene:PAHAL_9G572500 transcript:PAN51130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIDWHGRSAALPAALYEMADTKELVRALAAPPVQHQQPPTISFAYPCSGGVEQQRATSSLLGAGAGGLTPAQVLQVQSQFQFLRRPAAVATQPMKRQAPAPLPARPVSKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDSAAFRLRGDSARLNFPDLRRGGAHLGPPLHAAVDAKLHAICNGTDVAPQNQSQSQSNTTVATAATAPSTFSSASPDVKSEPGCSGSESSSSADGDVSSTGSSDVVPEMQLLDFSEAPWDESESFHLRKYPSLEIDWDSILS >PAN49436 pep chromosome:PHallii_v3.1:9:61055508:61063052:-1 gene:PAHAL_9G449100 transcript:PAN49436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNKSPGETAGNVLLVTIEGVQASDVTIDVIHMVFSAFGYVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPSYLLPQHVTSCCLRISFSAHKDLNIKFQSNRSRDYNNPYLPINYSAMDGTLQPAVGADGRKVEAQGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHGDKSRDYTMPPGVIQGVPQPPGAAATSTGWQGNIQGAGAYAPPGVPAQSHNANGQVPSWNSGNSGYPPAPGAYPGQMYPSPAPYAASGGFPNTPPAAPPHYAASGGFPTHPAAPPHELHTSQQMPPQHGNQSGPSGAPATSQPPPPPSYYH >PAN49271 pep chromosome:PHallii_v3.1:9:60328885:60329742:-1 gene:PAHAL_9G438100 transcript:PAN49271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGAFTVAACATTAAAAAVLALTSSSSFDNDEARSGATVAPEAGAVSSPAPSRECAVCLSELPAGAEEGRSGPGPAVRALPACGHAFHADCIGRWLPLRPKCPLCRRPVLPNDGQQADAGAMVASATPAPPWARPASGIACGFGDGRVVWTRSPSARQQSD >PVH32482 pep chromosome:PHallii_v3.1:9:57673224:57673625:1 gene:PAHAL_9G408900 transcript:PVH32482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPTERGDTTRPSTWRMKPTRGPREAVTCRACLQRWDRGGLRPGEVVNVQTRTAAAGVGGSKRLAGPGQPHTVLAAASDPVPIPSSTSGFPKSNPPPPPWSWSPPTTTLPPPETPPPISISGHTAARREID >PAN50876 pep chromosome:PHallii_v3.1:9:68177428:68181694:-1 gene:PAHAL_9G553800 transcript:PAN50876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDLVSQSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPMVQPLSPTDHPLGPFQCQGPCNDCRRNQPMPLPSPTSTEASPRMPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTVSTATSNSAPEPRAVPAPQERAKEQVIESFDIFKQIERSI >PVH33039 pep chromosome:PHallii_v3.1:9:68177697:68181482:-1 gene:PAHAL_9G553800 transcript:PVH33039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDLVSQSEHLCYVRCTYCNTVLALQVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPMVQPLSPTDHPLGPFQCQGPCNDCRRNQPMPLPSPTSTEASPRMPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTVSTATSNSAPEPRAVPAPQERAKEQVIESFDIFKQIERSI >PAN47638 pep chromosome:PHallii_v3.1:9:21424121:21424538:1 gene:PAHAL_9G266300 transcript:PAN47638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQTKPAPDAAAHIAALRCARASLLLASLRRPRAPPPTQDRRLSSSSSSSAAAALQECALRSEGILHHGEVAAARREAAGHARIAGSELLFVLAVAPAFLLLLLLLPLL >PAN44388 pep chromosome:PHallii_v3.1:9:2159246:2163497:1 gene:PAHAL_9G038900 transcript:PAN44388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGEASVGAFRIGPSTLLGRGVALRVLLFSSLWRLRARAHAAISRVRSATVPVLASWLHLRNTHGVLLMVVLFALFLRKLSGPRSRAALARRRRLCEKVMRHAATYEEWARAAKVLDKMSEQVHEADFYDEELIRSRLEELRRRREDGSLRDVAFCMRGDLMRNLGNMCNPELHKGRLEVPKLIKEYIDEVSTQLKMVCEFDTDELLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIESFFTDSLQTLQFFDRIGGIFAVMRRVTTYGALHDISQMQRLLRDLTSNLTFQEAYDMTGRVLGITVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGNIVPFHAPFSTDPELGPGASKRRWRDGSLEMDLPMMRLKELFNVNHFIVSQTNPHISPLLRMKELVRAYGGRFAGKLARLAEMEVKYRCNQILEMGLPMGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYAELQLAANQGRRSTWEKLSAIRANCAIELALDESIAVLNHKRRLKRSIERTAAASQGHSNYVRLKTPRRVPSWSCISRENSSESLSEEISAVATSSTQQGAVLVVGTPNISHHVRRNSYDGSESESETIDLNSWTRSGGPLMRTASADKFISFIHNLEIDTEFSRACTVEGDTTGILSESTFPKDPWPNNSYRVATPDRCTEVSETESCHTVNTRASQASTPTSIAVSEGELLQPESTTNSILLNIVKGDALHAHHNNVTELAESSLAEAYVEPCDTISGSESAEDNKDAVDSSNPSLDNADLVTSHQSSVDE >PAN51365 pep chromosome:PHallii_v3.1:9:70328981:70331725:1 gene:PAHAL_9G589000 transcript:PAN51365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRKPMRRRRTDRQPPPPPPPQSFGATARPTSPRSSASAAAVAADLDELLLTAPPPSASEPRSFSYAVKQQCWEKAERVPGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTEISKSELIQKSAYCRVSGRDMDLVELSAYGNVRRGPDSGGCKIQ >PVH32077 pep chromosome:PHallii_v3.1:9:34609170:34610708:-1 gene:PAHAL_9G306200 transcript:PVH32077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKDFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKNKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEINTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN49330 pep chromosome:PHallii_v3.1:9:60618131:60621109:-1 gene:PAHAL_9G441600 transcript:PAN49330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYVFTKDEFHVLILGVDKAGKTTLLEKLKSIYLKGEGLPPDRVVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYEEAHAIMYVIDAATASSFEDAKSALAKVICHEHLRGAPLLIVANKQDLPGVINDEELSEFLNLKELDERPYMFQAVSAYDGRGIKSGIDWLVEQIEKCKRTETLRARAGVAGKI >PAN50162 pep chromosome:PHallii_v3.1:9:64756468:64758944:-1 gene:PAHAL_9G502800 transcript:PAN50162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFSGRSGVLAAIAFSSSVLLLHALSLPAASARGAGPAARHRRDATPGPASCDVFSGSWVLGDGPAAYTGYSCPLIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGAEFLTRMKGKTVMFVGDSLGRNQWESLVCLLHAAEPQSPAQLVSSDPLYNYKFLEYEVTVSFYRAPYLVDIDAVQGKRVLMLDDISGNAEAWRGADVLSFNSGHWWTHTGAMQGWDYMGESGRYYVDMDRTVAFQRGLTTWANWVDLNVDPAKTRVFFQSMSPTHYSSKEWPNPVSKNCYGETAPVVGLNSTAADGQQASSGQDQVIQAVLRSMRSPVRLLDITALSAMRKDAHPSVYSGDLTPAQRANPGGSVDCSHWCLPGLPDTWNQLFYTLLFYK >PVH32915 pep chromosome:PHallii_v3.1:9:66176428:66180500:-1 gene:PAHAL_9G524200 transcript:PVH32915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEVDEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPQAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSLVDEGKYEEQFERSDEFQQQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVCFWRDFMKTGKKGRKGEIKPPKLKTEDPNKSYVQRPVKRN >PAN50492 pep chromosome:PHallii_v3.1:9:66176511:66180496:-1 gene:PAHAL_9G524200 transcript:PAN50492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEVDEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPQAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSLVDEGKYEEQFERSDEFQQQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVSSWRDFMKTGKKGRKGEIKPPKLKTEDPNKSYVQRPVKRN >PAN47700 pep chromosome:PHallii_v3.1:9:43469964:43471931:1 gene:PAHAL_9G332300 transcript:PAN47700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAATLFRCGGYYPSCKPNAPAGGYRPPTKAAAAAAVAGNGNGVLAINRSSRSTATASRESMAGRRQEMDIVDEEEEWRSYLAPERLEVLREIEPWVEENVLPLLKPVEASWQPSDLLPDPAALGSDGFHAACLELRKRAAGVPDELLVCLVANMVTEEALPMYPSGLNRLEVVRDTTGADATAWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVERTVQRLIRDGMTVHAPASPFHGFAYVAFQERATAIAHGNTARLVGARGAGDAALARICGTVAADEKRHEAAYTRIMGKLFEADPDAAVRAMAYMMRRRIDMPTAFINDGRHSSGDFYARFIAIAEKAGTYTLSDYRCILEHLMRQWRVEELTAGLSSDGRHAQDYLCALPHKIKRMEEKAHDRAVKAKKKPTLIPINWIFDRPISVVVP >PAN49111 pep chromosome:PHallii_v3.1:9:59333203:59336715:-1 gene:PAHAL_9G427000 transcript:PAN49111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEFPDEVLKSVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICSRKWPSICKQPPSDANYQRLYLTFSQPQKMHNLPVPKLTFGDLVFYMDMWLEGSLIFSQAISGCTFRAGLQCAPRGIPDILAAHLKSVDCILMLEVEPKLSIPMGPAITVSVLAHRKDSNKMACIINKSTFDYIDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGANFIEVFGIELDFCDAARSETEILWLLDMLDWK >PAN49109 pep chromosome:PHallii_v3.1:9:59333271:59336715:-1 gene:PAHAL_9G427000 transcript:PAN49109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEFPDEVLKSVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICSRKWPSICKQPPSDANYQRLYLTFSQPQKMHNLPVPKLTFGDLVFYMDMWLEGSLIFSQAISGCTFRAGLQCAPRGIPDILAAHLKSVDCILMLEVEPKLSIPMGPAITVSVLAHRKDSNKMACIINKSTFDYIDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGANFIEVFGIELDFCDAARSETEILWLLDMLDWK >PAN49110 pep chromosome:PHallii_v3.1:9:59333202:59336716:-1 gene:PAHAL_9G427000 transcript:PAN49110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEFPDEVLKSVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICSRKWPSICKQPPSDANYQRLYLTFSQPQKMHNLPVPKLTFGDLVFYMDMWLEGSLIFSQAISGCTFRAGLQCAPRGIPDILAAHLKSVDCILMLEVEPKLSIPMGPAITVSVLAHRKDSNKMACIINKSTFDYIDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGANFIEVFGIELDFCDAARSETEILWLLDMLDWK >PVH32556 pep chromosome:PHallii_v3.1:9:59333201:59336717:-1 gene:PAHAL_9G427000 transcript:PVH32556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEFPDEVLKSVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICSRKWPSICKQPPSDANYQRLYLTFSQPQKMHNLPVPKLTFGDLVFYMDMWLEGSLIFSQAISGCTFRAGLQCAPRGIPDILAAHLKSVDCILMLEVEPKLSIPMGPAITVSVLAHRKDSNKMACIINKSTFDYIDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGANFIEVFGIELDFCDAARSETEILWLLDMLDWK >PAN46801 pep chromosome:PHallii_v3.1:9:13937932:13939518:1 gene:PAHAL_9G215300 transcript:PAN46801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLRRRHAAHPSAAAASTRLLRAFSALPDVDPSASPAATRTPAAPTPTSAVLDLQLAVRAEADPARIHSLVASALSNHDLPRLHTSRHLFSLATSRLTRLRRPDLAASLLHQLLASAPPSPGLLARALSLFPGPDDALRAFSASPPAARSDVSLSALLSALLRAGRLDDLKSTFASAESSLGVAPARASHNVLLHALVKNSELAAARKLLDEMANKKLKHRPAPDIISYNTVLAGYSVQGDEEGFEKLLKEISASKLEPNVVTYNCRIQWFAKKGETVKGEELLDVMESKEVAPNYLTYNALVQGYCKEGNVGPAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKEKLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKSGRSEEARNVVAKMDLLVKGDAKLAWGKVVGELSLEDGAPSSNP >PAN51469 pep chromosome:PHallii_v3.1:9:70780183:70786405:1 gene:PAHAL_9G596800 transcript:PAN51469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTYLRNYSDDEFSVAGEKPEVEFMDYQNDDTIQDYASEDGPVVVTVPFPFEDGKPKSVLVGETSADTIIIENTSPEPVNLWSVRIFSSNPEDSYVLSMMRPPLNDADEEAKQAFLGLTSVEDRTLMPGQTLTIWLSCMPKDIGLHTSIVHVDIGEEKIERVAFLLADDNISKALFSDKPYSRRRGQNKKFEPAPIVPGCRPTRQHAQGFKYKLPQFAIPADIRELIESKQRPDVLSEELSMINYAQFFSTLLVMEELNLEEEMRAYDMEGVSMRRRGMNFLSLEVPGLAERRPSLVQGDFIVARYARNDAQPYQGFIHKVEADEIFLKFDNQFHFNHHDRNQYHVSFTYNRVNMRRLYKSIHEAERLGPGILFPCQSPCRAVKRCPFKPLNPHINTEQADAVAMILGCRGVPPYVIYGPPGTGKTMTIIEAILQLYTAKKTANILICAASNAAADHVLEKLLLASYLIRPSDIFRLNAPSRQYEDVNADFIRFCFFEDRVFKCPPSQALMRYKIIISTYMSSSLLQAEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPNQLGPVVFCKQADKEGLGISYLQRLFFDFEQYRTGNPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEVPSVYNCIGLPNKSFPVLFVGIQGCDEREGTNPSWFNRIEVSKVVSVIRNLTKGGAVSEVDIGVITPYRQQVAKIKKALEAFEMSDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDKFFNLGFLSNYQRFNVAITRAKSLLVIVGNPHIVTKDRHWDRLLRYCADNGSYQGCPLPPPESHSYSDETKYNKDQGGPAGWGYNQEEEATNYNYNQEPSDFGLRRGSGAQSAATNNGTKWSEELPEDENLASNNAEVDPEEMPKQHIEEQVEQGDVQPNKCWTSDDQVHDECPAKFTFPPGWCDVSAIPASGWDD >PVH32666 pep chromosome:PHallii_v3.1:9:61512024:61514516:1 gene:PAHAL_9G455800 transcript:PVH32666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLCGGRPTTKSGQLHTRRGQQTAPPLAPPPHRRRRQGTAMPPPRFLIARRLCSASASDPAGTLTPSAVSDAADLAAAAARRAPCFESRLLSQVPSGVLSDPDFARLTLSRLLPAPNPSLRFLRFLSSHLPAPSHAPDAAPAGASPPLPGVDQLLRRLPPQLAADAADLLASHLGIHPSLRTLNAASRTALRAARPDLVFRLFSAFSSSPDYPGDATTVGCLARAYAAQGRPLDGLHLLRDAARRGSPPPADAAADLAGAFAADGNFAKVSATLHLMIAAGCTPNNVVYQRIIHGLFGRGMGEEALRVFREIKQRGYDINRIMYTTVIHGLFKMRRTREAQQMWDEMVDLGFEPNEYAYCSLVSYYFKAGDFEKARKVYDEMLEKGLKQTTVTCNILIKGFCVNERVYEALEVFEEMSIKGIEHDVITYNTLIRGLCKVGMLALAMRMYEWLASSGLEPTVSTFSPLIATMCKEGQVDAAVDLIKSMRAKGLEPLVWSNDSIINGFCKIGRSDEGMAWLADISFGVW >PAN51332 pep chromosome:PHallii_v3.1:9:70186316:70190760:-1 gene:PAHAL_9G586100 transcript:PAN51332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRQMELHYINTGFPYTVTESFMDFFEGLTYAHADFALTDGFQDQGNPYWAMMHTNSYKYGYSAPGNYYSYAHVYDIDDYMHRADGGRRIWDNTTPVNNVDSANVVLQGGEASRTTANSTTEECIQQAHQSPGSPQVVWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPKRQ >PAN48537 pep chromosome:PHallii_v3.1:9:56002322:56004105:1 gene:PAHAL_9G388500 transcript:PAN48537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIAGSSKVVVALIFSLLVSYGSCARPPPVNLNASAFTADSNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSSMTSCGNEPLFKDGKGCGSCYQIRCTNHAACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGRNDELRHAGIIDIQFKRVPCDYPGQKVTFHVEEGSNPVYFAVLVEFEDGDGDAVQVDLMEASSGSWTPMRESWGSIWRLDSNHRLQAPFSLRITNESGKQLVASNVIPANWVPNTYYRSIVQY >PAN51554 pep chromosome:PHallii_v3.1:9:71132146:71134156:1 gene:PAHAL_9G602600 transcript:PAN51554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQLKVLATLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRIYYTEPGSKDPGTLPPSVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASSLSFGYTAKGVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTIVAIIVSAAFRNAYPAPSYAENAAASLVPQADYVWRVILMFGTIPAALTYYWRMKMPETARYTALIARNTKQAAADMTKVLQKEIQDEDEEVQRQVVAGDNWGLFSSQFVRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPARTMNAIEEVFRISRAQALIALCGTIPGYWFTVALIDIMGRFWIQLMGFLMMTVFMIALAVPYEHWTQPAHHTGFVVLYGLTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPKKPDHGYTPGIGIRNTLFLLAGTNFLGMIMSLLVPESKGMSLEEIAKENISDDATEAPARV >PAN50146 pep chromosome:PHallii_v3.1:9:64668537:64671697:1 gene:PAHAL_9G501600 transcript:PAN50146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHIGSVDGAALAAANGTVGCPASAPGCPMASTPAQPAAALTAGEASLGRHLARRLVQVGVGDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLSPRMSNKMGLEAAVEATVEFLNKAVKPVLVGGAKLRVAKAGKAFVDLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEFLSELAKRVKKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHIQKMITGDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGANDKRVIACIGDGSFQVTAQDVSTMLRCQQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTAAIETALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >PAN50557 pep chromosome:PHallii_v3.1:9:66419813:66425213:1 gene:PAHAL_9G527500 transcript:PAN50557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNGLARIETHGRKKHENGVCHDDSAAPVRAQTIDELHSLQRKRSAPTTPIKDGAASPFAAALSEEERHRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPPTPQKHHQHHHPAAPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPRRGILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKAAYKETLLRLAGLFKKNFEVFASYKIGDDSSLTDEILAAGPNF >PVH30873 pep chromosome:PHallii_v3.1:9:118861:121268:1 gene:PAHAL_9G001700 transcript:PVH30873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALRFPRASSSPPRGSGRGFPFSPPSGVAGESTQGKEGAAAPPPPPRRRRPSASAPRHRRPASPSTAAPAQRHLDLGRALCIHTAPPPCLPRRHMTTTFAPLQLQQRKVLLQPPSLWSLKGFQQIQVESASRVFQVLFEPIKKRGTLFLEELGLPSDVLYPSMIATIVNFCMN >PAN47777 pep chromosome:PHallii_v3.1:9:49436889:49437224:-1 gene:PAHAL_9G348500 transcript:PAN47777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYDIEASVVHFNFPELVLSFSHVNCPENFYVCLTWMTISGDTLQSLILKDKDIHLLNILKLNKFHVLYFLVHEISNASTDLIEINTMHVRFFLAQYRHGQGSKTGCLRYD >PAN51661 pep chromosome:PHallii_v3.1:9:71647878:71650870:1 gene:PAHAL_9G610800 transcript:PAN51661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRARRFQLLAAAAAVFLLLHAPLLVSCADLDALIYKGCANQSFPGGALPPTVAALSSALSAQAGSAKFYKTSSPSSAGSSTSVFGLFQCRGDLSGSDCASCVSRAMSSWRDVCGASVAARVQLAGCLALYEVSGFPQVSGVQMLFKTCGTGSGGGGDFEVRRDTAFAALEGGVATSSGGFVATSYQAVYAMAQCEGDLSTGDCGQCVTQAVQHVEVECGGAPSGQVYLDKCYISYSYYPHGVPHGGGMGGQQTAKTVAIVLGGAVGVGFLVICLLFARSLVKKKEDY >PAN52080 pep chromosome:PHallii_v3.1:9:73684185:73686243:-1 gene:PAHAL_9G640400 transcript:PAN52080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYGGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDDEEADLQDDD >PAN48364 pep chromosome:PHallii_v3.1:9:54766621:54767269:-1 gene:PAHAL_9G377700 transcript:PAN48364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRQQQQQQLCVVFLVALLVVSTMNAVHVDAGRALAQVSYGALNPGGTPSGPRGLPYSGHGCTKIYGCNKSPPGEAP >PAN47510 pep chromosome:PHallii_v3.1:9:32104871:32105656:1 gene:PAHAL_9G298500 transcript:PAN47510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSWSLLFVVLLAARASGTSPPPSLPGNAAAVAAEERREHHGGGGGHAAAPEPEGGAFFWRVGRFAVMVHSMWHGIKPVPRLERVVSASTRPAEGGGVDYLLVLRVAPPLGTCRALVWGVPGEGSEDWKLKYFEPVVGA >PAN50337 pep chromosome:PHallii_v3.1:9:65464473:65466871:-1 gene:PAHAL_9G513500 transcript:PAN50337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASKSDGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFTGTERLVGDAAVNQAALNPTNSIFEVKRLIGRRFSDKSVQEDIKLWPFKVVAGHEDRPMIVVQYKGEEKQFSAEEISSMVLAKMRETAEVYLGTTVKNAVVTVPVYFNNSQRQATIDAGTIAGLNVMHIINEPTAAAIAYGLEKMPVSNNGRTVLVFDLGGGTFDVSLLNIDPGVNMDKGLFEVMAIAGDTHLGGADFDNEMVKYSLKEFTRKHKNTMDINTNQKALRRLRTACERAKRMLSATAQTTIEVDSLHDGIDFSITITRSRFEELNKDLFSKCMKALEKCLQDAKMDRSSVHDVVLVGGSTRIPKVQSMLRDFFGGKELCRSINPDEAVAYGAAIHASVLSGQTDNGRLVDMLLRDITPLSLGIEIMLDEMETTIHDVMSIMIPRNTAIPTKKKKGFKTANDNQTVVCIKVYEGESPSTKDNNLLGEFKLTDIPPAPKGVPIDVTFDIDANGVLNVMAEEMSGQRNSITITYRNGRLGKEEIERMIQKAERYRGN >PAN51437 pep chromosome:PHallii_v3.1:9:70610599:70613743:1 gene:PAHAL_9G594400 transcript:PAN51437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAGGDGKEAINEQVIANTYANMRTEMNQLYTKITELEMEVGEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVKRNKEGLEEVIARMHEALERKKKEITEFELKYKIRIRKADNDTEEGGKKEGTAQGVLVGPAGQ >PAN49009 pep chromosome:PHallii_v3.1:9:58593524:58594825:1 gene:PAHAL_9G419100 transcript:PAN49009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFIFDLQIRIRIRISEFHADTDVTADTICIHRRFFSLPPCSRARAATAALFRVSRAAFPLGRRAHAKIRPCAPLLAHPSSRSHSVILFSGSKIPQLTALAARTLPARRRRRPACLCRCCWHLCSMLGCFQVPLPVATTVGRGSTPATTLPFLLRRSRAQRQFDSRSRPNLLEGLSSIGGPSSRFPFRSAAQPVKATSAPSVNGREPDASDRETEPDLEATCATGDGIEKVSSAGRSPVTKIKGPKKKNLPVWKHFTGVLI >PAN49161 pep chromosome:PHallii_v3.1:9:59584084:59587116:-1 gene:PAHAL_9G430400 transcript:PAN49161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEKSREPCPDRIIDDAGGSFGMGAVGGSAFHFAKGLYNSPNGHRLAGGATAVRMNAPRVGGSFAIWGSLFSTFDCALVYARQKEDPWNSIAAGAGAGATLALRRGLLACGTSAAIGAALLALIEGAGIMLNRVLVVPPPPEELLQYPGQDPGQHAPPSPGQHAPPSFLGVPPPPPIAVREVPVPNSASNSTVWLGGLFGKKKQDKVAGGDPKSEVLEMDLPPTAVPSIEYK >PAN47451 pep chromosome:PHallii_v3.1:9:30970835:30973265:1 gene:PAHAL_9G292200 transcript:PAN47451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKKPYILAIVIVAIYTGMYVISKAAFNQGMNSFVFVFYRQAAASLLLVPIALVLERKNVQSLPLGLLLKLFFLALIGSTLGLNLSNASVTLTSATVASATSNSTPVITFCLALLLRMEVVKFRSSSGIAKVIGVALCLAGALTIAFYTGPSFSPINHHRAFRARTAHASKAPSHGTWVIGTFLMVLSNVTWSLWMVWQAVLLKEYPNKMLITTVQCVLSMVQSFVVAAVAERDFTKWKLHFDISLLAVAYTGFVVTGISYYLQAWCVQIKGPVFIAVWNPLCFVLTIFCSSSFLGEIVHLGSIVGGLLLVCGLYSVLWGKSRECKFFECTANTVNGVQDGQEHKSPQATELGKKGQEKATSMSAVEVV >PAN47052 pep chromosome:PHallii_v3.1:9:15159294:15164474:1 gene:PAHAL_9G230500 transcript:PAN47052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPKSTGIALFPLLLLAAATTSALPLLNSSVPDPSAVVADFHSKVASSRRRMQEAGAAAGGGGCMTGNPIDDCWRCAGTDWRQDRQRLADCGLGFGRNALGGKGGPLYVVTDSSDRDPVNPVPGTLRHAAIQEGPLWIVFAADMTIRLNEELLVNSYKTIDGRGARVHIGAGGACITLQYVSNVIIHNVHVHDCVPAGNANVRSSPTHYGWRTRSDGDGISLFGARDVWVDHCALWRCADGLVDAIMGSTAITVSNSYFAHHDEVMLLGASDAYLPDSAMQVTIAFNRFGPGLVQRMPRCRRGYFHIVNNDYTSWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTAEGQWSGWNWRTEGDMMVNGAFFVPSGEGMEEIYDKASSTDPKSSALVDALTQNAGVLGDPRNDAAETGYAGINYAGVGNGRRGGGGGDGYGYLGMVYANGAGRGRRTLSTLPLASSVITLVALGCLRLL >PVH33098 pep chromosome:PHallii_v3.1:9:69077752:69078458:-1 gene:PAHAL_9G568100 transcript:PVH33098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDFCRTCDNSSRIEQMVLRDISYHLTSMGKDIRHYGLPELHETEEERSRDHYKELTEELNLGFNEDHLKIVDMLNAEQMAGYDEIFNHVLKNKGQVFFVDDSDGTSKMHLYKALITKVRSMDHIIIATATSGIATSIMLGGRTAHSRFNIPIKLSGNSMCSFTKQSGTAELLRRASLRIWDEVAMTKRQAVEALDMSL >PAN47845 pep chromosome:PHallii_v3.1:9:40478829:40479347:-1 gene:PAHAL_9G323300 transcript:PAN47845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKAMKAATATCMPRLVRGGGCRASTARPVASFSLLERIRDVVLRLIMLSAVSRASVQQTTSGKSSKASSPRAASRHRDSDAAVAVACRRDDSIRNEAVEDCIEFLKRSSAEGDGAKLSSVTAVDAKLPGATATDDAKMSAVVGEDKAFFVLNVEARREPSPGGGCRESST >PAN49703 pep chromosome:PHallii_v3.1:9:62417442:62421734:1 gene:PAHAL_9G469600 transcript:PAN49703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MELSMSGSSLRTFGRCVTFLARVASELVLQAHPAKLELHTLNSSRSAYGSVSLARDFFDTYHLDAAASAPSSTPLQCSVLLKSLLAVLRTPHAALDRLAISLPEPDSPKLQFTLHCLNGVRKTYWIVCSAEPEVQSLALDRGRFPSRLTIRPRELARLLSNFQSSLQELTIIATDPAAGLPDAGGDVGGKAVELRSYNDPAKDDCDTRLHTQLWIDPVEEFVEYVHAGDPVDVTFGVKELKAFLTFCEGCEVDILLFFEKTGEPVLLVPRFGLDDGSTSDFEATLVLATMTVSQLADSNDAQQPATSAQHNVEPRAATTPSVSNHTKIWSELSGNTPKSFEANRETHAPKKSNASTSMLNNTSVLPNVTNAPRKPPAADNANIIMQPLQMDHLEEHPEVLSAIPRSQHHPSNWVGADDNDDDNEDEELLVQTTPHYMD >PVH32724 pep chromosome:PHallii_v3.1:9:62417442:62421734:1 gene:PAHAL_9G469600 transcript:PVH32724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MELSMSGSSLRTFGRCVTFLARVASELVLQAHPAKLELHTLNSSRSAYGSVSLARDFFDTYHLDAAASAPSSTPLQCSVLLKSLLAVLRTPHAALDRLAISLPEPDSPKLQFTLHCLNGVRKTYWIVCSAEPEVQSLALDRGRFPSRLTIRPRELARLLSNFQSSLQELTIIATDPAAGLPDAGGDVGGKAVELRSYNDPAKDDCDTRLHTQLWIDPVEEFVEYVHAGDPVDVTFGVKELKAFLTFCEGCEVDILLFFEKTGEPVLLVPRFGLDDGSTSDFEATLVLATMTVSQLADSNDAQQPATSAQHNVEPRAATTPSVSNHTKIWSELSGNTPKSFEANRETHAPKKSNASTSMLNNTSVLPNVTNAPRKPPAADNANIIMQPLQMDHLEEHPVLSAIPRSQHHPSNWVGADDNDDDNEDEELLVQTTPHYMD >PAN47422 pep chromosome:PHallii_v3.1:9:21845230:21847361:1 gene:PAHAL_9G267100 transcript:PAN47422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYKGYEPPSNSSGYRKDKVRRKKLTAQKRKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDARDELYKAFRIIDKDGNGKISDIDIQRLAIETGEHFTLDEVREMIEAADENGDGEIDLEEFMKMMRWTNLGSGF >PVH31007 pep chromosome:PHallii_v3.1:9:1952868:1953219:-1 gene:PAHAL_9G035200 transcript:PVH31007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKLHLDLKPRLPSSPAPERSPEKERKRRNPKIEESVPVGFLRGRAD >PAN45081 pep chromosome:PHallii_v3.1:9:5243989:5246008:1 gene:PAHAL_9G091600 transcript:PAN45081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFVAFKVPVMEMALPGDTRKYIKSSDPARHTTTAIVHWLCDLHDHGWTLDGKFDASDFGITHLGDLKGEKHLLNKLKRLRGQMRLKDMEAGADMIEHAVYFDGLLVGLPVDTENLILLMRNFKRKNYFLIKFHICHDNEAMKSFVLVVMYNHLMVIQVLDNVKYRRIINKIQCGMKNRIDRAKKNLNHGQAHFVKDDSVDIWSRQVGLVAAIAALEKLAAAGTAGAQPPPPQQVVGADQADHMYSVIVPKMLC >PVH33101 pep chromosome:PHallii_v3.1:9:69161987:69162535:1 gene:PAHAL_9G568900 transcript:PVH33101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTEAGGQVDYVEIVQQESLTPVERIDHPAAICVAAWSGKVRVIDNIEIQAAPS >PVH31920 pep chromosome:PHallii_v3.1:9:20271019:20271749:1 gene:PAHAL_9G262500 transcript:PVH31920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFAAALVALLVLFAAAATAQAPAASPKSAPAPAPPKMAPPPKSPAASPPAPPMATPVSAPSAAVPAMSPLGAGIGDALPPAGASVLTPAAAPATEKSAAASAAAASFVAVAGSVVAAVVF >PAN50786 pep chromosome:PHallii_v3.1:9:67664881:67667474:-1 gene:PAHAL_9G546200 transcript:PAN50786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYQELPCGGQVLDIDTALKDGILGAALEPGDAAAGDAGKQPVELRKMMDELDAAGDGGGDEAVPAVFICPISLEPMVDPVTLCTGQTYERANISRWLALGHRTCPTTMQELWDDALTPNATLRQLIAAWFSRRYTRFKKRSADFHGRAADLVHGLRGTAVPRRQPLKGQARVAALRELRTLAATHQSVTKAIAEAGGVALLTSLLGPFTSHAVGTEAVAILVSGVTLDADAKAALMEPAKVSLVVDMLNEGAADTKINCVRLIRILMDERGFRPETVASLSLLVGVMRLIRDKRHPDGVLAGLELLNSICAVHRPARSMIVSIGAVPQLVELLPELATVCVEPALDILDALSAVPEGRAALKGCPRTIPNAVRLLMRVSEACTRRALSMLWTVCRMAPEECAPAAVEAGLAAKLLLVIQSGCAPELKQKASELLKLCRLNYTDTLFISKCKLTRTIQ >PVH31249 pep chromosome:PHallii_v3.1:9:5453661:5454427:-1 gene:PAHAL_9G095200 transcript:PVH31249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRQKRRAHDGAGKGVRAGHHDACPGRGRRRRKTLLLVPNYRGKQAAPTGFKGGTTVVTSRWRLESMRGSFSGGRWLHAAKGNEMFTLLRAATTQVHHRISSAVSCGLDCGQRPPITIAEEHKRQSRTHQPTTGPGCKGLSEFMRSPGDAEGMGGGGGGDLKTQESRAAKQ >PVH31363 pep chromosome:PHallii_v3.1:9:7583338:7585198:-1 gene:PAHAL_9G127000 transcript:PVH31363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHSLVYVVSSVNVTPGVKEDRMMMTGMHTVCDIFCVGCGSIVGWKYEAAHEKSQRYKEGKYILERFKVSGPDGSQYWIAHDAHLVGSDADDI >PVH31364 pep chromosome:PHallii_v3.1:9:7583338:7586319:-1 gene:PAHAL_9G127000 transcript:PVH31364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFLTHLDGNVYSCKHCNTHLGLAGDIISKAFHCKNGKAYLFHKVVNVTPGVKEDRMMMTGMHTVCDIFCVGCGSIVGWKYEAAHEKSQRYKEGKYILERFKVSGPDGSQYWIAHDAHLVGSDADDI >PAN44338 pep chromosome:PHallii_v3.1:9:1975677:1978049:1 gene:PAHAL_9G035800 transcript:PAN44338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAAKGETVLVTGASGFIGSTLVRCLLDRSYNVHAGVLNPDDKAETDHLLALAAGAGEGRLRIFRCDLLDGAVLLDAVRGCLGVFHLASPCIIDPVSDPQKQLIVPAVEGTLNVLRAAKEAGGVRRVVVTSSNSAIIPSPGWPAGEVPDERCWTDIDYCEKNGLWYSVSKTLAEKVAWKFAEENGLDVVVVNPSCVMGPIIPATINSSMSVLLRLLQGCTEEYRDIFMGATHVEDVAMAHILVFENPSASGRHLCIESISHWSNFAAKVAELYPNYKVPKFPKDTQPGLVRAEVGSKKLIALGLQISPLEKIIRDAVESLKSRGYIS >PAN45953 pep chromosome:PHallii_v3.1:9:9463275:9468137:-1 gene:PAHAL_9G153500 transcript:PAN45953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQVSFIAITAFAFVLHPCASIEFRRELSGWSNGIATWYGAADGAGSDGGACGYQNTVDQPPFSSMIAAGCSSIYDSGKGCGSCYQVVCTGNDACSGNPVTVVITDECPDCPCPDDQVHFDMSGTAFGAMAKPGQDSQLRGAGAIQIQYTRVQCQWPGVDVTFSVESGSNPNYLAVLIEYEDSDSDLDAVDIMQSGTGQWAPMQQSWGAVWKLNSGSPLQGPFNIRLTFSSGRVLVASNAIPAGWNASVAYRSGGVAVSRTRPRSGGCRSHDAAGTLLSRLVYHLLVLFVALKL >PAN51640 pep chromosome:PHallii_v3.1:9:71547103:71549475:-1 gene:PAHAL_9G609100 transcript:PAN51640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAAAAPCPTGTRKRAENRLVVEEAKATTDEYNSICTLHPATMEKLSIYRGDVVLLKGKRRRDTVCIALPDEECGEGKILIGKVARSNLRVRLADVVSVHPCHDARYGARVHVLPLDDTVEGLSGDLFEAYLKPYFVDAYRPVRKGDLFLVRGGMRSVEFKVVDIHPAVEYCIVANDTEIFCDGEPVRREDEERLDGVGYDDVGGMRKQLAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKMAGESESNLRKAFEEAEKNAPSIVFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLHVHTKNMKLAEDVDLDVVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEVLSSMAITNDHLKTALAGTNPSALRETMVEVPNVSWADIGGLEGVKRELQETVQYPVEHPDKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTKWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGSAGDAGAGDRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPVPDEASRHQIFKACLRRSPVASDVDLGALARFTAGFSGADITEICQRACKYAIREDIEKDIERERPEEMAVDCAEEPAQIKAVHFEESMRFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPKQPQAAEPAATANAEDEDDLYN >PVH32658 pep chromosome:PHallii_v3.1:9:61314820:61316555:-1 gene:PAHAL_9G453000 transcript:PVH32658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] MISPNMQQSSRVHPQPHAGSPAPRIPRHLRTAAALAAAVQGLIDASPPRAASQTLHAQLLASGLSGTTADLSVKLLVLHLRCGSLHNARAVFDGMPRPTHAAHNYLAAGYFRRGLHGEALAIMRRLAASTGRVDVFALSMALKLSAALALPGVVAREVHARVLRSVVAPDEILSAALVDAYVKSGLLGYARRVHGVMPVRSVVCSTALLVGCMNEGMYGDAEAIFEGMEEKDVVAYNAMVEGYSKTEETAEGSLEVYKAMQRTGFWPTVSTFVSVLGACSLLSSPELGEQVHCQAIKSSLFSDIKTGSALVDMYAKCGRVEDGRKIFDHMPERNVITWTSMIDGYGKNGLSDEALQVFGEMRKRADVRPNHATFLGVLSACAHAGLLAQGQEVFQSMESEYSLRPRMEHYACMVDLLGRFGSVRQAYDFVRGIPARPNSDVWAALLGAATLHGDVEVADVAAREVFELSRAGRPGAYMAFSNTLAAAGKWDGVHDVREMMRRRGVLKDAACSWVGSDNPPLVD >PAN51817 pep chromosome:PHallii_v3.1:9:72427792:72430540:-1 gene:PAHAL_9G622700 transcript:PAN51817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVGDAHAAAMMQHQVQARVQQQQQLGVSLPMATSFAPEPASAKPRPPGLPPTPPPAFGGQRPSPGDACMEVDATAARKAHHRRSRSDVPFGYFPPASGGVQLPPPKVEAGWGHHGGDADDLFNAYLNLEGLDGLNSSDERHDDGDSRGSSMKTNGADSSENESEECAADSRAGIRLWGGEGLKRSAAGEPAGAPMARHARSLSMDSLIGKLNFSAGATANGGVMPGPNRFSLEFGSGEFTPVEMKKIMADEKLTEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGIATQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKLATAELGDSCSSNNLAQQLQLSAQDQMFQLQHQQQQATPIPFYQLQQAQQNGAGKNQDPTE >PAN44783 pep chromosome:PHallii_v3.1:9:4028047:4030929:1 gene:PAHAL_9G069900 transcript:PAN44783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARGQTLGRSSFSRTTSNPAASSSGAAGVKLGPNGAAFVSSGIPDLDRILGGGFLLGSVVMIMEDSDAPHHLLLLRCFMAQGVMHKQPLLFAGPLKEPRLFLGTLPAPVSSSKEDGRHRVMGAGVSSDGRGSDEGLRIAWQYKKYFGDEKASSAEHKDNKQEFSNDFDLRKPLERHLLNGQNIECVSTQDADNLRDLQDHCSTFLSKLPGKDGGSLTAGRIAIQSLCAPQCGYFEKDWDMIQFIRLLKAMVRSSNSVAVITFPSAVLSNSFCRRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTLSLKLRKRRSLMLERLNQAPVDGSSGPSSAASGSCSSSQGSQLDF >PVH32106 pep chromosome:PHallii_v3.1:9:37543144:37547644:1 gene:PAHAL_9G316000 transcript:PVH32106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIQGRLKAFSVQTTQGEWNFDVSTITGAATQSSAGVAAQPQPSAPPQLPGARNANVVKISCKPPAKIVQQSLAESSRARDLPRCYDDDDFMKPLPRHPVAKKQCADASLAVNATGKPAKNPVKYAHAPTARCAPSAFNSFVDHLTFKQRMRIKEMGFGGLLHVSADRLESRELLKFLFDRLDPSTMVINVTKDKGIHVTPSAIMQVLGLPDSGEHLHFHSHNQASKEFSASKALVGLEESQDMHASHLQNILEDDSKMGSAMIDDDMAIRFFFIIACNKLLFPGTDNNIRCKDVYLTRDLFCLPGLNWCKALLRNSINTCYHTTQHSSSNVPVNNDPLAATHFFSMQAEVHRLVAQIGSSSRKTQAMLVLANFEAKSKKASSYMNIGQQIPRDAHQTAIRTLRTILQDEVNGNISQEHHDQTHGCDEAQADDVDMHDTNSLENRGSEHGNIELDNEMEGELSPVHTDVTIDRVMTLAAAKGDAGPALPNGSTHDNVHEATSHVDSLVEVTDAYTTSSSPRQVATAEMTRSAANVVAQTAKQVPYESAGIVSNTDDALGPVVFRPCTPGDILHCPAVNPRPQRLTKRPAMYVSPFKGDPQRAKVPLSKALAVRKKFNTRMKYLREFSGSDILASFIDGEKMLCTRFMSYFVACMSHDGSVHMIDGGGYRVFLSPDLGEYVNIEEDEDISHWESPQALAILQRDIEDVDPNKVKLFLLPVVEKGHYSIYCINFIHDRIDVLDSSPEDHRVYHQVLGDRIIRRLNLLFQLATDSTIKQFTRFKRPIIDECFQSHANDCGFFAIKFMELWNGESFHVSILTVRLIILHQIHLLFFKFPPSHPVSQQTTGKHIAIWQYRSQLLFYGIYHPINKIEKLPAGLEAYRPRL >PAN51819 pep chromosome:PHallii_v3.1:9:72458458:72465238:1 gene:PAHAL_9G623100 transcript:PAN51819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPARSMAMAWDSSYSYREVSVMGAAAAEAAAFVDPNAAYAQIRRESKSARFKQESELDGAAALLQYSSHLVELPQLESPSAPLAPNPSQASSADEVDGADSGRRGKKARSDRMATDWRALDKFVASQLSPTECGGGSLEATASAAAANNVGSQLDHGEDDDDMAALLFLNSDGREEAERWTGLLGPAGGDGDFGLCVFEK >PVH33311 pep chromosome:PHallii_v3.1:9:72458457:72465238:1 gene:PAHAL_9G623100 transcript:PVH33311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPARSMAMAWDSSYSYREVSVMGAAAAEAAAFVDPNAAYAQIRRESKSARFKQESELDGAAALLQYSSHLVELPQLESPSAPLAPNPSQASSADEVDGADSGRRGKKARSDRMATDWRALDKFVASQLSPTECGGGSLEATASAAAANNVGSQLDHGEDDDDMAALLFLNSDGREEAERWTGLLGPAGGDGDFGLCVFEK >PAN51820 pep chromosome:PHallii_v3.1:9:72462296:72465238:1 gene:PAHAL_9G623100 transcript:PAN51820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPARSMAMAWDSSYSYREVSVMGAAAAEAAAFVDPNAAYAQIRRESKSARFKQESELDGAAALLQYSSHLVELPQLESPSAPLAPNPSQASSADEVDGADSGRRGKKARSDRMATDWRALDKFVASQLSPTECGGGSLEATASAAAANNVGSQLDHGEDDDDMAALLFLNSDGREEAERWTGLLGPAGGDGDFGLCVFEK >PVH31790 pep chromosome:PHallii_v3.1:9:15509636:15515705:-1 gene:PAHAL_9G233800 transcript:PVH31790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPTPTPSPPPPSNSDSSPPVFKLNAPGPSSGHEAAQGASASPAAAAPPAVRAPSEALLAVLGVVGELRARGFLARLEIPEAQLTGARASALFDAVLASFIAEAWHPEAASFLPIPPPPLGGGFKVELLRLFLAVRARGGFAAVASWAAVAEDVGHGPADDMAVKLLYRKYLELLDRTFDKPLEDHKVGEGSGNAGRRLGSAKDRFLSPTKEPTSAGSPHLKRKREPLVGFLNWVRLTAKSPADPGIDRRGHSSTAVWLREQTLADYVKKLRGMFSWVHLVAKSPAAPGFIALPCGQADIPKWTGKPSSRYDDRRTLRFLGEPILLPESNEALDGGSIGKGRQDNCNCQYPGSIDCIRFHVAQKKDELKRELGPAFYKMGLDKTGEDAALTWTKGDERRFNTVIHDNLPTSKYNFWGKLRASFRSKGSKGLAGYYHNVFQVRRRAYQNHLALNADSDDDSIEPGFLYSRQGDVKGSSRTRSASSSRNGRSS >PVH31437 pep chromosome:PHallii_v3.1:9:9004519:9008204:1 gene:PAHAL_9G146900 transcript:PVH31437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKAGLINETMALFDEMAVKDTVSYGALLSGYVQNGKAEEAFLVFKKMQACNVEPDVATMVSLIPACSHLAALQHGRCSHGSVIIRGLAIETSICNALIDMYAKCGRIDLSRQVFDKMAARDIVSWNTMIAGYGIHGLGKEAATLFLGMKNQGFAPDDVTFICLISACSHSGLVTEGKHWFNMMTQKHGIPPRMEHYICMVDLLARGGFLDEAYQFIQTMPLKADVRVWGALLGACRIHKNIDLGKQVSRMIQKLGPEGTGNFVLLSNIFSAAGRFNEAAEVRVIQKVKGFKKSPGCSWIEINGSLHAFVGGDQSHPRSPDIYQELDNILIDIKKLGYQADTSFVLQDLEEEEKEKALLYHSEKLAIAFGILSLGEDKTIFVTKNLRVCGDCHTAIKYMTLVRKRAIIVRDANRFHHFKNGKCSCGDFW >PVH32707 pep chromosome:PHallii_v3.1:9:62078549:62079040:-1 gene:PAHAL_9G465300 transcript:PVH32707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQPHAAPRRHPPPVPAPSQAAQLPCKRGRADAEAVAHGGKQERVAESCCPSERDDQELELVLVDDDSGEEDGGCGSFVGGGGGGQDDEDEVEGSGSVVVWWRRQESNCSLWANGSRAAGGEPRGGGDRDGDEDEDPKVAAARRQEEDRKFWEACLASGYP >PAN51187 pep chromosome:PHallii_v3.1:9:67254907:67259613:1 gene:PAHAL_9G540300 transcript:PAN51187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAAEGSRLLLQEEGGDGDRQRLVMLPQDADLITGDGSVDIKGRPAPKHTTGNWRACFSILGNEFCERLAYYGIARNLVTYLKVKLHLGNLEAARNVTTWQGTCYLTPLIGAILADSYWGKYWTIVVFSLIYFIGLAILTLSASVSALQPPTCLGTVCPEASLLQYGIFFIGLYMIALGTGGIKPCVSSFGADQFDDTDPAERAKKTSFFNWFYFCISIGSFISGTIIVWIQDNSGWGIGFAIPTISMVLAIACFFAASNIYRYQKPGGSPLARVCQVVVAAFRKRHAELPNDMSLLYEVDGQTSAIEGSRKLEHTNELKFLDRAAIISSADVKSESSTDPWKLCTVTQVEELKILVRMFPIWATTIIFSAVYAQNSSLFVEQGMVLDKRLGSFNIPPASLSTFDVISVIIWIPLYDRILVPIARKFTGREKGFSELQRIGIGLVLSILAMVSAALVELKRLEVARSEGLIHEKVNVPMSILWQIPQYFLVGAAEVFTAIGQVDFFYDQGPDAMRSLCSAFALVTVSVGDYVSSIILTLVSYITTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNFVVFVGCASRYRYKKAQ >PVH33264 pep chromosome:PHallii_v3.1:9:71641485:71646494:1 gene:PAHAL_9G610700 transcript:PVH33264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGGDPAVLHAVLVKTASCSRAAYSFLLSRYPPSRSLPLLSRLPFRPTAGSLTTSLASASSSSPSSALSLLRGVLGASPAFLADGPLSSLLRSMAPSLAPHLHALAFKLALSSSPYSASCLITLYARSRSPTAARHLFDEIPVPSRDHVCYSSTIVGLAQNGQYEESLSVFADMRSKAVDSTMYALSGALRAAAGLAALEQTCGIHAHAVVVGIDGNVAVGTALVDAYGKAGVVDDAVKVFEGLGGDRNLITWNAVLSAHAQQGDVQAVVGLFDQMIELGFAPDRLTFLAVLTACSNAGAAAEAEFWLEAMQSKYNMKPGLEHYTCVVGAMARVGRLEDAESVACTMPCKPDAAVWRTLLMGCVVHRKVDMAESMGQRLLAINPKDDSTYVMLANVYSAAGKKDEEAASWTAMRDCGVRKEGGRSWIEIRGQVHVFVANERRHQQLLEIYDKLNELIQEVEKLGYKEVDEGLWHHSERLALAYGLISGAVPSGKVLRIVKNLRICAHCHEFFKYASMVVDRVIVVRDVNRYHTIKKGACSCRDYW >PVH33265 pep chromosome:PHallii_v3.1:9:71641542:71646265:1 gene:PAHAL_9G610700 transcript:PVH33265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGGDPAVLHAVLVKTASCSRAAYSFLLSRYPPSRSLPLLSRLPFRPTAGSLTTSLASASSSSPSSALSLLRGVLGASPAFLADGPLSSLLRSMAPSLAPHLHALAFKLALSSSPYSASCLITLYARSRSPTAARHLFDEIPVPSRDHVCYSSTIVGLAQNGQYEESLSVFADMRSKAVDSTMYALSGALRAAAGLAALEQTCGIHAHAVVVGIDGNVAVGTALVDAYGKAGVVDDAVKVFEGLGGDRNLITWNAVLSAHAQQGDVQAVVGLFDQMIELGFAPDRLTFLAVLTACSNAGAAAEAEFWLEAMQSKYNMKPGLEHYTCVVGAMARVGRLEDAESVACTMPCKPDAAVWRTLLMGCVVHRKVDMAESMGQRLLAINPKDDSTYVMLANVYSAAGKKDEEAASWTAMRDCGVRKEGGRSWIEIRGQVHVFVANERRHQQLLEIYDKLNELIQEVEKLGYKEVDEGLWHHSERLALAYGLISGAVPSGKVLRIVKNLRICAHCHEFFKYASMVVDRVIVVRDVNRYHTIKKGACSCRDYW >PAN46201 pep chromosome:PHallii_v3.1:9:10599700:10603342:-1 gene:PAHAL_9G169900 transcript:PAN46201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAAAVPGDPPPGATVLDSLGEDITRIVYPVSACMLLVVLLVSLLSSPSSPSPLSASIAAATGGAGAGVSGGGDDIPTALVTALTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSALAVLLVLGGQVALLLISRLRLPLDAVSFALLLPNAAGALALAALAPASVPIALHQAALVAIAVLTAFWFTLLPEWTTWALLVAMAIYDLAAVLLPGGPLRVLLELAIERNEEIPALVYEARPVDPRHGRNWRLWREGRQSGADLDASSTTVEVIGEVLGRNPDANSGNSSSSQVHEAATSTGNVNNSRPRATLGAAFSSDSPVEQAGEVSALREHRMAVSEIRVPLIQPRPERSGEEEEDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFFRKALPALPVSIALGVVFYVLTRILLEEFVVQCSTNILLF >PAN49724 pep chromosome:PHallii_v3.1:9:62505916:62510086:-1 gene:PAHAL_9G471100 transcript:PAN49724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPRSGPGKKRGRGPRIPATTLRKQKAALANVDQITGAKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFINVAGPLGVTHFLILSNPKSLPHLRFAKSPQGPTFTFQIEEYALAADIANSQKRPRCPPGIFKNSPLVVLSGFSGLGNPFESLVEYFQHMVPAVDPSTVQLAACQRILLLKYDKDKEVIDFRHYSIKLQPVGVSRKIRKLVQNNQVPDLRDFKDVSDYVTKAGYGSESEADDEAATVSLPSDVDKLNQASRKSAVRLQEIGPRMTMRLVKVEAGLCSGDVLYPWPVAKGAVGKKGKVTEEEIEGQEETEDGSEDEMEE >PAN51039 pep chromosome:PHallii_v3.1:9:68864996:68867694:1 gene:PAHAL_9G565400 transcript:PAN51039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGEAPAPREPEEEEEEDTGGVVKLISAEGFEFVIDKKAAMVSNTLRNMLTSPGGFSETRQGEVRFPEISTHILEKICQYFYWSLHYSSGKETAEFPIEPEITLDLMMAANYLDT >PVH33298 pep chromosome:PHallii_v3.1:9:72305803:72311182:-1 gene:PAHAL_9G621000 transcript:PVH33298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase, isoform 11 (EC 2.7.1.-) (CDPK 11) [Source: Projected from Oryza sativa (Os03g0128700)] MGNQCPNGTLGSDYYNRPASRFADGYLEEDRYSDLKKFEKPWSEVNSFKPTAAGILKRGLDPTSITVLERKTTDLREHYIIGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCSGGELFDRIQEKGHYSEQKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKEDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPEADVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPKISETAKNLIRKMLCPCPSERLKAHEVLRHPWICENGVATDQALDPSVLSRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRQLFKAVDVKNRGVITLGELREGLRRYGTELEDREFSDIVEAADKDNNVTINYEEFIAATVPPNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNRIGLRWQPMETALNVTLRDAPQVH >PAN51789 pep chromosome:PHallii_v3.1:9:72306395:72309975:-1 gene:PAHAL_9G621000 transcript:PAN51789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase, isoform 11 (EC 2.7.1.-) (CDPK 11) [Source: Projected from Oryza sativa (Os03g0128700)] MGNQCPNGTLGSDYYNRPASRFADGYLEEDRYSDLKKFEKPWSEVNSFKPTAAGILKRGLDPTSITVLERKTTDLREHYIIGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCSGGELFDRIQEKGHYSEQKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKEDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPEADVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPKISETAKNLIRKMLCPCPSERLKAHEVLRHPWICENGVATDQALDPSVLSRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRQLFKAVDVKNRGVITLGELREGLRRYGTELEDREFSDIVEAADKDNNVTINYEEFIAATVPPNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNRIGLRWQPMETALNVTLRDAPQVH >PAN46759 pep chromosome:PHallii_v3.1:9:13737062:13738798:-1 gene:PAHAL_9G212300 transcript:PAN46759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLKATRVAMVFAVLAATAEAGPGSYVPAIATADFLMSPVFLWVAANAIIVAIWLLSSSHGGSTNDATSSPPGDDGEAVHDAADSLYTSSSEYEYFSDAGSARRAADAPPVSRRLAREARRADRPRVRKKPAVQDDAPGPRRAVAAAAAREPDDERRGAETPVAFAAAAADGVDGEGEDEDVSMDSLWQSIVQRRAARPVVVQKSESWGNDELPRLQRVAKTAAETRREMRKSVSAVSNTAAAAPQPPSALRQLGWRTRDVLEAIAPDELLRRAESFIRRQHEHLRLQRQESEQRQLQLQRRLQQVPALIRV >PVH32026 pep chromosome:PHallii_v3.1:9:31462371:31463030:1 gene:PAHAL_9G294800 transcript:PVH32026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARADQKSSDFVCVESFVCEAVVVWSGLFVGVVAGIISPSIRGLGWLSDWTRARIFQFSLSFSSACGAHATRRIRALWLALISRLGFRRRESSPWRRRRWEAELRTDHLPASALGHAPQWGDDRFPSWAGTSERMRKLRQNPAPSRDHRWWRPRESDPGSGGFW >PAN44470 pep chromosome:PHallii_v3.1:9:2512997:2516645:1 gene:PAHAL_9G045500 transcript:PAN44470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRACRLALLAAAAAYLLFLLLFELPSFAVSTASPRHAHAAATHRARRRELEAAASALRSPSPLRPHKSAFPRLPPLAVSSVRFHRPNSSSSSFDASASAAFAAARPHLAHLLSYSNSASPSPSPSAAASASSCPATVSAPGDRLASGGAGVVAVELPCGMAVGSRVTVVARPRAARAVGEPRIAARREGGAPVMVSQFMVELLGTKAVQGEEPPRVLHFNPRIRGDFSGRPIIELNTCYRMQWAQPQRCEGFASRPDEDTVDRELKCEKWIRDDYSNSEDSKMKSWLNHLIGRPSIDWPYPFAEGKQFVLTITAGLEGYHVSVDGQHITSFPYRTGYNLEDATELSLKGDLDVESIFVAHLPSSPPSFSPQSYLEMSEQWKASPLPTEPVELFIGILSAANHFAERMAIRKSWMISTRRSSNVVARFFVALNGKNEVNEELMKEAEYFGDIVIVPFMDNYDLVVLKIIAIVEYGVRVVPAKHIMKCDDDTFVRIESVLDQVKKVQSGKSMYVGNINYYHRPLRSGKWSVTYEEWPEEVYPPYANGPGYVISSDIAQYILSEFDNKALRLFKMEDVSMGMWVEKFNATRQPVEYLHDVRFYQPGCFDGYFTAHYQSPQHMICLWRKLQAGSAQCCNVR >PAN51899 pep chromosome:PHallii_v3.1:9:72813897:72817939:1 gene:PAHAL_9G628300 transcript:PAN51899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERIHEPLSRQSGGFSPAKLRAMLRGLEKQRSGDDTSPEANDSGELDDRRSLECSTSMSSNSGHRSRNPAPDDDSFDSESSSSGPPTVKRSAAVAALLPFSRPTPSKWDDAEKWISSPTSNRTGRAGPATGTVPKKSSLAFPEHGGRPPAVAKAVADVPISTGPLVKNSDGLTQSDLSKPAQSPPIVDEPEAAIRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRTTEFSLSNIDSNKKEMSEVELQMNTRQEIMDLGQRLGKTTIAAWASKEEKAAAHFTSSTTDKVVDINREARATDWQEAEKAKYLARFHREEAKIQAWENHQKAKIEAEIKRIEAKIERKRACEQDRLVNKLAAVSHRAEAKREAAEVRRSQEAARTEELAAQIRETGHAPSSFSCWCWCL >PVH32190 pep chromosome:PHallii_v3.1:9:47195730:47197620:-1 gene:PAHAL_9G342000 transcript:PVH32190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQYELERDERVREVQEIFASLGIPILAQDVRDVFSKKEKCMGKTIESDNEYDPSSDIDNQCDSDDDYDDDLKHEDNTELPPCSPTKFTRKQAARPTRGRPPPRDANQLPPCTPTRLTRDANQLPPCTPTRLTRQQAAMASPGGRPPPKDANQLPPCTPTRLTRQQAAMASPGGRPPPKDANQLPPCTPTRLTRQQSSRQSNDINDANDQVDADSEGHTIEGEPVGDFVPAPRKEVRKKTIGLGLEKMIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKTEEWIELVKYWCDPKNQVHGLHHCFC >PVH32352 pep chromosome:PHallii_v3.1:9:55000004:55000570:1 gene:PAHAL_9G379500 transcript:PVH32352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKFLFNSKFPIQISLRISITSKRNHGKLYFSKRIIITTIMITSHTMMITPKTVLIACISINVGIIIIILLILLTFR >PVH32507 pep chromosome:PHallii_v3.1:9:58379826:58380813:-1 gene:PAHAL_9G416100 transcript:PVH32507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDEYNAIVSELMAEQVRKGNRPNTHLNTLGYTEVMDRFYQMTGINLSKIQVKNKWDRLKNDWSIWQKLVRKQTGTGWDNTRGVISMDNEWWKKMQKEIPGCGKFKKKALQNQDFLREMFGDISNDETDHWNPMSDNPIISNDPIVPNSQQEFENIDEDGEKQGGEEEGWEDMVHDWGYMEDNDTEVQEVSPVVGNQKKRPRITKIADSASSFTSIKQAEVSIKEVMDLVLDCGADYGSNEHDISTQLFVKRDQREMFLTLPTREIRFNWLTRRYNDKYGN >PAN49128 pep chromosome:PHallii_v3.1:9:59418453:59422118:1 gene:PAHAL_9G428000 transcript:PAN49128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALALAAAVFLLSSTLAASEFCVCRSDQPVTALQKVIDFSCGDGADCSSILQGGGCYNPNTVAAHCSWAANSYYQNNKAKGATCDFGGAATISTADPSFSGCTFPSTASASGATGTTTVGGATTGTLSPGVGTGFNGTGMGGSGLGPAGTMDGAAAGLLPGVHPAAFLAAAILSLLALH >PAN50057 pep chromosome:PHallii_v3.1:9:64216020:64221237:-1 gene:PAHAL_9G495000 transcript:PAN50057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEGPPPARRENGVSRRRWLDGEEEDDDEYVLEEEEEEDYAEELSASSAGEEGEGSDAEYQEDEDEEEEEIETPRLKRPVKASDRRRKGKLDPAAARSRRRKYEEDEDYEEEIEEEEEVEEYHDELDEEEEAHQRPKSVAKCGGRNRNVKPSTAAKRSHQRRHLDDEDMDFDPELDEEEELDEDIDFDPEVDDDDEDEYQDEEEEELAATRARKVTVKNPAKRKSASKRRTLKKKKKKTKGSKVSGRKSASAKAKKSAPIRRRRKRSVVDEYEDDEDEDDDDFIVEDEHPRKKARTRNGREAQVDPEVSPVEEETWPNLESDTSDFEFATSDEEPNIVETQVVEQISVRKGRKKRISGSESSSDSEFVVSDKELEDLKETELPKPVPMLPASIRRICITRHGEGKGKEKQEPEEAGNPICGICLSEEQRATVQGVLNCCSHYFCFACIMEWSRVESRCPLCKQRFTTITKSSKVDLGLGVRKSVIKVEERDQVYQPTEEEIRRWLDPYENVVCIECNRGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGDEGPSYTGIQRTVANERQNYRTQVDNSSVSFGPAAPSGTFERSPSINPHRSFQGIDLNLSPRDFPGDTHPAESQTDSVSTPTGRRATLSGRRQIHRYIRILLTRPRPPGRQDTYHNVAQHSAGVPRTEPNRRNFPSSSEANTSHSLPDGIQNHHNGLPFVQAHSNFAPCMSLDGDDFQQIEGVKSNLRNV >PAN50144 pep chromosome:PHallii_v3.1:9:64613259:64615822:-1 gene:PAHAL_9G501300 transcript:PAN50144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREGDACVALLRSKLHGLIERNRALEEENKQLRYQVSRLKGQVSSLEGQYTDKRMLWKKLENSATSISYSKEKQFVQSNDDAKEAVDLNSSLYHTRQQFPRATLVRSRAPRVPNPPPSPTCIQPNTNVKKEGCMTPPPPPPPPPSKLQRSAKAIQRVPEVVELYRSFVRREGKNDAKSGSVAIPATTNSREMIGEIENKSAYVLAIKSDVENQGDFVNFLASEVQNAAYREIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERIRDSASGRYKDLKIPWEWMLDSGIINHLKMASLKLAKEYMNRIVNTLKSDPFANDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKAYASKSE >PAN49503 pep chromosome:PHallii_v3.1:9:61404220:61407995:1 gene:PAHAL_9G454200 transcript:PAN49503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVERCRGGGKMAVSMDVEMSSHAAKPVVPAPFLTKTFQLVDDPRTDHVVSWGEDGATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFKKGAKHLLSEIHRRKSSSCSQPPPQLQPLPPHQPYLSLFSPPQQYPSPPACYRVQEEDHGGKDFLATLSEDNRELRRRNSLLLSELAHMRRLYNDIIYFLQNHVEPVPPPPAAAATGCRLVELGSADTSPPTTRRPRGDDDEAPVKLFGVRLNDGKKRKAQAAVLLEEKGDGDDQCDGVGDSDGDGDHNGHGDDQGSET >PAN48795 pep chromosome:PHallii_v3.1:9:57447674:57448826:-1 gene:PAHAL_9G405900 transcript:PAN48795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDLKVLGVWTSPFVIRVRIVLNLKGLAYEYAEEDLGNKSALLLGSNPVNKSVPVLLHGGRPINESRIIIQYIDEVWAGSGPAVLPRDPYERAVARFWAAYVDDKVGSAWTGMLFRCKSEEERAEAVARADEALRTLEGALEECSGGKPFFGGDGIGLVDVVLGGYLGWFAAIDRIIGRRLIDPARTPLLAAWEQRFRAADAVRGVVPDDVDKVLAFLQTLLAIGSMK >PVH33400 pep chromosome:PHallii_v3.1:9:73845619:73846654:1 gene:PAHAL_9G642900 transcript:PVH33400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNPSRAASCHPSIPIFHSHPMALSLSLAPPVAAAAGSSSSCPSFLRPAAVAMRRGRSSRARGSVVAMADLLGDFGARDPFPEEIESKFGEKVLGNVDTLHQILIPTLSALSLARLPLQPDAEALSLDDARRLLLKVVGWRLVLSDDEQRPARLQCVWKVRDESCGQELIARINAALDGAGHAPAALVWEAPSSQVRAELSTPSGAGDSLTVNDYIVAARIDKVRTLDLIPKKRVWA >PVH31731 pep chromosome:PHallii_v3.1:9:14521299:14521628:-1 gene:PAHAL_9G222100 transcript:PVH31731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVADIEAAEKQKMSEKVQKIIAHGINYFVNRQLIYNFPEELFADAGILAIEHADFEGIERLVMAVTSGGTADDIASRGCEELGGGGRRDPRRPELGFETLAHGPASR >PAN47126 pep chromosome:PHallii_v3.1:9:15832820:15834731:-1 gene:PAHAL_9G235900 transcript:PAN47126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHRMGIQLLMTGPPNEANLYEILVGYARNGDGAEAVQKIHTDHEMGDYLEPSMLGCFSSPWRCGRRGTRMRSRSSWRVKCVSVTIVNVILSYELYARIGKWSRVQDDYFSTSVHTAIHLKDKWRNLVAACKANTSRKARKEKNTSTKKVSVQKTTELVVKRFGHRILAMEAKHVVQKKK >PAN47980 pep chromosome:PHallii_v3.1:9:42078589:42085455:1 gene:PAHAL_9G327300 transcript:PAN47980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGSLANDASTRGKPIKCKAAVAWCPGEPLAMEEVEVAPPGRLEVRVKLLFTSICHTDLSFLKGENELQRKFPRILGHEAAGVVESVGEGVEDLAAGDHVVPIFNGECGACAYCESGKTNLCGTYRVDPFKSTMASDGGTRFSVVDGSGERRPVYHFLNTSTFAEYTVLDTACAVKVHPKAPLDKMCLLSCGISTGVGAAWNTANISTGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINSEKFTKEMGVTDFIDSKACGKPVHEAIREMTDGGVDYSFECTGINDVLREAFLSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCVFGDFKGKSQLPDIVDKCMNGELNLNFDGFITHKMPFSDINKAFQLLEEGKSLRCVLHF >PVH32137 pep chromosome:PHallii_v3.1:9:42080514:42085455:1 gene:PAHAL_9G327300 transcript:PVH32137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATCIVSRVVESVGEGVEDLAAGDHVVPIFNGECGACAYCESGKTNLCGTYRVDPFKSTMASDGGTRFSVVDGSGERRPVYHFLNTSTFAEYTVLDTACAVKVHPKAPLDKMCLLSCGISTGVGAAWNTANISTGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINSEKFTKEMGVTDFIDSKACGKPVHEAIREMTDGGVDYSFECTGINDVLREAFLSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCVFGDFKGKSQLPDIVDKCMNGELNLNFDGFITHKMPFSDINKAFQLLEEGKSLRCVLHF >PVH31037 pep chromosome:PHallii_v3.1:9:2345296:2346298:1 gene:PAHAL_9G042400 transcript:PVH31037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNDLSAGDRWACTVAEEIRRFKSLRPRPWRSKRDEVVDMVAKKMAGLAGSAFSLSAEIHRNFRYFHSIREAPSCCATAPSRSPRPVAPPPPPWRPRPSPLSLCTPPTRTWLPRRSGSRSSGRTLRSCGVAPTATPSPDPKPPAPQGLQGPLLCRLLPLPPSVSPLSSLASATGPPTAPLPSSREIGRRPRFHPTGIACSPLVGVSLVSMVSTM >PVH31069 pep chromosome:PHallii_v3.1:9:2846417:2847372:-1 gene:PAHAL_9G050500 transcript:PVH31069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSASNISLSPDSLPEFLLQPCVCMAHGGWRSRLWWPLRCSDILAAGLLVTSSSPITCPGIPLRLLLCSMLRVVTLKCSCGKHVCICVLHGNSYCLDASVVICVYPSSCVTERKIVGDRGRDACVFLFGDLNACLPIFGTNLWYYEYRDGCGDARLSCAWPSMAQP >PAN46233 pep chromosome:PHallii_v3.1:9:10754593:10757450:-1 gene:PAHAL_9G171600 transcript:PAN46233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMDEGYGPTWDSDDEYDNFIRKMNPPRIVIDNESSAEATIVRVDSANEYGILLEVIQVMIDLDLVIGKAYITSDGGWFMDVFNVTDKEGKKIKDEETLAQIEDYIRKSLGADSRYIPSRRRSVGVAAAADHNVIELMGTDRPGLLSEVSAVLASLKCNVVSAEIWTHKTRAAAVMRVTDEDTGLAVTDAERLERIRERLSYLLRGGDLSRGAAMAVSSGTATTHTDRRLHQMMLDDGDYEQLQWQAPEQSQRPNVTVRNWNDKDYSVVTIRCKDRSKLLFDTVCTLTDLQYVVFHANIDAKDNQAYQEFYVRHVNGSPMNTETERLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVTTRGRMAVNTFYVRGSAGEAVDQKTIDSIRQAIGQNLQVKGQPEPPEPQKKESPTWFLFANLFRPRHEAKFRKTGGSERTSVLSSASVVQDILGSR >PAN46232 pep chromosome:PHallii_v3.1:9:10754594:10757450:-1 gene:PAHAL_9G171600 transcript:PAN46232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMDEGYGPTWDSDDEYDNFIRKMNPPRIVIDNESSAEATIVRVDSANEYGILLEVIQVMIDLDLVIGKAYITSDGGWFMDVFNVTDKEGKKIKDEETLAQIEDYIRKSLGADSRYIPSRRRSVGVAAAADHNVIELMGTDRPGLLSEVSAVLASLKCNVVSAEIWTHKTRAAAVMRVTDEDTGLAVTDAERLERIRERLSYLLRGGDLSRGAAMAVSSGTATTHTDRRLHQMMLDDGDYEQLQWQAPEQSQRPNVTVRNWNDKDYSVVTIRCKDRSKLLFDTVCTLTDLQYVVFHANIDAKDNQAYQEFYVRHVNGSPMNTETERLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVTTRGRMAVNTFYVRGSAGEAVDQKTIDSIRQAIGQNLQVKGQPEPPEPQKKESPTWFLFANLFRPRSLYSLGLFMR >PVH31320 pep chromosome:PHallii_v3.1:9:6526475:6528705:-1 gene:PAHAL_9G111600 transcript:PVH31320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 1 [Source: Projected from Oryza sativa (Os03g0718100)] MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >PAN45340 pep chromosome:PHallii_v3.1:9:6526475:6529213:-1 gene:PAHAL_9G111600 transcript:PAN45340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 1 [Source: Projected from Oryza sativa (Os03g0718100)] MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >PVH31453 pep chromosome:PHallii_v3.1:9:9182212:9182580:-1 gene:PAHAL_9G149300 transcript:PVH31453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHAPATHALHARFTLGQSQDCCNAHQPQLRSCAYLPAVSWLKEESTVPNCEESLGASCQIKSRPLTSAAASLPRHGIAHSLNCGLVTSFSELNPVAASTVCFLTNFHHVFSTSGRMLANW >PVH32670 pep chromosome:PHallii_v3.1:9:61555874:61557343:1 gene:PAHAL_9G456600 transcript:PVH32670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSTMAPVCGASGYGQRLEELVFRCYCGDPAWCVTSGNPKSVPGHGMDRKGSEINLDLGQFGSWPPIIEPALRQLLKRVTSFNC >PAN44846 pep chromosome:PHallii_v3.1:9:4310002:4315169:-1 gene:PAHAL_9G074700 transcript:PAN44846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRNLPNLSLRISPPASSSAAASDAPALAKPPSPEPSTASYADEGSGEVGFFANPSPGAEPPGLSLGLGTPAHGGNAAGRRGHLEPQGCAFKRAATRASLPAGGSKRRSRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSLHIATGEALPLQRTATGMEAAAAAGGGGGGGAAAAGCGVVVIPVVPAACDDMVGICSSPSAGSAPPAAATTSAAHFLCAPPATAPLAAAPSPPPPIPPRTDHAAGVLQKGVAIVDSAQRCQRHNFSPPLLQHDTQAAQEEANHHLAMGLHASAEAVATKCSSPGSSSSPSFASVELLADDMYAPSLEISLGRQDWRMEPPEELSLKYL >PVH31812 pep chromosome:PHallii_v3.1:9:16124243:16125134:1 gene:PAHAL_9G239200 transcript:PVH31812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAWEHIGIVQAGIIPVMYQQVKCSRSGGVRFGVAGSNYFLLVNIQNLAGSGSVAAAWVKGDKTGWIQMSRNWGANWQALAGLVGQGLSFAVTSTGGQYIQFLNVAPAWWQFGQTYTTYQQFVY >PAN44675 pep chromosome:PHallii_v3.1:9:3474538:3475900:1 gene:PAHAL_9G060900 transcript:PAN44675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGESDGSRLVLLDVFGSPFAQRVRIALAEKGLAYERAEQDLAAKGDLLRRSNPVHGKVPVLLHAGRPVCESLAILQYLDEAFPGTTPLLPVDPYARARARFWAGYADRLHLCGKRLWLRRDDGEEAVEPEARAEMVAVLRALEAELGGKEFFGGEAFGHVDVAAAPFAAWFLTYERHGGLCVAEECPGLAAWAARCARRESVAGNVYPPEKVYELVQEYRQWVLGRK >PAN47760 pep chromosome:PHallii_v3.1:9:47967474:47972661:1 gene:PAHAL_9G344000 transcript:PAN47760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTVAATVLDITEISFSDLVLLQSPEMPADDHRRRRVLDTIATELGRGGSGLLAIAEVPRMGALRRRLLPLSRRLALMDHPTRSQILKKHGLGSDVPLKKLDRSVSSFAKLLRHSGKLAMLELVNNTESISNGIVCLEKIHDFDGSEESNCDDDMENIGELVTELGLYMMELGILIARACDIVIGGGQLEKSITDFGTAKARLIHYHSELDNIIIREKENSSRKRSLKKVAVKPYQLGSQRRSGSLCPCCIKSEDMTPVMAIKNNNSRDTSVQGKAAEISLLNLWQDWHFDFGILTVLTAPLFLRASEGEKCLISQEYHHPNGHTHLQLCNGRKMFSVKCSPESFIVQVGEAADILSSGKLKSTLHSVSRPLSFTDISRETFVVFLQPSWDKTLNYPGYCLDTEEQSRHNNETSIISNGSAGSYDEDVCMQDIMEKIPPLSSRLREGMTFAEFSRQTTKQYYGGGGIQQNN >PAN45961 pep chromosome:PHallii_v3.1:9:9502536:9503325:1 gene:PAHAL_9G154000 transcript:PAN45961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKASGCGGLVLINCFVSPYGNRVRIALKRKGLEYEEKPENLAAKSPLLLSSNPVHAKVPVLLVGGKPVCESLVILEFIDEAFPGAGEPLLPAAPCARAHARFWASYVDTKLPECAVRVWRSPKGAAAVEEGKKDLVAVLKTLEAELGAKPYFAGDALGYVDVALVPFAPWFLTYERLGRFSVAAECPALAAWAERCARENECVAKSLPEPEHVFQFVCGMRKMFGLD >PVH32713 pep chromosome:PHallii_v3.1:9:62238712:62245842:-1 gene:PAHAL_9G467300 transcript:PVH32713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTGSAPGAELHGNGEVLKSVEVPQDLGGIPAKEVPLHEGKEVILVDDNDSGQEDGGKAKVDENAPRFGLRFKTYDDALKYYKQYAEDSGFAAIILKSSYLKSGVCRRLVLGCSRAGRGRANASYLSRESTKINCPARISLKLRQDRWLHIDDAKLEHNHPLNQSSASLTNCYKKLTDAKNGEPASRLKGRRNVPSEKEQGNFTEIGRLKFGEGDDEYIQKFFGNMQNKNPYFFYLVDLDNQGRLRNLFWSDARSRAANDYFGHDVVYFDTSYLTEKYDLPLVFFTGMNNHGQPVLFGTGLLSDLSADSYAWLFRAFLACMKGRCPKAIITEHYNAILDAVREVLHEVRHRLCLYRIMKDVSENFKEHAEFKTIKNALKKVTYGSLKIPEFEADWKKIIEEHGLGENECLSSLYEHRQLWAPAYLRDKFWAGMSISQCGESISSYYDGFVYPKTSLKQFFSKYEMILENKYKKEWQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDGRLVTFEVKECSYMEDGKDTESRTYEVYFCKEEKVEIECECGFVQFTGVLCRHALSVLKLQEIFEIPSDYVLDRWRRDYKKLYSKANKPNEMPLSGIVERSDYLFSQCSQLLNLGFVSESRYLVALKLLREAERSLLDDGLAARDRQSTLLSFEADAPENGQGLFNPQFSESVKNSQSAHAKRRGRPPKKVAESNAETVTQPNKEQDFLRSSFVTDDTNMIQGPSASHLEGPHMGVQGGIDLMEGIPNLSFSNHFGMDINHQHQVPSHQRMQQSNFMQVQAEPHGFANQWVYHPMLQDNPVLRTPTRRTG >PAN44096 pep chromosome:PHallii_v3.1:9:975820:980490:1 gene:PAHAL_9G016700 transcript:PAN44096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSRKQPQPQQQEEGSPAPEAMDAAAVQGEEEEEAGCSGKAPDGEAEAEGSTVVVGVRADHESRALLTWVLVNAAAPGDRVVAVHVVVASRAEAAAAVDFDAMLGVYEGFCNLKQINLKVKICKDSSVRKALVREARLFGASKLVLGLAKKRRAISSLHSVAKYCAKKLPASCAVFAVNNGKIEFKRESNIHSGKVSAEVAPCGEDEMYCVVPFQAHQPKDDGEVDTTQDVGTKGSKPEDTIVEAQPVSCLDPVDLSRDQVQIDTDPSVKAEESCVEPVDLSRDLIKIDTDPSVKAEESCMDPVDLSRDQVQIDTDPSVKAEESTAEQKDGIAELPGQGASVLYCVLPARSSDSVASASSRQDHDSIDLPAEGAGELYCVLPPRNGHSGRSIGDSRRSTVSWKGAKPANSALEGDGDLYCWLPKNGRSGRSSGGSKRSVGIKGVIRRSSSFSSDIHVNSETSPSKRDGSVSMAATERSSSTVSTEAEDSPNYSARNAETPLSSPMSLRRMIEGRSDRCHLRRRIFSHHRSSSFEWAKISMVQWAMRLPSRYTSVHPDSKLLKSDASPRLNCESETESTSAVEPESMFSFAFYDVAWPPSELESLREKYSSVCRLFSYEELKLATSNYSPDMLIGKGGTSQVYKAQLYDGTYSAIKILKPSVDAIHEFITEIEIVTSLQHENIVALRGFSFENYNLVLVYDHMSKGSLDKALHGNNDDTNFLIWERRNKIAIDIARALEFLHLGGVTQSVIHGDVKSSNVLLSEDFGARLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSYGKVNEKIDVYAFGVVLLEIISGRRPITPGSAKGHESLVGWAKPLLSSGEIKQLLDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSLVLKLLQGDDETIAWARSQVTASFDGSDEETSTPDSNMQSHLSLALLGVEEDTLSRCSSTVGTSADGYWSRSSSFD >PAN44365 pep chromosome:PHallii_v3.1:9:2046798:2049759:-1 gene:PAHAL_9G037200 transcript:PAN44365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAGGKRAYSIIVPTYNERLNVALIVYLIFKHLPDVNFEIIIVDDGSPDGTQDIVKQLQEVYGEDRVLQRARPRKLGLGTAYLHGLKHASGEFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRGVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGISKLGGSEIVEYLKGLVYLLLTT >PVH32335 pep chromosome:PHallii_v3.1:9:54365825:54370474:-1 gene:PAHAL_9G373800 transcript:PVH32335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCAGNEENQDVSPMSSSMVLIDNRPTSYTDFSDIDDLSDDFPTRNSNDDSDQSDGFYHHAICHYCYHDPYCRLMHRRQRRNFGYSCMCNARRNRWLGDYPYIPDLINPCNPMASRDTEPWSRWPPPEPDHGKKRYNASAHTGLVSRWSSPEPYCGKKRYSVGAGLSNPHWWTCFLNCILQCMVHTVPLVLKLRKADHPDPCPRASVGFCCFCSLKLHVDESIRLSGSAFYPESFVNHLKSISSDFESGVQQDAQEFFCDLLEKLDKASVAPRSLLEGPSSTEEGGIAKEIFGGRLKSQLHCPVCNRCSDKSEPFLDLSLEVTMVESLMDALQSFTKVELIEDFMCDGCKSRVNMEKHLKVEQAPEVLVIHLKRFTNSGGKILDKVKYPLELDINSFMSSSDDIPQKYDLYGVVVHHGIYGRGHYVCYIRSSVDDWYEFNDDKICRYSEASVLDSAAYLLFYVKQGSSPWFSTLLEKEDKFPQDGSVSLAEQGTSEIAPVNNEDEESTCSRGQDNSGYCLVGPAEENGNGPGLPDFSQDMQWNGNGDTLSDASDKVAEGCCLGGMSGGTQELSCLTGSGDKNGHAGGFVEPWEKEDVSPIGSLDTNEMNPSTHGSSEVDPAVTQGGSSPKRENICSLLQLSHKCDDNCHCMNFSSQEDETGGCSGAPSMGMCTSENETVKSKSNHEFGDSKVGADKGKCIQP >PVH32333 pep chromosome:PHallii_v3.1:9:54365329:54370474:-1 gene:PAHAL_9G373800 transcript:PVH32333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCAGNEENQDVSPMSSSMVLIDNRPTSYTDFSDIDDLSDDFPTRNSNDDSDQSDGFYHHAICHYCYHDPYCRLMHRRQRRNFGYSCMCNARRNRWLGDYPYIPDLINPCNPMASRDTEPWSRWPPPEPDHGKKRYNASAHTGLVSRWSSPEPYCGKKRYSVGAGLSNPHWWTCFLNCILQCMVHTVPLVLKLRKADHPDPCPRASVGFCCFCSLKLHVDESIRLSGSAFYPESFVNHLKSISSDFESGVQQDAQEFFCDLLEKLDKASVAPRSLLEGPSSTEEGGIAKEIFGGRLKSQLHCPVCNRCSDKSEPFLDLSLEVTMVESLMDALQSFTKVELIEDFMCDGCKSRVNMEKHLKVEQAPEVLVIHLKRFTNSGGKILDKVKYPLELDINSFMSSSDDIPQKYDLYGVVVHHGIYGRGHYVCYIRSSVDDWYEFNDDKICRYSEASVLDSAAYLLFYVKQGSSPWFSTLLEKEDKFPQDGSVSLAEQGTSEIAPVNNEDEESTCSRGQDNSGYCLVGPAEENGNGPGLPDFSQDMQWNGNGDTLSDASDKVAEGCCLGGMSGGTQELSCLTGSGDKNGHAGGFVEPWEKEDVSPIGSLDTNEMNPSTHGSSEVDPAVTQGGSSPKRENICSLLQLSHKCDDNCHCMNFSSQEDETGGCSGAPSMGMCTSENETVKSKSNHEFGDSKVGADKGCWEEGRGGCVSEKRKRPSSAVTVGGGGRESKASRAAAGVMTAANGRADT >PVH32334 pep chromosome:PHallii_v3.1:9:54365825:54369420:-1 gene:PAHAL_9G373800 transcript:PVH32334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRQRRNFGYSCMCNARRNRWLGDYPYIPDLINPCNPMASRDTEPWSRWPPPEPDHGKKRYNASAHTGLVSRWSSPEPYCGKKRYSVGAGLSNPHWWTCFLNCILQCMVHTVPLVLKLRKADHPDPCPRASVGFCCFCSLKLHVDESIRLSGSAFYPESFVNHLKSISSDFESGVQQDAQEFFCDLLEKLDKASVAPRSLLEGPSSTEEGGIAKEIFGGRLKSQLHCPVCNRCSDKSEPFLDLSLEVTMVESLMDALQSFTKVELIEDFMCDGCKSRVNMEKHLKVEQAPEVLVIHLKRFTNSGGKILDKVKYPLELDINSFMSSSDDIPQKYDLYGVVVHHGIYGRGHYVCYIRSSVDDWYEFNDDKICRYSEASVLDSAAYLLFYVKQGSSPWFSTLLEKEDKFPQDGSVSLAEQGTSEIAPVNNEDEESTCSRGQDNSGYCLVGPAEENGNGPGLPDFSQDMQWNGNGDTLSDASDKVAEGCCLGGMSGGTQELSCLTGSGDKNGHAGGFVEPWEKEDVSPIGSLDTNEMNPSTHGSSEVDPAVTQGGSSPKRENICSLLQLSHKCDDNCHCMNFSSQEDETGGCSGAPSMGMCTSENETVKSKSNHEFGDSKVGADKGKCIQP >PAN44234 pep chromosome:PHallii_v3.1:9:1520990:1526626:-1 gene:PAHAL_9G027000 transcript:PAN44234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSVCWWPPHFKSPMLENGAAADDGSGVPVFAEYSLEELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSSGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSSRLANLIGCCCESGERLLVAEFMPHETLAKHLFHWETNPLSWAMRMRAALYVAQALEYCSSKGRALYHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVSPESVVYSFGTVLLDLLSGKHIPPSHALDLIRGKNFLVLMDSCLEGHVSNSDGTDLMRLASRCLQYEARDRPNLKAVVSGLASLQKDASTPSHTLLGIQHDKRSSDQVPLSAIGKAFARADLNEVHEILQDDGYNEDDMANAELSLQSWTGELSESFVVKRHADNAFKSKDFATAVECYSRFLDSGAAVAPTMLARRCFAYVVAGKLQEGLEDAKRAEDIAPGWPMGHYLQALALHSLGREAESHEALKKGTVLEAERNSRARTV >PAN46338 pep chromosome:PHallii_v3.1:9:11210751:11214216:-1 gene:PAHAL_9G178200 transcript:PAN46338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPGAALTSSPEEEEEKAADGHGEEAVEQEDEAAAPVVLKKGPWTTAEDAMLVDHVRRHGEGNWNAVQRLTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEEILIAQLHAQLGNKWARMAAHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPEVQLQLALSKRCRYDDFSPLASPQLPGAVNVQALDATSAGYTSSRPAPLDLARQLAATSQTVQFLSPQPFSAPSSPWAKPFARNAQYFQFAHSSPVSPSTPTGPLHPVTPDLSLGYGVRSTDQSRLAPLSPSPVPRVELPSNQFGQAMPPTSAAAAGLGGAALSDHQHQNAASLEKMLQELHDAIKVDPSALAPANGDAARPGGGGGAVLERHGGRENQLDGQHRDDDMGTLFDMMIPTLDAPETVPLPPAAAAPNHSGSTSQHSSDDQDPSTADLGVDLPAAGGASPSDQDWGLDGVCQWSSMSRIC >PAN45499 pep chromosome:PHallii_v3.1:9:7380472:7383735:-1 gene:PAHAL_9G123800 transcript:PAN45499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGQETDKNIEIWKIKKLIKGLESARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEMLIVWENLDINRYVLKNSATGEIIIKHLNKEQEADQSQFRDPSTNAELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDEGVYEDSD >PAN48953 pep chromosome:PHallii_v3.1:9:58299429:58306409:1 gene:PAHAL_9G415200 transcript:PAN48953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRRNLLLVLPAVLVLLVTSAAALSVSTPVELTVTSHPPASVSLPPARSISLGDGGAAGGPYCTRVLLRGRPSRLRDPSRFFHALRLRANATRPHGLELCFHRNATVGPCKCAASQWQKMPKSGLWVQAISPYDHRILDFRMPADPSRSVVVSTEEEFLLHRVVFLVLGLVLMVVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFAYSTVVGMTTYFLHYLSGLLRSVLVEIGIAEDMHNPLGIFLLVLVILAGAWFGYWGVRKLVLTEDGSVDAGVAYFVEWAILIVSAVMILQSSLDYLFAFAALVFCVVIKAIARIDGKSRILRNLSRGLPSGTATHSSRYGDLDEDYSSMNGAHQDGFGKLHGYLRRTPRKNSPLAGSAKTQSHGVAMDTYYSTYHTTPDRRKFSEEEYKAFTREETKKAMKQLLSSPDFNRWALANADRISVTPPGGSYSSSSRQQRHRFLGLF >PVH31678 pep chromosome:PHallii_v3.1:9:13468946:13469638:1 gene:PAHAL_9G208800 transcript:PVH31678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRGHTHHKVAACKFRGCESKLDTYYYTICVPNKDYTTGFCFVALGDRPHPVPFSASPLIGTIAVKCLRNR >PAN44277 pep chromosome:PHallii_v3.1:9:1754860:1758769:1 gene:PAHAL_9G031200 transcript:PAN44277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRSKSRSRSKSRSRSRSRSRTRSPQRRDRLRSERAPRRSRSRSRSRSPYRRRERRGHRDFTCKNCRRPGHFARECPSAATCNNCNLPGHFAAECTSATVCWNCKESGHIASECKNEALCHTCNKTGHLARDCPTSGSNVKLCNNCFKPGHIAVDCTNERACNNCRQPGHIARECKNDPVCNLCNVSGHVARNCPKTTLASEIQGGPFRDILCRICGQPGHISRNCMATIICDTCGGRGHMSYECPSARIFDRGLRRF >PAN44276 pep chromosome:PHallii_v3.1:9:1754860:1758769:1 gene:PAHAL_9G031200 transcript:PAN44276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRSKSRSRSKSRSRSRSRSRTRSPQRRDRLRSERAPRRSRSRSRSRSPYRRRERRGHRDFTCKNCRRPGHFARECPSAATCNNCNLPGHFAAECTSATVCWNCKESGHIASECKNEALCHTCNKTGHLARDCPTSGSNVKLCNNCFKPGHIAVDCTNERACNNCRQPGHIARECKNDPVCNLCNVSGHVARNCPKTTLASEIQGGPFRDILCRICGQPGHISRNCMATIICDTCGGRGHMSYECPSARIFDRGLRRF >PAN45832 pep chromosome:PHallii_v3.1:9:8948501:8949712:1 gene:PAHAL_9G145800 transcript:PAN45832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFTFFSLRCFDVCLASNSVLGLATYHGFGSHAWFAIGFSNFHVATCMERGSAGDRNQEKEDEAVVAAAAAAAAEAGNGSQEDEAVVAAAAAAEAGNGSQLVMPEDGYEWKKYGQKFIKNIQKIRSYFRCRHRLCGAKKKVEWHPSDPSGALRVVYEGAHQHGSPPSSSAAAAGGASNKYELGAQYFGGARPQ >PAN45829 pep chromosome:PHallii_v3.1:9:8948254:8950166:1 gene:PAHAL_9G145800 transcript:PAN45829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSQPNAIGSAGDRNQEKEDEAVVAAAAAAAAEAGNGSQEDEAVVAAAAAAEAGNGSQLVMPEDGYEWKKYGQKFIKNIQKIRSYFRCRHRLCGAKKKVEWHPSDPSGALRVVYEGAHQHGSPPSSSAAAAGGASNKYELGAQYFGGARPQ >PVH33171 pep chromosome:PHallii_v3.1:9:70114487:70116969:-1 gene:PAHAL_9G584900 transcript:PVH33171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVAPGIRPANAHFSPAKPLQPIKFSDDTERLQHINSVRKSTVGALMTLVIDLLYKTRQAFTAEQINEATYVDIHGNKALFDNLRNNPKVKLDRRHFSYKPAHVLTGRDQLHSLIKKQLDGIVVEEVKDANPSILEDLQALKASGDVWWLSGTNSQEDTTHFNDPRYRITVDIDLKQLFWGIELPRDMLDIEKELKKIGEKPSTDTAKRRALAEISGAASRPGRSSAASRAGPGSRTGICQNCSRT >PAN51313 pep chromosome:PHallii_v3.1:9:70114007:70118518:-1 gene:PAHAL_9G584900 transcript:PAN51313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVAPGIRPANAHFSPAKPLQPIKFSDDTERLQHINSVRKSTVGALMTLVIDLLYKTRQAFTAEQINEATYVDIHGNKALFDNLRNNPKVKLDRRHFSYKPAHVLTGRDQLHSLIKKQLDGIVVEEVKDANPSILEDLQALKASGDVWWLSGTNSQEDTTHFNDPRYRITVDIDLKQLFWGIELPRDMLDIEKELKKIGEKPSTDTAKRRALAEISGAASRPGRSSAASRAGPGSRTGICQNCSRT >PAN44866 pep chromosome:PHallii_v3.1:9:4412607:4414430:-1 gene:PAHAL_9G076400 transcript:PAN44866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPELAAWLESRDAHWCSQYPAAPAPDEESEIVAQFLAAPYPYPNDDDDDEREQKHQHHNKLGEISATSSTYWPEPGHVTDPGNGACYWPPHGAASNRNSSGSGAYFDGSGCCYYYLAEPDVSLGINTRTTLLCTSSIDLNLLGDGEEEGTSSVVHPAPNPSPADHTHTAGHRNGGDDGAAARAAVSLPKRKALAGNDGGDLGRHKKKEKKAASKTAQKFSQESSQSKGSCSADESLSNCSEVNRRLGAHGGGGNAKARAAKGSATDPQSLYARRRRERINERLKILQKLVPNGTKVDISTMLEEAVHYVRFLQQQIKMLSSDEMWMYAPIAYNGMSLGIDLKISTLQ >PVH32548 pep chromosome:PHallii_v3.1:9:59163721:59164267:-1 gene:PAHAL_9G425700 transcript:PVH32548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTPLAGELAWPTRGNRWEGSSTLPARRHWRGSAPQPDLCDAARVGARGARPGARHHR >PAN51716 pep chromosome:PHallii_v3.1:9:71880968:71882280:-1 gene:PAHAL_9G615000 transcript:PAN51716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARDLCCLASPLRGKASDADARRRHSSAACICCIGPHHKPSAAAPDADLSARLPLTSCCGSAGDAVRGRSTPRTPRTPCTPTARRLCGVRSRTPRRGQVGCFPPPHAAAAPAAASAGAPAAAARTPRTPTTPLGPRQQGGACCVRVPAQGSAKLGRRRSWFRSARQAVAQTTPRFRGAGRDSARAAGSNSNAVKVYDARHAEVEAAAEAVATATEEEETCSNDEYALLCREGFSREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEAAVHCMQAMVRLQMRVRARQMLAKPKDKEGQLLQS >PVH31897 pep chromosome:PHallii_v3.1:9:18199893:18200657:1 gene:PAHAL_9G255300 transcript:PVH31897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEDVKFVVINDGSMRERVVQWCASDMFGSCSCKLFERIGIPCSHIILTLRGEKLYKLPSFFILKRWETKCKRETVFDKEGNILEEKAMDANEVERRKKIATIRNKVEDLIQRAKSSNEGMDLLLSTVMNIETSFSQIVPSTVQAPQQEYETFIGCKIPEQIEIHPPTDVRSKGRSKRIKRAKELPKPRKGKNSKIVTKEAH >PAN44501 pep chromosome:PHallii_v3.1:9:2692605:2694881:1 gene:PAHAL_9G048100 transcript:PAN44501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFSSLVTPPPAGDDPNSAVVAAHSKATYDQQWEAAKSSGKLMVIDFSASWCGPCRFIEPAFKEMASRFTDVVFVKIDVDELADVAKTWKVEAMPTFVLAKGGKEVSRVVGAKKDELERKIGMFISS >PAN44105 pep chromosome:PHallii_v3.1:9:1033483:1034456:-1 gene:PAHAL_9G017700 transcript:PAN44105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPASISLVLVLLPLAVTTASAAATAGGAANYLVYVDPHPPGVDCQKYQLGILAAALGGEEKAKAAMVYNYRNVMSGFSARLTPSELEALKKQPQVNRVLPSATLSLMSSNFDGVS >PAN45538 pep chromosome:PHallii_v3.1:9:7511181:7514150:-1 gene:PAHAL_9G126000 transcript:PAN45538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGAAGGPDSSSPADPTSPEPDPAAAADAMDARLPADLLRAVLQRLPPIDLARSACVCRAWHAVASDRAVLEAAFCAPWGVRRVVGEPATQAFWRAASLGRFALSHAVRRGDNVPGVALKYSVQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILLGSTCYIEMDHNAKREVAVFYPEGRPSGKTESLANIASAERRSRRILESVRRSLHVDDETAAYYLSVSEGDPRAAMMEYSEDLRWEQQRAGR >PAN48644 pep chromosome:PHallii_v3.1:9:56752245:56754463:-1 gene:PAHAL_9G397000 transcript:PAN48644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rhodanese-like protein [Source: Projected from Oryza sativa (Os10g0545700)] MARSVSYVSAAKLVSMARGNPRVAIIDVRDDERSYQAHIAGSHHFASGSFAARMPELARAASGKDTLVFHCALSQVRGPTCARMFSDYLSETKEDSGIKNIMVLERGFNGWEVSGQPVCRCTDAPCKGTCS >PVH32678 pep chromosome:PHallii_v3.1:9:61651196:61653119:-1 gene:PAHAL_9G458600 transcript:PVH32678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSGSYNQDGGARIHSDKSSGSYAADALTEELQQGPSVRGRSLRKVPSPKKVDIDRAVDLMRFVFREGMPFLDNGSGRCFAERMIVDMPGFMVNMMFQDPGISQRSGPPRMWTITPTLDKDIAHAFAKETRKGIGSELQGDFYGIYVDVIYIPSKFMSCMVLFARYINGKGDVVERLLGIVPEPSAHGSSLEVAVGSMLSEAGLNCPQFTEKVCALIQEGGVNRDNDLKKPGETRWGSYYEALVNFATYFDPSCEALFFVRDVVKNNDQSYLAYKVLEGLSYDFAFGLLLMQDGTCTALLQEAKRQLQVMRDEGWTPFLNKIGMFCSDNEIPMANMGEKFDPRPRLRDEATTMTNLDHYQVDFFEKVINIHLNELDRRFSEQSSALFLLSSCLSPRNSFQAFDKEKLIGYARLYPSEFSDTAIAALDLQLAAFITDVRSDARFREMNALSDLSVKMVETGKNTAYPQVYLLLKLALILPATPATAKTASSALKFIDSTMMKEPCNQWTSDCLLLFLERDIFERVTNDAVIALL >PAN43984 pep chromosome:PHallii_v3.1:9:555168:557051:-1 gene:PAHAL_9G008900 transcript:PAN43984 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair RAD52-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47870) UniProtKB/Swiss-Prot;Acc:Q9FIJ4] MESAFLARPLAPPLRASAALPRASRRPTRVAVVAAAVPERKPPGAVASTTNYVVPLDAAPSGITRPLVEILRDLNKRVPDTIVRPPSRRASASDPVIPWYHANRMLSFYAPGWCGEVRNVIYTDKGKVTVVYRVTVRGTDGEVYREAAGTASLSDARFDDPVAAAEEAAFCKACARFGFGLYLYHEDETP >PAN44148 pep chromosome:PHallii_v3.1:9:1174241:1174921:-1 gene:PAHAL_9G020800 transcript:PAN44148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEMDSSVEKQGSGSPDPDERPASGEPKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREALGLDANKSGGAEQQQQQQRKKKAAAASKREREKEAEADEVTVELRTVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSGVVYA >PAN44147 pep chromosome:PHallii_v3.1:9:1173690:1175601:-1 gene:PAHAL_9G020800 transcript:PAN44147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEMDSSVEKGSGSPDPDERPASGEPKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREALGLDANKSGGAEQQQQQQRKKKAAAASKREREKEAEADEVTVELRTVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSGVVYA >PAN48168 pep chromosome:PHallii_v3.1:9:53320326:53324513:1 gene:PAHAL_9G364800 transcript:PAN48168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNEPTNKPTSRKAPEREEEHTTRRTEVVLAQAGPPPLGPATMARTRFPGQLCWCIFFFSLLCCSYASPSRPLISNSSGGITHLPGFQGPLPFHLQTGYVEVDKSNGVRLFYYFIRSERSPADDPLMLWLTGGPGCSVLTGLAYEIGPLSFDVNGYVDGLPKLVYNQHSWTKVCNIIFLDSPVGAGFSYSDTGEGYVSSDIKAVRQILIFLKKWFDEHPEFMPNPLYIAGDSYSGKIVPTVTSEIARGKEDGREPNFNLKGYLVGNPVTDSNFDGPSRIPFAHGMGIISDEIYESYKKSCIVGDNSHQSIECMNSLDAIQECLKGICPNHVLEPLCAFASPHAHKMETKPKLNSGTREMLQLQEYTADAELHLSEISLQCRTAGYIMSSIWANNASVRETLGIHKGTVPSWSRCNYYIPYTSDIPSTVKYHLDVTIKGYRSLVYSGDHDMVIPHIGTQAWIKTLNFSIVDRWRPWFVDGQVAGFTRSYSNNLTFATVKGGGHTAPEYMPRQCFDMFARWVSGDPL >PVH32174 pep chromosome:PHallii_v3.1:9:46754851:46755656:1 gene:PAHAL_9G340000 transcript:PVH32174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPPAAAAPSLRAAAAAVRGLLAAAAAPSLRAAARGQLAATAASSLTTVGKMGRPCA >PVH31461 pep chromosome:PHallii_v3.1:9:9232163:9237418:1 gene:PAHAL_9G150000 transcript:PVH31461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEEHVAAAAAAEQTPEKKEAGVTELPAPSGWTKKLAPIRGGKFEVIFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWGTSDTPRRSARLSEKVKPTESPEGEKTPKRGRSSSKRGKKEKKEDAEDANETVDRGTLEGTDVEMKDAENTVEENKEEVPSADVADKTEGGEEKKEEASGADAPEKTEQGAKGQEQANNVATPESENKSDAKPAESKVAPPAPVVEEEKKEAKTENTLAAEPAVPPAAESAVPLAASSEGEKKEDGGVIEPVAPPVAETKADAPPAEAAKEAENSGQANVAPQEPSAANCDSKGQIQPGASAVRCT >PAN45771 pep chromosome:PHallii_v3.1:9:9234109:9237003:1 gene:PAHAL_9G150000 transcript:PAN45771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWRDFGQPHVHTKEELAPIRGGKFEVIFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWGTSDTPRRSARLSEKVKPTESPEGEKTPKRGRSSSKRGKKEKKEDAEDANETVDRGTLEGTDVEMKDAENTVEENKEEVPSADVADKTEGGEEKKEEASGADAPEKTEQGAKGQEQANNVATPESENKSDAKPAESKVAPPAPVVEEEKKEAKTENTLAAEPAVPPAAESAVPLAASSEGEKKEDGGVIEPVAPPVAETKADAPPAEAAKEAENSGQANVAPQEPSAANCDSKGQIQPGASAVRCT >PAN49422 pep chromosome:PHallii_v3.1:9:60996851:60999218:-1 gene:PAHAL_9G447800 transcript:PAN49422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIIFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVDSEKHIDFSLTSPLGGGEPGRVKRKNQKKASGGGGDGDEDEE >PVH33365 pep chromosome:PHallii_v3.1:9:73350018:73353341:-1 gene:PAHAL_9G635900 transcript:PVH33365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAEGEREREREREREREREREREREREREKTRSAGSHLPRTAPPLLLFIGPGAGGHRRNAGRRGQAGGSPDPAKNSRREGAGPPMGIKQVLVRRRRHDDDDDDDGGSSTGSAAAREEVLLDQSPSPPPMSSCGRYLLHRVCRFDTLAGVAIKYGVEVADVKRANGLTTDLQMFAHKTLRVPLHGRHPPAAATSPPSSSPSHADRARVWTMRRPPKNAASLDPSLKPPRSTVSSSMSLLQGYYGLTPTPKENLTNEGTEMATYIKGHHRKARSLSSSFSLENGDSNRETDDAEKPIRRRQKADVELTTREDNGGSLLARAGQGLAMRPKSGSRADMNSSQQDLLATWMPSYGDGLHAVKKSLSTPEFQDSDSISIASVWLKSKWNLKPDVFTLTLPLPLFDGIPKPLFDSIPKPLLDNIPNSIAAWRNKAAKD >PAN44233 pep chromosome:PHallii_v3.1:9:1518049:1520300:1 gene:PAHAL_9G026900 transcript:PAN44233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGFSEKEQMFWMAEKEMEYRVDLFNKLTQTCFDKCVEKRYKETELNMGENSCIDRCVSKYWQVSNIVGQMLGNRPQM >PVH32305 pep chromosome:PHallii_v3.1:9:53355931:53359447:-1 gene:PAHAL_9G364900 transcript:PVH32305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLARSVGRRSPRCFRLAIAIDFQSTPEGRGGGGEEQSLLVLAARFAAAGRESIRLLRLTQPSGSRAAMAPLPLLLLGLFLLVLPLAAAQQMRSFSANDTAWRPTDSNRTLVSPGGDFAAGFVPAASSTSGTYRFAVWVVGASSTDRAVIWYAHSLKNNSAYEADGASALAVDATGRLSWSDAGNGTVWSLSPVPNSSASAAALLLNDTGSLLYGGAWSSFVEPTDTLMPGQAIPGGNTTTLHSANGRYTLVNSATLQFGSLMYANVSSGSALLNLTDDGKLILSGGTPPMLIASDQGTTNRVRRLTLDDDGNLRLYTLLPRPRRWKVVWQLVQELCTIRGTCPAARICVPVGADSVSCVCPPGYGNATLEGPCAPKKNYSGRGNDDKFVRMDFVSFSGGAPNTLADPGPLMTKLPPQNLVDCEKMCRQNARCVAFGYKFGGDRTCLQYTALADGYWSPATEMSTYLRVVSSDNDSNPFTGMTDMIETVCPVRLKLPVPPKQARTTIRNVAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKAATKDFSDVVGRGAYGTVYRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADREQRMLVYEYVPNGSLDKYLFVSGGGGGGGGGEDDDSSPSSSEQKQQPPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKRDKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEVVSGRRNYGFRQESVGSEDWYFPKWAYEKVYVERRIDDILDPRIAASYDDVASVATVERMVKTAMWCLQDRAEMRPSMGKVAKMLEGSVEITEPVKPTIFCIQDD >PAN45622 pep chromosome:PHallii_v3.1:9:7856895:7862562:1 gene:PAHAL_9G131100 transcript:PAN45622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAFALRLAAMLFHMARQETDMLLGVPGEISKLQRIFSDLSRILVDAERNHICNNNATVGNWVSELRDAMYDMDNVLDKWQILQWGKKPSTSSMLECCKISLLFCHCNPGGTYKIGRKIQALNKRLEDIMQRSKHFDFISKVVGSSRYLDHKAVKYHRKSGSSIIRSDIVGEKVEQDTRMLVNYLLSQVDTRAKCLDISTVVVSIAIVGLGGIGKTTLARMIFNDSAVEDMFDKRIWLSVNQDVDETDVLHRVLAALDGKYNYRGFVGDKDQLECAVKCAVRHKKFLLVMDDVWSDSIWNELLRVPLNDGAPGSQVLVTTRNHGVACRMKAQLFHHIDKLNPEDCWSLLKKVLFNDEDKSEIVGLEDIGMDIVKRCDGLPLAVKVVGGLLLSRSRRRGAWMDILDHSAWSLMSDDLNNAVFLSYEELSPPLKQCFLQCSLIPKSKVIQRRTIVQMWIAEGFVRDDTGSQLPEDLGIEYYKELISRNLIEYDTQIEDQSGWIMHDVVRSFAQYVIRDEGLLVSEGKSIDSSVGNLKFRCLSVSTKEVDCASLQKQKSLRTLMLFGTATVELKFLLNKFPYLRVLHLQDADVAELPQSICDLRHLRYLGLEGTGITTIPRGIGNLKFLQHMALNRCKNLMQLPDSIVQLQHLMSLNIRGTKISSIVRGFGNLQNLVQLWGFPTNLQDKIGDRCSLGELGPLSKLKLLTIEGLEKAFAGSMVAEAKLSSKSHLTQLELKCGSMLKDRKEVEADRKDYHTTQIEEVFDDLCPPPCIEDIVLTGYFGHQVPKWMARMAAFQNLRLLVIRDYPCCKQLPSGLGQIPFLDYIRILHAPSIESIGHEFLLPSFGSDGTGTDETSEITETSTGTRQPCHLSCDVDVAFPKLRELVLDQLMEWREWYWDEQVQAMPVLQSLRIDSCKLNRLPPGLACQARSLRKLCLVNILHLVSVENFPSLVELHLSQNPELQRVTNNSSLQKIEITNCPAVVVLEDLPALQSITWDDLDAETLPEYLREVEIKKLDVKCSLTLLQIGVRSSMSND >PAN44538 pep chromosome:PHallii_v3.1:9:2888604:2894628:-1 gene:PAHAL_9G051500 transcript:PAN44538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRRAPGIRALALVLLAVAAAAGVSAGDPDPDELERAFPIVEPDHGHTKLRLSEQGLDAIRRIENPIAIVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEMDVDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVPNDNGDKYIDEVNQIRDSLAVMGDNSTAFSLPQPHLQRTKLCDMEDKELEPLYVKRREQLKQLVSSIIKPKIVQGKTLNGKDFVSFLQQILEALNKGEIPSTGSLVEIFNKAILERCLKVYRDKMDGLGLPVPLEKLQQFHEMANEEARIIFDKQHFGKHHAAQSVLKLEDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFTHCNQSFVRECVGPAKESYERRMSKMLVKARALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIVIMVSFGILYWRCFGGRRKRGRGSLLPVYKNSYKNSSRPRSD >PVH31260 pep chromosome:PHallii_v3.1:9:5618027:5619813:1 gene:PAHAL_9G097700 transcript:PVH31260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEEPAGGGMDPALYKAATQGCVRSLRQLVVKDVKILNSKTPQGNTALHVAALYGHPNFAREVLKVSEELLVAKNADGDTPLHLAARNGKVKVAELIISRAQAWPADLNADDAVLKSPLIIANGNGNTPLHEAVLHQNNAVALKLLDADSKRAHDLNDKNESPLDMAAREGLVQVVRKIVNVPWVPTDFIQSVGGTALHQAVLGGHTRVVEILLDKHPELLDLTDPDSNNALHYAAQKDRKREVEILLKKRTELAYKPNSQKQTPLHIAAHQGSTDAVRALLRHCSDVAEMVDSYGQNAFHASVTSGKANALRCLLRHVRPADLLNRVDGNGNTPLHIAAKMGHVHSALLLLKDRRVDPCVLDKDNQTARSLVEKKLHTGLMDTYEMYLWKQLKRQESIRCRKQQLPPLTFGGDSRTSSHKYFERSVETYILVATLIATVTFAATFTMPGGYDQTKGIALHGHNTAFKIFVISNTVAMCSSIVVVYCFIWAWKNPLKFKVDKLLWGHRLTMIAGLGMLVSLMAAVYITVAPTSRWPAYVVIAIGMSTPVAVVLMLGKEVMFVPL >PAN46726 pep chromosome:PHallii_v3.1:9:13597554:13598165:1 gene:PAHAL_9G210600 transcript:PAN46726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATTARRRLSSTILALAAVLPLALAAASSPHRALLQSSSCQPSGSIRGRSGRCNPENDSVCCEDGRRYATFACSPPVVAAGGGGTRATLTLNSFADHGDGGGAASCTGTFFGDGERVVALSTGWFGGGSRCGRSVVIRATRNGKSVTAKVVDECDSLHGCDGEHNFEPPCANNIVDGSPAVWKALGLNTDDGEVPITWSDA >PVH30930 pep chromosome:PHallii_v3.1:9:908433:911410:1 gene:PAHAL_9G015500 transcript:PVH30930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVLNAVMDLVVPPASMVMLAFAWPTLSFLRGVEWVLKTLTKEDMLGKVVVITGASSAIGEQIAYEYARRNANLVLVARREHRLFGICDNARLLGAGQVLVIAADVVKEDDCRRLVSDTVSYFGQLNHLVNTVSLGHDFNFEEAADTAAFPHLMDINFWGNVYPTYAALPYLRRSQGRVVVNASVESWLPMPRMSLYSAAKAAVVDFYETLRYEVKDDVGVTVATHGWVGGDAGGGKFTLEEGAAEMQWKEEREATLSGGHVEAYARALVAGACRGDAYVKRPSWYDVFLVFRVFAPDVLAWTFRLLLSTAGPSAATTTTAARRPPPAALPAPPVRPLLEYPPAARRTAAQMQKLE >PAN44083 pep chromosome:PHallii_v3.1:9:908433:911410:1 gene:PAHAL_9G015500 transcript:PAN44083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVLNAVMDLVVPPASMVMLAFAWPTLSFLRGVEWVLKTLTKEDMLGKVVVITGASSAIGEQIAYEYARRNANLVLVARREHRLFGICDNARLLGAGQVLVIAADVVKEDDCRRLVSDTVSYFGQLNHLVNTVSLGHDFNFEEAADTAAFPHLMDINFWGNVYPTYAALPYLRRSQGRVVVNASVESWLPMPRMSLYSAAKAAVVDFYETLRYEVKDDVGVTVATHGWVGGDAGGGKFTLEEGAAEMQWKEEREATLSGGHVEAYARALVAGACRGDAYVKRPSWYDVFLVFRVFAPDVLAWTFRLLLSTAGPSAATTTTAARRPPPAALPAPPVRPLLEYPPAARRTAAQMQKLE >PAN50918 pep chromosome:PHallii_v3.1:9:68361067:68362854:-1 gene:PAHAL_9G556700 transcript:PAN50918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMAGLSLGDSEFFKILLPGMYEEALRLPAKFARDLGARRDLKLRLAGGEMMPLWDVEVFADEKRGDMYLGRGWKKFARAHDLRDGYLLVFRYDDGAAALTVTVFDRSTCRKQYVHAGAAGGGKASRGAGAGRLSLAIAEPSHFAVSLRQCNLGTKQNQYLNVPVEFQDAHGYARRRQVELRMGGRSWSVNLKRGKRVLGDRTAFKYGWHQFCVDNGLEVGDTCFFTVIREGACVDDDDEEWEDEWEDDEHVLKVEVRKKNGMMLT >PAN50917 pep chromosome:PHallii_v3.1:9:68361072:68363119:-1 gene:PAHAL_9G556700 transcript:PAN50917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMAGLSLGDSEFFKILLPGMYEEALRLPAKFARDLGARRDLKLRLAGGEMMPLWDVEVFADEKRGDMYLGRGWKKFARAHDLRDGYLLVFRYDDGAAALTVTVFDRSTCRKQYVHAGAAGGGKASRGAGAGRLSLAIAEPSHFAVSLRQCNLGTKQNQYLNVPVEFQDAHGYARRRQVELRMGGRSWSVNLKRGKRVLGDRTAFKYGWHQFCVDNGLEVGDTCFFTVIREGACVDDDDEEWEDEWEDDEHVLKVEVRKKNGMMLT >PVH31494 pep chromosome:PHallii_v3.1:9:9937395:9939305:1 gene:PAHAL_9G159900 transcript:PVH31494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPLHGCPSPSPTPTTAPPPLLPSSASISAFIASDPALTLLHTRCASMAHLRQLHAALVKSGLAKDPIAASRAVSFCAGPGRDVAYAERIVRHHPRPNSFMWNTVIRALSDGARPEAAVALFVDMLGSPTPPERRTLPSVFAAYARLGRAGAGAALHGMALKLGLAGDAYVRNAAIAMYASCGRADEALALFGQCPEFDVVACNSVIVALSRVGRVDEARTVFDGMPDRTVATWSAMVSAYARAARCGEALVLFSAMQAGGVEPNANVLVSVLGCCASLGALEQGAWVHAYIDHHDVAMNALVVTALIDMYCKCGSIDKARQVFDTARSQGLAKLSSWNSMMQGLAVHGHWQEAIALFSELKSHGLSPDNVTFIAVLTAYGHSGMPDEAKAAFASMATEHNVKPGIEHYGCLVDALARAGRLREAEDAILAMPMAPDAAVWGALLSGCRLHGDAELGTRAAREAVRFDPRDSGAYVLAASVAVRGGDGGRAAAGVRGRMREAGVGKVPGCSMIEVNGVVHEFVS >PAN50697 pep chromosome:PHallii_v3.1:9:67163544:67164155:-1 gene:PAHAL_9G539200 transcript:PAN50697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAASILNASLLLILLISATAHVGDVNTTMFSNAAATSPRDDTEIYICYLCTGRNPLLIRYCPIYWDECHLVCYADASAATATAIPAAPAPLPPSLGSADPSEVGDEECYVMKLYQNGSYTIVNRLGCAQIARCLLSCGGGDMADQKALGASTAAPATTAAIKGSFPPPRVADFQRCGTQVNAPLAPPSGAVPGGGARRRR >PAN44345 pep chromosome:PHallii_v3.1:9:1646156:1648717:-1 gene:PAHAL_9G029500 transcript:PAN44345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGGTADFFYRESQRLGYVARSALKLIQMQKQHRLITPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVVVGVDVKKVKVPSAHCDSRVRTVCADVMTLMKQQARAMSPQERGFSVILSDMCPRISGIKTRDEAISCELGMRALSLAIGKIKVKESDYSDTIEKYLSSTEPDSDEDGVLRRGGNLVLKFLENEDVSGFGKFCKVKFKKVSLLRPKATRPSSREIYMICEGLR >PAN48223 pep chromosome:PHallii_v3.1:9:53656981:53662966:-1 gene:PAHAL_9G368500 transcript:PAN48223 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K9 demethylase, Floral organ developmen [Source: Projected from Oryza sativa (Os10g0577600)] MVEGRSYLPAQVRDGLETLKRRRLERMRLSAQNEAGDNPAVAARSGGDALRSPANCGVRLHSNNGTGLPGNVQDKDPFAKRKVEKFDMSNLEWIDKIPECPVYCPTREEFEDPIAYIQKISPEAAKYGICKIVAPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYEKMANKVFSKKYSSASCLPARYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQMPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILIGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQRPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPLLAHQELLCRSAVLLSQKLLTCDPRSLDKSEHPYSQYCVKSCFVRLMRFERRARGLLTKMGSQICYKTKTFPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQELRSCPCKSNRVVYVREDILELEALSRKFEEDICLYKERICIGSSKEAEISDINVERVPNFATTLDFSNSKIGISGIVTADDGKSYPSVPNLSSSAYHEVPRHLESRAINPSSVTKGTYAMDEISCGVDDACNLGSCNASAMECSDNSDSESGIFRVKRRSTSFEKPTDTKISNLSEQQVLRRLKKVNPEVQRASKRPEESDTCPVRSVRMSQKSSNPASDDDEREDTVPISWRIKRRQLETQDNNTAHGAKPQSCPPSGSSLEEFAERTRDATAEYRPKRVKIRLPSSASRQLEQQRSSGQRFARDDKLSLGCPRTF >PAN48221 pep chromosome:PHallii_v3.1:9:53657528:53661167:-1 gene:PAHAL_9G368500 transcript:PAN48221 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K9 demethylase, Floral organ developmen [Source: Projected from Oryza sativa (Os10g0577600)] MRLSAQNEAGDNPAVAARSGGDALRSPANCGVRLHSNNGTGLPGNVQDKDPFAKRKVEKFDMSNLEWIDKIPECPVYCPTREEFEDPIAYIQKISPEAAKYGICKIVAPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYEKMANKVFSKKYSSASCLPARYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQMPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILIGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQRPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPLLAHQELLCRSAVLLSQKLLTCDPRSLDKSEHPYSQYCVKSCFVRLMRFERRARGLLTKMGSQICYKTKTFPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQELRSCPCKSNRVVYVREDILELEALSRKFEEDICLYKERICIGSSKEAEISDINVERVPNFATTLDFSNSKIGISGIVTADDGKSYPSVPNLSSSAYHEVPRHLESRVHATQTNRIYSTSNQAINPSSVTKGTYAMDEISCGVDDACNLGSCNASAMECSDNSDSESGIFRVKRRSTSFEKPTDTKISNLSEQQVLRRLKKVNPEVQRASKRPEESDTCPVRSVRMSQKSSNPASDDDEREDTVPISWRIKRRQLETQDNNTAHGAKPQSCPPSGSSLEEFAERTRDATAEYRPKRVKIRLPSSASRQLEQQRSSGQRFARDDKLSLGCPRTF >PAN48222 pep chromosome:PHallii_v3.1:9:53657528:53662593:-1 gene:PAHAL_9G368500 transcript:PAN48222 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K9 demethylase, Floral organ developmen [Source: Projected from Oryza sativa (Os10g0577600)] MVEGRSYLPAQVRDGLETLKRRRLERMRLSAQNEAGDNPAVAARSGGDALRSPANCGVRLHSNNGTGLPGNVQDKDPFAKRKVEKFDMSNLEWIDKIPECPVYCPTREEFEDPIAYIQKISPEAAKYGICKIVAPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYEKMANKVFSKKYSSASCLPARYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQMPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILIGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQRPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPLLAHQELLCRSAVLLSQKLLTCDPRSLDKSEHPYSQYCVKSCFVRLMRFERRARGLLTKMGSQICYKTKTFPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQELRSCPCKSNRVVYVREDILELEALSRKFEEDICLYKERICIGSSKEAEISDINVERVPNFATTLDFSNSKIGISGIVTADDGKSYPSVPNLSSSAYHEVPRHLESRVHATQTNRIYSTSNQAINPSSVTKGTYAMDEISCGVDDACNLGSCNASAMECSDNSDSESGIFRVKRRSTSFEKPTDTKISNLSEQQVLRRLKKVNPEVQRASKRPEESDTCPVRSVRMSQKSSNPASDDDEREDTVPISWRIKRRQLETQDNNTAHGAKPQSCPPSGSSLEEFAERTRDATAEYRPKRVKIRLPSSASRQLEQQRSSGQRFARDDKLSLGCPRTF >PAN48220 pep chromosome:PHallii_v3.1:9:53656974:53661649:-1 gene:PAHAL_9G368500 transcript:PAN48220 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K9 demethylase, Floral organ developmen [Source: Projected from Oryza sativa (Os10g0577600)] MRLSAQNEAGDNPAVAARSGGDALRSPANCGVRLHSNNGTGLPGNVQDKDPFAKRKVEKFDMSNLEWIDKIPECPVYCPTREEFEDPIAYIQKISPEAAKYGICKIVAPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYEKMANKVFSKKYSSASCLPARYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQMPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILIGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQRPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPLLAHQELLCRSAVLLSQKLLTCDPRSLDKSEHPYSQYCVKSCFVRLMRFERRARGLLTKMGSQICYKTKTFPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQELRSCPCKSNRVVYVREDILELEALSRKFEEDICLYKERICIGSSKEAEISDINVERVPNFATTLDFSNSKIGISGIVTADDGKSYPSVPNLSSSAYHEVPRHLESRAINPSSVTKGTYAMDEISCGVDDACNLGSCNASAMECSDNSDSESGIFRVKRRSTSFEKPTDTKISNLSEQQVLRRLKKVNPEVQRASKRPEESDTCPVRSVRMSQKSSNPASDDDEREDTVPISWRIKRRQLETQDNNTAHGAKPQSCPPSGSSLEEFAERTRDATAEYRPKRVKIRLPSSASRQLEQQRSSGQRFARDDKLSLGCPRTF >PAN45029 pep chromosome:PHallii_v3.1:9:5066466:5068865:1 gene:PAHAL_9G088500 transcript:PAN45029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPFSTPAATAAPHATPTPLTSSRKHIAMCLSPKLGGGSQRARLASSATPQVRALSPSMAAEEAAGPSPAPVNVEYLAAEFAGHGVGFEPVGGSCAVKMALRNGSVAHLLLPSGLVTSYKPAMWHGTVTEVLHTNVAEGPGRRTVIRGGVSVDLRCAGAGAGGWSPSGAWSLHDIRGNPTTSIEIELASAAPGNAAAARCVVTLHPEALSTEIAVTNNAPAPMALSCGVSNHLRVSTPDATYALGLQGSDYRSVEPALSEFSIIPPDYRAAARQPAAAGSARHRWANRGFDMILSGGGRDSGAADEQPDGEEDDDYKHMTDAMCRVYSHAPRDFTIIDRGRRNSVRLHRRGFEELYVFSPGSQYQWYGKYAYVVVGPAMLEPVVLGSGDTWQGAQYLRNPNV >PAN50221 pep chromosome:PHallii_v3.1:9:65020018:65023084:-1 gene:PAHAL_9G506300 transcript:PAN50221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLGSGRFLARRPPLALVPRCSRGTPDKGPSDKGETSTDWDKAWSTFKKKGKRTLFSDFSPNKYVTWNPRRSEYPLSEEVDPIKRTERSNLMLWTSPQFTLVGAIIIVLTLLIYTLVVPPK >PVH33216 pep chromosome:PHallii_v3.1:9:70932148:70938092:-1 gene:PAHAL_9G598800 transcript:PVH33216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPDSVRGKEEAAPGREAGDPEEAGNEFQLVTLGKKKKRASGQEGGSAGSGAVLGAGSVRALTKDKGAAPGTKPKVPFHDPSIPRPQDVYKIIVDNYKPFEHVWLERSEDGSRPVHPLEKLPVEQFVDRNVPESEPVKPADLEDTPFTLVEDHKGLMELAKKLKSVTEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEPFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLLHFCGVTAKKEYQNADWRSRPLPEEMIKYAREDTHYLLYIYDLMKQRLQRESTPENDLLLEVHKRSNEICLQFYEKELLTDTSYLHIYGLQEHELNAKQLAVVAALHEWRDRIARQEDESTGYVLPNKALIEIAKQMPTDTVHLKRIVKSKYPFVESSLDEIVYTVWNALEYSYAFEGIAEELKKERLEQLALKSVQASDETTILDAVSDRSNTDPADQSSVAPSSTANVNVASGSGAGFMKETALIGSIRLEDNTRTISSTKIFETLSGLTRPINKDVLSNNRYQQAQAAQELKRPTLGALGNSAPGRQTENFGGFSKEQFQGGSNVENFRSSVLPYQQFSGGAKHSAAIGPTESFYPNTGMQSDNAWIQSTQMNEAMQLGNATYYTQLPGYSTEVVGSHYEPEGMQMSSYLSGFEPAFQSVSQSTGTGLPPGRNKEGNFQNPMRRQSYPPSGNRYDRPYQ >PAN51502 pep chromosome:PHallii_v3.1:9:70932147:70938257:-1 gene:PAHAL_9G598800 transcript:PAN51502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPDSVRGKEEAAPGREAGDPEEAGNEFQLVTLGKKKKRASGQEGGSAGSGAVLGAGSVRALTKDKGAAPGTKPKVPFHDPSIPRPQDVYKIIVDNYKPFEHVWLERSEDGSRPVHPLEKLPVEQFVDRNVPESEPVKPADLEDTPFTLVEDHKGLMELAKKLKSVTEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEPFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLLHFCGVTAKKEYQNADWRSRPLPEEMIKYAREDTHYLLYIYDLMKQRLQRESTPENDLLLEVHKRSNEICLQFYEKELLTDTSYLHIYGLQEHELNAKQLAVVAALHEWRDRIARQEDESTGYVLPNKALIEIAKQMPTDTVHLKRIVKSKYPFVESSLDEIVYTVWNALEYSYAFEGIAEELKKERLEQLALKSVQASDETTILDAVSDRSNTDPADQSSVAPSSTANVNVASGSGAGFMKETALIGSIRLEDNTRTISSTKIFETLSGLTRPINKDVLSNNRYQQAAQELKRPTLGALGNSAPGRQTENFGGFSKEQFQGGSNVENFRSSVLPYQQFSGGAKHSAAIGPTESFYPNTGMQSDNAWIQSTQMNEAMQLGNATYYTQLPGYSTEVVGSHYEPEGMQMSSYLSGFEPAFQSVSQSTGTGLPPGRNKEGNFQNPMRRQSYPPSGNRYDRPYQ >PVH32788 pep chromosome:PHallii_v3.1:9:63577517:63579191:-1 gene:PAHAL_9G486600 transcript:PVH32788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRSKARAARLLSGRAPASARVDPGAYTGVVPDTEYLVHLAIGTPTQPVQLTLDTGSDLVWTQCRPCPACFAQTLPYFDPPNSSTFGALPCDSPMCDDLAWSSCGKQNWGNRTCVYVYAYADNSITTGSLDADTFTFAAGDSSGEASVPGLAFGCGIFNNGIFTSNETGIAGFGRGALSLPSQLKVDNFSHCFTTITGSEPSTVLLGLPADLYGGAVQSTPLVQNFSSLTAYYLSLKGITVGETRLPIPESAFALRQDGTGGTVIDSGTGMTSLPRGAYELMHDAFVAQARLPVDNATSSLLSQLCFSAPRRARPGDVPRLALHFEGATLELPRENYMFEFEDAGGGSFTCLAVNAGDDLTIIGNYQQQNLHVLYDLVGNTLSFVPAQCNRL >PAN46599 pep chromosome:PHallii_v3.1:9:12593934:12600090:-1 gene:PAHAL_9G196600 transcript:PAN46599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVVSGPDYDDDYNDYDEYDDDYDEYDDTRYGNDQHPVQKGKESLKKSSTMVPVHWTCSMCTFNNHESMTYCEMCGVFRETFFKSAKDGSLKDAVNAASSEPRACAAPKTDSAKTPMKTRGVHSDGDSARKHASTSCDKANSTQLPSAGSSLGAEKKKKTPVVSEEIPVERTALLVPGHFQLKHDKSSGASSSSQNDYVNQKLSSDISQLNVHKNNVNVTKPCLPEEYKPEKWMLADQESGALSQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKDMHKNEKESKEKGKGSFAFAWAMDESSEERERGVTMTVGVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLVVAVNKMDAVAYSKERFEFIKLQLGSFLRSCNFKDSAITWIPLSAVENQNLIKPPSDARLTSWYQGFCLLDAIDSLQLPSRDVTKPLILPICDVIKSQSTGQLAAFGKLETGAIQNGSKVLVLPCGVEATVKNIERDTNSCSIARAGDNVAVSLQGIDGNQLIPGGVLCHPGFPVAVANRLELKILVLDIAIPILVGSQVEFHIHHVKEAARVTKIIALLDKTGKPSKSAPRFLKSKQNAVVQIALDGAVCVQEFSKSRALGRAYLRSSGRTIAVGVVNRVIGQDEN >PAN51289 pep chromosome:PHallii_v3.1:9:70033208:70038499:-1 gene:PAHAL_9G583300 transcript:PAN51289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGWGSLFGCFGSSSHGGGGGAKKKGGKKKKSKKPKVAAAAGGGGSGKPRLQSRLSFTELSGMVSPEDLSLSLVGSNLHVFTIAELRAVTRDFSMTNFIGEGGFGPVYKGYVDDKTKPGLRALPVAVKLLDLEGGQGHTEWLTEVICLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGYGVVLLELLTGRRSVDKSRPPREQNLVEWARPYLTDARRLDRVMDPNLAGQYSGRAAQKAAAVAHQCVSLNPKSRPHMSAVVEALEPLLALDDCLVGPFVYVAPPEDSNNNDGDGAAGKRGTRGRGRRKSSDGAAAVVRPE >PVH32456 pep chromosome:PHallii_v3.1:9:57000036:57000827:-1 gene:PAHAL_9G400200 transcript:PVH32456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSPLRRWKPFFAAFGLVDAAIEAAGPALCRDELRGARGEVVELLCGVPAGGGGEAEELCAVLDGFMAESLLTLQAVPAEAVPRVLASSADLAEAIGALRCHQSARVRGLARDVVRGWSAAVEDDIARASAAMAKLDDLCRVPAAEASRPSPVALSVSHGPRTVTPRAPTAIPQSLPKRTPPPAGRATRDCPEEEKKMDATKRKLREGYRGVEDAKRQRKIQVIQAPKILERRQREMHPILRERSQARCGTSAVARRRLLS >PVH32937 pep chromosome:PHallii_v3.1:9:66540997:66542970:-1 gene:PAHAL_9G529600 transcript:PVH32937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAHDPAPFSPLFSDAALSPHFPPALAATDAAALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSAASPHWAHLAAARAATPDGVLRLAHLHLIRELGHGHLARVFLCRLKSSPPASPLFALKVVDLRDDDPSRVSHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRRRQVRKQSRGRKSILLPSCFSGANGGGGVDDELEIEAKERFEFVAEPTSASSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHTKEVTLKNILSKHVTYPQLDGEADAAQLRDLVGRLLERDPRRRMGAARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKEAAAPADRKAAKLGSWSSMGSNCSSKKRKSSSFNGRRSNCEERQGVFRKLMSWSQESRPSKTKTTTVNRVKMTV >PAN49927 pep chromosome:PHallii_v3.1:9:63476410:63477589:1 gene:PAHAL_9G485400 transcript:PAN49927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVSCVGVTPQGALLSRSFADAAIARALHFSLSDAPGPSAPAAEAATTAAPPAAAVLMHGFGGHTPMGSVAPSPSSPARCRLGPAGGHAGKRRRPRPSKRAPTTYISTDAATFRVMVQRVTGADESELLLQQQDGASGLGLGLLLPQLGVEQFLQAGPAAHAAAAAYTTAPPPAPAEQQPLFPTLDSWNVMYGKKNEVV >PAN44847 pep chromosome:PHallii_v3.1:9:4773178:4777405:1 gene:PAHAL_9G083000 transcript:PAN44847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKAGHRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIAKLASAASAQGNEFAEKDATKENTPLEMGKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMERMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDKASNIPQSSDCLGSENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILSICSHEKLLSLYRVPSFSSVDEFLQKVAILRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPKRDAGEDSDAVIISEGGKEFNIDEIYKAESSYIGGLKSIDDFHHIEIPPNAPPGIDEEMLEDGKKPSEPVEEICEESMSDVNDHEGSKTTSGTTQHDKLYTAEGILDPRKRKAEKKRRKANKFSALGDMDADYDFKVDYQMQDAAADGEDDESNGAPANGKDGGDEAKDTDPMTGVDDA >PAN49033 pep chromosome:PHallii_v3.1:9:58739986:58742551:1 gene:PAHAL_9G421400 transcript:PAN49033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVWPWLASVAPLPSAAAPDSPPRPWLLPLAASPDGDSIVLQVHAAATASASPSSAGGGEPARLVAFSLAVSVAGGEARALWASDAFAAASPVPLRLRLLVQLLNEVLALSPYVPCLGATSAVGGAGDALSEAWLDADVVSAAVGAGGTDASSAPAAAFFSLALLLRLFWLCALDAPADAGYLFFRDLGAGIERALGECPPALGAFLRAVGPDVEERFMRSLGYMLAKWCLLREIQAAGSAAAKPAPQQEPRHRRALPAACLSYAAEVHGLWILKGYAPVLAMPRVTGPASASIAASPHEVPEEPALRYGLVHQQLEAVAQLEYAVRVRDRRFLTVSVRVDNVRVRVARLAFRKDDDAGGADDDEDACGGADIMDGERHFPSRIRIWVGPRFGASYATGPSLGRSTGNPERDVEMTRTVKGAFSGPTKLANGNINGGVASPRVKAKIRSSARARTRSWRWEQEAEGSAGVFEGVLCDPVSGTEVSAWRPGVAGTGAGDPRNGMRRRYGGPGRAFSKMRGLVVAGDELPEEMTWRVGREQEGRTLRWRLGLKVWVSYLPNESRSRHFETRCVEWAHEVELPLVPIDGDESF >PAN49940 pep chromosome:PHallii_v3.1:9:63568643:63573924:1 gene:PAHAL_9G486400 transcript:PAN49940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MAGLAAAASAATVASFQFQPSLSGSRFTPVAAPAASFRTQACGLRCWIAAKLTLRKALKRHGWQLQRNLDARRNDKLPDHLEAALLTENRTHQNMHHAYDSGGEMASASSDLLAGSTVSENSMQQGPNPSEIHSPVLNEESAMSNGHYNGEPSLCIAVIGATGELARNKVFPALFALYYSGFLPRNVGIFGYSRKKVTDEDLRAIIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGHDNKDGMSRLNSRMTQIEGTRAANRIFYLAVPQEALLDVALPLADSAQTKQGWNRIIIEKPFGFTGLSSQRVTQSLLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETATEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAAIYIDNARWDGVPFLIKTGMGLMKNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDKYDTEVPDSYEHLLLDVLDGDSHLFMRSDELAAAWNVLTPVIHEIDQNRVAPELYEAGDKGPINAYYLAAKHGVRWDDDW >PVH32786 pep chromosome:PHallii_v3.1:9:63568603:63573924:1 gene:PAHAL_9G486400 transcript:PVH32786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MVKFGTHSDSGGEMASASSDLLAGSTVSENSMQQGPNPSEIHSPVLNEESAMSNGHYNGEPSLCIAVIGATGELARNKVFPALFALYYSGFLPRNVGIFGYSRKKVTDEDLRAIIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGHDNKDGMSRLNSRMTQIEGTRAANRIFYLAVPQEALLDVALPLADSAQTKQGWNRIIIEKPFGFTGLSSQRVTQSLLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETATEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAAIYIDNARWDGVPFLIKTGMGLMKNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDKYDTEVPDSYEHLLLDVLDGDSHLFMRSDELAAAWNVLTPVIHEIDQNRVAPELYEAGDKGPINAYYLAAKHGVRWDDDW >PVH32242 pep chromosome:PHallii_v3.1:9:50871389:50872822:1 gene:PAHAL_9G353200 transcript:PVH32242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDRSTRRQLDFMLGINMAGHTSRSHSRRQEADSRDRKYQYQYQQRLQHETEEEEYERHTGKRLRRREDTRDHWHCPFFIYCWDSGMKRLPTLEDCPECNFQRQDTRSTSVFRRLGLERPRHEQVETSRAGGNFEDEEDRYHRPRWCPDGLNRSQKRRIQRLRSLEEAEAQYLETFRKARPDLAEKVYDPQRVESTPKKVWRPKKSKADVNTSADAHMEFVLPAEFHAPGREEVPVAQLDLGPRPVIFEKPREKNYRHLKALYLKGYINGQPVSRMLVDTGVAVNIMPYAVLRKLGHSAGDLIKTNIMLSDFNGQTSEAQGVLSVELTVGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCCIPSTMHQCLIQWEGDEVEVVHADDSAEVSHATMSVWDAEDQEPISGISLEGCDRVEATKNGVRLVLSTGLTE >PAN45207 pep chromosome:PHallii_v3.1:9:5883386:5885486:-1 gene:PAHAL_9G101600 transcript:PAN45207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARVVESMEDAKQAVPPAEPRRVLPAGMLKLSLGFLLLGVGVGLSAFGLFLARHSEAVAAAAPALFRPCVGAPEEEEALERWIRAPARARHAMADEELLWLASFAPRARGRSGGYPFRRVPKVAFMFLAHGLLPLAPLWERFFRGNEGRYSIYVHTMPLYRANFTSDSVFYRRQIPSKNVEWGQMTMCDAERRLLANALLDISNEWFVLVSESCIPLFDFNTTYQYFQNSSQSFVMSIDDPGRDGRGRYNLNMAPEVELEQWRKGWQWFQVDRELAVAIVRDTVYYPKFKEFCRPGCYADEHYIQTMLTIEATHRLANRTVTWVDWSRGGPNAAHPATFGRGDITEEFLRGIREGGACMYNNQNSTMCFLFARKFAPSALEPLLELAPTVLGFG >PVH32199 pep chromosome:PHallii_v3.1:9:48023707:48025093:1 gene:PAHAL_9G344300 transcript:PVH32199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFSYFRMKQLEVGESNAILTAERLCQLNQQNRHLLYGTTYAAMSFRAILDQVLEKLDLTYMGGEPFLIGGLKFQTKLTFYRPNQLNTLLFDIYSTECDYHWEAEQSALVRALAYFDEVLSWTIGDLNYIPYLKKWVGGVA >PAN49728 pep chromosome:PHallii_v3.1:9:62511900:62513418:1 gene:PAHAL_9G471200 transcript:PAN49728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L22-2 [Source: Projected from Oryza sativa (Os03g0343500)] MARGVAAGAKGGASGGKKKGSVTFTIDCTKPVEDKIMEIATLEKFLQERIKVAGGKAGNLGEGVTVSRDKTKVTVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEED >PAN44594 pep chromosome:PHallii_v3.1:9:3209979:3212826:-1 gene:PAHAL_9G056100 transcript:PAN44594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEDLDFSNPDTFLCPAIGNDLPSSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDHSHTHTCIHVHTKIVAASPEAAESPSENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLIKKLQNHAALEAEVARLRCLLFDIRGRIEGEIGAFPYQRPVKSIDFVSSVDQGSFLGGTQVTNSCDFGCNDQMYCNPEMQGAMRAQVLGQGACDIANIRCIGSAKSGSTKLPVCGGMDTAVCLPNVEKK >PAN48684 pep chromosome:PHallii_v3.1:9:53256462:53258372:-1 gene:PAHAL_9G364500 transcript:PAN48684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYANPLSTATDLKATAGHHPFHDGFSSPSTSTPCLHHGGTRSSAPLKGLLIHISYPTVVQNPFDIKVLLSV >PVH31805 pep chromosome:PHallii_v3.1:9:15952973:15955358:-1 gene:PAHAL_9G236900 transcript:PVH31805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVDGAIGGGCDVSVTTVLPGFAMGAKVWQLRVVVVVVGMGIVAAGAAEFAYDGFGGAGLALDGMATVTPAGLLQLTNDTTMPKGHAFHPEPVTFRRPVGSAGGGGAAAAAAAMSSFSTTFVFAIVSEFLDLSTSGFAFLAAPATDLSTAMPNQYLGMFNGTDNGDPRNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAEAAGYYDDATGAFRNLSLISREPMQVWVDYDAATAEITVAMAPARSPRPKRPLLSKRIDLSTVITDTAYVGFSSASSIVLVKHYVLGWSFRLDGEAPALNYAKLPKLPRIGSKPPSRALTIALPIAAAAAALAALVVGLLLLRRRLRYAELREDWEVEFGPQRFAFKDLYDATGGFKDKWMLGAGGFGRVYKGVLPGPGTPIAVKKVSHHSRQGMKEFVAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPYGSLDKYLHGRADEPVLGWTPRLHIIRGVAAGLLYMHEDWKQVVIHRDIKASNVLLDGDMNGRLGDFGLARLHDHGADPQSTRVVGTMGYLAPELVRTGKATTLSDVFAFGVFLLEVACGRRPVEEDADTDTGDCFLLVDWVLGHWRNGSITSAVDARLGSHYDAAQVDLVLRLGLACLHPSPAARPSMRRVTQYLDGSEPLPELAATYMSFNSFVGMERYRPLFDSWSVRQTTAAMSVATMSDIGLSGGR >PAN44633 pep chromosome:PHallii_v3.1:9:180168:194864:1 gene:PAHAL_9G001900 transcript:PAN44633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRFVVGSHVWVEDPDEAWMDGLVEEINGDELVINCTSGKKVTANVSSTYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAIADRSYRLMINDRISQAILVSGESGAGKTESTKSLMQYLAYMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDANGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDCKKYKLGDARSFHYLNQSNCIALDAMDDSKEYMETRRAMGIVGIGSDEQDAIFRVVAAILHLGNVEFAEGSEADSSVPKDEKSQFHLKTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFLTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASKCSFVSCLFPPCEESTKSTKFTSIGTSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPGIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKVLGRSHNEVTAAKMLLDKANLTGYQIGKTKVFLRAGQMAELDALRTEVLGHSAKKIQSKARSFLARKKYIQLQLCATQLQAVCRGTIARRCYENLRREAASLKIQTCYRMHHARKNYVDVCSASTTIQSGLRGMGARIKLHFKRQTKAAVIIQSHCRCYLARSQYVRLMKATITAQCGWRRRVARRELRNLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADMEEAKTQENKKLQLQLQELQLQLKDTKDLLKREHEAAKEASEKAAPVPEILADTARVNDLTSENERLKVLVASFEEKLQKTEQKFEETEKAREELLNKATDAESKINEMKNTMQSLQEKLTNTEAENNVLRQQAMKARPDNMPLLNMHRKSNLGNGSVHSDEQTPHATPMEFGRRSLIERNNESVDTLINCVVENVGFSEGRPVAAITIYKCLLHWRVFETDKTNVFDRLIQIFGSAMQKQDNNADLAYWLSNSSSLLIILQKSLKPPGSSVTTPMKRPQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPALLFKQQLTAFVEGLYGMIRDNVKKEISSVLSLVIQAPRNAKAGLITDQGSYWQMIVNHLNDLLKILQENCVPAIFARKIFTQIFSFINAHLLNSLLVRRECCSFSNGEYVKQGLDELESWCTQAKPEYAGSAFDELKHICQAVGFLVIFKKFRISYDEIINDLCPVLSVQQIYKICTQYWDDKYNTESVSEEVLDEMKKRVNEGSASDNTFLLDEEISMPLSLEEIASSMDAKDFQNVSPPQELLDNAAFQFLRS >PAN43909 pep chromosome:PHallii_v3.1:9:180168:194864:1 gene:PAHAL_9G001900 transcript:PAN43909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRFVVGSHVWVEDPDEAWMDGLVEEINGDELVINCTSGKKVTANVSSTYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAIADRSYRLMINDRISQAILVSGESGAGKTESTKSLMQYLAYMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDANGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDCKKYKLGDARSFHYLNQSNCIALDAMDDSKEYMETRRAMGIVGIGSDEQDAIFRVVAAILHLGNVEFAEGSEADSSVPKDEKSQFHLKTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFLTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASKCSFVSCLFPPCEESTKSTKFTSIGTSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPGIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKVLGRSHNEVTAAKMLLDKANLTGYQIGKTKVFLRAGQMAELDALRTEVLGHSAKKIQSKARSFLARKKYIQLQLCATQLQAVCRGTIARRCYENLRREAASLKIQTCYRMHHARKNYVDVCSASTTIQSGLRGMGARIKLHFKRQTKAAVIIQSHCRCYLARSQYVRLMKATITAQCGWRRRVARRELRNLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADMEEAKTQENKKLQLQLQELQLQLKDTKDLLKREHEAAKEASEKAAPVPEILADTARVNDLTSENERLKVLVASFEEKLQKTEQKFEETEKAREELLNKATDAESKINEMKNTMQSLQEKLTNTEAENNVLRQQAMKARPDNMPLLNMHRKSNLGNGSVHSDEQTPHATPMEFGRRSLIERNNESVDTLINCVVENVGFSEGRPVAAITIYKCLLHWRVFETDKTNVFDRLIQIFGSAMQKQDNNADLAYWLSNSSSLLIILQKSLKPPGSSVTTPMKRPQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPALLFKQQLTAFVEGLYGMIRDNVKKEISSVLSLVIQVTPSIESHPQAPRNAKAGLITDQGSYWQMIVNHLNDLLKILQENCVPAIFARKIFTQIFSFINAHLLNSLLVRRECCSFSNGEYVKQGLDELESWCTQAKPEYAGSAFDELKHICQAVGFLVIFKKFRISYDEIINDLCPVLSVQQIYKICTQYWDDKYNTESVSEEVLDEMKKRVNEGSASDNTFLLDEEISMPLSLEEIASSMDAKDFQNVSPPQELLDNAAFQFLRS >PAN50358 pep chromosome:PHallii_v3.1:9:65546364:65550282:1 gene:PAHAL_9G514500 transcript:PAN50358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGASCGRPSEEVDFFGAAQSGDLGRLAAALRSRPTLLSRTTLFDRLSALHIAAAHGHLQVVSLALDLCVHPDVVNRHKQTALMLAAMHGKTDCVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRTILSAAKSAPVSESWGFARFVNVRDDAGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGNLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHVACAALLNPSSAEPLVWPSALKFISELEPNAKSLLEAALMEANRERERRILKGTKNALPSPSHSDDGAHDAAIAEASDAEVCSICFEQACSIEVRECGHQMCAACTLALCCHAKPNPATQSQPLPTCPFCRGGIARLVVATRARAGDEEEGSRLESPRHRRARRSMNLSGDAGSTSSLMGSIASSIGKMGRRRTDSSEQVDDKP >PVH33182 pep chromosome:PHallii_v3.1:9:70324587:70325529:-1 gene:PAHAL_9G588800 transcript:PVH33182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSPLDHPASLLIGGDSELPSSSFLRSLHGCNLQRDEAACVLSTWTTSPRRLSVYLGLLLLDAGFRLLLP >PVH33080 pep chromosome:PHallii_v3.1:9:68697791:68701036:1 gene:PAHAL_9G562600 transcript:PVH33080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKIPGKPPHKGARTRAKAFVECSGKEKGKKNLNKMVEVDTAFVLEKRGTDEKALRKASDVNMLVELCSEKKAASGGGTEKFVTIIPRFKLRRGGKVYRIEFLRKRLMKILLTMNEDYE >PVH31957 pep chromosome:PHallii_v3.1:9:23438440:23438604:-1 gene:PAHAL_9G272600 transcript:PVH31957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLAARESHARVLFLLLRLTAALGVVPQHVNMVREQDNFCREHFNPGQIQEDGA >PVH33401 pep chromosome:PHallii_v3.1:9:73856951:73858491:-1 gene:PAHAL_9G643100 transcript:PVH33401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAGACCCACPSPSSSPSSSLSHRPRRRRQMLTPVSMCCSSSSSSLLSPPSPPPPVPAALALARGNGGGGRLRIFSGSANPLLAQEISCYLGMELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKTITAVIPYFGYARADRKMQGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPSDVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNQTEVVNLIGDVRGKVAIMVDDMIDTAGTISKGAELLHEEGARAVYACSTHAVLSPPALERLSGGLFQEVIITNTVPVVQHQSFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >PVH31355 pep chromosome:PHallii_v3.1:9:7356885:7357873:1 gene:PAHAL_9G123100 transcript:PVH31355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGVLTNLSFFHRQKIKKTTYHSSVYQPTRAPRDSTKRGADRPDAKVCAQPNSKATRGQQGVRPCSAAKPLPGRDRARRIRSSRRRQHPPESNSTLSDGGREREVRKIHPPTRPPVTGRGSGKGGDLGGAAATGGPNRASARKAMGSGLGTAAAVNPGASSQPARSSTYAFTRAAAAAERKVMGRREAA >PAN50571 pep chromosome:PHallii_v3.1:9:66498502:66503413:1 gene:PAHAL_9G528800 transcript:PAN50571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial import inner membrane translocase subunit TIM21 [Source:Projected from Arabidopsis thaliana (AT4G00026) UniProtKB/Swiss-Prot;Acc:Q1G3L1] MASRTARLLQHRRLLATAAEASARRAPRAPCAGAAVSKDVAKAEASSLKNSRWYMTRSNTSGPLTTHYECRKAFPSFIRPSASYSTKASDQNPKQEGKDLSTTEHPFDDITYNIPEKPVTFTEGASYSLVILAGLGIAALAGYAVFKELIFEPKEYKIFGKALARIQSDSQVTARIGHPITGYGQETRNRAARQRIPNKIWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLVVEIVSPQHAKTQLMLESYLPA >PAN47275 pep chromosome:PHallii_v3.1:9:16693335:16696363:-1 gene:PAHAL_9G245100 transcript:PAN47275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVEDLAKKFDGFDAILQKILDKVTGLESWRSNADVSMNALLTKADDAATCLQRLELAPPPPPPPPPQRHPASAPSPPSSAWVNPFDLNVATDPAAHPSASSAERPSEHHDDSGHRVTGGGILGSPPPHPVTGMCRPAPPRTFEFISDGDSRPGRSGPTPKLEFPKFDGQNPRLWKDRCELYFEVYSVSDALKPRFAALNFDGVATTWLQNFELKGRVRSWEALHTAVCARFDKD >PAN45281 pep chromosome:PHallii_v3.1:9:6266314:6270771:1 gene:PAHAL_9G107400 transcript:PAN45281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRARGLWALLLVALAAAPGAVLAQGNLTSRSDLRGLYALRGSLGLRARDWPRHADPCAAWAGVGCRGGRVVSLTLVGLRRTRLGRLNPRFQVDGLRNLTQLEVFNAAGFGLPGSIPAWLGDGLAPTFQSLDISACAVSGEIPASALSGLGNLTTLNLAGNKLSGPLPATALAGLTRLRTLNLSGNAFSGALPDAVWSLSGLSVLDISRANLTGTLPTTGLALPANAQVVDLSGNLFYGILPVAFRRLFAGVLLANISGNYFDGKLSMTDGGGGNVSFELNCFLDVPGQRTQADCQQFYVRRGLPYDGPINPPAPQSAPAPARKKKHENLKYILTGAIGGGLLLVGALAALVFCFVYSGRRKHDQRESGAMPSVPSGVAATAAVAATGSTQPSALSANTAKVGDSFAYDQLANATSGFGEERLIKHGHSGDLYHGVLQDGTAVVVKRVTARVARKGAYQAELDLLAKGLHERLVPFLGHCLDKEEEKVLVYRFVRNGDLSSALHRKSRDEDEGMQSLDWIKRLKIATGVAEALCYLHHECTPPMVHRDVQASSVLLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGSPSATCSYDIYCFGKVLLELVTGRLGISASNDAATSEWLDATLRYVNIYEKELMSKIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSTSARLRATSSRGSWNAALFGSWRHSSSEIGPSRDDNILKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTEE >PAN49324 pep chromosome:PHallii_v3.1:9:60598947:60600548:-1 gene:PAHAL_9G441100 transcript:PAN49324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDTATTAPLLISHKAKPAEVPSIDDIIETCVSGTGAMQLLKAFLVVFARAFDGQLLFISVFTEAEPRWHCVAGDSSCSRSAAATPCALPPDTWAWDRPAEASVVSEWALSCAGPAVVSLPASSFFAGCLAGGFLLTMLADSLLGRKKMLVAALASMSIAGVLTAFAPNVWAYAALRFASGFARSMVGTCALVLSTELVGKRWRNTASFAAFSCFTLGFLSLPAVAYAFREASWRSMYFWTSLPCLFYAVLLYFLAQESPRWLLVRGRTQEAVETLQQIASRNGATASSLSMLQNACAFSMYEDSGTRGGGLFATLQAMLERRWAIRRLAAVMAAGFGVGIVYFGMPLSVGSLGPDLYLSVTYNALSELPSAVLSWFVIARANRRGSVVALATAAGACSLACVAIPRGAGAARMAAELLSFFATSTAYNVILIYAIELFPTSLRNSALGLVRQAMVLGGVVAPVLVSLGRERSFWSFGVFGLAIWCSGLFAACLPETRGRSLSDTMEEEERKQAAGSSAAVAKNGDDSHLV >PAN45817 pep chromosome:PHallii_v3.1:9:8908461:8919578:1 gene:PAHAL_9G144900 transcript:PAN45817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWASRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSVPDLKAAGDGSVHYPLSAKESFKAAVTYLFRKWYVRAVSFWRNIKQLSENTLQLMVRSNWNDFLHIIKDLQLPSMDHLLSTTVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISALNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPQGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRNRAHIHQQTGVQIATSTIYTSTLHIARVNVRDPGTINDGLGAVREADALLVQDESTRNQQEGPQNGIAEPAANGALQYQEQNPQQAGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDQVT >PAN45713 pep chromosome:PHallii_v3.1:9:8909784:8919578:1 gene:PAHAL_9G144900 transcript:PAN45713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSVPDLKAAGDGSVHYPLSAKESFKAAVTYLFRKWYVRAVSFWRNIKQLSENTLQLMVRSNWNDFLHIIKDLQLPSMDHLLSTTVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISALNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPQGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRNRAHIHQQTGVQIATSTIYTSTLHIARVNVRDPGTINDGLGAVREADALLVQDESTRNQQEGPQNGIAEPAANGALQYQEQNPQQAGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDQVT >PAN48486 pep chromosome:PHallii_v3.1:9:55592514:55595223:-1 gene:PAHAL_9G384900 transcript:PAN48486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKAIKVHELGGPEVLSWEEVEIGDPNEGEIRIKNKAIGVNYVDIYYRTGLHRAPLPFIPGKEAVGVVSAVGPGVTSFKVGDVVGYADNPMGSYAEEQIIPASVAVPIPHAIDYITAASVLMKGMTAYILVRQAFKVQRGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTEEKVGQATQDGCQHVIVYTKEDFVTRVAEITSGGGVHVVYDAVGKDTFQGSLACLMLRGCMISYGQSSGSRPDPVRLSDLAPKSLILGRPGLMHYTTNRDELLQAAGEVFACIMSGVLQVRANHVYLLSEAARAHKDLEARRTSGSIVLIPDSQRL >PAN51488 pep chromosome:PHallii_v3.1:9:70869521:70872569:-1 gene:PAHAL_9G597900 transcript:PAN51488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWFKGNPYFNRVSSNATKSESPKIQSPSERIEKEDSQLPSNPKEVEALRKDAARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGFITKDLREGLEIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWSTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPLLTQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQLEENPSDSLAGAT >PAN50671 pep chromosome:PHallii_v3.1:9:67013943:67015641:1 gene:PAHAL_9G536600 transcript:PAN50671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLARRARRAVTRAAPLGGGGVELAIPAHFRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRATCPVTHAPLRHGDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDLAGAARRRDAAARCAEVVAKIKALARDSERNRRCFASIGTGRALAAAFETLADADAAPAWRVLEDILAALVCVMPLDEEAAGTLGSPSSLGSLVAIAENGSLAGRMNAVLAIKEIVSCDGAFVDLSGKVDEIVDALVKIIKAPICPQATKAAMVATYHLARSDERVAARLATAGLVPVLIEVLVEADKSMSEKALAVVDAVLASEEGRASARAHALAMPVLVKKMFRVSDLATELAVSSMWRLGKAPCAGEEDEAVMRCLVDALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKHKGVGECVDAGDFRGLNKLSA >PAN44929 pep chromosome:PHallii_v3.1:9:4718253:4720053:-1 gene:PAHAL_9G081400 transcript:PAN44929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPQPHVMVLPFPSQGHVIPLMELSHRLIDYGFKIDFVNTEFNHGCILKSMQNGVIPEGIHMLSIPDGLDPGDDHTDIGKLVGGLPAAMFSPLEEIIKIKKIKWMIADVSMSWALKLTNTVGVRVALFSTYSASVFALRMKLPELIEDGVIDESGNVKMHKMIQLMPPIDSTEIPWVSLGSTTERRRVNIRNVINTNQLMALAEAIICNTFREVEPEASALLPNALPIGPLVAPMSKLNGNFWSEDQTCVTWLDRQAPGSVIYVAFGSSTVFDATRFQELANGLVLSSRPFLWVVRPNFTKEIKEERLNQFEQSVAGKGLVVTWSPQQRVLSHPSVACFITHCGWNSTMEGLLHGVPFLCCPYFADQFCNQSYVCNVWKTGLKLCANEQGVVTKEEIKDKVDQLLGDEDIKARAVMWKNKACASIREGGSSHENLLKLVKLLQEG >PAN45168 pep chromosome:PHallii_v3.1:9:5662171:5667194:1 gene:PAHAL_9G098700 transcript:PAN45168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLRVATVAPGVRVLGGGVARVPPRPRALRGRRRLSVRMSVATTEAATAVGAYEDQELEARNSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSTSLNRHLSRAYDFSNGVAFGDGFVEVLAATQRPGTEGKRWFQGTADAVRQFDWLFDDARAKDIEDVLILSGDHLYRMDYMDFVQSHRQRGAGISICCLPIDDSRASDFGLMKIDDTGRVISFSEKPKGDDLKAMQVDTTVLGLSKEEAEQKPYIASMGVYIFKKDILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPRFSFYDADKPMYTSRRNLPPSLVNNSKITDSIISHGCFLDNCRIEHSVVGVRSRIGSNVHLKDTVMLGADYYETDAERGELLTEGKVPIGIGENTTIQKCIIDKNARIGKNVTISNAEGVEEADRTSEGFYIRSGITIVLKNSIIADGLVI >PAN48547 pep chromosome:PHallii_v3.1:9:56107361:56109074:1 gene:PAHAL_9G389600 transcript:PAN48547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHTCKLCFRRFHNGRALGGHMRSHVMAAAVTAACSPPPLPLASTSSTEMDGEPAQRRPLASCGLRGGAKRRLGIGAPEFSGGGAAGGESSVVQDGESDTESSPRFAVSRRRSKRARRRAPPPPPDPEQPASSVSDATTEEDVAMSLVMLSRDSWARSRSGPEHHRGPASSEAEQNNGGGADEAEHDQDHDVARPRGRHQCGACRKVFRSYQALGGHRASVKKGKGGCLPVPPPPTPPAAPPSSKSHRAEAAPAVIHECPFCFRVFESGQALGGHKRTHLPSAGAASPSTPVRCGDSSGSIDLNVPAAADDDFELSAVYDGEFGSSTRQ >PVH33352 pep chromosome:PHallii_v3.1:9:73170791:73184944:-1 gene:PAHAL_9G633300 transcript:PVH33352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGSMRRSSLPTPAAVPAFTASPEDYRLLEEVGYGANAVVYRAVFIPTNRTVAVKCLDLDRVNSNLDDVRKETQTMSLIDHPNVIKSYCSFVVDHNLWVVMPFMSEGSCLHLMKVAYPDGFDEPIIASILKETLKALEYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSVLTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPLEVKEHDDSARITGIDKDTSSDSHFGKPTQLNGNHSSERTSAAANQGQNSPETSKALSSNNGSAYSERKADELRNQGSEIDPLPSTSKHDPDGKKSEVRQTKRTYSGPILQSGQHNSLLTERGHIVERDVGGQSGSDKQKNGTRRTNNLSGPLSLPTRASANSLSAPIRSSAGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKVQEMPASSTSCKLQEGPSLRKSASVGDWSVNAKTMSTSHQRKELCNNSVSASILIPHLQNLVKQTAFQQGLITDLLSNLQQNERVDAAQSRGQGMGSDTIVEASTAEGERSLLVKIFELQSRMISLTDELIAAKLKHVQLQEELNALYCQEEIVDLREEENE >PAN44507 pep chromosome:PHallii_v3.1:9:2739874:2743357:-1 gene:PAHAL_9G048800 transcript:PAN44507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASGGGAGAGGPQVLTARFVRQVVLGRWFMVFACLLILSASGATYIFSIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVLSGLINEVTPPWVVLAMGAVMNLAGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYLAIYGDDAKSLVLLIAWLPAAISILFVHTVRIMPYPRPSRRGAGSSAATSNDAFFCFLYISIALATYILVMIVVQKQVNFSHAAYGVSAAALLLVLFLPLAVVVKQEYRIQKELEESLREAPTVTVEKPAASLQMAPAAEPAPKSQQSTTAGERETEARPPSSCLGACLRHMFSPPAQGEDFTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSINTFVSLISIWNYAGRVTAGFASEIFLARYKFPRPLMLTLVLLLSCVGHLLIAFGVPQSLYVASVVIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPIGAYVLNVRVAGALYDVEAAKQHGGSLAAGDKSCIGVQCFRKAFLIITAATVAGVLVSLVLVWRTRNFYKGDIYAKFRDNAAAAEEEEEDPKRPQEAEGESTAVNGRKG >PVH31293 pep chromosome:PHallii_v3.1:9:6075027:6075302:1 gene:PAHAL_9G104900 transcript:PVH31293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRVHNCTRHTPSRHHRDQTLIFPSAAAAAEAAQRRRDEIMSKITTDASAIIYHPLKLGCGSLSEPRTVAQRSLEGLVTFLICYFRHLPV >PVH32347 pep chromosome:PHallii_v3.1:9:54705038:54707381:1 gene:PAHAL_9G377300 transcript:PVH32347 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAAAAGGGGGGGAKAAVAEQIAQAVRSTSNLLQLMEQSSPAQVHLAKLPKNLLAKASFAKNTEQVLHQLPQVISSLDAYMDRSLQRPQKGVTSLLLTAIQVPKIIPLEVHRKLRLSHSSCPTWRTLS >PAN48354 pep chromosome:PHallii_v3.1:9:54705038:54707381:1 gene:PAHAL_9G377300 transcript:PAN48354 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAAAAGGGGGGGAKAAVAEQIAQAVRSTSNLLQLMEQSSPAQVHLAKLPKNLLAKASFAKNTEQVLHQLPQVISSLDAYMDRSLQSASQIKTVTQLLSNMENTQLRSILPSSQMKKGIKNTEPEELRVE >PAN48353 pep chromosome:PHallii_v3.1:9:54705397:54706651:1 gene:PAHAL_9G377300 transcript:PAN48353 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAAAAGGGGGGGAKAAVAEQIAQAVRSTSNLLQLMEQSSPAQVHLAKLPKNLLAKASFAKNTEQVLHQLPQVISSLDAYMDRSLQRPQKGVTSLLLTAIQVPKIIPLEGQGSCCKTG >PAN48861 pep chromosome:PHallii_v3.1:9:57835136:57835941:1 gene:PAHAL_9G410800 transcript:PAN48861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLVIIVGLISINICACMSRETPKDYTYHETMESRSLMDSTDGKNGPSGNDHQCTLVVYPNCQGVSQSTQETTQKTGGN >PAN45109 pep chromosome:PHallii_v3.1:9:5369515:5374475:1 gene:PAHAL_9G093900 transcript:PAN45109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMDSYFYAGFFHDEAPFYPHGAVPLSPELPFGLIASPGEPEPPFPPPEAPRPSAFQDYSVAGPELLPQAVGAGEPHNGSAGAGADVHMRVVDALGGMGGDPVHDQTMGEEWEEEPRRQRQQQPAGAAVESSRGFRHMMRERQRREKLSQSYADLYAMVAARSKGDKNSIVQSAAIYIHELKGAREQLRRRNEELKARILGHDARQQCVKVQFEVDEPASAIDSLIGALRRLKGMDVRARGIRSTMSGCRLWTEMNVETTIAAGEVEKAVEEALMQEVERKQQQPAEAASGGGPGLPGTSAGARGWGPQASSHVQNVF >PAN43965 pep chromosome:PHallii_v3.1:9:495671:498906:1 gene:PAHAL_9G007200 transcript:PAN43965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAAPSGSLAGKRPTSGGREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLYNENDIARSMEKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAELPQFSPDICIIESTYGIQQHQPRIVREKRFTEVIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSQHPELHKIPIYYASPLAKRCMAVYQTYINSMNERIRNQFAQSNPFVFKHIESLNSIENFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNACVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSNFLDELGPPNIILVHGEANEMSRLKQKLISQFDGTNTKIVSPKNCQSVEMYFTCEKMAKTIGRLAENVPEGGESSGGLLVKKGFTYQIMAPEDLRVFTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESATEESDVPTLVVHERVTVRLESESYVTLQWSSDPISDMVSDSVVAVVLNIGREGPKIVPVEEAAKTKEDTERVALKVVYSLMASLFGDVKVGEEGKFVISVDGDVAHLDGRSGDVECENATLKERIRTAFRRIQGAVRPIPLSAS >PAN51612 pep chromosome:PHallii_v3.1:9:71406293:71408947:-1 gene:PAHAL_9G607300 transcript:PAN51612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan galactosyltransferase MUR3 [Source:Projected from Arabidopsis thaliana (AT2G20370) UniProtKB/Swiss-Prot;Acc:Q7XJ98] MRRRPVLPSHHDDMEKGGGKPASSRLCFLATLCAMFWVLIFYFHFAVVSNEPDASAAQAGEVRIARARLQLPEPDGAARRDLPLAPPARASEPPPPVARSKEEVVEEEKPAAGARQEEAPTKVAASYPFERALKTAENKSDPCGGRYIYVHELPPRFNEDMLRDCEKLSVWTNMCRFMSNDGLGPPLSDEEGVFSSTGWYGTNQFSVDVIFGNRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISTRDAASLDLVDWLMNKPEWNVMGGRDHFLVAGRITWDFRRLTEEESDWGSKLLFLPAAKNMSMLVVESSPWNSNDFGIPYPTYFHPAKDADVFLWQDRMRSLERPWLFSFAGAPRPGDPMSIRGQLIDQCRSSSVCKLLECDLGESKCHSPSTIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEADIRTRNASIEERLKSIHPDVVKNMREEVINLIPRVIYADPRSKLETLKDAFDVSIEAIIKKVTKLRRDIIEGREDKDFIEENSWKYSLLEDGQRTIGPHEWDPFFSKPKEKGRDPSSSSAEAAKNSWKSEQRGQN >PAN45086 pep chromosome:PHallii_v3.1:9:5255297:5256666:-1 gene:PAHAL_9G091900 transcript:PAN45086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >PVH31454 pep chromosome:PHallii_v3.1:9:9182608:9183818:1 gene:PAHAL_9G149400 transcript:PVH31454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGLPVSPPRRAPSPLSQFKPPALFLPRASSCGLPSILGGRRAGLGPSRARHGGRQVHQAPPPPPRRCVVPGDLPGRGGGEGPGRPAPPPAGAGAGPGAAGRRAAAAAAAAAVRGIPAAPPGAAPAQALRQRPQHPGAPQLQRGGGRHRGGVRRRVLLLPLRHGGGRGARHGARARGAVPQGRPRAQGPAALGLRGAGDGDLRAPRGRRRHCRGGRQRRRGGGAAREARAGGDGGAGEGGVGEVLRHRLLEEPVEPRRGQLIGAAGPRATQLRRRVGARSCSRVLGRVSSVFRGKKRRPRKK >PAN48812 pep chromosome:PHallii_v3.1:9:57599129:57600378:-1 gene:PAHAL_9G407500 transcript:PAN48812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDELKLLGMWASPFVLRLALSFKGLSYEYVEDLKNKKSDLLLSSNPEHKKVPVLIHNGKPVFTYHIVQYLDEVYSASGPTFLPVDPYERAMARFWAAFIDDKAGRAETAEEKAEGVEETFAAVETLEGAFREISKGKPFFGGDSVYGHRARGPAWMRTAATAWDQALRVFDASRSPFLEKWVERFVKLDEVVAVMPDIDRLVEHAKVREAKVAAAIVNN >PAN45189 pep chromosome:PHallii_v3.1:9:6445301:6446736:1 gene:PAHAL_9G110200 transcript:PAN45189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNGSSSGQSEAEFCEQLSMVSRLKCEHFTQLLGYCLELNNRIVLYQFATMGSLYDILHGKKGVQGAEPGPVLTWSQRARIAYGAARGLEHLHEKARPPIVHRDVRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLKDDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVNARPGGGGDLQ >PAN44248 pep chromosome:PHallii_v3.1:9:1579859:1582462:1 gene:PAHAL_9G028300 transcript:PAN44248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILADIHQIISKKALAAQEAAGSGPPGQGTTINVADSSANTKKGCCSS >PVH32267 pep chromosome:PHallii_v3.1:9:52500842:52501186:1 gene:PAHAL_9G357900 transcript:PVH32267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGRPFFLGGPSCALAPLLVARISAALLRSRLSGMLCCNVYPGLHRCCIPCHHSFALYMC >PAN50667 pep chromosome:PHallii_v3.1:9:66993566:66999571:-1 gene:PAHAL_9G536300 transcript:PAN50667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVRGELNPLLQTIEFAPSTSDPNQKLDSAQPSDPNQKPAKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPGFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKSRRKCPRVDMCISSPSPIVSKGPGNESFPVKFLPVDSQNGSRVASPKGAFLKRMPETNCSKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTINPSMLCNVDARTLTSSSDKLSTVDGISTNQMKEADLQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSSRKKISSVLEHLNRKWGNSNIASGELILFPYCAHQEDLASYQRWTTRDTVAVADVFLSVNSPSIFRLRYGWFSLVELEAGVSEISLTHFENCMIPEDIQVKSPSGDKACVQKDGKNTEVPEQPTSAPPSQFGSQKQVQDPVTQAFEDDQGMNCAAISEGEWADTLTDISVGYLLTEASKGAHLDCIGTSSVKNALFLENQCSYDSFDAAVALHVSRFQASQQPAHTPHSTIWGAEETCDGFSFNLSASRKQEGSNTPSSSSPDTDNEVRTLNSEGFRGFLQDLTGGEAAGDNTYNDDAKDREEFCAKSPPRSEDTNELKDQSLADIYWPDSLGPLDLDIPSVRYQADDLLIGDSQNSWNRMMANSLDAFRNLSFFSSVDKNDSIPSIM >PAN50668 pep chromosome:PHallii_v3.1:9:66993566:66999570:-1 gene:PAHAL_9G536300 transcript:PAN50668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVRGELNPLLQTIEFAPSTSDPNQKLDSAQPSDPNQKPAKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPGFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKSRRKCPRVDMCISSPSPIVSKGPGNESFPVKFLPVDSQNGSRVASPKGAFLKRMPETNCSKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTINPSMLCNVDARTLTSSSDKLSTVDGISTNQMKEADLQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSSRKKISSVLEHLNRKWGNSNIASGELILFPYCAHQEDLASYQRWTTRDTVAVADVFLSVNSPSIFRLRYGWFSLVELEAGVSEISLTHFENCMIPEDIQVKSPSGDKACVQKDGTLLSNNIHEQYPCSSKDQSALLHITPSCTGKNTEVPEQPTSAPPSQFGSQKQVQDPVTQAFEDDQGMNCAAISEGEWADTLTDISVGYLLTEASKGAHLDCIGTSSVKNALFLENQCSYDSFDAAVALHVSRFQASQQPAHTPHSTIWGAEETCDGFSFNLSASRKQEGSNTPSSSSPDTDNEVRTLNSEGFRGFLQDLTGGEAAGDNTYNDDAKDREEFCAKSPPRSEDTNELKDQSLADIYWPDSLGPLDLDIPSVRYQADDLLIGDSQNSWNRMMANSLDAFRNLSFFSSVDKNDSIPSIM >PAN51241 pep chromosome:PHallii_v3.1:9:69876555:69884319:-1 gene:PAHAL_9G581100 transcript:PAN51241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMTGGKFLQKFRLYETRSKFYLIGRDKSRSHWRVLKIDRLECAELGVEEDPASYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFVKFLGPYYMVVITRRRKVGTICGHEIYSIGKSEMIAIPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQVVYETMFVWNEFLTRAVRNHLKNTSWTVALVHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPSQMASVVQHRGSIPLVWFQETSRLNIRPDIILKPDVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLTEDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCEISASAKSEDAPSGERTVAKRRDDKCSSDQSECVAKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLLALGLIESPKIELHAPPADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHDKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLKEEIARSFIKRSLSDGNILLENSLPVSNCNNGENTGLLPMQQLDDIREPSDSAPDISICEPNPCSSMNYGTVPGGRHSMSEERQNYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEIFERSSLINSPMDEISVESSTSYSEQGHVDEGRDDTDLSRSSSQLSDTRDYSDRFAHWVANGGMLCY >PAN49869 pep chromosome:PHallii_v3.1:9:63170898:63173648:1 gene:PAHAL_9G480600 transcript:PAN49869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMANPAMLPPGFRFHPTDEELILHYLRNRAANAGCPVDIIADVDIYKFDPWDLPSRAAYGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAAHTYRPMKFRNTSMRLDDWVLCRIYKKTSHASPMAVPPLSDHEQDEPCGFDENRPYAVPSAAMLVQQVAYPALHAASSSGAQRMPRVPSLTELFNDPSLAHFFEDGGVPDMARLDQHHHHQYGGATLLGHPVTSQLLANNGNIMPGQMDSSASTSAAGDGTAAGKRRRSSETSTSAGAASAAKKPNGSCFGATFQIGNGLQGSLGHHMLLHSNMGMN >PAN48763 pep chromosome:PHallii_v3.1:9:57258781:57260828:1 gene:PAHAL_9G403500 transcript:PAN48763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGLDVGCPHIFDGTHFARWKNWMTCNFKFICPQIWWMVDVGFSHVSRIQATNILFRSLHDCILCEVMDKETAHEIWSYLNEKYGAASNDHDDFKTIEEIHEDGEHIHDMVVVEDCSTSWSSDDDDEDDDQCTTSSLDMIDGDDSSAANDDPTQSTLDDQVGSYMDDISISSSSPSSHCFRSQGDTKVSNCNMIDPNSHNELLNRYASMNKLFEKVLAKTIKLEKENSFLKDTCEQQKHLLYIMSCSHEELKLTHEELCVAHENLVLDHALLTSKFSNKEIKTSESSSHRSKDQLQNIANACDVGKKHVSTSCDDLLSMPCTSHIDACSSSTMQYETNLVEENKKLQSQVKYLSNKIERWKKSKVTLESIIKNQRNFGDMSGIGSNKSKAKGKRWGKNKYDRKMKKQEEMKLSHFMCFQCHEMGHFANGCPNKENLKLKMEEEKIKHVKCFNCRTWGHLTSICPTKQLVKQQEAQPKPQVEQEKTPQPQVKINHDDQVDDLKMMKKRTRRGGKARARHPTHIQDVKMLSNNKIQDKNSHAHIKCHSCAILGHLASGCLNKLEKKAQANNEKQGNEKHQMSKEGKAQQKRRCYLCREKGHMAYSCPLCNNSKSISIDAHIMLRKDGNGTSFVAITKHPAIHTKALPKYVAPNLRGPNLVWVPSNRG >PAN48751 pep chromosome:PHallii_v3.1:9:57167597:57172598:-1 gene:PAHAL_9G402600 transcript:PAN48751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLLSTAVHRTMPGNYVRPEAQRPRLAEVVSGAPIPVVDLACPDRAAAVAAIGAACRSHGFFQVLNHGIDDGLIAEVMAVAREFFRLPAEEKAKLYSDDPARKIRLSTSFNVRKETVHNWRDYLRLHCHPLDQFVPDWPSNPPDFKETISRYCREVRALGFRLYAAISESLGLEASYMEETLGEQEQHMAVNFYPPCPEPELTYGLPAHTDPNALTILLMDQDVAGLQVLHAGRWVAVNPRPGALIVNIGDQLQALSNGEYRSVWHRAVVNSDRERLSVASFLCPCNDVVLGPARKLVTEETPAVYRSYTYDEYYKKFWSRNLDQEHCLELFRT >PAN52034 pep chromosome:PHallii_v3.1:9:73505098:73506612:1 gene:PAHAL_9G637600 transcript:PAN52034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKNLLAAPCLQQLPAMALLIIMLLHPPPAAEAQPSPGYYPSSMVTPMAFSEGYDNLWGPQHQTVSQDKKALTLLMDRSSGSGFKSKRSYRNGYLGASIKVQPGYTAGVNTAFYLSNNELYPGNHDEIDMELLGTVPGEPYTLQTNVYVRGTGDGARLVGREMRFHLWFDPAADFHHYAILWNPDEIVFLVDDVPIRRYERKTTTTTFPDREMWAYGSIWDASDWATDGGRYRADYRYQPFVARYQGFRIAGCEVGAPTSCRPVPASPAGAGLSAQQRDAMSWAQQRAMVYYYCQDSSKDRALYPEC >PAN44403 pep chromosome:PHallii_v3.1:9:2236040:2239688:1 gene:PAHAL_9G040200 transcript:PAN44403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGYGGGGRGVHLSSHKDLRLGRGGRSFLFGNTWFLLSTYPARLLHTTDRRAPAAFFAAINRAPCVRSHYAGQGLLQRGGIVMAACGYALRRAELGATKCQPDKDPSPGTRTSRIVAMGSVGSAPRSDVTFRYRGVESCKKIGASLKCREPWGNRSFWTNVVGPSWKLSFAVEPWARDFSSSCVAPYSAGATEHQLSLDEKMDNSTVASDGKAPTSENLKLVSGSCYLPHPAKEATGGEDAHFISTDEHVIGVADGVGGWADLGVDAGLYAKELMRNSVSAIKDEPEGTIDPSRVLEKAYTGTKARGSSTACIITLKNQGIHAVNLGDSGFVVVRDGRTVLRSPSQQHDFNFTYQLESGGGSDLPSSAQVFHFPVAPGDVIVAGTDGLFDNLYNNEISGVIVEALRVGLEPQVAAQKIAALARQRATDKNRQSPFAAAAQEAGYRYYGGKLDDITVVVSYVKSA >PAN50191 pep chromosome:PHallii_v3.1:9:64906403:64910642:-1 gene:PAHAL_9G504300 transcript:PAN50191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQHGGGKARDKFSVYQNPSLTRALDSRSARPSVPVLIVLAVLPVASAASLLALSSREDQLAKFAGRAGISVPVAVFVFKMVEAVLGLVALFTLLAFFRALILYNGKKTLAKDEKVVLSERQLGLLGLKTAGSGVGMGEQAKRPPKTKPSTPSEPIVPIRSSSFSYTPSRLLGQSRIGSSHLSPGGERLTTAVQMSPSTPLQKSVSSPSTPWSRKSSGSAKGIQTEAMLDHFLASLDENIDKITDSETKTATPPATITSFGVATPVSLTTSTTPSGAARSTPLRPVRMSPGSHQKYSTPPKKGEGELPPPMSLEQTVEAFENLGVYPEIEQWRDCLRQWFSSVVMNPLVQKIKTSHTQVKQTTTTVGASVTVSQVGSDLPSTTTPGALSPLGGTKDWQPTVTVDEDGILNQLRSTLLHSRDAPVAQTFGSPQQPQQNPLLPAIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVQRVQELAEGTCLKNYDYMGHGNGCGKSEKKWSSELPTDSHLVLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAIIHPGALILAVSKKSPPVFALYWDKKMQFSLQGRTALWDAILLLCHQIKIGYGGVVRGIHIGSSALNLLSVIDSDAET >PAN50445 pep chromosome:PHallii_v3.1:9:65939130:65944002:-1 gene:PAHAL_9G520500 transcript:PAN50445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPSNAALLARLREGTAKFELLEDSEPAPAPAPSWPRLHCFARIAPSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKNKGIPLTPREEVQDADDLRMAVKEIICDSETERQKYEEAVIAITVEQSLKRYCQRIRRPDFWGGESELLVLSRLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFAKNSKNWKKKAPVRLLYSGRSHYDLLV >PAN50446 pep chromosome:PHallii_v3.1:9:65940060:65944002:-1 gene:PAHAL_9G520500 transcript:PAN50446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPSNAALLARLREGTAKFELLEDSEPAPAPAPSWPRLHCFARIAPSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKNKGIPLTPREEVQDADDLRMAVKEIICDSETERQKYEEAVIAITVEQSLKRYCQRIRRPDFWGGESELLVLSRLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFAKNSKNWKKKAPVRLLYSGRSHYDLLV >PVH32905 pep chromosome:PHallii_v3.1:9:65940325:65944002:-1 gene:PAHAL_9G520500 transcript:PVH32905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPSNAALLARLREGTAKFELLEDSEPAPAPAPSWPRLHCFARIAPSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKNKGIPLTPREEVQDADDLRMAVKEIICDSETERQKYEEAVIAITVEQSLKRYCQRIRRPDFWGGESELLVLSRLCRQPIIIYIPERELCLWFARKLSCLYVGVYFHMLH >PAN44628 pep chromosome:PHallii_v3.1:9:2956981:2960187:1 gene:PAHAL_9G052300 transcript:PAN44628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGYQKAPDLEAGGPELLYPGMTESPELRWAFVRKIYVILAVQLAMTAVVSGFVVKVPAISEFFVSSNAGIALYIFLIILPFIVLCPLHYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKVILEAAILTAVVVVSLTAYTFWAAKRGHDFNFLGPFLFAALMVLMVFSLLQIFFPLGKISVMIYGGLASLIFCGYIIYDTDNIIKRYTYDEYIWAAVSLYLDVINLFLSLLQLLRAADS >PAN50480 pep chromosome:PHallii_v3.1:9:66090369:66092550:-1 gene:PAHAL_9G523200 transcript:PAN50480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSNGDHHGNAASWYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVAPPTSPAAAPAGVPGAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAIRDSVELRITGDVGAKILVLGFSSPKVQVSVDCVIAISPRSQSLKYKQCGVDGLSV >PAN44613 pep chromosome:PHallii_v3.1:9:3261599:3262129:-1 gene:PAHAL_9G057600 transcript:PAN44613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRKSVDVDETGAATAGPCATGCGFFGNPATGGMCSKCYREHGGAAAPTDERKKMQDVFKTSALAPAAPRGAVPEKKARIITCAAAAVAPSPDGGADGAAAAEPATAVKPAASRCAACRKKVGLLGFRCCCGETFCGAHRYAEKHACGFDYKGAGRERIAKNNPVVVADKIAKI >PAN44275 pep chromosome:PHallii_v3.1:9:1748770:1754748:1 gene:PAHAL_9G031100 transcript:PAN44275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSKHLQSSSTAGNDRKYQGTLVASPPKPISPKCMNRIVPSRQLILGGDSLGHVASFLLKVVVLEAVRRVSKARCPFIWNSVQALQILVYPPFSWIQRWAPLKFIVQGIQKLSMPLLFLSVTTTLSERSSKRDDEPDSNTNTEHSETPSEANESASTFSTRDVSDGTKEIVQENWLVLLFRELEKQGITLPERFTEDELRRFYVAANGDFSSLLSLVKKTIRWRETFHILTLQELDKWSHLVFWHGFDTMLRPCLVIRLGLACSSIPPRDRPRFGQAVVSQIDHGVVNLINDEDPRITVLLDCHGISPFRFPMQMMRSFITVVQENYPNRLGVLFVVRLPPVVRVIAQTFLQVLKPTTKQKLRFEGDSYKKTLAEFLQVVPAFLGGKCSCPQCEKPRDRSVIQAGEGSKSQHQLISVDDGSPVTDFDFDEAEILSPYSCENTIRAAIIGLLMVCIFIAFLAGMNDPTSVPSSA >PVH30992 pep chromosome:PHallii_v3.1:9:1748805:1754732:1 gene:PAHAL_9G031100 transcript:PVH30992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSKHLQSSSTAGNDRKYQGTLVASPPKPISPKCMNRIVPSRQLILGGDSLGHVASFLLKVVVLEAVRRVSKARCPFIWNSVQALQILVYPPFSWIQRWAPLKFIVQGIQKLSMPLLFLSVTTTLSERSSKRDDEPDSNTNTEHSETPSEANESASTFSTRDVSDGTKEIVQENWLVLLFRELEKQGITLPERFTEDELRRFYVAANGDFSSLLSLVKKTIRWRETFHILTLQELDKWSHLVFWHGFDTMLRPCLVIRLGLACSSIPPRDRPRFGQAVVSQIDHGVVNLINDEDPRITVLLDCHGISPFRFPMQMMRSFITVVQENYPNRLGVLFVVRLPPVVRVIAQTFLQVLKPTTKQKLRFEGDSYKKTLAEFLQVVPAFLGGKCSCPQCEKPRDRSVIQAGEGSKSQHQLISVDDGSPVTDFDFDEAEILSPYSCENTIRAAIIGLLMVCIFIAFLAGMNDPTSVPSSA >PAN51866 pep chromosome:PHallii_v3.1:9:72589603:72592147:-1 gene:PAHAL_9G625600 transcript:PAN51866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGALVNMQPPAGKQQAPKPKASSLFLYILLLYILLPVLVLYVVALAVSPFYSHPCPPDDRAVARVAAAAADGTRPNRNDDGRSSSPSPSAASVVALLPPKASDAEPTGLRHIVFGIGASSSLWASRREYIRVWWRPGEMRGFVWLDKPVPEVRNASSTGLPGIKISANTSGFPYTHGAGSRSALRITRIVSESFRLGLPGARWFVMGDDDTVFFPDNLVDVLSRYDHTQPYYVGNPSESHIQNLIFSYGMAFGGGGFAISRAAAEQLARMQDGCIQRYPALYGSDDRVHACMSELGVPLTRHLGFHQCDLWGDVLGLLGAHPVTPLVTLHHLDFLQPVFPTVKSRTRALRRLFEGPVALDPAAVAQQVVCYDAGKEWTVSVSWGFAVVVVRGVLSPREMETPMRTFLNWYRRADYTAYAFNTRPVARQPCQRPQVYYMRQSRLDRRRNTTVTEYERHRVPPTPCRWRIPDPAALLDRVVVLKKPDPGLWKRAPRRNCCRVVSSPTKGKDRRMTIDVGICREGEFARV >PAN45356 pep chromosome:PHallii_v3.1:9:6620999:6625721:1 gene:PAHAL_9G112900 transcript:PAN45356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRCSLGGVARGCSGPLRDVTGVRVESDRRRARPPAGVRNWIAELGVRTARTITRTPRITERPLPPRTKTPEPPPDHRAGEEEETTEQERKRRRPRRAMPSTRALRRLDLRRSPPVRPSPQAAAAKKEEASPWPPSSSSASSSSSSASAPPRHPAALSAAAPRGGSAVRVYPLRDFPGTDAAALCGAFRDNVRWLLKQWGSAPGSGSAWRALLSDERTGAVVPIVAVEELAAASPAPFCDLCRCAGWSHHWVSKRKYHFIIPAAVDWDQPFRADALLGRSDHLLHGLIHSNGFGHLVTLRGCDGGSTFLSGCQIMDLWDQLCAALRVRAASVVDLTQKHSVDLRLLLGVANGETWFSRWGYCLAKGCFSVSVSTYSAALEALAALPVDYLRSRHVRRVVSIYRRLSDKPLATVREFLRCLLDWKHREAPLSPPSVKTSPRLTFLLPKSCVVKRLRQPYQHFEDVVDLLECRWSKKRLLDAAEVVVDKLREHANGTKISRQAVRDAARGAIGDTGLLDFVIKSLNDTVVGNHIVRRVPDPENRVLHFSLEEYAEPEPEPQLEPDLEPEPVKLDSERTPHAIRWPSTSEAERDLRAVYRAMVEARSEAAQAVLDCKHWVKWWGLRDESDDQLRFLVEWRPQPWEATELTRPMPPGDIVVVPLHASIGELLIEAEHALRETYCFFEGFQAESLDGIAGEKWDPVMLGGAESGDTIGVHGHGVDMETGLRCQGGTDAWDVQCVCGAQDDDGERMVACDACNVWHHTRCVGIADGAPVPPLFLCMSCGGALMAAGPILDEALTVPKVK >PVH32097 pep chromosome:PHallii_v3.1:9:35567343:35591081:-1 gene:PAHAL_9G310500 transcript:PVH32097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAMAGGGRVLDCRSFWKAGAYEAPAAPSHEFQDALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEINNGATFIKVDKSINLKDNSPMLVFQDDGGGMDPEAVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIRGSDVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGDIVPLVYGSQGDWDSSLKIILDWSPFSSKEELLQQFQDVGSHGTKVVVYNLWLNDDGLLELDFEDDDEDILLRDQGSASGGVTKGQKEIVQQHISHRLRFSLRAYTSILYLKKFDNFRIILRGKPVEQICIIDELKFKKVVTYKPQVSHDSRVVSVKVDVGFAKEAPILGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIVDFWKEKCHLIGYQPTDPHLRSQYKATLKDSGGPAQVHHKASTARRTAGLSSNLLPETYDDIAAVGLANNGSHLQSCGQAEENNMESEGLDEDLVEFGSGVLDPNFVEKLSEENIALFTRREELRQQDTQLKRKIGDLEHELEETKRKCGQLAAELKVRKNQQNLPYM >PAN51760 pep chromosome:PHallii_v3.1:9:72179682:72183568:-1 gene:PAHAL_9G618700 transcript:PAN51760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQRLLRAAASGGAVTAAARRRMGSLAAEQAPPVAPAPKGFPFAAEERMRRRPAAERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGPLAAQLAEIVNQGKLVSDEIIINLLSKRLKKGEDQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICSQCGKNFNVACIDVKGEDGLPAIYMEPLLPPNNCMSKMITRADDTEEVVRNRLRIYNDMSQPVEGFYREQGKLLEFDLPGGIPESWPKLLHVLNLEDQEELKLAAA >PAN50651 pep chromosome:PHallii_v3.1:9:66911540:66917492:-1 gene:PAHAL_9G535000 transcript:PAN50651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFCELLLTAAVTLIAAFLLATLFAANDPPRRADRAAAAIAEEVVEEERIIEVDEVRRGEGRALAEAEGWVEVEKAPAVAVEELQELECLPEEEEVPVKAAREVRLGARVGEVEEGGDGVKRRDLIPAAAVTAVGAEASPQVSGADEAVPREVIGVAGLEERSVQDVEVKQHDLGAEVAPIEVLEAGSEKRGVEVAEASPQVVEVAEVFPLETEAVQVEQHHLVAEVTPAEDVLDAGLAGKSVQPIQARPDELDSEAAPEEIETEAVQVKQHHLVAEVTHAEDVLDAGLTGKSVQPIQARPDELDSETAPEEILDVALEKKEEQVVEVKERELPAEAAAQPVLDVPLAEKEELKDHHPDEEAVNVREKVQNKEEAKCEAHPVDQQEELVPKEESVARKTDHVNVSHEGSSSDKVVTEVPVEAVTLPRLPEGHTESDMDFEEWEGIERTEVEKRFGAAAAFAASGAGAAALSKLDTDVQLQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWVAWQKLGNMHPEIAMEKYMNLLSETIPGWTGNETSDTKKHDLTMTATSVQWSNQGNEDSTSIDEGTTPNPEKGQSSGIPAAE >PAN44824 pep chromosome:PHallii_v3.1:9:4259230:4260641:-1 gene:PAHAL_9G073900 transcript:PAN44824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYTPHLYLLFDDWHSGFSIRKVSLSRRSGKQPLSSASGKEGVVPVPEVFMHIRAPRGFPDLFTSAFGTKIMAMHTTMADDTAGIPMIDVQEQTITFGPQPCTYHCFPICFPIGANRLFVLDSGTFDRYLWTPEHSEEPWGSQALPPPPFNTADVSSYGVLPDGCILVSTTKSFGGSRTFIFDTTKEEHVWKPCCNWVLPFTGRGHYVLSLGGFVGLSKDGYLYCSTMAATTTGTSPDVKRTKEKVYTERQHVSATLVHMGQGKFCLVECVSSDNRITGQDLMESCVELNEPLDEDVDDMSDFVGGGPQGGRCMYHLRTFNLSYDPKGDCLKLKSCKGQSRWYSLPHEAKIGSVCMDPVAFWL >PAN46439 pep chromosome:PHallii_v3.1:9:11771519:11772151:-1 gene:PAHAL_9G185900 transcript:PAN46439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNAAATILPTHAADDKLHRRRRRRRCCCVCLLVSLGAAALLGVTLLVLFLTVLRVRDPTTRLVSSRVIGFAPGPDLQFNLTMLLTVDVHNPNRASFSYESGSADLWYRGVRVGVAGIDPGRIPSRGDGTMELEMTVLSSSFGAELAQLVRDMEAGAVPLDASARVPGKVGLLGGVLKLRAVAYSDCHVIFGVPEMKVRSQVCRDHTKL >PAN46879 pep chromosome:PHallii_v3.1:9:14495838:14500412:-1 gene:PAHAL_9G221600 transcript:PAN46879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRNDAGQSKRPILLCCMMIVCLCLLFLYFSGSNGQAKSTAFEYGTKFSRTLGWGSDDGEDGSEESVFGTGDADDIKPKSFPVCDERHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANMLNFKANNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKAMSALVERMCWQIAEKRNQTVIWVKPLNNDCYRRRALGTKPPLCKSGDDPDSVWGVPMEACITPYPEQMHRDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVENYWSLLGPKVKPDTIRNIMDMKANFGSFAAALKDKNVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKSIVTDFIKKYLHALHWEAITVVDAETSPESEENEMILIIRKKLWLPEASSQDSTM >PAN50802 pep chromosome:PHallii_v3.1:9:67722365:67724475:-1 gene:PAHAL_9G547400 transcript:PAN50802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDQGSSGAPKPVPGSYGLPVIGAVRDRLDFYYFQGQDKYFESRVERYGSTVVRMNVPPGPFMAKDPRVVAVLDAKSFPVLFDMDKVEKKNLFTGTYMPSTSLTGGYRVCSYLDPSEPTHTKVKQMLFNLLLSRKDEVIPTFRSNFSSLLATVESELAKAGKAEFNKLNDVTSFDFIGEAYFGVRPSSTDLGSGGPTKAAKWLIWQLHPLVTIGLPMILEEPLLHTFHLPPFLVKGDYKALYKYYSTAGKQALDMAEGLGLSREEACHNLLFATTFNSYGGLKVLFPGLLANIANGGEKLHERLVAEIRGAVAEAGGKVTLAAVEKMELTKSVVWESLRLDPPVKFQYGHAKKDLEIASHDGVFAVKKGEMLFGYQPCATKDARVFGSTAGQFVPDRFVGDEGSKLLQYVYWSNGRETESPSVDNKQCPGKNFVVLVGRLFVVELFLRYDTFTATVGTELLGTSVVFTGVTKATSGPGSE >PAN46835 pep chromosome:PHallii_v3.1:9:14180163:14181211:1 gene:PAHAL_9G218500 transcript:PAN46835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSSGLSMVASHRLFAPVHGVGGADHGAELDEADVIWGGGPASSSPSSSSPFLPSAAAADPYARSPPVAAPSKPKPRGGPGPASSVPVNIPDWSKILGAEYAGSCAGARGWAAHDDAFAEDAAGSGGRRWVPPHEMLQFRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD >PVH32740 pep chromosome:PHallii_v3.1:9:62754727:62756230:-1 gene:PAHAL_9G475300 transcript:PVH32740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRPWRPTEVELPLQHCGPVPDCLVPRESSGETHPHAPPSSLCSPPAAPGTGTQGEAESPWFSSIVISPPACSCSSSLSQHVPAPAGSPRSTLPRAKTSATTHSPSQPANTPPPRPAPTPSSETPSSAPSLRFLHDADGCPGAAELEALPVDFSMHMYCFTYGLLVYDGRSVVVASSLAHIYCQVQHCQRRREGVRQNAQEGRGRVDRGLLVHAEWGMRGGIALSGVVEIVRQAHGRWRGQIHGPRVAWRRARCRVNRILLACTNSSELNLFQHFSLCTRNLIMLFVYQLNLSIEVKTVVPVGA >PAN49979 pep chromosome:PHallii_v3.1:9:63823039:63825322:-1 gene:PAHAL_9G489600 transcript:PAN49979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable enoyl-CoA hydratase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G16210) UniProtKB/Swiss-Prot;Acc:Q6NL24] MGAASPDSGDLIVVEPARPGSPVAVVTINRPAALNALTKPMMVSLAAAFRRLGADDAFAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDVATDPVAQMELCRKPIVGAVAGFAVTAGFEIALACDILVAGRSAKFLDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCMPVTAEMAERWGLVNHVVDDTEVLNKAIEVAEAIARNNRNLVVLYKSVINDGFQLDLKHAQALEKERGHKYYSGMTKEQFSNMQKFIQGRSSKPSSKL >PVH32045 pep chromosome:PHallii_v3.1:9:32575576:32576044:1 gene:PAHAL_9G300600 transcript:PVH32045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PVH31093 pep chromosome:PHallii_v3.1:9:3146313:3146741:1 gene:PAHAL_9G054900 transcript:PVH31093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKCRVTTRLEREIVKTKTPYNIMAQAKACLLEPKKPEKQVKSSRRITVERPKKKAGEESKGDGGPSSPHLFFSPENGMRSTLRAHPKQLESQVDPIPSTVAVASSPNSFSALRLRAPSSEFPHISIAPAGTSHAPQAPPL >PVH33056 pep chromosome:PHallii_v3.1:9:68318465:68322450:-1 gene:PAHAL_9G555800 transcript:PVH33056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDPTCSERRPCPRPPFPASPLSVKTPLFAATFLSPPPLSSLPSLPCRLGVHAVVSGCSGEAEATALPGVGSSSARKMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILITIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSIPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >PVH30950 pep chromosome:PHallii_v3.1:9:1164966:1165620:-1 gene:PAHAL_9G020600 transcript:PVH30950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQEMAARGSSTCTPAVTRVRQANHSCGTDEATTAPPPPLNNQHQPGPSACHAKHQRRELHASLRPGPAPSWPAANSGRPPLHIHACRPALPSPKGSSCVVPATDAGRAPPPARPRAMRDLEEFRGGGEGERGAGSAGAVSSSWWSGDPEAKRRRRVAGYKAYAVETRVKASLRKGFRWIKDRCTGLVRRY >PVH33109 pep chromosome:PHallii_v3.1:9:69223234:69226042:-1 gene:PAHAL_9G570700 transcript:PVH33109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFVERLEGEGVFRCRRCRVDAASKDAIISRDFYGRTGRAYLFDHVVNICLGPNEDRNLVTGLHTVNDIYCSCCQQILGWRYERAYEQSQKYKEGKYILERARMVKDG >PVH33108 pep chromosome:PHallii_v3.1:9:69223246:69226044:-1 gene:PAHAL_9G570700 transcript:PVH33108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFVERLEGEGVFRCRRCRVDAASKDAIISRDFYGRTGRAYLFDHVVNICLGPNEDRNLVTGLHTVNDIYCSCCQQILGWRYERAYEQSQKYKEGKYILERARMVKDG >PVH33111 pep chromosome:PHallii_v3.1:9:69223468:69225628:-1 gene:PAHAL_9G570700 transcript:PVH33111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFVERLEGEGVFRCRRCRVDAASKDAIISRDFYGRTGRAYLFDHVVNICLGPNEDRNLVTGLHTVNDIYCSCCQQILGWRYERAYEQSQKYKEGKYILERARMVKDG >PAN51107 pep chromosome:PHallii_v3.1:9:69223246:69225933:-1 gene:PAHAL_9G570700 transcript:PAN51107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFVERLEGEGVFRCRRCRVDAASKDAIISRDFYGRTGRAYLFDHVVNICLGPNEDRNLVTGLHTVNDIYCSCCQQILGWRYERAYEQSQKYKEGKYILERARMVKDG >PVH33110 pep chromosome:PHallii_v3.1:9:69223246:69224590:-1 gene:PAHAL_9G570700 transcript:PVH33110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQIWSLKSIFLWQYFSVNICLGPNEDRNLVTGLHTVNDIYCSCCQQILGWRYERAYEQSQKYKEGKYILERARMVKDG >PAN45172 pep chromosome:PHallii_v3.1:9:5709721:5711349:-1 gene:PAHAL_9G099000 transcript:PAN45172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLHLRRLLPPRPTLPVAAFSTAVTPTPRVSGIVDEICGLTLLEASSLADALRGRLGVDQLPPLAILTGGAVPLAGGAAGPGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKIVLE >PAN51703 pep chromosome:PHallii_v3.1:9:69981684:69982864:1 gene:PAHAL_9G582100 transcript:PAN51703 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain-containing transcriptional regulator, Salt and dehydration stress toleranc [Source: Projected from Oryza sativa (Os03g0180800)] MAAAPADTMTRRFAVACGVLSQYVRAGGALAMVPPLFLAPAPAAQQEGANGAAQQLTIFYGGRVVVLDACPPEKAAELIRLAAAAQGAPAPGEAPPLVDMPLVRKASLRRFLAKRKDRSSSTSCAPYDRHHDEEPDQQPAAKKGKVAPTREDAAAASSSSSWLALGSLDAMHGL >PAN43932 pep chromosome:PHallii_v3.1:9:349409:350182:-1 gene:PAHAL_9G004000 transcript:PAN43932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRLLLLLLLLHLGAAAGNRTLVFLLAGQSNMGGRGGATSGGSWDGVVPPECAPSPRILRLSPELRWEEAREPLHRGIDLHNALGVGPGMPFAHAVLRSRRLPPHAVVGLVPCAQGATPIADWARGTQLYHRMLQRARVASEGRLAALLWYQGEADTISRRDAELYAPRMEAFVRDVRRDLGMPDLLVIQVGLATGQGKFMDLVREAQKRVVSNVPNVRYVDAKGLPVANDYTHLTTPAQVKLGAMLANSYLATL >PAN47266 pep chromosome:PHallii_v3.1:9:16770082:16772762:-1 gene:PAHAL_9G245900 transcript:PAN47266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRMASGAVAERRAALLDRTGTAVSAASPLLAPPKLFVADGGVSGGSPVVVAEAAIMSPTSSLQAAVGSPASPAATAAPFSRHGASSSSGGDNHRCKSKSRRPAWEAAMPTGLGLAGALNGGDAVPPAATVLTGQSFRRAGPASAAADRVSRSTFPQGRRRRWLMSPGEMEASEDYTRVIAGGGPNPRTTHMFDGRVVVDGCGGFPVGAGGEVGGFLRWCHGCSKDLEQGKDIFMYRGEMAFCSHECRFREMLLFDEES >PAN52081 pep chromosome:PHallii_v3.1:9:73686443:73690961:1 gene:PAHAL_9G640500 transcript:PAN52081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELLIFTRGGLILWSSCRALGAAALKGSPIDALIRSCLLEERSADASFSQDNYALKWTFHNDLGLVFVAVYQKILHLLYVDDLLAAVRKEFSQIYDPKRTNYDDFTDIFRQLHLEAEARAEEMKKSKQAISSRPLPAVSHRAGQKARGAAAGGAMKKGASGKDDSDGDSSGKEHHALANGTFKGHENAAPKDTSHARPVVVKGKENGGPKDNGAFDVTKLQRLRKNDRKNTGADNATKKLTKPDTKKKGKQDRVWDDKPSNKKLDFTDPADERGDEVTEHVALNQGESMMDKDENLSSDSEEEEVEDGPKKKGWFSSMFQSIAGNNVLEKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEASRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >PAN50542 pep chromosome:PHallii_v3.1:9:66359833:66360729:1 gene:PAHAL_9G526500 transcript:PAN50542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFHRKTSKQTSRVKKLLKLALSRLAIAKRPRLARKSISRGDVGQLLALGHLHRALLRAEQVIEEDNMLQAFDIIELNCKCLIEHATQLDKPQECSEDIREAAAGIMFAARWCGDLPELPLARTILEDKFGSDFSAAAKEGTGVVDPMLVWKLSGDRTNMELKKKVTKEIATKNNILVDFLELQEAVKQDGNGNGSHC >PVH31075 pep chromosome:PHallii_v3.1:9:2979024:2982583:-1 gene:PAHAL_9G052900 transcript:PVH31075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02980, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02980) UniProtKB/Swiss-Prot;Acc:Q8LK93] MSSAPLTSTPPPLLPAKSKSPPPHHPLLSHLPHCTNLRSLAQLHAAAVKAGLAAHPAFVTRLLTLCTPPGAHPAHLAYALQVFDRVPHPADAVWYNTLLRGYARSPSSSAAAAVRVFVRMLEEGVAPDTYTFVSLLKACAATRAREEGRQAHAVAVKVGAAGHEYVRPTLINMYAECGDARAARAMFDRMDGDCVVSYNAMIAAAVRSSRPGEALVLFREMQAKGLEPTSVSVISVLSACALLGALELGRWIHDYVRKLGLDSLVKVSTALIDMYAKCGSLEDAISVFQGMESRDKQAWSVMIVAYANHGYGREAISLFEEMKKHGIKPDDITFLGVLYACSHSGLVSEGLQYFDDMKDHGIIPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTPILWRTLLSACGGHGDVELGIRVFDRILELDDSHGGDYVIFSNLCANTGKWEEMNREMEGTPNHKKQGEWLMK >PAN50625 pep chromosome:PHallii_v3.1:9:66816957:66819969:-1 gene:PAHAL_9G533500 transcript:PAN50625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWTELQTSLRNLDKLLLLQEATISMAWTELINGSSRPIAVSNQSEDRRRRRRGNTRSPGGPLTVRPCRLAGQNPRTCAARLPAAPCYGYPPQNIRRRIQGSRPKQIAALSPDRGRGYWRASAGENQRRSGCPFAMRRRPSPAPDGKDPWDSEIGGFLKNYLGGRITLNPLNRIAIHNRDPI >PVH33356 pep chromosome:PHallii_v3.1:9:73217417:73221986:-1 gene:PAHAL_9G634200 transcript:PVH33356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGQEKKDMSRSALIIQRSIQHHGTIIIMVHLLGCTVSTSTEMDPLEAFYSEVNDRHGLSTALAPLHSFCLRHTHIIQPYVQVQPCKSRNSVLVGGAAVELERWDDRTPIPVEMKMGMR >PAN50455 pep chromosome:PHallii_v3.1:9:65980422:65982965:1 gene:PAHAL_9G521400 transcript:PAN50455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MEPGAKSEAKQGEAGSGAVGGSGGSSSKVYHERQRLQFCLLHALNNLMQEKECFTRAELDRIAGNLVLSDPNKDQWTPLSFIFRPHHNVLTGNYDVNVLITALEARKKKVVWHDRRKGASSIDMDGEALVGLMINVPIRRFRGLWTGRHWVAIRSIDGVWFNLDSDLSEPKQFKDKENVIAFLDSSLSQGGELMVVLQDE >PAN51704 pep chromosome:PHallii_v3.1:9:71838351:71840287:-1 gene:PAHAL_9G613900 transcript:PAN51704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATMAWTAAVVGVGLVYWFVWVMGAAEVKGKRAVDLKMGSITRDKVQDKYTQYWSFFRRPKETATTAASAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVVDLLGAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINDYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFPDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRAEDPDHVDCIQGIERGDALPGLRRQDEIASIAKEVGFEVLKEQDLALPPALPWWTRLKMGRIAYWRNSLVVRVLTMLRIAPKGVSEVHEMLFETAQHLTKGGETGIFTPMHMVLLRKPAATPTATEEAK >PVH32634 pep chromosome:PHallii_v3.1:9:60958437:60960049:1 gene:PAHAL_9G447000 transcript:PVH32634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIALLLVLLVAVAAYSAMAAASRRRRSRCYLLDYVCYKPPDDRKYSTETMRAVLDRSQRLDAPARRFLLRVVLRSGLGEHTYVPRTFFTGRMDCPTHQDCLDEMDSFFHDAVAELLARTGLGPRDVDVLVVNVSTFHPAPSLASRIVRAYGMRDDVASYNLSGMGCAGVLVAVDLARNALRARSPRPALALVISAECLAPHWYTGQDRSMMLSHCLFRCGGSAALLTSDPALRGSAKMELRLMVRTTVAADDDAHSAIVHREDHEGRVGISLSKSLPKVAVRAFTANIKSLAPRILPATELARFAVAVACRKLVRRGGAAGATINFKAGADHFCLHPGGVAVIDAVKRSMGLEERDVEPSRMMLHRWGNTSASSVWYVLSYMEAKGRLKRGDRVLMVGFGSGFKCNSCVWEVTGDMADKGAWADCIDSYPPASLANPYMEKYGCTNGEQDDDAPAGSNARALGDA >PAN44260 pep chromosome:PHallii_v3.1:9:1640025:1640739:-1 gene:PAHAL_9G029400 transcript:PAN44260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEYHREEMMVAEEEGWQTPRREDCRIPVVPPCPAAPPRKKAVPLPELGGGRRRQPPKGGYFQPPDLESLFVLAPPRRHAASSCA >PAN50304 pep chromosome:PHallii_v3.1:9:65322890:65323785:1 gene:PAHAL_9G511500 transcript:PAN50304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALMAAATTSCSPRRAAPLLKPVASSSSSSARPRRPLAQQLPRLLATAAAAVAAAPLPALAEQMEKAALFDFNLTLPAIAIEFLLLMVALDKLYFTPLGKFMDERDSKIRGELGDVKDASEEVKQLEEQAAAIMKAARAEIAAALNKMKKETTAELEAKLDEGRRRVEAELVEALANLESQKEEAVKALDAQIASLSDEIVKKVLPSA >PAN43941 pep chromosome:PHallii_v3.1:9:388544:401025:-1 gene:PAHAL_9G004700 transcript:PAN43941 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNKEALVLLLDVGPSMHGVLQEVKNICSTLVHKKLVYNRSDEIGIVLFGTKETCNELAKELGGYKHVTVAHDIKVVDEGTSQALQNLPAGSVPGDFLDAIVVGLDMVIRKFGNTKGKRRLCLITSTQYPLRDPPEGTKEDQVDTIADQMKRHGIKMECIVFTEPGVHHNSVMEENDRLLYQFRSRSVAKVVQVDSPTSLLGALKTRNVLPVTIFRGDLEVSPNFKIKVWVYKKTAEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSVIEPDKVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSISRHHFMKDVCLFMPEPGNTKATLAVSSIARAMHQMNKVAIVRCVWRQGQGNVALGVLTPNISSVNNVQDSFYFNVLPFAEDIREFQFRSFSSLPSSSQPTEEQQEAADNFVKMLDLAPPGREVLKPEFTPNPMLERFYSYLDLKAKQPDANVPPLERCLRRITEPDPDVIDQQTPLIQNLGKAFELKENPKKKKARTQDRLAYIDAGDQAKSAAEASAEKDGVWGALYPPSENIGQIRDLNPVQDFEAMLAKRSSSTWVQKAIEEMQKYTAALLENSREGDNYQKALECFAALRKACIIEQEPEEFNQFLTKTYERLKDNAANFFQLLSSKNISLISKEEAPDSDVTEEMARSFYLKQEAASQ >PAN43942 pep chromosome:PHallii_v3.1:9:389303:400781:-1 gene:PAHAL_9G004700 transcript:PAN43942 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNKEALVLLLDVGPSMHGVLQEVKNICSTLVHKKLVYNRSDEIGIVLFGTKETCNELAKELGGYKHVTVAHDIKVVDEGTSQALQNLPAGSVPGDFLDAIVVGLDMVIRKFGNTKGKRRLCLITSTQYPLRDPPEGTKEDQVDTIADQMKRHGIKMECIVFTEPGVHHNSVMEENDRLLYQFRSRSVAKVVQVDSPTSLLGALKTRNVLPVTIFRGDLEVSPNFKIKVWVYKKTAEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSVIEPDKVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSISRHHFMKDVCLFMPEPGNTKATLAVSSIARAMHQMNKVAIVRCVWRQGQGNVALGVLTPNISSVNNVQDSFYFNVLPFAEDIREFQFRSFSSLPSSSQPTEEQQEAADNFVKMLDLAPPGREVLKPEFTPNPMLERFYSYLDLKAKQPDANVPPLERCLRRITEPDPDVIDQQTPLIQNLGKAFELKENPKKKKARTQDRLAYIDAGDQAKSAAEASAEKDGVWGALYPPSENIGQIRDLNPVQDFEAMLAKRSSSTWVQKAIEEMQKYTAALLENSREGDNYQKALECFAALRKACIIEQWALFMIRNQRNSTSF >PAN49638 pep chromosome:PHallii_v3.1:9:61848105:61851614:1 gene:PAHAL_9G461900 transcript:PAN49638 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) UniProtKB/TrEMBL;Acc:F4K1P9] MPLLLLLLLLLQAASPAPARAGDPYAYYDWEVSYVSAQPLGVKQKVIGINGQFPGPPLNVTTNWNVVVNVRNALDEPLLLTWNGVQQRKTAWQDGVLGTNCAIPAGWNWTYTFQVKDQVGSFFYFPSTPLHRTAGGYGAITINNRDVIPIPFGFPDGDITLFIGDWYNRGHKELRRALDGGNLLGAPDGVLINGLGPYQYNESVVPPGIVYERINVEPGKTYRFRVHNVGVSTSLNFRIQNHNLLLVETEGSYTSQQNYTNLDIHVGQSYSFLVTMDQNASTDYYVVASARFVDAAVVDKLTGVAILHYSNSQGPASGPLPDPPNDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGKLRSTLNEISYIAPSTPLVLAQLFNVPGVYKLDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATTVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVFPGAWTAILVFLDNAGIWNLRVQNLDTWYLGQEVYINVVNPEDNGSTLPDNAIFCGALSSLQKEQSHRFVYSEASPVALWRNTVSLLFLLASFTIWLQ >PVH31819 pep chromosome:PHallii_v3.1:9:16281066:16281839:1 gene:PAHAL_9G241700 transcript:PVH31819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRLENSCKLSRHDPWVILGMDKDLFLFLKPHDAGQGGEDIPKEIQHMFSNKNWKESMGSGKWVELEADCIQETTDAPAPEEVIAGARKQFTK >PAN49656 pep chromosome:PHallii_v3.1:9:61956070:61957335:-1 gene:PAHAL_9G463600 transcript:PAN49656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQPHAAPRRHPPPVPAPSQAAQLPCKRGRADAEAVAHGGKQERVAESCCPSERDDQELELVLVDDDSGEEDGGCGSFVGGGGGGQDDEDEVEGSGSVVVWWRRQESNCSLWANGSRAAGGEPRGGGDRDGDEDEDPKVAAARRQEEDRKFWEACLASGYP >PAN49105 pep chromosome:PHallii_v3.1:9:59319891:59321925:-1 gene:PAHAL_9G426600 transcript:PAN49105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVEA >PVH33262 pep chromosome:PHallii_v3.1:9:71617500:71619311:-1 gene:PAHAL_9G610200 transcript:PVH33262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIISKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPLGPKPGFMVEGATIETVTPIPYDVVNDLKGGY >PVH32514 pep chromosome:PHallii_v3.1:9:58446478:58447782:-1 gene:PAHAL_9G416900 transcript:PVH32514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCCCRLPHLRRWPGTAALLLLLAAAPPPLAMRCCCSAGAASSRKMDPLQLSEAGLRAPAVGCIRPHLTLLVAGRLRLHLALSSLAAAGHLALTSCFRGRFRIRCRLELPWPIVARRRLALMLAPPVAGPRPSAVRATCGGQRRQVMGWSCGEPVFWAPLPRTALVSGF >PVH31138 pep chromosome:PHallii_v3.1:9:3764804:3765763:-1 gene:PAHAL_9G065400 transcript:PVH31138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIDGQGAPTGGKDRRRRRVGDWEERRSSSSINCSWSGGGGRMEQGCSRGHGLFLNRGRKAVLHREKSRRLLCAWMHSTSADCSLAIQGEFAQRVSRVIRFHGVQR >PAN47039 pep chromosome:PHallii_v3.1:9:15092856:15098461:-1 gene:PAHAL_9G229900 transcript:PAN47039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSDYYVVRKGDVMAVYKNLSDCQAQICSSVFGPAASAYKGHSWSREKEEYLSSQGLSNASYVINATELREDIFGPLVPCSFQEIVGSSSNLAAPNHIGIHNVRSYHTGSESVDLNHEARSSSSSHISPANLNHSGAVEAQPVSRQYMVAILHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGVVTNNVAEYRGLILGLKYAIRHGFRRIKVHGDSQLVCNQVNGVWQTKHQNMMELCNEVRRLKENFLSFEINHVRREWNAEADRQANIGITLASGAVSEERGDF >PVH31287 pep chromosome:PHallii_v3.1:9:6041327:6042646:-1 gene:PAHAL_9G104200 transcript:PVH31287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKLCRGRCGVPHTAARFLRRLRQPAVFPRASSPLPRPLVAPRSRTAAATYTPPRPTSPCRLPAPVGARLARRRPLCPREHLLDAL >PAN48438 pep chromosome:PHallii_v3.1:9:55296728:55299111:1 gene:PAHAL_9G382200 transcript:PAN48438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTFIMIKPDGVQRGLVGEIISRFEKKGFYLKGLKLVNVERSFAEKHYADLSAKPFFQGLVDYIISGPVVAMVWEGKSVVTTGRKIIGATNPLASEPGTIRGDFAVDIGRNVIHGSDSIESATKEIALWFPEGLADWQSSQHPWIYEK >PAN51915 pep chromosome:PHallii_v3.1:9:72891259:72893987:1 gene:PAHAL_9G629400 transcript:PAN51915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQRGHLGLLFLLSSALLAVSFAKERKNYVVHLEPREDGSTDSVEEWHRSFLPEATLDSASDDGPRIIHSYSHVLNGFAARLTDAEAESLRSKEGCLRLYPEEFLPLATTHSPGFLGLHLGKDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGLPPPPKKWKGTCEFRSIAAGGCNNKVIGARAFGSAAINNTAPPVDDAGHGTHTASTAAGNFVQNADVRGNAHGTASGMAPHAHLAIYKVCARSRCSIMDIIAGLDAAVKDGVDVLSFSISATDGAQFNYDLIAVATFKAMEHGIFVSAAAGNDGPVAGTIRNGAPWMLTVAAGTMDRAIRTTVRLGNGQEFDGESLFQPRNNTAGRQLPLVFPGRNGDPDARDCSTLVEAEVRGKVALCESRSIGEHVEQGQMVSAYGGAGMILMNKAAEGYTTFADAHVLPASHVSYAAGSKIEAYIKSTPKPTATISFRGTVMGSSPAPSVAFFSSRGPNKASPGILKPDITGPGMNILAAWAPSEMHPEFADDVSLNFFMESGTSMSTPHLSGIAAIVKSLHPSWSPAAIKSAIMTSSNVADHAGVPIKDEQYRRASFYSMGAGYVNPSRAVDPGLVYDLSTNEYIAYLCGLGLGDDGVKEITGRRIACAKLKAITEAELNYPSLVVKLLSHPITVRRTVTNVGAANSVYTAVVDMPKDVSVVVRPPMLRFSRANEKQSFSVTVRWNGQPAVGGAEGNLKWVSKEHVVRSPIVIPPAKAVA >PVH31900 pep chromosome:PHallii_v3.1:9:18271573:18272306:1 gene:PAHAL_9G255800 transcript:PVH31900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTHHQAPPRAVISLLLQPHVGATLHQGCSRTISVTGLHHRQLDLQDAAVAGPSYFDFLISLFIDCNIVFFLFFLFRTSGALRPKAVIFEFMGSRL >PVH30919 pep chromosome:PHallii_v3.1:9:783567:784016:1 gene:PAHAL_9G012800 transcript:PVH30919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPAEQLPATAATPPPQLSAGPSCSRRLHHQPPGSCSSSSGSGAPRRIRQCSPRRWPPPPASSASCCAPSASSCPCVLALQRRRLPRCSPARRLPRPAACPLPSRRQVSAWPPLSPGSLLDRRAHMLALGLMPPPPAISPPVVDPYSV >PVH32444 pep chromosome:PHallii_v3.1:9:56787888:56788682:-1 gene:PAHAL_9G397800 transcript:PVH32444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRVGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKYTFWYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAESEMRMLRWFCGHTRRDRIRNEEIPDRVGVAPIEKKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRDRPRLTWDETVKRDLKEWNIAKELAMDRSAWN >PAN50583 pep chromosome:PHallii_v3.1:9:66574612:66576690:1 gene:PAHAL_9G530000 transcript:PAN50583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKYLKIRFNPDGSLYRYGEAPLLPAAPAGEPVAVAAEGREDGEQGPRRIVVHSNDVPLSEAAGTGLRLFVPSGGHARLPLVLYFHGGGYVLFRAASEPFHNTCTALAAAAPAVVASVDYRLAPEHRLPAAFEDAADAVLWARPHAAAGRPVFVMGSHNGASIAFRAALAAADAGVELRGVILNQPHLGGAARTPAEAASVDDRVLPLPANDLLWELALPVGADRDHEYCNPEPMLARVGAARLRRLPPCLVLGRRKDPPRDRTRTLANALQKAGVAVEARLDGAGYHAMELFKPNCAAEFTAQVADFVRRHSAAGAGDVVGASKL >PVH32120 pep chromosome:PHallii_v3.1:9:39429387:39430247:-1 gene:PAHAL_9G320400 transcript:PVH32120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHLDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWKARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGTLFRNTQAPPENPESSAAAAEENAAAQPSTDGNPEDGDQGSLTLSVPEEGTPRE >PAN49571 pep chromosome:PHallii_v3.1:9:61565258:61566783:1 gene:PAHAL_9G456900 transcript:PAN49571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGGAVGASSPPRRVVVAVDESEESMHALSWCLSNVVSAARAAAAPPPAVVLVHARSPRPFYYPAVDGAGYLLTQQVMDSMDQYMASAADTVVTKAKNICTAFPGVRVETCVEKGDPRDVICGAAEKAGADMVVMGSHGYGFLQRALLGSVSNHCVQNCKCPVVVVKRPGGEHQAPPRI >PAN50721 pep chromosome:PHallii_v3.1:9:67291954:67293327:-1 gene:PAHAL_9G541300 transcript:PAN50721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAMPAVAVALCAAVVALAALGGARARELSPGYYDASCPHVYDTVRRVIQEARAADPRILASLLRLHFHDCFVNGCDGSLLLDETPTMRSEKAANANVGSARGFPVVDDIKAALEHACPGVVSCADILALAAEISVELAGGPYWRVMLGRRDGMAANFDGAQILPSPQDPLDNLTKKFADLGLDDTDFVALQGAHTIGRAQCQSIQDRLYNFSGTEQPDPTLDRAYLAELRQRCPAAAGGDDTGLNDLDPVTPDRFDNSYYANIQRNRGVLRSDQAMLSAPEESAESTGPIVGRFASSQAQFFQSFSTAMIKMGNIAPLTGSMGEVRRNCRAVN >PAN50857 pep chromosome:PHallii_v3.1:9:65763573:65766569:1 gene:PAHAL_9G517700 transcript:PAN50857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQLGPYGSPRQQPFLNMGVDSCPPGGFVNFLKQNASSTAQYMSKASSSQPIDVVDDTNCGSRTEKRLTWTKEEDLVLIGAWLNNSKDPIHSNYKKNDQYWKSVTAAYNSAVPKSKARQLKQVKDHFGRIKKRVAWFCASWKEANAMWASGESDVNLMDRAVKLYEDEHKNDGPFMFKHCWEEDFGEHFSLNNVVDERPIGGKKAKEQQKRKRKDQACIIDIEDELHAFVEAQNKANEGCKEMLEAQKRVSNDNLEARKLAYLAAKENKESDMLETYRELLKQDATGMPEDVRAEHVLALKCIKEKLFGN >PAN44773 pep chromosome:PHallii_v3.1:9:3962750:3970934:1 gene:PAHAL_9G069200 transcript:PAN44773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSRRPLAAALHLAPLSPPLLLFFASASSSCSPAAPASVSGSRGCSAIRMDSGAVETASTGAVWSTPSAEPRSISTGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFESLAYYGTIEKLVKDLHYPEELLTWEFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDSNPGKVPAGTDYPLMYKDVRSAVDLCHRDGTLKRMVAKDPGRYINEDLAIVPMLEMIKKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDAGSGLNHKWLQYFDIVITGSSKPSFFHDDNRAGLFEVEPDSGKLLNADIQIGSPRSSHQHATPVHKVYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEHEVKLLSESKSIRKELRHLRMERDSIEDRIHHLEWSLKFDDLTENQKEKLFSEHVNLLQQREHARRLHQEAQRQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFGLYSPNKYYRPSEDYMPHEFDVLEL >PVH31946 pep chromosome:PHallii_v3.1:9:22291192:22291998:-1 gene:PAHAL_9G269300 transcript:PVH31946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSATSQAGRGETAPATDSIGGEPTRQELASTGPEVQPPIKAGNLISRRSRLMLPDKPTNAAEPDKDGGSDQGCTGTKKPANEASSSNAVLGIAEGSSWDFLAPDCDIMKFIEEPTPPQKGSNTNGHEPPGDPGSAWSLDGLDDAVYEILKAQAIQINEQAKARHGDATISMAPRQNLTSASIQEQQLELQEHLNLSGQHLMHHHRCQGQIPATANIQEHQQKLQEHR >PAN45409 pep chromosome:PHallii_v3.1:9:6825794:6829593:-1 gene:PAHAL_9G116500 transcript:PAN45409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRLATAAAAPALRRLSHHSAPPRPDPKLAFFRSEVDDLSLSSSHKPPSKSPLSDQCQVTEKPRSGLAHTGGAPAAVDIAHQWPEWVALMELLLRRGHVDPSAFTASSPSSKDANAVRTACLRFGRERPELIRHISRWDIQVALRCGCPSIDRKVVNSGKRLRAYVGLDEGEVCSQCNLRGSCERAYVKARKEEVGRTVDVMRILLTYGLDVITDNVENRACLNKTVKESIKSLLNDVVEVDSRGSGSSTTKSAQHKGQSALPRKQGDWNCPKCDFLNFAKNIKCLRCDGEFQERYQLLHEDQEHLPLKKGDWICKKCNFLNFAKNTRCLQCHEKPTNRLLNPGEWECVSCNYVNFKRNAFCLKCCWKRPKSLNNQDSIESRHDLEHSRNPSISFVQDGVQLKKWQIPQKNAPLSDEDSDFWSADDEGDDSRANDMLPLQDYKFLESFPIAGGKTASSQDPLAREKWKDEMSRRNKGLPTKESKECSRPFSPVRLPRSMELVDSDDDIASWFSGGANNKNPEKA >PAN45018 pep chromosome:PHallii_v3.1:9:5033389:5035212:-1 gene:PAHAL_9G087800 transcript:PAN45018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAAPEWLDKGDNAWQLAAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAATFVCWCLWAFRMSFGDRLLPFVGRPDFAALGQAFLTQQGFAGAYPAATLLFFQFVFAAITLILVAGSLLGRVNFRAWMLFVPLWLTFSYTIGAFSLWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAYWVGPRSAKDREAFPPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHFCTATSLLVWLCLDCLVFGRPSVIGAVQGMITGLVCITPAAGLVQGWAAMLMGVVSGSVPWCTMMVLHKRSRFLKRVDDTLAVLHTHGVAGSLGGVLTGVLAEPRLCRLFFGDDPRYVGLAYAVRDGRPAAGLRQVGVQLAGIAFVAALNVAVTSAVCLVVGLLVPLRLGEEQLAAGDDAIHGEDAYAVWGDGETYEQSVHGNHGYPLTANPVASKADDVI >PAN51305 pep chromosome:PHallii_v3.1:9:70086997:70090185:-1 gene:PAHAL_9G584400 transcript:PAN51305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAVTPPPPPLAAARRGIRGRVALHRRLAASPMKDESVISTNGGNEEMVTDSLNVARGLSHPGLSSSLSNKASLVPTPLLPTEPSDLWFNRLRPSIDESDCKYKRLFGCYVAREAVIDEEYWIAAWLRAEDHYEDQSGNRYVESFKRKFASQEFHALKKRCSKQHGEKYICFVAVKNDDLRRTVLNSVVGTLDVCVRHPLHGEKFPEEPGRSSLHCRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARINGAENIYIHVHKHNLPAWRLYNQIGFKMVDQDGARRSSDLCLLSFGS >PAN51304 pep chromosome:PHallii_v3.1:9:70086990:70089837:-1 gene:PAHAL_9G584400 transcript:PAN51304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDSLNVARGLSHPGLSSSLSNKASLVPTPLLPTEPSDLWFNRLRPSIDESDCKYKRLFGCYVAREAVIDEEYWIAAWLRAEDHYEDQSGNRYVESFKRKFASQEFHALKKRCSKQHGEKYICFVAVKNDDLRRTVLNSVVGTLDVCVRHPLHGEKFPEEPGRSSLHCRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARINGAENIYIHVHKHNLPAWRLYNQIGFKMVDQDGARRSSDLCLLSFGS >PAN51798 pep chromosome:PHallii_v3.1:9:72325741:72327744:1 gene:PAHAL_9G621400 transcript:PAN51798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISPHLTATLPFLPTLRPRPRRLPPDAFAASVSPHRTAVVALHGSRSMYPWKHFPTKALENKRGPIYTHCNPLARRFHGFENTISFWTEHNKQALFASGRDSPSTKQSNSSGDSSSSPDGPPVLTILAGVIVFLLVLWVTGSIVTWIVGLVFVAAKS >PAN51799 pep chromosome:PHallii_v3.1:9:72325741:72327744:1 gene:PAHAL_9G621400 transcript:PAN51799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISPHLTATLPFLPTLRPRPRRLPPDAFAASVSPHRTAVVALHGSRHCNPLARRFHGFENTISFWTEHNKQALFASGRDSPSTKQSNSSGDSSSSPDGPPVLTILAGVIVFLLVLWVTGSIVTWIVGLVFVAAKS >PAN51985 pep chromosome:PHallii_v3.1:9:71415115:71416524:-1 gene:PAHAL_9G607400 transcript:PAN51985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGYLENGGLGEPVDNTDGVFADQGWYATDHFGLDIIFHSRIKLYDCLTDDSSRAAAVFVPFYAGFDIVQHLWGVNSTAREKDALSLDLVDWLTRRPEWRAMGGRDHFFLSGRTAYDHQRQTDSDSEWGNKLLHLPAVQNMTVLFVEKLPWTSFDFAIPYPTYFHPGKDAQIVEWQQRMRAMKRQWLFSFAGGGRNDPYSIRHHLIRQCGSSSFCKLVQCRKNERNCLIPSTFMRVFQGTRFCLQPTGDTMTRRSAFDAIMAGCVPVFFHPDSAYTQYRWHLPEAHETYSVLIPEADVRAGNVSIEETLRRIPPDVAERMTETVIGLIPRLVYADPRSRLETLRDAVDVTVDAIIERVNKLREEMGGGRGHLQQQQQTGGGAQQS >PAN49031 pep chromosome:PHallii_v3.1:9:58716223:58718521:-1 gene:PAHAL_9G421200 transcript:PAN49031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALAAYSWFGQLSVVISVVAVCWTMVWQNLEHIRLQQFFARGFNRRARRLAAIVDPYLSVTFEEYEGGRIKSSDAFKEVRSYLTTASTRDVRHLRAECGGGGGDKLVLSMAKGEEVSDAFRGATVWWSAAAVPPPRDAVPYWSRASRAERRYFRLEFHESHRDLVLNDYIPHVRRQGRAIMVQNRQRRLYTNIHREGYDDGWYEDVWTHVPFDHPKTFDKMAMDPAKKKEIIDDLDMFKKGKEYHNRVGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDVYDFELTSVKTNTELRKLLIETKSKSIMVFEDIDCSLDVTGKRRSKEEEGKADDEDEKDGDPRRPSKKDAKSRVTLSGLLNFIDGLWSACGEERLIVFTTNHVEKLDPALIRTGRMDKRIEMSYCDAESFRFLARMHLDGEDAEGHELFGVARALLQEVNMVPVDVGEHLTRKSVDDDAGSCLAKLVAALEKAKEEAAKPEPAQAEEDEGKKGVVVQAKDDN >PAN50879 pep chromosome:PHallii_v3.1:9:68202531:68207699:1 gene:PAHAL_9G554100 transcript:PAN50879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQGGSFNPHYRHGAPPPHLPGVTGSFPQKHAQPPPAPYQQHPGMRPPPVPYQHGVPPPQNQPYPFAQQQRGYAPMPMPPQQRGYAPMPMPGPLPPQQAIYQPPPQYPILGPLPPPPPRPPSFAPENALPPSGPPPPPPPPPSSPPPTVPLGPHTAVVAQSWDAEAEGKEGGDGGHDAKTLKAASQLIVSDDSDMDMDGDEDSPSRQPLTPENSSLVTAEYTGDVNVSKSVSDVSSLGKNLPPGSGENAKTAHVTVDGGSPLRLVQGYASDDSANEVGPGPGGASTHVILPEDNKHNQPDDRNTEISYQKHANAKGNVSAPSGTEHNDEAGKYRLKDESSPVKRGTDVLEHLAKEDLSDSEFDGGHRRRQRKRNRSKSPHGRSCSPLGSNKGSPFRSSSPGNQSRPPYAKRVHPAGESNDSGDRIAQQEGLVLIEKSNSSSNDLIGKVGDNAAPDGALGQHFHGDNLTSEPSQPVSVSANAADPYKVQRPCCPSQSQSDLNVSSSSGDQIPAGQSTASAPTKNSMARDHLQPHPQSLGPPEHMPSSNVIRLPGQPLYAASEFPQTQFQHNVIAPENEFMQNQMRSYPPPELSRPRPLDFHHHTLPLAVPSHQQPSAIPVENAPVPPPNRWSEYSGGVGLSYPSHQPPSGQHQPPGNLDSGTNLVYPFQRFPSTLPGSSDLGPLSEVGLPKSSIKPHYNPFASTFEQTDPSLDIGPVVSPNAVGSISTKAEHMNVLSPFGQSFPGSRTHAHESSAEAVSNKQKPFHQEFSSAAPYDPLLDSIEPSSSSINKVDPGKGKNRSAADSRDVSKLMNIEVDSESMHGLGVVAESEVEGLGEVAVDTEAGMVENASPEFLGAKDWNSDIPGDIDDDQTMDKNKKGKDSRSMKLFKIAIADFVKEVLKPSWRQGNMSKEAFKTIVRKTVDKVSNSVPSSHIPKTPAKIRHYVQSSQRKVTKLVMGYVDKYVKL >PAN49081 pep chromosome:PHallii_v3.1:9:59116437:59117281:1 gene:PAHAL_9G424800 transcript:PAN49081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHVLGACLDRRFLSVANSPLGPMNMELATGRFGSDRPALDL >PAN50999 pep chromosome:PHallii_v3.1:9:68753802:68757642:1 gene:PAHAL_9G563400 transcript:PAN50999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTREPHESSRREGRDSHGRRPHFSSRSRRDDPSPRRRRDDRRHESDRAHYRSRDEESAKVSDRDQKRNRDAEQCDDPPNAESKSVSDAKNDPSTRHERSPRGTKRFSESSETLRHRSFVQHTERGSAGQGGRRYDRQPSGYGRQRDQREHFADRDKQKDVGRSLQGKVDQDGGDSTWRHDGFFQLEEEAPLAKKRPPFQEMKVQDSAATVTDLDSGSKKPDQPGPTSAMREERGNYHPQGFGNRRPFIRPDDRGFRRGFPDHRSEGQRNGYDSRGRLPGRGGIDRDRFGNPYGGRGSGYHQTSGDQEEKWKHDLYDQSNRSPPPKTEEEQIAKVEALLAL >PAN51883 pep chromosome:PHallii_v3.1:9:72700930:72702913:1 gene:PAHAL_9G627100 transcript:PAN51883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAMATTSLSLQGRPCQAAAKKLSSPFLGAPASFLRPLAPAPTAAPSRRTLAVRAMAPPKPGGKPKKVVGMIKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGVEKGSKEPQREKVGKVTADQVRAIAQEKLPDLNCKSIDSAMRIIAGTAANMGIDVDPPILQKKEKVLL >PAN44921 pep chromosome:PHallii_v3.1:9:4649922:4656479:-1 gene:PAHAL_9G080700 transcript:PAN44921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKVEGESYMPGYYATGDLNMEPNGRWSPYYEERTSNGQLCNGFTTKPTNGYSDFDKEMLKHQMLEHEAVFRQQPHNPLTIQVYELHRVYKIQRDMMKQHQSKEIYSYPMLAVASHTNSASQVPPNGAKMMWQMPVPPVSTTYRKAPVGEHNDTNQSSMKFLREGSVQSSPNGFLSSDAAPRSRQATFDLQLPADHYIDDDNTSDNKPIDFLGLASDTKPRNDADLTLISAEGFGRFSDNSSTSGLRTTNNLGSRHVTDLNESNTGIYMGRANGSVSRGLSNTLENSWHQPILRPNTTNFSFNKEYSKDKHTDEGTSSNFFDASAKIRHEDKPSINKGKQVSSITFLAPRYSDADPPKYFKAADGRPANYNQFVYQGQNSSVGWFARSPLEPSAINNFATLDRPHHSSMGTFTAPISVPQIDHPSIVSPMGSCTADPRSSIINNPALVPRFNGSSAVNSYTSLSAVTQSIGTSTPKLKNVDKLDGRYPGFPLDSFSVSHSRHQVAISSDLEQKNSQKFEHSDRQSHGKGMKNFNLNETLSDCQEDGLVEQDGRCPGSFQHGKDGGSVFGISWLKNKAVCADPTALEKPGKLFGHSFGTAMELKNTKDQNEPAQTIRNLSDSASTSLGCGIKKDGPSEDIIARTLLVCNKAEESAARLPLSCQKHVSKDGQAAEGVIKKSGAPVRNFIDLNDDVPNEDNSEESVVSHECQAAPLRNNQPKRAFVIDLEVPACEDGAAWNFDQECTHPGKLDAYQEIDNTSVTADMAAAENIVALSMNIPTAVEACDDMLQWFADLAVSNINDLAEQVELQACTNDSSDDELDSFESLTLKLEETKIDERWSRPLEPAITTDEQAVSTAHLLTKPRRGQQRRRRQKRDFQKDILPALSSLSRPEIIEDVQLLEGLVQASGGSWESSLTRRGRYGGRTRGRKPRKTVVTITVEEEEVEVSPPPPKPAGTGDLEADERGMIGWGRTTRRCRRTRCPSGSNITAAS >PAN46490 pep chromosome:PHallii_v3.1:9:12033890:12035839:1 gene:PAHAL_9G189200 transcript:PAN46490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQRYRFFCCGCGANAAAGDREGDDDGDFGAFEEKVEKGAEAGPRGLSWAQVEAMTGGFTSAVVGEGGFSTVYLARLSGALAAVKVHRSSERLHRVFRQELDTLQRVRHPHIVRLLAFCEQQEEGVLVLEFAANGNLHERLHGGGKAAGAMPWARRVSVALQVARALEYLHDQCEPQVVHGDVKASNVLLDASMTAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGVVTKKSDVYSFGVLLLELLTGMEAFCAEEGRLLTAVLAPRLRASAPACDARGLVDERLGTAYDAAEAAAVAALAAACVGENPSLRPSMADVVRTLEHRAQGSISAVGRRSDGHGKV >PVH32974 pep chromosome:PHallii_v3.1:9:67095420:67098822:-1 gene:PAHAL_9G538100 transcript:PVH32974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLLQDDVNEKINSAFCPQRVTAFNPFFEYIKSVAFPWFGNLEVVRKEGNDSNKIFSSMEELIVDCESGYLDSTDVKLALQKAINGILELVGEFFRSSTDAQAVITSHKFQDEITADMQKILMQNKDLVNLVSLLVLNYFTSIGNTLVHVYQFCNLVNLVSLLVLNHIPISRLTLLD >PAN44626 pep chromosome:PHallii_v3.1:9:2962572:2965510:-1 gene:PAHAL_9G052500 transcript:PAN44626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANTAAAGAATATPAPAAPAAPVQVPRGQVDLVDFIDWTGVECLNQDSSHSIVNALKQTFRDDEGLHLASDSDEQLLIYIPFMQVVKLHSALFKGPEEDGPKTVKLFSNKEHMGFSNVNDYPPSDTLDLSTDHLVENKPATLKYVKFQNVRSLTIFIEDNQSGSDVTKIHKIALYGTTVDTTNMKDLKKIEEH >PAN43976 pep chromosome:PHallii_v3.1:9:528897:535104:1 gene:PAHAL_9G008200 transcript:PAN43976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRRLHLHACASRRSLAYAAAAALCGTAPRTLPSAGLLRRLHDAAGDGPSSDSEHGRRPGPLTLYRNLVSQGKLTYDSYQENVASELDNLLRRLQQYEMEMEDYHAQLYIWENSREKERRRLLVEEAEDKQRDGVWIDEKRGFLDKLVTRRRRGNIEPGVGKWVSYLNREKKLDKLVGQRPVSPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHDVWKRRDDDKSVQSSAFSWISSLPFDAKIKEWLIGEEKYKQETQQKHILLAVADKFLVDRQANKTGASILCFDEIQTIDVFAVVALSGILSRLLNTGTVLVATSNKAPEDLNQDGMQRDIFLELLSKLDENCNKILVGTEKDYRRLIPTEGSTQVHYFWPVTSDTYSMYEAMWHDITNQIGGDTISVTIPVMFGRSIEIPQSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISEIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVLAEGNVGLRPSTCGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVQHAHPSFQLQAAPAVASNNRTCVSQCAPSV >PAN43977 pep chromosome:PHallii_v3.1:9:528897:535104:1 gene:PAHAL_9G008200 transcript:PAN43977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDYHAQLYIWENSREKERRRLLVEEAEDKQRDGVWIDEKRGFLDKLVTRRRRGNIEPGVGKWVSYLNREKKLDKLVGQRPVSPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHDVWKRRDDDKSVQSSAFSWISSLPFDAKIKEWLIGEEKYKQETQQKHILLAVADKFLVDRQANKTGASILCFDEIQTIDVFAVVALSGILSRLLNTGTVLVATSNKAPEDLNQDGMQRDIFLELLSKLDENCNKILVGTEKDYRRLIPTEGSTQVHYFWPVTSDTYSMYEAMWHDITNQIGGDTISVTIPVMFGRSIEIPQSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISEIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVLAEGNVGLRPSTCGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVQHAHPSFQLQAAPAVASNNRTCVSQCAPSV >PAN43975 pep chromosome:PHallii_v3.1:9:529537:535104:1 gene:PAHAL_9G008200 transcript:PAN43975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQKGPLTLYRNLVSQGKLTYDSYQENVASELDNLLRRLQQYEMEMEDYHAQLYIWENSREKERRRLLVEEAEDKQRDGVWIDEKRGFLDKLVTRRRRGNIEPGVGKWVSYLNREKKLDKLVGQRPVSPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHDVWKRRDDDKSVQSSAFSWISSLPFDAKIKEWLIGEEKYKQETQQKHILLAVADKFLVDRQANKTGASILCFDEIQTIDVFAVVALSGILSRLLNTGTVLVATSNKAPEDLNQDGMQRDIFLELLSKLDENCNKILVGTEKDYRRLIPTEGSTQVHYFWPVTSDTYSMYEAMWHDITNQIGGDTISVTIPVMFGRSIEIPQSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISEIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVLAEGNVGLRPSTCGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVQHAHPSFQLQAAPAVASNNRTCVSQCAPSV >PAN44229 pep chromosome:PHallii_v3.1:9:1481863:1484617:1 gene:PAHAL_9G026200 transcript:PAN44229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLNKVVLSSYNFDAGISLMLYQNFVCVVILLILELFRVITTEELTWKLIKVWIPVNLIFIGMLVTGMYSLKYINVAMVTILKNMTNIITAIGELYIFRKGQNKKVWAALFLMIVSAVCGGITDLSFHLIGYTWQILNCFLTAGYSLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFAIILVVIFDEWEYVCQAEVIREPIFWVVATASGLLGLAISFSSVWFLHQTGPTTYSLVGSLNKIPISVAGILLFNVPVSVENFCSIVFGLFAGIFFAKAKMS >PAN49612 pep chromosome:PHallii_v3.1:9:61740321:61752075:1 gene:PAHAL_9G459800 transcript:PAN49612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVYILYGSMVLELQTCRLHGNTEKTIGVNVPVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGAVPNWREKRPIKSLVTGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFSADEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLEAKDAKINAMRSAISETFPEPNRRLLQRVLKMMHTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIITTLLEEYEGIFNDEHLRCSLSPESQIEDSGTEESTDDGNLDAKGNGFHDAEHDVDQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAVNADESDAERPVEVLEGNVDLSKVQNSRSVENGSANVDTLLSENNPSNPTSGHETPLSMGEILSSFDPGISVPSQSSEYSVERQSNKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQFGSTVDANDRHHRLPGHFSQQNFVQQGFDMNLAFCNQEKQRNEESSMESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVAINVPKLAEGIEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPFKPPSPWNHPR >PVH32682 pep chromosome:PHallii_v3.1:9:61739690:61752075:1 gene:PAHAL_9G459800 transcript:PVH32682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPVAGAAFERYQQRGGGGAAAANGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGAVPNWREKRPIKSLVTGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFSADEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLEAKDAKINAMRSAISETFPEPNRRLLQRVLKMMHTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIITTLLEEYEGIFNDEHLRCSLSPESQIEDSGTEESTDDGNLDAKGNGFHDAEHDVDQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAVNADESDAERPVEVLEGNVDLSKVQNSRSVENGSANVDTLLSENNPSNPTSGHETPLSMGEILSSFDPGISVPSQSSEYSVERQSNKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQFGSTVDANDRHHRLPGHFSQQNFVQQGFDMNLAFCNQEKQRNEQESSMESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVAINVPKLAEGIEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPFKPPSPWNHPR >PAN49611 pep chromosome:PHallii_v3.1:9:61739690:61752075:1 gene:PAHAL_9G459800 transcript:PAN49611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPVAGAAFERYQQRGGGGAAAANGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGAVPNWREKRPIKSLVTGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFSADEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLEAKDAKINAMRSAISETFPEPNRRLLQRVLKMMHTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIITTLLEEYEGIFNDEHLRCSLSPESQIEDSGTEESTDDGNLDAKGNGFHDAEHDVDQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAVNADESDAERPVEVLEGNVDLSKVQNSRSVENGSANVDTLLSENNPSNPTSGHETPLSMGEILSSFDPGISVPSQSSEYSVERQSNKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQFGSTVDANDRHHRLPGHFSQQNFVQQGFDMNLAFCNQEKQRNEESSMESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVAINVPKLAEGIEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPFKPPSPWNHPR >PVH32683 pep chromosome:PHallii_v3.1:9:61740321:61752075:1 gene:PAHAL_9G459800 transcript:PVH32683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVYILYGSMVLELQTCRLHGNTEKTIGVNVPVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGAVPNWREKRPIKSLVTGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFSADEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLEAKDAKINAMRSAISETFPEPNRRLLQRVLKMMHTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIITTLLEEYEGIFNDEHLRCSLSPESQIEDSGTEESTDDGNLDAKGNGFHDAEHDVDQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAVNADESDAERPVEVLEGNVDLSKVQNSRSVENGSANVDTLLSENNPSNPTSGHETPLSMGEILSSFDPGISVPSQSSEYSVERQSNKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQFGSTVDANDRHHRLPGHFSQQNFVQQGFDMNLAFCNQEKQRNEQESSMESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVAINVPKLAEGIEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPFKPPSPWNHPR >PAN44962 pep chromosome:PHallii_v3.1:9:4811415:4819528:-1 gene:PAHAL_9G083800 transcript:PAN44962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MAFTPRSPWSRARKPDVYSTFVFHGDDEDEDPSGRGRPATGAEDEEEEDPSSLPPLLQRLPKDFGGASFDDDEDPYSSDPDDASLSATVVVKRGAPASDRSPFLDLRRSSTRAAEEDPYSTFVVHSTTRSGVASSSPRESASGTFIHRSGGSSSPRESISGTFIRRTGSPSSPRDSISGTFIRRTGSPSSPHESFSGTFIHHTSGGSSSHEAASGGGGGFGSSFWSPAVEQSSEELRQPSPLMQQQQQQNSRRKPSVSSVPDSITREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIIMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNTGASKMLAKIKDAKKIRATLAAQNQLDGPDDTMLDATVRINEDFGETVPANSQQHMKHTTYNDGQAGDFGTMIVHSEDGDEVAESPIFPRAEFIPGLGSISSFTHDPKRAELISKFWAENAADSDANKDRDLDDHLDMQEPKVITTSTGTVKKHMSAEGTMRRHDSQIGLSPGFANTTTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSENEAGNGLAGSSTNDALEAVKELFSGDGQAKKGRKGQNEVSLPPGVHHRLTTCPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >PAN51675 pep chromosome:PHallii_v3.1:9:71707617:71711451:1 gene:PAHAL_9G611900 transcript:PAN51675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLQHHHRSRSASSLARSSETTTTELDARILGSTAAASVAAAEAVECPFGGVDGLSRAELREAAYEVFFMSCRAAGGRGGGLNYYPAGGDSGGDWSPTIGAGPRGGTGMNVVSSRVKRALGLKARRASQPTTVRSSMSASSAPGSPGWMRAVRDQAPGSPGKPRRPMTSAEIMRQQMRVADQSDARLRKTLMRTLIGQVGKKAETIILPLELLRQLKLADFTDSGEHHQWQRRQLKLLEEGLILHPSLPLDRLNAPVLRFREIMQAADVRAIDTGKASDTMRALCDAVLALAWRSAPGTGPPGEACHWADGYPLNVLLYVSLLQAIFDLKEATIVLDEVDELLELMTRTWTTLGIDKMLHNVCFAWVFFQQYVATGQIEADLAGAALTMLSDVATDAKQESHETMYARVLSSVLGAIRDWSEKQLLDYHERLGKGMIGTGVGAMENALSLALTTSKIIAESVPGMGTTFADSEHDGGGIGGFAGDRVDYYVRCSMRSAFTKVLENELGQGNSMIINRDDEPGEILARLAKDTEQLALSERDSFSPVLKRWHPFPGAAAVVTLHSCYGVVLKQYLAKATCLTNELVHVLHAAGRLEKALVQMMVEDVADSDDGGKSVVREVVPYDVEALVLRFLRTWIEEKLRIARECLLRAKDTESWIPKSKGEPYARSTVELMKLAKATVDEFFGIPVTARGGMVQDLADGLDAIFQEYISFLASCGTKQSYLPSLPPLTRCNQDSKIIRLWRRAASPCRATGTSPRGGVYPGQNASFSGGNNPRPSTSRGTQRLYIRLNTLHYLLSHIHALDKSLSFFSHGRCSSPTSSAASRHLAQSTHFEHSRAAAQSAIVRVAEVAAYRLIFLDSHHSFYGGLYVGAVADARIRPALRTLKQNLSFLVSILVDRAQPVAVREVMKASFQAFLMVLLAGGSERSFTTEDHAIIEEDFRSLKRAFCTRGEGLVAEEVVEGEALAAEGVVALMGQTAEQLVDEFGIAAYETTGAVSDRQQLPMPPTTRQWSRTDPNTILRVLCHRDDEVASHFLKRTFQLPKRR >PAN48470 pep chromosome:PHallii_v3.1:9:55499944:55501810:-1 gene:PAHAL_9G384300 transcript:PAN48470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTVNARDREQHGLGLGLSLSLSIATAAPVESPPPPPRAMSVAPISSRPAPPQPQWWSGAGLFFSPSSGTDRSMERKQQQQPAALAACHGHEMPFLRGIDVNRAPAGEARRGSCSEDEEPAASSPNSTLSSLSGKRAAATRSGELEGDHTPRAGGVGVGSDDEDSGAGGGSRKKLRLSKDQAAVLEESFKEHNTLNPKQKAALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQREVAELRALKLVAPHQYARMPPPTTLTMCPSCERLASADEAGRAARPAPTGPWGPVPVRPVFVDGPARRS >PVH30925 pep chromosome:PHallii_v3.1:9:859350:865265:-1 gene:PAHAL_9G014500 transcript:PVH30925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVGMNPGEELDFGGNQEDDEEAGDISPGSKELAAMVEAAAAAESVELDDGAAASAAQYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGNGKEDACYQARPTAKTNCQATVVARLWGDGLLHLTDVNLEHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSAAGDIDVPIFDDWGRLKIGEADVVAINSFFAEMQAKLPNSFYVMDFYVEGHLRSVLWADSRSRTAYQYFSDAILIDTTCLRNKYQVPLVSFLGVNHHGQLVLLGCGLLSDESTESFLWLFKSWLTCMKGRSPNAVVTDECVAIKAAVREVFPKTRHRISDWHVLRSVSEKLGEMPEYEAMGTELETVIYDSLNDDEFDARWKNWIDRFGLQDNEWITFLYENRHLWAPAFLKDAFWAGLWTFSQHESPSAFFEDSINSETTLVSFLASYMMLLEKKYKMEQQDDFDSLNSSRVLISKYPMEEQLSRLYTLNMFIKFQDELKATMHCQVQLDGSASSFIVIDLTEPASEMLNKKYEVVHCMAANRMECNCGLFQFSGIICRHALSVLKWQQVYDILPCYVLSRWRNDFKLLHYPDNPSKDLATNNHVERYDYISLQFLHLVEIGMSSDEKYRHAVRLIKDIKETLLDDNLCRDLEQKLTPAERAIVNGDSHTQPGSSEGGPAKKRRGRPPKKSKEISVDSMDSLLVSTDVTQKGAFHSSSTASNLGTHVRTHGVVDLMEEVNPSELSFDSRYGVQSGHPHHFGNQLHAGNTLQFGQPTSTAEHSRVQWVFPNSMYQDDQVPYGRRTS >PVH31856 pep chromosome:PHallii_v3.1:9:17056341:17057469:1 gene:PAHAL_9G248000 transcript:PVH31856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTPWICFCPAFSICKAHGHNYLVVAESNCKFYSRPEFRPSAFSVLPPAFVGYSRTKEVPNCQCIESSHFRNANFISLFLALHGTVAEAVKAQVTISLQDQDGKPVPLYSMTTEFVNFSETKNWGYPQFMKRQALEESEYLTDDCFTVRFDVTVMKDVHTVETPFLVVLPSDMHQHFGHLLSSKEGTDVKFRVGRKTFSAHRLVLSMRSPSTSTNVICINDKEAEVFDALLTFMYTDTLPEMKEQEESAMAQHLLVAADRYNLERLKLICEDKLCKHIDTNSAATILSLAEQHNCHGLKDACFAFLSSSSTLDAVMETDGFEYLTSSCPRVLKELVSKVVPR >PAN47846 pep chromosome:PHallii_v3.1:9:46887446:46899613:-1 gene:PAHAL_9G340500 transcript:PAN47846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAVTKVCGGGAKARAGVLHIGGGGIGIETPALLLSTRKGLPSFVSCDLLASLPLPDSLLLHVCPTHFMEGPPLKTISNIGGLHRMLGLPDHILVAAAGDSIESLPSSEATNKFGASFDTPSGRRLVKPSDYMELVSCMKPNLWASLADEVPAWVTEKRNKISVDRTLCWLDACIALDTASGTNSFGVVVGGSSIEQRRLCATEVSKRNVSGFWISGFGFGENIDERCSLLNAVTDCLPPEKPRLVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTMGGFALIFPIDMVERETQNGLFDNDGGDSTKINLRATTYRKDTSRLVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLRFFRSIREAIKVGEFDLFRQQFVKKRRAHIAAAVL >PVH32178 pep chromosome:PHallii_v3.1:9:46888546:46899629:-1 gene:PAHAL_9G340500 transcript:PVH32178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAVTKVCGGGAKARAGVLHIGGGGIGIETPALLLSTRKGLPSFVSCDLLASLPLPDSLLLHVCPTHFMEGPPLKTISNIGGLHRMLGLPDHILVAAAGDSIESLPSSEATNKFGASFDTPSGRRLVKPSDYMELVSCMKPNLWASLADEVPAWVTEKRNKISVDRTLCWLDACIALDTASGTNSFGVVVGGSSIEQRRLCATEVSKRNVSGFWISGFGFGENIDERCSLLNAVTDCLPPEKPRLVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTMGGFALIFPIDMVERETQNGLFDNDGGDSTKINLRATTYRKDTSRLVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLRFFRSIREAIKVGEFDLFRQQFVKKRRAHIAAAVL >PVH33335 pep chromosome:PHallii_v3.1:9:73037011:73039404:1 gene:PAHAL_9G631100 transcript:PVH33335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os03g0117100)] MGSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDKSTTLARKVFRLVKWVNDLHALISPPAKGTPITLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVARISLYCWMASSACASLVELGELKRLSRSMRKLARELRRTDKYENEQYQSKMKQSDERLLALVKAAMDVVVAIGLLQLAPKKVTPRVTGALGFVTSLISCYQQLPSRAPVAKVKA >PAN51933 pep chromosome:PHallii_v3.1:9:73037380:73039404:1 gene:PAHAL_9G631100 transcript:PAN51933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os03g0117100)] MGSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDKSTTLARKVFRLVKWVNDLHALISPPAKGTPITLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVARISLYCWMASSACASLVELGELKRLSRSMRKLARELRRTDKYENEQYQSKMKQSDERLLALVKAAMDVVVAIGLLQLAPKKVTPRVTGALGFVTSLISCYQQLPSRAPVAKVKA >PVH31181 pep chromosome:PHallii_v3.1:9:4343349:4345423:1 gene:PAHAL_9G075200 transcript:PVH31181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSDPYPFYHLPVSLLYIAFPSQSTRNSATRKPPNSLQKVAQMMSSTTLRPLVPAVASPSVSHLTTGASPAPGRRLASSSVRAVRNYDSIPKREPFSSSRSILDEFLRQEKPLVQRTKDQITDYCTTIEGDECCSCWDAYFELNKLEQELPKEEIARMVKDSEGDVKYLIDSIHHRSNLRKKMAEKARHTVSSSSQGQTAKPRPFPVPDGLPKTQEELAEEEEALMPESPYTRLLRRMGRYPDWYTPRPDHETD >PAN47489 pep chromosome:PHallii_v3.1:9:31529379:31537974:-1 gene:PAHAL_9G295600 transcript:PAN47489 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MADGGRMKGVEGAGGCTGDEEERNEEVREELELGLSLGRRGWHFPPRQEPAPRSLNWTAVLPEWYTDAAGSSRAGERASGGQLIPSLGFRDMLGAILDGPHAGGSVDVGWGDMDDEDEDRDLQNKRLRVRRFGEESPQHSGASATPFGSESFLPISDEHVHFKLSCFPEHELEFGLSLFPNDGGSESPRDANNELVDDAENSGGRNSEDVGIKMDLSDDLLHLIFSFLGQKDLCCAGVACKQWRSASVHGDFWKCLKFENTRISLQNFVDICRRYQNVTELNLHGVINAETLVLEAIMFLRHLKTLTMGKGQLGEPFFQALAECPLLTTLTVTDASLGSGIQEVTVHHDGLRELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLLDLDFQSCHKLSDNAIRQAATACPLLAKLDMSSCSCVTDETLREIASSCPNLSVLDASNCPNISFESVRLPMLIDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLDLPNLKNISLVHLRKFADLNLRSPMLSYIKVSRCSALHRVSITSTALEKLVLQKQESLSSLTLQCRNLIDVDLSDCESLTNAICEVFSDGGGCPMLRSLILDNCESLSIVELNTSSLASLSLAGCRSMTFLRLSCPNLQNVNLDGCDHLQSAAFCPVGLESLNLGICPKLSILRIDAPNMSILELKGCGVLSEASINCPLLTSLDASFCRQLVDESLSRMAEACPLIEHLILSSCLSIGIDGLSSLQCLHKLTLLDLSYTFLINLKPIFDSCPQLKVLKLSACKYLSDSPLDALYTDGALPFLVELDLSYSSIGQTAIEDLLAFCKKLVNVNLNGCTNLQELVCGSDDCSSVDMPVDFCPPNSAPAKSEEISEQSGRLLEVLNCTGCPNIKKVVIPSMANFLHLSKINLNLSTNLKEVDLTCSNLHSLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQEEELESAISLCSALEILNVHSCPKINALDFGRLRVVCPSLKRIQSSLIS >PVH32127 pep chromosome:PHallii_v3.1:9:40461359:40463410:1 gene:PAHAL_9G323200 transcript:PVH32127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGLDVECPHIFDGTHFARWKNWMTCNFKFICPQMWWMVDVGFSHVLDERNLTQTQEKCLDLDIQATNILFRSLHDCILCEVMDKETAHEIWSYLNEKYGAASDDHDDFKAIQEIHEDGEHIHDMVVVEDCSTSWSNDDDDDDDDDQCTTSSLDMIDGDDSSAANDDPTASTLDDQVGSYMDDISTSSSSPSSHCFRSQGDTKVSNCNVIDPNSYNELLNRYASMNKLFEKVLAKTIKLEKENSFLKDTCEQQKYLLYVMSCSHEELKLTHEELSVAHENLVLDHALLTSKFSNKEIKTSESSSHGSKDQLQNIASPCDVGKKHVSTSCDDLLSMPCTSHIDACSSSTMQYETNLVEENKELQSQVKYLSNKIERWTKSKVTLESIIKNQRNFGDMSGIGSNKSKAKGKRWGKNKYDRKMKKQEEMKLSHFMCFQCHEMGHFANGCPNKENLKLKKEEEKIKHVKCFNCRTWGHLTSMCPTKQLVKQQEAQPKPQVEQEKAPQPQVKINHDDQVDDLKMMKKRTRKGDKVRARHPTHIQDAKMLSKNKIQDKNPHAHIKCHSCAILGHLASGCPNKLEKKAQANNEKQGNEKHQMSKEGKAQQKRRCYLCREKGHIAYSCPLGNNSKPISIDAHIMLRKDGNGTSFVAITKHPAIHTKALPKYVAPNLRGPNLVWVPSKRG >PAN49331 pep chromosome:PHallii_v3.1:9:60625219:60629473:1 gene:PAHAL_9G441700 transcript:PAN49331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDQDLGFFANFVGVFIFVLVTAYHYVMADPKFEGN >PAN49501 pep chromosome:PHallii_v3.1:9:61384927:61394426:-1 gene:PAHAL_9G453800 transcript:PAN49501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MADDGGEHPPPAKKKSPAEEAAEKRRKKLTPGSLMKGLIRSGSGDATPAEGDQVIIHCTTRTMDGTVVNSTRREHGGKGIPPRFVLGKSKMILGFAEGFPTMLKGEIAMFKMQPKVHYAEDDCPLAAPDGFPKDDELQFEIEMLDFFKAKVVAEDLGVVKKIIDEGKGWETPREPYEITARITARTADGKEIIPSKEEAYFFTIGKSEVPKGLEMGIGTMARKEKATIFVSSTYLTKSSLMPQLEGLEEVHFDVELIQFIQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDESKSVFYDTRVDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGATVQWEIELLGFEMPKDWTGLTFNEIMDEADKIKNTGNRLFKEGKFDLAKAKYDKVLREYNHVHPHDDEEGKIFANSRSSLHLNVAACYQKMGEYRKSIETCNKVLEANPVHVKALYRRGTSYMLLGEFNDARNDFEKMITIDKSSEPDATAALLKLKQKEQESEKKARKQFRGLFDKKPGEISEVGAESEGGKDWDDAKSSGEATSSERDADTKGSPSGESEYAFEEERPGLVGRVWPSARRIFSSLGLNRCTIL >PAN48772 pep chromosome:PHallii_v3.1:9:53655982:53656422:1 gene:PAHAL_9G368400 transcript:PAN48772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTATGSMACVRTMLLLVAISARLLASPAANGSKITLVFCILGECNYFGHGWQDCYCCGDQYRKQSCHPTKEECRAHCPLCNPTCSPAPPTLAATTNAASYN >PVH30943 pep chromosome:PHallii_v3.1:9:1065782:1066492:-1 gene:PAHAL_9G018700 transcript:PVH30943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGREEAEKAYRFAEERFVAGDLAVRPGTPKGSSLRYPPSRTPPPRTRSTPRWPPPHAEEGTGTWCSACRRTPRTRTSRSSTSASASSSTKNRSAAADGAFKLLQQAWEALSARHPPGPASASKPSRAQPRRTYAPPPRAPPSWAKTGRCGSRPPPPDWSSFGFTPPPEPDGSTFDFKAWRAAYARANGTIYCGHCDSESAAEGASHGKSGERCHSCGARFSPPRRSRATPDTGA >PAN47020 pep chromosome:PHallii_v3.1:9:13032555:13033675:-1 gene:PAHAL_9G204500 transcript:PAN47020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIDLGRGGGGGRGAGAGGAPAKPRLVMIVADPGRESTAAMEWALSHSIVEGDDILLLHVNMPHHTHNGAAGPSRSSSGGTAGSPIAALLGGGADGGDFMEAMRAACKARYPRARVHGTRVEPATEGREAKAQTILAESQRRRVELLVIGHRRLSSFLGLRSSSGSSRAHDSTAEFLIEHSKCLCVSVQKKGQNAGYLLNTKTHKNFWLLA >PAN48725 pep chromosome:PHallii_v3.1:9:57070262:57075106:-1 gene:PAHAL_9G401500 transcript:PAN48725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQCQNGTYGNKYNNYNRYQNERLASRYDDGDDTEDCFSGSSRSSVADLMQQGLRRTLTSISVLGQKTPNVTEHYTLGRKLGDGKYGTTYLCTEISTGCQYACKSILKKKFVSMQDIEDVRREIQIMRYLSGQKNIVTIKDAYEDAEAVHIVMELCEGGELYHRITKGNYSEQKAAELMRIIVGIIENCHSLGVMHRDLKPENFLLQDKDDDLSIKVIDFGLSVFFKPGEVFTEVVGSPYYIAPEVLQNHYGPEADIWTAGVILYVLLSGVPPFWADTRRGVYDKIQDGHFDLESEQWQRISDRAKDLIRKMLCPYPSERLKAHEVLQHPWICDNGVATDQTRDPTVSRLNKLFATNKLKKLARQVIAEHLSEQETARLREMFKAMDTENRGVITLGELKEGLRRCCSVFNRTEINGLMDAPDSDNTTSIHWEEFIAATVPLGKIEHKEHSMPAFTYFDKDGSGYITVDKLQKPQVEQNMEDAFLEEIILEVNQNNDGQTNYSEFVTMMQSNNSGLGWQTMESSLNVPLREAPEVY >PAN48723 pep chromosome:PHallii_v3.1:9:57070199:57075431:-1 gene:PAHAL_9G401500 transcript:PAN48723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQCQNGTYGNKYNNYNRYQNERLASRYDDGDDTEDCFSGSSRSSVADLMQQGLRRTLTSISVLGQKTPNVTEHYTLGRKLGDGKYGTTYLCTEISTGCQYACKSILKKKFVSMQDIEDVRREIQIMRYLSGQKNIVTIKDAYEDAEAVHIVMELCEGGELYHRITKGNYSEQKAAELMRIIVGIIENCHSLGVMHRDLKPENFLLQDKDDDLSIKVIDFGLSVFFKPGEVFTEVVGSPYYIAPEVLQNHYGPEADIWTAGVILYVLLSGVPPFWADTRRGVYDKIQDGHFDLESEQWQRISDRAKDLIRKMLCPYPSERLKAHEVLQHPWICDNGVATDQTRDPTVSRLNKLFATNKLKKLARQVIAEHLSEQETARLREMFKAMDTENRGVITLGELKEGLRRCCSVFNRTEINGLMDAPDSDNTTSIHWEEFIAATVPLGKIEHKEHSMPAFTYFDKDGSGYITVDKLQKPQVEQNMEDAFLEEIILEVNQNNDGQTNYSEFVTMMQSNNSGLGWQTMESSLNVPLREAPEVY >PVH31540 pep chromosome:PHallii_v3.1:9:10938556:10940944:-1 gene:PAHAL_9G174400 transcript:PVH31540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGAAAPLPQHPHAFLAHPDLDRPPAVMEMWELEAVAAALPAKKHRLRETFDRLAACAPAPLPFLWEDLDAYISSLQYSVTLRRHQLLELDESRPAPAAVFAAPSGTGDDKSGPIHAAVLALAAVSAPAATGVDVGKGKKRKTSGQEAEAADHVPTRVKEAQAEMRKLHEDAAAKDAAASSLQDLNCKGKNLRLVPPGPGDDDDGLAAAEANATVQVDPVSKIAVVQQELPVPVPAAARHASNATNRGRLPLQRMSRPPRPIPAPAAVSAAPATGSQEVGADPISKITGVGQEPPAATPHASNAASPPKVIASFPLAKVPKQEQQAVEEEMPDVEMEIVEAEEPKDEHPNYEVPDVEMGIVEPEEMQTLNDDAPVADEKASPLQVKAQEAGKVSPLPLACSDGLAQADARAATAMQADAAGATVRRSSPAATRDAPDLVQVGAPKSAGVSTATRDASDAARLAPASARDDASKVSPVPPRRGNGLAPSGSGASTDDAMQTVAACKGASAVERRDSPAATRRGVNGTDLVPATGREAAGPPQARAPPRASNKHVLQKQQMYKQGYHEDPISSAQKHNHSFSRNHGGRPAGFRPVPEAQAAAARWNKPGEWRGSPGRGGFAAAGGLQGEGSRSKGNTKCKQPKFCTKCGCRGHLAGNCRTAKHLVVLYQRAKEEDENQICYRCGCTGSGRPLPKGSSGQTCGTGRRIALIE >PAN45524 pep chromosome:PHallii_v3.1:9:7443091:7452646:-1 gene:PAHAL_9G125400 transcript:PAN45524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRAEADAAAVLGADPAALTALLADLTSPANEARSRAEQQFHALRGSHPDALALSLAHLLLSPAHPSAPIAAVLLRRLIAPSSQAFVYPALSPATQSSLRALLLSAASAPALPRSVSRKLSDAVAELASFLLPANAWPDLLSFLYKSIDSQSSPPGLQESALNILARLASHLAAVFPNLHGLLLAALSHPSSADVRVAGLNAAISLIQSLPSAGARDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVASMLQIAEALGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFSSEDWKRRHAALVTIAQIAEGSAKVMIKNLEQVVGMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQNQLHHVVLPALASAMDDVQNPRVQAHAASAILNFSENCRPDILTPYLDGIVGKLLLLLQTGNQMVQEAALTALASAADSSQEHFQKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVCVTSAGPEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVTSTLVPLLKFYFHEEVRKASVSAMPELLRSAKLAIEKGQAQGRDKSYLKQLSDYIVPALVEVMHKEPEPQICASILESLNESIQVSGTLLEENQVRSVVEGVKEVIVASANRRIERTERAKAEDFDSEEEDVLREENEQEDEIFDQVGDCLGTLAKTFKTYFLPFFDELSMYLTPMLGKDRTSEERRIAICIFDDVAEHCREAAVRYYDAYLPSLLEACTSENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICQFHRDSIDASQVIPAWLSCLPIKNDLIEAKLVHEQLCAMLEKSERELLGHNNQYLPKIVSVFAEILCAGKDLATEQTSSRMINLLRQLQTTLPPSVLASTWSSLQPQQQLALQSVLSS >PAN46711 pep chromosome:PHallii_v3.1:9:13527695:13531306:-1 gene:PAHAL_9G209100 transcript:PAN46711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSADEFTPLSQLTLGMNKCRVRVRISRLWESFNPKNDISFSLDSLLIDDQGETMQARVLPDDIEQFEDQLVEGMVYALSNFTIEDTRESYMTCSNELTMYFGGQTVVNKIEDTDLIPLYSFEFINFKDLRSRCDDVSVLTDVLGHIVYVGELEEVWKKSRLIKICNARIQNLRGRELSITLYGDTACAFAEDMHEKGQEASVVAVLAGMRVESSHSVCSTTCSDYYLDLEIPEVQEFCANLRIQQENPVPKKSPAQKLAESWRTIEQLKSLDPEEYDEDTSFLCKVSLIHIDCSNGWCYLGCDTCQKSMYGAPRKYKCSRCGPIKRPIQWYKLKAKVQDATGTMNLMIFCEVAEELVGVSAEELVEEIEDDDEWYTLPEEIEDLLGSTHTFQVFDKYGSRSWSVRSIMDDVSVPVPAGTTAQCKEEPVREGSINIAIPSPITTQCKEEPVHEGSINMAIPTPVTAQCEEPVHEGSVDMAIPTPVTAQCKEEPVPGSSATTAEDRSESTRLQKPNKRLRGDDWVN >PAN50246 pep chromosome:PHallii_v3.1:9:65098841:65101455:1 gene:PAHAL_9G507900 transcript:PAN50246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGPGPASGSAPAAKEALPPALGSTSQPPPVFDGTTRLYICYFCPFAQRAWVTRNFKGLQDKIELVAIDLQDKPAWYKEKVYPQGTVPSLEHNNEIRGESLDLIRYIDSNFDGPALLPEDAAKRHFADELISYADAFTKALYSPLMAHADVSDEVVAALDKLEAALSKFNDGPFFLGQFSLADIAYVTILERIQIYYSHLRNYDIAKGRPNLEKFIEEMNKIDAYTQTKNDPLFLLDLAKNHLKIA >PAN48697 pep chromosome:PHallii_v3.1:9:56957823:56958065:-1 gene:PAHAL_9G399600 transcript:PAN48697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDDKCGCAVPCPGGKDCRCASASAGGQREQHTTCTCGEHCECSPCTCGRATLPSGRENRRANCSCGPACNCPSCSATA >PVH32499 pep chromosome:PHallii_v3.1:9:58247351:58247653:-1 gene:PAHAL_9G414300 transcript:PVH32499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPAKRNPAASLTDNLLIEFLRRQPIRSVCRFKCVSRSWRKLISDPAFHRKKLPQTLTGFFYATSAASASPSRRTTSPMLPERRTIHLSFLLLLARPK >PVH31204 pep chromosome:PHallii_v3.1:9:4728351:4736482:-1 gene:PAHAL_9G081900 transcript:PVH31204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPRHPRVLMLPFRAQGHVMPLMELSHRLAEHGVEVYFVNTDFNHARIIRAMEGGGDQTGALLAGIHMVSFPDGMAPDADRSNIGKLSEGLPAAMLGRFDELIRSKEIRWMVVDMPMVWVLELAATAGVRVALFLPFSAAIFAMRTHAPKMVEDDIRDEDVPTRRAIFQTVIKTGAALALADSIVCNTFQEIESEALALIPKTALAVGPLEAPKATPAVGHFWPDDPPGGLRRDTAPGARRRAGADDRQAVPVGGLAELRRRRQLARRGQAPGRRWHGAGRRLGSPAAVLAHPSVACFVTHCGWNSIMEGARHSARFLCWPHFGDQFCNRSYVCDVWRTGVRLCTDERGVDTKEEVRDKLERLLGNEGIRARALSLKSAARASVAGGGSSHQNLLRFVNLLREQ >PAN45767 pep chromosome:PHallii_v3.1:9:8637249:8643729:-1 gene:PAHAL_9G140800 transcript:PAN45767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAELKEKHAAATASVNSLRERLRQRREMLLDTDVARYSKAQGRAPVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNMPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVQSVSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEADVNSVKFFPDGHRFGTGSDDGTCRLFDMRTGHQLQVYSREPARNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHDGRISCLGMSSDGSALCTGSWDKNLKIWAFSGHRKIV >PVH32976 pep chromosome:PHallii_v3.1:9:67101459:67102019:1 gene:PAHAL_9G538300 transcript:PVH32976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACKKFRLAPLENEEDLEIMFSGASCTNVYAAIPGAKEGIAGAKEGTSGANERSDGTDDEVEEVLPFSPPGANAKKRASSSKSSATSSPNDYVRQEIAEMLESVIEAGACEGSDEHFYATQLLVKKEYRDVFTALKTPAGKLAWLKRTWEERKTR >PVH31626 pep chromosome:PHallii_v3.1:9:12457118:12458378:1 gene:PAHAL_9G194500 transcript:PVH31626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLYYSDLLYAAPGVSEISSREIEKINVVQGALGQLIIPFAPVLSARILLKENHATEFDYKQVR >PAN49181 pep chromosome:PHallii_v3.1:9:59952738:59954326:1 gene:PAHAL_9G432800 transcript:PAN49181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEARAAALLFSCSAVAFLLLAREAVLQPHRRRGGDLADLLLAALDSLLPEGRGLLDLATRRNMVLLCHAILVVILMDAGVLGAPARRRGAASAAGAGVEQGACAAPAHLAHAPGRSAVVWRRQRSSARTKSAGADESGRRLGKRQPRRSPRPAAAAALTVEPEQAERQPMVGREIVPVEKAPRICLLDDDRDRAAVVELEQLAPASATDARTDSDRAIIVAGDERNGIAEAEEMEGVELADDRRFDEFIEKQWSKIRQESLQLVRAAPQQQAITTW >PAN45458 pep chromosome:PHallii_v3.1:9:7712283:7713140:1 gene:PAHAL_9G129200 transcript:PAN45458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNQDTVAFVHLWTFDCHVILWILYAILSSTLLECVPRLKFENRWHMAVLRKQSLVTVIWRSSSAVQ >PAN50962 pep chromosome:PHallii_v3.1:9:68579175:68582320:1 gene:PAHAL_9G560800 transcript:PAN50962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPFDNPAMKRTSDWILSQEFPSDITIQVGDATFNLHKLRLASRCGYIRKLVSGINGFKVTHIDITGMPGGARAFELVTKFCYGENLEITEDNVAMLRCAAEHLEMTDESKGASLVGTTEAYLEAVALTSLTGAVTVLRKSEELIPVAEEVDLVGRSIDAIAHITCHDSQFTMPLGSTDGSYNGVKVWKAVDDWWADELTSLRIDTFQRVVIAMKARGFKGIALGTLIMLYAQKSLRRLNMHGRDKKKMDPRQEHEKRVVLETIVSLLPKENSTSVSFLSMLLRAALHLDTTLACRLDLEKRMAAQLGQAVLDDLLIPSYSPEASTTFDVDAVQRILAGYLEHEGEATRLDYSTDDDFISTASPLNDVGMVGKLMEAYLAEIASDMNLPIDKFTGLAEMIPERARFNEDGMYRAIDIYLKAHPHLSEAERRKVCKAMDCQRLSREACAHAAQNDRLPVQTVVQVLYHEQRRLREAPAHPPSGASSFSGESPAPSLPYKPAQSLMGRHARGGAAQDELSRLQRENEELKMEVMRLKMRLRDPSALPPAGGAPPPSGRPPQPKKPGGGGFMNNVSKKLGRLNPFLRHDAMDGGKVRTKPPKDRRHSIGW >PVH32951 pep chromosome:PHallii_v3.1:9:66697568:66701362:1 gene:PAHAL_9G532100 transcript:PVH32951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQVFDGDPFVRSISPPEENTFSAELPWPDLDFDAGLYELPKPVANETSSGGSSSQRKLNHNAYERDRRKHLNELYSSLRSLLPDADHTEKPSIATTVSEVLKYIPELQTEVENLERRKKKLMNANCKRGVANLSGSTAPIVSVNCLNEMEIMVQVSFLSNSAEAALPFSVCIEVLENEGLHLISSSTFSPTENRTFYSLHLQRSEAVISKECSAFCDELKKAARSKSKAARMQQ >PVH31489 pep chromosome:PHallii_v3.1:9:9844396:9844584:1 gene:PAHAL_9G158600 transcript:PVH31489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVPRGMAKWIRQETATKDAAINPGTEMVGSQENDADECSVGACSVPSHDSPRRELGAPHL >PAN46092 pep chromosome:PHallii_v3.1:9:10130804:10133211:-1 gene:PAHAL_9G162800 transcript:PAN46092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHKKLIHFLRADPAPGSALSSPRSFSSNDSSVSDDDGYNSSSSSSFPASAASSPSRYSPPKSPWAATTHLPGLGAGAGDDGSAATGLIASLLKEDGKVYSLAAAGDVLYTGTDSENVRVWRDRRELAGFRTGSGLVKAIVVAADGRIFTGHQDGKVRVWRADAADPAVHRRVGSLPALGDYLVSSVNPSSYVALGAPRGRRGGSRRRAVWLRHADAVSCLSLDEAAGLLYSGSWDRTFKVWRVSDSRCLESVPAHDDAVNTVAAAGFDGLVFTGSADGTVKVWRREVVAGGGDRTRHVLERVLREGDGAVTAVAVCPEDRAVYVGSSDGLVTCWRWGLNGEPRLGGVLVGHRMAVMCLAVSGRVVVSGSADRTLCVWRRDGDDRGADHQHCQHVRLAVLAGHTGPVKCVAVASADDGDSYGAAAGGERRFVVYSGSLDGSVKVWRLSEDRPLVEPPPAVITEPAAPSMPALLESEAWTPRRTVALAPPPAQAWAPYQAPPELKRVAAA >PAN44492 pep chromosome:PHallii_v3.1:9:2651275:2652597:-1 gene:PAHAL_9G047300 transcript:PAN44492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHLLRRLLLLAAAALPSPADCRQGGHHAAPPPPKHHDKSGALTVALAVAASLLALVLLYLCAAIAVRRFRSRGAVGREPAAAGSSSSSSSSAASRAAAFLRRNGLQHHSPAFTYEQLRAATAGFDAGRKLGDGGFGTVFLAYLPPAGRPAAVKRLHVPPSPSPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRVGGGGAPPPPPLPWRTRLAMASQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLAPPDACSTGAARELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDPPVLGEGPGVMASVEAVAELAFRCVAPDKDDRPDAREVLAELRRIQTMLPELPGGKVS >PAN46637 pep chromosome:PHallii_v3.1:9:12780739:12782647:1 gene:PAHAL_9G200800 transcript:PAN46637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKGKWSKEEDSLIKNHIEKYGIGRSWQALSNTLGLRRCGRSCRSRWLNYLRPGLKHGNFTAAEERVICEMYSKRGSCWSVIAAQLPGRTDLAIKNYWNSTLRKKFPAARTSAAAAYHRRNRPACSTSSDAGTPARDLQLVFYSSEESSTAGSSPAKPVLAGPTPVQAVQPPVPVVAAQPIAAAPVSGPVKIEQKQAVVSLPRLGKTPPPPPPPPRACDQTGERVMDIVCAPMSPAPLSFMEPDELACIYQFDDIDSFLPWFDHH >PAN48919 pep chromosome:PHallii_v3.1:9:58151525:58156585:1 gene:PAHAL_9G412900 transcript:PAN48919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLPCPHHVVLVLVLLASLFLRPRAVEMAPTPAAVAGGSGDEGASALLGLLLRVQSEALRALGPDGFDPKLYVDLPLAADERAAAAALPGQGQAPPSREELESYLARYFGAAGSDLVAADPLDFEAEPRGFLPRVESPEARAWALEVHALWKDLARRVARGVEERPDRHTLLPLPGGVVVPGSRFREVYYWDSYWVVRGLLVSKMYDTAKDIVLNLVHLVKKYGFVLNGARSYYTNRSQPPLLSSMVLEVYRATGDVEFVRKAFHSLLKEHSFWMSDIHNVAIRDNHGQVHNLSRYQARWNKPRPESATIDEELASKINSIADKEKLYHQIASTAESGWDFSSRWMRNSTDMTTLATTYIIPVDLNTFIFKMEQDIAAFAKIIGENATSEKFLEASKARHIAIDSILWNSEMEQWLDYWLPTDGDCQQGVYQWKSDSQNRKIFASNFFPLWLNAYHSGSVKFADTAKSEKVMASLQKSGLLCAAGIATSLTNTSQQWDFPNGWAPVQHLIAEGLLHSGSEEAIKLAEDIATRWVRTNYAAFKATGAMHEKYDVEACGKSGGGGEYKPQTGFGWSNGVVLSFLEEFGWPKHKEINCSRQGEVGLAGAQSG >PVH32741 pep chromosome:PHallii_v3.1:9:62787855:62790191:-1 gene:PAHAL_9G475800 transcript:PVH32741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYHHSSFPLSPPHQLTAPESPRAPAPSYRISTLPSSSHHGSACLPRPGASPSFACHCKAPPRDHDAELVRALQSNGNGTLHGESAPPQVLDSRSDGPGSDERGKRSRLCARDCAKRIMELPVEERVKVLDLLQRDDAALTVSDYNDILSALARAGDHASAVALFRAMPVAPDAHSFATAVQCLCRQGAPDEAKLALDEMVARGFRPSVATFSAVVGCLCKRGRVTKAMEVFDAMRALGCEPTIRTYNSLVGGLCYVGRLEEALDLLNKLKDSRKTPDIYTFTIVLDGFCKVGRAEEATAIFHDAIGMGLSPTIFTYNALLNGHCKEGNPLRAFALLMGMCGDEDGCPPDKISFGIVLTALLRAGETAAAWQTYKRMERAGFEADGRALDTLARGLCRRCATDVSALGDAREVFAKLVASGHEPVSYTYCLMAQALARGGEVDAAVALLEEMVRRGYALRKRAYTDVVRALCDRARARDALRVLVLVMIVRDFVPGRNAFDALLGELGRQGRWTDAMAVYAAAVKRGVVVSWKQLAREEEPVRLGVPQ >PVH32728 pep chromosome:PHallii_v3.1:9:62590711:62592148:-1 gene:PAHAL_9G472600 transcript:PVH32728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLSFVEFGRRCPSSPDYSPLTVAPSPRAASPEYTPVSLLWRAGTPEYAPWTALRLGPPVPPPPLRGAAFPDYSPSSSPSRPASPEYTPLGRCGWWVGSPDHAPSSTSTASHAASPDYAPSSTPTTYRVASPEYTPVSRLWRAAGSPDYWPSHPPPGAESPYCVPAGPPRAPPARAPPPPSPARRYRRRHHPYQRSGADNCTWSASGINHGQRHLVF >PAN52062 pep chromosome:PHallii_v3.1:9:73658833:73662938:1 gene:PAHAL_9G640000 transcript:PAN52062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSQKRLMRRQGQANNIGCMSGLIRMFYSRHDAKLLLDRKQGSTRHTFTGFTGRGHSRKNSRDLDEIDEDGNICCSYVSQNMEECSSSKPTVKRLMEDELAGKVKRLKIPNDEVQRILADLGHDVCLDKRSTQNNKSKGDQNHSTSITVSAPSRRLDPSGSNSMEEAEENELEFALADLLGQIQRYHDEQPHKNCRNKGELCTELKVLIQKKLNELDNPPCSLGYEQTPQGEEKDTADGKRLRSSSVTRPKQFRDTFEMLSSDTELLFKIPEKSNSHNLESVQRHENRQIGTGLVPTKMPENTKDTKSPSQHELVTKTRGRESRHIFFWKKERPNRTHTAEGTNSSQPVNKIVILKPNTIGGANPAVAVSSTDQYPELSAAESSKFSITEVRRRFRIVTSEARKGKPTVCEDGLQKHPHWFKKSAFTIKKDTRQLAEQTSEEKALSTGTKDFRSSSMSRKKQRHDGPSEINSNIMASPKDESAFYDEAKKHLTEILKDKSQTAKYPILQRTRSLVRMLSLPQCNTPSPRSSPRAKDCIYLSPEEANIHAIYKEKREEFAKEEIHLGKISESFACEAQHKQAVQEMHCINEESQETTQDNAELDTLLTEEIDKLDCIPAEHCRYKPLQDMVEEAEAVKEHVAMVTSPPGNDVPECQEPTTPRSSAPIELISQFSPDGNHEKQEQPSPVSVLYPFLHEDVDSPNNENIIKCELNEDILRSQYTTADGSDHGVFWEDKDVRLGYIEELLELSELCRYQNLEVWYLEDELISPCLFEELHQGNQIDDMKLLFDCICEAVTEVQGIYFSPACLSPLKRNIRAPPTGRNLISEINKQVERHLHYQFPSTLDQLVIMDLEGGSWMNLGPESEEITVVIWDCILDELLEEAVYDLWI >PAN44676 pep chromosome:PHallii_v3.1:9:3475714:3479962:-1 gene:PAHAL_9G061000 transcript:PAN44676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGDGAAAGAGGGAPPGRSQQGAKRGRGGGVRGSEAEAAAAWGQPPALPLPLPQGPGAGSRIYRVRASGGKDRHSKVYTAKGIRDRRVRLSVPTAIQFYDLQDRLGFDQPSKAIEWLINAASDAIEKLPALDTAAFAAIPVPGEADATKVKQQHGSSSGGSSPSETSKGSELSLSRSDGRGGAAAAARDREVTVASTSAQAASFTELLTGVASAGAISASEHKQSWQQQPNVSAAAAADCVGIAHPGKGAHGLSTHAFSGPIKFGNAPPFGMVPAQSFSFTTPVEMPHFSLGQDALAASSAPAAGDYSLNFSMSSGFLGANRGTLQSNSQSNFSGHHHQQLQRLDGPFLFGHAAAAAAAHPASENQLTASAALQLWDGFRHSGMKEKSKN >PVH31903 pep chromosome:PHallii_v3.1:9:18522479:18523633:1 gene:PAHAL_9G257000 transcript:PVH31903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIPFHLLLPETFLTLAPDARAYRTAATDPARPTSASDPSRRAPPEPQICPAGVRARAAGIRARPPPGPLARPFSGPWQAPAAARHRWSPVRLPARPWSRLLRCWEVAPALCLAGCLLQRRCYFKVSPKTCGS >PAN50794 pep chromosome:PHallii_v3.1:9:67700089:67701037:-1 gene:PAHAL_9G547000 transcript:PAN50794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFQSVAAVVVVSLLLLFLATDAAGLGGSSPSPARQLQKRQPPDLPHFCEGDVEFIQDDEGPVPGDQFGLRTFRVEIINKSAPGRVLSNVRVSCAGFHGIGLIVLPPGDFRRISERECLVNDGGQVTPAQSVVFLYESHSRYPFEVTSAAC >PAN51552 pep chromosome:PHallii_v3.1:9:71115531:71124434:-1 gene:PAHAL_9G602400 transcript:PAN51552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPAVVAAAGPGETPVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPYQRPSRRFVFAHLNRSLTSSSDAANPAVSGSSSSTAPSRPESAAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEQYTLEQFEAKSRAFSKVHLAGLREPTPLEVESLFWKASADHPIYIEYANDVPGSGFAAPPQSRRRKKRRREGDQVEEVEKGSGWRLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGAPKTWYAVPGDRASELEEVIRVHGYGGNPDRLASLAVLGEKTTLMSPDVLVARGVPCCRLVQYPGEFVVTFPRAYHIGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAASFIIRTPSVLSGIRTSRLRDRRKEERELLVKQEFLQDMINENKLLCSFLKKKSIDNVVLWEPDLLPSSTVLNSCSFGSKAPEKKSEDGCRIESSQCNHKDNSSFDGSVLMTGTQTKCMSANSKSSDAASASVEKSDADTDDEDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSREALEGISPVHREKFKLSSEKEKSAYVLPCCPAGSNSGSSFVPNRPSCSVEQPCLATPVEQANIDHQNVKSRKDVCLPENELAGPVQQHSDSSYYCRSENTLYSCSNWKKSDNTIPKDSLGPEVKQTGRCDINVQVVESCDGTINWNTSSTFARPRIFCLQHALEIEELFEGKGGVHALIICHSDYTKLKALAISIAEEIEFQFDCTDVPLANASKSDLHLINISIDDEGHEEDGKDWTSQMGLNLKYCAKLRKETSGIQDQSPLSVWGLFSNPSPVSVVPNLKWLCRKARTPYKVIGVISSSSTTAIAGEVKPEVKKETGTTGNVCEDDNSQHIFQKDDLLQPSGLHHSDDRDNRPSCSKENDHDRHCLIDIPIAVAEYPLKHQVCEGPVSVSTRNVTICSSDSQDSSLLATSPVDVTRYQGRTKSTELSCSSTFCVQQFLNDESTSVEGSMNCISNHEYLKSQDVTLRCRDECLQVQQDQEEMGLCNNRNRTSADPCLKEGLAISEEKHGGTVSAALENDEGCAKTSNCSDKTNESATVNPLETHDVGAVPVEQKTSCDEMTCSADVQRIITFGCLGSSDVPGSTQPLSVPHDLMSDELQVDSHHCVVKAIEPESNDSAKHGSPQIDYLVSEDAQAASMTVLPVHDGISLHTGSNSFDILLGALAEESKVTDAPGKDEVGKASLTLMTLASNDHSADEIAQGKIVEVAKRETIFGATKDNQQVDCPYDFCLSDLVSRSIGNSNRTDIICYFRRKRKRKKDSQSNTDSSQSLGSFVRSPCESLRPRTKPAVVETVEVSAGKKGKRAKVGSFQCDIDLCDMAFETRAELNAHKRNICTDESCGKRFSSHKYLKRHQCVHSEIRPFKCPWDGCEMTFKWLWAQTEHVRVHTGERPYKCSAPSCGQTFRYVSDYSRHRKKFNHY >PVH32407 pep chromosome:PHallii_v3.1:9:56510291:56511175:1 gene:PAHAL_9G393300 transcript:PVH32407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRKDLCFQVNPSNQAFGMQKGHTMDVPGRRRRRSRDHTAGPHQESMEPEHVGPWRSLAA >PAN50838 pep chromosome:PHallii_v3.1:9:67968350:67971125:1 gene:PAHAL_9G550300 transcript:PAN50838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGRDGVVPPPEHQAPLKCTTEDAIPPSPTLSSEEFLQFKRKATTIVEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVVDRPQLYKGFCELTESCDDLSVDTPDAVDILGVFVARAIVDDMLPPAFLAKQGACLPNGCKGAEVLRRAEKGYLSVPHHGEIVLQRWGGSKRITVEEAKARISDILEEYLGSGDRSEALRCIRDLKIPFFHHDVVKRALVLAVERGGAAEGHILDLLKSASEEGVINESQIGKGFDRLIDSVDDLALDVPNARCLLKSVIHKASSEGWLCASGLKPFPPEPKKTSEVDEASVREFKAKAVSIIKEYFMTGDIMEVVSRLETENDSCCSSFNAIFVQRLINAAMDRKSREREMASVLLSALCMPPDDVVAGFHLLIEAAEDAALDNPAIVEDLTMFFARSVVDEVIAPSDLEAMEEDAGRVKSEGSTGMLALRNARALLSAKLSAERILRCWGGGGSGKAGWELDEVKDMISKLLQEYDCGGDIREACRRIKDLGMPFFHHEVVKKALVAIIEKRGKDERLWGLLSECYGRGLITPNQMTKGFERVADCVEDLALDVPDAGKQFGCCVERARKEGWLDASFSMARPGQPVSNGVCS >PAN47769 pep chromosome:PHallii_v3.1:9:49584028:49593403:1 gene:PAHAL_9G349400 transcript:PAN47769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFWRDGGGTGGGNGGDSLSGGPPCGQVRVLVVGDSGVGKSSLVHLLLNGSTVARPAQTIGCAVGVKHITYSIPGSSSNSIKADSERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLPPSEELPLADSFPGNSGLLTAAKEARYDKEALIKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETKNDDDLFQRKSYGGQSYKYNGVAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDSGGGRTSRADINI >PAN47666 pep chromosome:PHallii_v3.1:9:37841664:37844636:1 gene:PAHAL_9G316600 transcript:PAN47666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKARRREPELVPPTRPTPRETKALSDIDDQHALRYYETVIGFFRRCTDQSAVDDGPDDPVQAIRAALAEALVYYYPVAGRLREETGGKLVVDCTAEGVAFVEADADVRLEDFGEPLLPPYPCVEELLCDAGDTRDIIGRPLILMQLTRLKCGGFVIGLHMCHSIADGYGMIQLMVTVAELACGAEAPSILPVWKREVLSTTHSPTSIITQPNPSYQPLLNSLDYESESDDVMLSTPLDEMVVDYFVFGPTEMRTLESQVRGNRAHPATTFELLTAVMWRCRTIALGYKSGQPVRLMLTMNARGRWNRHTLIPWGYYGNAHFSPIAELTVDELCRQPLVDTVELVRRTKLSVTKECMESMVETIASLRQRPCADPARTYEVCDTKWIAAGNGLNLGWAEHVGGGIPVAGDLTSKLGSDHMMCKNQDGEDATVVSMLLPRPAMERFRKELAVWLNKQHEKNLIIQSAL >PAN46470 pep chromosome:PHallii_v3.1:9:12464212:12465890:-1 gene:PAHAL_9G194600 transcript:PAN46470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLTYMHAATGVPPPASLFAQPPPPDPYSTPRQSAASNDPHAPSSPNQATPNQPSRNLHL >PAN45674 pep chromosome:PHallii_v3.1:9:8681871:8683428:-1 gene:PAHAL_9G141300 transcript:PAN45674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQEGMENRPPQGQEDLVRLLPDDVLAAVLSRLAPRDLAASRCARKAWRAVVDDRRLLLPRKVVGIFIDFNCLSSCEFFARPTAGSAISGELDFLPPDARSSIQDHCNGLLLGYNGVLNPATGGGARGYFHRDDYLVFDPTVSPHYEVVVIPRTVYKNCSPGDPSMSRRAKYELDPSIEESEWPPSRYVLHVLSSKTGRWEERPFVRNGRAMGIVRDMRKAFLFNVKHYAAYWQGELYVHCEANFVMRPEALHLGKSEKGVHCALVDESNQTWQIRVWTLKKLCDQMEWVLMHQANLGPLLARQDYDYQIDGPRILSDIYYRRRCGKGGETVVQDRVAEDYYDEFIDFLGFHPYKEVVFLSESSTTGVAYHLNSSKVQELGFFISYKLQRRCFPRSTHKTVLPVHTMLDGRVSPQVNDLSCFEGCQLMVESDSILEHKAC >PVH31720 pep chromosome:PHallii_v3.1:9:14352214:14353398:-1 gene:PAHAL_9G220100 transcript:PVH31720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERDWASLERGLLHDVFTRLPVDADAASFRHVCRGWRAAAGPGAPVAGPWFALRSAADGRRAFVRPARRRRRVRPVRLDAAAAGGEKKAPACVRGASRGWLAVDDGGGLLLRDPVSRAEVPLPDFDDPDYKLTDIFLSDDPLVAAGRWTAFAFFKVNDITYTGHVLAFCRPGDAEWTRFDHQDTGPFYWGLEFFRGRAYVLIGVYRDKLAICDVDTRRLIVSPVSLQHLIEWGWDIQVCLVECGGDLLVVVVSHHEHTRSSYCVRRRRARRFVVRVVKVHFAGEGGAMPVAVSNVAHTRDYALFVAPHGHAFALPASGFPAVRRSCVYHFATKITTKSVSGMVITDLTDHNRNHHKPLRKLPFAGQWYPLSWLSPRCPSLDTTPARRRRWPHS >PAN51268 pep chromosome:PHallii_v3.1:9:68114074:68115983:1 gene:PAHAL_9G552600 transcript:PAN51268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAANGADDHELPIFHPSPPCAHYYVQSPSAASHTLSHPASESMALILSPFPNLHHDADDARPSRDGRDHDHDHEEASRLTLSRYSSSRGSNSSFPAGDKKPGRRRQVLRVLSGRSSGGVRGDDDADGEAQRSGAWRYVKLDPEAPCCCIAFQVAWRVAASAALALLVFVLATRPRHPGVSFRVGRVQRFALGEGLDASGVETSFLNCNSSVDMVVENHSKVFTLRVRPPLLEMSFGRFVFATSQRDGGSSHDVGPRGTSTVRLFVAAQEKPMYAAGRGMQDLLESSRGLPLTITVRARSRYRVVGSLVRLTYRHDSQCVVLLRRRPNRSNGIVAAAGRATCSAAS >PAN50861 pep chromosome:PHallii_v3.1:9:68114074:68115983:1 gene:PAHAL_9G552600 transcript:PAN50861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAANGADDHELPIFHPSPPCAHYYVQSPSAASHTLSHPASESMALILSPFPNLHHDADDARPSRDGRDHDHDHEEASRLTLSRYSSSRGSNSSFPAGDKKPGRRRQVLRVLSGRSSGGVRGDDDADGEAQRSGAWRYVKLDPEAPCCCIAFQVAWRVAASAALALLVFVLATRPRHPGVSFRVGRVQRFALGEGLDASGVETSFLNCNSSVDMVVENHSKVFTLRVRPPLLEMSFGRFVFATSQRDGGSSHDVGPRGTSTVRLFVAAQEKPMYAAGRGMQDLLESSRGLPLTITVRARSRYRVVGSLVRLTYRHDSQCVVLLRRRPNRSNGIVAAAGRATCSAAS >PVH33018 pep chromosome:PHallii_v3.1:9:67830634:67836032:-1 gene:PAHAL_9G548800 transcript:PVH33018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAARALKSVSRAAFSWKPTNPFGQTGRPQQTLAATVSRSGVGLHSGARVTSTLIPTHAGEGRYFLVDGEEARVAAEVGNAEPRSQLCTTLRRGEGGGPRVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVEAIRSAGLCAAEDTSGQNLEKLAPQIHEPVYLQRDDCFVAAFPSSRIRISYGIDFPKVPAIGCQWFFTYLDANIYSSEIAPARTFCIFEEIEKMRGSGLIKGGSLENAMVCSMSGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPIAHIVAYKAGHALHTNFLSHLSGKITMDQEELAGQC >PVH31614 pep chromosome:PHallii_v3.1:9:12263619:12264263:1 gene:PAHAL_9G192100 transcript:PVH31614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKLLAVVAILAALSTTSVPSPVAVMGREAVAPPSPQANRRPKRQKPDLSPDLPPPPTSSRHRDRQSVRSLRRPPSPPYPDQRDAQPRRPSTRPPPPCHHRHSVPSLRLPQPPPLHPRHDVRPALSPPTPSLPTPLP >PAN50024 pep chromosome:PHallii_v3.1:9:64022211:64023539:1 gene:PAHAL_9G492400 transcript:PAN50024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQAVAADRRGWQHRPFRLLVVLVLLFLGAPGAIFFFMSTGLARLPRIRLEYDSCNAHSGARTDQPPPSPSPPPPPPDLGADNGVEEQRPPLRQLTDPPHSLGPVASDYDERRAQWLRDNPRFPAFVAPGRPRVLVVTGSSPRRCSAPDGDHLLLRAFKNKVDYCRVHDFDIFYSTAVLDAELTGFWTKLPLLRSLMLAHPETEFLWWMDSDLMLTNMLFEPPWDRYGSHNLVIPGWDAKVYGAKSWLGINAGSFIIRNCRWSLDLLDAWARMGPRGPVREMYGKLIAETLSDRGPYEACDQSALVYLLVTERGRWGDKTFLESSYSLHGFWARIVDRYEEMRRDPTPGPGGERWPLVTHFVGCKPCGGDDSSYDAVRCRRGMERALNFADDQILNLYGFEHESLNTTAVRRVRNDTGGPLDADDVELGRLLHPTFRAANL >PAN50579 pep chromosome:PHallii_v3.1:9:66551318:66556109:1 gene:PAHAL_9G529700 transcript:PAN50579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLIAGGYLVLERPNAGLVLSTTARFYAVVRPLRDSLPADSWAWAWTDVKVASPQLSREATYKLSLKKSTLQLTSARESANPFVEQAIQFSVAAAKATITDKERKDALDKLLLQGVSITILGCNDFYSYRKQIEARGLPLTPEVLLSLPPFSSITFNSEVANGTMTGEKCKPEVAKTGLGSSAAMTTSVVAALLHYLGAIDLSCPGQSSSDGSTGRDLNLVHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYIRFSPEILSSAQATGGTCLPDVVSDIVTRRWDHENTQFSLPPLMTLLLGEPGTGGTSTPSMVGSVKRWQKSDPEKSKDTWSKLGIANSALENQLRILKKLSEQHQEAYESVVRSCSRLAYGKWTEVATNQHQEVIVRSLLGARDAFLEIRLHMREMGIAAGVQIEPESQTKLLDATMNMEGVLLAGVPGAGGFDAVFSVTLGDASNAVASAWSSVGVLPLLVRGDHRGVSLEDADPRTREVSAAVSSIQIN >PVH32384 pep chromosome:PHallii_v3.1:9:55823372:55824116:1 gene:PAHAL_9G387000 transcript:PVH32384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANCEAASCHNRKNFRIVLLVVKGGANKNETAFDHHISFETEELYVFSPLPVTEQNFHMCSCIIPSKRLQPPKSALCTYSSNVHYVLY >PAN47155 pep chromosome:PHallii_v3.1:9:16021845:16027920:1 gene:PAHAL_9G238000 transcript:PAN47155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAGPSGGPANSASTGAGGGSPSDRGGPAPSASASVSTPASESTVARRLNGLDLQADDAPSSQPAVSKKKKRGARAVGPDKNGRGLRQFSMRVCEKVESKGRTTYNEVADELVAEFSDPNINIDSPDPDNPSAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWRGLPKTSMNDIEELKTEIVGLKGRIDKKSAYLQDLQDQYVSLQNLVQRNEQLYGSGDAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELQDDSFVLKAMGLSGKEETDGTQTPVANGGECSSTPNNYWHQSPQPARPRGVRLANSPPIPGILKGRVKHEY >PAN49943 pep chromosome:PHallii_v3.1:9:63580011:63583453:-1 gene:PAHAL_9G486700 transcript:PAN49943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAHAAFEAMPLRTTSSYNALLAGYFRNNLPDAALRVFHRMPSRDLASYNALISGLSLRRHTLPDAAAALATIPYPPSVVSFTSLLRGYVRHGLLADAIRLFRQMPERNHISYTVLLGGFLDAGRVDEARALFDEMPAKDVVAWTAMLSGYCQAGRIAEARVLFDEMPKKNVVSWTAMVSGYAQNGQVNLARKLFEVMPERNEVSWTAMLFGYIQAGRVEDAEELFNAMPEHPLPACNAMIVGFGQRGMVDAAKSVFERMRERDDGTWSAIIKAYEQNEFLMEALSTFRKMLHDGIHPNYPSVISILTVCAALAVLDYGREVHAAMLRCSFDKDVFAVSALITMYIKCGNLDKAKKVFNMFEPKDVVMWNSMITGYAQHGLGEEALRIFDDMRLAGMVPDRITYIGALTACSYTGKVKEGRDIFNSMDTNSAIRPGAEHYSCMVDLLGRAGRLEEALDLIKTMPVEPDAVIWGALMGACRMHKNAELAEVAAKKLLELEPGNAGPYVLLSHIYTSTGRWEDASEIRKFISSRHLNKSPGCSWIEYDKRVHLFTSGEVLAHPEHASILKMLEKLDGLLMESGYSADGSFVLHDVDEEQKTHSLRYHSERQAVAYGLLKVPEGMPIRVMKNLRVCGDCHSAIKLIAKITSREIVLRDANRFHHFKDGFCSCRDYW >PVH31360 pep chromosome:PHallii_v3.1:9:7425116:7426042:-1 gene:PAHAL_9G124900 transcript:PVH31360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLEVAIHIIFDALLLLFMVKLFFAMFQMKLVVILFYLVVLLFAMAFSGRAPSSF >PAN48560 pep chromosome:PHallii_v3.1:9:56208140:56212679:-1 gene:PAHAL_9G390500 transcript:PAN48560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLPETASDGKALTDAWDYKGRPASRASTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAIFTAIQATGVMILTISTAAPGLRPPPCADAKGASPDCVAANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESHDGERGKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGVCAAGILVGLAVFLAGTRRYRFKKLVGSPLTQVAAVTAAAWRKRALPVPSDPDMLYDVDDAAAAGADVKGKQKLPHSKECRFLDHAAIVDGESPAAASMWRLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAELMDRGVGGSGFLIPAGSLTVFLIGSILLTVPIYDRLLAPVVKRLTGNPHGLTPLQRVFIGLFLSIAGMAVAAIVERHRQTTSERGVTITVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVDKVTGHGGHGGWLADNLNDGRLDYFYWLLSVISAINLVLYTLAARGYVYKDKRLADAGIELADEEAIAVGH >PVH33072 pep chromosome:PHallii_v3.1:9:68524896:68526044:-1 gene:PAHAL_9G560000 transcript:PVH33072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLAALGMADLPGVERQAEVSNAPTYGRPQYGGPRRDRV >PAN44457 pep chromosome:PHallii_v3.1:9:2447871:2452571:-1 gene:PAHAL_9G044500 transcript:PAN44457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPHGGIDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >PAN51706 pep chromosome:PHallii_v3.1:9:71841561:71842519:-1 gene:PAHAL_9G614000 transcript:PAN51706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTIQAWRLLAKRITGPRCKISHGAPSNLIPCLFIDGKCGEEINHPWFSLRWFCSLRINPLADGAARELEVP >PAN45269 pep chromosome:PHallii_v3.1:9:6185291:6189468:1 gene:PAHAL_9G106300 transcript:PAN45269 gene_biotype:protein_coding transcript_biotype:protein_coding description:WDR5a [Source:Projected from Arabidopsis thaliana (AT3G49660) UniProtKB/TrEMBL;Acc:A0A178VK59] MAAPAADDASASPGYVLRSTLSGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLTPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLGAGGGARLVKTLTGHTNYAFCVAFSPHGNVLASGSFDETVRVWEVRSGKCLRVLPAHSEPVTAVDFDRDGAMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFVLAATLDSTLRLWNFSAGKFLKTYTGHINTKYCIPAAFSITNGKYIVSGSEDKCVYLWDLQSRKIVQKLEGHTDTVIAVSCHPRENMIASGALDNDKTVKVWVQKEDQ >PVH31300 pep chromosome:PHallii_v3.1:9:6185291:6189813:1 gene:PAHAL_9G106300 transcript:PVH31300 gene_biotype:protein_coding transcript_biotype:protein_coding description:WDR5a [Source:Projected from Arabidopsis thaliana (AT3G49660) UniProtKB/TrEMBL;Acc:A0A178VK59] MAAPAADDASASPGYVLRSTLSGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLTPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLGAGGGARLVKTLTGHTNYAFCVAFSPHGNVLASGSFDETVRVWEVRSGKCLRVLPAHSEPVTAVDFDRDGAMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFVLAATLDSTLRLWNFSAGKFLKTYTGHINTKYCIPAAFSITNGKYIVSGSEDKCVYLWDLQSRKIVQKLEGHTDTVIAVSCHPRENMIASGALDNDKTVKVWVQKEDQ >PAN45268 pep chromosome:PHallii_v3.1:9:6185300:6189468:1 gene:PAHAL_9G106300 transcript:PAN45268 gene_biotype:protein_coding transcript_biotype:protein_coding description:WDR5a [Source:Projected from Arabidopsis thaliana (AT3G49660) UniProtKB/TrEMBL;Acc:A0A178VK59] MAAPAADDASASPGYVLRSTLSGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLTPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLGAGGGARLVKTLTGHTNYAFCVAFSPHGNVLASGSFDETVRVWEVRSGKCLRVLPAHSEPVTAVDFDRDGAMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFVLAATLDSTLRLWNFSAGKFLKTYTGHINTKYCIPAAFSITNGKYIVSGSEDKCVYLWDLQSRKIVQKLEGHTDTVIAVSCHPRENMIASGALDNDKTVKVWVQKEDQ >PAN44355 pep chromosome:PHallii_v3.1:9:2009245:2012256:1 gene:PAHAL_9G036300 transcript:PAN44355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERELLETFEAAKKAADAAAGEDDSPEADRCLDALRRLRAIRVNTDVLVSTQVGKRLRHLTKHPHSGIQAVATDLFGYWKKVVLEETGKKNGSSENERSSDSSGKIEKARPVKIERNSSSSSMKDEKRDVDVRGQRPDSVKVEKTTSNGSKAQPVKVERVSKEVSRTPDTKKTASVPTGPPKLTSLVKCNDATRDKIRELLAEAFSKVPGETSNDDREEVRNILDQVDACDPFRVAVTVESALFEKLGRSTGAQKAKYRSIMFNLRADNNTDFRRRVLIGQVRPERLPDISPEEMASDARKQENKQIKAKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >PVH31795 pep chromosome:PHallii_v3.1:9:15645363:15649324:1 gene:PAHAL_9G234600 transcript:PVH31795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHLCKFDFMPNYERWYEHGESQEQEAYNPVDSFEENEDRIDAMMDDFVEHVDNAVEVPEYFGLLALSKGPLHGTTTLSQLAAVTWLMAIKSKYNFSVSCYNNLVDLILDMLFKPHNMLNDVIEISSALSEARSSQSSPSSRGYERENRLQE >PAN44461 pep chromosome:PHallii_v3.1:9:2471503:2473621:1 gene:PAHAL_9G044800 transcript:PAN44461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEADATKLDVVLFPWLAIGHMIPYLELAKRLAARGHAVTFLSTPRNVARLPPVPAQLAPRVRLVALPAPAVEGLPEGAESTADVPPEKNELIKKAVDGLAAPFAAFLADAVAGGRRPDWIVHDFCHRSLPRIAGEHGVPCAAFLIVQATTIAFLGPRWAHAAYPRTSREDFTVAPKWCPSFPHAVAYRRHEADWAVRAFQPNVSGVSDMDRMWEIIERTRFTIYRSSDEMEPGVFTLLTDLFRKPAIPAGVLIQQPDLADGAGKSSRSGVRSEVLQWLDSQPPKSVIYVALGSEAPLTASNLHELALGLELAGVRFLWAFRKPSGMSAAPGTGVGELLPAGFEHRTRGHGLVWSGWVPQVAVLAHGAVGAFLTHCGWGSTIEGLVSGHPLVMLPFVVDQGLIARAMAERGIGVEVARDESDGSFGRDGVAAAVRRVMVEEEGKVFGSNAEKLKEVLGDRRRQDQYMDELEGYLTRYKPTRTTTVPNGAGPR >PAN46272 pep chromosome:PHallii_v3.1:9:10912399:10915787:-1 gene:PAHAL_9G174000 transcript:PAN46272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQPTPTSLPPVPAPTRPAAAMATQAELEAALQGKRRRLREAFDRLAACSPVPVPLRWEDLDAHLASVAARFGHFEVGTPHAADAAAAADAGPGTHNVVEHLEDEEEGEAEEEQDRERRGERGAWEEGQGSNAEEGEEGWSAPLDQERVEEEGEVREAFIALPGREGDEAASERLEFPVAIVPSRERDDGEEEDAMGATMGSPLLGDDDIEMMEEGEAANASANRDDGEDEPEDGELPRPRATAVGRGEETALTRAIAADPSTLVGLLCLSGKSSLRARREFLPALLGAADPHAILVRAVGGFLASAVRKTNRFWGNCVALIECVPGLAAPSADALEQAERVARDWKEMVVGKPWSGRDMSRMAGWGLLTFLASYNIVLEFDADEITRLFGNLAPQMKDNCVELCKRLGLIEKMTDSINHLIENGQPLDAIRLARTFNLTHRYPPLTIMSDYVENAKKTAEDILSKESYTLESLNQVMAKKVHALIFSWSAIDGCNIDPAHRNSIKAEITHLLHKYANKQQSLAGVSAFISSTHQEHNFQEQYHELQMPLEEQQQQRPQELQQMPEEEEQQHPQNQQEQGWVCQNRKGRKQGNKNRKRKQRRQKQQELSKRPRLSSYVRPGIHNQRAQPFSGIRRAPFTARTRSPPYFGPYDRFQPRRTE >PAN46110 pep chromosome:PHallii_v3.1:9:10190460:10193009:-1 gene:PAHAL_9G163800 transcript:PAN46110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPGKGCKERGACHFWNDYDTDDQDKHFFKVLVGDFHSRLVLPDKLALHFRCKTARSINLESPSGHTFDVQVARNSGRLALQSGWESFVSVHGLKMMDFLVFKYDGISRMKVLIFDPSGCEKVPPCFVTKNAISARQMKEESIDISSNCANLPMKTPEARRKAWKQRDGRKIANISSSCSPSKSSGDSKSSEEHESHSVPSYILPRCTSLTNVQKKQLKEKVGAICSEIPIYVCVMKKSNVSTIHQSMRFSGAYSEAYLPFEGRTLMLHRHGKSWEVMCRIQVRRGHRNFQNLCKGWKRFARDNNLRVGDLCLFELLKTKNYTMNVHIVREK >PVH31784 pep chromosome:PHallii_v3.1:9:15437329:15438368:1 gene:PAHAL_9G233000 transcript:PVH31784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKLLAVALVVMLSIGLTNAIRVVNHATANGQGRGGGGGSGTGNAYGSGYGSGYGSGSSYDSTSQGPSSSFSSASGDGEGSGGGGWQGYDQYASGYGVGGASGSGHGDSGDFYSNGASNSNGRGGGGGSGGSFGNGYGFGDGAGNGHGSGYSNGPYGSSNANSGGRGGGRGGGHDGGFGRGFGKGSGSSNGATWGGYYNDGNVSEPPQAVSEPPQAIGDSVSEPPQAIDGGASEPPQAY >PAN45763 pep chromosome:PHallii_v3.1:9:8620334:8622970:1 gene:PAHAL_9G140500 transcript:PAN45763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGEDDAAWERAIDAATKAASAATSGPKTLTLDGAVKSSTGRLPSPALFERFAASLEELSIAGARLSSLEGMPCLPALRRLSLPDNRLSGSAALAAVAEACGATLRHLDLGNNRFAEVEELEPLAKLGIEALDLEQCPVSKAKGYREKVFALIPSLEYLDGADAEGNERLETDDEDYVEEEDDEEGEEGEDGEGEEGEDGEGEEGEEDDGEEGDEEGEEEGEGDDDDEEEGGDEEAEDEEDEADDDELDADADDKENIESKVGSSLPNKRKRDNDDDTNGDN >PAN44120 pep chromosome:PHallii_v3.1:9:1079781:1085631:-1 gene:PAHAL_9G018900 transcript:PAN44120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIRRDGEPGPKPMNQQNGQVCQICGDDVGLNPDGEPFVACNECAFPICRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEDGVDDLENEFNWTDKHDSQYVAESMLHAHMSYGRGADFDGVPQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDGGGNDDGDDADLPLMDEARQPLSRKIPLPSSQINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSIEPRAPEWYFQQKIDYLRDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGNRKTKKKTKTSKPKFEKIKKLFKKKENQAPAYALGEIDEAAPGAENEKASIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKDIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >PAN48817 pep chromosome:PHallii_v3.1:9:57637268:57638104:-1 gene:PAHAL_9G408100 transcript:PAN48817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDDLKLLGMWASPFVLRVKLALCLKGLSYEYVEEDLGNKSELLLKSNPVHKAVPVLIHGGKPVCESSVILQYIDEAFAGAGPSLLPADPYDRAIARFWAAYIDDKMLAAWNQASRGKTEEEKAEGMKQSLATVETMEGALRDCGKGKPFFGGDSAGYVDVVLGGLLGWVRAHLELQGVNSFDPERTPLLAAWAECFWSLEAVEPVMPDVSRLVEFGKMLQARQAAAAAATGAAGN >PVH32481 pep chromosome:PHallii_v3.1:9:57637268:57638104:-1 gene:PAHAL_9G408100 transcript:PVH32481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDDLKLLGMWASPFVLRVKLALCLKGLSYEYVEEDLGNKSELLLKSNPVHKAVPVLIHGGKPVCESSVILQYIDEAFAGAGPSLLPADPYDRAIARFWAAYIDDKMLAAWNQASRGKTEEEKAEGMKQSLATVETMEGALRDCGKGKPFFGGDSAGYVDVVLGGLLGWVRAHLELQGVNSFDPERTPLLAAWAECFWSLEAVEPVMPDVSRLVEFGKMLQARQAAAAAATGAAGN >PAN48816 pep chromosome:PHallii_v3.1:9:57636965:57639618:-1 gene:PAHAL_9G408100 transcript:PAN48816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDDLKLLGMWASPFVLRVKLALCLKGLSYEYVEEDLGNKSELLLKSNPVHKAVPVLIHGGKPVCESSVILQYIDEAFAGAGPSLLPADPYDRAIARFWAAYIDDKMLAAWNQASRGKTEEEKAEGMKQSLATVETMEGALRDCGKGKPFFGGDSAGYVDVVLGGLLGWVRAHLELQGVNSFDPERTPLLAAWAECFWSLEAVEPVMPDVSRLVEFGKMLQARQAAAAAATGAAGN >PAN44174 pep chromosome:PHallii_v3.1:9:1268663:1272214:-1 gene:PAHAL_9G022300 transcript:PAN44174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQKLLSASTKIIGVGRNYMAHAKELGNPVPKEPVLFLKPTSSFLHAGVANAAIEIPEPLESLHHEVELAVVISRRGRDVPEASAMDFVGGYALALDMTARDLQSAAKSAGLPWTLAKGQDTFTPISAVVPKSAVTNPDDLELWLKVDDELRQKGSTNDMIFKIPFLISYISSIMTLMEGDVILTGTPEGVGPVRVGQKIKAGITDLIDVEFDVQKRNRSFSA >PAN44175 pep chromosome:PHallii_v3.1:9:1269598:1272141:-1 gene:PAHAL_9G022300 transcript:PAN44175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQKLLSASTKIIGVGRNYMAHAKELGNPVPKEPVLFLKPTSSFLHAGVANAAIEIPEPLESLHHEVELAVVISRRGRDVPEASAMDFVGGYALALDMTARDLQSAAKSAGLPWTLAKGQDTFTPISAVTLAKAQDTFTPISAVVPKSAVTNPDDLELWLKVDDELRQKGSTNDMIFKIPFLISYISSIMTLMEGDVILTGTPEGVGPVRVGQKIKAGITDLIDVEFDVQKRNRSFSA >PAN51882 pep chromosome:PHallii_v3.1:9:72698190:72700521:1 gene:PAHAL_9G627000 transcript:PAN51882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVPAVSLPLPRRAASSSPLAAARRPGSPSLRRRHCAVTPVAAACSAAPPRQLDNEEEGSGRRQVLVAGAAAAAAFVSRPNPAAFAAESKKGFLPVVDKKAGYSFLYPFGWQEVAVQGQDKVYKDVIEPLESVSINSIPTSKEDIRDLGPPDKVAETLIKKVLAPATQKTKLIEAKENDVDGRAYYTFEFTAQAPNYTRHALGAIVIANGKFYTLTTGANERRWEKMKDRLHTVVDSFKIENRI >PAN48459 pep chromosome:PHallii_v3.1:9:55391285:55395545:-1 gene:PAHAL_9G383100 transcript:PAN48459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASKVEQEDTVRRCKERRRHMKDAVAARQLLASAHADYLRSLRITAAALSRFAQGHPSLAVSHHTAPVLLSAAAPPPAAGAARALPTPPPSTAASSSLPPPTPLAQHPHPPPQQQPQAAAAMAAAQPAPVRAPRPRRLRVPHILSDSSVASPARSSFRKQPPVGTPSSSSAWEWENFYPPSPPNSEFFDRRMAELEEANRLRELDEEVKARAYLHHQHPYNLKEEDEVDDDDDGEVDHEPEGMHCGGWEDDDEHYASTTTSETRSEEGEMGNRSECGFAARSECGFVARSEYGGTAPSEYAAVPLPLRRDERSEAGDSSTVTAATEMRMVVRHRTLAEIVAAIEEYFVRAAEAGNDVSELLEASRAQLDRNFRQLKKTVYHSNSVLSALSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLERLLAWEKKLYEEVKARESVKIEHEKKLSTLQSLEYRGRDSAKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVQLCFALLGMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVAAWHSNFNRLIKYQRDYIRALYGWLKLTLCQVDNTAPQEAHASMISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRSIEKKYYQSYSMVGLGLPGSGRDGIESHAYDARDPLAEKKTEIAQCRRKVEDEMTRYAKAVEVTRSMTLNNIQTGLPGIFQAIAGFSATVVEALDVVCRRAGSVR >PAN51335 pep chromosome:PHallii_v3.1:9:68357069:68359990:-1 gene:PAHAL_9G556600 transcript:PAN51335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQENSEAFVRHHSEQLACSNMRGADGHPSFLPETCQIAVCPKVCQRDEKVCSFDEDSVHGVHLVNASFESLLVASGVKEAPTVDHNKRHASSDMTDIVECKRQKQEDQITPKEDNYTSADEDVHQYPTYTTYERSFDSPRYESEESEDEGVDSPVHFSLAHTYIEDDLWPASFHQSVGPCPPRKPVPIGPNHQAELPECRPFGGKAEDDESNKWIRNSVMPMPGTGALSLMLKPVHCKAGCDCIDEDSINCVRKHVREAREKLKVSVGADTFRELGFYDMGEEVASRWTEEEEHLFQEVVSSNPASLRRNFWDELPLAFPSKSSKELVSYYFNVFMLRKRAEQNRFDPMNVDSDDDEWQAGGDGDFAVTGRADEYLPTESLTDQDDVACNRVPPEGDFYEDSEDEDDGASGDRHDGVQRGAMPEGLAAISFMDHNQQKFKLDADAQDDSCTSFEAHQAGVEDGTPTDIAEDHHYRSDGFAGDAEHGFFGDHCDTKGWEFGFTTGWDKHDFLSTNNVIEEVFGKGSSEDGSDTASGQDLI >PAN50916 pep chromosome:PHallii_v3.1:9:68357069:68359991:-1 gene:PAHAL_9G556600 transcript:PAN50916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQENSEAFVRHHSEQLACSNMRGADGHPSFLPETCQIAVCQRDEKVCSFDEDSVHGVHLVNASFESLLVASGVKEAPTVDHNKRHASSDMTDIVECKRQKQEDQITPKEDNYTSADEDVHQYPTYTTYERSFDSPRYESEESEDEGVDSPVHFSLAHTYIEDDLWPASFHQSVGPCPPRKPVPIGPNHQAELPECRPFGGKAEDDESNKWIRNSVMPMPGTGALSLMLKPVHCKAGCDCIDEDSINCVRKHVREAREKLKVSVGADTFRELGFYDMGEEVASRWTEEEEHLFQEVVSSNPASLRRNFWDELPLAFPSKSSKELVSYYFNVFMLRKRAEQNRFDPMNVDSDDDEWQAGGDGDFAVTGRADEYLPTESLTDQDDVACNRVPPEGDFYEDSEDEDDGASGDRHDGVQRGAMPEGLAAISFMDHNQQKFKLDADAQDDSCTSFEAHQAGVEDGTPTDIAEDHHYRSDGFAGDAEHGFFGDHCDTKGWEFGFTTGWDKHDFLSTNNVIEEVFGKGSSEDGSDTASGQDLI >PAN49965 pep chromosome:PHallii_v3.1:9:63704826:63706806:-1 gene:PAHAL_9G488500 transcript:PAN49965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRILTGGGGLAALRAARAVKETTGIVGLDVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTNHRLQICQEEDDWKRIEDRIGCGQVEELIEEAEDELKLIAKMVEWDPWGVPEDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPTLQGDAPPQVKA >PVH32386 pep chromosome:PHallii_v3.1:9:55825456:55826910:1 gene:PAHAL_9G387200 transcript:PVH32386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLLCQIEKQKLDWADMFYLVAWLNEARDLRFWPAHPPSFRTSIDRYPSPPRRRRKWHAACWSSSWPRTWELIRHHCMLQMFQGQPQGLRMNHYPPCRQANRVLGMSPHTDAAGLTLLLQVNDMPGLQIRRDGKWFAVDALDGALVLIVGDILEVMDNDKC >PAN47093 pep chromosome:PHallii_v3.1:9:15540314:15549971:-1 gene:PAHAL_9G233900 transcript:PAN47093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFATDMDIQREHMKRIPKSELAIICLMNSNPPIPDISESYLDSLLSNFLPSGPFGNGNIINQSNLAQCIPFQKNTTVVLTNDQISPGVWYIGYFHGIGPARTQSKMISRGKARLVSTHITVKGCPTSAFWGPYCNQTVEMIGCSQPSMDNNSRNLLDRNIERRNSWYTREHNRRINILSQRNQLVEKEVGSNVTALVRMDNSVTCSISNNSLCVRQGDMKFYFLDVVNLALQFEITAANFGVIGASLICYLRYNAFPQRDLHDYSGDISHDPLVVKSPNIGRWYIAIETVNKTQMNNTASKPVLDAMCFSLKWQLTGCLNGKTGTNCSWEVYGLQRVPRRSPSVPFESYYIPSDERASLEDSRFYLEQFLSNSSHEQFAWTYFFLDVPQGSAGALIHVQLKSDKELNYELYSKYGGLPSNDIWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPADMANSQTYMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNAAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFICMATIDEASKRAMHTAVFILTALLAATGATRSANIGIVVAIGSLGLLMGWLLEFSTARRFICWPWQINLNMPQSWPNFGTLFRNTLEVLNKRFRWIFLLLGFITLSFAATSWKLESNSNYWIWHSMWHITIYTSSFFFLCSMRVNARNRSPESNYELTRQDSLPRSEPRET >PAN47092 pep chromosome:PHallii_v3.1:9:15539865:15551080:-1 gene:PAHAL_9G233900 transcript:PAN47092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPMAAARRRRRRRAEAAAAVLVLVMGAGLPGCAAQEGEEVVVASYGQGRLWLKPYDWTYLRVELPPSFSSVTMDFATDMDIQREHMKRIPKSELAIICLMNSNPPIPDISESYLDSLLSNFLPSGPFGNGNIINQSNLAQCIPFQKNTTVVLTNDQISPGVWYIGYFHGIGPARTQSKMISRGKARLVSTHITVKGCPTSAFWGPYCNQTVEMIGCSQPSMDNNSRNLLDRNIERRNSWYTREHNRRINILSQRNQLVEKEVGSNVTALVRMDNSVTCSISNNSLCVRQGDMKFYFLDVVNLALQFEITAANFGVIGASLICYLRYNAFPQRDLHDYSGDISHDPLVVKSPNIGRWYIAIETVNKTQMNNTASKPVLDAMCFSLKWQLTGCLNGKTGTNCSWEVYGLQRVPRRSPSVPFESYYIPSDERASLEDSRFYLEQFLSNSSHEQFAWTYFFLDVPQGSAGALIHVQLKSDKELNYELYSKYGGLPSNDIWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPADMANSQTYMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNAAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFICMATIDEASKRAMHTAVFILTALLAATGATRSANIGIVVAIGSLGLLMGWLLEFSTARRFICWPWQINLNMPQSWPNFGTLFRNTLEVLNKRFRWIFLLLGFITLSFAATSWKLESNSNYWIWHSMWHITIYTSSFFFLCSMRVNARNRSPESNYELTRQDSLPRSEPRET >PAN47097 pep chromosome:PHallii_v3.1:9:15539865:15551080:-1 gene:PAHAL_9G233900 transcript:PAN47097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPMAAARRRRRRRAEAAAAVLVLVMGAGLPGCAAQEGEEVVVASYGQGRLWLKPYDWTYLRVELPPSFSSVTMDFATDMDIQREHMKRIPKSELAIICLMNSNPPIPDISESYLDSLLSNFLPSGPFGNGNIINQSNLAQCIPFQKNTTVVLTNDQISPGVWYIGYFHGIGPARTQSKMISRGKARLVSTHITVKGCPTSAFWGPYCNQTVEMIGCSQPSMDNNSRNLLDRNIERRNSWYTREHNRRINILSQRNQLVEKEVGSNVTALVRMDNSVTCSISNNSLCVRQGDMKFYFLDVVNLALQFEITAANFGVIGASLICYLRYNAFPQRDLHDYSGDISHDPLVVKSPNIGRWYIAIETVNKTQMNNTASKPVLDAMCFSLKWQLTGCLNGKTGTNCSWEVYGLQRVPRRSPSVPFESYYIPSDERASLEDSRFYLEQFLSNSSHEQFAWTYFFLDVPQGSAGALIHVQLKSDKELNYELYSKYGGLPSNDIWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPADMANSQTYMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNAAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFICMATIDEASKRAMHTAVFILTALLAATGATRSANIGIVVAIGSLGLLMGWLLEFSTARRFICWPWQINLNMPQSWPNFGTLFRNTLEVLNKRFRWIFLLLGFITLSFAATSWKLESNSNYWIWHSMWHITIYTSSFFFLCSMRVNARNRSPESNYELTRQDSLPRSEPRET >PAN51814 pep chromosome:PHallii_v3.1:9:72422717:72427709:1 gene:PAHAL_9G622600 transcript:PAN51814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPTGEPVVTPKFAAKDEASCCAPMPPKATVSHDEMRAVARKFADQPIQETEPGVWAVLTAISKKARLRPQGINILLSADEHCLGRCVEDRFQISDPQISSTHCRIYKDTVLGELNRHEPVPVFLKDTSSNGTFVNWKRVKKNASPVKLNHGDIISFISPQNDASYSFVYREVNAISCLENGATILKRKSEEGGSGSKRLKGLGIGSPDGPVSLDDVRRLEKSNADLREQLEAHVVTIETLRTEIKVAQAQHGKELEELRQTTSSSYLDQTKSLRLALEEKQKQLDSLSTSNTELQNSIKDLDERLRASMQSRADADEIILSQKAIICELEGQLSEERNLRREERDKAAQDLKSALHRVQAEAQEEIKRQAESYLRQQNEQKEVISKLQESEKETRLLMETLRSKLEDARDNLVTSEKKVRELEARLQDEQLVSANNQKKSDNLETELRKLKKELENEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTLMSVDLNKVPLTTDDARVKPVGCSKNTVEAPSASTQNTQVSEHSSSDEDASMIEQHADATAEGGSTQGLECSSPERSQEGLRPGFHGNPVSTAPEKEVTDTEQVPETESQAGNVGCDDQRCDNMGGETMPLEDEAQPQENEEPTTLLKDGVQPQENQDPLPIPKDGIGHCSEDKHEDGCSESKREDTHVGAIGTADLLTSEVAGSWAVETAPSVNGENESPRSLEDADDAVGQDVDIGGSTAADALLTLVNSEGQAAGSQNNADRVVSKVTDQHRALSAMIGIVDPEFKRQMPRSGGGNDEPMSDAETDEGSEEVDTDDDSEEPMVEDSVG >PAN51813 pep chromosome:PHallii_v3.1:9:72422717:72427709:1 gene:PAHAL_9G622600 transcript:PAN51813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPTGEPVVTPKFAAKDEASCCAPMPPKATVSHDEMRAVARKFADQPIQETEPGVWAVLTAISKKARLRPQGINILLSADEHCLGRCVEDRFQISDPQISSTHCRIYKDTVLGELNRHEPVPVFLKDTSSNGTFVNWKRVKKNASPVKLNHGDIISFISPQNDASYSFVYREVNAISCLENGATILKRKSEEGGSGSKRLKGLGIGSPDGPVSLDDVRRLEKSNADLREQLEAHVVTIETLRTEIKVAQAQHGKELEELRQTTSSSYLDQTKSLRLALEEKQKQLDSLSTSNTELQNSIKDLDERLRASMQSRADADEIILSQKAIICELEGQLSEERNLRREERDKAAQDLKSALHRVQAEAQEEIKRQAESYLRQQNEQKEVISKLQESEKETRLLMETLRSKLEDARDNLVTSEKKVRELEARLQDEQLVSANNQKKSDNLETELRKLKKELENEKQAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTLMSVDLNKVPLTTDDARVKPVGCSKNTVEAPSASTQNTQVSEHSSSDEDASMIEQHADATAEGGSTQGLECSSPERSQEGLRPGFHGNPVSTAPEKEVTDTEQVPETESQAGNVGCDDQRCDNMGGETMPLEDEAQPQENEEPTTLLKDGVQPQENQDPLPIPKDGIGHCSEDKHEDGCSESKREDTHVGAIGTADLLTSEVAGSWAVETAPSVNGENESPRSLEDADDAVGQDVDIGGSTAADALLTLVNSEGQAAGSQNNADRVVSKVTDQHRALSAMIGIVDPEFKRQMPRSGGGNDEPMSDAETDEGSEEVDTDDDSEEPMVEDSVG >PAN48233 pep chromosome:PHallii_v3.1:9:53775409:53781242:-1 gene:PAHAL_9G369600 transcript:PAN48233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMLPSRSRSGPNESPISSRGRPSTPSSNHRPSTPSSTHRPSTPSSNHRPSTPGGTRRSSVGTPSTPRSRANGAGLFKSEPNSPPSSAAQSARPRLSFDRSPRSADSKPVVERRVPKIGTPPDKQPRREAELQARLESAQEDLKKAKDQLAFIVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRSQHAADLESLVNTTEELERLRRDLAMANEAKKAALGHADDAMKIAEVNAEKVEILSNEVVRLKGLLDSTAASEESKIRETEVLVKNLESEVSSLKVKLEEAKVLEERLAQAEKTIEELKSQIADAQKVESDIRQQLEEWKEKSGSLEMKLEEVTLSEKFKSDSLASTTEEMDRLHSMLQDRESEIEVLKGKTTALEIEVARLLAEVNDSNEHLDASQQEVFGLQTTIDVLRNKLEAAELAASEALDNEKTANTKIEGLTEEKTKLISELEDAIGREEREKRAVEDLTAALDKASCEAHEAHDRFQKKEDDYEHALAQIGDLKMALKSTEESYEVMLDEANHDITGLRETVEKLEAEVSKYRDECDSKELDLITASKQSEQEIAALKVKAEQVAASLRGAEDELEAVNEEKERLQEKLAHTEAAVAEANKAVQEAKNEKERLQEKLAYTESAVAEASMAAQEAKTEMERLQEKLTYTESAVAEADKAVQEAKAESSQLRERLLDKENALQNLTQENDEFRTREADAMKKIEELSALLAEAMTKKHPEEEEKLVVVDEAHNSVGEEFTRSVAENEYTEEIDDKKLHLEVNVDDMKYNGGMNHDEKDDSKVEQEELKIDRTVQESDKVAEMEEPTENRKQETESLTDELDSKKEDSGTETANGTAIPEDTASKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >PVH32315 pep chromosome:PHallii_v3.1:9:53775433:53781242:-1 gene:PAHAL_9G369600 transcript:PVH32315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMLPSRSRSGPNESPISSRGRPSTPSSNHRPSTPSSTHRPSTPSSNHRPSTPGGTRRSSVGTPSTPRSRANGAGLFKSEPNSPPSSAAQSARPRLSFDRSPRSADSKPVVERRVPKIGTPPDKQPRREAELQARLESAQEDLKKAKDQLAFIVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRSQHAADLESLVNTTEELERLRRDLAMANEAKKAALGHADDAMKIAEVNAEKVEILSNEVVRLKGLLDSTAASEESKIRETEVLVKNLESEVSSLKVKLEEAKVLEERLAQAEKTIEELKSQIADAQKVESDIRQQLEEWKEKSGSLEMKLEEVTLSEKFKSDSLASTTEEMDRLHSMLQDRESEIEVLKGKTTALEIEVARLLAEVNDSNEHLDASQQEVFGLQTTIDVLRNKLEAAELAASEALDNEKTANTKIEGLTEEKTKLISELEDAIGREEREKRAVEDLTAALDKASCEAHEAHDRFQKKEDDYEHALAQIGDLKMALKSTEESYEVMLDEANHDITGLRETVEKLEAEVSKYRDECDSKELDLITASKQSEQEIAALKVKAEQVAASLRGAEDELEAVNEEKERLQEKLAHTEAAVAEANKAVQEAKNEKERLQEKLAYTESAVAEASMAAQEAKTEMERLQEKLTYTESAVAEADKAVQEAKAESSQLRERLLDKENALQNLTQENDEFRTREADAMKKIEELSALLAEAMTKKHPEEEEKLVVVDEAHNSVGEEFTRSVAENEYTEEIDDKKLHLEVNVDDMKYNGGMNHDEKDDSKVEQEELKIDRTVQESDKVAEMEEPTENRKQETESLTDELDSKKEDSGTETANGTAIPEDTASKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >PVH31172 pep chromosome:PHallii_v3.1:9:4226610:4227132:-1 gene:PAHAL_9G073100 transcript:PVH31172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLSGVPLEYAENSEIQVHVKKKSPNEWITISPSLPHYLAYANRHKLTRSSHNTRLTASFKQQTRLGLGPVKTVVNVHCLATGTSAQ >PAN47278 pep chromosome:PHallii_v3.1:9:17239455:17240357:1 gene:PAHAL_9G248700 transcript:PAN47278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSYNNSYSHYYGGWNDDDDVVVVSVACAPSLPAASSYSKSCTAAAAAAACEPTSSHSPLARCAAGGQLLLRFGGDEDEESYSVQEDGNGLCMEQFNALMGAASISTATGSSWSTQARSTTTTRVAANGPSGGSSEQEFPQLIGVRKRPWGKFAAEIRDSTRNGARVWLGTFNTPEAAALAYDHAAFAMRGHAAVLNFPVQRVRESLQQLGISAAAGDSPVLELKRRHCMRNRSLKNKKAAGMDDGHQLPAATTSRSNQNQNQPPQEQRCVLELEDLGADYLEELLALSDYSSQPAPFT >PVH31294 pep chromosome:PHallii_v3.1:9:6075340:6076951:1 gene:PAHAL_9G105000 transcript:PVH31294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARLFLISSPTTEIALRCAAMSASHPEPVTFAARSLSLASRLEQLSKILTMGRRLSPDDLRRLQELLEEPLKEAPDSLKPVRQAALRLNRYIKGTNSLSKFPLEFTRTLRTLLLEKIHVLYLKAIAQLPRDDLRGRYHRGLLKAGHCFGPANDPVSNIILNTIWYDTAFPPHQEFKVGVFIVSTKEKRLCIQLLEHTGGVKQTSRKCPVGNVKLATKALLSLRGCLLSLWVSRVRTIVSVLILLPCTTQLGRKRKHKKSFSETLPVTDMSMDPEMFAETTRYFGRLSQASCSPMLNCCLLWQAI >PVH31727 pep chromosome:PHallii_v3.1:9:14502874:14503296:1 gene:PAHAL_9G221700 transcript:PVH31727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSAENGGTRTMCYADDLRYDFNVMINSTSCLTNNIKVLSQQALQYGQETDLGVGCDVVLDSFQSAERSYLGKEEIRRYQLLGAAAAKMGDD >PAN47197 pep chromosome:PHallii_v3.1:9:16282467:16284716:-1 gene:PAHAL_9G241800 transcript:PAN47197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPATAAVARVCLGVALVALLLATSGLSRGATGGADVSSSLANEARCDGGCDGKRRLGSTEGSCRYPAAGSGDPGRNPRGGYVDYLHLFYCVLGGGRRPALGYAAMAVWLAVLFYLLADTAAVYFCSSLEGLARLLGLPPAIAGATLLSLGNGAPDALSALASLDGGKGGGAAAVGLNGVLGGAMFVSAAVLGVVALRVGGQGVAVDRASFFRDAGFLLLALAAVAVVLAVGEVNIWGAVAFASLYLVYVLAVAFTPDRWPTRRQDDEEDHAHATASSELHSVAETKFYTDQEAQDSLLSDTAPLLQYYAAGDNNGGTGENRSAFWTVFRVLALPLTLPRRLTIPDASKERWSKPTAVTAATLAPIFLSILWSHRATGNPFPAVLLGGLAGIALGLLAFLTTDPSAPPARFLAAWLAGGFAMSVAWAYVIANEVLSLLVSAGTVLSVDAATLGVTVLAWGNSLGDLIANVAVASRGGPGGAQVAVSGCYGGPVFNVLVGLGLSMLLSCWTGDPQPMKIPREPGLYRTLGFVVAGLSWALVMLPRRGMRVDRTLGFGLLAIYFCFVCINISQLKNEQ >PAN49892 pep chromosome:PHallii_v3.1:9:63318769:63324546:-1 gene:PAHAL_9G482600 transcript:PAN49892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAASAAVPPPPPPAQAQAGAPPYGPGLAGILPPRPEGEEKKEEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKGLNQKFSLSHSVFMGSLEVPAQSSETIKVPTAHYEFGANFLDPKLMLIGRVMTDGRLNARVKCDLTENLTLKVNAQLTQEAHYSQGMFNFDYKGSDYRSQFQIGNNAFYGANYIQSVTPHLSMGTEIFWLGHQRKSGIGFASRYNTDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSKDVTASFGYDYLLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHCKKNYKFGFGMTVGE >PAN51163 pep chromosome:PHallii_v3.1:9:69507639:69510300:1 gene:PAHAL_9G575400 transcript:PAN51163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRDTTPPPLLLLLLLSTAARPSLAQPINVTSGGGNHRSRTAGGFTPTTVIVLVVLISAFVVLTLFSIYINRCAPARPPPRRPSRYAPDQQAAADGAAGAARADRGAQAGLDRGTVESFPTAVYGDVKARVAAKSGPLECAVCLAAFEDHDELRVLPACCHVFHPDCIDPWLAGAVTCPLCRADLTMASPPAPTATESCDLTVRQEAVREEPDEEEEEERDEACLVAPFTPESVMSFGVARSHEFHFRRTQSAMDTRPDCHTLRLPEHVMKELAAVRRHRRAASLAGYPDAVERTPRWLASLWRSVSWQRQGRTDPDAPEEYGGSKRVVPITGAPEERPSGSGSAAVDEEEKSDIAALSQV >PAN47252 pep chromosome:PHallii_v3.1:9:16676665:16677150:1 gene:PAHAL_9G245000 transcript:PAN47252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASASASANQLDHHGAAGADRPRGAKHRRPLLHHLHIQVPTIPANSGWFGRPSGPTTAPCGAKANAHGHRHTDLQPASPSLLRSPSAWIRAKGHSFGPSKQTHRRSGNFHYDPRSYAQNFDEGGGEEDAPKHQCFSPRISTAPQLASPSSGTDGRGNG >PVH32237 pep chromosome:PHallii_v3.1:9:50279672:50280625:1 gene:PAHAL_9G351300 transcript:PVH32237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIFWMYDAGDFLDISLHQMVHILYLIYLVVSGCFCELTDLVLNLLVCTCCDKYHVDADSSIGC >PVH31700 pep chromosome:PHallii_v3.1:9:13964094:13965165:-1 gene:PAHAL_9G215900 transcript:PVH31700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSHWPKFNPGFKMIPPKLEKPPGRPRKKRIKASGEAGKRGPYQCKRCFQFGHIEKGCNATQAELEQELPPPRPKNQKSKGNPNLKLLK >PAN46733 pep chromosome:PHallii_v3.1:9:13634225:13634775:1 gene:PAHAL_9G211200 transcript:PAN46733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAKIAVAIAILALFQVSCAAAPRSSKLGPVDVGAAADDALDLDLPAGMRHEKQPHHGTPPSLSARRGGGGGTPAVMTVNGFRRGESGGGPAACDGRFHSDGDLIAALSTGWFAGGRRCHRAIRITSARTGRSVVAHVVDECDSRRGCRGNIVDSSRRRPPALGLDTGVGEVPVTWSDA >PVH31813 pep chromosome:PHallii_v3.1:9:16143646:16144510:1 gene:PAHAL_9G239300 transcript:PVH31813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVPTTLQQSSRRLPPPCSPKQTRLPPPSRYSHSRTSFTAYVGSAGPPTCQGWPLRDALQPFDDGDRGSAVCCNAATSGDVAHPTLKPTLAVDYVGTIVSHLTVHLPAAGNCYVVDYVNVPPNNCLWVSISVFTNVMNGNESFYLLYSQQKETLMMQVHVYINIVCLFPRKCYS >PVH32709 pep chromosome:PHallii_v3.1:9:62184195:62185837:1 gene:PAHAL_9G466500 transcript:PVH32709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKIQTISQKTSSRVSSCTQSCVWLGPPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN48611 pep chromosome:PHallii_v3.1:9:56607527:56608927:-1 gene:PAHAL_9G395100 transcript:PAN48611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLANNIAAVAAVLAALVAGGSCGPPKFPHGPNITANYNGLWLPARATWYGQPNGAGPADNGGACGIKDVNLPPYSGMTACGNVPIFKDGKGCGSCYEIRCKAPDECSNNPVTVFITDMNYEPIAPYHFDLSGKAFGALAKPGLNDKLRHCGIIDLEFRRVRCKYVGGQKIVFHVEKGSNPNYLAVLVKFVAEDGDIVQMELKEKETPEWKPMKLSWGAIWRFDTPKALKGPFSIRLTSESGKKLVATDVIPANWIPNTVYKSNIQF >PAN50816 pep chromosome:PHallii_v3.1:9:65080846:65081415:1 gene:PAHAL_9G507500 transcript:PAN50816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMNKGFTCRTSHAPSAQMQQCDNVTGGIFDGMILSIRGSAIYSLTMLVYGGPAHPGALESK >PVH31599 pep chromosome:PHallii_v3.1:9:11737296:11744843:1 gene:PAHAL_9G185600 transcript:PVH31599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLHRLKETLHACSSSSSQPQSQPRSPPPPALLPRRPPKTSLSQQLLRLEATAASSSFSSRIAQLPPAPRPLIDKPREDDEPPPSSSEDDERRTRPISRPRPPPPPAAALESRGPYEPLVLSPPGERPVVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKGNGHEQSTDKGKKTGPVLVLCPTSVIRNWENEFSEWAEFSVAVYHGPNRELVLEKVETQGLEVLITSFDTFRIHDKILCGIPWELVVVDEAHRLKNEKSKLYTACLGITTPKRFSLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRAYYDEPLKQGQRLSAPERFVQVADARKKHLVSVLKKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLQQPDIQILINKDLHCSCGSPLPQVECCKKTEPNGIIWSYLHRDSPDGCSICPFCLVLPCLVKLQQISNHLELIKPNPKDEVEKQKKDAELAATVFGTDIDLVGGSTKSENFMGLSDSEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNRSPSKQVI >PVH31598 pep chromosome:PHallii_v3.1:9:11737296:11744842:1 gene:PAHAL_9G185600 transcript:PVH31598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLHRLKETLHACSSSSSQPQSQPRSPPPPALLPRRPPKTSLSQQLLRLEATAASSSFSSRIAQLPPAPRPLIDKPREDDEPPPSSSEDDERRTRPISRPRPPPPPAAALESRGPYEPLVLSPPGERPVVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKGNGHEQSTDKGKKTGPVLVLCPTSVIRNWENEFSEWAEFSVAVYHGPNRELVLEKVETQGLEVLITSFDTFRIHDKILCGIPWELVVVDEAHRLKNEKSKLYTACLGITTPKRFSLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRAYYDEPLKQGQRLSAPERFVQVADARKKHLVSVLKKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLQQPDIQILINKDLHCSCGSPLPQVECCKKTEPNGIIWSYLHRDSPDGCSICPFCLVLPCLVKLQQISNHLELIKPNPKDEVEKQKKDAELAATVFGTDIDLVGGSTKSENFMGLSDSEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEG >PAN46434 pep chromosome:PHallii_v3.1:9:11737297:11744842:1 gene:PAHAL_9G185600 transcript:PAN46434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLHRLKETLHACSSSSSQPQSQPRSPPPPALLPRRPPKTSLSQQLLRLEATAASSSFSSRIAQLPPAPRPLIDKPREDDEPPPSSSEDDERRTRPISRPRPPPPPAAALESRGPYEPLVLSPPGERPVVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKGNGHEQSTDKGKKTGPVLVLCPTSVIRNWENEFSEWAEFSVAVYHGPNRELVLEKVETQGLEVLITSFDTFRIHDKILCGIPWELVVVDEAHRLKNEKSKLYTACLGITTPKRFSLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRAYYDEPLKQGQRLSAPERFVQVADARKKHLVSVLKKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLQQPDIQILINKDLHCSCGSPLPQVECCKKTEPNGIIWSYLHRDSPDGCSICPFCLVLPCLVKLQQISNHLELIKPNPKDEVEKQKKDAELAATVFGTDIDLVGGSTKSENFMGLSDSEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEGVQDNKKFQGELFGICNLFHDLSDKLFTSEIIEMHGEHGNGSATEATGIREIVDTDLFGSQENRKSSTATTDADNQKLVDFGIVYAHRNEDVVNMGTKGGDEDGTEETVQSSSEELQSKHETKHTVKVKSSSLENRKVVKHDSLEQKRKEFSRVASFMGMDDLEFSKWLLAASPVQRSEVLQQYKRKRNRKCEHNQN >PVH32113 pep chromosome:PHallii_v3.1:9:38698261:38698593:-1 gene:PAHAL_9G318400 transcript:PVH32113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPLDNCLLVDVEGSPNLGGDTMPMAAD >PVH31170 pep chromosome:PHallii_v3.1:9:4210935:4213511:-1 gene:PAHAL_9G072800 transcript:PVH31170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAAGSGRSELRAGGRLGGAVLTASRPRLHCSSICDDIHGLNRRCWSGTQGWRRTARCWTAARQHLQGSGVSAASVPPSCSFLLTIAGAIDLPWMRPSLCSIKWA >PVH32387 pep chromosome:PHallii_v3.1:9:55859816:55861384:1 gene:PAHAL_9G387500 transcript:PVH32387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAEAGGSLPVPNVQEFAQNYNISEEQIPERYIRLEETAEEVIDGHDISSSIPIIDLNKLLDPQSSKEECAKLGSACKQWGFFQLINHGVPDEVICNFRNDMTEFFKQPLEAKKVYSMEPGNLEGYGQHFVVSEKQKLDWADMFYLMLRPSDSRNLRFWPSNPPSFRDSIDVYSSEAAKLVSCLLRFVAMDMGVEPESLLERFRGQPQSMRMTYTRHAEKVVGLSPHTDATGLTLLLQANGVQGLQIRKDGKWVAINALDGAFIVNVSDILEILSNGRYKSIEHRAVVHPTKERTSAAVFHQPCQDATVGPLPELVKRDGEALYSSIGYMDFITRFFAAKLDGRDHLESLKS >PAN46454 pep chromosome:PHallii_v3.1:9:11842828:11845391:1 gene:PAHAL_9G187200 transcript:PAN46454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGGVWGGVIGALAYGVLAVAALRLVLSYKSAAHALRRAWRWADEWAQAYQYYEVPRLGADGAENPLFRKAAAYVASLPSLEDADAACVLSSAAKSNDFALQLGPGHAARDAFLGARLAWTNAGAGRLVLRVRRHDRTRVLRPYLQHVESVADEMEARRRELRLYANAGGAGAPRWASAPFTHPATLDTVAMDPELKARVRADLESFLKGRAYYHRLGRVWRRSYLLYGGPGTGKSTFAAAMARFLGYDVYDIDLSRGGCDDLRALLLDTAPRSLILVEDLDRYLRGGDGETAAARTARVLSFMDGLSSCCGEERVMVFTMSGGKDGVDPAVLRPGRLDVHIHFTMCDFEAFKALASNYLGLKDHKLYPQVEEGFHAGARLSPAELGEIMIANRGSPSRALRTVINALQQVAVPPPPPQPQRAGTATATATAARPPRLTSRWSGHLDYASASDAGAAGQSSPRGGGGFAKDAPIREFKKLYGLIKYRSRKDAGVVPVDDSAASPNGRGSEASSDKDRTGD >PVH32990 pep chromosome:PHallii_v3.1:9:67383271:67386840:-1 gene:PAHAL_9G542800 transcript:PVH32990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGPASASADVGSGSGAPADAGGGGARTSLLLGMPVPVAAGAAAALAALVVLAAVAAAAGYLARRRGAGAPALSRVEHAPSSASGSGSGSSRPASSARKDKPGAGADRVTGAAAGAGASTSDAASSSAAASSLESPVKRKAAGVEMGWGRWYDLAELEVATGGFCAENVVGEGGYGTVYRGVLAGGEVVAVKDLFDHKGQAEKEFKVEVEAIGKVRHKHLVGLIGYCAEGPKRMLLYEFVENGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGSGSSYVTTRVMGTFGYVAPEYASTGMLNESSDVYSFGVLLMELISGRSPVDYNRPAGEVNLVEWFRGMVGSRRVEDLVDPRVAAPPPPRVLNRVLLVCLRCIDADAHKRPRMGQIVHMLEGDEFPFRTEHRSPRASQRTSTGTRPSLLSENVGGADDSDKSMWR >PVH31958 pep chromosome:PHallii_v3.1:9:23815743:23816060:-1 gene:PAHAL_9G273500 transcript:PVH31958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPASATSPISPGTPATSPATYPTPTGPITRSRAKKIQQEVHALLCKFQLNINENFVLPKSCMLTLLRFTKEGDKHTPRTNQRERLRSNQSSVTEQPERNSHIF >PVH32135 pep chromosome:PHallii_v3.1:9:41860276:41862358:-1 gene:PAHAL_9G327000 transcript:PVH32135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIRNSLGRNPLSDALHRNPGILIDKSLKDGVVDVRRQGDRIILVRLVIGDLALNVISAYAPQVGLSESSKSQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGYERERGGEDVLNFALAYDLLLANTLFRKRESHLVTFHSGQYSSQIDFILARREDRRACLDCKVIPGECVVPQHKLVVADFRFRVCAHRDKRAKIARTKWWKLRGEEAQMFKERMLGEGPWEEGADVDDMWLKMAICVRKVASEVFGVSRGGKQEVKETWWWNDEVQRAIKEKKECFKRLHLDKSATNIEGYRLAKRSAKRAVSVAKGQAFDDLYQRLGTKEGEKDIYRIARTRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTFELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEVWRCLGERAVVWLTKLFNLIFQSNKMPEEWRRKGYRASPKRIDKGDPKPVWVHAWEVDHGGDFLSPTVDGEMQRGEEGLTHGLY >PVH32800 pep chromosome:PHallii_v3.1:9:63937285:63940576:-1 gene:PAHAL_9G491400 transcript:PVH32800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATQNVEVAALVEKITGLHAAISKLPSLSPSPMVDALFTDLVMACVPPSPVDVTKLSPDAQRMREELIRLCSDAEGHLEAHYSDMLAAFDNPLDHLGRFPYFSNYINLSKLEYDLLVRYIPGLAPSRVAFVGSGPLPFSSLVLAARHLPNTHFDNYDRCGAANDRARKLVRADKDLSARMSFHTADVANLTDELSKYDVVFLAALVGMAAEDKAKVVAHLGRHMADGAALVVRSAHGARGFLYPIVDPEDIRRGGFDVLAVYHPDGEVINSVIIARKIDARENEEVAALVQKITGLHAAITKLPSLSPSPDVDKLFTDLVMACVPPSPVDVTKLAPEAQRMREELIRLCSDAEGHLEAHYSDMLAAFGNPLDHLSRFPYFSNYINLSKLEYELLVRYVPGLEPSRVAFVGSGPLPFSSLVLAARHLPNTAFDNYDRCGAANDRARKLVRADKDLSARMSFHTADVANLTDELGKYDVVFLAALVGMAAEDKAKVVAHLGRHMADGAALVVRSAHGARGFLYPIVDPEDIRRGGFDVLAVYHPDDEVINSVIIARKVDADANGPQNGQAHARGAVPIVSPPCKCCKMEASALQKREEMVSKEMSV >PVH31517 pep chromosome:PHallii_v3.1:9:10384579:10386272:-1 gene:PAHAL_9G166500 transcript:PVH31517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHMTLLYASVFPLVFMACATSKPQEEALLQWKASLADANSVSSWSPTGSSTCCSWLGVTCDAAGHVVELSLPSAGLRGQLDSFDFAAFPNLTKLNLNNNSLVGDIPFRFSKLPNIVWLDLGSNYLTKPDYSRMSPMPTLEHISMWGNKLGGMFPEFILSCTNLIFLDLSKNAFHGPIPDALAKMTPNLVYLNLSWNGFSGTIPRSLAQLPNLQDLRLGSNIFRGGFPEMLTTISTLQFLSLSNNTLSGSIPMGIGNLTSLQYLSLSNNTLSGSIPTGIGNLTSLQVLRLSNNQFTGPLPTLIGSMEHLEALYLANNSLNGPIPPAIGNMTALQFLLLENNNLNGTIPPEIGNMTALQQLDLRNNQLEDPGRIE >PVH32739 pep chromosome:PHallii_v3.1:9:62748293:62749278:1 gene:PAHAL_9G475000 transcript:PVH32739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHPRLRVAIPIPAAAAGLGREEPAATGSEAARKQSRKAFPGAEARRDKKAAWAHPSVRPSFPSRGRRRRVRGARCGRLFTLTPSSQLAGPVTMRRVAWTRGGFRWSTNCRTAPSLSAHPESGNRQGRQCRERIPVSRQIGLRISHYFLLISLPENGVLSPLKSINLSTAASFVRGLGDSDALSRLAEAHRHRHNGDGGRRTAPASGVGRPDEEVAPESRRTRDEDDDPANT >PAN44257 pep chromosome:PHallii_v3.1:9:1607363:1609976:1 gene:PAHAL_9G028900 transcript:PAN44257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTRWAQEAISRAQPVRRSLGDHRLLFQLHHAGRSCRTGAGPARSAGSRRLGGFPRENLTPSGAAVVTSSGLLRLTNETNEVLGHGFYPAPLRFKDASTGAPLSFSTTFVVAILPRYPDAHGHGLAFALAPSATVLGAMAGKNLGLFNTWNHLGDGRREVVAVELDTAMDEEFSDVNDNHVGVDVNSLKSNCLKPAGYVDAGTGKLTDLNLVSGDLLQVWIEYDGAGKRLEVTVSPAGMPRPAVPLVSCTVNLSPVVADGTYVGFSAANGAASSSHYVLGWSFRLGGGRAPDLDLSKLPRAPSSRPKKTMHQQLLLALILFAVVALLLVSAGITLFVVWRRRFAEVEEDWEIEYGPHRISYKDLHAATRGFRDVIGVGGFGTVYHGVLPRSGAEVAVKKVAHGSRQGLREFVWEIASMSRLRHRNLVQLFGYCRRRGELILVYDYMVNGSLDKHLFDADKPALSWERRAKIVRDVAAGLLYLHEGWEQVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGSDSQTTRVIGTFGYLAPEMFKTGKATPSADVFAFGAFLLEVACGRRPIESLSNNNADPAGLVDNVLECWKGGRIRDARDPRIGKCDEDDLEVVLKLGLLCSHPDPQCRPSMRQVVQILEGAAAAPVTPPEDLGSSGRIFGYYEGFDEFANMFPTTTATATITTQPPSSRSSDEHQQLISG >PAN44732 pep chromosome:PHallii_v3.1:9:3825191:3828477:-1 gene:PAHAL_9G066200 transcript:PAN44732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAREPLAGGLQRRPAAAARAGGGPQEPPPGGQRAIHADVDPQPRPWPWMQKVAILAIVLLGCLQFLPATHFRDPNDPHRNWIPFDGSRNPTDLSNVVGSVDVFSWTSCLDLRTLAVLTNSTLSSSSDRQNISFHFLIPEGGNDKLPYHKLKVVLPDSDLTVTSQRQIKDKLNVATPEGNFLWSFHKELSPLLIAKSQLSKKRYLHISADSIVKGKIEDLGRMDLGTYAIAATEDCSKRFGDFVSMDVLSAIQRAAAKSWVSKEPYDKDACLVDFDVLLVEPRKLEKNLVDSIMWWTGVVNVANPRDHIRLAIALALYDKYLKLPSTWKRANAKADILNYDGPKKVCSDDGRQHEQSSYGDNWKEYLHQKSEAILNS >PAN45881 pep chromosome:PHallii_v3.1:9:9137464:9142017:-1 gene:PAHAL_9G148800 transcript:PAN45881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDHDQDPDPPSPAAAGGRCPCCSSSASPAVPWRRSVKRKLGAEKGEGGEVESAAARVDAAEECAALREAVAAAQSTASALRAEVEEERLAAASAASETMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDQLRALLAQRARRLVRLRVRLREYRLQFLHLGIPLPEGEELVAENAQDEEEDLLLLEGEEGYGGYYPELRCHDGEYYYEDGQEEEDAVALDLERRICRLEHDQETHLIEPVLEEEEGTQLYTDDALTELAGLEQVGFYANEMLPEEAVEERSQLYNEDEELPESPTAGFGGGEEASETDGVGSASGSDRVYTIDKVHQGVSAPIARVPDKYQDEAVEPDIKKLYMRLEALEADRESMRQALVAMRTEKAQLVLLREIAQQLAKDGAPAGSGAGVGRGVHHSPGKCKAGIVERRFTEDKKATLVKTFSMVALFKWVVTLFGKKKKVPQSRYTFGLSSNNVGLLLLLDKYPRIQKTLTRTK >PVH31905 pep chromosome:PHallii_v3.1:9:18802624:18813328:-1 gene:PAHAL_9G257700 transcript:PVH31905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) UniProtKB/Swiss-Prot;Acc:Q9C5U2] MAPNGAGECGGGCRRGKATHAGAGAMAGFVVCLFVVWAIGGCGRSSSGRVADEEGMLGQFNLSRSQLQALVSILSSAERECMGKSGLIHDGNQVNSISCIPDTLCIRDKVSDGKQNWLKDAVLQQFCTVQDKYGVNSPAPAILEDKFLQNVIQEGISSTTQGNPHDCDLCAGINGVNVVQNIMSSSNHTVMLCLSALFGSIVISIVKTIHKRRIQSNNLCADEKVLQVPSAKISRKWSKRALLIGVLLGLCSSICIFSCMYADVVARRIENLSNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSEREQFEQQHGWKIKKMEAGDQSLVHDYNPEKLEPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDHDNILRSRATGKGALTSPFKLLKSNHLGVVLTFTVYKYDLPPNATPEERIRATLGYLGASFDVPSLVDKLLEQLASKQKIVVKLYDTTNHTSPIKMYGSDFSSSGDLQRISNIDFGDPTRKHEMHCRFKHEPPLPWSAITISTAVAIIVLLVGHIIYATLNSLEKAEHDYRVMRELKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQQDFVVIAQESGKALINLINEVLDLAKIESGRIELEAVPFDVRDILDNVVSLFYEKSQAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTERGHIFVQVHLVEELKRAGNIFYDVSALNREVLDDHDNMKIWNTLSGLEVADSWKSLEKFRMFKNSSGETDTINLVVRVEDTGIGITKNAQLRIFTPFMQADSSTSRTYGGTGIGLSITKCLVELMGGEIGFTSKSGVGSTFSFTAIFKENRKGPGDIKRYYFEPTPSDFQGMRALIIDGRNARAEITIYHLQRLGIQCNLVATSESAFSMLLEACTSSKSNSNMVLVDTEAWGKGSGFAFYRRLVDLQLKGSHKSSQPMPKIFLLGNSMSSAESDYLRLTGYGDCIRKPLRLSTIAACLRKTLGIGVTRPHSRDQSLVLRSVLTGKQILVVDDNAVNRKVAAGSLKKYGAVVTCVDSGNDAIDMLKPPHTFDACFMDVQMPEMDGDLSCNFFHWFIKEVCLQF >PAN47866 pep chromosome:PHallii_v3.1:9:18800684:18813328:-1 gene:PAHAL_9G257700 transcript:PAN47866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) UniProtKB/Swiss-Prot;Acc:Q9C5U2] MAPNGAGECGGGCRRGKATHAGAGAMAGFVVCLFVVWAIGGCGRSSSGRVADEEGMLGQFNLSRSQLQALVSILSSAERECMGKSGLIHDGNQVNSISCIPDTLCIRDKVSDGKQNWLKDAVLQQFCTVQDKYGVNSPAPAILEDKFLQNVIQEGISSTTQGNPHDCDLCAGINGVNVVQNIMSSSNHTVMLCLSALFGSIVISIVKTIHKRRIQSNNLCADEKVLQVPSAKISRKWSKRALLIGVLLGLCSSICIFSCMYADVVARRIENLSNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSEREQFEQQHGWKIKKMEAGDQSLVHDYNPEKLEPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDHDNILRSRATGKGALTSPFKLLKSNHLGVVLTFTVYKYDLPPNATPEERIRATLGYLGASFDVPSLVDKLLEQLASKQKIVVKLYDTTNHTSPIKMYGSDFSSSGDLQRISNIDFGDPTRKHEMHCRFKHEPPLPWSAITISTAVAIIVLLVGHIIYATLNSLEKAEHDYRVMRELKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQQDFVVIAQESGKALINLINEVLDLAKIESGRIELEAVPFDVRDILDNVVSLFYEKSQAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTERGHIFVQVHLVEELKRAGNIFYDVSALNREVLDDHDNMKIWNTLSGLEVADSWKSLEKFRMFKNSSGETDTINLVVRVEDTGIGITKNAQLRIFTPFMQADSSTSRTYGGTGIGLSITKCLVELMGGEIGFTSKSGVGSTFSFTAIFKENRKGPGDIKRYYFEPTPSDFQGMRALIIDGRNARAEITIYHLQRLGIQCNLVATSESAFSMLLEACTSSKSNSNMVLVDTEAWGKGSGFAFYRRLVDLQLKGSHKSSQPMPKIFLLGNSMSSAESDYLRLTGYGDCIRKPLRLSTIAACLRKTLGIGVTRPHSRDQSLVLRSVLTGKQILVVDDNAVNRKVAAGSLKKYGAVVTCVDSGNDAIDMLKPPHTFDACFMDVQMPEMDGFEATRLIRSIEKKINHMIQMGEISADNYGSKAHWHVPILAMTADVIQATFEKCMECGMDGYVSKPFEEQQLYSAVAHFLETDATDPTP >PAN45826 pep chromosome:PHallii_v3.1:9:8937000:8939319:1 gene:PAHAL_9G145500 transcript:PAN45826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQLNHIARETSDVARLAAFYEAVLGFERVPSPTYSGFQVAWLRLPGSPDVALHLIERDPAAAPVAVGPGAEGAPPSQLPRRHHLAFSVADYDGFVTGLKARGTELFEKTQPDGRTRQVFFFDPDGNGLEVTSSAAGRDK >PVH30941 pep chromosome:PHallii_v3.1:9:1065225:1065679:-1 gene:PAHAL_9G018600 transcript:PVH30941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEISRCKSTGLYVCVLQVWRRFGRTTKTPCFQALGEDFCEPGKVCNFAPMHCCYLPLICSFVFFKKKQGRMCKS >PAN46549 pep chromosome:PHallii_v3.1:9:12302691:12306671:1 gene:PAHAL_9G192900 transcript:PAN46549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSLIASLERTICCRARSRSLGPGDALRLFDKLLRQPPPRPGSATAFNHLLAAVARGSNDGAARAVYLFGRMAGAGAAVPDACTYSNLIASCCHAGRVDLAFSPLATALKAGLRLAANSFTPLLRGLCRERRVAEAVDVARRMMPELGCAPDAFSHSAVLKVLCDDGRSLEALQLLRTLARNRDEIGVVAYTIVIGGLFKEDKVEEAVKLFDEMRNQGVSPNVMTYFSVIDKLCKVGSVDKAKGVLQWMVAEGVAPNCIVYTSLINGYAISGQWKGAVMMFEEMIGKGIKPHVITYNLLIRALCKHRKCSEARKVFDYLIKSGEKTDVTTYGILLHGYAFHGSLADVQNILEMMLRNGIAPNEYVFASLLFAYAVHGSADEAMLVLTDMRKQGFSLNVVHYGTIINVLCKAGRMEDAMSQFNQMIHEGVHPNCMAYAFLVQGFCTCGNWKKAKELVFDMIQRGVHPEAKRFTLVIRNLCSEGRLEESQKLFNLFVSVGGKPDIVMYGTLIDGYCLSRKMEEAMALFDAFISSGFKPNSVIYGALINGYFKIGRIDDALTLFREMLSKEIKPNVISYGIILDGLFKAGRTTAALEQYHKMIDSGVKLDSIIYNTILGGLCKNNFAGQALVIFQELLSMNFHLEILTYYIMIDGLLRAGKRKEAKDVFAAISTNGLEPDAGIYRLMITALIEDGLLEEADELFLSMENNGHAADSRMLNDIVRISLEKGEVLKAGTYLLKIDEKNFSLHSSTTQALVSLFSDGKHEEQKKLLPKKYHYLAKTE >PAN46548 pep chromosome:PHallii_v3.1:9:12302696:12306671:1 gene:PAHAL_9G192900 transcript:PAN46548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSLIASLERTICCRARSRSLGPGDALRLFDKLLRQPPPRPGSATAFNHLLAAVARGSNDGAARAVYLFGRMAGAGAAVPDACTYSNLIASCCHAGRVDLAFSPLATALKAGLRLAANSFTPLLRGLCRERRVAEAVDVARRMMPELGCAPDAFSHSAVLKVLCDDGRSLEALQLLRTLARNRDEIGVVAYTIVIGGLFKEDKVEEAVKLFDEMRNQGVSPNVMTYFSVIDKLCKVGSVDKAKGVLQWMVAEGVAPNCIVYTSLINGYAISGQWKGAVMMFEEMIGKGIKPHVITYNLLIRALCKHRKCSEARKVFDYLIKSGEKTDVTTYGILLHGYAFHGSLADVQNILEMMLRNGIAPNEYVFASLLFAYAVHGSADEAMLVLTDMRKQGFSLNVVHYGTIINVLCKAGRMEDAMSQFNQMIHEGVHPNCMAYAFLVQGFCTCGNWKKAKELVFDMIQRGVHPEAKRFTLVIRNLCSEGRLEESQKLFNLFVSVGGKPDIVMYGTLIDGYCLSRKMEEAMALFDAFISSGFKPNSVIYGALINGYFKIGRIDDALTLFREMLSKEIKPNVISYGIILDGLFKAGRTTAALEQYHKMIDSGVKLDSIIYNTILGGLCKNNFAGQALVIFQELLSMNFHLEILTYYIMIDGLLRAGKRKEAKDVFAAISTNGLEPDAGIYRLMITALIEDGLLEEADELFLSMENNGHAADSRMLNDIVRISLEKGEVLKAGTYLLKIDEKNFSLHSSTTQALVSLFSDGKHEEQKKLLPKKYHYLAKTE >PAN46331 pep chromosome:PHallii_v3.1:9:11149133:11151284:1 gene:PAHAL_9G177400 transcript:PAN46331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSATTIIAACGLLLLCASFMAELVHGELVPALYVLGDSQADAGNNNHLVLSPLRANFPRNGIDYPGQQATGRFSNGLNFVDFLAGSLGLASPLPYHSIISNATAGRHSTFLKGVNFASGGAGVLDITNKGQCFSFDHQVERDYLNVYTDLVRQLGRPQAMAHLARSVFTVAIGGNDIILRAAPPTVTVELPAAELQVFPPQPFVDLLARTLERQLQRLYELGMRRLFLVGAAPIGCLPVMRELNLLTKECHAGANDMAARYNAAAASLLRRMSERHPDFRYAFFDVRAALMQYIDEPQANGYAEVKAACCGLGDNKAMYRCGRVSSVCPDRTDHVFWDLVHPTETTARKLTAVAFAGDAPLVSPMNVRQLCAIL >PVH31178 pep chromosome:PHallii_v3.1:9:4300189:4301438:-1 gene:PAHAL_9G074500 transcript:PVH31178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWADLRATSVPKNTSVSALITSAVLVLVRALPASATLLVTAMSGLIDIWTLERERMVRAGGAPAAFSSVASLGASARRALMARSGSDGGSSGAQRPCCGDGVRALGGVAVMDAAESEKQAAAAGGSAPALVREDAFLSILVDCFGQ >PAN45339 pep chromosome:PHallii_v3.1:9:7142502:7143977:1 gene:PAHAL_9G120900 transcript:PAN45339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSRDTAIGGKEINGHFLYLLVSPHRRPSRKLGERISNLFQRSSRVVISRSPELCNYF >PAN45053 pep chromosome:PHallii_v3.1:9:5142079:5145673:1 gene:PAHAL_9G089900 transcript:PAN45053 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit [Source:Projected from Arabidopsis thaliana (AT1G03110) UniProtKB/TrEMBL;Acc:A0A178VZV6] MEDTAVEEAEVSGAAEFAPALIAAHPHGNSVAVAIGPELRVFDLKAGSPVLLSDDCDGRSHSDAIRAICFSVSGALFASAGDDKLVKVWKTDSCRCIRTITSEKRVSAVAISNDDLYVTFADKFGVVWLVTLGEDGTEQVSVDNKPVSILGHYCSIITSMKFSPDGRFIATADRDFKIRVTSFPKNPLKGAHEIQSFCLGHTDFVSCIAFISMSENQSFLISGGGDSTVRLWDYINGCLLDTCQVRDKMGELLEPNETDDSSLAIADICPSNDGSLVAVAIQSFTGVMLLACDVVEKKLSFLKVITMEKSYIPTSLASSFSSELLWTVMGASNMPNQASSQLLTRLRIVPCFQKDLISSNNSPAILEDSEVPHGEKFLLALQGSLDIVKQEEVLASVLAALKVSMHKMLVKKHYSEERREQRKRGRNDKKIRN >PAN48089 pep chromosome:PHallii_v3.1:9:52467739:52470569:1 gene:PAHAL_9G357700 transcript:PAN48089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASPRSATATAVVAVVVAAALCLHGATAQLCEDYYDDTCPDAYDIVKQVLIQAHESDVRIYASLIRLHFHDCFVQGCDASLLLDTVPGMQSEKESPPNNGSARGYEVVDAAKAALEDACPGVVSCADILAIASEISVELSGGPSWGVLLGRLDGKTSDFNGSLDLPAPFENLTELQRKFKDVGLNDVDLVALSGAHTFGRVQCQNVAAGMADRLYNFSGTNMPDPTLDSAYRAFLSRRCPKNVNGEVLNDLDPTTPDTFDNHYYTNIEVNRGFLISDQELKSSPQAQGTTAPIVDRFAGSQDAFFASFAQSMINMGNIRPVTDPSQGEVRTNCRRVN >PAN48631 pep chromosome:PHallii_v3.1:9:56711325:56712300:1 gene:PAHAL_9G396400 transcript:PAN48631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASPEFYKPAPPAFSPCSSPLLLHGAGGGAGAAEESAAAAMWQQQEEDYRCRTPTSGESQVKPPGTCPPAPRKPRAPAAPAPCRKRLFEVEVFSLRLEELERLFWRPHPSPPPPPAQKKRRRVACPQPKKRS >PVH30967 pep chromosome:PHallii_v3.1:9:1259104:1259710:-1 gene:PAHAL_9G022000 transcript:PVH30967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVILRMNVHCYGCAGRIRKAVKNLHGVEEVWVSVDTGLVVVSGSSLDASLLRWKIQARTKRPVAIVSDGGAEEPQQGYPLPHYGGMVHLGPHAAYAHQPPPPPAAYYPYAAAPGAVSWVPAAAPPQHLLQYVPGEMLHPRHQYVPNEAPLWFNDENPNGCCSVQ >PVH33186 pep chromosome:PHallii_v3.1:9:70366438:70368254:1 gene:PAHAL_9G590000 transcript:PVH33186 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) UniProtKB/TrEMBL;Acc:F4HPB8] MMAKAVAIGRGVLQDTTDGGEKMVVWKDFVGKVLDAAEKCPRTAALIHTLSTGEEQQDDLVVPEMALFRQEPEDLNLTDGTNMVREGTQEVLDVDRILKVLDVNCLTEDAPAADVLGNNGIVNCGVGLWILPSFINHSCHPNARRTHVGDHAIVHASRDIKAGEEITFPYFDVLVPVSKRREASRAWGFECKCDRCRFESEDCTLKQEILKSENDLVNGGDMGALVVRLEEKMRKSMAKERRKAFLRASFWSAYSAVYDSDKLVRKWGRRVPSEALVAESIADAVGGNENVLRAMLRGSKDANGCGNWLEVEDKVVRIGRATYGKVVKRHAMRALFRLTLDANNNINL >PVH33273 pep chromosome:PHallii_v3.1:9:71832908:71835183:1 gene:PAHAL_9G613800 transcript:PVH33273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPAPRIFSLLPRYTLAAPVLSPLDQFTRRPVFQRFTIDEAQRRRRTSIIDRAMAGGQSNMHVLSALDGAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLIGRVYYTVDGSPNPGTLPPDVSAAVNGVAFVGTLSGQLFFGWLGDRVGRKSVYGVTLLLMIICSVASGLSFGHSPAGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGITAIFRSRFPAPPYAVDPAASTPAQADLVWRIILMFGAVPAALTFYWRMKMPETARYTALVAKNAERAAADMSRVLQVELAKEQAEVATPASGCPKCSRPFGLFSWEFARRHGAHLVGTASTWLLLDIAYYSQNLFQKDIFSAIGWIPAARTMSALDELFHIARAQTLIALCGTVPGYWFTVAFIDVLGRFKIQLAGFLMMAAFMLGLAIPYEHWTAPGNQTGFVVMYAFTFFFANFGPNATTFIVPAEIYPARLRATCHGISAAAGKVGAIIGSFGFLYLAQSPDPAKTAHGYPAGIGVRKSLFVLAGCSLAGFMLTFLVPEPKGKSLEEMSRENETGAAEP >PAN47575 pep chromosome:PHallii_v3.1:9:18273056:18275151:-1 gene:PAHAL_9G255900 transcript:PAN47575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLRSRRQELRRVSNGVIFGAMLPPSSRSLWSPQVTRIRRRRLCRHSSLQDLTTASPPLPQGPTATDYGRCADSASGGTTWLVLWHPLLRLRFFTCYLLSWRLCLMRAISNPYRQGGGLAPGADELLHPDGDGGVASQDARRSGIRCNKE >PAN47574 pep chromosome:PHallii_v3.1:9:18273034:18275151:-1 gene:PAHAL_9G255900 transcript:PAN47574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLRSRRQELRRVSNGVIFGPTATDYGRCADSASGGTTWLVLWHPLLRLRFFTCYLLSWRLCLMRAISNPYRQGGGLAPGADELLHPDGDGGVASQDARRSGIRCNKE >PAN44629 pep chromosome:PHallii_v3.1:9:2953938:2958224:-1 gene:PAHAL_9G052200 transcript:PAN44629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcriptioon factor, High-temperature stress tolerance, Tolerance to environmental stresse [Source: Projected from Oryza sativa (Os03g0795900)] MSHGMLNSVKVESRSSTVTANGQPRPMDGLHDGGLPPFLTKTYDMVDDPTTDAVVSWSATNNSFIVWDPHIFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPPHSSPNQQSLGSYLEVGHFGYDEEIDQLKRDKQLLMAEVVKLRQEQQNTKSDLQAMEEKLKDTEQKQQQMMAFMARLMQNPEFMHQLVSQSEMRKGLEDAISKKRRRRIDQGPEADSMGNGSSLEQGSQAVYEPQEPVESLANGVPSDLESSSVEAKGFEVQQGVSSGGSEHLKRRPSGELNDDFWEDLLHEGGLGAEAGNAVGQDDMNM >PAN47298 pep chromosome:PHallii_v3.1:9:17434279:17439967:-1 gene:PAHAL_9G250500 transcript:PAN47298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTTSEEYASQSKLLQEFTKVPSIDSAWVLKNKNEGISTAMFSISQPDLLANSTRKYTMYCHITGAGTNSRDFQWSPFPTEMTGVSVIVPSPSGSKLLIVRNGEKGCPTKLEIVDQSHVAKEIHLGQSLHGPLYTDEWFHGISWNQEETLITYIAEAPPQPRPVFSDSGYRKGDSSDEDYNTWKGQGDWEEDWGERYSKKGRPSLFVLDIASGEVRAAEGIATSLSVGQVVWAPPSSSGSQKYLVFVGWLEHNGFHNTARKLGIKYCSNRPCALYAIASPFERPETDNKPVCDGKSDSAASARNLTASISSAFFPRFSQDGKILLFLSAKQAVNSGAHNATDSLHKMNWPSDWKMDKELHVTEVVPIVMCPEDGCFPGLYCSSILSNPWLSDGCTMILTSAWRSTEVILSIDVLSGKVTRITPEDSHYSWSALTIDGDNVLAVSSSPIDPPHIRYGRQVTPERQARRWTWDEVDSPLMTASDKVKSLLSHHSVTILQIPVTNASGDLSDGGKLPFEAIFVSYKDSSHSPTVVILHGGPHSVSVSSYVKSSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTAIDHVINEKLIDASKVAVVGISHGGFLTTHLIGQAPDRFIVGAARNPVCNLALMIGTTDIPDWCYIVACGTEAKQYASESPSPDHLRLFYEKSPIAHISKVKAPLLMLLGGADLRVPVSNGLQYARALRERGGQVKIMMFPEDIHEIIIPRSDFESFLNIGVWFKTHLK >PVH31870 pep chromosome:PHallii_v3.1:9:17435367:17439348:-1 gene:PAHAL_9G250500 transcript:PVH31870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNPSYCKNSPKSQALTVLGFSKIRTVWPQSSYYTSFVHNHHVHFSRNSIKLAYKGASFMTAEGISTAMFSISQPDLLANSTRKYTMYCHITGAGTNSRDFQWSPFPTEMTGVSVIVPSPSGSKLLIVRNGEKGCPTKLEIVDQSHVAKEIHLGQSLHGPLYTDEWFHGISWNQEETLITYIAEAPPQPRPVFSDSGYRKGDSSDEDYNTWKGQGDWEEDWGERYSKKGRPSLFVLDIASGEVRAAEGIATSLSVGQVVWAPPSSSGSQKYLVFVGWLEHNGFHNTARKLGIKYCSNRPCALYAIASPFERPETDNKPVCDGKSDSAASARNLTASISSAFFPRFSQDGKILLFLSAKQAVNSGAHNATDSLHKMNWPSDWKMDKELHVTEVVPIVMCPEDGCFPGLYCSSILSNPWLSDGCTMILTSAWRSTEVILSIDVLSGKVTRITPEDSHYSWSALTIDGDNVLAVSSSPIDPPHIRYGRQVTPERQARRWTWDEVDSPLMTASDKVKSLLSHHSVTILQIPVTNASGDLSDGGKLPFEAIFVSYKDSSHSPTVVILHGGPHSVSVSSYVKSSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTAIDHVINEKLIDASKVAVVGISHGGFLTTHLIGQAPDRFIVGAARNPVCNLALMIGTTDIPDWCYIVACGTEAKQYASESPSPDHLRLFYEKSPIAHISKVKAPLLMLLGGADLRVPVSNGLQYARALRERGGQVKIMMFPEDIHEIIIPRSDFESFLNIGVWFKTHLK >PAN47299 pep chromosome:PHallii_v3.1:9:17434279:17439971:-1 gene:PAHAL_9G250500 transcript:PAN47299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTTSEEYASQSKLLQEFTKVPSIDSAWVLKNKNEGISTAMFSISQPDLLANSTRKYTMYCHITGAGTNSRDFQWSPFPTEMTGVSVIVPSPSGSKLLIVRNGEKGCPTKLEIVDQSHVAKEIHLGQSLHGPLYTDEWFHGISWNQEETLITYIAEAPPQPRPVFSDSGYRKGDSSDEDYNTWKGQGDWEEDWGERYSKKGRPSLFVLDIASGEVRAAEGIATSLSVGQVVWAPPSSSGSQKYLVFVGWLEHNGFHNTARKLGIKYCSNRPCALYAIASPFERPETDNKPVCDGKSDSAASARNLTASISSAFFPRFSQDGKILLFLSAKQAVNSGAHNATDSLHKMNWPSDWKMDKELHVTEVVPIVMCPEDGCFPGLYCSSILSNPWLSDGCTMILTSAWRSTEVILSIDVLSGKVTRITPEDSHYSWSALTIDGDNVLAVSSSPIDPPHIRYGRQVTPERQARRWTWDEVDSPLMTASDKVKSLLSHHSVTILQIPVTNASGDLSDGGKLPFEAIFVSYKDSSHSPTVVILHGGPHSVSVSSYVKSSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTAIDHVINEKLIDASKVAVVGISHGGFLTTHLIGQAPDRFIVGAARNPVCNLALMIGTTDIPDWCYIVACGTEAKQYASESPSPDHLRLFYEKSPIAHISKVKAPLLMLLGGADLRVPVSNGLQYARALRERGGQVKIMMFPEDIHEIIIPRSDFESFLNIGVWFKTHLK >PAN50490 pep chromosome:PHallii_v3.1:9:66147817:66158691:1 gene:PAHAL_9G524000 transcript:PAN50490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPLDSKASLKQALIDSITLDHSHPVRRASANVVSIIAKYAIPAGEWPELLPFLFQCSQSPQEDHREVALILFSSLTETIGATFQSHLNNLQPILLKCLQDESSSRVRIAALKAVGSFIEYVNDGGDIVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVSANQDLEINIRQQAIQIISWLAKFKASFLKKHKLVVPILQVMCPLLTETANEDEDSDLAADRSAAEVIDTMAINLPRHVLAPVLEFASVSFHHINPKYREAAVTSLGVISEGCCEHLKDKLEDCLKIVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYANVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLICKLVMSLQSSPRNLQETCMSAIGSVAAAAEQAFTPYAEKVLEMMKGFMVLTSDEDLCARARATEVVGIVAMAVGRARVEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILGDSFTQYLPHVVPLVFSSCNLDDGSAVDIDDADSVDNGFGGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDLRLQAVISLKHILTAVRAIPPTHADVQEKQKDVLDTVLNIYIKTMTEDDDKEVVAQACMSVADIVKDCGFAAIEPYILRLAEATLVLLRQESSCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDKIMPLVLKELASSDATNRRNAAFCVGEICKNGGAAALKYYGDILRSLHNLFSNSESDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMPVYSCICSLLLSSHPQILPLVPDVIHVFAQVVVSPDESDEVKTNIGKAVSHLISVYGQQMQPILSALPPAHANALATFASRR >PVH31055 pep chromosome:PHallii_v3.1:9:2627598:2629827:1 gene:PAHAL_9G047000 transcript:PVH31055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSGRRLRRRHELIARSRRPFKQQDGVSTLDIMIRKSLYWPDGKCMRSKSCAMQRNNDQMCRLVQALVDKYNEDHHLLVDLVYEVKDVLSCNPISEDGSCYYHLNFIANSKAIDDSDNSISNIFFAEAKHLNRGRHSEVFVCCFCKVNPIDKGQHCNGCTILGEIDMKHPDSSAELAAGHLDPHTQFGGQMEAIKDHWIESEDRLFTLRCLGPCIDDPRVIERLFTLPPGVTIVRD >PAN47482 pep chromosome:PHallii_v3.1:9:31458741:31462617:-1 gene:PAHAL_9G294700 transcript:PAN47482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKSSSTTSWSSLFGLGCFSSSYAGLSGDSGSGSRNAAKVASSSRPPAPPPPPPLPSPEDLSLSLAGSDVLAFTVDELRAATRDFSMSNFVGEGGFGPVYKGRVDERIRPGLRHPQAVAVKLLDLEGSQGHKEWLAEVIFLGQMRHPHLVKLIGYCYQEQHRLLVYEFMARGSLEKHLFKKYSASLPWSARLKISIGAAKGLAFLHEAAKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPGEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKAVDKNRPPREQNLVEWARPCLNDPRRLARVMDRRLDGQYPTRAAQKAAAIAHKCLHVSPKSRPQMSAVVEALESLLALDDGAVEPFVYTAPPENK >PAN48557 pep chromosome:PHallii_v3.1:9:56171377:56172674:-1 gene:PAHAL_9G390200 transcript:PAN48557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASLFLALNLVLFVATNACPYCGPGNNGGHGGSRGSGSHGGSGSHTGGGGYGPGGGSSGGGNGGGYGPIGGGGSGGNGGGYGPIGGGSGGGIGPIGGGGGGGGIGPIGGGSGGGIGPIGGGGGGGYGPIGGGGSGGGNDGTSGWYGHCPTNALKLGVCANVLDLIKAKAGVPVDEKCCPLLNGLVELDAAVCLCTAIKANVLGLNLNIPVNLSLVLNFCGKGVPSGFKCA >PAN51490 pep chromosome:PHallii_v3.1:9:70881234:70887776:-1 gene:PAHAL_9G598200 transcript:PAN51490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLIKWSTPPMAHRQQLRRFLSSAAARAPPPIPARRIPRSSGTSAKSARIRELGRLGSFREAREVFDAMPFRDIIAWNSMILAYCNNGMPDAARSLADEISGGNLRTGTILLSGYARAGRVRDARRVFDEMPLRNTVAWNAMVTCYVQNGDITLARRLFDAMPSRDVSSWNTMLTGYCHSQQMVDARNLFEQMPERNMVSWTVMISGYVLIEQHGKAWDIFLMMHYKGMSPEQPNLVSVLSAISNLGNLNILESIHALVRKVGFERDVVIGTAILNVYTRGVSMLDNAVKFFEGMTERNEYTWSTMIAALSQGGRIDDAVAIYQRDPIKSVPSRTAMLTGLARCGRINDARVLFDQIPEPNIVSWNAMITGYMQNEMIDEAEELFNRMPFRNTISWAGMIAGYAQNGRSEQALVLLQALHRNGMLPSLSSLTSSLFACSNIEALETGKQVHSLAVKAGCQYNSYVCNALITMYAKCRNIGFVRQIFDRMTVKDTVSYNSLITALVQNNMLEEARDTFDNMPSRDVVSWTTIISAYAQADQGNEAVEMFRNMLQVHELPNSPILTILLGVGGNLGASKLGQQIHTVAVKLGMDSGLIVANALISMYFKCGSADSLKVFDSMEEQDIFTWNTIITGYAQHGLGREAIRMYQQMESAGVLPNEVTFVGLLHACSHSGLVDEGRQFFKSMSSDYGLTPLLEHYACMVDLLGRAGDVQGALQFIYDMPIEPDAVIWSALLGACKIHKNVEIGRRAAEELFSIEPFNAGNYVMLSNIYSSQGMWDEVAEVRKLMKEQGVNKEPGCSWMQIKNRMHSFVTGDEEHEQIQDIHATLWELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYGLLVTPKGMPIQIMKNLRICGDCHAFVKFVSHVTKREIDIRDGNRFHHFRNGNCSCGDFW >PVH31145 pep chromosome:PHallii_v3.1:9:3862108:3864396:-1 gene:PAHAL_9G066800 transcript:PVH31145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGASCSPASPTRRSQGKFEEMKYNKNRCSKRYCLIKDDICRTKRKICRTKWEWINNLADIWAVVFKSIQKCRKKR >PAN52043 pep chromosome:PHallii_v3.1:9:73552284:73557166:-1 gene:PAHAL_9G638300 transcript:PAN52043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWEAGLFLSLGRPTQEQQKSCLAAAGGFNYDADLRGATRPKSEASDKALMERGFFVNRSRVLIGSGAGTFIQAKSALLSWRHLSLGWANVEPETAVKVGTRFCICYKEVIPFPWVMLPLQIAYVIDRDGDGDGDGDGRSKSKSKSMSMSKGSGGGGGMFAFGSGTLQGHLLAGEERFSVEVDEEDRVWYEVVSLSKPAHILATLCYPYVQLRQKHFARQSGQAIRRHLSTAK >PAN47589 pep chromosome:PHallii_v3.1:9:18506444:18512539:1 gene:PAHAL_9G256700 transcript:PAN47589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELDELIGFLSDRNPQVRSAAVDIVRGLTGGEDGLRALTARADRALPALLRLLASAAGSGAGEAAADSLVNLSQDAALATRLVALGAVDAAMDVVARRGGEQPALARSLVMLLVNLTHVASGVAALLQVGDEKVQGLYVAKLVRSFCRSSSDSEEQDTFEYVASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQLQNLLSLAEYLWPALLLPVAGKKIYSEEDRSKMPLELSSALSHEREAVEDSEIRQQTLEAIYMIVLQDDGRRSFWSVNGPRILQVGYEDEDDPKVMEAYELIGSLLVGKGEGEQEQGGEKPQ >PAN47587 pep chromosome:PHallii_v3.1:9:18506699:18509702:1 gene:PAHAL_9G256700 transcript:PAN47587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELDELIGFLSDRNPQVRSAAVDIVRGLTGGEDGLRALTARADRALPALLRLLASAAGSGAGEAAADSLVNLSQDAALATRLVALGAVDAAMDVVARRGGEQPALARSLVMLLVNLTHVASGVAALLQVGDEKVQGLYVAKLVRSFCRSSSDSEEQDTFEYVASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQLQNLLSLAEYLWPALLLPVAGKKSP >PAN47588 pep chromosome:PHallii_v3.1:9:18506444:18509692:1 gene:PAHAL_9G256700 transcript:PAN47588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELDELIGFLSDRNPQVRSAAVDIVRGLTGGEDGLRALTARADRALPALLRLLASAAGSGAGEAAADSLVNLSQDAALATRLVALGAVDAAMDVVARRGGEQPALARSLVMLLVNLTHVASGVAALLQVGDEKVQGLYVAKLVRSFCRSSSDSEEQDTFEYVASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQLQNLLSLAEYLWPALLLPVAGKKVHIINISHFCNSIFYWHNLLCVSFPYLFFLVIFISF >PAN45970 pep chromosome:PHallii_v3.1:9:9531208:9533737:1 gene:PAHAL_9G154700 transcript:PAN45970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPDPAPTSPAGGAAAGASPPPSSLIFLGTGCSGALPDARCLIQPSAPPCAVCSTALSLPPDRNPNYRCNTSLLIDYCHGNGTHKYILVDVGKTFREQVLRWFVHHKVPSVDSIILTHEHADAVLGLDDVWVALPCSDQVPIFLTQFTLDSVAARFPNLVKQNLQEGDDFTRPSQLNWMIIEGDVEKPFVASELEFLPLPVMHGEDYVCLGFIFGRKERVAYLSDVSRILPRTEHAISKSGAGQLDLLILETNRLHGAGDARSCHLTLTQVICQDLLIFSENL >PAN50950 pep chromosome:PHallii_v3.1:9:68515534:68518275:-1 gene:PAHAL_9G559700 transcript:PAN50950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKENAAHSAPPLRQSRGKRKALAELPANEWRDTNGGSAPRPSKPRTRSAARAEAEAEEARKRLEAEDAGRGADVSRLLDPKRQDAGAAQAAVAPYLGDIDRYLRSLEAELLRRPSPDYFQKIQKDISPKMRAILVDWLVEVADEFKLQAETLYLAISYVDRFLTVNVVTRDKLQLLGVTALRVAAKYEEVESSKMKVNKYTDITDHTYTKQQVLKMEADLLKSFNFQIGGPTVTTFLRRFIASYCGGNRISSKKLESMCSYLAELSLLDYDCISYLPSVVAAACLFVARFTIRPKTHPWNLTLQHNTGYKVSDLQKSIFIIHELQLSIRCPDQKAIREKYEDPKFECVSRMASPREIPASFFEDCNK >PAN45374 pep chromosome:PHallii_v3.1:9:6698398:6699387:-1 gene:PAHAL_9G114100 transcript:PAN45374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVRERRLPQLHISLDVPSCAFRHPNPPVAAAASTSGSRADGEFRLSDFERLAVLGRGNGGTVYKVAHRRTSALYALKVLHRGDPGAAAEVDALRRADCSPHVVRCHSVLPAAAASGDVALLLELVDGGSLDAVVARCGAFPELALAEVAAQALSGLAHLHARRVVHRDVKPANLLVSAAGEVKIADFGIAKVLSRAGDHCAAYEGTATYMSPERFDTERHGHADPCTADVWSLGVTILELLMGRYPLLPAGQKPSWAALMCTICFGELPSLPDGAASPELRAFVAACLQKDYTKRASVAQLLAHPFVAGRDIVASKDALRRMVAEA >PAN44401 pep chromosome:PHallii_v3.1:9:2226477:2229715:1 gene:PAHAL_9G040000 transcript:PAN44401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASSSAASASSTGSGGSDAAAAAPTAGASACPCPICLESFKDEAYLDTCFHSFCYKCICQWIRIVASKHEEPLSSVRCPLCKTENLSIIHAFDGESFERWYINQEPRKRRLSDAHELVSQFYNMEEVTSNISGVQRYWEQKGYLRKKNWLETWIRREIQALTRDENVEAIVYHIHGVIGSFMKRLEKEHTSRRISPEERREEFRTLLSGAARPFLLSRTERFITEVELFLVSNLNMEAYNKLRVQRFRESSSHLTREQDALPHDRSLEEHYLYFVCNDTDCDEM >PVH31435 pep chromosome:PHallii_v3.1:9:8997644:9003220:-1 gene:PAHAL_9G146800 transcript:PVH31435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT4G38180) UniProtKB/Swiss-Prot;Acc:Q9SZL8] MERGAGSEQGSPESEMGEGDNDSVGYGAEMEVDAGSGSAGASAPASSASASASASASAYAARAGAYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSVMSRQFVCAKEGFRTYRGKNEVARADAADAGDEDSARGRRTRAVTRVGCKAMIRVKKQDNGRWTVTKLETAHNHPLVPANQAHCLRPHKPLSECGKQRPFGGHRNGGTLLSIEPPQTPLMPSVPQTTIARVVPQYVSDGIGNGTRVILDYVKRMQAEDPAFFYAMQFVEGRPVGNVFWADARARKAYKDFGDAIVLDDYFKRSKHEFPLVAFTGVNHHCQSVLFGCAIITDNNEASFVWLFETFLLAMSGQHPASLTMEHDNALQSAAAKVFPLTRFQFCKWHIINEAHDKLSHLLNVFPSFHEDFINCINMSETIDEFEANWKALVSKVSSQNTEWLNSMYNCRHKWVPVYLRDTFFGDVALKQQCATRSSLFEGYISAKTDSQSFIQQYEKALDCCYEKEVKEEFETKYSPPDIKTSSPIEKQGAESYTRSMFLKFQQELIDASVYTAETVKEEGSVSTYIVTKSEGSEKPGTVQFSSSGSSATCSCRKFEYFGIVCRHILAVFGVRGVSALPSQYFVKRWTKNAMDRSSSKKVDEVGRVEEPKEEQRSSAEDDEQSPTWRYNSLCREALRYAEEGASSLEVYIVAMQALQEATNRVNMAKRGIGQVAPLAVMPIAAQPPESFGKIQEISSNKQKKRKRNSNSSRENATSNQLI >PVH31436 pep chromosome:PHallii_v3.1:9:8997644:9003673:-1 gene:PAHAL_9G146800 transcript:PVH31436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT4G38180) UniProtKB/Swiss-Prot;Acc:Q9SZL8] MERGAGSEQGSPESEMGEGDNDSVGYGAEMEVDAGSGSAGASAPASSASASASASASAYAARAGAYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSVMSRQFVCAKEGFRTYRGKNEVARADAADAGDEDSARGRRTRAVTRVGCKAMIRVKKQDNGRWTVTKLETAHNHPLVPANQAHCLRPHKPLSECGKQRPFGGHRNGGTLLSIEPPQTPLMPSVPQTTIARVVPQYVSDGIGNGTRVILDYVKRMQAEDPAFFYAMQFVEGRPVGNVFWADARARKAYKDFGDAIVLDDYFKRSKHEFPLVAFTGVNHHCQSVLFGCAIITDNNEASFVWLFETFLLAMSGQHPASLTMEHDNALQSAAAKVFPLTRFQFCKWHIINEAHDKLSHLLNVFPSFHEDFINCINMSETIDEFEANWKALVSKVSSQNTEWLNSMYNCRHKWVPVYLRDTFFGDVALKQQCATRSSLFEGYISAKTDSQSFIQQYEKALDCCYEKEVKEEFETKYSPPDIKTSSPIEKQGAESYTRSMFLKFQQELIDASVYTAETVKEEGSVSTYIVTKSEGSEKPGTVQFSSSGSSATCSCRKFEYFGIVCRHILAVFGVRGVSALPSQYFVKRWTKNAMDRSSSKKVDEVGRVEEPKEEQRSSAEDDEQSPTWRYNSLCREALRYAEEGASSLEVYIVAMQALQEATNRVNMAKRGIGQVAPLAVMPIAAQPPESFGKIQEISSNKQKKRKRNSNSSRENATSNQLMYVQQPVNFLFVASGSSSALQGPSQLVAAPPLSLSTQYGQTSGANNSVDDKIPPASVVDKFSGLPDRNASASAPSLGNLQGGETKSTGAASQIKENHELSQANGNRGCSVNTLNSSAAPQLVTVPIGLCLPSMDGSNISAAGMNSVNSGDANSNGKLSLGLHQLDSCAQQPATPSQTKTPESIDPRANPEGSSIRAAAIAAGARIASPSDAASIIKAAQSKGAIRIRPGENLPNYLKPLAPKPLSSLPPVNTPNSVHATPGQRSFGDSAAAKDAIFGSSDGGGDDEYDDDDDTDDEDEGLTGDDAEHE >PAN46021 pep chromosome:PHallii_v3.1:9:9826390:9829599:-1 gene:PAHAL_9G158400 transcript:PAN46021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDDALTKMGFGRSQALVLVYAGMGWVAEAMELMLLSFLGPFVREQWNISPQNESMLSSFVFAGMLIGACAWGFVSDKYGRRTGLLFSTMFTSGMGFLSALSPNYLCLVALRFLVGVGVGGSHVFISWFLEFVPAQNRGTWMVIFSLFWTLGTILEASLAWVVLPALNWRWLLALTALPCVLMLPFFGLTPESPRYLCVQNRMADATAVLERMASANQSDLPPGVLIYNRETKTDHGNLASESECLLPVRQKECKVDDAVSSESGSLAALRTLLSRDLLKSTLLLWFVFYANSFAYYGIVLLTSQLSDANRSCASGVIFGLHQKDTNIYKDTFITSLAEIPGLILSAVLVDWFGRKASMWSMMFACCAFLGPLVFHQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTYVRSTGSGIATAIGRVGGVVCPLVAVALLGSCHQMEALVVFEVVLCLAAVACMFFPVETKGRGMD >PAN46022 pep chromosome:PHallii_v3.1:9:9826000:9830743:-1 gene:PAHAL_9G158400 transcript:PAN46022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVGGSHVFISWFLEFVPAQNRGTWMVIFSLFWTLGTILEASLAWVVLPALNWRWLLALTALPCVLMLPFFGLTPESPRYLCVQNRMADATAVLERMASANQSDLPPGVLIYNRETKTDHGNLASESECLLPVRQKECKVDDAVSSESGSLAALRTLLSRDLLKSTLLLWFVFYANSFAYYGIVLLTSQLSDANRSCASGVIFGLHQKDTNIYKDTFITSLAEIPGLILSAVLVDWFGRKASMWSMMFACCAFLGPLVFHQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTYVRSTGSGIATAIGRVGGVVCPLVAVALLGSCHQMEALVVFEVVLCLAAVACMFFPVETKGRGMD >PAN49929 pep chromosome:PHallii_v3.1:9:63480193:63487721:1 gene:PAHAL_9G485600 transcript:PAN49929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILRAGSVCWLFQVLVPVCALCQILYVGSVGAQTAQLSVDASPQNAQVIPGNMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGDESNIIVATDRSSCFAGNPIALRMEVHCETTGTNACPSGGVGIYNPGYWGMNIERRKVYRATMYIKSSESVELTVSLTSSDGLQNLASHTITGDKEDFVEWTKVELDLESIGRNTNSRLQLTTTKSGIIWFDQVSLMPSDTYMGHGFRKDLASMLANMKPRFLKFPGGNYVMGNYLVNAFRWSETVGPWEERPGHFNDAWAYWTDDGLGFFEFLQLAEDLGACPVWVVNDGASLNEEVPTATIAAFVKDVVNGIEFARGDPQTAWGSVRAAMGHPEPFQLYYVSIGNQECTKYYYKDNYMKFYSAIKASYPDITIISSCDRSAISPVNPADLYDVHVYTSSGDMFSRSRMFDNTARSGPKAIVSEYAVTGNDAGRGTLIAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPSTIQLSNYDQLLTSAITWNNSQDGNTYLKIKVVNFGSKAVNLNISVTGLETDIQTFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVVLDSYSLTSFDLLLGSSQTLHSVSGSSLHSSL >PAN49637 pep chromosome:PHallii_v3.1:9:61851982:61856766:1 gene:PAHAL_9G462000 transcript:PAN49637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCAAARMFAYNATLCACDPGYYYYLGGNGTASCAPMPGGGWGDWKVGAVGAPRNQSLYFLAPVLPIDAIRRLTSSQAVLLEAALASLLCWLAFCAAARFAGRDPRGETRLFRARYWVSRLDCLFDNSHWAGDQQVLRKRKTELGGTCSMASLILFTGLLTVLLYQAIKRRSIEMHRVKPANAPDLLSFVNDLEFHITTVSGMSCAQAVAPSTFAMGTAGSTDFRVVPLPTLFTYSCANTSQGPSITLKCNGCRMPPRDHFVSWQFVDLQGQPAAAVGFQFNLTARQHGNNKHVSFVSGTVKSDGYADDSKLRTFRGQESNVLKIQLFPQMYNNLGNLRILQPLVQDFTQGSAFFDVGSLNASLQNPRDGVVNTTLYVSYLSDYIVEISNESVVGPVSLLASIGGLYAFSVAICLCLMTQCEARIKKLRDEDTRMLTILSKQRARRNWDKVRKFVMYTWCPSNLDPTDKSGQQPEGSAMDSLHRTFHKRREPIRQATSDVSIPNRVPADMGATDIERAAEMQLPSSSR >PAN48234 pep chromosome:PHallii_v3.1:9:53907830:53909184:1 gene:PAHAL_9G369800 transcript:PAN48234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCDGYRLIFDVSWNPIGTWCFQTSASGCHNRQAMAPHCILEWLPSAANSRLRHVSVYSCKFEKIKKYIESSTDFAVMLCYCQASLSRRELLHFVYVLFM >PAN51100 pep chromosome:PHallii_v3.1:9:69193080:69193811:-1 gene:PAHAL_9G570000 transcript:PAN51100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRSRTAECDNLQKHATCATQKRVGEKVHVVKKEKEIHKSLHERFLQPTSYSARSLIHRTTSGLQDYFVRIARPQLIPWCILGLVSC >PAN51860 pep chromosome:PHallii_v3.1:9:72562354:72563856:1 gene:PAHAL_9G625000 transcript:PAN51860 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01310) UniProtKB/Swiss-Prot;Acc:O04603] MAATAVTLPSSGVPFPVSNTAARRCLLLPSPLPRRALRVVVSAATEAPPKPTPPPTSPSGIVLVDPSEAQRVHRLKAVYEQKVVPLITEEFGYTNVHQVPKVEKIVVNCGLGAEAGNSKGLEAAMKDLANITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRIMYNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSLGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEAFRLLALLGMPFSENIKQDVVIRKKRLKRHHFLSKGKGKGGRK >PAN48504 pep chromosome:PHallii_v3.1:9:55755080:55756543:-1 gene:PAHAL_9G386100 transcript:PAN48504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGERFANRLLSIHRFVNRSLVGRLLQNMLPGGATAAAPSPLVRQLGKSALVVDADALLLKPSPGAAFPPYFLVAVEAGGYIRGLVLLALYPVLHVLPHGARAKAMAFVSFCGLRRDEAARIGRAVLPKLFSREVPPGTPGIEALNALPKEVKVVAVSRTFPTVMVEAFLKEYVGFHVAAGRELKGGPRYLTGVMAEFDDTERLPRVLKQTERTSCSYPKPVVFHDGRLAFTPTPAAALAMYIYFPFAVVLAVIRIAIYVLLPWRLSSVVAGLTGVRVRVIGPTPAAEDGNAKPHGGRLYACNHRTLLDPVGIACALKRPVAAVTYSLSRLSEVLSPIPLRRLTRNREEDRRRMSSMLARGDVVVCPEGTTCREPHLLRFSPLFAELASEVTPVAVDARTTVFYATSTSPVAKSFDSVYFLMNPRPEYSVQFLEPVNTESGKSSIEVANEVQRALASALGFEGTALTRKDKYLLLAGNEGVVKTK >PAN45944 pep chromosome:PHallii_v3.1:9:9966503:9966814:1 gene:PAHAL_9G160400 transcript:PAN45944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITPRNRRQTKGNKRLGRLIEWTKNVQIKLTNIGTVRFKQVDRRLVAIPSLANLTSLRSLGVENYFRSCS >PVH32167 pep chromosome:PHallii_v3.1:9:45128558:45129484:-1 gene:PAHAL_9G337600 transcript:PVH32167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPNIFWDPAGHLHTNALHWEGFPRLLSESFRSFGYIDPPQYDAMEYQEEGIYRARVKMTIPQHPHHSQWQPIEIEVMGYRIVDTIEGAALEAIYVFCNQHPGEVAGQPIGLFATTDPNEPEWNLRIVPESHRLEGPPEEALRGMMRFMNMQYHYQLSLRREMGQLVNAARSLYREADRHITQVDQLRALVIEKDGIIATQNETIHHREDQINESDATITQRNTIIEFLQEQIHDLILKVDDANAHINELQQQPVPPVVPAPEEEEEDPEEIEVVSEIDSEQGDPVISPHHSSSGSQSSVGNFDDF >PVH32975 pep chromosome:PHallii_v3.1:9:67099380:67099761:-1 gene:PAHAL_9G538200 transcript:PVH32975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGRTALSIVVRPATCGRAGGRALVASSGATASLPAREDPGLPSTSSPPEVEKLLLHFVKGGESIRSIPLVRCSPQQGSGDLKSTDGNLYVNLEIYPEEAGTNLRLYELGKGMDIATVLKF >PAN49002 pep chromosome:PHallii_v3.1:9:58565401:58569024:1 gene:PAHAL_9G418500 transcript:PAN49002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESSSLNLIKLWKRFKGNDTPPEHLGISKEYNVDMIPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEEDAKSHEGLDLTKVTTREVISKYGLEDDTVDFIGHALALHRDDSYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDESGKAYGVTSEGETAKCKKVVCDPSYLPEKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPINNPEEDSCFLTNSYDASTHFETTVKDVLSLYNKITGKELDLSVDLNAASAAEQEAA >PAN49022 pep chromosome:PHallii_v3.1:9:58660312:58660779:1 gene:PAHAL_9G420400 transcript:PAN49022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRVIQDQYHLGRSIFSVQFFLCRDGKTLGTACISAMLVNHHGSLCRGLHFTSSSRKFCVRRSRKKHGTARLICPLQFNHGRRPVVDQVFYSATEAHVAWFAFFFLPFWMEMDDQ >PVH32606 pep chromosome:PHallii_v3.1:9:60593996:60595621:-1 gene:PAHAL_9G441000 transcript:PVH32606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDDDTATTPLLTSHKVKPAKLPPSIDDMIEACIGDTGVVQLLRAVFVAFAWAFDAQQVFISVFTDAEPRWHCAGSAGGNSSCTPAAAAAAPCALPSGGTWVWDRPARTSVVSEWALQCASPALVSLPASSFFAGCLAGGFLLTTLADSRLGRKKTLLASLVSMSAAGVLTALAPNVWAYAALRFVSGFARSVVGTCTLVLSTELVGRRWRDVVSVAAFFCYAVGFLSLPALAFALREASWRSMYFWMSAPCLCYAALLYFLAQESPRWLLVRGRTQEAVETLRQISSLNGSSSTTTTSFSTLDACSMREEAGASGGGVFDTLRMMSERPWANRRLAAIMVAAFGVGMVYFGMPLSVGSLGPNLYLSTTYNALAEVPSAVLSWLLIARADRRASVVALAASAGACSLACAAIPRGAGAARMAAELVSFFVTCTAYDLILIYAIELFPTSVRNSAVGLVRQAMVLGGVAAPMLVALGRERSIWSFGVFGLAIGGAGIFAACLPETRGKTMSDTMEEEERNEASCTSTTPMAKHSDSDRDLV >PAN51867 pep chromosome:PHallii_v3.1:9:72601530:72607350:-1 gene:PAHAL_9G625700 transcript:PAN51867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDPSLGFADYFSAAADAAAMEEGAPELYGLHADMEFLAMRGGLPAMPAAAHGHGHSKAVLDDAGPEGGSTNAGTMQFLSAGGHHQQQPSQAQGPLSLSLCRPDGGGGVGLTLHEHLGGSSRHHQQQPPPASWMQHDYSAPTQGTQHAAAWHLRSSRFLLPAQQLLQEFCSLPVDSAAKNKRAKQASTAKPSSQQQQEDGGGEGSSSSASWAPSPQIQAMNALELQRLKDKLYIMLEEVDRRYRRYCEQMRSLAGGFEAVAGERAAAAYTALASRTISRHFRSLRDGIVAQLQAARKALGEKDVSVPGMTRGDTPRLRVLDQCIRQQKALSQAGMMESHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKDGQQQDGGGASAGQQISTNPNPSISSEAADGGDKGVDRKPTRAQLMHDAGSLASVVNIGGAGAGAGVSNLGIMDHLDFDSYGAGDQQQAGGFGGVSLTLGLQQHDSHDGGGVNIAFGAPPPPAHHHHHGGAAGYLFATAGGQQVMDSGVHPGPHAQFGAAGGIDSEAQEHYRSLGAGFHLLRDLAG >PAN48154 pep chromosome:PHallii_v3.1:9:53154534:53156965:1 gene:PAHAL_9G363800 transcript:PAN48154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVERPSPDESAEARGYWRWRKDDFFPEPSFASWAAYRAALGATPARLRDRFAGRSTDAAELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEARDHAGSAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYIRVELGDVAAFVAAANLILESIIGKAAVARAWTSYLASLINKPASALRIHTSLAEGYNELDPIAVAVIAVTAALAMLSSKGTSRVNWVASAVHVLVIAFVIVAGFVHAKPSNLTPFLPYGVPGVFRAAAIVYFAYGGFDNIATMAEETRNPSRDIPLGLLGSMSAITTIYCVMALALSMMQPYTAIDRSAAYSAAFGSVGMRWAQYVVALGALKGMTTVLLVGVLGKARYTTHIARSHIIPPVFALVHPRTGTPVHATALVAAASAGVAFFSSLKVLSSLLSASTLFIFVMMATALLVRRYYVRGVTTRTHALRLAASLLLIIGSSVGIAVCWGTSSPGRWQGYVVLVPAWAAGTLGIQLLVPMARTPKVWGVPLVPWLPSLSIATNVFLMGSLGKEAFVRFGICTAVMLVYYVLVGLHATYDVAHGACAGEEDDMVAAADVHKAGARSENINML >PAN50898 pep chromosome:PHallii_v3.1:9:68291670:68299449:-1 gene:PAHAL_9G555400 transcript:PAN50898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFHPTQHAGDNDFQMWQQQMMYKQLQEFQRQQQLQQSDHGARMQPSFGQFHAPAKPLPADQLSTMSNEMTNNESMNSAWPHNFTTGDPSLTSNSQMLNNGSANWDQIVGAPGVGNFINGSMFANAQNQSMRPMGLATHQVDQTFYPMHATSSRGSGNQYSQFLGIPADSQSAMARVGPDQSEKTSRPFNSSMNDHGLHLQGASSLMQSFRGKGGFLSNNPMQNQSDNIKAGSLVTMNHLQHGFQTQDFHSSPNQVELQVGVQEKSAMQVGQASGRASLDPTEEKILFGNDEDSNWGALLRGDNDNGNSLDNDNYGGAFSSLQSGSWSALMQEALQSTTSENSPKEEWSGLSFHKAEQIMANNSLSGRDENKLAGLSGANIENARPPPPSSYADGTMNNPNLASFQHAMRTPYERRDQMPHESPSASVNNHQSASEVNNGYFQQNLKQAQSDGRQEQAHLANGLWAHQKSELLRNSLQSTGAHATPPGAHGFWMSQQNTADHNINRESSNNQNDWKANNALGQDMSGTQNVFNSNENSWKSSGGNANSVQRLQQRKSDISTAQIPNESSDGKNIRMMGSNIPMMTQDHYQMITGRSGEQAGMNRNMGHRVPETSESPGKSADQRTGDFNQEYLNTTPNERQAHVLNHGQHITSDSAPRRHSVFSGKESENVSQSSQQAMASYMLQNRAMGTSGMNIGTSPGNPMSNSLFPPQSHQMRNNMQHHFGTNSHVSNNMPSVSEKMMMAQEQLQSRHGLPNSSSALHFGGTDTGLSQNRAVQNSQHMLQLLHKVDNSRNSNAVAEVPNNSLGIVSAQQQPNHPSLQGFGLRLAPPSQRQSNSGNLWSGQTNVDAKQPDHSAQEDDRSQLPSTPSQSLTSPHTNSQSSPFHTSETENTGQPIGRFPQLSSGQQYPATDDRSGPAPMLQQPQQGSSATVFKNVWTNISAQRLAGMQANKITPNILQSMMFPNNATTSNLWSSQRTDDQGQKASTPSDIVTTSANSQNQDSKQAVDSDAGLASSQKANFESTGATLSGGNESLQKPSSDGNFVNPVSSFAQLRQQGIMGGKHGENPGANFQAMNASYNSANNSGGIVLHGSPAPSNIQQQNYSLLHQMQALRHTDIDPGSSTGKTMRPDVGSDASQIDWKSGQRFAHVANNSTKSSADNIGSPGVPGSFPSDMKMLSFASRSEERNPNVPSQLPSGERLSHGSVTAQNDSQNQVQPIGTSAASNSVERSERPRINPQMAPSWFGHYGNYRNGQSVAMLNAQKTTALPYNFQKASWNNDNNNSAENRVESGQSVRPVHHLPSTKMDALVPSNVKASSMMRRPKKRKAMESTLVSWHKIIESPQKLRSISTYEMDWAWAANRLIEKDEAETLEDAPLNYLPRKRLILTTQLIQQLLPAIPSAILRAEAVSAYESATYTLSMLTLRDACSMASSSSYNSCSPVDDENNPSEQMTSAKKMEDKVSKAVEVFVGRIRKMENDFISLNKRASMLDVQLECQDLERISIVNRLGRFHGRNHAAAVEGSSASEMTPRRIFPERHVMSFAVPGNLPEGVYCLSL >PAN50897 pep chromosome:PHallii_v3.1:9:68291670:68301084:-1 gene:PAHAL_9G555400 transcript:PAN50897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFHPTQHAGDNDFQMWQQQMMYKQLQEFQRQQQLQQSDHGARMQPSFGQFHAPAKPLPADQLSTMSNEMTNNESMNSAWPHNFTTGDPSLTSNSQMLNNGSANWDQIVGAPGVGNFINGSMFANAQNQSMRPMGLATHQVDQTFYPMHATSSRGSGNQYSQFLGIPADSQSAMARVGPDQSEKTSRPFNSSMNDHGLHLQGASSLMQSFRGKGGFLSNNPMQNQSDNIKAGSLVTMNHLQHGFQTQDFHSSPNQVELQVGVQEKSAMQVGQASGRASLDPTEEKILFGNDEDSNWGALLRGDNDNGNSLDNDNYGGAFSSLQSGSWSALMQEALQSTTSENSPKEEWSGLSFHKAEQIMANNSLSGRDENKLAGLSGANIENARPPPPSSYADGTMNNPNLASFQHAMRTPYERRDQMPHESPSASVNNHQSASEVNNGYFQQNLKQAQSDGRQEQAHLANGLWAHQKSELLRNSLQSTGAHATPPGAHGFWMSQQNTADHNINRESSNNQNDWKANNALGQDMSGTQNVFNSNENSWKSSGGNANSVQRLQQRKSDISTAQIPNESSDGKNIRMMGSNIPMMTQDHYQMITGRSGEQAGMNRNMGHRVPETSESPGKSADQRTGDFNQEYLNTTPNERQAHVLNHGQHITSDSAPRRHSVFSGKESENVSQSSQQAMASYMLQNRAMGTSGMNIGTSPGNPMSNSLFPPQSHQMRNNMQHHFGTNSHVSNNMPSVSEKMMMAQEQLQSRHGLPNSSSALHFGGTDTGLSQNRAVQNSQHMLQLLHKVDNSRNSNAVAEVPNNSLGIVSAQQQPNHPSLQGFGLRLAPPSQRQSNSGNLWSGQTNVDAKQPDHSAQEDDRSQLPSTPSQSLTSPHTNSQSSPFHTSETENTGQPIGRFPQLSSGQQYPATDDRSGPAPMLQQPQQGSSATVFKNVWTNISAQRLAGMQANKITPNILQSMMFPNNATTSNLWSSQRTDDQGQKASTPSDIVTTSANSQNQDSKQAVDSDAGLASSQKANFESTGATLSGGNESLQKPSSDGNFVNPVSSFAQLRQQGIMGGKHGENPGANFQAMNASYNSANNSGGIVLHGSPAPSNIQQQNYSLLHQMQALRHTDIDPGSSTGKTMRPDVGSDASQIDWKSGQRFAHVANNSTKSSADNIGSPGVPGSFPSDMKMLSFASRSEERNPNVPSQLPSGERLSHGSVTAQNDSQNQVQPIGTSAASNSVERSERPRINPQMAPSWFGHYGNYRNGQSVAMLNAQKTTALPYNFQKASWNNDNNNSAENRVESGQSVRPVHHLPSTKMDALVPSNVKASSMMRRPKKRKAMESTLVSWHKIIESPQKLRSISTYEMDWAWAANRLIEKDEAETLEDAPLNYLPRKRLILTTQLIQQLLPAIPSAILRAEAVSAYESATYTLSMLTLRDACSMASSSSYNSCSPVDDENNPSEQMTSAKKMEDKVSKAVEVFVGRIRKMENDFISLNKRASMLDVQLECQDLERISIVNRLGRFHGRNHAAAVEGSSASEMTPRRIFPERHVMSFAVPGNLPEGVYCLSL >PAN51004 pep chromosome:PHallii_v3.1:9:68742987:68753827:-1 gene:PAHAL_9G563300 transcript:PAN51004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAATATPGAGRKRRRSRSPPRDEEGPSELKCARLRLEGDGGAKGAWEHLDLVLSLQGKELSLERKIELVVEFLTTLPNNSSHGHNVHNIQLSRLVSFIGNWVQSILNFSENSKRMLQPFDPALDSRCWVILRGCIEKKPSISISLNLLKSLSRVARHGLGRVDSNTSRADNESIELFERVFDCMSLLFSSNTRAFFNAGVDLWASCVIEVIYLAQKVSANEENFCPVLQKLVNCLLGQFSSFLRFYANPKNIFHAFVDKILGPLLELLVLLNSQANSNKHKHAGTMLKIVEDVLSNGLFHPQHLSGYFGLGSLNKSSAAKDIKGSYHRHLFQRFKEIKTENKAVLLAGFGYLLQLFVSRARNQRTTVVPSGTTLSRLQKGSEGSEEPQQHRESLFEVFIQFMEPMVLECKLYSQKEFSKLGVTRLVEVHCMLKSINVMLTTLTEEKIYVPTEDTSEGSYFNFLQDIYTVLISISEKIHEFWVSAVHLEDVGIKKIVPLMFSEIIAAVGNFLEIEYKVLGDDLTKLWLMIFALSAINASSKDIKPCFLLASKISSISAQVICTFSELRQVSRSIFKLCDAVRAFRADGPDALQGSFSVASLSSQECLESLTTLLSSETLMGAIRTSIKSMPQGQSSRCIEDLTSDLTETLNWMTGCSFEDDLRKLGEPSIARKSVFCQKAELLGMHLSEVYTSVLDSITVTASNSTLVGKSVKRLVNAVQPNFSHLVRNEAENSSGFISSIMGKCVSKKQHASLRKIPSFSWICAFFFRLYISCRGLYQQCICLMPPDVATEATKLVGNPFIVCSGKEWTNPANILGKGYFALIVENSNSLLDVIESLLQSLSRNCASFVPLVYTFHVMALQRLNDLNRQTKAFQFLLENDAWQLDKEDIRNTQLLEESCSLEAAKLTSFMMSYVKLLCSGENGPLGCYKVSGSWDLSLCSLDEGSFPIATWRLLCENIDIWSSHASKKDLKNFFSNLIRFSFVQKGSSRDKENNGTQSSYREMTLHSISVGVLCDTIIYDQKVLLKNLASSFCHALKKSLSFVNCPDEDNVLLDSSPDLMETMSNLENGKLIGTDSGAMHVHCKNKQWMCEDLLDFFSAVPGFHANSKSTARLINYILHLERMLLLKLLGLRCESCNPMKLLRLFICCRRVMINLILKIGKEHPESKQYLAFSEIFGNSYSLVWFLLSAQEIVGSSDRIFDECNDEVNSMMLSLLDKTSELFSTLASVNLSFCLLDYKKQIKSFLIGSPIEIEASEHDDQTFDIQENSALECVKSMAELLQKSTTGIPVITLKDSKCVIKIENCRNAVCWKRLSCIMSCICGFLWGLNSALESAFKEHPVATSEEKKLLLQYCSRFSSHIAKFETFVDICLHVLFMDNKGSGSIDSISVRLPRELDCENGFLNIDAVMDGRTKCGSRGLDLSKLQYMENILLENLLKGEYPLTAFTLREVYNVSAAIVKLHGNLSFPSDVSRQTCSPVQQLSLGTMLGTAFFTLQKVADMSSWPHMFCLVWIDGVLRYLEVLGSACTLPELNISIELYTQIVNALLRAIGKCILLQGKNATLPTHEVGSSTKTLQLQNASGYAFPRDFIDRQNRLNSLKSRLRLLLGKFVNIASNTHLNAALQVIERALVGVNLYSHSIYEVCTGNPDGGTVSSDVAAGIDCLYLVLDFVPGNKRVFKRTVPGLVGALFNIVLHLQSPLIFYIQKLRPHCSEFHPDAGAVVLMCVEVITSFVGRHSFQIDASHVSQCLHVPVTLFKGFKGLLACRRISRSSAKYRTESVQQHADHDEYILDRQFSVDIYAACCKLLCTTLRHQQREIGRCMALLEDSVSILLSCLESTDSKMVNMAGYFAWNMEEALKCASFFRRIYEEMRQQREIIGKHAMHFLAGYISMFSGQGPFQTGITREIDETLRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLADLVHDYKLHFQYQGKI >PAN49353 pep chromosome:PHallii_v3.1:9:60739038:60739460:-1 gene:PAHAL_9G443200 transcript:PAN49353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPGGNNDGLVPRIMSRAELVRLWEDLFDRDSEAADELFFAALLRDARAEYARGAARKGHGQEEEGKDDDDEEEQRRARVFQPWEPPAPGSAMPPPPPPAAAAPPVKRAVVLALRAPPHLLARKHERTPSEPKKPRAA >PAN44969 pep chromosome:PHallii_v3.1:9:4846684:4853400:1 gene:PAHAL_9G084600 transcript:PAN44969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNLLKTLERYQRYIYASADAAVPSSDEMQNNYQEYVKLKTRVEVLQHSQRNLLGEDLAPLTTSELDQLESQVDKTLKQIRSRKTQVLLDELCDLKRKEHMLQDANRVLKRKLDEVEAEAPPPPQPQLPWQGGSGDDAMVSDGPPQPEHFFQALESNPSLQPTFHTVDMNQQPVPAPGGCYPPAWSAWMA >PAN44968 pep chromosome:PHallii_v3.1:9:4846684:4853400:1 gene:PAHAL_9G084600 transcript:PAN44968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNLLKTLERYQRYIYASADAAVPSSDEMQNNYQEYVKLKTRVEVLQHSQRNLLGEDLAPLTTSELDQLESQVDKTLKQIRSRKTQVLLDELCDLKRKEHMLQDANRVLKRKLDEVEAEAPPPPQPQLPWQGGSGDDAMVSDGPPQPEHFFQALESNPSLQPTFHTVDMNQQPVPAPGGCYPPAWSAWMA >PAN44271 pep chromosome:PHallii_v3.1:9:1714092:1717593:1 gene:PAHAL_9G030800 transcript:PAN44271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TA11 protein (Fragment) [Source: Projected from Oryza sativa (Os03g0821300)] MGNSLACFCCAGGGAGRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKVLVPPSADDLVADAKAAAAAEEATTFRAISGAALSANVSTPLSTSVLLLLPDESAASSAAAASSGFESSESFAAVPLQPVPRFPSGPICAPAGGGFLSGPIERGFLSGPLDAALMSGPLPGAATSGRLGGAVPALRRSLSHGGRRLRNFTRALLARADKFQDSMDLGSPDAAAAVAACGADSAGLQWAHGKAGEDRVHIVVSEERGWVFVGIYDGFNGPDATDFLVSHLYAAVHRELRGLLWDQCEQEEQQDRHPDQPTSTEASDHQDQPAHRRRARRSRPPRGADDDQRRWKCEWERDCSSLKPPTQRPPRSNSENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGEDMYLMNVGDSRAVLGTMDSVDLEQISEGSFDGLVGDCSPLLSAVQLTSEHSTSVREEVCRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYITCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPQGDRRRYHDDVSVIVISLEGRIWRSCV >PVH32789 pep chromosome:PHallii_v3.1:9:63605917:63607105:1 gene:PAHAL_9G487000 transcript:PVH32789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSLTTANRRLSGSGSGGGWRRAQAKVRAPCPARPWYLTRDDIERRPLPRPRKQSSGPPYLPAAPSPATFGFWLQLGLLRHLLPSPIAFSCLELDASPQSAMLDLTASMHGEVDVADFSRQAHIC >PAN45293 pep chromosome:PHallii_v3.1:9:6292321:6296471:-1 gene:PAHAL_9G108000 transcript:PAN45293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKRQRSASSSRLAAFCSPPSSPPPSSASASSPDSLSFPNADLLLRLHLDPSSPDDADAKPETDCHLGMAPGTFLDLHVSSASLLRSRYFAALLSDRWSPSTFAAAGGRLSLAVAAAPSLPRPFHAHVEVLRLLHTLDFAGAIRSPGDALDLLPVALQLLFDACVEACIRFLEAVPWSEEEEARVIDLKPLLPADEAADLLARVSPSPVAPGPSSAEGEAARSPSEAMLHGLIHSAIHGHPVHAATKAFVAMLLKDYPSRDCVRKVLDEAFQSRLDTVKELMGKYASPDFRVAVDSDEREAIQRLNLQSAALNVKHLYWLIERMVDLRVADNAVTLWSDQAALATDLQKLLSDADMWRNMTPGLPMLVTRCTLRLANSVITGETLVPRQVRMKLVSCWLPVLNVCRNIVQPMHCHKSTNCQELEEVFLQIISTLPVPEAQELLRQCLGFSTRNVDDCPHLVAAFKTWFRRAGRAPLGGDD >PAN52015 pep chromosome:PHallii_v3.1:9:73400888:73408160:-1 gene:PAHAL_9G636700 transcript:PAN52015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J033091P14, full insert sequence [Source: Projected from Oryza sativa (Os03g0109400)] MAAAVAMRGGSSDSGGFDKVPGMDSGKYVRYTPEQVEVLERLYIDCPKPSSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTSLANDTSCESNVTTPPNPIRDASNPSGLLSIAEETFTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPNAASAHQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEARSVPEVLRPLYESSRVVAQKMTTVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGIEDVVVACNSSKKIRNNSSVGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASSLKASACSLPGLRPMRFSGGQMIMPLAHTVENEEILEVVRLEGQSLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDEHFPDDAPLISSGFRVIPLDMKTDGVSSGRTLDLASSLDVGSAAPQASGDASPDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSVVSAVQRVSMAISPSQSGLNAGQRMLSGFPEAGTLARWVCQSYHYHLGMELLSQSDEAGEALLKMLWHHPDAILCCSFKEKPMFTFANKAGLDMLETSLVALQDLTLDKIFDESGRKALFSDISKLMEQGYVYLQPGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLAFCFVNWSFV >PVH31738 pep chromosome:PHallii_v3.1:9:14564185:14565076:1 gene:PAHAL_9G222800 transcript:PVH31738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPVWTSSMWEGPPSTNHHRYSVHVKIPAFTMKTGSHKNGWDTPLPGRRTSKVGNAEKRRKGSYL >PAN49136 pep chromosome:PHallii_v3.1:9:59457285:59462212:-1 gene:PAHAL_9G428700 transcript:PAN49136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAGNPANHHISPHVISSAFVQQYYNILHEQPDQVHKFYQESSILGRPESNGTMVDVTTLGNINEKIMSMDFRNCLTEIETADAQLSHRDGVLIVVTGSLTSDEGVCRRFTQSFFLAPQEAGGYFVLNDVFRFISERKPAEINQVGTQENGSGQNGMSASETCSALPEPTAEPEPTPADRSVMSDHVTAESIVTERQISNPSVNGTAVENNVNVEPPVQVVKEDPKKAPVAASPPPAPTQTDVTKKSYASIVKDMKEGPLTLPVAKTTPSVAKQKPAPKPVPKAVEGLEKPSAKPAQANETNDIIVTQNNSSRNEQGYSIFVKNLPFSANVEIVEEEFKKFGAIKPGGVQVRHNKTDRFVFGFVEYESQQSMQAAIEASPIHMEEKEVCIEAKRTNSRGGRFQSGRGVFHGDNFRGRGGGYMDNASYRGGDNFNRRNDGENYNRRNEGESYNRRNEGEIYNRRNDGENYNRRNDGDNYNRRNDGDNYNRRNDGENYNRRNDGGENFNRRNDGGENFNRRNDGGENFNRRNDGGENFNRRNNFRNQNEFSGRGRGPPPPGNGYHQNGNGIHPARPFQNGNGRFGRVNGPKQSAVAA >PVH31535 pep chromosome:PHallii_v3.1:9:10878954:10881641:-1 gene:PAHAL_9G173500 transcript:PVH31535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTKSAEVGSPRRPQTIDIHSQCSAPAIGSVSPTAQAMEMPWRPRVAVDLAAAAASTHRPVSPARNGGRLVGYEDSERRYRCCQRQRPSHLAPARFYGRSHCRSTFL >PVH31536 pep chromosome:PHallii_v3.1:9:10878955:10881641:-1 gene:PAHAL_9G173500 transcript:PVH31536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTKSAEVGSPRRPQTIDIHSQCSAPAIGSVSPTAQAMEMPWRPRVAVDLAAAAASTHRPVSPARNGGRLVGYEDSERRYRCCQRQRPSHLAPARRLETAWEKRKAKNKLKCSSKMFNICLFSFTRMLSI >PVH31534 pep chromosome:PHallii_v3.1:9:10813197:10817561:1 gene:PAHAL_9G172800 transcript:PVH31534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGATAAVPGNSRRISSSQQLLITVENRNTKTLLPPPAPFPVPGTEHRETVAAAKGYFSHYLQIPMENCSAEESDVSDSDIPEYKEKIHAQLQAGKMKVKYGEKAFRCPFCPGKKKQDYKLKELLQHASDVGSALKCKAKERASHLALAMYLERNLASSSGNSLQLAVVPKNLKNEQDKCVWPWMGILVNLPPGPTDGDRLSKQLSQFRPLEVAILGNPKDRILCAIVKFATDWSGFDDALHFETHFIQKKYGKADWNKRNCRRGYPYGYGWFARFHDYHSPGPIREHLRKNGGLRRSVTGNVNDNKKSRAV >PAN46805 pep chromosome:PHallii_v3.1:9:13945536:13958827:-1 gene:PAHAL_9G215600 transcript:PAN46805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSIPLVFRLLMRINPRALIILSLLVFSGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLTKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTSTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLVSPIEDPMAIMQKGYSVTIMLAVLTFGVSTRWLLYTEQAPSAWLNFAMCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDESGDPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFSQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGHSTGQPLLGAKVVASMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >PVH31317 pep chromosome:PHallii_v3.1:9:6476364:6476561:-1 gene:PAHAL_9G110800 transcript:PVH31317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPEGNGRLNYIVSDTTRVATQGETNNNFTNPYVSLTKNLGIQHTARRQ >PAN45174 pep chromosome:PHallii_v3.1:9:5715197:5715490:-1 gene:PAHAL_9G099100 transcript:PAN45174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYVEMLDMGVRIAARFHSHCPQTARMYYKPPQSQAASSSSGAAEDDARAGSSGGRRAAPVLRPFAAAADLGAGDRPAGRQFHDFDTAQVVVYEVI >PVH31935 pep chromosome:PHallii_v3.1:9:21425039:21428440:1 gene:PAHAL_9G266400 transcript:PVH31935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLALGQRTSENGFKIFPVLKLLILSHLLLLASSSCAQALLSTNETDQDALLALKAGLSLQSDSLASWEKGTDFCRWIGVICSHRHKHRVLALNLSSAGLVGSIGPSIGNLTHLRSLDLSYNLLNGEIPPQIGHLAHMSFLDLSNNSLQGEIPVTIGHLPWLSYLDLSNNSLRGEVAVGLRNCSHLVSIKLDLNHLTGGIPDWLGDLPMLESMSLGKNNFTGVIPPSLGNLSSLQEVYLNDNHLRGPIPEGLGTLGSLNVLGLQVNHLSGTVPQTVFNSTLVHIGMQMNELEGTLLTNLGSSLPKIKYLILAENHFKGPIHSSFNCKCNHNAVYRLVW >PAN51648 pep chromosome:PHallii_v3.1:9:71602070:71604893:-1 gene:PAHAL_9G609900 transcript:PAN51648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDQSNPCAICLGGMDAGGGQAIFTAECSHTFHFHCISASVAHGHLVCPLCNSQWRELPFVRPEQPVPPALPQQPPMPRHEPIHHVQPPPIRRRPMHGVQPPLQPAEPAVFDDDEQVEPPSGVDGQGQAAAASGGSMVVVTHTEYSAVARDSSHDNFAVLVHVKAPGITGGEGSAGDATRASLDLVTVLDVSGSMSGEKLALLKQAMGFVIDNLGPHDRLSVVSFSNGAHRVTRLLRMSDAGKGLARSAVASLVARGGTNIAEGLRTAAKVLDERRHRNPVSSVILLSDGQDNYTMTRRGQGSIPNYEALVPPSFMRTATGDWSAPIHTFGFGNDHDAAAMHVIAEAASGTFSYIENEAVIQDAFAQCIGGLLTVVVQEARVAISCGHPGVRISSIKSGRYESSIDEDGRSASIAVGELYADEERRFLLFLAVPVADGDAETTLIKVSCGYHDAAGGADVNVTAEDTVLSRPEHVVDAERSIEVERERVRVEASEDIAAARAAAERGAHQEAVEILENRQRAVEQSEAARGGDPTSAALGAELREMRMRLASPASYLRSGRAYALAGISFHAQQRANWRSVEHQSAATGGMQTLSESVNSIAGASRVSSNAAAAEGTANNANETSSFATPAMRAMLLRSRKAREASAGQ >PAN45543 pep chromosome:PHallii_v3.1:9:7529711:7536777:-1 gene:PAHAL_9G126400 transcript:PAN45543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo homolog [Source:Projected from Arabidopsis thaliana (AT5G14520) UniProtKB/Swiss-Prot;Acc:Q9LYK7] MPKHYRPAGKKKEGNAAKYITRTKAVNYLQVSLAIFRKLCILKGVFPRQPKKKVEGNHKTYYHMKDIAFIAHDPLIEKFRQIKVHRKKVKKAIAKKNRDLADRLLNRPPTYKLDKLVLERYPTFVDALRDLDDCLTMVHLFAALPAVDGERVEVKRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLSFLEFYETLLGFVNFKLYHSINVNYPPILDPRLEALAAELYALCRYMATGSGRVIGNSESGGAIKEKEDENNKASSKADESELRLAQLQHQLPANEPGALMHLVEESTAVDTDDDETKECKSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFNEVDEDITHQIVDRPTQSHVFLSREYVQPQWVFDCVNARIILPTEGYLVGRVPPPHLSPFVDNDAEGYVPEYAETIKRLQAAARNEILPLPGDEDLDNSLVAAMMDRTESNEAAEKKKKLEMLEKQYHDELKMEIDGVTFSNLSNKKADKSPDTMDKDDTKSYHEEDEKNQAEKDSADISTALMSRKKLGLYKAIEIGKERKRDKVELLKKRKKNAESSASAKRR >PAN48523 pep chromosome:PHallii_v3.1:9:55866223:55867826:1 gene:PAHAL_9G387600 transcript:PAN48523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCRACLVSVVLLLLLRRPLPVSCLHVAADAPLTATDHRQDGPAWRSFQQLLDARRGSRVAGLAELKRYLARFGYMHGAEHEPTDAFDAHMEAAVRRYQSTLSLPVTGQLDSTTLDRIMAPRCGVGDNGHGVTPVSLTAAGTGSQAAAGAVSRFTFFKGEPRWTQPDPLVLTYAISPTATVDYLPAETVRAVFRRAFARWARVIPVVFVETDDYYEANIRVGFYVGSHGDGIPFDGPLGVLGHAFSPRNGRLHLDAAERWAVDMDTETAHSAIDLESVATHEIGHVLGLGHSSSPKAVMYPSLSPRQKKAALTVDDIEGVQWLYGPNPGFSLSSLYQQDSSMATGRSNWLAGSASIVCAVLIILVTQL >PAN51170 pep chromosome:PHallii_v3.1:9:69530047:69531676:1 gene:PAHAL_9G576000 transcript:PAN51170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITASASPAMQETGSNKASSSTSPDCTTTAAPAPVRYRPSLLVIFSACLVLMGAGGPLLLRVYFVHGGKRLFLSAMLQISGWPLLLPPICVSLFRSRRHGVANLLLPPRLAGAAAILGAFYAVSCFVYGLGSEALPLSTSSLLLATQLAFTAVFAFIFAGLRFTPFSANAVVLLTIGPAVLGVGPGAGKVAGESSRAYWTGFCEAIAAAALAGLVLPLVEVAMARYGRRTGPAARVPPPYVTVMQMQAVMGAAGTVVCLLGMAIKSDFQALPSEAATFGLGKTNYYLVLIWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLSVIFLHEKFDGPKGIALVLSLWGFASYLYGEKVQKKREAQKMEQQLALAKKTGDLESAAP >PAN49007 pep chromosome:PHallii_v3.1:9:58581129:58583511:1 gene:PAHAL_9G418900 transcript:PAN49007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLKIVGVHSVVPAPGPGGHDNGGACAAAPRRRSRSPAAGVARRRRSLTVAMALREEPESSRSGFAGGGPSWDPGLEIQVPFEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGGLWMVTFTVLAAPIAAASFNPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSLNQPPSAAPSKPSLRREELLRLPVEVMQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >PAN49400 pep chromosome:PHallii_v3.1:9:60943174:60946034:1 gene:PAHAL_9G446600 transcript:PAN49400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITEYIHNGNLSDVLHRVDGHIPLDTRLRIARECAEALAYMHSYMYTQVIHGDIKPANILLDHNFSAKVSEFGISRLVNTGDTLYTDNIKGSIGYLDPLFARDGCLTVKSDVYSFGVVLLELITRKKATANLETDCLSLVALLQKQGG >PAN44366 pep chromosome:PHallii_v3.1:9:2051034:2052065:-1 gene:PAHAL_9G037300 transcript:PAN44366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDDTSSVALLRGLRSGAVDSAFVHRIDVCSAAPADLVADLEPVPGTDLAEDGYSSIWYFYCPKRYKNTQGKPSGHRQRAIAGGDTCWHSETRPKPVKGLDGATLCNLSYGRKEGTGRSFNRMGWCMTEYDDDEGGGGDGHVLCKVYRSSSSLARGKLKPSSSSTNPASSEQTAQRSSASKRKATADHPQARPNKISHAQACASSSSYACVDQDFYQHVDHQVQEPLLTDDQTMMPVGIDYESLFLAEEEQEQVLQNTLMTAEEEQLQQNNLVTAEEQQFQQNTPFTMDGLLGGPGYDEYSSCSSSTQLTMDELFSRSSGCYGAPTAMAPPDAGFFEGLAF >PAN44719 pep chromosome:PHallii_v3.1:9:3680043:3682927:1 gene:PAHAL_9G064500 transcript:PAN44719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGFDSAGRVFSNATEMWAEEIGSTVTATTSATAEAEAAPTAAAGNGGAEEEASGEGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDADVKGSDAFLRPLLAERFGTAKRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEVARENLTVCMDQGEDSHKAANFYCVPLQDFTPEEGRYDVIWIQWCIGQLPDDDFISFFNRAKVGLKPDGFFVLKENIARNGFVLDKVDNSVTRSDPYFRELFKKCGLYILSVKDQKELPEELFAVKMYALVTSQPKIQNSGKRRRPKNSPRMIRS >PAN44684 pep chromosome:PHallii_v3.1:9:3508926:3514288:1 gene:PAHAL_9G061600 transcript:PAN44684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATASKVAVSAPVFGSDRGVGSSGIKGNNSVSFSNKSWVGGTLAWESKAVRPKHANKVLCMSVQQASKSKVSVTPLDLESAKEPPLNTYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSFGVIPPGENPKKPGAPHNVRLYSIASTRYGDNFDGRTGSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNAKPGDKIQLTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVLNYRFGGLAWLFLGVANTDSLLYDDEFTNYLKQYPDNFRYDKALSREQKNRSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >PAN45656 pep chromosome:PHallii_v3.1:9:8025886:8028406:1 gene:PAHAL_9G133000 transcript:PAN45656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQCQSLPGFGCSGIALARAGVQQCLAAASHAPLLPPLLRANGGRTGRSPASVKAGNAIGFGRRGRRDLRVVAEAAAAKVTPVSPGGVSISDVLWPSAGAFLAMAALGKLDQVVAYKGVSLTIAPLGAVCCVLFSAPDSPAAKKYNMFVAQIGCAALGVLALSLFGPGWLARGAALSACIAFMTITGATHPPAASLPLLFIDGPKFHNLQFWYALFPGAAGCVVLCLIQEVVVYLKKNFKF >PAN45270 pep chromosome:PHallii_v3.1:9:6205064:6207699:1 gene:PAHAL_9G106400 transcript:PAN45270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQGEVEVDQALAAALMPLQQPAAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHAAAAAFAKAEAHQVPSAPYYGAPAKAPKQEVVDTGATAFHQERPAKRSYDAMVAEVAKVPAPATTRPASQNQDHIMAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEDEARRRPVEAAVLVKKSQLSADDDDGSSCDENFVGTEAAGTLPEIEARVSDRTVLIRIHCENRKGVLIAALSEVERLGLTIMNTNVLPFTTSTLDITVMAMAGDDFCLSVKDIVKKLNQAFKSSF >PAN50220 pep chromosome:PHallii_v3.1:9:65008002:65008902:-1 gene:PAHAL_9G506000 transcript:PAN50220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQDGDSKKKTKPHGNPYPRRGAVKKQIIKDWIDGGGGGGGGGGGDGSGGGSSDDGNGGGSAAAGSYGAD >PAN46868 pep chromosome:PHallii_v3.1:9:14412241:14416347:-1 gene:PAHAL_9G220900 transcript:PAN46868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPERNVTCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVKTSSGEKPVRELVADDAWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTYVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKMDATAQELSEEKALAYSCLE >PAN48208 pep chromosome:PHallii_v3.1:9:53615470:53621181:-1 gene:PAHAL_9G367800 transcript:PAN48208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 2 (GT2) protein, Root hair morphogenesi [Source: Projected from Oryza sativa (Os10g0578200)] MASKGILKNSGSSRMPAQGAGKPPTAPTSAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMEDEDGGGGGARAEERYVSGSLFTGGFNSVTRAHVMDKQDGAGAGRRGGAKGASACMVEGCDARAMRDARGDDVLPCECDFRICVDCFTDAVKAGGAACPGCKEPYKNSEWEDLAGAPEVTRALSLPRGPAGANGHKMERRLSLVKQTNVNQSGDFDHNRWLFETKGTYGYGNAIWPQDGVDDDADGGAPAGPGHPKELLTKPWRPLTRKLRIPAAVISPYRLLVLIRLVALAFFLMWRIKHQNQDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFEMPTPNNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANFWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKPDFVKDRRRIKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEPFEPVKIPKATWMADGTHWPGTWLQPSQDHARGDHAGIIQVMLKPPSDMPMYGNINEKSPLDFAGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCSCCLPRRRKASASNANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREMLDASIVAEAISVISCWYEEKTEWGIRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQVGDDVDDEFAELYIVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVSITISLLWIAIKPPSQAANSQFGGSFSFP >PAN47864 pep chromosome:PHallii_v3.1:9:19054203:19066573:1 gene:PAHAL_9G258600 transcript:PAN47864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYQMNGILNGMPNLRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFIEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALNEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPESCHSIPEEIYKDACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAAPETVKELEQAARRLAKCVQYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLYNIPEIRRFYGMDHGGGYHDWRKISAVATKFDLDKAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLINLTVTLNIEGSKYTIETVRGGPRSYKLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQANDLIATLDLDDPSSVRRAEPFHGSFPKLGPPTAISGKVHQKFAANVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNPDFRKSKDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFNDNLQSDVIEGLRLQHAKDLEKVVHIVFSHQGVRNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTSYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPLAVEDALVSLFDHSDPTLQRRVVETYIRRLYQPYLVSGSIRMQWHRAGLIALWEFSEEHLKQRNGQDVLLRQVENPIEKRWGVMVVIKSLQFLATAIDAALKETSQYRAGVGSVSNGTHVSPNQSNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDNTITSHLNGAGVKVVSCIIQRDEGRPPMRHSFQWSVDKLYYEEYPMLRHVEPPLSIFLELDKVNLEGYTEVKYTPSRDRQWHIYTLIKNKKDQRLNNQRMFLRTIVRQPSATNGFLSGSIDNEVGRTQASSSFTSNSILRSLMGALEEIELHAHSETVRSGHAHMYLCLLREQQLHELIPFSRMVDEVDHDEATACTLLKHMALNLYEHVGVRMHRLSVCQWEVKLWLVCDGQASGAWRVVVTNVTGHTCTVDIYREVEDPSTHQLIYHSATAMAGPLHGIALNEPYKPLDAIDLKRYAARKNETTYCYDFPLAFETALKRSWKSSNYGVTEANEHNQLYAEVKELIFADSDGAWGTPLISVERPPGINDIGIVAWNMKLSTPEFPSGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLAATAGARLGVAEEVKACFHVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLENGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHQTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPERPVTYFPENSCDARVAICGIQDGEGKWLGGMFDRESFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTVMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPAFVYIPMGGELRGGAWVVVDSKINPDHIEMYAERTAKGNVLEAEGLVEIKFRPKELEDCMLRLDPELISLNARLKEMKKQNASNSEMETIRRSMTIRMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRAFFYGRLRRRVAEDALAKEVKEAAGEQLSHKSALESIKKWYLASKGSEGDSDKWNEDESFFAWKDDPKNYENYLEELKAERVSKWFSHLAESSDVKALPNGISLLLDKMNPSKREQVIDGLRQLLG >PVH31071 pep chromosome:PHallii_v3.1:9:2864059:2866032:1 gene:PAHAL_9G051000 transcript:PVH31071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAIKPASTSHSTFSPAVYQSSSSLSRRAAAAKMSSGRRKAPAVVRAVAAAAPPAPAPARPAGKRCLSVSQTMSRLKAQGKTAFIPYITAGDPDLATTAEALRLLDACGVDVIELGVPCSDPYADGPASTARALASGTTPDGVLAMLKEVTPELSCPVVLFSYFKPVVRWGLADFAAAAKEAGVHGLIVPDLPYAATCALRSEAMKNNLELVLLTAPATPEERMKEITRASEGFIYLVSVNGVTGPRANVSTRVESLIQEVKQVTDKPVAVGFGISKPEHVKQIAEWGADGVIIGSAMVRQLGEGVSPQEGLKRLEDYARSMKNGIAMKTSIGPQADSK >PAN47409 pep chromosome:PHallii_v3.1:9:23451371:23456446:-1 gene:PAHAL_9G272700 transcript:PAN47409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPGSKESQNYDNNNQKVHPQPIDENMNQNGDSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQEADKLVIEELTKLSELKHAYREKNPKPVAASPQDSCLLSEIQEQQNLLKTYEVMVKKFQSQTQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAVDNAYQSMHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYAFESYICQKMFSGFQEESFSIKDSNISVSNEAFFHQFLAVRAMDPLDVLSQNPDSIFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSELSDIHMDSVVKNIILDEGAERPKVGLMVMPGFLIGNSIILSRVYLSGVKCAD >PVH33181 pep chromosome:PHallii_v3.1:9:70281767:70282252:1 gene:PAHAL_9G587800 transcript:PVH33181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATVIPIRKRRCTRMPIKWHFAACVQRGTTLLIGIHRPAGREIISPRRAEQTGAGLAGVQSGGYGWMMYPVLASNKAPGQGRGECGCGCGMIDRSIDRCPLPARCGCQCKARSLPFPFHLAACVPALESEWIYINMYICLAYLVI >PVH31082 pep chromosome:PHallii_v3.1:9:3005715:3006836:-1 gene:PAHAL_9G053300 transcript:PVH31082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDFSLCFWNRLVMFRSLFCNVDPVSMSTNAFILNFYAEQYLAPFKHDELSKIP >PVH31083 pep chromosome:PHallii_v3.1:9:3005715:3006837:-1 gene:PAHAL_9G053300 transcript:PVH31083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDFSLCFWNRLVMFRSLFCNVDPGFNAATTKGKG >PVH31764 pep chromosome:PHallii_v3.1:9:14816725:14817931:1 gene:PAHAL_9G226000 transcript:PVH31764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDSPKTTRYGSSNINSTPYHMNSSNFFIQINRSHLLVVQCTRLPVYRY >PVH32487 pep chromosome:PHallii_v3.1:9:57813211:57813468:1 gene:PAHAL_9G410600 transcript:PVH32487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLLPLVFSILLINFCTLSSHKQAVRADELRHGVVKEGTTTTTTEGTSIDNHHAIPRPEYDSWSSPGNMPGDGHQIGSQEAKP >PAN44006 pep chromosome:PHallii_v3.1:9:658403:660790:-1 gene:PAHAL_9G011100 transcript:PAN44006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAAEAAGRQAASFVLGCVAALTLVLLLQRRPEELTRPRAPVQFFGSRSLSSPSGRGGGGTSPSSPPSAPAGPAAIVAAADGQLQTAVPVQANATKLMKPAAAGATTTAATADDLGRVPATPAHRQQEGEADDAEFPGLAAVVARAATPDDRTVIITCVNHAWAAPGSLLDLFLESFRVGDGIADLLDHVLIVAMDPMAMARCRALHPHCYLYTMPGIDFASAKFFLSKEYLELVWSKLKLQRRVLQLGYNFLFTDVDILWFRNPFKHVTAYADMSISSDVFFGDPDNMDNFPNTGFFHVRPNKRTIAMTRAWHEARERYPGRNEQPVFNAIKKGLVRDLRLRLQYMDPAFMGGFCSYGKDLRRICTMHANCCVGLGNKLRDLRTLLADWRNYTAMPHWAKQHAKWTVPGACIH >PAN45528 pep chromosome:PHallii_v3.1:9:7461536:7466156:-1 gene:PAHAL_9G125600 transcript:PAN45528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVRSIESLAAGDGQHNLFRTLGPTLLISMAYIDLGKWLVAVDAGSRFGYDLVLMVLLFNFSAILCQYLSTCIGMVTGKNLAEICRQEYSQVICVVLGLQAWVSLLTSELTMIAGVAVGFILVFEHDDLITAICIASVVVNLLPYTLSRLDTRVAGMLNACVAGFTLLCFVLGLLISHPQTPVNMDVMFPKLSGESAYSLMALLGANIIAHSFYTQSSFVQVQRRSSVLTLGSLFHDHLFSILFIFTGIFLVNYILLSSAADESSATMVMNFQDAMELMHQIFTNPAAPIVLLVILLFSSHIISLTCIVSSDVISENFFGIKLPLSAHHLLPKGFAMILTIYCAKVAGPGGIYQSLIMCPVIQAMLLPSSIIPIFRVSSSRLLMGRYRVSLYVEILAFLAFLLALFTNIIFAAEILFGDSTWTNNLKGDAGSPVILPYSVIVLISCASIAFTLFLAVTPLKSASNES >PAN45527 pep chromosome:PHallii_v3.1:9:7461536:7467034:-1 gene:PAHAL_9G125600 transcript:PAN45527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVRSIESLAAGDGQHNLFRTLGPTLLISMAYIDLGKWLVAVDAGSRFGYDLVLMVLLFNFSAILCQYLSTCIGMVTGKNLAEICRQEYSQVICVVLGLQAWVSLLTSELTMIAGVAVGFILVFEHDDLITAICIASVVVNLLPYTLSRLDTRVAGMLNACVAGFTLLCFVLGLLISHPQTPVNMDVMFPKLSGESAYSLMALLGANIIAHSFYTQSSFVQVQRRSSVLTLGSLFHDHLFSILFIFTGIFLVNYILLSSAADESSATMVMNFQDAMELMHQIFTNPAAPIVLLVILLFSSHIISLTCIVSSDVISENFFGIKLPLSAHHLLPKGFAMILTIYCAKVAGPGGIYQSLIMCPVIQAMLLPSSIIPIFRVSSSRLLMGRYRVSLYVEILAFLAFLLALFTNIIFAAEILFGDSTWTNNLKGDAGSPVILPYSVIVLISCASIAFTLFLAVTPLKSASNES >PVH32527 pep chromosome:PHallii_v3.1:9:58657731:58659749:1 gene:PAHAL_9G420300 transcript:PVH32527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWTGAIGAVKKRQDEQAAAAEPAYQSVALVVGSTGIVGTSLLDILPLADTPGGPWKVYALSRRPLPPWSPPQSPAVANLHLDLADAAAVAGALAPLTDITHVFYVAWTARGTEAENREANAAMLRNVLSVVVPNCPALVHVCLQTGRKHYVGPFEALGRVEFPDPPFTEDMPRLGCPNFYYDMEDVLFEEVSRRGGAVSWSVHRPTTVFGYSPRSAMNVVGSLCVYAAICRKEGAKLRWPGSRVAWEGFSDASDADLIAEHEIWAAVDPFAKNETFNCSNGDLYKWKNLWPILADHFGVEWAGYEGEDSRFKLEDAMAGKEAVWAEIIRENELTSTELDEITNWWFVDAVLGVEFEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKLKVFKIVP >PVH30868 pep chromosome:PHallii_v3.1:9:55347:58629:-1 gene:PAHAL_9G000900 transcript:PVH30868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGMGEEEEEDRADFLQWLGPDTSAIVFTLLHHPADLARASAVSRSWRTFVIANQFSKIQCLRVCPEVSNFTSIEASSSGSDVKEDVGSSAAAGWENQKRDHRVYMHLSHSLLSHYNSRNCIIRCIGASSTDNFPEETIENTLEPIDHVEMSLSYWSSGGQRDPSFPECLIYMLHSDLCLIDEIKIQPFQAFFQYGSPIYSAQYVRFRMGYPKLPLCPEQLVSDENEGQLTADDNYTWTYASPEFPMLQENVAQSFKLPHAVVCIGGVVKIELLGRIQKQAIDGLYYVCVSHVQILGNPLSQELSVAPRENGVVLKYHPEPRRSIARCSDLGGEDGSSPSNWHNFATRIWQSGTGRGIGWNQALLSRLLFGPPLQLLEEDDDGDIS >PAN49670 pep chromosome:PHallii_v3.1:9:62071369:62077533:1 gene:PAHAL_9G465200 transcript:PAN49670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAAGDPPDSAGGGGGEGGGGELAPREEGRGRRRWWRCAAAVLLGAAVVLSALFWLPPFAARRRRGEEARADPWGGANVVASFRLQRMISELSGNKSKLEYDIFEEIGINNSTVSVLSLDPTGESNWTTVTFGVWPYPSNFTLSPTELSILRSSLVSLVIQQSILYLTPSLFGNSSSVEVLRFPGGITIIPPQSAFVPQKPDGLFNFSLNFPIVVVQDKLSELKAQMKSGLFLNEHEILYVTLTNLYGSTVAPPTIVQASVLLAVGADNKPPSLQRLKQLAQTLRNSSSGNLGLNHTVFGRVKQISLSSYLQHSLNNSGNAHSPSPAPQPYNQPVHDHQHHHHHHHHHHHHHHHIHHHHHHHHDNSHQSLQHLPPAPAPLHSAPTFLTCGSSCTRKKEHSTAKHHSSPIRGPLLRHIEPAASPDSSYEASGPYVDPPSLHPRISSSPFPAVVFPAMSPSMRTLKPPNKFSSISSAAFISFALRLSSHWWVIASLLYWSLM >PAN49669 pep chromosome:PHallii_v3.1:9:62071369:62077533:1 gene:PAHAL_9G465200 transcript:PAN49669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISELSGNKSKLEYDIFEEIGINNSTVSVLSLDPTGESNWTTVTFGVWPYPSNFTLSPTELSILRSSLVSLVIQQSILYLTPSLFGNSSSVEVLRFPGGITIIPPQSAFVPQKPDGLFNFSLNFPIVVVQDKLSELKAQMKSGLFLNEHEILYVTLTNLYGSTVAPPTIVQASVLLAVGADNKPPSLQRLKQLAQTLRNSSSGNLGLNHTVFGRVKQISLSSYLQHSLNNSGNAHSPSPAPQPYNQPVHDHQHHHHHHHHHHHHHHHIHHHHHHHHDNSHQSLQHLPPAPAPLHSAPTFLTCGSSCTRKKEHSTAKHHSSPIRGPLLRHIEPAASPDSSYEASGPYVDPPSLHPRISSSPFPAVVFPAMSPSMRTLKPPNKFSSISSAAFISFALRLSSHWWVIASLLYWSLM >PAN44264 pep chromosome:PHallii_v3.1:9:1675655:1681227:-1 gene:PAHAL_9G030000 transcript:PAN44264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAINSPRSASAHSPPPSSLLPPQSLTHSTTPPPSLSVDSCLYLSAHRFAARALPPRNPSPTPGGGGGASGGWHRQAGWRSARLIRLADWAPRPCCCSSVRRRGAGSSGMGNCWGARIKDGSPQPGASGMFSKSGGKDGSRLSGCSSRASSASMPPNAKTECEILQSANVKIFSFNNLKAATRNFRPDSVLGEGGFGSVYKGWVDENTLAPCRPGTGIAVAVKRLNQEGLQGHREWLAEVNYLGQFCHPNLVKLIGYCVEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDARLEGQYSLSGAQTIATLALECLSYEAKMRPSMDAVVTILEELQDSSEAEKHQEPKTGTKQTPAAVSASKSNRKPRRKSLGGTKETVGPNPKSLAHSR >PAN49087 pep chromosome:PHallii_v3.1:9:59135349:59141744:-1 gene:PAHAL_9G425100 transcript:PAN49087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPMSADVLSFLPSSSASAPAGAAAPTPVVAAAWGAARARSVRGKSVLRMARGGAGLVAVVGRRPRRLPLSVRCDATSRDGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSYVSVEHLVLGFAEDKRFGKQLFKDFQITVKTLKSAIESIRGKQNVIDQDPEGKYEALEKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRQLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRSVLKLEMERLSLTNDTDKASKDRLSRLEAELSLLKDKQRKLTEQWEHEKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTENELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDDEGGSSDSGYENIKKRVMDAARSVFRPEFMNRVDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFRKVGEESKSAAEGEKFLPAI >PAN46159 pep chromosome:PHallii_v3.1:9:10360935:10364830:1 gene:PAHAL_9G166100 transcript:PAN46159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCLRGRAAAAAAAAGVPPTPWRRAASASYHHTIQAVPRETSGPRAAARERRHGRVPAVLLALAGAAPGNGIAHRKLLTADRRQVVEMLKQSPYFLSTPVRLQVRAGERSNAVVHSGTVLPIKVHRDETTGNILNLVMVQADEGTMLKVNLPVEFKGEDACPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLTNLDVGDRVLMHDIHVHSSLKLLSKNETMPVCKILASKPVESETMQDLKS >PAN51489 pep chromosome:PHallii_v3.1:9:70876186:70882055:1 gene:PAHAL_9G598100 transcript:PAN51489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MEVFLLLLVVFSLSFAVYPIQSTTGSHTDVHIVYLGHNNGLSPSLTSRSHLQLLSRVFTKPDEARQAILYSYSCGFSGFAALLNSTQACTLSETEGVISVFRSRTLELHTTRSWDFMGLNLHMQMEQSSQMHFKFGDDIIVGVLDTGVWPESESFRDDPHYGPIPSSWRGTCVKGDSFDPATACNRKLIGARYYLAGIESELGPLNTSDGAEYRSPRDRVGHGTHTASTAVGSMVPNASYFGLGRGAARGGAPMARLAVYKVCWYKDLTGRCSDADILAAFDDALCDGVHVVSASLGSSPPLMPLFATSTEIGAFHAMQRGVVTVFSAGNDGPDASMVQNVSPWGLTVAASTIDRRFPTVITLGNNASIVGESFLVKDMKKRLVESSSVFTDGTCAFEQLINRTAASGKIVLCFATMGMVSSEGAALAVYAGNGDGVIFADTISRKASQDNFWPTVHVDLHQGTQILYYIRASRKATVHISPSKTVVGKTPAPTVAHFSSRGPSSITPNILKPDVTAPGVNILAAWPPKSSPTVLPLDKRSTNWNFDSGTSMSCPHVSGIAALIKSVHPTWSPAAVKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGARDHVLFLCSLGYTAVQIRQMVLPGSSLDTSCPGGGAPHAADLNYPAIVLPDLSAPVIVKRTVTNVGANRGAVYRGAVVSPQGARAEVWPPELAFSPYHGDKASYYVSVTPAKPSRGRFDFGEIVWSDGFHRVRTPLVVRVTNLPDDGVRAAATGDHGSHGATDYLQAAA >PAN50576 pep chromosome:PHallii_v3.1:9:66529974:66532883:-1 gene:PAHAL_9G529400 transcript:PAN50576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDKGTKSTVGEIPLLTTRAGPRDGEAWRQRLKEEYRALIAYTSVNKAKDNDWFRIAAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNSPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADASASAAPSS >PAN43970 pep chromosome:PHallii_v3.1:9:507958:513063:-1 gene:PAHAL_9G007700 transcript:PAN43970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKTTPVKPAPPSTQRNGSATPSSKGRPKQASGEASAASAKARSAPKPRKITKEKLAAAKRKRDKPEPQEGGKRKKQQASGDVATPAKKRKKGDRPESKPQKEPKPAKKKQQPGKPEKPATPGKRHQSSGKQEKATTPSKKQPSSGKPEKAATPVKKQQSPGKPEKPVTPAKKQQSTGKAEKPAPTPKKQQQSPGKARKSAAPATTPTKKKQAKSEKPTPTKRKRGDDEPQNEARSPKRASADGDAPASTPVKKKRKDQKATAADMGSCSFPMARVRQLMRAEDATIRASNEAVFLINKASEFFLGKFAEDAYRNALKDRKKSIIYDNLSVCSQKGFKFLSDFVPQRVTAEDALKTTAGNKSQADARLLVLC >PAN43971 pep chromosome:PHallii_v3.1:9:507958:513062:-1 gene:PAHAL_9G007700 transcript:PAN43971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKTTPVKPAPPSTQRNGSATPSSKGRPKQASGEASAASAKARSAPKPRKITKEKLAAAKRKRDKPEPQEGGKRKKQQASGDVATPAKKRKKGDRPESKPQKEPKPAKKKQQPGKPEKPATPGKRHQSSGKQEKATTPSKKQPSSGKPEKAATPVKKQQSPGKPEKPVTPAKKQQSTGKAEKPAPTPKKQQQSPGKARKSAAPATTPTKKKQAKSEKPTPTKRKRGDDEPQNEARSPKRASADGDAPASTPVKKKRKDQKATAADMGSCSFPMARVRQLMRAEDATIRASNEAVFLINKASEFFLGKFAEDAYRNALKDRKKSIIYDNLSTAVCSQKGFKFLSDFVPQRVTAEDALKTTAGNKSQADARLLVLC >PAN45878 pep chromosome:PHallii_v3.1:9:9132868:9137054:1 gene:PAHAL_9G148700 transcript:PAN45878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MKFGATYEEYLRLEQDKYLGQCSRVEYKRLKKVLKKCRVGRSLQVDGANGDEKHQGSDEYSDVCECNSCTLCDEMFFTELNKEASEIAGCFRSRVQRLLHLHVPSGLQRYIWRFRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFRSKMQTEHTELLQSPWLIELGAFHLNCDDSDTDELGAGGFFKNGFFKNFSCDLTGAQPLLTMTISETLKYEYSLTCSICLDTLFNPYALSCGHLFCKACACGAASVYIFQGVKSAPLDAKCPVCRAVGVFGHAVHMTELELLLKRRDKDYWRQRLREERTAMVKQSKEYWDSQAILSMGI >PAN45749 pep chromosome:PHallii_v3.1:9:9132165:9135892:1 gene:PAHAL_9G148700 transcript:PAN45749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MLRQRQRRRPPDRRQPERFPFPSVGALSEHRASKRVARPERLRPAAAVSSPPRAGDPVFPPCCRAPVAHADTMKFGATYEEYLRLEQDKYLGQCSRVEYKRLKKVLKKCRVGRSLQVDGANGDEKHQGSDEYSDVCECNSCTLCDEMFFTELNKEASEIAGCFRSRVQRLLHLHVPSGLQRYIWRFRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFRSKMQTEHTELLQSPWLIELGAFHLNCDDSDTDELGAGGFFKNGFFKNFSCDLTGAQPLLTMTISETLKYEYSLTCSICL >PAN45879 pep chromosome:PHallii_v3.1:9:9132165:9137054:1 gene:PAHAL_9G148700 transcript:PAN45879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MLRQRQRRRPPDRRQPERFPFPSVGALSEHRASKRVARPERLRPAAAVSSPPRAGDPVFPPCCRAPVAHADTMKFGATYEEYLRLEQDKYLGQCSRVEYKRLKKVLKKCRVGRSLQVDGANGDEKHQGSDEYSDVCECNSCTLCDEMFFTELNKEASEIAGCFRSRVQRLLHLHVPSGLQRYIWRFRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFRSKMQTEHTELLQSPWLIELGAFHLNCDDSDTDELGAGGFFKNGFFKNFSCDLTGAQPLLTMTISETLKYEYSLTCSICLDTLFNPYALSCGHLFCKACACGAASVYIFQGVKSAPLDAKCPVCRAVGVFGHAVHMTELELLLKRRDKDYWRQRLREERTAMVKQSKEYWDSQAILSMGI >PAN45966 pep chromosome:PHallii_v3.1:9:9525822:9526524:1 gene:PAHAL_9G154500 transcript:PAN45966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSVSATSALLVRLFSPECEPQNIAAYDKPELKPE >PVH32827 pep chromosome:PHallii_v3.1:9:64370799:64371840:-1 gene:PAHAL_9G496900 transcript:PVH32827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTNSWKLEIASPVAAPRLFRPAVMDWHTLAPKLASHVVASAHPVDGDGNVGSVRQFSFTSGMPFGFMKERLEFLDADKCECKSTLVEGGGIGVAIETATSHIKVEPAAGGGSVVKVDSTYKLLPGVDVKDEIVKAKESVTAIFKAAEAYLVANPDAYN >PVH32828 pep chromosome:PHallii_v3.1:9:64371148:64371757:-1 gene:PAHAL_9G496900 transcript:PVH32828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTNSWKLEIASPVAAPRLFRPAVMDWHTLAPKLASHVVASAHPVDGDGNVGSVRQFSFTSGCSHNTSDDTGVSCVPMLPAGMPFGFMKERLEFLDADKCECKSTLVEGGGIGVAIETATSHIKVEPAAGGGSVVKVDSTYKLLPGVDVKDEIVKAKESVTAIFKAAEAYLVANPDAYN >PAN45978 pep chromosome:PHallii_v3.1:9:9560202:9560393:1 gene:PAHAL_9G155400 transcript:PAN45978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLVRVADRDGRVLCDTGCSAPMSFLLLFPRDICWYFYHPFLFARSMNWVGLTLCLLLSQD >PVH31467 pep chromosome:PHallii_v3.1:9:9401273:9401491:-1 gene:PAHAL_9G152600 transcript:PVH31467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLPLAASTNSELNLKAIFRPRRFWDASNNQRMASALPCLDPISIGTFRVDPFLLRLVFTPILGVTLRIA >PAN46891 pep chromosome:PHallii_v3.1:9:14546800:14548817:-1 gene:PAHAL_9G222400 transcript:PAN46891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKFSLEVAIMEVTSAPLGVCTRARSLALQRMKKKRTQWEGKEGAYGDYLELRSRRLKKLPLPVPIRKRCRGRKAAAMTAAAQEEAEGSFGENILELEGMDRSTRETTPCSLVILETISTRGSTTRPSHSSHRRVQVPVRTISISWKELFADTERQQQQAFIDKYNFDPVNDCPLPGRYEWVMLD >PAN50811 pep chromosome:PHallii_v3.1:9:67784809:67789242:1 gene:PAHAL_9G548300 transcript:PAN50811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLATGAADQAPAPAALGALRRRLPRVVTNSGLIDDSPASPSTPSPAPRPRTIVVANQLPIRSHRPASPEEPWTFDWDEDSLLRHLHHTSPPSMEFIYIGCLRDDIPQADQDAVAQALLDTHNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLGLPETEAKVAELMEKYMGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVAEVQAETYGMMRRINEVYGEPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNETLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPESEKRLRHDKHFRYVSTHDVGYWANSFLQDLERTCKDHDKRRCWGIGFGLRFRVVSLDLSFKKLSLESILMAYRRAKTRAILLDYDGTLMPQAINKSPSAESVRILNSLCRDKNNEVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRSKRDAEWQTCIAAADCSWKQIAEPVMCLYRETTDGSTIEDRETILVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKTTSHSVEVKPQGVSKGLVARRMLASMQERGQCPDFVLCIGDDKSDEDMFQLIATAACGDSLASKAEVFACTVGRKPSKAKYYLDDAAEVVRLMQGLSYVSEELALANHRDEDDDSSPDVWE >PVH31757 pep chromosome:PHallii_v3.1:9:14771803:14783852:1 gene:PAHAL_9G225300 transcript:PVH31757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTYLQTWLIDKGFTYSNAYNKGKALVFSKVPLPDYRADLDERHGSTQKEIKMSNQIERRVEDLLSRSKLSTNDSASSSNVSMKALPSASSSVERPADIDKEKLSSQLRDLQNSRKMTPSARSMQSFREKLPAFNMREGFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGETVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPIMHIPGFTFPVTEFFLEDVLEKTRYKIKSERDSSAGSSRKKRLSSVKSDPLSDLFEDIDIDKEYGNYSITTRQSLEAWSAAELDLSLVENTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNTFLGSSNRFLVLPLHGSMPTVNQREIFDRPPTNMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASTHQRRGRAGRVQPGFCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVKNAIELLKTIGALDDMEELTSLGRHLCTLPLDPNLGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKRSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKGMRINSCDNIIPAVG >PVH31758 pep chromosome:PHallii_v3.1:9:14771803:14783852:1 gene:PAHAL_9G225300 transcript:PVH31758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRQGLYFNAYNKGKALVFSKVPLPDYRADLDERHGSTQKEIKMSNQIERRVEDLLSRSKLSTNDSASSSNVSMKALPSASSSVERPADIDKEKLSSQLRDLQNSRKMTPSARSMQSFREKLPAFNMREGFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGETVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPIMHIPGFTFPVTEFFLEDVLEKTRYKIKSERDSSAGSSRKKRLSSVKSDPLSDLFEDIDIDKEYGNYSITTRQSLEAWSAAELDLSLVENTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNTFLGSSNRFLVLPLHGSMPTVNQREIFDRPPTNMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASTHQRRGRAGRVQPGFCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVKNAIELLKTIGALDDMEELTSLGRHLCTLPLDPNLGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKRSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKGMRINSCDNIIPAVG >PVH31760 pep chromosome:PHallii_v3.1:9:14771803:14783884:1 gene:PAHAL_9G225300 transcript:PVH31760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTYLQTWLIDKGFTYSNAYNKGKALVFSKVPLPDYRADLDERHGSTQKEIKMSNQIERRVEDLLSRSKLSTNDSASSSNVSMKALPSASSSVERPADIDKEKLSSQLRDLQNSRKMTPSARSMQSFREKLPAFNMREGFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGETVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPIMHIPGFTFPVTEFFLEDVLEKTRYKIKSERDSSAGSSRKKRLSSVKSDPLSDLFEDIDIDKEYGNYSITTRQSLEAWSAAELDLSLVENTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNTFLGSSNRFLVLPLHGSMPTVNQREIFDRPPTNMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASTHQRRGRAGRVQPGFCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVKNAIELLKTIGALDDMEELTSLGRHLCTLPLDPNLGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKRSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKAYNQYGSDLEVVRAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPNKTGEGIEMLGGYLHFSAQKRTIELIQRLRGELDKLLQRRIEEPGLDIFSEGKGVVAAAVELLHSQNVYH >PVH31759 pep chromosome:PHallii_v3.1:9:14771803:14783884:1 gene:PAHAL_9G225300 transcript:PVH31759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRQGLYFNAYNKGKALVFSKVPLPDYRADLDERHGSTQKEIKMSNQIERRVEDLLSRSKLSTNDSASSSNVSMKALPSASSSVERPADIDKEKLSSQLRDLQNSRKMTPSARSMQSFREKLPAFNMREGFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGETVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPIMHIPGFTFPVTEFFLEDVLEKTRYKIKSERDSSAGSSRKKRLSSVKSDPLSDLFEDIDIDKEYGNYSITTRQSLEAWSAAELDLSLVENTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNTFLGSSNRFLVLPLHGSMPTVNQREIFDRPPTNMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASTHQRRGRAGRVQPGFCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVKNAIELLKTIGALDDMEELTSLGRHLCTLPLDPNLGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKRSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKAYNQYGSDLEVVRAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPNKTGEGIEMLGGYLHFSAQKRTIELIQRLRGELDKLLQRRIEEPGLDIFSEGKGVVAAAVELLHSQNVYH >PVH31054 pep chromosome:PHallii_v3.1:9:2626686:2627356:-1 gene:PAHAL_9G046900 transcript:PVH31054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFKDSSSNQELAGSFILDHAGDGEEPGCGQRRSAIATSTGCSCNELMCIFIFVHGYPVRGLSVRLLHQ >PAN47364 pep chromosome:PHallii_v3.1:9:17720481:17722830:-1 gene:PAHAL_9G252700 transcript:PAN47364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPGRPRRSPPAERFLGLFSTPSPSLPSSSPSAGDELLEGDLLFPAPAPSSDPPPDSSKNPGRVPSGHLGLLAALQEGERKVPGRGGAAAAAVTVSTVTSGTAGTLLRRKATIAAAAAAASASSATPSRSPPSASRAIPVAPRARDPELPRAAPYHQSAPVQVPVPPPWSRGRKWDELNGGSGDGVDEEEELFRGDAAMLPPHEMVARASAGGGYGVPGKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >PVH33350 pep chromosome:PHallii_v3.1:9:73175671:73176613:1 gene:PAHAL_9G633400 transcript:PVH33350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPIRNSLGRNPLSDVLHWNPGVVLNGQGPGRHPLRGASYRDPGTMISEQGSGRRSLCGALHLRPGVVKNEQGSSHFSRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDVAIRRRVNILCVQETKWKGQKAKEVEGSGFKLWYTGTTSGRNGVGILIDKSLKDGVVDVRRQGDRIILMRLVIGDLVLNVISAYAPQVGLSERPKSQFWEDLDSMVSTMPINETLFLGGDLNGHVGATNVGYERVHGGFGYGERIDVPA >PVH32235 pep chromosome:PHallii_v3.1:9:50233673:50234533:-1 gene:PAHAL_9G350800 transcript:PVH32235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEGLGVMERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKTLRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHQAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTRSGTPVPTIGEDHVLLGMPIIGWGPLFGNTQAPPENPESSAAAIEANAAAQPLTNGNPEDGEQGSLTLPAPEEGLPRE >PAN51723 pep chromosome:PHallii_v3.1:9:71909191:71913849:1 gene:PAHAL_9G615500 transcript:PAN51723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAAALALRRLIHQQSGSCCVRSQLQDRPRHYFNPHLDYSNHAARARALLNSSPTPCRPRWSSPPSAASLLFSSLSGMEESEAEEVLDLEAGTVRCAANYAPLSPISFIERAAAVYGARAAVVYGERRHTWAEARDRCARVAAALATRFGVARRDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSALLKHSGAKVFLVESHLLDVGRAALKRLADSSAAALPVLLTISDDAESDDYEDLVRNAPARFDIRWPADELDPISLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPAADRKPLPGPVRIMTGGAPPPPRVLLAVEELGFVVYQIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQGVDVKNPDTMESVAYDGQTVGEVMFRGNTVMSGYYKDLNGTKESMAGGWLHTGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVELKDGASATEAEIISFCRERLPHYMAPKTVVFEDLPKTSTGKTQKFVLRDKARAMGSLTKTANSKL >PVH33277 pep chromosome:PHallii_v3.1:9:71909018:71913875:1 gene:PAHAL_9G615500 transcript:PVH33277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAAALALRRLIHQQSGSCCVRSQLQDRPRHYFNPHLDYSNHAARARALLNSSPTPCRPRWSSPPSAASLLFSSLSGMEESEAEEVLDLEAGTVRCAANYAPLSPISFIERAAAVYGARAAVVYGERRHTWAEARDRCARVAAALATRFGVARRDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSALLKHSGAKVFLVESHLLDVGRAALKRLADSSAAALPVLLTISDDAESDDYEDLVRNAPARFDIRWPADELDPISLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPAADRKPLPGPVRIMTGGAPPPPRVLLAVEELGFVVYQIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQGVDVKNPDTMESVAYDGQTVGEVMFRGNTVMSGYYKDLNGTKESMAGGWLHTGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVELKDGASATEAEIISFCRERLPHYMAPKTVVFEDLPKTSTGKTQKFVLRDKARAMGSLTKTANSKLLLFLS >PVH33278 pep chromosome:PHallii_v3.1:9:71909191:71913849:1 gene:PAHAL_9G615500 transcript:PVH33278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAAALALRRLIHQQSGSCCVRSQLQDRPRHYFNPHLDYSNHAARARALLNSSPTPCRPRWSSPPSAASLLFSSLSGMEESEAEEVLDLEAGTVRCAANYAPLSPISFIERAAAVYGARAAVVYGERRHTWAEARDRCARVAAALATRFGVARRDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSALLKHSGAKVFLVESHLLDVGRAALKRLADSSAAALPVLLTISDDAESDDYEDLVRNAPARFDIRWPADELDPISLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPAADRKPLPGPVRIMTGGAPPPPRVLLAVEELGFVVYQIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQGVDVKNPDTMESVAYDGQTVGEVMFRGNTVMSGYYKDLNGTKESMAGGWLHTGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVELKDGASATEAEIISFCRERLPHYMAPKTVVFEDLPKTSTGKTQKFVLRDKARAMGSLTKTANSKL >PVH33279 pep chromosome:PHallii_v3.1:9:71909442:71911960:1 gene:PAHAL_9G615500 transcript:PVH33279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAAALALRRLIHQQSGSCCVRSQLQDRPRHYFNPHLDYSNHAARARALLNSSPTPCRPRWSSPPSAASLLFSSLSGMEESEAEEVLDLEAGTVRCAANYAPLSPISFIERAAAVYGARAAVVYGERRHTWAEARDRCARVAAALATRFGVARRDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSALLKHSGAKVFLVESHLLDVGRAALKRLADSSAAALPVLLTISDDAESDDYEDLVRNAPARFDIRWPADELDPISLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPAADRKPLPGPVRIMTGGAPPPPRVLLAVEELGFVVYQIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQGVDVKNPDTMESVAYDGQTVGEVMFRGNTVMSGYYKDLNGTKESMAGGWLHTGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVELKDGASATEAEIISFCRERLPHYMAPKTVVFEDLPKTSTGKTQKFVLRDKARAMGSLTKTANSKL >PAN44649 pep chromosome:PHallii_v3.1:9:3355674:3359139:1 gene:PAHAL_9G058900 transcript:PAN44649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPVHGALLLFLLLLAGPAAAEDAAPQEPTLPAAGAGAGSGGGAAVGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGRHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQALLLYHVLPARLPSDSWPAASHPTLSGEEVELAAAAAGADGAAGMRVGHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPSSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLFPPGDKNATQTADPHRKPPAITTHKKIKLRRGKLLEASCQMADLFGQRLRFVSCQD >PVH31924 pep chromosome:PHallii_v3.1:9:21090806:21091045:1 gene:PAHAL_9G265000 transcript:PVH31924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYWNAGVHTLSPVPEKIELADYNVEGPKCRLENYVEEFWFACIRIHPSKKPSIYEAYGSSLSFLFLGMFVGLEHDMSM >PAN45391 pep chromosome:PHallii_v3.1:9:7379876:7380403:1 gene:PAHAL_9G123700 transcript:PAN45391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNEQEVGEEMDLADGNVELRCHGYSEHDNSRPAWSAQAIIPSGTVANLTFPAVRGDEVFEVLCSYRGANRCWAHGVRVFGNPGHDNLFCAEKIGGCDIRFRNDGGVEKVYEANRLLADVQPAIFMGFVPDFDNARDGGCASASCVGRTLNRVIGQESCCDDSCGGWEKAAPKE >PAN51876 pep chromosome:PHallii_v3.1:9:72642309:72644320:-1 gene:PAHAL_9G626500 transcript:PAN51876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDQAPRTEPRPDKAKKRKKPKKDKWGQPLSAASPEVEPSVEPAQEPPAKGVAPAAAPEGYEPSKVVASGLPYTTTEADIRKLFEFYGPLGSVQLSRFPDSGNFRGLAFVCFESDEDAVKSLELDGFKIGNRYMRVERCRVTASSNKKRKTEFQSDPEKSLGCLSAYVGNLSWNVTEKDLRDFFKSSKIASIRFAIDKSTGGSRGFCHVDFQDDESLEKAVAMNQSELQGRPVKVAYSVSNRG >PAN48199 pep chromosome:PHallii_v3.1:9:53579804:53586261:1 gene:PAHAL_9G367100 transcript:PAN48199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDLGVSAFINILGAFVFLILFAVLRIQPVNDRVYFPKLYLAGKRQHDHSARSAVRRFVNLNVCTYITFLSWVPGALRMTENELVAHAGLDSAVYLRIYTLGLKIFLPIAALALLVLIPVNVSGGTLLGLRKEVVFSNIDKLSISNVNPGSNRFFIHLLMAYVFTFWVCFMLYKEYSNVAFMRLHFLASQKRRADQFTVIVRNIPRISSHSTSETVDEFFRRNHPDHYLGQQPVYNANRYAKLVKKKERLQNWLDYYQLKFERHPEKRPTRRTGCFGFCGREVDQIDYYQARISELERKMASERQKVLNDPKAIMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRRFLISVAVFALVFFYMIPITFVQSLANLEGLEKVAPFLKPVIEVNVVKSFLQGFLPGLALKIFLYILPTVLMIMSKVEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLYYFLHQPPTQIPRTIGVGIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLGENLPSLQLYFLLGLVYAVVTPLLLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTKKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNMEHASEPNLNLKSFLANAYLHPIFHLFEEPAKEEESQETVEVRIDKAQQQQQQQQRDHQEETHVRSSSQYHHEESHFRSTHETHYHHESHVSRSTQYHEGIHVRSDTDSPSPPHFIYHYDIQP >PAN50095 pep chromosome:PHallii_v3.1:9:64414307:64417893:-1 gene:PAHAL_9G497600 transcript:PAN50095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTSAPAITASSSSFFSPSPFSLKASRTSHRRPAGRMPVTVRCVSSPPAADTSYKTSVPRNANMAKLQAGYLFPEIARRRAAHLQKYPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTIDGYSGYGAEQGEKKLRAAIAATYYADLGIEDSDIFVSDGAKCDISRLQVLFGSNATIAVQDPSYPAYVDSSVIMGQTDLYQQDVQKYGNIEYMRCNPENGFFPDLSAVQRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAREVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMRDVVGFYKENTEIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >PVH31350 pep chromosome:PHallii_v3.1:9:7318274:7319124:-1 gene:PAHAL_9G122600 transcript:PVH31350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKVIVSAYEELPPRRRRRLISRVAPAAAARQAGGGYSRRGLLLAYAQQLRRRRRVGQQQQQQLEQRGPPLLEWGEWKAAGRVAAGDVAMMRNGRGSWCSRLRSCARLWIRTFLRRARRIRENASCKK >PAN44198 pep chromosome:PHallii_v3.1:9:1362308:1364650:1 gene:PAHAL_9G024100 transcript:PAN44198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILEGPKYECLLFDLDDTLYPFSVGINLSCRKNIQDYMRHHLLIEESQIAEMCLDLYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYHNLRPDPVLRTLLVSIPQRKIVFTNSDKAHAEEALHRLGLQGCFDGVICFETLNPCNGLSESQRCMVFKDETSAGLVDLNESDGFRPKSPILCKPSIEAMEAAIRIANIDPKKTIFFDDSTRNIASGKAAGFHTVIVGRSTLVPGADRALENIHNMKEALPEIWDGQDRSESEVILASSSVGTAVVA >PAN47186 pep chromosome:PHallii_v3.1:9:16233260:16234993:-1 gene:PAHAL_9G240700 transcript:PAN47186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAADKREGKRPMPPEGDPAAAAAAGETTEQEAQAAGGAEAGDDLVLVADCGAEVRLTRSAARMSTTILHMMDDCAEGRVPVAGVHAGVLRLVAAYCERHAPHYDPEASAARLRDPFPPFSIDFRPTAHAIRPVTDPGPDPHGLEAWDEKFIADLPDNSALFAVILAANYLGIEDLLDLGCTAVADKMRGKAPEEVRVALDIENDYTPEQEAEVRRENAWAFED >PAN51733 pep chromosome:PHallii_v3.1:9:72006700:72008584:-1 gene:PAHAL_9G616300 transcript:PAN51733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARWFKVRAARGAGVVSPTPATTPRCSIPLRLQHLSDPPSRRPHFCSSFPSLIRPKYPGRIQLLDGHNQLKCEAPLTYFTRIPILVKASDPNDGLHMILTPKPQRPWLLSESGKFSFHSLIELVASTHQEGWTVGAGQLSLENLVVVSEVGIFKFKHPVARYALNDDNRAEDFHALAKVMASLLASLHGDSVVSCLPIDFSMLLDDLSGVTDPELQHISIENHPSLLPSSS >PAN51918 pep chromosome:PHallii_v3.1:9:72904623:72907760:-1 gene:PAHAL_9G629700 transcript:PAN51918 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE50 [Source:Projected from Arabidopsis thaliana (AT4G00231) UniProtKB/TrEMBL;Acc:A0A178V4E4] MLHRTARRCRGAPCTTTRRARPQEQQGQQLLSSLEKEQSGHPKTPAATMSCSDEETLAALIEISRTPEGREGLSDVLADTLLLLPASPARLLLLRLRLLRNLLAGDEFNQHAFIERSGPSVVAASVLSLSSLAPDVVRAALQALGNTAHAGEFHRDAVWEALFPEALRVFSGVKDTGVLDPLCMVLDTCCGGEGGRRRLNELCDEELGLPILVQVVNTASQVEHKEEWLEWLLFKVCVEEQKFESLFDALCSTNDVDSGEYNAKHAFLLGTLSRCLNNHPKEVTVTDSFAHHVFNVHKHAAETVNFTHRGTSPLPTGCPAIDILGYTLQLLRDMCAWELPSPETQGPVDSLLQTGLVKQLLKYLGELEPPSTIRKSMSKGQGDNHPALENAKVCPYIGYRRDLVACIANCLHGRKKVQDEIRKLSGILLLLQQCVIDEDNPYLREWGLLAVKNLLEGNEENQKEISELEMQEPVITPEIANIGLKVEIDKETRRLKLVNTSDT >PAN44543 pep chromosome:PHallii_v3.1:9:2925471:2930112:1 gene:PAHAL_9G052000 transcript:PAN44543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNSTAEAFKENNMIFTSEGNFHSKKVQDDYGARPNRPAVVQTRSKWIIGDVTEVLDRNTWKLGKILKMLKNNYFVIRLADCIQLKEFHISSLRIPHTLEAPQSNPFPAADKATGRQRQPADCALPCSRAAQQMGSGGKKRKAAASASHHPSKRAHPRKVAVASLADSYLHSSSQAIEDAECSVASCSVNDPCRLDNGGNGSGRRRHGAGGLPDDAMSACPCTSGVLEEEEGAVDVHGLELAAYRSTMQALYASGPLTWEQEALLTNLRLSLNISNEEHLLQLRRLMSS >PAN44544 pep chromosome:PHallii_v3.1:9:2925471:2930112:1 gene:PAHAL_9G052000 transcript:PAN44544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNSTAEAFKENNMIFTSEGNFHSKKVQDDYGARPNRPAVVQTRSKWIIGDVTEVLDRNTWKLGKILKMLKNNYFVIRLADCIQLKEFHISSLRIPHTLEAPQSNPFPAADKATGRQRQPADCALPCSRAAQQMGSGGKKRKAAASASHHPSKRAHPRKVAVASLADSYLHSSSQAIEDAECSVASCSVNDPCRLDNGGNGSGRRRHGAGGLPDDAMSACPCTSGVLEEEEGAVDVHGLELAAYRSTMQALYASGPLTWEQEALLTNLRLSLNISNEEHLLQLRRLMSS >PAN48749 pep chromosome:PHallii_v3.1:9:57165768:57167051:-1 gene:PAHAL_9G402500 transcript:PAN48749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAPATTGAASPAAPAVAKGEGKGKAAAARSVGLDLPALPPLPGLGLAAQGQTRAASCKRQERNVVAMAAGEPAAPMAANEELTEFVNDLKQEWDRIENKFAATSLAVAATLGMWSAGGVVSAIDRLPVVPGLMEVVGIGYSAWFAYRNMLFKPDRDAFFGKVMEFYEDIISG >PVH31721 pep chromosome:PHallii_v3.1:9:14364070:14364411:1 gene:PAHAL_9G220200 transcript:PVH31721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQEPWLELLLIPPSRRLAAVRAAREVTFRPCRLQLHYKKFDNLAARLAEGGEEILLSAVIASICSPCGSVTAVMERQGCLGGGAAHRCPVGETGSRESTARASRGGELPA >PVH32635 pep chromosome:PHallii_v3.1:9:60964654:60966585:-1 gene:PAHAL_9G447100 transcript:PVH32635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLWRSLAWPDTRCAAPIYTHPAGISMQAKQPHSQVLTTTTAGRGRPTCLASSLAIQHREIDRARRDDPVAMELLPLLTALLLAHALACLAWTAAARRRQSRCYLLDYVCHKPRDGRKISTETAGDVVQRNKRLSLSDYRFLLRVIVRSGIGEETYAPRSIIEGREDAPTLRDALDEMDAFFDEAIAELFARTGFEPRDVDVLVFNVSMLSPAPSLASRIVRRYGLREDVAAYNLTGMGCSAGLVALDLARNALRTRPRAVALVVSSESIAPNWYSGTDKSMMLANCLFRSGGAAVLLTNDPAHRGRAKMELSCLVRAHIGANDDAHACALQREDAEGRVGISLSKALPKAAVRAFAVNLRRLAPRVLPVSELARFAARLLSRRLLPHLQSSGKKAGGDAPRIDFKTGVDHFCLHPGGTAVIEAVKKSLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLKVGDRVLMVTFGSGFKCNSCVWEVTGDMADKGAWADCIDSYPPERLANPYMDKFGWINDVEGDTLML >PAN44484 pep chromosome:PHallii_v3.1:9:2617886:2624671:1 gene:PAHAL_9G046700 transcript:PAN44484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQLLGEDGRGYELARRLEACGAWRAWLGDDAAHAALAQHLTSPATWDAFLSPAASPSPPPRPLLLLQLRVRALLFDKASAALQLAPRGAGSAGLHSINANYLQLHGDDIYFSLEDEQEDNAQHQVHSRTAFSPSRDGSMLSQRHNRYDELPDSWYKQYANKFRTWHSTLRSGDKEIPKRTPEGMSDYLKVCSVHKRKRAVFMDDPSISAPMVENGPSLHSKNAGEHSNSTDETLIPEIRFPSDCVPESAIPRTSGISMTKIEVHGVLDNLPAPVSRNTAMLERFGMVPEYYKTGNKYRGKDGSRVEGKSLSQEQALLMTRKLVARYLANSGFGSGTAVSIDVLSEIIIKHICKLGRNLKLLTDSYRKQFSSVELLKMFLQTVGYSNIGPLMEITKMGNRVANYPVHQDAQVLQSQHPNSLLHAQQLPRQFPPQLLQNLTPQQQQQLQNLTPQQQQLLQQQHWLRRSQLTSPRGPLTMADKNQPMVNVKIENTMDSQIDGSYGSFSRQQQLNLRQQQQLLHHQQQQLQQQHLQQQQQQLQQQQHQQQLNQQQQQLQQQQQHINQQQQQLNQQQQLQQQHHLTHHQQQQLQQQQQQLNQQQHLQQQQQLSQQHQMTMSANQNAQLAQQFKSAYGMRMPPVKVEAFHELVSGDSSLKQDNDPNKLTSPK >PAN49811 pep chromosome:PHallii_v3.1:9:62862295:62864054:-1 gene:PAHAL_9G476900 transcript:PAN49811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MRAASSPVPAAVLAAPSSASVVKPLPRAAASNGAVSAGRRDVLAGTGLAALLLALGPACGAARAADEEYVSETKEVIGKVRSTISMDKSDPNVADAVAELRELSNSWVAKYRREKSLLGRPSFREMYSALNAVSGHYISFGPTAPIPAKRRARILEEMDTAEKALLRGR >PAN51864 pep chromosome:PHallii_v3.1:9:72582244:72584243:-1 gene:PAHAL_9G625400 transcript:PAN51864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPTPCRLAILLLGVLCSAAAVAAARYAPADDHLLACGAEAAAVLPDGRRFVPDSGCASTRLRSPAPTLSSAAPPGSPPPPSPLHASARVFSCRASYDLAVRRRGRHILRLHFYPFGPALSDSRFHVGAGGLLLLHNFNASSPVIKEFILPVDSDVLVLTFVPDSGSTAFINAIELVSAPEELVGDIGTLVTSGGASQIDGLSSQVFETLYRITVAGRKVTPFNDTLWRTWVNDADFLVGTDSTNYKVWSFSGRIAYPKGSRQMTREVAPDNVYRSARSVKPGANVTWGFPVPASSRYLVRMHFCDIVSKALYELYFNIYVNGNLAVKDFDLSSTTGFLAYPYYIDFIVDVEDEGMLKLAIGGLKQSRSDEVSGILNALEIMRMNKTSGGMDGNFPIALDMEYGVSKGIGEVARSLLCGFIFAGLFVALVMLVLRLRIELRNNGTAWSWKPMDSGEGKLAKAYQLVPSKADY >PAN44927 pep chromosome:PHallii_v3.1:9:4701917:4705966:1 gene:PAHAL_9G081200 transcript:PAN44927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAGDGTEGVGGEWPFAGADAFGEYSSVFAELGWPGGLAAGAGELPVLDLPEAAVAAPAEATRPVVEVVAPARSGDAAASSSSSGDGDGDGDGDGAAPGSHDRKPAASAGTASTNPAAARKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSADPSVVITTYEGQHCHHIGSFQRGGVGAAAAHIHHSATAVALAEQMSSFIPPRQLYGLPPLHPQSSPSSETVVSPTSTSLQQLNGGGDELRQTSFSPRVSMVRSSPPTPSSVPPAISVEKAGLLDDMVPHGVRHG >PVH32495 pep chromosome:PHallii_v3.1:9:58233221:58235427:1 gene:PAHAL_9G413900 transcript:PVH32495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYFIPDLHPQNKFSEIRVSVVWKWEYRGQTDDGPIQHIDLVLADEKGNAIYAEIPASEIERHNSKIEEHGVYMLSRPVHAPFMIEFTCHTRINAVTNPPRTFSKYIYNLTDFEDLYSYIGDRTYFLDILAIITEVAEPQWRPISTQPKPAFTRDVTLQSIDGSEMKLTLWGQRAREFKIDSVYDAESAKPIVTLFVGCLMKTFMHNNHQSIPILSFITISRLADNMIWWFPSCNLCGKSCSRDGPGYRCRPCNSTGYTYKLKLAFIATDGTAESEMICFGNVAAHIVGKSAEYVMASARRRGNISPDIAAIVSEKFTFSINMTENSYHTANKTYIINSVITAHGKQLSARHFNLI >PAN45686 pep chromosome:PHallii_v3.1:9:8165412:8171190:1 gene:PAHAL_9G134700 transcript:PAN45686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKIIKRGNRKSARGDSAEPGARPAAPSSSSGGGGGGAGGAAPVTVNHASRASAATPSSPTSPHVAPSALATNPAAGAASPPLLEPLPLLRDVAAADRPGLLLRKLRLVAAIFDLSDSLKHPREKEAKRQALLELVDYVQAPAQAAGANAPARLPDHVQEALVAAISANIFRTLPPALHESAATIDPGATPDDEEEPYLDPAWPHLQLVYDLLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRGAIFPIIFEALERNIQSHWNQAVHGLTANVRKMFLDMDSELFEECQQQYMEKQAKAKEIQEQRESAWRQLEAVAAKAAGDDMVLVN >PAN46404 pep chromosome:PHallii_v3.1:9:11597220:11598495:-1 gene:PAHAL_9G183400 transcript:PAN46404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVPRHSLLLLLAVGAVQAAAASAAVPPPQAQLQVSFYARSCPRAEAIVRRVVRRRAARDRSVLPALIRLHFHDCFVRGCDGSVLIDSTPGHPAEKEAPPNLTLRMLDVVDDAKAAVEEACPGVVSCADIVALAARDAAAMAGRVRYELPTGRRDGTVSSAAEVNLPSPSASFAEALSAFRSIGLGVVDLTTLLGSHTMGFCHCGLIMNRLYNYNSTSPFDPTMDAGLLAVLRRRCPPHVVTPQNQSRDVIVPMNFVAPLGPFGLDNSFYPSVLAGRAVLQIDQELASSGAARRIAAMFASRPGNFRVQFAKSMVKLGGVNVLTGRQGEVRLNCRRFNS >PAN49817 pep chromosome:PHallii_v3.1:9:62894436:62898586:-1 gene:PAHAL_9G477400 transcript:PAN49817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNYGLSLPLLLCIQLLLPLLPLATADIASEKQGLLAFASSVYRGNKLNWSPNIPLCSWHGVTCSPDRSRIWALRVPGAGLIGTIPVNTLGRLVSLQVLSLRSNRLSGSLPSDVASLPSLQAIFLQHNELSGDLPLFFSPSLNTLDLSYNSFTGKIPSGLQNLTQLSVLNLAGNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSFLSSPTPSQVPLLPSSPHREKKAGNGLIIAAVVGGFVIFLLAAVMFTMCFSKRKEKKDEVGYNSKVNDGAKIEKHKEDVSSGVQMAHKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANIAPIRAYYYSKDEKLVVYEYIATGSFSALLHGIKGICEKTPLDWNTRMKIILGTARGIEHIHAGGGSKFAHGNIKSTNVLLDQGHNPYVSDYGLSALMSLPINTSRVVAGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVQLMKYPNIEDELVQMLHIAMACTSWSPDRRPTMADVVRMMEELRQSASESRTPTNGNPRESNPPSV >PAN44104 pep chromosome:PHallii_v3.1:9:1024985:1026617:-1 gene:PAHAL_9G017600 transcript:PAN44104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWNNVESSMEAHRELHDHSQAGGSDSDDPRTVLTYLTFLEQKIAHLRGIICSAPRPPRQIVSAELSCIAVQLVSISNTLAAASGATAEEEAKSPPRAATPSEGDSDSSDHDLHAEDDDERLPPAGSYEVIELDKEEILAPHVHTCKVCGKGFKRDANLRMHMRGHGEEYKTAAALAKPGRDAPPLPPARCFYSCPFVGCKRNREHKSFQPLKTAVCVKNHYRRSHCDKSYTCRRCNVKRFSVLADLRTHEKHCGRDRWVCSCGTSFSRKDKLFGHVAAFDGHAPALPPEEDDAAGHSAANGLGSASDQVLMDTEAVGRMANHKECFSGSIFDGLSCSDIKGFAMIDGQCLDNGGGSLSPMDLYSCDLDGFDLFGAPGIDDF >PAN46502 pep chromosome:PHallii_v3.1:9:12124703:12127160:1 gene:PAHAL_9G190200 transcript:PAN46502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAAVTLLRLPLARLNYHLRSVPPPRIPPPRLRISTSYRFLSSLGHGSASAAVAEAVAAPDAEGDLVDAEEESHEEESTAEAEAEAEAEAEAPRSFVLPRLPRPKLSVKERKELASYAHGLGKKLKSQQVGKGGVTPNLVSAFSDNLESNELLKLKIHGNCPGELTNVILQLEESTGSIAVDQIGRSVILYRPSTSKMKKRQEVAENARRFARSKEENARRFAKSEESFEERPRNSTGRRFVKSGSTFSSQQKRRPMASKGSSYGRG >PVH32720 pep chromosome:PHallii_v3.1:9:62360275:62361656:1 gene:PAHAL_9G468800 transcript:PVH32720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYFLTVFRPQKWAIKKIDKLRRSFLWKGTAETNGGRCLVRWTKTMRPKKFVDLDLFGRALRLRWLWFQWTAPERPWVGTEPPVNAVDKQLFRASTTVTLGDGEMASFWQSSWMDGHAPMDLYPALYRLAWRKNRSVKEELMNQSWTRGLWRMETVSEMANFVELWDKVQAVQLTANSDTIKWKWTADGVYTAKSAYVAQFQGSYSLFKGSHIWQAETEGKHKFFAWLFVQSKILTADKLLVRNWPCNPVCALCSQEPETASHLILHCSFARQIWDRMAAWTANLIQIPAQGIAILDCQPPMLKLLAPDRPIVAKD >PAN48460 pep chromosome:PHallii_v3.1:9:55404101:55405258:1 gene:PAHAL_9G383200 transcript:PAN48460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 6 [Source:Projected from Arabidopsis thaliana (AT4G17490) UniProtKB/Swiss-Prot;Acc:Q8VZ91] MALLREHLDLIRAHLLDDRHDHDDAVSSEDYSASSPSPSPPAGRRPLPALSVALPPRSAVALQQQQQLWAAPPPPQIIHQQEQSCYCPEAEEDDFRRYRGVRQRPWGKYAAEIRDPARKGARVWLGTYDTAVEAARAYDRAAFRLRGSKAILNFPNEVAFGTAARWGPPPPPAAAPCYPTAASGSKRARSPERALQEQGAGCMVIREVKRERVQEPAASCGWDGGVAVAPTAASGVADFFWEEEELLKGTICSLPPLSPLSPYPQLAFPQVSVS >PAN51548 pep chromosome:PHallii_v3.1:9:71101674:71106198:1 gene:PAHAL_9G602000 transcript:PAN51548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGEPSSSDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALQPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEHIARDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVSNDHFKTALGTSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDHQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEADEIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADQPAAAAAGPAGTADPFASAADDDDLYS >PAN51879 pep chromosome:PHallii_v3.1:9:72667175:72677297:1 gene:PAHAL_9G626800 transcript:PAN51879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALVVFSPRGKLYEFASGSVQKTIERYRTYTKDNVSSKTVQQDIEQVKANAEDLAKKLEALEAYKRKLLGEKLEQCSIEELYSLEVKLEKSLHCIRGRKTQLLEEQVNKLKEKEMTLLKNNEDLREKCKNQPPLPMTPARPVITVEDDRPEQNVDAMDVETELYIGLPGRDPRRNKVAEVRSG >PVH31779 pep chromosome:PHallii_v3.1:9:15172410:15205223:1 gene:PAHAL_9G230700 transcript:PVH31779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGSFSAVAAAARLLARCPALRSDPRLYALASSTAAAPPARDDVAAALAEPLLHPRYTVAVLGCFLPLAPALVDRAVALLRSAGPQALRADDAARMEEEAEEGDVRVVEFYLSRGRGMRLHELACFALARALDIAPYLLRSVLSYFKFSPPPFQRLLSASFPSQISSKELHLFLDATRVSYRFLQLEPRVFCEQWDWSCFLDLVYSTADYSLVDNSLDSVGSDLRWCTIQILMVVLKASDMAIESFGLGADEAFKCFLRWKEFCIDTSLEKASLYLQNEDGNSKSTVDGLTTLADCLSDWPEIATGSDRSIGIYECPFVLTATLRKSYEVALMAVSQKWPVLLYGPVGAGKTALINKLARIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWAPGSLTQAIVKGFWIVFEDIDKAPSDVQSILLPLLEGSSAFSVGHAEAVEVAESFRLFATITTSNSDVSHALEGRLAFSALWRKVMVGEPNRSDMVNIIKGCYPSLGPISSKLIDTFEKVNSLGSHQFGGPNIAGGLSDGVLHRFSLRDMLKWCKRILRVDLNFEGLGFASSCFKLIYHEAVDIFAGSLSSPEKRFYVAGEIARILGVPYQGETVQRTDKPIIQARHTELQVGRVTLQCSDKPALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTIVQNLAAWLKRPLTVVNLSQQSDISDLLGGFKPTDAWSICFPLYIEFKDLFCRSFPAKDNEAILRHCDVCVMEKSWKKLLHTLAKCVEKAQKLIEGISKSSSGSKRKRALPAQVVSDWDTFSSRLNVACRQIGSSTGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDIDYVERHPCFRMFACMNPATDAGKRDLPYTFRSRFTEYFVDDLMDDDDLRLFVNKYLDGLNAANGVTDGIVRFYKAAKKESEERLQDGANQKPQFSLRSLSRALGYIKSAEKRFGFRKALYDGFCMFFLTMLDAPSAKIIKNLLLSLLLDGKMPPSIYFADYFIEKPVQIDGCVSDEFLQSYVLTNSVTEHIVNLARAVYIKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYVTDSQGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPMLYGGRKMLSRAFRNRFIEVHVDEIPEDELVTILEQRCRIAPSYAKKMVDVMKDLQTHRQNSRVFAGKHGFITPRDLFRWASRYRTFEGKSYEELAKDGYLLLAERLRDDNEKTVVQEALERHLRVKLNIADLYNLEVTREDNLSLDAIRLRVQECFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGSRLHILNCHQYTETSDFIGGFCPIRDRSRIALEFKDLVAKIKQMKIFVYVAGDMPLETDISGADSVMSHLNEILDRYRKEKDLFPEVPARDLDTMEQIKLDLMHLRKRWQAIFVWQDGPLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSELEKISAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDGDELKNITLAKFTKAELSCFGDCIVNFWKWFNQLDIGRTLTIRDLLSWISFINVTEQNLGPQQALIHGLFLILLDGLSLGVNVSKSEATDMRSTCLSFLLEELQKVEGKNVDSYLNDLNNYGWGENMRKGDTDHDYLEGHFGISPFYIPKGQFACKQQGFEIMAPTTSKNVLRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGLSGHDVVRINLSEQTDMMDLLGSDLPTEGRNGMEFSWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGRTYKCPPSFRIFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSEEDYLFICKSRYHPLISESFLRNLIRFNNRLHMDTMVHRKYGQQGSPWEFNLRDIIRSCEIVAGCPDTLNDDCFLNTVYLQRMRTVDDRHKVVELFEEVFEKKPSIHQSKMLYVNPHCLTVGNASIRRNNFQSYKMQNNQLNIFPGILNSLEAAMHCIHQGWLCILIGQNSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRQYKAVVTQVEHYVNVYFSLSMDLNWKNLVVERKDLFAKWFEFVAEKRYSSVRTSTFIEMRGNASLPSLSLVAEIVEQMKCDLEMFDLPISLTKDDLCKTLKSIYNLQQNGTAHQPVKFEWVAGDLIRAIESGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVVIKAHPKFRMFLTVNANYGEVSRAMRNRGVEIFLMDQSWDLKGCSNVPGNSEKKDVIRFLISSGIPRMELISSMSKAHMYAKAEGLRVGINITLLEITRWVQLFQQLIIKGNQFLWSLHLSWEHTYLPSLGEVNGSKIVEEGKLMFLTNFDGSEIVDERKFKFLTDFDGYSTSLNSGFSLMLPGGWPIEQKLRDFIWYSKETCVKRNCMYLQSLSAHYAAYQISHFKEVPVSNMHPSIIPTASLRQLHFPTVSGQSVKTRVTGAFDLDLADQMLFFAANWVMEQSAENDHELYAKWFEWYHRLVQPYCNFFEHYAYILKQESEHPIWHSILECYRVIVGYHKTNIVTQPIPLLSKKLLDMAGCEALKAYQDRLCNSFNGLRLLRLTLQQWQFETKFPDLAVLKSTFLPALESLRCLEGELLKMIVKSQEVLHIYSRILDYHRSIWKMMISSQFEGLPVVWNLLRKEILKLQLKFPVEVGVFLMESVNLNNLHDFNFQYGKPTLWINGGHPFVPSSSMVFDKIQSIVAVSAAVWPKKNLLNIHLDDKQQLTDAMLSANQDLRCLAMEGLSMAIVATKTEEDVSTIVDRLDDVDQRLRRKVDFERSKLSSKTSVSEVKSCCSVSSDILCNIYGFTAWLESLPLLNLKSINLDALLLKRLSKCAQKDPSEAHKIIVNSGYLLNYAKNYSLGSSSRSPLEYTQHQMIWWIRDAWATVDNVHVEIANSILEMWYNYHSSLWTYCSGSPKILPVTQDETCDLAHLTKIDAIDTIIQKDLRVVDYQKNCLMLRISSGNLWEGVSCVGNFVASLHSIADSLFKQIIFAHKNHFKEDDFHRLEAVLFQQTKNYIEKEDLGTTCALLSSSSHGVLASLAGSDELVESLLMDLYSSYSRDSLLHTGAAWMRIGMLRFRLLLTSYSPDPAFESAYIHSHVLEKISLVKLEGKVRHDCEELAGSSSPEDSHDYKLLQDLETEEKGIRAKVVFRPQQSKHKSLVAACSEFENRLSDCKDLLANLNCNGAGQLEVDRVCNWQITSMNFIKRLTEEYGEYTDLIQPIQVAVYEMKLGLALALSASLQREFLKKIKEDDIERVLGTICTFMQFPSGITERVVTDMTDLTDYAVGVKLTTQNSCSGDVDVLKKLAVVSSQLNVGKVGDKVKSHSEMLVSIHHMFLVRTAYRVSCSLIMDTSSFLSLKGTFDHFTSMWIDMKSHLKAKENNDLQYYKFKSRPINIEDIFKEDVPLLSDMDSEDHIVPDNEEKIEQEFFKITERINGDNGVVEDSWDAIPECVLKCIVMTHNQLFGSPDLFEKPSKCPISDAQKIQSFIESYELGTRILKDLPELTCSVFDEKLMPEHLFRVCLEYRRTCATSLACNGYNAYKDPNPSVMFKMVEPLTTLQEKVRKYLEEWPDHPGLMKILDTIASLLAMPLSTPISKALLGLQLLAGKAQTLQENDTKFFLKDHLPPIFLLVYSWQRLELDSWPLLLEEVQEKYDMDAVKLWFPLRALLTQTSGVSSDEDLSIIRSVEEFVQTSNLGEFKRRLHLLLAFHGEISDGASLGAYSSTITKKIRNILYNVFGYYMQFLSLVHEKIEAGKRSIEDNLKDLVKLYSWEQEPYSTASIDKFKKARQKIFRLLQQFNNDTLRKPVIDLLNEEITARKVPCWLDPQRPESQFPVDTEKFNKRYSWYDKWASETSLSLQTLQHTNVVGVPTAKEYADVVQSVNNRRDEIELNDRLKFFWAALERICGAASFANTLKHGKKNQKKAALSNLFKTLEECGLTKHRPMGHEWRDELSAPSSLFLEQSYDAKHLLQQVSSQKELEDVSVVHCTLLTTDNWKHANRKYFNCLAMMQQLRQVSLKFNKDLGLEEVNRATSFMNHLLTILSEQRHFAYKLFDQLNQLQNAIFLLGSGGERSLSSCQNVLLSSMWQQKKLFDSVLTMTTDTKLLLRSFKDCHHTSCNNFEEVAALSTLLEKFISRFSESKDLLDKYLLGSNNMFAGAHKNVPLATREMEELFSQNCKLVDLLREDMRVMRQQEISMRSVKEVLISRLEELLDKGKMAMENPREVEDNHGICSDVLPELEASYEGILEETFMLAVSVLGKLTDLEICNNGAEDSLEGTITSWKDVFQSYTMNLKLSHICDASEKLCIRVKRLADHKPEIHSSIEAHLMHLHAWLGVILSSAEGILSELLEAHGTTAEITDALGDLFIYLFGEGFGSTEDTSEDADDEQQGAIETGTGLGDGDGGKSVSSKIDDPSQIEDMATDVAQKPDQTPKNDDDAIEMKEDFHAELSDVSEDPEGKDSGSEDDEEDMNLDSQMGDTGDSSEVVGKKSWDKDEDDDPKTSTEKYDSGSSVKGTEKNDRELRAKDDGSVEEEDHMEMDNDEQGKSNDFEDEPITNEETDLNTEEVMDKADAYDDRTGPELPEPDNDSKDIDMERQEPDEEDADHEDIGSEEVEQGDQRSDDSDDMELGDMAQHGDSGVDNEGDHVEDANTEPSNIDKQQLDKIDSLKHPSQGQPENMQVDNNRESEENLANSSDMNGAVAPSVNFSGNEVPNMEISLPNSGDDSRLSNSKPDVQNEAPQSHIKQANPFRSIGDAMEDWKERARVSADTQDHPPDSGHHSDDENATQFRYVPEGEQSTSQALGAATADQISDETQIKHSFMEDESHVKKLEQSEERTPDNPEVPHIQASQALTSKSENVNELEDPEIQTDTPVQDLVEVGKYSSFQNLVSFKRSLADDKIAPDDLTVDMELCTQMDLDIPDAETERAIVGWKNLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVRILHDFDQIFSSEAGVNMISSLSFEQDNKIEDQPVSDLLTHLNTMLDTAVAKARTPSGQNPLQQLILIISDGKFHEKQENLRRHVRDVLNRKRMVAYVLLDSPEDSIMNLQEACFKAGEELKLKKYMDSFPFPYYVMLQNIEALPRTLADLLRQWFELMQSTNE >PVH31778 pep chromosome:PHallii_v3.1:9:15172317:15205223:1 gene:PAHAL_9G230700 transcript:PVH31778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGSFSAVAAAARLLARCPALRSDPRLYALASSTAAAPPARDDVAAALAEPLLHPRYTVAVLGCFLPLAPALVDRAVALLRSAGPQALRADDAARMEEEAEEGDVRVVEFYLSRGRGMRLHELACFALARALDIAPYLLRSVLSYFKFSPPPFQRLLSASFPSQISSKELHLFLDATRVSYRFLQLEPRVFCEQWDWSCFLDLVYSTADYSLVDNSLDSVGSDLRWCTIQILMVVLKASDMAIESFGLGADEAFKCFLRWKEFCIDTSLEKASLYLQNEDGNSKSTVDGLTTLADCLSDWPEIATGSDRSIGIYECPFVLTATLRKSYEVALMAVSQKWPVLLYGPVGAGKTALINKLARIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWAPGSLTQAIVKGFWIVFEDIDKAPSDVQSILLPLLEGSSAFSVGHAEAVEVAESFRLFATITTSNSDVSHALEGRLAFSALWRKVMVGEPNRSDMVNIIKGCYPSLGPISSKLIDTFEKVNSLGSHQFGGPNIAGGLSDGVLHRFSLRDMLKWCKRILRVDLNFEGLGFASSCFKLIYHEAVDIFAGSLSSPEKRFYVAGEIARILGVPYQGETVQRTDKPIIQARHTELQVGRVTLQCSDKPALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTIVQNLAAWLKRPLTVVNLSQQSDISDLLGGFKPTDAWSICFPLYIEFKDLFCRSFPAKDNEAILRHCDVCVMEKSWKKLLHTLAKCVEKAQKLIEGISKSSSGSKRKRALPAQVVSDWDTFSSRLNVACRQIGSSTGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDIDYVERHPCFRMFACMNPATDAGKRDLPYTFRSRFTEYFVDDLMDDDDLRLFVNKYLDGLNAANGVTDGIVRFYKAAKKESEERLQDGANQKPQFSLRSLSRALGYIKSAEKRFGFRKALYDGFCMFFLTMLDAPSAKIIKNLLLSLLLDGKMPPSIYFADYFIEKPVQIDGCVSDEFLQSYVLTNSVTEHIVNLARAVYIKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYVTDSQGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPMLYGGRKMLSRAFRNRFIEVHVDEIPEDELVTILEQRCRIAPSYAKKMVDVMKDLQTHRQNSRVFAGKHGFITPRDLFRWASRYRTFEGKSYEELAKDGYLLLAERLRDDNEKTVVQEALERHLRVKLNIADLYNLEVTREDNLSLDAIRLRVQECFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGSRLHILNCHQYTETSDFIGGFCPIRDRSRIALEFKDLVAKIKQMKIFVYVAGDMPLETDISGADSVMSHLNEILDRYRKEKDLFPEVPARDLDTMEQIKLDLMHLRKRWQAIFVWQDGPLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSELEKISAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDGDELKNITLAKFTKAELSCFGDCIVNFWKWFNQLDIGRTLTIRDLLSWISFINVTEQNLGPQQALIHGLFLILLDGLSLGVNVSKSEATDMRSTCLSFLLEELQKVEGKNVDSYLNDLNNYGWGENMRKGDTDHDYLEGHFGISPFYIPKGQFACKQQGFEIMAPTTSKNVLRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGLSGHDVVRINLSEQTDMMDLLGSDLPTEGRNGMEFSWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGRTYKCPPSFRIFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSEEDYLFICKSRYHPLISESFLRNLIRFNNRLHMDTMVHRKYGQQGSPWEFNLRDIIRSCEIVAGCPDTLNDDCFLNTVYLQRMRTVDDRHKVVELFEEVFEKKPSIHQSKMLYVNPHCLTVGNASIRRNNFQSYKMQNNQLNIFPGILNSLEAAMHCIHQGWLCILIGQNSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRQYKAVVTQVEHYVNVYFSLSMDLNWKNLVVERKDLFAKWFEFVAEKRYSSVRTSTFIEMRGNASLPSLSLVAEIVEQMKCDLEMFDLPISLTKDDLCKTLKSIYNLQQNGTAHQPVKFEWVAGDLIRAIESGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVVIKAHPKFRMFLTVNANYGEVSRAMRNRGVEIFLMDQSWDLKGCSNVPGNSEKKDVIRFLISSGIPRMELISSMSKAHMYAKAEGLRVGINITLLEITRWVQLFQQLIIKGNQFLWSLHLSWEHTYLPSLGEVNGSKIVEEGKLMFLTNFDGSEIVDERKFKFLTDFDGYSTSLNSGFSLMLPGGWPIEQKLRDFIWYSKETCVKRNCMYLQSLSAHYAAYQISHFKEVPVSNMHPSIIPTASLRQLHFPTVSGQSVKTRVTGAFDLDLADQMLFFAANWVMEQSAENDHELYAKWFEWYHRLVQPYCNFFEHYAYILKQESEHPIWHSILECYRVIVGYHKTNIVTQPIPLLSKKLLDMAGCEALKAYQDRLCNSFNGLRLLRLTLQQWQFETKFPDLAVLKSTFLPALESLRCLEGELLKMIVKSQEVLHIYSRILDYHRSIWKMMISSQFEGLPVVWNLLRKEILKLQLKFPVEMESVNLNNLHDFNFQYGKPTLWINGGHPFVPSSSMVFDKIQSIVAVSAAVWPKKNLLNIHLDDKQQLTDAMLSANQDLRCLAMEGLSMAIVATKTEEDVSTIVDRLDDVDQRLRRKVDFERSKLSSKTSVSEVKSCCSVSSDILCNIYGFTAWLESLPLLNLKSINLDALLLKRLSKCAQKDPSEAHKIIVNSGYLLNYAKNYSLGSSSRSPLEYTQHQMIWWIRDAWATVDNVHVEIANSILEMWYNYHSSLWTYCSGSPKILPVTQDETCDLAHLTKIDAIDTIIQKDLRVVDYQKNCLMLRISSGNLWEGVSCVGNFVASLHSIADSLFKQIIFAHKNHFKEDDFHRLEAVLFQQTKNYIEKEDLGTTCALLSSSSHGVLASLAGSDELVESLLMDLYSSYSRDSLLHTGAAWMRIGMLRFRLLLTSYSPDPAFESAYIHSHVLEKISLVKLEGKVRHDCEELAGSSSPEDSHDYKLLQDLETEEKGIRAKVVFRPQQSKHKSLVAACSEFENRLSDCKDLLANLNCNGAGQLEVDRVCNWQITSMNFIKRLTEEYGEYTDLIQPIQVAVYEMKLGLALALSASLQREFLKKIKEDDIERVLGTICTFMQFPSGITERVVTDMTDLTDYAVGVKLTTQNSCSGDVDVLKKLAVVSSQLNVGKVGDKVKSHSEMLVSIHHMFLVRTAYRVSCSLIMDTSSFLSLKGTFDHFTSMWIDMKSHLKAKENNDLQYYKFKSRPINIEDIFKEDVPLLSDMDSEDHIVPDNEEKIEQEFFKITERINGDNGVVEDSWDAIPECVLKCIVMTHNQLFGSPDLFEKPSKCPISDAQKIQSFIESYELGTRILKDLPELTCSVFDEKLMPEHLFRVCLEYRRTCATSLACNGYNAYKDPNPSVMFKMVEPLTTLQEKVRKYLEEWPDHPGLMKILDTIASLLAMPLSTPISKALLGLQLLAGKAQTLQENDTKFFLKDHLPPIFLLVYSWQRLELDSWPLLLEEVQEKYDMDAVKLWFPLRALLTQTSGVSSDEDLSIIRSVEEFVQTSNLGEFKRRLHLLLAFHGEISDGASLGAYSSTITKKIRNILYNVFGYYMQFLSLVHEKIEAGKRSIEDNLKDLVKLYSWEQEPYSTASIDKFKKARQKIFRLLQQFNNDTLRKPVIDLLNEEITARKVPCWLDPQRPESQFPVDTEKFNKRYSWYDKWASETSLSLQTLQHTNVVGVPTAKEYADVVQSVNNRRDEIELNDRLKFFWAALERICGAASFANTLKHGKKNQKKAALSNLFKTLEECGLTKHRPMGHEWRDELSAPSSLFLEQSYDAKHLLQQVSSQKELEDVSVVHCTLLTTDNWKHANRKYFNCLAMMQQLRQVSLKFNKDLGLEEVNRATSFMNHLLTILSEQRHFAYKLFDQLNQLQNAIFLLGSGGERSLSSCQNVLLSSMWQQKKLFDSVLTMTTDTKLLLRSFKDCHHTSCNNFEEVAALSTLLEKFISRFSESKDLLDKYLLGSNNMFAGAHKNVPLATREMEELFSQNCKLVDLLREDMRVMRQQEISMRSVKEVLISRLEELLDKGKMAMENPREVEDNHGICSDVLPELEASYEGILEETFMLAVSVLGKLTDLEICNNGAEDSLEGTITSWKDVFQSYTMNLKLSHICDASEKLCIRVKRLADHKPEIHSSIEAHLMHLHAWLGVILSSAEGILSELLEAHGTTAEITDALGDLFIYLFGEGFGSTEDTSEDADDEQQGAIETGTGLGDGDGGKSVSSKIDDPSQIEDMATDVAQKPDQTPKNDDDAIEMKEDFHAELSDVSEDPEGKDSGSEDDEEDMNLDSQMGDTGDSSEVVGKKSWDKDEDDDPKTSTEKYDSGSSVKGTEKNDRELRAKDDGSVEEEDHMEMDNDEQGKSNDFEDEPITNEETDLNTEEVMDKADAYDDRTGPELPEPDNDSKDIDMERQEPDEEDADHEDIGSEEVEQGDQRSDDSDDMELGDMAQHGDSGVDNEGDHVEDANTEPSNIDKQQLDKIDSLKHPSQGQPENMQVDNNRESEENLANSSDMNGAVAPSVNFSGNEVPNMEISLPNSGDDSRLSNSKPDVQNEAPQSHIKQANPFRSIGDAMEDWKERARVSADTQDHPPDSGHHSDDENATQFRYVPEGEQSTSQALGAATADQISDETQIKHSFMEDESHVKKLEQSEERTPDNPEVPHIQASQALTSKSENVNELEDPEIQTDTPVQDLVEVGKYSSFQNLVSFKRSLADDKIAPDDLTVDMELCTQMDLDIPDAETERAIVGWKNLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVRILHDFDQIFSSEAGVNMISSLSFEQDNKIEDQPVSDLLTHLNTMLDTAVAKARTPSGQNPLQQLILIISDGKFHEKENLRRHVRDVLNRKRMVAYVLLDSPEDSIMNLQEACFKAGEELKLKKYMDSFPFPYYVMLQNIEALPRTLADLLRQWFELMQSTNE >PAN47054 pep chromosome:PHallii_v3.1:9:15172317:15205223:1 gene:PAHAL_9G230700 transcript:PAN47054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGSFSAVAAAARLLARCPALRSDPRLYALASSTAAAPPARDDVAAALAEPLLHPRYTVAVLGCFLPLAPALVDRAVALLRSAGPQALRADDAARMEEEAEEGDVRVVEFYLSRGRGMRLHELACFALARALDIAPYLLRSVLSYFKFSPPPFQRLLSASFPSQISSKELHLFLDATRVSYRFLQLEPRVFCEQWDWSCFLDLVYSTADYSLVDNSLDSVGSDLRWCTIQILMVVLKASDMAIESFGLGADEAFKCFLRWKEFCIDTSLEKASLYLQNEDGNSKSTVDGLTTLADCLSDWPEIATGSDRSIGIYECPFVLTATLRKSYEVALMAVSQKWPVLLYGPVGAGKTALINKLARIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWAPGSLTQAIVKGFWIVFEDIDKAPSDVQSILLPLLEGSSAFSVGHAEAVEVAESFRLFATITTSNSDVSHALEGRLAFSALWRKVMVGEPNRSDMVNIIKGCYPSLGPISSKLIDTFEKVNSLGSHQFGGPNIAGGLSDGVLHRFSLRDMLKWCKRILRVDLNFEGLGFASSCFKLIYHEAVDIFAGSLSSPEKRFYVAGEIARILGVPYQGETVQRTDKPIIQARHTELQVGRVTLQCSDKPALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTIVQNLAAWLKRPLTVVNLSQQSDISDLLGGFKPTDAWSICFPLYIEFKDLFCRSFPAKDNEAILRHCDVCVMEKSWKKLLHTLAKCVEKAQKLIEGISKSSSGSKRKRALPAQVVSDWDTFSSRLNVACRQIGSSTGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDIDYVERHPCFRMFACMNPATDAGKRDLPYTFRSRFTEYFVDDLMDDDDLRLFVNKYLDGLNAANGVTDGIVRFYKAAKKESEERLQDGANQKPQFSLRSLSRALGYIKSAEKRFGFRKALYDGFCMFFLTMLDAPSAKIIKNLLLSLLLDGKMPPSIYFADYFIEKPVQIDGCVSDEFLQSYVLTNSVTEHIVNLARAVYIKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYVTDSQGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPMLYGGRKMLSRAFRNRFIEVHVDEIPEDELVTILEQRCRIAPSYAKKMVDVMKDLQTHRQNSRVFAGKHGFITPRDLFRWASRYRTFEGKSYEELAKDGYLLLAERLRDDNEKTVVQEALERHLRVKLNIADLYNLEVTREDNLSLDAIRLRVQECFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGSRLHILNCHQYTETSDFIGGFCPIRDRSRIALEFKDLVAKIKQMKIFVYVAGDMPLETDISGADSVMSHLNEILDRYRKEKDLFPEVPARDLDTMEQIKLDLMHLRKRWQAIFVWQDGPLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSELEKISAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDGDELKNITLAKFTKAELSCFGDCIVNFWKWFNQLDIGRTLTIRDLLSWISFINVTEQNLGPQQALIHGLFLILLDGLSLGVNVSKSEATDMRSTCLSFLLEELQKVEGKNVDSYLNDLNNYGWGENMRKGDTDHDYLEGHFGISPFYIPKGQFACKQQGFEIMAPTTSKNVLRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGLSGHDVVRINLSEQTDMMDLLGSDLPTEGRNGMEFSWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGRTYKCPPSFRIFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSEEDYLFICKSRYHPLISESFLRNLIRFNNRLHMDTMVHRKYGQQGSPWEFNLRDIIRSCEIVAGCPDTLNDDCFLNTVYLQRMRTVDDRHKVVELFEEVFEKKPSIHQSKMLYVNPHCLTVGNASIRRNNFQSYKMQNNQLNIFPGILNSLEAAMHCIHQGWLCILIGQNSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRQYKAVVTQVEHYVNVYFSLSMDLNWKNLVVERKDLFAKWFEFVAEKRYSSVRTSTFIEMRGNASLPSLSLVAEIVEQMKCDLEMFDLPISLTKDDLCKTLKSIYNLQQNGTAHQPVKFEWVAGDLIRAIESGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVVIKAHPKFRMFLTVNANYGEVSRAMRNRGVEIFLMDQSWDLKGCSNVPGNSEKKDVIRFLISSGIPRMELISSMSKAHMYAKAEGLRVGINITLLEITRWVQLFQQLIIKGNQFLWSLHLSWEHTYLPSLGEVNGSKIVEEGKLMFLTNFDGSEIVDERKFKFLTDFDGYSTSLNSGFSLMLPGGWPIEQKLRDFIWYSKETCVKRNCMYLQSLSAHYAAYQISHFKEVPVSNMHPSIIPTASLRQLHFPTVSGQSVKTRVTGAFDLDLADQMLFFAANWVMEQSAENDHELYAKWFEWYHRLVQPYCNFFEHYAYILKQESEHPIWHSILECYRVIVGYHKTNIVTQPIPLLSKKLLDMAGCEALKAYQDRLCNSFNGLRLLRLTLQQWQFETKFPDLAVLKSTFLPALESLRCLEGELLKMIVKSQEVLHIYSRILDYHRSIWKMMISSQFEGLPVVWNLLRKEILKLQLKFPVEVGVFLMESVNLNNLHDFNFQYGKPTLWINGGHPFVPSSSMVFDKIQSIVAVSAAVWPKKNLLNIHLDDKQQLTDAMLSANQDLRCLAMEGLSMAIVATKTEEDVSTIVDRLDDVDQRLRRKVDFERSKLSSKTSVSEVKSCCSVSSDILCNIYGFTAWLESLPLLNLKSINLDALLLKRLSKCAQKDPSEAHKIIVNSGYLLNYAKNYSLGSSSRSPLEYTQHQMIWWIRDAWATVDNVHVEIANSILEMWYNYHSSLWTYCSGSPKILPVTQDETCDLAHLTKIDAIDTIIQKDLRVVDYQKNCLMLRISSGNLWEGVSCVGNFVASLHSIADSLFKQIIFAHKNHFKEDDFHRLEAVLFQQTKNYIEKEDLGTTCALLSSSSHGVLASLAGSDELVESLLMDLYSSYSRDSLLHTGAAWMRIGMLRFRLLLTSYSPDPAFESAYIHSHVLEKISLVKLEGKVRHDCEELAGSSSPEDSHDYKLLQDLETEEKGIRAKVVFRPQQSKHKSLVAACSEFENRLSDCKDLLANLNCNGAGQLEVDRVCNWQITSMNFIKRLTEEYGEYTDLIQPIQVAVYEMKLGLALALSASLQREFLKKIKEDDIERVLGTICTFMQFPSGITERVVTDMTDLTDYAVGVKLTTQNSCSGDVDVLKKLAVVSSQLNVGKVGDKVKSHSEMLVSIHHMFLVRTAYRVSCSLIMDTSSFLSLKGTFDHFTSMWIDMKSHLKAKENNDLQYYKFKSRPINIEDIFKEDVPLLSDMDSEDHIVPDNEEKIEQEFFKITERINGDNGVVEDSWDAIPECVLKCIVMTHNQLFGSPDLFEKPSKCPISDAQKIQSFIESYELGTRILKDLPELTCSVFDEKLMPEHLFRVCLEYRRTCATSLACNGYNAYKDPNPSVMFKMVEPLTTLQEKVRKYLEEWPDHPGLMKILDTIASLLAMPLSTPISKALLGLQLLAGKAQTLQENDTKFFLKDHLPPIFLLVYSWQRLELDSWPLLLEEVQEKYDMDAVKLWFPLRALLTQTSGVSSDEDLSIIRSVEEFVQTSNLGEFKRRLHLLLAFHGEISDGASLGAYSSTITKKIRNILYNVFGYYMQFLSLVHEKIEAGKRSIEDNLKDLVKLYSWEQEPYSTASIDKFKKARQKIFRLLQQFNNDTLRKPVIDLLNEEITARKVPCWLDPQRPESQFPVDTEKFNKRYSWYDKWASETSLSLQTLQHTNVVGVPTAKEYADVVQSVNNRRDEIELNDRLKFFWAALERICGAASFANTLKHGKKNQKKAALSNLFKTLEECGLTKHRPMGHEWRDELSAPSSLFLEQSYDAKHLLQQVSSQKELEDVSVVHCTLLTTDNWKHANRKYFNCLAMMQQLRQVSLKFNKDLGLEEVNRATSFMNHLLTILSEQRHFAYKLFDQLNQLQNAIFLLGSGGERSLSSCQNVLLSSMWQQKKLFDSVLTMTTDTKLLLRSFKDCHHTSCNNFEEVAALSTLLEKFISRFSESKDLLDKYLLGSNNMFAGAHKNVPLATREMEELFSQNCKLVDLLREDMRVMRQQEISMRSVKEVLISRLEELLDKGKMAMENPREVEDNHGICSDVLPELEASYEGILEETFMLAVSVLGKLTDLEICNNGAEDSLEGTITSWKDVFQSYTMNLKLSHICDASEKLCIRVKRLADHKPEIHSSIEAHLMHLHAWLGVILSSAEGILSELLEAHGTTAEITDALGDLFIYLFGEGFGSTEDTSEDADDEQQGAIETGTGLGDGDGGKSVSSKIDDPSQIEDMATDVAQKPDQTPKNDDDAIEMKEDFHAELSDVSEDPEGKDSGSEDDEEDMNLDSQMGDTGDSSEVVGKKSWDKDEDDDPKTSTEKYDSGSSVKGTEKNDRELRAKDDGSVEEEDHMEMDNDEQGKSNDFEDEPITNEETDLNTEEVMDKADAYDDRTGPELPEPDNDSKDIDMERQEPDEEDADHEDIGSEEVEQGDQRSDDSDDMELGDMAQHGDSGVDNEGDHVEDANTEPSNIDKQQLDKIDSLKHPSQGQPENMQVDNNRESEENLANSSDMNGAVAPSVNFSGNEVPNMEISLPNSGDDSRLSNSKPDVQNEAPQSHIKQANPFRSIGDAMEDWKERARVSADTQDHPPDSGHHSDDENATQFRYVPEGEQSTSQALGAATADQISDETQIKHSFMEDESHVKKLEQSEERTPDNPEVPHIQASQALTSKSENVNELEDPEIQTDTPVQDLVEVGKYSSFQNLVSFKRSLADDKIAPDDLTVDMELCTQMDLDIPDAETERAIVGWKNLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVRILHDFDQIFSSEAGVNMISSLSFEQDNKIEDQPVSDLLTHLNTMLDTAVAKARTPSGQNPLQQLILIISDGKFHEKENLRRHVRDVLNRKRMVAYVLLDSPEDSIMNLQEACFKAGEELKLKKYMDSFPFPYYVMLQNIEALPRTLADLLRQWFELMQSTNE >PAN46381 pep chromosome:PHallii_v3.1:9:11497193:11504969:-1 gene:PAHAL_9G181900 transcript:PAN46381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVGVHHHHHHHHSLSPRTPRTPTRAQAQPLLHHLPSNRSRDPQSQIHAAVGRILRATPPFFLVLLAAVYLLASVTIFSAPTPLLRLRGTSPRPLLLSMPAPPPAPEFFELHGGRLRVRLTNVGAAVTSLLAPDKNGVLADVVLGFDSLDPYLNGTSPYFGCIVGRVANRIKNGKFTLNGVQHNLSINNPPNTLHGGFKGFDKTIWEVTEYNKGDNPSITFKYYSKDGEEGYPGDVSVTARYSLLPSATLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLSHSLQIWGSQITPVDQTSIPTGEFMPVSGTPFDFLTGNRIGSRIHQVPGGYDHNYVVDSGEERLGLRHVAKVADPSSSRVLDIWADAPGVQFYTGNFLHGIVGKGGVVYGKHAGLCLETQGFPNAVNQPNFPSIIIQPGEKYRHTMLFEFSTE >PAN48810 pep chromosome:PHallii_v3.1:9:57594122:57595572:-1 gene:PAHAL_9G407300 transcript:PAN48810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGDELKLLGMWASPFVMRAKLALGFKGLSYEDVEEDIFGGKSELLLSSNPVHKKVPVLLHNGRPVCESQIIVQYIDEAFPGTGPSLLPADPYERAIARFWGAYVDDKLLASFLQSARGKTEEEKAEGLKQTIVAAENMEAAFRELSKGKPFFGGDTVGYLDVTLGALLAWVHAAEKLYGFRLFDAARSPLLNAWAERFGALDTAKAVLADVDRLVEYAKQRQAAQAAAAASSDT >PAN51427 pep chromosome:PHallii_v3.1:9:68709977:68715064:-1 gene:PAHAL_9G562900 transcript:PAN51427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATRVGLALAAYALLLFCGFSAASSGDVGGGVARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPATPDGPPGDFFPPAPPTAPAGGGGATTSSSPTTVAANVPTAPSGAGDGSHRGGPAKATIIAAGAAAAAAVALLGFACAFLITGRARRRGDSQKLLGPDRGSARHHAAPSAAEFLYVGTVEPTTPGRHHGPTAADLVGSPYRKLRSERARRGVGRDDPTDHPSPELRPLPPLRRAATMGSSDEDAYYTPRQRSGGSGGGGPGGETWSEASASSPPTTTTASRRSLPSLTSDCFPPVAAIAAPTPPPARSRRTPPRTRFSAGSTPDIKQVISPSPRSVQSSKPTQAPPPPPPPPPRPPPPPLKPNTAPKPPPPPPPPRPPSNTIPRPAEPPSGPTSRRRLLKPLPPEGPRIAMPMPITEATSADINGSTSMRKEDDAVDGLVGNGEPRPKLKPLHWDKVRATSDRAMVWDQLKTSSFQLDEDMIEALFMNNSTPAVPPRDAGRKTTAPPFRQEERVLDPKKAQNIAILLRALNVTCEEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYNGDLSKLGSAERFLKALLDIPFAFRRVDAMLYRANFETEINYLRKSFETLEAACEDLKGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMIIHSSKDEQLRKQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLERQCTQGQKFFTTMQSFLKEAEKEIEQVRGEEKRALVRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDHVCKEVGRMQQDRTVIGSARSFRISATTSLPVLSLYGQRRENDSDDDSFSS >PAN47663 pep chromosome:PHallii_v3.1:9:22344633:22345539:-1 gene:PAHAL_9G269800 transcript:PAN47663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAASSDTCRGCISRGIQGTLLVQRQLTSFHYTIQKTRFFQMNNRIKLDGQCRITETDITKVHTDFAIIQPKSCPNTDQSDKTQGSMTIRHSDTVYCRKKHNHPVVPRR >PAN50605 pep chromosome:PHallii_v3.1:9:66691047:66691523:1 gene:PAHAL_9G531900 transcript:PAN50605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSTVELIALWASSSPSLLAFCFSHLIIAVLFLGGRGGCASELDTDGDSRGEWTSEGAQAEPLRGVQVHGGGKSNGGQEGPAAATNIIAGEGEVDAAAVQLEASGKDSGGDGESVAADASSQEKGGGDAEEDELMARAEEFIQRMNRAWRTENVRLC >PVH31333 pep chromosome:PHallii_v3.1:9:6876504:6881728:1 gene:PAHAL_9G117400 transcript:PVH31333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTDIGCCSCFSFLRKPRASVPQPRDADGTFSEELLKRQSAEDPDESFYTGDDPDLSLYNGDNLDGSFFNGDDPDRCLYDEDGNDYLDGSDDGPPRKSSEDIIQSRAQNGFACREIPVKETNKVFRSEDENGNKMVNQYVHLGKIGSGSYGKVVLYRNVEDGKLYAVKVLNKPYMMKVRVVRSETAMTDVLREVSIMKMLNHPNIVNLVEVINDPNIDKFYMVLEYVEGKMVCDNGLEEATARNYLRDIIYGLMYLHSHNVIHGDIKPDNLLVTSSGNVKIGDFSVSQVFEDDDDMLWRSPGTPVFTAPECCQVQVQPTMAGHPIRGQSA >PAN45420 pep chromosome:PHallii_v3.1:9:6876027:6882641:1 gene:PAHAL_9G117400 transcript:PAN45420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTDIGCCSCFSFLRKPRASVPQPRDADGTFSEELLKRQSAEDPDESFYTGDDPDLSLYNGDNLDGSFFNGDDPDRCLYDEDGNDYLDGSDDGPPRKSSEDIIQSRAQNGFACREIPVKETNKVFRSEDENGNKMVNQYVHLGKIGSGSYGKVVLYRNVEDGKLYAVKVLNKPYMMKVRVVRSETAMTDVLREVSIMKMLNHPNIVNLVEVINDPNIDKFYMVLEYVEGKMVCDNGLEEATARNYLRDIIYGLMYLHSHNVIHGDIKPDNLLVTSSGNVKIGDFSVSQVFEDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMMSGHYPFLGDTLQETYDKIANDPVQIPGDMNPQLADLIQRLLCKDPGDRITLQAAAEHPWVVGDKGPMPEYICRCGFGRRERNDFRE >PAN51786 pep chromosome:PHallii_v3.1:9:72294732:72299596:1 gene:PAHAL_9G620600 transcript:PAN51786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MATPPPPLRRAILPLLLVTVLVGALATTARADLVISRADRRVDLTSHIVRVLASLKVENVGPDPVSQVLLSFPNIQAKNLAAIRAFGTEGKVKGPSTVLPIEVVQPSGAPPELTFFSALLPKPLEKGKILHLDVLTVFTHSLQPFPEEITQAEAQLVVYQDSAHYLSPYPVKVQTLAIRLPGGRVESYTRHPSAKLVDSELKYGSFEDLPPFSYLPVIVHFENNNPFAVAKEVIREIEISHWGNVQITEHYNIAHGGARLKGEFSRIDYQSRPYVRGVSSFRNLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEIEPRFPLFGGWQTTFTVGYGLPLQDFVFYSDGKRFLNITFGSPIEEILIEKLIVKVVLPEGSKDIEVSAPFPTKQWQEVKYSHLDIVGRPVVVLEKPDVIPEHNLYFQVYYKFNNISLLREPLMLITGFFLLFVACIVYMRTDISISKSSPSYLAKLQWDEVQAAVQKIQGIFEQCLAVHDKLEASLRDLSRTGDIQSCKAARKAADAQFKELSKELKSLLTSLQSSPQSYQIWPKVEDLIIKEREMQEKLMTRHSTVVDSFEKKLRGQDIGNRIALQQQKIAALRQEVESLLEYISEI >PAN43901 pep chromosome:PHallii_v3.1:9:111633:112755:1 gene:PAHAL_9G001500 transcript:PAN43901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVIDQIPARRRRRLVLRSVLSSSPCTRARAYLLQQASACGRPCGEIRSDMAATSVQAAAVLCLVVLAASPRAALGNCRNDCNAACNGWPVVCQLSCASACMGEVGISTLSTTAAAPAKDPASAPAPAPAQQGGGVSVLRGLKPSSAAHGDGDAPTN >PAN51539 pep chromosome:PHallii_v3.1:9:71064188:71067929:1 gene:PAHAL_9G601200 transcript:PAN51539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAVPAAGYGEDGVYRSLRPAVPIPSDPGLSVNDLIFRRADACPAAPALVDAATGSALTFAGLRSAALTAAGALSTRAGVRSGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTAREIATQVADAGAKLVITVADLLPKVAELRLPAVLLDGDCGGATTTASVASNTNVILYRDLVDGVQETEYRRPPTKQSDTAALLYSSGTTGASKGVILTHGNFIAAHAMFTSDQDARGEGPNVFLCFLPMFHLFGLSIVTLGQLQRGNAVVVMPRFDVDSAMAAVQRHRVTCLYCVPPVMVALARHGRAGRYDLSSLKLILSGAAPLGKDVMEAVARDFPGADIIQAYGMTETCGIISLENPERGKARQLGSSGTLATQVEAKIVDVETLEHLPPNQLGEICVRGPNVMQGYLKNEEATEFTIKQGWLHAGDLGYFDEGGQLFVVDRIKELIKYKGFQIAPAELEGLLLSHPEIHDAAVIRFPDTEAGEVPVAYVVRSPKSSLSEADVQNFIEKQVAYYKRLRRVTFVDSVPKSPSGKILRRELIRQQVSQCSGLHPDAG >PAN48125 pep chromosome:PHallii_v3.1:9:52804945:52808221:-1 gene:PAHAL_9G360400 transcript:PAN48125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTSARLTAPPSRDAGRPSSHHCSPSSPTAHRCTATSSATALCPYPAPFFLPSSSLHLLHPHAKPSSASIAERNPPAMPCTLLIFCAPSLLTTPSTFPSIHPSSSQPNLPELAIFRPPEQPAPPSSTPNTEEHLRPPLIAVRPQNRSSVRPSTFPALFPPDSLAAPPGTDTPPSRPACRRRLHVAGCPCAEPRRTAAALGRAGRGPSLLLPLAGLAADGSRAPAMTRASHGLVLTQSSRPDQWGSVVRRG >PAN46556 pep chromosome:PHallii_v3.1:9:12337447:12342713:-1 gene:PAHAL_9G193400 transcript:PAN46556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) UniProtKB/Swiss-Prot;Acc:Q9SLN5] MEKGAPESSLCCARCGKPALLQCPKCAELKLPREGAAFCTQDCFKAAWSSHKSVHPKPNAQQSPEGWKYCLKKGRARSLQLPRFEWTGPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARIIKPGITTDEIDRVVHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLEKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGIMKAGQTFTIEPMINAGVWNDRLWPDDWTAVTADGKRSAQFEHTLLVTETGCEVLTARLPSSPDVFPWLKP >PAN47976 pep chromosome:PHallii_v3.1:9:41554058:41554788:1 gene:PAHAL_9G326700 transcript:PAN47976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRHDAKQAEQEGTVVLRCFDGVKIPVPTALALRRSELVAAAAGERVVDVPGNVYGPVVARVAAYWEGRAAATGSAAGAAFDAAFLAGLRHDALVDLIHAAHHLGDAALFDLLRSGA >PAN44398 pep chromosome:PHallii_v3.1:9:2200662:2209616:-1 gene:PAHAL_9G039600 transcript:PAN44398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYERIELLLKWCLRETCGAGKACLRTNYHGTKQIIGALLPLLLAFFWNLSEARVDAVGAAFARDLEAGAAAAAARGWPAGALSAYAVSKAALNAYSRVLARRHPALRVNCVHPGFVRTDMTVNFGLLPPEEGAGRVVAVALLPAGGPTGAYFQDRQLAPFV >PAN48363 pep chromosome:PHallii_v3.1:9:54763746:54764758:-1 gene:PAHAL_9G377600 transcript:PAN48363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQQQALPLCTVLLVALLVVSAMHAVPAEAGRALAGGWIGYDPLNPGRTPSAGPGQPYIPRPCEKIYRCPPATATATATP >PAN44765 pep chromosome:PHallii_v3.1:9:3931231:3934801:-1 gene:PAHAL_9G068400 transcript:PAN44765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AE7-like 1 [Source:Projected from Arabidopsis thaliana (AT3G50845) UniProtKB/Swiss-Prot;Acc:A8MR89] MIKTRQRKKNIRPHLLFSTSLSHSAPKSIRTAASKGRRKMTVGMINANPVVHERPERAAHPHAADALDPLDVFDTVRDIRDPEHPYSLEQLSVLSQESISVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDPYSH >PAN46084 pep chromosome:PHallii_v3.1:9:10062485:10068686:-1 gene:PAHAL_9G161800 transcript:PAN46084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSKIFKGSVNRVSRGHYNGNSHEGYSTQHTKSYGTHDNEDEDMDHAIALSLSEEDQRKGKAIDTEHHLDEDEQLARALQENAGHTLDEDEQLARALQESMNDGPPPRRHIPIEDVHSESAPASNLPPNVPPTSGLRVCAGCKTPIGHGRFLSCMDSVWHPQCFRCHACDRPISEYEFAVHEDHAYHRSCYKERFHPKCDVCNSFIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKHSQYITLDDGRRLCLECLHTAIMDTNECQPLYIDIQEFYEGMNMKVEQQVPLLLVERQALNEAMEAEKMGHHLPETRGLCLSEEQIVRTILKRPIIGPDNKIIDMITGPYKLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITSGSASMATTSAGSSSSSTSSSSKKGAKTEFEKRLGEFFKHQIETDSSAAYGDGFRAGMRAVERYGLRSTLDHIKLTGSFPY >PAN45591 pep chromosome:PHallii_v3.1:9:7745970:7750922:1 gene:PAHAL_9G129500 transcript:PAN45591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLPRVLPILLLAAAVTAAAAQAGGASLNGAANDLLPKYGLPKGLIPDSVASYSFHEATGAFEIHLASTCYVHFGSHLVYYERTITGKLSKGAISDLTGVQAKKLFLWVYVTGMVAHPDKGTIEFQAGFVSESLSASMFDEVPTCGASVGAQLRGAAGVIGELGLLPVAQA >PAN45734 pep chromosome:PHallii_v3.1:9:8454653:8455926:1 gene:PAHAL_9G138400 transcript:PAN45734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAGKKMGGGVPALGWWLMVVGAVRLAVTWSGFFDAAALGSATYAHAQVTGVHGRTFGVWTLLSSTLCFLCAFNLDSRPIYAATFMSFVYGYGHFILEYLVYRTFTAANLGTFALVAVTSMVWMLLQWNSHGHGPRVAAKQS >PAN49642 pep chromosome:PHallii_v3.1:9:61865900:61869592:-1 gene:PAHAL_9G462300 transcript:PAN49642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRPQPPAPTPPMAPLPVPVHPPIAPIPVPPPRAPIPAAASVTSTSASAAGGGSGDEVEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEADGQVDRLLRAQEDDQAARAGEEEEEEEQIQYPFFTEGTQDLLNARVDIAQYSLPRAKARIERAKRRHEDPDEDPEAEADLVVKQAGEFVLECSEIGDDRPLTGCSFSRDASLLATSSWSGLIKVWSMPQITKVATLKGHTERATDVAFSPADDCLATASADKTAKLWKPDGSLLVSFDGHLDRLARLAFHPSGKYLGTTSFDKTWRLWDINTGKELLLQEGHSRSVYGVSFHPDGSLVASCGLDAYARVWDLRSGRLFFTLRGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRKREMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVAHDRTIKIWSCRSSTQDNAMELD >PVH33334 pep chromosome:PHallii_v3.1:9:73027870:73028496:-1 gene:PAHAL_9G630700 transcript:PVH33334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEQDAAGAPPLPLRRVRADTRHPVYRGIRYRGGKWVSEIREPRKSTRIWLGTYPSPEMAAAAYDAAALALRGAEAALNFPGAAASRPAPASCSPDDIRAAAAAAAAAAAAMAGRRPGECAGHSYLPQAAAAASTSGSEAAGASASEQRAGERRIVDEDDVFQMPRLLEGMAEGLMMSPPRLSPTADGAGSLEEEDNDNGMSLWDHS >PVH32129 pep chromosome:PHallii_v3.1:9:40729430:40729966:-1 gene:PAHAL_9G323700 transcript:PVH32129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRFKRWYDSSSQMPTHVSDSMSVDSIALPGQGATSRRIQVLTEEGQIVLRTDLERQAFTIMRNSSQKPVWTNEFGSVFHNVGWLEFWSIAEPGSKLLTLEFLSTLEVTDTSINFCMFNEEFSSTWRTLSNALGFNKRCSLDSYECFPNFDTNKFGRKFLAKKVVEHYKLMTFITTP >PAN49863 pep chromosome:PHallii_v3.1:9:63137386:63139158:-1 gene:PAHAL_9G480300 transcript:PAN49863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLLLLAVILHVCAAAVEALVFDVPSGSSKCLTEELRRGALSRTSYRVAPESTSAADPRVSARVTGPRGEELHLAEAAERGELRFQAAEDGEHTACFWTPRYERGAVVSVDVQWDTTGASARGTPPAVADGRIAEEQASVTFQSIAEELKKLEDSARLIHEEMISLRQSEREMQRLNQDTATRMHAFTLVSLAMCVGVAGLQLWHLKTFFQKQHIL >PAN46185 pep chromosome:PHallii_v3.1:9:10490904:10497114:-1 gene:PAHAL_9G168300 transcript:PAN46185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAYSLRAPPSATQQRLRLPPPPPPPPFAAAPPDAGAARRRMAVGVAAASASPFDELHARGRPVHGPSKKSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDAECPKSDITEDNHHSINLGRPVTIFRLSEEDMPQDPGKSDEESSHESMGEELGNLTPQAEEYIIQMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPPLENTSGGALNLGGEDDDRAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELTLLLRMSSDVGSFTNGDDHV >PAN44812 pep chromosome:PHallii_v3.1:9:4168803:4169713:-1 gene:PAHAL_9G072300 transcript:PAN44812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICVSCDAADEGAAAARVVLPSGELREYAPPATAAMALEEAGGQGSWFLCDADGMVLEGPVAVAAVAPGEELQPGQIYFVLPAEMQRRRLTGDEVAALAVKASSALVKAAAAAAAAQPSSPCRRRRRGAVAPLVFPVPEEEYAAADPVSPVAAKLAQKRRVARRGGRATRFSPDLTAIPESE >PAN51133 pep chromosome:PHallii_v3.1:9:69354575:69356831:-1 gene:PAHAL_9G572800 transcript:PAN51133 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABH1e [Source:Projected from Arabidopsis thaliana (AT5G10260) UniProtKB/TrEMBL;Acc:A0A178UPG4] MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDRQSFLNTSKWIDEVNTQRGGDVLIFLVGNKTDLVDKRKVSTDEGEAKAQEHGAMFIETSAKAGFNIKPLFRKIAGSLPGMDALSSAKQEDMVDINLRPSTGSSGSGAASQAEQKSGGCSC >PAN51752 pep chromosome:PHallii_v3.1:9:72134911:72140170:-1 gene:PAHAL_9G618200 transcript:PAN51752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL26 [Source:Projected from Arabidopsis thaliana (AT3G07070) UniProtKB/Swiss-Prot;Acc:Q9SFT7] MGCFGCFAPEAEEGDEDHKPSKPDDSSGADARRKVAPDVANGYAHSFTFKDLLVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLAQDGVQGRNEFLVEVLMLTVLNHPNLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKKPLDWNTRVRIAVGVAEGLSYLHNVADPPVIYRDMKAANILLGEDFSPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFYRLADPALLGCYPSSALNQLVVISIMCLQDQPHVRPIIADVVTGLNHVASQPYAPERLPVAMSSPANSGSPQFVLTPSRRRGSRRTALYA >PAN51926 pep chromosome:PHallii_v3.1:9:72984270:72987795:-1 gene:PAHAL_9G630400 transcript:PAN51926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEKQEKIGEGTYGVVYKAVDKATNELIALKKIRLEQEDEGVPSTAIREISLLKEMNHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKTPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNAVKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSCLPDFKTAFPRWPAQDLATIVPNLEPAGLDLLSKMLRYEPSKRITARQALEHEYFKDLEMVQ >PAN44112 pep chromosome:PHallii_v3.1:9:1057141:1058700:1 gene:PAHAL_9G018200 transcript:PAN44112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPVAARSRVTCRGSSAAGAWPQCRRPLWSVDDSSPSPPRHPLPRAPYMLEVLPEHDDILGPPGERRASLDLEAARHRASFERVDVEHSPASTDNGEFPPSTLLPTWRASLPP >PAN47072 pep chromosome:PHallii_v3.1:9:15289688:15290558:1 gene:PAHAL_9G231800 transcript:PAN47072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLVALGFIVLLSMGLANAARVARYSSAGGTGTGEGGGVGYVNGAGSGSGSGAGSGESSSSGVHASAGGGGGGGGSSYNGGSGYGGGSGSGYGSGQYTQGSYYGYNGGYSNAGGNGGGGGGGQAGGYYGSSGQGGGSGTGSGSSEAGTYWHGPSYANANANGNGDGNGTGQNGGSGGGQGGGSGYGNGNP >PVH32203 pep chromosome:PHallii_v3.1:9:48100178:48100471:1 gene:PAHAL_9G345200 transcript:PVH32203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVYLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PVH31198 pep chromosome:PHallii_v3.1:9:4617005:4619572:1 gene:PAHAL_9G079900 transcript:PVH31198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMHLMPTSTKMARSNRSMLEWKRVPMFIILSILAITSIASTHAIASPKDSFVPQDNYLISCGASGSVKLDDGRTFRSDPESASFLSTPVDIKITANNFPAAASPLSPLYLSARVFSDVSTYSFFVSQPGRHWVRLYFLPIPDKQYNLTTATFSVFTDNMVLLHDFSFIASPSKPVLREYILVTQGDNLKIIFTPKKDSIAFINAIEVVSAPPSLIPNTTNSLPPQEQFDISNNALQVVYRLNMGGALVTTFNDTLGRTWLPDAPFLKLEAAAKAAWVPPRTIKYPDDKTITPLIAPAFIYSTAQQTASTNTSQARFNITWEMEAEPGFKYLIRLHFCDIISKALNSLYFNVYINGLMGVSNLDLSSLTMGLAVAYYQDFIVDSSSIINSTLLVQVGPSTTDSSNTDAILNGLEVMKISNQANSLDGLFSPKTSSQLGKRTLTSVGLALAVITSALAMVICCRRNRRPEWQKTNSFHSWFLPLNSSQSSFMSSCSRLSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFAEIQKATKNFEEKDVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGSMNLKPLSWKKRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTWYRKGELNKVIDPHIVGQIRSDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDVVDGTNNGIPMKSFNASGFDDMEKPSSAMPPVQGR >PVH31653 pep chromosome:PHallii_v3.1:9:12892167:12893591:-1 gene:PAHAL_9G202300 transcript:PVH31653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTHPFPGVNMVESNDRTARRQLDFALGINMAGVAPRRQIKDGKADSSNRPQNEKDDYVTERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDRWHYPFFRYCWDSGMSRLPTIRDCPECGTGRPEARDSVFQRIGPAPIRQVRVRSPRKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHARPREEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAINIMPYSVLRRLGRSTVDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PVH32398 pep chromosome:PHallii_v3.1:9:56041414:56041762:1 gene:PAHAL_9G388900 transcript:PVH32398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDEVSKHLYISRVGGDCISEGNTEEPYKYNAGRLKTQLGMHSRSATLVFFPPRTSETCTPAPSRQ >PVH32795 pep chromosome:PHallii_v3.1:9:63794966:63796612:1 gene:PAHAL_9G489200 transcript:PVH32795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEKTTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCSKPDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTNVSSSEDSSDEEDIVTIALTNEESSLPPPPMCLMAKGNTKVCEVDSEDDSDEEFDPNEFTNLINEYISVIKREKGKVKTLESTHAKLELAHSDLLSKYNDLLKRHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPILEKVVHEKVDASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSCPLPKLPKNYSSMFQNNHFLLSKMKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PAN43972 pep chromosome:PHallii_v3.1:9:514305:518550:1 gene:PAHAL_9G007800 transcript:PAN43972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLDIISPYHLYLNPKLVVQQYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARAPNAGVGVGARFGGMGPDPQVQ >PAN47411 pep chromosome:PHallii_v3.1:9:23249432:23249876:-1 gene:PAHAL_9G272300 transcript:PAN47411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGQAPVREAAHMRSPRPPSSRAAPYLSAARSRPCRGARPPGARRIHSLLQPSARRLSSLPRLSVHRVPSLPWLSARSSTELRGVSSSSDGLESSADPGV >PAN50430 pep chromosome:PHallii_v3.1:9:65897352:65898228:-1 gene:PAHAL_9G519500 transcript:PAN50430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPRANAFDPFSLDLWDPFQGFPFGSSGGSLFPRIPSDSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERSKEHEEKNDRWHRVERSSGRFLRRFRLPENAKAEQIKASMENGLLTVTVPKEEAKRPDVKPVQITG >PAN43912 pep chromosome:PHallii_v3.1:9:214967:217101:-1 gene:PAHAL_9G002400 transcript:PAN43912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVVARVSQQGRHHLLSDESSVAAAHRPTPVSQRTRGSAMTQQRRRQALEQEVAELKQQLSNQETVHQILERALQPSSARSALLTIPAFIPTKAKELLAELLLVEEEIARLEDQIQAMKNGGGNRAAPAPPEIKSMFFISQAMDMAMIKTTPPPPNNHKEAAGSSPKLAPTSSRHSIEGRRHPLPPSPPPPPKASNKSSSSANKLSERIVKCLICIFIRLLRSSRVADLDTTRNLQQAGSFSFRIDTTLNVATAAAAKDKDRGQQDHYGIFAIPDAIVRDVGPYKNLVRFTSTSLDLRGFSTSPLLTKLRQMLEALQQVDLRSLSHQQKLAFWLNVYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQTFNALVIENFILRQPSSVKEEFWRCDVDVEEQQVRELYGLKTSEPNILFALCCGIRSSPALRIYKADRVSMDLEKAKLEYLQASLVVTSSSARRLLIPGLIHSNMHDFAKDMESLLRWICEQLPTSWSLRKSMVDCLRHLNMETGMEEAVDVIVTPLDYDFQYLLPM >PAN43911 pep chromosome:PHallii_v3.1:9:214749:217378:-1 gene:PAHAL_9G002400 transcript:PAN43911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVVARVSQQGRHHLLSDESSVAAAHRPTPVSQRTRGSAMTQQRRRQALEQEVAELKQQLSNQETVHQILERALQPSSARSALLTIPAFIPTKAKELLAELLLVEEEIARLEDQIQAMKNGGGNRAAPAPPEIKSMFFISQAMDMAMIKTTPPPPNNHKEAAGSSPKLAPTSSRHSIEGRRHPLPPSPPPPPKASNKSSSSANKLSERIVKCLICIFIRLLRSSRVADLDTTRNLQQAGSFSFRIDTTLNVATAAAAKDKDRGQQDHYGIFAIPDAIVRDVGPYKNLVRFTSTSLDLRGFSTSPLLTKLRQMLEALQQVDLRSLSHQQKLAFWLNVYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQTFNALVIENFILRQPSSVKEMRRGCGRTASEGALWTQDFRAQHSVCAVLRHQIISSPPYLQSRSCLHGPGEGQAGVPPGIPGGDVVVREEAADPRPHPLQHA >PAN50262 pep chromosome:PHallii_v3.1:9:65121277:65124018:-1 gene:PAHAL_9G508300 transcript:PAN50262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAAAALRRGVAASRDPSSSSRLAASAPGSARLFSADASGEAAAAGADSQNDPFLKASSEGLAYGRFYSTAGVGNRLEKNMLKTDIIHHLDRCGLSLDDVKMDYNRGFTPLSALLRFPSKAAFTTATRQTSQNRLYKMEAISRDVWDLKQSFDGKAVLLQGVPRYALPEDIERFLCGTNFEPSFENFLRPGVPEPIRMVLVKFRTKTDAMNAFITKNRSFCLNNQVSMRLLQ >PAN49845 pep chromosome:PHallii_v3.1:9:62971076:62978215:1 gene:PAHAL_9G478800 transcript:PAN49845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVASNVELDSTVFQVSSPDNRYEAIACSKGNTELIASGPFDQLVLHLEDAKKFQSRSSSGTFKLLLAGDGKGSSWFTKSTIQRFLHIINSSDTSKSVNGVLDEMSQLEETRKFHQSLYIKEQQNVTSGALTGGLFGTGAIAQQGNVGPNSSETTKNELLRAVDLRLTALKEEILVLLNQAVGSNLSTREISDLSAFVQRFGTSEFSWLMRCMLLIAECQPSELPVQQDSTAEKIDKGENAHKTRDIIPRTNLQRPIANNVSPAKLAQIERESSTETDDSSESSDEVEAVVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESSNCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNDKSEERISDSTSESKSNNMSYEEKDGPEPDKAPKNFVTSEVEGLTSHADSHDIRVSETENMVSNNICAEQTKSGPEESSDRAMASAEWNRQKEAELNQMLLKMMEVLPGKFAGANAIAAGLDSTNQKKGVTQGQHKEKRDTKITAEKGKRRPTKEASSKPLKDTVGQKKSALTPKTGTVTEKRISPVPQKARRNSSPPVLPKEVASKTPVRKSSPKPSPSPAPAPTTRSSWSGGSLTKATTTQKTKSSPGMASTSTPTSRRRTPTTHPLSQPASKVERTIQPVKNKKETVSATKPAIKGQEEKKPKTAAKTSRITKVSPSSEEKSSITTKESLHKVSKKSSVVPLESKPLKKATGISQSIGSGTVKKKVPQVDDSSKDSGSVNQAEDKEQSPLTTEPTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTEKPASSLTATEMGSSDQVEPSTNDADEAIQTPDEDMGISSAAWVEVEHEEQEVTDPSENVVAEDVASPGIAPLPSSSPRVRHSLSQMLQADSNEPEIIEWGNAENPPAIVFQKDSPKGFKRLLKFARKNKGDNNANGWASPSVVSEGEDELEESRGTSDGANSSRRTFDGSKTNSILSAQSTIGSFNSINSDKQRERPGAAPSTKASRSFFSLSNFRSSRSNESKLR >PAN49843 pep chromosome:PHallii_v3.1:9:62971322:62978215:1 gene:PAHAL_9G478800 transcript:PAN49843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVASNVELDSTVFQVSSPDNRYEAIACSKGNTELIASGPFDQLVLHLEDAKKFQSRSSSGTFKLLLAGDGKGSSWFTKSTIQRFLHIINSSDTSKSVNGVLDEMSQLEETRKFHQSLYIKEQQNVTSGALTGGLFGTGAIAQQGNVGPNSSETTKNELLRAVDLRLTALKEEILVLLNQAVGSNLSTREISDLSAFVQRFGTSEFSWLMRCMLLIAECQPSELPVQQDSTAEKIDKGENAHKTRDIIPRTNLQRPIANNVSPAKLAQIERESSTETDDSSESSDEVEAVVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESSNCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNDKSEERISDSTSESKSNNMSYEEKDGPEPDKAPKNFVTSEVEGLTSHADSHDIRVSETENMVSNNICAEQTKSGPEESSDRAMASAEWNRQKEAELNQMLLKMMEVLPGKFAGANAIAAGLDSTNQKKGVTQGQHKEKRDTKITAEKGKRRPTKEASSKPLKDTVGQKKSALTPKTGTVTEKRISPVPQKARRNSSPPVLPKEVASKTPVRKSSPKPSPSPAPAPTTRSSWSGGSLTKATTTQKTKSSPGMASTSTPTSRRRTPTTHPLSQPASKVERTIQPVKNKKETVSATKPAIKGQEEKKPKTAAKTSRITKVSPSSEEKSSITTKESLHKVSKKSSVVPLESKPLKKATGISQSIGSGTVKKKVPQVDDSSKDSGSVNQAEDKEQSPLTTEPTTKRNRPRV >PAN49844 pep chromosome:PHallii_v3.1:9:62971651:62978215:1 gene:PAHAL_9G478800 transcript:PAN49844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVASNVELDSTVFQVSSPDNRYEAIACSKGNTELIASGPFDQLVLHLEDAKKFQSRSSSGTFKLLLAGDGKGSSWFTKSTIQRFLHIINSSDTSKSVNGVLDEMSQLEETRKFHQSLYIKEQQNVTSGALTGGLFGTGAIAQQGNVGPNSSETTKNELLRAVDLRLTALKEEILVLLNQAVGSNLSTREISDLSAFVQRFGTSEFSWLMRCMLLIAECQPSELPVQQDSTAEKIDKGENAHKTRDIIPRTNLQRPIANNVSPAKLAQIERESSTETDDSSESSDEVEAVVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESSNCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNDKSEERISDSTSESKSNNMSYEEKDGPEPDKAPKNFVTSEVEGLTSHADSHDIRVSETENMVSNNICAEQTKSGPEESSDRAMASAEWNRQKEAELNQMLLKMMEVLPGKFAGANAIAAGLDSTNQKKGVTQGQHKEKRDTKITAEKGKRRPTKEASSKPLKDTVGQKKSALTPKTGTVTEKRISPVPQKARRNSSPPVLPKEVASKTPVRKSSPKPSPSPAPAPTTRSSWSGGSLTKATTTQKTKSSPGMASTSTPTSRRRTPTTHPLSQPASKVERTIQPVKNKKETVSATKPAIKGQEEKKPKTAAKTSRITKVSPSSEEKSSITTKESLHKVSKKSSVVPLESKPLKKATGISQSIGSGTVKKKVPQVDDSSKDSGSVNQAEDKEQSPLTTEPTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTEKPASSLTATEMGSSDQVEPSTNDADEAIQTPDEDMGISSAAWVEVEHEEQEVTDPSENVVAEDVASPGIAPLPSSSPRVRHSLSQMLQADSNEPEIIEWGNAENPPAIVFQKDSPKGFKRLLKFARKNKGDNNANGWASPSVVSEGEDELEESRGTSDGANSSRRTFDGSKTNSILSAQSTIGSFNSINSDKQRERPGAAPSTKASRSFFSLSNFRSSRSNESKLR >PAN44250 pep chromosome:PHallii_v3.1:9:1596755:1598287:1 gene:PAHAL_9G028700 transcript:PAN44250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQVFFLTFALLAVLAQSSNRHHHHHSHVQSKGHGGGGGELASRGKAAARAWPCCDNCGGCTKSNRPLCRCLDAAPRGCHPACRDCVKSSLSADPPVYQCMDRVPNFCARRCAAAAAH >PAN47104 pep chromosome:PHallii_v3.1:9:15642209:15643180:-1 gene:PAHAL_9G234500 transcript:PAN47104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKRTPARAADPGPASAALSGSASPPPRPPPLQQQQQLPEEGSREEAEEAEEKRVILVAGVRVQEGDGDRVPAAASKDSSATDDVPKPEPEPQDAGARCSRNDGKRWRCKGAAVPGYLFCDRHVAWSTRKRKPRPKKHKQHQQQHHGVLLAPAAKDEAAASAEGDMPQLVGRGDGDDDDDGFFSGFQKRARVGGPGPAA >PVH31794 pep chromosome:PHallii_v3.1:9:15641793:15643466:-1 gene:PAHAL_9G234500 transcript:PVH31794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKRTPARAADPGPASAALSGSASPPPRPPPLQQQQQLPEEGSREEAEEAEEKRVILVAGVRVQEGDGDRVPAAASKDSATDDVPKPEPEPQDAGARCSRNDGKRWRCKGAAVPGYLFCDRHVAWSTRKRKPRPKKHKQHQQQHHGVLLAPAAKDEAAASAEGDMPQLVGRGDGDDDDDGFFSGFQKRARVGGPGPAA >PVH31538 pep chromosome:PHallii_v3.1:9:10905547:10911322:1 gene:PAHAL_9G173900 transcript:PVH31538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKAGQRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLHEDEDIANLVSVASAQGSEFAQKDAEKENAPLAVAKNHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMENMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDKASNIPQSSDCLGAENLIRLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNNGVSVALRNCKRVEKMEDPVSPVKEILSLCPHEKLLSLYKVPSFSSVDDFLQKVATLRGKLKKGGVVDVEAAARIVLHDWNEGKIPYYTLPPKRDAGEDSDAVIISEAGKEFNIDEIYKAESSYIGGLKSIEDFHHIEIPPNAPPGIDEEMLEDGKKPSEPVQESREELMSDANDREGSKASSTSRQHDKLYTAEGMLDPRKRKAEKKRRKANKFSVLNDMDEDYDFKVDYQMKDDAADGEYDESDEAAADGKDDVDEDKENDLMTA >PVH31537 pep chromosome:PHallii_v3.1:9:10905547:10911322:1 gene:PAHAL_9G173900 transcript:PVH31537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKAGQRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERGSEFAQKDAEKENAPLAVAKNHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMENMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDKASNIPQSSDCLGAENLIRLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNNGVSVALRNCKRVEKMEDPVSPVKEILSLCPHEKLLSLYKVPSFSSVDDFLQKVATLRGKLKKGGVVDVEAAARIVLHDWNEGKIPYYTLPPKRDAGEDSDAVIISEAGKEFNIDEIYKAESSYIGGLKSIEDFHHIEIPPNAPPGIDEEMLEDGKKPSEPVQESREELMSDANDREGSKASSTSRQHDKLYTAEGMLDPRKRKAEKKRRKANKFSVLNDMDEDYDFKVDYQMKDDAADGEYDESDEAAADGKDDVDEDKENDLMTA >PAN44957 pep chromosome:PHallii_v3.1:9:4790165:4795222:1 gene:PAHAL_9G083300 transcript:PAN44957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARRSTASSAALLLLLLAAAASVYSSAELHRGQEQDRSALLQLKSAFPSVELLRRWSSDSGGADHCTWPGVTCDARSRVVALEVPAPSRRFETGGEPAGELPAAVGLLTELKHVSFPFHGLRGEIPGEIWGLEKLEVVNLAGNSLRGALPAVFPPRLRMLTVASNLLHGEIPSSLSTCKHLERLDLSGNRLTGSVPGALGGLPKLKTLDLSGNRLLGSIPSSLGNCAQLLSLRLFSNLLNGSVPAEIGRLSKLRVLDVSGNRLSGPVPSELGNCSDLSVLILSSHFNSMDSHELNLFEGGIPESVTALPRLRVLWAPRAGLEGTVPNNWGRCHSLEMVNLGENLLSGAIPRELGLCSNLKFLNFSSNRLSGSLDKDLCPHCMDVFDVSGNELSGSIPACVNKVCASRLMLDDITSSYSSLLISKTLEELSLSFYNSGGRSIVYHNFAKNNLEGHLTSLPFSADRFGNKTSYVFVVDHNKFSGSLDSILLEKCSSLKGLIVSFRDNKISGQLTAEFSTKCSAIRALDLAGNQISGVMPANVGLLGALVKMDMSRNLLQGQIPASFKEFKSLKFLSLAGNNLSGRIPSCLGQLRSLKVLDLSSNSLAGKIPRNLVTLRDLSVLLLNNNRLSGNIPDLTSSPSLSIFNVSFNDLSGPLPSKFHLLTCDSIRGNPSLQPCGLSALSDPLVNVRALSETDTNPPADNTAPDGSGGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRPSRRSLRKKEVTVFVDIGAPLTYETVLRASGSFNASNCIGSGGFGATYKAEVAPGKLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERTKRPIDWRMLHKIALDVARALAYLHDNCVPRILHRDVKPSNILLDNDYTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLRELRPPSY >PVH33388 pep chromosome:PHallii_v3.1:9:73755291:73756451:1 gene:PAHAL_9G641300 transcript:PVH33388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMLQALWHGVQNLTDEKRGEETCGSRRRACRRGRRRRRCGCCRRGRRCTRTAWRRCRRRPAGRRVHWLEEREAMTKWKSRRRDVVRGTGRDECRGGCPRPRRRRAAGAPKATASACLSIRR >PVH33025 pep chromosome:PHallii_v3.1:9:67960287:67964514:1 gene:PAHAL_9G550100 transcript:PVH33025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATRMVSSVAALLLLIVTLASAPSVHGANGWALSSCQATCGGVAIPYPFGIGANLRLPIAWTCYDRSGKRLPESEAPVFFNPQGVYRISDERNQLVVVGCDVTAYIQSRHDSSSDAGYPYQYYTDCVSYCRRAEVVRDGRCAGVGCCRVDIPPNLTDNSVGVDDDDMESTAVRRLIYDFNPCSYGFLVERGSYKFRAADLKMDRKQTMPAFNCSDAMKNSSLYACKSQHSSCVDAVNGPGYTCNCSQGYEGHAYIVGGGTDIDECLHPCYGVCHNTQGSYDCKCKRGSHGNPRQQACNPNFSLAAKISIGVICGISCLIALSIFGCVWFSPFILMLNEKRKVQVLFERNGGPLLASINNIKIYTKQELTHITRNFSTVIGKGSVGEVYKGTTNNNQTVAVKAISVKSANEVIIQSRISHRNTFRLLGCCLEVDVPMLVYDFAPKGSPYYGLHGTKDITKTSLSLATRLDIEVDSTEALSYVHSSTNQKILHGDVKSGSILLDENFMPKVSDFGTTRLLSIEKKHTILVIGNINYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNKSLIINFIKSYASEDKAREMFDEEIASPENIEFLQKVGSIAVMCLKEDMDDRPTMKQVAEHLQLVRREWKQRQGRHGDQIVDVISMESPPVSLTIDASGAESPGYSPLLK >PAN48194 pep chromosome:PHallii_v3.1:9:53550250:53552222:1 gene:PAHAL_9G366700 transcript:PAN48194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWWCAAGGLGRRVLSSSAAAVASHARPLPQPLIPKLPSFTAPFSMSCRRHHSLHAPLPQGYFHPAIASSFRPPSALQQQVRHYAKKERSRAPLTPTKSKVKKYKMKAPSSMKFRFRTMNDGQIRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCG >PAN46965 pep chromosome:PHallii_v3.1:9:14926209:14930503:-1 gene:PAHAL_9G227600 transcript:PAN46965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secondary wall-specific cellulose synthase, Secondary cell wall formatio [Source: Projected from Oryza sativa (Os10g0467800)] MDAGGSVTGGLAAGSHMRGELHVMRARDEEADGAKASRSADVRTCRVCGDEVGAREDGQPFVACAECGFPVCRPCYEYERSDGTQCCPQCNTRYKRHKGCPRVEGDEDDGPEMDDLEEEFPAAKSPRKKPHEPVAFDVYSENGEHPPHKWRTGGQTLSSFTGSVAGKDLEAEREMEGSMEWKDRIDKWKTKQEKRGKLNHDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPATDAVPLWLASVVCELWFALSWILDQLPKWAPVTRETYLDRLALRYDREGEACRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRASCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFAVEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRVNALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKQHRKDKKKGGGGGGGADSGDEPRRGLLGFYRKRSKKDKLGGGGSVAGGKKGLYKKHQRAFELEEIEEGLEGYEELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSVYCTPTRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKAKGPILKPCGVEC >PAN51597 pep chromosome:PHallii_v3.1:9:71333408:71336131:-1 gene:PAHAL_9G606100 transcript:PAN51597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAGPERYGCVHYRRKCKIRAPCCGEVFDCRHCHNEAKDSLEVSIHGRHVVPRDEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNLFDDDVSKNQFHCDGCGICRTGGVENFYHCDKCGCCYTYLLKDSHRCVDRAMHQNCPVCFEYLFESTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSSCDMSDMWQKLDQEIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGDQAACSRA >PVH33247 pep chromosome:PHallii_v3.1:9:71333824:71335859:-1 gene:PAHAL_9G606100 transcript:PVH33247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAGPERYGCVHYRRKCKIRAPCCGEDSLEVSIHGRHVVPRDEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNLFDDDVSKNQFHCDGCGICRTGGVENFYHCDKCGCCYTYLLKDSHRCVDRAMHQNCPVCFEYLFESTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSSCDMSDMWQKLDQEVAASPMPAICQKKMIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGDQAACSRA >PVH33248 pep chromosome:PHallii_v3.1:9:71333824:71335859:-1 gene:PAHAL_9G606100 transcript:PVH33248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAGPERYGCVHYRRKCKIRAPCCGEVFDCRHCHNEAKDSLEVSIHGRHVVPRDEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNLFDDDVSKNQFHCDGCGICRTGGVENFYHCDKCGCCYTYLLKDSHRCVDRAMHQNCPVCFEYLFESTKAVSVLHCGHTIHLQCLFSCPVCLRSSCDMSDMWQKLDQEVAASPMPAICQKKMIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGDQAACSRA >PVH33246 pep chromosome:PHallii_v3.1:9:71333408:71336131:-1 gene:PAHAL_9G606100 transcript:PVH33246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAGPERYGCVHYRRKCKIRAPCCGEVFDCRHCHNEAKDSLEVSIHGRHVVPRDEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNLFDDDVSKNQFHCDGCGICRTGGVENFYHCDKCGCCYTYLLKDSHRCVDRAMHQNCPVCFEYLFESTKAVSVLHCGHTIHLQCLFSCPVCLRSSCDMSDMWQKLDQEIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGDQAACSRA >PAN51596 pep chromosome:PHallii_v3.1:9:71333824:71335859:-1 gene:PAHAL_9G606100 transcript:PAN51596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAGPERYGCVHYRRKCKIRAPCCGEVFDCRHCHNEAKDSLEVSIHGRHVVPRDEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNLFDDDVSKNQFHCDGCGICRTGGVENFYHCDKCGCCYTYLLKDSHRCVDRAMHQNCPVCFEYLFESTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSSCDMSDMWQKLDQEVAASPMPAICQKKMIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGDQAACSRA >PVH33245 pep chromosome:PHallii_v3.1:9:71333408:71336131:-1 gene:PAHAL_9G606100 transcript:PVH33245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAGPERYGCVHYRRKCKIRAPCCGEDSLEVSIHGRHVVPRDEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNLFDDDVSKNQFHCDGCGICRTGGVENFYHCDKCGCCYTYLLKDSHRCVDRAMHQNCPVCFEYLFESTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSSCDMSDMWQKLDQEIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGDQAACSRA >PVH31001 pep chromosome:PHallii_v3.1:9:1889811:1890724:1 gene:PAHAL_9G034000 transcript:PVH31001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIRLLDLVPFFFPSKVGKRAWRLGPKFNLLELAGAARTRTPSTTNYDVHSPTWGDGKPTHPPSAMRAIGLGHGSS >PAN50457 pep chromosome:PHallii_v3.1:9:65992250:66000273:1 gene:PAHAL_9G521600 transcript:PAN50457 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) UniProtKB/TrEMBL;Acc:F4I5S3] MGLSQPTGLKSCWADPHRVARHKTTLVGRRRQHPHSISATSRHRLFTFPPPPGYRCSSATSHRGVGESAMEMEMDMEMPDPEELEWMESHGLLPEEEDVYFDDPDEGFVPPPGDSDQPRDSSQPPEPAAPRASEASEGGLKRPPPPPPPEQEEEERSKRRNVGREDEDEEDWLRYPPPPAPEVVVAEKTISRFASEIHGDCVPVTAPNGERVYAKLAMEGLAGGAISGTRQGANFSNPNLSHKGLLSESFHSLTRRAEQEALAKALQESTDSVDREACPVTPLVTEKLWVEKYAPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATCDDVLSALRRHSSNVQKNANNKNFFSKTKGGPVDMPLNAPSSNPEGLGGSFSKRSPVDSTPEQKVLLLCGPPGLGKTTLAHVAARHCGYHVVEINASDDRSASSIETKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKSNNFDRSTNAEETQARKASRKSHRMAKLLRPVICICNDLYAPALRQLRQVAKVHVFVQPTISRVVNRLKYICKNEGFKTSAIALSALAEYTECDIRSCLNTLQFLNKKGAALNISSFDSQVIGQKDKSKSILDVWKQVLQKKKLKRAGKSESHFSKDKDTDSLFTLISNRGDYEVTMDGIYENFLRLSYHDPMLQKTVKCLDILGVSDSLTQYVYRTQQMPIHAYQPPIAITISRMVAQVEKPNIEWPKALQRSRTLLLEKKDTLKTWQNQMSPVVSRHMSVESFVQDIASPFLHILSPLSLRPVALNLLSEREKDELVQLVDTMVSYSVTYRNTKFAPQERANISVVPHDVPSLSLYPPISDVINFKGYQSEHIDLSLAMKQVLVHEVEKQKIIKDSAGKLLNQGSDGDTRSEALSDIRKKAVADSIAPALHSSKDSTKRNSTTLQMQPNSASSLNGKDPTPAKKHSNRATNFFDRFRKERPANGKTHTDVGQQRATIQRDSRPLIFKYNEGFTNAVKRPVRVRDLLLS >PAN49433 pep chromosome:PHallii_v3.1:9:61039720:61043373:-1 gene:PAHAL_9G448700 transcript:PAN49433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPTTKPPSPRPRRRRRLCGLCLGTALLALLVSALVHAVAPPRRHAPAPAPARFSVIIDGGSTGTRAHVFAVGPDGRPDLARSAVMRVSPGLSSFAADPARVGESLRPLIEFAREKIGTAGGATAEAEVRLMATAGLRLLEDRAREAILASCRDVLRAAGFRFEDAWAKVIPGSDEGIYAWVAANYALGRLGGDPNKTVGIIELGGASAQLTFVSDEVLPPELSNNFIFGETTYTLYTNSFLNFGQNAAQDSLHEVLRSRGSSKNSTLVDPCAPRGYSHNEEVMVRTSGASRSTLENQYVDSGNGNFTECRSTSLLLLQKGKEKCKYQQCQLGSTFVPELRGYFLATENFYFTSKFFGLKKSSSLSDFALAGEQFCNQDLSTLREKYPNRSDEDFSRYCFSSAYIVALLHDSLGVPLDDKRIEYSNQVGDIQVEWALGAFITLMQNTSSKPSHTAVTSTHSNRPLFAVVGIFLLCGVFFVSKWRKPKTKIIYDLEKGRYIITRIS >PAN51242 pep chromosome:PHallii_v3.1:9:69876094:69876827:1 gene:PAHAL_9G581000 transcript:PAN51242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKLASSSPLVLALVFVAAMAAAATSDAGERLCYDDLMNAATQCQNYLTHPAEPKIPPSEKCCRAVGDVAIPCLCSFVTEQFEKGVCMEKLVYVFDYCKNPLRPGCKCGSYKVPPLGFGSRR >PAN45550 pep chromosome:PHallii_v3.1:9:7581114:7583133:-1 gene:PAHAL_9G126900 transcript:PAN45550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGRGTPILELDDAHGNVYRCKHCRTHLALADDIVSKDFYCKDGKAYLFDKVVNVSVGEKEDRMMLTGMHTVSDIFCVRCAVILGWKYEVAFERTQKYKEGKFILSRDQLLGPE >PVH32478 pep chromosome:PHallii_v3.1:9:57442014:57442340:-1 gene:PAHAL_9G405700 transcript:PVH32478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAWLQSVRHRRARRTRVKGARHAYVEEDLVGRSELLVKSNPVFRKVPELIIRNGRLVCDSRRVVYTAVHRRGLGSHGKGGHESCRAVQRGPSEGNSENTFYNYTRT >PAN48569 pep chromosome:PHallii_v3.1:9:56272425:56274203:-1 gene:PAHAL_9G391100 transcript:PAN48569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT19 [Source:Projected from Arabidopsis thaliana (AT4G22580) UniProtKB/Swiss-Prot;Acc:Q9SUW1] MANPLSLFLFLFLNVAAAPRGGADGPDPCAGRRIHIRRVPPRFNADLLRHCGADAFPLADASAAATSAPPCESLANHGLGPRTHPRSRSWYRTDARLLEPFFHRRVLERGCLADDPARADAVFVPYYAALDALPYVLDPVLLDASARHGAELAEFLARDQPRILARRRGHDHFLVVSGSAWDYAQPPGAEPRMWGTTSLLRLPELANFTFLTLESRIWPWQEHAIPHLTSFHPASLPHLRAWFARARRSRRSTLMLFAGGVSRPSRPNIRGSILAECANRTDACAVVDCSGGKCSHDPGRYMRAMLKAKFCLEPPGDTPTRRSTFDAILAGCVPVFFEDLAARSQYGWHLPPARYDEFSVHIPKETVVFGGVSVAETLEAVPEAEVRRMRKRVLEMAPRVVYRRHGSTDELRGAVKDAVDLAVDGVLRRIRRRTRAQEEVRLERIYAMEDDSVET >PAN49208 pep chromosome:PHallii_v3.1:9:60011723:60013431:1 gene:PAHAL_9G433700 transcript:PAN49208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAAGKLVGRDKITDATAALFADSVKIPERFIRTNEVQAAGAVVAGEDEASELPVVDMARLLDPELSAQETAKLGSACREWGFFQLVNHGVEEAAMQQIKDSVAQFFSLPLEAKNTVAVRGDGFEGFGHHFSGVASSDKLDWAECLLLFTRPVQARNMEFFWPTNPPTFRRALDRYSVEIENLARRLLSSMAADLGVSQEALLGAFFGAGDGKGQSVSMHHYPPCRHRGTVVGIPPHTDTLGLTLLLQVDDTPGLQVKRGGRWFPVRPLPGALVVNVGDILDVLTNGAYASVEHRVVPDAEGRRVTVAMFHEACVEGRVAPLPELLRGGETRARYRSIGKLEYTKGSIEAVAQGRRFLDSLKM >PVH31589 pep chromosome:PHallii_v3.1:9:11613985:11614659:1 gene:PAHAL_9G183700 transcript:PVH31589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGNHNENSKLWFSDNHVPLYMLREFELKAGISSLPNPGISNSNYFTNFITTRVKAYTGDVFSYLFHKGDVYPCTSCKKDVLFRFSAFPIYYLLFIYSSLKYWLYLHLLCLCFWMNCMQGCC >PVH31328 pep chromosome:PHallii_v3.1:9:6736915:6738554:-1 gene:PAHAL_9G114900 transcript:PVH31328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSPPSSSSAAAASRSGSNKPPPPPQASKWRSGTQHKIYGRRLLDALRATGGGQPRAVKAAADSALALTARGQTRWSRAILLAGAACSRRRVLVKAGGKIRRRHRRPQHAKSKAASFAGAAGDSKEGGKVQERLRVLGRLVPGCRKLPATELLEEAVDYVAALQMQVNTMRALADALAAAQLSDAER >PAN47572 pep chromosome:PHallii_v3.1:9:18264732:18265478:-1 gene:PAHAL_9G255700 transcript:PAN47572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKPTATLTPTPAAAFQYRGVAMLPDGKWRAYIVNRDGEPFNIGKFGTATAAALAHDRAILAVLGPDTSGAVLNFRAAFSDTELRFLSGRHAPARPSGVVAMVRRSDDGSYDTELSRFAARAFDAYLDPDLALDVANFRLAHSDMLHHLMEKAAITAGANANQETCAKAKLDAEREAFVQTAKNKAIDELWVERYHHHRLATGHTFEDENRWPLVVPVNDVRVDWSPGEELIYLPHGSSYVDEMIL >PAN46995 pep chromosome:PHallii_v3.1:9:12937893:12940950:-1 gene:PAHAL_9G202800 transcript:PAN46995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLAQSPAAAASLAPGTPRCAPPPAPASVSVRKAGSAPSGSLRLQRQACCEPLVAPARPVACRAAAAAAAAERASRRSAGVPVFVMMPLDTVKKCGTTLNRRKAVQASLAALKSAGVEGVMVDVWWGIAESDGPGRYNFAGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVTIPLPRWVLEEMEKDRDLCYTDQWGGRNCEYVSLGCDAMPVLKGRTPVECYTDFMRAFRDHFAVYLGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMLSSLKAAAAAAGKPEWGHGGPTDAGSYNNWPEDTIFFRRENGGWSTEYGDFFLSWYSRMLLEHGDRVLTGATSVFSASPVEISVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPIARLLARHGAVLNFTCVEMRDHEQPRDAQCMPEALVRQVGAAARAAGVGLAGENALPRYDGTAHDQVVATAAERAAEDRMVAFTYLRMGADLFHPDNWHRFAAFVRRMSGAGSCRETAEREARGVAQATGLLVREAAVALRS >PAN44596 pep chromosome:PHallii_v3.1:9:3215870:3216892:-1 gene:PAHAL_9G056300 transcript:PAN44596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQTLVLVAAALAVAFLPALAAATEHWVGDDKGWTLGFNYSAWAETKQFKVGDTLVFKYSEPSHTVVEVSGADFKTCSKPENSKVLTTGQDQVTLSEAGRRWFVCSVGAHCQNGMKVRIDVLAAEDAAGGPSAMPPPPSSPAAKVQARLAQAVLAVTAVIAAVLAF >PAN51938 pep chromosome:PHallii_v3.1:9:73052236:73055458:-1 gene:PAHAL_9G631500 transcript:PAN51938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGASDLAGMEPGGKVPRAGLGDLPELCAAEVLLYLDAPDICRLARLNRAFRGAAAADFVWDAKLPENYGHLLRFVDEAKEVGSRSEMGKKDIFARLVKPVPFDGGRREFWLEKSNGEICMALSSKALVITGIDDRRYWVNMPTSESRFQSFAYLQQIWWFEVVGEVDFSFPAGTYSLYFRLHLGKSSTRYGRRICSSEQIHGWDKKPVRFQLSTSDGQHAVSQCYLDEPGSWILYHVGDFVASSSEQAIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFEPERVIRAQK >PAN44318 pep chromosome:PHallii_v3.1:9:1897675:1902199:1 gene:PAHAL_9G034200 transcript:PAN44318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMKAQHSSNGRGRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLHRPPPRHRAQISRPLLPRDRGGASEAAARLPFAVGAAPAGCDVGQGEWVYDEAARPWYREEECPYIQPQLTCQAHGRPDKAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRLIPENSKSMETFDSLTVFRAKDYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHARFWKGADILVFNTYLWWMTGQKMKILQNSFEDKNKDIIEMETEEAYGMVLNAVLKWVENNLNPKTSRVFFVTMSPTHTRSKDWGEDTDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFGASKIPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >PAN49968 pep chromosome:PHallii_v3.1:9:63733587:63734997:-1 gene:PAHAL_9G488700 transcript:PAN49968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSAPAAEVEERGRKRKRAEGPEPAAGADAPPSKWRTRREHEIYSSKLLEAIRLVRAGPSTAGAAGPPRGRAVREAADRALAVAARGRTHWSRAILASSRRRIQAVRRARLRAPASPSSRHGAATSASASASTSATGEGASTPPLVRSARVLGRLVPGGRRLPFPTLLEETTDYIAALEMQVRAMTALAEALSAISATSSSSSGAGSSSS >PVH32275 pep chromosome:PHallii_v3.1:9:52729925:52733662:1 gene:PAHAL_9G359700 transcript:PVH32275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILLTILGFLLVLPSATAIGETCRHAGNYTANGTYQSNLASLAATLPVNTSSSPQQLFATATAGQGPDVVYALALCRGDMTSNLTGCSACVAGAFRYAQRMCPLDKAASVYDDGCLAGFSSRDLLVPANNTVTQDTGTLFQFFNPGNLAGNATLVGAGVRDLLAQTAQEAANNSKPPARFATAVMDASSSVPQALYSLAQCTPDLSAGDCLACLRWIIGMVNDTVSVRNGGRILVLRCNVRFETFLFYNGAPMKRITSSSGPPASPAPAPTTNTRPGIKPWVISLIVAPPLAIVAFCFIFYRRWKRRRYRKGNLRLRRKRANKFQGGDEVDWEMEAELSEFSVFDFHQILEATNNFSEENKLGEGGFGPVYKGQFPEGIEIAVKRLASHSGQGFIEFKNEVQLIAKLQHTNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFDENRKSLLDWNRRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSELNPKISDFGLAKIFSSNNTEESTTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVIEILSGKRNSSGQDCGDFINILGYAWQLYEEGRWRELVDASLVPMHHSDELMRCMNIGLLCVQENAVDRPTMLDVVAMLSSKTKILAEPKHPAYFNVRVGNGEASTNTTKSCSINEMTISVTTPR >PAN48113 pep chromosome:PHallii_v3.1:9:52730028:52733657:1 gene:PAHAL_9G359700 transcript:PAN48113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILLTILGFLLVLPSATAIGETCRHAGNYTANGTYQSNLASLAATLPVNTSSSPQQLFATATAGQGPDVVYALALCRGDMTSNLTGCSACVAGAFRYAQRMCPLDKAASVYDDGCLAGFSSRDLLVPANNTVTQDTGTLFQFFNPGNLAGNATLVGAGVRDLLAQTAQEAANNSKPPARFATAVMDASSSVPQALYSLAQCTPDLSAGDCLACLRWIIGMVNDTVSVRNGGRILVLRCNVRFETFLFYNGAPMKRITSSSGPPASPAPAPTTNTRPGIKPWVISLIVAPPLAIVAFCFIFYRRWKRRRYRKGNLRLRRKRANKFQGGDEVDWEMEAELSEFSVFDFHQILEATNNFSEENKLGEGGFGPVYKGQFPEGIEIAVKRLASHSGQGFIEFKNEVQLIAKLQHTNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFDENRKSLLDWNRRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSELNPKISDFGLAKIFSSNNTEESTTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVIEILSGKRNSSGQDCGDFINILGYAWQLYEEGRWRELVDASLVPMHHSDELMRCMNIGLLCVQENAVDRPTMLDVVAMLSSKTKILAEPKHPAYFNVRVGNGEASTNTTKSCSINEMTISVTTPR >PVH33382 pep chromosome:PHallii_v3.1:9:73653632:73658284:1 gene:PAHAL_9G639900 transcript:PVH33382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil protein, Homologous chromosome pairing in meiosi [Source: Projected from Oryza sativa (Os03g0106300)] MPSLPVNSASCVREETQLQLAKIPSNSVHRWNPSPPDSRCQVPNEDVERKFQHLASSVHKMGMVLDSVQNDVMQLNRAMKEASLDSGSIQQKVVVLDNSLQKILKGQDDLKALVESSIKSIADQLSVLNSHSSKLDEISSTLSVWPKQIEIDLRQLQSDIFRIFTKEMEGIFRAIRSLNSRPAAIQIPTDQSCTTHGRPLMHQLPVANERPQVNQTPVATMVNQTPVANGRHLVSQTPAANGKTLMNQTSVGDGRSLMSQVPAANGKSLMSQIPAPNGRPVMSQRPGANGRSQMNQIHTASGKPHTNKIPVPEVHPAPLACPAKMAYPHLKVEEGKMKALPQKLTGSRSMVTPKQEEVPTRTAAAKKAPPAMVIIDSDDDLNKECHLMKEAAEESQQILRRARKRRRREMQAIVPAT >PVH31952 pep chromosome:PHallii_v3.1:9:22878350:22879210:-1 gene:PAHAL_9G271200 transcript:PVH31952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHQDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTLVIGWGSLFGSARAPPENPGSSAATDEGDAAMQPLTDGNPEDGEREPLTLSAPEEDTPRK >PVH31041 pep chromosome:PHallii_v3.1:9:2413359:2416541:-1 gene:PAHAL_9G043700 transcript:PVH31041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSLLSVARVSRLSLPLATAAAPLRSRSLSGGARIAQSRRRRAARLSASTVAAETPRTEDAPSPSPSGQELFDWLDQWYPFAPVCDLDPGAPHGKTVLGLSVVAWYDRGAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHKNSKACVASYPCVVQNNILWFYPRAEPEHKDVLQRKPPPLIPEIDDPGFVTVYGIRDLPYGYDVLVENLFDPAHVPYAHKGIMRGIRKKEDPGRVDYDKEGGGPIKMKIEAANVEGFVSPQERGYFQFIAPSTLVGSPFSQEGKKAPRILLVFLCVPVAPGRSRVIWAFPRNVGVWLHNITPRWLYHVGQNLILDSDIFLLHVEERKFAAVGLDNWQKACYVPTSSDNMVIAFRNWFRKFCKNRVGWATPQVDQLPPTPTKDQLMERYWSHVAQCTSCSAALKAMKALEVALQIASVAVVGFLAVAKGTLVTSTVQRAVVVSTAVLCFAVSRWLANFIQKNFYFQDYIHAYK >PVH31989 pep chromosome:PHallii_v3.1:9:29588555:29596717:1 gene:PAHAL_9G285400 transcript:PVH31989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALQRRGWKVGCGEVEHEDFIEPSLFFTFSEVLWANLSEQWFLLYLFQLYLYLHSFVFL >PVH30880 pep chromosome:PHallii_v3.1:9:416085:418001:-1 gene:PAHAL_9G005100 transcript:PVH30880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAMGRLLGLSYRLTKQLEARILMLGLDAAGKTTMLYKLKTGEVASTIATVAFNIERIEYRNISFTFWDVGGHAPNRPLWKYYFHDTQGLVFVVDSNDRDRIRLARDELNNLLNAEELRDAALLVLANQQDLPNAMSTAEMSEELGLHQSLGNRRWHIQSACATSGEGLYEGLDWLCTNVDIKVR >PVH31426 pep chromosome:PHallii_v3.1:9:8789944:8791368:-1 gene:PAHAL_9G143400 transcript:PVH31426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPLTSPATVASDASTSPWPSLPDDLIRLLASRFLAGDLLDYLHFRAVCAPWRSSTASPRGRGVVEPRFHPRHWALLPEGHGLYPGHPKLHGYVRFFNLDTGAFVRVHIPLFDDHCVLDSYQGPLVLQRDHDTAIRLLHPFTGDILDLPPLSTLLPQMRQELQEVRPARLKLPWLRSVSTAATFADGVVTVLLAFCDLHRVAVATSQDHQWTMSTWHYAISSPPFPHQGKFYVVYVMRADHQAKIFRIDTPLPGEVLQPPKLIATTIADKLRGPIYFVECDSEVLVIGYGGFPFSKMPVYKLADLVMERYIPVTSIGDKAIFLQNRTLSVSAKALPTVVGDTIICFQPMEHYVAQYHLSRSSWSPAMDKCSLRGTEPGPCSLIQHIYTCCSWRHRNKGLLLRGYRGGWLRWPVNGKLRQGVSLLHTVY >PVH31448 pep chromosome:PHallii_v3.1:9:9126836:9127900:-1 gene:PAHAL_9G148500 transcript:PVH31448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVVEAAAARQTRKRTRVAMDTTDDYEGTCCLGEGAFGAVVMARHRGTGRAVAMKYLREPGGGGGGHEALLREARFLEACAGNPFVVGFHGLARDPATAELCLVMECAGQSLDDALRCQRSPGARGPPLPEDMVRPAMWQLLTGAKRMHDAHIIHRDIKPQNILVGNDQIVRFCDFGLAVHMAEPPPYELAGTMWYMAPEMLLGKPDYDALVDIWSLGCVMAELIDGSALFQGIDYEDHLCEIFGVLGVPDDTTWPWFSATPFAAEMPDLDKQRQRCKGSVLRCMFPKSKLSKEGFDLLSGLLTCNPDKRLTAAAALKHPWFSKIQSQEAPKKEEAVSPLPKRPRRHAVCAT >PVH32661 pep chromosome:PHallii_v3.1:9:61401049:61404184:1 gene:PAHAL_9G454100 transcript:PVH32661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPLISSNSCVLHRSHGCPLKFDKKKRYAVSLFLSLQTKQDASVRTHIARGDVAKKTTWSQNIMGIYIYIPVRTLVPVQHICSSSLERKRKLYTYISSLKFDDSRTHACMHLSPLFLCKCTLPWPYMQASVLSRQIIVLINVELCIPEDDFCIYI >PVH33285 pep chromosome:PHallii_v3.1:9:72067989:72070652:-1 gene:PAHAL_9G617200 transcript:PVH33285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAVVLREWFDRVDAGRTGNITAPQLQNALAVGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQSVFSTLERGRGFLSLEEVYEALIKLGFSLDSPAFYTVCESFDKSRKGMVHLDEFISLCIFVQSARNLFNSFDTSKQGRVSLDFNQFVYCTANCRI >PAN51356 pep chromosome:PHallii_v3.1:9:70297751:70300406:1 gene:PAHAL_9G588100 transcript:PAN51356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMASNGMASSPSPFFPPNFLLQMQQTPPDHDPQEHHHHHHHEHHLPPPLHPHHNPFLPSSQCPSLQDFRGMAPMLGKRPMYGAEAGGGDEANGGGGNEDELSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKADNDALLSHNKKLQAEILALKGREAGSELINLNKETEASCSNRSENSSEINLDISRTPPSEGPDPPPSHHQHPGGGGGGMIPFYPSVGGRPAGVDMDQLLHSTSGPKLEQHGNGGVQAPETASFGNLLCGVDEPPPFWPWADHQHFH >PVH31031 pep chromosome:PHallii_v3.1:9:2244915:2248767:1 gene:PAHAL_9G040400 transcript:PVH31031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKKMYGFSISLILINLASILERADENLLPAVYKEVSAAFNAGPTDLGYLTFMMNFLKSIASPLAGVLALHYDRPAVLALGTAFWALSTGAVGVSQYFGQVAFWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSILATIVAGGDYYGLPGWRLAFISVAFVSFVIGLLVYLYAVDPRKMSTSHYGGDEDNERSHLVSNGILPPHSIWKDSWMAARSVMKVRTFQIIVLQGIVGSLPWAAVVFFTMWFELIGFDNSSSAALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWLAYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFGSLAAPAVGIVTEKIYGYNAKTIDLAHGSVDGAYALSRGLLTMMIVPFALCLMFYTPLYTVFKRDRENARLASIKEQELI >PAN44405 pep chromosome:PHallii_v3.1:9:2244915:2248760:1 gene:PAHAL_9G040400 transcript:PAN44405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKKMYGFSISLILINLASILERADENLLPAVYKEVSAAFNAGPTDLGYLTFMMNFLKSIASPLAGVLALHYDRPAVLALGTAFWALSTGAVGVSQYFGQVAFWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSILATIVAGGDYYGLPGWRLAFISVAFVSFVIGLLVYLYAVDPRKMSTSHYGGDEDNERSHLVSNGILPPHSIWKDSWMAARSVMKVRTFQIIVLQGIVGSLPWAAVVFFTMWFELIGFDNSSSAALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWLAYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFGSLAAPAVGIVTEKIYGYNAKTIDLAHGSVDGAYALSRGLLTMMIVPFALCLMFYTPLYTVFKRDRENARLASIKEQELI >PVH31030 pep chromosome:PHallii_v3.1:9:2244915:2248760:1 gene:PAHAL_9G040400 transcript:PVH31030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKKMYGFSISLILINLASILERADENLLPAVYKEVSAAFNAGPTDLGYLTFMMNFLKSIASPLAGVLALHYDRPAVLALGTAFWALSTGAVGVSQYFGQVAFWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSILATIVAGGDYYGLPGWRLAFISVAFVSFVIGLLVYLYAVDPRKMSTSHYGGDEDNERSHLVSNGILPPHSIWKDSWMAARSVMKVRTFQIIVLQGIVGSLPWAAVVFFTMWFELIGFDNSSSAALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWLAYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFGSLAAPAVGIVTEKIYGYNAKTIDLAHGSVDGAYALSRG >PAN44430 pep chromosome:PHallii_v3.1:9:2393977:2394860:1 gene:PAHAL_9G043300 transcript:PAN44430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPAARSHSLLQKAAPTTAQKARGSRVIDRRARFPHDAAPFHRNPAPRMRQPATIAAKPQRRSNLPPGYLQKVAQASAFIPQQRHQERNTKRKLFPRRGEGLQGFNFKPEEASNQQKMKRMYEHPWERGLRGASGSNSNTSNHSNQCTD >PAN47207 pep chromosome:PHallii_v3.1:9:16342867:16346952:-1 gene:PAHAL_9G242100 transcript:PAN47207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYRRLLLLRRLSHLHPSPFPAPAIGSSPPPTLAGLLNPMGRRHFAFSSAEEAAAERRRRKRRLRIEPPMNVLRRGPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGAAVAARAAVSSRVRPTVFTCNAVAAAMVRAGRHDDSVALFEFFFRRSNIVPNIVSYNTLILAHVEAARVDDAMQVYREMLASAPFSPSAVTYRHLTKGLVAAGRIRDALDLLREMLRNGGADSLVYNNIIAGYIDLDDWGRAFELFNELTGKCVVYDGVVHTTFMEGYWKQGKDKEAMDNYQSLLARGFKMTPATCNVLLETLFKHGKDKDANDLWETMMDNHTPPSFIGINAESYSVMVNQCFKEGKFQQAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLAEAEKLFEEMETKSVLPDVYTYTYLVDSCFKEGRVEDTMQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGWIDDALKVYGRVPDKEIKPNTATFEILVKALCKEGDLDRARDLVMDMVRGGVVPSQEFRDSVVDIFKKSDRQEEIEKAFEEKPLPIPQPRTEYQPRTENRPRNAIGVAQGKEPGFSSGPPVQPGFGYSQQQRPAFKDTQSQQLEFDSSRQWHSVFGAPQAPHPGYGAPRHVQSAVASPQPPRPQFGASQGNSGYSNHQSQAGYGSRLPQSGYGFAPHQEQVGFGNQVPPAPPAYVASQSQPSHGTHWSQSYGSPQGQLGYGVPQGLPHASHLPQHQADFGVQQVQNDNGFPRSQQQSNSVARHGQTDVSAIEDESKFGGSYWQQSNGNL >PAN44718 pep chromosome:PHallii_v3.1:9:3676414:3680042:1 gene:PAHAL_9G064400 transcript:PAN44718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASRALGSAFAGLTRTPATTTLHSPCGSSALLRHWQWSRASRARQFSSGRAARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTISPNVGVVAIPDPRLQVLSKLSKSQQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPRSDIDVINLELIFSDLEQIEKRLDKLKKSKTKDAQVKVKEQAEKTGLEKIQEALMDGRPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPDSNPHVKEVAKAASDLQSGMVTISAQVEAELAELPLEERVEYLKSLGVAESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILSGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGAAREKGLLRLEGKDYVVQEGDVMLFRFNV >PAN48318 pep chromosome:PHallii_v3.1:9:54445937:54450600:1 gene:PAHAL_9G374800 transcript:PAN48318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVKDLTAGTVGGAANLVVGHPFDTIKVKLQSQPTPAPGQLPKYAGAIDAVKQTISAEGPRGLYKGMGAPLATVAAFNALLFSVRGQMEAILRSEPGAPLTVKQQVVAGGGAGLAVSFLACPTELIKCRLQAQSSLAEAAAASGVALPKGPIDVAKHVVRDAGARGLFKGLVPTMGREIPGNALMFGVYEAAKQYLAGGPDTSNLGRGSQILAGGLAGAAFWLSVYPTDVVKSVIQVDDYKNPRYSGSLDALRKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALG >PAN50216 pep chromosome:PHallii_v3.1:9:64993899:64997322:1 gene:PAHAL_9G505700 transcript:PAN50216 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPK3 [Source:Projected from Arabidopsis thaliana (AT3G45640) UniProtKB/TrEMBL;Acc:A0A384L050] MSGGGMDGAPAAEFRPTVTHGGRFLQYNIFGNLFEITNKYQPPIMPIGRGAYGIVCSVMNFDTREMVAIKKIANAFDNHMDAKRTLREIKLLKHLDHENIIGIRDVIPPPIPQAFNDVYMATELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDEELGFIRNEDARKYMRHLPQFPRRPFASMFPRVQPVALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICTEPFSFDFEQQALTEDQMKQLIFNEAMEMNPNFRY >PVH32018 pep chromosome:PHallii_v3.1:9:31267953:31268249:1 gene:PAHAL_9G293500 transcript:PVH32018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKEEVWRNLTKTKLSGCYNRLVKLITGLDDSIGGAHADWKEARVNHDRV >PAN51447 pep chromosome:PHallii_v3.1:9:68793102:68803024:1 gene:PAHAL_9G564100 transcript:PAN51447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPISFLDCPSFLTACMTIIINVNCSLSSLDGFGVLVTLGGGSGEVLKFCARPTMWKFCPSGCDGIAIFVKVSRLPSQRTRSQVSCSIEVSENAAHRIEATAENIFPATKDHVTKATDAINRGQVIAVPTDTIYGFACDACSAGAVNRIYEIKGRIQTRPLAICVADVSDISRFALVDHLPHGLLDGLLPGPVTVVLKRGEDSILERSLNPGLDSIGVRVPDLDFIRSIARGAGSALALTSANLSGRPSSVSIKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSREETTGVLGKFGFVEAS >PAN44703 pep chromosome:PHallii_v3.1:9:3601327:3603589:-1 gene:PAHAL_9G062600 transcript:PAN44703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHRGGGDLVRLLVTLLLAATPLLAPIAAEPEAEVAATAPSQVASEEAADAVAAAAQQHLLPRPLVIELPSSSSSLARGADEGPDDVPADVRCASWRLAAEANNLAPWEAVPAECAAHVRAYLTGAAYRSDLELVARESAAYARAAPLGGDGRDAWIFDVDETLLSNLPYYADHGYGLELFDHLEFDKWVERGEAPGIPSSLKLYKEVLELGFKAILLTGRSEGHRGVTVDNLNKQGFHDWDKLILRTAADRKKTATTYKSEKRKEIEAEGYRILGNSGDQWSDLLGSSMSARSFKLPNPMYYIP >PVH33149 pep chromosome:PHallii_v3.1:9:69819355:69820517:1 gene:PAHAL_9G580000 transcript:PVH33149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILAELIPSTPARRVTPGHLWPAAGGKGKQQRRADDFEAAFREFDEDSDEEVESKPLVFNASSSLEKSTNQQQQAAPMPSRRRKAAQYKGVRRRPWGKWAAEIRDPVKGVRVWLGTYPSAEAAALAYDSAARDIRGPRAKLNFPSPADAAPAAGRKRGRAAEFVDLVADEEDEQQYYAASVVKHEVGATESENSESGGALPDFSWQGVSAYDEAPAPRPAPEAVEAEYQSGASKRPRTEPESTDEASPRASDSESDALFDAFLFGDQLAYFNGAAYESLDSLFSADAVQGNAAADEGMGLWSFDDGCLVEDNLPF >PAN48558 pep chromosome:PHallii_v3.1:9:56176279:56178936:1 gene:PAHAL_9G390300 transcript:PAN48558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGRFRKELTARDRLLLVSRSATLRAENFLAPFTRRPLITSRQLAHRLAKRKQPRSPVTRTQPSSPSHTQARSHTAPVHQASRMRRAEVAVVGAGAAGLVAARELLREGHAVAVFEKSGRAGGTWAYDPRADADPLGRDPRAPGAVHGSLYASLRTNLPRELMGFSGFPLAGRVFAGDARAFPGHREVLAFLDAFAEESGVAARVRLRAEVLRVRPLGEGQGERWAVAWRGEDGEVAEEVFDAVVVCSGHCTVPLVPKIRGIDKWRGKQMHSHNYRVPEPFRDQSVVVVGLGASGIDIAREISNVAKDVHIAARYSEDRLGKIELYQNVWMHGEIDSIQDDARVRFAEGSAVAADTILYCTGYRYHFPFLDLDGLTVDDNRVGPLYGHVFPPKYAPNLSFVGVPSKTLIFQALELESKWVAAALSGRATLPGEERMMAAVREGYRRMEAAGRPKRHTHALWPEWVEYMNWLADQVGEPHLEARRHELYERALRCIWSLDDRYRDRWEEEEEEHGGGDCGVVEVNMPKHHRIVVIPPLDDE >PVH30945 pep chromosome:PHallii_v3.1:9:1096484:1100089:-1 gene:PAHAL_9G019200 transcript:PVH30945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATRPPNRRGCPPLTTGGTRDNPLLSTGSQNRRGFQAEANKADHTAAPCTSQVGIPLPPELYSALFLLLVRPARSSSSVSTYSTALPGAAGHGASMAAALALARELLDAARAPRFAEWQLGVRRRIHQHPELAFQEHRTSALVRAELDALGVAYAWPVARTGVVATIAGPGGAGAGPVFALRADMDALPIQEMVEWEFKSKEDGKMHACGHDVHVAMLLGAARLLQSRKNDLKGTVKIVFQPAEEGHAGGYHVLKEGVLDDVQAIFGMHVETSLPVGTVGSRPGPFLAGAARFSATITGKGGHAAGPQHVVDPIVAASSAVLSLQQLVARETDPLQGAVVSVTFIRGGEAFNVIPESVTLGGTFRSMTTEGLSYLKRRIREVIEGQAAVGRCTAAVDFMEEELRPYPATVNDEAMYAHAKAVAESMLGEANVKLRPQIMAAEDFGFYAERIPAAFFGIGVRNEGAGKIHHVHSPHVVFDEGALPIGAAFHAAVAIEYLDKHASP >PAN48375 pep chromosome:PHallii_v3.1:9:54808977:54815220:-1 gene:PAHAL_9G378500 transcript:PAN48375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46920) UniProtKB/Swiss-Prot;Acc:Q9FJR9] MPPPRRALLTSLLRLRAFSSIASPHPHPPAPPRRHQFVADPTASTSRSVVGAIGGGSGNPLDPTQLLRDDPVAITASLWVSSFRAAAAAAASAAAPASSTPAPPQSLTPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLASLHSLRNAVLDARFRFGNRLTPFLQSPRAANAPDPATLSKRKLRALLTTPGPPPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLIRSALLNPVVTASDDAGVKKKKTKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEAQMQPDWGHCGVLSPLLANVCLDELDKWMEEKIKEFYKPSKSDVVGGDDGIEQGNTSWPEFVPTSGPDKTRKVDYIRYGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNENLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNSQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLEEQRACIREEGLITPQDYISMLVWGYKKNAVLLPSSKASDAHGSTEDLGSDTDELGEKELGNEGCQRFPKFAEMS >PVH33290 pep chromosome:PHallii_v3.1:9:72226914:72228341:1 gene:PAHAL_9G619200 transcript:PVH33290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVHEAPGISYSWRSIVRGVQALKEGLIWRVGNGRQINIWLDPWIPDGVTRRPVTPRPLEIGDWDRQLVEEVFWVEDWKRKYTRQVRREARRQYGGSSSSAGSSGSAHGQGAGFEWKKIWRLNCPPKVRHFLWRFSHNSLPLRRNISRRGMDIDTRCPVCWRLDEDGGHCFFKCKFAKECWRALNLEDARQVLSGLSSAKQVTEHVLSMPEEKKLLIVGLLWAWWDAHNKANVGEQRRSTDEIIYRARSVVHQEEEAVTEGVQANARGHAQRWISPPPDVWKINVDAAFWAEELAGAWGFVVRDNATAVLGRCRAIGSALQAAASQGMQHIILETDSQVLVKALQTNEHDLAQGAHSLARLGRDRDPDHPSVWVHPLPEFVNNLLGP >PAN46452 pep chromosome:PHallii_v3.1:9:11829551:11833679:-1 gene:PAHAL_9G187100 transcript:PAN46452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYYLVFGALSAVVAALELGKSGKDRVATSPAFNSFKNNYILVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCISYILSCFTKHSPEYKILMVGRVLGGIATSLLFSAFESWLVAEHNKKGFDPQWLSITFSKAIFLGNGLVAIVAGLFANFLADNMGFGPVAPFDAAACFLAIGMAIILSSWGENYGDSSDSKDLMTQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSVSAFTLCLPVVTNFLVPPSSEKGGSISLGGCLQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSTKVVEMTGEDEPLNP >PAN46267 pep chromosome:PHallii_v3.1:9:10897640:10898017:-1 gene:PAHAL_9G173700 transcript:PAN46267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQSSDGQVMFSSSIALLQQRFRELERIREKREERLLHVLAPRPATAQREAPVKWFFHPELLYPCRPLRDTAAAALFPAVPTTASECKTFQLRSDPIAVELWPSKIYNYKHVSGEVDVDTSLHL >PAN47447 pep chromosome:PHallii_v3.1:9:30905995:30911022:1 gene:PAHAL_9G291800 transcript:PAN47447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVAVENLNPKVLKCEYAVRGEIVIHAQHLQQQLQTQPGSLPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPCLLEKEETKSLFSADAISRAKQILSTIPGRATGAYSHSQGIKGLRDAIAAGIASRDGFPANADDIFVTDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEKTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEDNQCDIVRFCKNEGLVLLADEVYQENIYVDDKKFNSFKKIARSVGYGEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKIASVNLCSNITGQILASLVMNPPKVGDESYAAYKAEKDGILQSLARRAKALEDAFNNLEGISCNKAEGAMYLFPQIHLPKKAIEAAKAANKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHIRCTILPQEDKIPAVITRFKAFHEAFMAEYRD >PAN51714 pep chromosome:PHallii_v3.1:9:71876730:71878301:1 gene:PAHAL_9G614800 transcript:PAN51714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRIDTFKKSHKLPEFIKLRDPTGQVTFKHGDKTIVDSRAICRYLCTSFPEDGNKTLYGTGSLERASIEQWLQAEAQSFDAPSSELVFQLAFAPHLKDVHPDEARIAENEKKLRNMLGVYDEILSKHKYLAGDEFTLADLSHLPNSHYIVNSSDRGRKLFTAKKHVAKWYEEISSRDSWMQVVKMQKEHPGAFE >PVH33275 pep chromosome:PHallii_v3.1:9:71876703:71878302:1 gene:PAHAL_9G614800 transcript:PVH33275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRIDTFKKSHKLPEFIKLRDPTGQVTFKHGDKTIVDSRAICRYLCTSFPEDGNKTLYGTGSLERASIEQWLQAEAQSFDAPSSELVFQLAFAPHLKDVHPDEARIAENEKKLRNMLGVYDEILSKHKYLAGDEFTLADLSHLPNSHYIVNSSDRGRKLFTAKKHVAKWYEEISSRDSWMQVVKMQKEHPGAFE >PVH31061 pep chromosome:PHallii_v3.1:9:2701142:2703406:-1 gene:PAHAL_9G048500 transcript:PVH31061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPTNSCSDSSASSQRNDGERSSQAHSAQTAASSTRTRGSRTQTKWPEDKLTATGLDEKFWPTPDAARERFVLVCGLIARERVSINRKLEDLSPVEKEQLFEALLEKLEYPANLEPTVRNKAIKAVMSEIATLQRRFKAHLRRNYVRQEESPFEKHGFLKPEDWEVFVQETNSPFFQRVSQEMKDKRALHNKPHKTGRKGYHGKRKEWEEEDAKLAREGKENPWDQFPGRSRSYLQARAAKRMTTSEGTSEGSGDITFSNPAVVGLANKVKDLASKASDGSFTGVRENDILTAALENPEHRGRVRGVSSSVGWGKGFGEEFAGMYRKKRKKTKERSDAEKEKIVGETAIRVINMLRQAGVVIPDALCPTQPTHTGSSEQEDASVSAEEDVRGSGEDHGPFNENEADSRSSMLDTIDKLTEPTKCSLLDGTGHNLELAVATVYPYQETCHCVPVQEGYAVVQPTYVWSNTSHFRLPVPVGGDEITTLGEALGTRIQWSKHRILIPPRTRQPNSGTASGSRGTASDAGTAAQRPQEKAQPQQQQICKKKEQQQQQQEKQQQQQQSPPKHQPQPEPLQQEGERSQSQPEQQSPREEKEARKPLPKDELVNAIWTTQNPKYKPGVPMLSEADLDAAGPNCARLHAYVMENSKDKLGFPAKVPQAYFEGDGDLMLNIAFDDVYDLITLGALDVSFLRLWTL >PAN46486 pep chromosome:PHallii_v3.1:9:12002119:12003983:1 gene:PAHAL_9G189000 transcript:PAN46486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSPTPAPSPLLPPAGEPFRVFVGYDPREHEAYEVCRRSLLRHASIPLDVRPVRQPDLRAAGLYWRTRGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADVAGLLAAAAPPGADAARLAIACVKHEYKPAEATKMDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPDAVSTQTGAFLHRFAWLDDDEIGEVPFVWNFLVGHNRVDPADPDTRPRAIHYTCGGPWFERYRDCEFADLWIKEAEELRAEKEKLKLLEDKDAKEEGKNKEGN >PVH31776 pep chromosome:PHallii_v3.1:9:15075678:15076653:1 gene:PAHAL_9G229600 transcript:PVH31776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDKQLTEISKTLLKIQTSLDRNTKVINKSNNTIDGIATWKPKIDAQVEDLKSSMESLRDKVDRMILQQDADRRTNKVLEYEDVDLTKLGAAHLAPPFPKAASGQFGRGDEHLHRRSGYAVVTTLTLPPVTRANQLTDLTPVPLTFGSVPHPGSATSFGAWGSAMPQLEFP >PAN50867 pep chromosome:PHallii_v3.1:9:68139336:68142185:-1 gene:PAHAL_9G553300 transcript:PAN50867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAATAAGAAAAAAAVSLVTCFLLFHNSNLKFPWARTARPSCASGRRTRRRGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLICGGTVTEGSAGSTAISLATVAPAYGCRCNVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANKLAAEQRESNETRTNGLAHVNSKMTDDKLAAMQNALKKTQNNDPAHVSTEMAHSSKCDPSSDSKGGFFADQFENMANYQAHYEWTGPEIWEQTKGSLHAFVAAAGTGGTIAGVSRYLKEKNRSIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTRNFMMAELDGAYRGTDREAVEMSRFLLRNDGLFVGSSSAMNCVGAVRVARDLGPGHTIVTILCDSGMRHLSKFFNDQYLADHGLTPTATGLEFLDK >PVH31036 pep chromosome:PHallii_v3.1:9:2310554:2311871:1 gene:PAHAL_9G041800 transcript:PVH31036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFAAACFVLLLNIAGRVAEARAVAGDVATSGAITVRAHKLFVFGDDFADNGNSASDPGLGSVSRAWRYPFGKSERSRPRKPTGRFSDGLVQSDFLAKIMGHSESPPPYTGDSDNWDDGIDASGMNFAVAEAGALEAPSGVLKLRAQVQQLRDLVRDGLVDDRDFTDSVALVAYSESERSGGEGGGRAGVVSQLQDLGVSKVIVNNVPPFGCSPWLAKASNYSSCDDDGNVSSDEHNTALRDRLGGEEGVMVLDVNSIITNLVAPKEGSVLYERQFAERLRPCSEAAGEEGGYCGRDGGYSLCNRPEEYFFWDVVHPTHAGWRAVMQLLQGPIMAFLGISDLEHF >PAN46554 pep chromosome:PHallii_v3.1:9:12320662:12327994:-1 gene:PAHAL_9G193200 transcript:PAN46554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHGASGRPSGTDGSDFSYRMVVESRYQRVAEGRSRLARLILVQALHQVAGGALLLLSLSKGKEVNKFAVLSAAAGLLALVVGELGRKRTMAVLLRLYTSLSSIAVAFSVTCIIRSELFSKIMKQNTEAITSYEMFDAVRVALGILLQMVVIATTTRLLQNMSPPKRAS >PAN44731 pep chromosome:PHallii_v3.1:9:3815590:3816893:1 gene:PAHAL_9G066000 transcript:PAN44731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASSTAAFAAKPRLPRARLSVACSATGGGDSSSSSVSLASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTASLKKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELATRLLPRGFIWPVAAYRELINGELVVDDKDIGYY >PVH31975 pep chromosome:PHallii_v3.1:9:26079759:26080490:-1 gene:PAHAL_9G278200 transcript:PVH31975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHGWVDGVCHAEPGLPKLLILSLERIGVMEPPEYAYREYTSKGILRCDMMIFVGKSTRYPDIDPWFISTSGFRFPDTYRKAAHKALRRLRVIYKHHLQRTPMGFFPPTEGRGRTWIDRMRGLGREEEDLEDTVSQLSIYLTGLDVLYREQAAQLKQLIHGVEKATQELEEQQTRVACAEYSLAALQAQMQEYENRRGIGGWIEEEEEPEETHWDKGTQTEDEMDRCLLIKKRPIRIEEESP >PVH31262 pep chromosome:PHallii_v3.1:9:5624197:5625147:1 gene:PAHAL_9G097900 transcript:PVH31262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDDIANGHIQVKLEITVKKHRGFRFEVFWPRSQGYNEVVTASWQKELRVTNPYLRLHTKLERTSKALRKWARSLIGNNCLLLCAARMLIGILDVVQEFRQLSDQELSLKRDLKVRFLGMTAVEKLRAKQAARLAKVKAAEASSKLFYLQANGRRRKNFIQAINSGEETCFSHEDKAAAIFRGCLEWRLTRHSVPNLWRSKRSPHLWRKKCGAPWRVRRHSKQPLNHFSSHFGRPPPRVASLNWEALGLQQHNLAHLEEAFTEEEVKEVIDDLAADKEPVQMVLLVYFLKEVGQ >PVH32325 pep chromosome:PHallii_v3.1:9:54277901:54280922:1 gene:PAHAL_9G372900 transcript:PVH32325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVVRIAKLDNPKDLKDVLEKALKLFLKMRMDKGPADQPSDVPVIIDDGKLPGETTPGINALHFKDVNMVKLFKAFLAAGVICGVHHLDVVARHRMAKESGVALFLAISASAAVPIAPFVVTKTGGMVPSDVAKAAALLAGFVCPGLLGHPIHVTNMLPHAMSGANSSAPRFGPAGFVSVVVVPFLSCQTTLVIGALDFKDVNMVKLFKSFFAARVICGVHHLDVVACHRMAKESGVALFLAISAPAAVPTAPFVVTKTSGKVPSEVAKAAALLAVLVCPGLFGHPIHVTNMLPHAMSGANSSAPRFGPAGFVSVVLAPFLSSQTTPAIDALHFKDVNMVKLFKSFLAAGVICGVHHLDVVACHRMATDSGVGLVLAISALAAVPTAPFVMTKTRGMVPSEVAKVSILLAGPVCPGLLTHVTNMLPHAMCGANSSAPRFGPAG >PAN44769 pep chromosome:PHallii_v3.1:9:4272099:4275274:-1 gene:PAHAL_9G074100 transcript:PAN44769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRRKTARAMARGEGREREQQQQQPAAASSKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSRSKKIHDLITNKESREANRRDTGGEPEEDAGEIREEEVEVVLEEDEEADVHRIRLPEFPGGAEAFELAAKFCYGVKLDLTPATAAPLRCAAERLGMSDDHSDDNLISRADRFISQTVLRNPRDAIRALKSCEGLLPLADNLGLVSRCVDAIAAKAAASTPTALFGWPIADDARASDRQRRKNSAAAGASWFDDLAGLSLATFTRVIAAMKEHGVGPEVIEGALIAYAKRSIPGLSRTGRHVGGGGATAAAAAPPSSDGDQKALLETVIANLPEETIKSSAHTGTAVGATAARVLFGLLRTANILHASEASRDMLERRIAARLPDAAVDDLLIPSYSYLVETLYDVDCVERVVRYFLEGRDVAEEGNEDECSEAETPGREACRRAMLAVGRLIDAYLGEIATDANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLSEEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGAAGSGEEGGDSDGGGTWRVATRGNQMLRLDMDSMRNRVQELERECTSMRKAIEKMDRRGGAAADRGTPSAAAAADGRWGSMVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >PAN52094 pep chromosome:PHallii_v3.1:9:73760149:73762481:-1 gene:PAHAL_9G641500 transcript:PAN52094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDATSSHPSRYVKLTKDQDAPAEDILPGELNQPVHVPQLEGRRCSECGQVLPESYEPPADEPWTTGIFGCTDDPETCRTGLFCPCVLFGRNVEALREDIPWTTPCVCHAVFVEGGITLAILTAIFHGVDPRTSFLIGEGLVFSWWLCATYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERRGRLAENSAVPMTVVNPPPVQEMSMSENRGPVAPENGAANTEHEAAKGEHDDVEVIPL >PAN44465 pep chromosome:PHallii_v3.1:9:2482833:2485592:-1 gene:PAHAL_9G045100 transcript:PAN44465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYPAPSTRPCRIAHCFLSSAPLSSLSLSLALSLSLSLSLSLSLSPTRTHTPRHRLQVDRPIVSGIMAALAHGGRRSAAAMVALLVALALAGVASGDFAADRAECANQLMGLATCLTFVQDKATARAPTPDCCAGLKQVVAASKKCLCVLVKDRDEPALGFKINVTRAMDLPSLCNYPATFSDCPKILGMSPDAPEAEIFKEYARKHESQNGTAAIPAAATGAAGGKSATSSSPAGGAGSGSKPGAVVVYLASSALVAFVSVLA >PAN50989 pep chromosome:PHallii_v3.1:9:68702360:68704467:-1 gene:PAHAL_9G562700 transcript:PAN50989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNNVQNHVDDDDRFSKLPDDILLHILGKTNIMSSIRASFSSTRWRHLPSLLPHIYLSIWDFILSHDTLTNNKVVDEAMAAMTKAARICLAAPGSERATKTASLDLCLTANYLYDIGELVCEATDNGNVKSVELALPTSSDEYCDGADMMRHANNLVCFFDAFPNLFQCITKLDLHCARFSELEMHQLLDSCEQLQHLELTNCDTGNLSVLKIDMPNSKISYLRLASCRFEKIELLCLPKLSVLHCESWISFGTPLYFGYVPCLEDLSLVCCALNHQSGLNLSELLHGSTNIKVLTLDFYGEKIWMLPEGKKLCTSFNKLTKMFIHSIHVNFGLLWTIALLETAPSLKTFGIEVWNHMCDVATEETRKAFPKRTNPWQKRNKFKSSGHLQLTRLEFVGFMAIKKHMKFIRGVMDCASSLETVLLQDKDPCETCDAVSGNLTCCPTGWMFPKNKYEQDMILDQLGIGVSFSTQIIFKT >PAN44182 pep chromosome:PHallii_v3.1:9:1301117:1304405:1 gene:PAHAL_9G022900 transcript:PAN44182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAFAKSLSQLNKALIRRLNALFIRGQPPPARPDGRVVPPLDALASGSGVAVCKVEGGLLRSSSAFPYFMLVALEAGGLLRGLLLLLLYPALRLLGHGRAIRAMAAVSFLGLQKDAFRAGRAALPRLLLEDVSAEVFDAAVASSRRRVVCVSAMPRVMVEPFLREYLGVGAVVAPEMREFRGRYLGVMQDESEVLRGLDVEKVIAAREEKGRDGDDVVGVGGLGSSFLHLFQNHCKEVYVPTESARRRWHALPRRRYPKPLIFHDGRIAFRPTPAATLAMFMWLPLGAALAVARIASFLVLPFSLSVPLLAALGMHNRIIANPSSAATNLFACNHRSLLDPLYVAVVAGRADLAAATYSISRLSEILSPIPTFRLTRDRAADCAAMQAKLSGGGGGGGLVVCPEGTTCREPFLLRFSPLFAELGRDVAPVALHSAVGMFHGTTAGGWKALDPLFLLMNPVPAYIVQFLDTIKCGGGGGVPEAARAVANEVQRRIAEALGYTCTGLTRRDKYLMLAGNEGLVDVDHGAKKKTASTT >PAN50189 pep chromosome:PHallii_v3.1:9:64915188:64920129:-1 gene:PAHAL_9G504500 transcript:PAN50189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEGETPVADGAPPPEALYSFGTPWPELNQGLSYSDTFRCADADAATTLIEFYATNYKSSAPLPGWVKRIRSGQITVDGEVVTDPDMILRDGCKLVYHRLPWQEPFAPYFLEVLYEDDDMVALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMTSFYSKRKHVQSHPVPVHRLGRGTSGLLLCAKTKVAKVRLASYFAEGAINAGNKRDKSEFGEERKISKFYRALVTGILDNDEVVVTQPIGLVHYPGVAEGLYAACSSGKPAMSNVCVLERLAHQNHTLVQVEIHSGRPHQIRIHLAYIGHPLVGKFLAHCHLKMILCMVLVGTPNLLSQNLLAQIVLLHMMEVTRDLYNLFLETVAITYMHIGWFFAIQLQIR >PAN50183 pep chromosome:PHallii_v3.1:9:64915173:64920129:-1 gene:PAHAL_9G504500 transcript:PAN50183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEGETPVADGAPPPEALYSFGTPWPELNQGLSYSDTFRCADADAATTLIEFYATNYKSSAPLPGWVKRIRSGQITVDGEVVTDPDMILRDGCKLVYHRLPWQEPFAPYFLEVLYEDDDMVALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMTSFYSKRKHVQSHPVPVHRLGRGTSGLLLCAKTKVAKVRLASYFAEGAINAGNKRDKSEFGEERKISKFYRALVTGILDNDEVVVTQPIGLVHYPGVAEGLYAACSSGKPAMSNVCVLERLAHQNHTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGHPKFVEPESTGTDSSFAYDGGYERPLQPVPGDCGYHLHAHWLVLCHPTTNKIVKITAPLPQILQTREERRATAERISG >PAN50186 pep chromosome:PHallii_v3.1:9:64914564:64920129:-1 gene:PAHAL_9G504500 transcript:PAN50186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEGETPVADGAPPPEALYSFGTPWPELNQGLSYSDTFRCADADAATTLIEFYATNYKSSAPLPGWVKRIRSGQITVDGEVVTDPDMILRDGCKLVYHRLPWQEPFAPYFLEVLYEDDDMVALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMTSFYSKRKHVQSHPVPVHRLGRGTSGLLLCAKTKVAKVRLASYFAEGAINAGNKRDKSEFGEERKISKFYRALVTGILDNDEVVVTQPIGLVHYPGVAEGLYAACSSGKPAMSNVCVLERLAHQNHTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGHPKFVEPESTGTDSSFAYDGGYERPLQPVPGDCGYHLHAHWLVLCHPTTNKIVKITAPLPQILQTREERRATAERISG >PAN44970 pep chromosome:PHallii_v3.1:9:4874649:4877989:-1 gene:PAHAL_9G084800 transcript:PAN44970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGRSSSFSPYQGPTRSSARRCPAPKPAPCTVVVIDEEDDGDDSSDGEVFIIDGAAAAAPRCRARKGIGVSGNVINIDDDEDVDGGTGWEKAGASTSRAQSGSPASMTPGRGSPGNRYGLDSTSDSSESDLSDGWDSATDHGGSSDCEILDDTAGTAREMWETAASRKKMPHGVHEREDGRTTAFASFAWSEGLFGVGCNLDETIFSAAWKDDSQSNTSGTKEGLKHPQSSTRGANDGRGSYRNSGAKDVPSEYACKGGVQNNNGGTKDDRGPASPPNVKECSNGEEGVVPEKTSEGFESPCPDETFVQNFVSANRVCRGSCSADSKDGSPPMSVSTPEKIDEKIPGGAYSQKDQSPLEAYNNTDTYSAQFASVQDASLIGDREKHKESIEFKRAAEEEWASRQRQLQIQAEEAKKLRKRKKAEAQRLLDMEKRQKQRLQEVRESQRKNEEAIQLKEQYRGVVRKELEDMERRHWDMTSILRALGIPVEGGEVKAYKQALLKFHPDRVSRNDIYQQVKAEETFKFISRLKEKLPRFS >PVH32593 pep chromosome:PHallii_v3.1:9:60112496:60113477:1 gene:PAHAL_9G435200 transcript:PVH32593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMKWMQSRFHGKTENRSFDGAAAVSSSRGAGVHEKPQIIYESEKHLSTDQWPQAGLLSIGTLGNDESARPQEEDLPEFTVEEVKKLQDALARLLRRAKSKSSARGSGAGDDRPPLDRFLNCPSCLEVDRRVQTPKHGESDGQTGDLSPDTKIILTRARDLLDNSSASGSIKQKPFKFLLKKMFVCNGGFSAPARSLKDPVESRMEKVGVCAY >PAN45219 pep chromosome:PHallii_v3.1:9:5932625:5938329:1 gene:PAHAL_9G102600 transcript:PAN45219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSLATSLNIPDDSGADDDPDADAAAYPTPSPSARIPPPPPPVPPHPPHSAAADGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPSPQSAGGQSGDAGTPPEIAGIRNDFAEIGGRFRSGISRISSHKAVTGFSKMASNFFAPEDDEESEWEKERRRPIRYEVGEEAVRHDVEGDEEWHQWEERVRLEVEDDRLGHQLEMERVNHEEDGELEAQRVRHEEGGEFREQRVRHEEDGELEEQRVRHEEEELEEDWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDESDGPFSYFDMSDAQQEHAFTIEHLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQRLQYQSKLESEQLGHHKDDLGVQFREDTLKDGTEAFPFVRQETASYMPITEIEVEKHPIQVTEVAVVDKSVIKEELPKDHTETSNVLQDAFDDDIDDWFDEEADLAGHPTIHIGDEEDVSFSDLEEDDVK >PVH31382 pep chromosome:PHallii_v3.1:9:7767576:7768358:-1 gene:PAHAL_9G129800 transcript:PVH31382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLCSHGGRLVLPRGPDGAVRYAGGETRVLAVPRGASFRELAGRLAEMAGGAEVRAVRHRLADEGLEDVLVSVTCDEELAHMRDEYDRLRAARPAARFRVFVTTAAAAGGVGHRGAGRPSAAAGLPPLAPRMRRVQSEQAQLHRRPAAYPAPVRRVQSAQEIAAHLHVHPSFHHRRQQQCCCGCSCHCQRRELCAPAPRPARPVYAVPYMSKKEAAAPSMMPAAMATGRMVCADAAREKARSRDSQAAMVNNRRAIREF >PAN47445 pep chromosome:PHallii_v3.1:9:30903180:30904997:-1 gene:PAHAL_9G291700 transcript:PAN47445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLASPHSKEGLLAQDQFVGASSCHPIFIGWSPRSTCTSSDLKDKIPLLRAVMATMERGWCIVGSCPLQKLKGKSKPQDVDCSRWTKGPWAPRRSTSLGYICQEREPNLVQAVHQPIKKRIEFCTSIPFPMKVFAPFLSSYENFYLAT >PAN48580 pep chromosome:PHallii_v3.1:9:52658508:52661619:1 gene:PAHAL_9G358900 transcript:PAN48580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQQNNLPRATTAAQGMRCLSCTAAAFRPANPAGRGAMAQLPSLSPPTTAAQGKHCHSSATASRPAGPAARGAMEFDYFDVIDFEATCERDSRIYPQEIIEFPAVLVDAANGRIVSSFRTYVRPRHHPRLTAFCSELTGIRQDQVDGGVDVEQALAMHDAWLTEAGAAKNRLAVVTWGDWDCRTMLEFECSFKGLTKPSYFNQWVNLRVPFEAAFGAGRRNLQEAVAEAGLQWNGRLHCGLDDARNTARLLAELMQRGVTISITGSLAPPPQPEPQPQPQPQPQPQLQPQQPPVNHSLSSCFSGAVAADCYCYCGMAIRRGVMAMPGPMQGHCFFICGNWTPSLGPVCPFFIWAT >PVH31995 pep chromosome:PHallii_v3.1:9:29811655:29812458:-1 gene:PAHAL_9G286300 transcript:PVH31995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSSSPLLRKSEPPVQVQDTIITAWTIRQPTRNRNDPIARAELYQLSYIPPEPSGVCMKESDASSILFPGAAGPSWT >PAN49483 pep chromosome:PHallii_v3.1:9:61289042:61290311:-1 gene:PAHAL_9G452500 transcript:PAN49483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSQQQLLASSTTEEGRSTMASPPARSVSVADRALRGVADLIKLLPSGTVFLFQFLTPLVTNNGHCSTANKVLSGLLVALCGGFCAFSSFTDSYVGADGRVYYGVVTRRGLRTFSPDPDAPARDLSAYRLRAGDFVHAALSLLVFATIALLDADTVACLYPALEVSERTMMAVLPPVVGGAAGYVFMVFPNNRHGIGYQPTRATEDFERKY >PAN44319 pep chromosome:PHallii_v3.1:9:1905849:1909578:1 gene:PAHAL_9G034300 transcript:PAN44319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLAVLALVAAAAPFLRAAGQGGEGDGAGPLPFAVGAAPAGCDIGQGEWVRDEAARPWYQEWECPYIQPQLTCQAHGRPDKGYQNWRWQPRGCSLPSFNATMMLEMLRGKRMLFVGDSLNRGQYVSLLCLLHRAIPDGAKSFETVDSLSIFRAKDYDATIEFYWAPMLAESNSDDAVVHRVDDRVIRGAPMDKHSRFWQGAHILVFNSYLWWTAEDKIKILRGADNDLSKDIVEMKAAEAYRLVLYQVVRWLERNADPKNSRAFFVTASPTHTDSAAWGDEAEGGNCYNQTTPIGDASYWGSTSREMLRVTEEVLATSRVPVGVVNITQLSEYRRDGHTQTYKKQWSEPTPEQRADPRSYADCTHWCLPGVPDTWNELLYWKLFFPSNDQAL >PAN44722 pep chromosome:PHallii_v3.1:9:3691713:3696165:-1 gene:PAHAL_9G064800 transcript:PAN44722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSADLADDGFAAPRLFSKGVSYTYDDVIFLPGYIGFPADAVDLSTRLSRRLPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPDAQAAIIRAAKSRRLPFVSSVPFFAPSSAPTLKDFAGNEYAIVTERGDSLSRLVGVAVAADAASREVPAPVSEYMRPAPRSASASFDFEQAAAFLADEGLDYAPLVSDDGEVIDLITAKDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKLRLEQLVKAGANAIVIDSSQGNSIYQLDMIKYAKKMYPEVDLIGGNVVTIAQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHNVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDILKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRSETLRLEVRTGAAQAEGGIHGLVSYEKKAF >PAN44610 pep chromosome:PHallii_v3.1:9:3255392:3255889:-1 gene:PAHAL_9G057300 transcript:PAN44610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRATVLIAAAIAVVVLLPATVSAAASYRVGDDSGWDNGVDYDAWAAGKKFKVGDTLEFLYSEGFHNVVVVDAQSYAACAVPSNAPTLASGDDRVALRQAGRWFFICGVEGHCVSGMKLAVDVHG >PAN45982 pep chromosome:PHallii_v3.1:9:9580271:9584678:1 gene:PAHAL_9G155800 transcript:PAN45982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVGDAGSGGVRRRQRWCSCAKADFFPEESFSSWSAYGRALRSTGARLADRLTSRSLESTELHEVRARSGADMRRDLTWWDLVWFGVGAVIGAGIFVLTGQEARDAAGPAVVVSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARSWTSYFATLLNHHPSDFRIHASSLSADYSELDPIAVVVIALVCVFAVVSTKGTSRFNYVLSIVHIAVIIFIIVAGLTKADTANMRDFMHFGVRGIFSASAVLFFAYIGFDAVSTMAEETKNPARDIPIGLVGAMTLTTALYCVLAVTLCLMQPYGSIDPDAPFSVAFADRGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVHPRTGTPVNATVAMLVATAVIAFFTDLSILSNLLSISTLFIFMLVAVALLVRRYYVSGETTDANRNKLAACIAAILATSVATATCWGVDVEGWVPYVVTVPAWFASTACLWAFVPQARAPKLWGVPLVPWLPSASIAINVFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALAAESALAAKVEEGDGKPAQGAVHDGEY >PAN44797 pep chromosome:PHallii_v3.1:9:4083196:4087622:1 gene:PAHAL_9G070800 transcript:PAN44797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSGLWGGKAGGDAYRGTPVVVKMENPNWSISEISSPEDDDEDILAAGGRRKGGRSKNAKQIRWVLLLKAHRAAGCLASLASAAVALGGAARRRVAAGRTDAEAGVVAATGESPVVRSRFYAFIKGFLVVSLLLLAVEVAAYLNGWDLADSALALPVIGLESLYASWLRFRAAYVAPGIQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPKPKSPALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLVQVLDDSDDPLTQTLIREEVAKWQQQGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPPKELKHQKTGSAPNLDTVAKEQLASKKDAKKKHNRIYKKELALSMLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQVE >PAN44456 pep chromosome:PHallii_v3.1:9:2443724:2446933:1 gene:PAHAL_9G044400 transcript:PAN44456 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPP1 [Source:Projected from Arabidopsis thaliana (AT3G58490) UniProtKB/TrEMBL;Acc:A0A178VD76] MEAAVVGAGGPGLTRWQAAALSAVAGWVWAASFYDLTRRARALVQPWVTRRVHAETTAILRFQRLQHKLLDNFFSVLSCVVSVPFYTGFLPLLFWSGHGKLARQMTLLMAFCDYLGNSVKDIVSAPRPCSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLAYGGHGSVMIAVGLSLAFLLVMLVGIARIYLGMHSLTDVIAGIGFGFVILAFWLAVHDHVDAFVVSEQNVASFWAGLSLLMCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGIQQTYFHFHTPDAPLIFSPQLPLLAFAGRVLVGIPTILVVKFCSKALSKWLLPVMCSTLGIPIVSSCYVPALKVDNSKSKPDVKQGVGYLQRVFSLFPQKAFDVDTGIRFVQYASLAWSVVDLVPAIFTHLNL >PVH31657 pep chromosome:PHallii_v3.1:9:12994907:12995695:1 gene:PAHAL_9G203700 transcript:PVH31657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMSLEALGRRNVAGPLLLLNLVLYVFMMGFASWALNSFVDGRRHHQQYYPPDNACMCMGTPAGPRSAGEEATLQFIQFALLAAVVGAAAKAATAFHARAWRPQGLAAAAALGTVAWAATALAFGLACKEMRAGAGAARGWRMRALEGLTTVLALTQLLYVAMLHAAVAGDRCLPGCPAEDDQEHHRGGPTCSVM >PAN47008 pep chromosome:PHallii_v3.1:9:12994907:12995695:1 gene:PAHAL_9G203700 transcript:PAN47008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMSLEALGRRNVAGPLLLLNLVLYVFMMGFASWALNSFVDGRRHHQQYYPPGPRSAGEEATLQFIQFALLAAVVGAAAKAATAFHARAWRPQGLAAAAALGTVAWAATALAFGLACKEMRAGAGAARGWRMRALEGLTTVLALTQLLYVAMLHAAVAGDRCLPGCPAEDDQEHHRGGPTCSVM >PAN49221 pep chromosome:PHallii_v3.1:9:60065550:60067763:1 gene:PAHAL_9G434500 transcript:PAN49221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMHISSSKLACMKPMSCHEQEHPELAAATSSNGFDWLEDSISFLTADVDIASGYGWWSNPAEAQQDDIGSVVAQTLSPPAPLPTTSSPLAHASPSIASPPVSSPSEPSSKKRKSPAHKASNSSVSNQRRRAEQDRPGGGGKKSGSKGGGAGSDRDTRWAEQLLNPCAAAVEAGNLSRAQHLFYVLGELASFSGDANHRLAAHGLHALARRLPAAVGTAAAASVRVPPCECPTPAFAGAEPRLFRASLIKFHEVSPWFALPNALANAAIAQAAARGATDARPLHVVDLGVSHGVQWPTLLESLTRLPGGRAPPSVRLTVGGPAATPPAPFSASPQGYDFSPHLLRYAKSINLQLAISRAASLDSVHGFATPGEALVVCLQFRLGHATADVRTDILSKVRGLNPELVVLSELDAGGDGTAAGEFTARLELLWRFLESTSAAFKGRDGEERRLLEAEAGTSVTGDAAGEGRDAWRERMAAAGFEEAAFGGEAVESAKSLLRKYDGGWEMSASGAAGVVALRWKGRPVSFCSLWRPACSG >PAN51295 pep chromosome:PHallii_v3.1:9:70063539:70065645:1 gene:PAHAL_9G583800 transcript:PAN51295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSILPLMDFIARRAFLGAGLQPHTIALPYDDGSDGGQGRRTIHYWAPPGEPRLPPLLLVHGFGPMATWQWRRQVGPLSRRFHVIVPDLLGFGGSSCGSPASPSESAQAAALAALLDALPGLAAGARVAVAGTSYGGFVAYALARAAGPGRVGPVVISNSDLLKTAEDDRALLERAGGGIARTADLLMPLDARGARRLMELSFYRRQAVTLLPDFVLRQAVQQLFRDKREEKIELMKAIAVGTDEFKLTPLSQDVLLVWGDHDQIFPLDKAFDVKRCLSENVRLEIFEKTGHVPQMEDPARFNKLVLDFLLAPQKPPSFDSTARYVEVQPAKLVQLTAESNQ >PAN51603 pep chromosome:PHallii_v3.1:9:71357416:71367111:1 gene:PAHAL_9G606700 transcript:PAN51603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELPGEDAASLAPGPRRQADGEGRSPRQPEAFEDAMEEASTASASPVACREGDGEAAAEASPSSSPSVWRSQGDGPAGAREAETYDLPSASSSGRAAMDGDEFTSVSESREEPGTVDTGSTPSPSEQRARGAAEHESPMATPGAGSPLREERESSVHSAPSSPARSATSTSSSPLLQIKQQARHVRTSSFQRFRQQMQRAWKWGPIGGGGGGERSPREQLLRTTMNIEAMTNQKRQWYQIHSKAQDQCQYEEPASLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVARSEIVDLRKIQYHGPIPSMEPQILFKYPPGKRAEVRETDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLSRDDLSFIFSLKVSDNAPLYGVCLHVQEIVQKAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEVALAEPVPRSVKEQDGVKGDFDSSNGIPYIDWTEYAVPVNSISGLISSSGVSSERDVSSYLFRSWGPNSPESISASEISDSSYVREVDKEGRHSFEQYEDCLSENLESRSDSFGRASYIYGNGHTSPDLLSMHSPISRRLERAQSVESFLDSSVKGVGSDEEDEVNVKHEMIVDDEKVIGWAKAHNNEPLQIVCGYHALPLPPRGGELVFRPLEHLQPVKYSRPGLYLLGFGETILDNGLTSGETHKLNARLAAAEEALALSIWTTATVCRALSLESVLGLFAGVLLEKQIVVICPNLGVLSAIVLSIIPMIRPFQWQSLLLPVLPRKLIDFLDAPVPFIAGVQHKPPDIKMKGSTLVRINVQKDQVKACPLPQLPCYKELVSDLGPIHSRLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESFCSDLRLHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLYNFENERS >PAN48649 pep chromosome:PHallii_v3.1:9:56764429:56765988:-1 gene:PAHAL_9G397200 transcript:PAN48649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVQRAAALLLATACTLALLTLQLQPCAGQQQPPSPGYYPSATLRPSSFSEGYRTLWGPQHQTLSPDGRSLTLWMDRSSGSGFKSARAYRNGYFGASVRVQPGYTAGVNTAFYLSNSEEYPGHHDEIDMELLGTIPGEPYTLQTNVYVRGSGDGTIVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPIRRYERKTEATFPDREMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFADLKIGGCAADAPQGCRPVPASPSGSSAALSPQQEAAMRWAQRNSMVYYYCLDSSRDHALYPEC >PVH32173 pep chromosome:PHallii_v3.1:9:46582479:46583351:-1 gene:PAHAL_9G339600 transcript:PVH32173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCHEEPGFPRLLINSLERLGITERPREYEYLGTRRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERRRQHKDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAEARTAAAISSEAVAQESLRQIQDRRMQEWTNGGTPVPAIGETRVLIGTPITGWGGLFRTPQAPPEGTERTAAAIAGEAVGQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PVH32928 pep chromosome:PHallii_v3.1:9:66463224:66467615:1 gene:PAHAL_9G528100 transcript:PVH32928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G48850) UniProtKB/Swiss-Prot;Acc:P57720] MLRRGRWRLRAVYKLLPAYLPLPLLPPPSSPSPTKPTKPSPMAAPVSQPPVAARASTRLLPRGLGALPESAPASLRFSVGRRRRAARLEVKASGNVFGNHFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYETVTMEQIESNIVRCPDPEYAEKMIAAIDKVRVRGDSIGGVVTCIARNVPRGIGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDFTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGEIIYFKVAFKPTATIGKKQNTVSREHQDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPIGSASSASELAPNLS >PAN49347 pep chromosome:PHallii_v3.1:9:60722506:60725702:-1 gene:PAHAL_9G442900 transcript:PAN49347 gene_biotype:protein_coding transcript_biotype:protein_coding description:COX6B [Source:Projected from Arabidopsis thaliana (AT1G22450) UniProtKB/TrEMBL;Acc:A0A178WPQ4] MAAEAKTPSLAEEYSLPPEEIPAEKAAEEKPSSGTETEAAPSTNDETPPAVEDKNETSEAQDTADKSEAEESNPVAEETSESAEEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >PAN45525 pep chromosome:PHallii_v3.1:9:7457206:7460548:-1 gene:PAHAL_9G125500 transcript:PAN45525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVRCVDSLAAEVAPHNSFRTLGLTLLISMAYLDLGKWLVTLESGSRFGYDLVLLMLCFNLSAILCQYLSSCIGMVTGKNLAQICRQEYSQTICGVLGLQAGLSLLTSEVSMIAGTAIGFKLVFEYNDLMRVIWFTSVVVNLLPYTLSLLDKRMAGMFNTYLAGFTLVYFVLGLLVSHPNTPVNMNVMFPKLSGESTYSLMALLVTNIIVHSFYTHSSVVQVQRRFPVLTRGSLFHDHLFSIVLSFSGIFLVNYILLSSAADESKNAMAIHFQEAVQLMNQIFTNPVAPIVLLVILVFSGHIISMTCIIGSDLISENLFGVKLPVFAHHILPKVLAMMTTMYHAKVAGSDGLYQLLIMCPVIQAMFLPASVIPIFRVSSSRLLMGRYKMSLYVEILAFLAFLLTLFTNIIFTAEILFGDSTWTNDLKGNTGSPILLPYAAVVLISCASIAFTLFVAVNPLKSACTEAQELLSSVHPQRKTLDNAHHNQAASLEHSKTASEHIGHSRSAFEHNGSSDTTVQSTDHMVINPEARPSPSINCETKTAVVN >PAN45408 pep chromosome:PHallii_v3.1:9:7456626:7460756:-1 gene:PAHAL_9G125500 transcript:PAN45408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVRCVDSLAAEVAPHNSFRTLGLTLLISMAYLDLGKWLVTLESGSRFGYDLVLLMLCFNLSAILCQYLSSCIGMVTGKNLAQICRQEYSQTICGVLGLQAGLSLLTSEVSMIAGTAIGFKLVFEYNDLMRVIWFTSVVVNLLPYTLSLLDKRMAGMFNTYLAGFTLVYFVLGLLVSHPNTPVNMNVMFPKLSGESTYSLMALLVTNIIVHSFYTHSSVVQVQRRFPVLTRGSLFHDHLFSIVLSFSGIFLVNYILLSSAADESKNAMAIHFQEAVQLMNQIFTNPVAPIVLLVILVFSGHIISMTCIIGSDLISENLFGVKLPVFAHHILPKVLAMMTTMYHAKVAEILFGDSTWTNDLKGNTGSPILLPYAAVVLISCASIAFTLFVAVNPLKSACTEAQELLSSVHPQRKTLDNAHHNQAASLEHSKTASEHIGHSRSAFEHNGSSDTTVQSTDHMVINPEARPSPSINCETKTAVVN >PAN51509 pep chromosome:PHallii_v3.1:9:70950491:70956975:1 gene:PAHAL_9G599200 transcript:PAN51509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIMEKPMAVDATGGGGGGGTIGRTRSDQLAPSQSLSRTASAETVLSNADTTSLSRKSSFGRKRTASGGGGGGGGNRHIRKSRSAQLKLDVEDLVSSGAALSRASSASLGFSFTFTGFTPPPQGGMHSADPTTFSDDDSPMDIEAGARRRKKLMTEPTLPIYLKFTEVKYRVAAKGSPREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRAGGGAVEGCVSYNDEPYSKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTNDVSVPSELDDKYLVDAYETRVAFKEKKKLLAPLPISDDLKVTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSIILGLLWWHSDPSTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFFARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILTTTKHIDNGATEVAALVAMIIGYRVLAYLSLRRVKAQGS >PAN51508 pep chromosome:PHallii_v3.1:9:70950490:70956975:1 gene:PAHAL_9G599200 transcript:PAN51508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIMEKPMAVDATGGGGGGGTIGRTRSDQLAPSQSLSRTASAETVLSNADTTSLSRKSSFGRKRTASGGGGGGGGNRHIRKSRSAQLKLDVEDLVSSGAALSRASSASLGFSFTFTGFTPPPQGGMHSADPTTFSDDDSPMDIEAGARRRKKLMTEPTLPIYLKFTEVKYRVAAKGSPREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRAGGGAVEGCVSYNDEPYSKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTNDVSVPSELDDKVHMENHTLENNNSKNDYRPSAQDVHEYLVDAYETRVAFKEKKKLLAPLPISDDLKVTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSIILGLLWWHSDPSTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFFARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILTTTKHIDNGATEVAALVAMIIGYRVLAYLSLRRVKAQGS >PAN47783 pep chromosome:PHallii_v3.1:9:25490649:25491149:-1 gene:PAHAL_9G276900 transcript:PAN47783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACWKLSSTLMAALLAIAAGPGTTRPVAVATSAHLHFYMHDVTGGPSPTAVRVVNGPRGSFGNTVVIDDELTEGTSQSSATVGRAQGYYMMASVSNLELMVNMNVVLTSGPYAGSSLTVVGRDDVSTPVRELSVVGGTGLFRMARGYVLWKTVTPEILDLEIFINP >PAN46333 pep chromosome:PHallii_v3.1:9:11181069:11183655:-1 gene:PAHAL_9G177800 transcript:PAN46333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSASTAAALLALPSPSSSSSDDSDDADEARILPPSPALEPEAASPPPPPQQQQPARRWLDLERDCNMAMKALARAGDVAQVADLFAELAHSASAAGAAPGVLCYNTLLNALAEHGRAAEARGVFDGMLAAGVAPTASSFNILVKLYAWRTAEFHLAYDEIHGMRGHGVVPDVGTYSTLVTGLCRAGRLDEAWGVLDWMLQEGCRPMVHTYTPILQGYCRNGHIEEARKLIDFMEGAGCPPNSVTYNILIKALCDDGRFDEVEQVLDEIKTKGLKPSSVTYNTYMDALSKKGMGEKALRLVEDMQCEGLKLTAFTLSIVINCCCWNSKFSEVIPLLERSTELDWCAAVVAYNTVMSRLCDTGRWLAILELLADMIKKGIIPNTRTFNILINSLCTRGKLSIVKNLVFNHRFPANVVTYNTLIHWSYYHGKASDAENMFEYMTQVANIAPDEVTYTIMVDGFCRQGEFDKATDCFKGSLKNRLSKDLLTALLNRLGRKERIWNILDIFEEIERRGFVRDHMIFEGTIRSFCRFGFRQYTKMFNLEFLLDGMLGPGKELYPTHKGRGKR >PAN45941 pep chromosome:PHallii_v3.1:9:9361139:9369415:-1 gene:PAHAL_9G152400 transcript:PAN45941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEYEEGMEMGYGGHHQHRGGHAGYAEDEDEVGYGGGDADEMDEDGDGDGDAEQRDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETSDYPKVFIGKVPIMLRSSYCTLYQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNADLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKAHIRALQQRETPDEGWHELVSKGYIEYIDTEEEETTMISMTINDLINARQNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQASRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHDIKTGKDQKKR >PAN50406 pep chromosome:PHallii_v3.1:9:65802405:65802748:-1 gene:PAHAL_9G518000 transcript:PAN50406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVKSLLLTLLRCKDKFLIGCLVLQTDSSSISQSRMQNGWCRGEHVNSGFSLVTNDGWNDPVTNQTEM >PVH32781 pep chromosome:PHallii_v3.1:9:63448869:63450535:-1 gene:PAHAL_9G484800 transcript:PVH32781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSWVVTRLPLRIGSEISIGIRRRTTANGQKCWNQPIEVWETASTSRSGSELRVSLRYCEAFCSERNVLEKVNACLGCGNSVEKISVFLTSSGTKHQECRDLPSQWQQNGISVDEE >PAN52058 pep chromosome:PHallii_v3.1:9:73651141:73653116:-1 gene:PAHAL_9G639800 transcript:PAN52058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTARTVKDVDPHEFIKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYIRAASIARKIYLRHGIGVGGFQKIYGGRQRNGSCPPHFCKSSGAISRNILQQLQKMGIIDIDPKGGRLITSQGRRDLDQVAGRVAVEA >PVH31223 pep chromosome:PHallii_v3.1:9:5054119:5055830:1 gene:PAHAL_9G088200 transcript:PVH31223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVNQRPGRPGRHPTTKREVNQRRGHATPPLPPSQIRAEGGRAAAAAAAPAPATGRDSARAAMERRGQKPEDAEPPGKDGAGGPPAPPPLFLEVTCRSSGKVRRFAAGTTARYALHAINRKLEPGAPPALHVEAVRDGEEPVSFGPSAALADYGRGWRLQTVTAQDAPGIHHAPRADTKRGETQAAVDSVARETTRSTSVYVAKIVLAFVFIFLLGGLFTYMLEVVPDMLQASPAPESL >PAN51695 pep chromosome:PHallii_v3.1:9:71805278:71812446:1 gene:PAHAL_9G613200 transcript:PAN51695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTSALLSRSTTGSSTRVSAAAAAAISRPAADAAPSSSPSPSRPTPRPRPCPASPFASGLSGRLFGGHRAAARSASSATAVFERRFASAATRNTYDEILTGLGRPGGGEEFGKFYSLPALSDPRIDRLPYSIRILLESAIRNCDDFQVTGKDVEKILDWENTAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAVSKLGSDPNKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFHRNKERFGFLKWGSSAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELSLADRATIANMSPEYGATMGFFPVDAKTLDYLKLTGRSDDTVAMVESYLRANKMFVDHNQVEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVADFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDVWPSTEEIAEVVKTSVLPDMFKSTYEAITKGNPMWNELSVSTSTLYPWDPSSTYIHEPPYFKDMTMTPPGPRPVKDAYCLLNFGDSITTDHISPAGNINPDSPAAKYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLNGEVGPKTIHVPSGEKLAVFDAAMKYRNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERYTIHLPTNVSDIKPGQDVTVTTDTGKSFTCTLRFDTEVELAYYDHGGILPYVTRKIAEQ >PAN49590 pep chromosome:PHallii_v3.1:9:61630652:61633214:-1 gene:PAHAL_9G458300 transcript:PAN49590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEVSDSSSLPFSTRRSDLVSAAAWFLCTISLACSTPTCRALTPDGGRGRRACSSYERRPAAPRGGLLRALQGGPLLRALRRRLLRPLLRRAPPRPGETRGRRPRSSRRRRRGGLDQAQPGAAQGTARSGERDSFCVSCGAGFSSALCGHHVGHETFRVVVCEGLYCARCTGSEPWFHLFTGIQTYRDKKGHILVPLHPRCSGRRCKSYGGCCR >PVH31136 pep chromosome:PHallii_v3.1:9:3672910:3673089:-1 gene:PAHAL_9G064300 transcript:PVH31136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFGEHTVLIFYCASCFANPFSLTEATYILWPVGIYTCSQALKFKRCGKAKENLRKDV >PAN46023 pep chromosome:PHallii_v3.1:9:10383261:10384578:-1 gene:PAHAL_9G166400 transcript:PAN46023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRNAFSGKLPTSKNDISSLKLLHLASNNFQGDFPFVIRKCKNLITLDLGGNRYYSMIPSWLGVRNPMLRFLRLRSNMFYGNIPRRLSRDSYLQLLDLADNNLTGSIPTGFGNMTSMMHQNKEQFITSVDKSAAYPYFIRIHVNWKGHDDIFQREVALVTGIDLSTNFLSGEIPTELSNLQVLKFLNLSRNHLSGGIPKDIGNLTFLESLDLSVNQLSGPIPSSITNLMSLSSLNLSSNNLSGEIPKGNQLQTLDDPSIYGNNSGLCGFPLSIKCPNNSSSVSAFNKQKEYHKDLEDLWLCYWVVAGFIFGLWVWLGGLIFFKSWRMAIFGYMDRLKNIVM >PAN47977 pep chromosome:PHallii_v3.1:9:41555623:41555985:-1 gene:PAHAL_9G326800 transcript:PAN47977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENNAAAADDFVLLMCFDGEEFRVLSALARRSGVVAARMDAGHHAAAGAVPVPGGIAGRVLAAVIAYWIGRHAVSADGDLGRYDEEHVAGLSHDVRVDIINAAFHLSEHGLFELFWVVS >PAN51164 pep chromosome:PHallii_v3.1:9:69509802:69515592:-1 gene:PAHAL_9G575500 transcript:PAN51164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARVAYQAAGRRRKSLARNNVHTCRRGTTQDGVHARLFPRFASVVADAGSGPPARLESPTQPRNSNAPLPIKSRVSRGPIRRESQTDRSPPPPPSRNIWTPNFAELASQRQRERERERGLFGSIDTMATDKQRGDEEDASRVALLHADVKKDEWQVAAAATGDDNNLGRRVWEESRKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGARKFHMMGVYMQRSWLVLLMCAVLLLPMYFFAEDVLLLTGQPPELSAMAGRVSVWFIPLHLSFAFLFPLQRFLQCQMKNFVNAAVSAAALAVHLLVSWLFVARLRFGLVGIALTLNFSWWATAAMLFAYVSCGGCPETWHGFSFEAFAGMWEFVKLSSASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGRGARFATIVSSITSLVIGLFFWVLIMGLHNKFAIIFTSSSVVLDAVDHLSVLLAFTVLLNSIQPVLSGVAVGSGWQSMVAYVNIGCYYLIGIPLGILLGWLFNLGVLGIWAGMIGGTAVQTLILATITVRCDWEKEAVIASTRMDKLSQVR >PAN51217 pep chromosome:PHallii_v3.1:9:69764055:69767425:-1 gene:PAHAL_9G579000 transcript:PAN51217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKIEEAGSSDIVSSPKSLQSSVGPKLIEGTDEDYHSNLLKAASANFEAPMQQEYTISLVLAENKNSLQEISVEQKVPTGDSNLSPKVDSSEMTSTNEVQDGIPSSSSETHDDSNTVRSNTARSMLEASMQQECPMRLILTDGKQDLHEVSVEQKVPIGDYVALSPKAGSCELLSTNKIPDSFPTSSSEEAQDDSIKMKASEVNVCSASQSLLRLSEGVQDDASCIDSGKVTCGTPPALLKKVKEDKPLIVNRFHKHQMDLGDTRQKVPAPVSRSSTSKYLRMDKTTVDTTTPIESVKVAASKFGGSINWKTHRSQTAQESDHDHIILELDKLKNEISECKRQAEAAEAAKLSVFNELERTKNIIDEMTHVLERQQAIEVDAKEDLELFQFILQEMEEGVAFDNSIVAEEKLNNIQERRKSLVAKVMLVKDDFRKVQEDYDSLLTETDISVRKAQTAFAMSKDAERQVEELTIELQRLKEVFDLAQSTCHDAEELEKGTLMARDEDCLAWEKDLRQEEEELNQISMELSSVQELQSKLDKSSSLLLDLKNEVATYMEAKLIEEAQEQESGTHKSMQEEAIILSRNELEEHRKSIAKVTDELCALKATAASLESDLNKEKAALAAIQQREAMASITIQSLKVEIKLSQQELEAVRAKEKERGDRAVGLPKVLQDAAKEANEAKSTTAKTQDELRKAKEEVEQAKAALSTMEFRLEAVLREVEVAKESQRLALNALEGTKVAANIKQQGSSQMITLAVEEYASLVERSHRAEELVHEKTAAAIAQVEAAKESESRTLSILNETYKALEERKQALLAATERADRATEGKLAMEQELRRWREENGRRRRAGDQASKSEAKPSNTAEIIRGDTKCTIKEDSCAASSVHPLSDASGRSSPSDPALQAKTRKAKKLSFFPRIIMFLGRRRLKAAR >PAN51315 pep chromosome:PHallii_v3.1:9:70135265:70139905:-1 gene:PAHAL_9G585100 transcript:PAN51315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRTTATSTAAALLAPRLTCSAIRARLLFSRPPLRRVAAMATAPSSFRPEVARSPPALELPTPPLSKFKVALCQLSVTADKARNISHARAAIEAAAAKGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDVAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNACCVFGSDGQLKGKHRKIHLFDIDIPGKITFKESKTLTAGQNPTIVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCAPARDASAGYVAWGHSTLVGPFGEVIATTEHEEATIIAEIDYSLIEQRRQFLPLQYQRRGDLYQLVDVQRLGSQ >PAN50945 pep chromosome:PHallii_v3.1:9:68483818:68484165:1 gene:PAHAL_9G559000 transcript:PAN50945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCSLLLVATAAAAFAVAAPVTANLGGWGVIPDVEDAHVQEIGAWAVAEHVKRANDGLRFGKVARGEEQVVAGVNYRLGIVAVNLAGQNATYSAVVYEQIWTNTRRLLSFDRAK >PAN45727 pep chromosome:PHallii_v3.1:9:8411307:8411534:-1 gene:PAHAL_9G137700 transcript:PAN45727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYQVKCGTCGKSTWAGCGRHVASVHRQIPEGQHCACRGWPGVAPAGDKAAAAAGDSATGAASEGSSSTSVCTIL >PAN48322 pep chromosome:PHallii_v3.1:9:54458873:54459505:-1 gene:PAHAL_9G375100 transcript:PAN48322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQGAGADGEVPAGLGLTAAEYEQLRSTVEAHHRYAVGAGQCSSLLAQRIHAPPSAVWAIVRRFDCPQVYKHFIRSCALRPDPEAGDALRPGRLREVSVISGLPASTSTERLDLLDDASRVFGFSITGGEHRLRNYRSVTTVNELAGPGICTVVLESYVVDVPDGNTEDDTRLFADTVIRLNLQKLKSVAEANAAAAATNFVPPPEPAE >PAN48321 pep chromosome:PHallii_v3.1:9:54457703:54459863:-1 gene:PAHAL_9G375100 transcript:PAN48321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQGAGADGEVPAGLGLTAAEYEQLRSTVEAHHRYAVGAGQCSSLLAQRIHAPPSAVWAIVRRFDCPQVYKHFIRSCALRPDPEAGDALRPGRLREVSVISGLPASTSTERLDLLDDASRVFGFSITGGEHRLRNYRSVTTVNELAGPGICTVVLESYVVDVPDGNTEDDTRLFADTVIRLNLQKLKSVAEANAAAAATNFVPPPEPAE >PAN49494 pep chromosome:PHallii_v3.1:9:61353495:61354886:-1 gene:PAHAL_9G453200 transcript:PAN49494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAAAALSCALLVVAAAGYYTPPSPGACGLKVGYYHDRCPKAEAIVKRVVGDAVRRNPGVGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLGAPNNPSLRGFEVIDAAKAALERACPGVVSCADIVAFAARDASSSLGGGRGVDFDMPAGRLDGRVSVASRTLDFLPPPTFNLSELVGSFAAKGLGVEDMVVLSGAHTVGRSHCSSFVPDRLAAPSDISPSLAASLRGQCPASPSSGNDPTVVQDAVTPDTLDNQYYKNVLARRVLFTSDAALLTSPATARMVSDNANIPGWWEDRFKKAMVKMASVEVKTGRNQGEVRRNCRAVN >PAN46698 pep chromosome:PHallii_v3.1:9:13389294:13390659:-1 gene:PAHAL_9G208100 transcript:PAN46698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGARPGRRFTVGRSEDATHPDTIRAAISEFIATAIFVFAAEGSVLSLGKMYHDMSTPGGLVAVALAHALGLAVAVAVAVNISGGHVNPAVTFGALIGGRLSLIRAVFYWVAQLLGAVAATLLLRLATGGMRPPGFALASGVGDWHAVLLEAAMTFGLMYAYYATVIDPKRGPVGTIAPLAVGFLLGANVLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFLGAGVAGLVYEYLVIPSADAAPHAHGVHQPLAPEDY >PAN51578 pep chromosome:PHallii_v3.1:9:71264227:71265991:1 gene:PAHAL_9G605000 transcript:PAN51578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVWVRLARSPSMGATRWRKPRSAKWRAQSLHASAARRRRALPSGGTRSKKMMSSAMSSRPDLTGGAIGAVGVTIRFDSVLGDPGPANGIRRPRWPRAGTVNEIPSGVDGVSFTVHPGCPARAPRSLPPPRPASPEVTALCTCAVLPPEAVVHVSSTAPRRARVPPSVAGSLGTYSPRNGRRTRGAVPWRARAAAADASSPWASIMFSSEITAAAASTNSPSQRCRRASAASAMCCHC >PAN51579 pep chromosome:PHallii_v3.1:9:71264226:71265992:1 gene:PAHAL_9G605000 transcript:PAN51579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVWVRLARSPSMGATRWRKPRSAKWRAQSLHASAARRRRALPSGGTRSKKMMSSAMSSRPDLTGGAIGAVGVTIRFDSVLGDPGPANGIRRPRWPRAGTVNEIPSGVDGVSFTVHPGCPARAPRSLPPPRPASPEVTALCTCAVLPPEAVVHVSSTAPRRARVPPSVAGSLGTYSPRNGRRTRGAVPWRARAAAADASSPWASIMFSSEITAAAASTNSPSQRCRRASAASAMCCHC >PAN44513 pep chromosome:PHallii_v3.1:9:2760073:2760374:1 gene:PAHAL_9G049200 transcript:PAN44513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSAPIGSRYRRVCEELLEMGTRVAVRSYGTAPRRGAWAGSTLAAAAARRQRQAAAKVEIHGSEFILYGGGKN >PVH31912 pep chromosome:PHallii_v3.1:9:19146699:19152074:1 gene:PAHAL_9G259000 transcript:PVH31912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLSLHFPSPNLQRITSQNQMAHWISVGWEGGSCFPGLLRQQSPSNLSGAKTTPPNFMKEQRTYFADVGASLSCQWKIGPMEPKLPPPPRALPLPHFTLPPLAGEGHLFVAALRSHISASPTPTAASLSRFLPGLTPLRLTHLLLLLGPLLAKGIPHDLLAALLPLPPPPLPLAVLLHSLPPRRCNELLASVLPSVSPHAFPDLLHHVLLTARLASTTQSAPAVLALDVLFSSCARNKKLSRATLAYRSMRAHGLLPTVVSCNVFISAALRLRRPEIAVSFFREMRRCRMSPNVYTANMVMRAHCALGRVAEAAQVLDEMSDLGICRTPTSFNTLIATYCKDDGGMELAFRLKKRMEQEGLMPNEVTYNAILHGLCKKGNMCRANQLVGEMRANGVEPNTVTFNTLIHGYVRLGDNESASRVHEEMVKAGVGVDMVTYNALILGLCNEGKVKKAGHLVQELCRTKLEPNASTFMSLIVGQWKRQNSERALDLLNAMKKSGFHPNYDAYKMVVSTFCKNKDFEGALDILKDMLARCMAPEMDLLHEFFEGLSEAKKLHLVEDLRSVANGARFIPDVYYTGDYRNKDKEKNEC >PAN46685 pep chromosome:PHallii_v3.1:9:13573557:13577604:1 gene:PAHAL_9G210000 transcript:PAN46685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGNTTQLDLEKGLPQISNDNDSSSPSTIHKMKANTEDSGSKIESPTPEKLESRSKGVVVSSLARNLLAERYKDRFANQLGEDEDDTDDEDYNDSLSPGVSRPLISGSIELLEKHKDLLNFFNRMESSIRLLRLRKKMTTFKNIATQVEVLTKRTFSYSHLAQMKHLFPEAIQIKRILLHDEKSLCMYPDMEITLVMDVVECTSPDESPSMAICEAFYSKLLNFLDAHHKGTDIPEAILPEPFNSRPREKLYLQAPDGHAAEPPLQGTTEDGLSYASHFPQTFQKLMSQKIVADGTEKTQLLSDPAELSSVRAYDTEGTNRSPKKQDTHAPVPVNSEISATPSRHLISCCQESTPKQGTSESSFLAGTPAMQTPKRLLPTSLEKLETTCGHISEPRSTSSARRSLNTSLKFEGGSLSCHDGMEHEATAKKDVFSEVSSSSNKSLEENDLISFTYEEKTNQIDPVETQEKIASLCSTFDIVCDISRSTKNSQITKQELFHYILASNLEIEETGEIEEQLHILEDLAPDWISKKVINGGEILYSIVPIPITDQSSVRARLVEAV >PAN44209 pep chromosome:PHallii_v3.1:9:1436494:1440380:1 gene:PAHAL_9G025200 transcript:PAN44209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWASAAYTAAALVCAAAATVLALVHIYRHLLHYAEPIYQRFIVRMIFMVPVYAVMSFLSLILPANAIYFNSIREIYDAWVIYNFLSLCLAWVGGPGAVVVSLSGRTLKPSWILMTCCYPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYKDGNFSVNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSRLIKNADKAADLQNFVLCVEMLIAAIGHLFAFPYKEYAGPNARPAGGFRESLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSGSTVPSGQGVELAGITVVASNSPVTSSVSSNQADQEETMTTPIKDKVDPPGGLYDLTDLLDVDLSNYPAKVPAITDVRKQ >PVH31192 pep chromosome:PHallii_v3.1:9:4567550:4568989:-1 gene:PAHAL_9G078800 transcript:PVH31192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTQSNAESRPAMAEVVEMLRFNGEQRTTKEIVPVSAVSSEEVTDQLDDVTGSSEPLDRRSWKLTKGTVLAE >PAN46552 pep chromosome:PHallii_v3.1:9:12316734:12317150:1 gene:PAHAL_9G193000 transcript:PAN46552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRARVMLPLLLALLLSTASAAPALLVPAVGGDSGPADSPAEAPTAVAAALERAEDEVADGIAAPPDSLTFRPRQHPSALSPEQRRGLEHEARCGPRVPVRRGAFPWPGWNPRCRGGGGGAAAPAGHRLQPVDDEP >PAN52100 pep chromosome:PHallii_v3.1:9:73786305:73790739:-1 gene:PAHAL_9G642200 transcript:PAN52100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRAAAAVVVVLLPLLVAAARTSALPFIVLHGIGDQCANHGVAQFTKLLAEWSGSDGHCLEIGSGTWDSWVMPLQQQADIICNKVKEMEQLRSGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGSGIFCIIVDALIKLEIYSDYVQEHLAPSGYLKIPTDMADYLKSCRFLPKLNNEIPDKRNATYKERFSSLENLVLIMFQDDAVLIPRETAWFGYYPDGAFDPVLPPQKTKLYEEDWIGLKTLDEAGRVKFISVAGGHLGISKGDMKKYIVPYLADKSSDKTLQWSISGLLGVTWHSAREALGLMEGDSAVLYSPAL >PAN46042 pep chromosome:PHallii_v3.1:9:9918868:9925021:1 gene:PAHAL_9G159500 transcript:PAN46042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPRARPSTVAAGELRPPEPPLDPLEFLSRSWSASGRAFAPPPPTPPPAALVSPIAEDAACELEDCGVTAASGSSFSFASAATSQLIMERILAQSEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQAYRPGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAVSGSGKDDRAARTDMAVASAATLVAAQCVEAAEAMGAEREHLEAVVGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGVVGGGGHHQKHGAPKQQHRKLESNGSSISDDVSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCRDVPAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSLAGERKRLA >PAN46041 pep chromosome:PHallii_v3.1:9:9918868:9925021:1 gene:PAHAL_9G159500 transcript:PAN46041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPRARPSTVAAGELRPPEPPLDPLEFLSRSWSASGRAFAPPPPTPPPAALVSPIAEDAACELEDCGVTAASGSSFSFASAATSQLIMERILAQSQEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQAYRPGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAVSGSGKDDRAARTDMAVASAATLVAAQCVEAAEAMGAEREHLEAVVGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGVVGGGGHHQKHGAPKQQHRKLESNGSSISDDVSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCRDVPAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSLAGERKRLA >PVH31492 pep chromosome:PHallii_v3.1:9:9919324:9925021:1 gene:PAHAL_9G159500 transcript:PVH31492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLFSEKTRFHSPSAFSNLMPYRTMMCVATLQQEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQAYRPGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAVSGSGKDDRAARTDMAVASAATLVAAQCVEAAEAMGAEREHLEAVVGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGVVGGGGHHQKHGAPKQQHRKLESNGSSISDDVSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCRDVPAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSLAGERKRLA >PVH32866 pep chromosome:PHallii_v3.1:9:65163978:65164579:1 gene:PAHAL_9G509100 transcript:PVH32866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARAPSLSTLPFLASGLALSRRGDPVPSSTGWWLPCRAPRHPSAPIRGRRLCGSPWQQAPTSGPATLPSADTIRSISSCTAPYREKQRSTDPYQPLSP >PVH31244 pep chromosome:PHallii_v3.1:9:5367286:5367756:-1 gene:PAHAL_9G093800 transcript:PVH31244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTTQARDRYHLIGVGFRSRIGEATKARPIMFWLSAEELGALSQVAADSRQGIHLHG >PAN49238 pep chromosome:PHallii_v3.1:9:60139483:60145390:-1 gene:PAHAL_9G435700 transcript:PAN49238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAARRLLSRAAAARRLALPSASAPAPAVAPRRFSADAGPPPPTQTLPPPPLEPAAEPPRSEGTGASSSSSSSTTAGAGGAHRSSPGAAAGTRRQGGTGYQEEQEKVLRASLLHVPRMGWSESAMVAGARDVGISPAIVGAFPRKEAALVEFFMDDCLQQLMDRIDAGEGEQLKNLILSERLAKLVRMRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPEFRDTWTFVNRRIKDALDLQKTFQEAAYLAEAVGAGMGGTVQGVLNRVFQNRGS >PAN44529 pep chromosome:PHallii_v3.1:9:2851114:2853551:-1 gene:PAHAL_9G050700 transcript:PAN44529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLISPSTSSAENSGQLGSSTAIPVPSIEKKNGMETNDSHKDRRADSSISASTQDYNMKEPVTQTSSEEESNVPSQGESSSKKPVARAKVPFEKGYSQMNWLKLTRTHPDLAGLKGHSNRRLISLEEVKQHKTGDCIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSAAMFNKYHAWVNAEFLLEKCLVGFVDPNE >PAN49673 pep chromosome:PHallii_v3.1:9:59407430:59414104:1 gene:PAHAL_9G427800 transcript:PAN49673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLFYLNTGHDNLEKIYGALLAFACNFCKANSKETVLVSPVSFHTSDGSSPEALCQGPPNPIPMSATALFLPANPNPTPSLPCSFRQTTPASTSDVLHFRLRLPRHARARTHLPAAFGRGSPAAGRGAKDYYATLNIRRDATLQEVKAAYRTLARKYHPDMNKSPEAEEKFKEISAAYEILSDEDKRSLYDRSGEEGLYGDYVHGDIGTHGIDPYELFNAFFGGSDKIFGDSMAPGGFHYSAEVNDNRGLDISYDLLLSFEESILGGKQEINIFRHETCGTCHGTGSKSSNGITECTRCRGQGRLMKTQRTPFGIVSQISSCLNCGGNGKVITEHCTECHGSGKVQVERDIKVDIPGGIADGSTIRVRGGGSVDKQRGASGDLYIFIRVNEKQGIHRDGLNLYSDVSVDYTDAILGTTVKVETVEGFRDLHIPSGTQPGENLKFSQLGVPDISRPNIRGDHYFVIKVKIPKNISDRERSLVEELATLSKAQNISVPHEVPSLHPSARRKRSFWGSIWNLFREDKGDQRYASISVQPIIPGWTSRRGAEPAVPLLLKGFLMIAAFLLVISRTTKPRFIRNRDDRPTQAKVTAQPE >PVH32103 pep chromosome:PHallii_v3.1:9:36636152:36636629:-1 gene:PAHAL_9G313200 transcript:PVH32103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMETMWAQAVADRQQRLASAEVVSKVLSQNSSNTTFLKNAGIATPSSRSSSTGEETLREEFAVERQGSGVLHQELEQLKKKSEENDEALARTQRQYEELKKQ >PAN48825 pep chromosome:PHallii_v3.1:9:57659483:57664405:1 gene:PAHAL_9G408700 transcript:PAN48825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHHYSSSVPLAAAAAGLALLALCSYYLLVGRRGGGKPEKRYPPVVGTVFHQLYHVRRLHDYHTDLFRKRKTFQLLTPAGRRQIYTCDPAVVEHILRTNFANYGKGAFNYENMTDLLGDGIFAVDGDKWRQQRKMASYDFSTRALRDFSGAVFKRNAARLAAVVSGAAASGRPVEFQGLALRATMDSIFTIAFGLDLDTLGGSGEGTRFAAAFDDASEFTLLRYVNAFWKAMRFLNVGSEAALRGRVRVVDEFVYKRIRDRAQELSDGKAQDPDSRQDMLSRFIQAATNESGTVDYKYLRDIILNIVIAGKDTTAGALAWFLYMACKHPEVQEKIREEATRATGASETATAEEFAQSLTDEALNKMHYLHAALTETLRLYPSVPLDNKQCFGDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGADAEAFRPERWLDGDGGEFRAQSPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRSFAFRLRDGEGATVGYRTMITLHIEGGLHLTATAR >PAN50881 pep chromosome:PHallii_v3.1:9:68218906:68222664:-1 gene:PAHAL_9G554400 transcript:PAN50881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKSVTPGAVSHILANPSPSDAAGAPELVVQVVDLKPLGGTSRFSFIASDGKGKIKAMLPAKFAAEVRSGNLQNLGLIRILDYTCNSVPNNEKALIITKCEVASQALDAEIKSEAKKEEEPTIVLKPKDEGVESKPPNAPPVVLKPKQEVKSASQIVNEQRGNAAPAARLAMTRRVHPLISLNPYQGNWVIKVRVTNKGNLRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYSMFELGKVYYVSKGSLRIANKQFKTVQNDYEMTLNENAVVEEAEGETFIPQVQFNFVKIDQLGSYVNGRELIDVVGVVQSVSPTLSVRRKFDNETIPKRDIVVADDSGKTVSVSLWNDLATTTGQELLDMVDSSPIIAIKSLRVSDFQGVSLSTVGKSTLLVNPDLPEAQNLKLWYDSEGKGTSMAPIGADMGAARAGGLRSMYSDRVFLSHITSDPNMGQEKPVFFSLNAIISHIKPDQNMWYRACKTCNKKVTEAVEGGYWCEGCNKNDAQCSLRYIMVIKVSDPTGEAWVSVFNEHAEKIIGCSADELDRIRREEGEDSYILKLKEATWVPHLFRVSVAQHEYMNERRQRITVRTEAPVDYAAESKYLLEEIAKLTAC >PAN50497 pep chromosome:PHallii_v3.1:9:66233695:66238244:-1 gene:PAHAL_9G524800 transcript:PAN50497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDADDKELAAQATRPPPESSANSGGWKPALGVVLSEVFNTGTILLSKVAIDWGTFVFSLLFYRSILGAVFTLPFALFFESGKWKDLDKRALGWLFLNALAGYSSPMSLYYYGLRDTAASYAVIFASLTPLVTFVLSILLGMEKLRLGSKEGSSKVTGVLVCFGGALLISLYKGKVLLLGRAIVRAGQEDPNVAAAGPHHLRGTLLLLGNCMSYACWYPIQVKVLGVYPWKHWSSVVTCSFGGLQTFAIGIIMRRDKLAWQIGWNIQLLTIVYSAALGTAAKYWLNLYAVEKRGPVYPPMFSTLSAVFIIILGTLLLGESLTVGSLLGSSLVLSGLYIYLYGKAKEPQAKTTTSGSRDKELQVWPTRGSTSQDTASGP >PVH33144 pep chromosome:PHallii_v3.1:9:69685653:69692789:-1 gene:PAHAL_9G578000 transcript:PVH33144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAALMDIITSNCDNADYSSFQPLLPADADTRDIAAVIEVIEQGGMHFDDHEDNSSNDGDRGLKGIGIKVLGGTTILGFSRGNNSLELDNSDVGMLEVSHNSRRLVVQQTAIESALAEKLSASAVPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVATALKASERTVKWHGALVARALLEDQNLALAPSVPDWCSSLLSTASQATENNDMPLGQLSLSTFLLSMTRCNDSKFVIRQRGLHPLRSIAKKIENQNGQSSMKESIASALSLLYAGEVPLSLEESQRWSGILLRWIFDKSVSDKTQLTAVKILSCILEDYGPASVPISQGWLALVLSEILGDNKAQNSKGTAQPEPERVKNPVDYHNASTATQVLNQLGSAVVKLASAQSGYEPGSDDKVPLADFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRIAGLGTLSLLRHILLGDDYEKLAAIEAYDASRIREVQDKNVSASNVSSTDATTDPSSVRVAPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEDCASGRIPCNDIKLKSYCRLTLLNILCSESPNTRRGSDEYPDSESEYRRNCPQFGDALFLLNPELPLEVHLDNSGFRISTVPRDNCKDDEGIEGSSETGSSVDGTEASSKDAPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARFFTVKYKTNLTQWTGASLPLQEVSSMLLRKMVAAGIGSRPVIFVTHSMGGLVVKQLLYQAKLNNYDNFLNNTVGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHNKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGFGELVVLPSTDHINSCKPVNKNDPSYAETLAFLEKNFKLRLKRAES >PAN51205 pep chromosome:PHallii_v3.1:9:69685976:69692401:-1 gene:PAHAL_9G578000 transcript:PAN51205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVLLRRFHHHRHRVRLLSTTPAPPASPAPSTPSTSSALPIAATPPQQHHLAPRRGAGRRLAPLLAFSTLSLAAAGTVYLTTDNLEETLHRSRDSAGRVVERMQHTLTAAGVLWKSLLSVLSSANQEVRSGFELRVAALLADITAASAARRAAIVSAGGGAVVDWLLDSVLRGATQAEAARALAHLVADPWVAPAVLGRPHAVPCLLQFIFSYQPTRGKKKSSFDGSEHSRGRSMLVAALMDIITSNCDNADYSSFQPLLPADADTRDIAAVIEVIEQGGMHFDDHEDNSSNDGDRGLKGIGIKVLGGTTILGFSRGNNSLELDNSDVGMLEVSHNSRRLVVQQTAIESALAEKLSASAVPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVATALKASERTVKWHGALVARALLEDQNLALAPSVPDWCSSLLSTASQATENNDMPLGQLSLSTFLLSMTRCNDSKFVIRQRGLHPLRSIAKKIENQNGQSSMKESIASALSLLYAGEVPLSLEESQRWSGILLRWIFDKSVSDKTQLTAVKILSCILEDYGPASVPISQGWLALVLSEILGDNKAQNSKGTAQPEPERVKNPVDYHNASTATQVLNQLGSAVVKLASAQSGYEPGSDDKVPLADFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRIAGLGTLSLLRHILLGDDYEKLAAIEAYDASRIREVQDKNVSASNVSSTDATTDPSSVRVAPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEDCASGRIPCNDIKLKSYCRLTLLNILCSESPNTRRGSDEYPDSESEYRRNCPQFGDALFLLNPELPLEVHLDNSGFRISTVPRDNCKDDEGIEGSSETGSSVDGTEASSKDAPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARFFTVKYKTNLTQWTGASLPLQEVSSMLLRKMVAAGIGSRPVIFVTHSMGGLVVKQLLYQAKLNNYDNFLNNTVGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHNKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGFGELVVLPSTDHINSCKPVNKNDPSYAETLAFLEKNFKLRLKRAES >PAN44072 pep chromosome:PHallii_v3.1:9:873393:875676:1 gene:PAHAL_9G014800 transcript:PAN44072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEMEDAETRAEIEWDGGGGGADAVLALAAGGASVSLCYHQAFGRHDDLVLLEAADDLLPDLLQGRVTIRGRPDEEAVLCTPSATYSMKFVGTSNSVFLIPPGEPSAPCLRPDNTSGDANAADAVAAAIKLAPGNIELVRTAPRLDKLRSLLRERPYTLDEDLGDGFQHKKGLYTWQDLCKLIQASDGELLDGLNTLSAVEIDGFWRTVDATSMNTILDMILHNSVLHDWPLNAVPENDVLSVMESDGFAHKIVTHCLNRFGTKVEQEGRSFWSLDEKCVCLQFARRVLGAGKMKLANFMDKWKRSVPSGMRVDLQMLEGEVLYDKLGAETWVHAFSVADLPLTPAERFAALFRERLKWEWKDLQLYIRDLRVPGVSSEGLLIKYTRRTQPSSEAEPIFTAR >PVH32296 pep chromosome:PHallii_v3.1:9:53029650:53032743:1 gene:PAHAL_9G362800 transcript:PVH32296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTLEYIESVLLRDYGTQMTEKEVEAFKVATVLYADTYFMARKGAKARINQEMYKIITETESISHLNRCGYVLGILLHSAKRVQRSLASRNKTVTLDGCLSFWVVFYLDNVNFGAMAANQTLLPCTVDYPYGLVKKLARDDKVKTTGARMTLYGCNKLRPKETVIYSRSTDLKGRGSRLAQNLQVWGLHSVLK >PAN47335 pep chromosome:PHallii_v3.1:9:38702491:38704354:1 gene:PAHAL_9G318500 transcript:PAN47335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLPLLLLSLLASASASPKRDVYALVKMKAALLVPATSTAIADWDPAATPPAHCSFSGVSCDEAARVVGINLTGMPLQGGVLPPEVALLDALENLTVAACSLAGSVPASLAALPALRHLNLSNNNFTGPFPTPAGGGPYFPVLEVVDAYNNNLSGPLPPFGAAHARLRYLHLGGNYFSGAIRESYADLAALEYLGLNGNSLSGRVPASLARLKRLREMYIGYFNLFDGGIPLELGELDSLVRLDMSSCNLTGPIPQELGRLARLDTLFLQMNRLSGEIPTQLGDIKDLKSLDLSMNQFIGEIPAGLANLTSLRLLHLFRNHLHGNIPEFMADLPHLEVLQLWENNLTGHLPAGLGKNSPLKMLDVATNHLTGQIPPDLCAGRKLEILVLMENGLSSPVPESLAVCKTLKRIRLGKNMLSGSVPARLFELPDAGEQA >PAN46904 pep chromosome:PHallii_v3.1:9:14591937:14595597:1 gene:PAHAL_9G223400 transcript:PAN46904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVTLLTLTLLAYSAAMLARLLVARSRRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIEGGEARPDRLREGMEEMDETFHAVLDELFARSAAPGGVGIRPADVDVLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNNFFRTHAGQVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNDPRLRPHAKLRLRHVVRTHTGASEEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFVHNLRVLAPRVLPLPELLRLACATLSARLARKKQRGGNHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKGRLRKGDRVLMLTFGAGFKCNSCVWTVEKPAADAGVWGDRIDQYPLKDVSNPFMEKYGFVKDMMNL >PAN46905 pep chromosome:PHallii_v3.1:9:14591938:14595580:1 gene:PAHAL_9G223400 transcript:PAN46905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVTLLTLTLLAYSAAMLARLLVARSRRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIEGGEARPDRLREGMEEMDETFHAVLDELFARSAAPGGVGIRPADVDVLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNNFFRTHAGQVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNDPRLRPHAKLRLRHVVRTHTGASEEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFVHNLRVLAPRVLPLPELLRLACATLSARLARKKQRGGNHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKGRLRKGDRVLMLTFGAGFKCNSCVWTVEKPAADAGVWGDRIDQYPLKDVSNPFMEKYGFVKDMMNL >PAN50927 pep chromosome:PHallii_v3.1:9:68400770:68404584:-1 gene:PAHAL_9G557600 transcript:PAN50927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVWSGCSTSCGSFCQELPPRGKRGGGDGTRFRPRSGGQRRVDARQIQASGPAMVTTGACICRASPCVLESEVSGKEDADVAVWGLDGDPRGADGHDGGKRRGLRRRPVRPPTVGYKGGVGAGSAPPAMAAERDRKSEHGESRLHFLEERDEETLSRRLIKLSQSNKVRSATDLFDSMRASGLQPSAHACNSLLSCYVRRSSLADAMRMYEFMKGKRMATGHTYTLILKAVANNEGYASALEMFNEIEEQEDSKKIVDVIVYNTMISVCGRAKDWMLVERLWRSLKESSLSGTLLTYDLLVSIFVQCGQSELAIAAYQEMLQNGLDPSEDIMKAIIASCTKEGKWEFALATFSRMLSAGMETNIILFNSMINALGKAGQDELAFRMYHLLTSSGLKPDQYTWSALLSALYKSGRSWDVLELFHGIKAKHLTLLNDHLYNIALMSCERLGQWEHGLQLLWMMEKSGLKISAVSYNHVIGACEVASKPKVALKVYQRMINQRCSPDTFTHLSVIRACIWGSLWNEVEDILEEVAPNSSIYNAVIHGLCLQGKIGLANKVYTKMRSMGLVPDGKTRAFMLQHIATE >PVH31904 pep chromosome:PHallii_v3.1:9:18527402:18529724:-1 gene:PAHAL_9G257200 transcript:PVH31904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGTWLRRVAAAMPRIPCALPALPIAPPPAPVLEAPALALPARGAAMDLMAVPKKKVSKYKKGLRNGSKALKPVPVIVRCRCCGRVKLPHFYCCSGERGNPDSSSS >PAN50599 pep chromosome:PHallii_v3.1:9:66645472:66647468:-1 gene:PAHAL_9G531300 transcript:PAN50599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVYGGLKGKLGVEDAPELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >PAN44406 pep chromosome:PHallii_v3.1:9:2249949:2250503:1 gene:PAHAL_9G040500 transcript:PAN44406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPSLLLLAILLGAAASLQSAADTTELLLGPAKTTHLHFFLQDTLSGKDPSAVLVARNRKPKPDDPVPFGSLYATDDVLTEGPQRESKVVGNAQGLYISSGRPQLSLVLGMDFELTEGPFNGSAFVVYSRNTVAEHPVGRELAIVGGRGKFRMARGYALLRTHYLDNNNGDAIVEYNVTLHHH >PVH31748 pep chromosome:PHallii_v3.1:9:14610613:14611605:1 gene:PAHAL_9G223700 transcript:PVH31748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWREDWYWIQVQILSNTKRWGRGNTAKGTFSTQGKNITYCPSVPKEVKEYFGLDIDKTKNKKKERDRQRRT >PVH31009 pep chromosome:PHallii_v3.1:9:2017133:2019667:-1 gene:PAHAL_9G036500 transcript:PVH31009 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain containing protein, Nuclear RNA/DNA binding protein of the STAR (Signal Transduction and Activation of RNA) family, Flowering time contro [Source: Projected from Oryza sativa (Os03g0815700)] MRVSSIVHNHGFGDFDRHRFRSPSPMSSPNLRSNLPGNGFSPWNGLQERLGFPQGTSMDWQGAPPSPSSHVVKKILRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLTDPLHILIEAEFPASIIDARLRHAQEIIEELLKPVDESQDIYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >PAN44359 pep chromosome:PHallii_v3.1:9:2017133:2021192:-1 gene:PAHAL_9G036500 transcript:PAN44359 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain containing protein, Nuclear RNA/DNA binding protein of the STAR (Signal Transduction and Activation of RNA) family, Flowering time contro [Source: Projected from Oryza sativa (Os03g0815700)] MSGLYSQGFSPARNLSPQIRSNPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNLRSNLPGNGFSPWNGLQERLGFPQGTSMDWQGAPPSPSSHVVKKILRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLTDPLHILIEAEFPASIIDARLRHAQEIIEELLKPVDESQDIYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >PVH31770 pep chromosome:PHallii_v3.1:9:14888394:14888903:-1 gene:PAHAL_9G227000 transcript:PVH31770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPPAPARPPSRPHSSSPSSSPSPPAPPMPRRRRRRPPRCWRGSRAWATRRRCPPGRTPPRSAPAGAGSPATPPAASPRSGSAASASPGAWARSTPPRSRASPPSTSTATTWPAPSRRRSRACARWTRSTWAATGSTAPSRRSSATSPASSTSASTTTTSPAPSRTS >PAN46259 pep chromosome:PHallii_v3.1:9:10858039:10861821:-1 gene:PAHAL_9G173300 transcript:PAN46259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKATAAPFATAGWPAAAATRRPSRRHKLWCSSAAESPDRLQPRRRSANYRPSSWDYDTLLSLKGGSGGRDRVCQCSHDQFKRSVKNMLLGKSEESSCKVNLIGTIQRLGISYHFEEEIRSILSSISTETANDRHVDGVASMALKFRLLRENGFSADPGLLKHNIYAKNCSKATLQRDVNRFLSLYEASYLAFRGEETLDVARKLSTKALRDLMPSMPPHTRKRVAHALDLPLHWRAPRLETRWFIDHCAGGLHPLLLQFAKVDFNNVQRVHQEELARLAGWDESPCERLPAYMKALYSVMYNTSNEVADNVLKAHGCSMHSVLGKAWHDISVSFLVEAKWHHGSCRPTLREYLDNGRVSCSAPLLLLHAFPMLSSEVNAKTFSLIQSYPRLVQSASLVLRLCNDSATHTAELQRGDAPSSIAIHMSESGGTEQDSRKAMEDLIMEAWKAINQEAFGSSCKFSRPFAKACVNLARISQCVYHKGDGFGEPNDVKRKQINDLFLEPAVC >PAN46260 pep chromosome:PHallii_v3.1:9:10858395:10861591:-1 gene:PAHAL_9G173300 transcript:PAN46260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKATAAPFATAGWPAAAATRRPSRRHKLWCSSAAESPDRLQPRRRSANYRPSSWDYDTLLSLKGGSGGRDRVCQCSHDQFKRSVKNMLLGKSEESSCKVNLIGTIQRLGISYHFEEEIRSILSSISTETANDRHVDGVASMALKFRLLRENGFSADPGLLKHNIYAKNCSKATLQRDVNRFLSLYEASYLAFRGEETLDVARKLSTKALRDLMPSMPPHTRKRVAHALDLPLHWRAPRLETRWFIDHCAGGLHPLLLQFAKVDFNNVQRVHQEELARLAGWWRDIGLCDRLTFSRDRLMECFHYANGIVWEPKHGACREMLARVANLIIHLDDVYDVYGTLDELILFTDAIGRWDESPCERLPAYMKALYSVMYNTSNEVADNVLKAHGCSMHSVLGKAWHDISVSFLVEAKWHHGSCRPTLREYLDNGRVSCSAPLLLLHAFPMLSSEVNAKTFSLIQSYPRLVQSASLVLRLCNDSATHTAELQRGDAPSSIAIHMSESGGTEQDSRKAMEDLIMEAWKAINQEAFGSSCKFSRPFAKACVNLARISQCVYHKGDGFGEPNDVKRKQINDLFLEPAVC >PAN44536 pep chromosome:PHallii_v3.1:9:3025017:3027122:-1 gene:PAHAL_9G053500 transcript:PAN44536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVFSLVLIHELPLTVRLRGQNLQCVNLCCFMGSEISVSAVPCLERILAWMALPPLNSGGILKVAIDAAPKVLGYMDAGAHLLQIGEIIVKKGTDVRPSTKVWSVRILAVKVNFGVLAQLKVMTCWLRCFPNVEILHIESATLNLPSDEQHTEFYEELDLIGCVQSQIKKVVLHDIRCSQSELSFLQYILKTANRLDSVTHVQAENSGGAMDTQLNDLAVLPWGCQACSISLLAPRQFYGWNFRRASDISIEDPFDLVHGKQVSHFSKDFK >PAN50629 pep chromosome:PHallii_v3.1:9:66832654:66833949:1 gene:PAHAL_9G533900 transcript:PAN50629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSFLPHLAMCLAIAFLLPSHAAATAPPAGTIQRVTKQQILASIPPHWDENPVLFLTSPSGKYAAYFMRSQTASGAGGLGADFCYVEVLDTAAPGAEGRSVWESECLAVSTVNTCALVFSWNGLEVFDGSTSVWHTHDASSDEHNFLETLQLVDQGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLASALPPFAEPIGHGSSDLPFGDGNGVEGAGVAQPEAPLGPLPQPELPLAPLPQPELPLAPLPQEADEFGGAAAAGQGQAGAGVGQAFGFGNQPLVDNSPYDSGALKHGCSLVGISFALGFSVAVAMGLGI >PVH31345 pep chromosome:PHallii_v3.1:9:7178886:7179620:1 gene:PAHAL_9G121100 transcript:PVH31345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYIAGGTLRCDIMVFVGKSTRYPDVDPWFISTTSFRFPDSYRKAARKALRRLRVLYRRHLQRTPMGFFPPAVGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCNEQAAQLKKLIHGVEKLTQELEEQRARAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH31269 pep chromosome:PHallii_v3.1:9:5825756:5826445:-1 gene:PAHAL_9G100700 transcript:PVH31269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWFNQIGRSLRMLPSFDLIEPCQYHKLPKPIVTRATPTFSRYENICTKFVILYALKFKFWCCIKSFVQQGD >PAN44051 pep chromosome:PHallii_v3.1:9:823171:823834:1 gene:PAHAL_9G013500 transcript:PAN44051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKKLNDDEAIMRVEKAQPKVKEANKKEEKAEVEGKEAAAKMLNLRRLPFPAKILDSDHQDVSLMTRTVPSKTTMVRKDAYDGGGNGVPRSNYSSPGRRDGYIRGNGGYQQQQQEDDNIDRFRSVLAMVATTRSGMGSSIGAQSMICTTGSAAILHRS >PVH31162 pep chromosome:PHallii_v3.1:9:4111623:4114782:-1 gene:PAHAL_9G071100 transcript:PVH31162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDTFLFTSESVNEGHPDKLCDQVSDAILDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKCPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGMIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDSDFTWEVVKPLKWEKPSA >PAN50330 pep chromosome:PHallii_v3.1:9:65437695:65441918:-1 gene:PAHAL_9G513100 transcript:PAN50330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESLLTALSMDTATAHLPHQGPNTILSMDTASHDDFDLFLQPQGPFRRCLHAAAVVPPDINLPLAADPSPPPPALQDSNVDMLDVGLGGPQQYDSDSPAATVPAAAPPAAAATTTVAVSHTKGSGSSAARKCVKRNDSIWGAWFFFTHYFKPVMSADKGGKAKSASAGGNGNSATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSADKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLSGVPEADMKRWMELTGRDGNFSIPTEASDFESWRNLPSTDFELERAATAAPAKSSSHGHHKKLLNGSGLNLSTQPSNHSSGDGMEITATCNKRRKDSSPAAMEEDCSNSNSDKAHDMDVTHAFEPSWMNDFTGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADFQKVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLADPAPEHCPPGEFVREIPLPTRIPEDATLEAYRDETGTGLEIIVPKFRVGPEEHEVHVSMRPPSSWCQ >PAN44659 pep chromosome:PHallii_v3.1:9:3397955:3399900:1 gene:PAHAL_9G059600 transcript:PAN44659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPRRLPAPVAVAAAVLLLASVAAGDDRNGVYDPCADTTVRRGDGFTLGVAFAGRDAFFSGGAQLSPCDSRLGLANRAQVALFRPQVDEISLLTVNSSSFDPSSNGGSMVAFAGRKYAARSPPVFVGNSSYTVTSFTLVLEFQKGRLQNLFWKDGDCSSCSGRPDFACVDRSCAVRTTSCRGKGGQADCSPGIQLAFSGTDKHEAVLNSWYEVSRLRQYSLFGLFSNLKDSLTSQFSSFF >PAN49440 pep chromosome:PHallii_v3.1:9:61074995:61075432:1 gene:PAHAL_9G449400 transcript:PAN49440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPEQELDLELSLILHRPPSPPELEPVEEPVGFFLCTYCDRKFYTSQALGGHQNAHKYERALAKRRRKIAAAMRANQAIERGAGQVDRPGGTGARVVGVAGAEPEAAERARPLVAQQSAPVPWHSADLQSDMGRADELDLTLRL >PAN45634 pep chromosome:PHallii_v3.1:9:7927286:7931653:-1 gene:PAHAL_9G131800 transcript:PAN45634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVCFCGTTSTSPDQPEAASAKAAPQGATKRPSTPPSSQGTSQEPSPRAKPKPRPKAKPKANPYDWAPPPGQQGASRGGGGATAARVLDGVVPHHPRLRVTDKYHLGRELGRGEFGVTRLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDAEAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSEEAQLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGSLDLQREPWPRISEGAKSLVRQMLQMDPRKRPTAQQVLEHPWLQNARKAPNVPLGDVVRARLQQFSAMNKLKKKAMRVIAEHLSVEEVEVIRDMFALMDTDKDGRVTLQELKVGLRKVGSKLAEPEMELLMEAADVNGNGYLDYGEFVAITIHLQRLSNDGHLRTAFLFFDKDSSGYIERAELADALADENGHTDEAALNNVLREVDTDKDGRISFDEFVAMMKAGTDWRKASRQYSRERFKTLSNSLMKDGSLGMAR >PAN45278 pep chromosome:PHallii_v3.1:9:6241052:6243765:-1 gene:PAHAL_9G107100 transcript:PAN45278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAACVEKEAWCWCWCWCWCWCLTVLPTLTAPEKEPGTREKCYKKTVGEEATFLESAKDYFNQFKAMPAQKYWIYLKNYFSQKCSSVFGKQKVEPIVKDDETPEVAKLAAVESR >PVH31684 pep chromosome:PHallii_v3.1:9:13612320:13613348:1 gene:PAHAL_9G210700 transcript:PVH31684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALDFASAKVGGAVDRFELVFIIDLYWHMKQLLRNGQQIASPPFKAGGCSWSFRYYPNGVSSSCKDYISFFVALDSGVSELIKAWSRFSLLAGRRTSAGPLHLHRQVFGGVGASRRRLLHCRVGNLRGKGIAAAASLGHRRHVRGGALLYAARERATAGDCIQIDGMLPQVFESLLHFVYTDSLPEMTDETEESMMAEHLLVAADRFDLQGLKLICEEILCRDINEDTVAKILRFAVQHQCCLLRDACIEFLEDPPVLQAVVANDNDLLELVAKTCPVLLKELWDYDEDDDPMQDELALCF >PAN44796 pep chromosome:PHallii_v3.1:9:4075056:4077965:1 gene:PAHAL_9G070700 transcript:PAN44796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSRHPDHEELEEYDDAVFYEDIQAPKFVDLTAPDAGRPDDDPAWFCLRVGCDQSHEQVDPEALDRSFFMRVMAARSPNVRLQKAISRTNQSSMLKCPHSAPPKPPRARFARLSAGTEAADKAAAKPKPRVQRMCALRASPTRTKAARVEAPSARKKALTTPRSKTVRPRQEPFLSVKHQKGPVDGTARKGTVVKALFMSTPKKETARTPAADKSKSKEAVSKVCSKLRKLNLACREVPSRYMCQLTNPKAAKKAEETVAAKIAKRGQESRKNVKKKILGRSVKCANAEADEENRNGCANTVADENSRTETASSNEQRKGVLQELRIEADPSRADDDNKENVSNAHQAVEEASSNSYSEDENRPLENNENVPLKVAKMQNKVHPQQAAKLKKTTTNPRPFRLRTDERGVQKEAKPEKRQPFAEKNSMVVLKDANRGVMPTDKYTKGKGRDKPFYGDKQKKQSIQNAMGEAKPAFNSIRCNNTRPAVTKGKVVDKPQRAAKVASTSRTAKTASGLMAPTQIGNGRKTSVKPSRLQAAAA >PVH32902 pep chromosome:PHallii_v3.1:9:65846331:65850273:1 gene:PAHAL_9G518500 transcript:PVH32902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQQYVAIFTTASLPWMTGTAVNPLFRAAYLAKAGNWDVTLVVPWLSKGDQILVYPNKMKFSSPAEQEAYVRWWLEERIGVLPRFDIKFYPGKFSTEKRSILPVGDISQTISDDKADIAVLEEPEHLTWYHHGRRWKTKFQKVIGVVHTNYLEYVKREKNGYISAFILKHINSWVTDIYCHKVIRLSAATQDVPRSVICNVHGVNPKFIEIGKLKHQQLCQKEQAFFKGAYYIGKMVWSKGYTELLHLLHKHQMELSGLKMELYGSGEDSDEVKASAERLSLDIRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLMMKALGEDPIPLSDELRHELSWEAATERFVRVADIAPAVFVEQKAPSSKYFMRISPEELQKNMEEASAFFHNTISGIEAARCVFGAIPNTLQPDEQQCKELGWNLQG >PAN44662 pep chromosome:PHallii_v3.1:9:3418477:3419007:-1 gene:PAHAL_9G059900 transcript:PAN44662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDVAIVRIMKTRKVLSHTLLIAELYQQLKFPVKPADIKKRTESLIDREYLERDRSNPQIYNYLA >PAN49961 pep chromosome:PHallii_v3.1:9:63677540:63681728:-1 gene:PAHAL_9G488200 transcript:PAN49961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPSLKPPATAAAASPRAVAAPRATALRSACARPTRRLQFSASAAVAGASTEMTDDNLGWAERSLEELGSLPDNDTFCLMALSPLDGRYDRFIKDLMPFFSEFGLIRYRVLIEVKWLLKISQIPEITEVPPFSEEAQLFLNGIVQNFGIDDAKEVKKIEKITNHDVKAVEYFLKQRCSSNPEIAKVSEFFHFACTSEDINNLSHALALKEGVNGVMFPAMIDICKAMCSLATQNSAYPMLSRTHGQPASPTTVGKEMANFAARLSDIGKSFSEVKILGKFAGAVGNYNAHVVAYPEVDWPKVAEEFVRSLGLQFNPYVTQIEPHDYISKLFNLFIQFNNVLTDFDRDMWSYISLGYFKQIPKAGEVGSSTMPHKINPIDFENSDGNLHLANGILSAISIKLPISRLQRDLTDSTVLRNLGVGLGHSLLAYKATIRGISKVQVNESRLAEDLEKTWEVLAEPIQTVMRRYGIPEPYEKLKELTRGQAVTKDSMQQFVDGLDIPEEVRSKLAKLTPHSYTGLAEDLAKDIEKLVDLESGFKIK >PAN46649 pep chromosome:PHallii_v3.1:9:12832713:12837034:1 gene:PAHAL_9G201600 transcript:PAN46649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MSGPTPTPTPTPTPTPLPPPPAARPARYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSASAAAAPAVGRGRGKPPGEDDGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEIAGKLQAARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIARGVMSIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQARKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVSKVIERGIRSLQREGMDIDREAWLKEAEAAERAGSVLTCQAIVKNTIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLKKAVNYNPRAEVLWLMAAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNVTEERRLLEEGLKLFPSFFKLWLMLGQMEDRLGRGAKAKEVYENGLKHCPSCIPLWLSLASLEEKISGLSKSRAVLTMARKKNPATPELWLAAIRAELRHANKKEADALLAKALQECPTSGILWAASIEMASRPQRKGKSSDAIKRCDHDPHVIATVSKLFWLDRKVDKARTWLNRAVTLAPDIGDFWALYYKFELQHGNADTQKDVLKRCVAAEPKHGEKWQAISKAVENSHQPVEALLKKAVVALDADEILNAAGA >PAN46043 pep chromosome:PHallii_v3.1:9:9930440:9931218:1 gene:PAHAL_9G159700 transcript:PAN46043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWTQFLVIKPAPDIQILDWWEKDLVGLPKKTRKLKAALMIYAAWNIWKERNHRVFDQKVDSPPEVMQEIKREVTDRKMACGGLELPSLFNV >PVH32485 pep chromosome:PHallii_v3.1:9:57780253:57780801:-1 gene:PAHAL_9G410300 transcript:PVH32485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRRSSTRARPYRHHRARRSRPATQALSSAVRPSCYVAYRQLSPAVQPGVASPRPISSAASAGTAAACRGRSCRIWTDRIASGSSVGFVRAPCTGTLLVRACPDAWRAWKLLGGGEISRRDRSRCTALGMGRVDVRACHGGGATPRTWDRECRRLTSQVMAADGWMPAESMRGTVGRLSSP >PVH33129 pep chromosome:PHallii_v3.1:9:69485887:69486111:1 gene:PAHAL_9G575000 transcript:PVH33129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARKPARAASAAMHVHPWRLLRHHPPGACCSLCRVASLSARGAARQAAGGEEEESNEKPEGGDVPVLRRLRDG >PVH32669 pep chromosome:PHallii_v3.1:9:61554849:61555052:1 gene:PAHAL_9G456500 transcript:PVH32669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPGHCLRPPEREELELEAPSFPLKSSPAALPRKRSGTNSPGSSHTAGSRMIAHTLMKTVQPLGTA >PAN47545 pep chromosome:PHallii_v3.1:9:32797028:32801176:1 gene:PAHAL_9G301700 transcript:PAN47545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAPDQPPRPVRFGILGCASIARKLARAMLLAPGAAVAAVGSRSEEKARLFAADNGLDVAATRLHGTYEALIDDPDVDVVYLPLPTSLHVRWATAAAARGKHVLLEKPTALCAVDLDAILAACDAAGVQFMDSTMWMHHPRTAKMRELVDDKDAIGDIKVVNSVFSFRANEDFLQNDIRVKPDLDALGALGDIGWYCIRAILWAVDYELPKTVIALRDPVKNRSGVLLACGATLYWADGKTATFNCSFLTNLTMDITLVGTNGTLHVTDFVIPYEEKSAEFSVASKSNFAPLHIGWDPLPSKHVVTTDLPQEALMVQELARLVQNIRDAGGKPEGKWPAITRKTQVVLDAVKASIDKGSEPVVIAN >PVH32054 pep chromosome:PHallii_v3.1:9:33093944:33095206:1 gene:PAHAL_9G302700 transcript:PVH32054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGARSKSRHLQQDREPEQELDSWEDVCFICRVGGHLMLCDFRFISLRSSGGKAYIFVFLIIFHG >PAN50860 pep chromosome:PHallii_v3.1:9:68108784:68113005:-1 gene:PAHAL_9G552500 transcript:PAN50860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MDPPPRRLAAPLLLLLLLIAASSPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLAAGSLAVSPDGSISAELSHPSRPRPLVLRLSALPPHALRLQIDEDYSTATPPNRRFHVPDVLLPDIEARTLHLPEPKTAGGVSTVALSSDLDVVVKHDPFELTVRRARSGDPVLSFNSHGLFDFEPLRESKPEDETWEEHFRSHTDKRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIGHGARASSGFFWLNAAEMQIDVLAQGWDGAATLENGRIDTLWMAEAGVIDAFFFVGSEPKDVVKQYVSVTGTPSMPQQFATAYHQCRWNYRDEADVDGVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEEMQRKIADKGRKMVTIVDPHIKRDSSFHLHQEATEKGYYVKDANGNDYDGWCWPGSSSYPDMLNPEIREWWADKFSYESYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDALHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGTQRYGAVWTGDNSADWDHLKSSIPMVLTLGLTGLPFSGADVGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTAIIREAIHVRYSLLPYFYTLFREASVTGIPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKSVSVYLPGKESWYDLRNGSPYKGSVSHKLQVLEDSIPSFQRGGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSNAAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSLNIAPDNLGKKKFTSDCVVERIIILGLRSGAKKAIIEPGNREVEIESGPISLRSGSSLVVPTIRRPNVRIVDDWTIRIA >PAN45079 pep chromosome:PHallii_v3.1:9:5236596:5242237:1 gene:PAHAL_9G091400 transcript:PAN45079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLQYLNLQFNRLSHSYVIPSWICCDMRGNVENAMKGGKLKYSGVAKMNSLAESRISSRSSNAALLCLQPEASPNLKHHAPQKMKKGWRRRDCRQQQARQERLESSRSKLNEKYIDEMAVNMAEDEWPSSLHDDEETSVQDSLKETSSISEDVSSIVDDDLDGLAKDSGMMLQDHYDEEKPGVNMRVHHDGNSCISAEPTCFRRGRVGNVENELDDTASSAHDVVEIPQGYSSATSKFASKSKRHPDMDNNPKPSKCPRAIDERSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMSLEEYERSLGLYAREVILLDREQDEELDAIASSAQLMLSNLRRPSFFEMDEDAGHDLLRASVLALFVSDCFGGCDRSASLGRTRRAIVSLRKEQPFVCTCSAGNMCDNNEASKQTNTLSGHFDLTGLCNRSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNGWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIALDDEGYTPRCSFPSVSSCKEIEVTASSTVYHCKIGAVDAAAKIRYLDTRSASNDEVKLFEYKLLGEVRMLGALRKQRSIVDIYGHQLSSKWVQVDGDKEYRILQSIILMEYVNGGSLKGYLTKLLKEGKKCVPIDLAFYIAREVSCALLDMHKKLIIHRDIKSENVLVDLDSKRNAGAPVVKLSDFDRSIPLHSLSHTCCISHLGTHPPNVCVGTPCWMAPEVLKAMHEKHHYGLEVDIWSFGCFLLEMLTLRIPYQGLLDSEIYDLIMRKKQRPRLTQELEAFWTMDEPVTRLKLGITSDAHADKLRHLIDLFYQCTRGTASKRPKAEQIYNSLCSLPTCYDMR >PAN45077 pep chromosome:PHallii_v3.1:9:5234408:5242236:1 gene:PAHAL_9G091400 transcript:PAN45077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFSVPDAEDLPSRRSDAADVAGNVWDLATLPTPPAGGGREIYIYRNTFNLVPRSIGGGGGLRSLKFFGNDVEVLPTDASGELDGLESLQVKVSAPRVSGAPLRRMQALKELELSMVPPRPSSCSILAEVAGLKCLTKLTICHFSIRYLPPEIGSLRKLQELDLSFNKLKNLPNCIIELSALKFLKVTNNKLVDVPSEISSLRCLESLDLSNNRLTSLGSIKLVSMLTLQYLNLQFNRLSHSYVIPSWICCDMRGNVENAMKGGKLKYSGVAKMNSLAESRISSRSSNAALLCLQPEASPNLKHHAPQKMKKGWRRRDCRQQQARQERLESSRSKLNEKYIDEMAVNMAEDEWPSSLHDDEETSVQDSLKETSSISEDVSSIVDDDLDGLAKDSGMMLQDHYDEEKPGVNMRVHHDGNSCISAEPTCFRRGRVGNVENELDDTASSAHDVVEIPQGYSSATSKFASKSKRHPDMDNNPKPSKCPRAIDERSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMSLEEYERSLGLYAREVILLDREQDEELDAIASSAQLMLSNLRRPSFFEMDEDAGHDLLRASVLALFVSDCFGGCDRSASLGRTRRAIVSLRKEQPFVCTCSAGNMCDNNEASKQTNTLSGHFDLTGLCNRSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNGWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIALDDEGYTPRCSFPSVSSCKEIEVTASSTVYHCKIGAVDAAAKIRYLDTRSASNDEVKLFEYKLLGEVRMLGALRKQRSIVDIYGHQLSSKWVQVDGDKEYRILQSIILMEYVNGGSLKGYLTKLLKEGKKCVPIDLAFYIAREVSCALLDMHKKLIIHRDIKSENVLVDLDSKRNAGAPVVKLSDFDRSIPLHSLSHTCCISHLGTHPPNVCVGTPCWMAPEVLKAMHEKHHYGLEVDIWSFGCFLLEMLTLRIPYQGLLDSEIYDLIMRKKQRPRLTQELEAFWTMDEPVTRLKLGITSDAHADKLRHLIDLFYQCTRGTASKRPKAEQIYNSLCSLPTCYDMR >PAN49532 pep chromosome:PHallii_v3.1:9:62279410:62282580:1 gene:PAHAL_9G467700 transcript:PAN49532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAATSEARSWEAAPKVHPSVWGDFFINYSPEPLQVSDEKMIERANKLKGEVCGLFEACKNAVEKLDLVDALQRLGIDHHFQEQIATTLSSVHREEFNSLNLHEVALRFRLLRQHGFWVPADEFDGLKLEDGSFIDSVANDPKGLLSLYNAANLLTHNEGALEEALIFARRHLELIQSSLESPLADQVARALKIPLPRTLKRIEAVSYMQEYSVEQRYNPAILELAKLDFNLLQRLHQKELKTISQWWKDLSEDTGLEYVRDRLVECYFWAYSIYYEQEYARARMILVRLFVLTSLLDDTYDDYATLEESRDLTKAIERWDENDISFLPEYMKKFFLKVIRNFEEFEDELEPHEKYRVAYARKAFQLISKSYLQEAEWSHHKYIPSFKDHVNVSTISAGAQVMCVGSLVGMGDVATKEAFERAIGNTDAIRASGEVSRFMDDMADFKRGSNKTDVATSVECYMKEHNVTGEVALAKIGSFVDDAWKTLNQALFEKRSSPLPVLQRATNFAMSIMIIFLDQRDGYTNSKEIKETMESQFVKHIPL >PVH32715 pep chromosome:PHallii_v3.1:9:62279410:62282580:1 gene:PAHAL_9G467700 transcript:PVH32715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAATSEARSWEAAPKVHPSVWGDFFINYSPEPLQVSDEKMIERANKLKGEVCGLFEACKNAVEKLDLVDALQRLGIDHHFQEQIATTLSSVHREEFNSLNLHEVALRFRLLRQHGFWVPADEFDGLKLEDGSFIDSVANDPKGLLSLYNAANLLTHNEGALEEALIFARRHLELIQSSLESPLADQVARALKIPLPRTLKRIEAVSYMQEYSVEQRYNPAILELAKLDFNLLQRLHQKELKTISQWWKDLSEDTGLEYVRDRLVECYFWAYSIYYEQEYARARMILVRWDENDISFLPEYMKKFFLKVIRNFEEFEDELEPHEKYRVAYARKAFQLISKSYLQEAEWSHHKYIPSFKDHVNVSTISAGAQVMCVGSLVGMGDVATKEAFERAIGNTDAIRASGEVSRFMDDMADFKRGSNKTDVATSVECYMKEHNVTGEVALAKIGSFVDDAWKTLNQALFEKRSSPLPVLQRATNFAMSIMIIFLDQRDGYTNSKEIKETMESQFVKHIPL >PAN46351 pep chromosome:PHallii_v3.1:9:11324073:11325493:1 gene:PAHAL_9G179300 transcript:PAN46351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGDAPTWADQWGSGGDDGGSGGGKADGNKKTVAGNVKAAASGGLVKAKAAALVGAHKVKSGTSSGIKWVKEQYQKRAASK >PAN44296 pep chromosome:PHallii_v3.1:9:1824026:1825779:1 gene:PAHAL_9G032500 transcript:PAN44296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEVAVDGVVFPPVAHPPGSGRSHFLAGAGVRGMEIGGNFIKFTAIGVYLEEGAAVSALAKKWARKSADELASDVAFFRDVVTGDFEKFTRVTMILPLTGEQYSDKVTENCVAYWKAVGVYTDAEGAAVEKFKEAFKPETFPPGASILFTHSPAGVLTVAFSKDSSVPESGGVAIENKPLCEAVLESIIGEHGVSPAAKLSVAARVSELLKEASPAGGPPQAAEPAVPVSA >PVH32096 pep chromosome:PHallii_v3.1:9:35564056:35564612:1 gene:PAHAL_9G310300 transcript:PVH32096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRVGWRPLHDGSHSGARTGQEVPPGHRSAFRRVLGTNTPAPSFLPPPSSLLLAAAIYGDEKRSKVQEKAQASSRVAPSPSPPPYFFPKDVTMEDIHRYLGDARRLGGGRRTSFVGGSRRTAVGEQFPHPEEGEVVSLMDFHLRFANLGSNCST >PAN46054 pep chromosome:PHallii_v3.1:9:9976393:9980802:1 gene:PAHAL_9G160600 transcript:PAN46054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALARAASLLRRAAGSVPAPVAPYRPPPGAGPSLAKNLPASCFSGYSTLLAPANEVLIPPELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLIFEDLEVPSHKTKNIVQYIRQMDDTKKVLLVDGDDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRAAINRIVERMHTPINR >PVH31324 pep chromosome:PHallii_v3.1:9:6637814:6638922:-1 gene:PAHAL_9G113100 transcript:PVH31324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGVTVVATTVNLNGPFSTVVAARGVVPLYVSVAARLPFFDNTLDVVHSMHVLRGWMPPAAMQFALFDVYRVLRPGGLFWLDHFFCREEEMAAYVEVVESVGFGKLRWVTRRKLDRGAERKEMYLSALLEKPLKNSWRHPSDNS >PAN48799 pep chromosome:PHallii_v3.1:9:57459161:57460523:-1 gene:PAHAL_9G406200 transcript:PAN48799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEDLKLLGLVVSPFVVRVRMALGMKGVSYEYVEEDLLSKSELLLASNPVHKKVPVLIHNGKPVCESLVIVQYVDELFAGPSILPTDPYERATARFWAAFVDDKLVPAWIGILKAKTEDERAEKATETLAAIGQVEAGLAELSDGKAFFGGDSIGYLDVVLGSCLFWFEALRRMHGVGIIDSGRTPLLAAWAERFGESAEAKGVVPGADEAVQYAHKLAAATAAAK >PVH31864 pep chromosome:PHallii_v3.1:9:17105081:17107385:1 gene:PAHAL_9G248400 transcript:PVH31864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKVMLKIELHWHRSSGEAEGSRLISSERGRGERRLALSSASGRRKPLRSACASTVVADYAAGYHDLKIDGYHIIKGIPTGVPIKSSPFTVGGHHWRICFFPNGDHAATAGRVSFSPDTSLFLFLDEFEFMGEERASLFRNRKNREEAEVVTGSRLNLENYVSKHGSLTVRCDVVVFKEFLAEEPVTPATFVSVPPSDLHRHLADLLQTEKGADVVFQVGREMFPAHRCVLAARSPVFSAEFFGAMRESRTAADVVQVNDMEAPVFKALLCFLYTDSFPDMRKEDEDVMCQHLLVDADRLKLMCEGKLCEYIDVGTAATILTLAEQHHCHGLKKACFHFLSDPAKLRAVMTSDGFKHLIRSCPSIKNDLMSMLAP >PVH32110 pep chromosome:PHallii_v3.1:9:38592285:38609535:1 gene:PAHAL_9G317800 transcript:PVH32110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSATADATSPVRTVTEGETPAAADVGAAVEEGEEMNVGAAAADEEHGQVELEEDPDEQVEDEEEVQGDAGEVEGGDVMGAALIEPLVALKPGEEDEPEELDEESEEATPSEEEPEEVEEGKEEYDDVEEKGLVCRSAANDTNEVSKQEHGKCGNTNKDKVADEFSKVSDSCGSKSNDAQNSELAGGLEIFVDQLPKDCVEEDIAMVFSQCGDVKSVRIIKNSSSEKNKDIAFVCYASIEAAKKALVEFKEGIEVKGEKVRVSACQDNNALYLGNICKGWTKDQVLTTLKSIGIQDCEISFPTSKRGSRGFAFLKFASHYYARAAFRRLIKPDAIFGTDRSPKVSFYQPLIKPSENLTEAKKVYLEHVPLSWDEDKIKECCQQYGKILKVDLLQISKNMDIETFSFVEFSSSKSALACVEGINNVNIVDGGFKLSACLARPKSGLKVNSGAASEGATTSKKEKAHTGKVIVNKDSPHKLSKGNKKKLTCLPKEILVKTNSPSKLPNDYDVNLTSQDAALQISNPSKGKRKVGNYKNASVNQKPSKKQENNRNVDGSQGTSQRAVLKTSNSSRRKRKPGKNKNIYRNERPLKRAHNNSNMDGSSRSKAYASDLEPHAGFIPPASRVHSTHAYDRQRNGEYGIQPIDRHPYARETAASRAAYCGHTSHADYEAGYTYVYPPPPPPS >PAN47366 pep chromosome:PHallii_v3.1:9:17725892:17732412:1 gene:PAHAL_9G252800 transcript:PAN47366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVRVHLPSEIPIVGCEITPYVLLRRPDGAVSTDDVPETAPTDGQFMRYRWYRIQSDRKVPICSVHPMEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERASSALNENGAEEEELFGRFGSGGSGVLSTAGSGSLSNFGQSPGVSNGPVPLYPSGTDKNSGETWFEVGRLRTYTPTADDIGHALKFECVAVDSEKRSPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDLDSQTSSLGTFTVLSYNILADAYATSDTYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTTEVYSGNPMAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPPAQKRVALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSSPHGLLAVGKVDQLHPDLAIDPLGILRPPSKLNHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >PAN47365 pep chromosome:PHallii_v3.1:9:17725892:17732412:1 gene:PAHAL_9G252800 transcript:PAN47365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVRVHLPSEIPIVGCEITPYVLLRRPDGAVSTDDVPETAPTDGQFMRYRWYRIQSDRKVPICSVHPMEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERASSALNENGAEEEELFGRFGSGGSGVLSTAGSGSLSNFGQSPGVSNGPVPLYPSGTDKNSGETWFEVGRLRTYTPTADDIGHALKFECVAVDSEKRSPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDLDSQTSSLGTFTVLSYNILADAYATSDTYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTTEVYSGNPMAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPPAQKRVALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSSPHGLLAVGKVDQLHPDLAIDPLGILRPPSKLNHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >PAN44511 pep chromosome:PHallii_v3.1:9:2753070:2757469:-1 gene:PAHAL_9G049000 transcript:PAN44511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLHPRLPSHPPRAATQHHPAISDGSCLVPLPGLAWKRMPFAVTCRAARVKEAAPTTRAAPPPPASLAKEAHKYFDHAVVTVRAGDGGHGAVLAMPPAPSADAAKPRGRFNRVEKKSKKVSYKRNYDGSVALPTGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGAAGGTLSSRMHSGFAGETLRIPVPVGTVVKRKKGTVLADLDHPGDEVLVARGGQGGISLIDVPEYRRRKAMALSPNIIRDASDKVLTHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRAIVHVVDAAADDPVNDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAHDRLSSLALEISSIGCEEAHNKNDINDTRNANISKHQVPLDAEVEGSEKELEDYPRPQAVVAASVLRHIGIDEMLKEIRAALRKCFDHKLPEP >PVH32973 pep chromosome:PHallii_v3.1:9:67092555:67093867:1 gene:PAHAL_9G538000 transcript:PVH32973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTPTRRKRRLSPASTQPRLLAVAIAVGSTLLFLVLFLVLLSTSPPPAPSSRLARGRRSSPSTALPRCGDAGLGELGDAMVSMLPKDLPFTVFVPSPASFRRVLKLQGSNASAAAEGDDNTSAVLSRVLGFSAVPRRLLAADVPPRGPARLLDSVSGLRIRASRDAARGAVFVNGVRSVCADIVRGETVVHVVAGVLMDAEFERSFTVESDG >PAN49894 pep chromosome:PHallii_v3.1:9:63334917:63337102:1 gene:PAHAL_9G482800 transcript:PAN49894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCPKLERACDSPVTAAVCPPWQLGRNLQSRRRALLIEMFFSLLYSVVSRVHPYKSMPCLCFHSPSLTHYFICLNGAVVPTFIHQNAAATLYIYRSASNSPTAITSSPAPAPAGPLRYILLFSLPIFLRLLCLLQFPRRYTASLLHSA >PVH31574 pep chromosome:PHallii_v3.1:9:11449124:11453851:1 gene:PAHAL_9G181100 transcript:PVH31574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITWITNDNSAPSVVDYGTKEGTYTMTSQGESTSYRYVLYSSGKIHHVVIGPLEDNTIYYYRCGGQGPEFQFKTPPSQFPLSLAVVGDLGQTSWTKSTLDHIKQCEHDMLLLPGDLSYADFMQHLWDSFGTLVEPVASTRPWMVTEGNHEKEHIPFLESGFQSYNARWKMPYEESGSTSNLYYSFEIAGAHIIMLGSYTDYDESSDQYAWLKADLAKVDRKKTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVIAGHVHAYERAERVYNGRLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIMNSTHAHWTWHRNDDEEPVRTDDVWINSLAGSGCIQEGSHELRKILMSP >PVH33119 pep chromosome:PHallii_v3.1:9:69346464:69347643:1 gene:PAHAL_9G572600 transcript:PVH33119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTARAASLHDRPGAPQPRDGGRARASSVHEAAPAILRRNVHVLAQPDGTDLAAHHQPPLPEPERADVHLHRHLHCQLEREVLPGPAERLVHGPGRAAVVRHPAQQHAEVRGVLAAFGRQPHVALGQRPPLVQQHRRAAPVPQRGHLVRVRRREEEVVVRARRPRLLAHGEPVDGEARDARPQHDEGDRDGGAQQQRGREQRTERARHARGARGALLLLRPPAAAAAPVPVALGEVGVLGGRDAVYLVLLDVHDVRVVSGRRRRGGDGLERRAERGGDRGGGRLGRRRREGPRRHVGGRGGRRRGAGAGARRGEGPDGGRRIRGCGARAGDLEGKQGERPGAPTGGHAF >PAN52036 pep chromosome:PHallii_v3.1:9:73515163:73517292:-1 gene:PAHAL_9G637800 transcript:PAN52036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPAEEEEETTDVQTEEEDAEEEWGVEALAGARVSAAAEEDEDDSGETRTTCCVCMEPSTCSGAHRLCCIPCGHVYGRSCLENWLSACGNTSAKCPQCGKAFERKHIINLYIPGNLWDGCCRIQEVEAHYSRVAKYLAELAPLARRHASEAKSEAQFVSEVADKLEVLAQSVGAEDQAVDKKLVQDALAMVMTSYASVKGQVEMAHRRYEDLIEFMVQSFDGLLELDYSK >PVH31477 pep chromosome:PHallii_v3.1:9:9558289:9558544:1 gene:PAHAL_9G155300 transcript:PVH31477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWYLTSFPSLTLELMHSKNNAQRKQITRIWLPVKLSVRGLVTQIVEGPFQARNSTDQVIN >PAN48282 pep chromosome:PHallii_v3.1:9:54256730:54257808:1 gene:PAHAL_9G372500 transcript:PAN48282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGIALRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIQAALKRANVDPSLVQEVYFGNVLSANLGQAPARQAAQGVSIRIFV >PAN44587 pep chromosome:PHallii_v3.1:9:3183934:3199276:-1 gene:PAHAL_9G055900 transcript:PAN44587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I, Photoperiodic control of flowering time, Long-day repressio [Source: Projected from Oryza sativa (Os03g0793500)] MPELRSGARRGLRSNKVGNVQAADPVGSPAVPTPRGRVGRFEGAAAGKVNKVAAKGRGRSASKHRGNRLKAIDLQTDLPCKNLPEAVAGEAVIGTAQEDLCLSKAADRAASLRMDGDSADKFAVAEDDTTTTPVPERVQVGNSPEYLTGRKLGKGGFGQVYVGKRVSGGSSRMGPDAYEVALKFEHRSSKGCNYAPPYEWQVYQTLNGCYGIPSVHYKGRQGDYYILVMDMLGSSLWDVWNSVGQAMSPHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEAASGKHVQYDQRPDVFRGTTRYASAHAHLGRNGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPEILCCFCPAPFKHFLEMVTNMKFDEEPNYLKLISLFDGLIEGPASRPIRIDGALKVGQKRGRTPAHLDADEQPKKKIRLGSPATQWISVYNARRPMKQRYHYNVADARLHQHIEKGNQDGLYISSVASSANFWALIMDAGTGFCSQVYELSQVFLHKEWIMEQWEKNYYITAIAGATNGGSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGYHVTSMATAGNRWGVVMSRNSGYATQVVELDFLYPSEGIHHRWETGYRITSTAATPDQAAFILSMPKRKPMDETQETLRTSAFPSNHVKEKWVKNLYISSICYGRTVC >PVH30946 pep chromosome:PHallii_v3.1:9:1107467:1108803:-1 gene:PAHAL_9G019500 transcript:PVH30946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWEPCFGKDNECCDCDCSWTKFLIWSAVVLVVGGLVAVLVIAFAVVYPPKATADDAVLQRFALAPGNPAANSTISYNLTATVSLRNPNIYRAIAYGPLAVAFSFNGSRFDDSATVQAFDHKPRKTATVRVTVGGVGKPVKLTAPGVKEFAAENDTGKFAVEVRLDTTLQYKGRSANCPLVVVCPLQLQLVDPDVAATAFQRTKCTILRAKKSGC >PVH32680 pep chromosome:PHallii_v3.1:9:61698074:61704376:1 gene:PAHAL_9G459300 transcript:PVH32680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHGAAGPLALPPPPLSIDTRGRDGAIGEPDDDGGEPLSPTARMFHDFYIVAVVGLGTPIDFHPARAGLEVTLVRHPRFSSIRVMDGPEPRWVRTVVNLDDHIIVPDLDRAAVSADPDRALEDYVASLSTLPMDQSRPLWELHVLDFPTSEAASAVAFRIHHALGDGASLVSLLLACTRSAADPKAPPAMPSSAPGARRRARPVYGAPPRPAWSAGVLALAAWALSWALLAWHTAVDVARFVAMALQLVRDPPTLFTGVKGVESRRKRFVMRTLSLDDVKLVKHALGCTVNDVLVGVTSAALSRYHFRKLGNDNDTNRSTCFRSVLFVNLRPTSGIQQLAKMMESGNKHNDLKWGNRLGYIVLPFEIVKHDDPLDYVRNAKKTVDRKKHSFEAIATHVIAETVTKLFGIEVSTGLFHRMISGTTVLFSNMIGPAEPIEFYGHPVAYIAPSNFGHPSALTIHWQSYMDTIKIILAVDDAQFLDSHDLLNDFAESLEMIRKATSRAQVLKGGGGAAAGAPEGIPMAEARVAGSLPVANVQVLAEAWNGGVDDQQQVPERYLSKDPSSEEVVAGDDGARAIPVIDLRKLQDPQSSSEECAKLASACLNWGFFQLVNHGLPEEVTGSLMNDVVEFFKQPLEDKKECAQQADSLEGYGQAFVVSDDQKLDWADMLYLQVHPTESRDLRFWPTRPASFRHSVDVYSSEARQLSYRLLEFMARGVGAEPASLRATFEGQTQGMRVNYYPPCRQQADRVLGLAAHTDAGGLTLLQQQNHDVQGLQVKKDGRWFAVKALEGAFIVNVGDVLEILSNGKFASVEHRAVTHPTKKRISVALFHYPCQDMVVGPLPEFMEGDEVRYGSTNYQDFLKQYFTEKLDGRKHLERLKLEQ >PAN47806 pep chromosome:PHallii_v3.1:9:26043771:26045973:1 gene:PAHAL_9G278000 transcript:PAN47806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGVKAMLARPIQLADEVAKQCAAARSFRAECAELKARADKLAALLRQAARAPDLYDRPAARIMAGATTALSRASALAARCVRGHPRLRSLFTLSPAAGFPRAVAALDTALEDVAWLLRISSPGAAGDGDDDSLLGLPNIAQNEPILFFIWDHVARLHTGSPAARADSAANLASLARDSQHFAKLIIEEDGVPPLLKLLKDGTDEGQEAAARALGLLGCDAESVDKLVQAGVCSSFAAALKDPPMRVQAAVAEAIAALADRSSTCQDLFAQNNTVRYLVGHLASGTIQEHSRYSVGSNSSKNTTASPQQPMKSLHSVVLAKTHSMRHTGGDHDTAIHTDEAPRMSNGGEQDAKRNPHMQSVVHSAMAAKTNTNGSFVPPFRPQLGTSGSSGRGAREVEDPEIKAHLKAMAAKALWKLAHNHLGVCKSITESRALLCFAVLLEKGDGDMGTEVQFFSAMAIMEIARVAEHSLVLRQSAFKPSSPAAKAVVDQLLRVVRKGEYDVLLLPCITALGCLARTFTASETRVIAPLVQLLDEREPPVTKEAVVALTKFACSENHLHVNHCKAIVDDGGARHLVQLVYLGDEIQIEALILLCYIALYVPESEELAQAGVLAVLLWASKQAHMVQDTRVEALLPDAKSRLELFQSRASR >PAN47457 pep chromosome:PHallii_v3.1:9:31179888:31180944:-1 gene:PAHAL_9G292900 transcript:PAN47457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATTPPGAGTGSGIASTSRRFAAACGALDWYVKAAERARSVRPLPLMPGADVDAYAPDDDEQEQRVAGPAPAPLTIAYGGRVLVLDDVPADKAAKLLRLAATAARGGTVESQRIAADDLPVARKASLQRFMEKRRGRAAARGAPYRRPDGSDACHDDHLKLAL >PAN50882 pep chromosome:PHallii_v3.1:9:68215186:68218885:1 gene:PAHAL_9G554300 transcript:PAN50882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of OsbZIP46 deactivation and degradation, Negative regulation of ABA signaling and drought toleranc [Source: Projected from Oryza sativa (Os03g0214200)] MSFSQSASTGRSAHPRLGSARTPHGLSSRRGWRAQRIPLGSRRGWAVARALAPRVGRRIDTRHAGGSRPARLRHPQRKRMPQKRNQAAQKRAESFASPLSPPHPLSPSPREALKAAARRSSSASPHQWRPPPSSSARFSDAGASCDKLARAMEGYSRDLLGGIGRGDAPPQEQRPGPAQAETEEVELSLGLSLGGRFGVDRKGDKLVRSSSVAAVMTAPVEVAAPPTLGRTSSVPVEAGAGRKQGLDGWGSCRETGGLAVEPAASLRASLSPSSGSSDGEGHRFQDTLVRSTSLPATIDDAGTEEWRKRKAAQSLKRLELKKKRVERRNSLTCNTSKEVGGQILEEVKVHTDKLHQATSTSHDSLSAVRGKPNSAFKGTTTAEEHSPSSAGPLAGEAANCATVASPPSSSSSLTGRTTALGPRGNQQSTSGTAAARARSMGDVERAMMQEMPSVFTKGLPNGNRVEGFLYKYRKGEEIRIVCICHGSFHTPAGFVEHAGGGNVANPLRHIVVSPLENL >PAN52053 pep chromosome:PHallii_v3.1:9:73609730:73610536:-1 gene:PAHAL_9G639300 transcript:PAN52053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTHLVAVAVVLAALVGGAWCGPPKVPPGKNISADCDGKWLEAKATWYGKPTGAGPDDNGGACGYKEVNKAPFNSMGACGNSPIFKDGLGCGSCYEIKCDKPAECSGEPVIVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKVGIIDMQFRRVKCKYPADTKIAFHIEKGCNPNYLALLVKYAAGDGDIVGVDIKEKGAKEYQSLKHSWGAIWRMDTPKPIKGPISIRITSEGGKTLEQEDVIPEGWKPDTLYPSKLQF >PVH31321 pep chromosome:PHallii_v3.1:9:6534276:6535985:-1 gene:PAHAL_9G111800 transcript:PVH31321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEWLPDPAGRYAQGLGRQQLTSRTKRRSSVVRASWSPSDSLPPSSSIAPLRMESPAGQLLSQILHTHPHLLPAAAEQQLEQLQTDREAEKDKDKESGAGDKPAPSGGDLVLYRRIAEVKEKERRRTLEEILYALVVQKFVEAGVSLIPALSHSIDSSGRVDQWGETVEERLQRLHSPEAYEMIENHLSLILGQRQGVATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKNLPWGSEEGDDVFNEVMTTDSMPSAQASSPHPEIASWTPPNFSAGGPSQSIKPCRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHIRISFGGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >PAN45342 pep chromosome:PHallii_v3.1:9:6533890:6536592:-1 gene:PAHAL_9G111800 transcript:PAN45342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAALRSPTAAAAAGPSLRPAAPGASSLPFGRRRGLAFSSIKGLGRQQLTSRTKRRSSVVRASWSPSDSLPPSSSIAPLRMESPAGQLLSQILHTHPHLLPAAAEQQLEQLQTDREAEKDKDKESGAGDKPAPSGGDLVLYRRIAEVKEKERRRTLEEILYALVVQKFVEAGVSLIPALSHSIDSSGRVDQWGETVEERLQRLHSPEAYEMIENHLSLILGQRQGVATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKNLPWGSEEGDDVFNEVMTTDSMPSAQASSPHPEIASWTPPNFSAGGPSQSIKPCRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHIRISFGGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >PAN49030 pep chromosome:PHallii_v3.1:9:58703309:58706014:-1 gene:PAHAL_9G421100 transcript:PAN49030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMTDMPAATALRAPAPAAAAAASSDKDAEKLRFIEEMTSNVDAVQERVLAEILARNAGTEYLARCGLAGATDRAAFRARVPVVTYEDLQPDIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKALYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPYDPYHDYTSPTAAILCADAFQSMYAQMACGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEQLADDIEAGTLTPRVTDLSVREAVAGILRPDPELARFLRGECSRGDWAGIITRVWPNTKYLDVIVTGAMQQYIPTLEYYSGGLPMACTMYASSECYFGLNLRPMCHPSEVCYTIMPNMGYFEFLPVDQASGVASGDAAQLVDLSRVEAGREYELVITTYAGLYRYRVGDILRVAGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVDRASAHLRARCGGGAAVAEYTSQACTRSIPGHYVVYWELLATAEQQGAAVDGETLERCCLEMEEALNSVYRQSRVADGSIGPLEIRVVRAGTFEELMDYAISRGASINQYKVPRCVSFPPIVELLDSRVVSRHFSPSPPHWEPAAARRSD >PAN46462 pep chromosome:PHallii_v3.1:9:11878526:11881405:1 gene:PAHAL_9G187600 transcript:PAN46462 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MASDKVFAFEEVAKHNVTKDCWIIIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATADFEDIGHSDSAREMMEKYHIGQIDASTIPVKRTYVNPQQAPSHVDKDNDFLIKILQFLVPILILGLAFGIRQYTKSE >PAN43891 pep chromosome:PHallii_v3.1:9:42597:47910:-1 gene:PAHAL_9G000500 transcript:PAN43891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAAYPGKMACCLQLRLLRHPAPAPPPARVLLLLSPPRLRTVRASPGSPSANSFAGWSSDGADGDGGDQSPLGFGPAGGLLGPGLAAFFFFAGLTFAAVSIRSSSNYAAGKMQKLSSDSAATESYSDYDPHKDNSVREDAQASLSTDCEDDNDSFETGKGADELMPPLESDELPGGPAECKMELPLQNTELDTNGNHIISEEAHQADNLVAPDGTQSPLLPPPLPISAEYAQDACAPSSKLDGAGSEGIPNLEAISDAMVLDSDDIVPIREISSGGVLVASHPEDKGIEQNPEIHNKDEAYPSTLPDYIEHVSADGMLPPGLNDLPMGSSEPGSGEEILAKDLYKRESESENQNKSFKSTPPDQSFSSAGIPAPSLVSTASQVPAGQIVVPASVDPTQENAVAALKILKVIEPSVRAGDLCTRREYARWLVVASNCLSRNTFSKVYPAMYIDNVTELAFDDVTPEDPDFPFIQGLAEAGLISSKLSRSDMNLPEDLQDDHNLFSPESRLSRQDLVSWKMALDKRQLPEVDRNSLYRASGYIDIDKINTASWPALVADLAAGDQSITALAFGFTRLFQPDKPVTKGQASLAISTGDSTEVVLEELARIEAEKIAEAAVNAHGALVAQVEKDLNASFERDLKKEREKVETLEKLAEEARMELDRLRAEREEEKNILLRGRAAVETEMEVLSKLRSEVEEQLQSVLSKKVEISFEKSRIEKLQKEIENENLAVVQLQYELEVERKALSMARAWAEEEAKKAREHARALEEARNLWERQGIKVVVEQGLGEDASAGVTWANAGKEHPVDEAINRADSLLEKLESMCAKMKVRSCDVLERVMQHVRFFIASLKQQAADARHWCTEFGAAAASKANKVSAEVQGGVCAFGSTIGDKSKRVMDDCKESLEKFSHRFKMD >PAN48797 pep chromosome:PHallii_v3.1:9:57452256:57453564:-1 gene:PAHAL_9G406100 transcript:PAN48797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGGGGDLKLLGVWDSPYVNRVQIVLNLKGLSYEYVEEDLLHKSALLLESNPVHKKVPVLIHGGRPIAESQVIVQYLVEVFAGAGGPSVLPTDPYERATARFWAAFVDDKVGSPWHTILFAREAEEKAGAAARIVTALETLEGAFRDCSRGRGYFGGDGIGFVDVVLGSYLGWFKVFEKMVGVRVLDAARTPLLAAWGERLAAAGPAKDVLPDDVDKVIEFLQAFLD >PAN50960 pep chromosome:PHallii_v3.1:9:68572691:68576294:1 gene:PAHAL_9G560600 transcript:PAN50960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFDRWEKDPFFLAAEEVQESADRMESVYRIWVQERSGGDPEAAGVTGGGPTAAELRRELHTALGTAKWQLDELERAIRSNDRVISAGKDTRARHDDFITAIGYRILEVEINLKESNVAEGRGPLSWVHLNEDERDDLAAFLSAGPCQQKDKVVTTSAGDIEVGSNATRMKNDISTDSSKDSAGSTDLISGRAKEDLRRGHRRAASASADIRSWSMSIPNECEGALEQSSDGSHKAPLLKIVKTCALMSALQSKPRTKCKNGSVRWAGVNQKDVEEAIPLSTSQLTQGLDGCFERNKSCLSTCDEGTYNKKLYGWLGALHRQLQRSHYQIRYGRPVQLIVLALTALMIFVCILRTIW >PVH32887 pep chromosome:PHallii_v3.1:9:65669788:65672956:1 gene:PAHAL_9G516300 transcript:PVH32887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fes1B [Source:Projected from Arabidopsis thaliana (AT3G53800) UniProtKB/TrEMBL;Acc:Q9M346] MAGDRLSWAGLLKWSLSYVDGAGPSRAISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPPAVLEAQGITHDDIEGLLSELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFRSDPDLTARTKALGALSSLIRNNGPGVAAFRLANGYTGLRDALNSESARFQRKALSLIHYLLSESHSDCSVFAQLGFPHLMMRLVSSDDSGVREAALGGLLELARDTTLGNRSLLAEHGRLRWLLRRRMESIRTMTPEDLDAAREERQLVDSLWIACYNEPSMLRNEGLLVLPGEESFEQPPDVAGRFFEPMRQASARRAPPVERSDPGDETGGGMILLLGPAPDGGSNSQTH >PVH31194 pep chromosome:PHallii_v3.1:9:4599641:4602062:-1 gene:PAHAL_9G079500 transcript:PVH31194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAYVAVLTFAFLFVLHYLVGRVGGSGAKGHGKGKAARRLPPSPPAVPFLGHLHLVKTPFHAALARLAARHGPVFSMRMGSRRAVVVSSPDAAKECFTEHDVAFANRPLFPSQKLVSFGGNSLSMASYGPYWRNLRRVAAVQLLSAHRVACMSPVISAEVRAMVRRMDRAAAAAPGGAARVQMKRRLFELSLSVLMETIARTKTSRTEANADTDMSPEAHQFKQIVDDVVPHLGTANLWDYLPVLRWLDVFGVRNKLVAAVNRRNVFLRRLIDAERRRVDGGGDDSEKKSVIAVLLSLQKSDPEVYTDTTIMSLCANLFGAGTETTSTTTEWAMALLLNHPEKLQKAQAEIDAAVGTSRLIAPDDVPRLGYLQSIINETLRLYPAAPLLLPHESSADCTVGGYDVPRGTMLLVNVYVIHRDPAVWEDPTEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTVGLVLGTLIQCFDWDRVDGAEVDMTESGGLTIPMAVPLEAMCRPRGAMRDVLEEL >PAN49861 pep chromosome:PHallii_v3.1:9:63114819:63120573:1 gene:PAHAL_9G479900 transcript:PAN49861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSGAGARQQQQTEAVADRVHRYRGVLLVLLAPVLLISFVLLLMPRAPASTSGGGLLAAGGRRWGPRAVEDGSNKYAVIFDAGSSGSRVHVYCFDENLDLVPIGKEIELFKQKKPGLSAYAKDPQEAAESLISLLEEAEKVVPAELHQQTPVRVGATAGLRALGTERSEEILQAVRELLRDKSSFKSQPDWVTVLDGSQEGAFQWVTINYLLGKLGKPYSNTVGVVDLGGGSVQMAYAISEKDAVKAPEVSDGEDSYVKKLLLKGTTYYLYVHSYLHYGLLAARAEILKAGEGNDYSECMLEGHHGKYSYGDNTFEASGSPSGASYTKCRALAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPNAATAKVKPSDFEENARRVCKLNVKDAQATYPDVSEENIPYLCIDLVYQYTLLVDGFGVDPYQDITLVKKVPYGDSHVEAAWPLGSAIEVASSS >PAN46701 pep chromosome:PHallii_v3.1:9:13407439:13409148:1 gene:PAHAL_9G208400 transcript:PAN46701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKPKPKVEDDVEKDPQKPKDMQSKKRAKLAAKRIRKMQEEEKRLQEKELEMAFFREFWPDNV >PVH33378 pep chromosome:PHallii_v3.1:9:73585615:73586655:-1 gene:PAHAL_9G638800 transcript:PVH33378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVRVLAASPSAVISPCLRPLHVRFAWARSRHVIVDCNAGDGGGAAAARHGREIEEAGLTESERESAAPASRAPTVVVLSHHEGGMLQKFLRGLFSLWSWDHVFPKYKSEIISRKVCQMMKSYTVSHSDAFN >PVH32156 pep chromosome:PHallii_v3.1:9:43724283:43725089:-1 gene:PAHAL_9G334000 transcript:PVH32156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISASWLKWRQASGVLCDKKVPLRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMHMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEATVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRNAWRLAINVPEP >PVH31292 pep chromosome:PHallii_v3.1:9:6072523:6073190:1 gene:PAHAL_9G104800 transcript:PVH31292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASSLAGHTRETNMARVRREQAQRVLGPPPQPLDVVLVLDEALFQGPEEFSEGNRGGAGALLEPERALDLRPVPGLGAHCAALDDALVVHPEVDAHHVARPLGGAAAEADPPGDAARDRGMSAPLITWGRPSASSTRSDARMYRPLGR >PAN46332 pep chromosome:PHallii_v3.1:9:11152028:11155104:1 gene:PAHAL_9G177500 transcript:PAN46332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASPCRSTTTICGGLLLLLAFVAEMVQGGASAPALYVLGDSLADVGNNNYLVTPFRANFPHNGVDYPGHLPTGRFSNGHNLADFIAASLGLPSPPAYLSMGNTTGNCSLFLNGVNFASGGAGVLDATNKGLTISFDEQIERDYTTVYGGLVQQLGQAQASIHVARSIFTVGIGGNDIAARVLSGPKDQRQMSSDQQFIASLAQSLKRKLQRMYKLGMRRLFFVGTGPLGCYPLLRQRSLAMECDAEASSLSMQYSAAAATILRDMSTRRPDFEYSSFDQYTALLGYIQEPEANGFAEAKAACCALGNGTALLICTPANALCADRASHVFWDGAHLTEVTTEKLVAVAFNGSAPLVSPVNLKQLSAP >PAN50922 pep chromosome:PHallii_v3.1:9:68376058:68378072:-1 gene:PAHAL_9G557000 transcript:PAN50922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSSVASSGDTAPPRLYFIPFPTPGHALPMSDLARLFASRGADATLVLTHANAARLGGPVARAAAAGLCIRIHALTLPAEAAGLTGGHESADDLPNRELAGPFAIAVDLLAPLFTDLLRRHPAEAVVFDGVLPWAATAAPELGIPRYAFTGTGCFALSVQRSLLLHSPQNGVASDTEPFLVPGLPDGVRLTRSRLAEATLPGADSREFLNRMFDVERATAGWVVNSFADLEQRYIKHYEKVTGKPVFAVGPVCLVNGDGDDTLERGRGGEAAAAAEAARVLKWLDTKPARSVVYVCFGSLTRFPLEQVSELGMGLADSGANFVWVVGDKNVPPLPDIDAAAPGRGLVVRGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVLSWPVFAEQFYNEALVVGLAGTGVGMGAERGYVWGGEALGGVVVGREAVAERVRGALADEALRRRAGEIGGRARRAVEAGGSSYEAVGALLEDVLRPGRRSHDGAGASGRDTTRGQASVSTHYASEDISHGEQHIYIRIGSWPV >PVH32850 pep chromosome:PHallii_v3.1:9:64902673:64906402:-1 gene:PAHAL_9G504200 transcript:PVH32850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSTFTRPNQVKIRTTRPLVDSAFPFPPLLGAGGSKNFKSTDPRSPTAIPHLPTFASPSPRLRDAAVSSTPPPSIAPTTLAVAGAMDPQPTPFPGKRRPVAAPAPAPPALKAAVPKPKSIATTRAARMAKRSPTGNADAAPQPRPLRRAFGTTRSSNALVEKPPPPLQKASKVSPQPLKKPSKLSPPPLPKPCKMSPPAMQKPSKLPPAAIQKPSKLSPPNPVRVTKTSRLAAKPLKKVAPSGEKAKTKKRSQRVSFQEAAVGAAASSGEKAKAYADDAAGHTPMVAMRAGEKPAKVLAAETPFFSAQNCSSCTLDQLESATYWLAQIRLAESVGKHWVAAAFFRIAFECQAQPIHRIQSELRSYTVRHESAGTLTPLFDELLTAHGRLVNQPKFDTDGCEKMDTPIAINAVDNNIDTAKLKVDECLECDSGDDLVDVGAIIVDKHDDDVMGLASFQRKLNESFEFDDSEAVIVGQLDEANFDLLKNTEIEVPCSNEIIQSAFRSSTEKLSPRGSIAAMDSASGRLSLDNPSDKLYPSMGSSSSKRLSSGSSFDKKSPLSSKRLTSSCPSYKKSTFTTNLSSEQMPSGSHYDAKHNAIAEAGDHESKVTQDVASEYPALHDQLQSKGPVDAAASNEMQ >PAN49326 pep chromosome:PHallii_v3.1:9:60603973:60609629:-1 gene:PAHAL_9G441300 transcript:PAN49326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPQAVSAPPSAATPNPSKRKTRPKGKGSKNKAKKKKLARSEQDDSVRRQRNKPSAKFLKLLRKRARDYNSDDDEEEEEQEHPPSPRRRRRDDDGEDDDDEALSHSDQEEDEEEEGVSTSAVTRFEQGCRAFRVAFLKIMNKKLPDDPLGPILSAHKKLVAAKLAEEAEERKPKGEARKEKRVAAEKGHVIPKDHLDSKEKELIKVATKGVVRLFNAVSKAQKPRKDLNPSRTKDAKVLAKERKNTFLAELEMPSHQDKKSQAPSNFSKRTGKDEHEPAWAPLRDTYMLGSKLKDWDKMKDSAAEMQAEVPLGDSSDEE >PAN49327 pep chromosome:PHallii_v3.1:9:60605108:60609522:-1 gene:PAHAL_9G441300 transcript:PAN49327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPQAVSAPPSAATPNPSKRKTRPKGKGSKNKAKKKKLARSEQDDSVRRQRNKPSAKFLKLLRKRARDYNSDDDEEEEEQEHPPSPRRRRRDDDGEDDDDEALSHSDQEEDEEEEGVSTSAVTRFEQGCRAFRVAFLKIMNKKLPDDPLGPILSAHKKLVAAKLAEEAEERKPKGEARKEKRVAAEKGHVIPKDHLDSKEKELIKVATKGVVRLFNAVSKAQKPRKDLNPSRTKDAKVLAKERKNTFLAELEMPSHQDKKSQAPSNFSKMPCRTNHMPSLFLSKCHNCRTCIHPLERTGKDEHEPAWAPLRDTYMLGSKLKDWDKMKDSAAEMQAEVPLGDSSDEE >PVH31164 pep chromosome:PHallii_v3.1:9:4117791:4118318:1 gene:PAHAL_9G071300 transcript:PVH31164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPRRAPRCGHAFLPLSARSGWCDVRRTHVRRGRGARCSLAAKEWCSIASGLPARRGAHVPAARLRRRCGGPDRRRPYYPRLSAGATCILRGGWPELAARGRATSARAAQRRGGVRVRIRRELGHAGLRHTCQILSPRATRTLCVIRDEPRARHGYACAGAVGTRILKLAPPFV >PAN47633 pep chromosome:PHallii_v3.1:9:21104406:21105826:1 gene:PAHAL_9G265300 transcript:PAN47633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAMEQLVTPLPPIGATEYRDLIQALATPVQAAPVVPFMVDTEQSPAMAVGASCYHLITPAQVAYYYRIGRRMGPAPRVMKQHYGLAAPAATMAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEEAALAYDGAAFRLRGDAARLNFPELRRGGRHLAPPLHPSVDAKLQAVTSAPAPASHEPESAGTKNEPGCCSGSEASTTTTAADGADLSSSSIGSSSLAKAADPLPEMPQVEFPEAPWDEAGVVALRMYPSQEIDWEAILS >PAN45743 pep chromosome:PHallii_v3.1:9:8525434:8526471:1 gene:PAHAL_9G139100 transcript:PAN45743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVNDQSSHNFHFVGMSIDDSTAEANHIQIDVDSEDGVVRTEKRILWTQEEDVRLMSAWLENSMDSIHGADKRNEQYWGDIVKKYNMTTPKNRMRTQKQAKDHWHKINKWTDLFHAAWLKARRIYTSGYSDQMWIDMAHKFYLEDNKHLKLGPFVLMDVWYTVRGVAKWITYNSGLKRTRESKGCVDEGNDTQPVDEDPHELPRTMGQKKAKKMALEAKKDGQSKERAIDVDLEKYSQIQSEANASPLKVLEVQQKLSTEKVEASKLNHRAAVENKEAKLLEVYTTMLSRDTSGYSAEEKEEHMATLRCMRMRLFPEGI >PAN50563 pep chromosome:PHallii_v3.1:9:66455503:66459461:1 gene:PAHAL_9G528000 transcript:PAN50563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGSNGGGAAPGHRRRSSGHGHGHHHQAPPPPPPQETAPNRYVFAAATPYPPQYPNPNPPQYYPQYGNYYPPPPPSVPVPLPAPYDHHHRPPTAAGGEFPPPPPAHPHHYPGWAGRYPSYGPHLPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDEECPGRFLVSFTFDATVAGSMTVYFFAKEELNCNLAAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEESDLLKQGDMDVYPLVVKAETASVDQPLEGEDQKIKTPNSQITQAVFEKKENGEYQVRVVCQILWVNGTRYELQEIYGIGNSMEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKSEDQQQTPQSPPLLPPTPQQEEVYP >PAN46212 pep chromosome:PHallii_v3.1:9:10648422:10652059:-1 gene:PAHAL_9G170600 transcript:PAN46212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLLAVLAATAAVASSLLLRWNEVRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMDPELNRRTLASEGAGFVPGYPQSMLDILGPNNIAAVHGPLHRAMRGAMLALTRPSMIRAALLPKIDAFMRAHLHGWAGRRVDIQEMTKEMALLSALRQIAGISAGPLSDALKAELYTLVLGTFSLPINIPGTNYSKGLQARKKLVAMLRQMIVDRRSSGCAQDDMLDALLSGNEGTRAKLSDDQIIDLLITLIYSGYETVSTTSMMAVKYLSDNPKALEQIRKEHLDIRKGKSPGDALDWNDYKSMTFTKAVIYETLRLATVVNGLLRKTTQDVEMNGYIIPKGWRIYVYTREINYDPFLYPEPMVFNPWRWLEKGLESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTQYRWEEEGNNTISKFPRVAAPNGLHIRVQGY >PAN44579 pep chromosome:PHallii_v3.1:9:3156752:3157503:-1 gene:PAHAL_9G055400 transcript:PAN44579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAACAAAVRALGVAVAAALLACRCAAQPSGSGCMPELVSLSPCMDYLSGNATTPDGPSCCSALSGMLGSSPGCLCIVLGGTAASLGVAVDGARVAQLPEACKVQAPPASQCNAVGAPAASPAALGTPAVAAPSDANATPAGSESKSTPASTLAYSDGNTGKPATIFVFAAAALALLYRL >PAN48638 pep chromosome:PHallii_v3.1:9:56739390:56740400:-1 gene:PAHAL_9G396700 transcript:PAN48638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRSMRALALVAAAVVIAAAARAAARVPDYHPSTFTVTGKVQCQDCTTNWNAYAYNARPIPGSVVGITCVDDRGRVVHHGSDATDGQGVFNIEVPSKVNGVDLAPSRCLVRLASSGDAGCAVFTDFNRGKTGQKPSRRTHFSPHKATYAVGPYYCTLQRCDVKGDDDACSY >PVH32433 pep chromosome:PHallii_v3.1:9:56743600:56744073:-1 gene:PAHAL_9G396800 transcript:PVH32433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCTADPRPPDRRKGEVSFSLSLSSGAAAVSSRVPYGKWEHRDTCSAPPPAR >PAN50710 pep chromosome:PHallii_v3.1:9:67212722:67217789:1 gene:PAHAL_9G540000 transcript:PAN50710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPEGARRQNLLVRATEPEDLAEYTGDGSVDFRGSPILKHNTGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYRTIAVFSTIYFLGMTALTLSASVPSFMPPQCVGSICPQPTLPQYLVYFVGLYMIALGAGGIKPCVSSFGADQFDDTDPVEKTKKGAFFNWFYFCINIGSLISGTVLIWVQENYGYGIGFGIPTSFIALAIGSFFIGSEIYRFQIPGGSPLTRAYQVIVAATRKRKVDSPVDSSLLYELDGKSSAIEGSRKLEHSSEFSFLDKAAVILWNERDGSHDPWRLCTVTQIEELKILLRMFPIWATGIVFFTVCAQNSSMFIEQGMTLNNRIGSFKIPPATLSSLDVISVVVWVPIYERLVVPIARRFTGKERGFSELQRMGIGLFVSTIAVAVAALVEIKRLQVARSEDLVHQKVPVPMSILWQAPQYLLVGVGEVFTSIGQAEFFYNQSPDAMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRNGQLGWIPDNLNEGHLDRFFWLITGLSSLNLLVFLYYAQQYKCKRAAVA >PAN45271 pep chromosome:PHallii_v3.1:9:6209149:6213704:-1 gene:PAHAL_9G106500 transcript:PAN45271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MKIAVEGCMHGELDIVYDTLRRLEEAEGIKIDLLLCCGDFQAVRNKDDLRCVNVPLKYRAMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFSGVVKFGNIRIGGLSGIHKQHNYHSGHYERPPYNEQTIRSVYHVRHYDVLKLMHVKEPLDIFLSHDWPLGITEYGNWQKLIRAKKHFEEEVNNRTLGSKPAAELLNKLKPPYWFSAHLHCRFPAIIQHGENGPTTKFLALDKCLPGRNFLQVIDIPSNPGPHEIQYDEEWLAITRRFNSIFPLTRRRFSIRDEQLDTQDDREWVRNKLNTRGVKPFDFVQTAPSFNPSNPVSNSSITRSCRNPQTESFLQLLELPYLLDSSNSEEVDRNQSSSQPGNTLDDEDIELPDEDEDAIDE >PAN48565 pep chromosome:PHallii_v3.1:9:56252060:56253353:1 gene:PAHAL_9G390700 transcript:PAN48565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAWVRSLSCRSSYAVTDAAVAPSPAKKPPPLPFSCATAAAADVMDAVAYAQQARRKKIGRERDRRREPREPRPRPKKKPKPMAKEVAGALFMPSPAPGPPVTSAFLTMAELPEGHSSRRVVELIFASGWGGASGAPEPSVEALFRVHSASRAVARFEEARAAARAHGAAARCGADGNEMMRFQCRAPSDAPGGVFGAGVATCRLGASASAVRTFACSGAAHASIAGACGGAATGRRAMLVCRVIAGRVRPANDPSPRHAHAYGAAGYDSVDMGNGELVVLDSRAVLPCFLIIYKV >PAN49854 pep chromosome:PHallii_v3.1:9:63010383:63016175:-1 gene:PAHAL_9G479300 transcript:PAN49854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAAGRGGRRTMGLVVLAVAVVLAACVRACHGMTDSQDTSVLRALMVQWQNAPPTWGQSDDPCGDSPWEGVTCANYKVISIKLSTMGIKGVLAADIGQLTDLQSLDLSFNKELGGVLTPNIGALKQLTTLILAGCSFHGTIPDELGSLPKLSYMALNSNQFSGKIPASLGNLSSLYWFDIADNQLSGPLPISTSGGMGLDKLLKTRHFHFNKNQLSGPIPDALFSPEMTLIHLLLDGNKFTGSIPDSLGFVSKLEVVRLDRNSLSGPVPSNLKNLTNVNELNLANNQLTGTLPDLTGMDVLNYVDLSNNTFDPSPCPAWFWRLPQLSALIIQSGRLYGTVPPRLFSDAQLNQVILDDNAFNGTLDMGRSISGELSLVSFKDNEFSSVTVTSSYNGTLALAGNPVCERLPNTYCNLTQRALSAPYSTSLVKCFSGPCPAGQSLSPQSCACAYPYQGVMYFRAPFFHDVGNDTAFQELESMLWTKLALTPGSVFLQDPFFNSDSYMEVQVKLFPSGGSAYFNRSEVMRIGFDLSNNTFKPPKEFGPYYFIASPYPFPESGSPSKSKGVIIGIAVGCGVLFVALAAAAVYAFVQRRRAQKAKEELGGPFASWARSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGQSIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMPGGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDSERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIVAKQPIEKGKYIVREAKRLFDASDAEFCGLRDMVDARIMNTNHLAAFGKFVQLALRCVDEVATARPSMSEVVKEIEVMLQSEGLSSSSTSASTSATEFDVTKGAPRHPYNDPLPKKDKDVSTDSFDYSGGYSFQSKVEPK >PVH32599 pep chromosome:PHallii_v3.1:9:60304624:60304983:1 gene:PAHAL_9G437700 transcript:PVH32599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWKKENFLGISRWSDSLKATKAEDLAAHFGENVTKFQGKASSAICSSRFEYPRRTSYPARRVVSTIMSTQFHLAHLKAKKEKSHHRRLCRVYIESTSFLCQLAPNKPCYSFVMLSHV >PVH32020 pep chromosome:PHallii_v3.1:9:31292465:31293768:1 gene:PAHAL_9G293700 transcript:PVH32020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRVLKRKYLKNNTLAQVNKKPGDPQFWAGLVEVKEHLLERGKFLVKNGKNTRFWEDWWVGHEPLMKKFPALYQICRKKNQTVASVLKARPLNISFRRALWWGVISVRLVDSEDSWVWTLNTKPIFSVKNMYNSMLLSGFVPRRFIVWKLKIPRNINIFLWPGQSFI >PAN49930 pep chromosome:PHallii_v3.1:9:63487722:63490690:1 gene:PAHAL_9G485700 transcript:PAN49930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small and basic intrinsic protein 21 [Source:Projected from Arabidopsis thaliana (AT3G56950) UniProtKB/TrEMBL;Acc:F4J0V0] MSPAPPPPSRARIRPWLVVGDLALAAAWVCAGALVKLLVYGPLGFGGRPEAEAVKVSLSIVYMFIFAWLEAATGGASYNPLTILAAAVASQGGPVVYLFTAFVRIPAQVIGAILGVKLIQFTFPNVGKGARLSVGAHHGALAEGLATFMVVMVSVTLKKKEMKSFFIKTWITSIWKNTIHIFSSDITGGIMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTFLTKPKKIKEQ >PAN50656 pep chromosome:PHallii_v3.1:9:66946800:66949454:1 gene:PAHAL_9G535400 transcript:PAN50656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVLLDRSSGSLEFPKRSLGRSRRGLGARPAGPGGAYGSFPTLQPYNHLHKGGAWPSAPALPYARPPIYSSPSLPLLPSNQPPLLPLPPTATKYAIFPCLPAAPPPPPPAPRAGRGAAVTTTVVPAAAAAPAPARRERDRRRRPARPPPPSTTEAPRAQKKKPLERATPLPLAPVVTEALDDLEQEVARNFVQDLLHALAPPPSSLPLPRFSLVMKASPATGSRAVAPPAPSCNVEAASAHGIHGLLRL >PAN49029 pep chromosome:PHallii_v3.1:9:58690953:58693767:-1 gene:PAHAL_9G421000 transcript:PAN49029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERRQGFFSALKGEVVRGLSPARSRGKSLLPRARKTAAAEAEAAPPEELAPYAPEQFVAHSGSLRPGGEALAPLMEGPEVAEDDACGEESARRDGFGQWVRGHLARAPLVAGGGGDASFRRSDLRLLLGVMGAPLAPVSASAAEPLPHLSVKGAPIESSSAQYILQQYMAASGGAKVLRSVRNAYAMGKVRMVASEFETATRVVKNRGSGAASAVEQGGFVLWQMSPDMWYVELAVGGSKVRAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLSTAGLFAEARCVGEKKVGGEDCFILKLSAGAETLRQRSEGPAEIIRHVLFGYFSQRTGLLVHVEDSHLTRIQPHAGGDAVYWETTISSFLEDYRAVDGVMIAHAGRSAVTLFRFGETAMSHTKTRMEEAWTIQEAAFNVPGLSTDCFIPPADIRRDSVGEPHCELPPRGGGGGGAAKAGAVHPARVAAAERVHPRRDAAGAGAGDKIHWTVEM >PVH32299 pep chromosome:PHallii_v3.1:9:53051088:53052424:-1 gene:PAHAL_9G363100 transcript:PVH32299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRARLSSRPYSSVAAAEQCPRGWLAARRNRPSKRARRVATVTAQGGEDDGTPLTDEMLAVVPPGVRRGRLICRAARRRPPPLRSLALGFFYSHRDAVPRFAATASASRRLGLRQPSLNALRLDNGLFDASRVVASRNGLLVVELQLRKRERALKLCVCNPMTGEVTVLPPLGGKEISRPFACAILTTEDYVDDDESHLSDPSSSPSSYRLLLVYGRRGFTACRTYTSDGGRWGPEAKAINTVRLGKKMTGTGVVVVRNVAYWHAMGIVLGVRLDTLQAKHVDMPLTGHYPAGNTLLGVSPDGRLRVVLVVGKIMCVATAKMSVAIGVATRSATGDKWDPLEVIEVVGRLLPAETTWMKLRWLCEKSGVVLLTAACGDQTGVVHALSLDRQEAERVASQHGGDGDLHGSLAMDEDDP >PAN48119 pep chromosome:PHallii_v3.1:9:52744514:52744992:-1 gene:PAHAL_9G359800 transcript:PAN48119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRADAGGAAPPMAAAGGRPRAGNEQAAVLRGYGGEWATAGLISLPHHEGRTVPSLLSLSSVECLLQLWRPLQCLDPAACNLFEQMCE >PAN48118 pep chromosome:PHallii_v3.1:9:52743836:52745305:-1 gene:PAHAL_9G359800 transcript:PAN48118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRADAGGAAPPMAAAGGRPRAGNEQAAVLRGYGGEWATAGLISLPHHEGRTVPSLLSLSSVECLLQLWRPLQCLDPAACNLFEQMCEWLRTRCRKTGCRKEQAVRRDWLMIKC >PAN48115 pep chromosome:PHallii_v3.1:9:52743836:52745305:-1 gene:PAHAL_9G359800 transcript:PAN48115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRADAGGAAPPMAAAGGRPRAGNEQAAVLRGYGGEWATAGLISLPHHEGRTVPSLLSLSSVECLLQLWRPLQCLDPAACNLFEQMCEWYG >PAN46197 pep chromosome:PHallii_v3.1:9:10588510:10590854:1 gene:PAHAL_9G169500 transcript:PAN46197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISEQRIAGWNSERLPIYEPGLDDVVRQCRGRNLFFSTEVHRHVGDADIVFVSVNTPTKTCGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILVHNGRGVRYQILSNPEFLAEGTAIQDLFAPDRVLIGGRETPEGRAAVKALKDVYAQWVPDERIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTQVAHSVGKDARIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVAGYWREVIRINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAVVSIYDPQVTGEQVSRDLAMNKFDWDHPRHLQPLSDTDLAKQVGMAADAYEAARDAHAVCILTEWDEFRSLDYKRMFDAMHKPAFIFDGRNVVDVAKLREIGFVVYSIGKPLDDWLKDMPAVA >PAN51932 pep chromosome:PHallii_v3.1:9:73033578:73036988:-1 gene:PAHAL_9G631000 transcript:PAN51932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G20960) UniProtKB/Swiss-Prot;Acc:Q8GWP5] MASSLVSRPHLTPRPVRAASLASPSRARVAAGGLGGRGVAASVRCQAQAAGDLDRHYMRRCVELARKAAGHTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKEVVVGMTDPNPIVASKGIEKLQNAGIDVRVGVEEALCHRLNEAYIHRMLTGKAFATLRATLSMNGIVTNQIGKGADLSGGYYSQLMKEYDGVIISSDLAKMSTLPVSHEAGANQPQYIIIAQGESSRLHIPSLSEEHASKAIVLADSPVTVEPAGVEVAVLRQIDLDSILLLLAQRGLCSVLVDFREAGESFASLLNDFQEDKLVQKVVLEFLPVWLASEGLNNLAFGGRQSFPLKNVEYREVNGAMLLEGYVDW >PAN49925 pep chromosome:PHallii_v3.1:9:63462822:63467938:-1 gene:PAHAL_9G485200 transcript:PAN49925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIFLCLSIWAVCPVAVAWAARSDTEALLEFGRGIRQDPSRREATTWNPTSALDADGCPVDWHGVQCSGGQILSIALDGIGLVGNASLSALARMPMLRNLSLSNNKLEGFLPRELGAMASLQLLDLSSNRFSGPIPSELTKLAGLGYLNLSSNGFHGALPMGFRNLRKLKYLDLRGNGFTGKLDDIFVQLQSPVHVDLSCNQFSGSLTSISDNSSMASTLQYLNISHNVLSGTLFDSDPMPLLDSLEVFDASFNMLSGNIPQFNFVISLKVLRLQNNNFSGSIPEALFRETSMVLTELDLSCNQLTGPIKRVTSMNLKYLNLSCNSLEGTLPITFGSCSVVDLSGNMLSGNLSVARTWGNYLQTIDLSSNRLIGNWPNETTQFLRLTSLRISNNLLAGELPFVLGTYPELISIDFSLNQLHGPLPGNLFTAVKLTFLNLSGNGFGGNLPLPNPDGKNSTSRDLSVLPVQTSNLSFVDLSNNSLNGSLPMGIGDLSALTLLNLHQNNFTGQIPRAITKLKNLLYIDLSSNHFDGSIPDGLPDELVQFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPLSESPNGSGKSDEGRHGMKRGILYALIVCVVVFVTGIIVLLLVHWKINSWKSSEKGTGQGKQPVTQGQSAQRSAETSTTEMHDVSLASSPTAESGAVSLPGKERQHESQDVPIDVAYFNEPIASSSAHKDSTTSSMPSLSSSPPDPRTQHHHSILRVHSPDKLVGDLHLFDNSVVFTAEELSRAPAEIIGRSCHGTSYKAILDNGYMLTVKWLKEGFAKSKKEFSREIKKLGSVKHPNLVPLRGYYWGPKEHERIIISDYVDATSLSTYLSEFEERNLPPLSVGQRLNIATDIARCLDYLHNERVIPHGNIKSSNVLIQNSTLSAMVTDYSLHRLMTPTGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAIGVILLELLTGRIAGEIVCVNDGVVDLTDWVRMLALEERVSECYDRHIAEAGSSDGAPKALDGMLRIAIRCIRSASERPEIRTVFDDLSSLSS >PAN46144 pep chromosome:PHallii_v3.1:9:10305777:10307530:1 gene:PAHAL_9G165300 transcript:PAN46144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSMDQAFVQAPEHRPKPTVTEAIGIPLIDLTPLASGDAAAVDALAAEVGAASRDWGFFVVVGHGVPAGAVARATEAQRAFFALPAERKAAVRRSEAEPLGYYESEHTKNVRDWKEVYDLVPREPPPPAAVADGELVFANKWPQDLPGFREALEEYVKAMEELAFKILELIARSLNLRPDRLHGFFRDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTILYQDDVGGLDVRRRSDGEWVRVKPVPNSFIINVGDVIQVWSNDRYESAEHRVSVNSARERFSMPYFFNPASYTMVEPVVELVSEENPARYDAYNWGDFFSTRKNSNFKKLDVENIQIAHFKKSAA >PVH31857 pep chromosome:PHallii_v3.1:9:17062598:17063386:1 gene:PAHAL_9G248100 transcript:PVH31857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAAGEPLWSASSIVPDTARGYHILKIDGYSLTKATPTGECLDSHPFTLGGHRWYIRYYPVWRYPSNTTAMG >PAN50673 pep chromosome:PHallii_v3.1:9:67022234:67025471:-1 gene:PAHAL_9G536800 transcript:PAN50673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSEDWRFLFPVSAVFAAPSAAPLPAAAASRGPLHFSPLPPRAPLLSLPYPILPLRSSTHRLDRHLRSFVRGTSFLPRSDLDSLSETLLPQPSPPSSPPSNLIAVLRRPRISSCSLILFFPYAENAEHVAFVTLDATTATGSTPVSPVVQRVGFMHPGQRIQQLATAVNEPSWPSEPEDSLEGFLLAVTMHSLNWFRVESRGLGTPALVPAAKQGFDSVIVHACWSRHLPSECIVLLESGELCWFNLDTRHGGKMKIDFGSKDDCGDWLSCDYGTQPWMVIVASSKSILLIDLRFGDCGECKVLARVGMPGLFETDPFARVDQYLAFCRAGFDHFHFSVVTKRYLILLDVRQPLTPVLAWQHELESPNDVAMFRLSELRPSKEHEWASNSGFAILVGSFWTGELSVFCYGPKEQGCPENSHLYAWDLPSRFSLTNQHCSCSKGIVKDLFSRPVSRDGYASQPSKNPTVGYYVLPHDLLVSEPSFPGFALICLKASGKLEMQRYRASASHDDTPCDESQHTARTSKSSIFPDTPCEDFPLRYSLMKLHFLSKYLEGNLCNALVKHDSGVNKQMGQIIVSEAVSEYAEDNSSSSSRSVSDFLCNASIPMNIFEIACQRILNSLPSNILHVTFSKYKDMLACSTEKTVGEHLDVPTCLAHDKLRPFLLAKPSSVSYYLTSKVQSPNALVGPVLPVHVLLAMEEINKGIESFSEGANLETDSVSDQCREVLEALDPVISIADTHNFDALQGLNHEKPYFSYEPQIEHTFTLDGNAGKEKEDRKLDNPLYTSATPYQDKIFTTFVCGKAKVPDSEPEQAATCLFDFGPLRMDFDTPDMEIQSDEEKVYKCLRKQFVTWQNNFKPYQDFCSSHKIQRLRQ >PAN50967 pep chromosome:PHallii_v3.1:9:68598544:68600833:1 gene:PAHAL_9G561300 transcript:PAN50967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPSPLTLLIFAFVGGNLLMGISSNARRAKNTTDPGYGSIELNGRKLKERCSFTIRKTRELENIRTDDYQPVDPSPSSKATIRPGPIEHGAPILPYVPRYPPPSGHPKGVPAAESPGSPST >PVH31216 pep chromosome:PHallii_v3.1:9:4867668:4874655:1 gene:PAHAL_9G084700 transcript:PVH31216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEDLETLNLKELQQLEQQLESSLKHIRSRKSQLMMESISELQRKEKSLQEENKVLQKKLAEKQKAQRQQAQWDQTQQQTSSSSSSFMMREAPPATNISYPVAAGGRVEGPAAQPPQARIGLPPWMLSHISS >PAN44971 pep chromosome:PHallii_v3.1:9:4867668:4874655:1 gene:PAHAL_9G084700 transcript:PAN44971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEDLETLNLKELQQLEQQLESSLKHIRSRKSQLMMESISELQRKEKSLQEENKVLQKKLAEKQKAQRQQAQWDQTQQQTSSSSSSFMMREAPPATNISSYPVAAGGRVEGPAAQPPQARIGLPPWMLSHISS >PAN49565 pep chromosome:PHallii_v3.1:9:61543199:61545239:1 gene:PAHAL_9G456200 transcript:PAN49565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYCTLYSPEFLSRPTAGRSISGNLEFIPGISTVVDHCNGLLLIAATKADYVANPATRRWARPPPCPMMPRRTEDAIKCLVYDPTMSPHYEWPPSSYALQVFSSITGRWEERSFVLEGEHPEEVRYGWRVINKIHWRGALYVRCRIGLVLRISMSNAKCRWVPRPPGVEVGQYGSLGTSEKRVCCAFQHDCQVLRIFHLNESSGQVGWELKHTVDFTSFARKLHERDYSEQLSNIKGPWILQDINYYKCPYGNDKHKEAVEDDFEWNSDDDDVLNTENMVEGSYKGYTSFLGFHPCKEIVFLNAALSRAVAYHWNASKFQDLGNIYPKDYLESALHCAQIETSFIYTPCWMEDFPENNLEYARIED >PAN44273 pep chromosome:PHallii_v3.1:9:1707745:1708344:-1 gene:PAHAL_9G030700 transcript:PAN44273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVICSAVVTDITGRVISKVTERCSCNNKAAVNDKLWKLNMLCTRIHSSVEESQRHRITSNYLLQWQEMLKEAAADGDEILFTFNWRSLNEASKTNNNQIGTSGPLAYTKIAIYRMVEYFHNAARILFSLDEDVEKLNNTVDKLEKLSADTEEFIKLVELEVLREEENLHTKGKNTVTCNSLALNSHQDEHQTFNEAI >PAN44815 pep chromosome:PHallii_v3.1:9:4177623:4180293:-1 gene:PAHAL_9G072500 transcript:PAN44815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGFASYLAMKTGPEAGDASAAAQALIDADLREIGVAARKLANHAFVLGGGLGFGTSFLKWLAFVAAVYLLILDRTNWKTNMMTALLVPYVFFTLPHVLFSLIRGEVGKWIAIVAVILRLFFPRHFPDWLELPGAIILLTVVAPSLFADTFRGHLVGIFICLVIGCYLLSEHIKASGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >PAN43978 pep chromosome:PHallii_v3.1:9:536901:539835:1 gene:PAHAL_9G008400 transcript:PAN43978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLWHLGDELRGQPMVLEDRQWSLMTSKLAEITKSKGERMNDLDYARMNTVPEVKQWDKMSYHHDEPRMDHINLGLMNLDLKMNDLKMNEAAMKNSFRNMGYNMNPVYPKGNNGNINAFKMNVGVTKYSNSPSVKEANGKNNGGNNHGSNSNGNTNSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDSFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGI >PAN47221 pep chromosome:PHallii_v3.1:9:16447269:16454901:-1 gene:PAHAL_9G242900 transcript:PAN47221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEESKVAEDVVEVVPAASGFLGDSSMKYPEESEWDDEFGNELYVSDSLLSQPASQAVDASENKVDEDSKIKALIDTSALDYSQIPDGYGSGRGYGRGMGGRMMAGRGFGRGLERKTPPPGYVCHRCKVPGHFIQHCPTNGDARYDVRRMKPPTGIPKSMLMQTPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSVGDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIINKSVCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSMVQVQDMESALPVQTKVRSPAVSAASKEETKAPTPVEESPDAESLNGAKATNIDVSSSDKKATTIPDVAEGTMESKNVKEDKPLEVTPVAKDSQEKLPSGDQAVKKKKKKKARAPGNADEQWKNFQDFGAENFAGMPLGPAGAFNPYWGGGVPLPMDYMGAPFPGPMPYMGYPPAPFDPFGGGVLPQDPFMPPGYMMPGVPRDLSELAVNPMGINMGPPIVGREEFDPRKRDHRRREMDRFNERERERGRSREPRGRSMEREREREREHREREWERSRERERDRELERERARDREPRREARESSGAINDSTSMRRKDRSRSHSQPDRSERAPPPPPPSSSPDHRHSRRSPHRSSSSGKKRSSSDRYDDLPLPPPPPPPTSRHEPEPAKAQAAAAASKSKASVFSRISFPGGGGDGANPSDAKRSRRASSDKPPAHSSSSSRKGAAAAEDGDGRHHRGGHRHHQNHREPAAAAEEKRRPAAAATEYYAGGEDEEESEEEEQHFKRRPSSSSRREQEAQEEQPRHSRRSRDHKRR >PAN47219 pep chromosome:PHallii_v3.1:9:16446893:16455684:-1 gene:PAHAL_9G242900 transcript:PAN47219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEESKVAEDVVEVVPAASGFLGDSSMKYPEESEWDDEFGNELYVSDSLLSQPASQAVDASENKVDEDSKIKALIDTSALDYSQIPDGYGSGRGYGRGMGGRMMAGRGFGRGLERKTPPPGYVCHRCKVPGHFIQHCPTNGDARYDVRRMKPPTGIPKSMLMQTPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSVGDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIINKSVCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSMVQVQDMESALPVQTKVRSPAVSAASKEETKAPTPVEESPDAESLNGAKATNIDVSSSDKKATTIPDVAEGTMESKNVKEDKPLEVTPVAKDSQEKLPSGDQVKKKKKKKARAPGNADEQWKNFQDFGAENFAGMPLGPAGAFNPYWGGGVPLPMDYMGAPFPGPMPYMGYPPAPFDPFGGGVLPQDPFMPPGYMMPGVPRDLSELAVNPMGINMGPPIVGREEFDPRKRDHRRREMDRFNERERERGRSREPRGRSMEREREREREHREREWERSRERERDRELERERARDREPRREARESSGAINDSTSMRRKDRSRSHSQPDRSERAPPPPPPSSSPDHRHSRRSPHRSSSSGKKRSSSDRYDDLPLPPPPPPPTSRHEPEPAKAQAAAAASKSKASVFSRISFPGGGGDGANPSDAKRSRRASSDKPPAHSSSSSRKGAAAAEDGDGRHHRGGHRHHQNHREPAAAAEEKRRPAAAATEYYAGGEDEEESEEEEQHFKRRPSSSSRREQEAQEEQPRHSRRSRDHKRR >PVH31498 pep chromosome:PHallii_v3.1:9:10069784:10071639:-1 gene:PAHAL_9G161900 transcript:PVH31498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYQLSVNLSEAPFYGFYLEMKKNRNILLKGRTISMINYYKEGFSAKTTWPALPLTLAATSHPSPVTPLPSSLRLQSPAHPKPNRQGISWMRRQGARRNACARRRRACSPASAPRGPPPPSPSRRPRARGGVDVAATATRARGRGGGRVTVGAGERCHPQLIVFFFAFCWTRPPCRRTSAFPSMSRFVRFFPPSPSFLPVSSPFYSVGSSLSSRTAVLVKEGQQVGIFPESESSELELGV >PAN46450 pep chromosome:PHallii_v3.1:9:11825213:11827616:-1 gene:PAHAL_9G186900 transcript:PAN46450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGGKGGRGWSSLPADLLLAVFALLPSDADRVRFRAVCAAWGAAAAAWRPRPWLVGSRTDRSGRGGGAVSSFWLSPGAGLLRPFDAGVPAGLEYLSSSRGYLALSDPSVSPKAVVLVNPVTGRRVRLPPIGFFKRWLDVTTVVLSADPGAAAEWSAVAVGFPTTSLAYYSSAAGAWARLDFGAPGYAGVEHYNGRFYVAFGSRICVLEADGGAPAVIPLERVDDDGADGSDDEPKLPGGGGRRVVETHLVECDGQLLLVSVHDDVAYNSDDDMGGLAVDEGGSKGGGDARAVEVHRVEWLWDGRVRLVRETDLGWNALFLGRNRAFALSAAEFPACRVNCVYLVDRQGHPDGVVRVLDLENQWARREETICPDDGTGGSASSAGWARRGWFFPNY >PAN46501 pep chromosome:PHallii_v3.1:9:12120417:12124395:1 gene:PAHAL_9G190100 transcript:PAN46501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKQASKLREQVARQQQAVMKQFGGGYGADGAFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGTENTCTSGSTLSKAALSFAKARSMMEKERSNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLREASGNGDMISRLEAAETKLQELKSNMGVLGKEAVAAMTAVEAQQQRLTLQRLIALVESERNYHQRVLQILDQLEREMVSERQRIEGAPPPVVESSMPPPPAYEEVNGIFMRNTVAELVETVEYFLAEAIQSYRAESDTELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRERVLASKVTQVF >PAN44330 pep chromosome:PHallii_v3.1:9:1939938:1941638:1 gene:PAHAL_9G034900 transcript:PAN44330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVPADAPPSVAPAPKAAAVDGVSEQHEPAGGGGVRSMVERWKMEGAPARARLLLRAAAWLFSLLALVVMASNQHGGSQDFRQYPEYNYCLGISIVAWLYATAQVLRDAHRLGSGRDLIGTRKASALLDFAGDQVVAYFLISAMSAAAPVTDYMRQKANNLFTDSAAAAISMAFFAFVAIGLSALVSGYSLSMEVLV >PVH31644 pep chromosome:PHallii_v3.1:9:12737210:12741018:1 gene:PAHAL_9G199500 transcript:PVH31644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIQGSFGHFPKRTFIHFFLPRRPLRLKRRDKSRPGKDKGRWWAFGKVGPIGCLHSSEGTEEERNEVRGRGAGKRVEKKNFSKSLRVSGAFKHPKYAGVVNIAFLIENDDSFKKIKLFKFFLPKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQYFSNTKNKMHFDPVIVLNHFVAPGVAEPSTMGGAKGGSLDKRIRSRIAFFVESSTSEKKCLARAKKRLIHFIRQANDLCFAGTTKTTISLFPFFGATFFFPRDGVGVYNNPFFEYAREQLLGQLRIKCRNLMGKDKVMELIEKFIDLSRLGKLIKGIEMMIEIILRKRIIRYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASLIAQNISFQLRNNPISFRSIFSQIVKDIPLIMPKGVEGIRICCSGRSGGAEIARTECGNQNKRGRAISETYKI >PVH32136 pep chromosome:PHallii_v3.1:9:41987637:41989683:-1 gene:PAHAL_9G327200 transcript:PVH32136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGLDVECPHIFDGTHFARWKNWMTCNFKFICPQMWWMVDVMDKETAHEIWSYLNEKYGVASDDHDDFKAIQEIHEDGEHIHDMVVVEDCSTSWSSDDDDDDDDQCTTSSLDMIDGDDSSAANDDPTPSTLDDQVGSYMDDISTSSSSPSSHCFRSQGDTKVSNCNVIDPNSYNELLNRYASMNKLFEKVLAKTIKLEKENSFLKDTCEQQKHLLYVMSCSHEELKLTHEELSVAHENLVLDHALLSSKFSNKEIKTSESSSHGLKDQLQNIANPCDVGKKYVSTSCDDLLSMPCTSHIDACSSSTMQYETNLVEENKELQSQVKYLSNKIERWTKSKVTLESIIKNQRNFGDMSGIGSNKSKAKGKRWGKNKYDRKMKKQEEMKLSHFMCFQCHEMGHFTNGCPNKENLKLKKEEEKIKHVKCFNCRTWGHLTSMCPTKQLVKQQEAQPKPQVEQEKAPQPQVKIKHDDQVDDLKMMKKRTRRGGKARARHPTHIQDAKMLSKNKIQDKNPHAHIKCHSCAILGHLVLGCPNKLEKKAQANNEKQGNEKHQMSKEGKAQQKRRCYLCRKKGHMAYSCPLGNNSKPISIDAHIMLRKDGNGTSFVAITKHPAIHTKDLPKYVAPNLRGPNLVWVPSKRG >PAN46367 pep chromosome:PHallii_v3.1:9:11412764:11415132:-1 gene:PAHAL_9G180500 transcript:PAN46367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQATSVADAMPSSPAPATLAAAAAAVLAALVLAVVFNRRRGAPAVSKGGGLPLPPAPRGLPVLGNLHQMLANRPVHRWLHRLLADAGGGVVRVRLGPVHVVAVSCPEAAREVLRGRNDAVFADRPTTFAAESFSLGYRSASISPFGDQWRKMRRVLTAEVLSPATERRLRAAREGEADHLVRYVRALCRRDGGAVDVRHVARHFCGNVIRRLTLGRRHFGEAPADGGPGRDEAEHVDALFATLNYLDAFCVSDYFPALVGLDLDGHERVVRGVMRTLSLHDPIVEERVEEWRLLRKAGERREPADFLDVLASLDDDAGRPLLTMEEIKAQTIDIMIATVDNPSNAAEWALAEMVNRPEVMARAVAELDAAVGRGRLVAESDARGLDYLRACIREAFRLHPYHPFNPPRVAMEDAAVAGYAVPRGSHVLLSRVGLGRNPAVWEDPLEFRPERHLPPAGAGGGVSLSEPELRFISFSTGRRGCPGLSLGTLITVTLLARLLQGFHWSAPPGVGGRVELREAAASLELAEPLVLRATPRLPAHLYDEAE >PVH32837 pep chromosome:PHallii_v3.1:9:64572535:64576451:-1 gene:PAHAL_9G500600 transcript:PVH32837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REPRTLKPTKSPLCLPLSISFPFPLLVWVCPSRFPHLHPQISKARSPRDPPAPAAMSTSSTPVDASGEPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPDKCLEKGRQVTRCVLSLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQAFEEACPISE >PAN47811 pep chromosome:PHallii_v3.1:9:29908769:29909139:1 gene:PAHAL_9G287700 transcript:PAN47811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLLLTCHDLGLGNSSYDRTIGKWQYELFCTRARRVFQDS >PAN49716 pep chromosome:PHallii_v3.1:9:62487585:62494297:-1 gene:PAHAL_9G470700 transcript:PAN49716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNVIRFILVLTHVSSCLARSGNMFSPGFVSASKALPSWPILSAGTSVTVALVLSLFLTFEHLCAYHQPEEQKFMIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRLQISESSPLLDVDYDYGIVKHPFPLNCFMRNWYLGPDFYHAVKIGIVQYMILKPICAVLAIFMQLLGIYGEGKFAWKYGYPYLAVVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGVAVAFLFSTGLFKGHLAQRLQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVPNVAVMSDYASLGAPDPEEVGGGIDSLTVLQTPPGTKDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPMERSFTKINKTIHQISENVKQLEKQKKKAKDDSHLIPLEPWSEEFSEAHDHVVGGSVSDSGLAKTRYSRNSNRPRRSFESRLRGWF >PVH33128 pep chromosome:PHallii_v3.1:9:69460251:69460568:1 gene:PAHAL_9G574600 transcript:PVH33128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSRSQWHRPRAGPARAVAGQLSTSSDGIPCQVPSPRAVHRRRPAPASGVQLPQPTVSPAQELSNSPLLAAFVAVAGSLHFQLQSYFQFPTSSGWYDRAGRDGC >PAN52024 pep chromosome:PHallii_v3.1:9:73443492:73445851:1 gene:PAHAL_9G637100 transcript:PAN52024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYATAAEAEAALGRAMTWAEAAWFRYSAATPDYCLYCHNVVILLVVYTLAPLPLALLELRAPPKLTSPYKVQPRVRLTAPEFLRCYKDTARVLLLTVGALQLVSYPAVKMVGIRTGLPLPSVGEAAAQLLVYFLVEDYLGYWFHRLLHTAWGYDKIHRVHHEYAAPIGYAAPYAHWAEVLILGVPAFAGPAMVPCHMTTFWLWFVLRHVEAVDTHSGFAFPFNPTKFIPFYGGAEYHDYHHYVGRQSQSNFASVFTFCDYIYGTDKGYRYHKQAASLAKMKRDMAMYNNEKGRLAAFDGWKQD >PVH31419 pep chromosome:PHallii_v3.1:9:8678908:8679653:-1 gene:PAHAL_9G141200 transcript:PVH31419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMSESDKAKLCDRVRRLLTKPIKFFNEMQELFQNSSADGSLAMDANTCMNDTQDDEDNDYDDDICNDFSNYAQCEDDLGDDSDTLPSPISGQPVMASQVADLSSSSSGMKRPRSEGQPPKRDVRLKSRISKVGDTIAAILVELQKEMKKPSPPPPAMRSSDDILWERLEQMTLTTDQKLMVGTYLAGKEQKCMRGFLSASAEVTFQSWVLKFFSDAGL >PVH33231 pep chromosome:PHallii_v3.1:9:71208822:71210364:-1 gene:PAHAL_9G603800 transcript:PVH33231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEQCRQFSKKYLECRMERNLMAKQDMSELGFRSVDKVETAPDNNGILESSPNEPKEKK >PVH31288 pep chromosome:PHallii_v3.1:9:6049903:6050386:-1 gene:PAHAL_9G104400 transcript:PVH31288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLVGAEHVVQMYCAWGQQDSAGIYVVYIAMEIFERCLEDYLVARSEVDLTKSTIIFTELMTVVRSMHDAGVIHRDLKPSNVLMDSNGHIALGHVL >PVH31088 pep chromosome:PHallii_v3.1:9:3107522:3109043:-1 gene:PAHAL_9G054100 transcript:PVH31088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFCYPIWMTDPHERLQCGHNQRKRMTQLLLVSHSKERKRVHEWRKKWYYFTVWFINGLFFIVCY >PAN50322 pep chromosome:PHallii_v3.1:9:62634453:62635443:-1 gene:PAHAL_9G473000 transcript:PAN50322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPNSGGEPVGEEVAPTDSPELSRRRERSMRPPVVSRVQGAAGETHQRRRLEREELAGDGGRRREQQRRRDDAGATARSRPEAGADQTYTQILGSRLLIAIRIHAYTLVIWIVIINNPMFRTWRRTDDGGRLPATMTHPAVNKSVNLKRRRWRGGRRGHVRCCTRSRRASCRCRACCPAGPEPCPQQQDQPEPRVQGARRPLPQRRPPLGAQQQHSAVSSASTPTSPRSSPPPTATPRGRSVGTVRQAGAGHKRISRRCGLRSAETTYGGGNGGRSEEELDAGDRGARSWPKAGD >PAN49235 pep chromosome:PHallii_v3.1:9:60121602:60123197:-1 gene:PAHAL_9G435400 transcript:PAN49235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKKQIEESLPEWRSQFLNYKELKRRVNAVSSPAPSPAAEAEFLTLLNAEVDKFNAFFLEQEEEFVIRQRELQERIQRAAEAKPSPAADAEIARIQREVVDFHGEMVLLLNYSSINYTGLAKILKKFDKRTGGVLRLPVIAGVLQQPFFTTDLISELVRDCEAMMEAVFPASAVSAASRDLEERQALAAAEQSIFRNTVAALLTMQEVRSGSSTIGHFSLPPMAPLPESDWLVQSVQAPASPLIPTQ >PAN46824 pep chromosome:PHallii_v3.1:9:14127269:14127652:1 gene:PAHAL_9G217700 transcript:PAN46824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLMKMFLFTLLLGSQSLTAQGGRPQLLNARSFTANSTVNSKSFDESKVTLKFSSRGKCDIFGHGLQDCYCCPDGSRKEYCHQTMEECRANCASCRPKC >PVH32692 pep chromosome:PHallii_v3.1:9:61857518:61861047:-1 gene:PAHAL_9G462100 transcript:PVH32692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKDDGTRPVKLLLLALNATRFLAFSHVVDGKDPVKKLLEMFSTCSGRPGIDDGSSSRPPPRRLKLTSRETMVLESISSNGRLPLRELPDRLRRSRPLRLPSGEMCPSRPLDARETSMTSLFALQATPSHVQQSVLLFQDAARPPSRDSPARNRNRELFSCS >PAN46583 pep chromosome:PHallii_v3.1:9:12519212:12520218:-1 gene:PAHAL_9G195500 transcript:PAN46583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYNFDNREKVRNDEAAAAREEQLQREAERRRESDLRLAALRRNRGLLQADPDSPSAPPPPADAAGPAADPASDAPSASDADGDHINLFAGRADFTALASLSGGRGAAREREPDANAKPNPKKRKKEEEVRAVGPDEEKYRLGYGLAGKGVAVPWYMSSPAASAAKEGSARDAGEGSGGKRSGGKKSIEELREERRKREAKEKERERAVLAAAGRKERQADRGRQSRWAR >PVH33127 pep chromosome:PHallii_v3.1:9:69451836:69453865:1 gene:PAHAL_9G574400 transcript:PVH33127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLCRVVESVGEHAGEFAAGDAVVPTFLGQCSECVDCRSPRSNMCSRYRFAVRPGMPRDGATRFSDAQGRPLHHFLGVSSFAEYTVVDVNHVVKVNPTMPPSLACLLSCGASTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASKIIGVDINPDKHELGKKFGVTHFINPKELGEKPVSQVIVEMTDGGADYCFECIGLAALMNDAFLSSREGWGKTIILGVEMHGAPLSIPSRQILHGKSVIGSMFGGVKPKQDIPILADKYLNKELELDKFITHEVGLKDINEAFDLLLQGKSVRCIIWMNK >PAN51155 pep chromosome:PHallii_v3.1:9:69450493:69453864:1 gene:PAHAL_9G574400 transcript:PAN51155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQQQAPQPIRCKAAVCRAVGEPLAIEEIVVDPPKAYEVRIRIVCTSLCHSDVTFWRMKDFPGIFPRIFGHEAFGVVESVGEHAGEFAAGDAVVPTFLGQCSECVDCRSPRSNMCSRYRFAVRPGMPRDGATRFSDAQGRPLHHFLGVSSFAEYTVVDVNHVVKVNPTMPPSLACLLSCGASTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASKIIGVDINPDKHELGKKFGVTHFINPKELGEKPVSQVIVEMTDGGADYCFECIGLAALMNDAFLSSREGWGKTIILGVEMHGAPLSIPSRQILHGKSVIGSMFGGVKPKQDIPILADKYLNKELELDKFITHEVGLKDINEAFDLLLQGKSVRCIIWMNK >PAN50284 pep chromosome:PHallii_v3.1:9:65218433:65221899:-1 gene:PAHAL_9G510100 transcript:PAN50284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNWELQGCCGHNQRIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMIFILASTNLLTTRIAAGCFILALVVVLFVADNWFLRWLCIGFVVFIAVVWVIQEFTSFHVLKYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVGWGVIWGFISFVFLCASIYLGLVILS >PAN50285 pep chromosome:PHallii_v3.1:9:65216554:65222168:-1 gene:PAHAL_9G510100 transcript:PAN50285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNWELQGCCGHNQRIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMIFILASTNLLTTRIAAGCFILALVVVLFVADNWFLRWLCIGFVVFIAVVWVIQEFTSFHVLKYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVGWGVIWGFISFVFLCASIYLGLVILS >PVH31332 pep chromosome:PHallii_v3.1:9:6836219:6836804:-1 gene:PAHAL_9G116800 transcript:PVH31332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEEESTSPPAQQKMLRAQEVQSVDVEEGTIGLHSIEHLVPLEEETLQGNSSSSSLDFDDPRTSTTSCSASSSPRSEPSEWDELVGAFLGGHEHGDDLGHGSGETLCNIVTNYLPFRCK >PAN51363 pep chromosome:PHallii_v3.1:9:70321614:70322520:1 gene:PAHAL_9G588700 transcript:PAN51363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCCVFLRWPSASPSRIGYRSLDDEAAAAGPSPAPAAVTVVVGKERRAFSVDQLVLDSYPFRVLLETVSRKEESRGGAIFVDVDAILFEHILWLACDGRSVSQILQLDLKEIIDFYAQDA >PAN51849 pep chromosome:PHallii_v3.1:9:72527252:72530936:1 gene:PAHAL_9G624500 transcript:PAN51849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLGGWPSYNPHNFSQLAPADPSAQPSNVTPATYIATHRTDPPPNQVITTEPRNILLRHFYQKSEEKLRPKRAAPDNLAPENNNKQPRGPVADVGSQSNARS >PVH31898 pep chromosome:PHallii_v3.1:9:18201155:18201768:-1 gene:PAHAL_9G255400 transcript:PVH31898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQFVLFSRFPSRIGENSRAGAPPGRRRNLWPSGLAPRLPPPAPLLSSERRRHSSPRWRHERCRLQIRRERGGGRRGPLLPALRRWLRLRRLLPVRKAWRWRGTRGQRHGSGFRAAAPPHPPTGAWHHEWCPGGPPLVRRRDPAADLQVIGVLGLVGARPPPCPDPGCQRRRHAGAQAPPPACVSDPLPSVHL >PAN49939 pep chromosome:PHallii_v3.1:9:63564178:63568189:1 gene:PAHAL_9G486300 transcript:PAN49939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSRTDTSTVVDTDDKNQRLENGQSGAIVMASNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYSRWQEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLSIHDYFSRLRALSSLWLARPRE >PAN49454 pep chromosome:PHallii_v3.1:9:61141718:61147030:-1 gene:PAHAL_9G450400 transcript:PAN49454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKRWQGCAVFLLAFLLCDASGIMIPSENSPSEFAKIVQSKQTKHARVCRADPELLRSLAGSDAEVMLTIPNEQLEHIAEFQEEADLWVITYVARFLPAARITHVLAGDDVLTNSPGNAYFLVPAMLNLRSALAAAGLDGRVKVSSAVSAEALTAPAWSGVAGHVLRFLNSASSPLLLKSRQSEASDAKADAAYGAMRALGVPGVPVIAADLGAGGGEVAAYYYYGYLGGQSSERGNRRSLATGTFCVALQNADPAALQAGLNWACGPGHADCSAIQPGGPCYQQNNLPALASYAYNDYYQKMSSTGATCSFNGTATTTNNDPSSGSCVFAGSSTAGGSNSSTPTPVGTSPPTPVGTSPPTSFSPPTGFTPPVGSSPPSSDFSPPAVGTTPPSGFAPPAGGGFGPPAGGFGTPPSGFGPPGSFNGSGSFGPSGTLNPYGGCRGAMSGGAGLTALLAVAVLLVSMDAM >PVH33038 pep chromosome:PHallii_v3.1:9:68181418:68181682:1 gene:PAHAL_9G553900 transcript:PVH33038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARWRPAGGRKVGGRWCVITGALLALGKGAVESKQRLPASRVVRRELIREAGREEGGGAEGR >PVH31202 pep chromosome:PHallii_v3.1:9:4670314:4671511:1 gene:PAHAL_9G080800 transcript:PVH31202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRMRVPAAALLVLAACAALPSGTAANKISINWKPNTNYSDWVTQHSPFYKDDWLVFYYTAGQADVVQVDEAGYNKCDASNAIYNYSKGRSFAFQLNETKTYYFICSYGYCFGGMRLAIKTEKLPPPSPPPSASHKSAAAAFARSHAAGIYVAVAALAALLRMV >PAN48871 pep chromosome:PHallii_v3.1:9:54392083:54393061:1 gene:PAHAL_9G374100 transcript:PAN48871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERALNPEPFSIFPRPYGKAGSCCSVSHPVSECEQPGLRPVCVCVCVMSLYCKCFA >PAN51564 pep chromosome:PHallii_v3.1:9:71197794:71199072:-1 gene:PAHAL_9G603600 transcript:PAN51564 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT5G06080) UniProtKB/Swiss-Prot;Acc:Q9LHS8] MASSGSGGTPGSPCGACKFLRRKCAAECVFAPHFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPADRSEAAATVTYEAQARLRDPIYGCVAHIFALQQQVASLQMQVLQAKAQVAQTMAAAGGPQAAGSPLLQRWPLEPESLSTQSSGCYSAPSCDGSASLQEMYCGFGEQEEGSYTR >PVH32042 pep chromosome:PHallii_v3.1:9:32370068:32371508:1 gene:PAHAL_9G299800 transcript:PVH32042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPLDASLRPLDPEAFSGESRAVVNFLAEYYCDVEKYPVQAADLESSAAGPGFFAYYPFNASAAGFAGEMLSVGLNVVPFVWAASPAAAELETIVVDWMGKLIGLPQRLLFSGDGGGVLQGSTCEAVVCTLAAARDRALAKLGHENIMKLVVYASDQTHVTFQKGARLVGIPPSNFRVIQTSASSGYGLTADAIRAAVDRDVANGLVPLYLCAIVGTTGLGAVDPVRELGEEAQRHGMWLHVDAAYAGSAAICPEFEGYLDGAELADSVSMNPHKWFLTNMDCCCLWVASPATFTAALSTDPEYLKNVGTNGTGKPAAIDYKDWQISLTRRFRAIKLWVVLRRYGAIGLRGYIHRHVAAAKRFERMVAEDERFEVVRIAGDDTVDDLNRELLAAVNSSGRAFITHFVVDGKFVIRLAVAGAMTEMQHVMDAWALLQGKAEEVLRRHQL >PAN50600 pep chromosome:PHallii_v3.1:9:66648135:66651411:-1 gene:PAHAL_9G531400 transcript:PAN50600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLQRFADIAGDGAPRLDAASGEELVRVHRAASVALGRRSPEPPGTLFVTTRRVIWLSEAEKGKGYAVDFLAISLHAVSRDLEAYPSPCLYTQIEAEVATDEAAESNDELELSRVSEMRIILGDPGQLDALFDVFCHCAELNPDPNAERNGDNGWFHGEDMVDVGWVHGDEDMVDENGTQFFNANPIGQNGGYDLSQSVFELQINDQRFEDADEEQEIHENGH >PAN47147 pep chromosome:PHallii_v3.1:9:15957688:15960604:-1 gene:PAHAL_9G237000 transcript:PAN47147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSCSVMAAKGFLLTMAVAVAAAVVEAGGGAAEFAYDGFRGAGLSLDGMAAVTPAGLLLLTNDTNMASSQRNDTGMSKGHAFHPDPVRFRRPAAGAGGGGGAGSAAGEVSSFSTTFVFAIVSEFLDLSTSGFAFLVAPTKDLSTAMPQQYLGLFNGTDNGDPRNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAAPAGYYDDADGAFRNLSLISREPMQVWVDYDASTAVVTVAMAPARRPRPRRPLLSTKVNLSAAITDTAYVGFSSASSIVLVKHYVLGWSFSLDGDAPALDYAKLPKLPRIGPKPRSQALTIALPIATTATVLAAVAVGFLLLRRRHRYAELREDWETEFGPHRFAYKDLYDATDGFKDKRLLGAGGFGRVYKGVLPGSRTEVAVKKVSHESRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKHLHYHDGKPVLDWAQRLHIIRGVAAGLLYMHEDWEKVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGADPHTTHVVGTMGYLAPELVRTGRATTLSDVFAFGAFVLEVACGRRPIEEDDDADDAATAGRRFVLVEWVLGHWRKGSIAGAVDARLGSEFDDAEADLVLRLGLACLLPSPAARPSMRQVTQYLDGSAPLPELPATHARFNTFEGVGKHQQALFDSWSVWRPTSTAATSFATMSDIGLSGGR >PAN50932 pep chromosome:PHallii_v3.1:9:68407599:68412679:-1 gene:PAHAL_9G557800 transcript:PAN50932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKALEKSSPDSTTPTSSASPPESKKHEKTMPNYLRASRGSCHDFCKYGHKNPSEEEPNLSGGGRKKLPAHLKNLSLHRSAILDRSKDVRNMSLSLAKSSISLGVAVRVAPRTASANRKGVASNEHMVPLTATAAENKTLNSDERKKYSTVAQKVPTNLRYSNGVKQIDKRDTVPAKGAIFPAKSKFPEKASLEKYITVGKVTTVNQSRHKRPASSPSKLNMIKQVPSPSQASSHYLLSKDKSTPKGKVASPAATITRVRSKPGQSATRSSDAIIKGKQAINMSGSSSSVEPKLIASVEKQKDDMQIRSYSIESTVAELSTDATENGDNSQPAPEETSKSISKDDGVRSTEKSESIAGEGEALLESAIALELQQSLDGQEFNAMIGESDLEHKLAEQNIIHGQASKDEDSQADDAALCQLSEHITVVENADVYDSVLIESNSKIEDDQVEVNAPVESLISEGKDQVAVSEDFGTSPELLVVDEKHAEEPDSCLDFASGNAVENAKADEIFDARMNNNTSHCQSISETSSDGVLMEEPKSMLIEPSDSAVDELANVSNENTFERDRLKSEVFISQSPEELSNDEFFEEYYFESSESDESGTEDEEATINRDRGESLKSGDPRRRRISTVELDDASLTPYKMKFKRGKIVELPPDSSGPRRLKFRRKSASEVSNRETQPTRRIYKRNSTSNVVPTNQDMESPGVKLRHQDAQEKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVISLQDGKPTSSTQQAGSSEDLFPDDEGNAPEEAE >PAN45539 pep chromosome:PHallii_v3.1:9:7514199:7516957:1 gene:PAHAL_9G126100 transcript:PAN45539 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHAAVSPGGRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >PVH32204 pep chromosome:PHallii_v3.1:9:48410281:48414529:1 gene:PAHAL_9G345400 transcript:PVH32204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQPRDRNMSKRLGTRSRSPAVDLVPDDLRLPPDPGHLLVASLVCKRWRRLIRNPAFVVRFRAFHRAIPVLGFYQNINGLIRFFPIAAPAPRLSAPQDFQNGMCWVLDCRHGRVLIYDDSYESLFIWDPMAATSSHVGSLPELSGEENFTAVLVCTPGNDDHTDCHSAPFRIELLVSVRVFSSESGAWGDWASISPPSLVSPVSAAVVGGSVYWKLDFVENSNHILGFRMETGELDSIELPTDVQENYMSDILLMPAEYGSIGFVGVNLSSLHFWSRKTDSEGAAGWALIRIMDMEMLPISDRLAGDMLSWSSVVGSKQLKKMPQASASAIYTYTSFYSRDGNVLSLNHNKCQPSNMNWILVTICLSYDYTITISHVATLSVLIEMLSTTPKYQKEKKEAAVQVEAVDKEKAESSSSGHQVHPLLTK >PAN44493 pep chromosome:PHallii_v3.1:9:2655000:2658837:-1 gene:PAHAL_9G047400 transcript:PAN44493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSRAKVVRLKSHHDKFLYADEDEVHVTQDRNGASPNARWSVEAVPNVPGVVRLRSRYGRYLTASNEPFLLGMTGRKVLQTAPARPDSSVEWEPVRDGFQTRLKTRYGHFLRANGGLPPWRNSVTHDVPHRTATQDWVLWDVEVVQVLTPGPERADSAPVKMPDSPPAPELRDPPPAPHHRPSKSYAAPPAPPTLEPDAPRPGPRLSKLESSDSFSAPLHKVEGRAIHYHIADDLGNVDDGTEGHSFTFNGSNLEELAQKLQEETGMDGIIICTRSPINGKLTPLRLQLPPNNAAMHIVLVQESSKVVKTFPWPYGS >PAN50499 pep chromosome:PHallii_v3.1:9:66229123:66233718:1 gene:PAHAL_9G524700 transcript:PAN50499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELPLPLPLLALLILVLLPPLCSSQRSTAADADASVSRSVFPMDGDVAWVVQVSDLHISAYSPERAADLALLGTALRAIRPHLLLVTGDITDAKNQQRTTSRQDEYEWVSYKKTIDAIVGRGSIDKSRIFDIRGNHDTYGVPYRGGELDFFSTYSVNSQLKRLSTISSILLQGDRSYQFLGIDDTMSVGIRFPANLFGHPTDKRIEAVNSELKYWTNHSNAPVTKVVFGHFPMSFTTSSEKGQRYESVFARQSISAYLCGHLHAKVSKQLWRYHEVRTTEGHKSSFWEWELGDWKDSRLMRILAIDGGAVSFIDHTLKQALQTSILVTYPTDSRSMNMLESEKWSMRNDINVLVFSHQVIRNVSARVFDSDSEFKIVEEIPLQLVASSSVHRPLFHAKWNAENYRSPSPTRYWLQVFVLDSHGVKISSEQRPFSVEGKMAIPTSPWLNYLVFEVQWEDMYQVLMWSNMAFTIVLLCSPKLLYHFVSRSSSYQRWSVSVLSSPIQQRKTYFWLVWFLMEGTRSKPFWLCLVIYVLWLIEMPWFWGRATSENGEIAQMYLSGWSMPFYDGGLTGNKLSNPDVLVITLPFLYLVVVPVIVLIYGLFAEKSTAYLRRSRRIEYSADSENMHAESTCLLPVAPRALLMKFSDKLVSMTIQFCGSWTRKALLLACLIISAVHLKLCSKLMSAYGTVPVALSPPLTWMPLLLLGAAAYCTKLHAD >PAN50498 pep chromosome:PHallii_v3.1:9:66229123:66233718:1 gene:PAHAL_9G524700 transcript:PAN50498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGIRFPANLFGHPTDKRIEAVNSELKYWTNHSNAPVTKVVFGHFPMSFTTSSEKGQRYESVFARQSISAYLCGHLHAKVSKQLWRYHEVRTTEGHKSSFWEWELGDWKDSRLMRILAIDGGAVSFIDHTLKQALQTSILVTYPTDSRSMNMLESEKWSMRNDINVLVFSHQVIRNVSARVFDSDSEFKIVEEIPLQLVASSSVHRPLFHAKWNAENYRSPSPTRYWLQVFVLDSHGVKISSEQRPFSVEGKMAIPTSPWLNYLVFEVQWEDMYQVLMWSNMAFTIVLLCSPKLLYHFVSRSSSYQRWSVSVLSSPIQQRKTYFWLVWFLMEGTRSKPFWLCLVIYVLWLIEMPWFWGRATSENGEIAQMYLSGWSMPFYDGGLTGNKLSNPDVLVITLPFLYLVVVPVIVLIYGLFAEKSTAYLRRSRRIEYSADSENMHAESTCLLPVAPRALLMKFSDKLVSMTIQFCGSWTRKALLLACLIISAVHLKLCSKLMSAYGTVPVALSPPLTWMPLLLLGAAAYCTKLHAD >PVH31357 pep chromosome:PHallii_v3.1:9:7391582:7392890:1 gene:PAHAL_9G124000 transcript:PVH31357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQQHHIKALTPTWFLVKVTPPAPAPREGGSKKPAYSPLLLSPAVWQKAQDEKRSKDGAERGGGLPASPRISCMGQVKGRTGRGCSTARGPAPRGSGYRAVPGGKVATLVLGLFGRRNARTSRACPKVRDVPGSSRGGRRGPATTTAALVLDPPLPVVRRPATDDDNAPSLWERRRGGKALQGLQLS >PAN51726 pep chromosome:PHallii_v3.1:9:71927409:71929243:-1 gene:PAHAL_9G615600 transcript:PAN51726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASMEVEQDLPGFRFHPTEEELLDFYLDRMVHGKKLHFDIIGTLNIYRHDPWDLPAMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAIRSSADAKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDSGAGRGARTPPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRRSNAPYYTNPARAPQVQAAASAGDDYLSSDDAHDSFLFPVSSSSAPSGDSYSPPMEAKQEADATVTVASMSLMQAANMGIVQPPAVRHGDLPCLQVPTNHGVLDWMQDLSQLRSPWQDQFFLSPLAHLLS >PAN44669 pep chromosome:PHallii_v3.1:9:3449072:3453738:-1 gene:PAHAL_9G060500 transcript:PAN44669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGTSPLQKDKGPTTEPGKAVGIKRLQTDTPSSPGYHNVYVRRKVESEHSKVNPSQELKGNGRDKAKEQEAHQDVQHEEAHRPQVASHVAESVEIVSSKSPEKTNPEIVPEKTEPPVASGTAIQEDVKPLNIQYWNERFNRLQTYLESCDHSTQEGYLQKLRSLSAAGRSMHAIELEKRAIHLLVEEGKELQRMKALNVLGKVSPNAPSKATPLQRQYQK >PVH31113 pep chromosome:PHallii_v3.1:9:3449105:3453592:-1 gene:PAHAL_9G060500 transcript:PVH31113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGTSPLQKDKGPTTEPGKAVGIKRLQTDTPSSPGYHNVYVRRKVESEHSKVNPSQELKGNGRDKAKEQEAHQDVQHEEAHRPQVASHVAESVEIVSSKSPEKTNPEIVPEKTEPPVASGTAIQEDVKPLNIQYWNERFNRLQTYLESCDHSTQEGYLQKLRSLSAAGRSMHAIELEKRAIHLLVEEGKELQRMKALNVLGKVSPNAPSKATPLQRQYQK >PVH31301 pep chromosome:PHallii_v3.1:9:6238410:6238823:-1 gene:PAHAL_9G107000 transcript:PVH31301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNAQSEGSAPSVPKNPAMASCRKKKTDDATFLEDLKDHIDEFIHASMDEHKTCFKKTIQKMFGMSKAVAERSAAGAKEAEVESALPLQTSVSQ >PAN47071 pep chromosome:PHallii_v3.1:9:15278961:15279854:-1 gene:PAHAL_9G231700 transcript:PAN47071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLVALGFIVLLSMGLANAARVARYSSAGGTGTGEGGGGGYVNGAGSGSGSGAGSGESSSSGVHASAGGGGGGGGSSYNGGSGYGGGSGSGYGSGQYTQGSYYGYNGGYSNAGGNGGGGGGGQAGGYYGSSGQGGGSGTGSGSSEAGTYWHGPSYANANANGNGDGKGTGQNGGSGGGQGGGSGYGNANP >PAN51136 pep chromosome:PHallii_v3.1:9:69374288:69381537:1 gene:PAHAL_9G573200 transcript:PAN51136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARRYAIAPQLDVDQILKEARHRWLRPAEICEILKNYRNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRSYWMLEEDFMHIVLVHYLEVKGGKSSSRIRGHDDMLQAARTDSPLSQLPSQTTEGESSVSGQATEYEETESDIYSGGAGYHPFSWTQQHENGGGPVMGASILSCYIPSPFVGNHQGFLSTATTTDLYSHGQDALPVALNEPALGIALNGADNQLDPSSLNGLPDQGIHRMPPPQITDPSKQFPFTEGPGIESFTFGEVYNGLGIKDADTVDTDEESLWQLPGAISSFPTEDSFQQNGRSLEETINYPLLKTQSSGLSDILKDSFKKSDSFTRWMSKELGEVDDSQIRSSSGVYWNSEETDNIIEASSRDQLDQFTVDPVLAQDQLFSISDFSPSWTYAGSKTRVLITGRFLNSYEVQRCKWSCMFGEVEVPAEISADGTLRCYSPSHKPGRVPFYVTCSNRLACSEIREFEFRPSNSKHMDVPSPHDDANKTYLQMRLDDLLSLGQDEYQATVSNPTKEMIDLSKKISSLMTDNDSWSELLKLACDNELATDDKQDQFFENRLKEKLHIWLVHKAGDGGKGPSVLDEEGQGVLHLAAALGYDWAIRPTISAGVSINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTLDFPTGSTPADLASANGYKGISGFLAESALISHLQTLDLKETMGSNASEISGLPGIGDVTERRASPLAGEGLLAGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDDNGAISDDRALSLLSVKPSKPGQLDPLHAAATRIQNKYRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKIILRWRRKGAGLRGFRSTEGAVEGTSSSRSDLIQNKPAQDDYDFLQQGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTRLQESQALQEKMLESSTDMDEGFVMSEFQKLWDDDMPMPGNI >PAN48793 pep chromosome:PHallii_v3.1:9:57438494:57441012:1 gene:PAHAL_9G405600 transcript:PAN48793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTANGNGLAHHHHVQASMPPPHQKQRPGLPPTPPPSAPGSHSLHHAGACADDGSAAQAQAQGGLPPRRAHRRSRSDVAFGYFQPLPPPSPTPKAEAGGWGSLPGGAAGDDLFNAYMSMEGMDGLNNSDGDSRGSSGMRTNGAESSENESEDYGGGDSQFLLWGDAGRKKRNAAGEPAPPPPARHARSLSMDSLMGKLSFSANGEPGRFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGLATQNNELKFRLQAMEQQAQLRDALNEALSTEVQRLKLGDTSSSGNMPHQQMQLRCQSQMVELPKQQGEQIPFYQLEQREQNGAPRNHDPK >PAN44798 pep chromosome:PHallii_v3.1:9:4090142:4093831:-1 gene:PAHAL_9G070900 transcript:PAN44798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSSQNLSSCSTGGSDAAVGGSWLGFSLSPHMAATMDGADGSNAVQVQQHHGCLFYPPVVSSSPAGFCYALGGGQDGVATAGANGGGGFYPGISSMPLKSDGSLCIMEALHRSEQEHHGVVVSSASPKLEDFLGAGPAMALSLDNSSFYYAGGHGHGQDQGAYLQPLHCAVIPGSGAHGHDVYGGHAQLVDEQSAAAMAASWFSARGGGGYDVNGAGAILPAQGHPHPLALSMSSGTGSQSSCVTMQVGAHPHAHADAVAEYIAMDGSKKRGGSGALQKQPTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGTSTHINFPIEDYGEELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESNTLLPGEQVRRRKDGEGGGAAAEGDAVASAAAALVQAGNCAAADTWRIQAAPAVTRADGLHGQVQQQQQHQGLLSSEAFSLLHDIVSVDAAGQGGGSASAHMSNASSLAPSVSNSREQSPDRGGGGLAMLFTKPAEAASKLACPLPLGSWVSPSPVSARPAVSIAHLPMFAAWTDA >PAN48130 pep chromosome:PHallii_v3.1:9:52966171:52974809:1 gene:PAHAL_9G361100 transcript:PAN48130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHGEVSDDEAAAAAAAAGEPVPLSPPPAPAGQQRLVVGYALTKKKVKSFLQPKLLALARKKDIHFVSIDETRPLSEQGPFDIILHKLTSKEWEQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNGYGEVCTPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVEASLPSLDPPLVLQEFVNHGGILFKVYIVGETIRVVRRFSLPDVNTYDLGNNDGIFRFPRVSCATNNAEDADVDPCIAELPPTPLLEKLGKELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSKYKRLLSGS >PAN43889 pep chromosome:PHallii_v3.1:9:39354:43089:1 gene:PAHAL_9G000400 transcript:PAN43889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPIKVYADRRSQPSRAVIIFCRVNQIDFEEITVDLFKSQHLSAEFRKINPMGQVPAIVDGRFKLFESHAILRYLASVFPGVADHWYPADLFTRAKIDSILDWHHSNLRRGAATLVMHTALAPFLGLTTSPDAVKQAGKLLMQSLGRIESVWLKGDAKFLLGSPQPSIADLSLVCEIMQLEILGNDVRDRFLGAHEKILIWMDNVKKATSPHFEEAHELLFQVKASMLTNAAAANQTSEPSTKLRIASKL >PAN48197 pep chromosome:PHallii_v3.1:9:53559958:53562691:1 gene:PAHAL_9G366900 transcript:PAN48197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVRGVLRGIKEKGLANFLRDAREEGYLNCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQVPPEWHGWLHHITDNTGDQLLAQKTARYLVEHKQNYSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKE >PAN46311 pep chromosome:PHallii_v3.1:9:11082664:11083494:1 gene:PAHAL_9G176200 transcript:PAN46311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVTLQWIANDSTRRATFKKRRKGLMKKASELATLCDVDACVVVYGEGESQPEVWPDVPTVAQVLARFKAMPELDQCKKMMDMEGFLNQRIEKLREQLHKAQRENRERETTILLHDAIAGRRPGGLAGLSVEEIASLGWMVENRLHAVRDAIERLQGKGQEAPAAATATTALQLQLPQVGGLPLVPYGHRDVMMQAPPHPQAAWLMEVARAGGDLGALVYSGFGGGRGSFAGGAAAGTSNAAAGAEMLPPQLGNMGAAGFAWADPAGLSFPPM >PAN48402 pep chromosome:PHallii_v3.1:9:55045651:55046448:-1 gene:PAHAL_9G379900 transcript:PAN48402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFVGVIRSTQRPEARHRMIGLKKDGLVSGELIQAKNQTGKDVLSSYGEPPIQEQSPAVHVASSLNLFSPCYGLLCRPIPIPSAKQRCISTFDSARTE >PAN47068 pep chromosome:PHallii_v3.1:9:15262437:15263582:-1 gene:PAHAL_9G231600 transcript:PAN47068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSTAPLPLDGRVALVTGGSRGIGREVSSHLAALGARVVVNYASNPAKADELVAELASRGLRAVAVRADVSDPDAVRALFDHAEEAFGSPPHIVVACAGILDPKYPALADTAVEDFDATFAVNVRGTFLVCREAARRIPPHSGGRIVTFSSSIVGTLLPGYAAYTATNAAVEAMTRILAKEVAAKGVTANVVAPGPVRTELFLAGKDEAFLRRVEQQSMGRIAETTDVAPVVAFLASDAAAWVNGQVIRVNGGFV >PAN49542 pep chromosome:PHallii_v3.1:9:61488049:61493269:1 gene:PAHAL_9G455300 transcript:PAN49542 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 10 [Source:Projected from Arabidopsis thaliana (AT5G60990) UniProtKB/Swiss-Prot;Acc:Q8GY84] MAKEDLAVEAGEKQEPARRLASTFAELGICKELVEACDLMGWKEPTRIQAEAIPHALQGKDLIALAQTGSGKTGAFALPILQELLENRDVQHSFFACVLSPTRELAIQIAEQFEALGAAIGLRCSVLVGGVDRMQQVLSIGKRPHIVVGTPGRLLDHLTDTKGFSLRKIKYLVLDEADKLLNVEFEKALDDILKEIPKDRRTFLFSATMTKKVNKLQRACLRNPAKVEASSKYSTVDSLKQEFYFVPADYKDCYLLHVLNERRESMIMIFVRTCESTRLLALMLRNLGLKAMSISGQMSQDKRLGALNRFKAKDCNILICTDVASRGLDIQGVDMVINYDIPMNSKDYVHRVGRTARAGRSGYAVSLVNQYEAQWFVLIEQLLGKKIDQCKVDPDEIMILKEPISDAKRIALTKMKDSGGHKKRRKVGDDDDEVEDHAHSKRSKPFKKSNRR >PAN45799 pep chromosome:PHallii_v3.1:9:8776035:8777229:-1 gene:PAHAL_9G143300 transcript:PAN45799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRRQRGFPPVTRPCLALAVVALMCAASTTTAQPQPPPLPLPLPQPTTTTAAPGVPSLPAACPPARATLSPCLGFFIGNSSSPPAECCAQIRAMFQSQAPCLCAAMASGPAQLGLGSALGQLLPSSCDLPANACSGQGTASGTPAGPTTPAPGSGATSAAAPETGPTGVDPAAAAGAGAGGVKSVPGVLDSGAAAAGYRGVSAAAALVSLVVAYIF >PAN44362 pep chromosome:PHallii_v3.1:9:2043900:2045900:-1 gene:PAHAL_9G037000 transcript:PAN44362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLDVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKRAKKAETAKSQKTGGKANAPKPAKGPKLGGGGGKR >PAN44363 pep chromosome:PHallii_v3.1:9:2043870:2045871:-1 gene:PAHAL_9G037000 transcript:PAN44363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLDVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKRAKKAETAKSQKTGGKANAPKPAKGPKLGGGGGKR >PAN44841 pep chromosome:PHallii_v3.1:9:4286300:4288812:1 gene:PAHAL_9G074300 transcript:PAN44841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42650) UniProtKB/Swiss-Prot;Acc:Q96242] MATATYLSFSAPPSSCAGRQRRRQATRASASATDRPREVVSPKRRLPLRKVPGDYGPPVVGALRDRLEYFYGPGGRDGFFTARVRAHGSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYVDPSEPNHGPLKALLFYLLSHRRQHVIPKFREVYGDLFGLMENELARAGKADFGQYNDAAAFSFLCQALLGRDPAESALQGDGPKLITKWVLFQLSPLLNLGLPKLVEDSLLHSFRLPPALVRKDYDRLADFFRDAARGVVDEGERLGVPREEAVHNIVFAMCFNSFGGMKILFPSLVKWLGRAGARTHGRLATEVRDAVRAHGGEVTMKALSEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGFEVREGEMLFGYQPMATKDPRVFARPEEYVPDRFLGEDGARLLRHVVWSNGPETASPTLQDKQCAGKDFVVLIARLLVAELFLRYDSFDVQVGASALGSSVTITSLKKATF >PVH32869 pep chromosome:PHallii_v3.1:9:65164903:65171526:1 gene:PAHAL_9G509200 transcript:PVH32869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYAIKKRRKEKSLVPVPPYLWLLRCATNRHRLLAQSPRRRRRLLPLLPLLLGFRFHAISRPRRPGTLGSASSPCESREPGDPMGKGGQDEGRRRDGSASSGADPAQPAFPAWARTPSECLAELGVSVDRGLSSEEAAARLQRYGPNELERHAPPSVWKLVLEQFEDTLVRILLLAAVVSFVLALYDGAEGGEVGVTAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELEDTDIQGKECMVFAGTTVVNGSAVCVVTGTGMATEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRTFKVDGTTYDPTDGKIHDWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWSNAAKRVATLEFDRTRKSMGVIVKEDSGKNLLLVKGAVENLLERCGYIQLLDGSVVLLDDGAKALILSTLRDMSAGALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIESNMIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGPDEDISSKSFTGKEFMALSDKKKLLRQQGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGVATVGIFIIWYTHGSFLGIDLASDGHTLVSYSQLSNWGQCSTWEGFKVSPFTAGASTFSFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKAE >PVH32345 pep chromosome:PHallii_v3.1:9:54504471:54506507:-1 gene:PAHAL_9G375700 transcript:PVH32345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCLRKRRMQKIRGDVKRVRKKEYQNPMETNGSPAPFGDLTNHTNGGAESESNLQSANNSTEHRKRERERTRYATMSQEEKNVRNLRNCEVRQKNKDARCMSFLWCYRYITCNLDGSIFQGCCKLAGSFNTLICFVTLTHVYLLWFWSLCGSHNIRKRIPCQLEFLELYLMVQFLVGLLLPFVLIFVQTICRGLWCIER >PAN50986 pep chromosome:PHallii_v3.1:9:68688541:68689849:1 gene:PAHAL_9G562400 transcript:PAN50986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKHSAEVSKHLDKQNQALMQTYRAMSHELHKLQVEEETIMRKLYELMSAEGLLPKCKKEKQQEEKAVESTLENKEWEP >PVH31588 pep chromosome:PHallii_v3.1:9:11608996:11613763:1 gene:PAHAL_9G183600 transcript:PVH31588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRIFLGSCNYLLMIQMSSNAESCTRYYNHHVVVKVLEVLALSDAYMDICRQIKEYMSGGNKAAKLSSFKPQAYMNLYNHGNIAACAAANLAVITADQGKVSSSQLTTNSRKKMAADNALQVKVFSSAAAQFVWPSTEKKLMEVPSDRCDWCLARRSSAIGNKKACFLNMAAANATKSSARILSAMHVIRNSDSHFPSIVAYLANMEESSLQDMRHKQRWHQQLREASNCRTVIPLLLELESNIRGVAFSESWLKPIDDWHVVSPGVSVGASCPAAYLKRRADGRQHIKHSLASESGITI >PVH32920 pep chromosome:PHallii_v3.1:9:66327369:66328027:-1 gene:PAHAL_9G526000 transcript:PVH32920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTHPSLLRTPAGYFFAAVACAVASISQRACSAIALALSTLTLPYCLAQLRSPLVEPPAAARHVTGHHVECCIALTALAPGDAAACRQRRQCRRGWVRGSERAGQSSDGLTISLVRLLPLASLELKPPSAPCECTSAPALHGRLVALQVPCVPAPTSPSSSAASALGVVFKCAELECFVRQPSPSRLFFSSASSF >PAN46843 pep chromosome:PHallii_v3.1:9:14265983:14276480:-1 gene:PAHAL_9G219200 transcript:PAN46843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAEVAVVEDAAAAAAAEPAAEGAAPAAEAASGEEGMRMEGWLYLIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSKRQDPVRSAIIDSCIRVTDNGRESVHRSDFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRKSRMHSIDWTLFSSAHNDPMASDVIAPSPWSIFGCKNGLRLFTEANDGGSRGKYWDDHPAIMAVGIVDANSEAVFQTLMSLGQSRSEWDFCLHEGNVVEHLDGHTDIIHKKLRADWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCRPERGYIRACLKSGGYVISPINQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRIAALREFFRAKNGNCACMEFSSGELTRDMGLPQGETERINLEMHQENENRRLEGPTEGSLGGSNRHLSSTGSFVQLNDAADEFFDVPDESEYDQREIVFPSDESTHAVDQRHAKLSTAAVFVKRLHDLAVQKRGYVDLQGAADADNGPCCYGYTLPKDSSCTVPSTWAMTDPTTFLIRGETYLHDRLKIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQKCAAQGGTKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTYEELPEFLLGTCRLNYLDASKAVSIDEC >PAN45352 pep chromosome:PHallii_v3.1:9:6596064:6596613:-1 gene:PAHAL_9G112500 transcript:PAN45352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSCKGFMNTHGHHAAARKENQLQTVRGALGLDAEDVQKKGGL >PVH32755 pep chromosome:PHallii_v3.1:9:62948300:62949056:-1 gene:PAHAL_9G478200 transcript:PVH32755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVTGPGDENIDEEVEEVNIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEASLRQSSANLVSTM >PAN45716 pep chromosome:PHallii_v3.1:9:8346860:8347655:1 gene:PAHAL_9G136900 transcript:PAN45716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTSDSIASPRWGVPFFAARSGAPRTRRPPSSSGSSQGHGCGWPLDRVAGWVGGGIAAVFFASLERCSCVNVRTHDDLLDEEQRDSEAPLMFDDGNGNYSMAGAAGAERRRGGRRSDKGKRTGGGMWCYGEF >PAN44251 pep chromosome:PHallii_v3.1:9:1598639:1604503:1 gene:PAHAL_9G028800 transcript:PAN44251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHCLLPSSLAASTAIRALAAPTCATHNHRPLLCHRRRRPLSLSRRSSAMDALRVTGDAEPLLRPAAGGHPRLRVRTQAQGGDAGRGAAAGDAALSWAPVILPFLFPALGGLLFGYDIGATSGATISVQSADLSGTTWFNLSSVQLGLVASGSLYGALGGSILAYRVADFLGRRIELVTAAALYILGALVTGFAPNFVALIIGRILYGIGIGLAMHGAPLYIAETSPSQIRGTLISLKELFIVLGILFGYLIGSLEIDKVGGWRYMFGFGAPLAAVMALGMWSLPPSPRWLLLRAVQGKASMEDNKKKAIQALRTLRGRSASEKVLADDVEDTIVSIKAAYAGQEGEGNIWEVFEGASLKAFSIGGGLVLFQQITGQPSVLYYAASILQTAGFSAASDAARVAIYIGLFKLLMTGVAVLKVDDLGRRPLLIGGVGGIALSLFLLAAYYKILNNFPFVAVGALLLYVGAYQVSFGPISWLMVSEIFPLRMRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPENIFFLFGAIAVLALVFVILNVPETKGLSLEEIESKILK >PAN48122 pep chromosome:PHallii_v3.1:9:49294356:49295496:1 gene:PAHAL_9G348200 transcript:PAN48122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIQNTSPKTSSRVSSCTQSCLWLRPPLELRMAQDSDDPLFVASVVVWVLVVILAIVALHCPLPRRAVR >PAN50946 pep chromosome:PHallii_v3.1:9:68494643:68500840:1 gene:PAHAL_9G559200 transcript:PAN50946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAIAVFSALGFAFYVFFVPFVGTKSFQIVAIAIYTPLITCVVVLYIWCAATNPGDPGIFNSTKDLKLDKHEKHSNINSDQGISHGGRPLGEAVGTADNSEKLSSMLERKDSPSWPRFSGILCLVCFPFSCLCKGCLHSDNQPSEQNICEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFVLMASAVILLVMQWLSGAVVLILCIVKRGEFSRQIVTKLGSSFSTVAFVIVVATCTILAMVATVPLVQLLFFHMLLIKKGISTYDYIIALREQEDQQEVPGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVVPPEVGVSQNSGCKKMKEEEGARRKTGAVKISPWTLARLNAEEVSKAAAEARKKSKILKPITKYDAPDNGGKPDHKLSIKRRSDRRGFPAELSLDPLATLSASGTESNFSDAGTEISSSLAPLQLEARSAFQPSTAGSTRNITSSPESSFDSPDLHPFCISSSTAEEMQGVMLHSVHKGIEFTRSSSDGYEASGGEDSDRIPSRIVHRSSNWDNVILNAGQTGPAVDLHMQSSEGFVTNSK >PVH30965 pep chromosome:PHallii_v3.1:9:1232359:1232838:1 gene:PAHAL_9G021400 transcript:PVH30965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAGAACLRGLVVVLAVSASLWGAAAAVNVKETCQFTAHPDWCEKALGKLLTKEGPAPEPEPAPAPTST >PAN49872 pep chromosome:PHallii_v3.1:9:63198439:63206202:1 gene:PAHAL_9G480900 transcript:PAN49872 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MASFPATHALPPAPTAPYPATPPPLQQHHQHQQQPGPAPAGAATLLVRHLPEAITQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEAAANHAHSLLNRLRFLGKVLIVERANQPNANNAHVKPQDQLVHGVSQVPSAGSQNQKNPTSTAEPIAPKLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPHPPPPPQPEEARSADLSSDESELESSDDDVDKRKSKRAKHEVIVGPAVDKSVAHEAVGVKPAALVSTELQVIKKKNPVLQIKIAPKTTQKEPPVQSTADKEMDSTNEQLEEKHFVTPQEIEKDKLPPEEILSLPMFKNYTPGNPAAVLYIKNLAKDVTHDDFFYVFGSLFESMDSARSGLSIKLMQEGRMRGQAFVTFPTVELAQRALNLAHGYVFKGKPVIIQFGRNPAANKAS >PAN50491 pep chromosome:PHallii_v3.1:9:66166333:66168740:1 gene:PAHAL_9G524100 transcript:PAN50491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVFETSEQQQQLLPCQQAVEQGGDGGKAAAAGAEKAAAMLKETDRGGDGDRPERADVWNMIQAQKPPSAPKQAQAPYVHPLVRRSSSLLTQKSLEICTESLGSETGSDGFSDADGSSTDRSCPGSDDEREEEAVAPRATPPRAFPPPLPSLARRKVEATMEMRQERQDGRLLVRVVPVTSSTLFRAQRRGGRLLLSFADTAAPASDELDGSRGKLEPEQQADEHDAHEEEDEDEEDDEEEVEVVDRGTVVEFKVSTQPQARSGSGPRVRRSSLVINKFVGAEPAATCDINGAAARAAPPRPPRRFTGSTTTAVAALAAASVLSATAPPPGNGEDAVPGATCGENKLLMTAKRRSSKEELLNHMRRCNQLRGQLFFWEPRIATSS >PAN51909 pep chromosome:PHallii_v3.1:9:71004400:71005824:-1 gene:PAHAL_9G600200 transcript:PAN51909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEHHDRSARRQLDFALGMNMAGMASRRQGKNGEANPSDRPQKEKEEYVTERQVRYVKNQRPASSDLLRNYEYQYQQRLQRESEEEEYERRTGKRLRKHEDARDHWHCPFFRYCWDSGMSRLPTIRDCPECGPMKPEARDSVFQRLGPAPTRQVRVWSPRSEDEEEDRYHRSRWCPDGLTRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQKKESRASRREWRPKSTKADKKVSVGTHMVFVLPAEFHAQTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDCNGQTSEAQGVLSVDLTIGNKTIPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMYQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLIE >PVH31756 pep chromosome:PHallii_v3.1:9:14770785:14771777:1 gene:PAHAL_9G225200 transcript:PVH31756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHSSACRGSHVLLASCSNISGRFLHFPSASTPLKTLGALRTLAAMSYRGGRGGGGPNSHRGGGRGGGRGGRGGGGDVGRRGGGTRSGARSGSGRSNGRWKTSMRMNGGTGSDN >PVH32253 pep chromosome:PHallii_v3.1:9:51194128:51194496:-1 gene:PAHAL_9G354200 transcript:PVH32253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQSTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQHIHAQPPPPPPPQPRDRRVDFLRGHPPTFSHATDPLQADDWLRSVESQLVVAQCDDRERVLYAAGQL >PAN51669 pep chromosome:PHallii_v3.1:9:69837160:69842232:-1 gene:PAHAL_9G580400 transcript:PAN51669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRNKSMEFLKRFEVPAKNPSEDAQRRWREAVGTLVKNRRRRFRMVPDLDKRSQVETQRRNIQPEALKMFLSDSQEKLRVALYVQKAALQFIDAARKAEHPLPELARQCGFSVSAEELATVVRNHDTKSLRHHRGVGGIARKINVSLADGIKSDDTGIRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCALISVIIGLATEGWPNGMYDGLGIVLTIFLVKVSIYDIDVGDIVHLSIGDQVPADGLYIDGHSFVVDESSLSGESEPVHLSNAKPFLLGGTKVQDGSTRMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVDKAHAPGGLMRWSGEDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWASGAAQTVSTAKGFDELKSSLSENFTKVLLEGVFHCSGSEVVTNKDGKTTIMGTPTETAILEFGLEVEKYTKVERCSAMKLKVEPFNSVKKTMAVVVASPHAAGHPRVILKGASEVVLRRCSSIIDGTGSVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVTSGSDIPSDGYTLIAVFGIKDPLRPGVREAVKTCRAAGIYVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFRTKSPNEMKEIIPRIQVMARSLPLDKHTLVTNLRGMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMQRPPVGRGQSIYPLVVLGILIFKGKSLLQLSGDRSGTQLNTFIFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVAGATAAFQVIIVELLGTFASTEHLSGRLWLTSVLIGSVSLVIGAILKLIPVDSISDSSDRRDGYQPIPTGPNAV >PAN51607 pep chromosome:PHallii_v3.1:9:71382724:71384492:-1 gene:PAHAL_9G607100 transcript:PAN51607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEAAEENPAAAADEHAGGADASLNAFFDHAGLELAAGGGGQGAEEEEELEWLSNMDAFPSVETMAAEVEAAPSRPSAGLGCLGALPHVVGPRTKGLRRRRRVTAPWSLPPVLPPAPPPAGVRRRCTHCASEETPQWRQGPAGPSTLCNACGVRFKSGRLFPEYRPIGSPTFSPLLHSNSHRRVLEMRRHVEEEAAAGGGRAGARARRAKRAAARAATAAPGK >PVH33193 pep chromosome:PHallii_v3.1:9:70432045:70437870:1 gene:PAHAL_9G591400 transcript:PVH33193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGAGGPTWQVAFRPVLSLSLPPSPIFSPAVSLTPRSLSRLAAGDELELELLPRIADGGGAMEPMNVDNGGCGGLDAQIEQLMQCRPLAEQEVKSLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >PAN48827 pep chromosome:PHallii_v3.1:9:57673651:57679543:1 gene:PAHAL_9G409000 transcript:PAN48827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGDHGGHPPHYPPYHHPYPQPQPQPYPYGYLYPPPPTADPGAPYLAPSPTFPGYTPAPPQQYHSGPQQAYPPPPQHHAYPPPAHPSPYGHGYAPYPSSYPSPNHSPALSPSSSFHHQHAPAPEPASTAPEPPSPAPSAPSYPIEDVLSTMRLSDRYDYAPSPSVPPPSTPFSGGGMQVVPYGAAAGGSQHGGSFRASLKVVLLHGTLDIWVHDARHLPNKDMFSKRVGELLGPRITSAVGSKMSSASMTSDPYVTVQVSYATVARTYVIPNCENPVWSQNFVVPVGHEAAEVQFVVKDSDVFGAQIIGAVAIPAEKLLTGERIQGVYPVLEPNGKPCAPGAVLHLSIQFIPVARLTMYHHGVIAGPNSHGVPHTYFPLRRGMRVTLYQDAHVPDGCLPDIWLGNGLRYEHGQCWRDIYEAICQARKLIYIVGWSVFHTIHLVRDGTQAPSLGDLLKMKSQEGVRVLLLVWDDPTSRSILGFKMDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKITAFVGGLDLCGGRYDTPWHPLFRTLQTVHKEDYYNPNFATVDARGPREPWHDLHSKLDGPAAYDVLQNFQERWLKAAKRHGIKKLAKSYDDALLSIERIPEIININDATYFSDNDPETWHVQVFRSIDSNSAKGFPKDPRAATMKNLVCGKNVLIDMSIHTAYVHAIRAAQHYIYIENQYFIGSSFNWDSNKDLGANNLIPIEIALKIANKIKANERFSAYIVVPMWPEGNPTGAATQRILYWQNKTMQMMYETIYRALKEAGLDDMYEPQDYLNFFCLGNREIEDSTSDASNTGNNPQEQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKVSAPRGQIYGYRMSLWAEHIGGIEEDFNHPQSLECTRRVRHLGEENWKQFVADEVTEMRGHLMKYPVSVDRKGKVKPLPGCATFPDLGGNICGSFTAIQENLTI >PAN50812 pep chromosome:PHallii_v3.1:9:67790310:67792356:1 gene:PAHAL_9G548400 transcript:PAN50812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISAAQRRRRRRQWTLALVTVAALLERADEALLPAVYREVGAALGASPTALGSLTLCRALVQAVCYPLATCAAARHDRARVVAAGAFLWAVATLLVGASGTFLQMALARGFNGVGLALVVPAIYSLVADYSDDATRGSAFGWMVMAQSMGHVTGNSLGVLLAATSFFGVPGWRLAFYALALVSASLASLTWLLGADPRPSRTKATAAATLSRLVGEAKDVVRVPTFQIIVAQGVAGTVPWSALSFAAMWLELVGFTHWQTSAITTLNSLANALGALFAGFVGDPLARRFPNTGRIALAQVCTASTVPLAAVLLLALPDDPAAGAAYAAAFFVLGFAMPWCPVTTNYPIFAEIVPETARTTVYAIDRCLESVFASFAPPLVGILAERVFGYQPAASGTSVDVDRENAAALGKAVLAEVAVPIAVCCLTYSALYWTYPADRQRAQVAALQAAEEDKDWDCEASVVANATPADGLNQALLLHGSRVVNPAE >PVH33016 pep chromosome:PHallii_v3.1:9:67790310:67792350:1 gene:PAHAL_9G548400 transcript:PVH33016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARGFNGVGLALVVPAIYSLVADYSDDATRGSAFGWMVMAQSMGHVTGNSLGVLLAATSFFGVPGWRLAFYALALVSASLASLTWLLGADPRPSRTKATAAATLSRLVGEAKDVVRVPTFQIIVAQGVAGTVPWSALSFAAMWLELVGFTHWQTSAITTLNSLANALGALFAGFVGDPLARRFPNTGRIALAQVCTASTVPLAAVLLLALPDDPAAGAAYAAAFFVLGFAMPWCPVTTNYPIFAEIVPETARTTVYAIDRCLESVFASFAPPLVGILAERVFGYQPAASGTSVDVDRENAAALGKAVLAEVAVPIAVCCLTYSALYWTYPADRQRAQVAALQAAEEDKDWDCEASVVANATPADGLNQALLLHGSRVVNPAE >PVH31005 pep chromosome:PHallii_v3.1:9:1925461:1925746:-1 gene:PAHAL_9G034700 transcript:PVH31005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPVFHSLQAPSASGSSAAGEGSSRRHNGGVTAPSYSNPVLVGDQAPRGISLDLACNER >PAN50733 pep chromosome:PHallii_v3.1:9:67335123:67343377:1 gene:PAHAL_9G542100 transcript:PAN50733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLVGGDLAAPEMAQPYMKKDDDDEEVEYSPFFGIEKGAVLQEARAFHDPQLDVRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSSDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLAQANPEIVKRWSNEVQEAVQSRAPLVQFHGLALLHQIRQNDRLAVSKLVSSLTRGSVRSPLAQCLLIRYTSQVMRESNINSQTGDRPLFDFLESSLRHKSEMVILEAARKITEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTTCNVDLEGLMSDTNRSIATLAITTLLKTGNESSVDRLIKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMLNFLSNSLREEGGFEYKKAIVDSIITLISEIPDAKEIGLLHLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDTLKPRIFVLLRRCLFDTEDEVRDRATLYLQTLGGEVAIGNNEKDAKDFLFGSFDVPLANLEASLRTYEPSEEPFDISLVSREVRSLPLQEKKAPGKKAPAAAATPAPVSAVDAYQKMLSSIPEFAGFGRLFKSSEPVELTEAETEYAVNVVKHIYDSYVVLQYNCTNTIEEQLLEDVTVCVDASDAEEFSEICSKPLASLPYNSTGQIFVAFEKPEHVPAIGKILNLLKFTVKEVDTSTGEADEDGVEDEYQLEEFEVAAADYMLRVPVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVINILGMQPCEGTEVVPKNARSHSCLLSGVFIGDVKVLVRLSFGLSGPNEVAMKLAVRSDDPEVSDKIHEIVASG >PVH31068 pep chromosome:PHallii_v3.1:9:2847373:2850598:-1 gene:PAHAL_9G050600 transcript:PVH31068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYPRRGAAVRRPKSSASAGVADRKRKRTASAKTASLKNQIRSTERFLRKDLPDDIRIAQEKKLEELKRQQELQNQLAVQRTVQLRDRKIKFFERRKVERMIRRLEKQQRSNGDDVSNKLSKLREDLEYVRFFPKNEKYVSLFAGGNTSDAVEERNKWRKQIKENLMAAAANGKDLEETASDDDALDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGRAASGMSSDEKNQRQRDARVLMPPPRSLAPNRARSADKRVISSSSNTSNSTSGESFKNRRVPNHPGDHNSNLSSNSDAHKPRRKRRHKKKKKLA >PVH31109 pep chromosome:PHallii_v3.1:9:3393333:3397907:1 gene:PAHAL_9G059500 transcript:PVH31109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISARWLKSLVGLRKVERQQQQYQEDEDVGRMENDAADQLHGQNQHSQDDSSLAAQVDFSEVANGNGSRGGDSDVPSCLEPTCGSPHIPLPQTEDELDEIWAATVIQTAFRAFLARRARRALKGLVRLQALVRGHIVRKQAATTLRCMQALVRVQARVRARRVRMALENQIEQQNSSPEQTKEAQVREIEDGWCDTIGSVEDIQTKLLKRQEAAAKRERAMAYALTHQWQASSRQPTAFEPDKNSWGWNWLERWMAVRPWESRFLGAYTADGIVKDLVCDPLVIQRRGLPLVIQRRGMGIWIVKFIKDSPCLAMV >PAN44656 pep chromosome:PHallii_v3.1:9:3393333:3397907:1 gene:PAHAL_9G059500 transcript:PAN44656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISARWLKSLVGLRKVERQQQQYQEDEDVGRMENDAADQLHGQNQHSQDDSSLAAQVDFSEVANGNGSRGGDSDVPSCLEPTCGSPHIPLPQTEDELDEIWAATVIQTAFRAFLARRARRALKGLVRLQALVRGHIVRKQAATTLRCMQALVRVQARVRARRVRMALENQIEQQNSSPEQTKEAQVREIEDGWCDTIGSVEDIQTKLLKRQEAAAKRERAMAYALTHQWQASSRQPTAFEPDKNSWGWNWLERWMAVRPWESRFLGAYTADGIVKVNEFKQPDRSATKTPYRKPVKKHDSLQPNTLNQKVFPSNAEGLGVRSTSNPKERTSTGNPKERNGDLDCQVHKRLSLPGNGVEAGKRLTKKATVNRSLKSTKDHQASSIDPLPTRVELQT >PAN44657 pep chromosome:PHallii_v3.1:9:3394003:3397907:1 gene:PAHAL_9G059500 transcript:PAN44657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISARWLKSLVGLRKVERQQQQYQEDEDVGRMENDAADQLHGQNQHSQDDSSLAAQVDFSEVANGNGSRGGDSDVPSCLEPTCGSPHIPLPQTEDELDEIWAATVIQTAFRAFLARRARRALKGLVRLQALVRGHIVRKQAATTLRCMQALVRVQARVRARRVRMALENQIEQQNSSPEQTKEAQVREIEDGWCDTIGSVEDIQTKLLKRQEAAAKRERAMAYALTHQWQASSRQPTAFEPDKNSWGWNWLERWMAVRPWESRFLGAYTADGIVKVNEFKQPDRSATKTPYRKPVKKHDSLQPNTLNQKVFPSNAEGGGSSTNRSTGPVSGRSRLKVLPREGSDEASSRPSGLGVRSTSNPKERTSTGNPKERNGDLDCQVHKRLSLPGNGVEAGKRLTKKATVNRSLKSTKDHQASSIDPLPTRVELQT >PAN44658 pep chromosome:PHallii_v3.1:9:3393333:3397907:1 gene:PAHAL_9G059500 transcript:PAN44658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISARWLKSLVGLRKVERQQQQYQEDEDVGRMENDAADQLHGQNQHSQDDSSLAAQVDFSEVANGNGSRGGDSDVPSCLEPTCGSPHIPLPQTEDELDEIWAATVIQTAFRAFLARRARRALKGLVRLQALVRGHIVRKQAATTLRCMQALVRVQARVRARRVRMALENQIEQQNSSPEQTKEAQVREIEDGWCDTIGSVEDIQTKLLKRQEAAAKRERAMAYALTHQWQASSRQPTAFEPDKNSWGWNWLERWMAVRPWESRFLGAYTADGIVKVNEFKQPDRSATKTPYRKPVKKHDSLQPNTLNQKVFPSNAEGGGSSTNRSTGPVSGRSRLKVLPREGSDEASSRPSGLGVRSTSNPKERTSTGNPKERNGDLDCQVHKRLSLPGNGVEAGKRLTKKATVNRSLKSTKDHQASSIDPLPTRVELQT >PAN45548 pep chromosome:PHallii_v3.1:9:7573265:7576956:-1 gene:PAHAL_9G126800 transcript:PAN45548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSMPISASPAAGVDGGAVAAGTPRKNATAAAAVAEMARHLTVDTDDAFAGLLELAADDDAEGLRRALEHAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAALRLLVSLPSVDVNRRSGTDGTTALHCAASGGSRTAVEAVKLLLGAGADADATDDSGRRPADVISVPPKMFDAKFALQDLLGCPKAEHGMLRVVTRSTNSISSPVSSPTAEDARSPSAAVMMTTKFADLPRVATSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATAAMEMAAAMGLMPGSPSSVSAVMTPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDLDSQLMNDLCYSRLSSSTGNHSARTKSLNPSNLDDLFSAEMVSSPRYSNADQGALFSPSHKAAILNQFQQQQQALLSPINTGVYSPKAVDNQQLPSHSSLLQASLGISSPGRMSPRCVESGSPMNSHLAAALVQREKQQQQTMRSLSSRDLGPSAARASALVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINSVGPSPLMPPGMNNGESSGLNTQLDGHDQAAAIGALLEQIQLDQQVGSLAT >PAN45610 pep chromosome:PHallii_v3.1:9:7806411:7810518:1 gene:PAHAL_9G130600 transcript:PAN45610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGATATPRQPAPAATKESRAKMMAELDSPLHALGFEMEELSPSGLAGRLLVTPTCCQPFKVLHGGVSALVAEALASMGAHMAAGYRRVAGMQLSINHFRSAALGDTVLARAAPVHVGRSTQVWEVKLWKMDPSTGEKGLQIAESRVTLLSNLPSQEEHKNAGDALKKYASKL >PAN45609 pep chromosome:PHallii_v3.1:9:7806411:7810680:1 gene:PAHAL_9G130600 transcript:PAN45609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGATATPRQPAPAATKESRAKMMAELDSPLHALGFEMEELSPSGLAGRLLVTPTCCQPFKVLHGGVSALVAEALASMGAHMAAGYRRVAGMQLSINHFRSAALGDTVLARAAPVHVGRSTQVWEVKLWKMDPSTGEKGLQIAESRVTLLSNLPSQEEHKNAGDALKKYASKL >PAN46182 pep chromosome:PHallii_v3.1:9:10468806:10473044:1 gene:PAHAL_9G168000 transcript:PAN46182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKGPETLTGTELNAAVYRYLQESGFVHTAFNFFYEADIGKGNIQGMIPQGALIRIVHKGLQYIEFEANSEIGSNDEHHFFDTLDLMTNDLDELRKKITRSSECNSVKDDKEQRIDSVETDKAQCSAETTTVYRKKPMRETKAVQNSSPDETATIHRNHPMKHGKAQGIDSKEQNIRSAEIGQKTGSAEATTMHRKKLMRKMKAAQNSNPGETAKIHRTQPMKHAKVQRMDSKEQNIISAETTQKTGSAETTTGQGLQQLSWIGKKPRLRKWKH >PAN46442 pep chromosome:PHallii_v3.1:9:11786735:11789376:-1 gene:PAHAL_9G186200 transcript:PAN46442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVQFFSILLVLLSKLALHTGMRPFVLLAYRNLIGAAAVAPLALIFEREMIIRKIPNLVEWGWISLNATFSTNAAYSVIFLNLIPAVTSLIAIILRVENLVLTSWCGRMKLLGILTCVGGTMMVSLYKGKLVHHPWPAHLLRSQTHTAASPTRHNNMFVGTLFLCGSCLGYAFWFIVQVKLTKVFPYQYWATTLTCLSGSLQAFAIGILIDPKRSAWTLKWDLQLLTVVYSVRRHAMVGVFTTGVAFILMSWAIKRRGPIYLPMFNSLAMIATVVMDSVLLGTSIFLGSILGTLLVILRLYTFLWGKGKELQRAAAGQKADQKQATSNGEQGGHELQLQHGASGALFLIVCFATVIEKKRY >PAN51214 pep chromosome:PHallii_v3.1:9:69748676:69751789:-1 gene:PAHAL_9G578800 transcript:PAN51214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRITLPFLALVVAAAAAALFPTSCSQQPAAPQPRGFYISCGSAKDLQAGSIKWVRDEGFTAVGNASTIDKPNLLPVLATLRFFPDATARKYCYQLPVVKGTRYLVRTTYFYGGFDGGKDPPVFDQIIDGTRWSAVNTTDNYRRGMSTYFEIVAEGQGRTMSVCLARRPETKSSPFISALEVIDLEDSMYNTTDYERYAMSTVARSRFGSKGEIVSYPDDQYNRYWAPFADANPAVESHSSISPDDFWNQPPAKALKAGVTTSRGKNLTVQWPPAELPAATYYVALYFQDPRTASPYSWRVFDVAVNGQVFFRGLNASAAGVMVYSNMMQLSGKTEILLTPNTTSPVGPLINAGEIYQIVPLGGKTATKDVVAMGDLARSLKNPPPDWAGDPCLPPLLSWTGVVCSPGSPVRVLSLDLKNHGLSGSLPDSIGNLTGMQTINLSGNKLSGSIPDLGSMHTLSVLHLDGNQFSGTIKPSMETLINLKELYLNNNNLTGKIPDGLKNKPGLDLRTEGNKFE >PAN46409 pep chromosome:PHallii_v3.1:9:11617600:11623964:-1 gene:PAHAL_9G183800 transcript:PAN46409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNTTPVAAIAPRTHRHLVITFELRQTMLRLLAAAAVTVLIGPAADPRGGAVVVASPPADPVQCSSSGGGGGCTVSSAYGVFPDRSTCRAAAAAYPATEAELVRAVARAAASGTKVKVATRYSHSIPPLACPGSGGGEGLVISTRRLDRVVSADAASGRMTVEAGVTLRDLVAEAARAGLALPYAPYWWGLTVGGMLATGAHGSSLWGKGSAVHEYVVGMRVVTPAPAEEGYAKVRVLAEGDPELDAAKVSLGVLGVISQVTLALQPLFKRSVTFTERDDGDLEEQLAKFGYQHEFADIAWYPGHGRALYRVDDRLPLSAPGEGVLDFIGFRATPTLGIQANRLAEDLFERAGNGTGKCVTSRLTHAALSVAGYGLMRRSGGAFAGYPVVGPQHRMQASGGCLVGPEDALLTACPWDPRVRASSFFHQTTFSLPLRRAAAFVADVRRLRDLNPKALCGVELYDGILMRYVKASTAHLGKPAPTGDDGGGDMVDFDMTYYRSRDPRRARLFEDVLEEIEQMGIFKYGGLPHWGKNRNLAFAGAARKYPGLPEFLRVKDAFDPDGLFSSDWSDMVLGIGGASPTTDAPGCALEGMCVCSRDEHCAPEQGYVCRPGKVYKEARVCTRVSS >PVH31763 pep chromosome:PHallii_v3.1:9:14812549:14816059:-1 gene:PAHAL_9G225900 transcript:PVH31763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRHQRSRSASASSTATRSDITELDFAAADLDCPFGSVDALGPVELREAAYEIFFMSCRSSGAAPSRGSGGGAAEGEVSSPMAGAGARGGAAGGGVMGGRDKKALGLRPRRLSSGAQPMMARTLSQTSAPGSPGRSRRPMTSAEIMRQQMRVTDQSDARLRRTLMRTVVGQVGRRPETIVLPLELLRQLKPAEFADAEEYHQWQFRQVKLLEAGLILHPSLPLDRLHSAVLRFREVMRATEIRAMDTGKNSEVMRALTSAVHALSWRSGTASAAVEACHWADGYPLNVLLYCSLLQTIFDLRESTVVLDEVDELLELIKKTWPTLGINRAVHDACLAWVFFRQYVITGQAEPDLAAAALAVLVDVAADATQGSRDPVYARVLLSALGGIQAWSEKRLLDYHDSYGECIGGAATEGMEILLSLALATGKIIADREGAGDGNSAGDRVDYYIRCSMKSAFTKILENGLGETDSVIIDRDNDPASVLMQLASDTEQLAMFERRNFSPVLRRWHPAPVAVAAVTLHGCFGVVLRQYLAKVAVLTEELVRVLHSGSRLEKALAQMTAEDAADCDDGRAKAVVGDMEPFEVESVVMGLLKAWMDDKLGLATDCLLRARDTESWIPKSKEEPFAGSGMELMKLARFTLEEFSEIPASAKEEVVQDLVDGLEAIFQDYISFVASCGTLRLCRDCEIEKCSSYAAAYHAWRVTGSKHSYLPPLPPLTRCNQDSGFFRLWKKAALPTCHAPEGSPRGGGSHHIPQPSISRGTQRLYVRLNTLHYVLSHVQALDASLGSSSAHLDRTRAEATSSISAVAEVAAHRLIFLDSRHSLYQGLYARGVGDARIRPALRLLKQNLSFLVSVLADRAQPVAVREVMRASFEAFLMVLLAGGNERSFARADHAAVEEDFRSLKRAFCTCGEGLVPEDVVAREAGTAEAVVDLMARSTECLIDAFSVAACEAAAAGEGDSGGGTTPLPPTTRKWEPADPNTILRVLCHRDDEAANQFLKRTFQLARRR >PAN44413 pep chromosome:PHallii_v3.1:9:2274902:2276924:1 gene:PAHAL_9G041000 transcript:PAN44413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEQQAPVAAAAAAAAPPPPVPSAPSNDGGDGDEGTATFRDIHPLTPDVPTPPARTASASWDTASHRSYSSEEQYMTMSREFTAMVAAGATMQTGPNANGSAGGGGYDNGADQLTSIGEDELEETNPLAIVPDSHPIATPARSRASGLEVVPAGPPPPPAHVEASQVKKEEVETKVTAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKAVEKTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >PAN51234 pep chromosome:PHallii_v3.1:9:69823327:69824811:1 gene:PAHAL_9G580100 transcript:PAN51234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILAELIPSGGRRGAPAAAAKDDDFEAAFQEFDEDSEEEVVMLTERKAFALGAAGARRNRRPSQYHGVRQRPWGKWAAEVRDPVRGVRVWLGTFATAEAAARAYDDAARDLRGAGAKLNFPSSDTARTRKRRAAVKPTPYVDLVDGDDALGTHAPSVKNEAAETCGTISGDSSCGSLPEFSWQGMSATDDVVARPDADFRVEPDMSVELGCPSKRARTEPQEEEVVAPPAAAEGSAALLFDAFMFGDQFSFFDGGAYESLDGLFGGDAVESNQSAVLWSFDDDRLVEDTMCY >PVH33369 pep chromosome:PHallii_v3.1:9:73429332:73433429:-1 gene:PAHAL_9G637000 transcript:PVH33369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREVSPPPPPPYTTELDVYIYDYLIKRKLITTAEAFVKETEANPFVTDLQKINVPGGLLSDWWSIFWPSFISSPGDLACPEGHAPEQKPLEVGNHFSLEEEHMQQRAATSANSDRLNDATFNHTMRQDRVADPAQMHSQQSHQVPGVDRMDLPNSPVYKRSRTRRLLSVLRQVRSVGRGGVEC >PAN50087 pep chromosome:PHallii_v3.1:9:64394334:64395291:-1 gene:PAHAL_9G497200 transcript:PAN50087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANSWTLEIASPVAAPRLFRAAVMDWHTLAPKLVADVVASAHPVEGEGGVGSVRQFNFTPAMPFGFMKERLEFLDADKCECKSTLVEGGGIGVAIETAASHIKVEPAADGGSVVKVDSTYKLLPGVEVKDEIAKAKESVTAIFKAAEAFLVANPDAYN >PVH31191 pep chromosome:PHallii_v3.1:9:4516946:4522123:-1 gene:PAHAL_9G077800 transcript:PVH31191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGVIRASGGGPGGVGLMRTRLRLPVVLLSCSLFFLAGFFGSLLFTQDPEEADMPVPRERLLEAALPEMPYGESGEAAPSLIPYQILSWHPRALYFPQFATSEQCENIVKNAKERLAPSTLALRKGETAESTKGIRTSSGTFLSANEDPTGTLAEVEKKIARATMIPRNHGEPFNVLRYEIGQRYASHYDAFDPAQYGPQKNQRVASFLLYLTDVEEGGETMFPYENWENMNIGYDYEKCIGLKVKPRKGDGLLFYSLAVNGTIDPTSLHGSCPVIKGEKWVATKWIRGNIV >PAN48539 pep chromosome:PHallii_v3.1:9:56024472:56026224:1 gene:PAHAL_9G388700 transcript:PAN48539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALVVGLLAVLVAHGARAQPANHTSSAVRQLRGSGGGWLPAKATWYGAPNGAGPDDNGGACGFKGTNQYPFMSMTSCGNQPLFKDGQGCGACYEVKCTSKNNPACSGQPKRVMITDMNYYPVAKYHFDLSGTAFGALARPGLNDKLRHAGIIDIQFRRVPCDHRGLTINFHVEQGSNPVYLAVLVEYANKAGTVLQMDLRESGSRYWTPMRRSWGSVWRLDSNHPLRAPFSLRIRGEPRKPLVAYNVIPANWRPNTDYRSYVQFN >PVH31579 pep chromosome:PHallii_v3.1:9:11475853:11477720:-1 gene:PAHAL_9G181400 transcript:PVH31579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASQSDAPRREPGQRRPMCGVCTKPLRLCLCGRLRSPPVDTAVGVTVLQHAMEAHHPLNSTRVARLGLRNLAVAQVTDVNHRAHFILTTLEAGGATALGPGGGPVTARSGNLAFPRDGTCGKSDGEVGASCDFEEPWLNPSGDLGVEDVDFGGTSDSLNLGDVHDGIFCDFVGEVDSPDCAHNGVGSFGFAKMKSDGQPADLERPSSAANQIGNSVVDSVNGETNRQSGVGATYKV >PVH33094 pep chromosome:PHallii_v3.1:9:68981046:68984168:-1 gene:PAHAL_9G567100 transcript:PVH33094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATARWLVLLLVAVSAAAAAGKHGRWRRMSGAVGGRVVEKERRRVVADAEAGTVTAVDVADAAGAAYRLHFIAMDPGALFLPVQLHADMVFYVHSGRGKVTYIEEDSGEKSSLEVERGDVYNLEQGSILYIQSYPNATRQRLRIYAIFTSDGINAEDPWKPKVEAYSSVSNLLKGFETEVLRRGFGVQREVAEAIKSAKTPPPIIPYNPQEKGGDEKANWTEDLVDALLGVRDPEEFLNKNKKKDKHKGKSKDKKSKSKAFNFFSGKPDVHNCYGWSRTMTNKDLDALHGSNIGMFMVNLTTGSMVGPHWNPKATEIAIVTDGSGIVQTVCPSSSSSSPPSGESRRGHRGDERGGPGGRGDEGGEGGGARCRSSVFRVKEGDVFVVPRFHPMAHMSFNNDSFVFIGFSTHMGENHPQFLAGKGSVLQAVGKEVLALSLGQTNSTAVEKLLSAQRDSTILSCISCAEELEEKAAEEKRRREEEEEGGGKGPGEREEEERREQEERERREREEEERRREQEERERKEKEEEERRREQEEKERKEREEEERRREQEEKERKEREEEERRREQEEKDRREEEERQRRKEEKRARKEQEERQRREEEERAREEEERRREEEEGGGGRGDEPEREEEEEGGDERPYRLSKKLMKKRYRVGTLSG >PVH31761 pep chromosome:PHallii_v3.1:9:14785893:14787645:-1 gene:PAHAL_9G225500 transcript:PVH31761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAFLLLTSPILRQTSHFQILRSLPSPLNYITGTIPIVLCKASYLYLLDLSNNALYGDLLDCLWDLPTLWFMDLSRNSFSGSFPISSTRTATLESLHIASNKFNGTFPLFLRNCSRLITLDLGGNSFSGEIPSWIAESLAKLRFLRLSSNMFDGIIPQEIFKFRQLQLLDLSHNKLKGPVPVDFTNLIVDFTNLIGMVQEQGGEIMYDGLHLEWIELVWKNGDYIYSKTIAFVTGIDLSCNSLSQWIPEELTTLHGLRYLNLSRNNLTGGIPEYIGNLAMLESLDLSWNRLSGQIPPSLADLKFISSLNLSNNGLSGRMPTGSQLQTLDDPSIYSNNQGLCGPPLKECANATAPTHSRTSQEDGRGTLWLYCFVVAGFIFGFWLSWGILFCSKMWRYAFYQYVDNMQEKIAKKIAAYRRCRART >PAN47012 pep chromosome:PHallii_v3.1:9:13008469:13014090:1 gene:PAHAL_9G204200 transcript:PAN47012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSAILAAARSPGAALLRLRRGAPAADPLRVHGLRVGTGYRRIAMAAAADSRAPAPADPLPKGADSFFRTVISNMEKVYLNRNPTAKTILELVHSYDGDHICYDHLAFRTFGVNGYGINSLADFFTDFGYLPREELRFPAKKLRAIWFSPPTNDGYTGTGVYGPLPRIFISELLVDELTAQSQEIIYKYIKTSGKGNKHAALASISGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHATIATHRLESDIRSINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSSLFTFADGITESIPRSYIEFAERLLLPQFKDLQDEEVKEQHRRDGFEVGNADKIFESTSKDQLTRRSA >PVH32914 pep chromosome:PHallii_v3.1:9:66121441:66122249:1 gene:PAHAL_9G523600 transcript:PVH32914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLSSALLHGPAMLQAPVFPPSVAQLGFVSCLLLALGRLRHAGIRLKSDSDGCSRLL >PAN51534 pep chromosome:PHallii_v3.1:9:69186024:69192409:-1 gene:PAHAL_9G569900 transcript:PAN51534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVVIALAAVLLVAAQYASLADAYGPRVIIVGAGMSGISTGKRLWESGVRDLLILEATERVGGRMHKHNFGGLNVEIGANWVEGVGGDKVNPIWPIVNSTLKLRNFYSDFDSVVGNVYKEDGGLYDEDYVQQRMDRAYEVDDMGANLTKMMDPSGRDDISVLAMQRLFNHQPNGPATPVDMALDYFIYDYEFAEPPRVTSLQNTNPLPTDADFGEDSQFVADQRGFESIIHYVGSSYLSTDANGAISDRRVLLNKVVRRIAYNNRGVVVKTEDGSSYGADFVVVSTSLGVLQSDLIQFTPQLPFWKIAAIYRFDMAVYTKIFLKFPRRFWPVGEGKQFFVYASRRRGYYGMWQSFEREYPGANVLLVTVTDDESRRIEQQPDNVTKAEAVAVLRKMFPDADVPDATDIYVPRWWSNRFFKGSYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLAGVDSANILINRIFRNEEYKVRGKYDNQAAEVNGKVAEAK >PAN44235 pep chromosome:PHallii_v3.1:9:1531471:1533538:-1 gene:PAHAL_9G027100 transcript:PAN44235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESSAVQGGRRRHVLLFPLPYQGHINPMFRLASLLHAHGFAVTVFHTHFNAPDPARHPQYRFVPVPDGTSGPAPVAIEDVVAQILALAAACEPAFRDRLAAVLEEYSRDAVACLIADAQLLPIFEVATKLAVPTLALRTSSAACFKCFAAYPLLCEKGYLPVKESQRDMPVSELPPYRVRDLIGLGDDSCGAGRELLARAVTAVKVSSGLILNTFDALERRELEDLRRDLAVPVFDIGPLHKFSPSGDSSLLRQDRSCLEWLDARPPASVLYASFGSLACMSQRDLEETAWGIAGSGVPFLWVVRPGMVRGSAHQMLPEGFEAATRGRGMVMSWAPQEEVLRHRAVGGFWTHNGWNSTMESVCEGVPMLCRTYFGDQMGNARYVEHVWRVGFEVGGELERGAVEAAIRRLMTGEDGAEMRARAGELKKAAEECTSKGGSSCLAIDKLVAHMLSL >PVH32463 pep chromosome:PHallii_v3.1:9:57215821:57217991:1 gene:PAHAL_9G403000 transcript:PVH32463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVARPETLADGSANLMIWNCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKRRVRLQAKQYPALL >PAN51847 pep chromosome:PHallii_v3.1:9:72516257:72519031:-1 gene:PAHAL_9G624300 transcript:PAN51847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASSERAAAARLWVPGMSPGPMDAGSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGAEEAAAPAPPAEGREQPDPAAWSASGRPAGRPERRASARRRTDSGSVGGSSFRSSSDGVLLNFYMPRSLTRSFTAPRPSRTPSISGGRTPSVASECNKRERDPDAETVKCWSLLWDRRWRKSSRRDPGAPPGESAMRAASAAILKAAKHSAASPAKV >PAN46148 pep chromosome:PHallii_v3.1:9:10317778:10319126:1 gene:PAHAL_9G165600 transcript:PAN46148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGVIAEAGWSMVGMPSQAEESEIMEQLLGTFPSNCEQGDQELPWPIQASNAFYSHCHASSSTYSSTNSNSSGSPTFVVPSEYGGYYLSNSNEALDLNFCTAPMHLHMVQEQGAAQFMDTILNPPYGSSDSSCEDLGDSGMNLLDSIGASVKRKHLEQGKLDGPTRSRKCARKSDSKRAKKTMQLEDQDGGVAANGQSSSGCTFENDSSAFRGPPVVANLNAKAQADRRSATESQSLYAKKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >PAN46561 pep chromosome:PHallii_v3.1:9:12383936:12384827:-1 gene:PAHAL_9G193800 transcript:PAN46561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLPTVGGARPRGRLGVAGGGREAGVSLARCGRTPAEFACAAISTQPAPRGRRPSPARCGACPRGRRGQQSSPMQPARCGRSAPPHAGGAPARRLDATSRARPHGRLSAPCTSAELAGGARPRCWLGAPPHAATD >PAN48100 pep chromosome:PHallii_v3.1:9:52632333:52634964:-1 gene:PAHAL_9G358600 transcript:PAN48100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASGDARVVSEGVAGAACRIEALPSHVLSRAISFLDARQLVQTCLLSRRWRHLWRSVPRISASRHEFDGMAETEEECDVLFKKFVNSLLMLRNPAALDEFRLQYNIPDASDDLEVDSEDANLWIRHALQSNARSVEVSGGVSNLQLDPGVFASKCCFVTSMLLSVVFLKPPFFRNLQTGCPVLERLILEFCTIYDPDISSHTLKVLTMDQECDCTFEGRASITIPSLVELHFFPDDARIPLLENMESLVKASVFVGTNPNEVDDICQFLRSLSGVTHLEFYGRGVKLEMEQNLQWCPKFNNLTTLTLGNNCLYGDFYPLIVFLQNSPNLEQLTLELMQEFRQIGLDQTYIGDLDERSFTCEHLVMVDIVFGSDSKGLKDDPVLSNLLKLLHNNGITSDQIFIK >PAN47235 pep chromosome:PHallii_v3.1:9:16583523:16592248:1 gene:PAHAL_9G243800 transcript:PAN47235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERREMPRVKLGTQGLEVSKLGFGCMGLTGVYNAPIPEEAGIAIIRHAFEAGITFFDTADAYGPHTNEVLLGKALKHFPREKVQVATKCGIAGFDVSGMRVKGTPDYVRACCEASLQRLAVDYIDLYYQHRIDQSVPIEETMGELKRLVEEGKVKYVGLSEASADTIRRAHAVHPITAVQLEWSLWTRDIEEDIIPVCRELGIGIVPYSPLARGFFAGRAAVESVPSESLLSKHPRYTGENLEKNKVLYTRLEMLSKKYGCTPAQLALSWVLHQGEDVVAIPGTTKVKNLDDNIGAVKVKLSKENLEEISAAVPAGEVAGSRLLGVLEPYSWRLANTPLPK >PAN48426 pep chromosome:PHallii_v3.1:9:55204562:55205528:1 gene:PAHAL_9G381300 transcript:PAN48426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDDYNRIVCELFAEQVRRGNRPNTHLNTLGYTEVSGRFYQMTGIELSKTQIKNKWDRLKNDWSIWQKLLRNQTGTGWDNTRGVINMDNEWWKKMKVDVPGSGKFKKKALQNEDFLREMFGDISNDETDHWNPMSDNPVIPESQKDTENIDGAGEEEEEDNVFHDWSYREEEDEEVQEVSPANGNKKRRARVVLEVPKKQKSSTALLIQEQITKIADSAESFTSKKQAEVVSIKEVMDVVLDCGAQYGSNEHDIATQLFVKKEQREMFLTLPTREIRLSWLTRRYNDKYGN >PAN45956 pep chromosome:PHallii_v3.1:9:9468634:9473031:-1 gene:PAHAL_9G153700 transcript:PAN45956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIQRLSFIAITVFASLHPCMSTGFRHELSSWTTGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSLIAAGSPFIYDSGNGCGSCYQVACSGNQACSGYPVTVVITDQGPGGGPCLSQASDGMCLNEGAHFDMSGTAFGAMAKPGLADQLRGAGILQIQYTRVQCEWPGVDVTFSVDSGSNPNYLAVVIEYEDSDSDLSAVDIMQSSTGQWVPMQHSWGAVWRLNSGSTLHGPFHIRLTFNSGRVLIASNAVPAGWNAGMSYRSGGVAVTRARPRSAGCRGYKAAGAFSDLVYHLLLFVVLAL >PAN47922 pep chromosome:PHallii_v3.1:9:39188139:39188654:-1 gene:PAHAL_9G319800 transcript:PAN47922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSVSSNSPSSSSIISITSPDSNTSREATPEFDPIASYEALAPLHWDAEEGDYSTWSEDDEPLTDDEDLQILLHGDLDEDDDNDSWDDDFLSFSEEDAKETSTNNDSVAGRFLHGGSLTSEDTWDASDDTSDDGGDGNSTGGNDGSSGDDTSVSPPYKRRKILGTYWW >PVH32672 pep chromosome:PHallii_v3.1:9:61572871:61574933:1 gene:PAHAL_9G457100 transcript:PVH32672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGERVLDEAISFSRRHLESMRGKLASPMAEQVSRALDIPLPRLPKRLETVRYIAEYEKEEGHDPMALELARLDFDLVRALHLKELRALSLWWKEVYGDVKLSYARDRLVENYFWTCGVFHEEEYSRARMLFAKTFGMLSLMDDTYDVYATLEECHVLNEAIQRWDESAVSTLPEYMKMFYINLLKTFQESEDSLQPDEKYRVPYAKKAFKLSSKYYLDEAKWSSEKYAPSFEEHVEVSVMSSGFPTLAVVLLMGAGDLATREAFEWAIGVPPVVSASGEVARFLNDIASYRKGKNKKDAASSVECYSRERGVSGEESAAAIAGMAEHAWRTINRSCMEVGGELLPAARLVVNLTKTLEVTYLGGRDAYTFAGDLRDLVVSLFLDGPAV >PAN48227 pep chromosome:PHallii_v3.1:9:53695088:53697570:1 gene:PAHAL_9G368800 transcript:PAN48227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLSNRRITRSLAAAAAASAQKSAAAGTDSAALFSRAKNATAGEPQTRAALHDITNDSPIVGLAAGGLHGADKTPASTAAKTRRRAPRRTPGSGEALLRGQVKALLHKVEEEQGCAPAALVRPARIQALLGVSRSPAQLLAPTPANTPQIGPVSAAREGLLVPDGVPVVPCVLEEELLLPKLQVIAASLPLPQPEENLGDCQLNRALVFDDSPEKSDVSNGSTVSFQEGSTGSCTDKSSSPEDDSSSAWSVQVHASSEKGDEEELGVEDLGEYTEEGEEWEEDSDDDCFDDLCEEMSRMTVVDEEERKAGLPQFEGKHTRFIYNSDDEIEREEVADAAEARAELGALMLRGLPVPEGRHLRFLEDEEDDE >PVH31468 pep chromosome:PHallii_v3.1:9:9413036:9414634:-1 gene:PAHAL_9G152800 transcript:PVH31468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSVARWTARTPRHQIDARSEETRTPRRHRLSSPQVRASDEMEQEEAAVPGVGEALRSFMEEFEDQGENSFILSLQLKEITTPDRPTALRFLGEKYNSLVERYKQQVAKCAGECAPRYHGLKKKYRDECANRRRLYNELIELRGNIRVFCRCRPLSSDGVASGCPSVVEIDPAQETELQFVSSEKERKAFKFDHVFGPHRMTKAVFSETLAAVRSVMDGFNVCIFPYGQTGTGKTFTMEGVPENRGVNYRALK >PVH32257 pep chromosome:PHallii_v3.1:9:51282483:51283177:-1 gene:PAHAL_9G354900 transcript:PVH32257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHILIQKKEFLVVIYVDNFVFAIKKGPESERVSQSFQQMLKESLAELKLFLKSLELIREVSKPCYNYIISGVLVSIHSDGLMKAPMNKFL >PAN50760 pep chromosome:PHallii_v3.1:9:67495675:67496960:1 gene:PAHAL_9G544300 transcript:PAN50760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFSVLWIAYDFCLINKEEILILLVFEILPTTSSQDAGRRHGRPPHFEDSDLRRVENLNLSSAPRSGSDLSVAGRRRRRHHVAGRWRWRQFASDFNRPCQ >PAN45346 pep chromosome:PHallii_v3.1:9:6561370:6565519:1 gene:PAHAL_9G112100 transcript:PAN45346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPTASTARRRRRRLRAAGLCCAPVLLALMALSVGAAAETSASDVEAMRAVAKAMGADKTLGWDTAGDPCSPKPWDRVACDSGRVIAIQVGGRGLKGTLAPEVRNLTALTRLEVYGNFLDGPLPSLAGLSSLQELLARDCNFTSIPADFFKGLTDLRTVDIDHNPFAPWTLPDDLAACNALTNFSANGANISGTFPDFFGSIPSLQRLSLSYNQLSGPVPASLAGVPLVQLWLNNQNGGGFNGSISFVSNMTSLEQLWLQSNSFTGPLPDFTGFDSLWDLELRDNKLTGPVPDSLVKLKSLKKLTLTNNLLQGPMPEFSGDLKPDLVATSERFCLQEPGKPCDPRVSLLLEVAAGFMYPSSLAENWKGNDPCNTFGGVGCNREGNITRLSLTNMGLSGSIPPAIGKIGSLQLLILANNNITGTVPEEVASLPSLREVDLTNNNLYGKLPTFASKNVALKTAGNPNIGKDAPAPTAGSGGTGNDSPSGRGSSGSSGNNGGSSSSSIGVIAGSVIGAVAGLGLVAALGFYCYKRKQKPFGRVQSPHAMVIHPRHSGSDDMVKITVAGGNANGGARASETYSQASSNPRDIHVVESGNMVISIQVLRNVTNNFSEHNILGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWSENNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLNKDTFRKAIDPVIDLDEETFASVSTVSELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPTDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFVASLDNTQTSIPTRPPGFAESFTSADGR >PAN46271 pep chromosome:PHallii_v3.1:9:11443113:11447441:1 gene:PAHAL_9G181000 transcript:PAN46271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSLLLSSVHGQTVGQASGGYSCAEKYDWQNLSLHQSEQAAREQLDHDATLHCPLDMPSRVEDSVTANEISHPPRGSYDLHRTRGEIVNYRYCNCDTCGVTRLGEYTTSHGHGRSEIHGPGNGVSGQCHLSSCSYGGCHGKDHACVSNTSKQARCSIATALDPIRSYRTNRIPSFGHGHHGAKGAHRQICQARSCRKNFSANQGSSSQNRHYRDDRYLPSKHDFLDGHFSEIDAEMHRFYMRRFHSPSNHKGHSWKDATLNIPVHTSHGRSCQRDEEERSHPKRQVNEFRSLHHEQLELAPNEKFHECLDSHRYFRNAYNGKMVKRKFIKQGFHESTYNGAGASKYERNSSQKRKAGHLGGKKARNNVAYEDKSKRLRWPSEKDQKQQVETDKRRNGSLEGNAVITKFVGRDGGKGNCNPKQDATAAAAIGSTKCDENANMLSPKCSKTVASLNTPKLSEGSSDMDLESDKQSDVDGCTERGILQHLPVTHTERNMELKESDNLSQSEALHQDCLILWRARQLRKANAAKADKIVKANQRQAGQRRKVSTGRRVSNGRPAAFATSESDNEDDSALGCSDQFSSATSSDGLQKCGDGRANKKLERPLKFPSNSKCNKIPQNATAEKGLECSLKLPPEANPLELAQQKEKEKILNRRQLSTYHPDAIVHGGLNGCSDTSMVDEAAVSHCDNRAHQNISHQETNNADRRKEKLGVKCEKRAEGHGLKWAQQSTSLYTEPTLLDQETIARCSMHGNLKVNALETPNHESGSTPFHGHILDGRTANMCQKKQVNRSSGSYCRDFKNWLDGNDHIDNQQEAMDRNLLRKKQVCSVLAGPENELNENDTKDCEPQALGVENTSNRQITTEDCTSNTTHSGAAKQGDWIPRSCIPDLNYSPSMLSDEDFVAPEEPVCQVTAGGFEPQDVTKSLSALLTGPIVKEQQYEQAKEQQCRQPEATQIISDVCKKEGTSEVVAQLEISESNNGLPQRSAVEESSVPTDAFMCALYEFVKNFLKPLWENGLVSREVHKIVVKKAVEKVAGAWASNAPSTEPAISRILSDEAKNIERLVQGYLNMYVGREVLKSCPWWCLSGPAKRNVAPCVQEGVKLATPLRSDHGQWKGKTIKL >PAN50901 pep chromosome:PHallii_v3.1:9:68312578:68317822:-1 gene:PAHAL_9G555700 transcript:PAN50901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWISDSDDAEKFESDSDGEVLGSLGRAGSPIAPSRNQLGAPGPSLLHGNGKIKGAGPSASLVEHFVGKGLIKENGDGGAESLLELLLTYKAISSEPSVDNCSASGCGPRTFDENNGILASRDAQGGGRSSNRDLTSDDSGDEDFLLQGLSLKEEKIESLVRMGFPKDEAEMAVVRCGQDAPMSVLVDLIYNSEASEDGYYGNFSDHEDNSYGGRKEKRKRFEGAEEGSRGPLDTSSDEPMPLPNPMVGFNLPNQRMRSVDRCLPSKAIGPPFFYYENVAIAPKGVWTAISRFLYDIQPEFVDSRFLCAAARKRGYIHNLPIKNRSPLLPLPPKTIFEAFPRTKKWWPKWDPRKQLNCLLTNVAKPKLMEQIHHALAKFEGLPPRRVQKYVLETCREGNLVWVGLNKVAHLEPNEMEFLLGFPKDHTRGIGMTERYKSLGNSFHVDTVAYHLSVLKDMFPQGMNVLSLFSGIGGAEVALHRLGIRMKTVVSVEISEVNRFVLRSWWDQTQTGTLIEIADVQSLTSERLESCIRRIGGFDLVIGGSPCNNLAGRNRFHRDGLEGEHSSLFYHYARILDTVKSTMDRM >PVH33055 pep chromosome:PHallii_v3.1:9:68313190:68316683:-1 gene:PAHAL_9G555700 transcript:PVH33055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSRDGGAESLLELLLTYKAISSEPSVDNCSASGCGPRTFDENNGILASRDAQGGGRSSNRDLTSDDSGDEDFLLQGLSLKEEKIESLVRMGFPKDEAEMAVVRCGQDAPMSVLVDLIYNSEASEDGYYGNFSDHEDNSYGGRKEKRKRFEGAEEGSRGPLDTSSDEPMPLPNPMVGFNLPNQRMRSVDRCLPSKAIGPPFFYYENVAIAPKGVWTAISRFLYDIQPEFVDSRFLCAAARKRGYIHNLPIKNRSPLLPLPPKTIFEAFPRTKKWWPKWDPRKQLNCLLTNVAKPKLMEQIHHALAKFEGLPPRRVQKYVLETCREGNLVWVGLNKVAHLEPNEMEFLLGFPKDHTRGIGMTERYKSLGNSFHVDTVAYHLSVLKDMFPQGMNVLSLFSGIGGAEVALHRLGIRMKTVVSVEISEVNRFVLRSWWDQTQTGTLIEIADVQSLTSERLESCIRRIGGFDLVIGGSPCNNLAGRNRFHRDGLEGEHSSLFYHYARILDTVKSTMDRM >PAN50900 pep chromosome:PHallii_v3.1:9:68312578:68317319:-1 gene:PAHAL_9G555700 transcript:PAN50900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSRDGGAESLLELLLTYKAISSEPSVDNCSASGCGPRTFDENNGILASRDAQGGGRSSNRDLTSDDSGDEDFLLQGLSLKEEKIESLVRMGFPKDEAEMAVVRCGQDAPMSVLVDLIYNSEASEDGYYGNFSDHEDNSYGGRKEKRKRFEGAEEGSRGPLDTSSDEPMPLPNPMVGFNLPNQRMRSVDRCLPSKAIGPPFFYYENVAIAPKGVWTAISRFLYDIQPEFVDSRFLCAAARKRGYIHNLPIKNRSPLLPLPPKTIFEAFPRTKKWWPKWDPRKQLNCLLTNVAKPKLMEQIHHALAKFEGLPPRRVQKYVLETCREGNLVWVGLNKVAHLEPNEMEFLLGFPKDHTRGIGMTERYKSLGNSFHVDTVAYHLSVLKDMFPQGMNVLSLFSGIGGAEVALHRLGIRMKTVVSVEISEVNRFVLRSWWDQTQTGTLIEIADVQSLTSERLESCIRRIGGFDLVIGGSPCNNLAGRNRFHRDGLEGEHSSLFYHYARILDTVKSTMDRM >PAN43974 pep chromosome:PHallii_v3.1:9:522051:525045:1 gene:PAHAL_9G008000 transcript:PAN43974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTTALASTTDGSAYLTVQVSPLLIISCTCKCTSPRYLPSIYIPSLFTLQLWALHQVGRVMQMNRLQDLTPAPSMTVPIEHSSRPTVGFPLGTALLLLVIFSLSGMFSCCYHWDKLRSLLRSRHPAMFQEGEHTVISIGSSPSKTASDHKLEKMGKECGLPVIMPGDRVPKFFARPCPHEMCLPEAEKTEAALETKCSVHETICICT >PVH33164 pep chromosome:PHallii_v3.1:9:70010925:70013532:1 gene:PAHAL_9G582800 transcript:PVH33164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAGQEVAPAIVVAAEVEEWEVCACCGLREECTSAYAAGVRARYGGRWLCGLCGDTVSEEVAAGGGSELEVEAAIARHAAFCGALDGRRTPAAAERLIAAVRRLLRNAGAKEEKAVVVVEFQEAS >PAN51283 pep chromosome:PHallii_v3.1:9:70010927:70013532:1 gene:PAHAL_9G582800 transcript:PAN51283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAGQEVAPAIVVAAEVEEWEVCACCGLREECTSAYAAGVRARYGGRWLCGLCGDTVSEEVAAGGGSELEVEAAIARHAAFCGALDGRRTPAAAERLIAAVRRLLRNAGAKEEKAVVVVEFQEAS >PAN49168 pep chromosome:PHallii_v3.1:9:59609521:59611064:-1 gene:PAHAL_9G431100 transcript:PAN49168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLCGFLDIRAAVPLLLLAAVAFYVLMEQLSYRRKKGPLPGPPLVVPFFGSIAQLIRDPPAYWDGLAARAKASELGLSADYLLGTFVVFIRDTELSHRVLANVRPDAFHFIGHPFGKELFGEHSLIYMSGPQGAEPRIAPNFTPRALSTYAAIQQRVILAHLRRWLDRSAAEGGAKPVALRVPCRDMNLETSQTVFVGPYLSAEAREQFARDYNLFNVGLMAVPVDLLGFAFRRAKRGVARLLRTLAECAGQSKARMRAGGEPACLMDYWMQDTLREMDEAAGCSPPAHTGDEEIGGHVFDFLFAAQDASTSSLCWAVSALDAHPDVLARVRAEVAALWSSDSGEPITAAQMAEMRYTKAVVARGDPAPAPRDDGAAHRPRGVPADGAVHRAQGRHRVPVAVRVVVPGVPRPGGVRPGPLLLGGAPGGRGIPAQLPGFRRRGAPVVGQRYALNHLVLFVALLASLVEFRRDRTEGCDDLAYVPTIAPRDDCAVYLRQRCAELPSFYLAS >PAN45813 pep chromosome:PHallii_v3.1:9:8895664:8896481:-1 gene:PAHAL_9G144500 transcript:PAN45813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKRKSAGAGGSPGRGAGADEEEKVPRGHVPMVAGGGAEGDDCERVLVPVRLLSDPRVAELLDMAAQRYGYRQPGVLRVPCDAGRFRRVVDGAMQRCGIAPA >PVH32562 pep chromosome:PHallii_v3.1:9:59511230:59514128:1 gene:PAHAL_9G429600 transcript:PVH32562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPQIHEESTRQLGSKEIPRKSPKSYLRRRRRHQPSRSSAPAPGASLGDWEVGLVGPDLGGVAPGEGIIAEVKDEELGVVAGAVDAGGVDRPGHAALLRGGGSRSGRGSTGPCEVAGSV >PVH33173 pep chromosome:PHallii_v3.1:9:70161772:70164877:-1 gene:PAHAL_9G585500 transcript:PVH33173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVVVSTLPALRPLLRRRPLLNPKPLIRSRLPQRTFRALSSSAPPATPTPSAAGVGEAVEVEEHLTRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRCGRTPNPDVLCNTRIKFGMNSIFLCQSVILLSNFKIHVDVHLSNYDVFLIVVCAGAFLEAIENLGFDYIASGHYAHVVHPSVENTDVPSLLQLSKDKIKDQTYFLSHLSQSQLRRLLFPLGCIKKDEVRRLAAQMGLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGIILEAESGDYLGNHRGFWFYTIGQRQGLRLAGGPWYVVEKDVQNNVVFVSRNYYSLDKRRCTFRVGSLNWFDDSGPGNSERLKCKVRHSPEFHDCTVTKEHTEENGDVLVVHLSEDDQGLAAGQFAVFYREDLCLGSGIILESWDEMSFPVCSRALEIARLEDKSSLGKPVRIINLEHIVKPEQETIKAA >PVH33172 pep chromosome:PHallii_v3.1:9:70161008:70165009:-1 gene:PAHAL_9G585500 transcript:PVH33172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVVVSTLPALRPLLRRRPLLNPKPLIRSRLPQRTFRALSSSAPPATPTPSAAGVGEAVEVEEHLTRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRCGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSVENTDVPSLLQLSKDKIKDQTYFLSHLSQSQLRRLLFPLGCIKKDEVRRLAAQMGLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGIILEAESGDYLGNHRGFWFYTIGQRQGLRLAGGPWYVVEKDVQNNVVFVSRNYYSLDKRRCTFRVGSLNWFDDSGPGNSERLKCKVRHSPEFHDCTVTKEHTEENGDVLVVHLSEDDQGLAAGQFAVFYREDLCLGSGIILESWDEMSFPVCSRALEIARLEDKSSLGKPVRIINLEHIVKPEQETIKAA >PAN47165 pep chromosome:PHallii_v3.1:9:16082045:16083361:1 gene:PAHAL_9G238500 transcript:PAN47165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAAMLLRSVAVLALLAMSATTAAAQYWNSGTATFYGGRDGSGTMGGACGYDNLYNQGYGVLNAALSQVLFNDGASCGQCYNIKCDTGKSGWCKPGYSVTVTATNLCPPNYAITTNGGGWCNPPRPHFDMSQPAWEQIGIYRAGIIPVLYQRVPCTRQGGVRFTISGFNYFQLVLITNVAGSGSIRSMSVKGASTGWIAMTRNWGALWQCSSALVGEPLSFAVTSTGGQTLYMNNIAPAWWTFGMTFASNNQFAY >PAN50488 pep chromosome:PHallii_v3.1:9:66139918:66141839:-1 gene:PAHAL_9G523900 transcript:PAN50488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRAASLLQGDRCGHGPGPGPGPGWRVGGELHGEASCSYLPLRKRLSVDGKCPAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPPPPAPLFRRMMTPPPSRPRPPPTQQVAEEVAATGARRPGETICKGHRSYGLMLNLQLGISYSVGKSSALPFRKLSPSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGSDTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEVKVLIRMLRSYYEHVCRYKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKAEQKIDETTTLKDLDLDYAFHLQKFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDFSMSKMGVPQFNGLPSK >PAN50489 pep chromosome:PHallii_v3.1:9:66138186:66142169:-1 gene:PAHAL_9G523900 transcript:PAN50489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRAASLLQGDRCGHGPGPGPGPGWRVGGELHGEASCSYLPLRKRLSVDGKCPAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPPPPAPLFRRMMTPPPSRPRPPPTQQVAEEVAATGARRPGETICKGHRSYGLMLNLQLGISYSVGKSSALPFRKLSPSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGSDTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEVKVLIRMLRSYYEHVCRYKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKAEQKIDETTTLKDLDLDYAFHLQKFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDFSMSKMGVPQFNGLPKSTGKRKSFEGGGDVCELCFMESGCKDRDFIVDSRKTLVQLGKNMPAQAERKSKRVLDKFLLNERHLFITTPSGGSRDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGCISAVDPKLYSRRFQDFIRRVFIREQ >PAN51855 pep chromosome:PHallii_v3.1:9:72552747:72556633:1 gene:PAHAL_9G624900 transcript:PAN51855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGGLSAERHHRATPSPLLSSLPSSSNRARTRRLGRELRGERLGFSAPLRPPTLMGKAAASPLRDTSAQLVGDGGDPLRLRCLEIERGRLGRELRGFSAPLRPPTQMGKARPPCRGTLSWGLGATDGARRRREIPRRLCRGASMGEHKSSID >PAN44351 pep chromosome:PHallii_v3.1:9:1994122:1997830:-1 gene:PAHAL_9G036000 transcript:PAN44351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNDDKSQAAADRIKAAALSAAKGLSRAQAERAATAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAILGTVKTKASEASSGGAYTQCQKCFQHGHWTYECKNERVYMSRPSRTQQLKNPKLKKSVPVSYQFENPDLIKEREAEKKLMEEKRKKEKSERRKGKSKRKHRSPSDSGSNSSDASVFDSDSESSVTGSEYSSRSSSSYSSSDSEDKKQHHRRKQKKRRHRRDSTSSASSESESASDSDSDDKGSRRKSKRRSSRR >PAN49226 pep chromosome:PHallii_v3.1:9:60103966:60107525:-1 gene:PAHAL_9G435100 transcript:PAN49226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGGSSSFGELYGNIHTPAVLTGAAFALVALLLSLWLILQHLRSYSNPAEQKWIIAVLFMVPVYASESIISLWNSKFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENRKREELTEQLLNGQDKAEAQNRSRVCNFFCDPNALGENLYTIIKFGLVQYMILKTLCAFLALILELFGAYGDGEFKWNYGYPYIAVVINFSQTWALYCLVKFYNATHEKLHAIRPLAKFISFKAIVFATWWQGVGIAIICQTGLLPKEGKVQNEIQDFLICIEMAIAAIAHAYVFTVDPYQHIPVLDHGKVTCEESKMDVKVDVNDDRNSTPTTVKQKDTHVEAPGTSIKESVQDVVLVGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQEKFHHISLKSGDKKEPEVDVEEHITENVVDSKPVTVEAEVEVEQKVQDDSEDVKTAVIETEVEIQRTEKDNEV >PAN49228 pep chromosome:PHallii_v3.1:9:60103966:60107740:-1 gene:PAHAL_9G435100 transcript:PAN49228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGQLSSRRTRPFFRRGHRRARGALHASARSQASLLLPAAFLCSRAPIGSSFSVGPPLFSSAALHPRPKRSVCCRGSWEAMASDGGSSSFGELYGNIHTPAVLTGAAFALVALLLSLWLILQHLRSYSNPAEQKWIIAVLFMVPVYASESIISLWNSKFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENRKREELTEQLLNGQDKAEAQNRSRVCNFFCDPNALGENLYTIIKFGLVQYMILKTLCAFLALILELFGAYGDGEFKWNYGYPYIAVVINFSQTWALYCLVKFYNATHEKLHAIRPLAKFISFKAIVFATWWQGVGIAIICQTGLLPKEGKVQNEIQDFLICIEMAIAAIAHAYVFTVDPYQHIPVLDHGKVTCEESKMDVKVDVNDDRNSTPTTVKQKDTHVEAPGTSIKESVQDVVLVGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQEKFHHISLKSGDKKEPEVDVEEHITENVVDSKPVTVEAEVEVEQKVQDDSEDVKTAVIETEVEIQRTEKDNEV >PAN50469 pep chromosome:PHallii_v3.1:9:66041928:66045376:-1 gene:PAHAL_9G522400 transcript:PAN50469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVERGVVKDKRTIWRLSIISDFFRAVVNFIRIFVLTMFSVEKTDNYRKGYGSGKKWDGGPGGGGRGPYGGGGGGGGGGFGGGGGGGSRGPRTLSDIRSNDHSSLPACGSCCG >PAN46574 pep chromosome:PHallii_v3.1:9:12473126:12474475:1 gene:PAHAL_9G194700 transcript:PAN46574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLEMTLVLLLLWAQAPSQLYGIEVTEEMIYGVEARPIRYRASFEPFGELLDEYIQVSGTGEPISGLPAHPVQNDVGTAPPRWTPFDLVGRDEDNDRTRLMVRGDTGYLIGFANMTGHYHILNGMDPEGNLFPGATVLNTGVSYRDFVGPGGKGNLPGLPLSKDLFLDGIGTLGTYPAVSSDDERDEAMARFVVMILEAARIKPIRQRILRNWDVGETYIDPIHAKYIVTWGKMSLLLMCAERGIPLRGRDARELRSIHVETPADVKNILGIVVRPARFNPDNPEQSCAPMTT >PAN46772 pep chromosome:PHallii_v3.1:9:13794008:13796265:-1 gene:PAHAL_9G213200 transcript:PAN46772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >PAN51420 pep chromosome:PHallii_v3.1:9:70495191:70498170:-1 gene:PAHAL_9G592700 transcript:PAN51420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQRTLVYSFVARGTTVLADHAEVSGNFASVAAQCLQKLPSNNNRFNYNCDGHTFNYHVHDGFTYCVVATESSGRQLPIGFIERVKEDFSKKYSGGKAKTANANGLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRQQGTKIRRKMWWENMKMKLIVFGIVVALILLIVLTICKDFNC >PAN44973 pep chromosome:PHallii_v3.1:9:4882529:4884749:-1 gene:PAHAL_9G084900 transcript:PAN44973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSRLILAAVLVAASVRAAAPRALAARELAAGEDDAAAMALRHEAWMAEHGRAYRDEAEKARRLEIFRANARLIDSFNAAAGKHGHRLATNRFADLTDEEFRASRTGYRRPAPAAGSRAGRFRYENVSLADAPQSVDWRAMGAVTGVKDQGDCGCCWAFSAVAAVEGLNKIRTGRLVSLSEQELVDCDVYGEDQGCEGGLMDDAFQFIARRGGLASESGYPYNGEDGSCRSSAAAASRAASIRGHEDVPRNNEAALAAAVAHQPVSVAINGADPAFRFYAGGVLGGGGCGTELNHAVTAVGYGTAGDGTRYWVMKNSWGASWGEGGYVRIRRGVRGEGVCGLAKLPSYPV >PAN46015 pep chromosome:PHallii_v3.1:9:9798028:9799053:-1 gene:PAHAL_9G157900 transcript:PAN46015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASARRIAVAAALHLLAALHGAGPCAAAARPLVGRLPAAASRAATSALAVAPTAAHQRRAGVGGDAAAREGKWLPFAGAHHLPAAYWAHKPVPSWVGLGAGAGELGGGAAGAAEGAEGEEEEAVRDGERRRRQRPSYAGDGASTRQEQLAMWASLLNPKRRGAPATGWLPGPGIGEAADDEPAKQALDTAVVEGAEGDEPSAGQSFWGNNGN >PVH33380 pep chromosome:PHallii_v3.1:9:73599548:73600132:1 gene:PAHAL_9G639100 transcript:PVH33380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNRFSIVSLLPSPSLSTSSTHPCRSSHRPTDALPPPPPPTRPPPHPPPAPPPAPRRAPRRPPPPTRRPATPPTRRRPPPPAAPRCPRGASRRPPPPPMRRPRRATPSGAPRRAAQPRRPPPPPPTRRPAAPPNTRLAAPAARPPPSPDVPLLLYVMLLFPFSNFALCTLCKSEYKDSPNHQHIECCKCLELE >PVH31042 pep chromosome:PHallii_v3.1:9:2416579:2419211:-1 gene:PAHAL_9G043800 transcript:PVH31042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLSLHLRLPRAGRHSLPFAATAAPLSSRRPTATILAPGRRRRGARLSVSAVAAETPRTEDPSPSGEGAFDWLDQWYPFAPVCDLDPGAPHGKTVLGLGVVAWYDRGGGQWRVFDDACPHRLAPLSEGRIDGKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHKNSKACVASYPTVVQNKILWFYPRAEPEHRDVLQRNRPPYIKEIDDPSFVTAFGIRDLFYGYDILAENLMDPSHVPYAHKGLIGGPRNTEDPGRVEHDKEGGGPIKLKIEEASMAGFLSSWERGSWRFVAPCTFYSSGTSMQAKPGKKKAPRFMLVVFCVPVAPGRSRLIWAFPRNFGVWLDLIIPRWLYHVGQNRVLDSDAYILHVEERKFAASGLDNWHNDCYVPASSDTMVVAFRNWFRKYCKNRVGWATPQPDQLPPTPNKDTLMERYWSHVVQCTSCSAALKAMRALEVALQVASVAVVGFLAVAKETLVMSAAQRAVVVSAAVLCFAASRWLSNFIENNFFFQDYSHAYK >PAN48467 pep chromosome:PHallii_v3.1:9:55476390:55480238:-1 gene:PAHAL_9G383900 transcript:PAN48467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dual specificity protein phosphatase DSP8 [Source:Projected from Arabidopsis thaliana (AT2G35680) UniProtKB/Swiss-Prot;Acc:Q9ZQP1] MRIEELPGDSGGGGGGEGGEELPQLRLGDCGAAAGEGGVVMRVAVDAKRAAVGVGARMLFYPTLCYNVVRNRLESHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPRSLYEAHGIENLVLPTRDYLYAPSFVNLCEAADFIHRNALSGKLTYVHCKAGRGRSTTVVICYLVQYKNMTPAEAYEHVRLRRPRVLLASAQWQAVQEFYQLRVKKTGKSACLDNPIIKPPLFLATRNLVAFDDSAFVMVSESDLEGYNADTLALNMGSGLWEISLIYRVQFASKAAFAGFSYLWLRCCACKEALPENVGRETCSLEAEQMTTGHPCLLQGVVVNP >PAN50429 pep chromosome:PHallii_v3.1:9:65895421:65897396:1 gene:PAHAL_9G519400 transcript:PAN50429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATTSSHLLLLSRQQAASLRCRLSLLGQPRRPGRAAAGQAQAPGAGVRCMAAVDTAPAATETSSTKSSYELVTLTTWLLKQEQAGVIDNEMTIVLASISTACKQIAALVLRAPISNLTGVQGAVNVQGEDQKKLDVISNEVFSNCLKSSGRTGVIASEEEDVPVAVEQSYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYAPNDECLADVEDNGTLDSVEQRCVVSVCQPGSNLLAAGYCMYSSSVIFVLTVGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKQYMDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDITPTEIHQRVPLYIGSVEEVEKVEKFLA >PAN43963 pep chromosome:PHallii_v3.1:9:478763:483301:1 gene:PAHAL_9G007000 transcript:PAN43963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAATPAPKPPPPLPEDSQLPTYLGVSFALFLATLPASTGARHVASLQSRGRLLAARLLAAEDQLRQLRARRREDARANARAAEIFAGHRAAWMEAERRLLARAAAAGDEAASLRARLADAEADAAALRARVERLEREAAERDELLTALLAATSRAGEGEGERVPGDEREEGQETVPVPPLDPPETTDAEALAAAAALYAQQRQKHDGYGDDFYTAATAASGMPPWMDPSKGWQDLKYDTVESTYNTKHAVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVVNGDLSKFPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEFLLEAFHLQHRATETRQKLSTLQAETAKSSFGDELTAEAKMCTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >PAN45794 pep chromosome:PHallii_v3.1:9:8765411:8766846:-1 gene:PAHAL_9G142900 transcript:PAN45794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAGDGDAQDRQRQDGDPTAATAPVVSLRPLGLADADAFMAWASDDRVMRFLKRPLCATACSRVAQIRDTVLGHPWFGAVCVGGRPVGQVSVWPYADEGGRRANLGYALARDHWGRGIAAAAIRMVVGRVFDDLPGLERLEAVTDVENVRSQRVLEKAGFQREGVLRRYIAGRGGGQARDAVIYSFLSSDRL >PAN51556 pep chromosome:PHallii_v3.1:9:71140924:71142775:-1 gene:PAHAL_9G602800 transcript:PAN51556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQQLQVLATLDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPARPDPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDRLGRKSVYGMTLLLMVICSLASGLSFGSTPAGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLAISTAFRKAFPAPAYVVDATGSTVPQADYVWRIIVMLGAVPAILTYYWRTKMPETARYTALVAKNAKQAASDMSKVLQVEIEAESEKLDEITRNRDYGLFSSQFARRHGLHLLGTAATWFLVDVAYYSQNLFQKDIFTSIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDVLGRFAIQLLGFAMMTVFMLGLAFPYHHWTTAGNHIGFAVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQPQDRAHVNTGYKPGIGVRNTLFVLAACNLLGFFFTFLVPESKGKSLEEMSGEANDEETSGDGAKVVQPSGVQMV >PAN45990 pep chromosome:PHallii_v3.1:9:9624446:9628150:1 gene:PAHAL_9G156200 transcript:PAN45990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPPPEPAPAAAAEEVAARFRSLVDPDDVASIRQTQHLIMGRLQDSNAVLTHFNEYSEQCFAEVSSDFASKTRLLKSMKADLEHIFTKLRGMKARLASTYPDAFPDGAMSKTMDQRPDLESPLD >PAN49104 pep chromosome:PHallii_v3.1:9:59315386:59317156:-1 gene:PAHAL_9G426500 transcript:PAN49104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPAGMMRRPAWMRYTPHALMVLAQLFFTLLYFITEAAFNRGLNPYVYVTYRHLLVACVLCPFAYFYENKLRPKMTLMLFLEIFVLSLLGGSLTLNMFFSSLKYTSPTFVTSMVNAVASITFVIAIILGMEIVDVRSLRGLAKIAGAVVSFAGVTTISLYKGAAVRSLWKAPVQIQGSGVAVAHESWVKGSLLAVASCICWSVCFILQASSIKRYPAKLSLTALMSMVGGMQSAVFAAFMQRNLDDWLIGFGLKFWCIVYTGIACNGLTVVIQLWCNKKKGPVFVTMFNPLLTVMVTTLAYFIFGENLHVGSVIGGVLVILGLYMLLWGKDRDQEHKDTKEQDSELDCEKQATVMSEVSAARDDKAPKMMK >PVH32554 pep chromosome:PHallii_v3.1:9:59315966:59317156:-1 gene:PAHAL_9G426500 transcript:PVH32554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPAGMMRRPAWMRYTPHALMVLAQLFFTLLYFITEAAFNRGLNPYVYVTYRHLLVACVLCPFAYFYENKLRPKMTLMLFLEIFVLSLLGGSLTLNMFFSSLKYTSPTFVTSMVNAVASITFVIAIILGMEIVDVRSLRGLAKIAGAVVSFAGVTTISLYKGAAVRSLWKAPVQIQGSGVAVAHESWVKGSLLAVASCICWSVCFILQASSIKRYPAKLSLTALMSMVGGMQSAVFAAFMQRNLDDWLIGFGLKFWCIVYTVCM >PVH32553 pep chromosome:PHallii_v3.1:9:59313911:59317598:-1 gene:PAHAL_9G426500 transcript:PVH32553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPAGMMRRPAWMRYTPHALMVLAQLFFTLLYFITEAAFNRGLNPYVYVTYRHLLVACVLCPFAYFYENKLRPKMTLMLFLEIFVLSLLGGSLTLNMFFSSLKYTSPTFVTSMVNAVASITFVIAIILGMEIVDVRSLRGLAKIAGAVVSFAGVTTISLYKGAAVRSLWKAPVQIQGSGVAVAHESWVKGSLLAVASCICWRRL >PVH32677 pep chromosome:PHallii_v3.1:9:61633768:61634958:-1 gene:PAHAL_9G458400 transcript:PVH32677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADKEETAAAAAHPAAGKPPSICDRLQRAFHARPAFRPLRRLTVRHEDGDGGAAKPAGAGAGAAPGAAPKHSGPPVPAPPQPLTPSPAPAGGAPAPAAAAPQPVPVHLPAVAEKKAAASAPPGPPVPVPPPDVKAGMVTADDAKAGDKAPQTKGKARVGSRVRKALSSK >PAN50954 pep chromosome:PHallii_v3.1:9:68538829:68542910:1 gene:PAHAL_9G560100 transcript:PAN50954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein [Source: Projected from Oryza sativa (Os03g0208500)] MASRDLAESLLPGGGGDSAAAASSDSHDEYEERAYDSDDKVSISISDSDAEADGGGAPSRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALIQLLSARLGVATGKHLAELCREEYPPWATRALWAMTELALVGADIQEVIGSAIAIKILSGGTVPLWGGVVITALDCFIFLFLENYGVRKLEAFFAVLIATMALSFAVMFGETKPSGKELLIGLVVPRLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAKGFYGTEQAGNIGLENAGQYLQEKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDVLNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVITWIVTVFLMLINGYLIVSFYITDIRGAVLRSSLCVVLVVYLAFIIYLIVRNTSMYSRLCSSTPKRS >PAN43885 pep chromosome:PHallii_v3.1:9:18620:22578:-1 gene:PAHAL_9G000200 transcript:PAN43885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRWGNSGQAADSFYQVRPDCSQNVPSTKFKIKAGKTLSVRKWHAAFTREGCLDIASVLSRIQRGGVHPAIRGEVWEFLLGCYDPGSTFDERDQIRHRRRMQYARWKEECKEMDSHVGTGKIITAPIITEDGFPIKDPLVLLEATSDTQGTSTSSSGVEVSDSTNPVIDRQIIDWKLTLHQIGLDVIRTDRSMVFYENKENLSKLWDILAVYAWIDKEVGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSTYDKTGAATHKIEGSKPKVKSIRQFGKYERENMKNGANDGDGPVPISVFLVASVLKENSPKLLQEAQGIDDVIRILNNVNGDLDAKRACAVALKLHRKYLKKMQGKKT >PAN46671 pep chromosome:PHallii_v3.1:9:13265037:13266881:1 gene:PAHAL_9G206500 transcript:PAN46671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLFRRAKAPAAASPPAPMPMLSFPGKKALRFEGDTSAAERDADLAVLMHARAHVVLASESARASAAEAAAALRAYLRVIYASNMLAGPSQQKLCFAWRDDAGDESDRKKAKAKAAAAAGQGQSQSQRHTSLVTEWAVALVALAAELARAAAAEDRRGADGIRRACGALCDAAGALRAAAGARTTDACIAAFERLMLVQALECYFELAVAGGKPAALCSKIAQQVSHDYHEVFINLGSLEQQPIDKSWAPHAQAKAAYFQAEANLQRARALREQGPGSVGEAVARLRLAVSVLDAAAGKTGPLGKKLSSSSSSTAAALAPVRDAAARLRKEVEAELAAAENDNCHVYFERVPAADALKELPALPEPLVRPTAVEKVLREADGEAALANGGAPTIRH >PVH32023 pep chromosome:PHallii_v3.1:9:31330583:31338688:-1 gene:PAHAL_9G294100 transcript:PVH32023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVQPLVSDFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSSANQAAALADAVRAVGEQLIAANPIELAVGNIVRRVLHIIKEEDISATAVGIEGLSVTVDSDDEYDSEHDDRPSLSAAVLAAHARNALRAPSLQTLLEDIPVSPAISRTASSTGDSDGKSKSGDKSSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGDFSDCMHFSTQDGSPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTA >PAN47470 pep chromosome:PHallii_v3.1:9:31331024:31338526:-1 gene:PAHAL_9G294100 transcript:PAN47470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVQPLVSDFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSSANQAAALADAVRAVGEQLIAANPIELAVGNIVRRVLHIIKEEDISATAVGIEGLSVTVDSDDEYDSEHDDRPSLSAAVLAAHARNALRAPSLQTLLEDIPVSPAISRTASSTGDSDGKSKSAGDKSSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGDFSDCMHFSTQDGSPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTA >PAN50770 pep chromosome:PHallii_v3.1:9:67551804:67558010:-1 gene:PAHAL_9G544900 transcript:PAN50770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGLNLLPLPIKRGRISKSRFVPSPTPPLLCLRLASPPRPRRIKPLSFCPSLPSQPQRSTRKAAHAPRTGRSQRAARGAPHCGMAAVAAGAGVGLQLIGAAAATLLAAVLVAAVLGRRRRPVARVPLVEGKPAPEDGCAVGDGAGAAGDGGTDVIIVGAGVAGSALACTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGRNTKLAYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEENGIVKGVQYKTKSGEELKAFAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPATVPAYYRAAPAAE >PAN44364 pep chromosome:PHallii_v3.1:9:2045943:2046389:1 gene:PAHAL_9G037100 transcript:PAN44364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKHHDLIAAKLHGQGTRNDLHTIRNYKLVHKFVKLLTPQVFGSAPKGSKITFLQHKTNCILQSNVRIKQHRNRDPES >PAN50613 pep chromosome:PHallii_v3.1:9:66731205:66736268:1 gene:PAHAL_9G532800 transcript:PAN50613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAQAAAAAFLSFLSSSPSHHTAPSSVSLGATPVLPVSLRAAAAGGPRLSSPLRGRRISAVTAQLPTAHPEVASGDKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLNVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKILASLGFDDEKARLLTKTAGEEAAMSPR >PVH31600 pep chromosome:PHallii_v3.1:9:11804109:11806057:-1 gene:PAHAL_9G186500 transcript:PVH31600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEGTPPQGHGGYIDHSLVKRRAPSYQLLWKTPMRGGASLWHARKLQRKLGSAMRRHCWRQTCETHRSVGGGAGTRSRISVRESRRTGRSSSSVAGEACLRGLTTSLMVDDRLRICV >PAN47708 pep chromosome:PHallii_v3.1:9:40905482:40916994:1 gene:PAHAL_9G324900 transcript:PAN47708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHNRARGRGKKVEANNKKRKKEQKVKGQIADPGGMAAPPPAPALTLKPEIGPDGLAQDSPVIAYTEKVILEEQLQLKKYIQENYSKIREVEKELENLTFEMKLTAGPKKAALEHLRKKIEMSTEKIRLAKVKEEQAKKAWEAAAQVVKDEEDAKQKLCDDLNHLVQESAATQYTRLEELKKRLESLNPSRASVDVSGVNTIQHATTTSVPQLPMPQNSATPTGPLNNGTELASIGQQQRPAESEKKRRPSNTRGRGGVMILPKGRLSSGSGWTGAGFDVGSDT >PAN45250 pep chromosome:PHallii_v3.1:9:6107186:6109746:-1 gene:PAHAL_9G105300 transcript:PAN45250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESLLSRQQPRPQWADEITTVSEGRRDDADADPLVRRIRSLTIAPPLLSSQSESEAESSLTDILVRKPSTSSAASGNLNPNVLAELFSMYREWQEENAKNISQKQEEIENKIETADALAVKLLQRFNYSLTSMRSTSHNLAEVHPLQIEVGEFKGRLTEVISNCDALCKRIAAEGPESLRSSAQPFTAGRAESGGSSSLDTKQES >PVH31853 pep chromosome:PHallii_v3.1:9:17040703:17041809:1 gene:PAHAL_9G247700 transcript:PVH31853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPESAAGDLSGTLSASAIVGGTMTGHHLLHIDCYWQTKAELPTGQCIKSCPFSAGGRSWRISYFPNANKSSAAEYISVFVYLDHSVAQPVKARVRISLLGQAGEPVPSHSKITEVHAFCTAASDFGYSEFIKRAWLEESEHLKDDRFTIRCDVIVITKELSAEERRPQLPLVVVPPSNLHQNLGDLLASKEGADVTFLVAGETFKAHKCVLAARSAVFMAEVFGAMKESTNGALIRVDDMDAQVFMALLNFVYTDALPDFRDMKKQEEAAMAQHLLVAADRYNLERLKLICEDRLCSHIDTASAATILALAEQHHCGGLKKACFRFLSSISTLNAVMATDGFDHLIRSCPSVLKELMSNIAARGPC >PAN44045 pep chromosome:PHallii_v3.1:9:774865:783462:1 gene:PAHAL_9G012700 transcript:PAN44045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASSGQMAGLCFPRRSHSPLLLPRRPAALLPRRSAATPRGGLPRCAAAASPPPPSADSALEHPLRRKCSPLLESVLLPGGSDLSVHEWKAVPDIWRTAAEKYPDLVAVIDPYHEPPTEWTYTQLEQEILDFSQGLRAIGVAPDEKLALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGDKSCIDSKAVKDIPLYDYKDMTKLGRESRNALVLSGHQGQQEVFETITSEDVATLIYTSGTSGTPKGVMLTHRNLLHQIKNLWDVVPAEPGDRFLSMLPPWHAYERACEYFIFTYGIQQVYTNVKYLKEDLQRYQPHYIISVPLVYETLYSSIHSQISSSSAARKIIALSLIKISLLYMEAKKIYEGTVLSNNPVQPSFIVYMGKWIWARFLATFLWPLHNLAKILVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPFCNVLGTVGPPVKHTEIKVFDIETGDVLPDGSKGIVKIKGPQVMKGYYKNPSATNEALDQEGWFNTGDIGWIAPRHAIGPSRKCGGMLVLEGRAKDTIVLSTGENVEPSEIEEAASRSNLIHQIVVIGQDQRRLGAIVVPNNEVLAEAKRKSLVDENGEVAKDKVMNMLYDELRTWTAHCSFRIGPIMIVDEPFTIDNGLMTPTMKIRRDKVTAKYQGEIEALFK >PAN50448 pep chromosome:PHallii_v3.1:9:65946379:65955883:-1 gene:PAHAL_9G520800 transcript:PAN50448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQDSSGTTLMDLITSDPSAASGAGASSQQQSSSGGGGGGVGSLLGKPAASTADRKSKKGTLTQIQNDTISAAKALNPVKVLPQRNRKKKPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESSSDDVEFHARRLAALKALTSASTSSSEMMEKIYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRGNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIISEIAAKDPYSVAMALGKSAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDQRPDIKSQYSSLLYQLLLDPSDRVCFEAINCVLGKVDNTESTEDRAGGWIRLTREILKLPEAPSVASKGVLSKSNEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFALGAYDEGAPLDVESLDSDLENPMAEATRKPNPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHTLALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWSDEELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSAKLIEIYRNRHNISASGGLSDPAVATGISDLMYESKDVHKETTTIQSGIDPDLAMAWAAGLEDDVWANNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYEAEEDDGRYSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSSHGASQQTIREEPPSYSTSVLQRKESFENPLAVRGGRSFGSHEEEDKSSGNPQSGKALYDFTAGGDDELSLTTGEEVEIEYEVDGWYYVKKKRPGRDGKMAGLVPVLYVSS >PVH33318 pep chromosome:PHallii_v3.1:9:72633776:72634892:1 gene:PAHAL_9G626200 transcript:PVH33318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGPAQSLNKKGDGHAVLHHLQVAKLQVHLLPQTRVDLRNLAANPDKLHPRVAFSRGGRAIRSRRCTRTGQSSEQIMAWQRSNDLG >PAN44692 pep chromosome:PHallii_v3.1:9:3525799:3531547:1 gene:PAHAL_9G062000 transcript:PAN44692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAQAEGEGPRCVGCGGRVKTLFVQYSPGNIRLMKCDNCKAVADPYIECEFMIILIDLILHKTRAYRHILFNKLSMGSSVDKGILYRSTLIHIALDAFRISFSKGNRADGASSTSIFSTIFNCFEVIGDALLGNIIFMIMLFLGVRFILKLSFDITRFGSFHHLLYSLLKCLFSHQTL >PAN44691 pep chromosome:PHallii_v3.1:9:3525799:3531547:1 gene:PAHAL_9G062000 transcript:PAN44691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAQAEGEGPRCVGCGGRVKTLFVQYSPGNIRLMKCDNCKAVADPYIECEFMIILIDLILHKTRAYRHILFNKLSMGSSVDKGILYRSTLIHIALDAFRISFSKGNRADGASSTSIFSTIFNCFEVIGDALLGNIIFMIMLFLGVRFILKLSFDITRYREVLFAVIISSYFKLFLFTMMVWEFPSSVIFIVEMFVLSSNVVALRVVSQFPKAHCFGVCLMAHAAKYLTERWILRKP >PVH31587 pep chromosome:PHallii_v3.1:9:11586014:11588020:-1 gene:PAHAL_9G183100 transcript:PVH31587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEKWDKQQGAPGHLYGKNKRIDSARSWRAAAMEKPTPPAFVYRISTADEWAELQRTGATLGGDLDRSTGCIHLSDLGQVKMTLKNFFLGRNDLYLLQIDTSKLADGIIYEESDDNKYFPHFYGPGRSFVPLKLDAVVKADKIELENNDFTCSLLDGSNVPC >PVH32706 pep chromosome:PHallii_v3.1:9:62033598:62033840:-1 gene:PAHAL_9G464600 transcript:PVH32706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTTSPTTSQQRLHPTPAHRLPPPPPPSPPAPRTLTLIPSPPTPRDRPGRRPRRAFCVLFGLRVLPPRLPSSRGARGR >PAN50761 pep chromosome:PHallii_v3.1:9:67503771:67506962:1 gene:PAHAL_9G544400 transcript:PAN50761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSGDVEAAVMRPTVPVAGGGAAVGPSALLRGWREFRRSAAPARFLCFEDGGWADVAGEAVRQLRRAFQDRRAMAEAACGGRAYLFDFLRMVRIDAATGEETALAWIDDRGACFFPAPGGGGRKRRRDDAPAEDEAESSSGVDERSGESRGAEDAAAKRMKASGAWGKSAAARLEESGKYYQVVSKLFLSYGMASRGAVITAVRKVGQGARARAFQQQGQLLAATRGAAVGTPKFAWYGASAEDVAAVVERGFARTNAARLGGRKHGDGLHLSPPQCPYTSAMLAKADGSGEAHIVLCRVLMGRPEVVQAGSSQSRPSSDAYDSAVDKLENPQWYVVWSKDMNTRVLPEYVVSFKCPKLQPIQGSSEATSKPKKPSPGRDMFPTLLAEIEQLVPDKCDILQEYYSNFKMGQIKKDQFIRFLRSYIGDKVLTTVATKLRGC >PAN49272 pep chromosome:PHallii_v3.1:9:60333475:60334371:-1 gene:PAHAL_9G438200 transcript:PAN49272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKRSTRSGGRLTCAAAALMMAIVVLQQQQLMAAAAGDKHHLHFFMHDGYTGPRSTAVLIVNGTGAPVMSGVRFGDTVVMDDVLTEGPSRGSRPVGRAQGTYVTASLEKGQPAMLLSMNVVLTDYGGYSGSTVAVVGGTGRFRMATGYVLWKTASWKGKRRTRDRRLPARLIDHRVVTSIAVDRSRHASIMIMHLYGRACVSIMIMSVVCVSFPNQLE >PAN51945 pep chromosome:PHallii_v3.1:9:73075844:73081245:-1 gene:PAHAL_9G631900 transcript:PAN51945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVTSMPQVWDEEGVAKGAADAVTPAPAAAMLGSLAGWLSRTIQPQPPAPRVCGTEGGPPVTAPRLRLRDGRHLAYCESGVPKDKARFKVVFSHGFTGSREDSVRPSQEVAEELGVYMVGFDRAGYGQSDPNPNRSVKSAALDVEELADALGLGPKFYLIGISLGCHAVWGALKYIPNRIAGAAMMAPVVNYWWPGFPADLAAEVYAKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRSKMKADGTFQQKMEQATQQGIHESYYRDMMVMFGKWEFDPMSLPEPACPVHLWQGDEDGLVPVVLQRHLASRLSWVNYHELPGTGHFLSSVPGLGDTVLRTLFG >PAN49762 pep chromosome:PHallii_v3.1:9:62684175:62686017:1 gene:PAHAL_9G473700 transcript:PAN49762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRERKKQAAAALQEKLKILRSITHSHALSDASIIMDASAYIKELKQKVVRLNQEIACAQDALRHGSSYPTVTVETLVGGHGGFLINVFSDKSCPGLLVSVLEAFDELGLSVLQATASCADSFRLEAVGGENVDEDVVKQAVLQAIRSCSESGSEQDEE >PAN44212 pep chromosome:PHallii_v3.1:9:1445888:1449872:-1 gene:PAHAL_9G025600 transcript:PAN44212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATTTEMRRWRSAPRGRRRRWSMWRERTTSLTCGTGALAIFLRKSFGVDITTSDYDDKDIEENIAYNCRTNKLDVLPHIRHTWGDPFPVSRPDWDIVIASDILLYVKQYDNLVKTVYFLLKEYKKSSEKAGCSTITDKSGTQVPAKSPMFLISWRRRIGKDQSLFFTRCEDAGLEVCHLGDLVYLIRIKS >PAN44213 pep chromosome:PHallii_v3.1:9:1446315:1449762:-1 gene:PAHAL_9G025600 transcript:PAN44213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALFSAASLFHGADDSDDDRDEKMEVGAEGKKEALEYVERAHDFPDMKLSVREFSSHELNANLLWPGTFSFAAWLVKNQSILDGQRVLELGSGTGALAIFLRKSFGVDITTSDYDDKDIEENIAYNCRTNKLDVLPHIRHTWGDPFPVSRPDWDIVIASDILLYVKQYDNLVKTVYFLLKEYKKSSEKAGCSTITDKSGTQVPAKSPMFLISWRRRIGKDQSLFFTRCEDAGLEVCHLGDLVYLIRIKS >PVH31986 pep chromosome:PHallii_v3.1:9:28285473:28286333:1 gene:PAHAL_9G283300 transcript:PVH31986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGNCQAAPGFPSLLINALESLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRVTTTGFRHQDTYPLAVRKALRYLCWIFERHLAPTPVRFFPPAIRTPVWEARMTSLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAKQVELAVRLQQIRAAQAEARAVATVSSEAVAQESLRQARDRRMQEWTRSGTPVPAIGEDHVLLGTLVIGWGPLFGNSPAPSENPESSATAVERNGAAQPLANGNPENGEQGLLTLPAPEEGTPRE >PAN45434 pep chromosome:PHallii_v3.1:9:6962869:6964172:1 gene:PAHAL_9G118700 transcript:PAN45434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGRGSAARGNAAAVAALVLLCVLLHGEVAESAVYTVGDRGGWGFNTASWPRGKRFRAGDVLVFRYSPKAHNVVPVSAVGYNSCAAPRGVRALTSGNDRVTLKRGVNYFICSVPGHCQAGMKVAVTAA >PAN50884 pep chromosome:PHallii_v3.1:9:68229757:68234909:-1 gene:PAHAL_9G554600 transcript:PAN50884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRAHKVTDEPDAAGRQRPERKKRKWDQPADDLVSAAVTAAAVSGMPLINIGALPGVALPGVTAYGAATLPGGVPVPYSLPPRIAPSVLQNASAALQKLSQAKVPDEVIAREIVINDADPSVRYKLTKRQTQDEIQRCTSTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAGRIKAVDRAASMIEEILKQGTISESASAPFSSSSGQAVHPFSASIFLGFDADPSLNIAARIRGPNDQYINHIMKETGVTVVLRGKDSENLSSCLGEASQQPLHLYLTSMHLKSLEAAKVLAENLLNTIAAEFGASRISSSKVYGAVPPPQQLLTGVDTSGARSDVHSTLGPNVLAGASHSFASTGVIAPIVAPAVTLQSGSPTYSGVLPPNNMTYPVPPVNGGTFYSGYGDIYPQATPLQQVAFTLKHASSSTTQVVPVTSTLTSTVIKANSSLDVEMDKRSRRKFQELPVSKAPITETQVFSLTLSEAHRWS >PAN50885 pep chromosome:PHallii_v3.1:9:68228003:68235168:-1 gene:PAHAL_9G554600 transcript:PAN50885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRAHKVTDEPDAAGRQRPERKKRKWDQPADDLVSAAVTAAAVSGMPLINIGALPGVALPGVTAYGAATLPGGVPVPYSLPPRIAPSVLQNASAALQKLSQAKVPDEVIAREIVINDADPSVRYKLTKRQTQDEIQRCTSTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAGRIKAVDRAASMIEEILKQGTISESASAPFSSSSGQAVHPFSASIFLGFDADPSLNIAARIRGPNDQYINHIMKETGVTVVLRGKDSENLSSCLGEASQQPLHLYLTSMHLKSLEAAKVLAENLLNTIAAEFGASRISSSKVYGAVPPPQQLLTGVDTSGARSDVHSTLGPNVLAGASHSFASTGVIAPIVAPAVTLQSGSPTYSGVLPPNNMTYPVPPVNGGTFYSGYGDIYPQATPLQQVAFTLKHASSSTTQVVPVTSTLTSTVIKANSSLDVEMDKRSRRKFQELPVSKAPITETQNSQQGPKFVKTGLDSLGDISGSSIAPPKKVQPGPNGMLLPDQADMPSHFSLSNNMPPPPPKSMLPPSKNMPPPPPRSMPPPPPKFPSNEILSRNESKTFVSKELMAPPRDTRSVSPSQLLPKEPKEEKPKCAPVSDTLLKLMDYGDEDDDGDIDLTDSIPKGNPTPCSEQKPSWAV >PAN45265 pep chromosome:PHallii_v3.1:9:6179974:6182796:-1 gene:PAHAL_9G106200 transcript:PAN45265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTIGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEEGDEGDEY >PAN50805 pep chromosome:PHallii_v3.1:9:67739813:67747658:-1 gene:PAHAL_9G547700 transcript:PAN50805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPAIRKPHLLHRRDREEASPSPPPAAPAHSPSPRGFAISDRPATGTPAPWTSSSLLARISTSKRTDRVGDSDQIQPVHVAEFPQVVRNAQASLLQKNFSGKSMFAGGIDKETSLAWMICGNELFFWNYSASVAKDCLVLDVPSSLIGYKDVKSLSGIQWAVCIMRWHSSGASTRNSGEILYRKSSTGVILCNKRTQAVAYWPDIYAEFNKSLILSSPGYGEVSASDGASDRFRFNSIIAAAVPGGTHECIAIASEPTGALWLFQCSPEGIRRKKVHGDTLGDGGADHSQKSNGGRSLAWLPNYVSSEGSDRKFFLLTNNEVQCWSISLLHDIDIKKLGSQEIVGTDGDVSIKKDIAGQKNVWLLDMQIDERGKEFSILVATFCKDRVSGSNYTQYSLLTMLYKPNQKFSSEDNAVKVERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATVAIYWRGSTRLYQFDLPWDAGKVLDASVIPSADDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRTQVLSAGVVPRRVSSEAWSAGERQRPTLTGIAQQAVVDEESEILLNRLFHDFIISGAVNEALQKLRAAGAFDKEGEMNIFVRMSKSIVNTLAKHWTTTREAEFLASTIVSSLVEKQQKHEKFLQFLVLSKCHEELASKQRAAMLIIMEHGEKLSGMIQLRELHNTLIQQRSSTHLSPQLKTQITGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSEIEDLFYCLSHQLQYIISREEHPSVQMQRALELANACITLVHAALHYRKEHKEWYPSPEGLITWNSHPVVRSGIWSLATFVMELLGESGAADMSMKFNLWSQLEGLTDILLEAYIGLLTAKFERGDEHGALVQEYCERRDELLGSLYNVAKQIVEDKYQESRDGTDNLDLKESIFRDVSSPILATAKRHEGYQTLWQICSDLNDSVLLRSLMHDSVGPHGGFSFFVFKELVNRRQYSKLLRLGEEFQEELASFLKDRSDLLWLHEICLNQFSSASETLHTYALHGSPDEDASVTTSRKPLSFAERRRLLYLSKIAATAGKDIDYEAKVARIEADLRILKLQEEIVQHDPEYAQVKYTTTLLDPSELIDMCLKRDQELSLKAFEVFASTSSSFRSSNRGLLEACWMNATNQDDWVKLSEASMSEGWSDEVIQESLQGTVLFKASRLCYSPDSVVYDGTFEDVLPVKKEDVHPRGLESKCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELSYTAAEPVEMDS >PAN50275 pep chromosome:PHallii_v3.1:9:65190183:65192151:-1 gene:PAHAL_9G509600 transcript:PAN50275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSQPGEDSRPAAQPEQATTTSMDSPRASQAATRRKKMTKQVTGKRDDTAMHAAARAGQLVAMREMLSGKDTEELAAVLSRQNQAGETPLFVAAEYGYVALVGEMIKYHDVATASIKARSGYDALHIAAKQGDVDIVRELLQALPQLSMTVDASNTTALNTAATQGHMDVVKLLLQVDGSLALIARSNGKTALHSAARNGHVEVVRALVEAEPSIALRTDKKGQTALHMAAKGTRLDLIDALLAAEPALLNQTDTKENTVLHIAARKARPEIIKRLLAMPDANLNAINRSRETPLDTAEKMGNTEVAELLAEHGVQSARAINPGGGGGGKQARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVQDPSSLAPGQQLGEANISHETAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVSFLALSFVVVGRTERWLAVSVTIMGGTILVTTIGTMLYWVIAHRVEARRMRSNKRASLSRSRSFSNSGMSESEWIEEDYKRMYAI >PAN50277 pep chromosome:PHallii_v3.1:9:65189980:65193052:-1 gene:PAHAL_9G509600 transcript:PAN50277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDASNTTALNTAATQGHMDVVKLLLQVDGSLALIARSNGKTALHSAARNGHVEVVRALVEAEPSIALRTDKKGQTALHMAAKGTRLDLIDALLAAEPALLNQTDTKENTVLHIAARKARPEIIKRLLAMPDANLNAINRSRETPLDTAEKMGNTEVAELLAEHGVQSARAINPGGGGGGKQARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVQDPSSLAPGQQLGEANISHETAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVSFLALSFVVVGRTERWLAVSVTIMGGTILVTTIGTMLYWVIAHRVEARRMRSNKRASLSRSRSFSNSGMSESEWIEEDYKRMYAI >PAN50278 pep chromosome:PHallii_v3.1:9:65190183:65192151:-1 gene:PAHAL_9G509600 transcript:PAN50278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSQPGEDSRPAAQPEQATTTSMDSPRASQAATRRKKMTKQVTGKRDDTAMHAAARAGQLVAMREMLSGKDTEELAAVLSRQNQAGETPLFVAAEYGYVALVGEMIKYHDVATASIKARSGYDALHIAAKQGDVDIVRELLQALPQLSMTVDASNTTALNTAATQGHMDVVKLLLQVDGSLALIARSNGKTALHSAARNGHVEVVRALVEAEPSIALRTDKKGQTALHMAAKGTRLDLIDALLAAEPALLNQTDTKENTVLHIAARKARPEIIKRLLAMPDANLNAINRSRETPLDTAEKMGNTEVAELLAEHGVQSARAINPGGGGGGKQARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVQDPSSLAPGQQLGEANISHETAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVSFLALSFVVVGRTERWLAVSVTIMGGTILVTTIGTMLYWVIAHRVEARRMRSNKRASLSRSRSFSNSGMSESEWIEEDYKRMYAI >PAN50276 pep chromosome:PHallii_v3.1:9:65189980:65193052:-1 gene:PAHAL_9G509600 transcript:PAN50276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDASNTTALNTAATQGHMDVVKLLLQVDGSLALIARSNGKTALHSAARNGHVEVVRALVEAEPSIALRTDKKGQTALHMAAKGTRLDLIDALLAAEPALLNQTDTKENTVLHIAARKARPEIIKRLLAMPDANLNAINRSRETPLDTAEKMGNTEVAELLAEHGVQSARAINPGGGGGGKQARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVQDPSSLAPGQQLGEANISHETAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVSFLALSFVVVGRTERWLAVSVTIMGGTILVTTIGTMLYWVIAHRVEARRMRSNKRASLSRSRSFSNSGMSESEWIEEDYKRMYAI >PVH33398 pep chromosome:PHallii_v3.1:9:73814350:73819206:-1 gene:PAHAL_9G642700 transcript:PVH33398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MLAFEEQVVSDLVEDPNGGLVVLSSGFPLASLAAALLLQLHLHPPPGDATGGGCLLVLSAPDPLKARIRRRLQDRLQVHDVPPDLPAQQRASLYASGAALFLSPRALAADLLTSRLHPSHVRALLLLSAHRSSDTSSDAFIARLLRQRRLLPVYAFSDRPHAMVAGFSKAERAMKSLYIRRLHLWPRFHVLAAADLERAPPDVVDVRVPMTPAMRGIQAAVLAAMDACLKELRRTNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWILADSSHKIFELAKRRVYQVVRADGMRVSTDNKGTPTKKMKLVHNSTGKGKENENEGSTADKHNTQKVIADVGIVLDEVLEEAPKWKVLRELLQEIAEEQMKGDRENAQDEDESGIVLVTCKDELTCFQLQECISKDPHKVMREEWEKYLLGKAELHGLQKKNKKKSEQPKGFGVLDGEVQMGPNESAGPVSISKLETNALLAAASELRNITKEADVKDGSNVSCTKRELVKGKAKGKSKKTTEKRRGSNRKNRNNEGNDNDQGTAVEAEGQLGKTDEHADIDASKVSTDDDFMLASTSGGACNYSSDFRGLANGKPLPPVQFHALDSDLHILDVWKPSIIIVYHPDITFVREIEVYKAENPSRKLKVYFLFYEDSTEVQKFESSIRRENEAFESLIRQKSLMMIPVDQDGRCIGPTLANEPDPLLCQNSITRKAGGKKAPEKEMQVIVDMREFMSSLPNVLHQKGRDHGTLLQDPSSSHRVLAR >PAN48335 pep chromosome:PHallii_v3.1:9:54560712:54565010:1 gene:PAHAL_9G376200 transcript:PAN48335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKDVAPLPATTAAGAAAPVPAPASQPPPSSMPPPQQQHQQPPPLPFAQQQAAQAPSPAAPMPGGMRLSFDQMAGKTEHHHHAAPMLYAPPPQSAAGAAGAPGGNVLGMGELMRKKRGRPRKYAPDGSMALALAPISSASAGGAAAPGQQQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPPQMPTFVPPPVATSPPSEGTSSASSDDSGSPINHSAMPFNHSGQHQHQHPHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >PAN48337 pep chromosome:PHallii_v3.1:9:54560808:54565010:1 gene:PAHAL_9G376200 transcript:PAN48337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKDVAPLPATTAAGAAAPVPAPASQPPPSSMPPPQQQHQQPPPLPFAQQQAAQAPSPAAPMPGGMRLSFDQMAGKTEHHHHAAPMLYAPPPQSAAGAAGAPGGNVLGMGELMRKKRGRPRKYAPDGSMALALAPISSASAGGAAAPGQQQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPPQMPTFVPPPVATSPPSEGTSSASSDDSGSPINHSAMPFNHSGQHQHQHPHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >PAN48336 pep chromosome:PHallii_v3.1:9:54560712:54565010:1 gene:PAHAL_9G376200 transcript:PAN48336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKDVAPLPATTAAGAAAPVPAPASQPPPSSMPPPQQQHQQPPPLPFAQQQAAQAPSPAAPMPGGMRLSFDQMAGKTEHHHHAAPMLYAPPPQSAAGAAGAPGGNVLGMGELMRKKRGRPRKYAPDGSMALALAPISSASAGGAAAPGQQQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPPQMPTFVPPPVATSPPSEGTSSASSDDSGSPINHSAMPFNHSGQHQHQHPHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >PAN44426 pep chromosome:PHallii_v3.1:9:2324834:2328281:-1 gene:PAHAL_9G042100 transcript:PAN44426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGMSGLLVLTIGLAMAAPSSAAIYKVGDASGWTILGNINYTDWTTKKTFHVGDIIEFTYPQGIHNVLEVTKADYDSCTNSTPIATHTSGDDKIAIKRPGHRFFICGVPGHCAAGQKVNIRVPKPRSSDAPSKAPAPAPTRSAAATPSGSSEPSAASPPAASSTDSTPDAPTTTAPAPNANGAGVRGGYRAVVATALAAVASMAMLQ >PAN49528 pep chromosome:PHallii_v3.1:9:62267289:62268274:-1 gene:PAHAL_9G467500 transcript:PAN49528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVARLASESAVVVFSVSSCGMCHAVKRLLRGLGASPAVHELDEDPRGREIERALAGIAGRRGGPAPVPAVFIGGAYVGPMEAVMSLHLGGRLVPMLRSAGAIWL >PAN46802 pep chromosome:PHallii_v3.1:9:13939743:13941696:-1 gene:PAHAL_9G215400 transcript:PAN46802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVVSRGANGKSQSSRGKAMLLALGKGLPDQVLPQEKVVESYLQDSSCDDPATRAKLERLCKTTTVRTRYTVMTKEILDEHPELKTEGIPTLTPRLDICNAAVIDLGAAAARAALDDWGRPAADITHLVYISSSELRLPGGDLHLAARLGLSPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVTAAETTVLGFRPPSYDRPYDLVGAALFGDGASAVIVGAGPMTPAENPFLELEFSTQEFLPGTDKVIDGKISEEGINFKLGRDLPEKIESRIEGFCRTLMDQVGIKDFNDVFWAVHPGGPAILNRLEFCLELQPEKLKISRKALMNYGNVSSNTIFYVLEYLRDELKKGAIREEWGLILAFGPGITFEGLLVRGVN >PAN48700 pep chromosome:PHallii_v3.1:9:56984973:56987548:1 gene:PAHAL_9G399900 transcript:PAN48700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKDSSSSSSSAAGGGGKKDKPMSVSAMLASMDAPAAKGKPSKSAAPSKPKGKPSKAPASSYMGDIDLPPSDEEEDEEALAAAKPKPSRAAAIDLSAGVASQKDAKKKDKREAMAAAAAEAARQEALRDDRDAFSVVIGARVPGSATADDGAVDDNVKDIVLENFSISARGKELLKSASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPRNIDVLLVEQEIIGDDRSALEAVVAADEELTALRAEQARLEASNNADDNERLVEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNRKFEVYEKQMKAARKSGSKAAQDKVKGQALSKAAKEAAKNKGKGKNAADDDDDQKQVAVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYPGRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLSPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSQPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEQRSEIWVVEDGTVKKYDGTFEDYKDELMEEIKKEVEE >PVH32286 pep chromosome:PHallii_v3.1:9:53009680:53009886:-1 gene:PAHAL_9G361800 transcript:PVH32286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAKRSAEAVGCQNASVGERSALEGSKRESGGRRSGSENVGLSNENIGENPMPRKPKVSSARFIHGG >PAN50112 pep chromosome:PHallii_v3.1:9:64504082:64505364:1 gene:PAHAL_9G499100 transcript:PAN50112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCIQASSPGSMPHQHHGRVLAGVGCAAEVAAAVATTAANSAGMRCGAHDGELPAEAARHHEHAAPGPGRCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVRVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLQNYLSVTTVHPSPTAPDSATVVVESYMVDVPPGNTPEDTRVFVDTIVRCNLQSLATTAEKLAAVST >PVH32644 pep chromosome:PHallii_v3.1:9:61120873:61122800:1 gene:PAHAL_9G450100 transcript:PVH32644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTELAALVTLVFHLVLQSAQIKAICRGNQAYSRSPTTDLPKMITQGIQSSDDRASPVHSNASPAKPESFAGDATHLPVTALAGGGAGQERGPNKLTLLPLIFLIFFQVAGGPYGAEPAVQAAGPLFALLGFLVFPFVWAVPESLVTAELSTAMPGNGGYVLWVGRAFGPFAGSLMGTWKYVCAAIGAAAFPALCSDYLVRAAPAVSGGGARAATIVTFNVALTLLSCTGLSVVGWTAVALGLAALSPFVVMVGVALPKIRPRRWGATARAKDWKLLLNTLFWNLNGWDSVSTMAGEVERPGRTFPAALVSAVCIGSLGYLLPLMAATGAVDAPPEAWGDGYFADAAGVIAGEWLKYWIEVGAAVSSVGLYSATLSSASYLLAGMAELGHLPSPLAARAPRFGTPWASIAATGAVALGMSFLSFDSIVAVTNFLYGLGMLLEFAAFLWLRARRPGLPRPYRAPAGAAGAAVVCAVPAVFLVLVMAVAGWKVCAAGAGFTAAGVAVYYLMRFCRARGCVEFARPEGEGGERGGCESGKEGQHGDA >PAN45920 pep chromosome:PHallii_v3.1:9:9252914:9253399:-1 gene:PAHAL_9G150500 transcript:PAN45920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDAATAAEVAAVVALAVLIVAIVAASAGACAAGRDAAVHDVELALGADTLVTYEQARVPRLPSAEEKERCALCLSEYAAADELVRVVPACGHFFHAECGVDGWLRKRGTCPLCRGGVRPLPKPECPPLPPRARGNGHGASSVAIMGQSACTLGPLTIVD >PAN48383 pep chromosome:PHallii_v3.1:9:54855109:54856864:-1 gene:PAHAL_9G379000 transcript:PAN48383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSSDIAALRQLLVDQPNIPKDEVQSIFNIIFADEIC >PVH32351 pep chromosome:PHallii_v3.1:9:54854548:54859268:-1 gene:PAHAL_9G379000 transcript:PVH32351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSIHIQHYICRRNLLTSLYLNC >PAN48386 pep chromosome:PHallii_v3.1:9:54855109:54856864:-1 gene:PAHAL_9G379000 transcript:PAN48386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSSDIAALRQLLVDQPNIPKDEVQSIFNIIFADEIC >PAN48387 pep chromosome:PHallii_v3.1:9:54855109:54856864:-1 gene:PAHAL_9G379000 transcript:PAN48387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSSDIAALRQLLVDQPNIPKDEVQSIFNIIFADEIC >PAN48389 pep chromosome:PHallii_v3.1:9:54854527:54859268:-1 gene:PAHAL_9G379000 transcript:PAN48389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSIHIQHYICRRNLLTSLYLNC >PAN48385 pep chromosome:PHallii_v3.1:9:54855109:54856864:-1 gene:PAHAL_9G379000 transcript:PAN48385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSSDIAALRQLLVDQPNIPKDEVQSIFNIIFADEIC >PAN48384 pep chromosome:PHallii_v3.1:9:54854526:54859268:-1 gene:PAHAL_9G379000 transcript:PAN48384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSIHIQHYICRRNLLTSLYLNC >PAN48388 pep chromosome:PHallii_v3.1:9:54855109:54856864:-1 gene:PAHAL_9G379000 transcript:PAN48388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSSDIAALRQLLVDQPNIPKDEVQSIFNIIFADEIC >PVH32350 pep chromosome:PHallii_v3.1:9:54854548:54859268:-1 gene:PAHAL_9G379000 transcript:PVH32350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTKFGRATVVIDRLLVLGEDKNHGALHSVANIPEDLMGKQIESLELVFVSMRETLEKLNGVVRALNKALCDTNQMVRGGSALTEKQMQLQVGILPTIAECLDGLQTLCEMHQAEFALKSSVISLLTWKSSSIHIQHYICRRNLLTSLYLNC >PAN49026 pep chromosome:PHallii_v3.1:9:58679098:58680665:1 gene:PAHAL_9G420800 transcript:PAN49026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVGSIVVGEVVSRTSSFLIGRHRDRLSAREGVERLEMAHIRMEAALEVSARWRAADAPLLRWRRRLRRAAGECDAALHRWKLRELQEEAARERLARAPLPGRVAHAILCFVSALLALARRRGGGWDDASRARAAVERFERLADGAAEFLRCVEFNAAPRKRRLLIGPAVGELGGGVERGMLRGAGARHCFLGAAGSESPAEVWMGSSKPWRGYGKRRASGTKLLLV >PAN45190 pep chromosome:PHallii_v3.1:9:5817942:5819370:1 gene:PAHAL_9G100400 transcript:PAN45190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRCHRLLLPTTMAPACLALVLVLLLALCAAPAPAHAARALTPGRAGAVTKAQQGGSNATAAAADEYLAPHNQARAAVGVPPLRWSADLASTAAAVVAQQQRQVGCAFVDMGGSPYGANQGWASYRARPAEVVASWVAQGRYYTHANNTCAPGRQCGTYTQVVWRRSAEVGCAQATCAAGATLTLCLYNPHGNVQGQSPY >PAN50940 pep chromosome:PHallii_v3.1:9:68459760:68461385:1 gene:PAHAL_9G558600 transcript:PAN50940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDGDEGNGRRGPSQHRPSGGGSGDLASSAKLVAEAAKLALQDHSLEKVDKGRVAGAAADLLHAASQYGKLEGKPVGGYLEKAEDYLHQYGRKEGGAAGGKHQGEEEGKYGKKPGGGHGGGRYEDEEEGYKKKPGGGRYEEDECNKKPGGGSGYGGGRYEEEEDHRKKPSSGGYGGGRYEDEDGYSKKPTGGGYGGGRYEQEDGYKRPPSGGGGSYGGGRYEEDEYKKKPSGGGYGGGRYEEEDDYRKKPSAGGGGYGDGGRYEDEYSKKPSGGYGGGRYEEDDGYKKPSGGGYGYGASSGGGHGGRYEEDDYKKKPSGHSGGRYEEEEGYKKTSGHSGGKYGKDEDDKKKKHGDDESEGGGIGDYLKLAQGFMNKKDGEGESGAGMGDYLKLAEGFLKKR >PAN49211 pep chromosome:PHallii_v3.1:9:60025451:60027741:1 gene:PAHAL_9G434000 transcript:PAN49211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGETTLSGFGGGGGGAGAGVDTKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASGGGGRAASEGGSVGTVRQAGGGHKRIRSGLD >PVH32640 pep chromosome:PHallii_v3.1:9:61049609:61052199:1 gene:PAHAL_9G448900 transcript:PVH32640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNANILPYLMLITSQNQIFSSELSRSSCTIQMLPLFKLGGFSNFTVNDRASLLTRIQKTFLDYHFKVEQEAGSATFSFFSFNGTAGVWRRQAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYVGDVRVKSELPSTYQAYCRQQFRWACGSANLFRKMTWEVLVAKQVSPLKKFYMIYSFFLVRRVVAPTVAFLLYNIIIPVSIMIPEVFLPVWGVAYIPTALTVVTAIRNPENLHIMPLWILFESVMSMHRVKAAIAGLLELPEFNQWIVTQKVGNNGAEQNCEVPLLQKARKGLRNRINVPEIGFSVFLFTCASYNLVFHGKTSYFINLYLQGLAFFLLGLNFIGTSCCCL >PAN48338 pep chromosome:PHallii_v3.1:9:54566072:54572130:1 gene:PAHAL_9G376300 transcript:PAN48338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPPGADSDQLLVEENGSTRTLIMNRPKQLNALSSTMIMGLLRCFTAYEKDDKVKLLIMKGKGRAFCAGGDVAAVVRAINNGSWKYGADFFRNEFLLNYIIATYSKPQVSLLAGIVMGGGAGVSLHGRFRVATENTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVALAGARLDGAEMHACGLATHFVQLNRLPLLEESLKKVDTSNPFAVCGIIDQFSHQPPLKENSSLNRLEIINKCFSKRTVEEIISALEQEASNSADEWVAATIQSLKKASPTSLKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILVDKDRNPKWMPPRLEQVHDEAVEQYFSRINDPQWEDLNLPARRSHGRNIESKI >PAN48339 pep chromosome:PHallii_v3.1:9:54566964:54572130:1 gene:PAHAL_9G376300 transcript:PAN48339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPKQLNALSSTMIMGLLRCFTAYEKDDKVKLLIMKGKGRAFCAGGDVAAVVRAINNGSWKYGADFFRNEFLLNYIIATYSKPQVSLLAGIVMGGGAGVSLHGRFRVATENTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVALAGARLDGAEMHACGLATHFVQLNRLPLLEESLKKVDTSNPFAVCGIIDQFSHQPPLKENSSLNRLEIINKCFSKRTVEEIISALEQEASNSADEWVAATIQSLKKASPTSLKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILVDKDRNPKWMPPRLEQVHDEAVEQYFSRINDPQWEDLNLPARRSHGRNIESKI >PAN50655 pep chromosome:PHallii_v3.1:9:66937611:66941157:1 gene:PAHAL_9G535300 transcript:PAN50655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.6 [Source:Projected from Arabidopsis thaliana (AT4G34980) UniProtKB/Swiss-Prot;Acc:O49607] MAAPRCPFPSLLLLALLALSAPALLRVRADGGEDARKTYIFRVDHRAKPSVFPTHERWYASAAFASSPDAAPLEPLHVYGTVFHGFSASVPASRAAELRRHPAVLAAFEDRARPLHTTRSPQFMGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLPPVPARWRGGCDAGPGFPASSCNKKLVGARFFSQGHAAHYGASAVASNGSVEFMSPRDADGHGTHTATTAAGSVAYEASMEGYASGVAKGVAPKARVAAYKVCWKGAGCMDSDILAGFDRAVADGVDVISVSIGGGSGVTAPFYLDPIAIGAYGAVSRGVFVATSAGNEGPTSMSVTNVAPWLATVGAGTIDRNFPAEIVLGDGRRLSGVSLYSGKPLTNSSLPLYYPGRTGGLSASLCMENSIDPSLVKGKIVVCDRGSSPRVAKGMVVKEAGGAAMVLTNGEANGEGLVGDAHVLPACAVGEKEGDALKAYAANASNPTATISFGGTVVGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLEADTRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAVVTDNRGGPVGEEAEPGRAATPFDYGAGHITLGKALDPGLVYDAGEEDYVAFMCSIGYEPNAIEVVTHKPVSCAAAAASGSDLNYPSISVVLRGGNQSRTVTRTATNVGAQASATYTARVQMAAGSGVSVSVKPERLVFSPGARKQSFAVTVAAAPSGPPAAAPVHGYLAWSDGGGGHEVRSPVVVTWLQPM >PAN49760 pep chromosome:PHallii_v3.1:9:62658171:62664335:-1 gene:PAHAL_9G473500 transcript:PAN49760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALRRLAGVPGSPSAAAAALLLRPALTRPISTGFREERDTFGPIQVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSKFIPSLQQLHDSLYSKSVEFNDIIKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRINCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGANGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKKAALDLGVLTEEEFHELVVPEKMIGPTD >PVH32632 pep chromosome:PHallii_v3.1:9:60954781:60955778:1 gene:PAHAL_9G446800 transcript:PVH32632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYDRIASATCYVSSAGTIYFLFGICTLLYCWMFFGHVHFAQGLEFQYDDTLGSYYVVISTLAKELRCHVTCECRSGGKPDLRSGSVVKIIMEYRRCAIRNMFL >PVH32179 pep chromosome:PHallii_v3.1:9:46907173:46908491:-1 gene:PAHAL_9G340600 transcript:PVH32179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHRHQGHAQHAPDDPYAKVKFTIPPFSGHYDAEGYLDWEMTVEQKFSAHLVPERHRVRQASSEFKDFAIIWWSGLAAENALPTTWEQLKIAMRDCFVPPSYHRDLRKKLMRLEQGEKSVQDYYGELQKGMMRCGVVEGPEDSICRFYSGLRREIQDIVDYKEFNTINQLFQFAMLAEKELQGREQQGRGKSTTSYTPRTTTSTGLNKSATFRMPPPPASKRPAASGVAAVPTRSSDLGKNSAQVPAKSSSSMASTGRTSGIQCHRCHGLGHVQKDCPSQRAYIATEDGYISTSDIEDEEEKENDDGEEEILGGEDTATYRSAIVQRVLNTQVQQPDQLQRHNLFQIFFVINNRRVRVIIDGGSCNNLVSSELIKKLGLTTRPHRHPYHIQWLNDSGKAKVTQTCRVSFSIGSYSDSVDCDVALGT >PAN45632 pep chromosome:PHallii_v3.1:9:7917096:7922392:1 gene:PAHAL_9G131600 transcript:PAN45632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLSAAQAARAFGFPTTTAAAGPGGGAGDAPAVRTLDLDRFRPSYNVSPGAYLPVGTVRAQPAAGGDGGRADDGAEPVIQCMKWGLVPSFTGKTEKPDHFRMFNARSESVKEKASFRRLIPKNRCLVAVEGFYEWKKDGSKKQPYYIHFQDHRPLVFAALYDTWTNSEGEIIHTFTILTTRASTSLKWLHDRMPVILGDNDSVNAWLNDASVKLEEITAPYEGADLVWYPVTPAMGKTSFDGPECIKEVRMGPSEKPISKFFTKKSTAHDQSVKPEKTTSEFAETHASRASKVECDESVENQPEDVNQQKSGEKQTTSSTVKDEPVSLEHQVFGKPQSIKDEDTLTSTDITIGKQDDLGIKRKIKDTEVKAEMENSGWSRSQPTTTKKAKGAKVASDGQPSLLSYFARK >PVH31388 pep chromosome:PHallii_v3.1:9:7917158:7922392:1 gene:PAHAL_9G131600 transcript:PVH31388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLSAAQAARAFGFPTTTAAAGPGGGAGDAPAVRTLDLDRFRPSYNVSPGAYLPVGTVRAQPAAGGDGGRADDGAEPVIQCMKWGLVPSFTGKTEKPDHFRMFNARSESVKEKASFRRLIPKNRCLVAVEGFYEWKKDGSKKQPYYIHFQDHRPLVFAALYDTWTNSEGEIIHTFTILTTRASTSLKWLHDRMPVILGDNDSVNAWLNDASVKLEEITAPYEGADLVSPCYALCYYISPCSAGGGTCLAWFCGPPTIKVWYPVTPAMGKTSFDGPECIKEVRMGPSEKPISKFFTKKSTAHDQSVKPEKTTSEFAETHASRASKVECDESVENQPEDVNQQKSGEKQTTSSTVKDEPVSLEHQVFGKPQSIKDEDTLTSTDITIGKQDDLGIKRKIKDTEVKAEMENSGWSRSQPTTTKKAKGAKVASDGQPSLLSYFARK >PAN50957 pep chromosome:PHallii_v3.1:9:68562653:68564757:-1 gene:PAHAL_9G560400 transcript:PAN50957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFRRWLPFGGGCCCCGGGGGGGRGVADGLVWDVPLKAHASGDYSIAVAQANEALEDQAQVLAAPGATLVGVYDGHGGPEAARFVNRRLFSLIQDYAAENGGLSAEVFQKAFGATEDEFVGMVQKSWPSHPRIMSVGSCCLVGAIENGTLYVANLGDSRAVLGRRDDGPGRGKRVVAERLSRDHNVADEDVRREVTEMHPDDSHIVLNSHGVWRIKGIIQVSRSIGDVYLKKPDMCRSNPMLQQSLCPFPLRRPVMSAVPSITTRELRPGDRFVIFASDGLWEQLSDDAAVGIVAGSPRKGVAMRLVRAAQLEAARKKEVKYEKIRTIEKGHRRHFHDDITVVVLFLDRCRGAARSGPEDIGGTYAPVDVFSCSPAGDHEDPTKPVLRR >PAN45148 pep chromosome:PHallii_v3.1:9:5580060:5581506:-1 gene:PAHAL_9G097100 transcript:PAN45148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFMSYVDLKKAAMKDLEAGGDGIELPESGGVTDERLRGFFEEAEAVKAEMAAIRDALDRLHAANEEGKSLHQADALRAHRGRVNADIVAVLRRARDIRARLESLDRANAAQRRLSAGCREGTPLDRTRTAVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELLGAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVETQGEKLDDIESHVANASHYVQGGNKELGKAKEYQRSSRRCLCIGIIILLLLVLLVIVPIATSFSKS >PVH31393 pep chromosome:PHallii_v3.1:9:8042397:8043425:-1 gene:PAHAL_9G133400 transcript:PVH31393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGRASALDAVRALSRPGATPERGAKEVAALVRLVVMTSEALRWKPIREAFGGDWESEIFITREQAELVPRWVDLSYLVFRWEATGARGEEKAWRPRGSRRSASTMRPRHFPSSIFSSGRESCVSA >PVH32145 pep chromosome:PHallii_v3.1:9:42722015:42722886:-1 gene:PAHAL_9G328800 transcript:PVH32145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLQDGTLVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRVGEMEVWAFEGFGVAHILQEILTYKSDHLIARQEILNARIWGKRVPNHEDPPEPFRVLVRELRSLALELNHFLVSEKNFQVNREDV >PAN50257 pep chromosome:PHallii_v3.1:9:65114030:65119982:-1 gene:PAHAL_9G508200 transcript:PAN50257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPALQTPMPSSTAAAAMAATPAGEQSLVFALQPLFLHGISAAAHLVLALAVAGRFLFRRLTLSGGRAKDGGVGEDAGRGTGGFRCYGVAVCTTWALAAFEALVAAYSWYADAAAASWSHDAVAEQVDAAARAAAWLLLAAYVQFDFGRQRHQERFPAPLRLWWALFMLLSVVGVGVHAAACLDGFPVSGRSWALDAVSVIAAVVLLAAGFLGRRDGRGHASEEPLLIGAHEAAGERSGSAADTSLLTGSGFLSVLTFSWMAPLLAVGHTRTLVLDDVPGLEPGDSVAGLLPQFKANLEALTGDGGSSGRKVVTAFKLTKALLRTVWGHVGVTVFYALVYNVATYVGPYLIDSLVQYLNGDERYASQGQLLVLAFIVAKVFECLSQGHWNFRIQQAGIRARSALVAVVYQKSLALSSHSRRSRTNGEMINIISVDADRVGIFAWYMHDLWLVPLQVGMAMFILYSTLGLASLAALGATVVIMLANVPPGKMQEKFQEKLMDSKDVRMKATSEILHNMRILKLQGWEMRFLSKIIELRKTETNWLKKYLYTSATVTFVFWGTPTFVAVVTFGACMLMGIPLESGKVLSALATFRVLQEPIYVLPDTISMVIQTKVSLDRIASFLCLEELPSDAVQRMPSGSSDFAINISDGCFSWEASPEVPTLKDLNFKVRPGMRVAVCGTVGSGKSSLISCILGEIPKLAGEVQICGTTAYVSQSAWIQSGKIQENILFGMEMNKEKYDRALESCSLNKDLEILPFGDQTIIGERGINLSGGQKQRIQIARALYQDTDIYLFDDPFSAVDAHTGSHLFKECLLGDLASKTVVYVTHQIEFLPSADLILVMKDGRIAQAGKYDEILGSGEEFMELVGAHKDALTTLDAINAMNRGNMSSSCSGTAKLKLSRSLSSCEKKHKANEDEGNAQSGQLVQEEEREKGRVGFWVYWNYLILAYRGALVPFVLLAQILFQTLQIASNYWMASAAPVSKDVEPAVSMSMLLYVYVALALGSSLCILVRSLFLATVAYKTATSLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTNIAGQMGSVAFSIIQLVGIVAVMSQVAWQVFAVFIPVFAVCVWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVATNSHLMDAYSQPKFYNSGAREWLCFRLDVLSSLIFAFSLIFLINLPTGLIDPGIAGLAITYGLNLNMLQALVVWGLCTLENKIISVERILQYISIPAEPPLFMSGDKLDHNWPSNGEIQLYNLHVKYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTIGQILIDGVDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALNCCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRSKVLVLDEATASVDTATDNLIQKTVRQQFLETTVITIAHRITSVLDSDMVLLLDDGVAVERDTPTKLLEDRSSLFSKLVSEYTMRSMQT >PAN51434 pep chromosome:PHallii_v3.1:9:70586429:70589654:-1 gene:PAHAL_9G594100 transcript:PAN51434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTLNPHRHPAAASPTPAPVPRRGHSPQPILHLPPRRRLAGGAARPRAVAAAVSGAVNEARRRGRPPHGAGEGGKEADLATLGNLCVDVVLSVPQLPPAQREERKAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLQAEGISVVGMLENADATACRQAYETLLCWVLVDPFQKHGFCSRADFSEEPAFSWIRKLPADIRTAIHHSKILFCNGYAFDEFFPDVIASSIDCAIDAGTSVFFDPGPRGKSLLNGTLDEQRALEHALRLSDVLLLTSDEAESLTNVKNPIEAGQELLKRGIRTKQVVIKMGSKGSIMITKNAVSCAPSFKINVVDTVGCGDSFTAAIAFGFLHDLPAVNTLTLANAVGAATATGCGAGRNVAHLDKVQQLLRESDLNEDTAWSELIEASSCCPEVTVLSRTAVNGFSEHLVHVPVCDVVSDLLPMFEAVSERSTVQA >PAN45687 pep chromosome:PHallii_v3.1:9:8171887:8175885:-1 gene:PAHAL_9G134800 transcript:PAN45687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDNTVKIWNVDGFKLERTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS >PVH33224 pep chromosome:PHallii_v3.1:9:71045373:71054105:-1 gene:PAHAL_9G600900 transcript:PVH33224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGWAPARSVERHGAPPPRGGGGGGTRSKSVAPGPRRPSPSPARSRPAPDNGGSSDSCRVRVSVRLRPKNSEDLAHGSDFDSCVELQPESKRLKLKKNNWSCESYKFDEVFSENASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTVGQLGKDDPSERGIMVRALEHILSSMSFETDRVAISYLQLYLESVQDLLAPEKTNIPIVEDAKTGDVSLPGAAVVEIKDLEHVFHLLQIGEANRHAANTKMNTESSRSHAILIIHLQRSSRIKEENSSLSNDTHNIFPDDLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRILRDSFGGTSRTSLVVTIGPSSRHYSETSSTIMFGQRAMKVVNTIKLKEEADYEILYKKMEREVDQLTSEMERQQKLIKSEKMQLDKKFKESERSFHDLRMTSNIRIENLEKEKCQLESTVKTLMRDLEEEKGQKNLLSEQIAELEKLLNKNKQQQLENLSRTKILTDTTKEHEKEMEELLRQLQEERHCSSSLKDRMSVLQQELCDAQSSAQLQESMARELEKKLTKVTEELTSQVQSLKEKISGLISEKEVIYEELKSTQEKVQQEMWQRQGLEDQIRRLERSTSDNYAEESKTSYGMVRSGSGLGNAAFVSKSGKIREALSGQRGTISKIFEEVGLPNVLALLKSEDLDVQIHAVKVVANLAAEDINQERIVEEGGLDALLSVLETSENTTIHRVTAGAVANLAMNGSNQGVIMNKGGARLLANVSSKTEDPQTLRMVAGAIANLCGNEKLHLMLKQDGGIKALLGMVRSGHADVIAQIARGIANFAKCESRVISQGHRKGRSLLIEDGVLTWMVANSTRFSASTRRHIELAFCHLAQNEDNTCDIIASGGIKELLRISRESPRDDTRNLAKKALDSNPAFLREIQ >PVH33223 pep chromosome:PHallii_v3.1:9:71044590:71054350:-1 gene:PAHAL_9G600900 transcript:PVH33223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGWAPARSVERHGAPPPRGGGGGGTRSKSVAPGPRRPSPSPARSRPAPDNGGSSDSCRVRVSVRLRPKNSEDLAHGSDFDSCVELQPESKRLKLKKNNWSCESYKFDEVFSENASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTVGQLGKDDPSERGIMVRALEHILSSMSFETDRVAISYLQLYLESVQDLLAPEKTNIPIVEDAKTGDVSLPGAAVVEIKDLEHVFHLLQIGEANRHAANTKMNTESSRSHAILIIHLQRSSRIKEENSSLSNDTHNIFPDDLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRILRDSFGGTSRTSLVVTIGPSSRHYSETSSTIMFGQRAMKVVNTIKLKEEADYEILYKKMEREVDQLTSEMERQQKLIKSEKMQLDKKFKESERSFHDLRMTSNIRIENLEKEKCQLESTVKTLMRDLEEEKGQKNLLSEQIAELEKLLNKNKQQQLENLSRTKILTDTTKEHEKEMEELLRQLQEERHCSSSLKDRMSVLQQELCDAQSSAQESMARELEKKLTKVTEELTSQVQSLKEKISGLISEKEVIYEELKSTQEKVQQEMWQRQGLEDQIRRLERSTSDNYAEESKTSYGMVRSGSGLGNAAFVSKSGKIREALSGQRGTISKIFEEVGLPNVLALLKSEDLDVQIHAVKVVANLAAEDINQERIVEEGGLDALLSVLETSENTTIHRVTAGAVANLAMNGSNQGVIMNKGGARLLANVSSKTEDPQTLRMVAGAIANLCGNEKLHLMLKQDGGIKALLGMVRSGHADVIAQIARGIANFAKCESRVISQGHRKGRSLLIEDGVLTWMVANSTRFSASTRRHIELAFCHLAQNEDNTCDIIASGGIKELLRISRESPRDDTRNLAKKALDSNPAFLREIQ >PAN44102 pep chromosome:PHallii_v3.1:9:1019764:1022708:1 gene:PAHAL_9G017400 transcript:PAN44102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWHHGPAVQACKCPICRRLINLLVPAPLSEQEDDPQLHRILGEIQHYNCIFGGAPRSLTQRLQDLPFFIRRLFRELMDPQRTLPLVFRARMMMMVALSAIYVLSPVDILPESVLGLFGFVDDLLILLIVFLHLAAVYRSLLLYRHGGQ >PVH32824 pep chromosome:PHallii_v3.1:9:64326490:64328013:1 gene:PAHAL_9G496400 transcript:PVH32824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPGVLELSWIRIAYERKRNGAAVSERRWPWVPAGFGAEKNRLVALHHPFHRRHSIRATCALPFPDFPLQVAEAGPDRRWTRGFFSVAWRARIRSVRCDINQVHSPDGAFRRRRSSGAGCGGVGGHGAEKRRGRTRRRWSRGKSHGWRRNERLVPARLRK >PAN46942 pep chromosome:PHallii_v3.1:9:14791204:14793828:-1 gene:PAHAL_9G225700 transcript:PAN46942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSARAEVVRSLMDAKAESGKSFSDVAAETGLTNVYVAQLLRRQAQLKPDTAPKLRAALPALTDDLVNLMMQPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYTEQKSEHMMSRPARKTS >PAN50921 pep chromosome:PHallii_v3.1:9:68369235:68371312:1 gene:PAHAL_9G556900 transcript:PAN50921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLYSAVLNELVSRSVSFLLAKRRERTAATAQEELLLQRLRGLLLRSCTVVEEAERRLVTNNRATMLRHLTALRNETFRGYYVLDGVRCRAASGGGGDGRVRNGDGGGQEEEEQASRRAFVLSRFNPAKRARVPSGDPEDSRARALRLRELQQAVRSLEAMIGDMMEFVVFLTSHYPPVHRQPYSAHLFVDKCMFARHMEKEKVLEFLLQVEPPGAARLGVLPIVGPAHIGKSTLVEHVCYDERVRNHFSLILFYRQNNLMNETVASFRDKCAIKHQTDNKASGQRLLIVIELLEDADEDTWNRLYSSERSMAQGSRMIVTSRSEKIVRFGTTDALRLKCLSTEAYWYFFKMTVFGSDDPGQHPKLASLAMEMASLMQGSFLFANIGAVVLRDHFDTQSWSRAVTRIRQYLQKNVSLFGEYTDDIKDKNHPRFTWSLIKQKPDRYCMLYDIYERASQEEVPEIPYSDMLDGCAQPNGTYEILFWKSRIPPYLNYVCKCEIRDM >PAN46204 pep chromosome:PHallii_v3.1:9:10609246:10611570:1 gene:PAHAL_9G170100 transcript:PAN46204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFDDYWARAYRGDSGVPHSDPQRLVSTWTGAFALGAAACVHHHASALASHIKSLPATWQDMTMMLDQKRWKKILDKKQQQA >PAN50532 pep chromosome:PHallii_v3.1:9:66330921:66332533:-1 gene:PAHAL_9G526100 transcript:PAN50532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRMGALHVVGEPRVSFQQPPAVAAAGKSGGHGPVVEEIHGLIRVYKDGHVERPPAIPDVPCTWGGTAPGAPGGVAARDVVVDRATGVWARLYAPVAGGGLPVVVYFHGGGFCVGSAAWSCYHEFLAQLAARAGCAVMSVDYRLAPEHRLPAAFDDGLAAVRWLRHQAQAAAAPDEVSWWRARCAFDRVFLMGDSAGANIAFHVAARLGQGHLGALSPLAVRGAVLVQPFFGGEVRTASERTMAQPPRSALTLPTSDTYWRLALQAGAGRDHPWCNPLSRAAPSLEALPLPPLLVCVSEADVLRDRNLELCRALRRAGKGVEQAVYGGVGHAFQVLHNCHLSQPRTQEMLAHIKAFVSAR >PAN49993 pep chromosome:PHallii_v3.1:9:61233709:61235686:1 gene:PAHAL_9G451600 transcript:PAN49993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSLLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKRLRERGIDPATHQPLAEPAACRAVFGDVVDLIPATAAPLQAPPLPDPMPLDGVTKLPLDWPVAGAAAPPPSTLPRSSACYLQGGCFDMDALQQHCGGGGAVPAPVVPSASSSSTLTSLAEAEHCNNANVAGATLPWLELGPNAVADAGHVDSYAGALDELRWSEYFDGAFQAAASQQGALQPAGQCVYSGKDDVAVHFDVHGLSNWC >PAN44242 pep chromosome:PHallii_v3.1:9:1560212:1562252:-1 gene:PAHAL_9G027800 transcript:PAN44242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDGAAASRNLTEHSAGGGEKGLPRRGKSSSGRTLNTAQIPLVASHPEVYEPCDDSFALVDALLSDKAQLLALQPRLCMEVGCGSGYVITSLAIMLRQLASGTQYLATDINKHAAETTQATLEAHGVHADVMVTDIVSGLEKRLAGMIDVVVINPPYVPTPDEEIGCKGIASSWAGGLNGRQVIDRILPAVREILSERGWLYMVTLEDNDPSDICHLMSEMGYTSRVVLKRCTEEESLFVLKFWRDPHTGTNASPKSPKSESWFSQLPFKSLWHKGS >PAN44240 pep chromosome:PHallii_v3.1:9:1560212:1562251:-1 gene:PAHAL_9G027800 transcript:PAN44240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGCGSGYVITSLAIMLRQLASGTQYLATDINKHAAETTQATLEAHGVHADVMVTDIVSGLEKRLAGMIDVVVINPPYVPTPDEEIGCKGIASSWAGGLNGRQVIDRILPAVREILSERGWLYMVTLEDNDPSDICHLMSEMGYTSRVVLKRCTEEESLFVLKFWRDPHTGTNASPKSPKSESWFSQLPFKSLWHKGS >PAN46908 pep chromosome:PHallii_v3.1:9:14621442:14638459:-1 gene:PAHAL_9G224000 transcript:PAN46908 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MAAARGARSGVSAAAAVLVSVLLVGGLASGGAGAEIRRQKNVQVALRAKWAGTPLLLEASELLSKERKDLFWDFINHWKELDKGSECSTAKCCAQKIVEDARTLLNEPLSSIFEFSLTLRSASPRLVLYRQLAEESLSLVSIKDSLEQISGHGTGENFDEAAGPNSSGGTCCWVDTGNVPLFNSADLREWLEGLGKLDADSTEQPELFDFDHVYSRANITAPVAIFYGAVGTKCFKELHVQLAEASKQGKVRYALRPVLPSGCQATSSFCGSVGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVPLEDPKTEDLSQEVRGFIFSKILERKPELNAEIMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSIVSSLSRMKLDNSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHGELSLADQFARLKLPQSAAHKILSASPPAESNSFRVDFRSSHVHYLNNLEEDDMYRRWRSNIQELLMPVFPGQMRYIRKNLFHAVYVFDPASACGAETIDMVLSLYQDNVPIRFGIIMYSSRLINGIEESDGTLPVNDGEDTSILITRLFLYIKETYSTQLAFEFLSNIHKSRNGEDYYSEDLIEAHHVEVAFVDSLLSSAKSHPQDVLLKLQKDNMYKEEAEQSSRFVHKLGLYKLQCCLLMNGLVHESNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSAVKKFVSLFASYHQEDSVLNDMKYLQSPGTVDDAKPVTHLLAIDLSSKVGTKLLHEAIRYLMDGTDRARVGLLLYVRSGCSPPILLLKDIFDRTISSFSYKEKVLVFLHELLKFYEAQPTPASSVAGDWTRTMMEMVYSLAAETALSVDDYKAWFKSFSADAVPKGMDKLSDFLFGQLGLVFGSNAVITNGRVFVMNEGEPFLANDLGLLESMEYDLRTKYIFEIIEEVEFAGVDPDDLTSQFYSDIAMLVSSSMSVRERPSERAHFEILHAEHSAIKLNNENSSIHIDAVIDPLSPTGQKLAPLLRILWKQIQPSMRIVLNPISSLVDLPLKNFYRFVLPSMDDFSNTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCMEKDRDPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLIAIDSLRGKLMHIEVQKKKGKEREELLNAADDHHFQEKMDNKGWNNNILKWASSLISGDASSKNKADKITDRKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKDMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDTLRVFYEQLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVGLDSEARQFTARILGDNLTPEATSPPSETPKPDDKDTDQNVKDEL >PAN46792 pep chromosome:PHallii_v3.1:9:13897754:13909498:-1 gene:PAHAL_9G214900 transcript:PAN46792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTAPRAPLPAAASSPSSARQLDPNPSGGRFLAARRLRAVRRLAGAAPSRRAPVVRCSARSPDADAGGERRRRGWDAMLHDAFQGAVRRWSEYVSNYWPPPPAAKEAGTGKRVGSSHEEEAMSGDEEESKAEEEEGRWSWEMWKRHFALIEESERLVDELQLQLRTAIYREDYKSAHKLKLAIAATARNDTVGRAISDLNRAIEEERYRDATYIRDHVGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVARSYDTRQLASDGPGFPIFEIYFAEANGEYKLQAVHLRPDDIDSDQLPNMLREKLGIDSINISSSSVGSKHEEVDGSVNMDDQDNDDSNITAGGPAGLKNLSSDSTAIPRIKILKVVPMENVNQDYIINIFDQISEEDDDHDDSEVENESSHDIVDGDNNEGAETVSAEENGDESGDENDIEALISIDFVSENNNDYASHSSAEAFERMPARLERTDRFSFSFYTEEYNKKLDAGKGQQTSNGTVGLHTSQQDDDGFVQLDRVKLSGSNKKLSILQLGIKQHNNKVQQKLHGVTHFSRIQAPISSDPLNGLYVTASGFDSEIISLQRKFGQWREDNSSEEHSDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGDRYQLPHKGIIPRELGVVARYKGQRKIADPGVQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >PAN51598 pep chromosome:PHallii_v3.1:9:71338689:71341925:-1 gene:PAHAL_9G606300 transcript:PAN51598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKARVLRPKLPRASSRRLLGFGGLGIAAAAYVGVDYLRYLSPAWHDRLQPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLAAFLCEAISVRFVSAVMGLQWHRSAAPLPDTGQWLLLSLNEKLPQSIVYLLRAHVITLHHYLMLFIMLGFSVLFDCIKAPGLGIATRYMFTMAIGRLLRTITFIATILPSARPWCAEARYRIPPHPHPWAQKYYVPYASDSNAIRRVITHDMAYAAVQAYPDEYRPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYSVDCVVAIYVGILLWRMTGFIWSARDASRTRRLAKLDEVQSRLLHAAKDSDIDEIRDLLKEVELAGQEKQGFSQRAILAFAAGTIIFTLSCVLLAFTMTSDG >PVH32191 pep chromosome:PHallii_v3.1:9:47203900:47204515:-1 gene:PAHAL_9G342100 transcript:PVH32191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSATQSRGSGASRMVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSFPKLCGFYNFQRQYLDKLEELGIVAIHKFPLAVDIGDEAEEVADASSGRMVMNMRAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PVH32751 pep chromosome:PHallii_v3.1:9:62923783:62927519:1 gene:PAHAL_9G477900 transcript:PVH32751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyacylglutathione hydrolase cytoplasmic [Source:Projected from Arabidopsis thaliana (AT3G10850) UniProtKB/TrEMBL;Acc:Q0WQY6] MKIIPVPCLEDNYAYLTKKAAAVDPVEPEKVLKAASEVGASVDCVLTTHHHWDHAGGNEKMKLQVPGIKVFGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEGEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLIVTLGSLPKSTRVYCGHEYTVKSLKFMLTLEPENEKMKQKLEWAEKQREANQPTVPSTIGDEFEINTFMRVDLPEIQAKFSAKSPVEALREVRKIKDNWKG >PAN49831 pep chromosome:PHallii_v3.1:9:62923783:62927519:1 gene:PAHAL_9G477900 transcript:PAN49831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyacylglutathione hydrolase cytoplasmic [Source:Projected from Arabidopsis thaliana (AT3G10850) UniProtKB/TrEMBL;Acc:Q0WQY6] MKIIPVPCLEDNYAYLIVDDSTKKAAAVDPVEPEKVLKAASEVGASVDCVLTTHHHWDHAGGNEKMKLQVPGIKVFGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEGEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLIVTLGSLPKSTRVYCGHEYTVKSLKFMLTLEPENEKMKQKLEWAEKQREANQPTVPSTIGDEFEINTFMRVDLPEIQAKFSAKSPVEALREVRKIKDNWKG >PAN49425 pep chromosome:PHallii_v3.1:9:61010522:61013764:-1 gene:PAHAL_9G448100 transcript:PAN49425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNLTENRTRNTLIVVVVFGLCSFFYLLGAWQRSGSGGGDRIQNWVNEQTKCAQLPNLNFETHHRTSDLPNNTGSSKIKPFEPCDEQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPDKEKLYCLIPAPKGYVAPFRWPKSRDFVPYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADTYIDQLASVIPIAEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKLPFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWKRTKEDLRNEQRKIEQFAELLCWKKISEKDGIAIWRKRLNDKSCSMKQDKPKIGKCELANDNDVWYKKMEVCITPLPEVNSVSEVAGGQLEPFPKRLNAGPPRITLGSVPGFSVQSYEEDNKLWQKHVEAYKKINNLLDTGRYRNIMDMNAGLGSFAAALESPKLWVMNVIPTIANTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHSNAIFSLYQNKCKFEDILLEMDRILRPEGAVIIRDKVDALVKVEKIANAMRWKTRLADHESGPHVPEKILFAVKRYRVVANKSS >PVH33333 pep chromosome:PHallii_v3.1:9:72919109:72923028:1 gene:PAHAL_9G630000 transcript:PVH33333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPKWGGGDLPRSFHKNSRAFKNEWISGDLLWNSKQDVWTGLSDGLKSYFSKSVASIILFNGDRILFSCSGIAMEHQWFTKFLTTATLVKALNATSKHHDDLKVGPFQSALENLPHGEVLAVGRDISGKIMVETVEMNGDSRVSKDDKDLYCKISKPWEGGPLLSVDGNMVGMNLFLTNRRAVFLPWGTTLKEFVQKKIVLSVYQSKHLVTIGMYHRFTVVLYYVCLISCANVENCMLILPGARSIGEKSNSHPEVHGDFLNQEQLDLDSMGYPKLPSSMLGAGMILVNSFEDPFGDIRGEGVWRKFSKRASILNHNVVALASFNGEKRFFSCTCFFIEWNGSTMILTSASLVRDSGDENKIDENLRIEVLLNNQSKEGKLEHCNLHYNIALVSVKCRALRPLNTSLDWESSYRVAAVGRCFKSGTLMATSGCLAGIGGPLVNLDGNVIGMNFYDTRIGTPFLESGGDIGNASGANFWRMPRDVKNKVNRWPVPKPRWCHPEDVESDDNDKLAFDSFGQLQCSYILGRKVKLLGLTIPLSAPVIEAKSTDVLQLSYSSAYVS >PVH31916 pep chromosome:PHallii_v3.1:9:19547601:19548405:1 gene:PAHAL_9G260500 transcript:PVH31916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTSNASDSFRTDAWRDVLCIFFYYRFYSLLTHFYLIHRFLLRFGLIHGLLLFPALLSPIEDSLTGCGARCSAYLLLNARR >PAN50213 pep chromosome:PHallii_v3.1:9:64981364:64984900:-1 gene:PAHAL_9G505500 transcript:PAN50213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKGGSAPSPGGTGAAAGTGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRVVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVIIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATIFMEDNVVGVTIQLAKKDFKIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSIMIFRNPVSITGMLGYTLTVIGVILYSESKKRSNKP >PAN50591 pep chromosome:PHallii_v3.1:9:66593910:66601287:-1 gene:PAHAL_9G530400 transcript:PAN50591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLTVSYLGDLGLIQFKDLNAEKSPFQRTYAAQIKRCSEMARKLRFLKEQMSKAEISTSPTQLNETHLEFDDLEIKLGELEAELTEVNANNEKLQRTYNELLEYSTVLQKAGEFFYSTQRSAAAQQRDIEANQSGQTSLESPLLEQEMSMDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQEPIDELVTDPVSREKVAKNAFVIFYSGERAKAKILKICDAFNANRYPFPEDVTKQLHAVQEVSGRISELKATIDMGLAHRDSILKSIASDFEQWNHLAKREKAIYHTLNMLSVDVTKKCLVAEGWSPVFASVQIQDALQRATVDSKSQVGSIFQVLNTKESPPTYFQTNKFTSAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATLYLIIREKKLASQKLGDIMEMMFGGRYVIFMMALFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCRDATTEGLVKVRGTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGILMSYFNAKFFRNSLNVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDELGENQLFSGQKTVQLVLLLLALVSVPWMLIPKPILLKRQHERRHQGHQYAMLQDTDESVGAELGEHHDESHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYDKVLLLAWGMNNVIFLIIGIIVFVFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFTLIREEED >PAN50609 pep chromosome:PHallii_v3.1:9:66702470:66709770:1 gene:PAHAL_9G532200 transcript:PAN50609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFLSKDTHAQTRFNWPWRSQSPLSAQLIVDIPPEVELSDYRQLPSSGSESPSGLLHGEGFKEEPIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVLFMVCCIGFFFLFVDWDTLIHLKCGVEALESGEKPCDLMKVIKHDPLVPFTLPKMITVGSMVILTSYGLTNFLKFFVQLRSTLNVRQFYYDRLKVNDLEIQTISWPRIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGILSFPIRSCVPGAGPTARSHQHGRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKEFLTSPDVLKKQFIFVGIAMLILSPCLVIFPLVYVILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNGAVHSLNYLKQFPTPLVSIMAKFVSFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGAIAAVSRKVVVDELQVIDPEGAMCLAVHQTHYMPKRWRGKESSELVRREYDTLFPYTIIMLLEEMASIFITPYLLIFELPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFKRHGNRNYGSPFNGLKSLRSSQGKMEKSFLSFQSVYPSWEPNADGKQFLSILQKFKERQIRQQALAQYQAMEASGFVAGTRSQTFQQLRSGIHGHAEATLPPVYNLGPLGLLDTDQRTHPYILDWYYTCHPPHSDAAETPQFEQAFPETGVSTSPPARETSEIEEVGNWEYELYERVRSHLGTSTSSALFQNAPVKHHDIEENSNSHWWDHAPTYSGGPQGSFLEPPEFGNRYMSDPHSSKHSGGISEGSTEDLERSNSRSGGGGGWRSPQALSKTRYMDDSDIEEELSLHFADAHHKDEDDRHLVADHQDPTPAGLHVRIIPRSSDPV >PAN47434 pep chromosome:PHallii_v3.1:9:30813427:30814894:1 gene:PAHAL_9G290700 transcript:PAN47434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASVLALLLVPRPVSARPFSLHLHSCHLALPPPQLATLSTQAATHPRKAGAGHLQQLHAASCCSNSAPDAGTSGGSATNAKDWQFFLAWYLMSLDKNPIATKAVTSAVLTLAEDLICQLDLRRIFVFIFLGLALVGPTLHVWYIHLSKLVAISGASGAIARLILDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKLEWLSSVLANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVIAKGYY >PVH31565 pep chromosome:PHallii_v3.1:9:11369671:11370147:1 gene:PAHAL_9G180000 transcript:PVH31565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRRAARGLQRSWAGAGAAVRGAGPCPAGRRGDLRRRWRIRTASGRAGNAGAGQADEASCSAGAGAGLRPVARATPKLGHGGRFGRAWRCGGGTEAGAADREIGGRNDESSPTPVSLIFRLGACADIVSCMRHRFHIFSSLSSLILSPHQTFANTS >PAN51339 pep chromosome:PHallii_v3.1:9:70222438:70223130:1 gene:PAHAL_9G586700 transcript:PAN51339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATSVLFVLPLLATLLATASAQDYTPQAPSPLPDSQNPPPTPPPESVYIPPPTSPPPPVASSPPPPSPPPPAASSPPPPSPPPPAASSPPPPSPPPPASSPPPPSPPPPASSPPPPSPPPPVSSPPPPPPSPPPPPPASNWTPVANVNDPTIQQVAQFAVRIYALSTKELKMQLLSVVSGETQPYDGGYNYRLVITVTGGKKTQYDAFVWGILGTMSWKLWSFTPHVI >PAN46767 pep chromosome:PHallii_v3.1:9:13775851:13776903:-1 gene:PAHAL_9G212900 transcript:PAN46767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGWAWVAEVAGEELAKLEAAHPGRFGPLKAELERLVADPGLDAAAFPSLASLRAAAATDDDGTAPASSQPAPFPEGLICTQESSTRKRKPPAGGAVREREEGKRRRRTGTPPGGTKDRAELAIERAERCLERIRAIKRGLLAAWIH >PAN47389 pep chromosome:PHallii_v3.1:9:22678624:22679148:1 gene:PAHAL_9G270700 transcript:PAN47389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFKKNNKVTLVLAAVMIMATLLASSCNARVEPLDGTVKTCYMPTLNGCKSDQCNALCKRLSGNKSSYCDVSGSCCCLGST >PAN44279 pep chromosome:PHallii_v3.1:9:1762133:1762576:-1 gene:PAHAL_9G031400 transcript:PAN44279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGVAVAEECTARFQELRTARAHRFVVFKIDDSLEQVVVDKVGARDAGFDDLTASLPADGCRYAVYDHDFTVGDATAQGAAGEAPRSKIFFVSWSPATADVKSKMVYASSCEGFKKELDGVQIDLQATEPSELTLDVLNDHVS >PAN49452 pep chromosome:PHallii_v3.1:9:61134812:61137208:1 gene:PAHAL_9G450200 transcript:PAN49452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTLAPLSKSAHTMDQEIQLTKQPSQRQQKQELPPEDGTAAQDHTSQDQQQQREQAGGDAGRHHRSKLTVLPLVFLIYFEVAGGPYGSERAVRAAGPLFTLLGFLVFPFLWGVPESLVTAELSAALPGNGGFVRWADRAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGQVIPAVAGPGRARTGTVVGMTVLLSFVNYTGLSIVGWGAVALGFVSLAPFVLMTGIAMPKMRPRRWAAQVKGRNKDWRLFFNTLFWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAASYLLPLMAATGATDSPPEAWENGYLADAAGIIGGAWLKYWIEAGAVLSSIGMFEAQLSSGAYQLLGMADLGLLPAVLARRATRFRTPWVAIAASAAVTLAVSFLSFDDVVASANFLYSLGTLLEFAAFLWLRARRPDLKRPYRVPLPLPALAAMCAVPSAFLAYVCVVAGWRVFALAGALTALGVGLHGAMKLCRSREWLRFNTAVVAVAAEEDHRGCVSAGAGDRV >PVH33100 pep chromosome:PHallii_v3.1:9:69118065:69124678:1 gene:PAHAL_9G568500 transcript:PVH33100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCQFPQGVHPNTSKPAMEPMAGKTSVPERAGFADLVLQVPEPPSLWYELTGMLRKAVRYRCADKHFTFSVSVMSILHSLFPILEWSKSYSLKSFRSDIMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSAVPPLVYAVMGTSREIAIGPVAVVSLLLSSMVQKIADPAIDPASYRKMVFTVTFLTGVFQFAFGLLRLGFLVDFLSHAAITGFMGGAAIVIGLQQLKGLLGLSHFTSNTDVVSVTRAVWVSVHEPWHPENFFIGCSFFLFILGMRFIGRKNKKLFWVSSIAPVLSVALSTLMVYLTRADSRGVKIIQKVDAGINSSSVKQINLNGPYVTECAKIALICAVIALTEAIAVGRSFSVINRYKLDGNKEMVAMGFMNVAGSLSSCYVATGSFSRTAVNFTAGCKTAVSNVVMAATVMVALELLTKLLFYTPVSILASIILSALPGLINVQKVCILWKVDKMDFITCMGSFLGVLFGSVEIGLSVAIGVSFAKVIVHSVRPQVQILSRLQGTDIFCNIKQYPMVCQTPAVLTTRIDTSFLCFKRQFHQRKDYRMGNLEA >PAN49482 pep chromosome:PHallii_v3.1:9:61287592:61287874:1 gene:PAHAL_9G452400 transcript:PAN49482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAVTVVRIILAIILPPLGVFLKHGIKIEFWICLVLSFFGYLPGIIYAVWIIVK >PAN51102 pep chromosome:PHallii_v3.1:9:69204123:69209050:-1 gene:PAHAL_9G570200 transcript:PAN51102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCTAAIALVVALNLAQYTSLAAAAGGGPRVIIVGAGMSGISAGKRLCDAGMTDLLILEATDHVGGRMHKQNFAGINVEVGANWVEGVNGGKMNPIWPIVNSTLMLRNFRSDFDHLAQNVYKEDGGLYDEHYVQKRIDQADNVEESGKKLTGTLHTSGRDDMSVLAMQRLYDHQPNGPATPVDMVVDYYKYDYEFAEPPRVTSLQNTVPLPTFSDFGDDVYFVADQRGYESVVFYLAGQYLKTDKFGKIVDPRLKLNKVVREISYSPSGVTVKTEDNAVYLADYVMVSASLGVLQSDLIQFKPQLPSWKVVAIYQFDMAVYTKIFVKFPKKFWPEGEGREFFLYASSRRGYYGVWQEFEKQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIMGVLRRMFPGKDVPDATDILVPRWWSDRFYRGTFSNWPIGVNRYEYDQLRAPIGRVYFTGEHTSEHFNGYVHGAYLAGIDSAEILINCAQKKMCKYHVQSKYA >PVH31103 pep chromosome:PHallii_v3.1:9:3313058:3313608:1 gene:PAHAL_9G058100 transcript:PVH31103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFAASGEPPLVLAASVHCAMREAIRAARKEFSVCAGPANSAATFQMDVPATMPVVKELCGLDVVERYLESICAAGPNIAEA >PAN49485 pep chromosome:PHallii_v3.1:9:61294632:61298553:-1 gene:PAHAL_9G452700 transcript:PAN49485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECAPAAAGAAPTTAKTSVWWDIDKCAVPRGRCDPHRIAHNLIAALAAAGYAGPVSIAAYGDAARVPPPVLAALSATGICLNHVPAGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLVRPPNASSQALAAAAKKIWLWENLTAGELLLPEPAPARSVLGCKLNVNSSDTLKCSQSKVCSKYGKGDSNGNAGNQNRVKPLQKYVKKASSSSTPAITQDRVAPAGGVSESYIGSTSSEIDHFSASSLSSSSSDTPECAKVATSVPLATLSKLSSQKSVFSTHSQQVEATHRSVPGEKPSTSSERVPRNATLDLVASNGHYNETSQHLRYSEAQNKLHSEFTTGGNKGKAVNRPGVKPLQKYVKKTNATFGSANKQVGSVGVHERPIGNSTIGLVQASNSSLSTSTLPHSSAQKPVASAHSHQVKAPHESILGKKPSSSVEQVKAPHEYIFGKPSTSVEQVRLPHESIHAKKPSSSAEHASRNRIHDFDVGTANYHPEYQQSQSSEAQNKLPCYSNMGDSTGKLGNEHKLNVSSASASNEINPSNGLLDNSKGSLLSYPSQSLSAISSSKSLESAKVNDSSPLLLAHRSLMTEHLDQDGAASAFGNKTSTSFQCTAKNETFVFGVSSGQYHPTYQQAQSSLLSEQHNSAILAEVGTNLVYPVTCKVMDILEISQDIRQILLRMKVL >PAN49487 pep chromosome:PHallii_v3.1:9:61295184:61298369:-1 gene:PAHAL_9G452700 transcript:PAN49487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECAPAAAGAAPTTAKTSVWWDIDKCAVPRGRCDPHRIAHNLIAALAAAGYAGPVSIAAYGDAARVPPPVLAALSATGICLNHVPAGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLVRPPNASSQALAAAAKKIWLWENLTAGELLLPEPAPARSVLGCKLNVNSSDTLKCSQSKVCSKYGKGDSNGNAGNQNRVKPLQKYVKKASSSSTPAITQDRVAPAGGVSESYIGSTSSEIDHFSASSLSSSSSDTPECAKVATSVPLATLSKLSSQKSVFSTHSQQVEATHRSVPGEKPSTSSERVPRNATLDLVASNGHYNETSQHLRYSEAQNKLHSEFTTGGNKGKAVNRPGVKPLQKYVKKTNATFGSANKQVGSVGVHERPIGNSTIGLVQASNSSLSTSTLPHSSAQKPVASAHSHQVKAPHESILGKKPSSSVEQVKAPHEYIFGKPSTSVEQVRLPHESIHAKKPSSSAEHASRNRIHDFDVGTANYHPEYQQSQSSEAQNKLPCYSNMGDSTGKLGNEHKLNVSSASASNEINPSNGLLDNSKGSLLSYPSQSLSAISSSKSLESAKVNDSSPLLLAHRSLMTEHLDQDGAASAFGNKTSTSFQCTAKNETFVFGVSSGQYHPTYQQAQSSLLSEQHNSGTHPHIVSHRGSSAPRSVAHNGVPSAQIQTWSSGSNFDGLDYICNGFSRLNIFECPRGTAETRPPFQGAPTNDPSMGMPDISGHSTGFHESISSFHFGSNTSSYLNHSSDPHSSHSPCSDTCRGGHQPSLSSDMQSYGHSGDKPGYPPNSPKNEGTIGIILHALGILKTEKIFPTESNIADCICYGDINLTSFDVKKALELAIRHEAVVMKKLLHDMPLFVAKDESLWKCVNVTNSKAKNPIEELEMVHKYISTSGGYSGIKNSQSRYQAAMILKRSCLQHCALSNILQILHIIIVRKKWIVPHSSGWQPLSINTTADIATTDATGKVKS >PVH32656 pep chromosome:PHallii_v3.1:9:61294632:61298090:-1 gene:PAHAL_9G452700 transcript:PVH32656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPHRRLPGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLVRPPNASSQALAAAAKKIWLWENLTAGELLLPEPAPARSVLGCKLNVNSSDTLKCSQSKVCSKYGKGDSNGNAGNQNRVKPLQKYVKKASSSSTPAITQDRVAPAGGVSESYIGSTSSEIDHFSASSLSSSSSDTPECAKVATSVPLATLSKLSSQKSVFSTHSQQVEATHRSVPGEKPSTSSERVPRNATLDLVASNGHYNETSQHLRYSEAQNKLHSEFTTGGNKGKAVNRPGVKPLQKYVKKTNATFGSANKQVGSVGVHERPIGNSTIGLVQASNSSLSTSTLPHSSAQKPVASAHSHQVKAPHESILGKKPSSSVEQVKAPHEYIFGKPSTSVEQVRLPHESIHAKKPSSSAEHASRNRIHDFDVGTANYHPEYQQSQSSEAQNKLPCYSNMGDSTGKLGNEHKLNVSSASASNEINPSNGLLDNSKGSLLSYPSQSLSAISSSKSLESAKVNDSSPLLLAHRSLMTEHLDQDGAASAFGNKTSTSFQCTAKNETFVFGVSSGQYHPTYQQAQSSLLSEQHNSGTHPHIVSHRGSSAPRSVAHNGVPSAQIQTWSSGSNFDGLDYICNGFSRLNIFECPRGTAETRPPFQGAPTNDPSMGMPDISGHSTGFHESISSFHFGSNTSSYLNHSSDPHSSHSPCSDTCRGGHQPSLSSDMQSYGHSGDKPGYPPNSPKNEGTIGIILHALGILKTEKIFPTESNIADCICYGDINLTSFDVKKALELAIRHEAVVMKKLLHDMPLFVAKDESLWKCVNVTNSKAKNPIEELEMVHKYISTSGGYSGIKNSQSRYQAAMILKRSCLQHCALSNILQILHIIIVRKKWIVPHSSGWQPLSINTTADIATTDATGKVKS >PAN49486 pep chromosome:PHallii_v3.1:9:61294632:61298630:-1 gene:PAHAL_9G452700 transcript:PAN49486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECAPAAAGAAPTTAKTSVWWDIDKCAVPRGRCDPHRIAHNLIAALAAAGYAGPVSIAAYGDAARVPPPVLAALSATGICLNHVPAGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLVRPPNASSQALAAAAKKIWLWENLTAGELLLPEPAPARSVLGCKLNVNSSDTLKCSQSKVCSKYGKGDSNGNAGNQNRVKPLQKYVKKASSSSTPAITQDRVAPAGGVSESYIGSTSSEIDHFSASSLSSSSSDTPECAKVATSVPLATLSKLSSQKSVFSTHSQQVEATHRSVPGEKPSTSSERVPRNATLDLVASNGHYNETSQHLRYSEAQNKLHSEFTTGGNKGKAVNRPGVKPLQKYVKKTNATFGSANKQVGSVGVHERPIGNSTIGLVQASNSSLSTSTLPHSSAQKPVASAHSHQVKAPHESILGKKPSSSVEQVKAPHEYIFGKPSTSVEQVRLPHESIHAKKPSSSAEHASRNRIHDFDVGTANYHPEYQQSQSSEAQNKLPCYSNMGDSTGKLGNEHKLNVSSASASNEINPSNGLLDNSKGSLLSYPSQSLSAISSSKSLESAKVNDSSPLLLAHRSLMTEHLDQDGAASAFGNKTSTSFQCTAKNETFVFGVSSGQYHPTYQQAQSSLLSEQHNSGTHPHIVSHRGSSAPRSVAHNGVPSAQIQTWSSGSNFDGLDYICNGFSRLNIFECPRGTAETRPPFQGAPTNDPSMGMPDISGHSTAILAEVGTNLVYPVTCKVMDILEISQDIRQILLRMKVL >PAN47388 pep chromosome:PHallii_v3.1:9:17880021:17881336:1 gene:PAHAL_9G254300 transcript:PAN47388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHLSAVHNLATCIARPGCSRMLLRQQNHLPGFLVLLPSVASSLVSIRAIIAFASSEDSRFKGPWLFDLQLANLQIALENLLKYPLII >PVH32122 pep chromosome:PHallii_v3.1:9:39909261:39909752:-1 gene:PAHAL_9G321400 transcript:PVH32122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESESSREPTPEYDPIAAYEVRAPLHWDAEEWDFRYQLEDDESLTDGEDLTLFLEAELEEDEDDASWGEDLSSSEERADSISSEEDPMVGTFLFGRSSNDTSNGSEEVEDDDSFSSGGSGDGDDGSSDSSSSDTSLALPSKRRKTSGVYWW >PVH31997 pep chromosome:PHallii_v3.1:9:29813489:29814113:1 gene:PAHAL_9G286500 transcript:PVH31997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEPRASWFSPKCVEAQQLTGHLGVKHCFGAGCASGTKSRQTLNTRYDPNRGQGRPVRRWGISFIVERETAQITS >PVH32032 pep chromosome:PHallii_v3.1:9:31808719:31809162:-1 gene:PAHAL_9G297000 transcript:PVH32032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISITPPRRDSPLFFPATATVMRKVEEKLARAPPPSKAVAREQEFARAPPLSMGAARERSSQERCHRGGVAPSLAMENPWQDRSICLRWWGQPSDLVVQARAIAVSEQPPLAGSIYFSPAASHRPTTHRKLDLLGSAGARFLVLVVL >PAN46724 pep chromosome:PHallii_v3.1:9:13581645:13583214:1 gene:PAHAL_9G210300 transcript:PAN46724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLAVVAATANPGAALVVGTTGVHHPPLLDCTPAPAPPPTRNGSSFRANLAAALADLPPSPRPRQGAPPPCSPSRPAPTARSRAASASAARQRAPPRPAPAPASRAWPRRPRTSAAAAATPAGAPASGAPVASWPTRTPAPPPPTRTRSAAGSTPARRRRGRWPAACAWATACRRTAPGACTTRCERRRRWGGSQGSAETR >PVH31578 pep chromosome:PHallii_v3.1:9:11468955:11473465:-1 gene:PAHAL_9G181300 transcript:PVH31578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASPAAGYGPDGVYRSPRPVAPIAADPELSITDLVLHRAAACPSALALVDAATGRALTFGALRSAVLVAATALSSRARVRRGDAVLLLAPNCILYPVCFLAVTALGAVATTANPLYNPREIAKQAADARAKLVVTVSDLLPKIVDLRLPTILLDGDGASLPNVTLYSDLVAGVQETEYRRPPTRQSDTAALFYSSGTTGESKGVVLTHRNFIAAATMVTSDQDQLGEGRNVLLCFLPMFHIFGMSVVTLGQLQRGNTVVVMARFDLDAVLAAVERHRVTYLFCAPPVMIALAKHGSGGRYDLSSLRCIGSGAAPLGNDVMEVVADKFPDAEIIQGYGMTETCGIISLEYPQKGRALQFGSTGALVIGVEAKIVDVKTMKHLPPNQLGEICLRGPNIMRGYFEFTIKQRWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHAEILDAVVIPYPDLEAGEVPIAYVVRSPKSSLSEFDVQKFIEKQVTYYKRLRKVTFVESVPKSASGKILRRELIAQVRSSKL >PAN44901 pep chromosome:PHallii_v3.1:9:4555370:4557452:1 gene:PAHAL_9G078500 transcript:PAN44901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSMLTAASLSFSALPASRLRAAPPTSASFAAPRRAAAALVVVRAAKSTAAAEAAPKKKRATGITQPKPVSLALQAIVGAPEIPRTEALKRLWAYIKQHNLQDPADKKVVVCDEKLKALFAGRERVGFLEIAKLLNPHFVK >PAN49934 pep chromosome:PHallii_v3.1:9:63539717:63541627:-1 gene:PAHAL_9G486000 transcript:PAN49934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQPEPAQRRASPSTCSSTATRRSIGCMAGLLRLISPYHRSHHRKRLTAKDNAAKASAPSQPPSPTKKKAAAPASPAPSPVKPAQQPRQQPPAAVRRRRSCDAPRSPTIAPEHRRSSCDSPRPPPPAIVARLMGLEESAPPSPAAAAAPRPVVPARPPPPPPPPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAAAASDVAPAAAGTPPPGKGAAKWMVNRDEQSPSPPTPQQHKLRAGEQQQPSPDSVLDAISSPRFPCRKRRPSPCTDLDAGGKAGGGNGAVAPAVGSKIVKPSRTLVFTGEYCKIKSGDELQLRAVHHPVPLVAGMPRSAGAESWRHHRRRWELELEAAAAGRVISRAMAESAGEAMWGPQGGDERRRERAMVAAALERAIVQDLVADLVSGLLAQSGRGHGHGAGCRKRLCF >PAN46597 pep chromosome:PHallii_v3.1:9:12588110:12590029:-1 gene:PAHAL_9G196400 transcript:PAN46597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIASSKVVTLGALIFLLLVSYGSCTRIVNFNASHITADPYWVAARATWYGAPTGAGPYDNGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCLNHPACSGNPETVAITDMNYYPVAKYHFDLSGTAFGALAQPGRNDELRHAGIIDIQFKRVPCIYPGLTVTFHIEHGSNPVYFAVLVEFEDGDGDVVQVDLMEANSGWWTPMRESWGSIWRLDSNHRLRAPFSLRITNESGQKLVAYQVIPANWAPNTYYRSNIQYQAMSSGAGLDMSSGAGLDISSTAGLVISSAAGLDTKILGISGLICLVLFSLHGIEVP >PAN50872 pep chromosome:PHallii_v3.1:9:68157583:68163690:1 gene:PAHAL_9G553600 transcript:PAN50872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEEKCSPKEICDKYHAIHSEVYKWFNIKFDKFGRTSSPEQTEVCHAIFHKLMENNWLTENTMQQLYCDTCQRFLADRLVEGTCPNKVCNAAARGDQCETCSTLLNPTELIDPKCKVCKNTPRVRDTDHLFLELPLLKDKLVNYINETSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTIMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPPEVWRYYLLMNRPEASDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDVPNAESHPLTKAYAEKTSKWVEQYLDAMEKVKLKQGLKCAMAISSDGNAYLQESQFWKLYKEDSAACAIVMKTSLGLVYLLACLLEPFMPSFSEEVLRQLNLSPEENLSFSEEKGEIAKAKSPWDFVPAGHKIGKPAPLFKELKDEGVALHREKYAGSQAERSSKAAADAEASKVANQLKGTKLSDGGPKKEQKKQSGGSKSKTAEADITVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEDTPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLSGKSKTWEKLAAELHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >PAN50873 pep chromosome:PHallii_v3.1:9:68157583:68163690:1 gene:PAHAL_9G553600 transcript:PAN50873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEEKCSPKEICDKYHAIHSEVYKWFNIKFDKFGRTSSPEQTEVCHAIFHKLMENNWLTENTMQQLYCDTCQRFLADRLVEGTCPNKVCNAAARGDQCETCSTLLNPTELIDPKCKVCKNTPRVRDTDHLFLELPLLKDKLVNYINETSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTIMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPPEVWRYYLLMNRPEASDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGYDSIVPDVPNAESHPLTKAYAEKTSKWVEQYLDAMEKVKLKQGLKCAMAISSDGNAYLQESQFWKLYKEDSAACAIVMKTSLGLVYLLACLLEPFMPSFSEEVLRQLNLSPEENLSFSEEKGEIAKAKSPWDFVPAGHKIGKPAPLFKELKDEGVALHREKYAGSQAERSSKAAADAEASKVANQLKGTKLSDGGPKKEQKKQSGGSKSKTAEADITVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEDTPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLSGKSKTWEKLAAELHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >PAN44272 pep chromosome:PHallii_v3.1:9:1733324:1736015:-1 gene:PAHAL_9G030900 transcript:PAN44272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPVYLDPPNWNQHQQQQQQAHHGQQLPSGSGGGGGVEGHAHHHQQHHQLPPVPPPGALMAPRPDMATIVIAASGGGGGGGAGGGGPTGGSSVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSNSSSAASASATGGTSSSTSSTTTGGSSAAAAAAAIMPPQGGQLPFLASLHHHLGGDHYSTGASRLGFPGLSSLDPVDYQLGASGGVGLEQWRLPQIQQFPFLSRPDAVQPPMSGIYPFDVEGHGDAAGFAGQMLGGSKVPGSAGLITQLASVKMEDNPPSTAMISSPREFLGLPGNLQFWGGGNGASGNNGGTANAGGGGGGGASAPSSSWVDLSGFNSPSSGNIL >PVH31074 pep chromosome:PHallii_v3.1:9:2974218:2976851:-1 gene:PAHAL_9G052700 transcript:PVH31074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPVLKYILVSDGGQFIALYCDVRWLQVETFTSHPGTEPFASDSDVTCGLLLLLIAAARSGGASGTIWKATMPLQLASDEQANLLAFLGQMVASCCS >PAN48915 pep chromosome:PHallii_v3.1:9:58121824:58126846:-1 gene:PAHAL_9G412600 transcript:PAN48915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAARRSIATRLSHHLTRRVHPCVPHALTSHSPDEQASSSSPLPTPLQHRSGAAQTLCFLPFSLHQAGPTHRSFSSSTPAPAPDLELTPAAEVDAAGVLADAAEAAASVPAPFPGEVAAAAAGSFLPVAALQHFIDAVHSFTGLNWWACIALTTLIIRSATIPLLVNQLKATTKLNALKPEMEAIKDQTDTMDLKSAQEGKAKMQALFKKHGVSPLAPIKGLLIQGPVFVSFFLAISNMVEKVPSMKGGGALWFTDLTTPDSLYLLPVVTGLTAFATVEFNLQQGMEGNPMTGKMKNVARGMAFLTVPFTMHFAKGIFCYWITSNIFSLAYGIVIRQPAVRNLFNLPPLEAEPSPATKKSIFNLLGGSPAAESPVAIAGAQRSALGQPDAAALGYKVKNLEKKVKSRGKRKNR >PAN50460 pep chromosome:PHallii_v3.1:9:66007662:66009689:1 gene:PAHAL_9G521800 transcript:PAN50460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGSGGGGSLLRGFLSLFFLLFLHIGHAGCCFSPGSAARAREEDEAADAEADGKVGGGGGSNKRRKISPLIFSPAPSSSAVTNGSARARCGHVSSVAASLRFYLHRIFSSSAAKDGAVAGREEEEAAAVVTTVSSPLAQSLPPQLSASVVLSTPSSPCASSSPFMSPLSVRSLSATPVPSSPQKLLQASRQSSRSFAARGDVFPCKLCGEVLGRPQLLELHQAMKHSLSELTHLDSSMNIIRMIFLAGWKPAASGAAEAPAVRRILRIHHNPRALARFEEYRDLVRARAARRRGEGGGAAVVEERCVADGNERLRFHCSTMLCSLGGGGACGSPYCCVCSTLRHGFAGKQADVDGIATYASAWAAHASLPEDVEREFAFLQVRRAMLVCRVVAGRVGRGAADDKVAYDSMVPARGGGDDVELLVFNPRAVLPCFVILYGS >PAN51846 pep chromosome:PHallii_v3.1:9:72511501:72516673:1 gene:PAHAL_9G624100 transcript:PAN51846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLKLASPKPLAPAPLLRGRGAAQARPLPSRRVPPPACVAVQPPAAPPAPRIGSFDKVLEALIGGTDFSEEDAEATLRLLLEEKNEARIAAFLVLLRAKGETYEEIVGLAKAMLSCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKNLKIKTVFNILGPLLNPARVPHAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPEKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVKDLHDGVALAQETQRSGKAINTLESWIKISNSSK >PAN47380 pep chromosome:PHallii_v3.1:9:17800577:17803224:1 gene:PAHAL_9G253600 transcript:PAN47380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLHSAIISTFVLLVVGQRSNAVLTEAEVFWRTVLPDSPLPDPILKLLHPETSFVNKPKDDTVAEAYSLTWLMWGLRSPSGQTKHSNGAETSFVNKPKDGMAAEAFSLTWLMWGLRSPSGPTKHSSPRPSHGRDHSSDEYLAQGLFFHEELVQVGKTITLYFPLAASAPLGLLPRHVADSIPFSTSSMPSALARLGISNNSVQAANMEETLYMCDLPPKAGEAKFCATSLEALVEGSMAALGTRNIRPMTSDLPRSGAPKQTYIVRAVHPVDGSSFVSCHDHNYPYTVYMCHNTPSTRAYMLELEGARSGLVVTVAAICHADTSHWNSEHVSFKILGTKPGGAPICHYLPYGHNVWVNMEANRSSS >PAN47462 pep chromosome:PHallii_v3.1:9:31294270:31295124:-1 gene:PAHAL_9G293800 transcript:PAN47462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGPGRRSRFAATCALLRQYMREKESQRQVRIGNLARVLQAPPPTPVAPQESDERTMQLFPVPVHATAAMAQPPAYQERPEAAGKTPMTIFYGGQVMLFDHIPAEKANEVMHMAGSSVNAPPAEKVVVDVPEASEPSAGVDQQTIARKASLQRFLQKRKRSRIGGNNPDDHNEDAAPAKKMDAGGNGKRMEDVPDALWLRL >PVH32021 pep chromosome:PHallii_v3.1:9:31293880:31295344:-1 gene:PAHAL_9G293800 transcript:PVH32021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGPGRRSRFAATCALLRQYMREKESQRQVRIGNLARVLQAPPPTPVAPQESDERTMQLFPVPVHATAAMAQPPAYQERPEAAGKTPMTIFYGGQVMLFDHIPAEKANEVMHMAGSSVNAPPAEKVVVDVPEASEPSAGVDQQTIARKASLQRFLQKRKRRIGGNNPDDHNEDAAPAKKMDAGGNGKRMEDVPDALWLRL >PVH31625 pep chromosome:PHallii_v3.1:9:12423360:12423776:-1 gene:PAHAL_9G194200 transcript:PVH31625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAWPSAAGAGRSAAERGRRGKPVQGGARQPELLHHPSSGWLSGERGRRGWSTRSGLGRAAAAGRRGWRGGGRRGRVERCGRRRCGLTRLGGTRPPRVMRRGAEPGCRRPARVLVLRQKKKGTVMWDIQIFGKTYYS >PVH31974 pep chromosome:PHallii_v3.1:9:26029369:26030105:-1 gene:PAHAL_9G277900 transcript:PVH31974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREHIAGGTLRSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRRHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQATQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEPMETHWDKGTQTKNEMDRFLPIKKRSIRTEEESP >PVH31978 pep chromosome:PHallii_v3.1:9:26883501:26884430:-1 gene:PAHAL_9G280400 transcript:PVH31978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYIEEGVSCCRVKMIIPQHPFRPLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLLPTIDPGNSDWDFRTDHHGHLLGDLAEETVRMVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGISEIDSEHGDPVLSPHHSLSGSQSSVGNFDDF >PAN49165 pep chromosome:PHallii_v3.1:9:59602366:59603797:-1 gene:PAHAL_9G430800 transcript:PAN49165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMQTRRRHGSSGELDVFGATSYFAGLPDYCRRPSGPADRRLMIQFQADQVTDGTKMEDAQLVVGLHGDERHTQLGVAKPSAGDEPAKASSSSSSSRELQAIDLGVATGDRRLQGVRVVRGRGDGERWVVRCGAWDEEHHERVLDDAGSSGDPKDDEVGEGEDDGDKKPAGDWESDSSSDLFDLDIE >PVH32565 pep chromosome:PHallii_v3.1:9:59602695:59603384:-1 gene:PAHAL_9G430800 transcript:PVH32565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMQTRRRHGSSGELDVFGATSYFAGLPDYCRRPSGPADRRLMIQFQADQVTDGTKMEDAQLVVGLHGDERHTQLGVAKPSGNSKLAALLSFMASLSSQRASSFRKEPPPPSPRTDNNKLPAAAGDEPAKASSSSSSSRELQAIDLGVATGDRRLQGVRVVRGRGDGERWVVRCGAWDEEHHERVLDDAGSSGDPKDDEVGEGEDDGDKKPAGDWESDSSSDLFDLDIE >PAN50007 pep chromosome:PHallii_v3.1:9:63959639:63968315:-1 gene:PAHAL_9G491500 transcript:PAN50007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISILHRACLRLALLPLPPLRARATALRPPPPPRRHLHLPRRSAMSSAASRLSHIATATAGAAAAGESNEPPPAGSAVAQEDDGLSGDIGYRLPPKEIQDIVDAPPLPVLSFSPNKDKILFLKRRALPPLLDLAKPEEKLAGLRIDADSNTRSRMSFYTGISIHKLLDDGTLGPEKEVHGYPVGARINFVSWSQDGRHISFTVRVDEEDNKSGKLRVWIADVESGEAMPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPVTRGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEYDADLFDYYATSQLMLASLDGTVKPIGRPAVYTSIDPSPDDKYLMVSSIHRPYSYIVPCGRFPKKVELWTVDGKFIRELCDLPLAEDIPITMSSVRKGKRSIYWRPDKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVLSPDEKDVSPRILFDRSSEDVYSDPGSPMMRRTDMGTYVIAKIKKQDGSTYVLLNGTGATPEGNVPFLDLFDINTGSKERIWESDKEKYYESVVALMSDKTDGELSLDQLKILTSKESKTENTQYYLQTWPEKKQVKITNFPHPYPQLASLYKEMIRYQRKDGVQLTANLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVKRGVAHPDKIAVGGHSYGAFMTANLLAHASHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQNYCVNGASKADSDSVADSENKTLSASGGSAAREDLSPEGSSYLPRSLL >PVH32280 pep chromosome:PHallii_v3.1:9:52872536:52874634:1 gene:PAHAL_9G360600 transcript:PVH32280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAEADDKGEAHKLLCRIVQGQPAVLRAGSDWSGLGRSVGGVEYLSNPSWYITWNKHINSCIMPLCIVSFTKHPTIQDLGPMLFPLKFDMQKLRKEFKRFLPISKLQTLDDYYNSNMGNSYNFSKAVCELLVLQCSSVQS >PAN45153 pep chromosome:PHallii_v3.1:9:5594018:5595967:-1 gene:PAHAL_9G097400 transcript:PAN45153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSPAPSPSPSPPSPSPSRLVPQLLVALLQRRRFDATLRASPAFRGFSPDSIAAALAAIPRLLLPRSPRRLCPQRPFPSASSTSCPANRRLTAALTLGFLSWSHDHALPQPVPLSEAPLRAAALALARARALPALFRLLRAHAQLVSTPALTDVIRALGEEGLPRHALAAFHRLRQLRCSPDAQCYNTLIDALCRNGRFKDARFLLDQMERPSARCKPDSYTYTVLISWYCRIGVGTGCRKAARRRIYEAGRLFRRMGEKGLAPDVVTYNCLINGLCKTYRVERAHEVFDEMLKKGCVPNRVTYNSFIRYYSAVNQVDKAVEWMREMVARGHGMASSSTYTPVIHSLCESRRVGEARQFLIDMAESGHLPREHTYKLVKDAIDDAGEEALPEELCQSIEDGITARYRHVMRIKPIMRSVTR >PAN50995 pep chromosome:PHallii_v3.1:9:68725185:68731453:-1 gene:PAHAL_9G563100 transcript:PAN50995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLKENFGGVQAKHSSDEALGRWRKVVGVVKNPKRRFRFTANLDKRSEVTAMKRKNHEKLRVAVLVSKAALQFIHGLAPSSEYKVPADVKAAGFGICAEELSSIVEGHDLKKLKSHGGVENLASKLSTSECDGLATSADKLATRQQLFGINKFAEAESRGFWVFVWEALQDMTLMILAACAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTASSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVITFAVLTESLFRRKISDGTYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDGASDTKSLFSELPDSVMTMLSQSIFNNTGGDVVINQDGKREILGTPTETAILEFGLSLGGDFSAVRQASTLIKVEPFNSAKKRMGVVIQLPGGALRAHCKGASEIILASCNKYLNEEGNVVPLDKATIDHLNATIDSFANEALRTLCLAYMEVQDGFSANDQIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSEEEMTQLIPNIQVMARSSPLDKHTLVKHLRTNLDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNILGQAFYQFLVIWYLQTEGKSLFGIKGDNSDLVLNTLIFNCFVFCQVFNEVSSREMEKINVFEGILNNNVFVAVLGSTVIFQFIIIQFLGDFANTTPLTFNQWIACIFIGFIGMPIAAIVKMIPVGSS >PVH32916 pep chromosome:PHallii_v3.1:9:66207152:66209485:-1 gene:PAHAL_9G524400 transcript:PVH32916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPIQKLALEEPSGSIHPSIHPSRFHANVHRRAQPFASSELLPDRSIDRSMGGHGDHHHQEVGVLVDDDDEELEQHQARVCGGATSGVVEQGAGDAGGGQEAAAGMVFEASSSVGSVSATMAQPQILGWPTPPPAPPQQQLHHHHHNVGGGQAPFFPLLPPLPPQPSPPPPFLADFYTRRALQFAYDHHHSGGASTSSDPLGLGGLYMGHHGGAGMMMPPPFASSPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRADLLPDLIRALKALRLRALKAEITTLGGRVKNVLVITGDGTAAGCEGTVDDDQQEEAAAPMSPQHTVASIQEALRAVMERTASAAAEEPGAAPSGAGAAGLKRQRTTSLSAILENRSI >PAN47604 pep chromosome:PHallii_v3.1:9:19541496:19546081:-1 gene:PAHAL_9G260400 transcript:PAN47604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARASNRPYKISEDSQMPYYNNSATVEENDRFHVMQNNLDHHHSSPDDGSQKINSSNTQIFEAQYCTLESSSANVVYPAQSSTSSHSISPLSGSPLSQHDSHSEHTYSSPPSASCLTEVADLQIKLKELENAILGPELDITSDSPESFLQANVQLRPDNWRQLLGIDAGDLKQLIIACGKAVAENDVFATELLISELGQLISVSGDPMQRLGAYMLEGIVARLASSGNMLYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPYTRITGIDDSNSAYARGGGLDIVGRRLHSVAQSCGLPFEFNAVPAASHEVQLGHLAVRPGEIIAVNFAYQLHHVPDESVSMENHRDRIIRMIKSINPRVVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMSAEQHCVARDIVNLIACEGAERVERHELFGKWKARFAMAGFRPYPLSSVVNNTINTLLQSYNSCYRLEERGGVLYLGWKNRVLVVSSAWC >PAN47605 pep chromosome:PHallii_v3.1:9:19542828:19544456:-1 gene:PAHAL_9G260400 transcript:PAN47605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARASNRPYKISEDSQMPYYNNSATVEENDRFHVMQNNLDHHHSSPDDGSQKINSSNTQIFEAQYCTLESSSANVVYPAQSSTSSHSISPLSGSPLSQHDSHSEHTYSSPPSASCLTEVADLQIKLKELENAILGPELDITSDSPESFLQANVQLRPDNWRQLLGIDAGDLKQLIIACGKAVAENDVFATELLISELGQLISVSGDPMQRLGAYMLEGIVARLASSGNMLYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPYTRITGIDDSNSAYARGGGLDIVGRRLHSVAQSCGLPFEFNAVPAASHEVQLGHLAVRPGEIIAVNFAYQLHHVPDESVSMENHRDRIIRMIKSINPRVVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMSAEQHCVARDIVNLIACEGAERVERHELFGKWKARFAMAGFRPYPLSSVVNNTINTLLQSYNSCYRLEERGGVLYLGWKNRVLVVSSAWC >PAN50846 pep chromosome:PHallii_v3.1:9:68019959:68023564:-1 gene:PAHAL_9G551100 transcript:PAN50846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITLLSLAPTATFLHIPASTTSTSSFVAASGILTSRRAAPRALPLRARPLRRVTVVCSGAAAAAEASDAAAPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDELSLETLKSRGLINPSGRERKLPLKILGDGDVSIKLNIKAGAFSASAKEKLEAAGCNLTLLPKRKKWLSQNYLKNQARAEEYFAKKKGGAGESDDTSA >PVH33029 pep chromosome:PHallii_v3.1:9:68021466:68023564:-1 gene:PAHAL_9G551100 transcript:PVH33029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITLLSLAPTATFLHIPASTTSTSSFVAASGILTSRRAAPRALPLRARPLRRVTVVCSGAAAAAEASDAAAPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDELSLETLKSRGLINPSGRERKLPLKILGDGDVSIKLNIKAGAFSASAKEKLEAAGCNLTLLPKRKKWLSQNYLKNQARAEEYFAKKKGGAGESDDTSA >PAN51371 pep chromosome:PHallii_v3.1:9:70350659:70352539:-1 gene:PAHAL_9G589600 transcript:PAN51371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISPADLLVCSGRCSTERDLRLLHAALIRRRHILPTDDATKVLAKLLRFAAVSPAGDLRHASSLLSLHLPFISAAASHLAFFYNTLMRGFATSSSPGSGIELFTAMRRAGAAPDAFTFTFVLKSFSRCQSPGRLPSDLHAQAIMHGCLGARSSHAHVHNALLHAYASRAAVDDARSVFDEIPVRDVVSFSGLLTAHLKGNRLDSARTLFDQMPHRDVVSWTAMISAYAKAQRPQEALALFDAMPVQPDEVTMVSVVSACTALGDLATGERLRQYVDSNGFGWMISLRNALMDMYAKCGCLTEARALFDGMTVRSLASWNTLISAYASHGDVDSTVTLFHQMLVDDNSVKPDGVTLLAVLTVYAHKGFVEEGRTMFNAMQRGNYGKVELTIEHYGCMVDLLGRAGQLEEAYKMIEQMPIQSNDVVWGVLLGACRMHGNIDMAEKAVQKLRSLNLQEGGYYILLIDMYTAAGRTADAMEVRRAMNETGAKKTTGWSSWTTACLQQ >PVH31988 pep chromosome:PHallii_v3.1:9:28783820:28784008:1 gene:PAHAL_9G284300 transcript:PVH31988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGGPDSRASAARLEAVGGHVVMLIIDGVFIGVHASFTATASCFEGVDYAVMSSGYVRGAV >PAN46382 pep chromosome:PHallii_v3.1:9:11505007:11508413:1 gene:PAHAL_9G182000 transcript:PAN46382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSYLAVGGWFIQVIFDKYLSYKLQRWAANCGIGHELDRLRVALLRTQSVLHRTELAPTLSHSSLPWMQELRDVMYHAEDLLDKLEYNRLHHEMEESSANESSSSPISAFMHSRFHDQGAPASSPEPCWDRSTKVKNKMVDLLERIEQVASGVSEALSLPRNIRSNNCNIMTSSIALGKIIGRDFKAQQLVTTLTSSQDENPVSVVSIVGLGGIGKTALAQHVYNDTRITENFNVRMWISVTYLFDELRITKEMLESASSSRFRHDGTTNLNRLQVALKARLASKRFLLVLDDVWNNDNITIAIEQENWQKLLAPLKDGAKGSKILLTTRSSMVAEMLQSSNIISLEALLVNDCWSLIKSSMFDETSHNINSQLENIGRKIAETVSGLPLAAKVVARQLKYKHSVDEWKQVLQRNAVWEEIMPILRTSYDNLPPHLKQCFAYCSIFPRDWEFEAEQLILQWMAQGFVHPDGCRRMEDIGKEYINDLCNKSFFTVQKKEFASYYVIPPVIYKLAKSVATEECFRIGDDEWIRIPSSVRHLSVHLDSLSALDDTIPYKNLRTLIFLASRTVAPIKASIPQVVLNNVRSIRVLDLSSCMIDRLPDSIRQCVHLRYLNISSTIITTLPEFLCKLYHLQVLNLAGCRLGKLPSRMNNLVNLRHLTAANQIVSSITDVGRLKCLQRLPIFKVTREQTQSIVQLGHLLELQGSLQIRNLENINTPDEAKEAMLCKKGQLSVLQLMWAPDLDEVNTNKEEDVLEALQPHENLKRLDIMGWMGVKSPSWLENEWLSSLKHIFLSGCNAWKQLPPLGQLPSIKTIWLQRLKMLRQIGPEAYGSGSQQEPFHLLEELVLDDMPELNEWLWSGHTMRNLHNVVIKDCNKLKVLPPVPPNLTEITIARKGYWMPYHHDVKLAHATTARSSVSSLCLFNCPLLLARLSSPMTIEITASFGLLRSIITDQMTILRCPLLRERLELIESLDIQDCSEITSFSADDNNILLQLKSLQSLCISGCNTLRSLPSTLSRIQSLYKLVLWNCPALESLPEEPLPLSIRKIEVALCHPLLKERLIKEHGIDWPKIAHIPWVEIDGEILQQKLKIGK >PAN50103 pep chromosome:PHallii_v3.1:9:64457288:64463675:1 gene:PAHAL_9G498200 transcript:PAN50103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSRHTVGNEYALGGRDLYRTADQHDPEAILDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMSTSARGHGLMLRVQQLEAELPLLEKESCQRDYLYVASNRGVDWHSNPRVEHGVVTRGDTPRFIMASIKQCRGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSTLLQEGIQSERRPLKAMEIRPNLQNGEIFRSPNAADTDSRLEAGLSGEAMEEIPTNRRRLKYRQRNGSVFQSFSLHMQNLYEKASSEEKPPALDQSEVRVSGIDSPHSNTEERDIMVDTFISMDKVNATIRKNRPISEEALSRSSDARSAGSSKGYNSEVDMYVDALTTMDSEAETDSEHRDHGHHAFARMDSDKTCSDAQNARLSRSSSFEKKDWSDVASGNRDMSNQHEEAAIVSTPQIKPVVGEHERTSLLEELFEREKPASWDHERSSSLEELLTEDFHASESGVREQATEETGCNSSVTNAASNGTQDITKKSKEAKENSSIATISFKKIASKRSKYVGGMELIASKVGILPRKLSKKHDPFSDSLRTMAKQLLELKYDGTQDSDLYDFEANGEGCDVKYLEMYDSPLEIKESAVHKIPSDSPHDDVGSRRCQQEELNHESEHDVPPTDSPHDSVPDDGNVFQDSNIVSFTGIITSPSSQEEEGCVSTAPDEHSSTEVLNHILEHAQEKSEEHPDREVTEDTDNDVISENASDTGDDLKEAGTYMELMNVEEVEESNKFDAYVLDDETAKYIEEQAISDGMNSSPVSSKQSDDPCRITPLTLSDEDDTVACKVTDSYTPEVEHMTLSETLTDTVVSKVVTESEIDREDAMPDDKQYYLHPESTFGQDAVLSSSEIVVKNGQGPLRSSSMVAVTPELTVNTEENHELHPVVHQETPNSCNSRTEAFGDPPAPDARDIPPPIISSFDWMLNGAMQQSLNVLPAQPTYGSAQETGSSEDAPPLPPLPPVQWRTNKLQMGSSPLSAKIGRPPRPKPPVKHQESQGNSSLDKRNENAEILQENSLRIGSSSQNEMLQAMVPDDHDSNQLLNRDSQENHCQEGDKEYGVEISNLLSSSESECVAEVAPVRSENLHTSQLHELIVIPEEAWSDFGNIKFIPEQEGKHQLSNGVYDCSGLYTAGLSAQKTKDKVETVIDYKVKEFSAADGNKVADLGENKSNGAPKHDNVLNPDLTAQQEKGEHGDYDDRAREFSSALEEELANSPTHPVPKPPRYPLLPVTSHDRSMLRKAPALVQPSSKLSDEKNTILEEIKNKSFNLKPVLAKRPSVMGGPRTNLQVVAIIERAHAIRQAVADDDDEDSWSDE >PAN51477 pep chromosome:PHallii_v3.1:9:70803945:70805600:1 gene:PAHAL_9G597200 transcript:PAN51477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHPAAAAAPAGGKKDLRRLPHVYSKVLELPLPADTDVEVFEGPDAFHFVAAGARGAGVVQVRTVRIHPGVTKVVVQAGGGEEAGADDMEFDRWRSRLPEASRPAMAVAGYVDGQLVVTVPKGRDGGEGADAGQVEATWRCCSGGKISGRLVVVQ >PVH32451 pep chromosome:PHallii_v3.1:9:56846781:56847201:1 gene:PAHAL_9G398300 transcript:PVH32451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPHNIYIEGYYAGSNASEYFVELSKQKMLVRVVLHACNAREQNQNIAVQKNKKQKTTLSLSDIPPSPNPSALCRDQKHSSIQHSNNFTAFWYQ >PAN51738 pep chromosome:PHallii_v3.1:9:72049166:72052961:-1 gene:PAHAL_9G616800 transcript:PAN51738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFRTCRTLSRGPTSHHLNAEEGDGDLATPTAACTSQTKQRDARRRSLTPLHQPLASHDQQSRGGATTRRGSESREGRSAGGPRETEGGRQITRDPIPLLAMAPPAVDPRSGYCAATRSFRSKRADVPLPADRDLDVVTFLASRRHAGTVALVDAATGRRVTFAELWRAVAGAATALAAPPLSLRKGQAALVVSPNSVHFPVAALAAMSLGAVLTTANPLNTPAEIAKQVADARPVLAFTTRDLLPKLPAGLRVVLLEPERLPSDPDAVVATVGEISATTPDPARRRERVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIIMTRFRLEGSDRTEAFLCTVPMFHVYGLVAFATGLLGCGATIVVLSKYELPEMLRAINEYGVTYLPLVPPILVAMVAHPKPLPLGQLRKVLSGGAPLSKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDTGEALPVNRTGELWIRGPYVMKGYFRNTEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEIADVAVIPFPDREVGQFPMAYVVRKKGSNLSGRDVMEFVAKQVAPYKKVRKVAFVTEIPKNASGKILRKDLIKLATSKL >PAN45495 pep chromosome:PHallii_v3.1:9:7363427:7368249:1 gene:PAHAL_9G123300 transcript:PAN45495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGSAAPPAAPHCRRVLRRLLLALALLSLCGRGLRVRAAGADTGGLSRDAFPKGFVFGTATSAFQVEGAAASAGRGPSIWDPFVHTPGNIAGDANADVTTDEYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGKVNEEGVQYYNNLIDYMIKQGLTPYVNLNHYDLPLALQKKYKGWLGPKIVDIFADYADFCFKTFGDRVKNWFTLNEPRIVAFLGYDTGTNPPNRCTQCAAGGNSATEPYIVVHNIILSHATAVARYRNKYQATQKGKVGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGKYPKTMQDIVKDRLPSFTPEQAKLVKGSSDCFGINQYTTYYISDKQTPQQAPTSYLSDWSVQYNFQRNGVPIGQLAHSVWLYIVPTGMYGVVNYLKEKYQNPTMIISENGMDQPGNLTREEYLHDTVRVDFYKNYLTELKKGIDGGANVTAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSAYWFRDMLSGASSKAATPQNGSHTSAGSPAGSAPAASSGLALLVSLLVSLCLLVPSVFIVSSA >PAN45386 pep chromosome:PHallii_v3.1:9:7363427:7368249:1 gene:PAHAL_9G123300 transcript:PAN45386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGSAAPPAAPHCRRVLRRLLLALALLSLCGRGLRVRAAGADTGGLSRDAFPKGFVFGTATSAFQVEGAAASAGRGPSIWDPFVHTPGNIAGDANADVTTDEYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGKVNEEGVQYYNNLIDYMIKQGLTPYVNLNHYDLPLALQKKYKGWLGPKIVDIFADYADFCFKTFGDRVKNWFTLNEPRIVAFLGYDTGTNPPNRCTQCAAGGNSATEPYIVVHNIILSHATAVARYRNKYQATQKGKVGIVLDFNWFLDPLINGKYPKTMQDIVKDRLPSFTPEQAKLVKGSSDCFGINQYTTYYISDKQTPQQAPTSYLSDWSVQYNFQRNGVPIGQLAHSVWLYIVPTGMYGVVNYLKEKYQNPTMIISENGMDQPGNLTREEYLHDTVRVDFYKNYLTELKKGIDGGANVTAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSAYWFRDMLSGASSKAATPQNGSHTSAGSPAGSAPAASSGLALLVSLLVSLCLLVPSVFIVSSA >PVH32467 pep chromosome:PHallii_v3.1:9:57299116:57301247:1 gene:PAHAL_9G403800 transcript:PVH32467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGEKLHHKEANVAHGSAAVYGGAGKGAAKSSSFLYGFFLYVVLPVLVLYFVVIAASQFYNPRCSPEGNVVMASHFMVAKPNVSLNASSSVPPPPAPAAKARVTAEEAPTGLRHIAFGHRRSRKEYIKLWWRPGRMRGFVWMDRPVQEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGHALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPSTPSRAGALRRLFDGPVRLDSAAVAQQSVCYDRAHQWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNAVPEAARLLHAGSRMERRRNVTVTEYERHRVKHPACRWRIADPGALLDHIVVHKKPDPTSGRGYVSPRRNCCRVVSSPKKGKDRSMTIDVGVCRDGEFAKV >PVH32468 pep chromosome:PHallii_v3.1:9:57299116:57301261:1 gene:PAHAL_9G403800 transcript:PVH32468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGEKLHHKEANVAHGSAAVYGGAGKGAAKSSSFLYGFFLYVVLPVLVLYFVVIAASQFYNPRCSPEGNVVMASHFMVAKPNVSLNASSSVPPPPAPAAKARVTAEEAPTGLRHIAFGHRRSRKEYIKLWWRPGRMRGFVWMDRPVQEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGHALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPSTPSRAGALRRLFDGPVRLDSAAVAQQSVCYDRAHQWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNAVPEAARLLHAGSRMERRRNVTVTEYERHRVKHPACRWRIADPGALLDHIVVHKKPDPTSGRGYVSPRRNCCRVVSSPKKGKDRSMTIDVGVCRDGEFAKV >PAN46400 pep chromosome:PHallii_v3.1:9:12127486:12129008:1 gene:PAHAL_9G190300 transcript:PAN46400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLLIPVDNHNTSNKTFVLSCSLTDPIDNRQFLSFSSLQIGTMWICHKIWLLASPREDATPSRCTFLFSAQQRQQLLSHEKDEKPDMHASAATRLRCFLPASITPIRDVYGIKAESR >PAN51894 pep chromosome:PHallii_v3.1:9:72745619:72749649:1 gene:PAHAL_9G627800 transcript:PAN51894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARGSRAAAGSRLLQLRVALVSVLLVAAAAVSRAQLQVGFYDTLCPAAEIIVQEEVSKAVSGNPGVAASLVRLHFHDCFVRGCDASVLLDSTPGNTAEKDAPPNTSLRGFEVIDSAKTRLEQACFGVVSCADVLAFAARDALALVGGNAYQVPAGRRDGNVSVAQETNGNLPPPTASVSQLNQIFGSKGLTQADMVALSGAHTIGNAHCSSFDSRLYSYGPNAAGQDPSMDPTYLAALAQQCPQQPAGADGTVAMDPVTPTAFDTNYYASIVAKRGLLASDQALLADPTTAAQVVGYTNSPDTFQTDFAAAMVKMGAIGVLTGTAGTIRATCRVAN >PAN51466 pep chromosome:PHallii_v3.1:9:70757178:70763194:1 gene:PAHAL_9G596500 transcript:PAN51466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSAEANGGGSAAGARVPVPPARPFLDTFRANLKETFFPDDPFRSVVRERGAARRTLAALRYFFPFFEWAPAYTLGAFKADLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSKDLAVGTVAVASLLIGSMLSSEVSPTENPALYLHLALTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLERFTTSTDVVSVMDSVFSQTHQWRWESVVLGSGFLFFLLVTRFISKRRPKLFWISAAAPLTSVVLGSVLVYLTHAENHGIQVIGYLKKGLNPPSVTSLQFSPPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYNIDGNKEMIAIGTMNVVGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVVMSLAVMLTLLFLTPLFHYTPLVVLSAIIISAMLGLIDYQGAIHLWQVDKVDFCVCMGAYLGVVFGSVEIGLVVAVSISILRVMLFIARPRTTVLGNVPNTMTYRRMDQYTTAQTVPGVLVLRIDAPIYFTNASYLRERISRWIDEEEERTQGKGEMGVQYVVLDMGAVGSIDTSGTSMLDELKKKLERRGMQIVLANPGSEIMKKLDSSKVLELIGHEWIFPTVGEAVSSCDYVLHSHKPGVVMDSAAARESMV >PAN47669 pep chromosome:PHallii_v3.1:9:37631350:37633217:-1 gene:PAHAL_9G316200 transcript:PAN47669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPFRATPAKEEPPPEFLCPITLDLMRDPVAAPTGITYDRAAIGSWLLSGGQRTCPVTHAELRAGDLVPNHTLRRLIQDWCAANHSGGRVDPVPATPEEAAVAEMEGATLAGDADRCAAAARWVRRQARLAEPNRGRLASAGAARALAAAFASFADAAGADATSDVLDAVLAALALVMPMDEESIVAVGSSSASVARLVAVAANGGLHSRLQSVVVIREIISLSCHRYGAGAVDLSANADAIVQVLVKTIRDAICPQATRACLVAAYHLACPGESAAARLAAAGLVPVLVELLVDADRSTAEKALAALDAALLSSDGRARARADALAVPVLVKKMFCVSDAATELVLSALLRMCKKCPEDDMDGTAAAGRRLAIIESLQVGALQKVLLLLQAGCREETKEKATELLRVIVRYQGRVECVDTMDFRGLKRGTTILTT >PAN48381 pep chromosome:PHallii_v3.1:9:54845488:54849711:1 gene:PAHAL_9G378800 transcript:PAN48381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSARNNGTMENNLGYTRSASMDSAGPPLAARSSSMLSRRSSRQASRGSISLSREMGDSILNSMRHSLQSADQLLGDVDSSVLAQLIESGRVLAPEGDVDEDTANTSEHHKVGPLPDDAEVPNNGKSVAAPISLVETKVAISNIPADSCTKVEPYKLSLRLDYAAYMIHLAVFGFLGVFTRYGLQKLFGPDCLALTSDQSPLYPDLPSNMLGSFLMGWFGIIFKADIRNISDHLIIGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAIAGIVLGMFIVNESITVGAETGERLRSLILKYIRERSSIGHKYVWEHWRVDTRTKQSVLLSVMMIVTSILWVLSIVLAVVKVRSLADGALLWMGCSVAPPGVWLRWYLARMNGQGIGKHQSFKWLPIGTLAANVLAAGIMAALAVTSKAVHTKRSTAILSGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAASTFLLSFVLGTLIYSVPVWENHYG >PVH33076 pep chromosome:PHallii_v3.1:9:68651169:68654913:-1 gene:PAHAL_9G562000 transcript:PVH33076 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MARVARLLLVIALLAALLAVVLQLYRVRKPSGQRLWTVEELSVYNGTDEGLPILLGILGSVFDVTNGRSHYGPGGGYHHFAGRDASRTFVSGNFTGDGLTDSLQGLSSSEVNSIVDWRKFYFERYIFAGKLIGRYYDSQGNPTKYLKGVEVKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCDTGYPRLVRRPGDIALTGQVSQRCACFREDELNKPGLVAYEGCDHLSKSCKVN >PAN50979 pep chromosome:PHallii_v3.1:9:68650810:68655179:-1 gene:PAHAL_9G562000 transcript:PAN50979 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MARVARLLLVIALLAALLAVVLQLYRVRKPRLWTVEELSVYNGTDEGLPILLGILGSVFDVTNGRSHYGPGGGYHHFAGRDASRTFVSGNFTGDGLTDSLQGLSSSEVNSIVDWRKFYFERYIFAGKLIGRYYDSQGNPTKYLKGVEVKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCDTGYPRLVRRPGDIALTGQVSQRCACFREDELNKPGLVAYEGCDHLSKSCKVN >PAN46609 pep chromosome:PHallii_v3.1:9:12647251:12653919:1 gene:PAHAL_9G197700 transcript:PAN46609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGGASPRPAPFPAATSATATLLVPASVAMPPPRLAHLRRLLLSLRSAAHHPLARNPGRPLPGPLHPSLLLLPRAMAGAAHAGVATASAEYEEVLGCLGSLITRKVRADTGNRGNQWELMAKYVQILELEEPIAQLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLDGLDISEDKFIRYFWWCWNKLKDETGNDVPMPAYFRFLALLAFKIFSDEKVDVAVLEVGLGGKYDATNVVRAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLMRRASELGVSLQVVQPLDPQKLEDQPLGLHGEHQYMNAGLAVALANTWLEKQGHLDRIHVKHSDTLPHQFIKGLSSACLQGRAQIVPDPQVNSENDKDDNSSLVFYLDGAHSPESMETCARWFAHVTNNDRIQLGSLKQPHADRNSRKILLFNCMTVRDPQRLLPRLLDTCAQNGIHFEQALFVPNQSQYNKLGSLASPPSEREQIDLSWQLSLQRVWESLPHNNEGLNGANSSRASSVFESLPQAIQWVRETAQQNQSTQFQVLVTGSLHLVGDVLRLLKK >PAN44786 pep chromosome:PHallii_v3.1:9:4041618:4043833:1 gene:PAHAL_9G070200 transcript:PAN44786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQSSSRSHRSKKLRPSHSLQVFLFVAVGIWIVYQLTHSYGKRRVVAVETDGRGGEPARRRLGRKGSVDFAAGQASLDDIVGVGDGSDLGRGADSSDDPLSKSGDDEDDDPEEAGEDDGVDSDAEDGLAADEDYDDRDLQSQNGSGEDEVKTAHGEPQNRLSTSIVPPVNATDTAQGGVAVLLANATGRAADGTALTLNGSAPKNTSSVDLSSLHARETAGDIGHKVQANSGSPGENQNLQIDRNGTPDSVAGHGTSS >PAN50772 pep chromosome:PHallii_v3.1:9:67561451:67566753:-1 gene:PAHAL_9G545100 transcript:PAN50772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSLLPPLLPVPASRHRFHPSPSASPRHLRLSPATRRLRAARRRHPDAVVVVPDARPWVGDLSGATASYREGGEEDEDDADADDDEDEDRSLDLLARFLHSVFRKVSRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWILKGLLEVVCTFGSMVFASILLVRGIWSGVTYIRENQYSYIHRIDNDDSRWSRVQTAG >PAN46007 pep chromosome:PHallii_v3.1:9:9709956:9716315:-1 gene:PAHAL_9G157200 transcript:PAN46007 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MPMATAAAAFSSSRISPSISTRIRRRRPAPRASAGGVTARARRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMSDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEDIGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDIRLGQGREKALQYLRENPTISDEIEKVVRAMIPEGTRHMSLLAFGQSSSSEDEQVYDEQ >PVH33211 pep chromosome:PHallii_v3.1:9:70745155:70746373:1 gene:PAHAL_9G596300 transcript:PVH33211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKPSGHSSLLMLVLVTAGPGIVPLPALLDCAPALSRNDDDSAFRANVLLLLAALPSAAAAAAPTGFAATPYGCRNSAFARGPCFGLGAGRGSPFSSLGDFPGTEADSRRAAAWRAVCLLSYADTNTSSAREDAFRGWFYMNGGGAREPMRGRPHDGGVLPVQCINDSALVVPALVVVQGRKLSRVRWHAVVVVGYGCYLRVALFAPALRWMGYDVGFFEVCGLLFCIKKSREMNPA >PAN46898 pep chromosome:PHallii_v3.1:9:14568563:14569812:-1 gene:PAHAL_9G223000 transcript:PAN46898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPAPDPARKRKDRDAASPPEPAAAPGGDGGNLLLAGLLAHEFLSSGTVLGEPRGPGTEAASATGGAARYEAVAALVLRGGARVRVPGVVNPSQLAAWTGR >PVH33131 pep chromosome:PHallii_v3.1:9:69515759:69517252:-1 gene:PAHAL_9G575600 transcript:PVH33131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSPRGEIGVCGKGEEEGSGTRVALLNGEVDKEEWQAAAAGGRSGLRSSVWAESRKLWVIVGPAIFSRIATYSMNVITQAFAGHLGDLELAAVSFANTVIVGFNYGLMVSIYGRPWRPASFSCLIDILTTRFLL >PAN50807 pep chromosome:PHallii_v3.1:9:67758161:67760338:1 gene:PAHAL_9G547900 transcript:PAN50807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPCASPLLLRRRLSTRPPHREHPKLAALLDVLNPPPPSSTPLPHALSSAFPSPSDAFPLRTLPRLLSRLPSPLLSLRFLLWRLTASTPQPSPHALSSLATSLPDLSSSVPLLLSSSPQPLPLQHYALLLNLSTHAGLFPASLAVLRHMRSFGLVPDAACFHHALRAAGSAADVSAVLEIMSGSGASPTVPVIVTAVHKLASAGNFESAHRLIDKMPEFGCVPNAVVYTAMLDGMYNFGNLDGAVRLIEEMEGSGLGANCAPNVVTYTCLVKCLFGKGRVAEALGVLDRMAGRGVTPNRVFVQTLLEGVCTEQRVADTYNVVERVVGDRGMSSEQCYNVLLICLWRVGMTAEAEGLAQRMMKKGVQLSPLAGSSMVRELCVRKRSLDAYHWLGMMEENGVLCDSNVYGTLLLGLCEEGHLHEASALGRKVVEREIHIEASCAERLVELLKQYGDEELASHLLGLKQCPGGLSF >PVH31423 pep chromosome:PHallii_v3.1:9:8748720:8749677:1 gene:PAHAL_9G142700 transcript:PVH31423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVAKAKAHMITVILVLPQPHELLHYDRYPVLGIYEALSLSEQAGKSIPVGKKRKTNENHGISSPTLLHMPGLN >PVH33096 pep chromosome:PHallii_v3.1:9:69018929:69019892:1 gene:PAHAL_9G567400 transcript:PVH33096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELATSHVRLQLRTKQVSVVSTDVTVGFRADRRARLPRAGAPHPSDAARLPVRTKKFGRRNEGSVYTLQKVTTTTIYAPCVIICYTFLILSFFYIRSSKFYFTPLQQCHAVHQNLMKKCRIGCSPSQALHHGGLKVLPLVRTTHHGRHQRRDNDGVEGTERVQLEALL >PVH32016 pep chromosome:PHallii_v3.1:9:30944892:30945884:1 gene:PAHAL_9G292100 transcript:PVH32016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIADLIPVAKPDRRSPVSHPWPAKNKRPWSGHGDDDFEAAFEEFDGDSEEDAFEMDDIDNDAVKEEVLAPFGFRPLSPLFPHAGRGARKYRGVRQRPWGKWAAEIRDPVRGVRVWLGTFPTADSAARAYDGAARRLRGAKAKLNFPSSPPPDRKRRRRASANANASAVITHCPPTVSYAAAAPGVVREPGGAKKPPANGEATQALPLPPSAVCASYDGQEAFDSYDLYGELASYFSCDGAFEPLESMLTGSVAAVEHGTMSLWSFGDDSSLCF >PAN44467 pep chromosome:PHallii_v3.1:9:2489626:2492038:-1 gene:PAHAL_9G045200 transcript:PAN44467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRRPLASPCRGRIVLLLIPALLLLSSAAHSAEELTRVLSVGKELVGETMPLRHGRRVYRIDGLRPSAWYEVKISYPASIPSSFSIRLVDDPDDANWSSKNRRLLNTEKIIFKAEGSNPVYVLVTVEPEGVVAKPNVPERELTLFNIVCDELMLGIPVFAWWVGIAAILCIVLASLAPLVLPLHKLLNYEGSDLSKADAAKMS >PAN48192 pep chromosome:PHallii_v3.1:9:53529947:53533673:-1 gene:PAHAL_9G366500 transcript:PAN48192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGTRSRGDERGVGPLLPEMQSGMTPPPGGSNGHHHRRQQVSAMESGGDAPGAAARRRFNWKAPAIVLVFELLESIAFSGVALNLVVYLATVLHGSTAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASIAFYLVGLVLLTVSAAVPSLRPGTACQMGASCAPATKTQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDDDAERPERKQAFFSWFFAAINLGIFIAGTLISWLEQNVAWALGFGVGTACLLVAALAFVAGTPWYRVQMPTGSPLKDIIRVLVAAFRKRRVRLELEDGAAAPLHEDDDAKDEQQLARTKGLRCLDRAAVIVKGEAAAEGAWSLCTVSEVEGVKILVRMLPIWATCVLYAASLGQMTTTFIQQGMAMDARVGGRFKVPVASLVSVEVVFMLLWVALHDAAVIPLARRVTGRPGGLTQLQRMGVGRFLVVLALGTAALVERRRLRGVSAGGAAMSIVWQVPQFVLVAGSDVFCGIAQLEFFYGEAPAAMRSICSAFSFLALSLGFYVNSLVVTLVAAATGRPGWLAPDLNAGHLDYYFWLWTVISVANLLLYMVLAARYTPKQVAAAVEPATSD >PAN46919 pep chromosome:PHallii_v3.1:9:14711586:14714900:1 gene:PAHAL_9G224500 transcript:PAN46919 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP4 [Source:Projected from Arabidopsis thaliana (AT1G03900) UniProtKB/TrEMBL;Acc:A0A178WMR3] MSSVVEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETAGEESGGGGEIDIHPAVNRRLKEGETIRITVKNKPSTGSGMLSSAGLSGGATAKPKTGMLLAPPPGAAGKLRSPLPPPPNDPAAARMNSGHNTGIRAPKESTKRNNDPFSDLSAIKQNLPSSGESGQTKSTGSGWAAF >PAN47074 pep chromosome:PHallii_v3.1:9:15303445:15304267:1 gene:PAHAL_9G232000 transcript:PAN47074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLVALGFIVLMSMGIANAVRVARYSGSDGMGTGGGWGGGYVNGGGSGSGSGTGSGESVSGGAHASAGGGGGGGATSQYNGSGYGGGSGSGSGSSQNSRYGSSGYGESSGAGGTGGGGGGGQGGGFWGSSGHGEGSGTGSGSGYTNRYGFGPSDALANANGNGAGKGNGENGGSGGGQGAGSGFGDANP >PVH32506 pep chromosome:PHallii_v3.1:9:58349903:58362317:1 gene:PAHAL_9G415700 transcript:PVH32506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKPFAAEKSDHDLQRKKKITHFRSPDGLSSLPAASTPGRCGPFPSASSPCAPPPCSASSLRRPAAATPCRTRPLSVAIRSILTAGFNPEGRDARFGHKARYTRPRRLIPVAATTPDAPSSGTSDHGDGEVRRLDGEGHELDEAVGAEAFIGEVRLRSRRRRWMCSRWSQQCECTRQSRRFGWRRWRSLRRRTMVELEQATGEEGKERDELRKDIEQLCMQQAGPGYVSVATRMLSQRTAALEQDVENLQKKLNGCLRENQNLQEELAEAYRVKSQLAELHGAELSKNKDLEKQVRFFQSSVAQAFSERDISLMECEKAKEREEAVLRKFADFEERKREYQSAIDDQKHLNDDLQRKLTELKAQTESSLKVILKFYDLRCRDCECPSNITFEEKCSILLDDSADNWSFSSDGGTSTLKYIASLEEEKESLKAKMAKLQSNLRMGLEIEQHLQRNARILEKRQALYDGFLRNGLSELQRFYKYQRAEIMKTLEEESSRLRKVVAEIQDKLSEICINTESEQPGDKMQCCDSSCKDVHVTTDISPSTFTSLKVCSCKQSDSPADSNSISFDESKALAQALQEKMEALMLFSQEQERYLLERQKDQIVIEDLQQKLSQVKDEKVKVLMELAKLKEEYLQLKGNSAVKEGHGSGDSSKAIPGHDQQGMLKTMLKRTSLRHWMRSNNIGHGSSDGNDRSVCKEHSVDIARLRVENSTLLEGVGTVERLTSSVHRLHIVLLKAYDDVKSASSLESTFEALNSLITEANLMKTALSTVLPVSWSGNSSDAITYEALCDPSDSPKSKSEKVDPLSSAGMEMVELLIFAAEVLKESFLMKK >PAN49263 pep chromosome:PHallii_v3.1:9:60300099:60303468:1 gene:PAHAL_9G437600 transcript:PAN49263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGRKLAGRGDEMSAHYAFGPQDDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNISDCERLYKAFLQEINTFELPLMKSKSVVDANIREKESFNELQVEIERQILQSQTDIEDLKKQLEQSKIERQHKEECEAIRKLVSLQPPRSETEKLIADLEKEIADLEAENVACVRTLELRKKQFALLLHVVDELQISIEDEQKSIAYELRAIAEEQKTSIEEGSGGASDVMAVD >PVH32598 pep chromosome:PHallii_v3.1:9:60300099:60303468:1 gene:PAHAL_9G437600 transcript:PVH32598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGRKLAGRGDEMSAHYAFGPQDDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNISDCERLYKAFLQEINTFELPLMKSKSVVDANIREKESFNELQVEIERQILQSQTDIEDLKKQLEQSKIERQHKEECEAIRKLVSLQPPRSETEKLIADLEKEIADLEAENVACVRTLELRKKQFALLLHVVDELQISIEDEQKSIAYELRAIAEEQKTSIEEGSGGASDVMAVD >PAN50763 pep chromosome:PHallii_v3.1:9:67508755:67515153:1 gene:PAHAL_9G544500 transcript:PAN50763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHAEVLDEMEGQEEEGAVVASAVLSPPLIGAAVAAATAPRLVVGYALTKKKVKSFLQPKLLLLARKNGISFVSIDESLPLSEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAIEHLNNRQSMLEEVADLNLSNFYGEVCTPRQLVITKDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKGHELYLAYDEASLSMLDPPLVLQEFINHGGILFKVYIIGETVQVVRRFSLPDVNTYDLLNNVGIYRLPRVSCAAASADDADLDPLIAELPPRPLLEKLGRELRSRLGLRLFNVDMIRELGTKDRYYIIDINYFPGFGKMPGYEHMFTDFLLSLAQSKYKRYLSGT >PVH32892 pep chromosome:PHallii_v3.1:9:65721063:65722341:-1 gene:PAHAL_9G517500 transcript:PVH32892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYFVPDTAVAHQSSLNRCSAHLNAAALAPGYVDRWFVHVRTALTKGKKKGKTPGTRRESWTAKVPMAPCGRSPTCLQEQAASGGRRGHRTTHAAAAAASVTSRAERWTPRMPRRTRHTHHNKR >PAN43922 pep chromosome:PHallii_v3.1:9:323103:327642:-1 gene:PAHAL_9G003500 transcript:PAN43922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAVAPEVAAALARGGAVVALESTIICHGMPYPKNLQTAVEVEAVVRDGGAIPATIAILDGVPHVGLSSEQLKRMAISGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEQTMDISSDLTELGKTPVAVISAGVKSILDIPRTLEYLETQGVTVAAYRTNEFPSFFTEISGCQVPCRVDSPEECAKIIHANKNLDLGSGILIAVPIPTQDAASGNLIESAIQKALKEAEEKRIIGNAITPFMLERVKELTGGSSLEANIALVKNNARLGAEIAVALSNLQKKGKNRMGRSAL >PAN43927 pep chromosome:PHallii_v3.1:9:341556:343360:-1 gene:PAHAL_9G003800 transcript:PAN43927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os03g0857900)] MEQQVVPAANKNKSSSSSRFRRVCVFCGSSPGKKAAYQLAAVQLGRHLVERGIDLVYGGGSVGLMGLVSRAVHSGGGHVLGIVPNAVLPRELIGDTPGELKDVSGMHQRKAEMARNSDAFVALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGYYDSLLSFIDKAVEEGFVTPAARHIVVAARTPHDLLAKLEDYVPVHDAASPNLTWETMEQIKSSDTKNISCFSR >PVH33402 pep chromosome:PHallii_v3.1:9:73889592:73891963:-1 gene:PAHAL_9G643200 transcript:PVH33402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANQTLVTFAVNCVGTNLVTFMAVVMTLDNADAANRANNWAGTTYVFSIVGALVSDSYWGRYKACTIFQIIFLAGLVELSIASHLFLERSCDFRHGEAGRQAQHCRPPTRAESLVFYISIYQIALGTGAYQPAATTFGADQFDEIDAGERKSKSAFFGYFFVANNLGGVLAVTALAYMEDKGQWVLAFWISTAVALVGVVLFALGTLRYRHFLPNGNAIVSVCQVIVAATKNRHVKTPQQAQDLYEEADYGNDEDAAKIKKKNKMLLHTPDFRCLDKAAVVVTTSTPPTRHQRQSWSLCTVTQVEELKCILRLAPIWVCSILYSTAYSQMSSVFIEQAQAMDASLWGLKIPAAGMGVFEILGVTAFVFIYSFCIARIVSKVVSREPTELERMGVGLVISTLAMITSGLVEQQRLKHATTLTQPSSSSSLTILWQIPQYVLIGASEVFMYVTMTEFFNDQLPEGLKSLGSAMSVASMSAGNFASSLLVTVVMAITCKGGQAAGCWIPQDLNQGHVDRFFFVIAALNAMDLLAYVIFAKRYRPAPLIKPAGADENASPADHEMYI >PAN48828 pep chromosome:PHallii_v3.1:9:57679526:57682024:-1 gene:PAHAL_9G409100 transcript:PAN48828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLASTAALLLAAILGSLCLVALSEDEELENLRFVRRAQDAPLVSRYNYIVIGGGTSGCPLAATLSEHSRVLLLERGGLPYRNMSNQQHFTDALADTSPASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASNDYVRAAGWDARLVNSSYRWVERALVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDSSGQRHTAADFLRHARPGGLTVLLYATVSRILFRQQEGAPYPVAYGVVFADPLGVQHRVYLKDGGKNEVILSAGTLGSPQLLMLSGVGPQAHLEAHGIQVLVDQPMVGQGVADNPMNSVFIPSPVPVALSLVQVVGITRSGSFIEGVSGSEFGIPVSDGARRLARSFGLFSPQTGQLGTLPPKQRTPEALQRAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSTDPRANPVVTFNYFQEKEDLDRCVHGIETIERVIQSQAFSNFTYANASVESIFTDSANFPVNLLPRHANDSRTPEQYCKDTVMTIWHYHGGCQVGAVVDDDYRVFGVQRLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQAERWRN >PAN45882 pep chromosome:PHallii_v3.1:9:9146958:9150417:-1 gene:PAHAL_9G148900 transcript:PAN45882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAERADEAADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLLHPKLAGRLRFMDYLDEEQRRAITMKSAAVALRSRAGHRVSLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFVERLRPCLVLNKIDRLITELSLTPDEAYARLHRIVSDVNSIYSALRSHSYFSLLSALEDNPSTSSSSTDDLPEDFEDDDDNEDAFQPLKGNVVFTCALDGWGFRPQQFAELYARKVGVNPSAFLRGLWGPRYLDKKTGKVVGKKAIKSADPQPMFVEFVLSALWKMYELVLKDGGESKGVKKLVENFQLKIPERELKNKDPKAVLQSVMSRWLPLADAVMDMVVECTPDPVAAQGVRVARLMPKREVTPEDAAGCPEVVAEAERVRRCVEACDVSIDAPVVVYVSKMFTVPYKMLPLKGVNGELLNHHGDSESEECFMAFARVFSGILRAGQKVFVLLPLYDPVKGEAVQKHVQEVELQYLYEMLGQGLKPVASVGAGNVVAIQGLGQHILKSATLSSTKSCWPFSSMMFQVSPMLKVAIEPSHPADLGALVKGLKLLNRADPFVEYTVTQRGEHVLSAAGEIHLERCIKDLVERFAKVKLVVSDPLVSFKETIEGEGVGLVERLKAPQEFAERTTPNGRCTMRVQVLRLPNALTKVLEESEQLLGQIIEGKTAKRDGVLDPRLSQDDGDSAAMLRQRMIDAIDSDLEAISEEVDKEKLDRYRKTWLGYLERIWSLGPWQVGPNLLISPDAKSSDAVITIQDGRQGILVNGRSHVSERLGFVKESDAKDNSNLDNGESAADAPESLHLESMSLRNSIVSGFQIAANAGPLCDEPMWGLAFIVEPYIFADGSDAANHSDQYSIFSGQVITAVKEACRAAVLQNKPRLVEAMYFCELTAPMDQSHPTYAVLNKRRARILNDEMHEGTSLFTVHAYLPVAESVGFSNELRSLTAGSASALLVLSHWETIAEDPLFVPRTQDELEEHGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >PVH32490 pep chromosome:PHallii_v3.1:9:57974203:57977569:1 gene:PAHAL_9G411200 transcript:PVH32490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKCGQKKAQGPEPLHPYEELRLKQCMANSARLRQLGLPDFIPNGLRIAANSKDNNKTNERNREDADYDPLHDDTDEQDLCDDDIAKGSKGKTSKKTKKQTSDAPPMGVKFRSRKRVYAALTTGPWSNRSISQPGPSLAPSDIHVPPPSHPAISQAMMDPMPCCKLMVTTTLPTQVIVSYYHSNNFDGFDLHDGADVGAQPVGVNQMTNEGGEVPWNRGTNMGHGLNRLNRSHRAKLPIVIPEGQIRPLVPLIAAKYATEINIAVRNHMPVLTHWKEYKGRAEIEEFLGILRAKFNIDTNDAVVKNGCLEMMRNAVRNQRHRLKKEFFDTFPLHLVPKTSPVKSTSDKEWLDLVEMWKTPKKMMICQKNKDNRGNVLLHQTTGSCSYAVFVENLEDENEDENTERNAFNLFKMCHFSKKKDGYTPAVQSAITQMETQLAAQPTQGEQPKSAVQVVANVLERNNKKSAFLQNVGMQTKQPRMSAQLEAEKRENAELRLIVSNQREQMEGLSKQVQETDTTRRLIISN >PAN44987 pep chromosome:PHallii_v3.1:9:4958446:4963777:-1 gene:PAHAL_9G086200 transcript:PAN44987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MGDKMIATVSPSKRDHTRDILDIDLQASKDVENIVFYQVPANLGSGLSMESERSLRVHVCTDNSEVNFLDIFLHHLVEYKEKYRNLTDLLFHDIEWQIEGLQLLCSFLGPGSGVKQVEFKKNVFSKKSAFAIVPLSEMLHKNNTIKAVVFSECRIGSTGAMLLASALANNRSMEEFQIWEDSIGSKGAEALSKMIEVNYMLRKLIILDNSPITVAPLISAVLARNRRVEVHIWGHSRGTKGGMDSCKIVEFLPETGCMRIYNNINSTGLQRIACALAWNTTVTTLDMSSVPLKSKWTKELRGVLERNRSLKTVKLSKCALGDKAVVYIAAGLFKNSYLESLSLDGNRFGGLGLEHLLCPLSTFSPLQRQANNTLKVLSFGGQRTNIGRYGVTAILQMLETNQSLIQLAICNDASLKPNDVVKIFTSLERNATLRSFSLRGCKGVEGDIILQTIMATLEVNPWIEEIDLHGTPLHVAGKTDQIYEKLCQNGDHVLPNDFLDLPLSAPTCCRVFLCAQESAGKSTLHNSINECMNPLKSPHTDVTSASKTPVEKMACSNENKTNAFFDGNTKLTMCSLGGNEESFALNDFMFLVHGGPCFFVIVSSLVVKPPNRHPKSIDQTELELIYWLKFLVSNAKRVSPLFLPNVTIVFTHYDKVAHLPEGLEPIAALVQRLREDFHSYAEIYPTVFAVDARSLVSVSRLTHHLRMTAKTVLQQVPRVYEVCNDLVRILHDWRLKNNKAVIKWSEFRDICQFNVPALRLRSRRDNVDKVDTRRRAVAKSLHNLGEIIFFEDLGVLIMDCDWFCRDVLSQLVTLKSIKTERSGFVRKQELERILEEKLSNQIQGSNWRAGASFQGSDVINLLLKLELCYEQDPGNPNTLLLVPAILEGSKEGTQQWHLNVPECRYVGRRLECKDTHMFLTSDFFPRLQVRLHNKIMCLGQQQGALYNLEKNLIYTVINGVHVRVELGKKDSSIGVLACSCKSVTDMVRIFHKLIIPTILNLSSSLMFEESIIRSDCVKYLIPHRFLQTQQLPLKIIKQILLSLPAESMYEYEQTWSAIESNKKIILRSGSDHARDMLSDDDFHEVLHRRYYDLQHLASELAVTPDNHQQPEIIPETDLVDPSILGIAKGVEMVLQRLKRVEQGIRDLKEEIASLRYYEYHLVTELHRKMDYVMNYNIQLEERKVPQMFYLVSVDNRSKQLVTRILPGMRSLRVHMLCEFRREMHVVEDQLGCDLIQVDNQTVKSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSAMYGTAASAASVGALGAAALYGRGRNSSNQGGASDMGEDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHMAAREEEIFELPL >PVH32884 pep chromosome:PHallii_v3.1:9:65636961:65637959:1 gene:PAHAL_9G515500 transcript:PVH32884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYVDEKWKFSKKSRNNGSRRVPGAGAGGGDHFLKRSSSMRDGVQAIGRRGSGAGAAAGGGCGAPQPSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >PAN49413 pep chromosome:PHallii_v3.1:9:60994476:60996042:1 gene:PAHAL_9G447700 transcript:PAN49413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKGALLLCIAIVLLAIGNGGAEARKQGKQSLGFYELRRGEFSMVVTSWGATILAVRIPDKNGHTGDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTIRDRPYHTFRNDGNNTLHGGHRGFNQVFWSVRERVTGEFPHITFSYRSYDGEQGFPGALDVLVTYKIDGDFSYSVTMYARPLDKPTPVNLAQHTYWNLRGHGNGTVLGHAVQIFASAVTPVGGGLIPTGAVTPVAGTPFDFRAPAAPGARIAEVEGGYDINFVLDGAADGQGVRKVVVVSEPDSGRVMELWGDQPGLQFYTGNFLKGDVGKGGAVYAKHGGMCLETQDYPDAVHEPGFPREVYRPGQVYKHYMLYKFSLKK >PAN49734 pep chromosome:PHallii_v3.1:9:62534901:62537660:-1 gene:PAHAL_9G471800 transcript:PAN49734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEATMPMAQQKTVVLYPSPGVGHVVPMVQLAKVFLRHGFDVAMVIAEPPAGSPDFRIVDVDRVAASNPAITFHVLPPVPDADLAAGPGKPPFLLTLQVLERYNGELERFLRSIPRRRLHSLVTGMFSTYAADVAARLGVPVYAFFASAAATLAVVTQMPALLAGRRAGLKELGDTPLEFLGVPPFPASHLVGELLEHPEDALCKAMVDVWRRNTDGTSGVLVNTFESLESAAVQALRDPRCVPGRVLPPIYCVGPLVGGDGTSPADQGRGERHGCLAWLDAQPEGSVVFLCFGSRGTHPPEQLREIAVGLDRSGQRFLWAVRTPAGTDDSAFLPEGFLERTKDRGLVVRSWAPQVEVLRHQSTGAFVTHCGWNSTLEAISHGVPMLCWPLYAEQLMNKVFITEDMGVGVGMDGYRAGFVKAEEVEAKVRLVMESEEGRVIRARAVARKKEAAAALEDGGSSRTSFDRFLFDVENLDKLLGK >PVH32477 pep chromosome:PHallii_v3.1:9:57420210:57422191:1 gene:PAHAL_9G405400 transcript:PVH32477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPARGAKSGASSVPAEQIVSVWFASPSSPPAPPPPHLRLTCPPLARRPRRSHVPSLPAPTRSPPAPGAPPALLLRLAQARAALAGVIPSR >PAN49333 pep chromosome:PHallii_v3.1:9:60662700:60662929:1 gene:PAHAL_9G441900 transcript:PAN49333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKAAAQTRFRVFKHENGIAVRVFACFQPLQNCQAEYFRHLLKPVT >PAN48440 pep chromosome:PHallii_v3.1:9:55299606:55302130:-1 gene:PAHAL_9G382300 transcript:PAN48440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLTSPASSPLRLASRLGALHGPGPLLDHHHHRAAASVHFLAPRRKTRPAPAMSWLGKLGLSGLGGSPRASEASAALAQGPDEDQPAPGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHEPTYEDVCTGATNHNEVVRVQYDTAACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQELLNRKIVTEILPAKRFYRAEEYHQQYLEKGGRFGFRQSAAKGCNDPIRCYG >PAN45327 pep chromosome:PHallii_v3.1:9:7077319:7078054:1 gene:PAHAL_9G120300 transcript:PAN45327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGCFLERISRLGVMPHKCNELLCFICNVIFTSFFFLQVFTSLIIIPRYCGISVAPYQKTLLLSSLYQKKLPLSSLYMLT >PAN48747 pep chromosome:PHallii_v3.1:9:57160454:57161257:1 gene:PAHAL_9G402300 transcript:PAN48747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAALLAVLMEHHNNGDHAQNGWKPRVYNAAIRNVPEKCNVEITKENIASRFKTFDKHCEVISKILSQSGFGWDWVNDKLLIDSDDVWNKYVEANKSAACYKNKVGKNWYAISTIYSKDHANGQDAQTGAESAQVLPEQVDDALPDLPQKKQRTGETILSILGDMKTSFNDSLKSTEPLSMPSVTSPAEILATLQMFILNERLFQALLELRMDMRKEWLLLLN >PVH32399 pep chromosome:PHallii_v3.1:9:56046028:56046663:-1 gene:PAHAL_9G389100 transcript:PVH32399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVELWDLVQEVQLNDEQDSICWRWTTDGVYTAKSAYNAQFLGSYRLFRGEHIWQAEAEGKHKFFAWLLIQHWPCNPICPLCNQEPETTAHLILHCTFARQVWDKMENWTQQLVQAPGQGLEVMNWWEKGLANLPKKKCRLKAALMIYCAWNIWKARNKRVFDNKILSPVEVFQESKAEAHCRTLACGRPELSLFNV >PVH32662 pep chromosome:PHallii_v3.1:9:61413452:61414165:1 gene:PAHAL_9G454400 transcript:PVH32662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQKIEMKRIEGEESRHVCFSKRRQSMFKKACELSILCSAMVAIVVFSPGGRPFSFGSPSFKAVFNRFLALTVPATSGESCDSSNGETNTTHESLECSELEQSIEGEKKREKMLKEAVECDTDGRVMDLLTTKVYTSGLDELQEFHKKLAAIQGIVKEKIKQVLQEESHPTKPFPPAFMDLASKYLLDRLIATRIPSMAPNSNHGVAGGLDVNASLASSVYAVGTPPKYPSNQLDG >PAN44651 pep chromosome:PHallii_v3.1:9:3697961:3701031:1 gene:PAHAL_9G064900 transcript:PAN44651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNPQQPAAQRKIEKENPPQQHRKNNPFALLAPPVCVRSTQRGAGAHRHRHPPVSDPMAFMRSHSNASSGMGVAPNIRETFVELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKHELDGFHYEIQATDPSEVDIEVLRERAH >PVH32022 pep chromosome:PHallii_v3.1:9:31332886:31333704:1 gene:PAHAL_9G294200 transcript:PVH32022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPTRYEDGDVSLEGQVVAKKDTFRYLESMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDFKEWNIAKELAMDRSAWRLAINVPEP >PAN49633 pep chromosome:PHallii_v3.1:9:61819786:61823125:1 gene:PAHAL_9G461300 transcript:PAN49633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASFNPMLSSLVPAAPGRRGRAAFLRSRAAFPGPLANGAVVGRGRWRLAAAAEPQAVQEQPARTEASGETGAAGASEASSKLVLVVGGTGGVGQLVVASLLNRKIKSRLLLRDPEKAESLFGKQDESVLQVYKGDTRNSNDLDPQMFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWDGIRNLVSALPWTIKRMVFVSSIGVTKYNEIPWSIMNLFGVLKYKKMAEDFVRNSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGKGDKLVGEVSRLVVAEACIQALDIESTEGQIYEINSVKGEGPGTDPEKWKELFSSVQSA >PAN44585 pep chromosome:PHallii_v3.1:9:3168203:3169646:1 gene:PAHAL_9G055700 transcript:PAN44585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAGALAVACLVAAMAVALLVSPASAQSACTTTLISLYPCLNYISGNVSTPPSLCCSQLASVVQSNPQCLCAALSGDSSSLGGVTIDKTRALELPQACNVKTPPASKCNSAGGGNAPGAAMPTTPSTGVPASAGASGGSKATPTAPYLTSGDASIRGAASLALTFAAVAV >PAN44584 pep chromosome:PHallii_v3.1:9:3168203:3171060:1 gene:PAHAL_9G055700 transcript:PAN44584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAGALAVACLVAAMAVALLVSPASAQSACTTTLISLYPCLNYISGNVSTPPSLCCSQLASVVQSNPQCLCAALSGDSSSLGGVTIDKTRALELPQACNVKTPPASKCNSAGGGNAPGAAMPTTPSTGVPASAGASGGSKATPTAPYLTSGDASIRGAASLALTFAAVAV >PAN49269 pep chromosome:PHallii_v3.1:9:60313310:60314528:-1 gene:PAHAL_9G437900 transcript:PAN49269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVVQGKQTIAEGQLPPLEDGPAVVTVDEEEDEEERPEWLNVLLSTNFWEPCMEHAAENRAEKCMFCLHCYNVFCPHCTHDMPGHRLLKIRRYVYRSVVLAKDMLDLKIDVSRIQTYIINGQKVVHLRPMNRSKLFRPQPGTPRCLTCDCWLRTWPNLFCSLTCEGELDVSQDDFSGPEAERRYRSLQTNMLGENPYEEPPSEEPAPEPEAQEEHPAPEVAEQGDEPPAAAQDHSFRRRGRKQVEPTRAPFF >PVH32152 pep chromosome:PHallii_v3.1:9:43519776:43523499:-1 gene:PAHAL_9G332400 transcript:PVH32152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFMNVQHHYQLLLRRGMGQLISIVQGHFRNADRQVTQIQQLQASVTEKEEIIAAREETIHHREDQINESDAIITQRNTIIKFLEEQIHDLILEVDDAQAQINELQLQPAPPAVQAPEEEEDPEEIEGVSEIDSEHGDPVISPHHSSSGSQSLVGNFDDF >PAN49947 pep chromosome:PHallii_v3.1:9:63598714:63600414:-1 gene:PAHAL_9G486900 transcript:PAN49947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELAGKMTAKAAAALKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILVSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFENIDELFELEKGHFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVAWPAAELGPPPSLYFNAGMFVHEPSMATAKALLDTLRVTPPTPFAEQDFLNMFFREQYKPIPLVYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDIYNDETLDFKALPATDADEVEAVAKKPIRAALAEAGTVKYVTAPSAA >PAN44761 pep chromosome:PHallii_v3.1:9:3910136:3917845:-1 gene:PAHAL_9G067900 transcript:PAN44761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEAPLLLPLPHTRGKSEEEEEARAQDHGGKRWWWRRGAASEGWWAEATAEAGRLAALAAPMIAVALLQLTMQLISTVMVGHLGEVPLAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALFTYRSIVVLLVASVPIAIIWLFIPDVLPLIGQDPQIASEAGRYALWLIPGLFAYSVAQCLSKFLQSQSLIFPMVLSSLTTLTLFIPLCWFMVYKVGMGNAGAAFAVSVCDWVEVTVLGLYIKFSPSCEKTRAAPTWETFRGIGSFMRLAVPSTLMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLVYNLPYGIGTAASVRVSNELGAGNPDGARLVVVVSLSIIICTAVLVSVTLLSLRHFIGIAFSNEEEVVNYVTRMVPLLSISVLVDNLQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPVALVLGFAFHLGGAGFWIGMIAGGAIQVTLLSIITAMTNWGKMAEKARDRVFEENLPTQAD >PVH31964 pep chromosome:PHallii_v3.1:9:24148962:24161504:1 gene:PAHAL_9G274300 transcript:PVH31964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGIEYLEEGVSRCRVKMIISQHPFRSLWSPIEVEIVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVNQIEELQALVIQKDEIIAARDEIILHREDQINESDHIITQRDAVIEFLQEQIHDLILEADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGVLEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PVH32248 pep chromosome:PHallii_v3.1:9:51032995:51036675:-1 gene:PAHAL_9G353700 transcript:PVH32248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIHVLLLLSSIYVSSSVFTPVAVAATDAEALLRFKASIQKDPGGALSSWQPSGSSGPCSWRGVGCDNGGDGRVTRLDLAGSGLVAGRASLAALSAIDTLQHLNLSGNGALRADAADLLGLPNALRTLDFSYGGLGGVLPGDLLASYPNLTDVRLARNNLAGVFPESLLAGAPSIQLFDVSGNNLSGDISRMSFAETLTLLDLSENRFGGAIPPALSRCAGLRTINLSYNGLTGSIPASVAGIAGFEVFDISSNHVNGKIPDSIGNACASLEILKVSSNNISGPIPESLSSCHALRLLDAANNKLNGAFSAAVLGNLTSLESLLLSNNFISGSLPGTITSCTNLRVADLSSNKISGALPAELCSPGAALEELRMPDNMVTGTIPPGLANCSRLRVIDFSINYLRGPIPPELGQLRGLEKLVMWFNGLEGWIPAELGQCRGLRTLILNNNFISGDIPVELFNCTGLEWVSLTSNRITGTIRPEFGRLTRLAVLQLANNSLEGVIPKELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTTPSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQIPTLKSCDFTRLYSGATVSGWTRYQTLEYLDLSYNALSGGIPEEFGDMVVLQVLDLARNNLTGEIPASLGRLRNLGVFDVSHNALSGGIPDSFSNLSFLVQIDVSDNHLSGEIPQLGQLSTLPASQYAGNPGLCGMPLLPCGPPPRATSSVLAEPDEGSRSGRRRALWSAILAALVAGVAACGLAAACVVVARARRKEAREARMLSSLQDGTRTATIWKLGKAEKEALSINVATFQRQLRRLTFTQLIEATNGFSAGSLVGSGGFGEVFKATLKDGSCVAIKKLIHLSYQGDREFTAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEYMSHGSLEDALHGRALRLPWARRKRVARGGARGLCFLHHNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVFLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVVDPELVVAAVDGEEREMARFLELALQCVDDFPSKRPNMLQVVAMLRELDDAQLPSTEPAAPGTGCD >PAN49632 pep chromosome:PHallii_v3.1:9:61823126:61825647:1 gene:PAHAL_9G461400 transcript:PAN49632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIVGLGLGGDRGVTVRGLDAVHRCARVYMEARTALLTLGVDGDPSSRLARLEMLYGNEVTVAVREIVEEESGDQILREATGADIAFLVVGHPFGSDEPSSKTTHTDLVVRAKKLGIEVKVIDSASVLNAVGACGLELHRYGEAITIPFFTETCKRDHFYQAIVNNRWLGLHTLCLLDIYAKEPILSESLRRGNKVYEPPRFMTVNTAISQLLEVLEMRGEPEPAYDEDSLCIGLARLGSDDEKIVAGPMRKLVDVDFGAPPHCLVIVGETTTVEEEMLEFYMIRS >PVH33019 pep chromosome:PHallii_v3.1:9:67838033:67838490:-1 gene:PAHAL_9G548900 transcript:PVH33019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPQQTLAVAVSRSGVGLHSGPRVTATLIPTHAGEGRYFLVGGAEEARLAAEVGNAEPRSCRALFLLYPDNTARGSARWSVEHLLSAMEALGVDNCRVDVSGGTRSVDVCSVATSFQLPTAN >PAN47175 pep chromosome:PHallii_v3.1:9:16157144:16157536:-1 gene:PAHAL_9G239500 transcript:PAN47175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLAALAVLLVLLLRASADETPAVMTVNGFQRGESGGGPSECDGRYHDDRLMLAALSTGWYAGGSRCLRMIRVTSTQTGRSVLAQVVDECDSRRGCRDNIVDTSTAVWAALGLNTNVGEVPVTWSDA >PAN50695 pep chromosome:PHallii_v3.1:9:67153692:67162533:-1 gene:PAHAL_9G539100 transcript:PAN50695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MWDTNFGFPRVPPPSSSSSLAAAEPSPKRRRVGEAAEEPPPPPEMREEALERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADLYMLAQALFLGRHFRRALHLLNNSRLLRDLRFRFLAAKCLEELKEWHQCLLMLGDAKVDEHGKVLDQDDGSDIYFDKDAEDHEINIKSAICFLRGKAYEALDNRDLARQWYKAAIKADPLCYEALECLVDNYMLTCEEESELLSSLQFREEDGWLSAFYSCLITKHEKEYVVEAKFKELERESCSISSSSSGETLKNNIDVLACKAEYYHQSGEYQKCWQLTSSLLERDPFHLKGTLVHLAAAMELGHSNDLYLLACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYVRMHNFKLAEQFFTQAKSICPSDPLIYNELGVVAYNMKEFRKAVQLFELTLDHTSSSLNEMWEPTLVNLGHALRKLKEYQRAVSYYEKALTFPTKSLSAFAGLAYTYHLMDNFEAAINYYHKALWLKPDDQFCTDMLTYALESSCHSTARRRIV >PAN46196 pep chromosome:PHallii_v3.1:9:10569352:10570726:-1 gene:PAHAL_9G169200 transcript:PAN46196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVEKLVNHCDMELMKMAMLRHEQTFRQQVHELHRLYRVQKQLMMGGGMSRPPSELISCRRHQNRRGRQPRRALDLGLHLPADDYILVAGADNTTPSSPRQEDGLELTLAVGSVSASSRRKRRDEGTGAPLGSDCSGGSMTSTSSSTGTASGSPPHRRAVPASRLQEGAAAVLKQPQPQTRSPWLVQCLSLKMA >PAN49384 pep chromosome:PHallii_v3.1:9:60847438:60849496:-1 gene:PAHAL_9G445100 transcript:PAN49384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSDSLPSSPSLPTTAALDGAADQEFSSQHHHHHHQSLFLPSSSSPASLYLDSSFHGLLPASSTAVSSPSPPPPMPPLPPAPAPAKPAKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFAPAPPPAVRPRLLGSTPSFLMRPSPLKYPVLLPPSACTTTLANTTINASGSNNITAGTSSLVDALALFAKSNAMPSGAGAGAATAATTSGGSGAADHHYHGIGMGGFNPFDDFDPPAAAAEGERGDPGGGHGFFSSFTAGDKYGRH >PAN48699 pep chromosome:PHallii_v3.1:9:56976650:56982188:1 gene:PAHAL_9G399800 transcript:PAN48699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MSRPPPAQAGALANATFSHLFQLCAHAGRPALGAGRAAHARMLVSGFVPTEFVSNCLLQMYARCAGAARARRVFDAMPHRDTVSWNTMLTAYAHAGDIDTAVSLFDAMPGPDVVSWNALVSSYSQRGLFRESVGLFLEMAHGGVAPDRTTFAVLLKACSGLEDLALGVQIHGLAVKTGLEADVRAGSALVDMYGKCRSLEDALCFFHGMGERNWVSWGAAIAGCVQNEQYTRGLELFVQMQRLGLGVSQPAYASVFRSCSAMSCLNTARQLHAHAIKNKFSSDRVVGTAIVDVYAKADSLVDARRAFFGLPNHTVETCNAMMVGLVRTGLGPEAMQLFQFMTRSGIGFDVVSLSGVFSACAEVKGYFQGLQVHCLSVKSGFDADVCVKNAILDLYGKCKVLVEAYLIFQEMEQRDSVSWNAIIAALEQNECYEDTIAHLNEMMRSGMEPDDFTYGSVLKACAGLQSLEYGLMVHNKVIKSGLGFDAFVASTVVDMYCKCGMITEAQKLHERIGRKELVSWNSIISGFSLNKQSDEAQKFFSAMLDMGVKPDHFTYATVLDTCANLATIELGKQIHGQIIKQEMLGDEYISSTLVDMYAKCGNMPDSLLMFEKAQKLDFVSWNAMICGYALHGQGLQALEMFERMQKANALPNHATFVAVLRACSHVGLLDDGCRYFHLMTTRYKLEPQLEHFSCMVDILGRSKGPQEALKFIRSMPFEADAVIWKTLLSICKIRQDVEVAEIAASNILRLDPDDSSVYILLSNVYAESGKWVDVSRTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSTEVYEMLNDLIGEMKLSGYEPASALFAEVDEEGSASEQDDLLGIIGD >PAN44109 pep chromosome:PHallii_v3.1:9:1044173:1048095:1 gene:PAHAL_9G018000 transcript:PAN44109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSGQKRGDLALLCCVALLLPLLSHGADMPLGSTLSPGNSASWTSPNNTFSLSFTPSPTSASLFVAAITYAGGVPVWSAGNGAAVDSGGSLRLSSNGDLQLVNGSGAVLWSSNTGGQGVAAAAVQESGSLVLKNSTTTLWQSFDHPTDTVVMSQNFTSGMNLTSGRYVFAVDKSSGNLTLRWTSGANTVTYFNRGYNTSFTANRTLSSPTLTMQTNGIVSLTDGQLTSPVVVAYSSNYGESGDMMRFVRLDADGNFRAYSAGRGSNTATEQWSAVADQCQVFGYCGNMGVCSYNGTSPVCGCPSRNFQFSNPSNPREGCTRTVDLQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGGSCVASTALSDGSGLCFLKVSNFVSAYQSAALPSTSFVKVCFPGISNPSPGASTSSSRGGSGVRAWVVAVVVLGAVCGMVLCEWALWWFFCRYSPKYGPASAQYALLEYASGAPVQFSYRELQRSSKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFGDAPGGKMPWPTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEQFNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLEIVSGHRNFDISEETGRKKFSVWAYEEYERGNLFGIIDKKLPGEDVDMAQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSTTTGTTGSGSGVSTSMVSTIASSAPIAPTTSPNLEQEIALGRSTSARNRERVSRQLLSPQPYMTM >PAN48045 pep chromosome:PHallii_v3.1:9:37230770:37238284:-1 gene:PAHAL_9G315300 transcript:PAN48045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALGFRRPQPLPLLLLALLAAATAVEARFVVEKNSLMVTSPTSLRGRRDSAIGNFGIPQYGGSMAGAVVYPKDNANACDDFDGKHPFRAKPGAMPTFLLVDRGDCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAVKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVISKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVYKVANEHKKPWVWWDYVTDFHIRCPMKEKKYNKRCAEIVIKSLGLDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDDIETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGDGKCRCPAGFRGDGVKKCEGIDECKERKACQCPECNCRDTWGGYDCTCSGDLLYIKEHDTCISKTAVQAKAAWAAVWSILIVIVVVAAGSYIVYKYRLRSYMDSEIRAIMAQYMPLDNQGEVPNHTHEEDRS >PAN51626 pep chromosome:PHallii_v3.1:9:71512690:71516021:-1 gene:PAHAL_9G608500 transcript:PAN51626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLEDFQASVESLPSMLHRNYSLMRELDKSLQGVQLENEQRCQQEIEDIKHGLESGSITYDPAKLKFSEEAIEEQKHCVRIADEKVALATQTYDLVDAHIQQLDQFLRKLEEIRQEKEAAAAVAAGTAVVVAAAAATTPAASAGASTADATPKTGRSGERGRGGRKKAKVPTEPPAPAIDLELPVDPNEPTYCFCNQVSYGEMVACDNPNCKIEWYHIGCVGVKELPKGKWYCPSCVGFQKKRKGK >PAN51572 pep chromosome:PHallii_v3.1:9:71240016:71243612:1 gene:PAHAL_9G604400 transcript:PAN51572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEQAAQGPEPEPPRCGRHPSQLLTGICSSCLMERLSSVRDQPEAEIVEVAAAEPAGGSGAADQGKLRQTLMLLFQLDDCSGVAHSSPAKDPQSSAEFQFGSRGADRGGEQKGPRSWLRSILPRRGMRWRRRNGGSVKEPSPPPQPRREAADPSASNGGGDAQVERKPSFRRSCEWMAFREPSRGSLEPPRHSWDGSMVGRAFACSFACLEEPPDAATRARRSNAEEAVGETPAVAAETRNGGHSVDAGGDGRRFRGRGSGDTGMEMSVSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKEIRRGKNAEAADISGEIHSGRNGHVSGRASQGTGRTSQAASNGDVQNFRTDWLKNSKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSTRTANRARRRSSRLFARGLFGFI >PVH31969 pep chromosome:PHallii_v3.1:9:25213111:25213971:-1 gene:PAHAL_9G276200 transcript:PVH31969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHGTLRCRVILVIARSNRYPDIQPWRATATGFRHLDTYPLAIRKALRYLCRIFEEHLAPTPAKFFPPAIRTPVWKARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRIQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGTLFRNTQAPPENPESSAAAAEENAAAQPSTDGNPEDGDQGSLTLSVPEEGTPRE >PAN50674 pep chromosome:PHallii_v3.1:9:67026565:67034773:1 gene:PAHAL_9G536900 transcript:PAN50674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGDVATRSKSQKSSAIQNEQSTPTNPPTAYPDWSQFQAYYNAAGTAPVTPPAFFHSSVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMPPGSHPYSPYPMQSPNGTVQTPTSGAGRTETDKSSKNKRKTPLKRSKGSLGSLDVVAAKNNKSPAKPSASSSNEGSSQSESGSGSSSEGSSANSKSDSRVKDSSERGQGNDSRSKGTQSSAVEPTQPSSGPAVLNPMMPFWPVPPPMGGPATTLNMGVDYWGAPASVPVHGKVIAAPASAPSSNSRDIVLSDPAIQDERELKKQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCDSLTSENTSLHEKLKALEDEKSNGNWYKD >PAN47492 pep chromosome:PHallii_v3.1:9:31589613:31593491:-1 gene:PAHAL_9G295800 transcript:PAN47492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDSLSRFKQQQERCQSSLASIALSSKPKHRAQPINAPSVPARPSQPIKFSNDTERLQHINSIRKSPVGAQMKLVIELLYKTRQAYTAEQINEATYVDIHGNKAVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLIRRFAEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREIELPRDMVDVEKELQKNGIKPMTNTAKRRAAAQIDGVKPKPKPKKKQREITKRTKLTNAHLPELFENLNN >PVH33232 pep chromosome:PHallii_v3.1:9:71212320:71214131:1 gene:PAHAL_9G603900 transcript:PVH33232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRAAEAPSNLLADEGDDLVVLQLSLRRVPPSPTTRPSLLPLPHPVTAHDGANVCFISDDRPNSRSPPASDLLDASKSLHRLPVSEVIPLSTLRTDYRPYESRRRLAASHDLFISDRAILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQVRKVLGSALLYQRSGTCSGIKVGRLDMEEEEIVENVMAAVEAAAQKVPKKWANVRALHLKAVDSVALPIYQVVTELGMKIEVPVERLEGEVGSGEVIDAAQVETLGKKFDKKTKALRYADARDGAGVTDEEKQEEEE >PVH32243 pep chromosome:PHallii_v3.1:9:50930127:50931056:-1 gene:PAHAL_9G353300 transcript:PVH32243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYLEEGVSRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRSVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVIQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGISEIDSEHGDPVLSPHHSLSGSQSSVGNFDDF >PVH32796 pep chromosome:PHallii_v3.1:9:63847226:63848615:1 gene:PAHAL_9G489900 transcript:PVH32796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMSHQWPPPAPPLEPPPPKLVPPPAPPPNPPPAPPPPPKPAPPPAPPPNPPPAPPPAPPLPPPRPPPKPLPPPNPPPTPEPPPPPAPPPNPPPAPPPAPPPAPNPPSLPPPRPPPNPLPPPSPPPTPEPPPAPAPPPKPPPPPAPPPRPLPPPRPPPKPLPPPSPPPTPEPPPRPPPAPAPPPKPPPPPAPPPKPLPPPRPLPAPPPSPPPAPPPRPLPPPRPPPAPPPSPPPAPPPAPAPPPRPPPPPRPPPAPPPSPTPLPRPPPVPPPRPLPPPSPPPSPPPAPPPPPNPPPPPRPLPPPRPPPFPPPNPPPRPPPWPSPPPSPPPAPPPPPSPAPPPKPAPPPKPPPPPKFWVSRNRASTATPRTSATANAASAIARTRLAALPMRHRMEVN >PAN44903 pep chromosome:PHallii_v3.1:9:4561491:4565222:-1 gene:PAHAL_9G078700 transcript:PAN44903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAAARHPHLLAPCAGRPRRRPSTVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGKRLAFEDREYTVQDLAAPGAFDGVDIALFSAGGGVSRKYGPAAVASGAVVVDNSSAFRMDPDVPLVIPEVNPEAMANVRLGQGAIVANPNCSTIICLMAATPLHHHAKVLRMVVSTYQAASGAGAAAMEELKLQTKEVLEGKAPTCNIFKQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAPGVTIVDDRAANRFPTPLEVSDKDDVAVGRIRQDLSQDDNRGLDIFVCGDQIRKGAALNAVQIAEMLLK >PAN45928 pep chromosome:PHallii_v3.1:9:9278417:9280928:1 gene:PAHAL_9G151300 transcript:PAN45928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPATTPYTSPFVLSLLLLISIPVVFLLAPRLLPPKTLPAIPDVDESDDLALFRRAILSSSSATPAPPTSAASYFFRRRPAPKIAFLFLTNSDLVFSPLWEKFFRGHKNLFNLYVHADPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDPNNQFFSLLSQSCIPLHPFPTLYNALLSETAGPHSRHRSFIEIMDNMDNDTTLLHDRYYARGDDVMLPEVPYDQFRAGSQFFVLTRRHAIMVVRDMRLWKKFKQPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTKYTLTRVNWTDSVAGHPHMYEPGEVSASLIRELRKSNNTHSYMFARKFSPGCLEPLMEIADSVILRD >PVH33174 pep chromosome:PHallii_v3.1:9:70191449:70191842:1 gene:PAHAL_9G586200 transcript:PVH33174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTRSRAMTACERRSRGGLLPRRERRMREPERRRGRRAARRGSGWRHRRGVECAREMRARDGCTARGRSAMQWPPSLAARPRRRGGGGWRGEFGGRARRQEQEDEAKN >PAN46334 pep chromosome:PHallii_v3.1:9:11178041:11181068:1 gene:PAHAL_9G177700 transcript:PAN46334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATVAASSSRPMAVAAAIALLAAASFLAAAAAPVPAIYVLGDSLADVGNNNHLPTILRADFPHNGIDFPGRKATGRFSNGKNSVDFLADNLGLASPPPYLALSKSSNANYANGVNFASGGAGVLNSTNKDQCISFDKQIDYISSVSASLAQSLGQAQAAAHLSKSLFAITIGSNDIIHYARSSSSSAATAGGAGDPSQQFVDALIQALTGQLQRLYDLGARKLVFLGTGPVGCCPSLRELSASKDCSAVANGVSVRYNAAAASLLGGMVARHPDMHYALFDSSAALLRFIDQPAAYGFAEARAACCGLGDMNAKIGCTPLSFYCANRTSHVFWDFYHPTETTARKLTSTAFDGAAPLIFPINIRQLSAL >PAN46625 pep chromosome:PHallii_v3.1:9:12718338:12721448:-1 gene:PAHAL_9G199400 transcript:PAN46625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLRRAVAQRFASAASPSPAAFGLRRFLQEQPAFRPAVPPDRFMPLADRIRDLGVGVAFPRINLDGLVPPAAPARREADAARDLPPASLTVEEARKVLRATQMEAARARIRASGAGAVPYAEFLRLCCDAAGPDAGPSVARALDESGSVIVLGKTVFLRPDMVVKAIEKAIPVRETLPIAQNDPAREELKAMEAQKVDIDRAAASQVRRELWCGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFESRFAAKQKRLMQARGFDLRRYDELRRACGLPPVLQARTPCSTVTPSAKEGGHCHCH >PAN50122 pep chromosome:PHallii_v3.1:9:64536406:64541571:-1 gene:PAHAL_9G499900 transcript:PAN50122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLEIDLEPLYQRICWPLYRKYGHAFEAFKLIVADPDSILDALTYEEKETGPDGQEVTKVVPAVTPEVKDSLVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMKKAEASGNDNCPVKIKLVAPPLYVLTTQTLDKEQGISVLTDAIKACTAEIEKYKGKLVVKEPPRAVSEREDKLFLDQIDSLMAQNEEVDGDDDSEEEEDTGMGDVDLTNTGVTAY >PVH31909 pep chromosome:PHallii_v3.1:9:19009244:19018324:-1 gene:PAHAL_9G258400 transcript:PVH31909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCEDERVRLVSVTAKIDTNEPVLVFLYTSICINPIATEKSNFKTVKVKLPYLLYLTIVRSFVLSVNIVSINTYTTILIHAFLILSFGVERVSIYVIPLVCHNGEYRTKSQNFELFTYRSI >PAN50560 pep chromosome:PHallii_v3.1:9:66431715:66436393:1 gene:PAHAL_9G527700 transcript:PAN50560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHGLAIDPSRTLRCPATSRVSTAPLGLVSSLSFNRGCKEKNKTFINVDRYTKYSTPFCYAQRNARIIPLATASFGDMADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNYLISKYAGHKPSVDAIESAHDRIIMQSFFDRKKPKMDLKKKFREVSQSRAVKAIQGRFQTPRSKVIWQTAITFILLGALTLAFPTEEGPTLQVAISCAANIYFIYQRVRSGWRAFFYGFGSFFASWFLGTFLMVSVIPPILAGPRNLEVSTACVTYALLFISSTFLK >PAN47028 pep chromosome:PHallii_v3.1:9:13116615:13118178:1 gene:PAHAL_9G205200 transcript:PAN47028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSGAVSRPCFFLVAAAALWVLTLYLRLLAMMSVPGAFTGRAASLVPADRNGSGDPCRGRYVYVHDLPPRFNADILRGCAAASDRWADMCEDVGNAGLGRPLSGGALTGETGWYATHQFALDAIFHARVRQYGCLTNDSSAAAAVFVPFYAGFEFARHVWGYDNAARDAAARDLARWLVRRPEWRRAGGRDHFLVAGRTGWDFRRDAYPNATWGTNLFLLPAVKNMTFLVIETATMGWGNDLAVPYPTYFHPRTDSDVLNWQHRIRNADRWWFMSFVGAARPGDRRSIRSQVMDQCRASPACRQLGCASGTAQCHYPGDIMVLFQSSTFCLQPPGDSASRRSTFDAMVAGCIPVFFQPRSAYLQYRWHLPKDHATYSVFIPAESVRTGNVSVEAELRKIPAAAIEKMREEVIKLVPRLVYADPRYKLETVKDAFDVAMDGVLERVAEPAERQIGSYWR >PAN51453 pep chromosome:PHallii_v3.1:9:70691401:70693425:-1 gene:PAHAL_9G595600 transcript:PAN51453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAQIDPSPAAPPKSRLKRLFERQVLRVSPAERLPSAPAGGEKDELSEPSSLCLDGMVRSFLEDGGSGGGERVAAARCCNCFHAGDASDDEDGPAAADAAAADIAETIKGLVHCASLRERNLLADVSTQVERHRASGARKRDLLRLLAETLRAAGHDAAVCLSRWDKSSSHPAGEHAYLDVLLPAGSERAERERVLVDVDFRSAFEVARPTKAYRAVLQRLPSVFVGREDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYDRETPPPLTPPPQQPEAPTGELAVDGEGGGPGA >PAN46533 pep chromosome:PHallii_v3.1:9:12283204:12286630:-1 gene:PAHAL_9G192600 transcript:PAN46533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGKSVIAEPSNVIFLSAILNTEGSNPSHKCDKRCQNEHIFGNMYHCKLTGTTHICDKNCNQRILYDNHNSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYSAVSPIPSQVGDGMDLS >PAN52104 pep chromosome:PHallii_v3.1:9:73794042:73800439:-1 gene:PAHAL_9G642400 transcript:PAN52104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGMDAISNETVDLEHIPVEEVFEHLKCTREGLTADAAQERINVFGYNKLEEKQESKVLKFLGFMWNPLSWVMEAAAIMAIALAHGGENIRGKKMGIDYNDFVGIMLLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGTWSELDASLLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTSHVGHFQKVLTSIGNFCICSIAAGMTIELIVMYAIHARRYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFARGMEKDDIVLMAARASRLENQDAIDFAIISMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAGGKMHRVSKGAPEQILNLASNKSEIERKVHHAIGNYAERGLRSLAVAYQEVPEGTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDKKGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKIADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPMLVLVIAILNDGTIMTISKDKVKPSPHPDSWKLAEIFATGVIIGAYLAVTTVLFFWAAYKTEFFVRVFDVRSLNIDKLRREMGDKQDRDAIADNMERLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMGAFVVAQLVASVLAAMVSWELAGIKGIGWRWTGAIWVYNIAVYLLLDPIKFGVRYGLSGRAWSLVIDHKVAFTSRKDFGKEAREAAWAHEQRTLHGLGPPAGAAASSSGEQLGLMAEEARRRAEIARLRELHTLKGKVESVVKLKGLDLDDINNHNQHYTV >PAN51783 pep chromosome:PHallii_v3.1:9:72281035:72283070:-1 gene:PAHAL_9G620300 transcript:PAN51783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGWAAARGSPCCSQPFPVLAAAAVPVAASPKLSGLSSLLVLALVTAGPGIPIALKLEPYGTSRHFPPLLHCDPALSRNDDDSAFRATCCHSPPRSPPPHPLLHGIRRHALPSAAAAAPTGFTATRYGSRDSAFAGGHPASASVLGAAPLSPPPETARHGGRWVGSCACAGRRPPSPADRREEGT >PVH32404 pep chromosome:PHallii_v3.1:9:56340658:56342530:1 gene:PAHAL_9G391800 transcript:PVH32404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGEVLLELLLLDGSNYASWSTCVLSVLRTMGPQIERIVDLSISHPSVDWSNLTKEEEKCLQLNAQATYVLIHALSKDVFDSIMDDDDDDDILEDAHLIWTILKERYDKSKYDDEELILEKSFEEFSTSSIINENPQVIFSNGQDDVYISTSSPTHESIQKKANFELKAQLEDLTSKHMALQEKYDDLSCSYEKLVDSHAMLDIAHEVMVTSVKSYLPHMHKCTSSQVHIDLSCANPCLSQANILLSTTSDLDNVGKKGRHNGHGLVANSNKKNKSTSSKENKKIKKECYGCNEKGHELASCSHNKDDCKSLNKRQTGNKQIKNQDEKKKKTSCNDKQHICYTYRRKGHISKNCLMGQNGTCASKVTHTLYGSTKAIWVPKYLVTNLQWPNMDWVPPSA >PAN45143 pep chromosome:PHallii_v3.1:9:5555885:5564048:1 gene:PAHAL_9G096600 transcript:PAN45143 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MCTPMSYAGSAPGTHTWRKKNALEQLASIDLIELCKEARIERCRATRDLSSCSRYVHHVLNSCGHASLCAECSQRCDVCPICRSPIPDNGNRVRLRLYYKCIEAGLISKQHDERFQEKEDQGNPVNTDVQRLHSLFDVALQNNLTSLICHYITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVNALISEIGMIYRSGLEMMQSKLSQLQKFAAQLAGIYSVLEVMIASFTEAVSAHVNDLHLLIENTLKAKQHLEAMIWCIRHRFVQDICSRYTDYESWSSDVIQRKASAEARKWPEFFDKQLGDNETNQGTLFIEQALQNLGIEQSYRNEEEAAITCLQNEQSSSMFCSTITADHFSLNRYPFKNLREAIDVLFLHGASDMVIAKQAILLYYLFDRHWTRPDSEWRYLLDDFAATFGITSRTLLECLVFCLLDDHSSEALEEACSLLPKISSKETHPMIAQVLLERHRPDMALVVLKCTGHDSFSATENIEKDGISSLSEAVTAVRVRIEYGHLTEAFMYHRSYCSRVKEQRAADMIHSEDALRSSWVYQVEVMTTEFCTICIERNFVDKMIDLPWDSEEEKHLHKSLFDSACEMPTKPCGSLLVVYYLRRYRYLEAYEVDRNLQRFEQKKLESTTEEIASKIRTIAQWRENLVAKCLDMLPEVQREIVKAINTGEQSQFARTAQISSPVSHVFKAQSPAIELSSSFNPVLQNKSSLHSKSINALTDSGGMIRSSHSEFGRKVPSVLQSRAVPQATPAFNMRSTAGGIFPSVGQNGESPFLRGAKDISSRKGEAGFKKGIKPADDSLPMYLNLSSGDTPMKDYQTSLLKTEVDKTTSFQGKDYAGKGEFHFGSRSEKPFILNGTGVGQNGLPKVSGTAGFHGDYKLPTKENILSSSKKSSVDEAAASKGVSRWRSDESSEDEDERRTNRGSRASLVTRRRPRFSRR >PAN45142 pep chromosome:PHallii_v3.1:9:5555507:5564048:1 gene:PAHAL_9G096600 transcript:PAN45142 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MCPVFAQLFAGDERILQNALEQLASIDLIELCKEARIERCRATRDLSSCSRYVHHVLNSCGHASLCAECSQRCDVCPICRSPIPDNGNRVRLRLYYKCIEAGLISKQHDERFQEKEDQGNPVNTDVQRLHSLFDVALQNNLTSLICHYITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVNALISEIGMIYRSGLEMMQSKLSQLQKFAAQLAGIYSVLEVMIASFTEAVSAHVNDLHLLIENTLKAKQHLEAMIWCIRHRFVQDICSRYTDYESWSSDVIQRKASAEARKWPEFFDKQLGDNETNQGTLFIEQALQNLGIEQSYRNEEEAAITCLQNEQSSSMFCSTITADHFSLNRYPFKNLREAIDVLFLHGASDMVIAKQAILLYYLFDRHWTRPDSEWRYLLDDFAATFGITSRTLLECLVFCLLDDHSSEALEEACSLLPKISSKETHPMIAQVLLERHRPDMALVVLKCTGHDSFSATENIEKDGISSLSEAVTAVRVRIEYGHLTEAFMYHRSYCSRVKEQRAADMIHSEDALRSSWVYQVEVMTTEFCTICIERNFVDKMIDLPWDSEEEKHLHKSLFDSACEMPTKPCGSLLVVYYLRRYRYLEAYEVDRNLQRFEQKKLESTTEEIASKIRTIAQWRENLVAKCLDMLPEVQREIVKAINTGEQSQFARTAQISSPVSHVFKAQSPAIELSSSFNPVLQNKSSLHSKSINALTDSGGMIRSSHSEFGRKVPSVLQSRAVPQATPAFNMRSTAGGIFPSVGQNGESPFLRGAKDISSRKGEAGFKKGIKPADDSLPMYLNLSSGDTPMKDYQTSLLKTEVDKTTSFQGKDYAGKGEFHFGSRSEKPFILNGTGVGQNGLPKVSGTAGFHGDYKLPTKENILSSSKKSSVDEAAASKGVSRWRSDESSEDEDERRTNRGSRASLVTRRRPRFSRR >PVH31258 pep chromosome:PHallii_v3.1:9:5555507:5564048:1 gene:PAHAL_9G096600 transcript:PVH31258 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MIYRSGLEMMQSKLSQLQKFAAQLAGIYSVLEVMIASFTEAVSAHVNDLHLLIENTLKAKQHLEAMIWCIRHRFVQDICSRYTDYESWSSDVIQRKASAEARKWPEFFDKQLGDNETNQGTLFIEQALQNLGIEQSYRNEEEAAITCLQNEQSSSMFCSTITADHFSLNRYPFKNLREAIDVLFLHGASDMVIAKQAILLYYLFDRHWTRPDSEWRYLLDDFAATFGITSRTLLECLVFCLLDDHSSEALEEACSLLPKISSKETHPMIAQVLLERHRPDMALVVLKCTGHDSFSATENIEKDGISSLSEAVTAVRVRIEYGHLTEAFMYHRSYCSRVKEQRAADMIHSEDALRSSWVYQVEVMTTEFCTICIERNFVDKMIDLPWDSEEEKHLHKSLFDSACEMPTKPCGSLLVVYYLRRYRYLEAYEVDRNLQRFEQKKLESTTEEIASKIRTIAQWRENLVAKCLDMLPEVQREIVKAINTGEQSQFARTAQISSPVSHVFKAQSPAIELSSSFNPVLQNKSSLHSKSINALTDSGGMIRSSHSEFGRKVPSVLQSRAVPQATPAFNMRSTAGGIFPSVGQNGESPFLRGAKDISSRKGEAGFKKGIKPADDSLPMYLNLSSGDTPMKDYQTSLLKTEVDKTTSFQGKDYAGKGEFHFGSRSEKPFILNGTGVGQNGLPKVSGTAGFHGDYKLPTKENILSSSKKSSVDEAAASKGVSRWRSDESSEDEDERRTNRGSRASLVTRRRPRFSRR >PAN46987 pep chromosome:PHallii_v3.1:9:15006351:15009033:-1 gene:PAHAL_9G229100 transcript:PAN46987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFFSSTSNAPRRDEDAGIILLSGPPCCGKTSLLFQFAVNSAAESGRGVVFICSKERLESNPPFLSQGVDPSMSLLQRIQIKYIEDGDEIIKYFAAFHLLDNFPAAVIVDDFADFFSERSCQQKYGTTRARDLAMVRVLALCHNAIAHANAKLGTLGSCNLLLSDVQQGDTPRLLFIYKRWINSIYTIRADGTGSYILKNIGSSESESKKARKAKYSVALQYLVLEEISN >PAN44606 pep chromosome:PHallii_v3.1:9:3241904:3247145:-1 gene:PAHAL_9G057100 transcript:PAN44606 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA+ type, core domain containing protein [Source: Projected from Oryza sativa (Os03g0792600)] MLWVDKYRPKTLDKVTVHDQVAQNLRKLVSEQDCPHLLFYGPSGSGKKTLILALIKQMFGAGAEKVKMENKTWKIDTGTRTLEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEFIGKKENLQLPAGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQAAPPLDWEQYVSEISTDILTEQSPKRLYAVRQKFYELLVNCIPPESILKKLLAELLKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVASFG >PVH32142 pep chromosome:PHallii_v3.1:9:42487054:42487505:-1 gene:PAHAL_9G328300 transcript:PVH32142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGCQVYLDVCIGGFELKYKTGEVSFVKRERIRVLLERGGFSLENRKTAGGFGQNGFFLLSSP >PVH32266 pep chromosome:PHallii_v3.1:9:52498858:52499438:1 gene:PAHAL_9G357800 transcript:PVH32266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFERHYFIYCINLIHKCIDILDSIDYYWADTNPKPCHQPIIDKLSIMNAELKKVSNNKFPQFDKWSMPIIDVPKQAGPSDCMFFLWKYMEFWDGEHLNIDINPGMIYRVELMHFLVFHPLNQADLPDELDVYRLGGRKIDWSGSQ >PVH32619 pep chromosome:PHallii_v3.1:9:60855250:60860308:-1 gene:PAHAL_9G445200 transcript:PVH32619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKESIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRPDREFRL >PVH32618 pep chromosome:PHallii_v3.1:9:60853764:60860308:-1 gene:PAHAL_9G445200 transcript:PVH32618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKESIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRPDREFRVLSPAEIKDFLEEVE >PVH32104 pep chromosome:PHallii_v3.1:9:36675850:36676782:1 gene:PAHAL_9G313300 transcript:PVH32104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSVGHAHTNALHWEGFPRLLSESLQVFGYTEPPPYDGVEYEEEGVPHCQVKMIVPPHPTLSLWQPIEVNVIGHRLADTFEAAAMEAIHIFCDQHPEEVAGYPIGLFPIMDSRDPEWTFRVTYCDHLLGNLAGETLRTAVRFMNAQYRYQTLQQHDIYRLTNIAQGYRNQVGRQSMQIERLQATVAAKEEVITQREETIQHREEQTVESDALIVQRDTVIDFLQEQVHELNLNLGQAIDHINMLHEQPVQPDVDEFESEEEEEDPEEVEGVSEIDSEHGDPVLSPYHSSSGSQSSVGNLGDF >PVH31331 pep chromosome:PHallii_v3.1:9:6834212:6835889:-1 gene:PAHAL_9G116700 transcript:PVH31331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGNESDYESLRDARISENKARFEMLGLRCAKEELNAMAAPSRRPYTRKQPATGPPRRSPRLNGQAVKHKALPLTGVLGKATAVAVEEKEEEEGEEGDHDAPAVLDEEMDTDGEGMGGVYDPVPGETAISAEEIVQRG >PVH31020 pep chromosome:PHallii_v3.1:9:2178967:2179113:-1 gene:PAHAL_9G039300 transcript:PVH31020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPWGMAVSVVDMVWALLAAWVSTCLSAATAVARAARTGEIGPLHIA >PAN51911 pep chromosome:PHallii_v3.1:9:72852797:72855017:-1 gene:PAHAL_9G629200 transcript:PAN51911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPRLVRAPLRHASARAAFSARHLVPRGSDADASTDATASDAIVRLVAAGGGTLEADLDRLFPALSHGLVASTLRTLTDRGVPAERFFSWASLRRGFSPSAHAHNLLVDNAGKLADYGAMSRALALMSQRRLSLTDRAFDFLAPSGSSRSSSVEDAARAVLRVLDDVGGPCRASGVFSLVKALASTGEFDAAVSVIEATQRMARYYNVLVAAKCKAGDFIGAREVFDEMRTSGCDPDANTWNYLLGCLLKNGRTAEACGLVETMERSKLGEVPNSLTYEILTHHACKAGKMDSAMRILDQMLLANLTPRITIHSAFIKGYFYAGRIDDAHRYISDMSTRDRHSTNRNYSLLAKLLRKSGKTIDAGRVLYELMEKGLRPDHSAYVKVAKDLHKMGRGDLASELKSMFERFSLQADIGR >PAN44118 pep chromosome:PHallii_v3.1:9:1066763:1073662:-1 gene:PAHAL_9G018800 transcript:PAN44118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAANGDVRGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFAGEFTIFGGLEECIRFIANFKITEEEIKFLQTVMPTCEDGFFEYLSSIDCSDVEVYAIPEGYVVFPKVPLMRIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLHEITDKGLTSSDGSNKREDFVSLVQNWLIRIQDSSSLRGTFGETSQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAVGIRLDSGDLAYLSVETRKFFHAIEKDFGVVGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPSPKIGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGNSSDSREELPSLHEIRTRCIQHLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >PAN50669 pep chromosome:PHallii_v3.1:9:67001578:67005126:-1 gene:PAHAL_9G536400 transcript:PAN50669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >PAN45503 pep chromosome:PHallii_v3.1:9:7401988:7408435:-1 gene:PAHAL_9G124300 transcript:PAN45503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGETSTGNIQRGNTLPNGDIYVGNFAGLVPHGFGKYMWTDGTLYYGKWDTSKMTGRGVIQWPSGASYDGDICGGFIDGTGTFKGVDGSLYKGSWRMNKKHGMGTMVYANSDTYEGFWNEGLPEGFGKYTWANGNTYIGSWKSGKMNGRGVMKWTNGDTLDCNWLNGLAHGKGFCKYASGACYVGTWDRGLKDGHGMFYQPGSKMPCNLEVSECVTDHDVSSASSSGNENANSGLLFLLQKLCNTWRIHSLFHRPRRISNGTAPVFDNSGNHLSEDSSTEPLTTSECLQDSGVDKVLVYEREYVQGVLISEKPKGHDSGMPDCGKTQEHTWQKQAGGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPVREVRSNDFGPRARIRMYFPCEGSQYTPPHCSVNFFWKDYCPMVFRNLREMFHIDAADYMMSICGDDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVRAYDNTLITKFFGVHRITLKGGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWRDALFRQISLDCMFLESQSIIDYSMLLGIHFRAPYHLKTSSSHQNSLETGISDTDLLQYGEKSSWKGFLLVAHEPGTTVGGSHIRGSMVRASEAGYEEVDLVLPGTGRFRVQLGVNMPARALKVREDMNTELENPDSIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPSSYSKRFVKFLESVFPEQD >PVH31554 pep chromosome:PHallii_v3.1:9:11125174:11126211:1 gene:PAHAL_9G177100 transcript:PVH31554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILADTASGYHILRIDAYSRTTATPTGECLKSLPFTVGGHRWCIGYHPNGSKPEAKDYISLYLYLDEIRSYDSHRGWGNPGFIKREELEKSEHLRDDSFAVRCDVVVIDEFRTVQETPEITTQASVSTEKGADVVFDVAGQTFAAHRCVLAARSPVFSAELFGVMKESDTGGVVRIDDMEAQVFKALLYFIHTDLFPKAATEDGDGDQDEDVLSQHLVAADRYNLERMKLLCEKKLCEYIDVGTVATILALAEQHHCHGLKKVCFDFLSSPANLKAVVASDGFKHLSRSCPSVMEELIDMLAN >PVH33074 pep chromosome:PHallii_v3.1:9:68602454:68605261:-1 gene:PAHAL_9G561400 transcript:PVH33074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVGERSVRAAMVWDWRLEEDGEVLDNSSARWSPKVSISISASAVAERTESMPAGPGPTSRATEASYVELVGSGGKVGRFHANSQQKPFAQAELQSPPPCICSFRAPLLLPAPYCWRHQPPMDDALIVFDQMGIKCARLMRTSLPLIQPQRQPLHHLQRNQDQ >PVH33322 pep chromosome:PHallii_v3.1:9:72777498:72778219:1 gene:PAHAL_9G628000 transcript:PVH33322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWQDCRRLLPLPHPSTSDLSEQIPRPANPLPPWQPRNRTRGLRRDKDKARGGLRACRFVSTYSRPPRAASAPGISRPACRHPVNSKLSVRITCPCVTVDPVSPSIHLIDRSGSRSISGRGQRAWRPCRARLHRRDDPVQRRSGFWIRNGFHSPLATKLAWAGRHLAECKDHGCDSKQAALQVMRY >PAN50279 pep chromosome:PHallii_v3.1:9:65193932:65197938:-1 gene:PAHAL_9G509700 transcript:PAN50279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSVKGVADLEIGLASPGSEGAPSPASSAGASGERPDQSPARAAKRPGLVMSFSGKRLDQSPSRPVLVMSHSSNRLDQSPARPGLVMSRSSNRLDQSSPASSPSLAPARGPVLVMSGSSNRLDSSQPSPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEIDAQMTGTGEEFDSEVAEIRAAIVNETNEMEETALLIAAEKGFLDIVVELLKHSDKESLTRKNKSGFDALHVAAREGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHTEVVNLLLERVSGLVELSKANGKNALHFAARQGHVEIVKALLDADAQLARRTDKKGQTALHMAVKGTSAEVVQGLVNADPAIVMLPDRNGNLALHVATRKKRSEIVNVLLLLPDMNVNALTRDRKTAFDIAEGLPLSEESQEIKECLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDDHGVAIAVHAAAFKVFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTTVAFISSSYIVVGRHFRGAALLVTLIGGVIMTGVLGTMTYYVVKSKRTRKIRKKVKSTRRSGSNSWQQNSEFSDSEIDRIYAI >PAN49246 pep chromosome:PHallii_v3.1:9:60201642:60208529:-1 gene:PAHAL_9G436300 transcript:PAN49246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAPPLCTWLVAACLSAACGAGDKEKQRRHRGAGVGVGGLFGSHHRPGSRRRGGARSGMSMAVALHPERGVVETKKTDVKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGISGISEIEAFDCSSYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENAGITEEIMNELEKSRCGVLIGSAMGGMKVFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRKGEADLMLCGGSDAPIIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGSGVLVLEELEHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIENALADSGVTKEDINYINAHATSTQMGDLKEFEALTRCFGQNPQLRVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWVHPNINLDNPEKNVDVSLLVGPQKERFDVKVALSNSFGFGGHNSSILFAPFK >PVH32034 pep chromosome:PHallii_v3.1:9:31902559:31903678:-1 gene:PAHAL_9G297800 transcript:PVH32034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQISVCCGAEVWPKWSKLMPNHSYTSRWRAWYLSQISRGEHPLLQGPGLGGGAVFVGAADEEHVVAPEAAVARVHVGAEHAADEVAQVRHVVHVRQRAGHQHVPPPRHRQHRRLLPPLPRRRLCHMQPLQPVCPDGTDWSVYLSATSLPMA >PVH33200 pep chromosome:PHallii_v3.1:9:70562046:70562972:-1 gene:PAHAL_9G593500 transcript:PVH33200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGELGESESVCFILTRREASSNTRVRAQLWQARTPPHSTRTSLPRCLRTRRGSMTTPTISGSAPGAAGAPAAMISGDPAAAAHLQAGRPPAPTPTAPPLPVPRFQYAGVRPIPAGGWAAHVLVDPERHAYRTVGPFPDAHAAALAHDRVAIAFLGDRARANFRPAFHPIEQRFLRLCRTRDGEIDVCTLVADGMYEARYATFLRAVLGLERWGEYLGVVLDFFLDRAAEIGREALEEGGEKLAARFVEMHRNKAVDPRWRAWYLGRVAAGQKQRMERERQQLLQQQQRGGGCASASAMQHQQQQVP >PAN47706 pep chromosome:PHallii_v3.1:9:40897774:40898555:-1 gene:PAHAL_9G324700 transcript:PAN47706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLPPPPAVPPLRANKDARGPQGGCPLGARLQGRPPLGAAHGLWPPPALRYPPASPRAPSPLAAPPFRCSLMHPLEIPEGINENNAGKVVVDVSSFSTIEDGRSVYRKGRPLEWWVDSEEYSIIDMEKDVSEHFSWATNQEANFWFTDHNGQTSRLATDHQLLALLQASKDVKFVMTVDRCIEVT >PVH32360 pep chromosome:PHallii_v3.1:9:55257938:55258304:-1 gene:PAHAL_9G381900 transcript:PVH32360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDRASCHLDETLLLERSFWQLKSAHVLETELLEPSPSARTIGVQFEGCTRRSRV >PAN47319 pep chromosome:PHallii_v3.1:9:17609520:17615380:-1 gene:PAHAL_9G251700 transcript:PAN47319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMQSSSKEQEVHKVAGKSHEQSPLKQGSVDDEDSGGTTPFAGENRYPGWPGTSVFRMLIPSHKVGAIIGHKGERVRRLCEETKACVRIIGGHLCAAEQAVIIFGKEQPDEPLPPAMDALLRVYQQTIKDDDLDVGSNSTIVTRILTPREQATSLIGEQGAMISSIMQASQTNIRVLDGDLPPVALEEDRVIEIWGLPAGVHKALKLVASHLRKYLVDRSVIPLFDRHVPMPILHTDMPLCHYIDHPDGPVRAVSPGYHSVCAEDFHCEPWSDTSYSRVRHPIENVLHADTFEHRWETSTSFRQYRSVTPPHHARSAYWPEASSPMEAYHSAPMELHSHCSLIHGWHATPISPTASVERVRSLISVYGQQAHPRRQTYQSDEMNKHPRFGKSLHLSEAHPTRVSPSDATELPPNSGISACGREASPTFRMCPPTTVENLLHCRVSAYGPEAPQHQAAPSSTSRSAAVASQVKKKMQVPIFYAEAVIGPSGERIEYIRRTSRSSILINNSEESVMSIEITGSAATDVLTAEQLIKNFMAEAAAASPGHSFDFIPSYLPASRSPIANILTTEKSSVTLPEQRLQMIY >PAN52013 pep chromosome:PHallii_v3.1:9:73398695:73400684:1 gene:PAHAL_9G636600 transcript:PAN52013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFQNPKVQASIPANTWVVSGVPQTKSLQDLLPTIINQLGPDNLDNLRRLAEQFQKQVPGAETGASAAAQDDDDVPELVPGETFEEAAEEKKEPEPEEKKES >PVH30926 pep chromosome:PHallii_v3.1:9:856289:860796:-1 gene:PAHAL_9G014400 transcript:PVH30926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGATTWPRTLSSPSVPLCRDAKLPPTPRLLRATPGHARPTTAADDHGRRAEPPDVVLDCKRLDGLMKSGRLADALDLFDRMPRKNVVAWTSAISGCTRNGRPGAAAAMFAAMLDSGVAPNDFACNAALAACAAAGALGLGEQVHSLAVRAGFAGDAWIGSCLVELYSRCGSLRAAEEVFRRMESPDVVGYTSLVSALCRNGEFARAVELLCQMMRQGLQPNEHTMTSILAACPRVLGEQIHGYMVKAMGLQSVYASSALIDLYSRNGDSDMAKLVFQKLNSKNVVSWCSMMKLCLRDGRLEDALRVFTEMISEGVEPNEYAFSIALGACGSAGLGRQIHCSAIKRNLMTDIRVSNALLSMYGRSGLAEELEAVLDKIENPDLVSWTAAISAYFQNGFGEKAVALLSKMHSQGFTPNDYAFSSGLSSCADLALLDQGMQFHCLALKVGCDSKICTGNALINMYSKCGQIGSARLAFDVMNLHDVTSWNSLIHGFAQHGDANMALEVFSEMCSSGCKPDDSTFLGVLVGCNHAGLVKEGEIFFKLMTDQYGIIPTPSHYACMIDMLGRNGRFDEALGMIEKMPFEPDALIWKTLLASCKLHRNLDMGKLAADRLMELSELDSASYVLMSNIYAMHGEWQDAGRVRRRMDEAGVKKEAGESWIEVKNEVHAFVARDMSHPDSVSIYQMLAELLDVMQDTGLDVRMQM >PAN46228 pep chromosome:PHallii_v3.1:9:10743441:10745083:1 gene:PAHAL_9G171300 transcript:PAN46228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLPALCGGGGGRAATTRVRRKRVQRAAYSSPPSKLAVAALAGAGGGKAGNKGSSPLGVGGCYVDGNGALMVEVGGAGAAGARKKDGGGRRVMVLADGRAEAAGALQWALSQAVRSNDTVVLLTVLKPVTQDAVSDSCVKMLGTKSQQHLDALKILCESTRPEVKVETCAVEAEERAPAVVEAARRHGASLLVLGQRRRRAVARWLQALWRRRRRGGSPGGGGGMVEHCIEHAPCAALAVRRRSSGGYLVSSKRHKDFWLLA >PVH32260 pep chromosome:PHallii_v3.1:9:51642035:51649198:-1 gene:PAHAL_9G355500 transcript:PVH32260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILSTMPSSPPPPEPAPTPAPPMDTAWARALRRLLPPGAPLPDEDHLDYSFSVDLPDAPAARGPPSPSAAVPRPLPVPLPGHRRRISRLLFRTAPAPPPRCASPSPPSSPDAAPTPTSLSLSTSPPRAPESPSPPPLPQLHPPAPAHGGGGGRRRACARCGKGGRIAVAMGILGDQRQEECLACGARYCAGCVLRAMGSMPEGRKCVACIGAPVVDPRRRARLGRGSRLLARVLAPEERRQVMRAERGCAANQVRPDEVVVNGRGLSQGELDLLLGCAVPPYRLVPGRYWYDKDSGLWGKEGERPDRIVSSKLSVGGKLQADASNGTTQVFVNGREITKTELRMLKLASVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWRKASTRLIANLFSLPIPPGYSHVLKGDATGDSGRFVPDYLEQKSVQKLLLIGLEGSGSSTIFKQAKFLYGSKFSPGEILDLKLMIQINVYRYLSTLLEWRECFEDEALKEERELCTIDKAAGETGVAQTRSSLYSLNQRLMQFADWLLEIVALGDLDAFFPAATREYAPIVQEVWKDAAIQATHKRNNEFYFLPDVASYFLDRVVEVSSNEYEPIETDILYAEGINQWNGLSLLEFSLDDRYTFTESYVDKPDDPSMQTKYQLMRINSKGLNGGLRCLEMLEVRAIIFCISLADYDQMWVKSSGEPCNKMITSRDMFEDVITHPSFQDTTCVLLLNKYDTFEAKINRVPLTVCDWFADFSPVKPHHTHQSLASHAYYYIALKFKDLYSTITNRKLFVFQTKALERKTVDNAFRFIREVLRWDDGKNSDAFGSVDESLCSMDMSS >PAN45780 pep chromosome:PHallii_v3.1:9:8707477:8711778:1 gene:PAHAL_9G141700 transcript:PAN45780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAYKYQAQALMRDYLLADPLVPYTSVLMGIVLCKMAYDFTRILSSFYFKGYTSLTKIQRIEWNNRGMSSAHAIFITAVSLYLVASTDLFSDRIKGPITFRNSIISTSALGVSVGYFITDLAMIFWLYPSLGGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVARIFLFIYVFYHIYLHYSQIMQMHAFGYYLTFLVPSVLFVMNTMWFMKILKGVKKTLAKWP >PVH31584 pep chromosome:PHallii_v3.1:9:11566317:11571576:1 gene:PAHAL_9G182700 transcript:PVH31584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MLPLLLPCSPAAASLQLLCHDTPPSSQFRPTIPTPISPQQRGAWAPPASGFAIPRRRSRRAPDARCIAAMAFASYLASYSRASAAPAAAAACPCHAQPRPRRRRPRPAPLRAYAASDHQERLLTALCEQADPEAALRMLNSALAREDFAPSRAVYEEIIRKLGTAGAFDLMKGLVREMRREGHDVKVGVVHSFVEGYARVRRFDDAVDLVLNQLDLFGVQADTVVYNHLLNVLVEGSKMKLLESVYNEMAGRGVRPDVVTFNTLIKGLCRAHQVRTAVLMVEEMLSHGVAPDETTFTTLMQGFVEEGSIEAALRVKAKMLETGCSPTRVTVNVLINGYCKLGRVEDALGYIQQEIADGFEPDQVTYNTFVHGLCQNGYVSHALKVIDLMIQEGHDPDVFTYNTVINCLSKNGELDEAKGIVNEMVDRGCLPDTATFNTLIVALCSQNRLEEALDLARELTVKGLSPDVYTFNILINALCKVGDPQLGMRLFEEMKSSGCTPDEVTYNILIDHLCSLGKLGNALDLLKEMESSGCPRSTVTYNTIIDGLCKKMRIEEAEEVFDQMDLQGISRNAVTFNTLIDGLCKAKRIDDATELIEQMVKEGLQPDNITYNSILTHYCKQGNIKKAADILETMTANGFEVDVVTYGTLINGLCKAGRTQVALKLLRGMRIKGMRPTPKAYNPVIQSLFKRNNLRDALNLFREMTEVAEPPDALTYKIVFRGLCRGGGPIKEAFDFLVEMVNKGFMPEFSSFRMLAEGLLNLGMDDYLISAVELVIEKADFRESDVSAIRGYLKIRKYYDALATFGRLLEINNPQWAYR >PAN46477 pep chromosome:PHallii_v3.1:9:11961191:11967371:1 gene:PAHAL_9G188700 transcript:PAN46477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWCHNCKERQPPVSHRPSLKHRHVPPATTPNVRGHRRRRRVPPSPMPKPHHAAPSPPPLLPLALLPPRHCPLAAAVLALLSVLLATALWLLLVLSPSQGAPPAASEHSALSDAGAALAGPGAASPLALGHIVFGIAGSAHLWPRRREYVRLWWDPATMRGHVWLDAGAPAAPGPSAAWEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGAGVRWVVLVDDDTVLCADNLVAVLSKYDWREMVYVGASSESHSANTYFSHSMAFGGGGVALSFPLAVALAQTLDVCIERYPKLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGLLASHPIAPFISIHHVELVDPIYPGLNSLESLDLFTKAMKMEPMSFLQRSICYDQSQKLTFAVSLGYAIEVYPNVLLPRDLERSQRTYIAYNRMSQRNEFDFDTRDVQKSLCKKPILFFLKEVWKDGNMTRGSYVRSSGRDDLKRKVFCFRSPPIPDIDEIQVSSSPLSKHWHLAPRRLCSALKGSINGTLFMFVRQCGRGTFGSASDSL >PAN51516 pep chromosome:PHallii_v3.1:9:70967313:70968736:1 gene:PAHAL_9G599500 transcript:PAN51516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVDDLIADLCELPARVIVGKKKRKEFQKVDLLVRMDCEGCERRVRKALEDMKGVSSVEVDPKQNKVTVSGQVEAPEVVKRLRRRAGKKAEPWPYVPYEVVPHPYAPGAYDKKAPPGYVRNVLDDPDKAPLVRASSMEERYTAAFSDDNPNSCAVM >PAN47915 pep chromosome:PHallii_v3.1:9:33902403:33909897:1 gene:PAHAL_9G304200 transcript:PAN47915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWPWKKKSSERSSNTDASQSSNQAEQDEKVPKYVQISPERYSHLTESEEQIEILNAKIKVLNEKVAAAQSEMNTKDALVKQHAKVAEEAVSGWEQAEAEASALKFQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKHWEKVRAELESRLVEFEQDLIRAGAENDALSRSLEEQANLLLKVYEEKAQAEAQIEVLKSTIQSGEKEINSLKYELHVVSKELDICNEEKNMSIRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVDSWGRDAGDNRLRHSPSRSSPLQYPMSLSPDYVHENLQNMKKENELLTARLLSMDEETKMLKEALSKCNHELQASKNMCAKTSCKLRSMELHMSSANLYKSWTNSYVDTSASSQKESNPPSLTSMSEDGVDDARSCEESWASTLVSELSHIKKDKAGKHNLTENSNQMGLMDDFLEMERLACLSSEAQECEGIVGKKVGKVVETLSSVTKKESNNNSLPALQSPGSPFSSDNLIANSPLSKLHSRISALLSSQSPENNVVKVLDGIRNILGDIEHEVESVNVNKLQHDDVEVTGNGSSTKETKPLGLMDHSLRNAILKIHEFVKLLIRQASSELQGSSYYNVVSQKIEQFSTLVDEVLSGGNGLNEIVIALSEILLESGEFKLTLLRETANEVESNNVDCVDKVTLLENKIHHEPSQDSVSGACSLMPHSSSDPDFEGPSPSNAFDVKTAVRICTLEEYEKLKLEKRNLEMELTKCNEIIETTKLKFSEMEKNLEDLTSKLAACEKSNSLAETQLKCMAGSYKTLESQKVKLEEEIRVLQTKIDTLSADLAEERRSHQEDIAKYRDLKEEMERYEKSSLFVAEDSDIELKKEKEIAAAADKLAECQETILLLGRQLQTLRPPPSESLSSALNTQLVGQFPEDQAGPARSVHSKKPSASSPYFTSPGSSKRPKHRSRSSSSSFSNQLPEKQGRRFSRLFSKGKSDR >PAN47917 pep chromosome:PHallii_v3.1:9:33902403:33909896:1 gene:PAHAL_9G304200 transcript:PAN47917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWPWKKKSSERSSNTDASQSSNQAEQDEKVPKYVQISPERYSHLTESEEQIEILNAKIKVLNEKVAAAQSEMNTKDALVKQHAKVAEEAVSGWEQAEAEASALKFQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKHWEKVRAELESRLVEFEQDLIRAGAENDALSRSLEEQANLLLKVYEEKAQAEAQIEVLKSTIQSGEKEINSLKYELHVVSKELDICNEEKNMSIRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVDSWGRDAGDNRLRHSPSRSSPLQYPMSLSPDYVHENLQNMKKENELLTARLLSMDEETKMLKEALSKCNHELQASKNMCAKTSCKLRSMELHMSSANLYKSWTNSYVDTSASSQKESNPPSLTSMSEDGVDDARSCEESWASTLVSELSHIKKDKAGKHNLTENSNQMGLMDDFLEMERLACLSSEAQECEGIVGKKVGKVVETLSSVTKKESNNNSLPALQSPGSPFSSDNLIANSPLSKLHSRISALLSSQSPENNVVKVLDGIRNILGDIEHEVESVNVNKLQHDDVEVTGNGSSTKETKPLGLMDHSLRNAILKIHEFVKLLIRQASSELQGSSYYNVVSQKIEQFSTLVDEVLSGGNGLNEIVIALSEILLESGEFKLTLLRETANEVESNNVDCVDKVTLLENKIHHEPSQDSVSGACSLMPHSSSDPDFEGPSPSNAFDVKTAVRICTLEEYEKLKLEKRNLEMELTKCNEIIETTKLKFSEMEKNLEDLTSKLAACEKSNSLAETQLKCMAGSYKTLESQKVKLEEEIRVLQTKIDTLSADLAEERRSHQEDIAKYRDLKEEMERYEKSSLFVAEDSDIELKKEKEIAAAADKLAECQETILLLGRQLQTLRPPPSESLSSALNTQLVGQFPEDQAGPARSVHSKKPSGQFDADYTFSSAPGTGNVSPLSGYNPHKSPSNAASSPYFTSPGSSKRPKHRSRSSSSSFSNQLPEKQGRRFSRLFSKGKSDR >PVH30891 pep chromosome:PHallii_v3.1:9:500531:501290:-1 gene:PAHAL_9G007300 transcript:PVH30891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFQSPQTHLDLSKRVPLLLLFHHHQIKPTLARDQAQLYPVFSTQKERTDVYAGVPRRRPHQLPAASLACCGPLSTTNCQRSSWSAYCWRWTSPAPSAPPGSTHHQSASSLPARWDPPVAVISHLQPRSKNVSSDFDRIEQALAAEAPSSRSSTAAARGLGWWRPCSVRGGVLPASRRPWAAPSSATTSEPRSPATTAGSTASC >PVH32782 pep chromosome:PHallii_v3.1:9:63468247:63469327:1 gene:PAHAL_9G485300 transcript:PVH32782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPRRLLGMRCAGSGTVTSRRQPATMARRLPVRRRSVLQRGLLGPAPVLRAMRCPQLLDKASCWSSIIILCCA >PAN48874 pep chromosome:PHallii_v3.1:9:54404020:54407401:1 gene:PAHAL_9G374400 transcript:PAN48874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLALIRDGFGPHDLKIKEQIKRFELKGEIRKHIDVRVNISGHHVEVNLADLHGYEKYVITTLLDESMPSPNSVCDHINCRVVVIHDADKLSSDLQHYIGWFLGRYAGCNKIIFCCSDASNLEAVRHLCKVVTLQPPSFDEIIKVLEYIATQESIDLPRDLARRITVSASNNLRQAIRSFEATSKANYPFVDDQVILTGWEEEISNVARNIMEEPSSKQLFVIRGKIRKLIEHSVSPHFIFSHLVAELKRDKDEEFQHSIDKLALNVNHCKQCKLIKEQCKGCKSPEADLKMRNMNIEGFTENVHDHGESIQCFIKIEEFTVRFLSFYRSLIAKKSNSGGAQ >PAN50004 pep chromosome:PHallii_v3.1:9:63934029:63937046:-1 gene:PAHAL_9G491300 transcript:PAN50004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGCCRRQRGPDGAPVGGRRGGCCGAILAVLALAAAAAVAFLEGTAGGVSYAGDGWLHECAKWDAEGGRFLASTFYGASVAEVRGGEAEERVVLADPDAAGRVALGLAVDAPRRRLLLVYADRLPRIGYAALGAYELGSWRRIFLTRLDVPGESTFSDDVAADEDGNAYVTDTLGSKIWKVSPDGALLGVIRNSTFTQRPGALHNFLGLNGIVYHPNGYLLVVHTSGGDLFKVDPKTETVRVVKVQGSLKRGDGLELLSATRLVIAGVPSRLVESSDGWETASVTGQYVGPIHRVGSSATVKDGDVYVNHLVGFGLGKKKTHVLAKAVFSPLAAAS >PAN45709 pep chromosome:PHallii_v3.1:9:8279729:8280998:1 gene:PAHAL_9G136000 transcript:PAN45709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDYDAEAELARFHESRAGVRGLVESGVTAVPPLFFAPTVPAPQAPATPPAFTVPVVELSLPRADTVALVGAAARSRGFFHVTNHRVPAGIVAAAARAFHEEPLAASSAFYSLHPAGSVAYSTVPITQRRGVDAAPILPWRDSLRVRFGPGEPELGSLPARCRDALHVYQRLLKGFGNEVAGLLSEALGVGAGRLEQKLQPTRVVGSLEHTDPGLFTVLAQDGVGGLQVRYHHDGAGGGGDGDGGVWVDVVPASCALLVNIGDLLKVHIVTVDLVVA >PVH33286 pep chromosome:PHallii_v3.1:9:72071306:72071650:-1 gene:PAHAL_9G617300 transcript:PVH33286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWTVGPTGPLLPPTTQIRSGSSLPRPRFFPGERVDPPVPITDMLLSWAQEAHFSMGGLGVKHLRVALPVLRLSVSLPAPRTEPRTPSSISGIRPQQLDCFDGEHPRTLLYLP >PVH30921 pep chromosome:PHallii_v3.1:9:834164:841556:1 gene:PAHAL_9G013800 transcript:PVH30921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFDLPNAIKSCIMHGRQLYYRLVFLLGMDPSLSIEIVAFWLLVEGNGEVDFLRHINSFHGDHFLALVGMGKQFIDAMHGNPVGLKSRSTRELHRQVMLGMCFFLNNVCYKVLNDLRQKADYNQYQGESSISRSMPSAIECLVDMEESPDSNYHVLYQYMKDQPHISMSYHRYQEDCSISRSMLGAIKSLKDIEESLDSNYHSYHQYQEDCSISRRSMPRAINCFEDMVKARDEQQKNTGWSISSRSTIVPTVIQNHQDMVKLRYGAQSMNAFSMDLDIISRQQQKSIGVNVSSRLDIHDLESLFNKCMISSRFPSEWGNTFPQSSTTNMNPYVHSPIPQHDRTLFITFSNGYPLTKKEVYKFFMSNFGDVESLSIEEPIEVRPPQYALVTFGFLETFVTGGKHLWARKYVPKKQQKGKNKAWM >PAN46951 pep chromosome:PHallii_v3.1:9:14854629:14860018:-1 gene:PAHAL_9G226500 transcript:PAN46951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLEAAPAAGDEYTQDGTVDLHGNPVLRSKRGGWKACGFVVVYEVFERMAFYGISSNLVLYLTTKLHQGVVPSANNVTNWVGTIWMTPIVGAYVADAHLGRYRTFMAASVVYLCGMILLTLAVSLPALRPPKCGDGTADPNCTHEATSAQLGVFFLGLYILAVGTGGTKPNISTIGADQFDDSHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWSVGYALPTLGLAVSIAIFTAGTPFYRHKPTSGSPFAKMARVIVGAARKWAVAAPLDPRDLHELDDEHYAKKKAARLPHTPNLSVLSKAAVKTGGGGGAQEASRWSLSTVTQVEETKQMLKMLPVLAITFVPSAMMAQINTLFVKQGTTLDRHVGPHFEIPPASLQGFVTISMLVSVVLYDRAFMPLARRATGNPRGISLLQRMGVGLVIHIAIMGIASVTERHRLAVAREHGVRDSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSALLSTVSRVTRRHGRAGWVLNNLNASRLDKYYAFFAVLNCANLLAFFVVCRLYVYNAEVARVVDAGSSGGAVAGGGEENKKREVALQPANVGAVESTL >PAN44053 pep chromosome:PHallii_v3.1:9:825863:831536:-1 gene:PAHAL_9G013700 transcript:PAN44053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQFLGRLPKKPSKSGDKDPSGRSSPSVSHPPLGPRAGDRASNLSSQPPVISSSGLSYGSGMHVGNANSRVNMNGDSVTSAFVSLPSFKDVPNTEKQSLFIKKLNLCCTQFDFTDPTKHIKEKEIKRQNLVELVDYIGSASGKFSEASMQEITKMVSANLFRTLSTPPRENKVDGFDVDEEEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALVPLHKPKCIAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQKCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIESLIKQNSRVILPIIFPALERNTNGHWNQAVQSLTLNVRKLFSDHDAGLFTECQRKYEEEKAKEKEVKLKQEATWKRLEEIASAKATSGAAVLVSRPLPRQSSAV >PAN44054 pep chromosome:PHallii_v3.1:9:828216:830839:-1 gene:PAHAL_9G013700 transcript:PAN44054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQFLGRLPKKPSKSGDKDPSGRSSPSVSHPPLGPRAGDRASNLSSQPPVISSSGLSYGSGMHVGNANSRVNMNGDSVTSAFVSLPSFKDVPNTEKQSLFIKKLNLCCTQFDFTDPTKHIKEKEIKRQNLVELVDYIGSASGKFSEASMQEITKMVSANLFRTLSTPPRENKVDGFDVDEEEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALVPLHKPKCIAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQKCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIESLIKQNSRVILPIIFPALERNTNGHWNQAVQSLTLNVRKLFSDHDAGLFTECQRKYEEEKAKEKEVKLKQEATWKRLEEIASAKATSGAAVLVSRPLPRQSSAV >PAN50843 pep chromosome:PHallii_v3.1:9:68001992:68006547:-1 gene:PAHAL_9G550900 transcript:PAN50843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MGAVEELVARAGGCAVIDGGFATQLEALGADINDPLWSAACLIARPHLVKEVHMQYLEAGADVIISSSYQATIPGFLAKGMSLDEAEDLLRTSVKLALEARDEFWKSTLRKSKPIYNRALVAASIGSYGAYLADGSEYSGSYGADITIEKLKDFHRRRLQVLAGAGPDLIAFEAIPNKMEAQALVELLDEENIQVPSWICFSSVDGKHLCSRESFADCLQILNASEKVAVVGVNCTPPQFIEGIIGEFRKQTKKAIAVYPNSGEVWDGRAKRWLPAECLGHKSFDALAKRWQEAGASLIGGCCRTTPSTIRAVSKILKGRTGH >PAN50803 pep chromosome:PHallii_v3.1:9:67726117:67731493:-1 gene:PAHAL_9G547500 transcript:PAN50803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGTPAAAAATPLLLLLWLLPLHAAAAAAAGSGSCARSCGGLTVRYPFSFSPGCGIWLECDQANGTAWLRAAHELGLRVSNVTARALVLTLLPDCSRRLNASVEALFSDNYAPGPQNTLLVSSCNRDDRTMNCGVPPATYLNASSHCFHGANKAENFSCVSPIGNRFLNETKIRALGSECTGLVSAASYWDAPAPVLAVQLGVMELEWWMRGPCSCAPNANCTPLTTPAAGQGFRCECQEGFEGDGFAGGTGCRRVSAAKCNPSRNLAEGCGKTIQIALLVAGIVFGALVTGVTCVVYQLLKRRSASIRTKRSTKRLLSEASCAVPFYSYREIERATDGFSDAKRLGTGAYGTVYAGRLSDSRLVAVKRIRQRDNAGLDCVMNEVKLVSCVCHRNLVRLLGCCIEQGQQILVYEFMPNGTLAQHLQRERGPAAMPWTVRLRIAAETAKAIAYLHSEVNPPIYHRDVKSSNILLDYEYNSKVADFGLSRLGKAPLGDSSHISTAPQGTPGYVDPQYHQNFHLSDRSDVYSFGVVLVEIITAMKAVDLTRAPSEVNLAQLAVDRIGRGRVDDIVDPYLDPHRDAWTLSSIHKVAELAFRCLAFHSEMRPSMAEVADELDQTQRSGWAPSADDAACMSTSSSICSSGASTRGTDRSWGAGRSRTDRERAAANALAAQEAAKGGAAESPVSVQERWFSDRSSPSSNSLLGSRPLH >PAN44685 pep chromosome:PHallii_v3.1:9:3518638:3521267:-1 gene:PAHAL_9G061800 transcript:PAN44685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYLKNVRGYMKIVRLRPGVLLQKLAATTVAAGDLRLPRRTACVPPDPPENLPPLLVPPAGYPRAPPPLTALPSWRCCEQQRRLECLRACGCPVTGISQSSGGAGDFFGWLLPLLLAARELKPPSAPARCLCRSCACCSNVSVLFGRLGIAFKRPELEWFVNAPPHSRSVCLCPLPPLDSRVVPGSLLLPCIFLGIAMLIDLLA >PAN50827 pep chromosome:PHallii_v3.1:9:67852224:67862262:-1 gene:PAHAL_9G549200 transcript:PAN50827 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MAWGEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLIERYNAAAGEGTALCGIFSDIHRAWATVDNSFFIWRFDKWDGQCQEHNVDEQAICAVGLAKAKPGIFIEAIQYLLVLATPVELVLVGVCCSASADGTDPYAELSLKPLPEYMIATDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGIGSLLSRWVLPNAFKFSTVDPIVDMVIDDERNTIYARTEGMKLQLFDLGANGDGPLTKVTEEKNIVDPRDAPYGGRRPNAQRSARSPKPSIVCISPLSAMESKWLHAVAVLSDGKRLFLTTSSGSGSSVGLNSSLQRPTCLKIVATRPSPPLGVGGGLTFGAVSAAGRAHPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVLPLPDAAFTMQSLYADVECFTGFRKPSEKASIKLWAKGDLPTQHILPRRRVVVFNTMGLMEVIFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLCAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPIWELPTMVIRGPGGTKDHEDGIVVCRLSAGAMKILESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGPIIGSGGSVNGRSPYNSQIRDINPTDQSASSKKPRLLYTSAELTAMEVRAMECLRRLLRRSGEALFLLQLICQHNVARLAQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVEEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNPEERDILAKDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDPRHHDIIMLQREQCYEIVMNALRTLKGVGQSGMQGADKSSGLATAVDPASRSKYIKQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVSFLQSAGRKHQEEVRAVSSLTSGAAKLRDLGAPISTSQTKYLELLARYYVLKGEHIAAARMLLILAERQCSNSEEAPTLEKRYEYLRNAVLQAKSASIAADSSRNSIDSSTVDLLEGKLAVLRFQMQIKHELELMAARVENIPSSSESPSDPFPRDNILADAETAKAAKDKAKEVSLNLKSITQLYNDYAVPFNLWEVCLEMLSFANYSGDADSKIVREIWARLLDQALTRGGVAEACSVVKRVGSKLDPADGACLPLDIICLHLEKAALDRLSSGEELVGDDDVARALLGACKGLPEPVLAVYDQLLSNGAIMPSLNLKLRLLRSVLAILREWGMSVIAHRLGTTSAGASFFLDGTFSLNQTGTANQGARDKIISLANRYMTEVRRLNLPQNQTENVYRGFRELEEKLLSPY >PAN51091 pep chromosome:PHallii_v3.1:9:69167464:69171744:-1 gene:PAHAL_9G569200 transcript:PAN51091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSEAAPAAAAAVEAEGGSGGKGSWYVLGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLDQEFDVHRSWTLDPLKHTFIVLDRELIEGEFAPGNPHTEAMVGDVNIYMNDPDDMQLAEIEIMIAEHKSRGKGIGQEAILLMMAFAVEKYRIHTFRAKISESNMASLKLFRKLGFKDASYSAVFKEVTLEAPANALPLRFPLTVGDW >PAN48826 pep chromosome:PHallii_v3.1:9:57666860:57669680:1 gene:PAHAL_9G408800 transcript:PAN48826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRWCLPALASLLLLLLSLSLSPRAAAYLQERKNYIVHLRPRDGGGGGGSVEEWHRSFLPQAAAGPDSAADGGGDSPRIIYSYSDVFPGFAARLTDEEAEALRATDGCVRLYPEVFLPLATTRSPGFLGLHLGNEGFWSRSGFGRGVVIGILDTGILPSHPSFGDDGMQPPPKGWKGTCEFKAVARGGCNNKIIGARAFGSAAVNSTAPPVDDAGHGTHTASTAAGNFVENANIRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVRDGVDVLSFSIGAYSGTQFNYDPIAIAAFKAMERGIFVSCAAGNAGPEPGTVGNGAPWMLTVAAGTMDRAIRTNVKLGNGQEFHGESLFQPGNNSAADPVPLVYPGADGFDASRDCSVLRGSEVTGKVVLCESRGLNGRIEAGQTVAAYGGVGMIVMNRAAEGYTTFADAHVLPASHVSYDAGTKIISYLNSTGNATASIDFKGTVIGSYPSPTVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSDSHTEFSDGGADLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGLPIKDEQYRHATFYAMGAGYANPALAFDPGLVYDLRADDYIPYLCGLGLGDDGVTEIAHRPIACGGVKAITEAELNYPSLVVSLLSQPITVNRTVTNVGKARSVYTAVVDMPKDVSVVVRPPMLRFTELKEKQSFTVTVRWAGEPNVAGAEGNLKWVSDDDYIVRSPLVIPGKGE >PVH32302 pep chromosome:PHallii_v3.1:9:53211206:53212076:-1 gene:PAHAL_9G364400 transcript:PVH32302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMRGQIWGGRAPAAVEAVARGVRVQGEVGRRRDHEGSLRVAGCARPPPPCTASGRQGRDMANPQAAHEAGGEPREGGGGSSGAVAHEASVELQVGSKLRASGGKSGGRRGSHGVGIEFLELTIVDMLRSRFTLSWRHPCRLIWWR >PVH31305 pep chromosome:PHallii_v3.1:9:6302937:6306470:-1 gene:PAHAL_9G108200 transcript:PVH31305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQVIQQQQQQQQQHQRHHHHHHHHLPPPPMQLPPRQAPPMPPAPAPPHGQIPATALPYGGGAWPHSEHFFSDSFVGASAADAVFSDLAAAADFDSDVWMDSLIGDPPFADSDLDRLIFTTPPPPVPVPAPAAAPVDVAAQPGAAAPAPLPQTAAVAAPAACSSPSSLDVSCSEPVLQSLLACSRTAAADPALAAVELVKVRAAASDDGDPAERVAFYFADALARRLACGGGAQTSTSSDDRFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGTATKIHIVDFGIVQGIQWAALLQALATRPEGKPSRVRISGVPSPYLGQKPAASLAATSARLRDFAKLLGVDFEFVPLLRQVHELDRSDFSVEPDEAVAVNFMLQLYHLLGDSDEPVRRVLRLAKSLSPSVVTLGEYEVSLNRAGFVDRFANALCYYKPVFESLDVAMARDSPERVRVERCMFGERIRRAVGPEEGAERTDRMAASMEWQTLMEWCGFEPVKLSNYARSQADFLLWNYDAKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >PAN46784 pep chromosome:PHallii_v3.1:9:13871688:13876527:1 gene:PAHAL_9G214500 transcript:PAN46784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLDEDCDGAGSGGGVDDSDRRRRCSCLPFCFWGASSAGVPARRTRRRRRRLRLRLRLSWSWLAWPWFFRKGGKHNGAGGDGSKNKRRGRPLLLLLTASLQPKKALASVVSGGGGALLPAKVSTFGDAKKQSNRKPRPAADDGPSGSRQPQASATASTRWTSTAPARSRTETSQPSPRPPDGAAVAAGRTWRAPSRRHSFHHRFDSTGRPGGAGGLWTAATTLGVIVLFGRVTAVVFLCSCLYGARFVRARAAGAMAKASSGGTGSSRRFGAVGVAAEKAVVEPRAAEEFKKKVVMAGLLDRAGQAPSSRFGR >PAN50451 pep chromosome:PHallii_v3.1:9:65970849:65974877:-1 gene:PAHAL_9G521200 transcript:PAN50451 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MPGAAVASPLLLSLSSSSSPFLSSSSTSFLPPTSSAAPHSPGRTKAAVSVLRALRAEAATLPVLSFTGDKVGEVTLDLKSAPPSTARAVVHRAIITDRQNARRGTASTLTRGEVSGGGRKPYQQKKTGKARRGSQRTPLRPGGGVVFGPKPRDWSIKINRKEKRLAISTALASAAVAEDAFVVEEFDEAFASGPKTKDFVAALQRWGLDPKQKAMFFATEFDDNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAVDYLNSRYGTSASDDYDTDDEDDAVEELLEQEVEEEGTTAEAAQDATEESEADGSS >PAN48822 pep chromosome:PHallii_v3.1:9:57646372:57647464:-1 gene:PAHAL_9G408400 transcript:PAN48822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSDNLNLLGAFASPFALRVKLALSFKGLSYEYLEEKDLHNNKSELLLKSNPVHKKVPVLIHDGKPVCESMIIVQYLDEAFAGVGPSLLPSDPHERAVARFWAAYIDEKLFSSWMMVFRGKTDEEKAEGTRQSFAVAATLEGALRECSQGKAFFGGDSVGYVDVALGGFVAWVHAVEKLYGLKVFDAAKTPLLAAWLECFGALDAAKAVMPDIEKLVEFARMTRQAQAAAAAAAAAAQGN >PAN50073 pep chromosome:PHallii_v3.1:9:64317747:64319374:-1 gene:PAHAL_9G496200 transcript:PAN50073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAPGPEPRQADAAAFFRDAPPRVVIEITRRLPARSVLRLRSVCKVLRDVTSYRPLLAAVHLLHPPQPLICFGLNACPDRYIRLRDYCVEALDLRSDMLRPILRFTDNEYYDFIENIVGADAPPIHAFSKDHINYGEEVGDGEHYPRITVHASIDGLLLVSFINIWYICNPATRQWVALPDLSSCTVIGLYAHISSGEYRVLVYTDKDDGATWTRYYVLRVGAEQARGIERPIPPPATTGVGLLNIGLGLLNIGLDSVSLSPPVQLRCNLHWPPQERQGYRMLVFDTEAEVFSWMSSPNPIREGSTQLLEMDRKLAMSVSKNGRPTLELWRLEDYRNEIWVKIYQIRIPVMEIPDLHCIDWFPHVVSPEGDVLIECSNKLLLHCDRNGNLLRKFQFREEAPLVRHTLKETLLPHAIFVAPKITDGDVAPPFFEGL >PAN45965 pep chromosome:PHallii_v3.1:9:9511210:9511689:1 gene:PAHAL_9G154300 transcript:PAN45965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLADDEAPPPPRAAIRSRPSSWSSSGSSGGVEYTSLRDVLAEAGPGSGGGSFGDAAAPAIDFDASNINIRNQLLKHAASAYLQSAIVVPQRDQGCLSRLWRRMLHRRRCRILLRPCPGCCGAGDPPCLCAGSARRLVAFLSGCVARMWTYQSASPARH >PAN46173 pep chromosome:PHallii_v3.1:9:10415560:10417454:1 gene:PAHAL_9G167300 transcript:PAN46173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVEAARDAPATPWPRPAECPVSAREGSAEGWARRKRSRRHLRRAAPTEEEHLALCLLMLARGQRDARAPAQQQEHRCSVCGKPFPSHQALGGHKSSHRARPPPAAAPTPPVAAVSSASPAASSSTSGAGSSSRVHECSVCRKTFPTGQALGGHKRCHYEGAGGATTIVASTTPGLMSCRGFDLNVPALPDMLTAGDRCMPAAEEEEEVLSPLAFKKPRLMILA >PVH32236 pep chromosome:PHallii_v3.1:9:50261719:50262579:1 gene:PAHAL_9G351100 transcript:PVH32236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQEAPGFPGLLINTLEDLGVTERPRYYSREYEHHGTLHCRVILVIARSNRYPDIQPWRATATGFRHQDTYHLAIRKALRYLCRIFEKHLAPTPAKFFPPAIRTPVWEARMRNLERRRHEEGPLYRVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPVIGWGSLFGSTQAPSENPESSAAADEGDAATQPLTDGNPEDGERGPLTLPAPGEGTPRK >PAN46754 pep chromosome:PHallii_v3.1:9:13700700:13704011:-1 gene:PAHAL_9G212100 transcript:PAN46754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRGQNTRPPDAAAAASLLQSCGRSGDLRRGRALHAHLLLSGAAAASTFLANHLITMYFHCADAASAVRVFGAVPRPNLFSWTTLVSGLAQNSMHRDALGAFAAMRRADVAPTQFVLSSAARAAAGLAAPRPGAQLHCVGVRLGFDTELFVASNLADMYSKCGLLREACRVFDQMPHKDAVAWTAMIDGYAKNGSLQAAVLSFRDMKCEGLVGADQHVFCSALSASGGLKDGWLGRSLHCCIVKAGFGLETVVRNALLDMYAKSGDLENASRVVKIDPGGWNVVSSTSLIDGYIETNRVEEALETYTELRRQGIVPNEFTFSSMIKGCAMQALLEQGTQLHAQVIKTSWIGDSFVASTLVDMYGKCGLISLSVQLFNEIGYHTEIAWNAVINVYAQHGHGWEAIQAFDRMNSSGIRPNHITFVSLLAACSHAGLVDEGLKYFYSMKDEHGIEPKEEHFSCIIDMYGRAGRLDEAEKFISEMPVKPNAHGWCSLLGACRMRGNKELGEIAAQNLMKLEPDNTGIHVSLSGIYASLGQWEDVKAVRKLMRDSRIKKLPGFSWVDANKQTHVFGSEDWSHPQQEQIYKKLEELSERIKEEGYVPDTISLPLNLEDSAKERLLRYHSERIAVAFALISMPPTKPIIVKKNLRICADCHSALKFISKVESRDIIVRDNSRFHHFVKGRCSCGDYW >PVH31087 pep chromosome:PHallii_v3.1:9:3097000:3100758:1 gene:PAHAL_9G054000 transcript:PVH31087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVDDKYSAAHSIVLGSLAVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDTYGVRFVFGVTALLPLLTSTVAVLVNEERLPLGERSVSLSVSSSELIEGSKQRIMQIWNSVKQPSIFLPTLFIFLWQATPQSDSAMFFFTTNKLGFSPEFLGRVTLVTSIASLLGIGLYNSFLKEVPLRKIFLVTTVVGSALGMTQVLLVTGLSRKLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGSVAGGLVGAGLTQFLGVTRDNFENLALLIAVCNLTSLLPLPLLGLLPDESPTDSSQTKND >PAN44958 pep chromosome:PHallii_v3.1:9:4796478:4798463:-1 gene:PAHAL_9G083400 transcript:PAN44958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKTYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKAAEEKLGSQLEILAPIKY >PAN50584 pep chromosome:PHallii_v3.1:9:66580131:66583419:1 gene:PAHAL_9G530100 transcript:PAN50584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTGVGAPADLVLKAACERCGAASDLYGTSCRHTTLCTGCGRAVARARGRCAVCAAPVTRLIREYDVRVDTSAEKAHFIGRFTTGLPPLSKKRSAGNRWSLRKDVPQGRQLTGNMREKYYSRRPWFLEDEICEHQYQGQIEDSPATYYSLTLKGKEITAVPVGSWYNFSKVAQYKQLTLEEAEEKMNRRRNSAFGYERWLMKAATNGAAAFSSDVKRLDDVNGGVTNGVHPKKEDMNGNGNQSVKVEEDEEGEAERRNRVGLTTKGIDEDGEESGKDRDFDLDDEIEKGDDWEHEETFTDDDETLDIDIEERPDLADPEAAPPEIKQDDNENELGSSDNLSKSGQELKKLLRRAAGENESDTDDKNTDEDEPSSPECAPKQLVVPKNEQVDSNLAKPTPSAHAQDPTPPSKATQKRRSGGGGANTSNAAASKKIKTEPVTRMLSVKDEIPSSLEPTSEASLPASTAELSPITEEEVRTVLRALAPVTSQDLVLRFRPRLVTQEDKRAFLNIVKKISHMFKNNGRNYLVLRQEHK >PAN44548 pep chromosome:PHallii_v3.1:9:2942726:2945322:-1 gene:PAHAL_9G052100 transcript:PAN44548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDRAVAPSPEGLRQPGAAGDDSAAGLADRFREARPEAVTVNLGGSGAMAYSSHGQSPLLPRLFGAVDDIFCMFQGTIENVAVLKQQYGLSKGANEVNIIIEAYRTLRDRGPYPADQVVRDISGKFAFVLYDCTTKSVFMAADADGNVPFYWGVDSDGRLVVSDDGEIVKKACGKSSAPFPKGFFFTTSGGLKSYEHPMNEVKPVPRVDSKGEVCGTTYTVDAKAKKDASIPRVGSAADWSSQY >PAN44849 pep chromosome:PHallii_v3.1:9:4328987:4332546:-1 gene:PAHAL_9G075000 transcript:PAN44849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPLSHCAALLLDKKNRPPRPQPGGAGAGNGGGRRLQESKLREALEEASEDGCLAKSRDEALFDGGDAAEEGSVGRSRSLARLHAQREFLRATAVAAERAFQSPDALPVLEEALATFLAMYPKYASAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSCNPADSSAAFTLSEITANLSNHALYGAAEKGTAEHDIRTRIMDYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQAARDKGAKAYSAWFKWPTLKICTTELRKLISTKKRRRKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMACLQSPSGGTGAGMVRILPVFPQYLSDSVDGLDGVLDGLEDDTIIPIEEGSASNSHHASQLPAFSGAYSSAQVREVIESEMDQDSSDRDCASTIYEESESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEHSSKGKLGSPLPASWFSGRKNAKKASPKVPSKLAKIPFHDNHVMSFDAALRSVSQESGPLKVVPDEDHSHNNIKNVIPVSEIEEDKDAKGNKRFVKFSCANGPAEGSATSVFGSCTTRENGSTSEICSESQAETKESAIRRETEGDFRLLGRREVHNGRFNGGRFFGVEESERVPSMGRKVSFTTDDSRLYRNSDAGETSGYAMADDDDDAYSDYDEPQDGRKEPEIICKHLDHVNMLGLSKTTLRLRYLINWLVTSLLQLRLPDSGGGEGVPLVYIYGPKIKYDRGAAVAFNIKDCNTGTSLINPEIVQKLAEKEGLSLGIGFLSHIRLTDNQKHGAVDVGLSSSSPAANGRREKKNTKNAIIGTEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQERLSSIPEDAER >PVH32307 pep chromosome:PHallii_v3.1:9:53405811:53406754:1 gene:PAHAL_9G365700 transcript:PVH32307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSNNLHCRKKDGIMQWGYLLGVVIWEWKESWILFFKGKKAGFLPNRKPIDTLHSECSCFMCTGW >PVH31090 pep chromosome:PHallii_v3.1:9:3117409:3118444:-1 gene:PAHAL_9G054300 transcript:PVH31090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVFSLVLIHELPLIVLLRGQNLQCRILAWMALPPLNSGGTLKVAIDAAPKVLGYMDAGALLLQIGEIIVKKGTDVRPSTKVWSVRILALKVNFGVLAQLKILHIESVTLNLPSDEQHTEFYEELDLIGCVQSQIKKVVLHDFRCSQSELSFLKYILKTANRLDSVTLVQAENSGGAMDTQLNDLAVLPWGCQACSISLLAPRQYYGWNFRRASDLSIEDPFDLVHGKEVSHFSKDFK >PAN44807 pep chromosome:PHallii_v3.1:9:4140533:4141069:1 gene:PAHAL_9G071700 transcript:PAN44807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRASSKRSFRLPFMCGQSDVASTRGAAVTRSSSSSFGRGSGSSSSSSSRHSELQRIFQHFDRDNDGKISGAELRAFFVSMGDDMPSSCGDGGYMLDFAGFVTLMEREGGQEEDLRRAFEVFNAVESAGRITARGLQRVLAQLGDKRSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >PAN45012 pep chromosome:PHallii_v3.1:9:5016397:5017376:-1 gene:PAHAL_9G087400 transcript:PAN45012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPPGRLSVAALGAGGMTRRRWCHVRCGARRAGGDGWRTFQKAQCSCRRVAWRLNVPARALVNRQHFTWNLEVLYVACRLWRTGGTPAP >PAN44800 pep chromosome:PHallii_v3.1:9:4430867:4435026:-1 gene:PAHAL_9G076600 transcript:PAN44800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAASTATVVVREYDSARDRGGVEAVERACEVGSSGGGKMCLFTDLLGDPLCRIRHSPAFLMLVAETATGPNSTEIAGLVRGCVKTVVSGTTHAKDPIYTKVGYVLGLRVSPRHRRKGVGKKLVDRMEEWFRQTGAEYAYMATEQDNEASVRLFTGRCGYAKFRTPSVLVHPVFGHALRPSRRAAIVWLEPREAELLYRWHFAGVEFFPADIDAVLSNDLSLGTFLAVPAGARLEGVEAFLAAPPPSWAVLSVWNCMDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLGIPSIPNLFAPFGLYFLYGLGGAGADAPRLARALCRHAHNMARDGGCGVVATEVSACEPVRAGVPHWARLGAEDLWCIKRLADGYSAGSLGDWTKAPAGHSIFIDPREF >PAN44871 pep chromosome:PHallii_v3.1:9:4430867:4435032:-1 gene:PAHAL_9G076600 transcript:PAN44871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAASTATVVVREYDSARDRGGVEAVERACEVGSSGGGKMCLFTDLLGDPLCRIRHSPAFLMLVAETATGPNSTEIAGLVRGCVKTVVSGTTHAKDPIYTKVGYVLGLRVSPRHRRKGVGKKLVDRMEEWFRQTGAEYAYMATEQDNEASVRLFTGRCGYAKFRTPSVLVHPVFGHALRPSRRAAIVWLEPREAELLYRWHFAGVEFFPADIDAVLSNDLSLGTFLAVPAGARLEGVEAFLAAPPPSWAVLSVWNCMDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLGIPSIPNLFAPFGLYFLYGLGGAGADAPRLARALCRHAHNMARDGGCGVVATEVSACEPVRAGVPHWARLGAEDLWCIKRLADGYSAGSLGDWTKAPAGHSIFIDPREF >PVH32207 pep chromosome:PHallii_v3.1:9:48871150:48874857:-1 gene:PAHAL_9G346800 transcript:PVH32207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELATGAVNTLLGVIRNEARRLGRVQGDVLFIQEEMESMRSFLAHLARIRREEHDEQVRTWTNQVRILANDCNNCLDVYLYRRNPDFHRPRRGLRRYLWWGWALWWLREMVARHHAAGQLRELKERARDVGERRLRYGVKVSDAATPGHSSTPGAAGDPRAGLTEAAPSSSYTVAAAEDEDEDDDKDLEADGGGELLASTAADGGIITKNAKVKDYFKDKLLGWIQSLGKARVLVEEESIKASETPSQPMSIPSIFFELPVADVGDEVQVPEDVIACEGRCRFSRSILVDIPEVHPEDYLHVPLRPKDILFYILQALTGQPIQQPSWLQKLHVYIKKKELLREIKEYFDQKLKVAEKMEGIIRRIGNQLPQDKEIMKDKKQLEDSIKSKILDLDDLLWLLITFTEAVDVAEQQDQAWKKVNIRTLAMHYDNVIQQALGKLKSLVEAGTDSQFPLDCEDYKRILEEVFPRTPTGPGISSSTSTSVEAEIKEMICKVKDMIQELQEHSNRETADQIQKSTFQQAARKKIDEIKLKIREQLKIKKIMDRIQSQLKTDDRIMIILKTHHKYGWEAIKNTLSLLGSSGRFVGAAILMTTTTTKGARQAQEYNLHFPQVELIELSLVGCYLDIVRQHTGKHMHRGHFEIVRNILEKCGPNEFCMNIFVQAMKANPRRSTEELRRLHSILQATPPERLPSSIARKMLKFSYNDLSKQHKSCLLYLAIFNPAESGYKIRRSTLVGRWVAEGLITTEDWSWFSSVTEADKCFDALANRQFVHPVDIGATGRIKSCTVHPIVHRFITKIAKKQHIVEARLSIHLARHFSIFNDVRLRGSEDIGIFLNKNMHDLPQFSKLKVLDLEGCHYFANKEYLRDICSKILMLKYLSLRNTDVNQLPREINNLHELEVLDIRQTEIRASATRHVLLLKLKRLLAGDITDPLPCSTDTGTAKHCCSVDIPSFIEKMVDLEVMSNVKPRRDRDLKDIGGLSQLRKLGVVINKERHLQSLLGTISDLSICLLRSLSITLNIPTYSTDLKDLKNPPNSLESLTIKGRTQKEKLLKFLVPAPEDSNLAKVTLSGALDKDDLKVVAKLKNLGCVRLRHATYADSELTFGNDEFPKLKVLIVENSGITKISFAHEFPVLEKIVWSFNQDFCTRDKEYLPLSGIDKLQGLKELVLIGDVIAPEVDEAFKKYSNENKFVYKHKEPENQDLALGNGARKRRHGVARYPSIWQVVKGQHGKN >PAN45122 pep chromosome:PHallii_v3.1:9:5432889:5433144:-1 gene:PAHAL_9G095000 transcript:PAN45122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLAEEHFNGHVKDHPKDAEFLNTHLINYLPMQNIFGNGVATGRFAMRSNEPLGEQ >PVH32323 pep chromosome:PHallii_v3.1:9:54274785:54275184:-1 gene:PAHAL_9G372700 transcript:PVH32323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEGAHEVYQGGSSLFMLDELRIRVRPPLLSPTIVNICRTFSSGLPHNTEMLDDDDDDEEDADYKLEEEEEEQEVEALEEMQ >PVH32646 pep chromosome:PHallii_v3.1:9:61172245:61178332:1 gene:PAHAL_9G450900 transcript:PVH32646 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MSRKNFRKRTIEPDADDRSDDEDSRRVSLEEIKYMQKLRERKLGIPADPAAASTNGSSARGRVGGGGAAIGEAEKEDLVLQDTFAQETAVTIEDPNMLRYVETELAKKRGKMVDVGQKEEMDHVDELYTVPDHLKVKKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLASKPKSDANIPSSYSADYFHRGKEYDEKLRRENPGLYKDKDSRPNGSAGGKATNTKNPDGAAAGRREAASDEFMLQRFRKREKFRVMRR >PAN46465 pep chromosome:PHallii_v3.1:9:11887084:11888040:1 gene:PAHAL_9G187800 transcript:PAN46465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTTVPTATAAAGGRRDQREGAEVITGAEACFAHSKEMLRALGFPGGVMPLRGLEECGWVRETGFVWMRQKAPYEHYFRGTGTRVRYDAEVTAYVEDGRMKRMTGVRSKQVMLWVPIVEMSLDGEKRDRIYFKSNVGIGRSFPAAAFADEEEEEKKEGKPADGEEKKEDAATSK >PVH32790 pep chromosome:PHallii_v3.1:9:63654398:63656898:-1 gene:PAHAL_9G487500 transcript:PVH32790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGTFDLSPSVSPSGKKVAVASFQGKRWDGEIKDLKTNIYVMSLENPFLERKRVIENGGWPSWGSENVIFFHRKVGDIWGVFQYNLSTGETIRVTPEAFDAVTPAAIDETKVAVATIRQKSEFTDVRTETQYRHIEIFDMNAPEQPLRITQNARAKADHFNPFVMDGGKYIGYHRCKSDLLQHGDDVPRHFHKVQSPHEDVGVFRVSGVFPTFSKDGSKLAFVDNEFKAVWLADSKGLRVVFETNGPDSIFSPVWNQKKDILYVCMGPSFKANETLEIHAIPDVSSAARARRQPRLLTKGKFNNAFPFTNPAGTKFVFRSTRDGGDKNYKNLYIMEDAEFGEVGGGEVTRVTEGNWIDTHCQWSPTGNLIVFASNRDKPADAPERDHGLDPGYFAVYLMNVTDRSVVRVIRSGYDLSGHVNHPVFSPDGRSIAVTSDLAAVSADPMSLPTFLHSVRPYGDIFSVDIDPDDMEKNKDVEGFVRVTHSRYENSTPAWTVFSTHDPHAQWNLLVMEDEYTPACPYTHPDGGESWHMTGQICIPKRHC >PVH31219 pep chromosome:PHallii_v3.1:9:4889849:4890634:1 gene:PAHAL_9G085200 transcript:PVH31219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQNPKFRLLKQCKSSCLKRYSRRSSSRGTPASQGPHVIHTYSAASLFSVGVLSPGATPGSAVVTDGRGVNGPAQLFTSEEVQNQREAAGRQKKGQLVSLIRGKNSTRQARVAHVGVDLLGLAELTKGEAETAETAQELRKSWKKRAKSFPCTRDPIRLTRSDSPRALLE >PAN44409 pep chromosome:PHallii_v3.1:9:2261511:2265651:-1 gene:PAHAL_9G040800 transcript:PAN44409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCGTPATQEGSNRRKKPKANPYNVAYNRGAAPPPARPGLVVLRDPTGRDLGAQYELGGELGRGEFGITYLCTEVATGARYACKSISKRKLRTPVDVEDVRREVDIMRHMPAHPNIVSLRAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLFANKKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVRRMLDPNPKTRFTAAQVLEHPWLHDSKKMPDIPLGDAVRARLQQFAAMNKLKKKALRVIAEHLSAEEAADIKQMFDKMDVNKNGKLTFDEFKAGLRKLGNQMPDSDLRILMDAADVDKNGTLDYAEFVTVSVHVRKMGNDEHIQKAFTYFDRNKSGYIEIEELREALADELEGADEDIINGIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSIGAETR >PAN50372 pep chromosome:PHallii_v3.1:9:65625605:65628342:1 gene:PAHAL_9G515400 transcript:PAN50372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPCLALLLFFGTLLALPQSSHAATRYYTFNVTMQNVTRLCTTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNVKDNVTIHWHGVRQLRTGWSDGPAYVTQCPIRTGQSYVYNFTITGQRGTLFWHAHVSWMRATLYGPIVILPKRGVPYPFPVKPYKEVPIIFGEWFNADPEAIIAQALKTGAGPNISDAFTINGLPGPLYNCSSKDTFKLKVQPGKWYLLRLINAALNDELFFSIANHTLTVVDVDAAYVKPFHTDVVLITPGQTTNVLLRAEPDAGCPTATHLMLARPYGTGQPGTFDNTTVAAVLEYAPPGHIKSLPLFRPSLPALNDTAFAANYSAKLRSLATPDYPANVPRGVDRSFFFAVGLGTNPCPANQTCQGPNGSMFTASMNNVSFDMPTTALLQAHYGNIAGVYTTDFPATPLEPFNYTGTPPNNTNVSNGTKVVVLQYNTSVEVVLQDTSILGAESHPLHLHGFDFFVVGQGFGNYDSSKDPAKFNLIDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPADLPKC >PVH31073 pep chromosome:PHallii_v3.1:9:2961287:2962571:1 gene:PAHAL_9G052400 transcript:PVH31073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSKCSHDAEACYPPGAVPGGGGMYPYMMESPQMRWAFIRKVYVIITLQLLLTVAVAATVNLVGAIGAFFRSRTPAALIAFIGIIIAPIIVMIPMICLRKRHPINLVLLTLFTVCMSFAVGLGCLFAKGIIIMEAATITLVVVAGLTAYTFWAAKKGYDFEFLGPFLVAACLIMMVFALVRILFPMGKTGTMVYGCIAALVFSGFIIYDTDNLIKRYSYDEYVVAAIELYLDIINLFQDILSVLEAIDG >PAN44048 pep chromosome:PHallii_v3.1:9:806763:807734:1 gene:PAHAL_9G013200 transcript:PAN44048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGGGASHQQPSCTTVPLGKSVRRALRAAIGMQKKPKDKPKPKKKPAKGDPAAAGSGSSTELISSFCKEAAGAARKESVVRVVLSSGVVEVYPGVVLACTVIRKHPPGLCLAHPDVFRNPHGAVLRPLEPLFPGQKFLLIPWSTVVKLKQKIPESSIGAFADEDEEDVEDTGSEEATSSTETEEEDQDRSGGGAAEKEEERDGSSLMPACSAREYFVARDRWSACRFRRLVEQGLAVEPSTDDQDQPDPRKSKARKKGKKKRKGSKKRRERRPAAGPPAAAGLRAFATPRRTWEPSLPSVVEEENAVSPLESPASSGGVPKP >PAN48757 pep chromosome:PHallii_v3.1:9:57218180:57219436:-1 gene:PAHAL_9G403100 transcript:PAN48757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTAILALAVALVCAATAANARFTAMQWTPAHATFYGDETAAETMGGACGYGNLYATGYGTDTAALSTTLFKDGYGCGTCYQIRCASSPWCYRGSPVITVTATNLCPPNWAQDSNNGGWCNPPRTHFDLSKPAFMRMAQWRAGIVPVMYRRVPCVRRGGLRFALQGNPYWLLAYVMNVAGAGDVAEMSVRSGRGAWVRMSHNWGASFQAFAQLGGRALSFKVTSYTTRQTVVATNVAPANWCLGLTYQARVNFS >PAN43900 pep chromosome:PHallii_v3.1:9:101402:111158:-1 gene:PAHAL_9G001400 transcript:PAN43900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEKRLESAVFQLTPTRTRCDLVVVANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLEPDPEIDASWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAIAVQVNESLGLRSVTVEDQNGKSVDCMEGYKTSFDPDADMALVPYKAGTQPTLPVQNNGGTQEENSKAQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLVYLITFAERFGASRLMKACTQFIGLWKQKHETGQWIEVEPEAMSARSEFPPFNPSGIMFMGDSMKQTMETMSVSNGDASEDASKADQRTTQHSGAPHEFFHGPYQSAYPPWAMHPPYSMQGMPYYPGMNPYYPPPYPPIDDTRYHHSERRVSKKYSSDSKDSETSDDESDQSGSERETSYGHRSHNKNKRTGKKKPSVVVIRNINVTSKRHGSSDIESQTGSDVASEDSDDLNTKSRKKKNKSSSSKKKDARKIIRESADDYNKDEMSYGQDGDQGNWNVFQSFLLRADEKTRDSDADLFASENKSPPARKKESTSIDDSILLTERDSAGANECNTVGFNIENGRTRPRQMLSGDELMMSGQGSGVASDGIKKIEGGDVRYRRGASDDFMIYGQEKSTDRGSSLDPLAEAQYKNPTLVGKNAHSMADESFMIPLRFNSDDNLGPESRTAIDIDVELPSTVQKISDAKAGGQLFYEPDELMPERGCENISFGYDPAMDYSQMQSQPATMVEDSPVEEAALTNADEVKKPEKDKRIRSSQESLDKRRKDASMRRLSSSKGPMTDAQKRAQNLRAYKADLQKAKKEQEEEQMKRLERLKLERQKRIAARSSTSSASTTPQQPKVKPSSKVSPSTYKSSKFSDAEPASSSPLRKVPAKTTPGTDPHPQKTAKASKLIGNTNAVSKSTSSLTDMKKEKSGKAESSSERLKKLAEPKTSSLTDHPLNPKSASVDHPRRRSMPQDTQRKKISAIMQLDQSKSATLPELKVKSPQAPAVVNNAVAAKEKKVVSHGAEAPTTETSGVNKINGNISRMNSSDDSVVVEKTVVMLENEVVSTPPVILHSGRNAAKETSSDDRTEKPSPELEYTAIRGPPSPLFVPDAESSVTNGPDDQGNSYEVVTECRKDEPERPSLAAMEKPYQAPFARVTSLENASDYSPLPVRESESLVPADNIKARVPDPVHSSVDGNEVNEKPRSKEPKGFRKLLKFGRKSHASALTEGAMDSDTSSVDEAPAGDGSMLKNLISQEDSGASSKASRSFSLLSPFRSKHKVIVL >PVH32324 pep chromosome:PHallii_v3.1:9:54275882:54276142:1 gene:PAHAL_9G372800 transcript:PVH32324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGMHERMVGLAGRFRRGAAALAAMLGEEALVGMLQRQATKTDAVRAMVEGWRRMNAKIRSCDPSIIDLARPYFVHHQLAIWLLC >PAN50033 pep chromosome:PHallii_v3.1:9:64043700:64048147:-1 gene:PAHAL_9G492800 transcript:PAN50033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSQSLIFRAPSSLPSGRLAAPSAGRVALRGRGAAFPSVAAASTPMANSDGDEKEMKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHATMLASQGLITAGDRDIILEGLNQIETLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQFQVSLVTLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLANCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLILCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNISFNSKRIQRSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVQLCVTKNCQLAELQLDDLKAVHPAFETDVYEYLGVENAVNKFISYGSTGSNQVKKQLEDWRIQLGISS >PAN47086 pep chromosome:PHallii_v3.1:9:15474623:15478473:-1 gene:PAHAL_9G233300 transcript:PAN47086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASTEKVVLGCIAFGIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEQAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGSALAWRSRGSKDLLFRVCLVSAVASALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVQSRITFGQFLIGVFPAMIVGVITNTCILLCYFWKYLSVPEKDQEGGGAAAGPEVVADDEVTSHRFTPARMSHASSVNGVDADCISEPIRRSDSLNRADTHSMRSRSYNSEGDIQVAIRSMRASSMSQEMVEVSTVCDRRDDGVGPRKITRTTSHQRSVIIEDAPEADAKDGEKGKDGGEVKEKRWKVLLWKSSVYLTTLGMLVALLMGLNMSWSAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNALWELVEPHSRIDSAKGTALLAVVILVLSNVASNVPTVLLLGSRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVASY >PVH31066 pep chromosome:PHallii_v3.1:9:2795730:2802888:-1 gene:PAHAL_9G050100 transcript:PVH31066 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase, Maintenance of methylation, Gene silencin [Source: Projected from Oryza sativa (Os03g0798300)] MAKHPHSAVATATGTKKRKAKLQNTEVDFTENEKMRPKRAAACSNFKEKELDLSEKDLFITVEESRVEEWEIDAVRLTKTEPEDRRPSRKLIDFALHDADGNVQPFETSEVDAIFITALFMPLDNGLEKDREKGIRCSGFGQIKDWKISGYNEGIAVIWVSTEIADYKCVKPASSYRSYFEHFSEKARVCVEVYRKLVKSVGGNPQIGLEELLPSVIRSINSSRKSRGTMSKDFVISIGEFIYSQFVVLDNTPDINDEIFVTLPVLIALRNESKSRVEFNKFSAMPSSGTLKIKDELCKVVGEDDEKLARLLQEEEEWKMMKQRGKRGTSQKNVYIKISETEIANDYPLPAYYKSYSQEMDEYIFDSDNGMFSGDMPVRILNNWALYNSDSRLIPLELIPMKSGAENDTVIFGSGFMREDDGSCCSTAESAQLSSSSSKLCQEDQGISIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLCFTEVIKKVTEFDSGHPAFISSSTTVVETYVVVHGQIILQQFADFPDDSIRRSAFVRGLVLKMEERRHTKLVMKKKSQLMRGENLNPSAKMGPILRRKLMRATTTRFISKIWGDYYAAHFPEDTMVDGNEQKEIDEEQEENEDVDTEEEVIVEIEQPVRTPPSTQSRRSPSKTCKEVDWKGETAGKTVFGEVIYKCVTVRGLTIGVGQSVTLEDDSGEAIMCFTEYMYEKHDGTKMVHGRILQKGFQTVLGNAANEREVFLTNDCLEFEVGDIKELVTVNVQLMPWGYKYRKENSEVNRIERAKAEERKRKGLPVEYFCKSLYWPEKGGFFSVPHDKLGIGTGACSSCKERKQVGDEFKILSETSFVFKNIRYNVNDYLYGHETHKAGRNVGLKPYVVCHVLSIHAPAGSNKAHPESTKVNVRRFYRPDDISSTKAYTSDIREFRYCRLIQVYYSEDTMTVPVAMIEGKCEVRMKDDLPNSDLPVVAEHVFYCEHLFDPVTGALKQLPPNVKIMSLIRRSPPASKKNKGKQICEHELAGASQTKWAIEYEEPAGEAFGENHPEAAVFVENCNAAERASKLSDGKTKNLPVPGEVDFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFFSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEASAYGVAQSRKMAFIWAAAPGEILPEWPEPMHVFASPELKINLPDGKYYAAVKSTAAGAPFRSITVRDTVGDLPPVENGASKPTIQYGSEPVSWFQKKIRRNTMSLNDHISKEMNELNLIRCKHVPKRPGCDRHDLADEKVKLSTGQLVDLIPWCLPNTTKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQCKHRQIGNAVPPPLAYALGRKLKEAIDAKR >PAN44378 pep chromosome:PHallii_v3.1:9:2121999:2126599:1 gene:PAHAL_9G038400 transcript:PAN44378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGNPPLSTTEAVIKKPRSVASRKPRSTEQLASEYNGMYVPSRNISHDDAAGIDAGGHWRKELYLNSPEMKSSMAHKNDVSRKLREDRSGGDYDGHSRSSKSKDAAKRDSGGVLALECTARSSGSPPDNPQLVPRDASVPGENRVRKVKLKVGGITRTIHPKTVPEEGGSGIPATSDGSSHRHKHKDSGGHTSKDTHGSRVEGKHGNRHDISPSSDLVRKSKRIPKKKALDGDSDDEDGELRYLEKLRGAKVAPDPMTTGHGAYDDSADDGLKKKKLSKVSKNKSIPYEVDEDFTMSRFGKDGRKKSQLGDGNEFIEEEESEIDEKVGPKEVDSPSDVKIETPGLTTRQRALQGRGGHGESLIEFPDGLPAASSRKQKEKLSEVEIQAKKAEAAQRRKMQVEKAEKEQQAEAMRKILGIDSEKKKEEKKLKEREEKEKQARLEEYRKNCVQTVMGPTGTVITFPESMGLPSIFNSKPVSYPPPREKCAGPNCTNPYKYRDSKTRLPLCSLACYKAVQGRPVQGSEAAQGSDAAQGSVADQGSDAAQGDIAAQESAGKQA >PVH30985 pep chromosome:PHallii_v3.1:9:1537940:1539990:1 gene:PAHAL_9G027300 transcript:PVH30985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVADLAFKALTAGLGVATLYLAGTFSVNVYRGLAWHSEQSKLEKAKTED >PAN49364 pep chromosome:PHallii_v3.1:9:60781209:60783581:1 gene:PAHAL_9G443900 transcript:PAN49364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCGGRSPATIRCKYCSACLTVTPGERAIQCAQCCGVTRIRRSMRLPLTALPRPAAPAAAFPCTRGKKRAVLIGITYAGMRRGCGELRGPINDVKCMRQLLCQRFGFPSDCIIMLTDDQKDPFRLPTKDNIRMAMQWLVQGCSYGDSLVFHFSGLGAQVPDEDCDEADGYDEAICPMDSFQKGPILDDEINEAIVRPLVHGAKLHAVVDACHSATVLDLPFFCHMSRSGNWQWEDHRPPSGACKGTSGGQAVLISGYSDGKSKFSMTPEAYASVGAMTHSFIKAVECEPCGVTYGRLLTSMKAIMTNGGGSCNLQGPVGAPVRKVANFSGVQEPNLSSSEMFDIYRKPFAL >PAN51647 pep chromosome:PHallii_v3.1:9:71599209:71601407:-1 gene:PAHAL_9G609800 transcript:PAN51647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPEQSNPCPICTGGMGGGGGQAIFTAECSHTFHFHCISASVAHGRLVCPLCNAQWRELPFVRPLPSQPSAMPPTLPRQPFPRMEAMHGFQPPPLRPADPEVFDDDDQVDPPSGHDGQRREAAAVSSGPLVVRTHAEYSAVARDSSHDNFAVLVHVKAPGIVDSEAAAGDAPRAPLDLVTVLDVSGSMRCRDKLALVKQAMGFVIDNLGPDDRLSVVSFSSGARRVTRLLRMSGIGKGVASEAVESLTAGGGTNIAEGLRTAAKVLGERRHRNAVSSVILLSDGQDNYTVRQTRGSVPNYEALVPPFFVPAGTGDRSAPPIHTFGFGHNHDAAAMNVIAEATGGTFSYIENEGAIQDAFAQCIGGLLTVVVQEARIAIASGHPGVRITSVKSGRYESRVDEDGRSASVAVGELYADEERRFLLFLSVPTAEATDGKTPLITVRCSYREAAGGAHVDVTAEDAVVARPEHAADAERSAEVERERVRVEAIEDMAAARAAAERGAHQEAVGILRNRRRALARSPAARGGDPTSAALGTELRFMCKRVATRESYMESGRAYVLAGLSSHEQQRATSRQLRPLRQPLAGSDGGGGEAMSSVAAAFAQAPSAVGAGDMETDLMDYLGGGGPGGEAGASSAAAGEGASENEAEGTSSYLTPAMRAMLLRSRRAREASADQQEQQPKGAEEASGSESKELPDL >PAN46287 pep chromosome:PHallii_v3.1:9:10975840:10982702:1 gene:PAHAL_9G175000 transcript:PAN46287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGVGSASGLEEKLAGLSTGGGGDDKQNPPPAGEGGEEPQLSKNAKKREEKRKKLEEERRLKEEEKKNKAAAAAAASGKTQKASSADDDDMDPTQYYENRLKTLDLLKAIGVNPYPHKFPVGIAVAEYIEKYKSLSDGEKLDVTECLAGRIMNKRTSSSKLFFYDLYGGGLKVQVMADARTSELDEAEFTKYHSGVKRGDIVGICGYPGKSKRGELSVFPKKFVVLSPCLHMMPRQKGEGSAVPALWTPGMGRNIENYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDDREFLEVETPMMNMIAGGAAARPFVTHHNELNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMEVTETMLSGMVKELTGGYKIKYHANGVTNPPIEIDFTPPFRRIDMIKDLEEMANLNIPKDLSSDEANRYLIEACVKYDVKCPPPQTTSRLLDKLVGHFLEEACVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQE >PAN50925 pep chromosome:PHallii_v3.1:9:68390069:68396138:1 gene:PAHAL_9G557400 transcript:PAN50925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRISPSMRSITISSSNGVVDSMKVRVAPQLPPPPPPPLGPGRRGGGGGWGAGWYWRAVAFPAVVALGCLLPFAFILAAVPALEAGGSKCSSIDCLGRRIGPSFLGRQGGDSARLVQDLYRIFDQVNNEEFPSNEKLPESFRDFLLEMKDNHYDAKTFAVRLKATMENMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWEINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVISQSLDPDECAWAYGMNIFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHLLGLGYQEKTDIESVRRAAVIHYNGQCKPWLDIAFKNLQPFWARHVNYSNDFVRNCHILEPQYDKE >PVH33243 pep chromosome:PHallii_v3.1:9:71309577:71313639:-1 gene:PAHAL_9G605600 transcript:PVH33243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MRATTPTPSLLIAPRPPRLRSLPAGAASTSRLHARGVGRPRTRMRVAAPPSAPGEAAEQQAEPSTSASESGEKFSWRDHWYPVSLVEDLDPSRPTPFQLLNRDLVIWKDPKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAMPEGPEARAVRSPKACATKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFDDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRAKPLTFKMESTGAWGYSGANSGNPRITATFEAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLAASKESSADVNQQYTKITFTPTQADRFVLAFRTWLRKFGNSQPEWFGSPSQEALPSTVLSKREMLDRFEQHTLKCSSCKGAYNAFQTLQKVFMGATVVCCATAGIPADVQIRILIGAAALVSAALAYAFHDLQKNFVFVDYVHADID >PVH31361 pep chromosome:PHallii_v3.1:9:7427102:7431085:-1 gene:PAHAL_9G125000 transcript:PVH31361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAARVRRPRPQRPLPEPATPSISPAARAKTKETPAPLTLPLKALKFRLANGPPLASTAKALKSYAETCTSLLRLCRHTAAAASNRPSSSVSSTLPLVLSLHAHALRSGLGADCSVASNLLTGYAAFARSADRDRAFDDCVASGAASSFVYDFMVSEHVKAGDIASARRLFDGMPERSVVSYTTMVDALMKRGSVSDAVELYERCPLHSVAFFTAMISGFVRNELYKDALHVFRKMLSCSVRPNVVTLICVIKACVGACEFDLAMGVVGLAIKWNLFEKSIEVHNSLITLYLRMGDAAAAHRVFDEMQVRDVVSWTALLDVYAELGDLEGARRVLDAMPERNEVSWGTLIARHEQKGDAAEAVRLYSQMLADSCRPNISCFSSVLSACATLQDLRGGTKIHANALKMGSTSNLFVSSSLIDMYCKCKQCTDAQRIFDSLPQKNIVCWNSLISGYSWNGKMMEADELFKKMPARNAASWNTMISGYAENRRFVDALKSFSAMLASGQIPGEITLSSVLLACANLCSLEMGKMVHAKIVKLGIEDNIFMGTALSDMYAKSGDLDSSKKIFYKMPEKNSITWTAMVQGLAENGFAEESILLFENMMANGISPNEHTFLAILFACSHSGLVEQAIHYFETMQAHGIPPKQKHYTCMVDVLARAGRLTEAEELLMKVPSNFEASAWSALLSACNTYSNKEIGERAAMKLHELEKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGASLKKDGGCSWLQLKGQYHAFFSWEAKHPLSLEIYEILDLVMWELTV >PAN47384 pep chromosome:PHallii_v3.1:9:17855911:17857793:-1 gene:PAHAL_9G254000 transcript:PAN47384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWFPPPPEQGAPPHRLGAMLFTNFLKTHQQLQNDKLLLLPKRQLVTYALYALIAVALLYLFVDPAPASSAKPSSVAAPWVLEEELPPPSPPPLYQADERSRSASPPQASAAPPCDYSDGEWVPDPRPPPYNGTACEAIKDGRSCMANGRADTAYIHWRWQPRLCDLPDFLPEAFLRWLGNRHMAFVGDSLARNQAESLMCLLSAWSPPELVHRDPEGRFRRWVFHEYNATVSIFWSPFLVKGVEKSEHAGVRYNELFLDAFDERWMSQLGGIDAAVLSAGQWFRIPSIYHEGGGVVGCYGCAAELNHTETSFFAVFRDVIGRTLTEITRRHEHGRGGNEQLVALATFSPSHFEGEWNKGAPCTKKRPYKKGEKELGYTETEMRKIVVEAAANAGAASSSTLRFAALDVTTLANMRPDGHPGPYMRKNPFAAGEGRPVQNDCLHWCMPGPVDTFNQILLQTILR >PAN43967 pep chromosome:PHallii_v3.1:9:501755:503369:-1 gene:PAHAL_9G007400 transcript:PAN43967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLALCEGAAKSPCWSECSGSRLFPASRVSCRWEKPGTFCLLTAHPSFRRNSRQMQWSVKTMSDESSDQSGNNTRLFSAIQSFWNKFSAKLNKARRGLPMKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFAFLGRIRNMITIFNYWKAGLTLGLFLDSFKYEVDELLESCNPFNFEINIFTGLW >PAN51631 pep chromosome:PHallii_v3.1:9:71526255:71527854:-1 gene:PAHAL_9G608800 transcript:PAN51631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGLTPTSKRSDVPELFSGGEDLPPRRPCDWGSNVQIPTRRAAGAADGGQHHGNTEDEKAMVK >PVH33258 pep chromosome:PHallii_v3.1:9:71526087:71527854:-1 gene:PAHAL_9G608800 transcript:PVH33258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGLTPTSKRSDVPELFSGGEDLPPRRPCDWGSNVQIPTRRAAGAADGGQHHGNTEDEKAMVK >PAN51630 pep chromosome:PHallii_v3.1:9:71526904:71527101:-1 gene:PAHAL_9G608800 transcript:PAN51630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGLTPTSKRSDVPELFSGGEDLPPRRPCDWGSNVQIPTRRAAGAADGGQHHGNTEDEKAMVK >PAN44284 pep chromosome:PHallii_v3.1:9:1789879:1790993:-1 gene:PAHAL_9G031900 transcript:PAN44284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPREQQEEEVSLALSLSTTTDSCTTSDSSAAAPPAAKRARRRRGPVVATSGEGEFVCKTCSRAFTSFQALGGHRTSHLRGRHGLELGVGAKALKQHKAAGGGDKQQQQQQHQTHDCHICGLGFELGQALGGHMRRHREEMGAAEAADAWVWRSVARLPEELEVQSAAAADPPVLLELFA >PAN51326 pep chromosome:PHallii_v3.1:9:70169274:70170215:-1 gene:PAHAL_9G585700 transcript:PAN51326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2F [Source:Projected from Arabidopsis thaliana (AT3G57600) UniProtKB/Swiss-Prot;Acc:Q9SVX5] MESYGGRKRAWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRLWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRASVTAAAAAHQRLRWLPASARGAAAAAVPAYGLLNLNAQHNVHVIHQRLQELKNGASPTKPPQGGARVTPHQLGPPLPASTSPCSTVTNGGVAAHAALPPPMSCFQALEQAVATATMAADDDTDPCEGACPGADKPQLDLREFLQQIGVLKDDEDGAATKGSFHGDGEVGFGGNGEFDWDALAADLNDIAGGHGGAVGVNGGFQMDDLHEVDQFGSCLPIPVWDV >PVH31106 pep chromosome:PHallii_v3.1:9:3343694:3347977:1 gene:PAHAL_9G058700 transcript:PVH31106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSAAGRNGFLANVTIWRPRGEAPPAPALPPPSSPASDKAPEPVTIPDSEHSSHHSSRSFDQPPPAAAQQQGQQQDNPPAKKPVPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFASKSIAKRKLLTEEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKTIDFGLSIFFKPGEIFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDRPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGATLMDSEINALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDIDQDNDGRIDYNEFVAMMQKGDNPLGRKGHQSNVNFGLGEALKLR >PAN44643 pep chromosome:PHallii_v3.1:9:3343694:3348064:1 gene:PAHAL_9G058700 transcript:PAN44643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSAAGRNGFLANVTIWRPRGEAPPAPALPPPSSPASDKAPEPVTIPDSEHSSHHSSRSFDQPPPAAAQQQGQQQDNPPAKKPVPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFASKSIAKRKLLTEEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKTIDFGLSIFFKPGEIFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDRPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGATLMDSEINALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDIDQDNDGRIDYNEFVAMMQKGDNPLGRKGHQSNVNFGLGEALKLR >PVH31107 pep chromosome:PHallii_v3.1:9:3343694:3347977:1 gene:PAHAL_9G058700 transcript:PVH31107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSAAGRNGFLANVTIWRPRGEAPPAPALPPPSSPASDKAPEPVTIPDSEHSSHHSSRSFDQPPPAAAQQQGQQQDNPPAKKPVPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFASKSIAKRKLLTEEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKTIDFGLSIFFKPGEIFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDRPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGATLMDSEINALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDIDQDNDGRIDYNEFVAMMQKGDNPLGRKGHQSNVNFGLGEALKLR >PAN43955 pep chromosome:PHallii_v3.1:9:457541:457834:1 gene:PAHAL_9G006200 transcript:PAN43955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFTKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNLLRRCSITLSQSFIVWLISLIPR >PAN50124 pep chromosome:PHallii_v3.1:9:64545325:64547191:-1 gene:PAHAL_9G500100 transcript:PAN50124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVGEEERREQGETAAQAKAHQEEVAAAGGGGEPAQDGGFLSAMASKIGATMSETNGSGGEANAAAASDGEALKRDGDGDPGEEGGFLSAMASKIGAAMSGANGGSESDGGGTAAVASDDEGKQKDEGNGGSGIFHKLLSSSPAASSPASGALEAEEAKEEGKDQGVSDEQTGILNAMASKIVMAMSAANGNGNHGTEDATKTSNGHAVDVSSGEEKGGDANGGGILNTMASKIGMAMSGANGDEDHGGSGVNAKTSNGNSVDVSKDEKTDEMNGGGILSAVASKIGMANGSGNHSTEDDARKSNGDAVNGSKGEEEKEKGHDANDAGIVEQIISNLPSDDQALDSDEASLLIAIIED >PAN45017 pep chromosome:PHallii_v3.1:9:5030237:5032834:-1 gene:PAHAL_9G087700 transcript:PAN45017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRFVSSTMARSPPAAAVACLLVAAVLLPAAAAEKAKAEYVKYKDPKQPINERVDDLLNRMTLEEKIGQMSQIERANATTEVIEKYFVGSVLSGGGSVPSEKATASVWQKMVAAMQKAALKTRLGIPIIYGIDAVHGNNNVYNATIFPHNVGLGATRDPNLVKRIGDATAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTRLVQLMTSSMVTGLQGDVPSKHPKGVPFVGGSKKVAGCAKHFVGDGGTQRGINENNTVLSFHDLMRIHMPPYDNAVIKGISTVMISYSSFNGVKMHENKFLITDTLKNKMNFRGFVITDWQAVDRITNPPHKHYYHSIEETIHAGIDMVMIPYDYPEFVADVVKQVKAGQIRLDRVNDAVSRILRVKFTMGLFEDPLPDPRLAKELGSKEHRAIAREAVRKSLVLLKNSKKGHKEGMLPLSKNAKKVLVAGSHAHDLGNQCGGWTIKWQGESGNNLTGAGTTILEAIKKAVSKNTTVDYVERPDKDDLAKGAGEYDYAVVAVGEPPYAETAGDNQNLTIPSPGPEVIKDVCGLVKCVVLVVSGRPLVVQPYVEYMDALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNYGDKRYDPLFPFGFGITTKAAGHD >PVH32284 pep chromosome:PHallii_v3.1:9:53009055:53009540:1 gene:PAHAL_9G361700 transcript:PVH32284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVNSRPGLVTATPFCEGAPLLPKLRGYFAEFLRESCLAPLGILYLPTYVGFGYRYPFVEGRSSFSWEYGMGYIL >PVH33392 pep chromosome:PHallii_v3.1:9:73791609:73794041:-1 gene:PAHAL_9G642300 transcript:PVH33392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLVIIVPQYKMKKRRKIYRIITDYTRVWYRNVRMVGSASQRRSQSMHERAWPGRDGTARRWAGPPIILPPRAERDRQPNSASPPFFLPACCLCQRRRARETGRPPATSLACDREGGAAPLPARVPNLLLLRLVLLPQFPAEAAPDLPSSPAACAMRVLPASWSSASSSAKPTSSSSIEEPPPPPPPTAAAVYLNIYDISPLNHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGTTDMSPSQVRTCIEDLAEDYHGDTYHLIVKNCNHFTADVCQRLTRKPVPGWVNRLARLGSFFNCVLPESIKVSAVRDVNAHPDFSDDGLGSNASIVEGSDEDDLDQLLRTPNSDVVSSRDKTFTPGRDSF >PAN50694 pep chromosome:PHallii_v3.1:9:67147989:67152485:-1 gene:PAHAL_9G539000 transcript:PAN50694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPAAAAALLALLALAAAGGVAADGSDHRYKAGEPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRVDLESKSVCSKKLTTEDVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKADPKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDNETNVVFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRGALFFVRHIYKSIKCE >PVH32701 pep chromosome:PHallii_v3.1:9:61928876:61935908:-1 gene:PAHAL_9G463200 transcript:PVH32701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSLLLSSIHGQTVGQASGGYSCAEKYDWQNLSLHQSDQAAREQLDHDATLHCPLDMPSRVEDSVTANEISHPPRGSYDLHRTRGEIVNYRYCNCDTCGVTRLGEYTTSHGHGRSEIHGPGNGVSGQCHLSSCSYGGCHGKDHACVSNTSKQARCSIATALDPIRSYRTNRSPSFGHGHHGAKGAHRQICQARSCRKNFSANQGSSSQNRHYRDDRYLPSKHDFLDGHFSEIDAEMHRSYMHRFHSPSNHKGHSWKDATLNIPVHTSHGRSCQRDEEERSHPKRQVNEFRSLHHEQLELAPNEKFHECLDSHRYFRNAYNGKMVKRKFIKQGFHESTYNGAGASKYERNSSQKRKAGHLGGKKARNNVAYEDKSKRLRWPSEKDQKQQVETDKRRNGSLEGNAVITKFVGRDGGKGNCNPKQDATAAAAIGSTKCDENANMLSPKCSKTVASLNTPKLSEGSSDMDLESDKQSDVDGCTERGILQHLPVTHTERNVELKESDNLSQSESLHQDCLILWRARQLRKANAAKADKIVKADQRQAGQRRKVSTGRRVSNGRPAAFATSESDNEDDSALGCSDQFSSATSSDGLQKCGDGRANKKLERPLKFPSNSKCNKIPQNATAEKGLECSLKLPPEANPLELAQQKEKEKILNRRQLSTDHPDAIVHGGLNGCSDTSMVDEAAVSHCDNRAHQNISHQETNNGDRRKEKLGVKCEKRAEGHGVKWAQQSTSLYTEPTLLDQETIARCSMHGNLKVNALETPNHESGSTPLHGHILDGRTANICQKKQVNRSSGSYCRYFKNWLDGNDHIDNQQEAMDRNLLRKKQVCSVLAGPENELNENDTKDCEPQALGVENTSNRQITTEDCTSNTTHSGAAKQGDWIPRSCIPDLNYSPSMLSDEDFVAPEEPVCQVTAGGFEPQDVTKSPSALLTGPIVKEQQYEQAKEQQCRQPEATQIISDVCKKEGTSEVVAQLEISESNNGRPQRSAVEESSVPTDAFMCALYEFVKNFLKPLWENGLVSREVHKIVVKKAVEKVAGAWASNAPSTEPAISRILSDEAKNIERLVQGYLNMYVGREVLKSCPWWCLSGPAKRNASRSMRSGGCEACDAAAKRPWSMEGKNN >PAN49234 pep chromosome:PHallii_v3.1:9:60115410:60119617:-1 gene:PAHAL_9G435300 transcript:PAN49234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLSNVNSEAGLQKLNDYLLTRSYITGYQASKDDMAVFTALSSTPPSSYVNVTRWYDHISALLRSSGVTAKGEGVKIESSACSVASTPGVTGQKPSAADEDDDDDDVDLFGEETEEERAAAEARAAAVKASGKKKESGKSSVLLDVKPWDDETDMQKLEEAVRSVKMEGLLWGASKLVPVGYGIKKMQIMMTIVDDLVSVDNLIEDHLCTEPANEYIQSCDIMAFNKI >PAN50747 pep chromosome:PHallii_v3.1:9:67396180:67399708:1 gene:PAHAL_9G543000 transcript:PAN50747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAVMVISTQAKPRLLKFYNFQPPEKHQDLVRSVFQLLSARPDSVSNFVEVDSIFGPGTKMVYKHLATLYFVFVFDSSENELAMLDLIQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMKSVEEIARLEKQSGTTSLIPKSISERFSR >PAN50746 pep chromosome:PHallii_v3.1:9:67396180:67397983:1 gene:PAHAL_9G543000 transcript:PAN50746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAVMVISTQAKPRLLKFYNFQPPEKHQDLVRSVFQLLSARPDSVSNFVEVDSIFGPGTKMVYKHLATLYFVFVFDSSENELAMLDLIQVFVETLDRCFKNVCELDIVFNFNKVP >PAN44214 pep chromosome:PHallii_v3.1:9:1451428:1452968:-1 gene:PAHAL_9G025700 transcript:PAN44214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSPVLGDDKKVHARRNRSLTKDEVEAFWKQRRRSEDGGEQLITSPLASPATHSSPFAGSLEKAARSPGGGGTKAGALSPRVRVDGFLPAGDNDGGAADSPSKSRDWWTRSSWAFLNEPPQEEPSGRAQSYTPQFHVARIATGNA >PAN50441 pep chromosome:PHallii_v3.1:9:63204425:63205243:1 gene:PAHAL_9G481000 transcript:PAN50441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESRAGVNMKLELWRHTLESRGFRLSRTKTEYMMCDFSPNRHEDGDVSLEGQVVAKKDIFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRNAWRLAINVPEP >PAN48195 pep chromosome:PHallii_v3.1:9:53552698:53554850:-1 gene:PAHAL_9G366800 transcript:PAN48195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRSIPACQFALLFVTLGIMCDFFWLSPADQGDLSDVVRASLQQQPPHLRLPATPAPTVCSHGSLGSHLLEVEELLPLQGRVNLGDDDHEAHLQPQRQLVHGNGGMGLMVGSNALYSQHHPQAQGLAIPKLMSGQPQPPLCASSSRFAEREDDAANAPAVPEELGLDTTMAPHPHAPSIKRRKNQTKKVVCIPAPVAPPPGVGGRPSTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPSMLVITYTSDHNHPWPTQRNALAGSIRPAASASSSSSAKSHHHHQHRSSAAADAVPDPTPPHRHASNVAVADNATTPAGSITASVHHHQLLKQEVLDMDNLEPALLDAAAAAADHDLGGMIADMDGALNVLCASNFHYSKKQQQHAPAGQPEELPEEEDKQLLLDRDPFSFSLLDWVGASFGVGEAAANKDGYS >PAN48196 pep chromosome:PHallii_v3.1:9:53552699:53554849:-1 gene:PAHAL_9G366800 transcript:PAN48196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFFWLSPADQGDLSDVVRASLQQQPPHLRLPATPAPTVCSHGSLGSHLLEVEELLPLQGRVNLGDDDHEAHLQPQRQLVHGNGGMGLMVGSNALYSQHHPQAQGLAIPKLMSGQPQPPLCASSSRFAEREDDAANAPAVPEELGLDTTMAPHPHAPSIKRRKNQTKKVVCIPAPVAPPPGVGGRPSTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPSMLVITYTSDHNHPWPTQRNALAGSIRPAASASSSSSAKSHHHHQHRSSAAADAVPDPTPPHRHASNVAVADNATTPAGSITASVHHHQLLKQEVLDMDNLEPALLDAAAAAADHDLGGMIADMDGALNVLCASNFHYSKKQQQHAPAGQPEELPEEEDKQLLLDRDPFSFSLLDWVGASFGVGEAAANKDGYS >PAN45224 pep chromosome:PHallii_v3.1:9:5985357:5989387:-1 gene:PAHAL_9G103200 transcript:PAN45224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGGVVMDAGGGAAELGLLGGGSSRLLKHGRGNAAAGAEEHGWGGRAVKQARTAADVAEAAKAAVTPFLLGSCSPGHGGEQMLSFSSVAASSCASTASVAAAAAAVAADGAMPLYYGTPASCSGLSSVSLSSSMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPIPHSLLVPIRRSIASSPYPPSYFGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAAVAAAAATQPTALAASGAGATAAGLTVSQHQQPVKSYAAGATDPCSLQYNRELVNKQNESDNMQDSDNLSMLTSMSTRNPASLFPFSKQNNPFEVTSSRPEFGLVSSDSLMSSPHSSLENVNLLSSQSLNENQSSASLQHFVDWPRTPAQGGLSWADAEDMQAPRSQLSISAPMASSELSSASTSPIHEKLMLSPLKLSREYSPIGLSITASRDEVSQLEANWATMFRDSSMGGPLGEVLTKNGNAEAKNCLSAPLNLLTDFWDSSPGMESSPVGVLQKTTFGSVSSSTGSSPRMENHGAYDGISNLRDDLGSIVVNHPSIRLL >PVH31284 pep chromosome:PHallii_v3.1:9:5985285:5988143:-1 gene:PAHAL_9G103200 transcript:PVH31284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITYESVQLIRFLILAASTLFQIFVSFACLYPALGLCLGKACISVVSMKQYMFLAVPCWLSLLCCSSLTLPACFLPAGLSSVSLSSSMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPIPHSLLVPIRRSIASSPYPPSYFGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAAVAAAAATQPTALAASGAGATAAGLTVSQHQQPVKSYAAGATDPCSLQYNRELVNKQNESDNMQDSDNLSMLTSMSTRNPASLFPFSKQNNPFEVTSSRPEFGLVSSDSLMSSPHSSLENVNLLSSQSLNENQSSASLQHFVDWPRTPAQGGLSWADAEDMQAPRSQLSISAPMASSELSSASTSPIHEKLMLSPLKLSREYSPIGLSITASRDEVSQLEANWATMFRDSSMGGPLGEVLTKNGNAEAKNCLSAPLNLLTDFWDSSPGMESSPVGVLQKTTFGSVSSSTGSSPRMENHGAYDGISNLRDDLGSIVVNHPSIRLL >PAN47277 pep chromosome:PHallii_v3.1:9:17147766:17151459:1 gene:PAHAL_9G248600 transcript:PAN47277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCAADYLIAVGRSTADPMRWMKKWRKAANVIRSCHRLSRLAFLSADILRRTGSYVTIKIHDEDADADAAGTSTPAGAAPVEFSVAPDDKDFKGLVKEKRHDCFRRLGGGAGIAAALASGAEAGIRGDEADVLRRREAFGANTHPRRKPKGFWRHVWDALSDVFLIVLLVCAAVSLGFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHGQAKRFDRLASESDDIAVTVVRGGRRQEVSIFDVVVGDVVVLKIGDVVPADGVFLEGHALQVDESSMTGEPHPVHIDAEKSPFLASGVKVIDGYGQMIVTAVGTDTAWGEMMSSITREKTEPTPLQERLEGLTSSIGKVGVAVAVLVFAVLTTRHFTGSTRDEQGKPLFDKQHVTFNTVFSALVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVKENALVRTLSACETMGSVTTICTDKTGTLTLNQMKVTEFWVGTDRPEAVAAVNGGVVSLLCQGAGLNTTGSVYKPDNVSTPEISGSPTEKALLSWAVTELGMDADALKRSCKVLHVEAFNSDKKRSGVLIRDNATGAVTAHWKGAAEMVLASCSAYVGTDGAARQLGGEQRRNLEKVISDMAAGSLRCIAFAYKQVDGEHTKIDDKDLTLLGFVGLKDPCRPEVRTAIEACTKAGVAVKMVTGDNVLTARAIAKECGIISDNDRDGVVIEGHEFRAMSPEEQLEIVDRIRVMARSLPMDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGIQGTEVAKESSDIVIMNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALIINFVSALTSGKMPLTTVQLLWVNLIMDTMGALALATDKPTKALMRRPPIGRTAPLISNAMWRNLAAQAAFQVAVLLALQYRGRDVFGVDEKANSTMIFNAFVLCQVFNEFNAREIERKNVFAGVLRNRMFLGIIAVTIAMQVVMVELLTRFAGTQRLGVAQWGVCVAIAAMSWPIGWAVKFILVPDRPIHEILATRKFF >PVH30875 pep chromosome:PHallii_v3.1:9:210291:210998:1 gene:PAHAL_9G002200 transcript:PVH30875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSISSQSSGRSPPAAVHLSTGIVGFLARRAMSSTQRPRSMLDSPGSSSTGSVGSAPWSASATVELEQQPLEGESEKQQAVYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDSAEAAALAYDQAAFAMRGAAAVLNFPAERVRQSLEGMGMGTEACGGGSPVVALKRRHSNSMRVRRPARRRGRSRGEVMELEDLGTEYLEALLGATSTAADGEGEQQQQLVLQEPPLCLMRL >PAN45304 pep chromosome:PHallii_v3.1:9:6350926:6357580:-1 gene:PAHAL_9G109000 transcript:PAN45304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPSSAAHGDAPAAEAPRRRGGGGKRKSSGSSFTPSKRQAKERNAAFHVPPHLLHSGPLTRAARQSPHKLAGAPPEPGPASSSAPAGDGVSGGQGEVGAIRPEREETPAAEQPLVDEVFEAVRSRDAGVHVVPTFAGWFSWKEIHPVEKQTLPSFFNGKSEKRTPEIYLAIRNSIMMKFHANPQLQLESKDLAELSMGEIDARQEVLEFLDHWGLINFHPFPPAGQEESKPEESQDNSHDEEKASLIEKLFKFEPVQSYMMPLPKKEDVGAPPPLPSLFPDPVLLEDVVAAAEPSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYNEGKFDPGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEGLEIFGGKWAEIAEHVATKTKTQCMLHFLQMQIEDRFHDAEDINQNIPGSTEQVTTEKGIAETSDKMDVEDKMEGKDTADEKASEKIEGTCEGPKTEDASAVENKDTQNSVSKDSAASPNTEEPKQSSDEQPTVKENSADVDTSGEKLSNVAIDILKSAFEAAGHSPEYEGSFADAGNPVMALAAFLAGIVEDDSATTSCRSSLKAISEVSPALQLASRHCFILEEPPNDLKDICVSVSNKNTDGDQTKDEEITQNSVDTEKKENNEKEDNSLSVEKHNNSSISQNDNQESDGKSVSRDDCPIKEAKTNNAKESGDSTATVDKSATDNAKGSNIGASNPKQVNDKPNVEVEAPDDSSLKGKNRPNKTEDAVATPPAQQDNKQSETLENGNMGEPNNIESVAANEEKGSIVTANQNDSITRLKRAAATAISAAAVKAKFLGDQEEYQIRRLTALMIEKLFQKIEVKMSLFAEIEQVVLRTREYTEKTRKKLLLERNAIIAARMGALPSRPNQPGVAGNRLPPGYGNPAVRPPNAMPRPSS >PVH31781 pep chromosome:PHallii_v3.1:9:15224130:15228901:1 gene:PAHAL_9G231000 transcript:PVH31781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGAGGSGGGHECSFKILLIGDSGVGKSSLLVSFVAASHLDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFSNLADVWTKEIEANSTNKDCIKMLVGNKVDKDDERMVTREEGLAFAEEAGCLFLESSAKTRENVEKCFEELALKILDVPSLLEEGSSSVVKRNILKQKQENHAKHGGGCCQ >PVH31732 pep chromosome:PHallii_v3.1:9:14522083:14522642:1 gene:PAHAL_9G222200 transcript:PVH31732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSSLLSSSNTASAELPLIPCKLCNGVVIERVSKQPETTSRKFYRCRAKKTDGSQCDFFHWQASYAVLLIKDGEMKKKLSNLELVMEELDNVKKSMKATMVEIEENKKSTAAALKLFHIIQLFHH >PAN45783 pep chromosome:PHallii_v3.1:9:8720511:8722009:-1 gene:PAHAL_9G142000 transcript:PAN45783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSRALLGQTGDEQSRSVAMAGAGALTVARDYRRGNWTLPETMLLIEAKRKVHEERHPGDQVLARWRWVEDYCWRAGCRRSHNQCNDRWDNLMRDYKKVRAYEVAGAGAGRAPSYWAMGRAERKEKGLPSNLLREIYDAMGEVIERRMSLGGCGGGSGGGAGGTFLGASSGLLDVPMQASPLAQFLPRSLPLEQETRHHGYRVAHFSPESPDRKRRRPSLDDLRPGIGSSTPPAPGTHVHRQEQGHRHREDDDDHGRDGESSDSERSDEEEEEEVLSGAIGRCAAILSEALESREAAEERRHREVMAVEERRGRALQARREAGQQCVAELAAAVNQLAGSMLALAAAKHKDKGGAAPPK >PVH33026 pep chromosome:PHallii_v3.1:9:67986309:67987578:1 gene:PAHAL_9G550600 transcript:PVH33026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQKRSDLLDLRENARIYRKKPHVQRSIGMIWHLFANESLGSAQQRPGRQIFQTGRVLSETNLEETKANSREKNESKK >PAN45718 pep chromosome:PHallii_v3.1:9:8364121:8366419:1 gene:PAHAL_9G137200 transcript:PAN45718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRLSELLQEQQEPFLVEVAKGRRPRRGRGGSGSGDGSGGGGAGLGCCSGSAAAACRRLLRLCNHGFKKRKSGVAGVGSGLRSALSKVLCSRAMRWVLRWEDLDAGCFSGAGAGCGREFRRLRRSLGDSGECDPRAMVFAEDDAEEERMGWKADMDVDSSRQLSPVSVLDLHSDDDEESPLHSHWEDEKPSTSGSSPPSESFLGPASPCFSFSCNLHDKFCEMEVDDPEEETVRASRSIEQQISSWEKIAGDISRIPAMMELDLSRSMRQWREMEPEVREIGARIETLIFEDIRSEAVCDMLASHCTLAAAATSC >PVH33317 pep chromosome:PHallii_v3.1:9:72617777:72619598:1 gene:PAHAL_9G625900 transcript:PVH33317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSLSTSVSGSQALSTAGRGAVYVGPIYFRKLKHPALLSKEAPHPGDSGFFRGPPLWLSLIQRLVRIAPSLFFCSSTSSAAAGKSPTSPSLYCVPSRSPHPSAPLHPRAPCAPSPSSAPPPRLHGWRQ >PAN51870 pep chromosome:PHallii_v3.1:9:72617777:72619098:1 gene:PAHAL_9G625900 transcript:PAN51870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSLSTSVSGSQALSTAGRGAVYVGPIYFRKLKHPALLSKEAPHPGDSGFFRGPPLWLSLIQRLVRIAPSLFFCSSTSSAAAGKSPTSPSLYCVPSRSPHPSAPLHPRAPCAPSPSSAPPPRLHGWRQ >PAN43997 pep chromosome:PHallii_v3.1:9:618257:623741:-1 gene:PAHAL_9G010300 transcript:PAN43997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALRFPGVARGSPAALAIRAAAASKLGREQGSVRATARPAAQCWRRRGLVARCQTGAAAVLKNDEATAAQKPHTGFTAVMKFGGSSLATAERMREVADLILSFPEETPVIVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKDLHLRTIDELGLDRSVVSGLLDELEQLLKGVAMMKELTRRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEVTYPAVAKRLNGDWMDDPAIPIVTGFLGKGCKSCAVTTLGRGGSDLTATTIGKALGLREVQVWKDVDGVLTCDPNIYANAIPVPYLTFDEAAELAYFGAQVLHPQSMRPARDGGIPVRVKNSYNRHAPGTVITKSRDMSKSILTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKFAVVHLLQRRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKSMTVRQNSVCKPSIRHSLRTASCQKSRELMFPRTAAPL >PAN43998 pep chromosome:PHallii_v3.1:9:619030:623500:-1 gene:PAHAL_9G010300 transcript:PAN43998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALRFPGVARGSPAALAIRAAAASKLGREQGSVRATARPAAQCWRRRGLVARCQTGAAAVLKNDEATAAQKPHTGFTAVMKFGGSSLATAERMREVADLILSFPEETPVIVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKDLHLRTIDELGLDRSVVSGLLDELEQLLKGVAMMKELTRRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEVTYPAVAKRLNGDWMDDPAIPIVTGFLGKGCKSCAVTTLGRGGSDLTATTIGKALGLREVQVWKDVDGVLTCDPNIYANAIPVPYLTFDEAAELAYFGAQVLHPQSMRPARDGGIPVRVKNSYNRHAPGTVITKSRDMSKSILTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKFAVVHLLQRRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVNDSEAKQCVQALHSAFFENGFMSEVEGADVPQNGGASLNSNGAIYGN >PAN46714 pep chromosome:PHallii_v3.1:9:13546073:13546848:1 gene:PAHAL_9G209400 transcript:PAN46714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHVVAAASLLLIALAATTAGAVTFNATDAASGTAGGQRFDQAVGLAYASQVLSNASTFIWSAFNQTSPADRKPVDAVTLVVADVGGAPAFASGDVITLSAPYVGNYSGDVKTEVTGVLYHEMAHVWQWDGQGQANGGLIEGIADFVRLKAGYAPGHWVKPGQGDRWDQGYDVTAWFLDYCDLLRPGFVAQLNAKMKDGYSDDFFAQILGKSVQQLWQDYKAKYE >PVH31237 pep chromosome:PHallii_v3.1:9:5258432:5259763:-1 gene:PAHAL_9G092000 transcript:PVH31237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >PVH32819 pep chromosome:PHallii_v3.1:9:64182489:64183105:-1 gene:PAHAL_9G494400 transcript:PVH32819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKGGAKVIGGGGGAGGIFNLFDWKRKSRKKLFSNSPEGAKLVRRSEETFPSGRLHLVDDDEGIGGTSFNGSSDYSCASSVTDEEGREMKAPGVVARLMGLDALPTSGIPEPYRTHSVTQDRSGTAKASRGVLSTP >PAN50182 pep chromosome:PHallii_v3.1:9:62178677:62179662:1 gene:PAHAL_9G466300 transcript:PAN50182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAETRYLKIEKLCLCIFFTCTKLRHILLSAETIVICKSDVTKHMLSAPVLKGRFGKWMFALSEFDIRYQPAKAVKGQALADLVAERTSSDIAALSIRAWAVYFDGSVCGDGSGIGILLVSPRGATYSFSIRLPTPCTNNLAEYEAVHKGMKLLLQAGAKAVEVFGDSKLVISQLTETYRCESELLFPLWRQC >PAN48717 pep chromosome:PHallii_v3.1:9:57047016:57048611:1 gene:PAHAL_9G401000 transcript:PAN48717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPSPPPYSRRLLQGGSDSGGANPNRIPGIPPADPPAGVNSDVVVILAALLCALICVVGLAAVARCARSRRNRGAGAGGGGPSSPSSNPGDAPGHFGGGQHSGGASATTTTTTTTKGLKKKALKALPKLAYADAVAAAAAARGAAPAAEGEEEAKAEELLAECAICLAEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPAPPKRCRKCEAVVLEAVLASSSSSAAATGGRGRGGGGGDGGFLP >PAN44181 pep chromosome:PHallii_v3.1:9:1293431:1299593:1 gene:PAHAL_9G022800 transcript:PAN44181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPSGSVEAELVPTLSSLEPVYGEGSQLDEARLRFARLGDRFEAVHGARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRKADGGQVRVANVDDKYPVCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDLGKPVALDVVVDGTVPQGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCLSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPRGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTKKAVSSVTTLSDVEGLCVSFAGNEGSSDPGVAVKKLLHEEPYTVEEIEKITGESLTSVFKSSQTSLDVIKAAKHYKLFQRASHVYSEARRVYAFRDTVSSKLSEEDKLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDHGALGARLTGAGWGGCAVALVKEPIVPQFILNLKEMFYKSRIDRGVIKQTDLGLYVFASKPSSGAAIFKLL >PVH31084 pep chromosome:PHallii_v3.1:9:3008661:3011925:-1 gene:PAHAL_9G053400 transcript:PVH31084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPACVLLDRAVYFRKHPVAGVVGGTTRRQSSSEPIPSVDAIEADIARYMLAMTPDLQVVDPPGVSCLTMVRPHPSHPFRKHGYVWNEQSGMAVAADKNLVLIYVGSYRPISKYGGCYLLVDTASSSLSRIPGVHHNSYPYSCVGSAGAVIMAREGGSFVLAELLFKLPSPAEPGVPGFAKLCLWQRSEWVYRVGRLPAELRHTWRLHKSFSVQSRNLLCWVDLLHGLLLCDLGRNGEVDSPDLGMSFVPLPHSCSIGEHHHRRLIPQDFCTMACVDGTIKFLTMEGFVEHNPIALVTFALDLDGPSPTWMKDRVLRLDDLWADKTLISKGVPRITPLFPMLSTQEHDVVYLVIGGRVDDVEGYKVERAQLLLSVDMRKAMVISATQDNSPRTLLRWRRILVINAS >PVH33023 pep chromosome:PHallii_v3.1:9:67924258:67924710:1 gene:PAHAL_9G549800 transcript:PVH33023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGLHSSARFTATLIPTHAGEGRYSLVDGEEARVAAEVGNAEQRSYRARALLLSLFFPLPLLADLLLSLPRFYLNPVSIHCSVPRTIQVAALHDVSAGRRRRPTGPNGEHLLSALEALGVDNCRVEASGGGEVRGPFLCCHLVLAPNSG >PVH31604 pep chromosome:PHallii_v3.1:9:12039141:12039548:1 gene:PAHAL_9G189300 transcript:PVH31604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSHSSAILLALQLQLHGHEHVVPATTSSTKSESRALVHMMLLLTMPKDDAFCHVKLVNEALTCKKGLPAESDL >PAN51861 pep chromosome:PHallii_v3.1:9:72569105:72571449:1 gene:PAHAL_9G625200 transcript:PAN51861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSTCREVVLHVYDVTKTGSEKTDNTVRMINRFFKDGIGVGGIFHSAVQVYGEEEWSFGLCYRGTGVFCCPVRQNPMYTFRESIVLGVTSFSNSEVNQILIQLSHEWPGCSYDLLSRNCNHFTNAFCQKLGARKIPGWVNRFANAGYTANVVAETTALQFRQVKSDIANASRVAYEFLTGLGQKEHDRAESRAVNQNRSSPRFQGNWFRNIVSAGAKPSTT >PAN52109 pep chromosome:PHallii_v3.1:9:73849942:73856939:1 gene:PAHAL_9G643000 transcript:PAN52109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSPRRPGALPSASSRRRGAAEPPPGLFPAREDLLRLLAVLAIAAAAAAACSRLNRRPQPFCDSVQSPDDYADDSCQPCPQNGRCVDGLLECVQGFKRYGGSCIEDGLLSQTATKISELLQLRICDQHARALCGQPSKILFQKHDVADTVDKLLSKNPAGLTEDGIELVKARVLDSAQGFFETTFTSNQAEAFKCPELVAEHHMPLTCQVRQWISRNIIFAASFSILFAALLWGLWTIYWRQALSNRAEKIYEQVCEILEDNAVNAKIGNSDCEPWVVASWLRDHLLVPRERKNASLWKKVEELILEDSRIDQYPKVIKGESKVVYEWQASGSLSGKIKKLQGARVESRTSVGGIKLSEEMGACVGEVREQGSCDPARRDRTKA >PVH32839 pep chromosome:PHallii_v3.1:9:64621354:64624071:1 gene:PAHAL_9G501500 transcript:PVH32839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDGDASNPSSSRKGKRKAQERDLKSYFSPFVSSSINPSTHGSEVGNAIIEEEEVVETHLEDTNTIDQQPGSNENDQNDQGTITEFNPDYIISDPGLRIPIEQFSPNIRDEIRRAFMERGPTQPSSHVFPRGQDKRRFRKEWFEKYNWLEYSLVNDKAYCFCCYLFRRVGVDDDKFGYEAFTKEGFRQWKNAYLALPKHVGGPNSAHNRSRAAFDDFDNQRASVKEKIVVHTKEAQKKYETRVDTSLAIVSYIALQGEPFRGHDESETSLNKGNFLEFLDWYKLRNEEVRQAFEFACPKNAKMTSGTIQKELAECCAQAVTKVIKEEMSGCLFSILVDESRDISVKEQMAIIVRYVNKKGQVVERFLGIKHVKLTTSEALKRAIVEVLSAHGLTIAKIRGQGYDGASNMRGEFNGVQKLIRDENPYAFYIHCFAHQLQLVVVSVSKCCSSIEDFFDYVDMIVSSTSASCKRKDLLIDSHHTIVLNKLDSGDILSGRGQNQETSLPRPGDTRWGSHYRTLLRIETMWDSIIEVLQVVHDEERNPSRAGGLVPIMESFSFVFIMKMMLQILRITNELSHLLQKKDQNIVEAMSLVIDVKTRLNNLRSEGYEPLLEEVKTFCQENDILIPNMEDSVPRFGRSRKGGRNNITQDHYFRVDTFFATIDAITTEFDHRFNEVSSELLTCFACLDPRDSFSNFDVNKLARLTDIYLDDFSFDDRKRIRDQLETFIIHVRRVEAFRACYDLASLAMKMVELKRHEIFPLVYRLIELALLLPVATASVERAFSAMKIIKTELRNKMSDGWLNDLMVVYIEREIFKGIDLESIKKAFQKKKDRNMQLPKSPRRN >PVH31091 pep chromosome:PHallii_v3.1:9:3118533:3119275:-1 gene:PAHAL_9G054400 transcript:PVH31091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESYVRSCLPAHAVVTGAILSAAAGEAYDSALTDDILRIIVSRLPIKDAVSTTVLSKRWVRLWSSVPPVFYDEQLSTSPESTRVAAVDCILSRHPGPFLAVHISSIEFSQRHLNEWPPLLVDKCVEDLVFVGLIGGVSP >PAN44777 pep chromosome:PHallii_v3.1:9:3976537:3979409:-1 gene:PAHAL_9G069400 transcript:PAN44777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGHMPGDKTVGHYDDAFTTFFSQTGAGKYVPRAIFVDLEPTVIDEVRTGMYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPNYSNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPANMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGGDEDEDEEY >PAN43924 pep chromosome:PHallii_v3.1:9:327903:329187:1 gene:PAHAL_9G003600 transcript:PAN43924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWLHSDRRGPRSWKQSWTARALSSCSLPPPGLLAFFAIVIFFLAVSGYVDYKAIERRAEIGARVFAAPLALAAAFLLFAALSWRRRYWATRRRAHQHHHLITPAPATNSTAAAPWGVALAVAILLLMMSFQPAVHSMWFRPLWDTDDY >PAN46731 pep chromosome:PHallii_v3.1:9:13626421:13626855:1 gene:PAHAL_9G211000 transcript:PAN46731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKVLVLLAILALFQVSRAAARRPGALASSSRHGGTPAVMTVNGFQRGESGGGPAACDGHFHSDGELIVALSTGWFAGGHRCHRAIRITSGRTGRSVEARVVDECDSRRGCRGNIVDSSPAVWRALGLDTNAGEVPVTWSDA >PVH32353 pep chromosome:PHallii_v3.1:9:55059725:55060093:-1 gene:PAHAL_9G380000 transcript:PVH32353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHGNDEDDLAADVEELFGSNTAINLDADVEGLPHGQAGSESMSTSAVNGSAASRKRRASTSKDWKDFEEIYEVINGKERRTGAKCRHCKKDFTGKSTYGTGHLIRHVPICPVLKGRSAMT >PAN49001 pep chromosome:PHallii_v3.1:9:58561397:58563853:-1 gene:PAHAL_9G418400 transcript:PAN49001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVVALAALLALAAAGARASNDEGDALYALRQRLRDPNGVLQSWDPTLVNPCTWFHVTCNQASRVERLDLGNSNISGSLGPELGRLVNLKYLELYRNNFDGEIPKELGNLKNLISLDLYANKLTGEIPNSLSKLNLLRFMRLNNNKLTGSIPRELAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNSRLNGPELQGLVPYDSGC >PAN47598 pep chromosome:PHallii_v3.1:9:19878596:19884803:-1 gene:PAHAL_9G261400 transcript:PAN47598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGREWDGDGLGGPPQTPLAAPVVCLLRSAGDLAAGAFVGSLVGYGKGLISNQGMKASLSNAGSSAKTFAALAGVQSFIMCLLRRLRGKDDMINAGMAGCCTGLALSFPGAPQAMFHSCVTFAAFSCIMDGLNKQQAAMALTLDGKSLFAKSEEAGVLPPFTLPPLLDASDTLALFCQTHVKPKH >PAN52098 pep chromosome:PHallii_v3.1:9:73779764:73781828:1 gene:PAHAL_9G642000 transcript:PAN52098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVVVMRHGDRLDQAEPIWPANKPRPWDPPLTDAGLLRAWTVGKRIRAAAAADGWAVHRVLVSPFLRCRQTAARAVAALCAVPNDAALLAIEDAANVPLDTSRLKVSIEYGLSEMMNTQAMGGIVGKVAPGVRKWFPDVPELEAIFPAGTIDHSAESIYPGVPKWEESILEARSRYASVIKALADKYPHENLLLVTHGEGVGASVSYFEMGLEIYDVEYCAYSILERQVSTKPGDEQGGFTADSFRVLTKSGTTGIQYAPVSESWFVG >PVH31151 pep chromosome:PHallii_v3.1:9:3899426:3900579:1 gene:PAHAL_9G067600 transcript:PVH31151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGARFPVGTRSRCSISALRCLSSDRKDLNSDLSVGKEDADLVGEMKDLSLPREKKITFSVGSCATSANVHDVSKIRTSHRCLAAVSSVPLGFLVAAPHPSAAARHFLFLATARRCQLADSDDEDFRSCIIATLTDRNSELKVITET >PAN49477 pep chromosome:PHallii_v3.1:9:61265011:61267851:-1 gene:PAHAL_9G451900 transcript:PAN49477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAVAALMAALLLLALWRLAWRPRAVARAFARQGVRGPPYAFLAGSLPEMKRLLMAGRVGVAPLDATCHDIMPVLLPQFHRWAADYGRTFLFWIGPIPAVFSTDLQLIKQVLADRTGLYQKDFLIPVLNSLFGNGVVFINGDDWKRHRKVVLPAFSHEKIKSMSAVTAEVTKQMMQQWREQVHQSGGKGAAEIDMIVAFNDLTSKINGRVAFGTSHQDVEEVVAFMREMQKLATAATLDPPILWYLPTRRNWHVRRVNKQLRSKIMSIMQARLAAKGGGECGNDLLGLLLEAWTPQRRAGAETLTTDEVIDECKTFFGAGQETTATLLVWAMFLLAVHPQWQDWAREEVLREFPDGDGGEAPSADVLGQLKLLHMVLLEASRLYPPFVYIQRRAATDVVLGGIKVPRGTVVSIPIAMLHRDKEIWGPDADEFNPMRFEHGAAKAAKDPKALLTFSMGPRVCTGQSFGIVEAQVAMAMIVRKFSFSLSPKYVHKPKYLLSLTPKSGMPLIVKNLDG >PAN51666 pep chromosome:PHallii_v3.1:9:71675191:71676712:-1 gene:PAHAL_9G611200 transcript:PAN51666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAHELAAAAAMPPAHVLAAAAATSALGVALGVRLLVALSSSRALKPLADATSAAVAALRAPRALAAASSPVAAILAASKAASKSYKAARTLGPAAHLPKLPSSKRLKAAFAAASLLRLATAAPAIPAASPAGVVALAVLKSGYKLSKNTSKIVEGFLGLQVHKGFRNGIDALGVVVKVAVIASEVAVWVGGQFWGYGRGRCVRFLGFTRPGSLALLGCSKSEPQAVLFDPVIVEMDAEGCELEERGCSELLSLAVPLPQVTKLVS >PVH31473 pep chromosome:PHallii_v3.1:9:9523548:9525821:1 gene:PAHAL_9G154400 transcript:PVH31473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSPTHARTVAFPIRRPPRPFPSGPPPPPSPPAPRAAAAASASAPRCPRRRAAAPRGSPRGPRRLAPPRQPPPPPRAALGRAAAATASGARPPRPPPPLPPRGPRARGRRRRRRHLSSGARPPRPCFEASVKRVTRSPSFLNQEAL >PVH33192 pep chromosome:PHallii_v3.1:9:70420933:70425017:-1 gene:PAHAL_9G591200 transcript:PVH33192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKDDGVVAEAQIAQPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQQIGLAPRDAAIVMLSGQVADGLMTILAGEMNASHDQIDRFGRFKLWHIGGSVLVGVSFSSVFGGCLLCTILGTDSYLVRTVGYSIFAAVFNIGWAATQVSHMSMVNCMTLNPTSRVALASCRNASTMVANLGLYAIALAVFAVIKAKECSDIVLQYRWIAYLSIFIGCCFLVVFHIGTKEPTLKSESNCKKKARISWGYWFRKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLRMNEYSKAIIPAIIFCCSFVVSIVLQEIKWNSRRLKSLLTVGATLWVISGVAVFVLPSQMHNLMYPLAMVIGAANALVMVTTVGLESALVGEDLNGCAFVYGSLSFLDKISCGIALFVLESYEDTMSCGETRGLNTVSRYGTGLIPSCFAVLSLVVTSTLRLQDAAPRAAALEAPLLV >PAN49175 pep chromosome:PHallii_v3.1:9:59652285:59653978:-1 gene:PAHAL_9G431700 transcript:PAN49175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDNDSGGPSNAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKHYLHKFREIEGERAAASSGASGSAAAQQQGDVARGAANAGGYAGYGAPGAGGMMMMMGQPMYGSPQQQQQQPPPQQQQHQQHHHMAMGGRGGYGHQGGGGGGGSSSSSGLGRQDRA >PVH32798 pep chromosome:PHallii_v3.1:9:63883225:63885016:-1 gene:PAHAL_9G490400 transcript:PVH32798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLVGQVDLLPPRRSTLHREALSTASPPSAAAITAAQARDDVAAIGWVECPIGCVAAFGAPGTDAPQPLERVPRPADFVLAGRRPRSKRTRRSAYGPPSDVAASTKVKAGAPRKKANVIVKEEPEQELSSLPPLPPPPPPPLWMRSPTPPPPPSPPPLPSPPPPPQDVAPRPSPPCQPQPTLAPIPAPPAWGTPTVLSHPSQLFWGLPLPTLRGPAPGWSVPRVPPSNPAPFWGAPSVLPPLPPHVPWRWPPTPAQTAHPPVHLLRSPLSLLLHQPQLHLQGQQPPPALPQTPPPPMQHHPPPHFYGQHPPPALLETPPPPMHMQVRRPPPHLQGQQPPPALPETPSPPGVSWGRPAFPVL >PAN44575 pep chromosome:PHallii_v3.1:9:3152220:3152789:-1 gene:PAHAL_9G055100 transcript:PAN44575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEEAPAQPGSARADAVTLRPFDLADVDAMMSWASDPVVTAFMTWDAYTSREALLAFMRDAVLPHPWFRAVCLGGGGEGSRPVPVGAVSVTPTDDRCRAELGVVLARAHWGKGVAAAALKRTVAAAFGDLEGVERVEALVDVDNAASQRALEKAGFQREAVLRSYCVVKGSIRDMVIYSFISTDPLVE >PVH32762 pep chromosome:PHallii_v3.1:9:63210852:63211874:-1 gene:PAHAL_9G481200 transcript:PVH32762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACACTRSSGPLEHMRAVWRAVAQSTTTNPPLARSPLDSSRQSGEDSTGREKPAKTARSAGPVRPCGRAKCVTFSAAVRARALGPLPLPACHLRRGAVPVARRAAGERRRGRHRTRPSRGAEGRGVYVAAATAVRRRRCSQFSSRSPPRPRASSLAETWGPCTRAAKLVLDLVLDGITGVHRSSGSG >PAN45671 pep chromosome:PHallii_v3.1:9:8075363:8078966:-1 gene:PAHAL_9G134000 transcript:PAN45671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSMPAAAAVMLLLLSAAATVRGQALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVENLGLSSYPPAYLSEEAQSNNKSLLHGANFASGAAGYLDATAALYGAISLSRQTEYFREYQSRVAASAGEQRAKALTSGSIYVVSAGTSDYVQNYYVNPVLGAAYTPDQFADALMQPFTAFVERLYSLGARRIGVTSLPPMGCLPASVTLFGGGNAGCVERLNNDSLAFNRKLGAAADAVKRRRPDLKLVVFDIYQPLLDLVNNPTSAGFFESRRACCGTGTIETSVLCHQGAPGTCSNATGYVFWDGFHPTDAANRVLADALLLQGLQLIA >PAN51156 pep chromosome:PHallii_v3.1:9:69454232:69455803:1 gene:PAHAL_9G574500 transcript:PAN51156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVRPDVEHQVVQLRGELRKVRDERDRAHRVLEANDEWKALATATDRTTIETLEAELKASRESEKRMLDSLALQTKQLELTKISLEEAKLEIATQQDAVRRLEGARTPVSTPRSRHDRDLQRVHGELRVALAAEEKSKKAMEEFVMALKEVNAELHTTKQQLARAQHEAEMGRLEADRLHMSGKRKDERLRALSDEVARLRAEAEESFAAWRGKEAGFTACMKSTEAELAEARRENARLLESQRSWRAEVAKLRDILKQAVRDTKVAKEALEEARGENAVLRAMLGDKDTAVKRTKQELECLRISEAAARDSVKELQSMLVATSASPTAAAAAGKPDPEESPSPRMRVGPPGLEKYPSDSKIRPPAGITRPRRMSETFEGSAYDIFGSMDDQKSGDLGVFSGMPRLPGRRRVVLRKVGSLFRWKSFTNK >PAN45752 pep chromosome:PHallii_v3.1:9:8582591:8585774:1 gene:PAHAL_9G139900 transcript:PAN45752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRLGKEGDGQEEGKLKPDAGRRCSPVREQKVGAPELCLLGRDGEPSSVASLVRCVCKAWHALVDAHRLLRPELLPRSLAGILVNFHGLYVTEFFSRPSTDSYVFVKHDEDGALASHAGYHPSPSAVVRDHCNGLLLIDADERLVPNPGTGWCAHLPPSPPRVFLFPRFRYKREPEDFFPTKQSEWPPSPCVLRVFSSKTERWEERSFIREGNASGTIADMRLDWPEDRNAVYWREALYAHCQTDFVMRISLSNNKLQVDFILESQKKGIYFALARGRCELKIWFLDESCCQMEWVLKHEADLTGWLLKRNIERQEKDDDIEVRVEEIFEWDSEEEELESYTKNEDAGQRCYYGYINILGFHPYKEIIFLGERMRRGLAYHLNSTKVQDLGNLHPTRYDGGLINEEFIEQCFPYTPSWIMGPTREK >PAN46205 pep chromosome:PHallii_v3.1:9:10611817:10615170:-1 gene:PAHAL_9G170200 transcript:PAN46205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWHISIIVLVLLFGGSKANPAAPSHSQLDVNHKNPLQTFRPYNIAHRGSNGELPEETAAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDNTTDVANRTEFAHRKRTYEVQGAKVTGWFVVDFTLKELKSLRVKQRFSFRDQRYNGKYQIITFEEFILIALYADRIVGIYPEIKNPVFINQHVKWSNGKKFEDKFVETLLRYGYKGAYMSEDWLRQPVFIQSFAPTSLISVSNMTNSPKVFLIDDTKTPTQDTNQSYYEITSNAYLAFIRNYVIGIGPWKDTIVPPKDNYLGQPTDLVARAHAHNLQVHPYTFRNENSYLHFNFHQDPYVEYEYWLNEIGVDGLFTDFTGSLHKYQEWTKPYPKKQKKAEALLHEIANMLKDDGY >PVH32231 pep chromosome:PHallii_v3.1:9:49674622:49676118:1 gene:PAHAL_9G349600 transcript:PVH32231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMALLIVEFVSDVDEMEWKEFYSFVRRKNRRNKVVIISKLERLTRFGSVTPIFLNTLPYVELWYLFKVLAFGSADPAEYPRLVHIAEGFAKELHLSGSLVAANALADMLRRNLNAQAWFCILNRCKKVIEKNLFAYGQHPKLLFEQGHEVDLTDFALCPVSPLRVIPRNSSSSAIYAPVKELPPYTAIRSFC >PAN50086 pep chromosome:PHallii_v3.1:9:64391953:64393011:-1 gene:PAHAL_9G497100 transcript:PAN50086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSWTLEISSPVAAPRLFRAAVMDWHTLAPKLASHVVASAHIVQGDAHVGSVRQFNFTSAMPFGFMEERLEFLDADKFECKSTLVEGGGIGVAIETATSHIKVEPTADGGSLVKVDSTYKLLPGVEVKDEIAKAKESVTAIFKAAEAYLVANPDAYS >PAN44404 pep chromosome:PHallii_v3.1:9:2287762:2289846:-1 gene:PAHAL_9G041400 transcript:PAN44404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIAKERPEQLEGLDWGQDELDEKIDWYLQQLNRDLPDNDDDRFWVHCDDKQRREMNQRLALCRIRAHEIKDECREIDVANLKAIYPPNVLEDNGYFKSYEHKFDWYFDPQYCNYARFQDYQRLMLRNNMNFKEALKEVYYEGKYTLFRFEWKSEFENDDPTPGPVEHLVCHPSSKMLKRRCCLSVDHGSCQKICSKTEDILRLCRKEVGHCKRNRLDPLQPLQKFYVTEQFRGHRLTAHEAGVSPVIAM >PVH31501 pep chromosome:PHallii_v3.1:9:10167400:10168690:-1 gene:PAHAL_9G163400 transcript:PVH31501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLDKNCRVCMEWQEHYYWSHMADDQKHFFKPMVGDFTETMSIPGRFANNFNGHISEVVSLKPPSGKTWSIGVGNNANDEVMLQSGWKEFVSAHGIEEGDYLLFKYSGVSSFDVLMFDSSGCEKTWPHFAKNHGCERIEGSSGVEGARHGYHKSKGGKYRTPQLLPSDEEDEDDDGDLELAVQRNTSRSIPKPCKRKLYRDIEQVHCQVKNDEDDLELDHESDVPAKTGYYFCKNGPVSGYHLTEEEREEISGVPIPAQPTNPVFVQVMHPSHVRGKKSGVVVSHLPSENYH >PAN46100 pep chromosome:PHallii_v3.1:9:10166698:10168809:-1 gene:PAHAL_9G163400 transcript:PAN46100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLDKNCRVCMEWQEHYYWSHMADDQKHFFKPMVGDFTETMSIPGRFANNFNGHISEVVSLKPPSGKTWSIGVGNNANDEVMLQSGWKEFVSAHGIEEGDYLLFKYSGVSSFDVLMFDSSGCEKTWPHFAKNHGCERIEGSSGVEGARHGYHKSKGGKYRTPQLLPSDEEDEDDDGDLELAVQRNTSRSIPKPCKRKLYRDIEQVHCQVKNDEDDLELDHESDVPAKTGYYFCKNGPVSGYHLTEEEREEISGVPIPAQPTNPVFVQVMHPSHVRGKKSGVVAISSEFAAKYLGATRGEIILQRVGGKGKWHVRYSCNRFSRGLTGRGWCGFVGDNGLLDHDVCLFELITGMRRPTMNVHVLRKLRGRFVLLR >PAN44965 pep chromosome:PHallii_v3.1:9:4829959:4832359:1 gene:PAHAL_9G084100 transcript:PAN44965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGRRVTCCCAAVLLAAALLLSAPEATEAYDSLDPNGNITVKWDVMHWTPDGYVAVVTMHNYQQFRHIGAPGWRLGWTWAEQEVIWSMAGAQATGQGDCSRFKGNIPHSCKKDPVVVDLLPGTPYNMQIANCCKGGVMSTFTQDPANAASSFQLSVGLAGTTTEAVKLPKNFTLRNPGPGYTCGRAIVGKPSVFFTADGRRATRAFMTWKVTCTYSQFLAQKVPSCCVSLSSSYNSTAVNCPTCSCGCPNPNGTDCVKKGSPHLGSATGGPGKWSGQPLVECTWHMCPVRINWHVKQNYRDYWRVKITITNFNFRMNYTEWNLVVQHPNFDNITQLFGLNYKPLTPFGGGINDVAMFWGVKPDNDVLMQPGKPGSVQGELLLRKDSQTFTIGKGWAFPRRVYFNGDNCVMPSPEYYPSLPRMQAL >PVH32691 pep chromosome:PHallii_v3.1:9:61844888:61845793:-1 gene:PAHAL_9G461800 transcript:PVH32691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEELVLLARDDKAVSLAVIPGTTTPSPTASEVEAAEATFRLVVEQSYRCDGADEVDTMEDVACRVPVAELRGAAAVDRAFDELLAGLDHPTLRPEVVPEARRAAERVRARCAEEGDRLGGVEFRLRVVFVDSFDEDEEPEPEPDREETGSDLELDEESWSRGRSDGGDCRHGHDPTALCDDEDDGGAQFSARTFGGALARAGGPSDGTLLLSGFEARADGPEPGDQHELTSRDVQRLVRLAFSGGDVEGDEGYQRAVDGGTPVSRVACAVILDQGLRSATQRPAPSATRGMPPRMRTGW >PVH31095 pep chromosome:PHallii_v3.1:9:3174998:3178451:-1 gene:PAHAL_9G055800 transcript:PVH31095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREESNKKGKLSWSKSLVRKWFNVKSKAQDFHADYDASQGREGHGDERRTSCSEREAGTAKKSRTDGLSKRSIDRIRGGRNDVDAAHLTEVQNYRVFASTWNVGGKSPPRGLNLDDWLHSSPAADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLVRRTLNKNPGSCCYGGYRTPSPVPDPVVELDADFEGSSRRQDSLSFLHRRSFNLSRSLRVEGNHMLSHPRLDRRFSVCDPVSLGGRPSDFDGNLPFMGSPDDHCIEEDTSNGTYLSPLPNGYGASAPMEENNDQPNTSRYCLVASKQMVGIFLTIWVRSEIRNDVRNLKVSCVGRGLMGYLGNKVNEGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPQVRSAGYVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRIERRCGRVFQGWKEGRIYFPPTYKYSFNSDLYSGVRPKEKRRTPAWCDRILWYGNGLMQLSYVRGESRFSDHRPVYSIFMAEVEIVRPRRRNMGYFSSRIEVEELLPYAYNSGEMKFY >PAN44586 pep chromosome:PHallii_v3.1:9:3174171:3179275:-1 gene:PAHAL_9G055800 transcript:PAN44586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREESNKKGKLSWSKSLVRKWFNVKSKAQDFHADYDASQGREGHGDERRTSCSEREAGTAKKSRTDGLSKRSIDRIRGGRNDVDAAHLTEVQNYRVFASTWNVGGKSPPRGLNLDDWLHSSPAADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLVRRTLNKNPGSCCYGGYRTPSPVPDPVVELDADFEGSSRRQDSLSFLHRRSFNLSRSLRVEGNHMLSHPRLDRRFSVCDPVSLGGRPSDFDGNLPFMGSPDDHCIEEDTSNGTYLSPLPNGYGASAPMEENNDQPNTSRYCLVASKQMVGIFLTIWVRSEIRNDVRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPQVRSAGYVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRIERRCGRVFQGWKEGRIYFPPTYKYSFNSDLYSGVRPKEKRRTPAWCDRILWYGNGLMQLSYVRGESRFSDHRPVYSIFMAEVEIVRPRRRNMGYFSSRIEVEELLPYAYNSGEMKFY >PAN44860 pep chromosome:PHallii_v3.1:9:4377308:4382616:-1 gene:PAHAL_9G075900 transcript:PAN44860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0764800)] MAAPAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTSELVAVKYIERGEKIDENVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSDAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYAIPDNVNISLECRHLISRIFVGDPAMRITIPEIRNHSWFLKNLPADLMDDESMSNQYEEPDQPMQTMDQIMQILTEATIPPACSRSINVLADGLDMDDDMDDLESDSDLDVDSSGEIVYAM >PAN44446 pep chromosome:PHallii_v3.1:9:2408363:2413755:1 gene:PAHAL_9G043600 transcript:PAN44446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAHIVAISTAIRFLPRREAARGATPTFAMQILRRKLLEASRRLPLFYAAPRGRAHAIATLAAASRWAPPAGAGSLAAAPWAATQRRGAKMLGSDVKLGNVIQRRGRIYQVIRAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEGKSFTYLYQEGDTVMLMEPETFEQIEVSKDLFGKAAAYLKDEMKVNLQYFDGRPMSATVPPRVTCTVVEAQPNTKGLTAQPQYKRVVLDNGLTVLAPPFIEAGEKIVISTVDDSYMTRA >PAN49357 pep chromosome:PHallii_v3.1:9:60764407:60767033:1 gene:PAHAL_9G443600 transcript:PAN49357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTRPLCTATAAAAWCSHCGLMGLATPSGSGSSVWCAFCHRLTRIERQRGVGMGDSATTVALAVTSPPRSLTLSAGREIPASYPKIRGKKRALLVGVSYRGTAHELKGTVNDVKAMRRLLCDKFGFPGDCILELTEKEMDPSRVPTRQNLLLAMRWLTEGCAPGDSLVFLFCGHGMQEVDLKDDEVDGYNEALCPVDFEQRGVILDDEINESVVRPLGRGVKLHAVMDTCHSGTIIDLPYLCRLSRTGYWQWENHYPGGTGKRPNGGLVISISSCRDDQKSADTSGFPESESIGAMMDSFIAAVEAEPGTTYGRLLSAMRTRIRDGHGSRLLPGRLGSYVRRMIPPSGVQEPQLCSSEMFDIYRKPFLL >PAN49535 pep chromosome:PHallii_v3.1:9:62307223:62309713:1 gene:PAHAL_9G468000 transcript:PAN49535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MVTVGHPLVFAVGILGNILSFLVTLAPVPTFYRVYKKKSTESFQSVPYVVALLSAMLWLYYALLSTDVLLLSINAIACVVESVYLAIYLVYAPKDAMVFTMKLLCIMNMGLFGAMVAFLQFYVEGQRRVSIAGGIGAAFALAVFVAPLAIIRQVIRTKSVEFMPFWLSFFLTISAVAWFFYGLLLKDLFVAMPNVLGLLFGLAQMGLYFVYRNPKKNGAVSEMQVVAQVAADAEKEQQQAHQQAHVAATLDADGEVRTSADDGANKDDVVVDIMPPPPLPAERAPPLPLAPPAVIIPQPRTVEVV >PAN50935 pep chromosome:PHallii_v3.1:9:68422733:68424231:-1 gene:PAHAL_9G558100 transcript:PAN50935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRWHAGASTVAPVPPPLAPRPAARGRLRPCRAKKPADDDDDRAGGGSSLLSKSVLLRSGVALFALGFVDAGYSGDWSRIGAISKDTEELLRLGAYGVVPLSLALIFSLSQDSNSNP >PAN44308 pep chromosome:PHallii_v3.1:9:1841692:1843631:1 gene:PAHAL_9G032800 transcript:PAN44308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTAAMEAAEDKQMNQSLSGDDDHVGADDDRISSLGDDVLARILGLVADARAVVRTGALSRRWLGVWTRVAALRFDSWPEFVSARGAARYLAFVSDVLALRARSDAGLERLAISFIMNCAPDLSPFVPASITAAPAWIRHAMHQGVRSFTFDLRLPPTKPEEEGDHDDSDLETMRLALGGTRVRLPATVAYMSLADLSLERINVVGSGVDLAGLLSPASCPSVERLRLKNLSFSSQGDLRLESGVLTELWLENVCSLEALDLRSPSLRFLHVKDCRHEALKISTPNLQELRFVQTTHPLQLEVEGDLLSVRRLNLHLYSHAYAGREETANDVSALLLEHCSSATSLDVILHVPKDKGLGLIEGTIPLLPHVKCLTVHVSPRFSWHAFGTGFASLLAQFISLRSLRVHLDCFLKREYHAGHADPDTCHFFSCYDLDRWRWRDIPLAHLQEIELRGLRGTRCELGFLQFMVTGAAGLQKVTTSFSSYGSIEGRRDDGFDLTLLDGGTWTARRYAYQPFDSRPCNGAYLQGQVHVYSRNAPE >PAN45981 pep chromosome:PHallii_v3.1:9:9573361:9579685:1 gene:PAHAL_9G155700 transcript:PAN45981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKLGSKPDAFKRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSACLARSIEENADQEECVIKLNDIPGGAKSFELVARFCYGVKIELSPANVVYLRCASEHLEMTEEVAEDNLIAQSEMFLNQVVLRNWKDSLTALETCDDLLPHAENLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFNADWWYDDASSLSFPMYKRLISTMESRGIQPEIIAGSLTYYAKKYLPGLNRRHSMGTVPLTAALSEVEQKNLLEEIDRLLPVQKGIASTKVLLGLLRTAMILKASPTCISNLEKRIGMQLDQATLEDLLLPNFSYTMETLYNVECVHRILDHFLAMDQANGGESPCLDDVMASPSLTPITSVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWATAVRENQVLKVGMDNMRMRLAELEKECSSMRQEIEKLGGRSSKGGGGGWASRVVPRRLGLKVRSQMCSAQEGSVSEQQRSMSAKLDKLQAKVTKHKKQLAADA >PAN50768 pep chromosome:PHallii_v3.1:9:67541994:67544292:1 gene:PAHAL_9G544800 transcript:PAN50768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTMALLPAAMRSILGARSNRVLRAARDLQAGGRGGGGSSGLLRRRQSSLPQLDHVDRSDEESGGEIDWDNLGFGLTPTDYMYVMRSSPEDLGAFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRPGYTLFRPEENAQRMQHGAERMCMPAPSVDQFVSAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPVLKAQMDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPAIRGTILPGITRKSVVELARDRGYKVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTYQGRRHEFRTGPDTVSQELYTTLTSIQMGLAEDSKGWTVAVD >PAN50767 pep chromosome:PHallii_v3.1:9:67541074:67544292:1 gene:PAHAL_9G544800 transcript:PAN50767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALSSAAKGALLPRARGAHGGLARALLLGGASVTAGGRGGGGSSGLLRRRQSSLPQLDHVDRSDEESGGEIDWDNLGFGLTPTDYMYVMRSSPEDLGAFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRPGYTLFRPEENAQRMQHGAERMCMPAPSVDQFVSAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPVLKAQMDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPAIRGTILPGITRKSVVELARDRGYKVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTYQGRRHEFRTGPDTVSQELYTTLTSIQMGLAEDSKGWTVAVD >PVH30990 pep chromosome:PHallii_v3.1:9:1689163:1690149:-1 gene:PAHAL_9G030300 transcript:PVH30990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVAGSITSAVAGAAAEKAVSMIIDSLGKQTPVADKLQRLERLCMRVRSTIEVSEKHDIESASLLQWRESLREAVALGDEALLSFQQQLQAAPADAQGTTSTGGIGSSALSFTRKALSSMARRISGTATALFSTDEDATKLQSAVEALEKASENLGEFIGLLRLEASPRLKRRRRHVIPGGGQGGSAQVYFYVHGLIKGSSEVRVLVGRLQEALAKISTAVDTAKIRDVEGMELEWLAQWAVFLREAREQGRTVLHALRAQLSKENPECGPEVNQLGSFVHTVESIAGDLEFFNRLIITFCDFPAFSSYGYGRGGRDGRGGWWYHQ >PAN49949 pep chromosome:PHallii_v3.1:9:63627810:63630030:1 gene:PAHAL_9G487200 transcript:PAN49949 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT1G48000) UniProtKB/TrEMBL;Acc:Q94CJ3] MDMTHERDASSEEEVMAGELRRGPWTVEEDLLLVNYVASHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAAASGAGAAGQQAGAADTPLSSSWQHGADDGLYASPELPTDACWPAEYYGTAAGDHPLLNNPAVPELSSTTAGSSSPSSDSGAGAQPWLAPVGGAEWFTTACDASSAAVAMNDTVLAGQQQQLPCLLGETWTSSELPELGVADFEIGSFDVESIWSMDDNLWYTQTQGV >PAN45497 pep chromosome:PHallii_v3.1:9:7375493:7379509:1 gene:PAHAL_9G123600 transcript:PAN45497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARWAVVLALLVATGGAGGARAAGAKGANWLGGLSRASFPKGFVFGTATSAYQVEGAASTNGRGASIWDEFAHIPGKVVGNQNGDVAVDQYHRYKDDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGMTPYINLYHYDLPLALEKKYGGWLSSKMADLFTEYADFCFKTYGDRVKHWFTFNEPRIVAMLGYDAGSNPPQRCTKCANGGNSATEPYIVAHNFLLAHAAAVARYRTKYQAAQKGKVGIVLDFNWYEALTNSPDDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVKERLPRFTPEQAKLVKGSADYIGINQYTASYMKGQKLLQQTPTSYSDDWQVQYVHERNGKPIGPQANSNWLYIVPTGMYGCVNYLKEKYGNPTVYITENGMDQPGNLTRDQYLHDVTRVRFYKSYIGQLKRAIDQGANVAGYFAWSLLDNFEWLSGYSSKFGIVYVDFNTLKRHPKASAYWFRDMLKKN >PAN45719 pep chromosome:PHallii_v3.1:9:8378509:8379024:-1 gene:PAHAL_9G137300 transcript:PAN45719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGAVAASRSGGGTVAFSWEQEPGVSKRSPAEAVEPTVGAPRAEAATRTTPAPAKKAPPPPAHRHRLRVPPPPGGPGAPAVSPPPGKSSISGSRSRRVRPRDDPFLAAYLACTDNNGSNSGKGPRKLLGWAGLGLGLGLGLRGLGLSCKNSCGAVECVVTLARIPELDED >PAN48807 pep chromosome:PHallii_v3.1:9:57583888:57585512:-1 gene:PAHAL_9G407100 transcript:PAN48807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGELKLLGMWASPYVTRAKLALQIKGQSYEYVEEDLGNKSELLLSSNPVHKAVPVLIHDGKPVCESSVVVQYIDEAFAGAGPSLLPADPYERAVARFWAAYLEDKLVAPWMRLFRVKTDEEMAEVMRQMIAAADALEGGLKQCSEGKGPFFGGESVGYVDVLLGGMISWVKATEVFTGAKIIDAAKMPLLAAWMERFCELDAARAVLQDVGALVETEYARAVQARFAAAAPNN >PVH33106 pep chromosome:PHallii_v3.1:9:69218354:69219218:-1 gene:PAHAL_9G570500 transcript:PVH33106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQPAPSQEPKSQPFYISAPPTPPSFQYPQQIQARSSSSPPSPWSRPSKVSPPPLLVATAAAAAAASATGPVAAAAAVSSTTRAAAAAARRPAPAAADVAPTPRAAAGAGADRCAWRAVAAATVRGGEAVLADAAAAAATVRGGEAVLADAAAATARRGRAYFPTDVGRTSAGGPQASAIDSSARDDNASFDTPAALTRWSPDRGVRRRLFLAS >PAN44625 pep chromosome:PHallii_v3.1:9:2965653:2969292:-1 gene:PAHAL_9G052600 transcript:PAN44625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVSRLRSARWRLLSAKLIYRSCSDIPASVGTGSSSEFDTAIRSLKNNLQPERLTRVIDSTPDSSLALRIFRWASHKRYSLRTVDTYRCMISKLTAVENRDDMDSLLGEMVRLKAPALEQALNGLVQSLSSKNQFDEALLVIQHATSAKLKLSLSACNAMLHDLVKQGSGLRLFMLVYMEIVKSGVLPDVETLNWLIQALCESGRVDLALIQFDRMSKKRCSPNSHTFEILIVALCSHNRADEAVDLFYKMLQLRCTPDGSFYAQVVPLFCKFSKVKEVTKLHQMMKEDGLQLDVHLYSVLIRCLCENQLLDDAVMMLNKMVASGHAPRVSAYVDIVDCYCTSAKFHKALSFLEENDVTESEPYNVLLRWLCTDGRLQDSVRYLEKLHNRGLVDCESWNIVITHFCNQGNIRRASELIGRMVVSSFAPDESTYSAIISCYCRLGLHIDALGMFRRVSVNDLSLNSESFSLLVEVLCHTERNPEAIEVFKYHCKRGRSLTNKSLDMLIQGSCLSGRIREAVQLRSLAVCTGTSCTFFTYHRIIQALLHLNKEKDVLVVFAQMVMEGCLLDGCAYTSLLRSFLTKETIFEAAILFNRMVNQAFVPDQETFELLVNDMALFSFLNLVAQSLLKVVNTRGTVSPRIYNIIIYGLIKEGFKNEACKFLDRMLEKGWVPDSRTHQVLVGDIGGEEDREVDQLYQTVDDDNVSNILLEGLD >PVH33208 pep chromosome:PHallii_v3.1:9:70707753:70710160:-1 gene:PAHAL_9G595800 transcript:PVH33208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQSADAAGNSSPRQERESSGGCCLVRGPIIVGAGPSGLAVAATLSRHAVPFTVLERSDGIADLWTNRTYDRLRLHLPKVFCELPHVGFPADFPTYPTKHDFLRYLRSYAARFAVSPLFGRTVTRARFDAAASLWRVTAVSAADGGRATEYASPWLVVASGENAEVVEPKVKGRERFAGEVLHSSAYRSGERFKGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGTSTFGIAMKLLKWLPIKLVDRFLLLVAKMVLGDTERHGLRRPKLGPLEIKNVTGKSPVLDVGAWSLIKSGNIKIVPEVESFTGGSGVRFVDGNEMAFDAVIFATGYRSNVPSWLKDGDLFTEDGKPRAAQEPSSNWRGPDGLYCVGFSGRGLLGAGADALRAAADIAGRWQAAAATGAKISSSSV >PAN48462 pep chromosome:PHallii_v3.1:9:55425394:55438467:1 gene:PAHAL_9G383500 transcript:PAN48462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPRDVAVLLLLLFPVLAPVASAVPFIVLHGIGDECGNDGLASFTEMLGEWSGSKGYCIEIGKGALDSWLMPLQEQANTVCKKVKKMKELSEGYNIVGLSQGNLIGRAVIEYCDCGPPVKNFISIGGPHAGTASVPLCGSGFLCILIDNLIKLEIYSDYVQAHLAPSGYLKIPTDMEAYLKGCRFLPKLNNEIPSERNATYKERFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGSFNPVLPPQETKLYTEDWIGLKTLDEAGRVKFVSVPGGHLRISRSDMKKYIVPYLKPDALSKQSIRRILSV >PVH31836 pep chromosome:PHallii_v3.1:9:16601758:16602140:-1 gene:PAHAL_9G244100 transcript:PVH31836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNKPAAGVPTQCQREELRERKGPKILISGASLAHHLWAKSMDGIRKGDTHKRRKRLKQQARNYNSSTIPQTTKKK >PVH31825 pep chromosome:PHallii_v3.1:9:16415446:16416121:-1 gene:PAHAL_9G242800 transcript:PVH31825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELEKYHLTGAFTGSMHNMSVPIQPVSPAVTASFHQHPTEDPEVQEVDKSAVANNKKNKKVFQRGKAFSMEEDRAICSAFLHVSIDPIIGTNQSAAGYYARMHQHFTENIGASSRTKISIENRWTTIQKAVSKFCGFYAAIERRNKSGKNEQDRVHHFIHESYLYTFCS >PAN51551 pep chromosome:PHallii_v3.1:9:71113960:71115207:1 gene:PAHAL_9G602300 transcript:PAN51551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKQLTVVAPAVAAPSMHMEETTRPMGQLIKEEAVALTEQQRGCRTTALAWTPWASIKSKARAAGEYAVLRTRQGITMFGEPKLGPLVKAAAANEESHSH >PAN49807 pep chromosome:PHallii_v3.1:9:62841492:62845963:-1 gene:PAHAL_9G476700 transcript:PAN49807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRACARLPHPLFRPAPSPKRRPALPQGPTLARSRALVARAAADAPDDAHSPPSSFDFLALKRELELEEEGAVVAVEADEGGGAVSEGDGEREAERSASGTRRRRRQMTRRSALLAKQVISVSSARSLGFVSQLWVHAASWVVALVEVRPSLLSGEEEKFLFEDIYQVGDVVLVEDESVIENEHNLVGLHSLVGYNVVTSRRRNVGKVRGFTFDINSGAMESLELDSFGFSIVPSSLVSTYCLFVEDVLDIVSDTIVVHEDAVSRVQRLTQGILGTQNIHGPGGEIDGYRRSGRRRANSQGGRKLHRKARDGKDEWELPMDY >PAN51362 pep chromosome:PHallii_v3.1:9:70316765:70319834:-1 gene:PAHAL_9G588600 transcript:PAN51362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSGSAKALACFHASLLAPQTRTTAVASASPRRASVRMRASTAAPAELKKTVWVWTENRQVMTAAVERGWSTFLFGSKDLGEDWSSTARINPLFIDGPEIFDGENQKVAEISQVSSPRELELVQPDNVEVKNIVIDFRGGWQVIPAENIVAAFQGCKGTVLAVSTNSTEAQVFLEALEQGLDGVVLKVDDMDDIIKLKGYFDRRNEAKSQLPLTKATVSKVEVVGMGDRVCVDLCSIMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTVPGGKTSYLSELRSGKEVIVVDQHGLWRTAIVGRVKIESRPLILVEAKDNSGDTYSIFLQNAETVALIIDDTGSSGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >PAN49065 pep chromosome:PHallii_v3.1:9:58889060:58891676:1 gene:PAHAL_9G423100 transcript:PAN49065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQGQAAAPLGLGDADDGAGGTKAAAHFVFVPLNERGHLIPAVDTALLLATHGAVCTIVGPPSTTSKVRQTVESAQQSGLPVRLVDFPLDYAAAGLPEGADDADRIEPMYMMNYYRAVALLRAPIERYLRAHDPYPTCVVNDVFNPWATELAAGLGVPRLSFFSICAFTILCQHNLERFHAYDGVEDSNAPVVVPGLERKVVVTRAQAPGFLRGIPIPEWVELADYIERARAEADGVIVNTVLEMEPEYVAAYAEARGMKVWTVGPVSLYHHGAATLASRGDRAPAIGAAECLRWLDGKEPGSVVYVSFGSIAQASPKQLVELGLGLEASGHPFIWVVRNAGGYDETVRAFLGELEARVAGRGLLVRGWAPQAAILSHAAVGGFVSHCGWNSTLEAIAAGLPLVTWPHFTDQFLNEKMAVEVLDIGVSVGVKEPLMFQARNEILVGRGVVEKAVRDIMGGGEEAERRRQRARELAAKARAAVQEGGSSHANLLDLVKRFEKGASRAA >PAN45645 pep chromosome:PHallii_v3.1:9:7992079:7995414:1 gene:PAHAL_9G132500 transcript:PAN45645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSGRSASRPAPRAAPVRNPPQPARQAPPPAPAQSGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAAPAPAGPIMNADSCGNHSKAFQDCINHYGSDISKCQFYLDMLNECRRGGATV >PAN44655 pep chromosome:PHallii_v3.1:9:3383962:3386808:-1 gene:PAHAL_9G059400 transcript:PAN44655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTRYYEILGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASSRCAGCQGSGYKVQIRQLGPGMIQQMQHPCNECKGTGETISDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTESLCGFQFVLTHLDNRQLLIKSNPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPEQCKALEAVLPPKPASQYTDMELDECEETMPYDVNIEEEMRRRQQQHQEAYDEDEDMPGGAQRVQCAQQ >PAN44952 pep chromosome:PHallii_v3.1:9:4911191:4913565:-1 gene:PAHAL_9G085600 transcript:PAN44952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIKIKVDLECCRCYTKIQKVLTRIQEKGEFCIDDIEYDEKNNKVIVTGPFDPDKLADKLCCKACKIIKEIEIVEPPPPPPPKEPEPPKKEEPKPPPPEPKEEPAPPPPAKVDPPPPPPPKEEPPPPPPPKQEPAPPPPKVVEVPYPWPYPYPFPAWPSECCCHHGHGGCHCCSCGKAPEAPPPPPPQPQYIPMPQYVPQPYPCNPCGGGYRIVCEEDPSYACSIM >PVH32679 pep chromosome:PHallii_v3.1:9:61657643:61667104:1 gene:PAHAL_9G458700 transcript:PVH32679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLVSYTVMVTGSGLSEGEHVTLGWNEKEDLKAVVNYLRTDGNVSCIALWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKANFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYMGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPEVSEDHYFMTPQGSLGQGHWDTEHDIEYRFAQSPTGAAHATTTEDAIAQLRSRRLMSRMEVPSGATTEDRADQTEGLDSDVGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTVEDEDRMLMRAILESLKDYEQSNTKNAQSVASDAASKENDNNVKDRNGVADAALEPDAPGKHTTVCNSGAKVGAEQSADSHAVNNTTSANASGSSEPLASTQITNGKPTSAESQKTTQNVNGEDGTRATLVVQKSRSSGLIDGLTQKWGSFFKNND >PAN49595 pep chromosome:PHallii_v3.1:9:61657643:61667103:1 gene:PAHAL_9G458700 transcript:PAN49595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLVNFIIRPPRADYSPNDDLLEQEFMLKGRWFQRKDLEVKNGLGKKLQCSHYMPVVIPEGNALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEKEDLKAVVNYLRTDGNVSCIALWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKANFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYMGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPEVSEDHYFMTPQGSLGQGHWDTEHDIEYRFAQSPTGAAHATTTEDAIAQLRSRRLMSRMEVPSGATTEDRADQTEGLDSDVGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTVEDEDRMLMRAILESLKDYEQSNTKNAQSVASDAASKENDNNVKDRNGVADAALEPDAPGKHTTVCNSGAKVGAEQSADSHAVNNTTSANASGSSEPLASTQITNGKPTSAESQKTTQNVNGEDGTRATLVVQKSRSSGLIDGLTQKWGSFFKNND >PVH33022 pep chromosome:PHallii_v3.1:9:67873482:67873790:1 gene:PAHAL_9G549400 transcript:PVH33022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICHDRRAFIRKAYFYLAIVSSEHARPNPMILARFLRCRPTKSKKAGRHSFGYPHIVTARVPFSTPHSLVNFADERICRWEKMAAKCQRTDRLGYDMKPFQ >PAN48845 pep chromosome:PHallii_v3.1:9:57759032:57763589:-1 gene:PAHAL_9G410000 transcript:PAN48845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSCPAAAGDATAEELLDRARGLVPPALAAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCQELLQSVAATLAEAAELGARCSEPPKAGKLQMQSDLDALAGKLDLNLRDCALLVKTGVLSDATVPAAQAEATAAAGGAQTDVRELLARLQIGHAEAKHRAVDGLLDALCENEESVLSALGRGNVAALVQLLTATAPKVREKAATVLCLLAESGSCEGKLMSEGALPPLIRLAESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDVCQTGDSISQSAAAGALKNISAVPEVRQALAEEGVVRVMINLLDSGVVPGSKEYAAECLQNLTSSNDNLRRAVVSEGGLRSLLAYIDGPLPQESPVAALRNLVTAISPDSLVSLCVLPRLVHVLREGSVGAQQAAAAAICKVSSSTDMKRVVGEHGCMPLLVRLLEAKSNGAREAAAQAVATLMGYPPNARDVKKDEKSVPNLVQLLDPSPQNTAKKYAISCLLALSASKRCRRLMISHGAIGYLKKLSEKDVAGAKKLLEKLDRGRLRTLFSRK >PAN46854 pep chromosome:PHallii_v3.1:9:14348483:14350719:1 gene:PAHAL_9G220000 transcript:PAN46854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACASSSRGRSNQGKNLKSSKVAAVPFTPATQTVAAAAASAKMEEKSSFKWRIDGFSTLLDKQEGWTSSGYFEIKGIKWYLQLNLKDRKRGDKRDYVSLMLELSKTSDLKSEIVVEASFKLLIYDQAYGKHREHEFSHHFQTEGSRRSGVSCMIPVETLKEESSGFIVGDSCVFGVELISLATAKANHSSETVHVQKTNGFSAREAYTWVIDDFLALKGRCYSPEFEIGGRIWYLIMYPSGIDDSGEFLSLYLNMAKPDASLQRSGALVELSLSIKDQVTSNRYTRTGRCQFVATEEGDGWGWAKFMEVKSVKDRYLVKGSCLIEADVAIVGSSKME >PVH31719 pep chromosome:PHallii_v3.1:9:14348750:14349988:1 gene:PAHAL_9G220000 transcript:PVH31719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACASSSRGRSNQGKNLKSSKVAAVPFTPATQTVAAAAASAKMEEKSSFKWRIDGFSTLLDKQEGWTSSGYFEIKGIKWYLQLNLKDRKRGDKRDYVSLMLELSKTSDLKSEIVVEASFKLLIYDQAYGKHREHEFSHHFQTEGSRRSGVSCMIPVETLKEESSGFIVGDSCVFGVELISLATAKANHSSETVHVQKTNGFSAREAYTWVIDDFLALKGRCYSPEFEIGGRIWYLQAHTCMLLNITSIYPVIKPKMQVSSS >PAN46608 pep chromosome:PHallii_v3.1:9:12636879:12639258:-1 gene:PAHAL_9G197400 transcript:PAN46608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLKFTVRRKPAVLVAPAAPTPRELKRLSDIDDQDGLRFHIPVIQFYRRSALAGARDPAPVIRAAVAGALVHYYPFAGRLRELEGRKLAVECTGEGVLFIEADADVRLEHFGDALQPPFPCLDELIFDVPGSSEVLGSPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARGAATPTVRPVWGRELLEARDPPRPAFAHREYDVVPDTKGTIIPLDDMVHRSFFFGRQEVAAVRAHLPPHLRSRASTFDLLTGLLWKCRTAALAPDADEEMRMICIVNARGGKSGAAIPEGYYGNAFAFPVAVATAGDLAARPLGYAVELVKRAKGEVDVEYMRSVADLMVLRGRPHFTVVRAYLASDVTKAGFGDLDFGWGRPVYGGPAKGGVGAIPGVASFLIPFRNAKGEDGIVVPMCLPGPAMDTFVRELGKLLSPPTEQQQQQQDAYPAIRSAL >PAN46783 pep chromosome:PHallii_v3.1:9:13861314:13870256:1 gene:PAHAL_9G214400 transcript:PAN46783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMAADGLPVEPQSLKKLSLKSLKRSLDLFAPAQSLLFAPDAESKRIRTGCKVRSEYGAVKDLPAEQGRGQGKRTSAPSAALALPGTQDTEDAHREGTSNAIVPAPLMLPKAPESTIPGKNTTLSIPGSSDRFSTSALMERIPSRWPRPAWHAPWKNYRVISGHLGWVRSIAFDPGNAWFCTGSADRTIKIWDLASGTLKLTLTGHIEQVRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKLWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVMATGGDNGSLWFWDWKSGHNFQQEQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >PVH31217 pep chromosome:PHallii_v3.1:9:4887698:4888301:-1 gene:PAHAL_9G085100 transcript:PVH31217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLFVVEIWSRTQIEQQHEEIGLCSIGRAAGEGSGPALPRLALWHGKGRVIIPSSLPVLFFLGQIPISVPSKKNPFHFISSIPHSLRSDLWFPTWCQVVA >PAN45166 pep chromosome:PHallii_v3.1:9:6287225:6289069:1 gene:PAHAL_9G107800 transcript:PAN45166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIQTECTFLLPSQRPNLRHISRDRRFWGPNPTRMCVPRSPNLEKEAQPIHPKPHLRAHPHQPRSLSRAMSPAGRSGRPDAPLHLVFVPFLARSHFAPLAAKAADACGQRVGATATTAAIVTTPHFAALAPPCVPVHVAGFRCPGGHEDFSQLPDDEASSAPAFFSAAEAALAPALAAVLRAQDGPVAVVSDAVLYWVPRVARECGAPHVTFHTIGAFAASAMVAVHLHRPEAFLDPFVVPGGFPRHVKLRRAQINEEALAHLPLFRAAEAQSYAVAFNSFAALEANFAAYYQSQLAGRPKKVFLVGPALAAAASPRAVTGGAERDPILQWLDGRPAGSVVYVCFGSTCALGESQLRELATGLRASGRTFLWVIPAARGEGGAAREERASSHGMVVAGRWAPQAEILAHRAVGGFVTHCGWNSVLEAVRAGVPLATWPLRAEQFVNEAFLVEVLRVGVRVREVAREEAGLEALVPAGAVTRAVGRLMGDGQGEAEAVAGRRARARELGSAARAAVAEGGSSCGDWARLVEELKALHGRDSDPQV >PAN45554 pep chromosome:PHallii_v3.1:9:7595111:7596433:-1 gene:PAHAL_9G127200 transcript:PAN45554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVEGEVYRSDSDSDDDDVDRFVFLARQPAPVPGRHAEDDRGASSANDDDDDDGDATADEDSERDGGRRKRPLGREILDDAPPPPPPKEARVKLIAIAPPLARTPPSGSESETESDSAPLPCDVRARGSGSEESHGKAAHEHRARGRGGEAKNAIKKKRGACGKRGRGPGCEEDGDPESRGAVAAAAKAGASPAATSGRFLCNLCERCFDSHQALGGHVLGHRKKTKIAIAAAASLDDIDDADGVGNCKDETAVVEVNEETASGIAQTDKMAAVAARRGKANGGCHGKIKTVADVADHRKGVDSRAHEHVNGNADLVNKGSAVSGDDFTNGNSTTHDKTDTIGAEIPNQKVVAGTCHGGTNSDGNVRTTMYKCKVCGTECLTGRALGGHMRKHRKRPPLGGGGEGRSPRPATDDDCQIPLARMFGAEAGLQRENKIGLV >PAN45097 pep chromosome:PHallii_v3.1:9:5295624:5300647:1 gene:PAHAL_9G092700 transcript:PAN45097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARGVARVRRDALAARMKCPLCQGLLREATAITQCLHTFCRVCIMEKINDEDVDCCPVCNIDLGCDPEEKLRPDHNLQDIRNKLFPIKKRKVDSLKAPTTLPPKRKQRSLSSLAVDTPSVAMRTGLTGKRTKGRDQKIEKSSAPQSTKVETPTKKTESRDQKKTEKTSPQQPTKAATAVNKRQKNTDVEVSSKPSSKRKNGMTAEKEELQKPSNNLVYAASKTKAPRSTPKIHPVTEEKTKKKEGELPIGNKETENEVVIPGTRVGEHLNEPTLEEENNGSSSEPATATVKAATEDISNQGLSGPASILRDPITTAVWFSLVSSPNQKGGPQLPELSKIYLRIKDGSLQISSVQRYIATKLDIADENEVEITFHGEPICPSSTMQGLVELWLRRVPEKPVQAPLGAPATGFVMALGYRRRHRPCLAPRVVAVAVPPGEPCEGDGAAVEPA >PAN45096 pep chromosome:PHallii_v3.1:9:5295624:5300647:1 gene:PAHAL_9G092700 transcript:PAN45096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARGVARVRRDALAARMKCPLCQGLLREATAITQCLHTFCRVCIMEKINDEDVDCCPVCNIDLGCDPEEKLRPDHNLQDIRNKLFPIKKRKVDSLKAPTTLPPKRKQRSLSSLAVDTPSVAMRTGLTGKRTKGTRRAAVFHATSPGNNGTMKSSTKSEGRDQKIEKSSAPQSTKVETPTKKTESRDQKKTEKTSPQQPTKAATAVNKRQKNTDVEVSSKPSSKRKNGMTAEKEELQKPSNNLVYAASKTKAPRSTPKIHPVTEEKTKKKEGELPIGNKETENEVVIPGTRVGEHLNEPTLEEENNGSSSEPATATVKAATEDISNQGLSGPASILRDPITTAVWFSLVSSPNQKGGPQLPELSKIYLRIKDGSLQISSVQRYIATKLDIADENEVEITFHGEPICPSSTMQGLVELWLRRVPEKPVQAPLGAPATGFVMALGYRRRHRPCLAPRVVAVAVPPGEPCEGDGAAVEPA >PAN46448 pep chromosome:PHallii_v3.1:9:11812978:11816415:1 gene:PAHAL_9G186700 transcript:PAN46448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLFPWLAWLLVSLAAVYLLGLLGHGRRRGLPPGPRPLPVIGSLHLLGNQPHRSLARLAKAHGPLMTLRLGAVTTVVASSPAAAREFLQRHDAVFSNRSVPDSSGDHAGNSSVWLPNAPRWRALRKIMGTELFAPHRLDALQHLRREKARELVDHVGRLARSGEAVNVGRVAFTTSLNLVSRTIFSRDLTSLDDDGGSSEFQEVVTGIMEALASPNVSDFFPALAAADLQGWRRHLARLFARQHRIFDEEIDARLRGREAGEPNKNDFLDLLLDAAEDGDNTARLDNRDTLRSLFTDLFGAGSDTSSSTVEWAMTELLQSPASMAKAHDELATVIGSRRSVEESDIGRLPYIQAVVKETFRLHPPAPLLLPRHTQVDTKIMGYTIPQGSRVFVNVWAMGRDRETWPEPEKFMPERFLGRTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLVLASLLNQFTWRLPAEVERSGVDMAEKFGLTLTKAVPLYAIATPI >PAN47436 pep chromosome:PHallii_v3.1:9:30820491:30826247:-1 gene:PAHAL_9G290900 transcript:PAN47436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAWAAWLLVLLCCGAWTPRRILVSAATDANDVTALNTLFTSMNSPGQLQGWKASGGDPCSESWQGITCSGSSVTAIKLPGLGLSGTLAYNMNTMDSLVELDMSQNSLGGGQQIPYNLPNKKLERLNLAGNQFSGGVPYSISTMPKLQYLNLNHNQLSGDITDIFSNLPSLTTVDLSSNSLTGNLPQSFSSLPSLKTLYLQNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTSPAPPPPPFTAPPHSRSNRRKSPGQHSNGSNNSSSGGSSGIGAGAIAGIIISVLVIGAVVAFFLIKRKQRKGAMPEHYEQRQPFNSFPSNEVKEMKPIQEATTVEVEYLPSPAAVNLKPPPKIERNHSFDDDDIASKPVAKKSNAAPVKATVYSVADLQMATDSFNMDNLIGEGTFGRVYRAQFSDGKVLAVKKLNITALPSQSSDDFYELVSNISKLHHPNLSELVGYCMEHGQHLLVFDFHRNGSLHDMLHLSDEYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGLSSFVPDAEFQASDQGSGYGAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMLDGEEASRRPDDQDQEFV >PVH33219 pep chromosome:PHallii_v3.1:9:70992029:70996449:-1 gene:PAHAL_9G600000 transcript:PVH33219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDPSIMCRARPTSNHKASYSTTCGKSTKMHRRPPSFLLFLVVAAASRLVPAAAGDDGGWSKGTATFYGGGDASGTMGGACGYGSLYWSGYGADTAALSSPLFDDGASCGQCFKVTCDAGASQWCLKGKSVTVTATNLCPPNYAVSGDEGGWCNPPRRHFDMAQPAWLQIAQYKGGIVPVLYQRTLCVKQGGVRFTMAGSNYFVLVLITNVAGTGSVKAMWVKGSSTDRMPMSRNWGANWQSLAGLAGQALTFGVTNTDGKTIVIPDVVPAWWKFGQSFTSGVQFSD >PAN46997 pep chromosome:PHallii_v3.1:9:12948171:12955281:1 gene:PAHAL_9G202900 transcript:PAN46997 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MDLPESSVSLADEGRAPRRVGPVDREELVRVIEQSLYSLGYRRAAAALEAESGVPLYPPEHDRLLLDVMAGQWDACAETVRSVAGVGDADRAVAEFLLWRAHYLELLGTGGDAGLRRAREVLRRRIAPLGVDRRCVHWLACAMVSCEGAVAPEAVVGWRIAVFLDLVEVLPPWFHVPSGRLEHLVESAVTKQVASCIYHNLPDEITLFQDHKCHEQHIPSKCAQILCGHNDEVWFVRFSNNGDYLASSSSDCTAIIWKVEKDDTLTKKHCLQGHQKPISFVAWSPNDRMLLTCGTGESLKLWNVNTGECNLKFGGSVDYIINSCAWFPNSEKIVCASSEPGSSPNRIFTCNLEGQELEVWAGDRIPKVSDLAVTPDGRHLIFVSCNDIWIRELPKGREWRFREKQAISSLSLSGDGQSLIVNLNSQEIHLWKINEKSTVPEKFKGHKQEKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPIEVLHGHTMIVNCVSWNPARPHMLASASDDHTVRIWLACKTGSTCS >PAN51460 pep chromosome:PHallii_v3.1:9:68874035:68875694:-1 gene:PAHAL_9G565600 transcript:PAN51460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTPPRQVAQQYAWCARTPKSQHLAPMASTVTLEKTTTVQQSGSGGMDVGSPRTVRSPLLMNGRKVGDGEDATDMCCGRRCELVSYDKLPEFLKHNEFIVDYYRSEWPIKEALLSAFSIHNETINVWTHLIGFFVFLAFTVCAATMVPVEYEASHSHLATSTGRLPNITGNAMVLMTSYYSADGAAVAATIKALRNVSVETEAAAALSAPGHRVPRWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFLQALYLGFITASGAAAVAVSLLPVFERPELRWARAGLFACMGMSGLVPIVHKMLVFGDRPEAVLTTGYEVAMGASYLAGVAVYATRVPERWMPGRFDLAGHSHQLFHVLVIAGAYAHYLAGLVYLGWRDMDGC >PAN50611 pep chromosome:PHallii_v3.1:9:66712086:66715750:-1 gene:PAHAL_9G532300 transcript:PAN50611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCHPSEVYELFVRHMNTPRVAVDNGVCETATLVQVHSARKHGVLLEAVAALSDHGVCVRKGYISSDDGRWFMDVFHVTDATGRKVADADALLARLESSLSADALPPRTPPAAAGAAAAAGVHTLLELVGADRPGLLSEVFAVLHDLRCGIVDARAWTHGGRVAALVFVRDEETGAPIDDAARVRRVESRLRHVLRGGARGARTVLADAAAVNLDRRLHQLLNEDGEAGSCADQAEQPTTTAVAVQDWGERGYSVVTVSCCDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRLDGRPISSAAERRRVIQCLQDAIERRASEGVRLELRITDRRGLLAYVTRVFRENSLSVTHAEINTRGDMAMNVFHVTDVAGRPADPKTIDDVIQRIGTESLRVDEERWPRLCSTEGDAGRSGGGAGIFSLGSLVKKNLVSLGLIRSCS >PVH32765 pep chromosome:PHallii_v3.1:9:63258427:63259254:1 gene:PAHAL_9G481700 transcript:PVH32765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYSLGVCSNKLGLLMPRCYAETGFIRKASEERISNSWNKHSSLTQSSQQLKREEEFNKVLHINKVKGTHSISDAFLGTAQKQSMEYWNKHMVPFCLLM >PAN49321 pep chromosome:PHallii_v3.1:9:60584076:60588005:1 gene:PAHAL_9G440800 transcript:PAN49321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPSHPLALLPLLLCLCLASLRAGSAASVTAGTPDGSERWGYVEVRPKAHLFWWYYKSPQRTSAPGKPWPTVLWLQGGPGASGVGLGNFLEVGPLDVNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQQAADATTLLKALVKELPALQSGPLFLVAESYGGKYAATLGASVARAVRAGELKLTLGGVALGDSWISPEDFTLAYTPLLLSVSRLDDNAGDEAKRKAETVTRQIAAGQLASAQGSWTDLLDLISTRSGNVDVYNFLLDSGMDPVSADTPTGSSATASSVQALRYAAYLGGQDSDSNTIDSIMNGVVKEKLKIVPKDLKWVEISQDVYNALVNDFMKPRIDEIDELLSYGVNVTVYNGQLDVICSTNGAEAWVQKLKWDGLKSFLSLPRQSLYCGQSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMISSITQSPAS >PAN50538 pep chromosome:PHallii_v3.1:9:66354273:66359043:-1 gene:PAHAL_9G526400 transcript:PAN50538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSGPPAAPTPPLLLPESSGEDGGHDSSSRAAAAAAATGSAPKRRAETWVREETLCLIALRREMDAHFNTSKSNKHLWEAISARMRDQGFDRSPTMCTDKWRNLLKEFKKARSHARHNGGGSGAGGNGNAKMAYYKEIDDLLKRREKESGSGGCVASGSGAGKSPTSNSKIESYLQFTTDNGFEDANIPFGPVEANGRSILSIDDRLEDIRHPLPLTAADAVATNGVNPWNWRDTSTNGGDNQGTFGGRVILVKWCDYTKRIGIDGTTEAIKEAIKSAFGLRTRRAFWLEDEDEVVRTLDRDMPIGAYTLHLDDGVTIKLCNANRMQTPEDKTFYTEEDFRDFLARRGWTLLREYGGYRNVESLDDLRPGAIYQGMRSLGD >PAN44206 pep chromosome:PHallii_v3.1:9:1427988:1435258:-1 gene:PAHAL_9G025100 transcript:PAN44206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTLPALALSNPSTSTSGAARPRAAAFRCWALRRRGWAAAGAVASPNSVLSEHAFKRLQLGSDDEDDEEGAYGSDADEGMEGFQGDDEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKRLMEDDDGRSTRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQSALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTATSKRTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVHPESTQYFLGAAERLAEELGPHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGYGRGFFSARSVTGFLSDVCSAAADEVGKIYVTADENVQGAVFDLPEEIAKDLLAMDLPAGNTIAKISKLPALQDDGPPSDSYGRFSNDRGSRNRRSRGGGSARGGRGGWDSDGEDRFRRGGRSFRSDNDSWSDDDWSGGGRKSNRSSSFGSRSSSYSSRGSPSFGGRSSSFGGRESNRSFSGACFNCGESGHRASDCPNK >PAN48149 pep chromosome:PHallii_v3.1:9:53073418:53077671:1 gene:PAHAL_9G363300 transcript:PAN48149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAAACLCSRRRRARRLLLAAAAATAGYGLYRLYRHHRRRIVAALSLADAVSQVGSDLAEFLRSDSDQVPRSLLQLSKLAASEPVNSAASSLSESLASGVLRAISSHQHQLRQQQQQNPQTPLQDRILDRLLSPEGAGFASAVVGSFARNLVLSSCNARTDSSAEAGDREEPRWLAALCSATGKEAAADLVRVFVSSAVAAYLDRTAAVRTNDQLLAGLSNPRHEAKVKDLAVSVCNGAVETFLRTSRQLAKEASIARIEAAEMERVAHNSDTNCVIQRVSSTLAVPSNRRFVLDVTGRVTAETVRSFLDFLAQRMSDGARKSIVIARDEVAERGLVAVKYLGAKSMAIFTISLALCMHILMGTRFLLPG >PVH31271 pep chromosome:PHallii_v3.1:9:5858357:5860965:1 gene:PAHAL_9G101000 transcript:PVH31271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSALAPPCVGVGVGFAPSYAPGAAAHPAARRRGPPSTVRCGGGSPGDPDFDKKAFRRDIARGGNYNRRGFGHREETRGRMDLQYTSELIGKVEESGGVYAAERGMVTVRLADKYGFCFGVRDAVQLAYEACQQFPDRRIWLTNQIIHNPTVSKNLKEMGIEIIPVVSGVKDLDVVEEGDVVIFPLFGASVDEMFRLNKKNVQIVDTTCPLVSKVVNMVERHKKGDYTSIIHGKYAHEETIATASFADRYIIMKNMAEARYVCDYILQGQLDGSSSTKEEFLEKVGVVNQTTMLKGETEEIGLLVKKTMVRKYGVESVDKHFIRFDTICNATQRAPRWNSSNTSHLLEIGEHCGIPSYWIDGERRIGTGSKISHKTKDGMVVENENWLPLGPITVGVTSGASTPDKVALQKMFEIKRQELDGASFEQRQR >PAN47171 pep chromosome:PHallii_v3.1:9:16120274:16121437:1 gene:PAHAL_9G239100 transcript:PAN47171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSPILSTLVLAACVALATAQGSPGTATFYGGADGSGTMGGACGYGNLYDSGYGVLNAALSETLFSDGASCGQCYTISCDGSRPGGEYCKPGTSITVSATNLCPANYALPNGGWCGPGRPHFDMAQPAWEHIGVYQAGIIPVVYQQVKCSRGGGVRFSIAGCNYFLLINIQNLGGSGSVGAAWIKGDSTGWIQMSRNWGANWQALAGLVGQGLSFAVTSTGGQYIQFLNVVPAWWQFGETYTTNKNYYY >PAN45069 pep chromosome:PHallii_v3.1:9:5185620:5186886:1 gene:PAHAL_9G090800 transcript:PAN45069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFHGIVKEEEFDFDFDFTGAPAAEASSSSWAVGVPELPRPMEGLGEVGPTPFLTKTYDVVDDPNTDTVVSWGFAGHSFVVWDANAFANVILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKRELLKTIKRRRPPSSPSAQQGQAQPPAACLEVGQFGLEGEVQRLQRDKGILLAEVVKLRQEQQATRAQMQAMEDRITTAEQKQQQMTVFLARAMKNPSFLRMLVDRQGLAGRRRELEDALSKKRRRPIEYLPRDGESSSSAAAGAAVGDYISGLPVGVNGVARPDDDEGRRDDSGGGGEDTESFWVELLKLGLEEKHREGGGEDDGGAGVDNDVDVLVQSIYHLNPNPGSPSGK >PVH30989 pep chromosome:PHallii_v3.1:9:1628978:1630023:-1 gene:PAHAL_9G029100 transcript:PVH30989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAESARLHHVRQCGTQELKFEVSPKDRARHGMRRQTPVKEKETIASTWQYEIYGFRLVGSFTETANPVIYIPDPRSSRVKRGRRQSRRIRNDMDESELRPRIQRCSACNQIGHTYKRCPTNDAGPSYSIRPRYKKYNKNGPGA >PAN50154 pep chromosome:PHallii_v3.1:9:64704776:64707761:-1 gene:PAHAL_9G502300 transcript:PAN50154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial succinate-fumarate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G01340) UniProtKB/Swiss-Prot;Acc:Q9M038] MAASSSSSSLPSPPPPRAASPAEEPRAGGRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRSGAYRGIAHCGATVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPATGKVSAHGRLASGFGAGVIEALLIVTPFEVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGLRGLWSGALPTVMRNGTNQAAMFSAKNTFDIVLWQKHEGDGKVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYTGMVHAIRTIYVEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERSYLQPAHV >PAN46215 pep chromosome:PHallii_v3.1:9:10676844:10678970:-1 gene:PAHAL_9G170800 transcript:PAN46215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRTLCLVFIFLLLILRLIRSYRITSSPTKPSSARRLPPGPWQLPLIGSLHHLLLSRFRDLPHRALREMSAAYGPLMLLRFGSVPTLVVSSAEAASEVMRTHDLAFCSRHLSATLDIISCGGKDILFSPYDGRWRELRKVCVLELFSQRRVLSFRPVREEEVARLVRFISGECGGGQPVNLSDEISRVVNDIVVRTVIGDRCKYRDEYLRELEEAVRLAGGLNLADLYPSSRLVRQFSAAARDMARCQRNMYRVIESIIQERKGTPMAEREEDLLGVLLRLQRNGGLQIALTNELVSTVIFDIFSAGSDTSSNVLEWAMSELVKNPQVLQKAQSEVRETFRGQDKLTEDGMIKLRYLHPVIKETLRLHPPVPLLLPRECRKTCQVMGYDVPKGTKVFVNVWGIARDNKFWGDGEEFRPERFDSGNVDFKGTDFEYTPFGAGRRICPGMMLGLANIELVLASLLYHFDWELPGGAKSEELDMNETFGITVSRKPKLWLKAKPYINSVSTNSKNNQESTVTG >PAN48218 pep chromosome:PHallii_v3.1:9:53652191:53655408:-1 gene:PAHAL_9G368300 transcript:PAN48218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAAAAAAMAACSSIPLPFTTKASPRQRSRVSRPCRVRLPASPFRQSRSPLAACSPASGLRPRASVSASAAPSKDYEFTDGNGEVELRLDIQKLGIESSKDVFVDVDDTSLLIRAKSDGTLRTLLNVQTLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTAGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATEKSIDTWVASEGEDSVADAESVVLESLSSHVRTVVATLGGKQGAASRFDRWQYLHSGFTVWLSVSDASDEATAREEARRSVSSGSVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYIRLGCRGDWPNIEPPGWDPDSDAPPTNV >PAN47145 pep chromosome:PHallii_v3.1:9:15944231:15952972:1 gene:PAHAL_9G236800 transcript:PAN47145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASNFQSRSSGSPWLEPWLASAGFRVATHSGYFSGGLGLSRLKCRLQCSQLWQSTSLLHNQKEKDRYSCIGQSTSYLHVQSLRNFPTEKLRGEVVAVRLDSALLLGHLGTCTFSLERALLTIKYLYKARAKVVIVTSWDTVLQSDNPEIKSIDSFAEYLSSLLQLQVIPVDGAPGLTSFKMEEWVQNNIILFENLLSFRGEVANCNDFSQKLASGATIFVNDSFSLSHKILASTVGITRFCYASLAGFHFEEELTRLIKITDTTRRPYIAIIGGSNFLRKAPALRMLISLCDGLFFVGKLSFQIMNGLGMSVPSQFIERNAIMEVLQIIQVARDRNVPIYYPTDLWCLNNDDSGTLGVINSTGQLDGWTPADIGPSTLEKISSIIPLYKKILWIGPTNYDLAEEFSAGATQLGQILEKASFDSCEVILVGSAACNTLRQNMDSSSRYIEFQNATVVWEFLKGRILPGIAALDKHYPYRIPWSSVFCDPTLPLVVDIGSGNGLFLFQMAKSYESSNFLGLEMNEKLVIRCLEDMASDEKRNLYFVSTNATSTFHSIVSSYPGRLTLVTIQCPNPDFNKEQNRWRMVRRMLVEAITDLLRTNGQVYLQSDVESVLLGMKEQFLSYGKGQLVVDGDDGGHRMENPFGVVSDWERHVLARGAPMYRTMLRKV >PAN50743 pep chromosome:PHallii_v3.1:9:67392738:67394930:-1 gene:PAHAL_9G542900 transcript:PAN50743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIPEPEAAMAVPEPSSCLTLRVFYLRVSRCEVDESLLDTLTLTHIPLTPDTELEVSGAGQKPSISSGKAGSTVSCSLRRDRVDAKSEEATFVSTGTVRMSGSVRFEVHNRDERLLVGILEMCDAEGKGKKSWVMKCQVATQRGSGFLRCSRDTEMKPPAVEVYVAGVFRGTPIVFTKAMQLRFRRRRQVKAFMDPIPECAEQAEDMSQTPPKQEPQESEYRCYKPDPDADDDHEDSLCKRPAGLDEDEEYSELSWFTAGVRVGVGISLGICLGVGIGAGLLFRSYQSTSRTLKRRLISNLLG >PAN50632 pep chromosome:PHallii_v3.1:9:66850665:66854947:-1 gene:PAHAL_9G534100 transcript:PAN50632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRPPHTTFPRTSPWLRWGRLGGAAAPHCLSAAAPALAPETAAGPGSVSFPILVNGCTGNMGLSVAEAAVRRGLHLVPVSFSSREKVKRTIQVGQTDIRLYGPSAREDVLSSVADEFPDVIVVDYTAPDSVNSNAELYCKLGLPFVMGTTGGNQQLLYKSVQDSKNYALISPQMGKQVVAFLAIMRIFAEQFPGAFSGYHLEVLESHQASKKDTSGTAKDVIASFEKLGVPYDMNRMVKIRDPDQQLEMVGVPKEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTIDAAIFLHRKVQSKDSKRIYDMEDVLREGYMR >PVH33003 pep chromosome:PHallii_v3.1:9:67580874:67583033:-1 gene:PAHAL_9G545400 transcript:PVH33003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQQGRWQSRIGRVAGNKDLYLGTFTTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMESSTLLPVEEARKVKAIEATNGVPMMHNNGSRELNPAEETSADWRMVLHGSSQEAVHCPEAVDPQKGIMTHSSLHGIVGLDVSCVAHDHHLDVPGKSGGVNFSNSSSLVTSLGNSREGSPERLGLAMMYGKQQPHAVSLAAMSPWMPMPAPAAQHVASHLPVFAAWADA >PVH33332 pep chromosome:PHallii_v3.1:9:72855402:72883044:-1 gene:PAHAL_9G629300 transcript:PVH33332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAEANWERLVRAALRGERFAGVYGQPVTGIAGNVPSSLGNNVHIEEVLRAADEIQDEDPTVARILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSQDIAKLQEFYKLYREKHKVDELIEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDMTKEISPEDAKNLISEEMKKVMQKDAARTEDVVPYNIIPLDALSSTTNAIVTFPEVRAAISTLQYHRDLPRLPGTFSVPDARNSDMLDLLQCIFGFQEGNVKNQREHIVHLLANEQSRVGKPSGNEPKIDEGAVHAVFSKALDNYIKWCSYLPLRPVWNNTDPLTKEKKLLYVCLYYLMWGEAANVRFLPEGLCYIFHHLARELEEILRKQTAEPAESCPNGSVSFLENVISPLYDIIAAEAANNRNGRAPHSAWRNYDDFNEFFWSLKCFHLGWPWKLDNPFFSKPSKKEKGMFGRGHHYGKTSFVEHRTFLHLYHSFHRLWMFLIMMFQGLTIIAFNNGSFDMKTVLQLLSLGPTYVAMKFIESLLDILMMYGAYSTSRGSAITRVLWRFCWFTVASLTICYLYVKALQDGTQSATFKIYVFVISAYAGVQIILSLLMSVPCCHGLTEACYRWSAVRLVKWMHQENNYVGRGMHESPLDYIKYVAFWIAILGAKFSFTYFLQIKPLVKPTRAVINFKGLQYAWHDFVSKNNHNAITILSLWAPVVSIYLLDIHVFYTVMSAIYGFLLGARDRLGEISSVEAVHRFFEKFPGAFMDKLHVAVPKRKQLLSSSQLTELNKFDASRFSPFWNEIVRNLREEDYISNAELELLLMPKNDGDLPIVQWPLFLLASKVFLAKDIAADCKDSQEELWLRISKDEYMQYAVVECFHSVYHILTSILEKEGRLWVERIYGGIQESISKKNIQSDLHFSKLHIVIAKLVAVLGILRGTTESSDMKKGAVNAIQDLYEVVHHEVFSVDIRDYLDEWTQINRARAEGRLFNNLKWPKDPVLKDLIKRLYSLLTIKESAASVPKNLEARRRLQFFTNSLFMQMPVARPASEMFSFSVFTPYYSEIVLYSMDELQKKNEDGITTLFYLQKIYPDEWKNFLTRINRDENAADSELFGNPNDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERIQSEDRESTFASAGSADTHFELSPEARAQADLKFTYVLTCQIYGKQKGEGKPEAADIALLMQRNEALRVAYIDEVESVKNGKPSTEYYSRLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSLKRGKHYPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGSITHHEYIQVGKGRDVGLNQIAVFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLALSGVGESIQNRADILQNTALNAALNTQFLFQIGVFTAVPMILGFILESGVLTAFVQFITMQFQLCSVFFTFSLGTRTHYFGRAILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGMEVALLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELQHIYTIRGRILETILSLRFFIFQYGVVYHMDASDDSTALLVYWISWAVLGGLLVLLLVFGLNPKAMVHFQLFLRLVKSIALLMVLAGLIVAIVFTNLSVTDVFASILAFVPTGWGILSIAVAWKPVVKKLGLWKTMRSLARLYDAGMGMIIFVPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGM >PAN48901 pep chromosome:PHallii_v3.1:9:58076273:58080967:1 gene:PAHAL_9G411800 transcript:PAN48901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPTSRISISIVTLSTLLLSTSDAFSSDEHGNGSDIDLSTLLTFKAQLSDPTGILAKNWTNETSFCHWLGVSCSHHHRQRVVALELPDLPLHGEVIPHLGNLSFLSVLNLTNTGLTGSIPPDPGRLRRLQYLVLGDNSLSSTIPSAIGNLTSLRVLVLQNNSISGEIPEELQSLRNIRHIDFQMNLLTGSIPMDLFNNTPWLNYLRLDNNSLSGMIPHRIGSLPVLQVLGLQANMLLGQVPPSIFNMSMLQLLYLGNNHLIGPIPGNTSVSLPMLEIMTLHMNNFTGKIPAGLSQCQNPEVLSLSVNSFEGMIHTWLAKLPALAVIYLADNKLHGPIPAALSNLTNLVQLDLSYGNLSGKIPHGFEQLHKLKLLSLQQNQLSGPFPSFTSNLSELSFLWLDGNLLTGSVPMTLGSTGNLLSVILDENNLDGNLNFLASLSNCRQLSQLGIGENHFTGAIPDSVGNLSRELTWLVADRNNLVGKLPPSICNLSSLTWIDFSGNQLRGTIPKTMMVMGKLVIMYLQRNHFFGTIPAELRVLRNLERLDFHDNQLSGPIPDDLGNLSKLLYLDVSQNQLSSSIPVSIFHVKGLVQLDLHQNMLSGVLPEKIGTLLQINIIDVSSNHLNGSLPDSLGQLQTLTFLNLSNNFLNNAIPESFSKLASLKSLDLSHNEISGTIPAYLTQFQDLTGLNLSFNKLYGRIPEGGIFTNITFKSLMGNSGLCGASLLGFLPCPSNYHSTKNRHNLKFWIIGVVTLVGVGVICMYMIIRKWKRKLVADSISVVDIKDHRLLVSYHEIVRATDNFSESNLLGSGIVGKVYKGQLSDGMMVAIKVLNMQLEQAITSFDAECNVLRMARHRNLIRILSTCSNQDFKALILEYMPNGNLETYLLPETKQCLGFLKRLDIMLDVSLAMEYLHYGHCKVVIHCDLKPTNILLDENMTAHVADFGIAKLIFGDEHSVTASSLGTIGYMAPEYGSNGRASTRSDVFSYGIMLLEVFTGKKPTDAMFMGGLNVRQWVNEAFPQRLINVVDVYLLQDLPHPMDKFLVPIFDLGLLCTSDVPDNRLTMSDVVVTLNKIKKDYVCSTTIKI >PAN51435 pep chromosome:PHallii_v3.1:9:70592695:70596645:-1 gene:PAHAL_9G594200 transcript:PAN51435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGVPASGHSPARAEAPGSPVSPLEAAGADYERVRAARIRENMERMQKLGILDLAQTLTNSSFAAAAGSGGGTGRGRWRRKPVETGSAGAPPPKVKPAPRAPSRRSLRLKNVEPVSYCEIWSKKERDPQGGRTELLEEGAKEEIYTEEHEKLLGTCNTPWTLFVDGCGKDGKRIYDQVRGQTCHQCRQKTLGHHTSCCKCQIVQGQFCGDCLYMRYGENVLEAKKNPNWICPVCRGICNCSICRTKKGWFPTGCVYRKVVKLGYKSVAHYLIATQRASANSEDSSSADFSNKQLSESEISSVSDHDATASKESLEDGETSSKAKQTKANRRHVKNSDGYKDDSRSESVVTSDSHNGQANKDAGCVTPSSKPTSRKRKYERSPDCVASRLRSQSNKP >PAN50780 pep chromosome:PHallii_v3.1:9:67641572:67642513:1 gene:PAHAL_9G545700 transcript:PAN50780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKQQLQAPTLLLVAALLFLVCAASVQAARPAPGSKDHMPQLAVSATVVGDEKSGSVPGMEMHEAEPEAMEECEGEECLMRRTLVAHTDYIYTQGKHN >PAN50787 pep chromosome:PHallii_v3.1:9:67673634:67675056:-1 gene:PAHAL_9G546300 transcript:PAN50787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFTLPGLLSALLLLLLPASLDHLPASQQREAEGGIGGGLHPIVLIPGVTCPDLEARLTEAYRPSTPRCGRMTGEGWFGLWTNRTWEMDPERAACFVDQMRLVYDPVLGEFRDLPGVETRVPGFGSARGFSSKDPPHPEYCLGALKSALERLGYHLRYAPPLPGQTSRVYSRYFRGLARLIEDASRRNNGKPAIVLGHSFGGGVALEFVRNTPLPWRDRFVKHVITVAPTWSGGGYVKSLMAVASGPVGLLFVPSAPQLAMRWMWRTFETAIVNLPSPAVFGRRPLVITRHRNYSAYDIADLLVAVGSADGVRPFRERELSKMEYFEAPMVPLTYINGVGIPTAEQLIYWDDDFDRLPEVVYGDGDDTINLASMLAFEEKVGKRPGQMERFKSIKLAGVRHSALVTDVGALSIIMNEIVEANR >PAN45918 pep chromosome:PHallii_v3.1:9:9248732:9249202:-1 gene:PAHAL_9G150300 transcript:PAN45918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNAAFALEIAVVAGLVVLIVVIVVASSGACRDPAAGAGRAPAVHDVESALGADTLVTYDQARAAMKGRRASSSPSAEGKDEAPPSCALCLSEYAGGDELVRVVPACGHFFHAECGVDGWLRTRGTCPYCRAVLRPLPWPECPPMAAPRWAGSNG >PAN49541 pep chromosome:PHallii_v3.1:9:62133842:62137227:-1 gene:PAHAL_9G465800 transcript:PAN49541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEQRKQMVGSERDQELERRQGEEEKEKEAAKKPAPGEAEAGDGDGADAEAATCDYCGAATAAVYCRADAARLCLPCDRHVHGANGVCSRHARAALCADCRAAGAVFRRASSAAFLCSNCDFGRHRDGSGGEPALHDRCAVQPYSGCPPATELAALLGVPLFDKPAAEDGGWWNIWEEPQVLSLEDLIVPTTPCHGFQPLLTPSSPKNRSISADEKMNEEILRQLGELAESDGGVQAAAGHEEAEQAGDQFPSWAPPPYTTGHGNFGAENSHEVATMPTPRYENGSWNNNDYHVLNDACKVEHVYDQAPVSSAEACLSSFVPMSEICPSISNGSSMEENHQTNPGLGTPTQAFPKRTGFDVVPCPDRGSVISRYKEKRKTRRFDRQVRYESRKVRADGRLRIKGRFAKANQT >PAN43968 pep chromosome:PHallii_v3.1:9:503480:505417:1 gene:PAHAL_9G007500 transcript:PAN43968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLRSGGGGGGSGFGGAAWEVLRRHFSRKRSVDVRRINPKVPKEEAVAISGRLLQILSDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRVVKLTCVHVGNAKKFLYAPYTESSEEIKEGSASSARAEDNKASAQGGKGRAARGQPKKQAAALP >PVH33140 pep chromosome:PHallii_v3.1:9:69602971:69605415:-1 gene:PAHAL_9G577100 transcript:PVH33140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGRRRRAVMAGVVTPRQRAESKQLPLVPLLPFFPVGRGAAPAAATSWGADGIPALASPLATRSRTPAANPPTPSLRSCRSHRLRPAGRELLQAAPPRLRRGLALPVFLIVPEAHDELTKETLQLARKNLEKEQRILELRNQVQHCHLHLMKKDRLTDLERQKDDIMRFYSPAALLDKLQTSMAKLDVDEESKELHQSTRSSARVPQAGVAPSRRRDIRHTAADTRGPSCWTDDRCRLCCILARSFLFYPLRGPVSERSLL >PVH33141 pep chromosome:PHallii_v3.1:9:69602987:69605415:-1 gene:PAHAL_9G577100 transcript:PVH33141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGRRRRAVMAGVVTPRQRAESKQLPLVPLLPFFPVGRGAAPAAATSWGADGIPALASPLATRSRTPAANPPTPSLRSCRSHRLRPAGRELLQAAPPRLRRGLALPVFLIVPEAHDELTKETLQLARKNLEKEQRILELRNQVQHCHLHLMKKDRLTDLERQKDDIMRFYSPAALLDKLQSSINGKAGRGRGVEGAAPEYKKLRTGTASRRCSISPARHPSHRRRYPWAFVLDRRSMSTLLYTCSLILVLPSAWPCF >PVH30892 pep chromosome:PHallii_v3.1:9:535240:535512:-1 gene:PAHAL_9G008300 transcript:PVH30892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGPWFHSWPVPATREGCKYFIYQRARNSARARGNRKNPIYGSLLGSIHSTYCLKENFAAGV >PVH31110 pep chromosome:PHallii_v3.1:9:3434729:3438642:1 gene:PAHAL_9G060200 transcript:PVH31110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADGDDDEGFLLALDAAEAAALDSSKRRRLSTTSSPTPATPPAASEGSYLSALKGSHSSAWQQQQQQALTYAHKRPDGSKTLATGTGGTQVASGSCFKCGDPGHWARECPQSVPTIGGGGVIGGGAGGGYVNAGEEVEEKACPCGAGSCLVLTSNTPRNPGRKFYKCPMRDNGGCNFFEWCDAPSPGPANARSNPVFQSETSATDMLCPCGAGACLVLTTKTGKNVGRQFYRCPANQGGGSCGFFKWCDDQQPRVGAPPQASLQYQTDAMSSVQNSSKRSSSSCFKCGQENHWARDCPNQSLDPYPDKGGRPITSVSSPDGCFKCGKTGHWSRDCPTSNSGGGGAGARHAKSSSALGSWNSQRY >PAN50654 pep chromosome:PHallii_v3.1:9:66933347:66935979:1 gene:PAHAL_9G535200 transcript:PAN50654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRLLDGVHYEVQATDPSEMGFDVIRGRAQ >PAN47067 pep chromosome:PHallii_v3.1:9:15251963:15256638:-1 gene:PAHAL_9G231500 transcript:PAN47067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPPTITIQVKFAGRTIPVEVPAAATTAELKRLLQPLTNVLPRGQRLVCKGKVLQDAASLSSMQVVDGSKVMLIASQGLHQGDGPITKNSSSSATSVARTLNVKERQTQKPEAVVGKSRAERWKLTGVVALHDCDLKAVPEEVWDCGPSVRILDASNNCIKEIPHKIAALKSLNKLLLTANDIDDGNISWEGLSCLQKLLNLSLSQNRLVSLPSTLGSLTSLRELRIASNRLDNLPIEIGSLKHLQILIANNNRIATLPLSIGDCESLTEVDFSSNLLTELPEAFGKLYNLKVLHLRNNGLTSLPSTLFKKCMQLITLDLHGTEITNDILRQVEGWEEFDERRRQKHQKQLDFRVGSSGVFDEGADDDNRRR >PVH33142 pep chromosome:PHallii_v3.1:9:69617556:69618104:1 gene:PAHAL_9G577300 transcript:PVH33142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEEVLGKIINHEMMESEAKYVKGLSKGTSTSKGQDIALKANKKEKSKKVVQESSSSDNDSDSSSLDDDDMALLMKNFSKLMRNRNYKGNKRHESSKRRIKRNCYNCGKSGHFIANCPYEKKEDKEEKRKDNKKKKYLTKDKKFFKKKQSGEAHLGKEWDSDDESSSSDEEKVATLVAEPI >PVH31840 pep chromosome:PHallii_v3.1:9:16743820:16747909:1 gene:PAHAL_9G245600 transcript:PVH31840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARLTAALTRGALPPPCRRALCSGAPLGAELDAAVRDVVCSGSGGLDEVGSRLDRLGVAVSPALVGRVIESCGESGGVGSGRRLLRFLAWCRSKDPGALGDEALDRAIGVLARVGDLTAMRIAISDAEKDGRRMAPETFTAVVEALVDAGKEDEAVRLFRGLERQKLLPERGASAGGDGVWSSSLAMVQALCKRGHAREAQGVVWHHKSELSVEPMVSIVERSLLHGWCVHGNAKEARRVLDEMKSSGVPLGLPSFNDFLHCVCHRNLKFNPSALVPEAMDVLTEMRSCGVAPAASSFNILLSCLGRARRVKEAYRILYLMREGKAGCSPDWVGYYLVVRVLYLTGRIIRGKRLVDAMLESGVLPTAKFFHGLIGVLCGTEQVDHALDMFRLMKSCGLVDTCIYDLLIEKLCRNGRFQLGRELWDDATKSGLVLGCSEDLLDPLKTEVFFKYMLDLMDTSQEQEQDFGVLLKQGAEARVFVSTFVGRKCVIKERFSKKYRHPLLDAKLTLKRLNAEARCMTKARRLGVPTPVLYAVDPLLHTLTFEFVDGLSVKDILLGFGSNGVNEERLNDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKSSNNQLVLIDFGLSFTSTIPEDKAVDLYVLERALISMHSSCGDVMEKIMTAYRKASKQWCSTQNKLAQVRQRGRKRTMVG >PAN51672 pep chromosome:PHallii_v3.1:9:71696873:71698203:-1 gene:PAHAL_9G611700 transcript:PAN51672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFTAAGTCLSSPAPPRPTAGTAPHLVRIPPLTSHGRRRPCRARLLRPLRAVPTTPPPAPSSRPARDRVIEFGKYKGQMLGTLPPPYLRWVAAELDYGDTAPWAGLARDVLDDPVYVDRVEWEHAHRFLRGDADYDFDYDDGDGPLQEMAERFGWDLSDEEGWSRLDLRLIGTSYGGRIPRKGARKQSTSTSRSRSRSRSRGNDNNCGAKGSLFDVGADPDGARGKRDERRERMRMRREDQVRTAKMEMLGVNAGVQDTGVPVSSPRKAQIRTAKNEILGLGRGTRAGGVLDEKRAAGKDGQGANPFPGRQAFLDKVRKLKGDDS >PVH32107 pep chromosome:PHallii_v3.1:9:37615959:37616820:-1 gene:PAHAL_9G316100 transcript:PVH32107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDTRCPVCWRLDEDGVIASSNAREQRRPVANIIHKARSVLVEVVDESEAKNNSEPTNRCRRWSAPQPGTWKINVDGAFWEKERKGSLGFVARDDQGNAAIAGAGSLDVVMDALCSEAHACIAGLHAAADQADAVFVPRSCNFVAHELARFGCCRDPDHPAVWVNPLPSFVNNLLVRDSTELGVI >PAN50926 pep chromosome:PHallii_v3.1:9:68395981:68400769:-1 gene:PAHAL_9G557500 transcript:PAN50926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWLLLLALICLHAAPRWASAEQPEEATVIVKGSTKIAETDENYVCATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTESPCSPFRNMSNGLFGFSVGCLGMDRWDKLNDLFQKTGAIVTFGVNALYGRYNVRRSIWAGKWNSTNAYDFVKYTISKGYPVGSWEFGNELSGHGIGAKVDAKLYGKDVIEFKSILRQLYKAPLSQPLLLAPGGFFDQQWYSQLLQTSGHGVVNALTHHVYNLGGGDDIHLIRKILDPKYLDRAEDTYRDMQLTIQRHGTWASAWVSESGGVFNNGGPLVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGNYGLLDTQTFLPNPDYYSALLWHQLMGNGVLSIDVIAPRRLRAYAHCRRQQQGITLLLINLSNTTGYNVTLQNDINVSLGKRPDLEKRSSFTQRLRKAVSWLGSKPSSDTKKREEYHLTAKDGNLQSKTMLLNGVPLELGDDGSVPAMNPVLVAVDSPLYLAPTSIAFVVLPKFEAKACS >PAN47233 pep chromosome:PHallii_v3.1:9:16559566:16560672:1 gene:PAHAL_9G243600 transcript:PAN47233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPAPPCTTADVCTTTRSAIVAGTVTGHHLLDVEGYSRTKELFPNGTYTNSLPFTVGGRSWHISFYPNGRNPGSADFVSIFLGLEIDQICAEPVAARVQFSLIDRARKPVPSHSRATELHALFHSTDGFAFGFPQFIKRADLENSEHLKDDRFTIRCDITIAKKLRKERRRALSRFVDVPPSDLHQHLGELLASKEGADVTFHVTGETFQAHRGVLASRSPVFRAELFGPMTEGGAAAVVEIDDMDGQAFRALLGFVYTDALPEDMTPEEEAVMCQHLLVAADRYGMERLKLVCEDRLCRHVTVGSAATILALAEQHRCHGLKEACFQFLESPAVLNAVAAAEGFEHLARSCPSLVKDLIFKITDC >PVH32287 pep chromosome:PHallii_v3.1:9:53012590:53013144:-1 gene:PAHAL_9G362000 transcript:PVH32287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSRRSEGGPTAAPLFSRIHTSLISVWRAISQAQVEVRPQWENGAPNNASSQTKNYEITPFILG >PAN45052 pep chromosome:PHallii_v3.1:9:5138920:5139845:-1 gene:PAHAL_9G089800 transcript:PAN45052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAVSCECCGLEEECTGEYTAGVRAYFGGRWLCGLCSESVKYEAGKCAGGAAPGVEEAVRAHMAICRTLKSGGPAGRVADGMRQMLRTASWKKAAAAAPSRSAGGHHRSSPLSIGL >PVH32014 pep chromosome:PHallii_v3.1:9:30833499:30834892:-1 gene:PAHAL_9G291000 transcript:PVH32014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRACGESGPARAGADAREVSGLPLVYAPSPPYPFVTLTAAAAFSFMLLSVLGSLFYWDWIRVLALPLALLPVVTRNPLPLL >PVH32536 pep chromosome:PHallii_v3.1:9:58822125:58838901:1 gene:PAHAL_9G422400 transcript:PVH32536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWIPGTQYCALRMLAIRGLSRTAAARTDKIAALKLRRRLSGFVAGANPGYSRPSDVDNFAVLFQNCADVRSLKKLHARVLTLGLGRDVNLGSEILIRYASLGVLPKTSLPFQGFLNDDLAQWSSAMVGIFRAGYPEEAILLYRGLKLRQIGLDEKTITFGLKSCAELRNLLLGKGMHADSLKLGLSRDKFVGSSLVGLYSKLASMADSHKVFEEILDKDIVSYTSMITGYSENMDSTSWNAFEIASDMLRSNLEVNRVTLVSLLQVAGNLGAIREGKSVHSYSIRKGIGVSDEVLETSLVHMYTRCGACQLASAVLKNSMQSVASWNALLAGLVRTGQSGNAIHHFSAMLHEHKAIPDSVTYANVISACAELRNSGCAASVHAYLIRRSIPQDVVLATALIEVYFKCTRIMKSRHLFDQLMVKDVVSYNAMIYGYLQSGMANEAITLLKEMMAECVAPNSLTVLSLLAAIADHKDFVRGRWIHGFAIRHGFCSDVDIANQIIRMYSGCGKIAAARIVFASLEKKNLISWTAMMMGCLFCGHGGETVRLLQLMQQHGEKPDSVTVMAAAQAASELGHLKGVKQIHCFVYRALLEKDTKTVNSLITAYAKCGRLDLSVGLFLSLENRDLDSWNAMISAYGMHGFYIKVLEMFKLMEEGNINPDGLTFSSVLSACSHAGLVKEGLRIFQSMTSMYSVLPQEEHYGCIVDLLSRAGHLKEGYKLIKLSTLNDRSSVLCALLSACRTHGNTMLGQIISNELLELEQNPGTYALISEVFAQRGQWNKSANIRNIAKESGLRKLPGSSFIESVEKANNLR >PAN44972 pep chromosome:PHallii_v3.1:9:5356258:5360403:1 gene:PAHAL_9G093500 transcript:PAN44972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVKLVPRDQILSARKHGSGGGSDSDSSGGKRRAKQSKGGRDKREKGKRRRSRRRRYSSEDESGSDTDDSIGEEEEEDVSRSKRRGKHRRRRHNFSDDDSESSVSDRGRARGKEKQKGDGDDDEDEEEDAGGEGLRASEVVRREMGLEWMLKSASSSRAEGTSVRKADNDEKDEAAHEEIARLNPRELNPYLKDNGTGYPEESTPSNAGNQLLASSVVGDGGASWRLKALKRAKEQAARDGRKLEEVVEERWGSLGDLAVSVSASRAAHSHAHLHAIRGRKSGNADNVEEHAKGTPEGRQGGDSGRHGYLQDVSSQRYAMRKPKPDSVPWKRRRQNISSEDQELISSAVASLNKFSDDGSFMEKISNHNKNTHVSTASASATADEQRDNEQKHFKESSQKAPLVSTQKLNTNQLAAKILQLRMKGKTEEAEQLSREMEALLENQDTVPEERSHGKGRSSIRDTLKPSAADHRRREENADLHLANKIMHNKQYSMSKSIEDEYDFGDAPSKKDKRKNKGAHEERRSTNRHMLTQKERCLYCFENPSRPKHLVVAIGNFTYLMLPQFEPVVPGHCIILPLQHESATRTIDQNVWGEIRNFKKCLLKMFAQQDKDLVFMETVISLSRQRRHCMIECIPIPCDVSSNAPMYFKKAIDEAEEEWTQHEMKKVIPTSASRNLRQAIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLQLPEEDMHRRRRHESMDNQKQAVASFMKDWEPFDWTKQLD >PAN47827 pep chromosome:PHallii_v3.1:9:47058757:47059492:-1 gene:PAHAL_9G341300 transcript:PAN47827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRCDYFSWQREYLDMLVSLKIIQIHAIVDGEPIGAPGNASREASDLVSGRLERNAMEEKVDSLIRAIKMLVVVMVVGAVLAIMYQLK >PAN50105 pep chromosome:PHallii_v3.1:9:64470328:64473839:-1 gene:PAHAL_9G498400 transcript:PAN50105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit 4-like protein (26S proteasome subunit AtRPT2a) [Source: Projected from Oryza sativa (Os03g0298400)] MGQGTPGGMGKQGGLPGDRKPGDGGKKEKKYEPPAAPSRVGRRQKRQKGSEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVASQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGVMSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDVKTRRRIFQIHTSKMTLADDVNLEEFIMSKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKEKVMFKKKEGVPEGLYM >PVH32454 pep chromosome:PHallii_v3.1:9:56922229:56923069:-1 gene:PAHAL_9G399100 transcript:PVH32454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPATTVYGRGHSHHHSLSRRPRTTEGCQSVRSARCPTGRSHPDGRRERATGRDTRSHASSWPTGSANDHGSHCSQDCTTARLRDTERGRGGRERYPML >PAN48745 pep chromosome:PHallii_v3.1:9:57125979:57128230:1 gene:PAHAL_9G402100 transcript:PAN48745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTPAHAHGGVEDSERARGGGGGAAWVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPALDAAAAAAAAAAGAGKGLVLSFEDRAGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVLFSRGAGQGPARARLFIDFRRRRQDLAFLQPPLASAQRFMPLSSVPICPWQDYSAYGASVPAPSSRHVFFLRPQQVPAAVVLTSVPVSVAASTVEATRSKRVRLFGVNLDCPQDGEDDGARVTRTASTLLQLPSPSSSTSSSTAGKDACSLDLGL >PAN48554 pep chromosome:PHallii_v3.1:9:56132479:56133505:1 gene:PAHAL_9G389900 transcript:PAN48554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYGGSPRPRTTSVPSRRPCTAATASRAGSGAFVYDGMRATPLSASAANFTRCIRKAASFAHKKPPPSADAPPPRRTLSSKENSGGAGAASTPDARALLMPPRRSMPEPGAAARGPWEPTRRRRSSSSAGTTADDAGAAKGSAGALREVMAPRRKEEPEKEEAAHRARVLTARLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLPLLASWEPLSKPHADATAELGRVLATACTGLPLAAGAQADSESLREAVSSCAGTVDEIEAIIGTFRATVRAGSS >PAN48553 pep chromosome:PHallii_v3.1:9:56131000:56134522:1 gene:PAHAL_9G389900 transcript:PAN48553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYGGSPRPRTTSVPSRRPCTAATASRAGSGAFVYDGMRATPLSASAANFTRCIRKAASFAHKKPPPSADAPPPRRTLSSKENSGGAGAASTPDARALLMPPRRSMPEPGAAARGPWEPTRRRRSSSSAGTTADDAGAAKGSAGALREVMAPRRKEEPEKEEAAHRARVLTARLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLPLLASWEPLSKPHADATAELGRVLATACTGLPLAAGAQADSESLREAVSSCAGTVDEIEAIIGTFRATAGATSGALGELARTIQQEVECLEEATRLSSIVTGLQMREVSLRANLIQAKQRLAGMGSASGPAVLAAPAFAAPGWCF >PVH32557 pep chromosome:PHallii_v3.1:9:59347314:59348737:-1 gene:PAHAL_9G427200 transcript:PVH32557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVCTVTPATTLPRAGSARERSAWGSEKPGRASASKSWTKDKLVARTSSSVPGRASLSDNWIRDKAARKEIGIDHVERSPSREIISQIGGKAEKEEISDERAEQSPSREIGAKRVLSRAPLVEVERS >PAN44474 pep chromosome:PHallii_v3.1:9:2590309:2591303:1 gene:PAHAL_9G046200 transcript:PAN44474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRRFALAVLCVAVALHAASAGRTVPAGGSGGAPTATAATLPAAVAAAGAKNGGATDAGVADKKNLFVGVGGMGNFPGFPAVGAGYGGGFGNNGGGVFSGVTGPLGGVGSGVGGVGPLGGVGGFGPLGGGGGIPFGGFGGGGAPAFGGYGGGAGGGAGGVTP >PAN49408 pep chromosome:PHallii_v3.1:9:60973585:60976763:-1 gene:PAHAL_9G447300 transcript:PAN49408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSNISVTPGSGGSSSDGNGGSSVHTSSRRRRLMSSSPTGTQLAAADGGGSGSSTPSSSSTGPDLEPHSRHPEDSPPNQVRRLLLISPVHSPAATDDDVLIMDGVLVDNGAGTPSSARRSVSFVDNNGPSNRRSVSNSDLVLVSSGSQRYIGAGSSGGSGGRRSCSSGQIPQREEPRVTQAQRNRHSEVGSQNRRRQHQGAGPSNINQGQEFINPLSMNQGQEFINPFSLPHYTSPYYGQWLPYYTYGPPMVSIHPAGLYGGLFDIRRHPPSGVNITHPDGTPLQLPRPPPPPPPPRVSTVVIAPPPAPEQPETQPPPPAPEQPETPPPPPPQEPSAQTFCWPPTAEETAAIEAVLYGPSTSGWNRLPVFKEICPDDDAKQALPPPPPPPAAAPPCP >PAN49409 pep chromosome:PHallii_v3.1:9:60973585:60978147:-1 gene:PAHAL_9G447300 transcript:PAN49409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTDYRDLCGLPPSCDVLHRSPSSPSDFVDSSENGMDPSNISVTPGSGGSSSDGNGGSSVHTSSRRRRLMSSSPTGTQLAAADGGGSGSSTPSSSSTGPDLEPHSRHPEDSPPNQVRRLLLISPVHSPAATDDDVLIMDGVLVDNGAGTPSSARRSVSFVDNNGPSNRRSVSNSDLVLVSSGSQRYIGAGSSGGSGGRRSCSSGQIPQREEPRVTQAQRNRHSEVGSQNRRRQHQGAGPSNINQGQEFINPLSMNQGQEFINPFSLPHYTSPYYGQWLPYYTYGPPMVSIHPAGLYGGLFDIRRHPPSGVNITHPDGTPLQLPRPPPPPPPPRVSTVVIAPPPAPEQPETQPPPPAPEQPETPPPPPPQEPSAQTFCWPPTAEETAAIEAVLYGPSTSGWNRLPVFKEICPDDDAKQALPPPPPPPAAAPPCP >PVH31612 pep chromosome:PHallii_v3.1:9:12251618:12252148:-1 gene:PAHAL_9G191600 transcript:PVH31612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLPIRRIITLVFCSASLLPSCSSDSSLLPNKPLTVGSTLISDDGTFALGFFSPSSSGGNHYYVGIWYNSIPKDNVVWVANRATPVIDPSSATLALTDRSNLVLSSTDGQLLCMANVSAPGNLASSENVTGEATLDNTGNFVVRTSEGAVLWQSFDHPTDTLLPGMNLKSHPQQT >PAN50106 pep chromosome:PHallii_v3.1:9:64474200:64477092:-1 gene:PAHAL_9G498500 transcript:PAN50106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTADAAAATAAMEKPPDAEKPDYAPYNGNSTADGGGGARTRGGGGGGGGGGGGGVVDLVVARWRREDMLDKSPLALHAAAAAFAFVALVLVASNQHGDWMQFDRYQEYKYLLAIAALAFLYSLAQAARHALRMRGGVDPVATPSGRLLDFVGDQAVAYLLMSALSAAVPITNRMRSAVVNNFTDATAAAISMAFFAFVALALSAVVSGYKLSKQTYM >PAN51504 pep chromosome:PHallii_v3.1:9:70943248:70944369:1 gene:PAHAL_9G599000 transcript:PAN51504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTARTYVDFVPPHSLREEPDKVALRVDLSAEGFRKEQIRVQIDNFGRLWIAGERPLDADGRRWRRFHKEFQVPDTCDAAAIRARLDKDGILLITMPKLSAAAAAAEEPKSPGADMGGDAAAGQDHDPASHASAQQAGTAAEEEKGQKEEDAGAAMDRPGQDDEHHTSSDNAAAAPAARQPAAYGFAKDRRRMLLAIFAVMLALVGAGLLARYRLTMDQSAETAPSGNSIVSLSDS >PAN46808 pep chromosome:PHallii_v3.1:9:13983037:13986388:1 gene:PAHAL_9G216200 transcript:PAN46808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRQLHLQARRQCAPHPPLELPSLLYLFRSLSILPPEASTMLPRRSPPVRVQLPQGSPCSSSGPLGSGFRIDVVDSDQWPASLGFLAEPVRGSEFTDDFQQHEGDEVHDSDDEIDDMRHRKKLFYKLDRGSREFEENNVPLRRSRKREKANAKNSKECKNVEPIKSVSANVPKLKAKRAAREDDMVEVKRERVPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVTSRVVAVAHSISKDMKFDLGSRKGKGMKACAAVGAVLAKRALEDDIHNAVYTPRKGDRVEGKIEVVLHAIIDNGVDVKVKLKQRKLIKNTLVVQQDQPP >PAN47156 pep chromosome:PHallii_v3.1:9:16031917:16033957:1 gene:PAHAL_9G238100 transcript:PAN47156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKALLAVAVAVLVVVLAKFKSLLAAKPKLNLPPGPWTLPLIGSLHHLVTSPSIYRAMRDLARKHGPLMMLRLGEVPTLVVSSPEAARAVMKTHDITFADRYLNATIAVLTYDGTDLVFGTYGERWRQLRKITVLELLSVARVQSFQRIREEEAARFMQTLAASACAGATVDLTKMISRLINDTFVRESIGSRCKYQDEYLEAFDTAVRQTSVLTVADLFPSSRLMQVLGTAPRKALACRNKITRILEQIIREKMEAMDRGDKTAHEGLIGVLLRIQKEASLSTPLTNDAIVALMFDLFGAGSDTSSTTLNWCMTELIRYPAAMARAQAEVREALKGKSTITEDDLAGAELGYLKLVIKESLRLHCPLPLLLPRQCRETCQVMGYDIPKGTSVFVNVWAICRDPKYWDDAEEFKPERFESTNLDYKGTSYEFLPFGSGRRMCPGANLGLANIELALASLLYHFDWKLPNGVEPKDVEVWEASGLIGKKNIGLILQPVTRIAPVI >PAN47568 pep chromosome:PHallii_v3.1:9:18191610:18196595:1 gene:PAHAL_9G255100 transcript:PAN47568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYVCIFCPLCLHTCLVFIHETSDQSKVGSKSSSSSNPSTAKTGSTRSSWTLPSYKESRELPTPRTEGEILSSSNLKAFSFGDLKAASRNFRSDSLLGEGGFGYVFKGWIDEQTLAPSKPGSGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLPWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSYGVVLLELLTGRRALDKSKLASEQNLVDWARPYLRDKRRLYRVMDSKLGGQYPKKGAHAVASIALQCIGNDAKARPAMSEVLEKLEQLQDPKYHVTAPQVDTKRASSSGSVPRSPMKAQPSPRRLSGSGSPLPAVAGSPLPACRTAQVH >PAN47567 pep chromosome:PHallii_v3.1:9:18191583:18196627:1 gene:PAHAL_9G255100 transcript:PAN47567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMDKAATVDNNTAYQSKVGSKSSSSSNPSTAKTGSTRSSWTLPSYKESRELPTPRTEGEILSSSNLKAFSFGDLKAASRNFRSDSLLGEGGFGYVFKGWIDEQTLAPSKPGSGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLPWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSYGVVLLELLTGRRALDKSKLASEQNLVDWARPYLRDKRRLYRVMDSKLGGQYPKKGAHAVASIALQCIGNDAKARPAMSEVLEKLEQLQDPKYHVTAPQVDTKRASSSGSVPRSPMKAQPSPRRLSGSGSPLPAVAGSPLPACRTAQVH >PAN50714 pep chromosome:PHallii_v3.1:9:67260545:67265602:1 gene:PAHAL_9G540400 transcript:PAN50714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHSPHWSHLSRFSWGKYSIIAVSSSIYFIGLAILTLSASVPQLQPPTCSGTACPEAGLLQYGVFFIGLYMIALGTRGIKPCVSSFGADQFDDTDPAERAKKGSFFNWFYFCINMGSFISGTIIVWIQDNSGWGIGFAIPTISMVLAIASFFAASDMYRFQKPGGSPLKRVCQVVVAAFPKRHAELPNDMSLLYEVDGQTSAIEGSRKLVHTNELGFLDRAAIVSSTDLKSASTDPWKLCTVTQVEELKILIRMFPIWATTIIFFAVYAQNSSLFVEQGMVLDKRVGSFNIPPASLSTFDVISVIIWVPLYDRILVPMARKFTGREKGFSELQRMGIGLVLSILAMVSAALVELKRLEIARSKGLIHEKADVPMSILWQIPQYFLVGAAEVFTVIGQLELFYDQGPDAMRSFCSAFALLTGSMGSYVSSIILTLVSYITTQGGGPGWIPDNLNEGHLDRFFWLVAGISFVNLMVFIGCASRYRYKKAR >PVH32983 pep chromosome:PHallii_v3.1:9:67260545:67265603:1 gene:PAHAL_9G540400 transcript:PVH32983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALGTRGIKPCVSSFGADQFDDTDPAERAKKGSFFNWFYFCINMGSFISGTIIVWIQDNSGWGIGFAIPTISMVLAIASFFAASDMYRFQKPGGSPLKRVCQVVVAAFPKRHAELPNDMSLLYEVDGQTSAIEGSRKLVHTNELGFLDRAAIVSSTDLKSASTDPWKLCTVTQVEELKILIRMFPIWATTIIFFAVYAQNSSLFVEQGMVLDKRVGSFNIPPASLSTFDVISVIIWVPLYDRILVPMARKFTGREKGFSELQRMGIGLVLSILAMVSAALVELKRLEIARSKGLIHEKADVPMSILWQIPQYFLVGAAEVFTVIGQLELFYDQGPDAMRSFCSAFALLTGSMGSYVSSIILTLVSYITTQGGGPGWIPDNLNEGHLDRFFWLVAGISFVNLMVFIGCASRYRYKKAR >PVH31302 pep chromosome:PHallii_v3.1:9:6249653:6251875:1 gene:PAHAL_9G107200 transcript:PVH31302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKVGMRFISEQEAYEFYNAYAWEIGFSVRKTGFHYLGDSLTIKTRTFCCFRQGKSAPKKKSDSTDGNLRFNRPEIRCSCPARMKINLIDGSYFVYEFESEHNHILATPEQRHQLRSQRKVDEAKISRIEVAKSVGISTKAAVDLLAKEAGGIENLGFTRVDVKNRLYTKRSLMVHKGDTSGVLEYIERRSSEDAKFFYSIQVDEDDLITNIFWADSKMVADYEAFVDVVGFDTTYRKLDDGHPLGLLVGVNNHKKTTIFGAALLYNETTESFIWLFRTFLNVMSGKKPQTILTDEDAAMAKAIKIVLQGTHHRICVWHMNQNACKHLAGVVEDYKKFNIEFQKCIYDQEEEEDFINAWNQLLTIFKLQGNKWLQRLFDKRYLWALVYGRNTFSADMVLTQRNESLNNELKGYISVKHDMLTFFGHFDRLVGDKRYEEVTCDFRATQSTPKPKAAVEMLKKVTTMYTPAIFKLFEEQVLQTLNCELFYCGDDGAEKVYKTRVYGKNHEHTVRFSSLESTVLCSCKKFEFAGILCSHALKILDVNNIKSVPEKYILKRWTVDAKVLHISSMCNIHEDPKVNISNRYSTLCRIFNRIAVRASQFDEAYSTCTKNAENLAEEIEKMLKIRSESDLNNSSIPHGT >PVH32558 pep chromosome:PHallii_v3.1:9:59400214:59400978:-1 gene:PAHAL_9G427700 transcript:PVH32558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVCTITPAATFSRAGCARERSAWNSKKPGRASASESWTKDKLAARTSSSVPGRASLSDNWIRDKAERKEIGVGRSPSREISLIRAKRTLSRAPSVEVERSEKKAKPEEDAEPVAVEYYAGPAFTKAPHPSEVPLPTFPLFVKSPDPSELPIPRFLKRTKVAAKN >PAN45376 pep chromosome:PHallii_v3.1:9:6706676:6709272:1 gene:PAHAL_9G114300 transcript:PAN45376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVVQRVLSASVEVEGRVVSAIGPGLLVLIGVHEADTDSDADYICRKVLNMRLFPNEKTGKAWDQSVMQRSFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYASLVEKFQRSYSADSVKDGIFGAMMKVSLVNNGPVTMQVDSPSLQGAAQSSNGDDGLLRDGEARVPKETC >PAN45054 pep chromosome:PHallii_v3.1:9:5149785:5151655:1 gene:PAHAL_9G090000 transcript:PAN45054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRSKIQEMILRRRSRSMNSSAGAQRGHVPDQLASSSTASCDGDGGSKSATARALFASPRLSHSSSLPTGTVFAKSPVLDTESETAFSMSPTSVLDAAASFRSGPDAVGSSKRRPWRDNGLHGLADALDCSDQQPERIVLAATSPSLLVRSCSLDRRVEFGVKNKSSWLPLRACSGREATSPAPADPWEMEPSSEDYTCVISRGPNPRTVHIFGDRVVEADAADASGTETSPRPINLPARGDRGFLSL >PAN47166 pep chromosome:PHallii_v3.1:9:16084155:16085521:-1 gene:PAHAL_9G238600 transcript:PAN47166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAKSLILCTVLAACLGLAAAAWSQGTATNYGGADGSGTMGGACGYDNLYNAGYGVLNAALSQTLFNDGASCGQCYLITCDGSRPGGQNCKPGNSITVSATNLCPPNYGLPNGGWCGPGRPHFDMSQPAFENIGVYQAGIIPVLYQQVQCKRSGGVRFSIAGSSYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALAGLVGQGLSFAVTSTGGQYIQFPNVAPAWWQFGQTFTNNNLNFAY >PVH31835 pep chromosome:PHallii_v3.1:9:16601056:16601499:-1 gene:PAHAL_9G244000 transcript:PVH31835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGALCWNFCFLCRVSLIPCVCNACSVVPPSFFITSLFFFCLVLDIIPMVFSDYNAVLQPEVQLGLTTGQSRKKCVLLQYG >PAN51275 pep chromosome:PHallii_v3.1:9:68148986:68157406:-1 gene:PAHAL_9G553500 transcript:PAN51275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) UniProtKB/Swiss-Prot;Acc:Q8GYX3] MARRSSMAMATLIPSSTSSTGWRISSEERELGNAYSEIKGLKVTEALKDKAIAELSKELKKQDEKMRSLEKQLEQKNLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEELVPFDAIIAPLESDIRKYRHEIAVLQDDNKALERHLKLKEVALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKANRQKVLEVEKLTHTISELEESILATGEVANAVHFYQNQVAKLKEEKKTLERELARAKVYVNRVASTAANDWKDDSDKLMPVKRWLEERRLLQGEIQRLRDKITIAEKSAKIEAQLNDKLKRRLKSLEEDMRNEISNSSTKEITKKVTSRRSTSQPRQPNTARVSPQPSSPEAIDRRRPISQPRASIAGKVLKQPNSETESAEKTRIAKRFDSPRATTVAGKGERPTKNHLWAPRSKMPSDGGKENKEQNPNPKAHLNVSHSQGHGDTKVFDGNDECGVQCSEHQEAMENERNANNSRAGSP >PAN50869 pep chromosome:PHallii_v3.1:9:68148986:68154125:-1 gene:PAHAL_9G553500 transcript:PAN50869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) UniProtKB/Swiss-Prot;Acc:Q8GYX3] MGSLGEVDHGKEKFHGHGHSDPVVDELNRLENLLREKERELGNAYSEIKGLKVTEALKDKAIAELSKELKKQDEKMRSLEKQLEQKNLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEELVPFDAIIAPLESDIRKYRHEIAVLQDDNKALERHLKLKEVALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKANRQKVLEVEKLTHTISELEESILATGEVANAVHFYQNQVAKLKEEKKTLERELARAKVYVNRVASTAANDWKDDSDKLMPVKRWLEERRLLQGEIQRLRDKITIAEKSAKIEAQLNDKLKRRLKSLEEDMRNEISNSSTKEITKKVTSRRSTSQPRQPNTARVSPQPSSPEAIDRRRPISQPRASIAGKVLKQPNSETESAEKTRIAKRFDSPRATTVAGKGERPTKNHLWAPRSKMPSDGGKENKEQNPNPKAHLNVSHSQGHGDTKVFDGNDECGVQCSEHQEAMENERNANNSRAGSP >PVH32239 pep chromosome:PHallii_v3.1:9:50491170:50495561:-1 gene:PAHAL_9G351900 transcript:PVH32239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLHVVVVCSVVGFLGLVLVILGVASEAATAQALVPDELDIDGCVYRTTPALGCGIVAALLALTAQIAVTTTSLLCGCCRTWELPTTARHIVGIVLSVVSWIIVIIVVALFFAGAAMNTDQKRQLTADKKCPVDPGSALFAAATVFSLVATGLQIASYVLLLATPKGSTKPLATQQQTEVAMGQPVQLEPRQDADEVVAGGDPPPPSAPPLSRATEPTSKV >PAN45536 pep chromosome:PHallii_v3.1:9:7492203:7496998:-1 gene:PAHAL_9G125900 transcript:PAN45536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEIIHGLTGANKNARLKGTVVLMRKNVLDLNDFGATVIDGISEFLGKGVTCQLISSTLVDSNNGNRGKVGAEANLEQWLTSLPSLTTGESKFGVTFNWEVEKLGVPGAVIVKNNHAAEFFLKTITLDDVPGRGMVTFVANSWVYPVAKYRYNRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGEPDRGNPRPVLGGSADHPYPRRCRTGRKPTSTDPNSESRLSLVEQIYVPRDERFGHLKMSDFLGYAIKAISQGIVPAVRTYVDTTPGEFDSFQDILNLYEGGIKLPKIQALEDMRKLFPLQLVKDLLPAGGDYLLKLPIPQIIKEDKNAWRTDEEFAREVLAGVNPMVITRLTEFPPKSTLDPSKYGDQTSTITADHIEKGLEGLTVQQALDGNRLFILDHHDRFMPFLIDVNNLEGNFIYATRTLFFLRGDGRLAPLAIELSEPYIDGALTKAKSKVYTPASSGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLHPHYRDTMTINALARQTLINGGGIFEMTVFPGKYALAMSSAVYKGWNFTEQGLPADLVKRGVAVADPSSPSKVRLLIEDYPYASDGLAIWHAIEQWVGEYLAIYYPDDATLQGDEELQAWWKEVREVGHGDLKDAPWWPRMQAVTELAGACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYEELERDPELGFIHTITSQIQTIIGISLIEVLSKHSSDEVYLGQRDTPAWTSDARALAAFKRFSDALVEIEGKVVGANRDPQLKNRSGPADFPYTLLYPNTSDRTGAAAGITAKGIPNSISI >PAN50062 pep chromosome:PHallii_v3.1:9:64247993:64251775:1 gene:PAHAL_9G495400 transcript:PAN50062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAATEGKPSPALPLATLIGRELRGDGSERPLVRYGHSGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEKLLEHVMSAVPRGISREDWLQALPRALVAGFVKTDIDFQRKGETSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVSLLTVDHRLEENVEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLILASDGIWDALSSEAAAQSCRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHCSTPPALSPKKNQNKLKSLIFGRRSHSSVGKLSKSASLGSVEEIFEEGSAMLEERLGRNFPSKANLPPFRCAVCQVDQEPFNGLLTDNVGGCCSAPSTPWGGPYLCSDCRKKKDAMEGKRSSHSTTCR >PAN45229 pep chromosome:PHallii_v3.1:9:6015492:6018362:1 gene:PAHAL_9G103700 transcript:PAN45229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLASSLLCSSSGSTSRSAAVPRVIRIPLFSKNQYRPPLRPLRSRSVVRRSLQQEQEERTDPVSSVAVASGEQQEEEATTASHHVGGENGAKTSGHAGGAEGGHGEGDGEAKRSTDEQQEVDWKSDEEFKKFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVASLLRGLAKDQLAREKQRLELAEQTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRRPVEEVRPKLEKKIAEAAGTEVTLWFMEEKADDVTKQVCMVQPKAEIDLQLEVTRLSTTWGYLSAVALAVTTFGTIALMSGFFLKPGATFDDYVSDVLPLFGGFLTILGVSEVATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYVTSIVLAVSAFIADGSFNGGENALFVRPEFFYNNPLLSFVQVVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPVGRLEGGRVAQALFGRGTAALLSFGTSVLLGAGAISGSVLCLAWGLFATFVRGGEEIPAQDEITPLGNDRLAWGFVLAVACLLTLFPNGGGTYSTSFLGDPFFRGGI >PAN52083 pep chromosome:PHallii_v3.1:9:73725705:73726681:-1 gene:PAHAL_9G640700 transcript:PAN52083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKAAVVATLLALNLLFFAFADACGCKCGACPSPGGGGGGGSGGGGGSGGSGGGGGSSGGGSGGGGSGGGGGGGGSGGGGGGGGGGGGGTGGRGRCPIDALKLGVCANVLSGLINVTLGTPPRTPCCTLIQGLADLEAAVCLCTALRANVLGITLNLPVNLSLLVNYCGRRVPSGFQCA >PAN47509 pep chromosome:PHallii_v3.1:9:31937391:31937759:1 gene:PAHAL_9G298100 transcript:PAN47509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSAVVVAAVLVVALLAVAVVAAPQPPAGYTTQEDASSYFIQQVGKFAVNVYKIAYMIPMSYVSTSQCWSAPAGGGANYYWMVLTATNGTGAAGQYVSTVWGIPGSESKTWKLLSFNSTTN >PAN45823 pep chromosome:PHallii_v3.1:9:8923348:8926160:-1 gene:PAHAL_9G145300 transcript:PAN45823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEVAVATPGGGRAGGGGGGGAASAPAPCAACKLLRRRCAASCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPAQHRGDAVSSLVYEANARVRDPVYGCVGAISSLQQQVEALQAQLALAQAEVVRLKMSNDYIVHRLKAARGGGGGGSSYAGSPSSMSSPKTAEPEAHCKATPELLDMVVDQPDMDDAQFWSY >PAN46973 pep chromosome:PHallii_v3.1:9:14951444:14952129:-1 gene:PAHAL_9G228300 transcript:PAN46973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLGEYTGGSSRRRVVHASRSGGRSAAAVKQLLSRLRSTWSRRRAARPRRAAASFGYDLHSYSQNFDDGLASSGRRRL >PAN51863 pep chromosome:PHallii_v3.1:9:72575418:72576844:-1 gene:PAHAL_9G625300 transcript:PAN51863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASLQHLGDSFSCGWLKRGAPAPSFERLVDADLGNSFGSSRSFIDMDPAELFSMRWTTTTAVTESDFEFGLPGGESSEPPSPVLVSASHVVRNGRLLPSEPVCRSGAQERHGDRVADLPGAPRSSPSSPLYRSAQSTPASLSSCCSSGRSGVAGSKNAAAGRRGRASSWKILVRYLRFLMPLYRKVRALRRFSAPRPRVAPASPARASTSSTEWCHGNADTAVRDAILYCKKSSGQDA >PAN49077 pep chromosome:PHallii_v3.1:9:59101391:59103397:-1 gene:PAHAL_9G424500 transcript:PAN49077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITVLKSSPAIVVPSEAEPEAAVAPKAGETVGLSSFDKRAGPFPVTMLLAYDRPIHEPVETIKRSLSRALAHYQPMAGRLSNGDDAGIVCSGEGVLVVGARASCDLEELLDDQKGGAARFVKDLALSYASEPCRESDPLLLVQVTEFACGGFVLGVTWNHVAADGAGMAQFLQAVGELARGVSPPSVSPVRHWDDSIPGLPAPMATAQKPSSSAASGPQDMVRLDVTIPWSLISDIRAAGVSAGGKPCTVFEAVAAVLWKCRTRASLSAVDPRSPAPLFFPCNLRALVGASAGYYGNCTVVQVVSATTGVVADSAIGDLVGLIRLAKEKVPDVLRPSSDDGNSNGGGRGQEQEEGPPVQFTMYNVLAVLSWRNLGFEAADMGSGGASRVMWDADPMAPGCVACPPRKGRDDGVSVSSICVKPEHADAFLGELAKLTASNN >PAN49643 pep chromosome:PHallii_v3.1:9:61870922:61871674:-1 gene:PAHAL_9G462400 transcript:PAN49643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPPVLPPTSAAAAVAVMCVVVVWLAAAAPVSGAMGNPVMGALPLLVAARGSGRVEDLEPPEMDSEAHRSVVLALQAEGQSSASKVVLDAKRTWCELSRCSGRPGYPYVADPRGCEKIHLCRSGP >PAN46186 pep chromosome:PHallii_v3.1:9:10498332:10501946:1 gene:PAHAL_9G168400 transcript:PAN46186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGDPAKGSPPASGAEVRALLRPSSFVSTISDDDEGFEERAFEPAEKVVVSVSGDPDEERLFYASGGRAPPFSWRKLWLFTGPGYLMSIAFVDPGNIEGDLQAGATAGGSLLWLLLWSTAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRLLWLMAEVALVSADIQEVIGSAIAIKILSHGLLPIWAGVVITALDCFIFLSLENYGVRKLEALFAVLITTMACSFAWMFVETKPRGKDLIIGILVPKLNSRTIKQAVGLVGSVITPHNVFLHSALVQSRKIDPENEYEVREALRYYSIESTMALVVPFMINLFVTTVFAKGFYGTKEADNIGLENAGKYLHEKFGGDFFPVLYIWGVGLLAAGTSSTITGTYAGQFIMGGLLNWRVKKWIRALITRSFAIVPTIIVALYFNTSDSALDVLNEWLNVLQSIQIPFSLIPLVTLVSKEEVMGVFKIGPRTKIASWTAASVPIVINSYMLFDFFSSETEGLLSSSALCAAVIAYAMFILYLIFRGT >PAN45648 pep chromosome:PHallii_v3.1:9:8014828:8018617:-1 gene:PAHAL_9G132800 transcript:PAN45648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLARAPPPSLAANYSPSPERALRLRSKSSSRAVIGTASAASKPAAAASSRAGHQRKQVASVANPLVKHCVKLRLSAAYRRSCRRLLLVGLAPILEMCRFELDAIDFLLLLDGVEVPEVLREFSGDVVFVSAAVMKKVSGMQSVDSTEAMAVLHMPRYFCDLGSHEDGDSLHGLFNHPKRILVLDGIQDPGNLGTLIRSACAFKWDGVFLLPACCDPFNEKALRAARGASLQLPIVSGTWHDLHALMTKYDMKMMAGHPESSSDASKGIHSLSKELADSLLNESLCLVLGSEGNGLSSETLQACELVNIPMEGTFESLNVSVAGGIFLFMLQPKYQIDSRTLTP >PAN46822 pep chromosome:PHallii_v3.1:9:14370029:14372952:-1 gene:PAHAL_9G220400 transcript:PAN46822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAAKPSTGRPPPPERNAPPPPRPPLPSPAPSRPAPRPPPPRHVLRLGHPSLHLRLRGRRVPLPHQAQPHRRLRARPGPPRLGQPAQPLPPLRPRAPAPAPQPASPALSAGPVPYPAIFHSPPPPPHPQPLTVDSLSALLFHSLALSAWKSTGLSTWSLRVNPSSGNLHPTEAHLLFPHPREPGRFAVAHYAPRDHLLEVRATAPAGECAAILPSPATAVLALSSVFWREAWKYGERAFRYCNHDVGHALAAVAVAAAALGWDAKVLDGLSYEDLGRLVGVEKGSPAATPEELPDRVVKGKAPWVERQHPDCAVLLFPARSEPEVDYGRMSDALRSFDGLHWVGKANALSKDHVVWDVIYRTAEEVKKHGPVPEERFVVMPWRKSPAMSEGLYKQLTVQEVVRRRRSAVDMDGVHVMGRDMFYQMLLHCLPSGEAGSGERQGKQCALPFRVLPWDAEVHAALFVHRITGLPKGLYFLVRNEEHFGALQRAMRQDFEWVRPEGCPDGLPLYRLMKGDCQRLAMQISCFQEIASHGCFSLGMVARFKPVLHDKGEWMYPRLFWETGILGQVLYLEAHAVGISATGIGCYFDDAVHEILGFKDLEFQSLYHFTVGSPVLDKRIMSLPAYPGPGIDA >PVH32494 pep chromosome:PHallii_v3.1:9:58083545:58086912:-1 gene:PAHAL_9G411900 transcript:PVH32494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLPTRGISIITVILSAFLLSTNAFSSHHGNGSEMDLSTLLTFKAHLSDPIGILAKNWTSKASFCDWLGVSCSLRHRQRVVALELSDIPLYGEVIPHLGNLSFLSVLNLTNTSLTGSIPPDLGRLHRLRYLDLHHNSLSGAIPSAIGNLTNLEVLMLGNNSISGEIPKELKGLRNIRHLNFQKNSLIGSIPVDLFNNTPWLTSLRLGNNSLSGMIPLGIGSLPVLQALNLQVNKLVGPVPQSIFNMSMLRLLYLGGNNLTGRIPNNRSFNIPMLEIISLDSNNFVGEIPTGISKSQNLVALSLNENSFEGTIPTWLAGLPELSMISIRGNKLYGPIPAVLSNLTNLIILDLAVCNLTGNIPTELGQINHLNVLALTENQLTGSFPSFLSNLSELSFLWLDSNHLTGFVPTTLGSIGSLLSVSLDDNHLEGNLDFLTSLSNCQQLYQLGISLNHFTGRIPDSIGNLSRQLTLFLANKNNLIGEIPASISNLSSLTGIDFSDNQLTEFGMLGNLERLDLHDNELFGPIPDELGNLSKLIYLDVSQNQLSSSIPESLFHVLGLVQLHLNENSLSSALPNKIGTQQQITIIDVSSNHLHGSLPDSFGQLQTLIFLNLSHNFLNDSIPDSFCKLTSLQSLDLSHNELSSTIPIYLARFQDLTNLNLSFNKMHGPIPEGGIFTNITFQSLMGNSGLCGDSRLGFPPCPSNYQSTNNSHIHKFWIIGGIIGVITLVGVGVTCVYMILQKWKKKKVAVSVGMVDMNNHRLLVSYHDIVRATNNFSESNLLGAGTFGKVYKGQLSDGTMVAIKVLNMQLEQAIRSFDIECNVLHMVRHRNLIRILNTCSNLDFKALILQYMPNGNLETHLLPEARQHLGFLKRLDIMLDVSLAMEYLHFGHCEVVIHCDLKPTNILLDDNMTAHVADFGIAKLLFGDENSVTANSLGTIGYMAPEYGTNGRASLKTDVFSYGVMLLEVFTGKKPTDSMFVGELNIRQWVNQAFPEGLIDVVDVRLLQDLPDPMDEFLMPIFELGLVCSSDIPDCRLTMRDVVVALNKVKKDFVCSTTYQDLNSK >PAN50765 pep chromosome:PHallii_v3.1:9:67532663:67534824:1 gene:PAHAL_9G544600 transcript:PAN50765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDVHLDDAGLALGLSLGGGGASDAARRQGTGSRLGREAARLPPSPRALEPSLTLSMPDEATATGSGGGGGAAAHSVSSLSVAGVKRERVEEADGERASSTARGEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTEENRRLQRELQELRALKFAAPPGAPPPPAATAPAPAPFYMQLPAATLTLCPSCERLSGPAAAAKADPDRPKAAPAHHFFNPFTHSAAC >PAN50766 pep chromosome:PHallii_v3.1:9:67532663:67534824:1 gene:PAHAL_9G544600 transcript:PAN50766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDVHLDDAGLALGLSLGGGGASDAARRQGTGSRLGREAARLPPSPRALEPSLTLSMPDEATATGSGGGGGAAAHSVSSLSVAGVKRERVEEADGERASSTARGEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRASRARRTKLKQTEVDCEFLKRCCESLTEENRRLQRELQELRALKFAAPPGAPPPPAATAPAPAPFYMQLPAATLTLCPSCERLSGPAAAAKADPDRPKAAPAHHFFNPFTHSAAC >PAN48680 pep chromosome:PHallii_v3.1:9:56853114:56854151:1 gene:PAHAL_9G398500 transcript:PAN48680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRELGARVQTSSPALDRSAPPAIASSRTEGSSREDQDSGGARSRRQGGMGQCASRQGSVAAAGGGGAGEGRRGCLAVAREQRSRFYIFRRCVAMLVCWHKYKKI >PAN47662 pep chromosome:PHallii_v3.1:9:22341677:22344477:1 gene:PAHAL_9G269700 transcript:PAN47662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLTRINHTRPVARLSQLPVVFPIPLFSPLPFRSDLIPAGDHRGTAMALSLRRKQLDVIVRMLHLNQQQLPNGGEGQGEEEAYKLLVMDPPCISLLSPVLKVGDLRRHGVTLTLGIDRPRQAVADAPAVYLVRPTLSNVDRIAADAAAGLYASFHVNFSTSVPRPLLERLAASCAASPPACAGRLARVADQYIDFVCLEEGLFSLAQPRAYVALNDPAAADADITSLVDAVALGLFCVFATLGVVPVIRCARGGPSEMVAAALDARLRDHLLVKPNLFTEAASAAVASFQRPVLCLFDRNFELSVGVQHDWSYRPLVHDVLGLKLNKLKLPAEKYELDDSDKFWVTNSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGSGVEFDGTDLIGNTRHLMNAVNSLPELTERKKIIDKHTNIATALLGHIKERSLDGYCDCENDMLVNGTVDRNTLLSLLRGKGTKEDKLRLAVTYLLSFETPPSSELEQVEAALRESEVDMSAFQYVKRIKALNTQFAGASGTATKSNIVDWAEKLYGQSLSAVTAGVKNLLSDGRQLALTRTVEALMEGKPNPEVDDYLLFDPRAPRSGTGGQFKGPFREAIVFMIGGGNYIEYRSLMELEQRTQPSKHVIYGATEILSGAEFIHQLAELGQKAGLGGGSSNIPPGAEQ >PAN44877 pep chromosome:PHallii_v3.1:9:4449582:4453343:1 gene:PAHAL_9G076800 transcript:PAN44877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >PAN45580 pep chromosome:PHallii_v3.1:9:7688133:7689785:1 gene:PAHAL_9G128700 transcript:PAN45580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPGAKPTRREPPPLPPNYVSLRHLQELRLKEKEEQERRRREEEEEAAARREAEKAAAARREAKNAAAARREAKKAAAARREAEKAAAIKREAALKEEAKGRAVSWEASGGDKERHRGGGQVQGQGHQWIAVAHRAPVTSPWPMGRRQGAAGKNEAAIGGGRGKKGPDDSIPDNAPHGGGKHRVKGKWKGTGKEKLADALPASSQGGKPAEVVPQPLHGGKPESKSESKAKGKGPGDQAAESSSSDVPGEPADAAILSSRGRFQRGRPTGAGGRSAETCAGIAPEKAAGPSPPRGVKSDDMGKPKPSAARRADAMAGSNSPDGKKAAPAQAPCPSVTDGSSKPTSDGELRNTMEAKPGGLVEGQRRRQVAVVQAVAELNPRGARCSAGPWRGRGNEATEQHGRVWVPKAAAAGSSAGAEL >PAN45390 pep chromosome:PHallii_v3.1:9:6743562:6744007:-1 gene:PAHAL_9G115100 transcript:PAN45390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQSPASLLLVSMGLVLLCFTSGVADAQKTWCVAKPSASNQVLLLNLNYACSQVSCAVIQKGGPCYYPDNLVSRAAVAMNLYYAANGRHPWNCYFNNSALVVRSDPSYGSCTYY >PVH33244 pep chromosome:PHallii_v3.1:9:71305995:71309576:-1 gene:PAHAL_9G605500 transcript:PVH33244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRSPPPVPAAFRRSRAVVRASSSSSSSTVSSSSSAPKARFVARRSESVSVQQLARPLAEYMSLPASQYSVLDAERIERVDDSTFRCYVYRFRFFALEVCPVLLVRVDEEPSGCCIRLLSCKLEGSPLVEAQNDKFSASMVNRVFCSSTLQDSTVQQLTSDTTIEVAIDIPFPFRAIPVTAIESSGRQVLEQLLGVMLPRFLKQLVEDYQAWASGDSSRKPLGTGKI >PAN48805 pep chromosome:PHallii_v3.1:9:57575509:57576751:-1 gene:PAHAL_9G406900 transcript:PAN48805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRMGAFIDHTTTAPPIHYRYVNQTNAGEALDSENTRIHGNRLEMAGGNSELKLIGQWASPFVTRVKLALNLKGLSYEYVEEDLRNKSALLLSANPVHKSVPVLIHNGKAICESPVIVQYIDEAFAFAGPPLLPANPYDRAVARFWVAYIDEKLGPPWDRVFRARTDEERAEAMRQTFAAAGALEGGLRECSKGKDFFGGDSVGYVDVVVGSLIPWAKATSVLAGAELVDAAKMPLLAAWMDRFGELDAAKAVMQDVDSLVEHGRMLMAKNAAPASNDAN >PAN50170 pep chromosome:PHallii_v3.1:9:64779411:64781185:1 gene:PAHAL_9G503100 transcript:PAN50170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSPTQELATDDSKADNKAPSKPAPPSTSAVSSKTKTDVEREQLFDGSSDVRTPRKKSTQEILTKYKFKGDAAAAAAHAKQKLMERQEKLARITEQSAELESEAENFATLAQQIRKNMENKWWK >PAN52025 pep chromosome:PHallii_v3.1:9:71689350:71692936:-1 gene:PAHAL_9G611500 transcript:PAN52025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCIIPRYLQIAPRFGSRLRIAIRVFTYCTLIFINNPLIWIAIICKLPHYIYKWTPVRVWYVSWPVAAAAAAALPAGIALLRRPHKRCAPFLFGSLSLDPRRSLRRQPLLELPRRLGMPLPGRRCPLYLLLRCGDPRGRGGRTATTTAAVVAHKRRRVFLPSLAHVKTSQSFAFRPILAWPGDGQVQLRPEFVSMSESARGEGWRREAAQIWSGTRTRPWSAGAPLLRGAWMFPGRNLMLAKRRQDIERENFYTYIEEMMEQDEEEATKTMPPTRIVKYYDLLGKAWGWDRLLPLAGVSRSDYSKYIEEYFRRNARELVPGAAAALAEICLKKEEQLASQWKIRMEPKMEQILPSRSIILSCLIHERIKCTFSHSHSGLQIF >PAN51148 pep chromosome:PHallii_v3.1:9:67086865:67089946:1 gene:PAHAL_9G537900 transcript:PAN51148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENQKQAEVLWPRLVANKLFRKTSGSHAFVADFPVADDDAAFDDGGCSPDADASRCVKRARPQERSKTLKYKLFASTWNVGGVAPPDDLDLSDWLDARDGPYDIYVLGFQEVVPLRPRNVLGADKSRVGMRWIELIRAALNRSAASSSSPRGAGGGGGGGDSGRQKVHPVRDGGGVGELAREYRCVVSKQMVGILLTVWVRADLRRFVRRASVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCFVCCHLASGGRDGDEAHRNADATEILARTSFPRGHALNLPHKILDHDRVILLGDLNYRISLPEAKTRLLVERRDWRTLLENDQLRAEVSRGGGAFRGWSEGDIAFCPTYKYHPNSDNYYGGRAAAGGGRKGEKRRAPAWCDRILWHGAGLSQTRYDRFESRLSDHRPVRAVFTVEVDAPRNLNSLRSFFMSERFDRARSPAADRMLRKDDVNSARFAETL >PAN48164 pep chromosome:PHallii_v3.1:9:53260004:53265455:1 gene:PAHAL_9G364600 transcript:PAN48164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRDGMGGGAAVAMAASSTALSSPPASVGGRRRRSPPPLLPWVAVLMLIVATSSSLFWCVAAGRNVITHIKGFEGPLPFHLETGYVEVDEEHGARLFYYFIASERNPAEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVSNVIFLDAPVGTGFSYSREEAGLNVSLTGSGRQHHTFLRKWLAEHPEFASNPLYIGGDSYSGYTVPVTAMDIATHHDEDPKLNLVGYLVGNAGTDDRYDTGGKVPFMHGMGLISDELYEAARTGCGGDFYRTPDPANARCASAMMAISMVTFAVNPVHILEPFCGAAVRAPSIFQGYGGAGGRRSMLVRDDVGHPGFFAERRLNLPVECRDNGYRLSYIWADDPEVRETLGIHEGSIGAWSRCTMLTHFRHDLTTVIPYHVNLTKAGYRALVYNGDHDMDMTFVGTQEWIRSIGYPIVSDWRPWFANRQVAGFTKTYAHNLTFATVKGGGHTAPEYRPKECQAMLDRWTSAAGQL >PAN45056 pep chromosome:PHallii_v3.1:9:5152620:5155997:-1 gene:PAHAL_9G090100 transcript:PAN45056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 16 [Source:Projected from Arabidopsis thaliana (AT5G50230) UniProtKB/Swiss-Prot;Acc:Q6NNP0] MTMAEAEAGRAAIRRALRSLKRRHLAEEGAHSPAIEALTRPFAAHALEWKEKAEKHELELQQCYKAQSRLSEQLVIEIEEGKASKALLKEKEAIVTSLQAELEKTSEENVQLKQSLDEKTKALDLLIQEHQAVKAELEQALTKLKAAEDENQSLIDRWMLEKMKDAERLNEANAMYEEMVLKLKTAGVGGIQHNAQQEADGIIRRSEAGYMETPIPSTCTITIRAHDGGCGSLMFEHNSDKLISGGQDQTVKIWGACTGALTSTLHGVLGSVNDLAVTNDNKFVVAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSFVVASSSNDRTIKIWDLQTGFCKSTIMSASNPNSLAFIDGDIICSGHRDGNLRLWDIRSGKCTTQIAAHLDVTSVCVSRSKNFILTSGRDNVHNLFDVRTLEVCGTFRATGNRVVGSFGKPCISPDENCITAGSSDGSVYIWSRLKNETPTILQGHSSPVLASAWCGLGPLATSDRNHIYIWS >PAN51396 pep chromosome:PHallii_v3.1:9:70419437:70421268:1 gene:PAHAL_9G591100 transcript:PAN51396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRGLAVPAALLLLVLLAVASASAVGVAAKTNAHDVAEEGGKEEESWTDWAKDKISEGLGLKHVDEEEAARKAGQTAKSAREYAQHSASAVGKKAGDAKDAAGDAAAGASSKAGQAKDHAKETVKGAAGEASSKAGYAKEKTKEAAEAASQRGAEAHERSKQGKAKVEETAKEKAGQGYEGAKDKAGKAHETLRQTTDAAAEKAGAAKDAAWETTAAAKDKAAAAKDAAANKAGAAKDTAWEKTAAAKDAAADQAGAAKDAAWEKAEAAGKKAQQSKEAAKGKATEKAASAKDAAWETAEAAKEKANEGYEKVKEKARETADAAKERIEEVKEKVTGADGDSREKHRRADVDATGKHRTVDEL >PAN51316 pep chromosome:PHallii_v3.1:9:70144935:70146389:-1 gene:PAHAL_9G585200 transcript:PAN51316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAVDMEEESGAAAAAAAAEEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPAAATATAAAILRRDGPRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAASAAASAAAGVSAAVAAQVVWTPVDVISQRLMVQTSATCRYRGGADAFRKILVADGVRGLYRGFGLSILTYAPSNAVWWSSYAMAQRFLWRVVGAERSESYPSLMAVQGASAALAGGASALVTMPLDTVKTRLQVMEADAARPTLASTMRGLLKEGGWAACYRGLGPRWGSTSLSAATMVTTYEFLKRLSAKEGSMG >PAN49371 pep chromosome:PHallii_v3.1:9:60815384:60819458:1 gene:PAHAL_9G444600 transcript:PAN49371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFRRSGAPENRDSFSLLVLNVSFRTTADDLFPLFDLYGDVVDIFIPRDRRTGDSRGFAFVRYNYEDEAQKAVDGLDGRKVDGRVIMVQFAKYGPNAQKIHRGRITEETPKPRGHFRSRSLRRRYQDDYRDRDYRRQSRSRSRERYEQDRYRDNDYCRLSGTRSISPDYDRKHTRYSRSPARRSPSHGKSHSPRRAPSREVTPSRPRDGRSPRSGHP >PAN47507 pep chromosome:PHallii_v3.1:9:31918195:31922948:-1 gene:PAHAL_9G298000 transcript:PAN47507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] MPPPSRLLALLTAGNPPPLRLLLQLHAHLLVSGLLSSPSPFAPRLVSAFALTELASPRPLLHALALLASLPSPPDSASPYNAALRALSLCPHPHLLDRHCLPLYRALLRSGSARPDHLTFPFLLKACARVRERFYSGGAVCAHVTRLGFNSDVFVVNAAMHYWSVCGSMVDARKLFDESPVRDVVSWNTLIGGYVRRGLPGEALEVFWRMAEEGTGRPDEVTMIGVVSGCAQLGDLELGKRLHEFVECNGVRCTVRLMNALMDMYVKCGSLELANLVFERMDTRTVVSWTTMIVGHARLGTMDDAQKLFDKMPERDAFPWNALMAGYVQSKQGKEAIALFHEMQEAKVAPNEITMVNLLSACSQLGALEMGMWVHHYIDRHQLSLSVALGTSLVDMYAKCGNIKKAICIFKEVPEKNALTWTAMICGLANHGHANEAIEHFRRMIELGLQPDEITFIGVLSACCHAGLVEEGREFFSLMDSKYHLKRKMKHYSCMIDLLGRAGHLDEAEKLANTMPMDPDAVVWGALFFACRMHGNITLGEKAAMKLVELDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHVDTNAIYDCLHKITLQLRHNMIDISATGII >PVH32513 pep chromosome:PHallii_v3.1:9:58441188:58442720:-1 gene:PAHAL_9G416800 transcript:PVH32513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIHMQRIQLHSTRIDRAEQPIELLLQRIDRAKQQIKLLLQRMDLAEQRIELLLLDSCGPVGKDEQRRMAGGQARTSGVGLPAGGRAMTSSVERPADGQG >PVH32052 pep chromosome:PHallii_v3.1:9:32969140:32969652:1 gene:PAHAL_9G302300 transcript:PVH32052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPKEKEKKKFVFCKLQLETEAVTIASISSTRPCKKRPKTTFPDHSIQCLCLSHIANPEIPPDSAFFLALIKFSTEIFRAGKTKRCGICATFQCFTVRLN >PVH32525 pep chromosome:PHallii_v3.1:9:58617811:58618629:-1 gene:PAHAL_9G419600 transcript:PVH32525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCINRTLVGQPMPDKPWTTSGHIDVHTSNRRPPSSRFKRVYIFPLLTVQNR >PVH31022 pep chromosome:PHallii_v3.1:9:2195288:2197219:-1 gene:PAHAL_9G039500 transcript:PVH31022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSMAAPAMAPAAPAFPRLRMPPPPAIITLPDAAVPVAPPPLSVVGRQRVAAKSKAVVVLGATGTGKSRLAIDLALRFGGEVINSDKMQLYAGLDVATNKVAPHECAGVPHHLLGVAHPDAEFSAADFRREAARAAAGVAVRGRVPIIAGGSNSYVEELVEGDRRAFRERFECCFLWVDAQLPVLHDFVARRVDEMCRRGLVEEVAAAFDPRRTDYSRGIWRAIGVPELDAYLRSRGLEDVDDDERARMLAAAVDEIKANTSRLAFRQRGKIQRLARMWRVRRVDATEVFLKRGHAADEAWQRLVAAPCIDAVRSFLLEDQEYSTMVTAAKASIFASTAAAVAAAVA >PAN48382 pep chromosome:PHallii_v3.1:9:54850162:54852570:-1 gene:PAHAL_9G378900 transcript:PAN48382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPGGPPPPASPRQYSGLLGALHHCISGGNAPAAVSLLPTLARAGLRAPFPLLSSLAGLLLLRPAAPSFPSLAGRLLLYVRLAGLKRLVPCSTQLADRLLSLHFLLGRPGDARRLFAKMPRPSVHSYNAMLVGYARLGLAAPAAEVFAAMPHRELISYNAVMLALGRVCEVRGAVELYSDLRNTYPSLGYSHHTFFALLGACAELMDSKLATQFHGHLEVLGFLSDVNIASSLLDVYSKCGCIDDARNLFDEMPIKDLHMWTTIVCACAEDGQLATARELFDQMPEKNVFSWNALIKGYVCHGMPVEALNMFQYLMREGLQPDQFTFGSCLSACAAMPMHSLKLGQQIHGILLRSGFNRSAMITSSLIDMYSKCGYLDGAIQVFGLTGRERKGAMLWNGMLSALCHHGHAQDAIGLFVQMIHERQKPDANTFFLVLTACCHCSLVEEGIKFFDLMNERYRIVPGQDHCLCMVDLVSDVSSDDKVVEWIKSSPFSFNERVWEILIRSCTIHGSRELLNKVEKQLGELNHPE >PAN44776 pep chromosome:PHallii_v3.1:9:3972439:3975895:1 gene:PAHAL_9G069300 transcript:PAN44776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLARQAAQALRAKQTAQLGPAATAMQGHLRTYMNAGTPKRFKEDEEKEQLAKEIAKDWNAVFERSINTLFLTEMVRGLSLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYESGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >PVH32458 pep chromosome:PHallii_v3.1:9:57032915:57036861:1 gene:PAHAL_9G400700 transcript:PVH32458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25060, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25060) UniProtKB/Swiss-Prot;Acc:Q9LJR6] MGDAVRVFDGMPRRDRVAWSTMVAGFVSAGRPVEALGMYRRMREDGLDGDEVVMVGVVQACAATGDAQMGASVHGYILRHGMRMDVVVTTSLVDMYAKNGRFDVARQVFRMMPYRNAVSWSALISGFVQNGHADEALDLFRELSTSGLQPDSGAHVSALLACADMGLLKLGKSIHGFILRRLELNCILGTSILDMYSKCGSLKSAQKLFDRVSSRDLVLWNAMIACCGTHGRGHDALALFQELNKTGINPDHATFASLLSALSHSGLVEEGKFWFDRMGKKFGIEPAEKHYVCIIDLLARSGLVEEANDLLASMQTEPTIAVWVALLSGCLNNKKLELGENIAEKILEFQPEDVGVLALVSNLYAAAKKWDKVREARKLMKDSSSKKVPGYSLVEVRGMRHMFVMEDQSHPQLQEILKIVAKLDSEMRKMGYVPRTEFVYHDLEEGVKEQLLSYHSERLAIAFGLLNTSPGTRLLIIKNLRVCGNCHDAIKYISKIVDREIVVRDAKRFHHFKDGACSCGDYW >PAN46494 pep chromosome:PHallii_v3.1:9:12056549:12058576:-1 gene:PAHAL_9G189700 transcript:PAN46494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQLLLLAALLLLPLAALLVAKPRGTRGGKNGARLPPGLPLLGNLLLLRRSSSDVEALLRRLVAWHGPVVSLRVGSTLSIFIADHRLAHAALVGSGASLADRPAVTRALLGESDNTISRSSYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRHALAETLGREAEEAQARARAPPPVMEAFRHAMFCLLVLMCFGGRLDEPAVRAIAAAQHAWLMFMAQNANVFAFWPALTRILLRGRLQKGLDARRRQKELFVPLIEARRERKKQLDSPGGGGGASAAAPDKETMFEHSYVDTLLDIRLPDEGNRALTDDEMANLCSEFLTAGTDTTSTALQWIMAELVKNPAIQEKLYSEIKATCGDEQEEVGEEDTHRMPYLKAVVLEGLRRHPPAHFLLAHKAAEDIEVGGYLIPKGATVNFTVAEMGWDEREWDRPMDFVPERFLPGGDGEGVDVTGSREIKMMPFGVGRRICAGLGIAMLHLEYFVANLVREFEWKEVPGEKVDFTETREFTTVMKKPLRARLVRRTTG >PVH32846 pep chromosome:PHallii_v3.1:9:64810891:64811139:-1 gene:PAHAL_9G503400 transcript:PVH32846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKWPTPSTVTGLRGFLGLTGYYRRFVQNYGILARPLTQLLKKKQFHWSPEAEQAFATLKQAMTTTPVLLLLDFTQPFYYGD >PVH32382 pep chromosome:PHallii_v3.1:9:55818231:55818865:1 gene:PAHAL_9G386700 transcript:PVH32382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTIGSLPVANVQALAEACNGFNDKIPERYTRMEARSEEVISGHGGTLAIPIIDLNKLFDLQSSEEECVKLVSACQNWGFFQLINHGVPDEVSENLMNDIAEFFRQPLEAKKAYSQLPNRIEGYGQVFVASDNTGLV >PAN48582 pep chromosome:PHallii_v3.1:9:56348041:56348952:1 gene:PAHAL_9G391900 transcript:PAN48582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGLVVALNLILFAVGVHGCAPYCGSTYVPEPPAPSSYVPEPPTPITPATDAHGHRPAGRCPVDALKLEVCASVLGGLVKISLPEDRERCCRLLDGLADIDAAACLCTLLKANILDISLRVPIDISLHLNQCDRRNSPRGLTCPRF >PVH31980 pep chromosome:PHallii_v3.1:9:26921361:26921936:1 gene:PAHAL_9G280600 transcript:PVH31980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTPTDVVLLTLVVLRRLVVVSGLLLLWSLPLSTVVELAWSVLGISLGATIGVVTSLATSEARVTTSGNRGIVPHRCSSRGVLAILWEAGMLR >PVH31488 pep chromosome:PHallii_v3.1:9:9842744:9843786:-1 gene:PAHAL_9G158500 transcript:PVH31488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHASTAIPSQIILISIKYQMKKKESIYTPYLILAAPIFMETTYWQKLIKLD >PAN50550 pep chromosome:PHallii_v3.1:9:66383649:66389528:1 gene:PAHAL_9G526900 transcript:PAN50550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MQPPPQPQGAMATGAAILTVPCSVGRRPKRSSQRRGSGSASLSVRASSDANTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLNSTGMADALREYVQMDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLQGADGHHVYFVHSYHALPSDANRDWISSICNYGDSFVSSISMGNIQAVQFHPEKSGDTGLSILKNFLSANSSGAKVPARRKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDHTSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEAFLQTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVNSPEDVPFKTVKVSSKGPSGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGAVEHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLVDAGVEVRV >PAN45215 pep chromosome:PHallii_v3.1:9:5929430:5931999:1 gene:PAHAL_9G102500 transcript:PAN45215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPSLLALAPAAATVVVMLSLSCSWCGGGASAARVVAAGGGAGRHPVVLIPGAGGNQLEARLTDEYRPCSLACRVWPPVRGRDGWFRLWFDPSVLLAPLTRCFAERMMLRYDAGADDFRNAPGVETRVSDFGSTSTLRYLDPNLKILTGYMNTLASTLEKAGYEEGRDLFGAPYDFRYGLAGPGHPSAVGTAYLQRLRLLVESARAANGGRPAILVAHSLGGLFALQLLARSPAPWRAANVARLVTLSAPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRTSESNLWLLPAPGVFGNTTLVVSRAHGDGGGNRSYSAKNMTQFLRDIGFEEGVEPYRARIRPLVEALPEPGVPVTCLVGTGVDTVESLVYGEGGFDEPPEEVVYGDGDGTVNLASLVGPVKAWADSPAQVVEVVELPKVSHSGILNDKSALEQIIRIIDSINLNATSYQTS >PAN44806 pep chromosome:PHallii_v3.1:9:4136332:4139977:1 gene:PAHAL_9G071600 transcript:PAN44806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MPSPASYLLLNPAKPFSLRRLSYTPPRLHARRFHVSCDAPRGSGRSAGGRREAIPTGARKSKKQIVFFDAAPPVAQPQQGGSAVEKGASEKPTTKEGGGNAALALLRRATKKTLAALSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQLPMVKVARRWSFTHSGERIKKQEFSDSLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHLAMLFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPRGVLSVAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFNLDGKEVMRKTIKVNDPLRYGGITIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLYGTFLPLEDSDSSNPSVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQIWAMQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELIGANNENVVDSKSTAT >PVH32109 pep chromosome:PHallii_v3.1:9:38597487:38598679:1 gene:PAHAL_9G317900 transcript:PVH32109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRSRRGRRGTAGGGRPRPGAPTTTAPSTRGVSTRLRSRRGRRGNAGGGRPRLGAPTTTAPSARGVTTRRRIEAGEIALAQCKKQGNARCSVEY >PAN46591 pep chromosome:PHallii_v3.1:9:12556704:12559078:1 gene:PAHAL_9G196000 transcript:PAN46591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVHPQPAMEPETNKLRRQPHVFSQELELPFPNNADVRTYIFPEVSCIIVPPSVVGAPSEVKVRVLRLDPWGFSRVMVHIGPGEPDPRDEMVYDKMRFRLTKMSILSMIVARYVDGHLVVIVPSRVDGDNKMIAPQLNRGKGGGRENIDENEMSVDGSFVPAASI >PAN45448 pep chromosome:PHallii_v3.1:9:7072717:7074228:-1 gene:PAHAL_9G120100 transcript:PAN45448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGSWRRLAVASVLVAVCAAALAPPAAALDIGIQSAGDGVSKQQACSRTCESDHCTTPPFLRYGKYCGILYSGCPGEAPCDALDACCMHHDNCVQAKKDYLSTGCNEALLDCLARLREGTSTFEGNKCMIDEVIDVISLVIEAAVVAGRVLHKP >PVH32469 pep chromosome:PHallii_v3.1:9:57309062:57309553:-1 gene:PAHAL_9G403900 transcript:PVH32469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKIELLTSGIRAVLSCRLDISCSSPSEAAVAAQPPPAARAAAAAAAPAAATAAVAAAAPAAATAAAAPAACSPPFPSPSPRSSPLEARHVRRTVSALGRLERTAPARRRARRGRGAQPSDGSSRCSSGEGGQAGCSGAGSCPGGGGSSGGGRCSACGGGRG >PAN51982 pep chromosome:PHallii_v3.1:9:73249135:73251425:1 gene:PAHAL_9G634500 transcript:PAN51982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRRGLLCCGCGGSAAGVAAGGRAAAFGDTDDGQPGAKSTAAGATAARQLSWAQVEAMTGGFTSAIVGEGGFSTVYLARLAGSLAAVKVHRSSERLHRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHEQLHSGGKGAAPMPWARRVSVALQVARALEYLHERCEPQVVHGDVKASNVLLDAGMGARLCDFGSARAGFSAAVARPRPSARAVLGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGAQAFCDGRLLTAAVAPRISSGARAGKLVDQRLGCRYDGDEAAAMVALAAACVGENPSLRPSMGDVVRTLERNGQASISTVGRRSDSGGGKL >PVH32340 pep chromosome:PHallii_v3.1:9:54454513:54455897:1 gene:PAHAL_9G375000 transcript:PVH32340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAKDLAAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPRYAGAADAVRQTLAAEGPRGLYKGMGAPLATVAALNAILFTVRGQMEAVLCSEPGVPLTVGQQLVAGAGAGVAVSFLACPTELIKCRLQAQSALATAAPAPAAAASVAGGVAAATGTVAAPAGAVKYGGPIDVEKHVLRSEGGTRGLFKGLFPTLAREVPGNAVMFGMYEATKQVIAGGQDTSQLGRGSMIVAGGLAGASFWGSVYPTDVVKSVLSRWTTTRTPSTRVPWTPSGRSSPPTA >PVH31571 pep chromosome:PHallii_v3.1:9:11395997:11398100:-1 gene:PAHAL_9G180400 transcript:PVH31571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRCTPPPLSQSPPSPHIAVAILVTMAATLMLLLLHHQKRAAAPKKREASRLPPGPATLPFIGNMHQLIWNKSSVFRWIHRVLDETEAGASALTLKLGSVHVIVVACPEIAREVLRRNEAAFFSRPATFASSLFSYGYKSTSLTVVEDQWKKMKRVLTSEVLSPALECRLHGRRVLEADHLVRSVHGQLKSTPGGCVDVRHVARHFCGNIIRRLVFGKRCFGKSPAAMSVAGGPGADEQEHVDALFTLVNYVYSFCVSDYYPGLVGLDLDGHEKVAKGVMRTLDRLHGPVIDERVREWSQRRKAGDRRDVADILDVLVSLEDADGQPVLSMDEIKAQTVELMFGSVVYPSNTVEWALAEMMNRPGVMQKAIDELDAVVGKERLVQESDICNLNYLKSCIREAFRLHPYHAINAPRVATEDTAIAGYLIPKGSHVIVSRIGLGKNPKVWPEPLEFRPERHLGDGVAVVLAEPDLRFVTFGTGRRGCPGVSLGTAFTMVLFGRLLQGFSWAKPPGIDRINLQESPTSLALAAPLVLQAEPRLAPHLYA >PVH33159 pep chromosome:PHallii_v3.1:9:69974758:69974970:-1 gene:PAHAL_9G581900 transcript:PVH33159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSALLRNERETRNPEEEEEQKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRSEPASRVPTMPNLVIQIQ >PAN46189 pep chromosome:PHallii_v3.1:9:10520680:10521620:1 gene:PAHAL_9G168700 transcript:PAN46189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPRLAAVLLLLLLVAAASWLQAADAASGFCSSKCGVRCGRAGTRARGACMRTCGLCCEECNCVPTGARGGVNECPCYRNMLTAGPKKRPKCP >PVH33351 pep chromosome:PHallii_v3.1:9:73178019:73178791:1 gene:PAHAL_9G633500 transcript:PVH33351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNMKLELWRHTLESKGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKKVPQRLKGKFYRTAIRPAMLYGAESEMRMLRWFYGHTRRDRVRNEEIRDRVGVAPIEEKVIQHRLRWFGHVQRRPSEAPVRSGVLKRGDNVKRGRGRPRLTWDETVKKDLKEWNIAKELAMDRSAWRLAINVPEP >PAN44816 pep chromosome:PHallii_v3.1:9:4185548:4187955:1 gene:PAHAL_9G072600 transcript:PAN44816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVGAHGGKRWLPRLLLLAALSWLLMVYLHAAVFHAPPVSTPPHTSLVAVASDCEDCQRFLLRQEEQLKKIASATASALPAAAAGEERQHPRGGGGGDACRGRYVYIHDLPPRFNADIIRNCRKTEDHWADMCRFLRNDGLGRPLADRIDGVIKSEAGWYDTHQFALDAIFHNRMKQYECLTSDSAEASAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTEWLMARPEWRRMGGRDHFLVAGRTGWDFRRSNNVDPDWGNDLLVMPAGRNMSVLVLESAMLHGSDYPVPYPTYFHPRSDADVLRWQDRVRGQRRTWLMAFVGAPRPDVPINIRVRDHVIAQCKASSACTMLGCARATGSTQCHTPGNIMRLFQKTIFCLQPPGDTCTRRSAFDSMVAGCIPVFFHTGSAYKQYRWHLPGDHHKYSVYIPDADVRQRNVSIEAVLRAIPPATVERMREEVIRLIPRVLYADPRSRLETLKDAVDIAVEGILGTVARIRNGEYVDSGGPVTEDPPNLFSSTESRFRPKQSVQAVDH >PAN46825 pep chromosome:PHallii_v3.1:9:14130457:14132610:1 gene:PAHAL_9G217800 transcript:PAN46825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKVADASEYLAITGWGIDDVKLAKKAWVWVGQQCKKFDITPVNYEFEVHAMSSEKLPFILPAVFTIGPKIADDGTHGASLLLYAKLIAPHDKNSSHVRELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLYIYNANVKQLVDVPGQEYFSYLGQKTQQGAVNQAKVDVAEARMRGAVGAKEREGTTLQKAAEVDAQTKVFRVRQEAIGIKEQAKVEAEVKVFENEREAVVAAAKADLATKKAAWDRQTKVAEVEAAKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQYDTQVQDSNAALYSRQKAAEAKLYEQQKAAEARKAQADAQFFEQKLAEDAKLYAKQKEAESLATVGKAKADYVASMLQALGGNYHALRDYLMIDGGLYQEMARINAGAVSGMQPKISIWTNGSDGGSGAGGVDLAGGPSGGAAMQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGALPKEAN >PAN44236 pep chromosome:PHallii_v3.1:9:1515077:1517924:-1 gene:PAHAL_9G026800 transcript:PAN44236 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MSLAYPLFRLPGRCSLAAAALASSSPLPVSVTLSASASADGGGGGGGELTARERRLQRKERRELRATDWKEEVQERLIHEPARRRKKPPKRSWREELNLDLLAELGPQWWLVRVSMAPGTDYVDLLTKAISRRFPEVAFKIYNPSIQVKRRLKSGTISVKSKPLHPGLVFLHCTLNKELHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPIEEVESIIREEKEEQEKVDREFEGMENIANVESFSKPVEKSELMLMNKIKKQFKKSPSKGGSSQRAFSAGATVHVLSGPFEDFIGSILELNLKNKKATVQLTLFGKESFVDLDFDQIESVEVDDNDSNL >PAN44232 pep chromosome:PHallii_v3.1:9:1515049:1517945:-1 gene:PAHAL_9G026800 transcript:PAN44232 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MSLAYPLFRLPGRCSLAAAALASSSPLPVSVTLSASASADGGGGGGGELTARERRLQRKERRELRATDWKEEVQERLIHEPARRRKKPPKRSWREELNLDLLAELGPQWWLVRVSMAPGTDYVDLLTKAISRRFPEVAFKIYNPSIQVKRRLKSGTISVKSKPLHPGLVFLHCTLNKELHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPIEEVESIIREEKEEQEKVDREFEGMENIANVESFSKPVEKSELMLMNKIKKQFKKSPSKGGSSQRAFSAGATVHVLSGPFEDFIGSILELNLKNKKATVQLTLFGKESFVDLDFDQIESVEVDDNDSNL >PAN51128 pep chromosome:PHallii_v3.1:9:69333056:69335314:-1 gene:PAHAL_9G572300 transcript:PAN51128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNAIALRLSVAIVLSVLSRQALAAGEGSKEADRIPLLPGQPLGALLQQYSGYINLNDKYGKSLFYYFVEAAADAAQKPLVLWLNGGPGCSSFGIGAFQEIGPFRVDTDGKTLCKNKYTWNSVANVLYLESPVGVGFSYAVDTGVYKVMRDNMTATDSLQFLLNWLDRFPEYKGRDFFIVGESYAGHYIPELATAIQVARITRPAETPINLKGIAIGNAILEFAAEQSALYEYLWQHAFLSDSGHNLIAQSCKGIDDNSPLCSGAKDTAYNQLGNIDVYNLYAGTCHDKKVKPIGSNCMDLADPCAQYYVDAYLNQPEVQRLIHANTGLKYPWTRCRGTNYNLFKFGDSPYTSMLPYLKAIINSGIRVWIFSGDLDAMVPVIATKQSMQKLGLPVVADWRPWSTDGLEVAGYVIEYKGLVFVTVRGSGHMVPIDQPDRGLVLFKSFMEGKPLPKAAPMVDE >PAN50453 pep chromosome:PHallii_v3.1:9:65975199:65979337:-1 gene:PAHAL_9G521300 transcript:PAN50453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSDALINGLAGAGGGIIAQLLTFPLQTVNARQQTERDPTKPAFKDGAARQLYLVVKNEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRNRAEAKALERSRRGLGDGSVGMLQSLTVAALSGCVNVLLTNPIWVVVTRMQTHRKANKQQSTQGLTCALDKALEAAITENTPYKTMDVFQELYKEAGVLGFWKGVIPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQVKQRIDDDKRHRYKGTFDAFTKMVQYEGLKGMYKGMGTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPSR >PVH31212 pep chromosome:PHallii_v3.1:9:4799072:4799454:-1 gene:PAHAL_9G083500 transcript:PVH31212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAFDIIELQCKLLIEHAKQLGKTTAPRWCGDLPELLLARTILEDESGSDFAAMAKEGTGIPDRSHGK >PVH31899 pep chromosome:PHallii_v3.1:9:18235429:18236067:1 gene:PAHAL_9G255500 transcript:PVH31899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYQVLNKVIAHEHRNGIKPREPSSSPTHSALASKKAKMLKKMVIQENSSEEEEEDAAKSSSSHEKEEMDPKLLKQAKIFNKSLKKINMMRYMVFLKDGPHHQSMKVERINYKKKKQEKKKKKPKHEALAIFGEWVSGGEESNTSSSDESIKRFTTRTNIDASSSSSNMCLMAKGMESESDVSDDDSDSPSFDELLDLIHKQQGVMKRQAK >PAN47608 pep chromosome:PHallii_v3.1:9:20781761:20785239:1 gene:PAHAL_9G263800 transcript:PAN47608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEKFRVESPGVRYGAGEIESEYRYDTTEVVPPGDGGAGWVVRPKSVTYHFKTSTSVPKLGVMLVGWGGNNGTTLTAGVIANREGISWETKEKVHKANYFGSLTQASTIRVGSHKGEEVYAPFKSLVPMVDPNAIVLGGWDISNLSMADAMARAKVLDINLQKQLRSYMQSMVPLPGIFNPDFVAANQGARANNLIQGTKKEQVEQIKKDIREFKEKNKVDKVVVLWTANTERYSNVVAGLNDTMENLMASLEKNEAEISPSTLYAIACVSEGVPFVNGSPQNTFVPGMIEFAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSSVVDDMVASNPILYSPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKFHSFHPVATILSYLSKAPLVPAGTPVVNALAKQRAMLENILRACVGLAPENNMMLEHK >PVH32742 pep chromosome:PHallii_v3.1:9:62813548:62814907:-1 gene:PAHAL_9G476200 transcript:PVH32742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYRYKSGVQTFSVPVPAPSVSVADLKSLILRTARHGHGRTRGREQRESVALHDARTGEEYTDGCALVPCNLTVLVRRVAEPPAETITVTSSPPPPAKATTRDGAPSDSIVTLSLSAEDDEARAISAVIDAAQLNWEDQRRSQGGRRYGHHGALEARAPPPARYLCHRYRVPGHYIQHCPTNDDPRYDLGRASFKINRPTPSPAVPIPDDGVPPELHCKICSKVMADAVVASRCCFGSFCDVCIRGQIAAKSRCVGSVGTDNRKSSSGNNAEPTFQSAAASQESRSHVTAAAGSKHSEGSESSTSKSAAALAAREPRSKQTTAESAEIGAHAGYPEYPFSPACYDPSFGSAPWACDPYIYYGMPCGGGYTNVPAPAVYHDGCHGRKRMADAEYQRHGEAGLKRRCGGRSEGAF >PAN48187 pep chromosome:PHallii_v3.1:9:53522926:53525183:1 gene:PAHAL_9G366200 transcript:PAN48187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSNSTGTGTGGGGRGAGGRGFTNRHFTNYNSDMIHGGSSGGGGGRWRGDRSRGRPPPQPHYCYRPVDAAHRQAQPVSEQQAASSTSSRIQQRTYPIPTADKSSASGTAAPPSTREPDDKATRSAANFECNVCFDMAAEPVVTKCGHLFCWECLYQWLHVHSNHRECPVCKGQVADDAIIPIYGRGGSAASVDNAPPRPTGARVESSRQQQLLPTFPPTVHVDDDEEDPFDFPGMMNFGFGATATSLRDAVMSFMPPSFQDMALEEFDDYYYEYDTDDFDEVYDYNWLGFPVFGSAGAEAGNPISSQAHADMINIRNNIVGATTGIYHQQEVGYPGANPHNRGRRRRNRARPSADNSSTNGMVMGGAFYRDNGASYNVSAGASSRPNGGWVERRGRSSRNSNAAGGRGGMQDSRRQRTHYN >PAN44381 pep chromosome:PHallii_v3.1:9:2136738:2139359:-1 gene:PAHAL_9G038600 transcript:PAN44381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYTPYPQKQRSKVIKEISSLVLTRGPKLCNFVEWQGYRVVYKRYASLYFCMCIDPADNELETLQIIHHYVEILDRYFGNVCELDLIFNFHKAYFILDEILIAGELQESNKKAVLRLVTTQDALVEAAKEAASSLSNIIAQATK >PAN44380 pep chromosome:PHallii_v3.1:9:2136485:2139492:-1 gene:PAHAL_9G038600 transcript:PAN44380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYTPYPQKQRSKVIKEISSLVLTRGPKLCNFVEWQGYRVVYKRYASLYFCMCIDPADNELETLQIIHHYVEILDRYFGNAYFILDEILIAGELQESNKKAVLRLVTTQDALVEAAKEAASSLSNIIAQATK >PVH32009 pep chromosome:PHallii_v3.1:9:30545937:30547343:-1 gene:PAHAL_9G289600 transcript:PVH32009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNVNMVEGYDRSTCRERDYITEEQVRYVRNQRPVSSHLLRKYQYQYQQRLQRETEKQEYERRTRKRLRKREDMRDHWHCPFFNASVFQRLGPVQPCYGQVESSRTGRNSEDENDKYHRPRWCPDGLNRSQKWRVQRLRSLEEAEAQYLEILRKAQPDLAKKVHHPQKEESSSKKVWRPKKSKADVKTSADAHMVFVLPAEFHAPGHEEVPVAQLDLGPRLVIFEKPRERNYRHLKALYLKGYINGQPVSRMLVDTGAAANIMPYSVLRRLGHSVADLIKTNITLSDFNGQTSEAQGVLSVDLTVGGKTVPTLFFVVNSKGSYTVLLGRDWINANCCIPSTMHQCLIQWDGDEVEVVHVDDSVEVSHAAMSVWDAEDQEPISGISLEGFDRMEATKNGVRLVLL >PAN45806 pep chromosome:PHallii_v3.1:9:8832348:8833400:-1 gene:PAHAL_9G143800 transcript:PAN45806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCVEAAAVGRPTRKRMRVAMGTTEDYEETCRLGEGAFGAVIKARHRATGQPVAIKHLGADLGGHATLLREAQFLEACGRDNPFVAGFRGLARNPATMALCLVMEFVGPSLDDLLSQRPYGSSPPLPEATVRAAMWQLLSGAEKMHGRRVIHRDIKPSNILVGAAGRSVVKICDFGLAMSMDERPPYEQAGTLCYMAPEMLLEKPDYDERVDAWSLGCVMAELINGWSPFQGLNEEGQLCAIFDVLGVPDDTTWPWFSSTTFAAVWMPELDSMPRRSLLREHFPETTLSEEGFEVLSGLLTCNPEKRLTAAAALKHPWFAKIDALELPMREEVASALPRRVKRLRVLCP >PAN49739 pep chromosome:PHallii_v3.1:9:62566230:62567188:1 gene:PAHAL_9G472100 transcript:PAN49739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRADVLLLAVAVAAAACAAASAQTRPGRLVVSGVVPCNTGTLIDAATFPAFPDAKVELRCGGSVVARATTRRDGSFEMEADAVAGALGALVGACQLVVDTPLAKCNATLPAAGALVSSLQGPLAGMLGGVFRLAPAGFSFRMD >PAN46573 pep chromosome:PHallii_v3.1:9:13005079:13006842:1 gene:PAHAL_9G204000 transcript:PAN46573 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGKLLAAIGKFFCFVQVNQSTVGIKERFGKFEEVLKPGCHFMPWIIGNRVTGQLTLRLRQLDVRCETKTKDNVFVTVVASIQYQAMEVKASDAYYKLSNPKAQIQAYVFDGTIEVVVKFGHFTHMKYNSFEPLNIFQSIYKMEKLKKNETAKAVEEELEKAMLAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKADAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGAASKSSAVFLPHGPGAVADIAGQIRDGFLHASTQQAK >PAN52001 pep chromosome:PHallii_v3.1:9:73334078:73338320:-1 gene:PAHAL_9G635600 transcript:PAN52001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHWVSDSEDSDKFEWDSDEEDAVSFNAAGSGSSALASTNIDAPGPSTRVANGNGKAGPSASAVQEYVDMGFPEEMVLKAMKDNGDNGADSLIELLLTYKAIGNDPSLENGSASHCDPQAAKDSDDDDNLENWDDDDAGGRNRGPTFDESDDEDFLHEMSQIDGKVDYLVKMGFPEDEVNMAVTRCGQDASISVLVDSIYASQTAGGCCGNSSDHEDNSYGGRKKGRPMEGNKRKRKRFGGQAQGSRGPLDGSDDESMRLPKPMVGFNLPNGLRSANRSLPGPAIGPPYFYYENVALAPKGVWTKISRFLFDIEPEFVDSKFFCAAARKRGYIHNLPLVTRSPLLPLPPKTIFEAFPRTKKWWPSWDPRRQFNCLQTCVASAKLTERIRLALTNSEDPPPVRVQKYVLEECRKWNLVWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPHGMNVLSLFSGIGGAEVALHRLGIRMNYVISVEKSEVNRTILKSWWDQTQTGKLIEISDVQTLTSEKIEAYIRRIGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFFHYFRILGDVKAIMARL >PVH31926 pep chromosome:PHallii_v3.1:9:21338015:21338518:1 gene:PAHAL_9G265800 transcript:PVH31926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSIISFESETTREMTPEFDPIAAYEACAPLHWDAEEWDFQAWSEDDKSLTDGEDLQLLLDGELDEDNDDDMSSEGDFSTSEEEVDTPSTEEDSVAGGFLRGESSEDDDDDDDDEETEDSSGYSGDSGEDDGSDNDSSDDDSDASAAPPIKRHKVLGTYWW >PAN44046 pep chromosome:PHallii_v3.1:9:802691:807021:1 gene:PAHAL_9G013100 transcript:PAN44046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASLKPAPSLAAFSPSARRSPAPSVSFSFPARLNQRPLLSAAATAEGTGAPAGQGEVSSSSTAAAPPIDEARLAQFAADWEVARADKEQGKILTLPVLRANSGGLIVKFNSLQGFVPNPLLSPAHWCKDPKRPIQDATKDLVGTSISVKVSEVNEEERKLVFSEKDASWSKYSSQIKIGDIYDGIVGSVFPYGAFVHLRFPDGLYHLTGLVHISEVSWDLVQDVQDFLNEGDAVKVLVVNIDMEKSRIALSIRQLEEDPLLETLDKVIPLEADQSPDRIMSPSEVELLPGLDGICNELLQEDGITDVQFGRQALEKRVVSQDLELWLSNVPAKDNKFTLLARAGRQVQEVYLTTSLDQEGIKKAVQRVLGRVP >PAN45089 pep chromosome:PHallii_v3.1:9:5276240:5279070:1 gene:PAHAL_9G092300 transcript:PAN45089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVDVGTELSLGLPGGGAEAAKAAKRGFEDTIDLKLKLPTAGMEEAAAGKPEPAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSYRRNVMTVQSVKSKKEEEPEKQQPAANAGSNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSIALKKMFSTFTTGNMNEGKLVDPVSGADVVTTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKSSEAIGLAPRTKDKCKNKS >PAN47715 pep chromosome:PHallii_v3.1:9:49325184:49330096:1 gene:PAHAL_9G348300 transcript:PAN47715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASHKPLGAITAVLPSSLRPSLWIPCLQRSPARPLFLGCSCYPAKSQTQPHFASSPDPAPVRSG >PAN45068 pep chromosome:PHallii_v3.1:9:5182802:5184439:1 gene:PAHAL_9G090700 transcript:PAN45068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTRDDGDTATASDAGAGRRLRVFFLPSFARGHLIPQTDLACLMAAARPGEVEATMVVTPANAALIAPTVALAAAAGHAVRVLRHPFPDVGLGDGVECLATAPAQDAWRVYRAMELVQTSHESLLREHRPDAIVSDVPFWWTTVVAAELGVPRLTFHPVGVFPQLAMNNLFKMRADIIRMSSAPGTVVSVPSLPGKEITIPVSELPSFLVQDDHLSKSWEQIKAFQLAGFGVIVNTFVDLELPYCEEFSRVDARRAYFVGPLAQPSCSTVHRGGDSDVDCLSWLSTKPSRSVVFVCFGSWAHFSATQSRELALGLEASNQPFLWVVRSDNSQWAPEGWEQRVAGRGMVVRGWAPQVAVLGHPSVGAFLTHCGWNSVLEAASAGVPVLTWPLVFEQFINERLVTGVAAFGARVWEGGTRGERAGEAETAVPAVAIARAVAGFMERGAQRDGVEASARDLAGRARAAVDENGSSWRDIHRLIDDLVQARASGLPEERPIKA >PAN44604 pep chromosome:PHallii_v3.1:9:3233015:3238824:1 gene:PAHAL_9G056900 transcript:PAN44604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MAAALASSPLVHLTASRLRLPRPRPSASSSAPGCSRGASLGWRLAVGWRAGRRCDRLRCFSSDGGGGEEGEKRGEEEASAAAAPAEELGSERSRSGSFSSSSSSSGTPGVSSEPPLLRFSVDNIDTVKLLELLGPEKVDPADVKAIKEKLFGYTTFWLTKEEPFGDLGEGVLFIGNLRGKREEIFAKLQRQLRELTGDKYNLFMVEEPNSEGDDPRGGPRVSFGLLRKEVSEPGPTTLWQYVISLLLFLLTMFSCVELGIASKISSLPPEIVAYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQIFHEIGHFLAAFPKNVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISMAGPVAGAALSFSMFFVGLLLSSNPVGASDLVEVPSQLFQGSLLLGLISRATLGYSAMHAATVSIHPLVIAGWCGLTTSAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIASVFLVVLTLIPLWDELAEELGVGLVTSF >PVH31972 pep chromosome:PHallii_v3.1:9:25358792:25359744:1 gene:PAHAL_9G276700 transcript:PVH31972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPRPHRRARRQPPRHVHAVPPPPWGAQVRGRPRHAVPAGHVAAPPRRRLRDVGDQSGSHVEGGSVPFSRQISSKSINATNSSTCVGSQIRMQRSPHACREAMVRWRPKRPRVEKRPGRTRHKGVVGEGGKAGAMVGRCRQPRRGQELADLRREASAATPRVRPGGVAARGGGGA >PVH33123 pep chromosome:PHallii_v3.1:9:69425431:69425726:1 gene:PAHAL_9G573800 transcript:PVH33123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCSVGPWACSGPVVQQPVILPPLEAGSDRCSVKASLTPFLPTSGPGRPKAFA >PAN51891 pep chromosome:PHallii_v3.1:9:72734934:72736391:-1 gene:PAHAL_9G627500 transcript:PAN51891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit alpha-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G49470) UniProtKB/TrEMBL;Acc:A0A1I9LR04] MVSEQAPPAEVEKTEAAESEEAAPKSEQQAADEAPVVEDVKEDEDEDDEDDDDDDDADEGELGAGATEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAVQQFRMQDLSKAMAKQDTAAAAPADEEEEVVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >PVH31472 pep chromosome:PHallii_v3.1:9:9504531:9505334:-1 gene:PAHAL_9G154100 transcript:PVH31472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTTSRLPLLAVLLAVLLLLPSAAAAAVARAIDASKTQRLELPDVLVGPESVAFDARGGGPYVSISDGRVLKYGGEGAGWTTFAYSPSYTKNGCDAFSELPPVATESSCGRPLGLRFHVNSGDLYIADAYMDLMRVGPNGGEATVLATEAGGAPLRFTNGVDVDQVTGDVYFTDSSATYTRAQHQIVNNDG >PAN46314 pep chromosome:PHallii_v3.1:9:11092754:11096272:1 gene:PAHAL_9G176400 transcript:PAN46314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSRYDNPFEEGGADEVNPFADQAKRGASTAQSSYSGGAFYTTQSRPAPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKDMKTREKDLLAKEAELNRREKEIKRREEAAARAGIVLEDKNWPPFFPIIHHDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVSAAWAKGSGPKIWFLAIIYFILGCPGAYFLWYRPLYRAMRNESALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGSSVIVGIFYFVGFGLFCLESLLSMWVIQRVYRYFRGSGKEAEMKREAARGAARAAF >PVH32617 pep chromosome:PHallii_v3.1:9:60831972:60834657:-1 gene:PAHAL_9G444900 transcript:PVH32617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKRTPPCPNFSLHLFLGCERRREMERAAPVRSSHTSTAGLLAWPHPDGAGPLPARRPNQPTEEFRKVVFGGQGAEAVDGVNKMRTGSAPKLKEMTGSGIFKAGSAAAAPAASRDRQASQITFGQDGSIAPRKPNSVAEVARHRELSRTIQSEGDGKMKKQVSTAKSKELSGHDIFADHEDPKPNRSRRSDYSSSASLSQVSTFSFGEADADSAAKMAKKKGTSNKPADLNGKAIVEKDSAPAAKQPPNRAKLEEAAGSSSVSADGKAPATGEHAGRRTRQPPGGDSSISLA >PVH31051 pep chromosome:PHallii_v3.1:9:2586078:2587214:1 gene:PAHAL_9G046100 transcript:PVH31051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTPPKTTSRVSSCTQSCLWLRPPLDSPWRKTDDPLFVASVVVWVLVVILAIVALHCPLPRRVVR >PAN47596 pep chromosome:PHallii_v3.1:9:19949511:19951019:1 gene:PAHAL_9G261700 transcript:PAN47596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTANSSCLLLALVLLSSFFHCYHAAHHGRKHARPPPTTAAVVVGSVVHSGSEATNAIPAGTLVAVRCHDGNGRTVFRKEAVTDRLGRFHVRLAHEASGRLRSVTSCSVHLQHQSNNAPPCPATATSSGLRPVAPKRSGGARVFSAGVFPFRAPDLCGRKGIFFPPVPLVPEPPNIGGVPIPPNPITPAPPSLVPPVLPTPSPPSILPPLVPQPPPSSIIPPLLPPLVTAPPPPPPPQLLPPLFPGVPPSSASKNRRPGTP >PAN50810 pep chromosome:PHallii_v3.1:9:67773624:67777759:-1 gene:PAHAL_9G548200 transcript:PAN50810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to HSP protein (Fragment) [Source: Projected from Oryza sativa (Os03g0224700)] MGSKKRSPQRPASPAGGEVAGDVGAPLAGKAPPAAAPVGVVPKPPDVAPFLTKVYDMVSDPATDAVISWAAGGGSFVIWDSHAFERDLLPRHFKHNHFTSFIRQLNTYGFHKVDPDRWEWANEGFVKDQKHLLKTIKRKKKSAQDATSDLQPAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLHVMEQNQQQMMALLAIVVQNPNFLNQLVQQQRRSNWWNDDGSRKRRFRALEQGHVADQETSVAGAQIIQYHPPIPETSNQVIRVNGAFSPTTAQPVSSPETAMPMDVETTSNSVDPLASTGDLLPNTSALSGWDDMFLGCEIEDILPSEQDFQMGEQQNSQVEPPLTVEDYTEYPVFHTSQLEQEQQLQDCQMEAQQGHKNLQYADIITEA >PVH33015 pep chromosome:PHallii_v3.1:9:67775188:67777525:-1 gene:PAHAL_9G548200 transcript:PVH33015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to HSP protein (Fragment) [Source: Projected from Oryza sativa (Os03g0224700)] MGSKKRSPQRPASPAGGEVAGDVGAPLAGKAPPAAAPVGVVPKPPDVAPFLTKVYDMVSDPATDAVISWAAGGGSFVIWDSHAFERDLLPRHFKHNHFTSFIRQLNTYGFHKVDPDRWEWANEGFVKDQKHLLKTIKRKKKSAQDATSDLQPAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLHVMEQNQQQMMALLAIVVQNPNFLNQLVQQQRRSNWWNDDGSRKRRFRALEQGHVADQETSVAGAQIIQYHPPIPETSNQVIRVNGAFSPTTAQPVSSPETAMPMDVETTSNSVDPLASTGDLLPNTSALSGWDDMFLGCEIEDILPSEQDFQMGEQQNSQVEPPLTVEDYTEYPVFHTSQLEQEQQLQDCQMEAQQGHKNLQYGIH >PAN47296 pep chromosome:PHallii_v3.1:9:17376308:17377533:-1 gene:PAHAL_9G249800 transcript:PAN47296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGSPKLIPAALLPALLALHAPMATAANSNLFREYIGAIFNGVQLSDVPINPNVQFDFILAFAIDYTATDPPNPTNGQFNIFWQESVLTPSAVAAIKQSNPNVRVAVSLGGATVRSSPVFFNITSVDSWVQNAVASLTGMIQQYDLDGIDIDYEQFQADPATFAECVGRLVATLKSNGVIRFASIAPFDDAEVQSHYQALWASYGSVIDYINFQFYAYDSSTTADQYVNHFNDQIANYPGGNILASFSTEPTATSVPIDTALSACQVLQSQGKLYGIFIWVADYSNRQGFKYETQAQALLANATSQ >PAN47859 pep chromosome:PHallii_v3.1:9:19158620:19160973:1 gene:PAHAL_9G259100 transcript:PAN47859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLSSQASKNQPREHGEAPGPESSKKLRLSSIPPYGHDHPRLIPGLPDEISLQILARMPRIGYLKAKMVSRSWKAAITGAELYRLRKEFGVAEEWLYVLMKTADDHKLIWHAFDPVSNQWQRLPLMPGINHRRGECRSGVSGIGLGDLVSAGIRISDAIRGWFGHKDLLDSIPFCGCAIGTVDGCLYVLGGFSRAFAMKSVWKYDPIVNSWQEVSPMSTGRAFCKTSLLNNKLYVVGGVSKGKDGLTPLQSVEVFDPATGVWAELPDMTFSKAQALPTAFLAELLKPIATGMTSYRGKLYVPQSLYSWPFFVDVGGETFDPEANSWAEMPVGMGEGWPARQAGTKLSAVVDGDLYALEPSTSSDSGKIKIYDPQEDTWKVAVSQVPVGDFAESESPYLLVGFLGKLHLIIKDVDNTINIMQTARLKPTDVAASSAGTTCQNPDVSLEQETDVWKAIATKNIAVAEIVSCQVLSI >PAN48949 pep chromosome:PHallii_v3.1:9:58287054:58287732:1 gene:PAHAL_9G414800 transcript:PAN48949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISSSLLIAAAAAAVIALCSVAPAAAAREAPAPIIVGGWRPIKDVNDPHVQELGGWAVSEHVRQANDGLRFVKVVSGDEQVVSGMNYMLLIEATSGAAGKSATYGAAVYEQEWTKTRRLLAFEAAN >PVH31815 pep chromosome:PHallii_v3.1:9:16207388:16208245:1 gene:PAHAL_9G240200 transcript:PVH31815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVTGGFLAPRVPVPSSTAAAPIIAGGEPPRRGSPLRTSPSLLSHSRPAAARLLAAMACAWPRGGGAGSMAGEAGSGLSQGAGKMAGWGATAATSYRRAASSSSIFCGSAAVASGGCSPWRGTAACCVAPRGGAWRPEAGGWWRGCLPRRATAAVAVKRTGGRSGSTPPRSGSLRRKVGDGGGLAQALIFRWAMVAVPCPTPSSCTMAGAAARSRCCLGRGIVVADARGGWSSGCVGSRAKVLLGLRPLPAMMVPWCIILLLEGVAVRTSTSKDSSR >PAN46833 pep chromosome:PHallii_v3.1:9:14168301:14168987:-1 gene:PAHAL_9G218300 transcript:PAN46833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKITAMAAAAAAIALLLLPLGQAEERPTAAHPHGLPFESPLALSPAAYDFFHPSARARRAHSVASAPALAPRGQQQLRESAVRGTTASVARADQEAGGVAPVRMVRHRTVAGVFVGAAAAALVAVGVAYAVVRRRMAAARGGAGADAGATKSNA >PVH31991 pep chromosome:PHallii_v3.1:9:29606908:29607359:1 gene:PAHAL_9G285600 transcript:PVH31991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAILGAANRDQFSSASIDPSRFCVIVTGSLCNSTDAGIPTRRSESRCRRPTSCSGLRTGAPLGACRALRMRKRNANSTVFNKYLI >PAN46607 pep chromosome:PHallii_v3.1:9:12626186:12633201:-1 gene:PAHAL_9G197100 transcript:PAN46607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGCAKQIPLYGFESSFILALRNSSPRLAPDTACTSRAAPPSRVRSSAPTTHLPPPGPRSPPAHPPIPRRGDRDLHSLSCPHRGYPHRAATPLPRPFKNPNLSHELGPRRPPPHRTALGTDPGRAACRLISRAAMWRSAARRSSQIRRLLSSSASPTGPLATVPGPCIVQKRGNDILNDPWYNKDTAFPLTERDRLGLRGLLPPRVMSFEQQYERFINSYRSLEKNTRGEPDSIVALAKWRILNRLHDRNETLYFRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFTAKDKGEMMSMIYNWPQEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDLYVAAAGINPQKILPVMLDVGTNNEKLLEDNLYLGLRQPRLEGEEYMAIVDEFMEAVHARWPKAVVQFEDFQMKWAFETLERYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRHLHDFPNQKIVVAGAGSAGIGVLSMAKQEMLRMKSGEGHNQFWVLDKDGLITKDRKDLDPAVARFARGHGPDEIPDLHEGASLVEVVRKVKPHVLLGLSGVGGIFNEEVLRAMKESDSPRPAIFAMSNPTTKAECTPDDVFKHVGENAIFASGSPFSNVSLGNGKTGYANQANNMYLFPGIGLGALLSGARHISDDMLQAAAECLASYITDDEIQKGILFPSVSSIRHITARVGAAVVRAAVAEDLAEGYRTVDPKDLASMSESQTVDYVARNMWYPVYSPLVNNK >PAN45238 pep chromosome:PHallii_v3.1:9:6037748:6041261:-1 gene:PAHAL_9G104100 transcript:PAN45238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPPVLTLLVQKGPCKGATRRGRAGSALRVGRVPKGNDLAVRDAGASQSHLSVEFLAPPAARWAVTDLESSNGTLLNGAPLVPTVPAPLSDGDLIKIGESTVLSVSISADEGPQPPPAATRRSARSAAAVAEEQGPAVTRRAGRKKAAAAEAPEAEKEEAAVPTRRGGRKKATEPPDSEKEVKEEAAVPTRRGGRKKAAEPPEVETEEEEEEVAVPRRGRLRKAAATAALPPQPQSTRSTRAAARRGDAVGSGNDEGKVEGTGRGAGRVTRASARKATHAVPEEDEEEGEVPVSRDQVGNPPRATGPKGGEDKDTVKTRHGASNTSEEVPAAGRGRGGRRKATRANTRKADDAIIEEDAQKEQEESDVADGRECRGSPRWVMSANDGGEEDRMPTGDDKLDRTSKASMEDEKMVDVEEDAPLAPKGQTGRAIEGRVNAQHATTNNDGMEERVGKDSSRGGENEVDRELRERMLPESKLDGVVEEEETDKRSIGGTGEEGLVEERTGISSLENMTLGEWFVRIEKYLLAKNEEAAEKAIAEVREKHRRFCEHLKTLEKSSDPS >PAN45237 pep chromosome:PHallii_v3.1:9:6037748:6041262:-1 gene:PAHAL_9G104100 transcript:PAN45237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPPVLTLLVQKGPCKGATRRGRAGSALRVGRVPKGNDLAVRDAGASQSHLSVEFLAPPAARWAVTDLESSNGTLLNGAPLVPTVPAPLSDGDLIKIGESTVLSVSISADEGPQPPPAATRRSARSAAAVAEEQGPAVTRRAGRKKAAAAEAPEAEKEEAAVPTRRGGRKKATEPPDSEKEVKEEAAVPTRRGGRKKAAEPPEVETEEEEEEVAVPRRGRLRKAAATAALPPQPQSTRSTRAAARRGDAVGSGNDEGKVEGTGRGAGRVTRASARKATHAVPEEDEEEGEVPVSRDQVGNPPRATGPKGGEDKDTVKTRHGASNTSEEVPAAGRGRGGRRKATRANTRKADDAIIEEDAQKEQEESDVADGRECRGSPRWVMSANDGGEEDRMPTGDDKLDRTSKASMEDEKMVDVEEDAPLAPKGQTGRAIEGRVNAQHATTNNDGMEERVGKDSSRGGENEVDRELRERMLPESKLDGVVEEEETDKRSIGGTGEEGLVEERTGISSLENMTLGEWFVRIEKYLLAKNEEAAEKAIAEVREKHRRFCEHLKTLEKSSDPS >PAN47009 pep chromosome:PHallii_v3.1:9:12997542:13001374:1 gene:PAHAL_9G203800 transcript:PAN47009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGDMDEEAMRAFFPLSFGKTTARPSSAASSSAHSSTLRKPQNPSNPKPSASAAADDDGGAMIGPPRPPPGPAGEDDDEDGGGMIGPPRPPPPSAQGEGEDDEGGGMIGPPRPPPAEEDEEEEEDDDDDDMEDDGDGGFNRIPLSNEIVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLVRPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTIKTGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRRMKTPLKVFEDLPNHYAETNAAFSPDEQLILTGTSIEKDGDNGGLLCFFDRKKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGYGGRVGTSKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSDNEEK >PVH32528 pep chromosome:PHallii_v3.1:9:58662375:58663984:1 gene:PAHAL_9G420500 transcript:PVH32528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSWWWERAVGEVSKRRGDRAAASHRSFQVQSVALVVGSTGVVGASLVDILPQPDTPGGPWKVYALSPRPPPPWYPSRSPSSVTHVHVDLTDSPAVAEAHAPLTDITHVFYVAWSSRPTDAQNREANAAMLRNVLSAVVPTCPALAHVCLQTGTKHYVGSFEAIGRVPLPEPPYTEDMPRLDCPDFYYDQEDILVDAVSRRGGVSWSVHRPRLILGFAPRSAMNVVCSLCVYAAICRSEDVRAVVRWPGTRGAWEGFDNASDADLVSEQQIWAAVDPMAKNEAFNCSNGTSTRGSCCGRYSPDVLGWSGRGTRGRRTGSRSQRPWPHYKKKILVRAGKNVILGTMWYPISRS >PAN47667 pep chromosome:PHallii_v3.1:9:37833573:37836984:-1 gene:PAHAL_9G316500 transcript:PAN47667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFSCDTSYMPLSMPCPDNMASIPRLEDEQEKEPNRLIMKRLLRRCWEESRLLWRLAFPVLLSEVFQFSIGFVTTGFVGHLGQVELAAVSVVENILDSSAYGVLFGMGSALETLSGQAVGAGKLEQLGTYTQQSWIICGATAVALAPAFVFATPVLKSFLHQPAHVSSIAGPYARWAIPRLFAHAMNIPLLMFFQAQSRVWAVTAISGAALAVHIALTYLAVRRLGFGLPGAAVVGDVSHWLVVAAQFAYMTGGSFPDAWKGFTVRAFKNLGAFVKLSLGSAVMICLEFWYYTTLLVLVGLLKHAKLQLDVMSVCLNYEFLTIMVALGFSTAVGVRVSNELGANRPKETKFAVVVAVSTSIFIGAIFMGAVLIWRTSLPKFFSDSREVIHGASRLGYLLAVTVFMSSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPLGILFGFKRKHGAMGIWMGMLTGTFLQMAILLAIIFRTKWEEQADLAETRMLQWGGKNENLPLTNAPPTDDQMVPADDKMLAEGSQNNAELLHTD >PAN46718 pep chromosome:PHallii_v3.1:9:13568615:13570604:1 gene:PAHAL_9G209800 transcript:PAN46718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTDSCLARVGAGAAIGGAVGGAVGACYGTFEAFRYKIPGLLKIRYIGQTTVGSAAIFGLFLGAGSLIHCGKSY >PVH32998 pep chromosome:PHallii_v3.1:9:67492545:67492985:-1 gene:PAHAL_9G544200 transcript:PVH32998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVETTTRTGQAVGVSSWLADPPAVSHLCIHFPGMKVTDLMDEPLVVGVGKDIAVIRIAYTYGARPIESMVDLGVTDFDYLVYRAHTEKPSLQLLPNPKPLFFEPIEIGLLPSVNGGGDFMMAVVHPQRVQLQYDLHIFLSRQIRG >PAN46253 pep chromosome:PHallii_v3.1:9:11350490:11356512:1 gene:PAHAL_9G179700 transcript:PAN46253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGDAHGGSGGRLESILADASSPRARRAWAAGAIELRLLTRLAAPAVVMYMINYLMSMSTQIFAGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAQKYEMLGIYLQRSAVLLCATGIPLAVIYAFSEPILVLLGQSPAIARAASVFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTATLALHVLLSWVVIYKAGLGLLGASLMLSLSWWVIVAAQFAYIVMSPKCRHTWTGFTCQAFSGLGDFLKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAYFSVWVVTALSALIAVILSIVILCLRNYVSYLFTEGEVVSNAVADLCPLLAVTLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVLLGFVFKLGVKGIWGGMIGGTCMQTAILLWVTLRTDWNKEVEEAQKRLNRWEDKKTEPLLAGVSNGS >PAN44125 pep chromosome:PHallii_v3.1:9:1104168:1104803:-1 gene:PAHAL_9G019400 transcript:PAN44125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDDCECCDCFDQHSRFACGFCIGLAIIAAVAVIVVLVVGYGHAAQPRFDVEDASLARFALATTSPATTVSYNLTLTLAVRNPNWAMGATFRSLEADYLFDGQRFDRVDVAAPDYVLPARKTAVFRIASGADAVSVMLGSAGVKAYRRQSEKGVFDVEAALSGQVKYQLHSTWCRLEARCPLKLQLAGQDAAAVVFQKTTCELLRSSQRGC >PVH31638 pep chromosome:PHallii_v3.1:9:12635007:12635566:1 gene:PAHAL_9G197300 transcript:PVH31638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLPIPPSLSHTPLTISLLSLTHRHALLHMSGSIAYIPSLSLLSLWLFAHVIYTQEA >PVH32147 pep chromosome:PHallii_v3.1:9:42984211:42984696:1 gene:PAHAL_9G329800 transcript:PVH32147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSPRPFAPKSEPPCAALLDSKRRRPYAAAPARLRLLAGPTRRCRRSAAAPPRHAAAPHTRVVCPHRPHHLTVAAPRRPYSACRRSSTAPSIATAPSHTRCHCCS >PAN50580 pep chromosome:PHallii_v3.1:9:66562228:66563283:1 gene:PAHAL_9G529800 transcript:PAN50580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSISENARFERALATYDEDTPGRWERVAAAVGGGKTADDARRHYAQLIVDVGDIESGGYGGNPNGRSGDARNGNRNNNRGRANRPQT >PVH31367 pep chromosome:PHallii_v3.1:9:7622104:7622445:1 gene:PAHAL_9G127500 transcript:PVH31367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVPWALAVRRSLQEGAASATSYTRRAPHQQQQQGAATVRSVETLVVIVAAIVLAAVLAGVLARVCGGRGDDRDVEGWVERRCRSCLDSGLPPTPAPGSSKTSDAK >PAN48398 pep chromosome:PHallii_v3.1:9:55021643:55024308:-1 gene:PAHAL_9G379700 transcript:PAN48398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLAFSSPFLVAGSSSSRRPLAAAPTRRAGLRVAALKYDPARVAPQSDRVLVRLQQIPEKSAGGVLLPKSAVKFERYLMGEILSVGADVSEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >PVH31768 pep chromosome:PHallii_v3.1:9:14881790:14883599:1 gene:PAHAL_9G226800 transcript:PVH31768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSEFHDDRRVHGRRQQPFTEHLAMSMDLDDDDDSFSDEVAVAPARRLSGDSFNVLNTNTSSNKSGSVFCRLLYLQVLDLSNNLLTGELPDCWWEMQALQFMDLSNNSFSGEIPEAPPSHDCSLESLHLAGNGFTGVFPSVVKGCGSLATLDIGNNQFFGRVPPWVGSRVPALKILRLRSNNFTGEIPPEISQLRQLQLLDLANNNFTGPIPREFGKLSAMKNPIINSTGSLTGSNYQDRIDIIWKGQEVILQKILQLMTGIDLSGNSLSQCIPEELTNLEGLRFLNLSRNNLSCGIPESIGSLNVLESLDLSLNELSGAIPVGMSSMVFINTLNLSNNHLSGKIPTGSQLQTLTDPSIYSNNPGLCGPPLDIPCTNASPALNKRNDKDSDQWLYYCVIAGIVFGFWLWYGMILSIPKWRHSAFFFVDAMQYKVMLKLQPIDPYLSKEKSDPFL >PVH32177 pep chromosome:PHallii_v3.1:9:46863566:46864034:-1 gene:PAHAL_9G340300 transcript:PVH32177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLMSLNSTIDEATLMNLVETARNSFFTTYFSSIASLSSWMHPTSVMNFSAKSSTDSASFILSMAHTSAAVSFSTTRSSVSSSTTWEIIDKASWSMPSSTASVAPSRLTAAHASLVRKRNFILITHAA >PAN49260 pep chromosome:PHallii_v3.1:9:60274117:60276006:1 gene:PAHAL_9G437300 transcript:PAN49260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIAMEAPSSPNGRKRKSSPASSGLGDLHDDMLERVLARLPPASYFRLRAVCRRWRAAAASPTFLDACARVPSREPWFLMLSESDGHRPAVAFDAAERGWNHCRAAPGSVPVAASRGLVLYRAPATGALTVANPLTGASRALPSPPRQGGQHQLQAIAMYGGAPYRVALFTGELPDLSMTVFDSSSDSWEGPVPLAHRSEEDSCPDAPGQGGDDTVYFLSKSGDVVATNMQRSASKQYSSVVVPSRAGGTDAVAYFLSHSGTVVACDTARRTFCELPRILPVYFEYSIDVVACGGAAYAVVLSEYLDTASLRVWEFSGGAWRQVAAMPPAMSHGFHGKRADINCVGHGDRLVVCVSSGEAVNGGCFMCDVGSNRWEELPRYVNGDGEASEFLAAFSFEPRVEIAV >PVH31713 pep chromosome:PHallii_v3.1:9:14183260:14184909:-1 gene:PAHAL_9G218600 transcript:PVH31713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLAAGVVPASSFLACFSVQKKQFFKLASARVQIFLLRFKMRAWIWSRRGCAVGGEALQARPWYGAREAAGGWRPWASGGEASAERRSDTSWARAAAQRHRHGRRSSFDTGRTRRRGQLDASMDPTTAW >PAN50670 pep chromosome:PHallii_v3.1:9:67005175:67009805:1 gene:PAHAL_9G536500 transcript:PAN50670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILACVVAVEAAVAALVTLPAPRAVRGQIVGLTSLLLQPLASVIPFAAFQLLDIYWKKEHRLMCTSEICTAEERIRFEKSMFKAQRNVILCVSACLLYWCIYRIVKYNKDIKALEETEKRLKED >PAN48998 pep chromosome:PHallii_v3.1:9:58539502:58540948:1 gene:PAHAL_9G418100 transcript:PAN48998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSEGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPDAQRPALFQSLLYEAAGRTINPVHGAVGLLGTGNWHLCQAAVDTVLRGGAIGPLPELGGDCGAGAGSGDLYGPAAGKRARGWSTFSTAKRVRTAGEAAPPAAEASCDLGLCLSPGSPPAPGERRAPLLRPGTPSMSSDESVTTTTGGDREPVLLNLFP >PAN50973 pep chromosome:PHallii_v3.1:9:68613029:68626608:1 gene:PAHAL_9G561600 transcript:PAN50973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAATAPDPAHPARPPLTPALDKPNSAAARRNSRSNKPVSSRYLSAAAASPTSSTSSSTSSSSSSSSRRSLSAQRTRASTPPPQQSTSPTTTRSAAAAAAAATATATTMRSLSVSFQGESFFYKTSRAPRASSPSSPAARRGPTPERRKSVSSVPEAENARPQGRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPAAAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSGRRSFSDSPMSPRLPGRSPSPCRGSRGVASPSRGRGGEASPNGHAMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDASLLVQSFTAEKTLHSAWKEISRLRDNVSSKRCRLQLQKQKLKLFAILRGQMSYLEDWSHIEKHHSSALSAAIKALKASTLRLPVVDGAKADVQGVKEAVNSAVDVMHTMTSSICNLLSKVEGTSSVVSELAKLATQEQMLLDQSKDLLSTVAAIHVKKCSLQAHMLQRNQKQSPAQL >PVH32046 pep chromosome:PHallii_v3.1:9:32607056:32612136:-1 gene:PAHAL_9G300800 transcript:PVH32046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDDEPVDSMEIDGQQQLKVEDPAAVPEGFNADYLRVYYGKLFPYDDFFKWLCYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCSDCWPLMTIAIKILDTSLRDDFGFNHILWVYSGRRGVHCWVCDSRARKLSNEQRAAVADYFRVYKGGENTLKKVSLAGPVLHPFLARSYMDVLESFFEDKLLLSQQLCASEERCQKMLDLIPDENVASELHDKWQGNRRSSISKEDVNAARWKQLKMTLQSGKHKVQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPVDPNNCDDFDPTAVPTLSQLLGELNAVGFQTDSENNWERTSLEKSIRFFRTSFLQPLLKACKKELESAYNAKLQQSKNSLNW >PVH31973 pep chromosome:PHallii_v3.1:9:25942926:25943854:-1 gene:PAHAL_9G277700 transcript:PVH31973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHLIEVAAYPIGLFPTIDLGNSDWDFRTDHHGHLLGDLAEETVRMVTRFMITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEEEEDPEEIEGISEIDSEHGDPVLSPHHSLSGSQSSVGNFDDF >PVH33291 pep chromosome:PHallii_v3.1:9:72230128:72233912:1 gene:PAHAL_9G619300 transcript:PVH33291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAGVQLGSSKPQIATQAEMAEARVPLPYRDQCAHLLIPLNKCRVSEFYLPWKCEPERHAYEKCQYELVMERMLQMQKIREAQEAKVKGGASIGLIPATAKLA >PAN50092 pep chromosome:PHallii_v3.1:9:64404105:64408614:1 gene:PAHAL_9G497400 transcript:PAN50092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAEKLRGLRITSLDEDDDETVVPHQPLPGPAAAAADYEDDDEDEEEEAEVTLGVLKKPKRPGFLLRHLFPSKAGGIPAWLDPVNLPSGKSSCCGFCGEPLQFVLQIYAPIEDNAAAFHRTLFVFMCPWMACLLRDQHEQWQHKHGNPCRSVKVFRCQLPRTNAFYSTEPPKHDGSDKPLCPGAPVCHWCGTWKGDKICSSCKKARYCSEKHQALHWRTGHKNDCLQLISSSDASKSVLTAIGKVPASTSWPEFEIETDFEGTFDSDSCDENNSKSLVMHRHGKPDAMTQSWMDQFEADADNKCWASFQERVSRAPNQVLRYCREPNAKPLWALSSGCPSNADVPSCSSCKGPLCYEFQIMPQLLYYLGVGNQPDSLDWATIAVYTCQGSCDQSVSYKEEFAWVQLYPTTTTMH >PAN44283 pep chromosome:PHallii_v3.1:9:1787526:1788371:-1 gene:PAHAL_9G031800 transcript:PAN44283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHPRDTAAGDVTLSLALSLGGLPAGDRGASKRPRRAGAGGGEGEFVCKTCSRAFASFQALGGHRTSHLRGRHGLALGMPAAAPAAKQQAAPPAASHQCQFCGLGFEMGQALGGHMRRHREEAAAAAQAPPVLLQLFV >PVH32184 pep chromosome:PHallii_v3.1:9:46952991:46958832:-1 gene:PAHAL_9G341100 transcript:PVH32184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQYHYQLLLRREMGQLINATRSHYREVDRQNTQVDQLRALVTQKDEIIAARDETILHQEDQINESDHIITQRNTIIEFLQEQIYDLILEADDAQAHLEELQQPPIPPVAPVVPEVEEEDPEEIEGVLELDSEHGDPVLSPHHSSSGSQSSVGNFDDFYLLNRRFLSSCNL >PAN49586 pep chromosome:PHallii_v3.1:9:61620268:61623310:1 gene:PAHAL_9G458000 transcript:PAN49586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGLARRWAVELHDASSSSASPAVPDPPGFTRSAPDADDAAGARQRKDSETAWKAQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALRSVGKVFEPFKDPRVDTIAPKLLFIALNLAAMGLGVWKLNTLGLLPTNPSDWVSSLAPAREVEYAGGGIPLI >PVH30877 pep chromosome:PHallii_v3.1:9:310275:313192:1 gene:PAHAL_9G003200 transcript:PVH30877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQAKLACFGPDRHSDQMAAHLVVSCCLPGASPSSRAKAAAASSSSPPASNLKATKSRGDHTRIGRRDFVLRSSELAALAAIFHFSGTKPSYLGVQKNPPSLALCPATNNCVSTSEEISDSNHYAPPWNYNPKDGRRGKPITKEEAMKELIEVVTKTKPDNFTPRIVEKTDDYVRVEYESPIFGFVDDVEFWFPPGNKAIVQYRSASRSGFVDFNANKKRVKELRLALEKKGWASESNF >PVH32486 pep chromosome:PHallii_v3.1:9:57798503:57799744:-1 gene:PAHAL_9G410500 transcript:PVH32486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPHRSPIPPDRSQATESTTATRLDIRPDDHAAALSSRLPVLDPDAAGSVELGRLPCPALLPLAVSPPPPDSALPLRRCRVLLDCRQGLKMKRKNGPAVNLKSFLERSAAKKRAQKQNQNPSTRESQLQLVIYQARSEPEIENEIDCGDGNGITSILSDAENNDILNVESVSDDEDSNHGTYDIVHDPGLRPSILDYDAKDQDSVRREYIALGPCQPKMKINDFPQHNCGGMRRFLPKWFNEFRWIEYSVTKDAAFCFVCYLFKDNTHGRGGDAFVTEGFRNWNMKVRAYTILNPLEFTKLELDVLRDDSGWQEFLGKVSSFCEKYKVRVVDMNGKYKPIQR >PAN47106 pep chromosome:PHallii_v3.1:9:15654461:15656888:1 gene:PAHAL_9G234700 transcript:PAN47106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSHPAAASAAPRHHRAPRRAAASFRRRASHIAASAILLPGGGGSTGGRGGDRKLPFTPPPMAPPGQLYRPYHPPPSPLPANYRTLDLTQRLEVLRDRMGQWHEYAPLISALSRDGFTPSSIEEATGISGVEQNCLVVASQVRDSLISETAAFPPDLLAYFDSYSGPELLYELRFLNARQRADAAKHAIDYRLEPKGVRELARSMKDFPRRRGVDDGWGEFDGASPGDCLAFARFRQSREAINVEDRIAELERALQVVATDPARARVELEMERARKKAAGEEVEEDDDDAVARPAVNVVRLQYGEVAEATTVLLLPVVRETDGVAAMESAPRRTKTDVDLGIVEVDKAWARWAVVPGWGPVAAAAEEAVVVELADGRRLPWRTAEEEPVLVIANRGQKEVAEQGLYVLEKEGRLVVERGKKLAEQGITTAAAEVLIVVRPPRDEDDMISDEEWD >PVH31433 pep chromosome:PHallii_v3.1:9:8939664:8940098:-1 gene:PAHAL_9G145600 transcript:PVH31433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin C 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14890) UniProtKB/Swiss-Prot;Acc:O23344] MAAASASLLRLATPTRSPRFGLPSRPAAARPRGARLAPPPRAYKVTIEHGGESRVVEVEGDETILSRALDEGLDVPHDCKLGVCMTCPARLVSGEVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATADD >PAN45791 pep chromosome:PHallii_v3.1:9:8741648:8743180:1 gene:PAHAL_9G142500 transcript:PAN45791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTLPSRDGDAASPIPDAMMSSRKPSLGLAGACVGRSIVTGGLPFEEVWSGGNRCERPRTTRALQVLDALRRHRYTRSAELVDYRYCEYQKADEYKREEHNIVVQRATTS >PVH31993 pep chromosome:PHallii_v3.1:9:29811431:29811637:1 gene:PAHAL_9G286200 transcript:PVH31993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPDQCNGMWLFIYLLTRNGSRAGLKKDLRVSRVGPRGSLNPFLFLPIGVISQGLAMVSGRRGKKHT >PVH31403 pep chromosome:PHallii_v3.1:9:8336926:8337456:-1 gene:PAHAL_9G136600 transcript:PVH31403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAAPRHAALLLALLLLGVLSTTALAARAGAVDAELVTTTKKPAPAGPKKPAVKPPPAVPNPGAGAGGVGGAIPTIPGFGGGIPGMGGFGNGIPGMAGGWGGGYGGPAGGYARGGVVAPTVTCTEKGPCYRKKVTCPKKCFSSYSGAGKGYGGGGGGGSCTVDCKVKCTAYC >PAN49162 pep chromosome:PHallii_v3.1:9:59590600:59591277:1 gene:PAHAL_9G430500 transcript:PAN49162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASDMNRAPCPYRILEDAGGSFGMGAVGGSAFHFAKGLYNSPNGHRLAGGATAVRMNAPRVGGSFAIWGSLFSTFDCVLVYARQKEDPWNSIAAAAGAGATLALRRGLLACGTSAAIGAALLALIEGAGIMMNRLVPEGMVQHPVQQDDGQYAAAAPPGFLGVPPAPPIVVQEVPGAEEHGPPTGWLGGLFGRKQRDSKVAGGDRKPEVVQMDLPPTAIPSFD >PAN48392 pep chromosome:PHallii_v3.1:9:54922947:54924518:-1 gene:PAHAL_9G379300 transcript:PAN48392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARSGAAAALMVAVAVLALAAGGSAQLQQGFYKGKCNGTDVEAVVQGIVKSWFAREPPVIAYLLRMQFHECVINGCDGGLLIDGPGTEKTAAPNLSVKGYEVIAAIKAELERRCPGVVSCSDIQILATRDAVALGGGAAYSVRTGRRDRRRSLASDVKLPSPDYTAAQTTVYYGRLGLSTFDTVLLLGAHTVGATRCAAIKSSRLYAYGGRPGATDPGLDPAYAFMYKTYVCPNVPSSDNNVVFLDDQWSALKVDNHYYRNLQLRRGVLPCDQNLYNDGSTRWIVDLLANNAGLFTSLFPQALVKLSEVNVLTGTQGEIRKVCNRFN >PAN49977 pep chromosome:PHallii_v3.1:9:63811306:63813028:1 gene:PAHAL_9G489400 transcript:PAN49977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERDHGAAAAGEENGFYYHDDAQVEAMQRRVDAAPPLGDDPYTIFRLPAAVRERHRDLYEPKVVSVGPYYHGRAGLGAAQQHKWRLLRDFLSRGKKTAGGLGAYLCAAREVEADARRCYAEGFGLGADEFVELLVLDGCFLLEFFLKKSEGQLAAPGGAKWAWHHMYHDVLLLENQIPFFVIEKLHGVAFAGEDGAERDALLDIFCKAFAGDLPSSRTIRLPSEKTIHHLLHLHYECNVRNPAADNDKGRNTTGDANGASLAIWKQPAIQSPRSGEGAGRKGRLTSMIPPAEKMEEAGVTFKRKATPRDVFDASFRYGVLHMPAFVVDEGAKVLFANLVAFEQGGGRAARQLDGGNLVTGFVALVGSLVNSRRDLEVLRRCGIMHCMLADDDAVAYFNHVVQYTTMDYDRHLLACLFRDVRKHCHWNR >PAN46402 pep chromosome:PHallii_v3.1:9:11588707:11591801:-1 gene:PAHAL_9G183200 transcript:PAN46402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 56 precursor (EC 1.11.1.7) (Atperox P56) (ATP33) [Source: Projected from Oryza sativa (Os03g0563600)] MASTPQSPPHPTSFLYIPRTPAGRPADHAPPPAHVRGARLEPPEKRAQAKQPIDYFFPPPSVGDKLVLPGSLHWQLCTTMAVRVLPLLLVLVASVHGYGYGHGDGKLRVGFYKDSCPDAEAIVRKIVAKAVRKDPTASAPLLRLHFHDCFVRGCEGSVLLNSTKGNTAEKDAKPNLTLEAFDVIDDIKAALEKECPGKVSCADILAIAARDAVSLATKVVTKGEWSKDGNLYEVETGRRDGSVSSAKEAVKNLPDSFDGIRKLIKRFASKNLSLKDLAVLSGAHAIGKSHCPSMARRLRNFTAHHDSDPTLDRAYADRLRRRCRSPRDRTTELEMVPGSSETFDAAYYGLVAKRRGLFHSDEALLRNEVTRGLVLRYRDAEEALFLRDFGASMVNMGRVGVLTGGQGEIRRRCAFAN >PAN51047 pep chromosome:PHallii_v3.1:9:68913991:68917997:1 gene:PAHAL_9G566100 transcript:PAN51047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNERPPKPLPLLPQMEISDELRSFEATGVYRLNVTGTSTGAAFLDPVRLLNGSYQRFRIIPSAYYSRSFEPPRQVGDTETEPPEKRRKRKRNQKPKPRGLNAMERIAEARHQEARPLLLSAHESLIKDKYLLEHISKMIEGKEHKLDVGSGFENNFVELGTSWRAPFYEITICFRKPHVLGNGEGSFNAQKTSFPLFNSIISVEAIDEAEGDFQNRHYILPRGSCFLMSDFKRVRDLIPGSSNQGYNLIVVDPPWENGCVRQKEAYPTLPNKYLLYLPVQELAHPAGALLVLWITNREKLRMFVEKELLPSWGVKDPTVFYWLKVKHDGSLIGDLDLFHHRPYECLLIGYINVNTDAKQGSNFKLLEGTQVIMSVPGAHSRKPPLEKLLSEYIPGPKPPRCIELFSRELFPGWTSWGNEPLHFQDSMYFAEK >PAN51046 pep chromosome:PHallii_v3.1:9:68913991:68917997:1 gene:PAHAL_9G566100 transcript:PAN51046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNERPPKPLPLLPQMEISDELRSFEATGVYRLNVTGTSTGAAFLDPVRLLNGSYQRFRIIPSAYYSRSFEPPRQVGDTETEPPEKRRKRKRNQKPKPRGLNAMERIAEARHQEARPLLLSAHESLIKDKYLLEHISKMIEGKEHKLDVGSGFENNFVELGTSWRAPFYEITICFRKPHVLGNGEGSFNAQKTSFPLFNSIISVEAIDEAEGDFQNRHYILPRGSCFLMSDFKRVRDLIPGSSNQGYNLIVVDPPWENGCVRQKEALCCRYPTLPNKYLLYLPVQELAHPAGALLVLWITNREKLRMFVEKELLPSWGVKDPTVFYWLKVKHDGSLIGDLDLFHHRPYECLLIGYINVNTDAKQGSNFKLLEGTQVIMSVPGAHSRKPPLEKLLSEYIPGPKPPRCIELFSRELFPGWTSWGNEPLHFQDSMYFAEK >PVH32971 pep chromosome:PHallii_v3.1:9:67072131:67076614:1 gene:PAHAL_9G537700 transcript:PVH32971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWIRSDHQFAGRELARLTACSLAFLAVAATIQGFRSKAQSFGRFDLIGFAAVFCSTENVDKPCADCSRQDRAGDGTLALHGATRRDGASWLDPGGVFLAGPELTARTGENWRRT >PAN45260 pep chromosome:PHallii_v3.1:9:6158037:6161261:-1 gene:PAHAL_9G105900 transcript:PAN45260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQSSSRNHRSRGLKLKKALQITLLILVSVWLIYQVKHSYEKKASYSEDEGNDLHKDDKDQGEVIRLSRKDLPPKMEADSSTLDEKVEDEENDEMEQEMKHDENDEDPIDEQDLEKDEDLPEPGEHSADKDGDDVGVFEDEERKERSQEDQEKSFHGDNVSSAVTHDPPSSEQDELSHHAQEKVLFVDDASTAVPHENHKAGSKEEEVRRAREKSFRGDDVASSVDHDAKVKKPLPEEQLNTMDRIFEGTTNLSNGILFRGPGVNGSNATGEHVATPTNTSSHQNADIPSLNPESKTHPTPANLTSDHEQTNSTLNGQPEQQVNSTVALQGQVQPLTGQTSSVELHSPPNGTLAQVTDGQKSTSGAGNDDNNTDSSSTPVDNKADGEDAHKEDVDVSTKIMNRAMSEEEVVPE >PAN49101 pep chromosome:PHallii_v3.1:9:59223337:59225516:1 gene:PAHAL_9G425900 transcript:PAN49101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAKRSKKLRILLVPFFATSHIRPFTDFAFHLAAARPGDVEATVAVTLANAPVVQAALSSREPCGGHGGDARVEVATYAFPDVDGLPPGVENMSTVKAEDAWRIAAAAFNEAVMRPAQESLIKASSPDAIVTDLHFIWNAGIAAELGVPCVAFNVGGIFPTLALWRLPAVDIKDAAGGSVTVPQFPGPDISLPVTELPEFLRNQELVFEFDRGTENRFMVELKRCLGVAANTFIGLEREYCENYIDSGFVRRAYFVGPLSLPPAAAATAAVTGVEKSSSACLDWLDTMPAHSVVYLCFGSLTNMSEAQLDELAVGLESSGVPFLWVVRVPTWEPPAGWKERVGSRGMLVTGWAPQTDILQHPAVGAFVTHCGWNSVLETVAAGVPVLTWPMVFEQFIIERFVTQVASIGERLWPEGAGRRSTRHEEHDLIPAAAIARAVAKFMEHGGAADAARRRVAELSAKARAAMAEGGTSHRDLHQLIDDIVEARASGGETTAT >PAN49102 pep chromosome:PHallii_v3.1:9:59223337:59225516:1 gene:PAHAL_9G425900 transcript:PAN49102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAKRSKKLRILLVPFFATSHIRPFTDFAFHLAAARPGDVEATVAVTLANAPVVQAALSSREPCGGHGGDARVEVATYAFPDVDGLPPGVENMSTVKAEDAWRIAAAAFNEAVMRPAQESLIKASSPDAIVTDLHFIWNAGIAAELGVPCVAFNVGGIFPTLALWRLPAVDIKDAAGGSVTVPQFPGPDISLPVTELPEFLRNQELVFEFDRGTENRFMVELKRCLGVAANTFIGLEREYCENYIDSGFVRRAYFVGPLSLPPAAAATAAVTGVEKSSSACLDWLDTMPAHSVVYLCFGSLTNMSEAQLDELAVGLESSGVPFLWVVRVPTWEPPAGWKERVGSRGMLVTGWAPQTDILQHPAVGAFVTHCGWNSVLETVAAGVPVLTWPMVFEQFIIERFVTQVASIGERLWPEGAGRRSTRHEEHDLIPAAAIARAVAKFMEHGGAADAARRRVAELSAKARAAMAEGGTSHRDLHQLIDDIVEARASGGETTAT >PAN44527 pep chromosome:PHallii_v3.1:9:2844742:2846352:1 gene:PAHAL_9G050400 transcript:PAN44527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIDAELRLGPPGGEVTVVQPARKPAAAKRSSSAVKSEASGTGGHDDDAAPASKVQVVGWPPVRAYRKNAFHATAEAASARTKGEQGGLYVKVSMDGAPYLRKVDLRTYGGYRELRDALDALFGCFSSSAVDGGCQFAIAYEDKDGDLMLAGDVPWEMFICSCKKLRIMRGSEAR >PAN49297 pep chromosome:PHallii_v3.1:9:60403391:60406505:1 gene:PAHAL_9G439300 transcript:PAN49297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIGSSTGWTDEKHMLYITSLEESFVNQLYSSNGEINSLESFHRTSGVWQNTCYSGDGRNTKYDQGQGYWGMIGVDEAESRLSEVGYIGSPCSRGSSYHMDDASTNGPKQDRTSYHARQRTSRGSAAFRSRQHGPSFYWKTESSDQNFDGEAEGSRKQGRGSKNQQKLASTAEVGPSGGIGLH >PAN49296 pep chromosome:PHallii_v3.1:9:60402755:60406498:1 gene:PAHAL_9G439300 transcript:PAN49296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERSTTDPQRFGDMSNIGSSTGWTDEKHMLYITSLEESFVNQLYSSNGEINSLESFHRTSGVWQNTCYSGDGRNTKYDQGQGYWGMIGVDEAESRLSEVGYIGSPCSRGSSYHMDDASTNGPKQDRTSYHARQRTSRGSAAFRSRQHGPSFYWKTESSDQNFDGEAEGSRKQGRGSKNQQKLASTAEVGPSGGIGLH >PAN50850 pep chromosome:PHallii_v3.1:9:68040585:68043655:1 gene:PAHAL_9G551500 transcript:PAN50850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MAGKAGGLKGVALIGGGANSTVSGALHFFQDPTTGYTEVRGKVTGLAPGMHGFHIHVFGDTTNGCNSTGPHFNPHNKPHGAPFDDERHVGDLGNIAANKDGVAEVFIRDLQISLSGPHSILGRAVVVHADPDDLGRGGHELSKSTGNAGARIGCGIIGIQSSV >PVH31613 pep chromosome:PHallii_v3.1:9:12262973:12263517:1 gene:PAHAL_9G192000 transcript:PVH31613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLIKLLAVLIALSTASVTWPAAAVLARATSTPPGPNPAQLLYVFPRHRPPPRAHRRHHRRHGVRSVRGPRPPYPPRRDVQPLGPPAP >PVH31646 pep chromosome:PHallii_v3.1:9:12741712:12742498:1 gene:PAHAL_9G199700 transcript:PVH31646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGIIDLHHNIFFFLILISVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIKIIRTIFPSVIPLFIAIPSFALLYSMDGVLVDPTITIKAIGHQWYRSAPLNEGDLSATKCTGGLRSIWLTGHLPFPYRRETKRTIAC >PAN48500 pep chromosome:PHallii_v3.1:9:55649508:55651085:1 gene:PAHAL_9G385600 transcript:PAN48500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELRETSSSSATTTSSCTTSCCSSTVTDSSSSPPSPAAANAAPAPRKRQAAEADAEAEAEAEVGGEEEEEEFAGKTAAAAKKKRKRSSEGKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPGLAGVLPRAASAAPKDVQAAAALAAAFESPSSPFSEPDAGAAPEPCHAQEDPAAENVAAAPEEAAAEAAVPPPTSQPATPSSSVEEERHLFDLPDLLLDIRDGFGCFPPMWAPLPDVEEVNAELRLEEPLLWE >PAN46363 pep chromosome:PHallii_v3.1:9:11930554:11930763:-1 gene:PAHAL_9G188200 transcript:PAN46363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPAAGAAAPAMPFAGQPRGHGLRRPAPAPPGAALRLGPLFWPWEKVRSRTPSRPQYHRSCLQLNHV >PAN44859 pep chromosome:PHallii_v3.1:9:4819759:4824072:-1 gene:PAHAL_9G083900 transcript:PAN44859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MDEAVQEAKLLRQVNALIVAHLRGQSLGQAAAAVAAATMIPLSAADSVPANHLLRLVAKGLAAERGGAASAFDSAGFGGVVPPLGSGAVDFSVQDVRGSSKSFPKHEAKHVSDHKNIARCAKFSPDGKYFATGSADTSIKFFEVAKVKQTMAGDSKDGNARPVIRTFYDHMQPINDLDFHPESPILISASKDNTIKFFDFSKTNARKAFRVIQDTHNVRSVCFHPCGDYLLAGTDHPVAHLYDVNTFTCFLSANPQDSSSAINQVRYSCTGSLYVTASKDGSLRIWDGVSAECVRPIIGAHGSAEATSAVFTKDERYLLSCGKDSSVKLWEVGTGRLVKQYAGAVHRQFCCQAVFNETEEFVLSVDEQNSEVVVWDALTAEKVARLPSGSTVAPRWLDHSPVEPVFVTCGNDRSIRFWKQTV >PAN46184 pep chromosome:PHallii_v3.1:9:10481702:10484164:-1 gene:PAHAL_9G168200 transcript:PAN46184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKENSACAAAPPRLTRAQAKRAAAVTAVAVAVAAKRKRVALTELPALPNAAHDDDDYCAKPARKQRLLEPEPESEPKPKPRAAPASKAAPPPAADGEEGDPQLCTPYASDIYSYLRSMEAQAKRRPALDYIAAVQVDVTANMRSILVDWLVEVAEEYKLVSDTLYLTISYIDRFLSDKALNRQRLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYTKQEVVKMESDILNVLKFEMGNPTTKTFLRMFIRSAQEDNKKYHSLSLEFLGSYLAELSLLDYGLLRFLPSLVAASVVFVARLTLDPHTNPWSKKMQTLTGYKPSELKDCVTAIHELQLNRKCSSMMAIRDKYKQHRFKGVSALLPPVEIPAPYFRKLKE >PAN49533 pep chromosome:PHallii_v3.1:9:62283632:62287179:-1 gene:PAHAL_9G467800 transcript:PAN49533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMLSNRLAPFISKRCISSLRTSSPSSSFSSLSPIQPWLFIGLGNPGEKYQSTRHNVGFDMIDAFAQSQGIPLTTHYFKALFGEGMVDGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVAFDDMDLPCGVLRLQPKGGFGRHNGLRSVIYHFRRNREFCRLRIGIGRPPGQMDPKAFVLQKFNRTSRERIDSAIKEGVDILKMVATKGLAEAARLSNADQKYKHLRSHDPQD >PAN51186 pep chromosome:PHallii_v3.1:9:69598225:69599850:-1 gene:PAHAL_9G577000 transcript:PAN51186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease AED3 [Source:Projected from Arabidopsis thaliana (AT1G09750) UniProtKB/Swiss-Prot;Acc:O04496] MAATTILLLLAATAASAADLSVYHNVHPPSPSPLESIIALARADDARLLFLSSKATASPGVTSAPVASGQAPPSYVVRAGLGTPVQQTLLALDTSADATWAHCAPCDTCPAGSRFIPASSSSYAALPCASEWCPLFQGQPCPANQAASAALPACAFSKPFADASFQASLGSDTLRLGKDAISNFAFGCVGAVTGPTTNLPKQGLLGLGRGPMSLLSQTGSRYNGVFSYCLPSYKSYYFSGSLRLGAAGQPRNVRYTPLLSNPHRPSLYYVNVTGLSVGRTWVKVPAGAFAFDSNTGAGTVIDSGTVITRWTAPVYAALREEFRRQVGAPSGYTSLGAFDTCFNTDEVAAGGAPAVTLHMDGGVDLTLPMENTLIHSSATPLACLAMAEAPQNVNSVVNVVANLQQQNLRVVVDVAGSRVGFAREPCN >PVH32125 pep chromosome:PHallii_v3.1:9:40301922:40302659:-1 gene:PAHAL_9G323000 transcript:PVH32125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVSGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISGGTLRCDTMVFVERSTRYPDVDPWFISTSGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMEFFPPTEGRGCTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGIEKITQELEEQRTRAASAEYSLAALQAQMQEYENRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRPIRTEEESP >PVH33359 pep chromosome:PHallii_v3.1:9:73276473:73287343:-1 gene:PAHAL_9G634700 transcript:PVH33359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGPAGAQQFTPPEADWPPELRLPPPPAEPPQAPGMDDSQFLGSIMGVPPPDAPPRQEAPAPVGPKRRGRPPKKKEGPGTALVAAAPKPAKRKEDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCFGTILLIESKDESATKVDFDDILSWEYLFKLYWLDLKGKLSLTLEELTNAKNRWSVPITPARKEKDESSDDLYDVNNDDDAGSDCSSRKRKRGNSARKKVRKRRKVDSDCSIAAKKVELPVKDAGSLPTEVLNERAPLPIDTKVPNEQVPLPVDTKVQNERVPLPVDMEVQNGRVPLPVDTKWASPELLEFVGHMRGGDQSFISQFDVQTLLLEYIKKNNLRDPKRKSQIVCDTRLHRLFRKSRVAHFEMLKLLEMHFLMNDTPKVSDNSQVTINPNLAQVDNNGYSDMAVKLSPDKRRRMHRKMDREPQVNLEAYAAIDMHNINLIYMRRSLMEDLIDDATFSAKIYGAFVRIKISGVGQKQDIYRLVKVVGTHKVPEKYSIGKKMTNFALEILNLDKKEIITMDTISNQDFTEEECKRLRQSMKCGLISRLKVGYIQEKAKILQSVRVNDWFENEKERLGHLRDRASETGRRKEFRECVEKLQLLNNSEERTRRINEVLEVHVDSHMDPNYESAEERDDKKAVGRSINQTRPDTSISRRKSKYINTLQNHPQKVSDSSRHPKNLSWEGTIHGSVAGRKFENNTITNRAMYEAGSLSSSGVAMSGDTEPEKVWHYKDPAGNVQGPFTLLQLSKWTSYFPRDLRVWLTFESEERSLLLTEVLSKQQKDFTQAASLTSSKATLAGTRHTMNSPSVDQTNALSPVGYSMAGSSGITVQSNKYYAPERESVYSPDDSLSLSTSSVPPKDVHTANSQAQCQAKHSVFIPSPGNSYGQTDLHHGGIQGGYSGESYHRHSSGALWSPTTPHMSCCGRGNVESHQNQHVSWSQCQHDSKGSSQCGSVKDLSSRLDLSKNLPTQRVGKDVSSPVFAWSPSESRTASSQHEGSCLSSTTNPNFLDELHSSIASAKPTSCAPATPIEDRGSSSPSGMLSHSERVPVCSTDSAPLASASDICKMEEIMNQQRTLEADTSNASVNQSPQSKIFHVSSPDNQDIDREVPSPTPRSENKELAVAKSGLTPASPENVTTTNSPDSDTRKEEKIVCQQKVPEAVASNSSGNHSQSKVSPESSNSKDLECECPSSSPRSENKVPDADNSLLTSAAPENLPETTAPASGTCKMEEFVNQKKTLDADASLHQPPHSHISPASPHDNHDIGRECPPRSDSKEPLLDNLVITSTATENVMTTSASASDTCKMEECANQQKIRETDASNGPLHQSPHSHISPASPPDNQDIGRECPPRSDSKEPLLDNLMVTSTATEHVMITSASASDTCKMEEFVNQQKALETDASNGPLNQPPHSHSFPVSSPDNQDIECKSPRPTPISDSKDPIVNNSVLTSVGPENLPTTSVPASGTCKVEEISNKERTHESDASNVSLNQPPHTDTLCPDNQDMECEYPKPTPRSESEQPLMNNSGLTSIVPENLTSTSASASDICKMEEILNEKRSLEANPSNGSVTQSPQSKVFFVSSPVVLDIEREFPSTSPRPETKEPVVVSSVLTSATPENLTKQHMDLPDAFVSPKSGPPTGGPRPEIKETVVVSSVLTSAAPENLIKQHVDSPDAFVSPKSDPPTGELDAMKSDFKCEQNIQKELYCESESTVLTRGNMLIDPSCGAESIDVSDVLESLMEEQRCGTSYMQGTTDLEDFLATSAEEEPQCSSPIALSPWGEPSYYQGDAIDSALWGVQDDPINDMWSLLSPRPMLQPSSGIGTEGKETYDINEVGLAHGNNEIVQRGSVPGDDSVNQVNLGAPTHWVLPEQVPSIPYDMSISSANQNLNEGTTWSTSQNLNMSSKEKAEPSSKRTWEATKKQESTNSSVSGSGEAIGNTRKGLNPPSGIANRGSQRSHQRGRYSQISESWLLSSNHSRSRSDRFGSSGSSRSTSKGQPRG >PAN50298 pep chromosome:PHallii_v3.1:9:65275509:65276591:1 gene:PAHAL_9G510900 transcript:PAN50298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGKRSRQQAEEMIWLPEGADVARFLLLFSGHHQHHASPDPASAPERVFECKTCNRQFPSFQALGGHRASHKKPRLADGVDGAAAEPPKPKVHGCSICGLEFAIGQALGGHMRRHRAADQADGANPGLGLGLSLGSGIGPKDGGKKAAPAAELALDLNAVPELEEEPDRAKLGLSAVEFPVAVVDFLR >PVH33391 pep chromosome:PHallii_v3.1:9:73777226:73779688:1 gene:PAHAL_9G641900 transcript:PVH33391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAKWPSSRCLWAWPVKKKSLVRRAAGCVVAGRRRPAMTTRTATCWVSSSPRRRPRILLFHLLLPSFAVTTPSRSRPSPSSSSSSRLRLRLRCSGDRIMVKAKAIRVHELGGPEVMRWEEVELGDPKEGEIRIKTTAIGVNFIDVYFRKGVYAAPALPFTPGREAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQILPASVAVPVPPSVDHKQAASVMLKGMTAHVLLRRVFKVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQATQDGCHHVIIYTKEDVMARVKEITSGKGVNVAYDSVGKDTYKASVECLASRGFLVSFGQSSGKPDPIPMSDLASKSLFLTRPSLMHYTATRDELLESAGEVFANVANGVLRVRVNHTYPLSEAARAHADLEGRKTSGSIVLIPDTDS >PVH32708 pep chromosome:PHallii_v3.1:9:62148271:62149833:-1 gene:PAHAL_9G465900 transcript:PVH32708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCDEKGHIRPNCPKLDKRSKDNKSKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFLKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPSLPPPLMCLMAKGNIKREKGKVKVLESTHAKLELAHSDLLGKYNDLLKKHNESLVLAKQVEESHKKLKQEHSELAHKYQELEIAYEAIDPSLEKLDYETFDKVNASTSCDDLFIDANATNIVPKLAPSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFSEPNVATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH31137 pep chromosome:PHallii_v3.1:9:3705260:3706023:1 gene:PAHAL_9G065100 transcript:PVH31137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVLVISLPFIFFSILLGFGCYFLGKHRGREEMRAGVGAQIYGTPLPPPGVVGGASPAHEPFAMKKEGAENV >PAN50425 pep chromosome:PHallii_v3.1:9:65878374:65880715:1 gene:PAHAL_9G519100 transcript:PAN50425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRYHEKGQRSNCIDGAREKAVRRNKWTLVACVFSIFYIGNVRLVYMVLKVICHLIYGYLSFGCLLNTRVFSLSLHPEFLNSYLKRMVENKFASSIFSSTSVVSINSLAPDAAVIPWRISLSLHPYFLLPRLFQPFSY >PVH33222 pep chromosome:PHallii_v3.1:9:71032012:71032659:-1 gene:PAHAL_9G600700 transcript:PVH33222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQEAVEDIVVVGAGLAGLATALGLHRKGVRSLVLESSPALRTAGFAFTACKNAFRALDALGLGVGDKIREQNLQAQALRVTSFVYRGSRAGTGPDGAGEPIRAQ >PVH32403 pep chromosome:PHallii_v3.1:9:56336977:56337186:-1 gene:PAHAL_9G391700 transcript:PVH32403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGKRREMLRTLALSTVQRQAAASMSASPSNSRQHLSWSSGRLILTRPPRTLAHTSSLSVSTGHRPAG >PAN44121 pep chromosome:PHallii_v3.1:9:1089047:1093538:1 gene:PAHAL_9G019000 transcript:PAN44121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCSCNPFPVPGPARRCSSSPSENPRPTPENNLAALIRVSGEVAAIGVMARARSPMVRSRRGCGGGGGGGGGVKVAPNLASAWDREGTRVLNLSVLRRLDPAVVDILITAAHVVSYSFDEDIEEWSRKPVEGSLFVVKRNTQPRFQLVVMNRLNTENLVEDVLTDFEVQVHVPYVIYRNAAEEIIGIWFYNPQECEEVAHLFHRIKYAYARVSPKANLSSKSVYEGREAASGSSALPAAEDTLEQPTSPSMVSDDVEEFLLTPSKVSACVDTIGGTGAVQPNKSFRTISSSSHELHNASASQASALHNLFPSRTSSVTLRPFDAHRPHSSATTQSASLSNVKPQLLTPMASMPSTIAAAAASLSTVPPLHPPFADHQPQVAPLLHPFPLHTTPPNPPYGMPLLQPFPPPSPLPLLTPSASYSQVITREQVGAALLRLAQNDNFIDMVYREMVKRPYP >PAN45947 pep chromosome:PHallii_v3.1:9:9441474:9444089:1 gene:PAHAL_9G153000 transcript:PAN45947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLAPPTSISIHRRLPAGSRAARAPSSVRFAPRAVSSVPRAAPAECLPPATFKPAELPAPRKHAAAIAAPRPSAPAPASPPRTAGRKKELNFFQRAAAAALDAFEKGFVAGVLERPHGLPRTADPAVQIAGNFAPVGERPPARELSVSGRIPPFINGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRNGVAESYACRFTETARLRQERAIGRPVFPKAIGELHGHSGIARLALFYARAACGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRVTDGGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLKYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAKDASEMVWVDVPDCFCFHLWNAWEDEETGEVVVIGSCMTPADSIFNESDEHLESVLTEIRLDTRTGRSTRRAILPPSQQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDPAAAHPRGEDDGYVLTFVHDERAGTSELLVVNAADMRLEATVQLPSRVPFGFHGTFITASELEAQA >PAN45337 pep chromosome:PHallii_v3.1:9:6503868:6505200:-1 gene:PAHAL_9G111200 transcript:PAN45337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSAALLLAAALLISSAGVVRGQQQRGNPCPTNALADLKVCADVLVLLKLKINVPRSQQCCPLLGNLVNLDLAACLCAAIRLNVLGIPVNLPLDVPLVLNYCGRNASAVPGSNCS >PAN44177 pep chromosome:PHallii_v3.1:9:1277669:1280403:-1 gene:PAHAL_9G022500 transcript:PAN44177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGDEKAAAAAGGAAAEGEAAVDSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRFLLH >PVH32328 pep chromosome:PHallii_v3.1:9:54288452:54288730:1 gene:PAHAL_9G373100 transcript:PVH32328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDELEGVGEEANELTPDRRELPQTPWTRNVRWRARGRGFEGNGVRGARGEEDERGMEMRETVGRAGVFEFRRYKRANSWVTANSRTIRSAA >PAN51359 pep chromosome:PHallii_v3.1:9:70304027:70304986:1 gene:PAHAL_9G588300 transcript:PAN51359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSTAAVSSILRPTLPPALSFHTASLPPITRSASAASSRLVLARADAAGARGEGAETVFFDGGAHYGDLAANLLLGLTLLWLPLTLAAVSRAFILRYRFTSRRVTVVSGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIIITLRDGTKVDLRSVPRFREVADYCRSMAAAEGSLVSQ >PAN49050 pep chromosome:PHallii_v3.1:9:58807258:58810301:1 gene:PAHAL_9G422200 transcript:PAN49050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCTSKAALEHRRPARYYTRGRRVRSHSRSIMPEAPQSQQLSDSRGRMTGFSMSEIVHVETANRDKSELSKTFHLTQMQWHHSQRDGKGCSNEDAWFDSVSILEDDSDDEFKSVDGDSSASSDEDEDENKQYESASRFADALSRIGEICRGVPMTLSIEQYLKRDKGDDPGRRSQSMSVCATKCLPTSISFKGLKDKNDTDDDNKESPTPSRLRKLLHSISFNDKMQQLTSGSPTTKKSTVIRLSYKTSCDDYEDSSELGKSKKYVVRPKVGQTIPCGGEKLTTGCWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFACTKKVHHIAQHLDLPQIRTHPKLPSLLIVNIQMPTYPAAMFLGDSDGEGFSLVLYFRISEYYEKEVSDHFKDSIMKFFENESEKVKGFTSESTTMYRDRLKIMAGLVNPDDLQLGSTEKKLVQAYNEKPVLSRPQHNFYEGENYFEVDLDIHRFSYIARKGLDSFRERLKNGILDLGLTIQAQKQEELPEQVLCCVRLNKIEFVNHGQVPTIVTVDDK >PVH31787 pep chromosome:PHallii_v3.1:9:15494019:15494399:-1 gene:PAHAL_9G233500 transcript:PVH31787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAERSLHELPCPFPLRRVKHHCFRIQIRHMMSHRPVQARVAVVSSFELKLHRSIEADSAEAIPPHSSSGTRRIVFRKRRKGLHQLRRPSPP >PAN51065 pep chromosome:PHallii_v3.1:9:69005171:69007558:-1 gene:PAHAL_9G567300 transcript:PAN51065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDAAAAVPVAVAPAKRAPINKYAFACALLASMNSVLLGYDISVMSGAQLFMKEDLKITDTQIEILAGVINIYSLFGSLAAGFTSDWLGRRYTMVLAAAIFFTGALLMGLAPDYALLMAGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIDEARRVLQRTSDSPAEAEERLLDIKKVVGIPEGVSDADDVAAIVRASNKSSRSDGVWKELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGACKTFFIPISTLLLDRIGRRPLLLASGGGMAIFLFTLATSLHMMDRRPEGEAAALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRIMSGATTMSFLSLSDAITIAGSFYLYACVAAAGWVFMYFFLPETMGKSLEDTVKLFGKDADDEDAAAVAARHERRKSSTELSAQ >PVH31851 pep chromosome:PHallii_v3.1:9:17035029:17036131:1 gene:PAHAL_9G247600 transcript:PVH31851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGFDAGNGVTAPSRSAIIGGSAAGHHLLDIEGYSHTKDYLPTGQCIKSRPFTVSAGGTSWRILCYPNGDQPDVSEFISVFLDLDQGVAEAVEAQAKFSLLDQTGEPVPSHSQMTKMHKYLCTGDGGFGYRDFINRAWLEESGHLKNDCFSIRCDVFVSMELRTEDRAVDPVFVTVPPYDLHLHLERLLAAKTGADVTFEVGGETFRAHRCILAARSTVFEAELFGQMKESVDTTVIRVDDMEADVFRALLALQQAAMSQHLLVAADRYNLERLKLICEDNLCVHIDTGSVATILALAEQHRCRGLKEACFDFLSSPSTLNAVMATDGFEHLTRSCPAVLKELMSNIAARVP >PAN51577 pep chromosome:PHallii_v3.1:9:71256329:71260658:1 gene:PAHAL_9G604900 transcript:PAN51577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSESSRGRSNQEVEDNVNSRSSWKEEHENQDDADGRKYSDRSRKHGYEYEVGHQDDDRMATPSNDRNESRRNSDRSSGLARSADEDVYNVRKDSRSPKVPRRSPDDSKDRDYDRRRGREDNNDWEPSRRFSPDASARSSERREGSREKQGQRDQEEKASVRRADEVYADESGGSLRVDTREAHRDDKSDRGRDRNWNEKARDLEGSKDYGRNSQLRDPQEANDAEWRNAQERLDGGSFHGRGGYRRDSRGRSESVRGPSTYGNRYDNSDSIEIRPNSSLNFGREGSVSGRRFEVGANRDSVPGGTDDKSTDNPELEPSGSTNMVSSFPQQGPKGDRPSRGGRGRPNGRDSQRIGVPMPMMPPPFGPLGLPPGPMQHMGPNIPHSPGPPLLPGVFMPPFPGPLVWPGARGVDVNMLSVPPNLPIPPPVAAEHRFTPNVGAGSGHNIHLNQMDTGLGAPTDVSGLGFNHMGPQSRDMLHDKPGWTPHRNGGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYAHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKNLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIESLRPKSPPAKN >PVH32133 pep chromosome:PHallii_v3.1:9:41454916:41456656:-1 gene:PAHAL_9G326600 transcript:PVH32133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQEGGIGGPHPGASGRRSAGFERPVARWAGKFHLPPVKFSPRASSPASLEMRGLVLPSGNWWHPLLAAKMPPGRGKVGVKNPKRTQLSEPPPLHPYEQKRLMQCMQNNARLEELEIYALSRELEEPSSISHKKNKPSHKNTENYKMTLMMIMLRTPNNVTLQLPTSLLVQSNFALSEFL >PAN44253 pep chromosome:PHallii_v3.1:9:1620964:1623546:1 gene:PAHAL_9G029000 transcript:PAN44253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLAVLVAALLVLARGGPAAATEFAFPGFARAKVTTSGAAVVTASGLLLLTNETNEVFGHGFYPAPVRFKDASTGGPLSFSTAFVVAIVPRYPDAHGHGIAFALAPAPTVPAAVAGKYLGLFNTSDNRGQGRSEVVAVELDTAQDEEFSDINDNHVGIDVNGLDSVSSTPAAYVDVATGSLVNLSLVSGEALQVWIEYDSASMRLEVTVSPAGKPRPGVPIVSSTVNLSSAVADDTYIGFSGANGAASSSHYVLGWSFRLGGGRAPDLDLSKLPALPSSKKTMPRLLIVILVLAVVLALVLVSGAVAVLVKRRRRFAEEEEDWEVEYGPHRIRYKDLHAATRGFRDVIGAGGFGSVYHGVLRRSGAEVAVKKVSHESRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELILVYDYMVNGSLDKHLFDADKPTLSWERRAKIVRDVAAGLLYLHEGWEQVVVHRDIKAANVLLDADMNGKLSDFGLARLYDHGSKPHTTHVIGTLGYLAPEMNKTGKATTSTDVFAFGAFLLEVACGRRPMERDDDLDSPGLVDLVLNRWKAGRIMDARDPRIGECDEADLELVLKLGLLCSHPDPRSRPSMRQVVQILEGAGTVPETPPKDLGSNNRLFYGYSESFDEFATIFLASETTTVTAQASSSHSNDEQQELISG >PAN51717 pep chromosome:PHallii_v3.1:9:71892549:71894918:1 gene:PAHAL_9G615100 transcript:PAN51717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVESWWVLPMTLIPAISGEQHGNNIATIATSFAYLAVFACLAWAGASLLYWAHPGGPAWGKYWRARWTGPKPSTIPGPRGLPVVGSLGLMSGLAHCSLADEASRRPGAKRLMAVSLGPVRAVVTSHPDVAKEILDSPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRTLRRIAAGHLFGPRQVEAFAPYRASVGEGIVTALRGAAGSGAVQVRGLLRRASLYYIMRFVFGKEYDVSRAAPASGKEEVEELLEMVHEGYELLGKENWCDYFPGLAALDPQGVGARCAELMPRVTRFVHGIIQEHRGKAIAGGEARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARLILHRDVQAKAHRELDEVVGRSNPVAESAAPSLPYLKALLKEALRVHPPGPLLSWRHRAITDTYVDGHLVPAGTTAMVNQWAISRDPEFWDAPLEFQPERFLPGGKAQDVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWLPAYDAVDLSEVLRLSCEMAVPLEVRVRPRRGV >PVH30942 pep chromosome:PHallii_v3.1:9:1064691:1065224:-1 gene:PAHAL_9G018500 transcript:PVH30942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQPGGSDLKRDAQKLCGLKLPSGKYTRTSTVRLTTHLSQDGWKPLNSDTKKRSYLLL >PAN44514 pep chromosome:PHallii_v3.1:9:2761307:2761534:-1 gene:PAHAL_9G049300 transcript:PAN44514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRSTALAAAVLVLAVAAGGGVLGAAARPVASQGGGSVVAVEFTGTNSSAQPSNCTYGNNVGGVCPPTPPGAGH >PAN45805 pep chromosome:PHallii_v3.1:9:8821567:8828542:1 gene:PAHAL_9G143700 transcript:PAN45805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARASAAPFLLILLATLLCAAAAASSWEDREPWRCVRRCEDRPRHQRARCVQECREEQERGRDELGRRGEGSGDEREQEQERSRRPYVFDRRSFRHVVRSEQGSVRVLRPFHEASKLLRGIRDYRVAVLEANPRSFIVPSHTDAHCICYVAQGEGVVATIENGERRSYTIKEGDVFVAPAGAVTYLANTDGRRKLVIAKILHTISVPGKFQFFFGAGGRNTESILSSFSKSVQRAAYKTSSERLERLFGKQDKGIIVRASEEQVRELRRHASEGGHGPHWPLPPFGESHGPYSLLDQRPSISNRHGQLYEADARSFRDLAEHDVRVSLVNISAGSMSAPFYNSRSNKIAYVLDGEGHVEIVCPHLAQGGGESEEHGRRGVQSERGGRGRRGEEESEESEEEEAGQGYHTIRARVSRGTAFVVPVGHPVVEVASRGSNLQIVCFEVQANKNEKVYLAGANSVLRKLDGAAKELAFASRAREVDEVLDAQREQGFLPGPEESGRQEWEREEEHQEGRRGRQEREREEEGGRRGRGRREELAETFLRLATGRL >PVH32181 pep chromosome:PHallii_v3.1:9:46932372:46934707:1 gene:PAHAL_9G340700 transcript:PVH32181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPILKAVAGRRKKERFKGAAESSGSTTRKKGQHRCDICQGFGHHWNTCKNGDPADIAAMLAERGPPKKKKKQSTKHVQESIESSIIVAGSTSSGPTPMCFPPSQASSKLSSGRSVRSGCDSSQPEPLSIEYPLPCQTTTDTSKQDNQNAQRKGKATKTKAKKQQNLVPPHSPAMCTRSKAPNSPASPAMSTRSKRKILD >PAN47748 pep chromosome:PHallii_v3.1:9:31521750:31523370:1 gene:PAHAL_9G295400 transcript:PAN47748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPPRCDGTPPSNPGDKPASGSSGRRTRTLNPSATPFTPSPGAGTSRSPNGICFSIPSSDSEEEEAVLWSTPRVSSAKGKEVLAADAAPPPPPPPLGRVAPSSRGFMADARRAAPPPAARAPPPPPGCPTRSSHNAPLVDDDGFRLVVSKRRAREERASAWQRPMPARRPVPADLVGRCFNCLSYYHVAASCPNPSRCLRCEKVGHSAKNCKRSRHGPPPARGRGRPVRRPDRDADLAMARRRQHFAHSVASATTASSASGSTGRTYSGPPSICAASPIRDMRRSEVHFPGEAEPPATITGELQAEEEALAGRALVALVVGTRPSCSIRQARRFVVENYNILDDSFSIHRYQPEDFLLMFRDNATLERVLHAPPLARADMILRFKRWQRFSTADDDDMQFRVLVELRGIPSHAWSAAAAWAVLGDACACPQPTPATTARSDLRRFQAVTWCADPDLIPNVAFLRIPDRREPNAGAELFLRPDEIMQTSLILQILVLTLNFLSY >PAN45003 pep chromosome:PHallii_v3.1:9:4995437:4997492:-1 gene:PAHAL_9G087100 transcript:PAN45003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASGMEDERVSGEVKREEDDDEDVPQLSAVAMEALREFLAEQQRPEEQDEGEGGGWVELVAEDWRLSQFWYDERTARALVEEVVRLVSPSGSGSSAGAVACIACPTLYAYLKKTDPGVPAQLLEYDERFGQYGGDFTFYDYNRPEELPAAMKHAYRVVVADPPYLSKECLEKIAKTVSFLAQPEGSFLLLLTGEVQKDRALELLNVHPCGFRPQHSNKLGNEFRLFTNYDPADRLGGWDLGAK >PAN48193 pep chromosome:PHallii_v3.1:9:53534883:53538463:-1 gene:PAHAL_9G366600 transcript:PAN48193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAERGDAQSPLLQHHPPNQTQVSSANQHYNKPFSWKAPAIVLAFEFLESIAYSGIALNLVVYLGTVLHGTTASSAANVDAWNGATFLTPVLGAFLADTYWGKYKTVAISIIFYVGGLLVITASAVIPSLRPASCEGGSCPPATGFQYFVLFASLYLISIGTGGVKSALLPFGADQYDDSNLEESKNKQLFFSWFFIAVNLGVFISGTALVWIQQNVAWSLGFGISLICLLIATVAFFVGTPAYRVQLPTGSPLKSIVMVFVASFKKRRVAVPADSTLLFEGDDAESSNTIPKKLEHTDEFRCLDKAAVVLEKQEIKGSHRPWLLCTVTQVEEVKILIRMVPIWFTCVFYSAAMCQTATTFVQQGNAMNTKIGSFSVPAASLNSAEVIFMMVWVAFQDSVVIPIARRYTGNPAGLTLLQRMGVGRLLAVPALAAAALVETWRLRRVRSVGGGNLSIGWQLPQFVILACSDVFCGIAQLEFFYSEAPASMRSLCSAFQFLAMSLAYYVNTLVVSVVAAATTAGGGPGWLPADLNDGHLDYYFWLWTGISAANYVVYTAFAKRYTLKKVVRQ >PVH32737 pep chromosome:PHallii_v3.1:9:62736348:62738085:1 gene:PAHAL_9G474700 transcript:PVH32737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYTCSAADLQRPSISLVCFVHPLQCSISSGSQYNSSLHQCSSQSLSYQPSQCTIILPASPQSRPGQGDRQQQKNGCAAVHRARSRPAAHAHQLPCLGAAAACPRQTPVSVPVPLLSSRQWHHAGAQMVPEAQEEEEGQAASRGMQKPSRRRRRGGGCDHIGGARGKSSERRPAPVAAAGGVRRVAEQGVLLLRQRGPRAPGQGAALRRRRGAGRPRRRGAPPRGGPAARRPRRAARDARAQAAAHRHEARRRRRVGERRRRRPQQRQRDDVGRHDAVDQGARVPREAGRRPEAASSAAARPRRKREQEGQGAGVGRRRGGVAAAAARGRDAAAVAVREPGGGEGVVGPGAGDGREHGGDGGGQRHPVVRGPGGAPGVLPRPQRRRAPPRRRRRVPPRVVPPRQAQAARLARSLRLLTLFESASCSRTHRIACVSCDHRARMTACPDLAVFILHVDRTGPSIG >PAN50484 pep chromosome:PHallii_v3.1:9:63382498:63384158:-1 gene:PAHAL_9G484200 transcript:PAN50484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGARRVLEAWRLGVARYGDALQLQERLVADRKAGRVGDLVLSLQHPPTYTLGKRREKAERNLLATEAELSALGAELHRTERGGDVTFHGPRQAVLYPILSLRALGLGARRYVEGLESAMIQVAALHGVTARPGDPGETGVWVGDRKIGAIGVRISSGFTWHGLAFNIDPDLGYFEHIVPCGIAGKGVTSLRREVPDGVELPADEVIHDQLVQCLGGTLGFTDVEFKDDS >PAN51327 pep chromosome:PHallii_v3.1:9:70171321:70176793:-1 gene:PAHAL_9G585800 transcript:PAN51327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTHVLCWVRPCSDGSLTMRAIRSTKCPVAFVGAAGALLVLVTAVHVFMVPILPSSLDYFGARSSASHPRNASLGVGVVDSRLREHFPSDSHGAVVFRGAPWKAEVGRWLAGCHANSSSFNITEGIGAKRCEKDCSGSGVCNYDLGECRCFHGYSGKGCEEVLKLECNLPSSPEWPAGRWIVSMCAAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPAKPDDPKLTNWKTPDLENVFTTNRSKSGWCNVVPEDAYSSKVKYKEECDCKYDGLWGQFCETRVECSCINQCSGHGHCRGGFCQCDSGYFGIDCSIPSAYSLAYDWPSWLQPPVNLPDLKISNSTPINVKAIVQKKRPLIYVYDLPAEFDSHLLEGRHFKFQCVNRIYDDRNKTLWTQQLYGAQMALYESILASPHRTLNGEEADYFYVPVLDSCLITRSDDAPHLLMPRDLRLRSYHALEYYRMAYDHIAQQYPYWNRTSGRDHIWFFSWDEGACYAPREIWNSMMLVHWGNTNTKHRNSTTAYWADNWDPIPLDRRGNHPCFDPRKDLVLPAWKGPDPGAIWLKLWARPRINRTTLFYFNGNLGPAYEGGRPEDTYSMGIRQKLAAEFGSTPNKQGNLGRQHAVNVTVTYLRTEKYYEELASSVFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRVQEDDIPNLISTLRGINETQVEFMLGNVRQMWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLPDDDDVFATFIQVLHYKLYNDPWRRGLLQTKETGLPNICSRAS >PAN51736 pep chromosome:PHallii_v3.1:9:70171625:70176316:-1 gene:PAHAL_9G585800 transcript:PAN51736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTHVLCWVRPCSDGSLTMRAIRSTKCPVAFVGAAGALLVLVTAVHVFMVPILPSSLDYFGARSSASHPRNASLGVGVVDSRLREHFPSDSHGAVVFRGAPWKAEVGRWLAGCHANSSSFNITEGIGAKRCEKDCSGSGVCNYDLGECRCFHGYSGKGCEEVLKLECNLPSSPEWPAGRWIVSMCAAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPAKPDDPKLTNWKTPDLENVFTTNRSKSGWCNVVPEDAYSSKVKYKEECDCKYDGLWGQFCETRVECSCINQCSGHGHCRGGFCQCDSGYFGIDCSIPSAYSLAYDWPSWLQPPVNLPDLKISNSTPINVKAIVQKKRPLIYVYDLPAEFDSHLLEGRHFKFQCVNRIYDDRNKTLWTQQLYGAQMALYESILASPHRTLNGEEADYFYVPVLDSCLITRSDDAPHLLMPRDLRLRSYHALEYYRMAYDHIAQQYPYWNRTSGRDHIWFFSWDEGACYAPREIWNSMMLVHWGNTNTKHRNSTTAYWADNWDPIPLDRRGNHPCFDPRKDLVLPAWKGPDPGAIWLKLWARPRINRTTLFYFNGNLGPAYEGGRPEDTYSMGIRQKLAAEFGSTPNKQGNLGRQHAVNVTVTYLRTEKYYEELASSVFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRVQEDDIPNLISTLRGINETQVEFMLGNVRQMWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLPDDDDVFATFIQVLHYKLYNDPWRRGLLQTKETGLPNICSRAS >PAN50888 pep chromosome:PHallii_v3.1:9:68243466:68243819:-1 gene:PAHAL_9G554800 transcript:PAN50888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQCSTLASKGKALTVGGGGGGRSDAGAAGGAPSPSLRPGPPPAGEATAQEAAARARRVAEKADLAEEIATLKAEIVALEEELAKMDAAAATPADPDRRPPSLNVGFSLKLLQEIL >PVH33263 pep chromosome:PHallii_v3.1:9:71627033:71628032:1 gene:PAHAL_9G610400 transcript:PVH33263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAVRKTREWSPPPPALVVHSPRQTVSRLRRRRPHRDWDPSSGSPSFAARDHGPKPSEVYGFVGSITTVMATAVYLAWAYTPEPCLRKYVLFVCSPTLLSLIFSVLCIWLVWLLCFLSFRYWELAVPSFVIVAVSLSMVIYMGLNFLATPPPTSFSAIFDVNSRERIVFSPAMEEERPTEPISDISVVQTNNLMFGDT >PVH32116 pep chromosome:PHallii_v3.1:9:38766497:38771290:-1 gene:PAHAL_9G318900 transcript:PVH32116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGGGLAAPGDYVYFKSIVPLHKISIGPKLWRYYDFGPKVVPPLVCIPGIAGTADVYYKQIMSLCMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLILSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSRDDLSSRLMLNVNVASVGSLMQPDSLITIMDTNDYSAVPQQLKDQLNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGTAGGSKDQKDVGDSFGDSPEDNGHRGSGGSDHDVRYRGFESHDSDELIPTSTMY >PAN47342 pep chromosome:PHallii_v3.1:9:38766497:38771551:-1 gene:PAHAL_9G318900 transcript:PAN47342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGGGLAAPGDYVYFKSIVPLHKISIGPKLWRYYDFGPKVVPPLVCIPGIAGTADVYYKQIMSLCMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLILSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSRDDLSSRLMLNVNVASVGSLMQPDSLITIMDTNDYSAVPQQLKDQLNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGTAGGSKDQKDVGDSFGDSPEDNGHRGSGGSDHDVRYRGFESHDSDELIPTSTMY >PAN50942 pep chromosome:PHallii_v3.1:9:68472124:68480432:1 gene:PAHAL_9G558800 transcript:PAN50942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MEQDQSVAAGVDSGSAEPGPMASDFMTANPDANAYAVTVGSAEAPDASAYPAEHGALNGTAGEMANYQGATENGGAATNEMGEPVPEPSYEEAVLSAEEARLWSVVTANSLDFYAWTALIDETEKNAESNILKIRKVYDAFLAEFPLCFGYWKKYADHEGRLDGVSKVIEVYERAILAVTYSVDIWYNYCQFAISTYDDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAVIYTRILEHPIQQLDRYFNCLKELASTRDLSEILTAEEASVYGVASENSNQALDGEAHSDDPDKSSKPEAENLAKYISMREEMYKKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHSYLDFVEKEEDINKVIKLYERCVIACASYSEFWIRYVQCMEDKGSLELANNALARATHVFVKKQPEIHLFSACFKELNGDVSGARAEYQHLYSVLCPGFLEAIVKHSNMEHRLGDKESACSVYEKAIAAEREKEQSQLLPTLLIQYSRFLFLAIRDLEKARETLTTLHEQLNIRKPVLEAVIHLESIFPCEKRIDLLDSLVEKFVTHESSHGEGSSLGDKEEISSIFLEFLDLFGDAKSIKKALTRHTTLFSCKRSILPSKKRKADDAIVSDRDKLAKTGGTQPVTGTDPNASNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQPAAQAAYGAYPPTYPPAQGYTQQSYAQPAAVPAAAPAPAPTAAYPQQPAAAPQPYYGTTYY >PAN44183 pep chromosome:PHallii_v3.1:9:1313288:1314903:1 gene:PAHAL_9G023100 transcript:PAN44183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRLDGKVAIVTGGASGIGEAAARLFASSGATVVIADIQDALGEAVAASAGCTYMRCDVTDEAQVEATVGAVVAAHGRLDVMLSNAGVLLPTGSVMDMDLAELERVMAVNFRGAAACVKHAARAMVPPNGARGGAIVCTASVASLQGGFGPASYTASKHALLGLVRAAAGELGRHGVRVNCVSPSGVATPMSCALMGVGPEELEAMTVPHNVLRGKVLRAEDVAEAALFLASDQAGFISGHNLVVDGATTAVNPAVLHTVGL >PAN46765 pep chromosome:PHallii_v3.1:9:13771619:13775208:-1 gene:PAHAL_9G212800 transcript:PAN46765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWALTPVDTVRGTQKHYIFAAGTYKVGRKDCDVIVQADTSISRVHAEIAIEKMVAWDPHSGAPASPSYVRVVDRSKYGTFVNKVHGTQGSRLHKDEDVMLTDGDIVTFGTGNATFRLSFVPIVAFFHGRKSARIDPSLHAVMTSIGAYATRKWSDECTHVLADESCSLTPKLLDAVMGKKQIVLGEWFKAMAEKNIHTEIPSCTQYIPNLTLDGTVIKMVEINLIQNCLAGYAFILGPSDKYQFGEKLHGLLEATGAKYLHIDEFCANSQDSVAGDTDQQILVVPARYPLEFSKIRGLFPLSKISDVKLFAAILSGRLEATAIEPPAFIVTSSNSTDETIVEDSDVEMETATSNPTGAANKSQNRFENISDDEKEITNITNEVAVAVSGTKANVIQPNDQLKVEASKLDVKVIEKTAVYRSKARDEDVRVISKVPKDENLDIRRDGACDVIFSQDLVVKKPPRSAGAASTEVGGVNFKRFRKRETVSGNSFKDLVLFARDPYRESDYDGGTMTDFMREEKQRKQMEAIAEDLFNNAKSKKRAAAGSSIHSLLTGRR >PAN46766 pep chromosome:PHallii_v3.1:9:13771216:13775379:-1 gene:PAHAL_9G212800 transcript:PAN46766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVAWDPHSGAPASPSYVRVVDRSKYGTFVNKVHGTQGSRLHKDEDVMLTDGDIVTFGTGNATFRLSFVPIVAFFHGRKSARIDPSLHAVMTSIGAYATRKWSDECTHVLADESCSLTPKLLDAVMGKKQIVLGEWFKAMAEKNIHTEIPSCTQYIPNLTLDGTVIKMVEINLIQNCLAGYAFILGPSDKYQFGEKLHGLLEATGAKYLHIDEFCANSQDSVAGDTDQQILVVPARYPLEFSKIRGLFPLSKISDVKLFAAILSGRLEATAIEPPAFIVTSSNSTDETIVEDSDVEMETATSNPTGAANKSQNRFENISDDEKEITNITNEVAVAVSGTKANVIQPNDQLKVEASKLDVKVIEKTAVYRSKARDEDVRVISKVPKDENLDIRRDGACDVIFSQDLVVKKPPRSAGAASTEVGGVNFKRFRKRETVSGNSFKDLVLFARDPYRESDYDGGTMTDFMREEKQRKQMEAIAEDLFNNAKSKKRAAAGSSIHSLLTGRR >PVH31272 pep chromosome:PHallii_v3.1:9:5905790:5908502:-1 gene:PAHAL_9G101900 transcript:PVH31272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAVPQLVCCRASSPPPAFSSRRSPARRRWSPACRGSTARCPSAWRPGTWAWTTRPAPIPSTTSWSRSGARARTPWSCGTRAGPGPVRFAEGRYDGTLPRLVRNPYSLTQLASILFVDSPVGTGFSYAPDPRGYDVGDISASLQILTFLRKWFDDHPWYLSNPSYLGGDSYAGKVTPLIAQHVSEGIEEMQYPLNLKGYIVGNPATGDKIDDNSRIPYCHSFGIISDQLYEAAVINCDGDYVNPTNKLCSDVVQTINDTKERCPKKKVSSRGEHYPLMSGPPEEPPFGCLAYRYYLSYFWANDNATRASLGIKEGTVTEWIRCKISGELPYTSDLPSSIEYHLNLTTRGYRALRSGDHDLTVPFQGTQAWIRSLNFSIVDDWRAWHLDGQAAGFTITYANNLTFATIKGGRHISSENRPKECFAIVKRPLNNKPL >PAN49154 pep chromosome:PHallii_v3.1:9:59516296:59520139:1 gene:PAHAL_9G429800 transcript:PAN49154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWNSLLNFAILLFFNRCVRLEAGTITCFKFQEQNKHMEREIMASYETKKNCEIRVFESSDEMATDLAEFISQVSEISVKERGYFAIALSGGPLTRHMRKLCEAPYNKTLDWSRWYVFWAEERAVAKNHAESNYKLTKDEFLSKVPILNGHVYSINDNATVEEAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLNIGPDGHVASLFPNHPALELKDDWVTYITDSPEPPPERITFTLPVINSASHIAIVATGEDKAKAVSFAISDCNEGLGATSVPARMVQPTDGKLVWFLDEAAASSLEAGNDAYDLRAPVLRV >PAN49382 pep chromosome:PHallii_v3.1:9:60836840:60840139:-1 gene:PAHAL_9G445000 transcript:PAN49382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAAPVRSSHTSTADLLAWPQPQGQGPAPATPSPPRRPGQPSEAIRKVVFGGQVTEEEADSLTKRKPCSAPKWKEMTGSGIFAAGSNGDAGEAVAAAKPARTAPRQAISTVSHISFAEDGTDPPKKPTSVAEVAKQRELSGTLQSEVDSKMKKQISNAKSKELSGHDIFSDTQESRSNRARNSSNGSSASNTPVKNANASTFSFGEANIDSAPKTAKKITGKKVNDLSGNDIFKGDAPPASAEKHLSTAKLKEITGSNIFADGKEPTRERTGGNRKPPGGESSIALV >PAN50136 pep chromosome:PHallii_v3.1:9:64598440:64600885:-1 gene:PAHAL_9G501000 transcript:PAN50136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASSAHPPIYTRVRRNHTSQVGAQRHRPTDRSIKRAAQASHAPHGRTHTSGASQPQPPSPPSAGPPARPAAGMPTTRRRPPPPPPAWTPEPWSDGETSALLDAWGPRHLRARGGALRPADWRACAAAVTSRRAADGRAPRTVDQCKNRVDYLKKRLRAERARPKGAPPPPPPVAGWLGRLRALLQLAPSAPPGFAHRLSATMGKVKEEEDDDDEKASGGAPLPRDWPPVPKRPRTAVSLSPLSAASGEHPEGGGRSCTEVAAALDRLAGTYERVEAAKQREATRLEERRLEAMRDLEIERMRLLVDVAVTSSVGLDGPAAAATAGGDF >PVH31016 pep chromosome:PHallii_v3.1:9:2102044:2102951:-1 gene:PAHAL_9G038100 transcript:PVH31016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGLRKGNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSNKSDVKKKEEEITRLQEKYGLGSKTPGPGSSD >PAN47333 pep chromosome:PHallii_v3.1:9:17661012:17663624:-1 gene:PAHAL_9G252300 transcript:PAN47333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADGSLTKHGFPRGYRFVPTSLELISILSDQIRGRTLPPPLHTIFQDVRILDYHPEELYERFKDAAEHRYIYFFSVREFQKPGACAAVPEDKDQKEPRPVRVARGGGWKPSGGGQVLRWPRKKGGFVAGRMVTMVFYDRVDGGDGVKSNWGMHEFLVPVHPRLTSLTDNKYIRFYDLALYRLYILKGGDMESENGAGSSSSSSIQMMSNAYDHFPVSPCPPLQPWGISTGNYHRPLDAGASTSQMLPPPPQHPSLEHAQYYQYQQQHAFGAAAAPQAYTMPVHGAEFPGNMYQYQPAIPPAPVAAPTAAANAAEEAHATATDGDGQDEAGPSGATRSPSPPPAAASPPAEPHANAATEPGHVQFADCGKPKEAAAAPTQEDVVPPAPEDERMADVDDDSELGVDDYSELGMQDWNNFDLMPLDDSYLEFTIEEILGLPAFDDEPPAMEGDGNNSGGENYSQADGGTQEEPPAALGCY >PAN49277 pep chromosome:PHallii_v3.1:9:60347929:60350374:-1 gene:PAHAL_9G438400 transcript:PAN49277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCAALRALAVLLWVAAAYPVVFRARPVQALAANWGTRALHPLPGDITVRLLRDNGFDKVKLFEADPPALRALGHSGIQVMLGLPNELLGPVAASVNAAEQWVLQNVSTYVSKYGVDIRYVAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLARQVHITVPLNADVYESLDGKPSSGDFRPDIAGLMVSLVRFLLDNGGVLTINIYPFLSLYADANFPMDYAFFPSPGAPPSQASVQDGNVLYTNVFDANYDTLIAALEKHGLGAIPVVVGEIGWPTDGDKNANAVNAQRFNQGLFDRIIAGKGTPRRPQMPDVYVFALLDEDNKSVDPGNFERHWGVFNYDGSPKYRLNLASGRPIVPARGVRYLSKQWCVLRPDASPSDPAIAGAVGYACEYSDCTSLGTGSSCGNLDARANVSYAFNQFFQAANQQKGACNFNNLSTIVTTDPSQGTCRFEIMIDTGRHELTGKSAAGRVAASSSWSAVLALGLAGLVATAW >PAN46666 pep chromosome:PHallii_v3.1:9:13237989:13240730:-1 gene:PAHAL_9G206200 transcript:PAN46666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTAVLAAILLVDLAAFGLAIGAVQSRPSARLEIDERKEWTYCAYRPDAATALGGVALALLLVGQAVAAFASRCFCCGAALRPGGSRACALVLFLSSWVTFIIAEACLLAGLVQSAYHTGYRKVFFQNPPDCATVRRGTFVAGAAFALFTCVLTSAYYYCFSKARVNFHRREVTIGMTPL >PVH31405 pep chromosome:PHallii_v3.1:9:8357843:8358418:1 gene:PAHAL_9G137100 transcript:PVH31405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDYCCSIDTDGFWATSPPQLGFGSEPAASPLFPTETPAPSSPEVEAGDAAAAGCADERRLRRRISNRDSARRSRARKQRRLDELRASAAALGRRRRELAARAQAARGRLALARLANAGLRAEADALSRRLAAARRALALGRLYHAATAGGSGSLGFVDIEQTIASLIA >PVH32815 pep chromosome:PHallii_v3.1:9:64145855:64147107:1 gene:PAHAL_9G493700 transcript:PVH32815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSSKLLPVSTAAVVILCVAMTSCKAQDAVQIVARAALCFDNHTVINSCLKQMGIATGAAAPGAHGGVAGPHGSAGLLLDKLPTSPNAGAALCGAPCFGHMMLVADCVDGILSNFQGYSAGLMQGVRAVFQMSCAGAGAAGDDPPAAHGALKGGARGSSSAASGKVARSASGSGAATAGAAVPAANGAAGGPRRVGSLVWVGIIVVVAAQYGG >PAN47415 pep chromosome:PHallii_v3.1:9:23136053:23138363:1 gene:PAHAL_9G271900 transcript:PAN47415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVQVTRRAVLRPPPASARGGGRKSPLMAFDRASTDGYIPAVFAWNAPAPDNAALVDGLLAAVARYPHLAGRFGVDDRGKKCFHLNDAGVLVVEAQADADLADALAHDVAAHINELYPKADKERADEPIFQAQLTRYRCGGLVIGTACQHLVADGQSMSFFYTSWATAVRTSSATLPSPFTDRETIAVPRSPPAPKFDHRNIEFRGQHSPSHSYPVLPMDRIKNLACHFPDEFIAGLKARVGGRCSTFQCLLAHAWKKVTAARDLAPEEFTQIRVAVNCRGRADPPVPMEYFGNMVLWAFPRMRAREVLSSNYAAVVGAIRDAVARVDAEYIQSFVDFGEAAERAGEELASTAAGLGTAFCPDLEVDSWLGFRFHDLDFGQGPPCAFLPPELPIEGLMIMVPSCAAKGGVDLFMALDAEHVDAFKQICYSMD >PAN51920 pep chromosome:PHallii_v3.1:9:72914480:72916882:-1 gene:PAHAL_9G629900 transcript:PAN51920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGHMGRAAVILATVLGSLCCISATTASQEAQRYNFRFVRHARDAPLVSYYNYIIVGGGTAGCPLAATLSEHSRVLLLERGGLPYGNRNVSSEYHFADALADTSPLSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASSAYVRASGWDHRLVNASYRWVERALVFRPGVPRWQCALREGLLEAGITPDNGYTLEHVPGTKIGGTIFDRRGRRHTAADFLRKAHPRRLTVFLHATVSRILFRRAEGLAKPVASGVVFTDPLGVQHHVYLRRGGSKNEVILAAGTLGSPQLLMLSGIGPRLHLEKHGIRTIHDQPGVGQGVADNPMNSVFVPSPIPVALSLVQVVGVTRFGSFIEGVSGSQFGIPLHGRGAARHAARNFGMFSPMTGQLGTVPPRERTPEAMRRAAEVMRRLDRRAFRGGFILEKILGPLSTGHIELRSTDAHANPAVTFNYFRDPRDVERCVRGIEAIERVVRSRAFSRFTYANHTAMEAAFRRAAGTAYFPVNLLPRHPRETRPLQQYCRETVMTIWHYHGGCHVGGVVDRDYRVVGVQGLRVIDSSTFKYSPGTNPQATVMMLGRYMGLRILKERWIRKGADDKH >PAN48030 pep chromosome:PHallii_v3.1:9:18618994:18624113:1 gene:PAHAL_9G257400 transcript:PAN48030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASDSPASALATDPAGATAALEGDALRGSGSPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVVVASYATLVRPADPASAVSAASVRCNGITRDAVSGAPPFCDVADAVYDLLNGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGLIDTLPLLTQRFGRRAGDMKMASLANYFGLGKQRHRSLDDVRMNLEVLKYCATVLFLEASLPEVLTVENLVERAITRSQANGSASPEAPKPEANSSTDSSKRQRTVCPADGVLPEGGNQGTGDPSTSRESVALVSHMEEMKLDTTTQMDASSSGYSGFLEPDDISTEYIKISVAPLHQFGRRSSVQHKGSPLQLCSAGLKVQFGVNTRFLDNAGQPKLNIVVDIPENLSKVLEFCDDLARRSSQESGSTSEWRPLVKKYGYVNGPTVRLNIPTTVSGDSAIYSTDICQKEPSGNIQKLVFSNVDATELDSLFVRGSKVDAFFSLEVYDYQQNAGIRLVAKRLVVHSN >PVH32601 pep chromosome:PHallii_v3.1:9:60463356:60463998:1 gene:PAHAL_9G440000 transcript:PVH32601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQWKTQTTSPKTTSRVSSCTQPCLWLRPPLDSAWRKTLMILCSSLV >PAN51711 pep chromosome:PHallii_v3.1:9:71867865:71871009:1 gene:PAHAL_9G614500 transcript:PAN51711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPPDPIEPCPTPPAMDAALPAAVVATILSRLDVRSLLLAAAACRGLRTCASHALAFLPSFHLLEVALTNDLLRPLLPPNPSLRSLRLDCARLEDDAIGCLARSSLHELLLLNCENISGRLLCELGATCRDLRVLSLNSLGERRGLVVNFSDLQELLNGCSQLESLRLALDFSTFDDTNFGRVWASASERLSSLEIGYIPMTMLLELLAAVVEAQQCMDYVKTPVFFPSLHKLCLTVDFITDHLIGSISVALPSLTHLDLQDAPIIEPTSSSDLTNAGLQQINPHGKLKHISLMRSQEFLVTSFRRVNDLGILLMADKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTDVGIERLSFNKDLNVLDLRDCRSLGDEAVRALSCLPKLQTLSLDGTDISDEALKYLGLGTCPLTSLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDISRIPSVTDDGIMLLARSRTPLIELRMRENPKIGDAAVMALASMQVDGGTYGSSLQLLDLYDCGGITPLATRWFKKPYFPRLRWLGITGSLNRVMVDALARSRPFLHMACRGEELGTMLWDTYSDWYRHNDDDLDELEQWLLDGEPVSDDDTIMEE >PAN51824 pep chromosome:PHallii_v3.1:9:70628966:70629211:1 gene:PAHAL_9G594700 transcript:PAN51824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARAVVVAVLLMQCCNVILAARPLLHAAAGDYDGRRGWRLGQGGEALIMQALDGPGQGNCRFKDPNHPHCVPAAAIAAMP >PVH32660 pep chromosome:PHallii_v3.1:9:61394003:61395243:1 gene:PAHAL_9G453900 transcript:PVH32660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRSSCGSGSAAHLATPWMVVVDSPGSPAESPTKLRSLLASFDLGRAVQWALLLIRMGRWPFRSRPNMPLAERAQSLKRGDSRAHAARSTSFAGLSLLRRRGAPRVIVVRRRRGAVDKTSAHDTSTAESAVTLAANHRCPLRLSPSPDPVTSTAKSARRSTPTPTPTAASAAWDCAGGRPSPPRLPSIPSPAAPPGSRRPAARLRSAVCAAGDSTRRPVWLGPRLPRAVKRWPAAAPLVASHRTAATAAGARQATARSRAGANAP >PAN50544 pep chromosome:PHallii_v3.1:9:66364699:66365220:-1 gene:PAHAL_9G526600 transcript:PAN50544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAAPTRAEALSLFRSLLRTAKQFSDYNIREYTRRRAADAFRENRALPDAPAAAAAFAEGKKQLEVAKRQAVVYSLYAPKSKSVMELKVQ >PAN51931 pep chromosome:PHallii_v3.1:9:73032380:73033577:-1 gene:PAHAL_9G630900 transcript:PAN51931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESESGNAVSHPHHPYGTETLTHIAVDYRPEACRHEPHAGEIHVTYDHRHRGRDGSRWRSSRRFLPGSAVAATIRAPAGDTSGLNYNLYLSSLECSRDMDEIDFEFLGHDKRAVQTNYHVQGGGGREQIHPLPFDSSDDFHHYAIAWDAKAIEWRVDGELIRREERREGEPWPEKPMYLYASVWDASDIDEGRWTGKYHGRDAPYVCSYKDVVVPTIAFSVEEEEERQDAGAGDAPADDPAAGAAAVEENVDTTGAGKE >PAN45186 pep chromosome:PHallii_v3.1:9:5775766:5780223:1 gene:PAHAL_9G100000 transcript:PAN45186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAAAGVGGGNRRRGGRWRYAAAGALLVALLAVAVSSRSFPGAPSSSPGGCGCPAARKYTGMVEDCCCDYETVDSINEEVLHPTLQELVKLPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDNEFPEPFKKPYNGLSPDSMICQEGKPQAAVDKTLDTKVFKGWVETDNPWTSDDETDNNEMTYVNLQLNPERYTGYTGDSARRIWDSIYKENCPKYPSEELCHEKKALYKLISGLHSSISVHIAYDYLLDESTNLWGQNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEDDLKTQSLVKQLLYHPKLRSACPKPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGDNILNQPLQLQRNEVIALFNLLNRLSESVKFVHEKGSSIEEVIKEQSPPTVQKGASKPNLKLDFL >PAN44919 pep chromosome:PHallii_v3.1:9:4641573:4643546:-1 gene:PAHAL_9G080500 transcript:PAN44919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYLVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGGTKDDLRLPTDETLLSQIKAGFDDGKDLIVSVMSAMGEEQICGLKDIGGKN >PAN44189 pep chromosome:PHallii_v3.1:9:1319386:1325885:-1 gene:PAHAL_9G023300 transcript:PAN44189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Squamosa promoter-binding-like protein 6 [Source: Projected from Oryza sativa (Os03g0833300)] MEAARLGAQSSHLYGSGLGELDLNRRENRVFGWDLNDWNWDSERFVATPVPTAAANGSGLNSSPSSSEEAEAEVARNGGHRGDTDKRKRVVVIDDDDREDQDMIVNGGRSLSLRIGGSAVGVGVMESSDVNEDDRNGKKIRVQGGSSNGPACQVEGCGADLTAAKDYHRRHKVCEMHAKASTAVVGNTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNRRRRKTRPDIAIGGTASIEDKVSNYLLLSLIGICANLNSDSVQDSNSQELLSTLLKNLGSVAKSLEPKELCKLLEAYQSLQNGSNAGTSGTANAAEEAAGPSNSKLPFVNGSHRGQASSSVVPVQSNATVVVTPEPASCKLKDFDLNDTCNDMEGFEDGQEGSPTPAFKAADSPNCASWMQQDSTQSPPQTSGNSDSTSTQSLSSSNGDAQCRTDKIVFKLFNKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWRELSDNMSLHLDKLLNSSNGDFWASGLVFVIVRRQLAFMHNGQIMLDRPLAPSSHQYCKILRVRPVAAPYSATINFRVEGFNLLSTSSRVICSYEGRCIFQEDTDTVADDAEYKDRDIECLSFCCSIPGTRGRGFIEVEDSGFSNGFFPFIIAEKDVCSEVSELESIFESSSNEHAVVDDNARDQSLEFLNELGWLLHRVNRMSKQDETDTPLSAFNMWRFRKLGIFAMEREWCAVVEMLLDFLFIGLVDVGSRSPEEMVLSENLLHAAVRTKSVKMVRFLLRYKPNKNSKGTAQTYLFRPDALGPLTITPLHIAAATSDAEDVLDALTDDPGLVGISAWSNARDETGFTPEDYARQRGNDAYLNLVRKKIDKHVGKGHVVLGVPSSMCSVIPDGAKPGDVSLEICTPMSASVPRCLLCTQQARVYPNSGARTFLYRPAMLTVMGVAVVCVCVGILLHTFPRVYAAPTFRWELLERGPM >PAN45842 pep chromosome:PHallii_v3.1:9:8985574:8987442:1 gene:PAHAL_9G146500 transcript:PAN45842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASNRAYEEFDPSVAWSRGAEADSVKIVLPGFKREDIRVLVDNHGHLRTRGERLVAGTRWSRFQKDFQLPDNCSVDGIRAKFESETLTITLPKKTPSPPSAPVTPAPSMGRAAAAPSQRIPPPALPEPAAPPAVPAAPLAPATSQRQPAERRPSLPRKPSAVDPAPELPARLPSVPTPAAAATKPEPSLAAVQRAKEEEEEKKRMEREMMGKMEEDRKAAQEKEKKETAQERQDEAAMVEMAMAHQPRPASASRRLLVNVAVAAALLLGITVYVWHNLRNAAGGAGDHTHGHGHLGAGSYGDEM >PAN44966 pep chromosome:PHallii_v3.1:9:4833869:4838775:1 gene:PAHAL_9G084400 transcript:PAN44966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRSVACCAAVLLAAALLLSAPTATEAYDSLDPNGNITIKWDIMQWTPDGYVAVVTMFNYQQFRHIGAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPANAASSFQISVGLAGTTNKTVKVPKNFTLKTPGPGYTCGRAIVGRPTKFFTSDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNPNGSNCVNEDSPNLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNFRMNYTQWNLVVQHPNFDNITQLFSFNYKPLTPYGGRINDTAMFWGVKFYNDLLMQAGRLGNVQSELLLRKDSQAFTFEKGWAFPRRVYFNGDNCVMPSPENYPWLPNASPLTKQPLTLPLLVFWVVLATLLAYA >PAN49860 pep chromosome:PHallii_v3.1:9:63103678:63108896:-1 gene:PAHAL_9G479800 transcript:PAN49860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPADAGDFLLDEDDDDFFGEYNPHPYRGGYDLAATFGTPLPPSANTCYPVSSSTAATVPNVPSPPSPTPEPEEPYGDQEAPREPVHESPEVFPNGAATKGKRRRGGWCGRGFWRKCVRGLDYVFGYKDPYVEQWIGVDSYMVHANRKESGEDALALEVEVPAPSVGRVEPHDGSEELVQGNDLSWHNNYKDDADTYSQSMSNSYYTPSFAQSYGLPEVFGKPDWFPNFSYSESHRVEEFQHEALLSYDVEHMMSGQPVHCYNHHCYKQPLNIQVESPEPDSSQRLEYYEHFSTYCGQSDGHILETPAYAYNIQSYMPISGMPIEPFKPSWSQNWGLSDACTQGNALENDAHSLMSGEYGGIGSLFISPFYPRETETFEWGPSDEHASFQHNWHNLSYQNVSMDGVSLISQQADDSYSMNDSFWPLGHQSAYSV >PVH31925 pep chromosome:PHallii_v3.1:9:21091215:21091718:-1 gene:PAHAL_9G265100 transcript:PVH31925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIHKRIAGAHQVVRRMRWLIHGYGFYFIGQTWKKIARNMPEDVRLAKDTDRLKTCKRKNYMKS >PAN46708 pep chromosome:PHallii_v3.1:9:13438025:13441878:1 gene:PAHAL_9G208600 transcript:PAN46708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVALNRLMFKQKERRRRRRIHNQKSTKVPKKKDSLCQEDDHSEGGKRSRYPGSNLPEDIWCHIHSLMPLRDAAHSACVSHAFLRSWRCHPNLIFTKETLCLKRNARAGRVARCFTMRVDQILKNHLGIGVKALYLGFPDSCKVDTCRLDRWLQIGITPGIEEVTVFLPRNYRTKYSFPCSLLFGGCGNSIRHLRLSDCAFRPPVGFDCLRSLTKLQLYTVCTTGDELGNLFSNSFALEQLELIYCGELISLKIPFWLERLSFLRVSECNMLQVIESKAPNLHTLALYGDPVHLTLGESSQVKTLDFMLSYNWSSVSYAITKLPSTVPTLETLTVTSSSEKVNAPMVADKFLNLKCLEIHICAKAFSPAYDYLSLVSFLDASPALETFILSVNQNEVKHDSVFGNAWHMRQILGDKHASIRKVQINGFCSAKSMVELTCHILENATSLESLTVDTICDGFTGADVHRCYVQNISECWPIPRDQILEAHKALRAVDRYIVGRVPPAVKFNVLEPCSRCHAIDVELP >PAN49245 pep chromosome:PHallii_v3.1:9:60185642:60187377:1 gene:PAHAL_9G436100 transcript:PAN49245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHKLAPTTPSSSSKNNKVEQPHLSGAYIRSLVKQLSSSSAARSKDHSTMGAKPHAQPQQEDQQQAQTAPPQQQQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEEQQQQQQQLMQLQLQQQEEVHLVQEQSQAATRASAPMSYASYSDYLYNSPFSHFSSPSSYSSPLTYQQTPAPMVNSEHNLDHLVPLPAQPLGLNLSFQGFNSFVGDDTNNSACSFDPPLLQPSPTSSYSVYSSPSVTMASHDLSAVTMENTSLAADASLHRVLDDEEMAAIYLIGEQHDIEWSDTVNLVTSAWWSKLLESIEDKGNGAPGQEVGGAANTTEDPLVHMPGWYEANEQSSSDIPGMHLNDYYHHNVDVSLPGMEIGEIEGWNAEWFS >PAN45425 pep chromosome:PHallii_v3.1:9:6905299:6914016:-1 gene:PAHAL_9G117700 transcript:PAN45425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MEPSPAQAGASDRDRSPPPPPPPPPQSSAAAAISSPLAVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRKLAENTRDFKKASPDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSMAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKEAEVSLLMDEVERAQARLVSLEREKGDLRSQLQTTNEDASKSSDYLESSDILESSLNAKEKIISELNAELRNIESTLSSEREMHVNELKKLTASLTEKESALMELKKELQERPTQKVVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKTSLLEEAEKRIAELTSKVEEQQKLILKLEDDILKGYSSTDRRSSLLNDWDLQEIGSSEASEGTDPRHASSDQDQNSMLKVICNQRDRFRARLRETEEELRKLTEKYAMLTVELEKTKADNVQLYGKIRYVQDYSHDKIVSRGPKKYAEDIESGSSDVEAKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYSIGLHLLVFTLLYRMSALSYLHTTPGHDEIILDAGNRTLSHML >PAN46760 pep chromosome:PHallii_v3.1:9:14020243:14030012:-1 gene:PAHAL_9G216700 transcript:PAN46760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRMARFLFLQALALVAASAWAPVTFADPQATLLNLGCSQYNATPASAFLAALNSTFAGLRTNLSAAGAGGVGGFATAAEPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRAGCGAANGGRAILDGCVLRYESAAFFDQSTLPGNTQVCNGSAVGAGGFADAARALVADLAAAVPRAPGFAAAAARGGVYAAAQCVETVGEGGCAQCLKVAAGNIDGCPPNSDGRAVDAGCFMRYSDRPFFPANATVDLAVYLRSGKKSSRKGAIIGGILGGVAFLFLVGLLTILLIHRSRKLKPRRGDILGATELQGPTSFYYRDLKAATNNFNEKSKLGEGGFGDVYKGVLKNGKTVAVKRLVVMETSRAKADFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKFLFGEQRGTLNWHDRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDEFQAKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEILSGRKSNDTRLEPETQYLLEWAWKLYESDNLMALVDESLNPEEYKPEEVKKIMEIALLCTQSTVAARPMMSEVVVLLLTRNDPELQPTRPTFIDATSRVRGETSSSSSSSASKATISVSQFSAR >PAN50952 pep chromosome:PHallii_v3.1:9:68521759:68523387:-1 gene:PAHAL_9G559900 transcript:PAN50952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKPAPQLFRYVSKPRKPAAPSAAPDATSPAVHDAPASDADADADAVYRVVTSAPTPSAMESALAASAVPLSAPLLDAVMRRFRFAHGDPLRALSLLSLAADRGGVVPSPFAIDTALYVLGRSRRFAHMWDLLHSTRRICPDAVTPRTAMVVLGRVAKVCSVRQTVDSFRRIARMFRALDPAGLFNALLRTLCQEKSMSDARNVYHALKYEFQVNRQTFNILLSGWKSAEDAEAFFAEMRELGVEPDLVTYNSLIDCHCKNKDVQRAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKDLLKEMHELGCYPDVPAYNAAIRNFVIAKRLGDGFALMDEMASKGLMPNPTTYNLFFRCYYWAFDIGSAWRLYERMRFERCFPNTQSCMFIIRLCHRHGKVAQALELWSDMVSNRFGSFALVSDVLFDLLCDEGKLEDAERCFCQMVELGQKPSNVAFRRIKILMQLAKQEESIARLTENMARFGRLAPEDCQMVHHPAESRPSNGDGAGIAILRGA >PAN50456 pep chromosome:PHallii_v3.1:9:65983180:65987985:-1 gene:PAHAL_9G521500 transcript:PAN50456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAEEAQAPLLQAEEADADWSSRPRRIALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFYGAKVIGSWSFPCPLYQNVPLSLALSPRIFSEVNKFKPDIIHATSPGIMVIGALAIAKMLSVPVVMSYHTHLPAYIPRYNLNWLLEPTWSFIRCLHRAADLTLVPSVAIAEDFETAKVVPANRIRLWNKGVDSENFHTKYRRHEMRVRLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGARIAFVGDGPYRTELEKMFTGMPAVFTGMLQGEELSQAYASADVFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLKSKDLRESVGKAAREEMEKCDWRAASRKIRNEHYSSAMSYWRKKMGKT >PAN50370 pep chromosome:PHallii_v3.1:9:65612808:65615454:-1 gene:PAHAL_9G515300 transcript:PAN50370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIAGHVKKNIEDYMVEKLGIDESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRIRKLIFTNGDKVHAVRALKRLGLEDCFEGIICFETLNPPCPPQSDQEPEIFDIAGHFARSGTADELPKTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKQIGLHTVLVGKSQRVKGADHALESIHNIREALPELWEEAEKAEDVLYADRVAIETSVTA >PVH31153 pep chromosome:PHallii_v3.1:9:3920710:3921147:-1 gene:PAHAL_9G068100 transcript:PVH31153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGLSSASTTGRVRGSASNSLELLVAAEDEGFFGSQNHGASPLPEHTSPLIRRETSSTKQVPVLPGQPPFQGGEEGGGHTAMAPRAALGSQRRWLGHRSCCPGFGGAVKVSLPAPALNGGRRRQRGLPGRPI >PVH33103 pep chromosome:PHallii_v3.1:9:69175164:69175801:1 gene:PAHAL_9G569400 transcript:PVH33103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTHFHLYMWPCGSGGPPRCRFAPEVASSAPPSSMGLRRPLHPAARCGGPFLNGPPLAASPCCNAVPVREPHARISQRRLPTSGSHAPRATTMLPRFHQVTGPAG >PAN44956 pep chromosome:PHallii_v3.1:9:4784748:4787110:1 gene:PAHAL_9G083200 transcript:PAN44956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAARLFLLVAVAAALAGRSDGAWCVCRSDLGDSALQKTLDYACGDGADCKPILQNGPCFAPDTVRAHCSYAVNSFYQRNNQNAQACVFSGTAILSNTDPSGNGCTYPATPSAAATSNGGSPGVDSPPTMGPSALNDNSGAGVLPVAGVAARLVILASSLMALYLSS >PAN45333 pep chromosome:PHallii_v3.1:9:6479177:6486562:1 gene:PAHAL_9G110900 transcript:PAN45333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVERGAYDKVAVISGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTVLVHKVAGAAADAGLSLADVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQPVDVVVEHVLKQILSQETQYLPITRGSNAVLLINGLGATPIMELMIAARKAVPELQLEYGIAVDRVYTGTLMTSLDMAGLSITIMKSDENILKRLDAPTKAPAWPVGSEGNRPPAKFPVPVPPSPSMKDDELLAQPQELSKQGCILEAAIEASAKEIINLKDSLNEWDSKVGDGDCGATMYRGATAILEDMKKRYPMNDAAGTINEIGATIRRVMGGTSGILYDILFKAAYASLKQSRTVTANEWADALEASVAAVSKYGGASVGYRTMLDALIPASTVMKQRLKAGEDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLLASIPDPGAMAAAAWYRAAALAVKNKLHGSKS >PAN47505 pep chromosome:PHallii_v3.1:9:31889102:31890910:1 gene:PAHAL_9G297600 transcript:PAN47505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTSLGKITLPRQQRRLLQPLNSPKHKRVAATPPAGLLQELLGDVLPPKPKHTSDETLAVHAGEKLGKGADEAATDSIATPIVSGTTHWFKSSEDLIAFKEGRRHSHEYGRYSNPTVKVLEDKISALERAEATLVTSSGMNAIVTTLLALVPPGGHVMTTTDCYSEARAFIGDRLSKMGIRSTFIDLDDMESLKAVLDQDDVTLFYADSPTNPLLKCVDIRLVAELCHRKGALVCIDSTLASPINQKPLTLGADIVVHSATKYMAGHHDVIAGCVSGSEALISKIRAWHHDLGGAISPNAAYMFIRGLKTMALRVEAHNRTAQQMACLLECHPKIERVHYPGLESNPWHQVAKSQMTGYGGVVSFEVKSDLSGTMRFVDALEIPLIATSLGGCESLVQQPAVMSFWGKSDEEKTKNGIKDNLVRFSCGIEKFEDLRDDILQALEKI >PAN46560 pep chromosome:PHallii_v3.1:9:12371666:12376876:-1 gene:PAHAL_9G193700 transcript:PAN46560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVLALAAALLALRPALATDPYAFFDWDVSYVTAAPLGVKQQVIGINGKFPGPVVNITTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAGGGYGGIVVNNRDVIAVPFGRPDGDITILIGDWYNKNHTDLRKMLDKGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETFNVHPGRTYRIRVHNVGTSTSLNFRIQGHNMLLVETEGSYTTQQNYTNLDVHVGQSYSFLVTTDQNASSDYYVVASARQVNESLWRRVTGVAVLRYTNSRGPAAGALPDPPQDQNDRSFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRGAAPVWIGGRRRAALNGLSFAPPETPLRLADAYGVEGVYTLDFPERPPPRGGAPRIARSVINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTIQVYPGAWAAVLVSLDNVGVWNVRSENLDSWYLGQEVYIRVVNPEDAGNKTEMAIPSNALFCGQLHKYQKEQTPHHRMGVSAAAPRSPSATRRLVSAALLLAGSVVLAP >PAN45449 pep chromosome:PHallii_v3.1:9:7075567:7076888:1 gene:PAHAL_9G120200 transcript:PAN45449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLSQVRGPWPPFPFRRRRHTGSHFLPPPATDWTPSQSCKQKACRPLLSSFALAAPHPFHLASCALLVLCRAPPLQRGHRPTANPPPPLSPSPSTRRSSSQDSSPNGSRRARPPQRPPPALLDEMPSATPSRGSRNSWRPRRAGYPPNKLALPVSALSPCLFGVRRWWR >PVH31707 pep chromosome:PHallii_v3.1:9:14077424:14078242:1 gene:PAHAL_9G217100 transcript:PVH31707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGERWSCSRTSRRRRRTRSCAWPPARAGGPRPRPARRPAHRQEGVAATVPGQAQGPPRRARALRPPVPVGRGREEEAGCLALGLGSANADRLTIALGFGARRVAGLLQHGRSRGRRRPPRRPSRRRPTRARRSRGASCTSCYCRRHATGEYSRLSRLPAHGSPLSSAARSAPPAAPVAASLPAHLSLAELNGYLAAVHHDYPGGCIDMWLLMDLEQGTWSTVPTPPPSTTLRAPT >PVH32671 pep chromosome:PHallii_v3.1:9:61558687:61558885:-1 gene:PAHAL_9G456700 transcript:PVH32671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLRWSKRQAKAISWYPFMLLLFFDFQSWYPDGA >PAN50206 pep chromosome:PHallii_v3.1:9:64963145:64964014:1 gene:PAHAL_9G505100 transcript:PAN50206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATFVEVLLAIFLPPVGVFLRYGCGMEFWIDLLLTILGYIPGIIYALYVLVA >PAN50076 pep chromosome:PHallii_v3.1:9:64324837:64326489:1 gene:PAHAL_9G496300 transcript:PAN50076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAGAGSGNASGGGASACCYYALLGIRKNASATDIRTAYRRLAMKWHPDRWASNPGAAGEAKRRFQRIQEAYSVLSDKGKKAMYDAGLFDPLDDDNQDFSDFMQEMMVMMDNVKNEKPDTLEDLQKMLEDIVNGDDGSRGVGGRVPPDGARRARVAPYPQQQGRR >PAN49991 pep chromosome:PHallii_v3.1:9:63877979:63882991:1 gene:PAHAL_9G490300 transcript:PAN49991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MAMRLLSPSTPPPHLPSPGAKPLASAATALSSSSYFSLRLRRARAAAAAGAAAAGGPERDGGRFEGEAMGGAFDRGLAEIAKKVPLFEPATDGELAAAAGERPLPINLELWLYRIKVHTRKFEFPEAEKLLDKCISFWPEDGRPYVALGKLYSKQSRYDKARAVYERGCQATQGENPYIWQCWAVLESKGGNIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLGKALKYCGGNEYIYQTLALLEAKAERFEQARTLFQQATQSNPKSCASWLAWAQVEMRAGNNTMARQLFEKAVQASPKNRFSWHVWALFEANEGNIDKARKLLKIGHAVNPRDPVILQSLALLEYNYSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARALYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRIEVVDDASWVMGFLDIIDPALDSVKKLLNLDQPSGPARRDTVKSTAEPSPPTTRSSAAESSETSAPGGSGTSGLSSNDAGNSGSEAVEASGSDFDLDGFVKKRLALDPAELDAVLEGSDPRGVVSQRRKRRLPRKPLPLLPVP >PAN44310 pep chromosome:PHallii_v3.1:9:1876178:1879861:1 gene:PAHAL_9G033500 transcript:PAN44310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAPASVSSNPRSVEEIYKDFSGRRAGLVRALTSDVDDFYSSCDPDKENLCLYGLPNGTWAVAPPAEEVPPEMPEPALGINFARDGMQRRDWLSLVAVHSDSWLISVAFFFGARLNANDRKRLFSMVSDLPSVFEAFSDRKHGRDRSGVDSSGKSRHSSKRGSDGHVKNSRATAPAAKQYDDDDDEDDEEHTETFCGTCGGLYNSNEFWIGCDICERWFHGKCVRITPARADHIKHYKCPDCSSKKMRQ >PAN44481 pep chromosome:PHallii_v3.1:9:2609639:2613952:1 gene:PAHAL_9G046500 transcript:PAN44481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPAAATMRSARPSKKRKQPVVAPPESDSEEESVYDTASDGDEEEEERQQEVESEDEDEEVEEGSDDEDEEMEEGSDDDEDEEEDEDESEEEEEVKVTVKEEEKKEKKKKREEVEEKKKEKEEEKEEKKVKKKGEGSGILSNKLFSELPISELTAKAIREMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLIPAIELLYHLRFSPRNGAGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKSFIYKRLKCLVIDEADRILEQNFEEDMKQIFKRLPQNRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDNSKATVEGLQQGYCVISSEKRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHSELLNFLGIECSDIHGKQKQQKRTTTFFDFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGDKGKGSALLFLLPEELKFLIYLKAARVTLTEYEFNQKNVPNLQSHLEKIVSDNYFLNQSAKEAYRSYVLAYDSHSMKDIFNVHQLDLQKVAASFCFRNPPKVNLDLESSAAKHRKKMRRSDGGKRHGISPSNPYGRKDKDGGNKRQFARF >PAN44744 pep chromosome:PHallii_v3.1:9:3866469:3868484:1 gene:PAHAL_9G066900 transcript:PAN44744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPDADAAAPSDGGEQLRACREATRRRLRERVGAIVRAVRAPLADVLRDHALVHLPPAAAARLRLVHPSWARALASPLFAVAHAAAPRRASGLFVAAPDPGLLFLPLDAADTVPSPPLAFLPAWSAPAVLSSSHGVACCFSSADDAYFVCNPATGSWEGVPCPPCRITWPRPAIVVLFDASVYNFGGDFTLVCAFESAPGSGIYCFALFTSVTGAWWVADAVAPAEGLIPASGVAAGGVAWWRTSIGTAVGYNPVTGRVELAVCPGDSAHWEIGSAAGKLHCAVLADGDVVAFRLDGNGGWEVAATVPVAEILQQRPWQPEPSYELTDSSSSDDDDVENRAEQDRAGAIPAAASRFRMPRDDVRLLPFQGAEVEVVLLAGRRVVAFDMVTRRRREALLPEQPAGTDWGAAAYAAHTNTLALVARVVLMEPPDDQEVAS >PAN46098 pep chromosome:PHallii_v3.1:9:10148082:10155479:-1 gene:PAHAL_9G163100 transcript:PAN46098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYATHDLITKIHFSSNNKNRHGSILNSEVPQKPAMAATAAATDLSLHISPPPPPDAGSSGGRGEACHETADGSFFAKPPKLCLGLETATAAQQDGQCDVVQQQRLHQPSQIQRFKKSSSSAALSGGTTRSGNGGGGGTKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTTADRSCAAGHVQMRDMGFLQRACEVNAAFEAFNHSTSNTRMMASSARSSPAGKQEFAWCLRAPLAQQQSGLPLPLPLPCPYLMSAHHNRYLLSQDHQGWRRGAQQDVAASRVLRQDDNTARRLHAGHADAAIRRSSWSAGVASRWSSSSSTAGTGRSSGSSREQACWMMNKQQQQQQQQQAPSSRVAAPNLEISLGRQGWQHSLQDQQQRSGERANPS >PAN49106 pep chromosome:PHallii_v3.1:9:59324441:59325122:-1 gene:PAHAL_9G426700 transcript:PAN49106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCTTACLAWPLVELRAARGARFRPPQRLRGRPWSLLLMAAELLPALSLVREEPESMEEWWREAGDEYYELAPLQQRALRTQQAQGRRVSFVERGRG >PVH32541 pep chromosome:PHallii_v3.1:9:58954400:58957386:-1 gene:PAHAL_9G423500 transcript:PVH32541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVHSRKLRILLMPFFATSHIGPFTNLAVHLAAARPDIVEATVAVSTANAAVVQSALARQQSSGRATAVRIATYPFPVVNGLPPGVENLSTVKAADAWRIDAVAFDEALMRPGQESLIREHSPDAIISDMHFFWNIDIAADLGVPCVAFQVIGTFATTAISHLTDLDIHGAAGGGVVALPRAGGGGDILIPHTELPEFVRSQQVIDRPVLDRLTSMLKRCAGRAVNTFFDLEQGYCEIFTSGIKTRPSYFVGPLSLPPATATGDGAGAGHSPPCIEWLDRMPSRSVVFLCFGSLTHVSDAQLVELALGLEASGKPFLWVIRDETWSPPDGWMDRVGKRGMVVKGWAPQTRILEHQAVGAFVTHCGWNSVLETVSAGVPALTWPMVFEQFIIERLLTEVLAIGERLFPERAGVRSTRSEENDLVPAEVVARAVTKFMEPGGGGDAAWGRVKELSARARAAMAEGGASHRDLHSLIDDLVQARTEQQRHDSSTPQKIPQSLTAVA >PAN50060 pep chromosome:PHallii_v3.1:9:64233459:64239549:1 gene:PAHAL_9G495200 transcript:PAN50060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPAILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKVVVNTAADSVLQADGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFGRKNSEPFRRSQSMNEGEVPYEEAGFNADAHLDSSDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELMDTTDIYCNHKQTKKETSREVALKQHSILQQQSKNVEELKADLITTKAGMEYMQMKYSEDINLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQGSPSTVGSIDEGNITIVTPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALGDLFKLAEQRRGAFIYDIAVQMIEIYNEQVRDLLVTDGLNKRLEIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVRELKEQIARLKSALAVKDSGSEQIMSRDSEAFNMKMSSPGFSNKRQGSCDLLSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLTSNDYPSWPDSNLRVSFQMGDEREAASGDWIDKVVVNNNNSIGDWEGDSAALPDFFYQRYHSGMREKQYQRNNTRQKEDHEYEQQRPRFYSTNTDDSDDIDMATSDSSESDALWQLNVQSMNSSISESGSKVKKPQSKLRDGSDSRTPVHSQIPSASRKATNGSSRSVRQPLSRSDSRRLSSNGRQAGTK >PAN50061 pep chromosome:PHallii_v3.1:9:64233459:64239548:1 gene:PAHAL_9G495200 transcript:PAN50061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPAILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKVVVNTAADSVLQADGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFGRKNSEPFRRSQSMNEGEVPYEEAGFNADAHLDSSDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELVKTALKNGADSTKSFSKSKVLVETTPNTSGRKMDTTDIYCNHKQTKKETSREVALKQHSILQQQSKNVEELKADLITTKAGMEYMQMKYSEDINLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQGSPSTVGSIDEGNITIVTPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALGDLFKLAEQRRGAFIYDIAVQMIEIYNEQVRDLLVTDGLNKRLEIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVRELKEQIARLKSALAVKDSGSEQIMSRDSEAFNMKMSSPGFSNKRQGSCDLLSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLTSNDYPSWPDSNLRVSFQMGDEREAASGDWIDKVVVNNNNSIGDWEGDSAALPDFFYQRYHSGMREKQYQRNNTRQKEDHEYEQQRPRFYSTNTDDSDDIDMATSDSSESDALWQLNVQSMNSSISESGSKVKKPQSKLRDGSDSRTPVHSQIPSASRKATNGSSRSVRQPLSRSDSRRLSSNGRQAGTK >PAN48632 pep chromosome:PHallii_v3.1:9:56714247:56725976:-1 gene:PAHAL_9G396500 transcript:PAN48632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDAPPPSTNPSASSSSSSSPLFGGGGDQLFEFGPSPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLIPPIPAAPPPRPEVPERAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGEPVEELEEVFYEEEFDPIKYILQSIPEEGGDATYFDTQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHITSSKNEVSRDLVVNVKSKKKQALLDVLPVLTELRHALDMQMELETFVDKENYFQAFQLLPEYLQILENYSGLSAVQEMGRWIEAWLARTIQKLDTHLLGVCQIFNEESYLTAVDAYALMGDVSGMAEKMQSFFLQEVLSRTHCVLKEMLEEEVGNNTQKNRFTYSDLCVQVPEPKLRPCLLRTLESLFSLMRSYYAIMSFSPGYKNIESEGPDLADKNGTSQDSGETLVDSGRGHSSAAVIQDGSVAEKNNRASSSEVNNPDASTSGTGSPFYQLRTDATKLVAQTFQRGRRNLWQLATSRLSVLLSSSAVCSTSIYQFLKNYEDLAIFILAGEAFCGFEASEFRQKLKTVCLNYVLSFHRQNIYALKMVLEKESWTIMSAEASQIISLAGLTGDGAALCSPTSRSSKLPINSFHGSSTTANSGKQGLGFASWLKIENPFSIKIENGSAESPKSNMLFNSSVNNNHGNGNNPSLDEENEDLLADFIDEDSQLPSRIPKTKIVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLDIVNIELFKGISQLFGIFYHYIYETFGHQDRSQSSKPLPDHQSSRLKAALSKISQDSDQWTKPQNVSYSPSSPLSMNSTFGQMDVMPTAPPSSMFTSYGLKERCSAAETVSLVARVLNRSKSHLHSVLSKNNTSSVDEFYRTLVDSVPDLTEHIHRMSARMLLHIDGYPDKIANAKWEVKELGIEHNGYVDLLLGEFKHYKTRLDHGGISKELQHLLMEYGIDSIAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLQHIVSANVRPKLQTVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETVEKIEAAP >PAN46170 pep chromosome:PHallii_v3.1:9:10403776:10406647:-1 gene:PAHAL_9G167000 transcript:PAN46170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDIRLSIAHQTRFALRLAAALSSHSAAAAAPAANAAFSPLSLHVALSLLAAGAGGATRDQIAASLGGDGPGAAEGLHALAEQVVQLVLADGSGAGGPRVAFADGVFVDASLKLKPAFGEVAVGKYRAETQSVDFQKKAAEAAGQVNSWVEKITSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTQKFDASKTKDSEFHLLDGSSVQAPFMSSTDKQYIASYNNLKVLKLPYQQGGDKRQFSMYILLPEAQDGIWSLAEKLSSEPEFLEKHIPMQKVPVGQFKVPKFKISFGFEASKLLKGLGLQLPFSPEADLAELVDSPEGQNLCVSSIFHKSFVEVNEEGTEAAAASAATVVLRSFTMPMDFVADHPFLFLIREDMTGVVLFVGHVVNPLLAP >PVH33284 pep chromosome:PHallii_v3.1:9:72030544:72034312:-1 gene:PAHAL_9G616600 transcript:PVH33284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLITSSRIRSTVAGGIDRSTASILTLRCRTSASGMCHRYCLYADAVWKNTGMQPATMESNTDRRPHDVAGDVALGADGGARAPQHGEGAGRVALRDDVIPDPDVHRNANVSLPGLSPTHRSVWPRRAHERHEPRPPLAAHPVLPPEHGGPGVEVGRVQHGVVAAVQQRVEVVAPVWVDVVAPLEVPPFPPGDEEVVAFPHSPPLGPRHEPLRQVHGRGVPGGVVVAPVVAGEVEAGVERDEHGVRHGGVVGQALVLLRPVVEDGVERELVRAVPPGFAGDDAVGAVGERLAEAGVADDPAHVPPVVLVLAAVADDVGVEARRQVVHVDVPPPARVGAASPIAHLHLHRGAEHGELHVQEQGPCYHGEDGEELQRRQLVRRGLLRSLRSDGPAPPSTAAFRCRFGQLPNQEAPTPLEMDIRQDRRMEIYACLTSAGLINLRGWDWEEPRKTKAAVCTDRRRRGMTAECSGVSGTGIQRE >PAN49024 pep chromosome:PHallii_v3.1:9:58669504:58671324:1 gene:PAHAL_9G420600 transcript:PAN49024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAVGAAWKRRGDRAASSESFQFQSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYALSRRPPPPWFQPPSPSVTHIQVDLTDYSAAAEALAPLTDITHVFYVALSWRPTEAQSRETNSAMLRNVLSVVVSSCPRLAHVSLQTGTKHYFGRLGSKTRVHDPPYTEDMPRLDIPVFYYDQEDILFDTVARRAGWSVHRPNLIFGFSPRSEANVVCSLCVYAAICHKEGVKLRWPGSRAAWEGFNNGSDADLIAEQHIWAAVDPMAKNEAFNCSNGDVYRWKLLWPVLAGRFGLEWAGYEGEENRFQVAEAMAGKEAVWAAIVTENELVETEVNEVASWWVIDAVADQFGLDLEILDSMNKSKEHGFLDFRDTVKSFNTWIDRLKAQKIVP >PAN44342 pep chromosome:PHallii_v3.1:9:1651242:1654099:-1 gene:PAHAL_9G029700 transcript:PAN44342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPGSRSDLDFGGVFSTSGPPTGHMINFSLQQQSQVQFFPSYGLRSHNPPVNSHPSRSLVMPGGITPSPASTTTTELDNSEDLSETITDDAVLAYINQFLLEDEDDESFPVTSAPVEDSALLAIEKPFVDILKSAKPAQAYEVKSWMTGHCDIPGMGGLDDVVIRTQKSCQLPCEMVKEGSVCAVHKGRKNPHDDGLEMEERKSKQSAPCEEETVREMFDKVLLCTDENCVFRSPLPIDAQISSGYVKGSGNRRGRRKGRSGAGPEEEAVDLTTLLIHCAQAAAIDDHRNSNELLKQIRKHSSATGDAGQRLAHYFANGLEARLAGTGSTIYRQIAAKRTSTGDILKAFGLYAKACPFMKISHYFANSTILNVSKSASRLHIIDYGIQYGFQYPVLMQRFSRRHGGPPSLRITGVDFPQPGFRPAERIEATGRRLHEYARMFNVPFEYHAIAGKWDTIQVEDLNINSDELLVVNCLYRMRNMMDETVTDDSPRTRVLNTIRKLNPHLFVHGVINGTYNAPFFVTRFKEAMFFFSSHFDMLEANASRVDEHRLLIEREFVGREALNVIACEGTERIERPETYKQWQMRNFRAGFRPLPLNEEVMKRARYKVSKSYHRDFLVDEDNKWMLQGWKGRVIFALSAWTS >PAN44341 pep chromosome:PHallii_v3.1:9:1651243:1654097:-1 gene:PAHAL_9G029700 transcript:PAN44341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPGSRSDLDFGGVFSTSGPPTGHMINFSLQQQSQVQFFPSYGLRSHNPPVNSHPSRSLVMPGGITPSPASTTTTELDNSEDLSETITDDAVLAYINQFLLEDEDDESFPVTSAPVEDSALLAIEKPFVDILKSAKPAQAYEVKSWMTGHCDIPGMGGLDDVVIRTQKSCQLPCEMVKEGSVCAVHKGRKNPHDDGLEMEERKSKQSAPCEEETVREMFDKVLLCTDENCVFRSPLPIDAQISSGYVKGSGNRRGRRKGRSGAGPEEEAVDLTTLLIHCAQAAAIDDHRNSNELLKQIRKHSSATGDAGQRLAHYFANGLEARLAGTGSTIYRQIAAKRTSTGDILKAFGLYAKACPFMKISHYFANSTILNVSKSASRLHIIDYGIQYGFQYPVLMQRFSRRHGGPPSLRITGVDFPQPGFRPAERIEATGRRLHEYARMFNVPFEYHAIAGKWDTIQVEDLNINSDELLVVNCLYRMRNMMDETVTDDSPRTRVLNTIRKLNPHLFVHGVINGTYNAPFFVTRFKEAMFFFSSHFDMLEANASRVDEHRLLIEREFVGREALNVIACEGTERIERPETYKQWQMRNFRAGFRPLPLNEEVMKRARYKVSKSYHRDFLVDEDNKWMLQGWKGRVIFALSAWTS >PAN50266 pep chromosome:PHallii_v3.1:9:65150579:65153378:-1 gene:PAHAL_9G508800 transcript:PAN50266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFVDKLPFFDRRSSPMEEAEDIPRNGLLHVHHHQQQQPHHHSGLLMQPQPSPPTKQSSFTLAQLLKRVNEARSDASSPTSSPTHSHYTIELGGSVPGSTGSDLSEHMHGGGGGGPLLPFVLKFTDLTYSVKQRKKGSCLPALPFGRAGGETAEPEVPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIVKESLHGSVTLNGESLDSNLLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRSLPTKEKKKRVQALIDQLGLRNAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDSLLFLSRGQTVYYGPPGALPSFFDDFGKPIPGNENPTEFALDLIRELETMPDGARDLVEHNKKWQMRMAPKMKHHDGKPSLSLKEAISASISRGKLVSGATDGSVSVPSGESAPAAAVSKFANPFWVEMGVLTRRAFINTKRTPEIFIIRLAAVLVTGFILATIFWRLDDSPKGVQERLGFFAIAMSTMFYTCSDALPVFLNERYIFLRETAYNAYRRSSYVLSHTIVGFPSLIVLSFAFALTTFFAVGLAGGAEGFFFFVAIVLASFWAGSGFATFLSGVVTNVMLGYPVVVSTLAYFLLFSGFFINRDRIPRYWIWFHYLSLVKYPYEAVMQNEFSDPARCFVRGVQMFDNTPLAALPAALKVRVLRAMSQSLGVDIGTATCITTGPDFLAQQAVTDLTKWDCLWITVAWGFLFRILFYVSLLLGSRNKRR >PAN51218 pep chromosome:PHallii_v3.1:9:69771176:69771954:-1 gene:PAHAL_9G579200 transcript:PAN51218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHCVNLSKFFKITWVRHQSKQNRIKSFTSSAPTSEVGQLEGLTVLMHNTCSLKCLRALHARLAVTGSIRDNSVVTGLVERYLSLGKPAPAASLFAEAYHSRPTVYSLNLAVRCFSDHGFHRELLDLYRELCGIGSDNFTFPPVIKACTTVSCLRMGREVHCRVLRTGHGGNVGVQTALLDLYAKAGQIDVSRRVFDGMVERDLISWNAMVSGYSLNGCFQEAVEALHEMQQGGMRLNASTLVGIIGCW >PAN49340 pep chromosome:PHallii_v3.1:9:60696006:60697852:1 gene:PAHAL_9G442500 transcript:PAN49340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGKEVKTRPDPKVEIQEKGEIFFFYRPKVDKDEAHGPDDVQRMYIVLRPESAEDRAVEEKQAPDSGKEGRKHHRQGDGNGGGAGKEGGHHEGGHGKEEVNVEERPLLRLLVMGKKSLPDPAKHGRPYWGYVELVTTKLQDIKDALKEEEYSTATRGQRRLPAARALGEGVYRILKHESGRAPHTHLVYKLELPTRGDGEPQEALNVEPEASFLVQIKNPDPPSGGGGGGGGFRGLQSKRRAAFPAHLQGAFGSRRFAPADPPDLLNYEGCELLLIAASDDVEEELGLELEGEVEEGEEQRAAGCSDLVKMFGEVADVKPLLSGSWD >PVH33259 pep chromosome:PHallii_v3.1:9:71522736:71526326:1 gene:PAHAL_9G608700 transcript:PVH33259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKEPFKPLVEGKVSMFVCGITPYDLSHVGHARAYVAFDVLYMYLKFLGNEVKYVRNFTDIDNKIIKRANEVGETVESLSSRFINEFSLDMDELQCLPPTREPRVTEHIEHVIELITKIMENGHAYAIEGDVYFSVDSFPEYLQLSGRILDQNRAGERVAMDTRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAHYLGLAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSENNFFPIRDIISLYHPMALRFFLIHTHYRSDVNHSDKGIENASQRVYYIYQTLYDCKEVLSKYRQEGISISVPDKKHILVEQHHLHFLEYMSDDLKTTKVLDESFMKLLRTINSDLDDLKKLQQKLEQQQQMKQQQQQPQKQPEDYIQDLNAMEIEIKEKLSVLKQLKGKTLKRACLSEEQLQEQI >PAN50562 pep chromosome:PHallii_v3.1:9:66441007:66447942:1 gene:PAHAL_9G527800 transcript:PAN50562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MAATALLLPAAAAAAAAVLLCLAGGSRATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKAKDGGLDVIETYVFWDIHEPVRGQYDFEGRKDLAAFVKAVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGVKFRTDNEPFKAEMQRFTAKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQADAPDPLINTCNGFYCDGFTPNSAAKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNLDRSSGGPFIATSYDYDAPIDEYGLIRQPKWGHLRDVHRAIKLCEPALIATDPSYISLGQNAEATVYKAGSVCAAFLANIDGQSDKTVTFNGKMYKLPAWSVSILPDCKNVVLNTAQINSQVTSSEMRLMESSTVASDGSFTTPELAVSGWSYAIEPVGITKDNALTKAGLMEQINTTADASDFLWYSTSITVKGDEPYLNGSQSSLLVSSLGHVLQVYVNGKIAGSAQGSASSSLISWQKPITLVPGKNKIDLLSATVGLSNYGAFFDLVGAGITGPVKLSGPNGALDLSSAQWTYQIGLRGEDLHLYNPSEASPEWVSSNAYPINQPLIWYKTKFTAPAGDDPVAIDFTGLGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYTSSKCLKKCGQPSQTLYHVPRSFLQPGSNDIVLFEQFGGDPSKISFVTRQTGSVCAQVSEAHPAQIDNWISSQQKMQRSGPALRLECPKEGQVISSIKFASFGTPSGTCGSYSHGQCSSTQALSVVQEACIGVSSCSIPVSSNYFGDPCTGVTKSLVVEAACS >PVH32927 pep chromosome:PHallii_v3.1:9:66441007:66447942:1 gene:PAHAL_9G527800 transcript:PVH32927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MAATALLLPAAAAAAAAVLLCLAGGSRATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKAKDGGLDVIETYVFWDIHEPVRGQYDFEGRKDLAAFVKAVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGVKFRTDNEPFKAEMQRFTAKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQADAPDPLINTCNGFYCDGFTPNSAAKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNLDRSSGGPFIATSYDYDAPIDEYGLIRQPKWGHLRDVHRAIKLCEPALIATDPSYISLGQNAEATVYKAGSVCAAFLANIDGQSDKTVTFNGKMYKLPAWSVSILPDCKNVVLNTAQINSQVTSSEMRLMESSTVASDGSFTTPELAVSGWSYAIEPVGITKDNALTKAGLMEQINTTADASDFLWYSTSITVKGDEPYLNGSQSSLLVSSLGHVLQVYVNGSAQGSASSSLISWQKPITLVPGKNKIDLLSATVGLSNYGAFFDLVGAGITGPVKLSGPNGALDLSSAQWTYQIGLRGEDLHLYNPSEASPEWVSSNAYPINQPLIWYKTKFTAPAGDDPVAIDFTGLGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYTSSKCLKKCGQPSQTLYHVPRSFLQPGSNDIVLFEQFGGDPSKISFVTRQTGSVCAQVSEAHPAQIDNWISSQQKMQRSGPALRLECPKEGQVISSIKFASFGTPSGTCGSYSHGQCSSTQALSVVQEACIGVSSCSIPVSSNYFGDPCTGVTKSLVVEAACS >PAN45065 pep chromosome:PHallii_v3.1:9:5167164:5170437:-1 gene:PAHAL_9G090400 transcript:PAN45065 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRK1 [Source:Projected from Arabidopsis thaliana (AT3G63260) UniProtKB/TrEMBL;Acc:O22100] MAGGAARGRRHSFGGSSRTAAAAAASGGDVFVRAGADNEVYVRADMIDLKNLDMQLERTRSHAWMEHQRSQRSASPLAEPLLLEWEIDLAKLDIQNQIAHGTFGVVYRGTYDGLDVAVKVLDWGHDGQESSAKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSQLKIPKKGGARAVPKECCVVVVEFQHGGTLKTLLYNHRDKKLSYKKVVHLALDLARGLSYLHSKRIMHRDVKAENMLLDRKRTLKIADFGVARVEAPSCEVTGQTGTLGYMAPEVLQGKPYDHKCDVYSFGILLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPRAMSDIMMRCWDGNPDNRPEMSEVVALLEKIDTGSGKGGMTPIDDHVAQGCSCFGFNRSS >PAN51206 pep chromosome:PHallii_v3.1:9:69693758:69695808:1 gene:PAHAL_9G578100 transcript:PAN51206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEHHAACPTLPLAAGDHRSVPFDPVSLPDLLRSTATSSPSAGAPAVSALHAAGLKLGALPSSLPASNALISAYSHAGLLPSALRAFHLLPCPSTASYTTVLSALSRHGRPHEALSLFAASASAVAPDAELLSCIVSCCRRSSAFLPARAAHAYGIKNVAVLVFYASAGPALVALYAKQGKVSAARRVFGCLDVEDVVSWNAMIGGFASAGRDIEAWNCFREMRMRGVRGNARTAVAVLGACDLESGRQVHGYMLRSHGGGSKTILWNALMSMYSRLGSVSDAEHVFLEVERKDVVSWNVMIGTFAKNGYGAKALEHVDAMAQSGMQPDSVTFTAVLMACCHCGMVDEGLALFQHFVSVAGLVPTMEQCTCVVDLLARAGRFVEALEFIGQMPMQPNAIVWGALLSASRMHHNVESARIAFEQLVQVEPENAGNFVTMSNIYAKAGMVEDAKRVRMMIDIVELMKPSGQSCVEIV >PVH31923 pep chromosome:PHallii_v3.1:9:21059584:21060401:-1 gene:PAHAL_9G264700 transcript:PVH31923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLLADDMVLVDESRAEVNMKLELWRHTLESRGFRLSRTKTEHMMCDFSPTRHEDGDKDTFRYLESMLQKDGDIDEDVRHRISAGWLKWRQASSVLYAKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGRVQRRPPEAPMRSGVLKRGDNVKRGRGRPRLTWDETVKRDLKEWNNAKELAMDRSAWRLAINVPEP >PAN49714 pep chromosome:PHallii_v3.1:9:62482117:62483822:1 gene:PAHAL_9G470500 transcript:PAN49714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDQRQQERTQVGDLPEVCLAHAIALTSPRDACRCAAVSPAFRAAADSDHVWRRFLPPGHRGASVSVHPPQGQQAPKPAGSKDAYLRLCDAGAAVPVGEGSGTQRLWLDRASGARCYMLSARALSLPWDDGEFSWRWTLHPLSRFGDVAELVECTSLDIYARLPAAELTPATTYAAYLVYGVAEGHRGLSYPDQETTVALGGARVAPARHAVCLHPDDAEARKFRAVSRGTGDEDPRRPRLREDGWSEMEMGRLSTPGNGGRQAAGEEVVVSFEVLGWYPKRGLILEGIEFRPVN >PVH33121 pep chromosome:PHallii_v3.1:9:69371820:69374255:1 gene:PAHAL_9G573100 transcript:PVH33121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRLPTAPDPGRPGPWTHKAPKTPFPRWPLLHGTIQALTRGAKRPFRPHPPLPPALKAPTSSTAGSPVPIPRRQVGDATAKRLPNPLQNPREKSGRVTRRSSPEPARPGGVW >PVH33178 pep chromosome:PHallii_v3.1:9:70266838:70267965:1 gene:PAHAL_9G587500 transcript:PVH33178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTVAISPNDSPPRFVCSHTTTAPMDMLGRASRSPCRGSPPPPPPQVVAKLNVASREERAHSLARSRSSLRFSTAPSVWGWWTLWMEEVQGAFSADGRLTFPSSLPSLPLDATPAPCLLPRESASSTAADP >PAN48449 pep chromosome:PHallii_v3.1:9:55350395:55351176:1 gene:PAHAL_9G382800 transcript:PAN48449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIHRCSVLDRLSAFAFSKKSPESAVVEILYQLFFKGRSTGLIKWIYLLFLLSARFILKVKMVSLEVLKELEHRKFNPA >PAN47196 pep chromosome:PHallii_v3.1:9:16276463:16279600:-1 gene:PAHAL_9G241600 transcript:PAN47196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MALRARVMGPGGGRRELLLVSAAFTALLVASILLLPSLLLRTRPSFLSSSAAGGDRQPRYPVSFAYLISASSGDAARAARLLAALYHPANTYLLHLDREAPAGEHRRLAELVSARGVYARAGNVWVVGRPNLVTYRGPTMLTTTLHAVAMLLRLRRRWDWFVNLSASDYPLVTQDDMMEAFAGLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEDGRAELIRPVNITTNLRRFPTAFKLYTGSAWTMLSRPFTEYVTMGWDNLPRTLLLYHANIISSPEFYFQTVACNSRRFRNATVNHDLHFIRWDNPPKQHPLHLTSRDYRRMLLSGTPFARKFREGDPVLDRIDRDILRRRGPGQFAYGGWCSESGEGGAALCGNPQEPGRKGAVKAGAGSRRLKALLGKALAPGNLRRKQCR >PVH32491 pep chromosome:PHallii_v3.1:9:58056493:58057261:-1 gene:PAHAL_9G411500 transcript:PVH32491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATPSSMLRRAIPKSDAARPPWIYSDRPTAPWFLTREAGSPRPRPRTEAPTSPGSPDHPHPSRWVSSTRRARILARPVPRFPTASGSSSSSLLPLVPEQPLRPQDANPPFPSSRGSTHVAPSARRRRIGSSAATRTTNSSTVRLPLRRRDCGSLRTRLPGDLG >PVH31722 pep chromosome:PHallii_v3.1:9:14364561:14368002:1 gene:PAHAL_9G220300 transcript:PVH31722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIICVCTRGQCGGGPSGGRRCGDPAAPSPPSPSSSPSPPRAKQAHKLFGNDFADNGNSCVGPQRLGSQVLVGGVRRGGGEGLVPLGGATFKSRRPENFINCSRDPNSTLLPSLLPRHTPPSPGSPLSMPSLPLPVHHCRGQGEAGRGPRLGCLTARESATACCSIEEQRAGVVVVRMGSREPLAAGANSVVSSPPRGRSASSPPWHPPLSPSAPPSPEVRGPEERRPRLEKLPQGAEAARRDALPLGSAAAASGLWRRASSPVVQRTIARSWECTAATVYCLSHVVPACVYLPCVLTKSGGGGGTAAEVAARGGLTLGAPLSAMAPATLQWRWRRRRIAMATSDRAAAHCILSQPATLQYWHACRTRGSWDGGCRGG >PVH31655 pep chromosome:PHallii_v3.1:9:12967518:12972474:-1 gene:PAHAL_9G203200 transcript:PVH31655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAVLQWQPKHSTLYRAVLARIILQTVNASSSPAAPATTYVAAARARSARSSPCSASPESRTAAVPLRLLGPPYRRLAPRPRTRSPNCAGRTATDKARCCLCELMPASMAARPVCSYSIRFAARAPRSLSSSSSAAHVGSPAIPGADRRTASCSPSSMRAASAFSPRSAAARAAAISSVAVTRTRSRSTSMDSSASPSGISGGLSTAASSPATASKHPLTASAMRTLCMATERSPPMHCADGRLVLDEGKRAARLLRYGVHRRRVVVGAEAEPLSRPTAHRQPSMVAPNRRWHKIGVPASYVPVPPVGQKDHAGDGVPVPAVVQHPGGHAEALADVRAATRDEGLHGALRRGLPVGRHARELDHACRVVREGDDAEAVRRTEVADDEPHSLFHYQVQLLAAHAAAEVDHRHEHREADPGRAPGYGRALAVRPERHHRVVRRPGLILHRLDALLHHRFVVVVRAMRRRRPRGRRRRTADDPAMRRRRPRGTRRTGDDRAADGREVAPRGLAERAVAVAVRDAGGDGAVVEDVGALGREDGLAAADVAEADGARVGCLLALHRSLPRPPPTRLAVLHPIPRPPPRPTALAAEPPAHQPPPLIDLLLAPAVGHCLSRIQPRPMLLHCLASAAGQTTTTIGPLPQPTSPLKLPAIGSLLSLRSTRWPAVAVRQHTGWKRKEAIGSDG >PAN49294 pep chromosome:PHallii_v3.1:9:60386449:60387603:-1 gene:PAHAL_9G439100 transcript:PAN49294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRPSLPHGLPRPPSHSAGLRRLSTRASAPSTPRAPAPPSPSAAAGPAPSPSAVLAHLAAAGVSVLPGLSDTELALAEAALGGVQLPPDLRELLALGVPSGDGFPDYRSPAGLRLLRFAAQEVPAAVAAALPLAPGRRPGRAAPPPLVPLCGRHYVPATPCLAGNPVFHVSDSGVTFAGANAADFLLRAFAAEPPPGAPLRRQLSAPAPPAASPSPAPPSTARRSLDSVTGRAPRWIEFWTDAAAAGDRFLEVPTGGARGSAAGAAAPEWLLPNLERAGSALARGGWAAAEVDEMTAGGPDGGEVLALALTVDRCCGELRRGGWPAEEVVEMLGALLAPRKARRAAAALPPDVAARVGRLAEAVSRAVASRGKAKPPRPS >PAN52086 pep chromosome:PHallii_v3.1:9:73744243:73745632:1 gene:PAHAL_9G641000 transcript:PAN52086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGGVPLTTTTMAVAVSSLLLLLGAGSAAVSYNDSSLMRMPMQWGSARATWYGQPNGAGPYDNGGACGFKNVNQYPFLSMTSCGNQPLFRDGKGCGSCYKIRCSKHPACSGRTQTVVITDMNYYPVAPYHFDLSGTAFGKLAKPGRNDDLRRAGIIDVQFARVPCEFPGLKVGFHVEDGSSQVYFAVLVEYENGDGDVAQVDLREARSRRWTPMRQSWGSVWRLDSNHRLQPPFSIRLRSDSGKTLVAPDVIPVNWRPNTFYRSFVQYSS >PAN51546 pep chromosome:PHallii_v3.1:9:69236588:69244369:-1 gene:PAHAL_9G571000 transcript:PAN51546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNTRNKNKRPRADESESPSAAVFKKIHSTGAISQSDIRQLYMVWKPLCHGCHWNSKDSANCFCGLIPTTKGVRKTGLWQKKQEIVRGLGLNPSEDLRDPTETPAGLTNLGATCYANSILQCLYMNTYFRSGIFSLELDVLKMLPVLDQLARLFARLHSSKMAFIDSAPFIKALELDNGVQQDSHEFLTLFLSLLEQSLSHSKVPGARTVAQHLFRGSMSHVTRCSSCGKDSAASSKIEDFYELELNIKGLNNLEESLDDYFSQEELDGENQYFCESCQKRVDATRCIILRSFPPVINIQLKRYVFLPKTTTKKKISSTFRFPGQFDMEKRLSNSSSSYTYELAAILIHKGTAASSGHYVAHVKDESNGQWWEFDDETLSKLGLHPFGENPGKKSNKVNASYMKSCEEYQSKKDNNLAYITERRQEDDSYFWIATDWLRQWADNITPPSFIDNGPIQCEHGKVPASKVTSVKRLSAVAWLKLFSKYGGGPALSTDDFCMKCLKDGAKNAVSADVYRDRKASLKKLAEAALGGSCPDGPSYFCLKGMKKNAVLTSDANNGPTSALRCCHGNLLPEHAPGAERVSIAESLWLFLNQTISEKRADDIVTFPSDCQPCEICCQDLSDFASVEGNLRAVKLKQRQNHEKLISGKSFTLNLGKKYYLVPSSWLSEWRAYIIATGKNVSSLSEPFLKLLFYNSQHSRLLQRPLDLVCKHGSITQETSNEWSATPGKGIYAEIAFRKSVQDKLHGSYEAMSIMNGDLDHLGVREPYLKTDPEVCEECIGERESCALVEKLNYQNEDIHVYFVLGKEAPKSIKEASKAVPVSDRRTSKHSKITSSENSVSLKVSSFTSVYQLKLMIWESLEIVEENQKLHKDYVEIEDDFATLADKSIFAGDVLWVRDSEIYENRDIADEISEQKGDLLQAEEGFRGTLLTSSVSAHLCQDIALSERVPALRYIAAFLLAYAG >PVH31499 pep chromosome:PHallii_v3.1:9:10077170:10078488:-1 gene:PAHAL_9G162100 transcript:PVH31499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCRPTRRIGGDSRVENVAGVERAKAPLRSCEYVSVDQRLAGSTPCVAGIRRAVEVAGRCVCWHSAVGKGRDKPGSQAAADFLLLVSSRAGGSCQSRAASLRGDSRGWSWHWGLWFSNDHHVPDAILSLSRPRCASTSSVGMGTRKDLVDASTQCPASEEYHFASPGATSSATPSHQRLHFAAYPKMQRRPGI >PAN46143 pep chromosome:PHallii_v3.1:9:10301717:10303561:1 gene:PAHAL_9G165200 transcript:PAN46143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSMDQSFVQAPEHRPKPTVTEAAGIPLIDLSPLASGDAAAVDALAAEVGAASREWGFFLVVGHGVPAGTVARATEAQCAFFALPAERKAAVRRSEAAPLGYYESEHTKNVRDWKEVFDLVAHEPPPPAAVAAGEVVYENKWPEDLPGFREALEEYMKALEELAFKLLELLARSLNLRPDRLHGFFEHPTTFFRLNHYPPCPSPDLALGVGRHKDPGALTILYQDDVGGLDVRRRSDGEWARVKFVPDSFIINVGDTVQVWSNDRYESAEHRVSVNREKARFSMPYFFNPATDAVVEPLEELVGEEDPPRYSAYSWGDFFRTKLSGNYKKLEVENLQIEHFRKGLEV >PVH32339 pep chromosome:PHallii_v3.1:9:54408067:54408768:-1 gene:PAHAL_9G374500 transcript:PVH32339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVVATAARRLVANAIHGDPRAHRAAGGSSSRLGAAARAPAQRESFPMLLPVFVLFVLLLCFLSIFLLRDLLHFFSLWLRRRRRLRADADAASGAGATPEAHAPPKPAGLDPAVLATFPTVRWIEATPQSSTPAHAECVVCLSEFAAGDAVRLLTVCRHAFHTACIDSWLGAHTTCPVCRSELDAPPPRPGDGDGGRIAIVVDGQRASTAVAETDQTTSNPGSGGVRSRPDR >PVH32984 pep chromosome:PHallii_v3.1:9:67285587:67286514:1 gene:PAHAL_9G541000 transcript:PVH32984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGITRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNASHWQWPLVLLVSVHQNPPLINIEAGPGDENIDEEVEEANIEAGGTAAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGCSWPSDCRENEIIQGARYHSIEEVKEAVKCWMAVHGGCMPTRVNGKIIGNAPLSLSTLVICLGCRRAIANSRRNTSQMRCTGR >PVH30977 pep chromosome:PHallii_v3.1:9:1356366:1360375:1 gene:PAHAL_9G023900 transcript:PVH30977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLTFGARRFLRHLTDLGYKKSLVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIKGIGGQRALKLIRQHGCIEEVVQNLKQTRFSVPEDWPYQEVRTLFKQPNVCTGIPDFMWTSPDSQGLMDFLSTENSFSPDRVAKAVEKITVARDKYSPERLKLLTPVASLPGSHTEKEPTCILGSPGQGLKIRSAPQVCKSSSSGFRYASSKPFMLDMQSGFHGRPYAFSSFSSL >PAN49715 pep chromosome:PHallii_v3.1:9:62484640:62485671:-1 gene:PAHAL_9G470600 transcript:PAN49715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNVSAAWLVLAVVLALLLPSSFCAAEPIKTTPTRFSFRLPLPDGVSGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAHSANYRRIPLCTASVVPSEETESMCGRPLGLQFFAKTGDLYIADAYLGLMKVGPDGGEVEVLATQADGAPFHFVNGLDVDQATGDVYFTDSSTTYPRRFNTEIMMNADATGRLLRYDARSKQVTVLRAGLPYPNGVAVSADRTHVVVAHTVPAQAFRYWLRGPRAGQYELLADLPGYPDNVRRDARGGYWVALNQEKARIDATAAPAKHLVGVRLRADGVEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVY >PVH32251 pep chromosome:PHallii_v3.1:9:51091316:51096625:-1 gene:PAHAL_9G353900 transcript:PVH32251 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MGDYGHGAGQMRGNPDSRPRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMAQFVSQFAEPGDPEYAPPVPTCETRAEKKARIRNNKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLSYETSEHKIKREFEAYGPIKRVRLVTEKDTSKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRMGGADTDKKDSAREQQHGGRPRSEEPRRDDRRAERDREKSRERVRERERDERPRERSHDRTRDRDSREEKHHHRDRERTRDRERGKDREREHGRDRDRDRRDRDRDRDRDRGRDNDRERDRARSHDRHRERGRDRGERDYERTSHERDRGHMHERDADYGNGGPKHDKNLSSYGQDYGHGHYEQHKGHEAYGYGQDGRGHETEHSKRHDHEYYRADSYSKMETNYQVLPNNAEPEGPEEGEAYEEGDYQYHRAGEHMNEA >PVH32250 pep chromosome:PHallii_v3.1:9:51091316:51096587:-1 gene:PAHAL_9G353900 transcript:PVH32250 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MGDYGHGAGQMRGNPDSRPRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMAQFVSQFAEPGDPEYAPPVPTCETRAEKKARIRNNKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLSYETSEHKIKREFEAYGPIKRVRLVTEKDTSKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRMGGADTDKKDSAREQQHGGRPRSEEPRRDDRRAERDREKSRERVRERERDERPRERSHDRTRDRDSREEKHHHRDRERTRDRERGKDREREHGRDRDRDRRDRDRDRDRDRGRDNDRERDRARSHDRHRERGRDRGERDYERTSHERDRGHMHERDADYGNGGPKHDKNLSSYGQDYGHGHYEQHKGHEAYGYGQDGRGHETEHSKRHDHEYYRADSYSKMETNYQVLPNNAEPEGPEEGEAYEEGDYQYHRAGEHMNEA >PAN50190 pep chromosome:PHallii_v3.1:9:64910365:64915125:1 gene:PAHAL_9G504400 transcript:PAN50190 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MSGAGDYQEMAASVPPSLKAITLTHVRYRPGDPLGLFLAWVSLIPVFISLGGFVSHFLFRRELQGLCFAAGLLVSQALNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYTFFFATYLSLLSLRRSRARRVIAAVPWPLAFLTMVSRVYLGYHTVAQVFAGAVVGLVFGAIWYWFINTMLVDYFPMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKVATD >PVH33368 pep chromosome:PHallii_v3.1:9:73394617:73397564:1 gene:PAHAL_9G636500 transcript:PVH33368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGFDFWNIPRGPEAAVGSPLPPPSSGCWAGINDQCPAASPPLISADGSCWPLDLSMLGGDDMLTTGVRGPLALEAEERRLCGHQWIHGDGGLPDQAGGRRLLDVIDSPWTSQAPLDSGSGATNQLQAGAHHRWGMDCSLPVPVTPPAGTNALLVGAPATGPSQAPPEPAAALREHGMVDMMKKVAEMVRTAEEFAKDEAASRVWSEEEHRELLYRLQWYAKHDSATMCINIAFHLPNKTAKDVALRWRWLQNKEKIAKNNAELVEKDSSGVKVKKGQGTKGANKNNNMYPLSKEALDSKSTRELLRDSHIFMQQIEENIKAGELGNDTADYFHYVKTNMDAIVTREKEFCRISIPMPPIDEQGLEKIRQQSRHSSSKNQVGKKGA >PAN50003 pep chromosome:PHallii_v3.1:9:63930795:63933531:-1 gene:PAHAL_9G491200 transcript:PAN50003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRSPASCSSSYHCHLLSPRSLLVSLASLSLLFSFLLALRHGRPLHLPLAFAAAPAPVLVAGGGYWGDPAAAEVEEAVVGLGRGDSVAEGARPAVSGGLSVRDVGSAAEAQETATGGGTGGAPSNGEDLKGKEVAESRNHSLGGLDSGVEVKEAAPQGSRGERLAKAKDLISERPNLAEYKNPSKETSAAASAEKSKGTGSPRDVNFSIEASGPANGTRDEFLQDDHADDGHNSSVHGAYASQQGGRRESLDNSTLGHSPGAAPVNPDKQETAKSNMDFARSNAAQCDVSDGNWVFDESYPLYESNSCPFIDEGFSCQANGRMDGSYMKMRWQPRHCNVPRFDARKMLEMLRGKRLVFVGDSINRNQWESIMCLLSTAISDPSRIRETRGRKITKEKGYYNFKFLDYNCSVEYHTTHFLVHETKARIGQKRTMTLRIDTIDQSSSRWKGADVLVFNSAHWWSHHKTNSGVYFYQEGDHVHPHLEASTAFQRALNTWASWIDRYINARQTQVFFRSSSPSHFSGGEWNSGGHCRESTLAPNDGRVWPMPEINVMLEQVSKQMKTPVTILNITDLSRLRTDGHPSVYRRKGVDLTASSGQDCSHWCLPGVPDTWNELLFYHLVSSKEKVVTR >PVH32233 pep chromosome:PHallii_v3.1:9:50140398:50142853:-1 gene:PAHAL_9G350400 transcript:PVH32233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQHVAAHDKATSHKRPKYTPSLSIKVGSTVLLKTANYPNKTIVAYATILSSSPKENVGGVEIGKQFYKVPINPPTVQDEPLVRPIAWPLIYVEMING >PVH32889 pep chromosome:PHallii_v3.1:9:65684298:65685555:1 gene:PAHAL_9G516700 transcript:PVH32889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARKPSSPARPEQCVSLAVAAAEIEVEGDRVAAIRPAAESMEVKVSGSGESARGGASPTASGSKKLPPSRLQKQAPASLQLEQGAAGAGPGPGAGAGAGAWGDGRAAIPLLSPLVMSPAMPVWEADQPGAARREGGGEQAQGRSGGEQQRGAARHGGSEERQAHDASPRPPAPEPAAGGGWLHPALPAPVAEPASLAPLFKSQCAVEAGNAQQ >PAN47064 pep chromosome:PHallii_v3.1:9:15241655:15243571:-1 gene:PAHAL_9G231200 transcript:PAN47064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRAAGLSAPRWRGGPLDLRAALRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTDFLTSATSTSTFLTDDSVLRTGEDFNASSSSSGATAEAGVEAEIKEAVPFIKLSDVASEAEKAEPEAERAVTVDTDVGTGEGAVAAAEETPVTEAVSCDTEAPVDCTGDRDLFNLLMRTAIEQFPDLHFYRFGRPVAVPDSPMECDLAWRFRPAADAIGTGRTTYYKDYRRFTLTRDVNTCTLVVSSVGEYHSGLGAKRNGKRKGKKGKKGKREAPVTDFVPAKTQVRLDENAANNEAAGAVAEPVFVVGEAVNDSLPVVASESDFSRGRYLIYMGGGERCKSMNHFIWGFLCALGEAQFLNRTLVMDLNVCLNARYTLSGKDEEKDFRLYFDFEHLKESASVIDQSQFWQDWGKWQKKDKLKNFYTEDIRMTPMKLRDVKDTLIMRKFGNVEPDNYWSRVCEGETEGVIKRPWHFLWKSRRLMEIVSAIASRMSWDFDSVHIVRGEKAQNTQLWPNLDRDTSPDSLLMTLNDKVGAGRYLYIATNEPDKSFFDPLKEKYKTRFLDDFKDLWDENSEWFTETKELSNGKPVEFDGYMRVAVDTEVFLRGKRHLETFNDLTRDCKDGVNTCPASS >PAN51904 pep chromosome:PHallii_v3.1:9:72829642:72832384:1 gene:PAHAL_9G628600 transcript:PAN51904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKASPMDPFEIRDNSKKSNKPKYSKFTQQELPACKPLLTPAIVISAFLLVGILFVPIGLASFSASQEIVELIDRYDTNCGPMTDKVGYIQDSKTNKSCTRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLLYKDAAHLTKDCDPEGYSADGAPIVPCGLVAWSLFNDTYTISVNKKAIEVNKKDIAWQSDKNKKFGNDVYPRNFQNGNLIGGARLNASIPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDQITVVIQNNYNTYSFGGSKALVLSTTSWIGGKNKFIGVAYLIVGGLCLFLALVFVVLYMFKPRTLGDPSFLSWNRYTLDYPN >PAN48173 pep chromosome:PHallii_v3.1:9:53365864:53371810:1 gene:PAHAL_9G365100 transcript:PAN48173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPAPPGGGAAWRRLLLLLTVLPLTLAALAFVLQWRGGGVDDPTARWPPHAFPGMAEPVRLSLPSSDCSDVLAGSSGPSFPYLRGWSFPSDSGAGLGLQPKVCVQTSTSAGLEQILPWLFYHKVVGVAQFLLFVEGRAAKPNVAGVLESIPGVKVVYRTKDLEDQQARSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDHGMDWIIHLDTDELLYPGGAAEYSVRRLLSEVPHDVDMVIFPNYESSVERDNIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQMNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSVIAAGQPAVNEKLSPKKTDAQSQNVTRLGNLPIKLRASDSKASARKILQAELVFNDTDVTAVPPMSPPGLDDEHRHHSE >PVH31225 pep chromosome:PHallii_v3.1:9:5110852:5113066:-1 gene:PAHAL_9G089400 transcript:PVH31225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGLHRRPAPAVGHLPLRDTSRAAHVFRRRLAVQPIAAGTALPSVLEHHVNVFDVRTRSCLFAPRPETSGPDPIYIPAGGRLFALADGTFDWLDPLPPPPGTPAYGEEAREWSWFEIPDRRSSAGMSDVTSYAMHADGQTIFVSIKKGASAATFSFETEEHGGVWHRHGKWALPFTGCAHFDSELDAWVGLSGELDSIGHLCSCDVVPANLDADGKDNQALCMGSPCVCMGQCPARMLSKEKLFSNDPTERHVGATLVYMGGRSKFCLVQCVSIDGDPRSCRREV >PVH31349 pep chromosome:PHallii_v3.1:9:7314384:7315596:1 gene:PAHAL_9G122500 transcript:PVH31349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPCLPTDCLFHVFLRLNPVSIVRCAAVSRHWRRAVTDNTSEIRRHPTGRADRCLLLGLHHREMYPGELLYAPLACGDGLLLLCRGLPAEISVVNPFTGFHTTIARPGGLVPYRYVLHFCMAPSPTLSRITRLPKQCSMRNEDVSDKKMLMLATSEDDRLSLLRREEASLEVSVWLYVGDHGSGGDPENSWLLRQSIGIRKLIEDAGLSRFQTRLERFCPRSRSVILWIPHLGLLVLDPEGKRIQRAADDSHGHIWPYEIRLDTLLMLHENILV >PAN50365 pep chromosome:PHallii_v3.1:9:65594522:65599757:-1 gene:PAHAL_9G515100 transcript:PAN50365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein-related protein 3C [Source:Projected from Arabidopsis thaliana (AT5G59420) UniProtKB/Swiss-Prot;Acc:Q93Y40] MGSKDQGGGAASSGGGFFSSFAAGMRSWGTAVHKSVNGLLGYEGLEVINPDGGTDDAEEEALRGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADESEDPYMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFLAEQVSHHPPMGVAHCENEHFTYDITSKLKTKFLGNSLEIYPVGRTRVTLKKSGVVLDLVPPPTKVNNLIFGRTWVDSPGEMVMTNLTTGDKVVLYFQPCGWFGAGRYEIDGYVYSAEEEPKIMITGKWNTSMSCQPCDQEGEPLPGTELKEIWKIAPTPKNDKYQYTHFAHKINSFDTAPKKLLPSDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRAREAKGEQFTPRWFNLTDAVGSTPWGDLEIYEYNGKYTEHRAAIDSSNVADETDITSIEFNPWQYGSSSSQ >PAN51374 pep chromosome:PHallii_v3.1:9:70358929:70362854:-1 gene:PAHAL_9G589800 transcript:PAN51374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] MASPSSSLCSSFASLRTASLGHRRGLVFSAPRKAFQVRASARVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPQNAYKVIEAGANAIVAGSAVFGAPDYAEAIKGIKTSQRPVAVPA >PAN48437 pep chromosome:PHallii_v3.1:9:55294407:55295521:1 gene:PAHAL_9G382100 transcript:PAN48437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGAIRRAAAAAADRACAGARGFRRALARFAPRPSAFAPAADAEAAAVRAVRNLRTFRFHYAVLQWALLLASLAPRHRASVVFLMAASKGLLVYGGLLKAFPNSALLRRLLDRRLVAAVFLALVLADIVAAGAVANLLAALAAGVPIVVLHASFRVRDDLEGPSPEAAGENGKDDETAAVVEKKEDGDVEAGPTRRSMAAAPRPPK >PAN50866 pep chromosome:PHallii_v3.1:9:68136661:68138727:1 gene:PAHAL_9G553200 transcript:PAN50866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16390) UniProtKB/Swiss-Prot;Acc:Q8GWE0] MASLPLCRSPSSLLPSWPHRPISASFHPKNPSSPVAAHVSVQDPPPQDPAPPSDSSPNGTSPSSNTRYLWVNPNSPRAAGVVRARAGSGRRSRLASAAAVLGACEPAVAAVAAALEAAFPEPPSEQDAVIVLNTAAATRTETAVLALRWFLGNAEVRKKVILYNVVLKLLRKKRRWSETETLWAEMLQDGVQPDNATFSTIISCARACGLPSKAVEWFEKMPEFGCSPDMLTYSAVIDAYGRAGNAEAALRLYDRARSEKWQLDPVICSTVIKIHSTTGNFDGALNVFEEMKAAGVKPNLVVYNTMLDAMGRAMRPWVVKTIHREMVDQQVQPSRATYCCLLHAYTRARYGEDAMAVYRLMKDEVMDIDVIVYNMLLSMCADIGYVDEAEEIFSDMKASMDARSKPDSWSYSSMVTLYSSTANVLGAEGILNEMVGAGFKPNIFVLTSLIRCYGKVGRTDDVVRSFDMLEDLGISPDDRFCGCLLSVAGNTPAEELGKVINCIERSNAQLGAVVKLLVDTSASSESFREAASELLSSSRGVVKMPYCNSLMDLCVNLNQMEKACALLDAAQQLGIYANIQTRTQTQWSVHLRGLSVGAALTTLHVWMNDLDTALQSGGEGLPPLLGIHTGQGKNTYSDRGLAAMFEAHLKELDAPFHEAPDKAGWFLTTSVAAKHWLEMKKASELVAV >PAN44955 pep chromosome:PHallii_v3.1:9:4901951:4908194:-1 gene:PAHAL_9G085500 transcript:PAN44955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRSNNRGTCSRSSSARSKHSARVVAQTPVDAQLHAEFEGSQRHFDYSSSVGAANRLSASTSTVSAYLQNMQRGRFIQPFGCLLAVHPDTLALLAYSENAPEMLDLTPHAVPTIDQRDALAIGTDVRTLFRSQSSVALHKAATFGEVNLLNPILVHARTSGKPFYAIMHRIDVGLLIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSDLTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASKFLFMKNKVRMICDCSATPVKIIQDDNLAQPLSLCGSTLRAPHGCHAQYMANMGSVASLVMSVTINEDEEEDGDTGSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGIQLNKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKSIATWLQENHDGSTGLSTDSLVEVGYPGAAALREVVCGMAAIKISSKDFIFWFRAHTAKEIKWGGAKHEPVDADENGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNVRSIVKAPSEDTKKIQGLLELRTVTNEMVRLIETATAPIFAVDIDGNINGWNNKAAELTGLPVMEAIGRPLVDLVMSDSVEVVKRILDSALQGIEEQNLEIKLKTFNQQECNGPVIFMVNSCCNRDLSDKVVGVCFVAQDLTGQKMIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGMKRKDAIDKLLIGEVFTLHDYGCRVKDHATLTKLSILMNTVISGQDPGKLLFGFFDTDGKYVESLLTANKRTNAEGKITGALCFLHVASPELQHALQVQKMSEQAATNSFKELTYIRQELRNPLNGMQFTHSLLEPSELTEEQRRLVASNVLCQDQLKKILHDTDLESIEQCYMEMNTVEFKLEEALNTVLMQGMSLGKEKRISIERDWPVEVSCMYLYGDNLRLQQVLADYLACTLQFAQPAEGPIVLQVIPKKEKIGSGMQIAHLEFRIVHPAPGVPEALIQEMFRHSPDVSREGLGLYISQKLVKTMSGTVQYLREADSSSFIVLLEFPVAQLSSKRSKPSTSKF >PAN46440 pep chromosome:PHallii_v3.1:9:11772869:11776994:-1 gene:PAHAL_9G186000 transcript:PAN46440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MAGAGDAAKEYVAGSVAGIAQVVVGHPFDTVKVKLQAHNTTAYGKVYKNAFHCTSRILLEEGIRGLYKGASSSFIGIAVESSLFFGTYSQAKQLLQGNYEDGRPHLQVIIPSAACSGALISCILTPTELTKCRMQVQGKDVMHGARYSSPLDCAVKTLESEGLRGLFRGGLTTLFREAIGNAVFFCSYEYSRYWMHKYFDSPRFSSSSHFVLAKDIGIGVMSGGISGMAFWTATLPLDVAKTIIQTDPDPHLSRNPFRILSMVCKRAGMGVCYAGLGPTLARAFPANAAAIVAWEYSAKILGIKRV >PAN46960 pep chromosome:PHallii_v3.1:9:14901438:14906789:-1 gene:PAHAL_9G227200 transcript:PAN46960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSGVEVAPAAVSVPEGKSAKKGKRNAEDEIEKAVSAKKQKTVPVKVEPVKKQPPPKKVESSSSEEDSSDSEEEVKVQPKKVTQSKKGTQPAKEETSDDSSDGTSDSGDDSSSDDEPAKKPTTLTKKPAAVVSNGSKKVKSDSSSSDSSSDDESDEDEKPAAQLKKPSVASTQKKTQESDSSDSDSDDESDEDSPVKAPVAAKKKEESSDSSGSESEDEDNNAKTVKPAKAAAPKKKEESSDSSESDSESDSDSDEPEKPTVAAKRPLATDKKNKQSSDESEDSSDESSDESDEEPPQKKPKPATKVSKKESSSDEEEDSEEESDKQAKTPKTKLAQNEDKTPAKNQSQSAGSKTIFVGNLSYSVDREQVKQFFEEAGEVVDVRLPTFEDGSMKGYGHVEFATSEAAQKALEFANHDLMGRPVRVDIAVERGAYTPGSGRDNSSFKKSAPRSGNTVFIKGFDTSSGEDQIRSALEEHFGSCGEIVRISIPKDYETGASKGMAYMDFKDPDSLNKAYELNGSDLGGYSLYVDEAKPRPDNNRDGGFSGGRRGSFSGRGGRSDRGRGGGRGRDGGRGRGFGGRGGRGDRGRGGRGTPYRQSAGTVSTGKKMTFGDDE >PAN46617 pep chromosome:PHallii_v3.1:9:12687930:12688815:1 gene:PAHAL_9G198700 transcript:PAN46617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRYLKTRAMLLLISLLLMAIVSDGRRVAELDVVAFGDSGGGGLPPAYLSKQASSSASRRHLGDAYKHMHVVSKRLVPQGPNPLHN >PVH32040 pep chromosome:PHallii_v3.1:9:32351026:32355933:1 gene:PAHAL_9G299600 transcript:PVH32040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNVWFREDMELSRRMDALGDLIKHHSPDFICFQEITPYIYLLMQKSEWWQQYKCLLSQEMSILKPYYCMQLSKVPVEPSECIPFSNSIMGRELCIASVSAGDMTKLVLATTHLESPCPAPPKWDQMYSKERVVQAKKSLEILGRCRNAILCGDMNWDDKRDGPFPLQDGWIDAWVELKPGEDGWTYDTKANSMLSGNRKLQKRMDRFLCKLEDFKIDNIEMIGKEAIPGISYFKEKKVRKECRKIQLPVFPSDHFGLVLTITKQGGGSF >PVH32041 pep chromosome:PHallii_v3.1:9:32351026:32354114:1 gene:PAHAL_9G299600 transcript:PVH32041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNVWFREDMELSRRMDALGDLIKHHSPDFICFQEITPYIYLLMQKSEWWQQYKCLLSQEMSILKPYYCMQLSKVPVEPSECIPFSNSIMGRELCIASVSAGDMTKLVLATTHLESPCPAPPKWDQMYSKERVVQAKKSLEILGRCRNAILCGDMNWDDKRDGPFPLQDGWIDAWVELKPGEDGWTYDTKANSMLSGNRKLQKRMDRFLCKLEDFKIDNIEMIGKEAIPGISYFKEKKVRKECRKIQLPVFPSDHFGLVLTITKQGGGSF >PVH31606 pep chromosome:PHallii_v3.1:9:12054209:12056321:-1 gene:PAHAL_9G189600 transcript:PVH31606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLLLLVALLLLLLPLVVVLLAHRGARKGKNGGRLPPGPLAVPFLGNWQRHSVEHLVARYGPVVSLRVGTRAIVLVADRRVAHAALVESGAALADRPAPARAFLGETGCTVSRAGYGPVWRLLRRNLVAGTLHPSRARLFAPARAWARRVLVGKLRAPEAPPRVMEELRCATSSLLVLISFGERLSEPAVRDVAAAQRNLVLFAAHHAHVFAFCPAVTKRLFRRRLRMGLASRRRQKEVFMPLIDARRERKKQLDKGGGAVALTNKAPTAFEHPYVDTLFNTLPGEEGCRLTDDELVSLCSEFLSTGTDTTSTALQWIMAELVKNPAIQEKLYNEIKATCGDEKEEVGAEEDTRKMPYLKAVVLEGLSRHPPAHALLAHRAAEDIDMGWDAREWVDPMRFSPERFLPGGGGEGVDVTGIKAIRMMPFGAGRRTCAGLGAAVLHQEYFVANLVREFEWHEVPGDEVDLAERHGTTTVMKKPLRARLVPRRIHRAATTAP >PAN45859 pep chromosome:PHallii_v3.1:9:9053231:9058656:1 gene:PAHAL_9G147600 transcript:PAN45859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MAASATAHLPLRAPARVGAAPSRPSATAVAGLRGRPERRGLAAAPRGGRGLGGVRAEAASGGGGGGGGPREPMVPPYNVLITGSTKGIGYALARKFLEAGDNVVICSRSAEKVESVVGDLKREYGEQHVWGTVCDVRDGKDVKALVEFARDKLKHIDIWINNAGSNAYTYKPLVETSDEALMEIITTNTLGLMICCREAINMMRNQPRGGHIFNLDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPNVVADYLVSNIREIPSNQSMKPTYIRFLTGLKAYSRIFSRLAFGARRNKYVTED >PAN45841 pep chromosome:PHallii_v3.1:9:8982497:8985231:1 gene:PAHAL_9G146400 transcript:PAN45841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSAGLRQPPVAAAAASLRGRRSVRPPRRAASPSTRSVSRAVKVRASAINGLQRSKSNLESLFCYDKSVPEEDIGKPTGLNVEKKNVGDNPPCTSCEAKGAVLCATCAGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCSKCGGRGHT >PVH31730 pep chromosome:PHallii_v3.1:9:14520536:14520841:-1 gene:PAHAL_9G222000 transcript:PVH31730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKIGPILELQVIFPALDIRVKNVAGTYSLEHKGEIYLFSQLFALLQLDMQNDDGLRRELASCTGAIHTCLSKHMSKEEEQVGSQYHIPLFITLIMSCHAG >PAN47122 pep chromosome:PHallii_v3.1:9:15758134:15760773:1 gene:PAHAL_9G235600 transcript:PAN47122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRATSDAKLLIQSLNKAYAATPTNLKIIDLYVICAVATAVIQVAYMGLVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >PAN52049 pep chromosome:PHallii_v3.1:9:73586835:73591459:1 gene:PAHAL_9G638900 transcript:PAN52049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDELLCFINGDGGSGGGKAAKSKKKNKRRKDQAKNPPKADSEPVNKEGAVCVVPRKVDNCNISRLPCQSPDIQDDVEDPFEDADLDDGLDPAMKEELDREVEDFARRLNSVWPERMHLEQDRRIESHIGGNGSLQRFTGSEDGKQRRFVDIELGRELKTKLATWHLSVGNA >PAN52050 pep chromosome:PHallii_v3.1:9:73588104:73590909:1 gene:PAHAL_9G638900 transcript:PAN52050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDELLCFINGDGGSGGGKAAKSKKKNKRRKDQAKNPPKADSEPVNKEGAVCVVPRKVDNCNISRLPCQSPDIQDDVEDPFEDADLDDGLDPAMKEELDREVEDFARRLNSVWPERMHLEQDRRIESHIGGNGSLQRFTGFNHR >PAN43893 pep chromosome:PHallii_v3.1:9:48074:49999:1 gene:PAHAL_9G000600 transcript:PAN43893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHALLWRRHRWTALRSLLLVALLHRLHCLAFLAASSPVWLLAAFLLGVVLVHSEPNVPLAAASEDEDRHLTATGGSSSGDNDSSDDGSSATSMEDVGEKEEEVVKAAVAWTADDERSIQSIGSLELERDARLEKLMSRRSIHRNLIDLDIHIPAVLIKNPFDLHHHHHDDPGSAPSALLGQHSNPFDFDVHQRDPEILRRHESFTAGAAPPARPSRFRPYFVADVSAGGGGDAGSDNSNSSSSPSSSAASDQKAHQQAAVKVEEEEEKAAATAAAASPSPPKWGGNGMVVAVDVELISDSSDDDMSLPGDDAAGSLNNPRDEDEEDSFEVESITQQVAAGERQQQQPRDVNVMPGQEEEGASISVSSCSKEWVAPTTLASPAVEESEKREIREHHIMGPVPVTDDDASTATAAAVVAAAAPPPAAAAAVPPPAAAAPAPSRAPSVKPSSKSKATSKKAVFGFFRK >PVH32727 pep chromosome:PHallii_v3.1:9:62539447:62540741:1 gene:PAHAL_9G471900 transcript:PVH32727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPKTHTHTHTKAAPVPPTRYNGAQEPRPPRRAPPRRRRRPGARRSAAVVGRAGSQCDGWEPHRRRLRRRAVQQREQHQRDGGPAVRQRHRAGGVRQHRGGHRDDGRHRGLHHHPRLYSQLAGCSGHPLLSGQCRVVVATPLLACNVSLAGVTGTLTAPLQLLNSTTGGGGIPTTITTIFGWDVTVINGVLCLIAGLFSSV >PAN48683 pep chromosome:PHallii_v3.1:9:56885355:56886644:1 gene:PAHAL_9G398700 transcript:PAN48683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKVFGSPASSEVARVLTCLFEKDVEFQLIRVDSFRGPKRMPQYLKLQPHGEALTLEDGNVTLVESRKILRHIAAKYKHQGYKDLFGQGALERASIEQWLQTEAHSFDIPSADMVYSLSYLPPDMPLDGRGVPAAGMHPSHRQKMEEMLQLFEKSRRDLGKLLDIYEQRLGEMEYLADDKFTLADLSHLPNADRLAADPRSARLIESRKNVSRWWYTISGRDSWKRVKELQRPPSAEAPF >PVH31471 pep chromosome:PHallii_v3.1:9:9467032:9467474:1 gene:PAHAL_9G153600 transcript:PVH31471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCLLLSAKCTICPRNGQACILPTYKLQIISETNCLRCKTTLGPTKFELELSRSKLTYAESTQLVPLKKKRLTSCMPVLYRQWNLNC >PVH33032 pep chromosome:PHallii_v3.1:9:68086119:68090431:-1 gene:PAHAL_9G552200 transcript:PVH33032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMKMEGMSKGMRERMAEYSRRLEAVASGDTAGCQSRKCGSRHSRKASAGSQRSWSGGSTASNGNAPSVLDAAPHGRGRHAVAAENRHQQRQHKSMAEECKLVSSGSFCDCREIVWKIMEQVKAESEQWTEMQDMLEQVRLEMQELQSSRDTWQHRAMASDISLRSLNSQILEWKNRAQVSEQRVEELQMKISELQSKLHTFKAHFPTPAAIPSQDQWSEACKIENPRAKPPHQRSQECGKEEKKHVLICRVKHSPSSVIPKRSPFQEIGNITLPRQR >PAN45557 pep chromosome:PHallii_v3.1:9:7600557:7602500:-1 gene:PAHAL_9G127300 transcript:PAN45557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENQEKFAEKSGGGGGGSSSGGRGPVRTICVFCGSRPGNRPSFSAAALDLGKQLVERQINLVYGGGSGGLMGLVSKAVHEGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKAEMAKHADAFVALPGGYGTIEELLEIITWAQLGIHNKPVGLLNVDGYYNSLLSLFDKGVEEGFIDAKARNIFVLADTASELLTRLTEARLAVEDDDDAAAAGGGEEEEKGAAAAGVKRKRS >PAN51694 pep chromosome:PHallii_v3.1:9:71789627:71794162:-1 gene:PAHAL_9G613100 transcript:PAN51694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAVMDYFSSCWGPRSLAGHRGKGSDAAGRQEGLLWYKDAGQLATGEFSMAVVQANQLLEDQSQVESGSLSLAEPGPQGTFVGVYDGHGGPETSRFINDHLFNHLRKFATEHKCISVDVIRKAFQATEEGFLSLVSREWSLKPQIASVGSCCLVGVICSGTLYVANLGDSRAVLGRLVKATGEVVAMQLSSEHNACNEEVRQELRLAHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFQRPILSSDPQITEHRIQPNDQFAIFASDGLWEHLSNQEAVELVQNSPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAMSKASWSKSPSVSLRGGGVTLPAKSLAPFSAPAQLNSTY >PAN51875 pep chromosome:PHallii_v3.1:9:72634963:72640397:-1 gene:PAHAL_9G626300 transcript:PAN51875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYHHFTPPPSPMENTAAGASSKPPTPASTPNSRLASGAPSSRHSATPSHASTTAFAPTPASRTVYSDRFIPSRTGSNLALFDLAPSPSSSHDAAASGPASSSGSAPATSPYCALLRAALFGPDTPDRVASSATACSSSSSAGASALGTPATGNIFRFKAEVPRNAKRALFSGEDEEDALFPGIFTTRGAGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKSILHHDIRAQEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNPHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCIGGTSFVRSYIR >PAN47090 pep chromosome:PHallii_v3.1:9:15501995:15506054:-1 gene:PAHAL_9G233700 transcript:PAN47090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGITPEDKSSDEELSEEEDDSGDEAILGNPDP >PVH32205 pep chromosome:PHallii_v3.1:9:48443910:48444524:-1 gene:PAHAL_9G345700 transcript:PVH32205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFALLALLALSVSATTAVIIPQCSLAAAATTIPQYLSPIAAVGYEHPIVQSYRLQQSALLQQQSSAHQTVQSITAQQQRVLSPFSQLAVANPTAYLQQQMFLPFNQVAVVNPAAYLQQQLLPFNQLAIANSVASFQQQQLLPVNPFAAASPAALWQQQQLVNQLALTSPAVFWQQPIVGSTIF >PAN50985 pep chromosome:PHallii_v3.1:9:68680648:68683456:1 gene:PAHAL_9G562300 transcript:PAN50985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASEASWHSFDPSVAVEDSEAMAQLLAVQYFGNEQKQPAPTAMYWPGCQEADQYYGSAPYHMQQPNSGEGCYDHAGYYYGSSTVTMTGDFFVPDEQVADPSFMLDLNLDFEDQEGGVDVPAACKRKQENQKGESTACTVPKKKSRSTAVPAQRKGRNAQSKKAQKGACSRGNQEESNGDGNVQCSGDYLSDDDSLEMTACSNVSSASRKSSPGGGKARAGRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQ >PAN46656 pep chromosome:PHallii_v3.1:9:12872537:12875419:-1 gene:PAHAL_9G202100 transcript:PAN46656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGGGTGEKTKATGREPPSSSSHASKSKRHRSTRGDAMADRFFPNDFPDFVAEAEAEAPGGGGDRPAGVRGLLSLPYASLSDRFLRAARRLKDKVVEETWVKAGRQVTDYTLYTGALGTALLLFKSFRVTGDRGDLALAADIVRACDDASQGLPRRFLTFICGRAGVCALGAVIAKHCDDQLRLTQYLSSFDEITITEKVPNELLYGRAGYLWACLFLNKHLNEKTIPLEHINSVAKDIIMEGRKLSSKGNSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEQDDVKNTLRYMIKNRFPSGNYPSSEGNESDRLVHWCHGAPGVALTMAKAYEVFHDDHFKQSAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVDYLYRAKAFACFLLEKADELIAEGAMHGGDRPFSLFEGKAGMGYLLLDMIDPSESRFPAYEL >PAN46655 pep chromosome:PHallii_v3.1:9:12872537:12875446:-1 gene:PAHAL_9G202100 transcript:PAN46655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGGGTGEKTKATGREPPSSSSHASKSKRHRSTRGDAMADRFFPNDFPDFVAEAEAEAPGGGGDRPAGVRGLLSLPYASLSDRFLRAARRLKDKVVEETWVKAGRQVTDYTLYTGALGTALLLFKSFRVTGDRGDLALAADIVRACDDASQGLPFLTFICGRAGVCALGAVIAKHCDDQLRLTQYLSSFDEITITEKVPNELLYGRAGYLWACLFLNKHLNEKTIPLEHINSVAKDIIMEGRKLSSKGNSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEQDDVKNTLRYMIKNRFPSGNYPSSEGNESDRLVHWCHGAPGVALTMAKAYEVFHDDHFKQSAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVDYLYRAKAFACFLLEKADELIAEGAMHGGDRPFSLFEGKAGMGYLLLDMIDPSESRFPAYEL >PAN49606 pep chromosome:PHallii_v3.1:9:61709660:61719938:-1 gene:PAHAL_9G459500 transcript:PAN49606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin, villin/gelsolin superfamily protein, Actin binding protein, Regulation of plant architectur [Source: Projected from Oryza sativa (Os03g0356700)] MSNAKVVLEPAFQGVGHKPGTEIWRIEDFKPVPLPKSDYGKFYCGDSYIVLQTSCNKGGAYLLDIHFWIGKDSSQDEAGTAAIKTIELDTMLGGRAVQHREPQGYESDKFLSYFKPCIIPMEGGFASGFKKPEEEKFETRLYICKGKRAIRVKEVPFSRSQLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQHLKEKYHDGVCNVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAISDDDVVLETTAPKLYSINNGQLKLEDIVLTKSILENTKCFLLDCGAELFVWVGRVTQVEDRKTASAAVEKFIIKENRPKTTRITQVIQGYENHTFKSKFESWPVSNTAGNASTEEGRIKVTALLKQKGVDVKGISKSSAPVNDEVPPLLDGGGKLEVWCINGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKKEEFYLTYWIGKHSVREDQEMVFQIAKTLWSSMKGRPVLGRIYQGKEPPQFVALFQPMVILKGGISSGYKKFVEEKGLKDETYCADGIALIRVSGTSVHNNKTLQVDAVSTSLSSTDCFILQSKNVMFTWIGNSSSHEQQQWAVKVAEFLKPGVAVKHCKEGTESSTFWSAIGGKQNYTSTNVSTDVAIREPHLYTFSLRNGKLEVTEVFNFSQDDLLTEDTMILDTHSEVFVWMGQCVETKEKQKAFEIGEKYVEHAVAFEGISPDVPLYKVIEGNEPCFFRTYFSWDNTRSVIHGNSFEKKLSVLFGMRSEGGPKSSGDGGPTQRASALAALSSALNPSSQGKQSDERPSSSGDGGHTQRASAMAALSGSINTSSKSQTHSGQGSQRAAAVAALSNVLTAEGSHSPHHDAAKTELAPASPPSETEPDATEAGRIEPDVSQEQTANENGGQTIFSYERLTSKSTDPVSGIDYKRRETYLADSEFQTVFGMTKEEFYEQPRWKQELQKKKADLF >PAN49918 pep chromosome:PHallii_v3.1:9:63411674:63416240:1 gene:PAHAL_9G484400 transcript:PAN49918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSKSEDDKALVLCQERKRFVREALDGRCAFAAAHFAYIQSLRHTGFALRKFMEPEVPTDSSLFTSTSATPELPTMRQKSMNLSPSLSHHASDSFSPVPSPLSSGRFHVNHMKAGGSSVTTVKEKLLEPVRATLQTSSPVRRQAIHDLDDSSTFEARPGTPPWDYFGLFQPVESQISFHDEKELVHEYENSDDIRRLREKEGIPELEEEVEKSPDHSDFITRRLGEDKAPDLKDVEKSPMNGGEDDLALSEDDFDNPTSESLVRVFKNRNDTPAGYTATGQSPVQHATDELSSETIGSQTAGPKDGMAVDSQAERPRDGIRVDSQTERPKDNMRVDSQTEMSEDGTRVDSQTERPKDDTGVDSQTVRPKDDTRVLDISMYESDETPVASPVKEVSSSTAALPMNGKSKETFRDVRNVVRDLNSCMKEIEILFIKASDSGKEVPRMLEADKVNFRPLLPEEKAPGSTASGFFANLFACCREEVPVPQPPPQADVKYLTWHRSMSSLSSSSRNPLGTTTKDDTDGLTGNIFSGVYMNAGSHASTLDRLYAWERKLYDEVKASSAICRQYDEKCRQLRHQESRGESQMSIDKTRAVVKDLHSRILVAIQRIDMISKNIEDIRDKELQPQLEELIGSLTRMWATMLECHRHQHEIIKLVCNSGSMKVSIRSESQLQASLLLQVELSTLCSNFQKWISSHRAYLNSLNSWLLKCVKSLQRRRKSSRKKKVEADPITKYAVAPIFKTCESWIDLLDDLPTKDLEDAIKGLAAVIHRSMPHQEKRRGSSKLTFSLSHSGRLNGDMGEVQRSDTPTDLQSSLEIFLGKLETFSEVSLQEYMVLKGKIDDAKTNYEKWK >PAN49796 pep chromosome:PHallii_v3.1:9:62785551:62787902:1 gene:PAHAL_9G475700 transcript:PAN49796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVAVMVAPLLFTLLLLVASRCAAAAPPRHGSEWGWEEGEGEWRPEEEKEREGKGKGKERGMFVLDRLEKVVESEGGQVRVVRGQPWPPAAVACREGLMHIGFITMEPKTLFVPQYLDSSITLFVHRGEVKVGYVYKDELVERKLKMGDVLHIDAGSTFYMINTGKGQRLQIICSIDASDSLGFGPPYLSFFLGGAGNPASVLAGFEPKTLAVAFNATYDELARVLLAQTRGPIVYYTAEPGSGGDEKLGQGSNRRDARSRGARHGEAGAWRPGGRGEEDDEVGDDARPAWSWRELVNRFVGLGGSGAAEANRKGKKTGGAQKPFNLYDSKPGFRNAYGWTIAVDKHGYEPLKHSDIGVYLVNLTAGSMLAPHVNPRATEYGVVLGGEGTIQVVFPNGSLAMSAAVRAGDVFWIPRYFPFCQVAARDGPFEFFGFTTSARRNRPQFLVGASSVLRRMLGPEVAAGFGTREKDFRELVRAQEEALILPSFPETGKREKRGEKGKGEEHGKGKGRREREEPLVIEQVTKE >PAN45123 pep chromosome:PHallii_v3.1:9:5447148:5451187:-1 gene:PAHAL_9G095100 transcript:PAN45123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVRHGSSRAQAWFCTTGLPSDVVFEVHDMTFHLHKFPLMAKSRKIHRMLTEQEEQRPARGRRRRRRTSSDGGDSGDDGAAETEIEEAEQEERDEQQQVRREGDGQVYSIVFPDFPGGPGTFEVAAKFCYGVRVDLTPWNVAPLRCAAEYLEMTEDHAEDNLAARAEAYLEQTVLRHPGDATKALKSSEELLPLAEELGIVDRCVEAIAARSSAASRSWFDDLAVLGLRMYKRVMAAMDARDDVRAEARESCLVSYARGTIPGLSRSMRRRLASAPVSSEVEQKELLEAVVASLPADKCSGRVVTAKFLFALLRTSHILRASDAARAALERKAATQLEQATLEDMLIPSYSGAAETLYDVDCVERIVRYFLAEEELGGEASSSAAIEEETAEVSRPSAVAMVQVGKLVDSYLAEVASDANLKPAKFCELALSLPDHARIYDDGVYRAVDIYLKAHPRLTAEERDRVVGAVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLAPAAAGPPRAARQQRVGPGEPAWRGATALAQESQVLRLDMDSVASRVQELERECSSMRRAIKKIDGRGGSRSPGGRSPDGGGGGGSGPTAGGWRARHGCKFSTQVCDSQARNVVASRASRMGMSP >PAN47603 pep chromosome:PHallii_v3.1:9:19455253:19465508:1 gene:PAHAL_9G259900 transcript:PAN47603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLPSQISPCCRASHGPSFAGAGMARSKAIWPIPTHRPARVLAAAHSRRQKQQPSSNSFHQATPAAGEKPHHLAGPKLGASPTSTVYVGGKLLLQTFLDAPSNQLRLSFQLVSATVARGDGRGVRGEEAVLEAILGGCEETELDVKLAWREALGAPGAVVVKNHSDFPVYLKVLNCSAAAGLRVAVDFACNGWVYPVGKHPYRLFFTNDAYVKENTPRPLLGYREDELALLRGERADERAFQEWDRVYDYALYNDLGNPDLRRDLARPTLGGSWEYPYPRRTKTGRPPTRTDPHTVTRVPLDQQIYVPCDERVGTDVIAAPSLPNLGGHFKSIAEIYSLVGLDDVGRLAKAKQVINSRAAAPKFPVPQVISVNPISWRRDEEFARQMLAATNPVCIKRVTKFPLTSDLDRTAYGDQDSKITEGHIEKNMSGMTLQQALEDGRLFVVDHHDWVMPYLKRINELPGEEEIGEISPRKAYAARTLLFLNNDSTLRPLAIELSSPHQDDEQLGSVTTVYTPPDTSDDILSANKFTAWDLAKAHATANDTSKNNFVIHWLNVHATMEPLVIATNRQLSVLHPVQKLLKPHFRNTLHVNAVARQIIFGSGDRRKNDDIFRGIQEVTYLPSKYAMEMSSKAYTNWNFTALALPADLIKRGVAKGDPNNPEKLELLIKDYSFALDGLEIWMAIKKWVADYCAIYYLNDGAVTSDSELQAWWREVRHVGHGDLQDAHWWPAMDCLADLVEACTIIIWLGSAFHAAVGLGQYWYQGFVPNSPTLTSRPMPEAGAVVTEAEFLGSITPRNETLALMGMAAKSLARTGDVFLGQRPESELWTIEQRAAEALARFQERLEVVAGKIQRRNADPTLKNRAGPVEVPYNMLTPTREPGPVARGIPNSITN >PAN45330 pep chromosome:PHallii_v3.1:9:6461473:6468551:1 gene:PAHAL_9G110600 transcript:PAN45330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENIDLVLEFLRKNRFAKAEAALRGELTGRGDSNGTATQRRGAELKEDEEQEDSVGSNVGPKGAASVRSADSSREFIVKEIDVGALPNGSDGRKGSGIGQPQENNMGDLYPWTFSIANSTAEQLAELLVSEEVPRHRRGAMIAEKRDRGVGTEQPGPVLEQKASFGRGKGKVDATGRAETNEQGHSRDKNLVPEKEEILNGCTVKTVLPFPAENPSSSYNGTHHENEKKELKKSISADGVGKAVKGQLDEGNRQYYFEKSQGTDQVADRCFDLQLVGDNQREELPKLPPVRLKSEDKLVNMNWEDKINHHGSGAKQSSAADHAFMIGSYLDVPIGQEITSSGGRRPIGNSWLSVSQGIAEDTSDLVSGFATIGDDTLEYPIGEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTRGPDRHDRPTKDEDDDQSFVEEDSYISGEQYFHGKNIAQVGTSVGPMVHGIPDNDMMVQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLANGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSAKRHSQQETEKKRIGGKGAKHDQINYAADIQKVNLPPGAADGGFSFPPPLHSGKNHDSDAKSLWSKKDEMYCINDPDECQNGMVSDDMLATWRKKNSESSLRSSRDEMTSDVVRSRNSSASYDETEDTTNVRHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVSEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >PAN49731 pep chromosome:PHallii_v3.1:9:62526862:62529053:1 gene:PAHAL_9G471500 transcript:PAN49731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDKLWDDTVAGPRPDTGLGRLRKQPARPAAVKINDPAGDAAAFVPPSPASGSEETPVKVTRSIMIKRPAGHPSSPRSAASTPPASPLGTTPPISPFAGAGGRFRRKSSSDAYERASPPGTTSHTPPFEV >PAN45951 pep chromosome:PHallii_v3.1:9:9460418:9463268:-1 gene:PAHAL_9G153400 transcript:PAN45951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSLRAAAPSTAPCAALRSTSTAAARSVRVAPAPAARAGRVRLVARAAVAAKADAPSSAAASKSDGHEVLLFEALREALIEEMKLDPTVCVFGEDVGHYGGSYKVTKGLADMFGDLRVLDTPIAENSFTGMGVGAGMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPLVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYASTLEDATVVQPAQIVAAVEQICQ >PAN46553 pep chromosome:PHallii_v3.1:9:12319243:12320746:1 gene:PAHAL_9G193100 transcript:PAN46553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRRVVVSMLPLLLLALSMSWCCGGGAAARPAPSSDAVPGFVRSWCAGTEYPALCDATLAPYAAAVGSSPARLSWAALTVTLGGARAAAAAVKAMAAAGRLAPAGAEAARDCVSMLGDAEDLLRQAAEAMARLGGQSNKGGRAATSRDVRFQVDSVQAWASAALTDDGMCVEGFRAEAAGGGGVREAVRGHVARVAHLTANALGIVNAMAKQMP >PAN49352 pep chromosome:PHallii_v3.1:9:57202780:57204031:1 gene:PAHAL_9G402800 transcript:PAN49352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVEKIQLPKRARRRSGGRGRRWGPELRAPQQWREDDELSLRSNCPKNHNFRHFGDLGNGKDANFILHSLKSMLQPKARQCVIVKVNNKDVEENCSSRFAHEDNKIISSPSQRKERSQLKALESYFSKLNTSQQLCSFSEKNKHKNGLSSSYEVDIADDNANLRNRADSLRVQFDRGNSGTKSYRNTSIEDYKEYLIFDEKSFLDMHTDDQMSGFCLT >PVH31385 pep chromosome:PHallii_v3.1:9:7822549:7822869:1 gene:PAHAL_9G130700 transcript:PVH31385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSTEHDQDPKQMITSFDESVGANMTLSDGVSNDMYAYFPTVVAPLMPGGYISLLLGVDQEASATLKLHFDEAQNLIT >PVH32872 pep chromosome:PHallii_v3.1:9:65262802:65264028:-1 gene:PAHAL_9G510700 transcript:PVH32872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGEEQSLVSQDNGLSIRDTEGRGVLEEELSWARLERQKVLALSAEADEAIWHLAALARRTMQERDEARNQARMLLAGLQARNAQTMTMLPRTPCSGSRVAGPGAFAATGYSQSQALAPAAFGPPGNAAMQGQGQYARAGAGCCVASSSGFGHTRLASPLDAYAAHPALNGFASSSQDHFDPDMFLVDVAESPQDAVPAAAGSSQERSSGAYGQIAEQKPLQWKGKSAAQDAVLRGAAGHGHAP >PAN48803 pep chromosome:PHallii_v3.1:9:57569167:57570405:-1 gene:PAHAL_9G406800 transcript:PAN48803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGELKLLGAWSSVWACRVRIALHLKDLSYDYVEEDLENKSDLLLASNPVHKKVPVLIHDSKPISESLVIVQYIDEAFAGNGSPLLPADPHERATARFWAAYIDDKLVAAWLQAFRGKTDEEKLEGTRQLLVVVETLEGALRECSKGKPFFSGDSVGFLDVMLGGLLSWLHGTEALCGVEFFDATKTPLLLAWAERFGALDAAKATLPDVGEVVEFAKTWRAKKAAAEAEAAAAKN >PAN46620 pep chromosome:PHallii_v3.1:9:12697431:12699716:-1 gene:PAHAL_9G199100 transcript:PAN46620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPASMVSLPRSVPAAVAAFPASARAGERRNARPSLRPAACGGDEQQQPSMAAVPPSLRAIQAKRKHAAAQRGVPRATATSAAGCAVAALVKAVEAVQGAAAGGAAEAARGAGDAVAWVFSKVHFQSPDLAVGLLGMVACCLGTAVQAERDRMEAKRLEASRNAQAEPDGDDGDAEEPEDLPELVEGDMEKELWGRIGILHGDGLYGDGQEELDEEEIKEIDSARARRRKAAYERIIASGGANSLVLSNYAQLLYELDRDINRAEMYFKQAVAAEPADGEAMRRYGMFLWHARGDIGGAEEMFTGAIEEEPESSHHRSSYAWFLWMTGGVETCLIDTGKNNGNDAE >PAN47641 pep chromosome:PHallii_v3.1:9:21529723:21538298:1 gene:PAHAL_9G266600 transcript:PAN47641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEARRLAVVPKLDIEQILKEAQHRWLRPAEICEILKNYRNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKNDQKTVKEAHERLKSGSIDVLHCYYAHGEDNINFQRRTYWMLEEDFMHIVLVHYLETKGGKSSRARVNNNMIQEAAVDSPLSQLPSQTIEGESSLSGQASEYEEAESDIYSGGAGYHSFTRVQQHENGTGPVIDSSVFSSYTPASSIGNYQGLHAMTQNTSFYPGNQHSSLVLNGSSTGVATDGYANQTDLTSWNPVIELDNGPVQMPLQFPVPPEQGTSTEGLGIDYLTFDEVYSDGLSLKDIGAAGAGGESFWQFPSATGDLSTAENSFPQPNDDSLEAAIGYPFLKTQSSNLSDILKDSFKKTDSFTRWMSKELLEVEDSQIQSSSGAYWSTEQADSIIEASSREPLDQFTVSPMLSQDQLFSIVDFAPTWTYVGSKTKILVTGSFLNNSQVTEKCKWSCMFGEVEIPAKILADGTLLCYSPQHKPGRVPFYITCSNRLACSEVREFEFRPTVTQYMDAPSPHGATNKVYFQIRLDKLLSLGPDEYQATVSNPSLEMIELSKKISSLMTTNDEWSNLLKLACDNEPSTDDQQDQFAENLIKNKLHVWLLNKVGVGGKGPSVLDDEGQGVLHLAAALGYDWAIRPTLAAGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPSPDFPESTPADLASANGQKGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVTERDSLQPPSGDSLGPVRNAAQAAARIYQVFRVQSFQRKQAAQYEDDKGGLSDERALSLLSVKPSKPGQLDPLHSAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRGAGLRGFRSTEGSSEGSSGGTSSNMIKDKPSGDDYDFLQEGRKQTEERLQKALARVKSMAEYPEARDQYQRILTVVSKMQESQAMQEKMLEESTEMDDGYFMNELQELWDDDTPLPGYF >PVH32508 pep chromosome:PHallii_v3.1:9:58384145:58385117:-1 gene:PAHAL_9G416200 transcript:PVH32508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSKKRKRRNPATRLTDDLISICRFRCVSKHWRGLISHPEHRKRLPQTLAGFFYTTTSCERFPESARHFTNVTGRGAPLIRPSLSFLPGYANIEIFDSCNGLLLCRSLTAAGAVRYVAGKICNARLGFDPAISPHFHVFEFVETDVEPGDYVSMLLISVFLNGFLHVFESEHQDGKAWRRIPLPWLNTYYDEDSECLEFKVKVFVLEDYASDNWVLKHSVKALKLVGTRGGLDPFHYPIAIHPESNLIFFISDLDHTIKCYDMDC >PAN43992 pep chromosome:PHallii_v3.1:9:602141:603067:-1 gene:PAHAL_9G009800 transcript:PAN43992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGRGSGAAVVLAAAAALVLCVLLQEARVAESAVFTVGDRGGWSFSSNSWTNGKRFKAGDVLVFKYDSSAHNVAAVNAAGYKGCSAPRGAKVYSSGNDRVTLARGTNYFICSIPGHCQSGMKIAVNAA >PVH32155 pep chromosome:PHallii_v3.1:9:43648785:43649519:1 gene:PAHAL_9G333300 transcript:PVH32155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWTSGICHAEPGLPKLLLLSLERIGVMETPEYAYREYISGSTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARNALRRLRVLYRHHLQRTSMGFFPPAEGNGRTWIARMRRLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRATNAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PVH32320 pep chromosome:PHallii_v3.1:9:54072520:54074063:1 gene:PAHAL_9G371500 transcript:PVH32320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTTTAPAAAGAGDHAVDAAAQKPPAAPSPEATAATRRGGSSVATTISQAAAQQQQQSRLDDDQLEELREIFRSFDRNADGSLTQLELGSLLRSLGLKPSTDQLDALISRADTNSNGLVEFSEFVALVAPDLLVDRSPYSEDQLRKLFSIFDRDGNGFITAAELAHSMAKLGHALTVKELTGMIKEADTDGDGRINFQEFSRAITAAAFDNIFS >PVH32989 pep chromosome:PHallii_v3.1:9:67382275:67382694:1 gene:PAHAL_9G542700 transcript:PVH32989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEHAGGLLCGRREVFLLFKCALRSIGKVRFCTRMCKGTLVLVARSGQQMEHFVPRKQKPGKRVANGAWQYASSQKSRLHGPKVLVLCNGEGETNALAVPAAAVCLHEQASAVRHCSGFIALPSIYCSPALIQAIRV >PAN49839 pep chromosome:PHallii_v3.1:9:62963897:62965841:-1 gene:PAHAL_9G478700 transcript:PAN49839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPATLSLRPCAAPAPPRTAPPRARAWFAPATRAPQTVAVSYPARPFGGIRRAVAVDSDQQGSPEPPEQEKKPKTYHFLVANAKFMLDEEEHFQEQLAEKLRNYAERDKERDFWLVIEPKFLERFPNITKRLKRPAVALVSTDGNWITFMKLRLDRVLQEQFDTESVEEALASNPVELKFEKPEKWTAPYPKYEFGWWDPFLPPKSSNGMA >PVH31000 pep chromosome:PHallii_v3.1:9:1881127:1881822:1 gene:PAHAL_9G033700 transcript:PVH31000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCPGFMARPCPARTLRNDEGADSRLRLPRLLGAAFSDGGRASSRGTAVAGGRQEVRPLCKCPPNLDRTYPIKRLGRTQVFEIPSGVVGISFTIHPGVAVSPALRRAPAFFVVAEAVARPAIDLCTGSPSPPAFAFAPAEGPPSH >PAN50148 pep chromosome:PHallii_v3.1:9:64676472:64677897:-1 gene:PAHAL_9G501900 transcript:PAN50148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSMSSSSPPRVTAKLAGAPRSSSFYTQLSFCSRHPFQKAAAASAFQKLPPELLLLSLPARNKHARISCRATDNDQAAPAAAQETTTPSPAPPAASSPSAEKSPVTPGNGQPQQPVANANGSAPSEPPKRTPLTARERLRAARVLGKYAEPSAKGSSSSPSKSSKPEFGSGVLDALREADAKKAGGGGGRRGSRLPEAPGNLFDDSKRGMPKEGWTFELPFGVDVFLVLVSFTLITTIMFGTAFLVWKLGGIHFNEY >PAN46165 pep chromosome:PHallii_v3.1:9:10924761:10929240:-1 gene:PAHAL_9G174200 transcript:PAN46165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTATAQCPAAPKPQNPPPSMPPPPAMMTVAELEAAVAALPGKRDALREAFDRLAACSPSPLPFAWEDIDAHLSSLHWSISLRFRQVRALEAARPALAAAAPGGTNGDGTGESLEGEEVLEVEEEVVEEDEEVVEVEEVVEEEEEVLEAEERVVEQEEEEMANEEMQEGDSGEADDMIGKDGKDEKEGRDEVMEEDEVVEAANDKIGNEIKDDKDAREEMQVANEEEQSTEDGKKASRDGEDANMKEEQSTKNAKKASQNKEEEQSMEDAKKASLDKEEDGDMDEAATNKASAVQGKEQEACNEKQVEEEDEQEAHKEEQDAKNTTKEEKAKKVSQDQGSGARPGPIEFSDLAAAVTSMDAQRLVMLIHTNVGLSSKFRAAMSHAPDGAALSLRIVELFLHDKTSKTNKVWNNCVGLIRTVPEVVTKLSTESIEHAKQLAKDWKEMIDNPGSWTALGSLSSWGLLNFLVSYNIVSEFDTKEIFRIFGTIPHKQQRKNHFVLLKGLGLADRIPELMDYLIGNGQQMNALYLAPFFNLVDKYPPLCLLKGYVEKAKQTVMEISQKSMTRQSLRKVIIKELDNLRMAHDLAKQRITDSGLRTGIMAEIHVLLGEFGKKKRSLADASTALTSNPQQQKTESSKKRKKEQVQDHHKGQENQQERQQSKPGEKLEKKQKKPQQEQQQKEQNKPQKEQQQKQEDKLQEKQQKWPRRRIPKLPALASPAAQTALLRGHSGHPPYAAMHRVHHAYPAQPGWPGVHCAPPFAPQIGAPEYIGPFDPIYHRPEFHPW >PAN49996 pep chromosome:PHallii_v3.1:9:63915534:63918493:-1 gene:PAHAL_9G490900 transcript:PAN49996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) UniProtKB/Swiss-Prot;Acc:Q9LSP7] MAATAKYNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREAPPKFGSPERQKLIDEIHEQMLSKAPPVPQALPNGPNEESNQLPAADPFVENADKADEGGNTVGSVSGSLSDLTGPGSESGEDTVEPPVAEVTNPHLPEASHRENSPRVPLAPQNPVVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGLAGFIEGKF >PAN49460 pep chromosome:PHallii_v3.1:9:61164812:61169757:-1 gene:PAHAL_9G450800 transcript:PAN49460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLPLSIEPGEMARGEEVVTDTPSSSAGASCTAVDHSEQNTKDDEYARLVTRAQHATSDVSVTILSEQPKSRSFIWWMKVLLGCFLLILVGYIFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHVWLTKWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMVPEAFIYIYSGRLIRTLANMKYGNYKMTPVEITYNVISFIIAIVLTVAFTVYAKRALNDIKGSEGICKEVDSSPAGPGAGMEHHQECSGSRSAVELDVV >PAN48526 pep chromosome:PHallii_v3.1:9:55886988:55889162:1 gene:PAHAL_9G387800 transcript:PAN48526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEWEMAMGVELGMGMGTYHGHHHNASSITTAPMSSHHSGAASFSTPHHHHYYGMPPVGDAMRVDELLDLSTGAGAHDFFPTAAAAAATDNGHHSGAMGEPSPTANSSDHQTSLLSFADEFYIPSEEAAELEWLSKFVDDSYSDMPNYSSAAHAAMAAAAAANAAGNGGGNSVGQDSCVTAAPGRGARSKRSRATAAAAAAWHSLVPRPPSQSSPSSSCSSSDFPSSNKPGRPNGANGSRGKKSPGPGGAAPEVGMEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELILIRGSHRDAAAAAAAASAAAGSAGPRPELMFRDYGVC >PVH33390 pep chromosome:PHallii_v3.1:9:73764025:73772416:-1 gene:PAHAL_9G641700 transcript:PVH33390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase CHR10 [Source:Projected from Arabidopsis thaliana (AT2G44980) UniProtKB/Swiss-Prot;Acc:F4IV45] MATSYERRLLAAADLVLSADAQGQGICLPDLGVTADLKPHQLDGVAWLIRRYRLGVNVVLGDEMGLGKTLQAISLLSHLKIQRIAPGPFLVLCPLSVTDGWLSEFSKFCPSLRPLHYVGDKVHRRDLRRTLYDHVHKASTSSHSNELSFDVLMTTYDIALMDQDFLSQIPWHYAIIDEAQRLKNPSSVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCLPSIFGKLDEFLSTFKEAGDWLTGAEVNKANRQFKIIKHILKAFMLRRTKALLIESGVLALPPLTELTVMVPLTQLQKKLYMSVLRKELQTLLSFTGGSSRHQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLILKMLHELGHRVLLFAQMTQTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSSQSTKGLMRDDNQNGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRAHRIGQLNHVLSINLVSQRTIEEVIMRRAERKLKLSHNIIGEDDRTDVKGGDLGNEASDMRSIIFGLHLFDPADTTTETINEDTTSETINVEKLAKLKTMSEKVVMMRSHEPSEKDERAFEINPNFAGGNGTVIRRASDSISVDPGLNEAAYLSWVKKFKEASHSIEDATAELGRHRAAPEEKFLKREVNKKKVEEKRLAKWETLGYKTLAVKEPDITASQNISDSGSVQLVYGDCTNPSKLCPAKPAIIFSCIDNSGTWGHGGVFDALTSLSTCIPDAYHRASEFDDLHMGDLHFIQLDEANCTRSLDAPLWVALAIVQSYNPSRKFPRSEISMPDLELCLSKAAFSAAQHSAIIHMPRIGYQGGSQRSEWYTIERLLRKYSSLHGIDIFVYYFQRSSRQQTYSI >PVH32162 pep chromosome:PHallii_v3.1:9:44590643:44592289:1 gene:PAHAL_9G335900 transcript:PVH32162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMTEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPDKRSKDNKNKHRHDSSDDEEEERKKKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHRELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKTSFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKSYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PVH32201 pep chromosome:PHallii_v3.1:9:48044701:48045525:-1 gene:PAHAL_9G344700 transcript:PVH32201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPPSRANPSRRGIAWDICPRTPPCLQVEYPQDQRQYPRCPKLHRPQILTEFTTSMGRNYFKQTVALTDARKEDVYKYEKAENLERRFWCQLHQNFYSSVVMRKGKAPIVSCKYVDWEYFERMNDPFFNQAIAKCKEFGLYDIMGFRYDWNEEILAQFHSSLFYDARQIAFFWTTEGVKYGVDYMTFSRLLGLGSEDEKRDPIHVEHQLKPSQLPTLFYNPILAEAGNASTLQPFYYTMNQFFRATIDAKDGDATALRYFACNLLARVMPGG >PAN49924 pep chromosome:PHallii_v3.1:9:63459501:63462417:-1 gene:PAHAL_9G485100 transcript:PAN49924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MLLSRRFSCALARAPSLVRGRPLPPRAAPATPPASRPPPRRLMSSSSAGWQHASRPPPLPPLHPAAEKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFITKEEFLTMIERDELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTETSDMLLVRIATAREEVRRMQNFDYVVVNAEGKLEEAVKQVESIIDAEKAKIHKRPVNI >PAN51361 pep chromosome:PHallii_v3.1:9:70313326:70316804:1 gene:PAHAL_9G588500 transcript:PAN51361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMDTTARVDHSINNAAYPLKVTSKTSLSSVPSTIKSNSTRSTLTLSSMRDRSELPTPRTEGEILSSSNLKAFTFNDLKTATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGLVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWARPHLGDKRRLYRIMDTKLGGQYPKKGANAIASIALQCICGDAKMRPRMSQVLEELEQLQDAKYGSASPLVDIRKASHAVPRSPRSPMRVQPSPRRSLGAAAASPLPGYRTAQVH >PAN48073 pep chromosome:PHallii_v3.1:9:43826389:43829258:1 gene:PAHAL_9G334500 transcript:PAN48073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRSVSVLVLVFLVLWREGEASTFTFVNRCADTVWPGILSNAGSPRLEPTGFELPPGAARAVPAPSGWSGRMWARTGCSQDGATGRLVCSTGDCGSGAAECAGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVETSGGGGFSGPASCAAAGCAADLNAMCPAELRAGGGAACRSACDAFARPEYCCSGAFASPAACRPTAYSQVFKTACPRSYSYAFDDPTSTFTCGGRPDYTVTFCPGATPSQKSTTMPGATPTPVPGTTTTTTTTVPGATPTTVPGTTPMPGATPAMPTGTMMPGTTFTDATPDSAMPIGGGLGIEGGGVQGNVLLGGSSSEGGVSWLVNMATGDASAAAPLASSAKLMAAPLATLLYHHLRQLLL >PVH32537 pep chromosome:PHallii_v3.1:9:58838906:58842930:1 gene:PAHAL_9G422600 transcript:PVH32537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAPGPAEALELFTAAARQPTAVHTTESFNYMLELMRAHGRVGDMAQVFDLMQKQIVKTNVGTFATIFSGVGVEGGLRSAPVALPVMREAGMSLNAYTYNGLIYFLVKSGFDREAMEVYKVMVEDGIAPSVRTYSVLMVAFGKKRDVDTVLWLLSEMEARGVKPNVYSYTICIRVLGQAARFDEAYRILGKMEAAGCKPDVVTHTVLIQILCDAGRLSDAKDVFWKMKASDQKPDRVTYITLLDKCGDSGDPQSVIEIWNAMETDGYNDNIVAYTAVVDALCQVGRVDEAFSVFEEMKQKGISPEQYSYNSLISGFLKADMFDRALELLNHMNVHGPSPNGYTHVLFINYYGKSGQSLKAIQKYEHMKSKGIVPDVVAGNAVLYSLAGSGRLGMAKRVFYELKAMGVSPDTITYTMMIKCCSKASKPDEAVKIFSEMVENGCVPDVLAVNSLIDTLYKGGRGNEAWQLFHQLKEMKIEPTDGTYNTLLSGLGREGKVKEVMHMLEEMSSSIYPPNLITYNTVLDCLCKNGEVNYAIDMLYSMTPKGCTPDLSSYNTVMYGLVKEDRFEEAFRMFCQMKKVLAPDYATLCTILPCFVKNGLMKEALHAVKEYIFKPDSNMDKSSFHSLMEGILKKAGVEKSIEFAENIASRGILLNDFFLCPLIRHLCKHKKALEAHELSQKFKSLGVSLKTSSYNSLIRGLVDENLIDVAEGLFAEMKSLGCGPDEFTYNLILDAMGKSTRIEEMLKVQEEMHHKGFESTYVTYNTIISGLVKSKRLDQAIDLYYNLVSEGFSPTPCTYGPLLDGLLKAGKIEEAENLFNEMLEYGCKPNCTIYNILLNGHRIAGNTENVCQLFEKMVEQGINPDIKSYTVLIDTLCTAGRLNDGLSYFRQLVELGLEPDLITYNLLIDGLGKSERIEDAISLFNEMKKNGIAANLYTYNSLILHLGKAGKAAEAGQMYEELLMKGWKPNVFTYNALIRGYSVSGSTENAYAAYGRMIVGGCQPNSSTYMQLPNQL >PAN45194 pep chromosome:PHallii_v3.1:9:5828928:5831185:1 gene:PAHAL_9G100800 transcript:PAN45194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNFIVTVAVVGAGMLLFTTDIRRSGALFRRNARQLRQWLEEDTASAASKSAKEAAPKKLDSTIPKEKPKEDNH >PAN49613 pep chromosome:PHallii_v3.1:9:61755970:61759829:-1 gene:PAHAL_9G460000 transcript:PAN49613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYPIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWCQEFQRQVDRDCEFLEQENIMDYSLLVGVHFRDTRDRLLTGGSFDSDSSRGSSPHLSRGDTDANRFTKIKLGSNMPIRAERTIRKSDIEPQIIGEPTGEFYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFRDFVFKAFQEEKLDL >PAN43957 pep chromosome:PHallii_v3.1:9:461722:463669:1 gene:PAHAL_9G006400 transcript:PAN43957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSRADGRNPNQLRPFSCTGNPLNRAHGSARWAQGDTVVLAAVYGPKPGTRKGENPEKACIEVVWKPKTGQIGRQERVYEMTLKRTLQSICMLTVHPNTTTSVVLQVVGDDGSLLPCAINASCAALAFAGIPLKHLAVAIGCGVVENGGVILDTNTAEEQQLKSFAHLVFPSSRKVVGSKEPKQKDVEFERGLITSISHGVMSEDDYLNCIERGVAASSRISDFMRKTLQKEAASVAA >PVH32816 pep chromosome:PHallii_v3.1:9:64167984:64169986:1 gene:PAHAL_9G494000 transcript:PVH32816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHLSSDNDVQQEVSDEDDFIAEKVEGDSANQLTEKQILLQPMKGMLFDSEDDAISFYKNYAKKIGFCVTKRGCKKNEDGKVRYFTLACSRQGKAQYTSKDTFKPNPSTRMQCPAKVNFYLQGEEFCISSVTLDHNHVVSPNKARFLRCHKKLDVHAKRRLELNDQAGIHINKSFGSLVMQVGGYENLEFGEKECRNYLQDVRKLKLGAGDTYAINQYFLHCRLRNVLWVDARSRATYEFFSDAVTFDTTYLTNKYHMPFAPFVGVNHHGESVLLGCGLLSNEDTDTLVWLFKAWLSCMLNKAPNAIITDQCKAMQNAIEVVFPDARHRWCLWHIMKKLPEKLGGYTKYDDIRITLLNVIYDLLSKDDFDKGWINMIDGFGLHDNEWLGGLYDDRHLWAPAYVKDTFWAGMSSTQRSESVNAFFDGYVNSTTTLKQFVDQYDSALRDKATYTNAKFKEFQDELRGKIYCYPNCLEKEGSNCTFKVREDRKDGEKMVVSEFIVLFNKKEGDMRCECRRFEFRGILCRHILSTLPLVGTTEVPPKYILQRWRKDFKRKHTFIKCSYDNQLDTPVMKRYDNLCKHFSEVAENGSGSDTLYNLVIHGLNELKIKIFAHQDSQEI >PVH32008 pep chromosome:PHallii_v3.1:9:30472956:30473740:-1 gene:PAHAL_9G289300 transcript:PVH32008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANQHTHELIQNSASSLAYQPHEVDQTKLGDQQNKEANSFLGQKTKAKNLFVKKLSAKKTKSRFARASVERLKDITTHAKNSP >PVH31108 pep chromosome:PHallii_v3.1:9:3364396:3373743:-1 gene:PAHAL_9G059100 transcript:PVH31108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSPYAGSGAGAGGKIRRRPPTRAASTPYERPPAAAAAHRLAAAAAAASASSGPGGEGGSGGWVSRLVDPASRLIAGGAARLFGSVFRKRLAPPPAPSPQLSSPHGRNNDPTQDFPDSTNVDSPPLPEGGMDKGKNIAATSDDKALSEVEHLLMRKTFTRVEFDRLTDLLRARTIEPDPPTSIVSREEKNEGIRIDGIGGSTSHQMAAESPTVKVHSHGARSPAELAKQYMSSRYSRGPPSSSLRSRLFLENKGEASNNAYDRRPEPPIVQAPIEFGNENPGLPVNGYGTSGLRGRSAIYRMSRSPYFKGSSSSDVNTFSLSQRAQSSHIGGRQVLKRRGGDLENEVGSIGPIRRIRQKSNMMSPFRDARAISRGNLLTSRTSGSDFTEDSTSIQESPSSKRLLLGTTSARPLESHKNGDSKPSDSAPSVPAQSNKMAEKIFEQLNIIAPSPKEKQSGQKSVTGNTSNSMSKKPVLQDTGPSSMYDPSSSLKFQDFDGTNGPLDPDLNGSLLKKDKLNMIVGESSKVVSSDKPTFLGNSVSASTSRKPGFKMAVIEDLPELDDDLEVPIPSKSLSSKVEVKTTEQKSDPTRKEQKIEQNILVESNLMKKKNAVGSPVSEQPVASLSKTVSSSGGLLSSNDPGKAVPNASVDNNAGFAFSNAPPGTRPATSVSAMPLASVNDDKQMVASNTSVGLKQSIAPDLETPNVKNKSTFGQSVTKLTTLDSTSSDRADKTEKAEDVIKSSDKMVPATASTTLNAPLHFGSAAFTSASLSNGFSNSSSPKLPIVPPTDKPAVSSAASTMFAVSSSSPAISSSSSSPAFAAFNFSSSTSVGFSMVPSAKSDGTTAEVKPASTLSFGTGGAADEVKSTVPDSASKPSSKLLASPISSSPVTSVPTFSQVTASSDAAGAATAAPSNTSTAPGVQATSTTPFTFPSSGNSLFGFSSPAQSTGLSTSSVTSSTSQPSAASTLFGSKPTQTEDKMQQPSQSPKPQFGSPFPSVTPGVGASSSGSGTFSFGIGVSSTGSGTMSFGVGASSSTPGTPSAFGAAAPSSGPGIFSFGAGSSSSGSGTVPFGVGAASSGPGTVSFGAGAASSGPGAMSFGAGAASSGPGTVSFGAGASSSGPGTVSFGAGASSSGPGTVSFGAGASSSGPGTVSFGATTSTSGSGFGNSPFGAGATFANPFSSSSGTGFTFSSASSSAGASTVASTSVFASTSAASSASTFSNPFSSSSSPPSTFTFGQSASSGSAFAFGAQPAPTFSSQPSVFSFTSANTSMNSSTPQPPFGMTNTNTAFGMGSPGNDQMNEDSMADDTNQPAPAPIFGSPFGQQNSTPAAPAFGAPAVQPGGAFQFGGQQQAAQQNPSFPAAGSLEFQGGNFSLGSGGGGGDKSNRRMIKVKRVPKKR >PAN46152 pep chromosome:PHallii_v3.1:9:10337494:10343091:1 gene:PAHAL_9G165800 transcript:PAN46152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTRRGPRPRRGSTPAGADDSVPASAADLLALAGTLIPAAGTTALRAPPQLKQLVHSLPASHPLLLSLPQALALALALPSETASASDPDAPPPPTPRAPAVLLHLLLTHPSHPPRWDDLIRPLELLHGRLALLATADPPLAALAAACFELAWRADAPGRDALVAQTLPYLVALALTSGSSARPVLRRLLALRDALPLLDYDDDQSISDFKMLLLRCFVSPLFLKAEEGRKFLALVLGVSEGISREGLELVRAQVGITGAKRAAVVAYGEVVFRAWKDGGWVRTEVGEAFLQGMVEAAVHAGNKEVAKAARKIIWAFVEQRAVAGVEKLVFRLAEPVLFRSLQVANSNVRHNALHLLLDLFPLEDPDVTKDVNDPLIEKQFFLIDKLLMDDYPEIRTVAVEGICRILNQYWEIVPAPTISKFLSKIVDDMSKDSCNEVRLSTLNGLKYLLDNPQSHEILKVLLPRLSDMISDTALSVRAAAVDLLLAVRDLRSFQYNKVVGLGTLLSSLANDHPRIAQKITKLLIPSYFPSKLNPKEACARCIALIKRSPTAGARFCEFAMSEGSSPRSIVELVKFSITLALSRTGLNSDQIDGLVIASVNLIKSLSEERSGLATLREFFANAKLRLVLQTVVSEGARAAILSIVPVILPDDLSVLHEECMDIVVNATRISKQEECQEAALAAHKLIFLSGWSDELFEALTNILQSKASDFAEFYGLEPPPCPVASSRRKKGKSLKKTPARDHVVGKGSSKSKASNEELAVAAGAAWQINEIMKAEDLRDAFLQSSYSEIAFSALKVISQVYVEQCLYLDSLDLAPVLAYLSLATYNTLPDVDTGSCSESSIANQSLDHLLNCLDKLLTAPVKNPPKSKASRSKDQQKGASEGNTVKGTVNVIMLGTSILKFMVDTTVKLVNDDKIRCLKFASSYTKYAVSSIKKHQAQNSSSKGDDLKDALVLIRSSCTYAAKIIHLVLSSSTESSIPPEEAFFLANDLLDLVPSVESFVGSRFALSIVSALKQWLPVLILGLVCRWLIGPQNEMAANCHFGDSCLPLWVTAVAKNELLDGKDPGQDNQSEQVAEGEDSPSCRKLAEMMVVLLKKGSPRILDCVGGVLQSTLKLMLQRSDYDIVLGITRFVCARLLGDNTSALEKLQLTHDSLRDNFFEIDRYVREELVDDDDSRQLLESTKALIRQVLPEI >PAN49455 pep chromosome:PHallii_v3.1:9:61140605:61143239:1 gene:PAHAL_9G450300 transcript:PAN49455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQENQTIEPLLQHQQQEKEEDGTTAMQGGGGHHRGSKLTLLPLVFLIYFEVAGGPYGAERAVKAAGPLFTLLGFLVFPFAWGVPESLVTAELAAALPGNGGFVLWADRAFGPLAGLLLGTWKYFSCVVNIAAYPALVADYLGQVVPGSGARTGTVVGMTVLLSLVNCTGLSIVGWGAVALGFVSLAPFVLMTGMAVPKVRPRRWAVQAKGTKDWRLFLNTLFWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAASYLLPLMAATGATDAPPEAWANGYLADAAGIIGGAWLKYWIEAGAVLSSVGMFEAQLSSGAYQLLGMADLGLLPSAFARRATHFRTPWVAIAASAAVTLGVSLLAFDDVVASANFLYSLGTLLEFGAFLWLRARLPGLKRPYSVPLPLPALAAMCAVPSAFLVYVCAVAGWRVFALAGALTALGVGLHGAMRLSRSKKWLRFNTAAAEDHHGNHAAGYRV >PAN50947 pep chromosome:PHallii_v3.1:9:68504104:68507297:-1 gene:PAHAL_9G559400 transcript:PAN50947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDLKKIGLGLTGFGIFFSFLGIIFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFIVLFSGFWPTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >PAN50818 pep chromosome:PHallii_v3.1:9:65091543:65093688:-1 gene:PAHAL_9G507800 transcript:PAN50818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKFPTSNDSRSFQKKWFKQYNWLEYSVEKNKAYCFYCYLFRHDRIEKKFGHDAFTKVGFSQWKNGYLALPKHVGGPSSIHNFAVTSYYDFDNQRSSVRNKVSTHTKDALVQYETRVEASLSIVAYLALQGEPFRGHDETSNSLNKGNFLEMLDWYKERNEEVKRAFDELCPKNAKMTSGTIQKDLANSCAQAITKAIKEEIGGCLFSILIDESRDISIKEQMAIVVRFHVKDTTSESLKKALLEVLNDHGLVVANIRGQGYDGASNMRGEFNGLQKLIKDENPFAFYIHCFAHQLQLVVVAVSKCASSIEDFFEYVTLIVSSTSTSCKRKDLLLDRHRLNLLSKLESGEISSGRGKQQETSLARPGDTRWGSHYKTLLRIESMWDSVIEVLEIVNQDERNPSRAGGLVQIMEFFSFVFIMKMMLQILRITNELSLILQRKDQNIVQAISLIIDVTTRLNNLRSEGWEPLFEETKAFCLAKCIPIPNMSDQVPRFGRSRKGGRNNSTQDHYFRRSSELLVGFSCLDPRDSFSKFDVEKLARIADIYYDDFSFDDRKTIKDQLQTFIIHVRRLEEFKVCYDTASLSKTMVRLERYIVFPLVYRLIELALILPVATATVERAFSAMKIIKTELRNKMTDGWLNDLMLCYIEREIFKGLDLQQIKKAFQKKKDRKMQFPRS >PAN44176 pep chromosome:PHallii_v3.1:9:1273241:1277668:-1 gene:PAHAL_9G022400 transcript:PAN44176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLERSSSPAAVAVAALIALASVAAVAGEVFFQEKFDDGWEDRWVKSDWKKDDNTAGEWNHTSGKWYGDAGDKGIQTSEDYRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLIIRPDATYSILIDNAEKQSGSIYDDWDILPPKKIKDPEAKKPEEWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPDYKGKWKAPLIDNPDYKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKFAEENWAKQKDAEKAAFDEAEKKRLEEESPSTNDDNDADKDEDDVEDDKADTAAEETKDSADAKPEDGKVAADEKPAESSKDASAEEKKHDEL >PVH33250 pep chromosome:PHallii_v3.1:9:71368045:71370319:1 gene:PAHAL_9G606800 transcript:PVH33250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRVSLDTRSVRVQIPDLLPTLFEPQKAVTMAPRIRASVVVDEDVDVALPERRLTMLALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGQTDFWCITTLLLVEGARILGRSHELEMQHEATGRAPVMSWAAGRVFYWLQLLSASACVALSLVRLAHQHYGGSPEARTNRRSALDIFYGLMLAEALLFLVEKALWQWRLGHHRLLERVAEECHLATACGEAAVRRFFYDSYSRCLNGSIFDGLHMDLVSYADDLLIAGTHDEQCLGASVLVALVESNRFANATLRRIGTSAPTIERLIEMLSWKNASEKDVRRSAAVVVFMLTGGKLNALRVTGIPGAIESVASLLYADLDELNLLGLSILNKLAHEHDICDKIGKTRGLLDKIISYSSIANEQEAAAPAVMRLKAVKQSLRVVKRLATTTGTTGKLLRRELCDIVFTVSNIREVLQQHHEKDLSELRLLAIEILTSLAMDDESREMIGGTGGVVSVLLAMFLPGKADAAPDRQKDAVRVEAGEALAMLALDSRANCGAIIIACGCGVGRLIEALSDPVVTISAARILRNLCTYAGEEWQLALRGVTAGAIKVLRNIMVQKTKLLNISLGLAAQMLRFMQPGELRAGLATAGVTDEALARTLVLVLREYSRPSMVVPRIRLYTLELAIALMRSPEGTRFVAHFVELGMERELRRVAETTSGLECFNVFSGSVGLNRRAVGVRSLVESAKELMRRG >PAN49362 pep chromosome:PHallii_v3.1:9:60775576:60777467:1 gene:PAHAL_9G443800 transcript:PAN49362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFGGRPHGHGTTTIPCKYCRASLSVIPGERAIQCAHCNCVTRIRRADRIPLPIMGPMTAPFQRARGKKRAVLVGITYAGMRRGCGELRGPINDVKCMRNLLCQRFGFPAECVIMLTDDQRDPFRLPTKDNIRMAMHWLVQGCSYGDSLVFHFSGLGAQVADDDGDELDGYDEALCPMDAFQKGPILDDEINEAIVRPLVHGVRLHAVVDACYSATVLDLPFLCRVARNGYWQWEDQRPPSGAWKGTSGGQAVLFSGYSDGKSNFAVMPDAYGSVGAMTHSFVRAVECEPRGVTYGRLLTSMRTIMKCGGGGGGGGSYGDLQGPIGAPMHHHQVANFSGVQEPNLSSSEMFDIYRKPFVL >PAN49361 pep chromosome:PHallii_v3.1:9:60775576:60777467:1 gene:PAHAL_9G443800 transcript:PAN49361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFGGRPHGHGTTTIPCKYCRASLSVIPGERAIQCAHCNCVTRIRRADRIPLPIMGPMTAPFQRARGKKRAVLVGITYAGMRRGCGELRGPINDVKCMRNLLCQRFGFPAECVIMLTDDQRDPFRLPTKDNIRMAMHWLVQGCSYGDSLVFHFSGLGAQVADDDGDELDGYDEALCPMDAFQKGPILDDEINEAIVRPLVHGVRLHAVVDACYSATVLDLPFLCRVARNGYWQWEDQRPPSGAWKGTSGGQAVLFSGYSDGKSNFAVMPDAYGSVGAMTHSFVRAVECEPRGVTYGRLLTSMRTIMKCGGGGGGGGSYGDLQGPIGAPMHHHQVANFSGVQFFPSAVGMMVQEPNLSSSEMFDIYRKPFVL >PAN44976 pep chromosome:PHallii_v3.1:9:4891194:4892600:1 gene:PAHAL_9G085300 transcript:PAN44976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore K+ channel family protein, K+ homeostasi [Source: Projected from Oryza sativa (Os03g0752300)] MADNSIQQALMEDNPPNVLKNMPSEGAKRFRRCRSTPADPTDKKPEERGSALQAKELFKDLRPSFRLVGLLLFVYLLVGVIVFYLFMNQISGERTNRVLDAMYFVVVTMTSVGYGDLVPNSDTTKLLACAFVFIGMAIIALFISKAADYLVEKQEVLFFKALHMNMKGGEAKMLKAMETNRIKYKFYTVALLLAMVVAAGTLFLWKVEKLTIVDSFYSVCATITTLGYVHKSFSSKLGRVFAIFWIIMSTILMAQFLMCLAELYTERRQKMLAKWVLNRRITTMDLEAADLDGDRQVGAAEFVLYKLKELGKISQEEISSFLEEFDRLDVDQSGTLSAYDLTLAQTHQ >PAN46816 pep chromosome:PHallii_v3.1:9:14060788:14064009:1 gene:PAHAL_9G216900 transcript:PAN46816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQACTVLHAENKRSLPAWMLKASSVNETPKTKDQNKQALESNVKIGLVDPTNPIKRKTGRRLKNVDSDGACELVGLQRCEGREKARRKSKGAVQDEVEEIRDVMIKKGRKASEGPAPNNNKKRKLENIKSEASSPVSIGDDIELTVEDLVSIAKEYVNADKQKQHELEAKKTARCKEHSPCPTIFTEADTGISVVNAPPMKELLQCTTATRNTRSSEHIGDESKSHQELKCPSSFLTTEDVAQDMLNLFLGPLWSKPAGYAKKTEPIESINRPTNNHVPEETDWHSGVPTQEEPVKKSIPPATTNLVPEEKDWRSELPKLGEPVTKKKSSLRDKVALFL >PAN47473 pep chromosome:PHallii_v3.1:9:31388916:31390822:1 gene:PAHAL_9G294300 transcript:PAN47473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSRPPPAVALVLVLVGLVAAVADAAAGPRGLTCYTRVFAFGNSLTDTGNAAIFPATAGGPFARPPYGQTYFGQPSGRASDGRLIIDFLADELRVPQPTPYLAGRTAADFLNGTNFAVGGATALDQAFLASKGIMSFVPISLSNQTSWFQNVLRLLNSSSVYEQRKVMASSVFYVGEIGLNDYFFALNSNSVDVAVSLVPHVIGAIRSALTAMIAAGARTVVVTGMLPIGCEPQQLALFPGGPGDYDPATGCIARFNEVAERHNLALRVMLGELRLAHPGRPLSYADIYRAVTRAVASPTLYGFGGMPLAACCGGGGGPYNFNFTTFCSAPGSAACADPSKSISWDGIHFTEAANRFLTRAMLKGLL >PAN45205 pep chromosome:PHallii_v3.1:9:5877327:5879176:-1 gene:PAHAL_9G101400 transcript:PAN45205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIRSPVAAASSFHRSAAKHLQQAVTLAQRNGISRRSLLTLLTSTAAIPDGSESRKALLQEYLKKSKDNKEKNDKERLDDYYKRNYMDYFGLIEGPAREKKEEERTESEKRILEWLDKNK >PVH32062 pep chromosome:PHallii_v3.1:9:33934735:33935509:1 gene:PAHAL_9G304300 transcript:PVH32062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCMQNNARLEDLGMYALSRELDEASSISHKKNKPSHKNTENSESQYDPSQDDTDDDNAKGSKQRNITTACKFVGAIKLRSKRVLAEPECTRNTRSKKHTARADATLAPSVNIDGHNQATVGAGGPAHLDENTHVADEGHVVAPLGGQNYACNDDNGHVQADGNMTNGDEADDDNN >PAN49871 pep chromosome:PHallii_v3.1:9:63189274:63191332:1 gene:PAHAL_9G480800 transcript:PAN49871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVSVINQAEVEDAGQLDLPPGFRFHPTDEEIITHYLTHKALDHRFTSGVIGEVDLNKCEPWHLPGRAKMGEKEWYFFCHKDRKYPTGTRTNRATETGYWKATGKDKEIFRGRGILVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGKLPHPLPRSAKDEWAVCKVFNKELAARAEPMAAAGAELERIGSLGFISELLDNAELPPLMDPSFGGEVDEVVDFKGASTSAHPAAPGASYLPVKMEQQAPLQYHNHHHQQQAPPMFYSSQYFSLPAVNSGDLTPAIRRYCKAEQVASGQTTSVLSPSRETGLSTDPNAGGGCAEISSAATPSSASHQFLHELDDPLLHVADFWKY >PAN46517 pep chromosome:PHallii_v3.1:9:12226700:12231112:-1 gene:PAHAL_9G191200 transcript:PAN46517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEYPASPKAQQLQESKKQRLTYILVVSALCIAFYVLGAWQNTTLPKPVGNSADITRVGCDPTTATAQSSGSGSVPSFGPGSGEALDFDAHHRLTINDTDAGAGLQPFPACPLNFSEYTPCEDRTRGRRFDRAMLVYRERHCPGKDEQIRCLIPAPPGYMTPFKWPKSRDYAYFNNIPHKELSIEKAVQNWIQVEGDKFRFPGGGTMFPRGADAYIDDINKLISLSDGTIRTAVDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGKHRLPYPSRAFDMAHCSRCLIPWYEHDGLYLAEVDRILRPGGYWILSGPPINWKTYYKGWERTKDDLKQEQDKIEDVARSLCWKKVVEKRDLAIWQKPKNHLECANIKKTYKTPHICKSDNPDAAWYRQMEACVTPLPEVSNQGEVAGGAVEKWPERAFKVPPRIRRGMIPGLDAKKFDEDNKLWEKRVAYYKRIIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRIMDHEDGPFNPEKVLMAVKTYWTAKASEEQN >PVH31220 pep chromosome:PHallii_v3.1:9:4936128:4936277:-1 gene:PAHAL_9G085700 transcript:PVH31220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLDDFVDTKDEMHMWNSLVRKQRSVSLVLTITEDRFFFTCYCYFLFI >PAN51741 pep chromosome:PHallii_v3.1:9:72064657:72067602:-1 gene:PAHAL_9G617100 transcript:PAN51741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAALAISFLPSPTRFAVATTTSSSSRIKRAARFRCCSEPSSQEQQTSAAPPTPPRPPENPARASPSSLLGISTSAWSAGVAGLGFLETGYLTYLKLTGSEAFCPISGGGCGDVLDSDYSAVFGIPLPLVGLVAYGLVTALSLQENGTDLLPGLDDLDIQLTLLLIATSMATASAYFLYILSTKFVGVSCSYCMLSAFLSFTLLFIRVKDFGFERIQKFAGIQLAVAVIIALALTNSYSSATTQLKGTDDFVLEPYETEITTESSPFAISLARHLHSIGAKMYGAFWCSHCNEQKQMFGREATKILDYVECFPNGAGKGKKMTKECQAAGLEGFPTWFINGKVLSGDQELEVLAEASGFAAEGTEQSKEILPN >PVH32117 pep chromosome:PHallii_v3.1:9:39054837:39055440:-1 gene:PAHAL_9G319100 transcript:PVH32117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKKKSELNAKQRKNYRRRKTDRVAAGTIVETPHGRSVIVNTTVTIGATTTPMTDKPETNALDDSWLHCNYSYRSAVRDDIDASDVLPATTTNPEPSFTT >PAN48465 pep chromosome:PHallii_v3.1:9:55459150:55467657:-1 gene:PAHAL_9G383700 transcript:PAN48465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRVRRRTCREREGRVVRSSSRAGSAGVEDEEDAPAAELDWKALPDDTMLQLFARLNYRDRASMAAACRAWRALGSSPCLWSELDLRAHRCDREVASSLAERCGSLRRLRLRGHEAVEAVPGLRARGLREVVADGCRGLTDATLAVLAARHEDLERLQIGPDPLERISSDALRQVALCCSRLRRLRLSGLREADAGAVGALARYCPLLEDVAFLDCGTVDEAALAGIHSLRFLSVAGCRSLKWATASTSWTQLPSLIAIDVSRTDVPTNAISRLISNSKTLKLICALNCISVEEELVQDPSVFRNTKGKLVLTVKSHIFKSITTMFPGVDVKEHEVFNQCNWRHNGIAGDTMTWLEWILSQSLLRIAESNPQGMDEFWLQQGTALLLRLLKSSQEDVQERAATALATFVVMDDESANVDPARSEAVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNTKVAKAVADEGGIAILTSLAKSMNRLVAEEAAGGLWNLSVGEDHKAAIAVSGGIKALVDLIYRWPAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKLEGVLEQAARALANLAAHGDNNDNNAAVGQEAGALEALVQLTGSQNEGVRQEAAGALWNLSFDDRNREAIAAVGGVEALVSLVQQCLNGSEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYSGNALRIVEEGGVPVLVSICSTSGSKMARFMSALALAYMFDGRMDEVALVGTSSDSSSKSVNVEGARRIAFKHIETFVLTFSDPQMFSVAATSSAPAALSQVAEVVFIHEAGHLRCSGAEIGRFVAMLRNPSPILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAGRVLRAAAAATTASIEAKIFARIVLRNLEHHQSGMST >PAN52042 pep chromosome:PHallii_v3.1:9:73553578:73553949:-1 gene:PAHAL_9G638400 transcript:PAN52042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEFYKPPAAAFSSPCASPLPAPAEDYRYTTCRTPTGSGISYLKEPTTCPPAPRKPPLCKKRLFKGGDTDAAEVPLISLRLHELERVFRPHPNGDKRRRSATDRNNNKQTTLLDATYLAS >PVH31222 pep chromosome:PHallii_v3.1:9:4966276:4967058:-1 gene:PAHAL_9G086300 transcript:PVH31222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPTDPMLWHKVAAVSGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKHPNVFGGLLTAGIVLFSGTCYTVAYLEDRKFSSPAPLGGFAFIGAWASLLF >PVH33320 pep chromosome:PHallii_v3.1:9:72650023:72650984:1 gene:PAHAL_9G626700 transcript:PVH33320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTDNYNRIVCELMAEQVRKGNRPNTHLNTLGYNEVSARFFQMTGIELSKTQIKNKWDRLKNDWSIWNKLVRNQTGTGWDNARGVINMDNEWWKKMKMDVPGSGKFKKKPLQNQDFLGEMFGDISNDESDHWNPMSDNPIIPDSQKEFENIDGEGLEEEDEFMHDWSYREEEDDEVQEVSPVVDNRKRKPRVVLEIPKKPKSSTALQIQEQITKIANSAESFTSGKQAEGVSIKDVMDVVLDCGAEYGSNEHDIATQLFVKKEQREMFLTLPTKEIRLNWLKRRFNDKYGN >PAN49540 pep chromosome:PHallii_v3.1:9:62131970:62133813:1 gene:PAHAL_9G465700 transcript:PAN49540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] MAALLLSARLPTNTITTPTSSRSTPSPSFLSFPSTATPAARRRCRAPLLASSAAAPPAPAPAAQPFRALPPSETTVLVTGATGYIGRYVVRELLRRGHRVLAVARARSGIRGRNSPEDVVADLAPAQVVFSDVTDPAVLLADLSAHGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQAARGLGASHFVLLSAICVQKPLLEFQRAKLKFEEELAAEAARDPAFTYSIVRPTAFFKSLGGQVDIVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCIYDEDKINQVLPIGGPGKALTPLEQGEMLFRLVGREPKFIKVPIQIMDGVIWVLDGLAKLFPGLEDAAEFGKIGRYYASESMLLLDPDTGEYSDEKTPSYGKDTLEQFFERVIREGMAGQELGEQTIF >PAN44771 pep chromosome:PHallii_v3.1:9:3953579:3957500:1 gene:PAHAL_9G069000 transcript:PAN44771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSKPEKEEEEEREAPSPCMNGDAASEGDKGGVGGEEGREGGEEEEGEREFAAVMAQLAPEGVRALHARVEAEWGPVLQSACQTAAARALWARAVRDPAAAVLAGERYLRGLHEKMRRDERAGAREVHGVMIAVRTLWFDARIEAAVDELGGDPQVVILGAGMDARAYRLNCLKECMVFELDFPELLEMKSDLLHEAMSSANYQKLTLMAKSLNRVPANIQDGDWVTKLQSCGYVPERNTIWVLEGIIYYLHHADAMQVLETIAASRSSACTVLLADFMNKNATSLSPTIYHFYHDSPDLLLPSIGFSKVTLSQIGDPQAHFGLLSHPENLFDKLRRLPRSMEKNPEDGTPCCRLYFVEASASPDDQIMCPLDHYLD >PVH32761 pep chromosome:PHallii_v3.1:9:63144300:63159050:-1 gene:PAHAL_9G480500 transcript:PVH32761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MEPAAAAAGEGQRFKRIPRQAWSGNLELDPLLNENLEQWPHLNELVQCYKADFVKDDGKYGRYESVAPPSFQNQIFEGPDTDIETELQLGNARHSKPEDATEDDTPSTSGRQIYETGSSASSSKVHCSLSPLPAYEPAFDWENERSLIFGQRVPESLPAINNSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTDMQDVSAVQAQISLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMPYKESFAWAMIPLFEGNHAGGLGDAASPSSPLAPSISGSSSQDSIVDPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLHDPKRKVHKPVKGVLRLEVEKLHDSHNDVDNVSEGGSMANDLNDAGDLNNGRCSRSSFDGIRSSLNSSAVAQKYAHHNGKISDADNGDNFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFIRVELRKDDSDIRKPPLEAVHPRERNTMLQKWGHTQIAVGTRMASYHDEVKISLPALLTPQHHLVFTFFHVDLQMKLEAPKPVIVGYSVLPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRRVQQESPDGAERNRFLINYVDFAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDATLIKAWQQSIARTRLFFKLLEECISHFEHNKTGDSMLLGASSRSPDVERPASPKYSERLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGITEKFSVAAGTRSITTDYAKLDCITSILMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETRRLRKSLEEMADVRSKDLLKDCGLPVTALEAAPEGSSDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVVTLDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLRKICPIVSTDVSAEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRSYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >PAN49320 pep chromosome:PHallii_v3.1:9:60577235:60579906:1 gene:PAHAL_9G440700 transcript:PAN49320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPYYRPLALLLPLVCLGLLHADSAASITAGTPDGSELWGYVEVRPKAHLFWWYYKSPQRMSTPGKPWPTVLWLQGGPGASGIGLGNFQEIGPLDVDLKPRNSTWLQKADLIFLDNPVGTGYSYVENDTLFVTTDWQQAADATTLLKALVREVPALQGSPLFLVAESYGGKYAATLGVSVARAVRAGELNITLGGVALGDSWISPEDFTLSYTQLLLSVSRLDDNAGDEANKRAETVKQQIAAGQWATSQESWNDLLDFISTKSGNVDVYNFLLDRGMDPVSVDSSTGSSLSNLQATRYSTYLSSQDSGSNNTIDGIMNGVIREKLKIIPKDFKWGAQSDSVYFALVNDFMKPRINEIDELLSYGINVTVYNGQVSITLPFLLSPANQNYSSESTIIPTFC >PAN49319 pep chromosome:PHallii_v3.1:9:60577235:60581118:1 gene:PAHAL_9G440700 transcript:PAN49319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPYYRPLALLLPLVCLGLLHADSAASITAGTPDGSELWGYVEVRPKAHLFWWYYKSPQRMSTPGKPWPTVLWLQGGPGASGIGLGNFQEIGPLDVDLKPRNSTWLQKADLIFLDNPVGTGYSYVENDTLFVTTDWQQAADATTLLKALVREVPALQGSPLFLVAESYGGKYAATLGVSVARAVRAGELNITLGGVALGDSWISPEDFTLSYTQLLLSVSRLDDNAGDEANKRAETVKQQIAAGQWATSQESWNDLLDFISTKSGNVDVYNFLLDRGMDPVSVDSSTGSSLSNLQATRYSTYLSSQDSGSNNTIDGIMNGVIREKLKIIPKDFKWGAQSDSVYFALVNDFMKPRINEIDELLSYGINVTVYNGQLDMICSTDGAEAWVQKLKWDGLKSFLSLPRQPLYCGASKGIKAFVRSYKNLHFYWILGAGHYVPVDQPCIALSMISSIIKSPAS >PAN48668 pep chromosome:PHallii_v3.1:9:56791118:56795948:-1 gene:PAHAL_9G397900 transcript:PAN48668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAVMDYLRSCWGPASPAGRPRRGSDAAGRQDGLLWYKDGGQVVDGEFSMAVVQANNLLEDHSQVESGPLSATDPSLQGTFVGVYDGHGGPETARYINDHLFNHLRRFASEHKCMSADVIRKAFRATEEGFISVVSSQWSLRPQLAAVGSCCLVGVVCSGTLYVANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASYEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLQETFRRPLLSSDPAITVHQIQPTDKFIIFASDGLWEHLSNQEAVDMVQSSPRNGIARRLVKAAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFDSNATATAAWSRPTVSLRGGGVPIPSNTLAPFSVPTELNSSY >PAN45415 pep chromosome:PHallii_v3.1:9:6848565:6860307:1 gene:PAHAL_9G117000 transcript:PAN45415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGRFFSSRHKSSESSSSPDGSNSPRTPTSAPPSGSAASPASSSSSRSDKKKTKSETPRKRDKLFGSAAAAPKSASSSPAGDGRKGSVAQLRDAGGGGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAVLGSSNLSRGQGQAEPSAASAGVGGRKGVSSWAVSSSSGSSSRGKGKTAELPMRSAAGTSLGAEGKSAVKDKPSALRNHSGDLRTPTHIPEAACAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFLKPRGIYNLKEVIKVIQVRFEKAKEEVNSDLAVFAGDLVSVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCESIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVIDQRDKIIQSADRQILAQPGVDDTTSRASKSDARKSYSQEQHNLKWKRSQEIKPVKLLQPLDTDIKKEADSPTKERMSSWKPFPSPVIKAPKESTPTKSESPNKKTEAHSTVSSHVELSSPVESIPQQQLPSKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVEDHSRVCAVADRCDQKGVSVDERLIRVAETLEKLVESYSQKDLPNAAGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADNTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGKNAINESDDLPQIVELADIARCIANTPLDEESALSQMVTCIEDLQEIVSRSKHEALTVQTFGTRIEKLHREKYLQLCDSVDIDKVDSASTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSVENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSELEQMDHRARRQNRSAVGTPDYLAPEILLGTGHGCSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSVEAQDLIDKLLTEDPHQRLGANGASEVKRHPFFKDISWDTLARQKAAFVPSSDSACDTSYFTSRYSWNPSDENIYEAYEVEGSSDNGSLSGSSSCVSNRQDDMGEDSGGLNDFESSANVNYSFSNFSFKNLSQLASINYDLLTKGMKNDLPPRSET >PVH31098 pep chromosome:PHallii_v3.1:9:3223019:3223389:-1 gene:PAHAL_9G056600 transcript:PVH31098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVPGKFRQLRSGTAWSLLWSNVVMRMCPCLIYTRQIRVKNSRHLPTLVDMKRRISYKNHVVPFTLSFRTKFVQNHANYSPRTRKEKEMPAKLSN >PAN44101 pep chromosome:PHallii_v3.1:9:1010015:1013713:-1 gene:PAHAL_9G017200 transcript:PAN44101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 54 [Source:Projected from Arabidopsis thaliana (AT1G71870) UniProtKB/Swiss-Prot;Acc:Q9LE20] MARQPSRDEEGQPAGNHCGDGGEATIYIPREATSQAQKQTASSAASFAQSTSRGDCTRWVRMAIPLQGKDGKGGQVGGDDQPSVAAELRALWGMAAPITALNCVVYLRALVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSRNHDLLTLSLQRAMLLLFLAALPIALLWLNVGPILVALGQDPAISAPAAAYARFALPDLAASVVLQPLRVYLRSQGITRPMAACSAIAVALHVPLNVLLVFGLGFGVRGVAAAQALTNTNMLLFLLAYIRWARACDDTWKGWARPAVVASGLPGLARLAVPSCVGVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTVPMALAACVSTRVGNELGAGKPRRARMAAMVALACALAIGVVHVAWTVALSRQWVELFTTERSVVRLAAAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVYLAFGARAGFSGLWYGLLSAQATCVALVLAAVVWRTDWQVEALRAKKLAGLELTSTTPAAAAAEESKRLVAANGEPAEGV >PAN46491 pep chromosome:PHallii_v3.1:9:12044942:12047349:-1 gene:PAHAL_9G189400 transcript:PAN46491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQLLLGALLFLLPAALLLLNRARGKRPRLPPGPPSLPLLGSVVWLTNSPAEIEPLLRRLFERHGPVVALRVGARLSVFVADRRAAHAALVERGAALADRPALVSARLLGENDNTITRASYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRRVLVDKLGEPGPGVPPPRVVETFQYAMFCLLVLMCFGERLDEPAVRAIAAAQRETLIYISRNMPVFAFFPPVTKHLFRARLDKARALRRRVKELFLPLINARREYRRRGGEPKKETTFEHSYVDTLLDIKLHEDGDRPLTDDEIILLCSEFLNAGTDTTSTGLQWIMAELVKNPSIQGKLYSEIKAATDDDKEEISEEDVHKMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDIGGYLIPKGTTVNFMVAEMGRDEREWKNPMKFSPERFLPGGDGEDVDVTGTKGIRMMPFGVGRRICAGLGIAMLHLEYFVANMVWEFEWQEVPGEEVDFAEKNEFTTVMKKPLRPRLVPRRTRSTSAH >PAN49027 pep chromosome:PHallii_v3.1:9:58683114:58685806:1 gene:PAHAL_9G420900 transcript:PAN49027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVSSAVAEVVITQIVSRVLDKQTPGRRPSQEESLERLEMAHIKLEAALETSNKWRITDASLLRWRGKLKRAARECDDALRRCKRRAVEDEERRRAARGASFPARLARAARSFLSSDVLSGDDGRHGWSGPAVRRFEWYADGAAEFLRFVELGGTPRRYAFFDPLIARLLAGDELRYRLVRGSQYHLFCVRPIFLEGRGVEAKLIFIYEDDDAPEKNLCIGSMLRLSESTDIVGTTIKCLQLLVTPHFRSTAEAATRELASLPTQDFSWVPYADTTHKMHWNSIHRDMSQWFRPDPLCCSHQHRAEPCKGDTGATGLPEVSLESVIEVYWQCQIPLSEYKMRRRAIPEGRAASSKDTPHLKLGLLFTPHGSLGGVTPRAESSALEVIDGEEQHGAHTNLSLQQVDETVLPKALECLYLKAEATAYQMLWKSRHGAAYLQVKKTIPGRNAGRDNSSRRSLMQKYRHHQDPKLERWTHVLTSFLKLWVARAPERLRCSMVEWLQRANEKQQAALQY >PAN48698 pep chromosome:PHallii_v3.1:9:56970598:56971656:1 gene:PAHAL_9G399700 transcript:PAN48698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPAAARSHAAAGPAGLKRRRIAVGSTEQYEETTCRLGKGAFGTVVKARHRDTGRIVAIKRLGAAHGGPGAALREARFLEEAANPFVVGFHGVVRAAGTMDLRLVMDFVGPSLHDVLRQLPRGSPPLPEATVRAAMWQLLTAAKKMHAGRIVHRDIKPQNILVGEGHNVVKICDFGLAMSTDERPPYQPAGTLWYMAPEMLLEKPDYDTQVDIWSLGCVMAELIDSGRPLFQGSHDQGQLNAIFQLLGAPDDSTWPWFSSTVVMPPQLADMQRENHLRELFPETKLSEEGFEVLSGLLTCNPDKRLTAAAALEHPWFAKIDALDLPNKVEALSPLPKRRRIHHIHAVCVT >PVH31528 pep chromosome:PHallii_v3.1:9:10772271:10774386:1 gene:PAHAL_9G172100 transcript:PVH31528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARAGQRPRATSAAEPDSRAGRWGWWWFNFRFFPPLLRRAPSVCPSRPSKAALTGPGGGGLYPRLRRRPPRVRRQEGADMGWALALHGGAGDVPRTLPPELREPRLATLRRSLDLGAAALREGRPALDVVELVVRELEDCPHFNAGRGSVLAADGTVEMDAAVMEGATLCCGAVSGLSTVANPVSLARLVMERTPHVYLAFAGAEAFARDQGVETKDPSHFITEHNIERLRQAKEANRVQVDYTQPMNGQATQQAQTPADDNSQTGTVGCVAVDAAGNLAAATSTGGLVNKMPGRIGDTPLVGAGTYANALCAVSATGVGEEIIRRTAARDVAALVEHRGLPLRDAAARVVAGAPRGSVGLVAVSRGGEVCMARNTTAMFRACATEAGHDEVCIWTEPDADGKSVSIAL >PAN46569 pep chromosome:PHallii_v3.1:9:12429011:12429346:1 gene:PAHAL_9G194400 transcript:PAN46569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCSFVSLPWLLLVAVLGDAVAAVAVAVPRPQLGIAEPPGSAAGRAGASRPGGGGRPDRSVAGADVILVGFAAAVVVVVFLYIRVTRKNSGSSVSVGAGQKQEADLGGL >PVH31173 pep chromosome:PHallii_v3.1:9:4239417:4239785:1 gene:PAHAL_9G073200 transcript:PVH31173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAISPAGEEIIYVINILNSCCQERLYHVNHFDGCRAVSWSVPKNTTMKKGAVHCTCQPNTCTGRLYSLHNPCIFCCMCLLDNLETLMHPIKQGRFVIFLFMTEVGLHEQRVSNVILPQSI >PVH31447 pep chromosome:PHallii_v3.1:9:9121494:9122287:-1 gene:PAHAL_9G148400 transcript:PVH31447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQLLTVAKKMHDGRIVHRDIKPQNILVGDGHGVVKLCDFGLAMSTDERPPYEPAGTLWYMAPEMLLEKPDYDERVDIWSLGCVMAELINNGRPLFQGFYDQGQLCAIFDVLGVPDDSTWPGFSSTTFATVMMPELDMQRDSHLREHFPETKLSTEGFEVLSGLLTCNPEKRLTAAAALKHPWFAKIGALKLPKELAWPLSKKRRMHAVCVT >PAN47374 pep chromosome:PHallii_v3.1:9:17755342:17757383:1 gene:PAHAL_9G253200 transcript:PAN47374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >PAN47787 pep chromosome:PHallii_v3.1:9:25224148:25227060:1 gene:PAHAL_9G276300 transcript:PAN47787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPMEKLVLSFVLVALFQGCMVLSVEYDHTASIECLRDPMKPLYNGGVIQNSEFNSGLMGWSTFRNIKAGVSSSPSGNKFAVVHGAGSYLSSSGKLLPSHSVYQRVQMTGDMHYTLSAWLQMSNGTAHVKAVIKAPNGERVIAGSVIAQSGCWSMLKGGMTAYSSGHGEIYFESDAASVDIWVDSVSLQPFTFDEWDAHARLSANKTRRSTIRLVAKGADDEPMAHANVSIDLLRLGFPFGNAITKEILDLPAYEKWFSTRFTVATFENEMKWYGTEWTPNQEDYRVPDAMLKLVQKYGIKVRGHNVFWDDQNSQMKWVTPMSLPQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFSFFENKLGPTASAQIYQQVGQIDHSAILFMNEFNTLEQPGDPNPVPSKYVAKMTQIRSYPGNSGLKLGVGLESHFTTPNLPYMRSALDTLARLKMPMWLTEVDVVKSPNQVKFLEQVLREGFSHPNVDGIVMWAAWHAKGCYVMCLTDNNFKNLPVGDLVDKLIAEWKTHRASATTDDDGAVELDLPLGEYKFTVTHPSLKGPAVHTMTVDTSSSASEHRIDIKS >PAN52000 pep chromosome:PHallii_v3.1:9:73331614:73334021:-1 gene:PAHAL_9G635500 transcript:PAN52000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPASAQVPHTIRPVANCFGLHRRSVLNLDRYHRWRGTGKQMILSTRGVLESSNGAPSGGLVKKRKIVEHIILLGAKPNISDAEEKDMLDYLYTSQYQMRGILTISLGRIEEPNSENFTHAVFMRFQQKEDIAKFQSSAYYSKILDDHVKPVSYGSVSVDFESEVEDDIIPLFRRGEKIWSLSQDFNYGVEFMLLISFLETASREAMEDASSSLQRLISQCSSFIVQATCGRCLNPENGYNHAAVIRFPSYDDFKLFRESMEYKDMWASKFHPIVEKSLQLHFTVDPVGNQLM >PAN44712 pep chromosome:PHallii_v3.1:9:3663653:3663769:-1 gene:PAHAL_9G063900 transcript:PAN44712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANDLEFKLATEETEALTPHSFYLASPSSPGCRVTGF >PAN49100 pep chromosome:PHallii_v3.1:9:55959843:55964030:1 gene:PAHAL_9G388100 transcript:PAN49100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCVPSWDLDDPALVAAGGGGLNNHPVPAAGGGHHRGGAAPAGTTATAGGAFAPVVVPMADQYYEVAELTWEKGNISSHGLLNRPPPSKYHHQPAAPPPSHLQGIGGGGGAAGDRETLEAVVGEAAARSHFLSQPPVHLAHWIEVGVGAAAAAVARPGADALVPCAARAEEAAEGADAADASRRKRARVVGEDGLVCASQGSAAPGRRGDSALITLDGCGTGADDVCGFTTTTNNSTSLEREDKGSPDTENTSIGGGASDSRCFSRRSQRDGLCDEGENVVTTGDGAVRSSVSTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMSTMMMPMAMPQLQMSAVMAQMAQMAQMAQMAQGMMNMGSLAQPAAAYAGLTPPMMPTFVPTMPWDPTTSGAGSVVGTGTADRAPQPPAAVAGAVPPDAFSAFLACQAQQQSGQQQQQPGSMEAYNKMVALYQKMSQQQQGQPSSSSKQ >PAN48532 pep chromosome:PHallii_v3.1:9:55959843:55964030:1 gene:PAHAL_9G388100 transcript:PAN48532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCVPSWDLDDPALVAAGGGGLNNHPVPAAGGGHHRGGAAPAGTTATAGGAFAPVVVPMADQYYEVAELTWEKGNISSHGLLNRPPPSKYHHQPAAPPPSHLQGIGGGGGAAGDRETLEAVVGEAAARSHFLSQPPVHLAHWIEVGVGAAAAAVARPGADALVPCAARAEEAAEGADAADASRRKRARVVGEDGLVCASQGSAAPGRRGDSALITLDGCGTGADDVCGFTTTTNNSTSLEREDKGSPDTENTSIGGGASDSRCFSRRSQRDGLCDEGENVVTTGDGAVRSSVSTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMSTMMMPMAMPQLQMSAVMAQMAQMAQMAQMAQGMMNMGSLAQPAAAYAGLTPPMMPTFVPTMPWDPTTSGAGSVVGTGTADRAPQPPAAVAGAVPPDAFSAFLACQAQQQSGQQQQQQPGSMEAYNKMVALYQKMSQQQQGQPSSSSKQ >PAN51841 pep chromosome:PHallii_v3.1:9:72501352:72505410:1 gene:PAHAL_9G623900 transcript:PAN51841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTPLTVTASPLSGHLLRHRRRGRLHAKHQPQPPRRRPRTARLYCAPDGGAGEVSAPPAPPAAEEQAPQEQPHDFNLLAVNRSEFNEIIMVIDSPAARYLVLDHNKNIHSVLPKTTVWTNSYWDEFVSLPPVVPLGPVALLGLGAGTAAHLMLKFWPWLQLVGWEIDPMIVELSRQFFGMSDLEKATESGGSLSVHIGDALSPSATVEGGFAGIVVDLFCDGKVIPQLQEVETWLQIAKKLMPGGRIMVNCGGADVEESLSSSWVQNPTVKALCSAFPGQLNWKRLSEKESVNYVALTGPLPGLDEWSASVPSELSTKVKQWVPCELA >PVH32703 pep chromosome:PHallii_v3.1:9:61950913:61953919:-1 gene:PAHAL_9G463500 transcript:PVH32703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPNGNQPFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRLGPASNKKNTGGQQQPSSATYQNTQGTDSDNDPNNTTVFVGGLDPSVTDELLRQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIMVLNGTQLGGQSVRLSWGRSPANKQPQQEQNQWSSGYYGYPQGYDPYGYARPPQDPAMYAYTAYPGYGNYQQQPPQQPPPQQ >PVH33363 pep chromosome:PHallii_v3.1:9:73310586:73312134:1 gene:PAHAL_9G635100 transcript:PVH33363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVEMKKQAVVACAVLLLLVLAGGASAASCNAGQLAVCAAALTSGGKPSAACCSNLKAQQGCFCQFAKNPAYARYINSPNARKVVTSCGVAVPRC >PVH32620 pep chromosome:PHallii_v3.1:9:60860509:60870306:-1 gene:PAHAL_9G445300 transcript:PVH32620 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) UniProtKB/TrEMBL;Acc:Q8L5Y4] MPKVFGTGVYEFRHPRAAEYPLPADAAPATTAAPDKVPASTGGASITLLDIQRDRLTRVAAEHWCTPAAASAFDADLVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPHFDPADASFEHVMSIILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEERTLNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWNTLSFGRLQMELCLNPELIKKWTKIKRREAKEAKKADQPTNPSEMLENKFLRNLIEEFLEILDSKVILSSQDGGEESVLNESPSGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLGDDDVLQAHYSRFQAFQLLAFKQVPKLRDFALSSIGSLHKRADLTKKLLVLSDVELQDLVCNKLKLISEKDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHSYINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVTADVTFSISSYRHQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGTLMNDFTGRIKREEWKPPKGDIRTVRIALDTAQYHIDVTETAEKGAENMYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWMNMPDLLEVIDFKDTFLDANHVQQSFPDYQVTFINSDGTENLHPSPPFKIRLSKKMRESSHALPGNVNSSLAVKNNNNVADGESQKEKLIVETYIPADPGPYPQDKPKQNSVRFTPTQVGAIISGVQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVSKLARSLRLPEDVGYTCETAAYFWLLHVYARWEQFLAACAQNQDKPSFVKDRFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTVERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKFDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAKLYNWRYRELGDLPYVREQAIFHKANAGFSFEYQLVDVPDYKGKGESAPSPWFYQNEGEAEYIVDVYIYMRLIGYPANKISILTTYNGQKLLIRDVINKRCKPHNIEPPNKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLALNLEECTPFTERPLGETGNIHYVTGIEDIGHLVKFRLEHLRQMQYMQYYAPPANELPPAVPENIAVIPSENGSVPNQPNEQMAVEENGGASDTTVGNKMEEDAVEPKDETMQEGDKTSEGNGDGDVAAKDKDDEHADANDKMEEGDSTSKDQIEEETSEPKDKMDEE >PAN45834 pep chromosome:PHallii_v3.1:9:8957387:8959261:-1 gene:PAHAL_9G146000 transcript:PAN45834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAAGVQRSEESLVGRLSGGAPRGGEGAQCRCSRARVAERGGAGRSRDEEVEGGGAGERRREVAPRPGRAATAPLSGQKRKREAEDGGSGSGRESGGDNWSLVAERRRHPATAPPVPRVVVLPLPLPPLLQLVHACRAVFNGSCIPPMAPVVHFISGMMNRIGPYDVGLRDDVDFFHRMNAAGRQNPPIITCKTILQCQKFAIAVFFLPLGTVMPLHDHPGMTVFSKLLIGSAHVEGYDWVRPRVSGRGSRMLAEKVLDRDITPSSGTWALFPEAGGNMHRFVAGEERHCAFLDVLAPPYAPAEQRRCTYYKNIPYEPCSCAVRSGRLTETQRHGRALAWLEEVDDPRDLRIARLPYRGPIIFRAY >PAN51498 pep chromosome:PHallii_v3.1:9:70920535:70922360:1 gene:PAHAL_9G598500 transcript:PAN51498 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MATSSILPLRLPSCARRATTVRAAAAAVAATTTAQSLEESFGRKGLKFVADPAGGASTAELSVRNGSSLHLRLGDGLVTSYRPKVYWKDDGCREVLHTVADPGGDPAKAKGGVGLVLNEVSSSGGAQSLIDGSAWAIKDVDSDSYDAVQVELGCTKGKLDISYVVTLYPLSMATAVIVRNTGTKPVELTSAMLSHIKFDKRGGTAVEGLRGCPYCSHPPPAAGFSLLSPAEAMKREDPGWFFGGGEEPRQGVWTVEEDQYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQYSGLGFRLVRMGFEDMYLSSPGGMYEKFGSDYFLCTGPASMLVPVVVNPGEEWRAAQVIEHDNL >PAN47167 pep chromosome:PHallii_v3.1:9:16090418:16091641:-1 gene:PAHAL_9G238700 transcript:PAN47167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAKSLILCTVFAACLALAAADWSQGTATFYGGPDGSDTMGGACGYGNLYNAGYGVLNAALSETLFKDGASCGQCYTISCDGSRPGGEYCKPGTSITVSATNLCPANFALPNGGWCGPGRPHFDMSQPAWEHIGVYRAGVIPVVYQQVRCSRQGGVRFSIAGFNYFLLINIQNLAGSGSVGAAWIKGDSTGWIQMSRNWGANWQALSGLVGQGLSFAVITTGGQYIQFLNVVPAWWKFGETYTTNQNFYY >PVH33201 pep chromosome:PHallii_v3.1:9:70564693:70566960:1 gene:PAHAL_9G593700 transcript:PVH33201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSHGNFVLWEWFVHQGPGMLDRRIIENLLNLSGADPEKWESTGTSLLGLGERDRYYKVGNARAAYMVYRFHNMDYWDQMCSRMRRALRKSRTPSFLHVGLISLSEHGMQR >PAN51286 pep chromosome:PHallii_v3.1:9:70030782:70033447:1 gene:PAHAL_9G583200 transcript:PAN51286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSGICSTVGELWNATVVVALLNRSPHRRRATRARPPRHYTMELPQVQGRRPRCAQVLPPPVIPSGPRLWRTGDPSEAEVTRRPPTVNGGGFVVTDQDQRAVFSVDGCGIIGASGQLIVRDGDGNAILFIHKKGGVVQALCVNNWWRGYLTDYGEPSKLVFSLQDPKPVLCMKGDVQVTVEPKGRNRHWDYEVTGSFVQRACAIKNRAGQVAAQIGVKGMMAGRDFYQVVVQPGYDQAFVVGVIAILDNIHGESTRC >PAN51614 pep chromosome:PHallii_v3.1:9:71446953:71449098:-1 gene:PAHAL_9G607500 transcript:PAN51614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSVANFIVQLLSRSAAKGGGARWRRAVGAKGAEKAAANALPPLQLLAILAVIAWTLFLYVQFSVLSAAVEVEVSDGVDSADPCRGRYVYVHDLPPRFNADIIRDCRKYEDHWGDMCGVVSNAGLGRPLADRADGVITGEPGWYGTHQFALDAIFHSRMKQYECLTNHSAVANAVFVPFYAGFDFARYHWGYDNATRDAASVDLAEWLMARPQWRRMWGRDHFLVAGRTGWDFRRSNNVDPDWGNDLLAMPAGRNMSVLVLESTLLHGSDYSVPYPTYFHPRSDADVLRWQDRMRGQRRAWLMAFVGAPRPDTPVNIRVRDHVIAQCKASSACAMLGCARSPGSPQCHAPGDIMRLFQTTTFCLQPPGDSCTRRSVFDSMVAGCIPVFFHTASAYKQYRWHLPRDHLNYSVYIPDADVRRRNVSIEAVLRAIPPATVERMREEVIKLIPRVLYADPRSRLETIKDAVDIAVEGVLDTVARIKKGEYVDSGRPVSEDPPNLYVSTESAFRPKSVVSRMMMRLKQWIQGHR >PAN49738 pep chromosome:PHallii_v3.1:9:62562137:62563993:1 gene:PAHAL_9G472000 transcript:PAN49738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSLTLLAALLVAAAAADQVGAGVPAPAGVSPLVGYEIIYGRVPCRNGSSIDGKAGPPFPNATVELVCRENPAVRVLNMTTDAAGRFGVYTVKIPNIDGVLHDALHDRCRVVVITPLAACDESLAGVTGRLTAPLKLPPHPPIGLGVGFSLVFTVGAFSVV >PVH32978 pep chromosome:PHallii_v3.1:9:67165378:67165946:-1 gene:PAHAL_9G539300 transcript:PVH32978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWAPIVHVVFFVAFLLAATAVAGSNATVANNAAAMALRRSTEAFPAAAAAHNGQYTCYLCRKRSSLMIRRCPVAQDDCHLNGGDRDADDCYVMKVFPDGSWVVVDVVGCKATAAACYLECGDCDEHGTGGPARNTPATPRGQLPHGLPEFERCGGHLTARGAAVAGV >PAN49618 pep chromosome:PHallii_v3.1:9:61777239:61778900:1 gene:PAHAL_9G460300 transcript:PAN49618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGGCLLAPYFCAALLSRRGQRAARLVLWGGESRAARHGKLVGQVMLDFAGTVVCRADGFYLGRPAPVLAIEDRLLAGATYLVLPVERLPQGYDALTAASLAALSYERAAGGGSSIAGGPKSPFEYVKGDDGRTVIKVTPEFLIGAVTSKTGAADREGGGDGGEEACAGVLCSTPELRKHYEQLVGATRGRAWSPRLDTIKERKGRRGFAAVSPGRLSPVAVRLLGLDANKGER >PAN48585 pep chromosome:PHallii_v3.1:9:56441378:56442237:1 gene:PAHAL_9G392700 transcript:PAN48585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGLVVALNLILFAAGVHGCAPYCGSTYVPEPPAPSSYVPEPPTPTTPATDAHGHRPAGRCPVDALKLEVCASVLGGLVKISLPEDRERCCRLLDGLADIDAAACLCTLLKANILDISLRVPIDISLHLNQCDRRNSPRGLTCPRF >PVH32600 pep chromosome:PHallii_v3.1:9:60379917:60380421:1 gene:PAHAL_9G438900 transcript:PVH32600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRFRQADTANILTTALAPEGHLSVAYRKITRLVSLHAEAGHVCAARLGLQHVDGDDDEDAPTGVQTDHDAPWKRWMDLREAAVRHAHDALLWLSSAASAAAVADDFLRWRSNESPRWEGWRSAARQLVQDARRSLGEAKDAARLVRDAVLCEFFETWMILKLA >PAN44642 pep chromosome:PHallii_v3.1:9:3337453:3341762:-1 gene:PAHAL_9G058600 transcript:PAN44642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPSLKSLNAFPHAEEHLLKKTYSGAVVTILGLIIMFTLFVHELRFYLTAYTVHQMSVDLKRGETLPIHIHMSFPSLPLLSVDAIDMSGKHEVGLHTNIWKLRLHRYGHIIGTEYLSDLVEKGWDHGHEHHDEQKKHEHTFNEDAEKTVKSVKQALENGEGCRVYGVLDVQRVAGNFHISMHDLNIFFAEKIFEGSSNVNVSHVIHDLSFGPKYPGIHNPLDETSRILHGTSGTFKYYIKKVLPTNQFSVTEHFLPVRPTDRVWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYLHIESVTNSKTRSVLL >PAN47151 pep chromosome:PHallii_v3.1:9:15991887:15993084:-1 gene:PAHAL_9G237600 transcript:PAN47151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVILAMDVHCDSCAKKIRKAIMKVPGAESVTASYETGLVVVEGTADAAAVMARLRAKTKKAARVVSDGVEEEGEAAAAGASGSGSVNANPPPPAGAPAGPADPPAPAPPIFLEMDLHCRRGRGHDGRAGAPGGGNRDGGRLGGGDEPRGHDEEARQGPEGGRGASGGGADHAGDVRGDCARGAAGGDGGRRRQQPLDGAAVSGASSRWAPAGTTRLSASSISTRPATAKQWHASVSARVVVVRCPSAGGRLLPLPGTTGGCLRRPTLGGARPTVGGLLYASRR >PAN45443 pep chromosome:PHallii_v3.1:9:7056460:7058721:1 gene:PAHAL_9G119600 transcript:PAN45443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLGSSPAFLARPVAKPHSISCAQVPRPPSAQNQPPPGEQPQQSVQSQPQQAARPKRAGGADSTDWVASSLTRRFGIGAGLAWAGFLAVGVVSEQLKTRFEVAQQQANTKDVEQEQEVVLPNGIRYYELRVGGGDVPRPGDLVVIDLQGRVAGGGEAFVDTFGEGKRPLALVMGSRPYTRGMCEGVEYALRSMRAGGKRRVVVPPGLGFGDEGADFGEEHVQIPPGAMLEYVVQVDKVSIAPA >PAN44637 pep chromosome:PHallii_v3.1:9:3321046:3321888:-1 gene:PAHAL_9G058200 transcript:PAN44637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSTEAAAAASPGIRRCAACKNQRRRCSQDCVLAPYFPASDPQRYACVQRVFGASNVARMLQNLPIHERGKAADTMAVEAHRRVEDPVYGCAGIVGRLQGEIRAAQCELARTQAQIAVHAAAAARARPAVDAAQLNAPAQAALPQQQQEQCDALAMQQDPFQGLDALLVDDYRVDVNLVDDEMNTD >PAN49627 pep chromosome:PHallii_v3.1:9:61809100:61810593:-1 gene:PAHAL_9G461000 transcript:PAN49627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVSGGAPGAGSKNKKPRHLSRSLTYHHHHPYQGRHLPPTTPPSPAPNQQRPRSVVLYTTSLRGVRRTFADCCAVRAALRGLRVAVDERDVSMDAALRRELQGILAARGRGFSLPQLLVGGVLVGGAEEVRRLHESGELRRVLEGAPGQDPAFVCGACGGFRFVPCSACDGSRKVFVEEEGRARRCIECNENGLVRCPNCCS >PAN44287 pep chromosome:PHallii_v3.1:9:1810076:1810638:1 gene:PAHAL_9G032100 transcript:PAN44287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLAFGQEEPAELGIISVPEGVMLLLARGGGEPSASPRVFECKTCSRRFPSFQALGGHRASHKRPRASEAAPAKARAHGCAVCGVEFPLGQALGGHMRRHRAVAERESATAAISRGLVEAETKPDEARGLLGLDLNIAPS >PAN45569 pep chromosome:PHallii_v3.1:9:7644366:7645570:-1 gene:PAHAL_9G127900 transcript:PAN45569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAAPFWLLAAALVAAASAQSSGSSGGDCTSALVSLSPCMDYISGNGTSAPSASCCSQLKAVVQSKPQCLCAAIGGDASSSLGGVEIDRSRALGLPAACNVQTPPASRCNAGSSGGGSKATPSLPSGAAALRGPAGLVLGLAVAAVYAVAAA >PAN45707 pep chromosome:PHallii_v3.1:9:8271663:8272030:-1 gene:PAHAL_9G135900 transcript:PAN45707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHPRGRGRRSQGAAMRGTRDLYVRGLRGLDRLLAAASPRRAGVGRPTSRVFGAGGDRYSEEELMRELARAMQARRGAAPSAGAGGSGKAEPGAPAVKSRGTTPLERINEDVAVVEPTS >PVH31208 pep chromosome:PHallii_v3.1:9:4765408:4766142:1 gene:PAHAL_9G082800 transcript:PVH31208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKKRHVQQLCVAEMRMLRWFCGHTRRDRVRNEEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVRRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH32804 pep chromosome:PHallii_v3.1:9:64014425:64015659:-1 gene:PAHAL_9G492200 transcript:PVH32804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFWAKLPLLRTLMQAHPEVELFWWVDSDAVFTDMLFELPWERYGELFAQELSGRPPFEADDQSALIYLLVTQRSRWGDKTFLESTYELNGFWEGIVDRYEELRRKGRPSDLGDGRWPLVTHFVGCKPCRRYADSYPADRCRRGMERAFNFADDQILRLYGFEHESLNTTAVQRVRDETGGPLDADDEELGRLLHPTFRAAKPT >PVH32890 pep chromosome:PHallii_v3.1:9:65692336:65693745:1 gene:PAHAL_9G517000 transcript:PVH32890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKSWLADLRSRLGAGARADGLGILAFEAAATMSRLVSLHRTLSDAEFRRLRADGLRAEGVARLTSADQSLLLRLACGELVADLDRAAAAVARLGARCACAEAPAPLLRDFDRLYAEAKRGRLAQLDAAVGFSRGAGKRFRKMERHVAAAAKLYEEMDALRELEASERRMENWRQHSGPIPAQPAQGNKKPAAEPGEKLMRALRAQRHKVRRLMEGSLWSVDAGKAAKLMAKSVLAVLARISIAFGAFVSGLPSLTVGRAWPPGRSSGLLHRSAAPGAALRHSAPIFGQKDTAMSVLESIKPSASTVGGSGMELRYANVILSVETLLAALRPPAGDGEEGTIDLSRRDGLYKMLPVSIREAVNAKLRESWRGQRVDEEAAAASRGEAEAVLRWLGPMARDTVRWSDERSMEQGQRFSMQPRALMVQTLHFADRKKADAAIVDVLVRLSCVCWYEDERRRLESVDWNDE >PAN49587 pep chromosome:PHallii_v3.1:9:61623513:61625150:1 gene:PAHAL_9G458100 transcript:PAN49587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNCTIVVAIFIVIILGAGHAVTGARVGAMMIRQPSSSSAQMFREAPAFWNGAECAADGGGRVDIAMTLDANYLRGTMAAVLSILQHTACPESMVFHFLTAEVDDHGLVAALRASFPSLDLRVYRFDPSRVRNRISRSVRQELDQPLNYARVYLADTLPADVRRVTYLDSDVIVVDDIRTLASVDLAGHVLAAPEYCHANFSNYFTDAFWSHLALNGTFRGRRPCYFNTGVMVMDFVKWRAGGYTRRVEEWMAVQKRRRIYHLGSLPPFLLVFAGHIRAVDHRWNQHGLGGDNVEGRCRGLHPGPISLLHWSGKGKPWLRLDTRRPCSVDYLWAPYDLYRYSSPVIEEW >PAN47256 pep chromosome:PHallii_v3.1:9:16933615:16934610:1 gene:PAHAL_9G246700 transcript:PAN47256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESAKCECCGLREDCTREYIAGVKADFGGRWLCGLCSEAVRDEVAAKKRGDLEGAVRDHMSFCAKFGKKGPAFRVADGMRQMLRRRSSDISATSSAAS >PAN50449 pep chromosome:PHallii_v3.1:9:65957375:65960527:1 gene:PAHAL_9G520900 transcript:PAN50449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRGSRYESRSPYRRSSYSRYRSRSRSVDSSDAENPGNNLYVTGLSARVTDRDLEKHFSTEGEVIDASVVHDPWTRESRGFGFVTMATVKEADRCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGKYLGTKSSRGRRYSPSYSPVRRDRYSSRYSPDRERSYSPYSRRRSYSPYGRRRSRSPYDRRRSYSPYDRRWSYSPYYSSRYRSRSPYRYRRRRSPSYDRSTSPYRRRYRSVSRSSSASPRGRGRSYSRSLSPERSYSRSCSPVSERSASYSPKKGRSRKEHSRSRSSGKRRRSRESYSHSRSSYSRSVSRERSA >PVH32229 pep chromosome:PHallii_v3.1:9:49442660:49443062:1 gene:PAHAL_9G348800 transcript:PVH32229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKKTKAKPGRKKAKKVTTQNEAAPCTPMTRAAVAREVPAVAAQAEAEAKAAQAQAQAAAQCLPPPTTRRLALEQQQQEEGSSTIQPAEEGSLALQPVKKLTPRKK >PAN43937 pep chromosome:PHallii_v3.1:9:376494:378078:-1 gene:PAHAL_9G004400 transcript:PAN43937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAIPAAAISLPNHRVSLAPSPSPRPCSSLRSGFLGRALAAQPVAVVVVPRRGGVGVSVRMAWDGPLSSVRLIMQGRNVKVSEKVKEHIEDKVGRAVSKHCHLVREVDVRLSARGGDLGRGPKTSRCEITLFSKRHGVLRAEEDAESTYASIDMAASIIKRKLRKIKEKETDVRARHIIKEWDESDAGAGLLSDAIADDEQEEEDDQAAEQDLTEAVGAEDEDTVLAKVVRTKVFEMPPLGVDEALEQLENVDHDFYAFRNEETGEVNILYKRKEGGYGIIIPKEDEDGHVHKETITALPAAAGEPSYAAVRRGDTS >PAN49948 pep chromosome:PHallii_v3.1:9:63607688:63611842:1 gene:PAHAL_9G487100 transcript:PAN49948 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G30510) UniProtKB/Swiss-Prot;Acc:Q93VC7] MASLAQHVAGLPCPPLSGASRRRPAAPRRPPSALVCGTYALTKEERERERMRQQFDEASERCRTAPMEGVAFSPEDLDTAVESTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLDIDNVEDAGIRPGLVEEFMIIDENPSDETLILSLQAIQQELAWERCRQLQAEDVVATGKVIGGNKGGVVALVEGLKGFVPFSQVSSKTTAEELLGKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDSPSEDSGEEPTDE >PAN51048 pep chromosome:PHallii_v3.1:9:66603901:66604278:-1 gene:PAHAL_9G530600 transcript:PAN51048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYLSICPNCITCACCIYIYCSWEEFHICCETNHRNWSNKYSLFKHTD >PAN44011 pep chromosome:PHallii_v3.1:9:700866:703602:-1 gene:PAHAL_9G011400 transcript:PAN44011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQGVPKFGSWEDENDHLYTQYFENARKGKSPGRSATQNDNKGDPETPSKDPPSAKASPLRTGSDPVVRKPKDERRADREDDLRRHEATAGKPYAESPNHKHSDHTNYNNAARKTGMERSPLHPHHQARVVNKGGVSSPSWERRGSSEGNRGNAPTTPGRSRLRPSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSDAGYNRSNQGRKYESSGCSCFSWFRN >PAN44012 pep chromosome:PHallii_v3.1:9:700234:704570:-1 gene:PAHAL_9G011400 transcript:PAN44012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQGVPKFGSWEDENDHLYTQYFENARKGKSPGRSATQNDNKGDPETPSKDPPSAKASPLRTGSDPVVRKPKDERRADREDDLRRHEATAGKPYAESPNHKHSDHTNYNNAARKTGMERSPLHPHHQARVVNKGGVSSPSWERRGSSEGNRGNAPTTPGRSRLRPSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSDAGYNRSNQGRKYESSGCSCFSWFRN >PAN44077 pep chromosome:PHallii_v3.1:9:884059:885572:-1 gene:PAHAL_9G015000 transcript:PAN44077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPMALPLSSASASTTLPTLPPFRPCPPAALRLPSVRARARAVSAGYAASFYGGSAAATGGAEDEEVGDEEGSASGFGSGLGLGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPDSLSTLQQRLNADDDVIRSTSFKVRPRKAF >PAN45673 pep chromosome:PHallii_v3.1:9:8103049:8104398:-1 gene:PAHAL_9G134100 transcript:PAN45673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKITSSRVVKPEYSGGVAPTTGDSVPLNVFDKVTYDVHIAVIYAFQPPNPPNAALERGLARALAVYREWAGRLGDGPDGRPAVLLSDAGARLVEATVDAPLARSMPFKPSPELLRLHPSIDGPVEELVQVQLTRFACGSLVVGFTAHHHIADGQATGNFLVAWGLATRRLPVGPLPVCDRATRFQPRDPPLVEFPHRGTEYYLPAKRRAAGEDGDEEEEIGGAAHDKIKVHKVLFTKEFVARLKARASSGLPPTAQRRGYSTFESVVGHLWRAVTAARGLGAGEATRLRISVNGRARMRPPVPREYFGNMVLWAFPRADAGDLVSRPVQHAADLIHRAVSRVDDAYFRSFIDFASSGAVEAEGLAPTADESQVVLCPDLEVDSWLGINFYDLDFGGGCPFYFMPSYLPMEGTMFLLPSFLGDGGVEAYVSLFEGHLEEFKRICYNVA >PAN46628 pep chromosome:PHallii_v3.1:9:12742499:12742991:1 gene:PAHAL_9G199800 transcript:PAN46628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNRASVVNLSAPQQWHTTLDLRAGPVTFRNGGFPLATTMVVVAELLDQERTTSCSCSSFFASGTEVLR >PVH32319 pep chromosome:PHallii_v3.1:9:54056331:54058791:-1 gene:PAHAL_9G371100 transcript:PVH32319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKAKVEFDEQPPDNFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRERLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPEFHEPKKVVEAE >PAN48792 pep chromosome:PHallii_v3.1:9:57426707:57431617:-1 gene:PAHAL_9G405500 transcript:PAN48792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMESCVPPGFRFHPTDEELVGYYLRKKVVSQKIDLDVIRDVDLYHIEPWDLQEHCKIGYEEQSDWYFFSYKDRKYPTGTRTNRATLTGFWKATGRDKAVRDSKHGGGLIGMRKTLVFYTGRAPNGRKTDWIMHEYRLETDENAAPKEEGWVVCRAFKKRTMHPPRSVAGAWDPSYPYYPDPVLAGAARFKQESPELDGGGAASAASALLQYSSRLAELPQLESPPLPNQGSHRASADGEGDPAATTDWRALDRFVASQLIPPDEDHGHAAGQGCLPQQQEYCGKPLGAAHAGDSSDQDATDMVALLLLDGAARHEEAGLLGSVADPACLHRNAARFGGHQEP >PVH33249 pep chromosome:PHallii_v3.1:9:71338019:71338528:-1 gene:PAHAL_9G606200 transcript:PVH33249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQCRFKRTTTESLMLSSDIAAISRCRATPAISASARNSLTIPAKRSCSLTILLPAVCVPPLAIADHGAARDPTSTLLLLFSFFLAATGDAADSDADGSGTSARPASSRSSAAAAPLTTPRRRRPRTRAPTFSPRSPPWPRPECSGALSRGGASVWGVCFGEDTALARR >PVH31123 pep chromosome:PHallii_v3.1:9:3623335:3624762:-1 gene:PAHAL_9G062700 transcript:PVH31123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEPLGLGERGIGVTSLLSKPACIHRQLSAYISLFRCCHGRRLATASSVSSGKIEHAWHGIVAWIDVGDGRCTYIVHALGSSSSSRSERGEKLGDMPGSLYATRVAASQASRAPPG >PAN49804 pep chromosome:PHallii_v3.1:9:62823300:62832125:-1 gene:PAHAL_9G476400 transcript:PAN49804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGEPLLLSASGSADSPSKQQAPARPSVASLGCLCPTDSFSSSLYEDCDTASVNFADEREAEREYHREDSDVRRAAERFQSADSHFFHRLSVECSQKERQRKVSWGGAMEMQRSPSSLETGMVSTSHEKPNHSQRVRNKSSQFEDPFSSEHEPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQRGDFQLKKWKNICAGEVVKIHANETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETTSMVCDDSYSGLIKCEQPNRNIYEFTATMELNSQRVPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFKNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSNSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIFGKNYGSSLQVTGDFSHEIRTTESLRQSVRKSKINVDSALMALLNQPLIDEERLAVHDFFLTLAACNTVIPVSTDSSHDLTNEVDEIGMIDYQGESPDEQALVTAASAYGYTLVERTTGHIVIDVLGERLRLDVLGLHEFDSVRKRMSVVVRFPDNNVKVLVKGADTSMLSILKVEIGDGLYDSLHAKIREDTEDHLSGYSSEGLRTLVIGSKNLTDAEFIEWQERYEEASTSMHERSAKLRQAAGLVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQSMHSIIINGSSEFECRGLLADAKAKYGIKSADFRRDPQGAEDSNNGDISKLRSSNGHMSGTATQNFELTGVIAGDKSEYSEKVTNFDSTELALIIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVIIVNMHLAMDIRRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASTTYWLSVCLIIVLGLLPRFLCKVIYETFWPSDIQIAREAELLKKLPQQFGSRPESDIS >PAN45730 pep chromosome:PHallii_v3.1:9:8428013:8430996:-1 gene:PAHAL_9G138000 transcript:PAN45730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGDAAGLVVVGDGAGDDNIILNPEFDDGLDNWAGNGCKIELHDALDDGKVLPANGKYFVAATGRTDTWNGVQQDVTARMQRKLLYEATATVRLHAAAGGGAVAPCEVRATLGVQTADGRQQYLGVGKSQVSDKEWVRLQGKILLNSTVAKASIYIEGPPAGVDVLLDSLVVKHAQKAPPAPAPDFEKLEYGANIIQNSNLDDGLNGWFPLGPCTLSVHDGGPRVLPPMAQESLALDDEPLNGKHIHITNRTQTWMGPAQIITDKLTLYATYQVSAWVRIGAQAGGAPQNINVAVAVDSQWLNGGQVLARDERWYEVGGAFRVEDKPATRVMVYVQGPDAGVDLMVAGLQVFPVDRKARVKHLKRLTDKVRKRDVVVKVTGADGGAVKQDAGGVEVRVRQVSNSFPLGSCIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQVNYGDADDLLRLCSDHGMCVRGHCIFWEVDNAVQQWVKTLSADDLSAAVKSRLTGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDIRATMFKTAAELDPDALLFVNDYNVESMCDIRATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPIWFTEVDVSSANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVDAEGQVNEAGRRLLQLKHEWLTHAHGHADDNGEFKFRGHHGEYHVDVTTPTGKISQTFTVDKDDAPLVLNIKV >PAN51744 pep chromosome:PHallii_v3.1:9:72075682:72080521:-1 gene:PAHAL_9G617500 transcript:PAN51744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLRRRADLSPSLSSSSSLLSRLRSAASSLLSRQYSTDKGGDGTARRNGGGSSSAPRLLRPGFVDPSTWRHFDSRAFGINLDAIPKDALFVLKKLRREGFEAYLVGGCVRDLLLKRVPKDFDVITTASLQQIKKNIFRRCMIVGRRFPICLLKMNDSVIEVSSFRTVGKRADKSKEVDYLEELNGYDDGDILRCNNSMRRDFTINGLFFNPMNYKIYDYVNGVRDMRKNKVCTVVPAHISFMEDPARILRGLRIAARLGFQFSSDTSNAIHDLSSSIINIDKARLMMEMNYTMSYGAAEPSVRLLRKYGILDILLPFQAAYLSDQMKGRSSDKDLMLMKLLANLDRLFSADRPCHCSLWMALLVFHTALVISPQDTLVIRAFAALLYFGSWESTIEFLKEEEAGAQVPFVPETLGPSQTKLDDLMEQTSHLASLVNSSVLTLTCSDALEQSLVRFSEPPQISGVVLASNKERNRLLKIFGSFDSDLTSHDERRWLHKIDYWSLKDGSPAEVRFVLGKVILDTMSDKSPCESAEDALMF >PAN45585 pep chromosome:PHallii_v3.1:9:7705590:7708089:-1 gene:PAHAL_9G129000 transcript:PAN45585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVLVVPFPAQGHTNPMVQFARRLASKGVATTLVTTRFVARTAGGVDARPAAVEAISDGHDEGGLASAASVAEYLERQAAAMAGSLAALIEARAASPGQGRFTCVVYDSYERWVPPVARRTGLPAVPFSTQSCAVSAVYYHFSQGRLAVPPPAADGGGNCGGAARSKAIEGLPEMERSEFPSSVFDGGPYPMIAESALKQFAHEGKDDWVLFNSFEELESEVLAGLTNYMKARAIGPCVPLPAAGTTGRITYGANLLNPEDACIKWLDTKPPGSVAYVSFGSFASLGAAQTEELARGLVAAGKPFLWVVRATEEHELPRHLLDEPTASGAAMIVRWCPQLDVLAHPAVGCFVTHCGWNSTLEALSFGVPMVALGLWSDQPTNARYVEAAWGAGARARRDDAGTVFPRGEVERCVRAVMGGGAAREAAGKWRDRARAAVAPGGSSDRSLDEFVEFVRAGAAEKWKALVLEGSEGAGFEM >PAN46757 pep chromosome:PHallii_v3.1:9:13704378:13714176:-1 gene:PAHAL_9G212200 transcript:PAN46757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSVRKSTALRARNGPSKLQPARSMPLDYRYSAAANGSGGAPAANGGGRRAAAAEAEKEEKEGEVVRLEGDDADSPYSSKAATAEEEEVGEMGGGGEEVDSAAAATPRRLSPTAATSPTQGDARWGDTSSYGAKKKHRVFCQLPNGDWALCTVLTTSGDESVLKVSEGKVLRLKTESLQPANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDMIYTKAGPVLVAVNPFKKVSLYGTEYIDAYRNKTMDNPHVYAIADAALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPASLKDKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLWLGDVSFTVIDNENHVEIIVDEASKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLAQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLDSNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKSSLPQMFASKMLAQSDNSISVPYRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGILRVQSCFRGHQARHHARERIRGVLALQSFIRGENARYVYSSLLRKHRAATILQRNVRCWLARRYFTNIRRASVVIQSGIRGDLVRRCNGNIDLINVLREFESKQEAEGDQILIKASVLAELQRRILKAEATVREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWEINGNHVGSGSQLVPLTAGREMNAGLSVINRLAEEFEQRSQVFADDATFIVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGNGNESSPNSVKRKWWGRLNTSKFS >PVH31945 pep chromosome:PHallii_v3.1:9:22289771:22290992:-1 gene:PAHAL_9G269200 transcript:PVH31945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALQSSYVQAARKISFNCSRAVTKVYDAVCMCSGRSTSVVILNYLFTHATLGHLVDSVKPRGKLINTVVEIGIYVINGKKTRGATRWVMPLHITVLFPVLQKLVQNDEHSGHYFLIVVNLRNNRFEILDSMRTLENEALAQCSATIINTIKKLWETHYAETSKQIEDYEIVQIGVPKQANNCDYGRLVCNFQDICNMRKILTHTWLNFEENDVNWESILNLA >PAN48224 pep chromosome:PHallii_v3.1:9:53676063:53679284:1 gene:PAHAL_9G368700 transcript:PAN48224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAALMVVLSWAAGLAAAASVDTSPVPFQAGYMPLFGGDNLVRSPGGRSVRLKLDRRTGSGFVSKSAYHHGYFSASIKLPDDHTAGVVVAFYLSNADVFPRNHDEVDFELLGNRRGHEWRVQTNVYGNGSTSRGREERYLLPFDPTLRPHAYAVAWTPTAVVFYVDGTPIREVVRVPAMGGDFPSKPMSVYATIWDGSAWATEGGRYKVDYAHAPFAADFSQLVLGGCPAAAGGGARCAVAVMTAEFAVMTPAKRAAMRRFRRRHLLYTVCHDRYRYNGTVFPECDDADGSERDDFHRWGESKRVSPGRRGYKQQHQEEEPRADKAAAGRPSTWPTIGTLRAD >PAN48225 pep chromosome:PHallii_v3.1:9:53675902:53679288:1 gene:PAHAL_9G368700 transcript:PAN48225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAALMVVLSWAAGLAAAASVDTSPVPFQAGYMPLFGGDNLVRSPGGRSVRLKLDRRTGSGFVSKSAYHHGYFSASIKLPDDHTAGVVVAFYLSNADVFPRNHDEVDFELLGNRRGHEWRVQTNVYGNGSTSRGREERYLLPFDPTLRPHAYAVAWTPTAVVFYVDGTPIREVVRVPAMGGDFPSKPMSVYATIWDGSAWATEGGRYKVDYAHAPFAADFSQLVLGGCPAAAGGGARCAVAVMTAEFAVMTPAKRAAMRRFRRRHLLYTVCHDRYRYNGTVFPECDDADGSERDDFHRWGESKRVSPGRRGYKQQHQEEEPRADKAAAGRPSTWPTIGTLRAD >PAN46086 pep chromosome:PHallii_v3.1:9:10080396:10080693:-1 gene:PAHAL_9G162200 transcript:PAN46086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGSIPRRPSKEAAYKQLRSHLTVMATCVAVIRAAPYILHFLTRDGDVQELKLEL >PAN46694 pep chromosome:PHallii_v3.1:9:13364628:13371534:-1 gene:PAHAL_9G207800 transcript:PAN46694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPSTPSLLLALLVAAAAATASEASRAPAVGNWTEELRGAARRGRHVHGYAWRSRSRSRRRAFENGLGRTPQMGWNSWNHFGCGINEDLIKQTADALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSQKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNDAGRSVMERYTKMSNAMKAYGKSIFFSLCEWGRENPATWAGSMGNSWRTTDDIADNWGSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNWEVIAVNQDSLGVQGKKVQSNNGLEVWAGPLSKNRKAVVLWNRQGYQATITAPWSSIGLASSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPK >PAN51854 pep chromosome:PHallii_v3.1:9:72549672:72551760:1 gene:PAHAL_9G624800 transcript:PAN51854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARLVAAAAAAPFPVAASRLRAPRLAASVSRAAPRLVVLAPLPVRPRARVGADDEAVVAGEAADGGEGEATRRAVSERAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEGGEIPVTEMVGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPHDGPFELNDVFAIVNAVPAMSLLAYGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHMDKFQGVPYGLFLGPKELKEVGGTEELEKEIKKRIKRKGTSDAMQ >PAN45140 pep chromosome:PHallii_v3.1:9:5540632:5541155:1 gene:PAHAL_9G096300 transcript:PAN45140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLATPRHPHKYGSAVVQSGIPASSTIVTSIFLASRIPFCSAIARTALLPRRSLTAPPWHLPNSGSFASRGRRASSSSCAPNRVLCPSFSPI >PAN49334 pep chromosome:PHallii_v3.1:9:60662782:60665156:1 gene:PAHAL_9G442000 transcript:PAN49334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDNPWCHWPNSPWKFNTESSAGNICPPDVGLVDANLVALPTCLNTVAAPVPFFTASIAERPLPMAPRFVTTLVPSLELSALYPSHKRPLVFYQESHTPIAAPLVRKGTLDPVPELQGSNETNLTDVGAEETEGIHENTDEINALLDSDSDEGCEKVQELNRVRRPSPAENDTLSVESVASAGASAAGSAQPAKKRRLSSGTDKSVVDTASSARLDHSIEQKLLANGCDAQSCCIGEVESDHKFALGEGEAAEGDNPDDQKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLQYLKLKVKTLGAASL >PAN51188 pep chromosome:PHallii_v3.1:9:69606160:69610244:-1 gene:PAHAL_9G577200 transcript:PAN51188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRFPLFSSQQQQTDPNFQDIPTQSWYPPSVVGSSSCPSTPTSSSASPHQRAPDHPQSSSRGQPSPAEAAGVIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTHNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLIDLERQKDDFMRSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDIDLPTFVRKYKKLRTAYHKQALLHLAGQTSLH >PAN51189 pep chromosome:PHallii_v3.1:9:69606160:69610247:-1 gene:PAHAL_9G577200 transcript:PAN51189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRFPLFSSQQQQTDPNFQDIPTQSWYPPSVVGSSSCPSTPTSSSASPHQRAPDHPQSSSRGQPSPAEAAGVIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTHNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLIDLERQKDDFMRSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDIDLPTFVRKYKKLRTAYHKQALLHLAGQTSLH >PVH32295 pep chromosome:PHallii_v3.1:9:53027658:53028096:1 gene:PAHAL_9G362700 transcript:PVH32295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKCGGGSTPCEEPAGREYNKADYSDTRAAQVQDQDHKSEQLRGLKMSPREEGLQPPNGDAANIDTSKRVRYKKSSTQENNR >PAN47661 pep chromosome:PHallii_v3.1:9:22305941:22314013:-1 gene:PAHAL_9G269600 transcript:PAN47661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNFKFFCPQMWWMVDVGFSHVLDERNLTQTQEKCLDLDIQATNILFRSLHDCILCEVMDKETAHEIWSYLNEKYGAASDDHDDFKAIQEIHEDGEHIHDMVVVEDCSTSWSSDDDDDDDDDDDDQCTISSLDMIDGDDSSDANDDPTPSTLDDQVGSYMDDISTSSSSPSSHCFRSQGDTKVSNCKVIDPNSYNELLNRYTSMNKLFEKVLAKTIKLEKENSFLKDTCEQQKHLLYVMSCSHEELKLTHEELSVAHENLVLDHALLTSKFSNKEIKTSESSSHGSKDQLQNIANPCDVGKKHVSTSCDDLLSMPCTSHLDACSSSTVQYETNLVEENKELQSQVKYLSNKIERWTKSKVTLESIIKNQRNFDDMSGIGSNKSKAKGKRWGKNKYDRKIKKQEEMKLSHFMCFQCHEMGHFANGCPNKENLKLKKEEEKIKHVKCLNCRTWGHLTSMYPTKRLVKQQEAQPKPQVEQEKAPQPQVKINHDDQVDDLKMMKKRTRRGGKARARHPTHIQDAKMLSKYKIQDKNPHAHIKCHSCAILGHLVSGCPNKFEKKAEANNKKQGNEKHQMSKEGKAQQKRRCYLCREKGHMACSCPLGNNSKPISIDAHIMLRKDGNGTSFVAITKYPAIHTKALPKYVAPNLRGPNLVWVPSKRG >PAN49035 pep chromosome:PHallii_v3.1:9:58905234:58907261:-1 gene:PAHAL_9G423300 transcript:PAN49035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVQSRKLRILLMPFFATSHIGPFTNLAVHLAAARPDILEATVAVSTANAAVVQSALARQHSPGRATAVRVATYPFPAVDGLPPGVENLSTVKAADAWRISAAAFDEALMRPVQEGLIREHSPDAIISDMHFFWNTDVAADLGVPCVAFHVIGTFATTAIHHLKGVDIHGTARGGVVALPRAHGGGDILIPLTELPEFLRSQQINDGLDGPVLDRLTSSVSRCAGRAVNTFFDLEQGYCEIFESSTKTKPSYFVGPLSLPPATAIRDGAGAGHSPPCIEWLDRMPSRSVVFLCFGSLTHVSDAQLVELALGLEASGKPFLWVIRDETWSPPDGWMDRVGERGMVVKGWAPQTRILEHQAVGAFVTHCGWNSVLETVSAGVPALTWPMVFEQFIIERLLTEVLAIGERLFPEGAGVRSTRSEENDLVPAEVVARAVTKFMEPGGGGDAARGRVKELSARARAAMAEGGASHRDLHRLIEDLVQARTEQQQHDGSILQKRIPQSLSAVA >PAN50689 pep chromosome:PHallii_v3.1:9:67113705:67119625:1 gene:PAHAL_9G538500 transcript:PAN50689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMVMAGYGLDKAVRASGSFDTPCGALLRELEQIWTEIGEREQDKDRMFLELETECMHVYRRKVDSASAERAQLRQSLMAKEAELKALVASIGENTTRFKVDEKRTSLKDQLAAVTPLLEELRAKKEERIKQISNVQSQIEKIKAQISDHGYQNYDACVKQLSDDHDLSTRRISDLQMQLRNLQKEKSDRLQKVFVYVDEVHGLCAVLGMDFSETVKEVHPSLHGTNSENSTNISDSTLEGLTQTILKLKAEKKTRVLKLQEIVEKLHKLWNLMESAEQERRQFAKVTAVLGSSEEEITSPGILSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDVCRNAHMEPDMNTAPEKIIALIDSGLVDPCELLSSIEVQIAKANEESLTRKDIMERVDKWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARILVQKIPTMIDNLIAKTFAWEDERNVPFLYDGVRLVAILEEQKLRRAQREEDKRRCRDQKKLQSLLLREKELIFGSKPSPRKTNSFNRRMSNHHSNGNGTGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTAAPLNFSAVSKEDSMSSFASISGSEPDSPLYLH >PVH33355 pep chromosome:PHallii_v3.1:9:73204044:73204205:-1 gene:PAHAL_9G634000 transcript:PVH33355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGSRHLEETCMPHLVWALGPGFIPLRDSFQQWLNLLRRSRSRFLQENRRSG >PVH31340 pep chromosome:PHallii_v3.1:9:6957392:6958343:1 gene:PAHAL_9G118600 transcript:PVH31340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASGAKAASGLCQWTPTQSTFVLTFLTNIVADGTRTSTGFKKVHLNACDQVSNHLKTWKKKYVRINYLKNLSAALWDEDEFIISLEHDHYKGHMVDPKNKADDEYLNKPLPYYGFLATIFGNSVATGQYAKSSNDPIGTDSYVGVSHGGDATAENDGLNHGIDKSVINDDISSSARPAKRAKTIDDTGRKIDGLVEAFQCGTQTLANAIAQASSGLPHGLFEAVDSLPGFELHHKTRYYQYLVRHPNDAHAFVNLPSDWKLSWFSSFVAENF >PAN44918 pep chromosome:PHallii_v3.1:9:5090073:5090469:-1 gene:PAHAL_9G088900 transcript:PAN44918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVIFRRIARAGLSAGTLRGGGARQKGEPNQLTPGDRHPTPHRPASPCSAHQLVYSFLPTLSVVPPGRGPPRAAPFPPVRVRIRSSASHPLIITSSLPLARSSDRVIELPCAVPAAG >PAN47990 pep chromosome:PHallii_v3.1:9:26722706:26724243:1 gene:PAHAL_9G279900 transcript:PAN47990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTSCSLLRSVTAVRPVISSGRQLQSGRPAAVASLSSQWRSRPLSMCRAFSAKGEHNPKTDLHPFNIPAFVLVHPVSPREERWQVEEEPGKVNLWFEVPGQSGEDLAVEIDEDVLVIKKKIQVAGGDIGQRNTGSGGGAMDYRPQGSRRGTAAASEAGKEAATQDGEVIYARLLLPAGYSKEGVEAELKSGVLRVCIAKIKEQARRKISVDIVVK >PAN50394 pep chromosome:PHallii_v3.1:9:65698318:65706685:1 gene:PAHAL_9G517200 transcript:PAN50394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPGLDHLVDMSKVKMDVMKPWIAKRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEDDRIAQEIQKKREKEGRDLELEKQKRMDGDAGISRSSGDPTGSAINNTIVNAEEDMELDFKRSSRTKNRGSRRSRSISSSPRGRRRSISPRKRSRSPSRRSRSIDRQRGLSRRSTSPRRSASPRRHSPRRRSPYSRRGSPSLPRYPSPSSRWRSPLRRKSPSSGSRRSPSPRHRRSPARASRRSPSPARRRSPVRRRFSPGRHRSPSPARRRPRSPSPGRRKPRSPSLGRRRPRSPSPGRHRPRSPSPGMRRPRSPSPGSHMPRSPSPGRRRPWSRSPVKRRSPSPKRRRRSPSPRTRYANRRPSPQRRRSISLYSSKSPNRSRRSLSIEAKRGMNGTPAIKVRVVPERDQEQRSDDDNDRDGARIGDHRSPDSEHRLLKSLRSPNNEERNSTRDSSFRSPGKQLPTQDSTDTSGDEEEGSRARENARKANSARRKTKDSADRRLSKVGARASSPGEKSPFMLQSGKGVQKKHPDQLSESSEDELVGRRLKCRTDSPDERSPPRIEKDDLHLKDGRKSEHAMRGSCDESVDAIEAKKFISEVIGDSQSEDGSPVKKPKKRTDIKSHIDSDSSGSEEPDKHRSHSEKRRHKKAHKHKRRYDDSSESDTEPDGKEAKRRKEEKRLRKEERRRRREERHRRRAERHASKQKMKQTDTVALPPDSEKHRDSDSDVDVRKRGSPAGREESDQKKLEIELREKALESLRAKKAINN >PAN47013 pep chromosome:PHallii_v3.1:9:13017115:13017394:1 gene:PAHAL_9G204300 transcript:PAN47013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVDAPVTRAFVSWDPGQWRGEAAAIGVDSGGAGGHCRRRLVMRMGEGVATAGSGGAAR >PVH32488 pep chromosome:PHallii_v3.1:9:57816038:57816541:1 gene:PAHAL_9G410700 transcript:PVH32488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQTTWRDTIQLVKARRCIDLLLCKQGKTIYRAMNKLVAVMLVFAMVAAQGMAAIQGKGRTLEKKTNTGGEARGSQQQPVLGVAEATTVDNHHAIPRDQYSSHGGGDDGGSGSDTNN >PAN47116 pep chromosome:PHallii_v3.1:9:15715406:15717312:-1 gene:PAHAL_9G235300 transcript:PAN47116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQLNVLTTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRLYYTEPNSPNPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHTAKGVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNSYPAPSYQDNPAASLVPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLNAEIVEDADRAERDAAGAGNEWGLFSPQFVRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNAVEEVFRIARAQALIALCGTIPGYWFTVGFIDIVGRFGIQLMGFFMMTVFMLGLAVPYHHWTTAGHHTGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEAGYSPGIGIRNALFVLAGTNFLGMIMTLLVPESKGLSLEEISKETVDDEEAA >PAN45345 pep chromosome:PHallii_v3.1:9:6554670:6556900:-1 gene:PAHAL_9G112000 transcript:PAN45345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MAHGIIGSHHHSIMASRVAMLAHVLFLATAVLMLVWLLHYRGGINIQSEDPEQIFNVHPFVMSWGFILLIGEAILAYSTIPMDHRTQKMAHMMIHLVGLILGIFGVYAAFKFHDAAVVPDLMSLHSWLGITAIALFGLQWLFGFVTFWLPAAHEHTRAAAAPAHVMAGLAIFMLAVCAAQTGLVEKSAGAASAAEMKLINVTGIFILLYGVAVASAVALRKAFL >PAN45013 pep chromosome:PHallii_v3.1:9:5018906:5021016:1 gene:PAHAL_9G087500 transcript:PAN45013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGSSEHRRAPAVPWRSFLALRPLPQCLLLLHAVSCRSGSPCSGRPYLVSVSDQASSFGSCGWWQPANKLNTAADHGAHPSSVEGVLNLYQFLGIAEGQSAAASDRIGAEQQKTIRNTTGPGLNRRVATTATLWN >PAN47022 pep chromosome:PHallii_v3.1:9:13040887:13046512:-1 gene:PAHAL_9G204800 transcript:PAN47022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASLASKARQAGSSAAARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNSKTQKCELEDPLILIHDKKVSNMHAVVKVLEMALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENVESHMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQGNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEETTPAPAMGGMGGMDY >PVH32186 pep chromosome:PHallii_v3.1:9:47079714:47081187:-1 gene:PAHAL_9G341400 transcript:PVH32186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKKQAPGRAPMPPGKEPAGQALMPPGKEAPGRRGDANRGEMPDIPPPTTNSNPAHSTLTLGEMVTKNPPGRTTAAHPRQPAGFSEYEKERAQHIMRNNQIFQRLGIGQLASLLKNVSANVEDDGPQKSGSEYSPHDNEGLEDDDEVISKSVKVSSQGTRGSKRVRPPRLQLERRVTRQNSAATISLTASTEEALATVQTENLNPTADEDELVEVTEQVRRGRSMGKDLDGITRGLGSKICIHVSKGKRRPARLELYLDNMYQSFLIGSITRRMILKWITILAKLLDNLTWTLTTRQ >PAN45417 pep chromosome:PHallii_v3.1:9:6865596:6866899:1 gene:PAHAL_9G117200 transcript:PAN45417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTPSGGGGGSPPAASDLVPPSYPEMIVAAIAALAEENGSSQAAIARRIEAEARGDLPASHPALVAAHLSRMSAAGELVAVAGGKYALPPPPPPAPESPADGGVDDDDDCADEEEAPEPLPQPPAKRGRGRPPKVRPPGFPATGSGAAGPVGAPGAAASPPAAPRRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMAPRPRPGAPTTAKAARPQFAEVGFV >PAN48824 pep chromosome:PHallii_v3.1:9:57652959:57654266:1 gene:PAHAL_9G408600 transcript:PAN48824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGEEQAAAVRVIGGWASHYAIRAYVALRLKGVEYESLQEVVGNKSELLLRSNPVHKKIPVLLHRGKPVAESMIILQYIDEVWASDGAPAILPADPYARAVERFWAQYVDDKIAPASVVLRGVTNGDKDEAAAQVSTALQHLEAAFGKCSQGRSYFGGDDIGFLDIILGSYLGWLKAVEMIAGVKILDGSKLPELTAWAERFCAHHAVRDVMPETDRLVQFNTYLIGVLKAKASGNNK >PAN45496 pep chromosome:PHallii_v3.1:9:7371270:7373327:1 gene:PAHAL_9G123500 transcript:PAN45496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLEKEAADMNKKSFKCAWVLDKLKAERERGITINITLSKFETSKYYCTVIDAPGHRDFIKNMITGASQADCAILIVDSTTGGYEAGTSKDGQAYEHALIAFTIGVKQMICCCNKMDATASGYSKAHYDEIVKGVSYFLKKVGYNPEKIPFVPISGIEGDNMTERSTNLDWYKGPTLLEAVDQINEHKRPSHKPLRLPLQDVYKIGSIGTVPVGCVQTGVLKPGMIVTFGPSGLTTEVKSIEMHQEALQEEALPGAYVGFHVKNVAVNDLKRGYVVSNSKDDPAKEAASFTSHVIIMNHPGQICKGYAPVLDCHTSHVAVKFAELLTKIDRRSGKEQEKELKFLKNDDAGMVKMIPTKPVVVETFSEYPPLGRFAVRDMRQTIAVGVIKSVEKKDPTGAKVSG >PAN51342 pep chromosome:PHallii_v3.1:9:70238079:70238330:-1 gene:PAHAL_9G586900 transcript:PAN51342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALLVAEEFEKSVKRGAPGEAAGDGGDRRNFGAVAKVWNSWVESAAAAASGVRVNVAVQLRELEPKSGLGLAAVDGLFSA >PAN45914 pep chromosome:PHallii_v3.1:9:9813743:9814337:1 gene:PAHAL_9G158200 transcript:PAN45914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVCARGILRAYTSPRDPIFAARWFRERERETEGEEGGGSRNPWRRRGTSVVRLLSLLLQPVQSAYVYIQALTGSCPFCSVLHSAPLSSWSARSTHVAPPPPSSALLFMLGRGYTLLCCLLCFLR >PAN51021 pep chromosome:PHallii_v3.1:9:68814785:68817278:-1 gene:PAHAL_9G564400 transcript:PAN51021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGSSSEDDGDAAWKAAIDSIAAVGFGVPSSNGVAKAASGGSGEADSDAELEQPHEGKPQAPKLKLYQIKVRNMLDDMLEKNIEIVKAPCLNLTDPKEIEGGIKLFKKAPPGIKMDSMDKLHVQLKRPRIVPGEEVNEKSKKFRHMLRSVVVDGSDILVSAKKASQRSLARLEAREVAAKAKAKREEERVQELKKVRGEKWLPSIARQMKEEKAWEQRRL >PVH31500 pep chromosome:PHallii_v3.1:9:10164077:10164713:-1 gene:PAHAL_9G163300 transcript:PVH31500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIFSWSYLDIGAKESGLYISCRIFFSISHLAMMLKLTSNQPAHNAMH >PAN46203 pep chromosome:PHallii_v3.1:9:11132380:11141983:-1 gene:PAHAL_9G177300 transcript:PAN46203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPENTCGPYQLPATGYLLAAAALPQHAAFLVPAAAADHHHHQGPACCAKGDMALYRRLLLLHRLSHLHPSPFPAPAIGSSPPPTLAGLLTPMGRRHFAFSSAEEAAAERRRRKRRLRIEPPMNVLRRGPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASVAARAAVTSRVRPTVFTCCAVAAAMVRAGRHDDAVALFEFFFRRSNIVPNIVSYNTLILAHVEAARVDDAMQVYRDMLASAPFSPSAVTYRHLTKGLVTASRIREAMDLLREMLNRGGGADSLVYNNLIAGYIDLDDWGRAFELFNELTERCVVYDGVVHTTFMEGYWKQGKDKEAMDNYQSLLARGFKMTPATCNVLLETLFKHGKDKEANDLWETMMDNHTPPNFIGINAESYNVMVNQCFKEGKFQQAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLAEAEKLFEEMETKSVLPDVYTYTYLVDSCFKEGRVEDTMQYFYKMADGREHGPKFNIGFFNRMFEGLTDAGRIDDALKVYGRMPDKEIKPNAATFEILVKALCKEGDLDRARDLVMDMSRGGVVPPQEFRESVVDIFKKSDRQEEIEKAFEEKPLPTPHPRTEYQPRTENHPRNAIGVAQGKQPGFSSAPPVQPGFGYSQPQQPAFKDIQNQQPEFDSSQQWHSGFGAPQALHPGSGAPWPVQSVVGSPQPPRPQFGASQGVPGYSNHQSQAGYGSQLPQSGYGSAPHQEQVGFGNQVPPAPPAYVASQSQPSHGTHWSQSYGSPQGQLGYGGPQGLPHASHLPQHQADFGMPQVQNNNGFPRA >PAN52112 pep chromosome:PHallii_v3.1:9:73893725:73894744:1 gene:PAHAL_9G643300 transcript:PAN52112 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALNYLAVAAINLVAALLSIPVIAAGIWLSTQPDNACVQILQWPVIALGVAVLAVGLAGFVGAFWRLPWLLLAYLVAMLALVLALACLAAFVFAVTAASSGRPVPSRAFLEYDLDDYSGWLRARLDAPGRWDRIKTCLAATPTCSDLNQTAAYATAQGFFTAASLSPLQSGCCKPPTRCGYTFVTPTYWISPISPAADPDCAAWSNEQAKFCYSCASCKAGLLQNLRREWRRADLILAVAAAALLAVYAMGCYAFRTAKTDELFRRYRQGYT >PAN44664 pep chromosome:PHallii_v3.1:9:3429665:3433534:1 gene:PAHAL_9G060100 transcript:PAN44664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MQSLAKPRALEPLPPLAVHLKSMSYVDVKMRWKKDVSFDAVPVLSHARDLHPLASLARLLSPSPTPVSAVSKRGRSLEVPDRRVTSFLRRFPAAFVESVGPQHNLPWFRLSDAAARLLREERDVFAARRADVTGRLRRLVLMCPRRRLPLRVAQGMLWHLGIPEDYFKDPNQGIAQDGFQILTSGDGVICQDDDNDGRDLGLIDDGKLQEMPLSVLQMNAMRKFGSAAEVPIPLFQSKGLRLKQKIKDWLEGFQRLHYVSPYEDFSHICPGSDVSEKRAVGVLHELLSLFVTCSAERRRLLCLRQHLGLPQKFHLVFERHPHVFYLLLKEKTCFVVLKEAYMARGDTAIEEHPMLEVRKKFVELMEQSQEIIRCRRSGKPIEPESNVSDSGDIEDCIEILS >PAN49834 pep chromosome:PHallii_v3.1:9:62956804:62958568:-1 gene:PAHAL_9G478500 transcript:PAN49834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLLEGFLLLANALAILNEDRFLAPRGWSMSEVSGNGQTKSLKGQIVGLIYAIQFLRMPLIALNVLIIVVKLVSG >PAN50059 pep chromosome:PHallii_v3.1:9:64221571:64225261:-1 gene:PAHAL_9G495100 transcript:PAN50059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MSSSSDSESSKPADAPARPPSRDFLAHLEAYLARRDGVDKLLKISRYSARLALAAGPPLPPAAAARLKSFESSVGLSRKAFRLGKFVQSLNALRAHPHPPPALAILACGGEGVYYFLEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSIAIKLEEVARIESSVKKRLAEGSGEESEAVRTMRGKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMASAGLLSALISTHKNWNSC >PAN44908 pep chromosome:PHallii_v3.1:9:4595271:4597613:-1 gene:PAHAL_9G079400 transcript:PAN44908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADGKGVLLHPIFRTFHGNRFSCSTAMDKAYVAVLTFAFLFVLHYLVGRVGGSGAKGHGKGKAARRLPPSPPAVPFLGHLHLVKTPFHAALARLAARHGPVFSMRMGSRRAVVVSSPDAAKECFTEHDVAFANRPLFPSQKLVSFDGAMLSTASYGPYWRNLRRVAAVQLLSAHRVACMSPVISAEVRAMVRRMDRAAAAAPGGAARVQMKRRLFEVSLSVLMETIARTKTSRTESNADTDMSPEAHQFKQIVDDVVPHLGTANLWDYLPVLRWLDVFGVRNKLVAAANRRNVFLRRLIDAERRRVDGGGDDSEKKSVIAVLLSLQKSDPEVYTDTTIMSLCANLFGAGTETTSTTTEWAMALLLNHPEKLQKAQAEIDAAVGTSRLIAPDDVPRLGYLQSIINETLRLYPAAPLLLPHESSADCTVGGYDVPRGTMLLVNVYVIHRDPAVWEDPTEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTVGLVLGTLIQCFDWDRVDGAEVDVTESGGLTIPMAVPLEAMCRPRGAMRDVLEEL >PAN48202 pep chromosome:PHallii_v3.1:9:53596943:53599991:1 gene:PAHAL_9G367300 transcript:PAN48202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVSNARKRQGAKPAAAADPAPNSTTKHAGSSGGVDRPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDELTYRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYDFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGLNHEEWLANADRWVAGFLEKFEQHCHNMETAIKDRIQERLGRQLSKGMNAGLVQQPVMA >PAN49183 pep chromosome:PHallii_v3.1:9:59957386:59960129:-1 gene:PAHAL_9G432900 transcript:PAN49183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVMRVDLECEKCYKKIRKVLCKVQDKVSIRTITYDEKSNTVTVSGPFDGEEVADRLTSDAGKVITDIHVVGSGLGGGGKQKHAAAANAKAPKPGKANGHGHGHAQGHGHGGHGGGGHGGGGGGKPEKKHVKFDDDDDMDDDFDFDFDMGKKPAAGGHAHHGHGHGHGHGGGKPKIVTTNTPVAARLEAPRTGPPMSMAAAPPVRMPGMMAPMMPQQPQATAVPSIWPPAPEWGYSTQPYGSYSGPPAGGYYGGPAPAAYGHAAYGPSPYGYGRSPYGQQYYEEEPSAGCSVM >PVH32979 pep chromosome:PHallii_v3.1:9:67166777:67167460:-1 gene:PAHAL_9G539400 transcript:PVH32979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEAAMKKSAWTKEEDAALREQVRAHGPQNWAAISAALPGRNPKSCRLRWCQHLTPVVDAVRPFTPEEDEKIACLHRLYPNKWATIAGFLPGRSDNAVKNRWNSVLGKQPQPQQWAAAAPLRRISDGTLPLFPVASGDVMAFGRSVRVLRRPPPGDAGADLSGACLKLFPLAPGDLVGGNDTSEAGEMDVDRGDDGPTVAELRLWPCTRAASMAAFKAMVQAVRAP >PAN44635 pep chromosome:PHallii_v3.1:9:3302845:3310694:1 gene:PAHAL_9G057900 transcript:PAN44635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAPAAAVLAVNGERYEAAGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVLVSKYDPATDEVTESSVSSCLTLLHSVDRCSVTTSEGIGNTKDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKAADRPAPPAGFSKITTSEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGCEPAEVSKLPGYSSGAVCTFPEFLKSEIKASVEQANNALVLVSDDGWYRPKSMDELNRLFESNSFDENFVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSSKGIELGSVVSISKAIDVLSDGNLVFRKIADHLNKVASPFVRNTATIGGNIIMAQRLQFPSDIATVLLAAGSTVTIQVASKRLCLTLEEFLQQPPCDSRTLLLSIFIPDRGSDDITFETFRAAPRPFGNAVSYVNSAFLARSSGGDLIEDICLAFGAYGADHAIRARKVEDFLKGKSVTSSVILEAVRLLKETIAPSEGTTHPEYRISLAVSFLFTFLSSLANSLNEAPKINVPNGLYTNGVTNGSIEHSPENHLNVDSNDLPIRSRQEMVFSDEYKPVGKPIKKTGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPYAHVKGINFKTSLASKKVITVVTAKDIPSGGKNIGSSFPGLGDEPLFADPIAECAGQNIGVVIAETQRYAYMAAKQAIIEYSTENLQPPILTIEDAIQRNSYFKVPPFLAPKPVGDYNQGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCITIYSSAQIPEVTQNVVARCLGVPFHNVRLITRRVGGGFGGKAMKAIHVACACAVAAFKLRRPVRMYLDRKTDMIIAGGRHPMKVKYSVGFKSDGKITALHLDLGINAGISPDVSPLMPPAIIGALKKYNWGNLAFDAKVCKTNVSSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKNLHDYKSLAVFYGESAGEASTYSLATMFDKLASSPDYQHRAEMVEHFNRSSKWKKRGISCVPITYKVGLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFGLGQLCTDGGECLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRLSCAALVERLKPIKESLEAKTGTVEWSAIIAQASTASVNLSAHAYWTPDPSFRSYLNYGAAISEVEVDVLTGATTILRSDLLYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNSDGMVINDGTWTYKIPTVDTIPKQFNVELINSARDQKRVLSSKASGEPPLLLACSVHCAMREAIRAARKEFSVCTGPANSAITFQMDVPATMPVVKELCGLDVVERYLESVSAAGPTTAKAYIDPASALPMTNEVNQSV >PAN45369 pep chromosome:PHallii_v3.1:9:6675367:6675843:-1 gene:PAHAL_9G113900 transcript:PAN45369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRLCAVLPLILAISFLLFGASDCSRPLSPEQRQPRVPPTATAPPPAAAHRDEEPPYYRPVAAETGTQPVTVETKQDGAPSVATAYPRDKEEHGGGGVGSGHGIRSALLGPLPPVLRRSKLARRFLAGVVEGADSAARASCRSSDVHISCTPPSEH >PVH31984 pep chromosome:PHallii_v3.1:9:28093510:28094433:1 gene:PAHAL_9G282700 transcript:PVH31984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQRIFWDAEGYAHTDCLYWEGFPRILWDTLRIYHYPDPPQYKGREFTEVGVPRCRATITITHHPTLEWQSIEIEVVGYRLVDAFEVAALKAITTFCEQHPNAVVAYPIGLFPAVFAHDAEWTFRTTYLRRLVGDIAEETLRAVIRYMNAQYRFQSLKQRCMDDMVNLAQDFHRDLTLKDDQIHSLGQGIAGRDTTIGHLEVQILEGDTQILQRNIVIDFLEEQVHDLNQELGDALGHIEMLQEQQMSPLVLNELEEEEEGSEKEPEEIEGVSEIDSEHGDPEPNPQDDDSSSGSASSVGNLDNF >PAN45365 pep chromosome:PHallii_v3.1:9:6657019:6660688:-1 gene:PAHAL_9G113500 transcript:PAN45365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYDHCQTVGRSIHIVNLDPAAEHFNYPVDMDIRELISLDDVMEDFGMGPNGGLIYCMEHLEDSLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLDPNAQVLLSQLNRQMAPRFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSSIDSCIQYGEDADVKVRDFDLPEDDD >PAN51699 pep chromosome:PHallii_v3.1:9:71819131:71820094:-1 gene:PAHAL_9G613500 transcript:PAN51699 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g76060 [Source:Projected from Arabidopsis thaliana (AT1G76060) UniProtKB/TrEMBL;Acc:Q63Z96] MAVAGRARASAVLRALAAPGPLPPARAIHEGPDTIEELLDRHLVKKPAAVLDDDAAEAEARRRLTSSRREALGLYRDILRATRLFAWPDDRGVPWREVLRANARREFEEARGERDPEVVARLLIGGRDAVQQALDRLAEASRRAIEAEEAKRRGGA >PVH33020 pep chromosome:PHallii_v3.1:9:67843551:67844906:-1 gene:PAHAL_9G549000 transcript:PVH33020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTQFQQPDGNRHGGNPPYQGGLNPYVFMYQTGPSAWNPNQVMHPGMPPMTAAGMFPMTAAGMPPPNAGGMHPHMSPQLQPSANVDEMHNPTDDEVEEVAIVGGPSNGGRKRGGSNTKLANFAVEEDVNIVRSWLEISCDPIVNTGQKRDNFWDRVMKQYNGRRGSFPKRTLRSVQSRWDKIKQEATKFAGYVAKAIRDDASGTSDADKTTLAASDFAAIEGYNFQFMHCWDLMKDEPKWQDVKQRSSKTVGSNTIDLDGDEASPAGTGKRPIGRDAAKACKKKCPSGSTSSSEYASNLQALSLQKMTMWQEENSKKVNRFDHLASIEEKRFDEMREHNKSILQLEEEKIKIMRDKLNMQMQEKERERLEREKQEDERILKVDLDSCTPELRMYYEALHEEILYKVSARRQRSRQV >PVH31959 pep chromosome:PHallii_v3.1:9:23822183:23822491:-1 gene:PAHAL_9G273600 transcript:PVH31959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPASATSPGTPATPPATYPTPTGPITRSRAKKIQQEVHALLCEFQLNINENFVLPKSCMFTLLRFTKEGDKHTPRTNQRERLRSNQSSVTEQPERNSHIF >PAN45163 pep chromosome:PHallii_v3.1:9:5637850:5639733:1 gene:PAHAL_9G098100 transcript:PAN45163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGRMDPALYKAATQGKVASLRQLVDPEDPSVLSSTTPQLNTALHLAALHGHAEFAVEVLEKNEELLVARNDDGDTPLHLAANAGKLEVAQLLIRHALAWPQDRKSPLIMTNKAGNTALHEAVRNRRGAVAEALLDADPSRGHDLNERMESPLHMAAREGLVQVVQKIVDYTWVGQEFLPSVSLSGTALHQAVLGTHHRIVEILLEKRPELTDLTDSDGNNALHYAAQKDHQRAVELLLKKRTELAYKRNLHGMSPLHVAAHYGSTDAIKALLRHCPDVAEMVDSYGRNAFHASVDSGKANALRCLLRRVRPAELLNRVDSHGDAPLHVAAKRSRVHCALLLLNDRRVDPCVRDHAGQTARSLVEMKLHTGEMDAYEMYLWKQLKHQEFKRCRKQQLPPLATYPSRRGSNDKYFERIVETYILVATLIATVTFAATFTMPGGYDQNKGIALHGHNTAFKIFVISNTVAMCSSIVVVFCFIWAWQDPVRFKVDQLLWGHRLTIIACLGMLVSLMTAVYITVAPTSRWPAYVVIAIGVSTPAVVVLMLGRDVIFVPL >PAN44047 pep chromosome:PHallii_v3.1:9:800436:802487:1 gene:PAHAL_9G013000 transcript:PAN44047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTMMRLRQHLASPIYTSAIARIGNRAHHHRLPIAPPQKLRTSTATQNKLLLLLIVLLVCFLFFLIPTVLLPPARTMSSSSSSASDAAAASAPFEKPRAVVKKLLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIRTGDVQWMTAGRGIVHSEMPAGDGVQKGLQLWINLSSKDKMIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMPPGSELHQPVPEGWNAFVYIIDGEGVFGREKSAAVGAHHCLVLGPGDGLSVWNRSGAPLRFALVGGQPLGEPVVQHGPFVMNSRAEIQQAMEDYYYGKNGFERASQWTSSA >PVH30920 pep chromosome:PHallii_v3.1:9:800436:802487:1 gene:PAHAL_9G013000 transcript:PVH30920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTMMRLRQHLASPIYTSAIARIGNRAHHHRLPIAPPQKLRTTRTMSSSSSSASDAAAASAPFEKPRAVVKKLLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIRTGDVQWMTAGRGIVHSEMPAGDGVQKGLQLWINLSSKDKMIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMPPGSELHQPVPEGWNAFVYIIDGEGVFGREKSAAVGAHHCLVLGPGDGLSVWNRSGAPLRFALVGGQPLGEPVVQHGPFVMNSRAEIQQAMEDYYYGKNGFERASQWTSSA >PAN50698 pep chromosome:PHallii_v3.1:9:64431559:64432830:1 gene:PAHAL_9G497900 transcript:PAN50698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLLTGAEKGSGGFVFSPRSVDAFGSTVIAEGADDARQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGAASAKSAAAIAAAPPKQDAASSSSAAASSSTGPKCLWQSRRADRAHKSLPGLVLAI >PAN44721 pep chromosome:PHallii_v3.1:9:3686859:3690296:-1 gene:PAHAL_9G064700 transcript:PAN44721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEEYEEEEDEA >PVH31842 pep chromosome:PHallii_v3.1:9:16815348:16818740:1 gene:PAHAL_9G246100 transcript:PVH31842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVTGALPSVIEKLAGLAAGEYNLQKGLKGEIRFLQSELESMKGALEKVSSTPGDRLDIQDKIWARDLRELSYDIEDGIDTFMKFIDRSVGLYRKAKIRHGMATEIRDIKTRVEEVAKRHGRYKINSDVAMPVMIDPRLFSQYTEAKELVGIDEARDELIKILEEENEVSMQQHGKIVSIVGFGGLGKTTLAKAVYEKIRARFDCCAFVSVSQTPNLKKLFKGLLCDLGKKNNEETLDESRLIKVLREFLQEKRYFIVIDDIWDISVWKMIRCALPDNDVGYTIITTTRNYDVAERAGGAYKLKPLSLNNSRKLLYRRIFGSKNKDNNEDREKCPEEELAEVSDRILKKCSGVPLAIITMASLLACKARNKIDWYEVYNSVGTGLENNLDVKNMRKILSFSYYELPCHLRTCLLYLSIFPEDFEIDKDHLIRMWIAEGFIQSEKQGKSVFELGESYFNVLINRSMIQPIHNSSTGMVNSCRVHDMVLDLIRSLSSEENFIAVLSDMDSTSPSSTIRRLSLQNGNSHVVAHATTRSLLQHARSVVIFPSAVAQVPALGSCRVLRVLDLCECDLSQANSLKYLGNLYQLRYLGLCETSISQLPGEIGNLQFLQTLDVRGNTISWLPSGVVQLTNLMFLYIDGSTKVPNGIGNLTCLEQLSQLRIDGSTINIVEELGQLTELRQLDIILDEWNDKLLEGLRMLQKIQKLYILVHPGQRSIGGLDAWVAPRHIRDLCTVYSGWFSTLPAWVNPSLVPDLTRLKIAVRELHQVDLDILGRLPALRSLLLEVDNKNLGILQGFVVGAGSFPCLVSCWFSRFVWPVVFQQGAMPRLRELLLWLLFYVREGGGIASSDGGLDLGLGNLPSLQRVRAKLIRCEGANKEAVEQAKAALTLAARMHPNHPNHDINIQI >PAN51037 pep chromosome:PHallii_v3.1:9:68852802:68855072:-1 gene:PAHAL_9G565100 transcript:PAN51037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTKEDVGVKLERPAEGDEAVVGQEAAAAE >PVH33313 pep chromosome:PHallii_v3.1:9:72513586:72514134:-1 gene:PAHAL_9G624200 transcript:PVH33313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVPQRLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVRNKEIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPELAMDRSARRLAINVLEP >PVH31465 pep chromosome:PHallii_v3.1:9:9291784:9292623:-1 gene:PAHAL_9G151500 transcript:PVH31465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIIMMDLNKGCVCKCKCRNGRLLPKHRGCCCGGSFCFSCMHVNWPGVVL >PVH32610 pep chromosome:PHallii_v3.1:9:60688223:60690347:-1 gene:PAHAL_9G442300 transcript:PVH32610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPPATTWPRRGRTFLRVLVLALAVARPTSSQYVLHPPPGAAISGAFSPPSPPAGGGAGGGGEGGGGGGGGGSFSLTTSLLFVGIIAALFMLGFFSAYLRRRSELATAADAARRGALSANAAVAAAAAAAFASAAIGGRRRAAGLDAAAMEALPVLTYATARAVKAGRGALECAVCLSEFDDAGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLSDPAVADAGHVLAADLAAQAETPTDTVVSIDMEPSESTPGRQDPTSPDEQQQAETAEERVDRYTLRLPERLKREIDEAKRLRRAMSAVTASTALPSASSGRSASAALRTMSAARPSRRWSGLFRALTGSRTSEADDHGRVVPLHTGDGEEVKVVVVQDDVEKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTAVPAAPATSQR >PAN46348 pep chromosome:PHallii_v3.1:9:11295915:11300980:1 gene:PAHAL_9G178900 transcript:PAN46348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYHASAATRGSMEIVPYSGELDVELPPVDVKRQDSLYRDATMPAHAGHHGQESWVRTLRLAFQCVGILYADLGTSPLYVYANTFKNGVGHPDDVLGVLSIIIYSFILFTMIKIVFIALYANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVSRYRHRAKPSATLRRAQWMKNLLETSKAAKISLFFLTILATALAISDCMLTPPISVLAAVNGLKLRAPHLTTDQTVWITVGILVVFFSVQRFGTDKIGYTFAPVVFVWLLLMAAIGIYNMVKYDIGTLKAFNAKYIIDYFRRNKKKGWVSLGEILLCFTGTEALFADLGYFSIRSIQLSFTFGLLPSVLLTYIGQAAYLRKHTDMADISNVFFNSIPSSLFWPTFVLALIASVIGSQAMISCAFATMSHLQALNCFPRVKILHTSRRYSGQLYIPEVNIFLCISACVVTIGFRTTGFIAKAHEICVVLVMVITTLLMTIVMLLVWKVNIWWIAIFFIVYISTESIYTAAVLYKFTHGPYVPLAMSAVLMLIMIVWHYVHVKRYKYELEHTVSRDEVKDLLERRDLKRVPGLGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKRLPIPHVDVSERFLFRQVEPKEFMVFRCVARYGYRDKLEMANDFVKVLVEYLQYYVRDLNLYGVGDEPLKIIFHSARVDSFTWERKPSGHVIYAEEMLTPAQSFSELTMHPVSMSSRLAHFQTGKMNLEEMLKIEEDQKIIQREVDNGVVYIIGETEVVAKPHSNLLKKIIVNYIYSFLRKNSRNGEKMLSIPRGQLLKAGITYEI >PVH32072 pep chromosome:PHallii_v3.1:9:34260769:34262421:1 gene:PAHAL_9G305500 transcript:PVH32072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCHSGGIVRPRRLGSANAAAVEVEVDKERDEPGSYEAACSADPELRTFDAALRRRANRAISAVASGVEVRSLSLGSLREVTGCLLDMNQEVVRVVLASKRDVWRSPDLFDLVEGYFDGSLHTLDFLAALDASLRRARDSQLLLHLALQRLREGEGDVQEPSAAPAADRYAHALGELRRFKAASDPFTPEFFAAFQAVYREHLAMLGKLRQRKRRLDGRIRSVRAWRRVSGVVFVATFAALLVCSVVAAAIAAPPVATALAAAASLPVGSVGKWVDSLLKQYQDALHGHKEVVSAMQVGTFIAIEDLDAVRVLVDRLEVQVGSMVDCIELAECGEEAVRLGIEEVKKKLEVFMEGVDDLGEQADRCSRDIRRARTVVLQRIINPPN >PVH31685 pep chromosome:PHallii_v3.1:9:13657793:13658857:1 gene:PAHAL_9G211500 transcript:PVH31685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSDSASAIIGGSVTGSHLLHIEGYSRAKELLLPDETADSESFNVGGRTCRLRYLPSPCPLGVRYPGHIGVGLLLVDTIPYPACARARFVLLDQAGNEVPACTQSVGWRDYSLPDAPAVGVVFEKAAVEASPNLVVNDCFKLRCDVTVMNPFRWEKRGSWTFMEGADVTFQVAGETFGAHKCILAARSPVFKAQLFGAMRESIGTGVCIQIDDIVPRAFNALLHFIYTDALPEMTGQEEEVLIMAQHLLEAADRYAIQRLKQICEEKLCRCLDMNTVANTLVLADQHCCDRLKVACIQFLKSTESLEAVMATSGFEHLIQSFPALLRELISELAAR >PAN47435 pep chromosome:PHallii_v3.1:9:30817344:30818706:1 gene:PAHAL_9G290800 transcript:PAN47435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEVGSHVLRPQAARPQDATCPRHLDSAACHSSHSTCRATTGPNKYKRHSLEHTTGYVCMCDQGYLGNPYLAQGYQDINECKISNKCFGNCTNLPGLIIDLGAGSGEMILVPVISSTFIIRMIQRPRRKRMRLWFFKRNRGQLTGMVDS >PVH33158 pep chromosome:PHallii_v3.1:9:69961170:69962109:-1 gene:PAHAL_9G581600 transcript:PVH33158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARRPPFTFLLGRCHHECCSCSTDLPSVRVRPSSLDAYLDGRHVPYLRLHTAVSPDS >PAN45261 pep chromosome:PHallii_v3.1:9:6163989:6169204:1 gene:PAHAL_9G106000 transcript:PAN45261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSALLTSAGINIAVCILFLSLYSVLRKQPHNFSVYFGRRLAEEKFQKQDDYFSFERLLPTAGWIVKAYWCTEEEIRQVAGLDSVVFLRLFIFSIRIFSITSLVCVFGVLPVNYHGKEITHDHIPTEPLNVFTIANIIEESQKLWVHCIALYIITISACILLFNEYKYISRKRLAHITGSSPNPGHFTVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMILRKGHFQRFVDRAERAYRKFVRVRLSVSERNGKSSMSRCGVCGVRASSFQIYRNKFIEAKKADLTNPEVVDAQKNCPGALVFFKTRYAAVVASRVLQSSNPMLWVTDLAPEPRDVYWSNLWIPYKQIWLRKLATLAASVVFMFVFIIPVAFVQSMMQLEQLKKMFPNLRGLLNKSFFARVVTGYLPSVVLLLSLYTVPPLMMLFSSIEGSISRSGRKRSACLKILFFTVWNVFFVNVLSGSVLNQLNVFTRPKDMPTMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFSKCIFCCHQNTEYVYSFPYHTEVPKVLLFILLGFTFAIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHNTIVFSLVLMQVIALGVFTIKHSPVATGFTILLLVATILFNEYCRHRFSRIFEAYSAQDVIELDRDDEQSGRMQEIHQHLQDAYSQTPPGAEGSSRSGGQVPIELILEDPAQEASESSQELCDTVQEVSEAHEHSIEEAAGKAHCV >PAN50008 pep chromosome:PHallii_v3.1:9:63969205:63972175:-1 gene:PAHAL_9G491600 transcript:PAN50008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIQKRRVRLMLFIMGVLALSMTAEKFRELVGKEAASKSGQFTFMNCFDMGSGSLACTVKEGVKLYVNNLRTAHLERVRQHAMEKALADAMTEGLAPAEAAKQAQKVSTKAAKVAARQANRILGPIISCGWDFFEAMYFGGSMTEGFLRGSGTLFGTYAGGFHGEERFGKLGYLVGSQLGSWGGGRIGLMIYDILSGLKYMFQSIQPQNESSSYASEDGSEYMDSYTSHEREESTYYETSEEKQEESKWFGLF >PAN48344 pep chromosome:PHallii_v3.1:9:54599157:54602707:-1 gene:PAHAL_9G376600 transcript:PAN48344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPATAEAVEELTRLYRELPPRPAVEEVEAAAAVLASADAEEEARLAEADGEPAARAREGVPAELLDVLREARRNAIRLRALQQRKEAAHVVELERRFKVFDDLIQRASRVVSPGDEGGGWGHAAAVVNEVVEVEAKRKPELAAAVAAAATEIDRGSKGGLGFETKSVSSLRRAASADNDTEKLGLIQVASLIESSAKKGTTELNLRGKLVDQVEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHANQLINLPDTFGELSSLIDLDLRANQLKSLPSSFGNLTSLANLDLSSNMLKVLPDCLGKLTNLRRLIAETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTIGQLTRLRELDVSFNEVEIIPENICFAASLVKLNVSRNFADLRALPRSIGELEMLEELDISSNQIRVLPDSFGYLSKLRVFVADETPLEVPPKEVVKLGAQEVVNFMKNMVASRGASQKETDKRSFWAWLRTLFGCCKKDQGLGSVQLS >PVH31234 pep chromosome:PHallii_v3.1:9:5247683:5251830:1 gene:PAHAL_9G091700 transcript:PVH31234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGCTTGFACIENPCQPKTHRFIRWKGVITLTSVQIRPSHHPKQNTKGQADCTMIRPHRPPLALPAPTFPSGVSTFTARSAPQSHPGSLAPATMLPFPNQSPTQALRRPAGGRQIQNRTKSTRIIRGGDLGGRLKRRRATGLFEQQQAGQLAMATQAALFAGFSQLAAQPARDRAGTGAPARVGVAAIGGARVGGVKAGGAGWAVARRGVRCRASLIEPDGGRLVDLVAPEEGGRRAALRREAASLPHRLRLGRVDKEWLHVLSEGWASPLQGFMREHEFLQALHFNAIRGADGRMVNMSVPIVLSLGDAQRRAIQADGATRVALVDDRDRLIAVLSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPTAKRDLYDADHGKKVLSMAPGLEKLNILPFRVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRESPPDGFMCPGGWKVLVEYYDSLVPSEGSSKLREPVAA >PAN45181 pep chromosome:PHallii_v3.1:9:5761936:5762314:-1 gene:PAHAL_9G099700 transcript:PAN45181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINFSLAAAVLLFGLLILGMAVESSEAVCTLMRAQGTYITCANIPGQNFTGCACGQCAPPGCAGCVVHLAGGSTPRG >PAN44436 pep chromosome:PHallii_v3.1:9:2372341:2379488:-1 gene:PAHAL_9G042900 transcript:PAN44436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRALLVGLSRARGSLAEAGRCASMRPSLLASVGGHYKAPMPARWFSTGLPPHLVVGMPALSPTMNQGNIAKWRKHEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEELDDIKNIPADTSFGGEQKEEQSTESAPKTDVANVSEQSSVVSRISPAAKLLIKEHGLDVSSLRASGPRGTLLKGDVLAALKSGAISIPAKEQKAPATPSSQPTRDAQAQPATTSQKADTYEDIPNSQIRKVIAKRLLESKQTTPHLYLSKDVVLDPLLAFRSELKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNSEKEEAQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISSEVKQLAEKARAGKLAPNEFQGGTFSISNLGMYPVDHFCAIINPPQSGILAVGRGNKVVEPVVDSDGNEKAAAVTKMSLTLSADHRVFDGQVGGRFFTELASNFSDIRRLLL >PAN50790 pep chromosome:PHallii_v3.1:9:67682887:67686263:-1 gene:PAHAL_9G546600 transcript:PAN50790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEAERLAAAAAWGEEEEGEGKRGAGAKRRKRYGLVEYRALPGYLRDNEYIHRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLALTIYTATQVPNVVDIQSLQHLPDVLRNADIHKIQAELLSCLPSLPHLSDLQKLKDELKSSWNSMEVLPSLSRWHLLELLSNCLPQRFSHTNETSLSVLQSMKEEIANMIAPQLIRPIARWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSFITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVLHKLVLFWHQPEALHTTAYEVLMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLLYLKWRDLQGC >PAN51501 pep chromosome:PHallii_v3.1:9:70928063:70930027:-1 gene:PAHAL_9G598700 transcript:PAN51501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAATSTAHSLLLQRPAAPRAPAATASSLRLPARSAKISCTAVAAPSASSPVTGAGDRGVYNFAAGPATLPLNVLKRAQAELVDYHGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHAVLFLQGGATTQFAAVPLNLCAGPSDPADFVVSGSWSDKAFKEAKKFSAASVAWSGKDGKYTALPPFDDIKQNPEARFLHICSNETIHGVEFKDYPEPRNKSGILVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLIGNAQPITPVMLDFKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAYKAGILYDTIDASGGYYICPVEKSVRSLMNVPFTLAKGPDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >PVH33210 pep chromosome:PHallii_v3.1:9:70718324:70722159:-1 gene:PAHAL_9G596000 transcript:PVH33210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQFQDGKEEVIQAWYMDDSEEDQRLPHHREPKEFIPLDKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIAVKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVERIISRGGNQVVEAR >PAN49625 pep chromosome:PHallii_v3.1:9:61804560:61807860:1 gene:PAHAL_9G460900 transcript:PAN49625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAGYIDDSCSEVIDPPKTEVLDVTELTGDHIQQPPKPNVVVSSSVRDLLECPVCLSSMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCSGIYPYYSKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDEHKVDMHNGCTFNHRYVKPNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >PAN44337 pep chromosome:PHallii_v3.1:9:1972051:1975676:1 gene:PAHAL_9G035700 transcript:PAN44337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPGGQRNWSRSGPSSGRKNKERGNDRAKGTRVASWSNNEKREEYKGRRGNAGSTRRSSEGVPRVAVKKSASGFDRRKRKIDDDSWDDVGGGKNASSSKSKFTRKTASTISRRKVAPGKVDRLKSQSWSEDSSRAGRSTSKVSDISRGITGRSALSKNSGASLGKKFDASTEFKRTKKGAAKDVALDEEVADSKKSDDSDHITEEEKPRPRLTRVLDGSGKKIKPAKKDVVPDGEEPTPPKKRKRMKLDPYDTSNKRIEDSPPIQAACSAEKVLVKCAPEETEMSINAKFRAIQPTPSMLSYVEDNLLGRRRLNEIKNAGYNVKISAPLDNVPFSTSTERERIEENVFRNKLEFFAAAKISSSFPPPALPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERHKTPYQIVLTKTDLVFPIDVARRAMEIQESLKKNKSVVNPVMMVSSKTGAGIRNLRGVLGKLARFIKP >PAN49538 pep chromosome:PHallii_v3.1:9:62119330:62123896:1 gene:PAHAL_9G465500 transcript:PAN49538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSLIQDMRDEFGSISRHTLRSRSHRSAGNASRAAAAEPSEAMDQSCWSQLPPELLREVLVRIEASESWWPARKDVVSCAGVCRTWRGIMKEAVRVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLSARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVIGLNQVSPRIPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVVEGGKAPTQTEFPLSGLDSFPSIPFFRSKSARIDTASQSSTQKEDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDDNGSGSQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >PAN50919 pep chromosome:PHallii_v3.1:9:68363547:68367100:-1 gene:PAHAL_9G556800 transcript:PAN50919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCSSRSRSSDSPASRAKRWRSTGIVALRDARLKEVPNEVLQVGNSLRTLDLTNNKLVEISQDVGTLVNMQRLVLAGNLIENIPANVGHLRNLKILTLDRNRISILPEELGSLSNLQQLSISQNSLLCLPKSVGDLRNMSILNVSDNKLKELPESIGGCNSLEELRADGNSIEDVPSSICNLVCLKSLSLNGNKICQLPQNLLKDCTALQSISLHDNPITMDRFQQMDGFNEFEARRRKKFDKQIDSRVMMGSTALDEGVDFH >PAN49011 pep chromosome:PHallii_v3.1:9:58601783:58603671:1 gene:PAHAL_9G419400 transcript:PAN49011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, Biosynthesis of jasmonic acid, Defence respons [Source: Projected from Oryza sativa (Os03g0438100)] MAAALRAAPPSRVTARPAEGFARSVVASRVAAAAGGVGAAGKKARGGVAVRASLFSPKPAAAKDARPSKAQELYVYEINERDRESPAYLRLSPKQTENALGDLVPFTNKVYNGSLDKRLGITAGICVLIQHVPERNGDRYEAIYSLYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLHQIVFPFKIFYTFYLRGIPDLPRELLCTPVPPSPTVEPTPAARAAEPHACLKNFTN >PVH32276 pep chromosome:PHallii_v3.1:9:52776932:52784539:1 gene:PAHAL_9G360100 transcript:PVH32276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSPSSAAPTRVSGRKRAAKAEQIRQSQEEEGEAPAASSAKRRRGASAGKKPKPPPKQAKPPKAGRKKKEEAERTEPVEDDVCAEEPDEEEMAMGEEEEAEAEEQEATAAAAGSPGKKRVAQPRKRGAVAAGDHEPEFIGEPVPSAEARTKWPKRYDRSAAATRPEEDEEVKARCHYRSAKVDNVVYSLDDDVYVKAGENEANYIGRITEFFEGTDRCQYFTCRWFFRPEDTVINSLVSIDVDGQKHDPRRVFLSEEKNDNVLDCIISKVKIVHVDPNMDPKAKAQLIEHCDLYYDMSYSVAYSTFANIPSENGASGSETASGISDDADLETSSRMPGRTATLLDLYSGCGGMSTGLCLGAALAGLKLETRWAVDLNSFACQSLKYNHPQTEVRNEKADEFLALLREWAVLCDKYVNKDVGSDSAGSEDQEDDGSPLDNDEFVVEKLIGICYGGSGRKNGIYFKVQWEGYGPEEDTWEPIDNLSDCPLKIKEFVQEGYRRKILPLPGDVDVICGGPPCQGISGFNRFRNRDEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARVGMMVAGCYGLPQFRMRVFLWGALSSMVLPKYPLPTHDVVVRGGAPNAFSQNIVAYDETQRPSLKKALLLGDAISDLPQVENYQPHDVMEYSSSPKTEFQRYIRLGRKDMLDWSFGEEAGPDEGKLLDHQPLRLNNDDYERVQQIPVKKGANFRDLTGVKVGANNIVEWDPEIERVYLSSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQAILHPTQARVLTVRENARLQGFPDYYRLFGPIKEKYIQVGNAVAVPVARALGYCLGQAFLGESEGSHPLYELPASFTSVARVAHARASSVSTPAGEVVEQ >PVH32363 pep chromosome:PHallii_v3.1:9:55493649:55496501:1 gene:PAHAL_9G384100 transcript:PVH32363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDAGFGGLLKIGFPTFPLGFCGWLLRRFDTDYCELVIKGRGRIPVTSDSVLGPNGGGDVKYCLDEDAIAFMFDRFGVSGRYSPTVKSIENSLKHMKSADEHFLRTFMVLAVSSFLCPTTSLRISPRCFLALVDIGSIRELNWCEFVVEQLRKSISAYGRKSSVSGCLFYLVNLYLDSLDTRDIQIPDGAPRISAWNKKLVNKVIEMDMKDNGSFGKCLLKRRAPDNIKSRGASSTSAILGGIPEIADFVSTNVAAGYSAQKK >PVH33030 pep chromosome:PHallii_v3.1:9:68071934:68077360:1 gene:PAHAL_9G551900 transcript:PVH33030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFSVSAPSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLGKFFPAVLRKKHEDKDSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFLVGVVFNGAAQNLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIHPWGWRLSLSLAGIPALLLTLGALFVTDTPNSLIERGRLEEGKAVLKRIRGTDNVEPEFNEIVEASRIAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKNDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDHSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFIFFSAWVLVMSLFVLFFLPETKNVPIEEMTERVWKQHWFWKRYMDGDDHHIVNGKITANNGASV >PAN50339 pep chromosome:PHallii_v3.1:9:65476626:65479132:1 gene:PAHAL_9G513700 transcript:PAN50339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKIKSTALSITHKCRNILAAGWEAHLNTIKADAKGSKEEIYTSRVHYMIQKGTPYLIVPENDMHNINIVIDERGSLAVSSPIRGRVVSLLKSLHKMPPRVAMTGDVLRLKDSKVPIIADSLKKAIMKEHEASSAASHGVTAILSSAGATCRSRSEGLLSLLNEDSSYSIFKFDIGSCVYIDSSGSSHNIELDSFEPPKPDLLMPFSAKLIDGINRSDSRRRALILFCFEYFNVLARNAVMLSIDHHGFDVLAKVPERASLGNVPHQYHWKEFRFTFKEPAKDAEEFCRTLVKLEEEVLASVKSYSGLG >PAN45024 pep chromosome:PHallii_v3.1:9:5056172:5058484:1 gene:PAHAL_9G088300 transcript:PAN45024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAATRPSRTLTTTPSCRAETEPDSHLSQPFRLRRSAQGRRELPRSLGADGRRDGRPPARRPPADVLARLAPRGLASSRGVCRSWRAVIDGRRLLRADLLLLSLGGIFLQVTTAPFPPLFSRPWTGPATGGDLDGFFGADDNRLLQTDMRGHCNGLLLLEEAVVNPATGEWARLAEPPPPPLPEFYYQPCLAFDPAVSPHYEVFSLPEVPNPTRTTLSPLLQQSEWPPSPFVLHVFSSRTEQWEERTFAREGHGGHPMATVADVQSHDLFDNDYHCSTFWRGRLYVQCQNRFVLRIKPVEWHIAPGEATHGRRWCEQVPSVLSREIKGWGVLCVGHLLQMPASSLVPRRVMLPGAGVGAEV >PVH30879 pep chromosome:PHallii_v3.1:9:418002:422108:-1 gene:PAHAL_9G005200 transcript:PVH30879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATVKGRFEGDKATAATTLAVPAAGDLRFKASATEAAFANGPSLRGLTLTLEKPGAFLIDLKPHNQDARFQFMNSALVLDKRVSLTYTHSTSLAPAPAPAAAPPSRTALDCSVTFDPANKVNFSHSLGSGGCRVKYTYAHGVDRLTTIEPLFDTNKNAWEFAVTRKFQGGDAVKGTYHASTKLLGLEWSRDSKAGGSFKVATTFDLSDQSKAPKLIAESTWNYEI >PVH32358 pep chromosome:PHallii_v3.1:9:55181012:55182376:-1 gene:PAHAL_9G381200 transcript:PVH32358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSDAARDDVSSPDLPPLAAPVAAAAAAAAAAASSMGGMGLGGGASGRRLPPPCWTHEETLALIEAYRDRWEALRKGNLRAADWDDVANAVTARCGRFPTATPKSGVQCRHKIEKLRKRYRAERSRSAGRSKGPKWPFFPLLHDLAGGGVPDPSPNAIVKIKTKGPVPPASTPSPVSSPSSEEAVRSRSLHGLISNGGAGSGLRFTIPKASRSRPAAVAAFNPERNHGEDDAESEAMAEVADALRAVGERFLRMEERRLELSLQMEKERMESEMKRTQTMLDAQQLFLEAFLGKQQQHKKARLSPASRAMEED >PAN44569 pep chromosome:PHallii_v3.1:9:3136069:3136362:1 gene:PAHAL_9G054700 transcript:PAN44569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIYLVGTLCFSKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLSINCRTKKIASMVDLPGMNPNWFWVTLVNPLRRCSITLSQSFIVWLISLIPR >PVH32029 pep chromosome:PHallii_v3.1:9:31722032:31729358:1 gene:PAHAL_9G296400 transcript:PVH32029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCPGPFPTPPRLRHAPRLAPSPFPTPPRLRGIPLSTSPARTPTAAHAPPGLPAGTPWAPAPAPALAVPVPRSPRLRFDSDDHRKLLRLGPSGGCWSRLSHGEAGWRLHFPFRLPLLETEAACAPVNYVLIC >PAN46969 pep chromosome:PHallii_v3.1:9:14943303:14943762:1 gene:PAHAL_9G228000 transcript:PAN46969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWGQARPMAIASSRVNREGRLGLAGKREDRQGRLSTASCTCLSCVILSLPTASKGHVPIAGQSSLSFQSAGQSPLGKADSDDLYHLLKYHQRKSLRMITPTTFLRESRNQQ >PAN49322 pep chromosome:PHallii_v3.1:9:60589780:60593185:1 gene:PAHAL_9G440900 transcript:PAN49322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPCSLLALLPVLLCCIILSSVGSGSAEPVTAGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSKRAKPWPTVLWLQGGPGASGVGFGNFQEIGPLDVNLQPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQQAADATTLLKALVKEVPALQSSPLFLVAESYGGKYAATLGASVARAVRAGELNITLGGVALGDSWISPEDFTLSYTPLLLSVSRLDDNAGDEAKRMAETAKEQIAAGNFTAAEGSWSDLMLFIGTRSGDVDVYNFLDGSLDSASANAPTDSSPSTVQAMMNYSRYLSGQDSDSNTIGGIMNGVIKEKLKIIPKDLKWEEENQAVYNALINDIMKPRIDEIDELLSYGVNVTVYNGQLDIICSTIGAEAWVQKLKWDGIKTFLSLPRQSLYCGPSKSTKGFVRSYKNLQFYWILGAGHFVPVDQPCLALSMIGNITQSPAS >PAN46994 pep chromosome:PHallii_v3.1:9:12935151:12936981:1 gene:PAHAL_9G202700 transcript:PAN46994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPLGPKPGFMVEGATIETVTPIPYDVVNDLKGGY >PAN47580 pep chromosome:PHallii_v3.1:9:18370498:18372767:-1 gene:PAHAL_9G256200 transcript:PAN47580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKSVPRLNERIMSSLSKRSVAAHSWHDLEIGPGAPAVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLNEIRRFFEDYKKNENKEVAVNEFLPPTTALEAIQHSMDLYAEYILHSLRR >PAN47581 pep chromosome:PHallii_v3.1:9:18370498:18372478:-1 gene:PAHAL_9G256200 transcript:PAN47581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKSVPRLNERIMSSLSKRSVAAHSWHDLEIGPGAPAVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLNEIRRFFEDYKKNENKEVAVNEFLPPTTALEAIQHSMDLYAEYILHSLRR >PAN45633 pep chromosome:PHallii_v3.1:9:7924088:7926333:1 gene:PAHAL_9G131700 transcript:PAN45633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACCRASSAAVASAPARERQRQPSEPPGVPDWTEVVPLFREGVRGHPTVKERYVLEELLGVGGFGVVWLAVSRNTTSTTGAGESTSARRDPEPRRRRRVACKTIPWSSLKQAETVEVLRRDSGAVDHGVPAAPPGAGAAARGVRGRKRGAPGDGPDRIGRRGAWTEHRAAGVARALVEAVRALHGAGTMHRDLKPDNLMFSGHGAEEQLKVIDFGFAISFRPGETFTDEVGSFPYMAQEVFARSYGPEAGVWSAGVVVYQLLWGRHPFPAPDDTCKGQRKATLRGAADVDGHPWPLVSGGARGLVLAMLEPDPSRRPTALRLLEHPWLKAAAIASEAPAPRGLI >PAN44357 pep chromosome:PHallii_v3.1:9:2014174:2015476:1 gene:PAHAL_9G036400 transcript:PAN44357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNPSLQQLAAAAAEVAMTVSEPPLQPRARVVRILVHDADATDSSSSDDEAPPPPPRRARGGSSSVGAKRRVMEAVGANPPVHFRGVRRRPWGRWAAEIRDPHNRRRLWLGTFNTAEEAAAAYDTASIRLRGASARTNFPSARYPPPSEPAKPIISLTPEPGKVITLPPVPVKPTVPLQVKKEGGSYNGQVEGGSSELKAFAPKPMWEMIPGKRKKRPGCGNGSCLRIAIRAASVCVEEVGGA >PVH32059 pep chromosome:PHallii_v3.1:9:33447108:33447839:1 gene:PAHAL_9G303600 transcript:PVH32059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGICHAEPGLPKLLLLSLERVGVMEPPEYAYREYISGGTLRCDIMIFVEKSTRYPDVDPWFISTVGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAERRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQTAQLRELIHGIEKLTQELEEQRARSASAECSLAALQAQMQEYKNRNGIGGWIEEEEEEEEPMETHWDKGTQTEDRSLPIKKRPIIIEEEFP >PAN49328 pep chromosome:PHallii_v3.1:9:60612099:60613691:1 gene:PAHAL_9G441400 transcript:PAN49328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAATAPLLTRSQEAPASKEPSIDDVIEMYIGATGVMQLLKAVFVAFAWAFDAQQVFISAFTDAEPRWHCLTAASAGNASCSPAAVSPCDLPPGSWAWDRPAVASVVSEWALNCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRKKMLLVSLASTSIAGVLTVFAPNIWAYAALRLVSGFFRSMVGTCTLVLSTELVGKRWRDTVNVTGFFCFAVGFLSLPALAYAFRDASWRNLYVWTSVPCICYSVLVYFLVQESPRWLLVRGRKQDAMEGLRQIMSLNGSCATATSFSMLDACVVHEDNAGASGEGVFAALQAMSGRRWALRRLAAIMATSFGVGMVSLGMPLNVGSLGSNLYLSVTYNALAELPSAVLAWLLIRRANRRSSVVALTTAAGACSLACAAVPRGAAAARMAAEVLSFFAACTAFDVMLVYSTELFPTSVRNSAVGLVRQALVLGGVAAPVLAALGRERSFWSFGVFGVAIGCCGLFVASLPETRGKSMSDTMAEEEERNDGAVASCTGATAGR >PVH31408 pep chromosome:PHallii_v3.1:9:8510368:8513682:1 gene:PAHAL_9G138900 transcript:PVH31408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAARSPSPGPAARPCCGLRRSADSSPFRPAASPLDSPQRSSSVCKNGSGRASPRPFCAEKENDPREPARTHKVRSSGVGGGGGGAKSFMAPTISAASKAVAPSASPRKRILGERNDPVPSSPGDLAHCAKPRGAPQHDAAPLGAPHRLRLSLDGAPAPPPAAAPPASRSFGGDEEAGNPVCESRQDGGSAAAPYDPKTNYLSPRPRFLRYKPNPRVEMYRHSGGGGVRRLEEGFASESSSDTATTTEEDVSEEEREQTTLSSVLDDFTEEETSALAPAPEPGVEPAASAVAGVLQPHPAPDSPLARVLTTEQMESPRAGGVLTPEQEPAASPAPARPKKKKRSPLRFLLAPFALVLFVAAAFICMPPPPGSPVMLNTSHSKVSDFILVQELHPVELAARLKQWSSSSLDFVTSYWEALAPSQEQEVFGPHFAANLSAAPAVDADHAVGFYYGAAQTGPISVEQKLEIQEVVSESDTEMIAEPDVEKMANFGDAEVEEPIDDAEMEHESAVPSLIQEPNDSVDAEVEEPIDAEMEHESAVPSVLQEANDSVDAEVEEFNTEMEEEVSGSSGEEMAQEVSGSVSEERAAFIQNSDIPSQSAAEPEQAEDKAKSSLQQDVQTEDSEGDHADGQEDQDAHQGEKLGSDMWSSYLDKISNPAALGAALAAIIVPAVLALLYIRQKQARVALDSNEPAEQVELVEQVESLSGSGSSEGYAAAKSSQFQNPVVEESEKLGGSGASQYSSSLSSGLGRRRKAREEVSLGLEPVVSRRDSTAQSTASYGSFTTYEKIPAKKGNKEDEAMTPVRRSRRNVKPPEA >PVH30890 pep chromosome:PHallii_v3.1:9:483545:485238:-1 gene:PAHAL_9G007100 transcript:PVH30890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLLARHVQLKDMVLKLSGTHRQGAQHRRGGSPPPRGRATSIYRSGYYRPGVVQDDMAVPPATYLGASSASSTPAWDLPGRAEGEAREWAAQVEPGVQITFVSLPGGAGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQSQVGSMAGSPAATPSPAPLTPDRISWGAFARPAPPPGAARQHSFRPLSPPPPSSSNPSERAWRQQQQQRQNGGAAGKSPAASEATATEAARTTTSSRDDVSISNASELEVTEWIIQDEPGVYITVRELADGSRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >PAN49994 pep chromosome:PHallii_v3.1:9:63904550:63912053:1 gene:PAHAL_9G490700 transcript:PAN49994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGAPNERKIMKLCEYAAKNPLRIPKIARFLEQRTHKELRAAHLSFVRIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVRKVCALSHQQGEEHRLLRAASLQCLSAMIWFMKEHSYIFADFDEIVKSVLENYRTEESVGGGGDRHASQHNWVDEIARSEGRPGLGGGNDVNISSTTVRLRPARNSSALTRDERESPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDTKKQWTPRHGLALLVLSDMAYPEKSSGNEQLILTAVIRHLDHKNVSRDAQTKSDIIQTATSLARQLRSQGYAAELVVAGDLCKHLRKTLEAVELGSVEELNLNESLQNFLEDCLMEVVRGINDVRPLYDMMAITLENLPSIPTVARATLGSLLILSHIISLTSVSSNTPMVFPEALLQQILKSMVHPDIDTRVGAHHMFSAIIVRGPSHLRSESEYLYETKKQSRNTSVFASATALLEKLRREKDSLSSDKPRNMMHDDVKERNTHEEDNKYVWSQKNSAYFSKLVFSIIERYAKLSSSVEEANIAMLTEDQTNQLLSAFWIQANQTDNAPFNYEAIGHSYSLTVLSSRLKNSSYSNTIQFFQLPLSLQSIALTPSGVLPASCQRSIFILSTSMLAFTGKVCHITELSDLLRCFTSPKVDPYLRIGEDLQLYVRLQSDLGSYGSESDQEVAKSILSDSRTKVGINGQRVLDVIASALSSFAEMDRDVLVKELTEMFTPEEMPLFGSNSALDWGNFNGQAFSDESLSFDEECSRTSSVDCGLHESPITNTASSISKITLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYDTMTSQCEALGLGTRKKLSSWLVNGHESTPDNPMPSLPTAHHSIIPKVNSSGFESIHRMSSEPSSMVKLPPASPFDNFLKAAYRTQPEL >PAN46906 pep chromosome:PHallii_v3.1:9:14599513:14608121:-1 gene:PAHAL_9G223500 transcript:PAN46906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGHIFHCRRNSWPAEEYVGRTALQLLDFDGGAPPEQAWRRKLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKERCRPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCESAPVMENQFSIFVSRDGGNKKYSSVLAPGHHEGLKKNSDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHNSGGHYNEPFIAEDGVSGVLLHHKTAKDNPPVTFAVAACETQNVNVTVLPVFGLTGENHVSAKEMWNTIVQNGNFNQENFTAGPSMPSSPGQKLCAAVSASTWVEPHGRCTVAFALAWSSPKVKFQKGCTYNRRYTQFYGTSERSAVNLVHDALTKYKLWEEEIEKWQNPILKDERLPEWYKFTLFNELYFLVAGGTVWTDGQPPAIDEKASPGSKSSKRGAKDTKTESVKDSHVSMTAELVPDSGHMTNGDERSVSKFAAVHGSQMQEQTNGGLKLEEPIPYLISKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRKVKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQIYRDFAATGDMQFGRDVWPAVCAAMDYMDQFDRDRDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAATMAHRLGDRQFAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYTASSGLPPLFDGHKIRTALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDETCMQSREIWTGVTYAVAANMLLHGMEHQGFTTAEGIFIGGWSEEGYGYWFQTPEGWTTDGHYRALVYMRPLAIWAIQYALSPPKAILEAPKVNLMDRIHISPHMARAISEISIRKIAPDNRCFPTSAFKCEC >PVH30898 pep chromosome:PHallii_v3.1:9:632469:633242:1 gene:PAHAL_9G010500 transcript:PVH30898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGACRGFRNTDQDIGAHAACLSAQRHVRARRTMATQKGRLIEPALRRATACGGDGVLPFGVRAPALSSSWSLVTVGTGQAGDAADGDLFQEGRRGGNQVQRASAASTGAGRHLKSPMGIGLAEDKVVVVRRLRPRGSWMPPAPWRRPEAQVSPAHLSSVEGRRRKRGDRRRRARGTSSPLPSRTALAARALCFSVSGLGVDTVRGRRLVPRPARASYYSQVPWRRRIEVDGGAASATAATAL >PVH31541 pep chromosome:PHallii_v3.1:9:10942619:10944930:1 gene:PAHAL_9G174500 transcript:PVH31541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPAPSGGGPRVPVRSRCPSASHPLPFPISPHKMARPRRIVAMAVACFHLLRSLLVRLGQPVLNKLPSYLGATKTEDIYASKDTRMTQVMMEYMGDTSQISGTSEPAPTDDKHGWSLVADTNDEPSDSQMSEQSEHARRAQELEENNAILRQKIVELQQTLEESNASLALKKNEYVKLISIVPKFFSYFKPPKKASEAAVSFMKAEGNFDQQVACMQDFLNCFTIDRKFGIKYQRRKKKNLAEPTSN >PAN46633 pep chromosome:PHallii_v3.1:9:12764247:12767887:-1 gene:PAHAL_9G200400 transcript:PAN46633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALRRYLPRGPSLRIALTSRRPPAASDFCRSFQSGDGETGETMDEFEARLFGNKGLDEGSLYQKLDRVENTSKRYGMGSGSRGSFGGLGDRSSSGTMGGFGGFGDRNSTGSMGGFGGFGDRSSSGSLGGFDSLNDGMSEMLSNVSRNFQMDDDDDDEEDWDDEDFEFRPDVDFRRGSTYTVRDLDLKRPAAAKNPPRPQFETTTAEVLRKADFRNVRFLANFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSAEEHYSEEEYGFDFVKRKDGEPDEDNGDAEPSVEAA >PVH31934 pep chromosome:PHallii_v3.1:9:21428441:21430199:1 gene:PAHAL_9G266500 transcript:PVH31934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGSLDKWLHPKVDSSYYVNVLTLLQRLNIAADIAAALDYLHNNCHPSIVHCDVKPRNILLGEDLVARVGDFGLAKILTDPLGEQLINSKSSMGILGTIGYVAPEYGEGGQISPHGDVYSFGIVLLELFTGKAPTHEMFTDGLNLLKYAKMAYPARLMEIVDPLLLSLEGEPGQINVVMDSITKLALSCSKNRPSERLCIRDVVDEIQTIKTCCIVLQNETRQSSSRVMQHERLVHEVSNSD >PAN51191 pep chromosome:PHallii_v3.1:9:67295046:67297765:1 gene:PAHAL_9G541400 transcript:PAN51191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >PAN50472 pep chromosome:PHallii_v3.1:9:66055622:66059280:-1 gene:PAHAL_9G522700 transcript:PAN50472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKVGCSPAALPLDRVLAALAANAEQLGRRWEAAVRGRCGKRDGAAVGRVEGRAQVIEMHTPLFYATCALGGILSTGLTHLAVTPLDLVKCNMQVDPSKYRDIPSAFGVMLQEQGLGGFFKGWMATLVGYSCQGACKFGFYEFFKKCCSDIAGPENAERLKTLIYLAASASAEVIADVALCPMEAVKIRVQTQPGFARCLIDGLPKIVQTEGAFGLYKGLLPLWGRQVPYTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAKGATVADAVRTLGMWGLFTRGLPLRIIMVGTLTGAQWAAYDAFKIFVGLPTSGGVSSSCAAAFPLRQVGHEKNN >PAN45423 pep chromosome:PHallii_v3.1:9:6900611:6902423:-1 gene:PAHAL_9G117600 transcript:PAN45423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGSATGAALGARTARSCDGCMRRRARWHCPADDAFLCQACDASVHSANPLARRHHRVRLPSASCSSPPRDPDSPAWLHGLKRRPRTPRSKPGGSGKHEATPASIAAAASAAVPDLEAEEESGSGIVGDNDFYGLEDDDDEDLLYRVPVFDPMLAELYNPVADEGEQKPACLISSLAETSPEFASGSAEADGLSGFDVPDMELASFAADMESLLMGVEDGFDDLGFLNEEKPQVNVDLGMNLEAVAAPAPERDEKKRKRPEMILKLNYEGVIASWVRDGGSPWFHGERPHLDPYESWSDFPAGCRGLLGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRSSLPPLPPPPPQKQPPRAMPHVGMMLAPPVGAHGRFRF >PAN47288 pep chromosome:PHallii_v3.1:9:17316948:17320712:-1 gene:PAHAL_9G249300 transcript:PAN47288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEKTVTAYGEYTYAELEREPYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRFTYFWIKEQIEKEKTQGIDVAAYGSSKVVSTQAPVQLGSLRAADGKEGL >PAN48568 pep chromosome:PHallii_v3.1:9:56269422:56272013:1 gene:PAHAL_9G391000 transcript:PAN48568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASLRLAVIGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYAPPATPSDPLGAAATHSSLYASLRTNLPRETMGFLDFPFTASAPGSADPRRFPGHEEVLRYLEAFARRFDLLRLVRFETEVLRVRREDRGRWAVTSRKLGDKGSGEEEVYDAVVVCNGHYTEPRIAVIPGVDAWPGKQMHSHNYRVPEPFLDQVVIIIGASASAIDISRDVASTAKEVHIADRSAPVHTCEKQPGYNNLWLHSMIDRAEKDGSVVFQDGSSIKADVIMHCTGYFYDFPFLGDDGTITVVDNRVDPLYKHVFPPEVAPQLSFIGLPWKVIPFPLFELQSKWVARVLSGRIVLPSKEEMMEDVKAFYSKLEARGWPKRYTHNFSNYQFEYDDWLAEQCSHPPIEEWRKQMYTFNGINKAVRPESYRDEWDDDHLVAEANEDFKKYL >PAN45940 pep chromosome:PHallii_v3.1:9:9349098:9358147:1 gene:PAHAL_9G152300 transcript:PAN45940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSRMTTESDSDSDAAAAAAASAGGSGSGSETSSSAPSTPGTPGTPAAAPNPAAVGAAGPGPRPAPGYTVVNAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVNDLIFIYGGLRGGVLLDDLLVAEDLAAAETTNAANHAAASAAATNLQAGRAPGRYEERTRQTSTESGPDGAVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAITATLAAVKARQVNGDMEHSPDKEQSPDSTSSSKHSSLIKPDSVLSNNMTAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLRLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPEASPDHIEDTWMQELNANRPPTPTRGRPQAVANDRGSLAWM >PAN48495 pep chromosome:PHallii_v3.1:9:55635958:55637181:-1 gene:PAHAL_9G385300 transcript:PAN48495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKAVRVLEVLNARDTARRAYQLVLARPTELEVARNVICLLLWLETIMGVQVLDNVAAMAPAAISLTLVVTEASALFSYILHGHPLPAPLQGIPTIVALCGGGRLVDFRFFKFHKDLVAHGVAVIRDNVGALVFDDNLHAMLRRFEDDVNLLLTPRPPPAPELMAPFEATTRTPPEDSRSALVAFPECHGHRPSSQDIVNYFEQTLGFGRCIERVETERPGAGQAPKHGIIVFMSAELRDQAMFQETAVFFRVDDHGMWVQLYMPPL >PVH31213 pep chromosome:PHallii_v3.1:9:4824245:4828400:-1 gene:PAHAL_9G084000 transcript:PVH31213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTRAAKIPSFHQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVVKTRMQVASGDALRRNALATFKNILKVDGVPGLYRGFPTVIIGAVPTRIIFLTALETTKAASLKLAEPFKLSEPVQAAFANGLAGLSASTCSQAIFVPIDVISQKLMVQGYSGHARYKGGIDVARKVIKADGIRGLYRGFGLSVMTYAPSSAVWWASYGSSQRIIWSALGHWQNKEEAPSQMKIVGVQASGGVIAGAVTSFVTTPIDTIKTRLQVMDNENKPKAGQVVKRLIAEEGWKGLYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEV >PAN51057 pep chromosome:PHallii_v3.1:9:68946702:68951770:-1 gene:PAHAL_9G566600 transcript:PAN51057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPPLRSDLDFADVFGGPPRRSSGNEHRSSRGSQDRSSFGSSALTRARSGGSETPVFGDRVSSDRRRQLGEEFYKDIFPGNEAASPRRGGVGDWEDVFGGPASPGYTVRQRSSFSMRHNRGTDSSMPTSPSRQTSTRNDDGTSYDYSVPTSPNESTNNYLAQGAAQQDSRKKPFSWHHYSFLTRFRSQSGKKKNTSNYVSSMDSECEGTPISLESIMASDRFHFSFYKWAGKGALLVLPATAGEKAGDIIGLTNFPQVVVQGIDLVDDEDSMSTATGASKSQPDYEDSKSGKHSTNSATKEGAIPLFFDDYMQGMKQGNDCIKNDASSASPSAKSSQSPSGDKSRSSRVKEKVKSFIKIFSPESSPKRKRAPETPDQTSVGKNGSKSELQDKFSISNLEANENVETAQMNNQNAFIPAPYPVSDVQERMDKPVLTDTSKMETKMDTISGRNEVASNESINDDTKDKADTTIDQDERHMEDLDVCVVEQVSECPVHHADQEKELIKTSESKIREWSRGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAATHQKYIAEKVFDILQESWNEFNSVTFG >PVH31015 pep chromosome:PHallii_v3.1:9:2095453:2097574:1 gene:PAHAL_9G037900 transcript:PVH31015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSYHHHLPTMLGFPPSFAPAPFAQGAMPPPVLVPMQTTSVEPAYYYPQAQGAAASAGEEAATAHEVPDTQKAQEATTPTPRRRGRPRKTAATAAAASKPVFKAPKRATARSNRAALQLTTSVTNDLLATMAGQVQVQKPEQAASVAAASTSDATQTAPCRNPTPLLCQEHWRPQSTCSNSLSAGDQAAAVVVGQQQEVMLLPYAYAETSAAGVRFRPTDEDLIFFLRLKHAGREIPVGFFKDFDVYQASPEACKAACGFVDDDGCWYAFSPRDRKYKNGARPKRSVVDESDRQLGYWKSNTKLAYVVTSDKDEGAAIGNVTSLTFHVGQQPRGTQTPWKMKEYAIPENQHAPDGSVMRLNDWVACKLFYKERVIAAGKKKRVIAAGKKEDQSGEDGKNVGEAAGGDEGTQMVPTDQTLGDFDHDLCVEDYPGYDDAFAEQNHAANGNILHRRSS >PAN50568 pep chromosome:PHallii_v3.1:9:66487489:66491356:-1 gene:PAHAL_9G528500 transcript:PAN50568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGIARAALCPKARRATFGCPAARVRHVPRGLSGGGGGKWCGAAAAGERARDVPGVADARPVAEGRHAAGARAPPRGARRGSVAGAAALIVGTSIGSGILAVPQRTAPAGFIPSAACMVTCWAFLVAEALLIAEINVHLRRRRDKDVSHGGGGGGGLDLEVISVKSMAQETLGAWGGHLAAAAYLFLAYTSMVAYASKSGEVLSRLVAGVPEPVSGAAFTAALALLVAGGGTGVTDRVNQVLTFAMTGLLLAIEVSAVAVGGGLSLPPNANWEQVPAALPVIIFTLVFHDMAPVICAYLEGDLARIRLSILLGSLVPLVSLLVWDDIALGLATDLSGLDILDMLKTEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQLTNLASSPSAQEHDIMKEKINKGIGVFRKEDGSGHPGAVAILEKNRLSYIATGIVVVPTMVIAATVPDSFSIATDIAGGYCMTILYGVLPPLMAWAIGSNLSGPGAGLADEELPKDRRGKWDMTSASAKPVLVGMGVFSALMVLEQMVQDLLSFKAALVP >PAN46234 pep chromosome:PHallii_v3.1:9:10763041:10765384:-1 gene:PAHAL_9G171900 transcript:PAN46234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTPGCYASSLKFMDPTNKYNDLDLLLHPTSSINHSPPVATTVNNDGYVASSASSSSKTYAPQSCEVSSKLTPDWYGTNVAEYSEESWINSDITLKYINKLLMQEDSDEKFKSHHGESALRAMEEPLYRLIGQNYPANTQLLPVCSCDHPKTLDGSINKFHGQPCSSCSVAISSGNNHYNDNLQAFEAPWSLSDIVGQTRQFTQDTYTTELSLNVGGLSIVENNSRYNQSLQINVGESRKHALFEVQCRKMNLHKENHDLLLEGRSTKKFATSLNEPTRDEIFDKVLLCYEHEPVDQGIVLQEAMSSRTTINSQTDQGRISAQRNKKGKKQQRKEMVDLRTLLIHCAQAVSVNNHTLASDILSIIRQYASTSGDDSQRLAFCVADCLEVRLAGTGSQLYRKLMAKRRNAVGILKVFHLCIAICPFLRAPHYFSNKTVIDVSKGKPRVHIIDFGICFGFQWPSLFEQLAKREGGPPKVRITGIEQPQPGFRPNESSTNAGQRLADYASMFNIPFEYQGISSKWETIKIDDFKIDKDDVLIVNCIYRLKNLGDETVSTNSARNRVLNTIRMLKPKVFIHGIVNGSYSTPFFLTRFKEVMYHYSALFDIIDKTLPWDDEARMILERDIYLSEVLNVIACEGSERIERPESYKKWKLRNKKAGFEQLPLNPDTVKGTRAMVKQYHKDFVINEDDQWLLLRWKGRILYGIATWKPSESNNGD >PAN46985 pep chromosome:PHallii_v3.1:9:14991370:14999748:1 gene:PAHAL_9G228900 transcript:PAN46985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICAISGEVPDEPVVSKKSGLLFERRLIERYIEDHGKCPVTKEELNMDDIVPIKTNKVVKPRPLQAASIPGLLGIFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARTLLAQAERQIPASVVGAAPAAVVSNGKRAMEDEIGPDGKKIRPGINPVMIEELTECNTMLSAQRKKRQVPPTLAPIDALERYTQISSHPLHKTNKPGILSMDIHPSKDIVATGGIDTNAVLFDRPSGQILCTLTGHSKKITTLKFVPRDELFVTGSADKTVRIWQESENGNYNCIHILKDHTAEVEAVTVHATQKYFVTASKDNSWCFYDISTGSCLTQVGEASGQEGYTSASFHPDGLILGTGTTDAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAAHDGVKLWDLRKLRNFRTFSPYDSETPTNAVEFDFSGNYLATGGSDIRVYQVANVKVEWNLIKTLPDLSGTGKVTSVKFGADAKYIAVGSMDRNLRIFGLPGDDQMEESNTAAE >PVH32645 pep chromosome:PHallii_v3.1:9:61154277:61154705:1 gene:PAHAL_9G450600 transcript:PVH32645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAVPAALLATWVGGPTVLCSFLFSISLSVRLCLLLPSVSIYRSLCIVKYMNLDFANLFLPGSSQGAAGTRRK >PAN50795 pep chromosome:PHallii_v3.1:9:67705002:67709795:1 gene:PAHAL_9G547100 transcript:PAN50795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGAEEASPPVSSSELEQEEDDDDCYLSDQEDDALEESVLQVLEDEHLEDCHWSSSSVITKESLLLAQREDLRKVIELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQYANNAGPSSSTEVTCDVCYEDVPPLAASKMDCGHNYCNDCWTEYFIVKINEGQSRRVRCMAPKCNTICDEAVIRKLVIARRPDLAERFERFLLESYIEDNDTVKWCPSIPHCGNAIRVKGDIYCEVECTCGCQFCFNCSLQAHSPCSCMMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTDDQTKKTEQARRDLYRYMHYHNRYKAHTDSLKQEAKLKNDIQGKISISENKESKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTPGERELKQNLFEDQQQQLEFNVERLSGFLEKDFQNFTDDEVIDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELDISWDSAEQSSQSTKRSQDEHRSQHASTILGKRGPELHGSSSDNRARPPKRDRPDANGGGALFDLNVPAEVADKI >PAN48188 pep chromosome:PHallii_v3.1:9:53525181:53526064:-1 gene:PAHAL_9G366300 transcript:PAN48188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAAAAASTGGFHLLLPASHRRSPPLPSLPISSTRGGGKGKLRAAAEAAPGDVVVAGGGGGALLGRPLEDVYKVRVERGAAARARAEALRVMETWSSWRTGGRCRMPWDWQVDQLVYIVSGEVKVIPAGAVHGDDYMHFVAGDLVRYPRWFEADLYFDGPYEERYRFLAYGDDN >PAN48802 pep chromosome:PHallii_v3.1:9:57563837:57564689:-1 gene:PAHAL_9G406700 transcript:PAN48802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGDELKLLSTWFSPFGSRVKLALHLKGLRYEYVEEDLTNKSRLLLESNPVHKKVPVLFHGGKALCESMVIVDYIEEAFPDAGTPLLPAYPYERAVARFWVAFIENKFVAPWSQLFEGAKSRSEKAEGLKQILEARMAMEGALEECAASKGKPFFGGDGVGCVDIALGGLLVWVRASEVLFGVKFFDAATTPRLSAWAERFAALDAAKAALPDFGRVLEYAMRVRGPAAGAVAASN >PVH31415 pep chromosome:PHallii_v3.1:9:8595493:8595915:-1 gene:PAHAL_9G140000 transcript:PVH31415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLAPRFMPPCTGLPAPPPARPPPHPRARADSSPEHPHTLPLPSPPPPPPPPISPRSTPAPDLPAARNLRHSRGLPDAAPGQHEAAPSAGGSGRRELIAKRGGGGRETREREGGCRDANEMKGNGSGSGSGRRKKWG >PVH31542 pep chromosome:PHallii_v3.1:9:10964405:10966813:-1 gene:PAHAL_9G174800 transcript:PVH31542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MGYGLYGTGPGPIKARIRSGFLRPLACIIPKRAARIAAGRRGRIKIGGGETSEHSMSEEQFLGVAVEAAKSAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDFIFNHLRKHFPDHKFIGEETSAALGATADLTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTIGVVYNPIMNELFTAIRGKGAFLNGSPIKASSQDELVKALLVTEVGTKRDKNTLDDTTSRINKLLYKIRSIRMCGSLALNMCGVACGRLDLCYEMGFGGPWDVAAGAVILQEAGGHVFDPSGGEFDLMSRRMAGSNGLLKDKFVKELGDTN >PAN44217 pep chromosome:PHallii_v3.1:9:1462325:1464845:-1 gene:PAHAL_9G025900 transcript:PAN44217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSTDTGRVQVIGRRVIRAEQPPPPSSSPVPETIHLTPWDLRLITIDYIQKGVLLPKPKHEHWHAVVDRLASAFARALGRFHPFAGQLVVHERAGDGTITVSLRCTGEGAEFVHAAAPGVTAADITGELYIPRELVASLFPLNGLVSADAASVDGEPRRAPLLAVQVNELEDAVFVAASLNHAVGDGTTFWHFVNTWSDLSRSDGATCERPPPVLERWFLDTCPVPVPLKFAKLEDAIRRHENQQLPLQECFFHFSSESVKKLKARANAEVHSMTGAATISSLQAVLGHLWRSVCRARRLDPSQKTTYVLLIGCRGRVKGIPPAGYVGNAVVPCKVQSTAGEVVEKGLGWTAWQLNRAVASFDEAALVRESLERWVREPRLAYNTDLLGAADVGTGSSPRFDVYGNDFGWGRPAAVRCGPGNKLDGKTTVFEGRGGGGAVALEVCLAPDALARLVADDEFMRAVTAP >PVH32681 pep chromosome:PHallii_v3.1:9:61733795:61736854:1 gene:PAHAL_9G459700 transcript:PVH32681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGADTDLAAAAPAVSTCAHCQREIPSSNIDLHSVHCARNLQKCQHCGEMVPRKLMDEHYDENHAPMNCTLCKETIERESWDLHKSEKCPQRMVACEYCEFELPAVDMNEHQDVCGNRTEYCQTCKKYIRLREWIGHEIQCHTNSNGSAETSRTIPEREVRPPPPVRPARPAHGSQHKRLLFTIAVTGIAVMVGSILFQRDESF >PAN49610 pep chromosome:PHallii_v3.1:9:61733795:61736854:1 gene:PAHAL_9G459700 transcript:PAN49610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGADTDLAAAAPAVSTCAHCQREIPSSNIDLHSVHCARNLQKCQHCGEMVPRKLMDEHYDENHAPMNCTLCKETIERESWDLHKSEKCPQRMVACEYCEFELPAVDMNEHQDVCGNRTEYCQTCKKYIRLREWIGHEIQCHTNSNGSAETSSARTIPEREVRPPPPVRPARPAHGSQHKRLLFTIAVTGIAVMVGSILFQRDESF >PVH31188 pep chromosome:PHallii_v3.1:9:4462535:4463482:-1 gene:PAHAL_9G077000 transcript:PVH31188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGASLQSRARSRRGSQSLQLQSATDGLTGHSCLGLGPPATPAATRRPAVCARPEETAPVAGEEPRPTQDESLWSISLLVAPLDLATAPSGTVSPLHV >PAN46499 pep chromosome:PHallii_v3.1:9:12103525:12114269:1 gene:PAHAL_9G190000 transcript:PAN46499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPSSSSSRRSSSPFSAGHRRPPTASSSSSSYFSSGRLIPRSSPSSVSSSFYGGGGGSSRSTTPGRRRSSVAPAPEPAPALAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPATAYAYDKVFGPSTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSMIQESPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYEGVMYSQLNLIDLAGSESSKTETTGLRRREGAYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQREISSLKQELDQLRRGMIGGASQEEIMSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKTNIPALTDSHQRHNSASEQDKLSTSQDSSTPVQNEGTTKDPLSSALPDSLDEINQLRSASGEHSSITGSASDSMQAGFTASDQMDLLIEQIKMLAGEVAFGTSSLKRLIEQSIDDPEGTKDQIENLEREIQQKRRHMRSLEQQIMESGEASVANASMVDMQQTISKLTAQCSEKAFELELKSADNRVLQEQLQQKNAEINDLQEKVLRLEQQLSVKADIPPEQETYYIQQENNYTQQETIDLKSKLQSKEAEIEKLKYEHLKITEEHRDLINQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSVLNAKQAKELLVAQEMAHSRVHARKGRTTSRGRDEVGTWSLDLEDMKMELHARRQREAALEAALAEKELLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSVNLADITNGTKENKVDKNFALVEKQISDDTVKSLTAEEHRSPEFEPLLVRLKAKIQEMKEKDSDPLSDKDGNSHVCKVCFESATAAVLLPCRHFCLCKPCSLACSECPLCRTRIADRIITFT >PAN46799 pep chromosome:PHallii_v3.1:9:13926546:13937504:1 gene:PAHAL_9G215200 transcript:PAN46799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MATPASAVSDVGEPQAEEPPQAEAVVAAEPEGPEAGAAAMAESAGASNQSALAAAASGDASSAPAPAPDSAAAPPPASPAARAATGPPRPQFAGSPAYMAPPSLSPSPSPAFSYNVLPRAPPASQVGAGAASLQPGSSPALTAAPVPAAALQPPAPGQYFGNRPSFSYNVVSHANARLPTGQQFQPDTGTNHVGQASRFVPPGSLQPPAPGQLTRPGTTLQGAMAPNPPGSIQLPFSVPRPSNIPFGASAQQGNLDTNTLKSDAPSVPMVSPHTMQLPTGLPSNSPSTITSASGSSSIPIQMPTLSLPPRPEVFGSGRLSVPGQPSPIFSNPTSLPGRPIVPSAASLPQAAPSSIANPGVIPQNSQPPFYPSYPGHGIVPPQPLWGHPHPPQPTGAQQPPFQSYPGPVGSLGKPMVGASAATMAFANAQPSGVSTGGDQKEQASTNPGSEQPTLASAEPDSTGHGGQVNEQLEDKRNTGVQDSDAWSAHKTETGVVYYYNALTGESTYQRPPGYKGEPEKVATQPVPVSWDKLAGTDWSIVSTSDGKKYYYDNKQKVSSWQLPPEVAELLKNAESGPTSLQDAATIENKGVINIDASTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSVPSPLATSSSAASELNGSKPADAALKGQQVSNNGEKSKDNNGDANMSDSSSDSDDEERGPSKEDCIRQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRAEEERKEKRAAQKAAVEAYKELLEEASEDINKKTAYQDFKRKWGADSRFEALDRKEREALFNEKVKAIQEKVQSMRKAVIADFKSMLRESKDITSVSRWTKVKENFRSDPRYKATKHEERETIFNEYIAELKSAEQEAEQAAKAKVDEHAKLKERERETRKRKEREEQEMERVKMKIRRKEAVSSYQALLVEMIKDPKVSWTESKPKLEKDPQGRALNPDLGQGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEVAARTTDAGKTAINSWSEAKCLLRSDPRYNKLASKDRESIWRRYADDLTRKLKQSDMKEKDKSDTDGKPRRSSDTKEEKSDTDGKQHRPSDPPRRR >PVH32665 pep chromosome:PHallii_v3.1:9:61497914:61499748:1 gene:PAHAL_9G455400 transcript:PVH32665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTCISTAVRGRQAVPSWRPLPARPYLAFGWCSMTGTSSFPAHIISARLIDPPLPPLFSCIFCCGSFRLVPCHAHLSSLSPLFSSFLLPGQQHSLTSRDVTLFFFSLRGFQAREAFLAAPAGRGRVRFIDAASWIRVCITANSQTVRLLSMKTRDIHFLLTCYAGKTGRQYI >PAN49220 pep chromosome:PHallii_v3.1:9:60059779:60060931:1 gene:PAHAL_9G434400 transcript:PAN49220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNTLQLPSNLARLSSYSFSPSSASYQPKPPGRLDTRTTAKKHQKRTTSVLKCRANLHGCMDEVVQTPKDQTTEIPIVMYPSVVFPGATLQLQAFEFRYRIMMHTLLQQGLKFGVIYCGKNGRMADVGCIAHVIECERLIDGRFFLTCVGEDRFRVIEIIRTKPYVVARIQVLNDHPSSEPQDDLGSLMQQVEQHLKNVAMLSDKLNLNQKLRGDHQAEQFRRMHSAASFSFVIARLFIHDRLEQQTLLQMNDITQRLAREGMYLERRSKYLAAIAAIKDAFEHLSCNEK >PAN51505 pep chromosome:PHallii_v3.1:9:70944465:70948542:-1 gene:PAHAL_9G599100 transcript:PAN51505 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MQSPEPSSPPVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHMLPHHPTTGTVFVDRDGKHFRHVLNWLRDGAIPLMSESEYQQLLREAEYYQLLGLTDYINERLGWKKVDSSEAELTRKDVIKCIQAQKVRFRGVNLSGLDLSKLDLSEVDFSYACIKNTDFSCANLYKAKFAVEASSSSFQNANLRECEFIGANLQESILDGANLRSANLQDACLTRCSFIETDLHSAHLQTANLTSANLRGANLEAANLKGAKLSGTNLQDANLQRAYLREVDLRDTQLTGAKLGGANLLGAIR >PAN51506 pep chromosome:PHallii_v3.1:9:70944900:70948340:-1 gene:PAHAL_9G599100 transcript:PAN51506 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MQSPEPSSPPVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHMLPHHPTTGTVFVDRDGKHFRHVLNWLRDGAIPLMSESEYQQLLREAEYYQLLGLTDYINERLGWKKVDSSEAELTRKDVIKCIQAQKVRFRGVNLSGLDLSKLDLSEVDFSYACIKNTDFSCANLYKAKFAQVEASSSSFQNANLRECEFIGANLQESILDGANLRSANLQDACLTRCSFIETDLHSAHLQTANLTSANLRGANLEAANLKGAKLSGTNLQDANLQRAYLREVDLRDTQLTGAKLGGANLLGAIR >PAN49458 pep chromosome:PHallii_v3.1:9:61159712:61163043:1 gene:PAHAL_9G450700 transcript:PAN49458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLFSCPADDYDPLDLEEAPPAGDAGEPAILKALGSGKLLIEGSLSFKRAQAALGSLQVETEISIRAGGGDAPAPEPAGPLPKEVARARFAEAPVESPKHEAAALRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAMSKWSRARTRAAKVGKGLLKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLQCESKQPFFYWLDIGEGREINLEGKCSRSKLLSQCIKYLGPKEREDYEVVTEDGKFLYKKSGQILDTSCGPRDAKWIFVLSTSKNLYVGQKRKGTFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFQEFQSFLKDNMVDLTNVKMSPAEEDEEFWGSLRRVASESEKTEDKTAAPEETGPCQMQPEAVGAGMTETDKCEEEAAAARPNSSEGDQEAAEEQAPVPREKILERINSKKEMKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQLQALEQVNLSPRGSSTAAAAAASRFSSPLRRSFNQPAAARGCDASTPREASRSPLQHGALAVAAAAD >PAN46447 pep chromosome:PHallii_v3.1:9:11807412:11811956:1 gene:PAHAL_9G186600 transcript:PAN46447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLPWLPWLLPSLLAAVYLLGLRAHGRRGLPPGPRPLPLIGNLHLLGDQPHRSLAGLAKIHGPLMSLRLGAVTTVVASSPDVAREFLQRHDAAFATRSVPDAVGAHARGSVVWLPNSSPRWRALRKVMARELFAPHRLDALRHLRREKVRLLVDHVGRLARDGPAVDVGRAAFATVLNLLSSTIFSHDLTNLDDHGESEEFKEVVTEIMEAAGSPNLSDFYPAFAAADLQGCRRRVAKGFARLHRAFDMEINERLHGRKAGQPRKNDFLDLLLDSETGDNGTPGLDRDTLHSMLLDLFVAGSDTSANTVEWAMTELLQNPVSMAKVCDELARVIGSRKNIEESQIGQLPYLQAVVKETFRLHPPAPLLLPRQAEMATKVIGYTIPKGTRLLINIWAMGRDANVWPEPDKFMPERFLEKAVDFKGGDFDLIPFGAGRRICPGMPLAIRMVHLVLGSLLNQFKWKLPIEVERNGVDMAEKFGVTLIKAVPLCAIATPI >PAN49805 pep chromosome:PHallii_v3.1:9:62834374:62835493:1 gene:PAHAL_9G476500 transcript:PAN49805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVSRLAPARPHARVAVTTTCQARVTASRGCARPPRVVGAPAPRQPRLGLRARATPCGGGAAEPVEAREDAEPAGLAPEELEVLEAAAIAGEDEGRRPTDYDRRAHIFEESSRVFRELKHRRDGGSGHGDVTAGATAGTREQQQQVV >PAN45811 pep chromosome:PHallii_v3.1:9:8879309:8881522:1 gene:PAHAL_9G144300 transcript:PAN45811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAVGFMARGASGGRAAELVTRDFLGGCAAADDARDAAARPDAVPGKVSLQKHACPAAPRDLNLFPVASAATKPCAVTTAPAPAASSSGTGGATATYHSVCTIEKVKTALERFERGKQSHHHHSHQQQHSGAGASPSSSSVTTSSVKRRGGDSCGGAVEQGDGCDSPSGGGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPAPPAPAAPAASKKPRIDLNVGFLGT >PVH32907 pep chromosome:PHallii_v3.1:9:66000721:66002613:1 gene:PAHAL_9G521700 transcript:PVH32907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVDGGGGGKSGTVKQLNVGGKLFSLEASSLSLSLSLDSPSPTPTFVDRDPALLSAILAAIRAPSSAALAFPARVLLDEALFYGLHAQLLAALSPPPLRGFSVSLASTLSPASEPYPTALAPHHDGSLCLSHGIGQVTYYSPALDHLATFRTHLHRITSLRQLPPSLVVLGSASAPGLHVYDFLEGRHVASVQWWDPTDTRVSKAKVIAIAALPPADAADNNSPILATFESPHRENCIVVVDPVTLKPTQEIGRQSGSAAKSSTPGRVVHLPALGLVFASFVSSGAFGYSGYMRLWDIRSGNVVWETSEPGGAGRSSRFGDPFADADVDVKQQAIYKVCSKSGDVAVADLRSLGNDPWVYMSSGPRGSGGGYGSLLHCHQSQVFVSRKDGLEVWSRLEEQRHNTGDLAEQSGTNERPKSEGFDERSYRSCFVDTEEDAKRGMIQMMEGGGDRLFVSRVEIQGVEVWETSHLAGAISLS >PAN50595 pep chromosome:PHallii_v3.1:9:66620079:66624460:1 gene:PAHAL_9G530900 transcript:PAN50595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSSGWFRWRRKKAREGRGAGGEEDHQHKVVVDGSEIRELVEDREAFGILVDTTFRQLDANGDGRLSVRELRPAVADIGAALGLPAEGASPNADHICSEVVSELTHGTSQGEVSKAEFREALSDILLGMAAGLKRDPIVILRMDGEDLRDFVASSRYEPSAAAIFSQIGSEGAPLRRCLSAALQQLAVDHGVPPASDAWVVENIVEPALRQLPANQLEQPASRDGFVRQLRKLLGAVAERLQEQPVIVAHTENTYDGSGVKRLLANKFELDKLLDSVWRGVPGEHKNKASKECLVAALDKMADAASLPHHGAVKQVDAVVDEAIKTANADDGETVDEARFKKLLTDTLGAVMRQLNSNPVFVSTNTVVHEPLFGSSGLFSSPPPVSSSPSE >PAN46516 pep chromosome:PHallii_v3.1:9:12222590:12223429:1 gene:PAHAL_9G191100 transcript:PAN46516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKQQHHHQQMAPAVVAPKGCVTVRVGADGEEQRRFAVPLGHLKHPLFGALLEEAEREYGFRHQGAIAIPCRVDRFVQVEHLIGQDLHGTSSTCAQHLVDLDSAAAAAHHHHHHHHHLHLPRFVGCFRA >PVH31247 pep chromosome:PHallii_v3.1:9:5429880:5430565:1 gene:PAHAL_9G094800 transcript:PVH31247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQRSFSFHSPPLARLSCPLRCRSLASCVRSLTGTRRSAAVRMAGPGCAGQSRPSSPSGPWSPTPSGSEEVSSPAPTAEQTDPFECCFANPCPRCAFYQRRWRTTCAGRESSMASDGAGGGPAAAGSPSRRSTGQRGGSARGGSSARGADSGNSASNNSSPNKLRPNTPVSLA >PAN45294 pep chromosome:PHallii_v3.1:9:6299758:6301006:-1 gene:PAHAL_9G108100 transcript:PAN45294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHTLRAASSTGLGFALGRIGGRRGGGGAAAPGLVVPAAGAARGRSVSATPAAEAPVPGDQGVAMEQPKQQQPQVPQQDAGGKSKRDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGRNDPVEHPGTEIHPSHPEYDTTQGSEVKEKEKARHLKDEKHAT >PAN50547 pep chromosome:PHallii_v3.1:9:66372604:66382114:1 gene:PAHAL_9G526800 transcript:PAN50547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASEFRASYQQVSSSQPNENISQVKACRCGAGDSNFQNTNKSEASENSPTTCPNCQVLKSGNLLLSSKGIGWTVWKKRWFILTRTSLVFFRSDPNAPPPRGSEPIVTLGGIDLNNTASMIVKEERKTITVVFPDGRDGRTFTLKAETTEDLNEWRSALESALAQAPSVVNTAGQHPIVSTDITEPAETAAEQSDGTSVIGRPAEFALVDADGNTAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKCILREMPSSPVPAPCCTALVRAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRVLKMMQIVGSHKAVNRMSASALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDIEDGSYSSDAYTESDVDKEYSTDNDIPEDDGSYGSGEDDVEEDLNDNTEPSSGSRILNKDDKVNNNIPGPACDDNDKVVQPPVKTLRIEHGVPSEDSNQISSLPKVDDTSQLESNLPSQSKQELCESKRSIDHIQKPNAHSSSSRPELMEKTSKRNSWGRTSARKDLSTEEADCRNDDDEAHIVKLENNKSHLQSKITEEVRENTVLQASLERRKGALHERRVALEKEVENLRDQLQKERNLRASLESGLMNMRRGHVSFPSTIDSKQFFYYVD >PAN50548 pep chromosome:PHallii_v3.1:9:66370728:66383399:1 gene:PAHAL_9G526800 transcript:PAN50548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASEFRASYQQVSSSQPNENISQVKACRCGAGDSNFQNTNKSEASENSPTTCPNCQVLKSGNLLLSSKGIGWTVWKKRWFILTRTSLVFFRSDPNAPPPRGSEPIVTLGGIDLNNTASMIVKEERKTITVVFPDGRDGRTFTLKAETTEDLNEWRSALESALAQAPSVVNTAGQHPIVSTDITEPAETAAEQSDGTSVIGRPAEFALVDADGNTAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKCILREMPSSPVPAPCCTALVRAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRVLKMMQIVGSHKAVNRMSASALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDIEDGSYSSDAYTESDVDKEYSTDNDIPEDDGSYGSGEDDVEEDLNDNTEPSSGSRILNKDDKVNNNIPGPACDDNDKVVQPPVKTLRIEHGVPSEDSNQISSLPKVDDTSQLESNLPSQSKQELCESKRSIDHIQKPNAHSSSSRPELMEKTSKRNSWGRTSARKDLSTEEADCRNDDDEAHIVKLENNKSHLQSKITEEVRENTVLQASLERRKGALHERRVALEKEVENLRDQLQKERNLRASLESGLMNMRRGHVSFPSTIDSKTKADLEEVAAAEADIMNLKQKVSDLRGQLNNQAQLSSTSLCESCNNKRLLNADKLVEVEQNAALSPEISSSVKARSNVISNSVPDMFWATNQMVQKMLISKDGQDGSLATRWNLAQNRVQGSNTYSSTKTEESGVAASSALAKLTNRLNFLKERRAQLASEMQNFDLGRPQAQGPTATAPPKRDSS >PAN46592 pep chromosome:PHallii_v3.1:9:12564165:12566843:-1 gene:PAHAL_9G196100 transcript:PAN46592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPAMVTRKHVPEDVDLHNVGEDEAAAGGQGQLHREEKQHKPVLKKVKEKVKKIKNTLAGHGHGGERGPGDAAGSTSSEEGEEDAAEREAALERGGYMEDVEDKPVVTESDPEVHGAPMYESARAPAVQDLVAKYDPARKPAGQEVEGDGTPGVRLGDLGGPVVEDPAAPRSTTPAAREGEDIGTTPVVQQFETMNLSDDPAHVGAGKKDAKVEEWKDAAADKMGGAASGASYTDKLKNAAAGTTEYGKKLASTVYEKVAGAGTAVGAGRRDDERTEAAPASDAGTEERKNAPAATDATNGSSGPGYTDKIKSAAAGTTEYGKQLASTVYEKVAGVGTAVAPNLRPQVGAGKPEDPRSEAMPVSDTGAEAEDWKDAPAATDATNDSASAPGYTDKIKSAAAGTTEYGKQLASTVYEKVAGVGSAVAGKAQQATKSAGTATPGVGAQQQDTSAAAATPGAGGQDKGVTVTGYIAEKLRPGDEDRALSEAISGAVQRRKEDVGGTVAQRVPAPGQVMTKAREAVTSLTGGNRVSETVQPSTATGEEVKEGYAAEAPVIHGEEIGGARLNTNTM >PVH31676 pep chromosome:PHallii_v3.1:9:13424760:13425131:1 gene:PAHAL_9G208500 transcript:PVH31676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAWSTSPTSSAPGAPCAHRPRHQQCKLQRGPPHRPPWRPHHPQLLQAKIRKAANKLKEAAADLTQTNLKPVKLLGEALNDDAEEALKGRKSRSQLLFDLVIARKYCAEVYNQKTPLDQAN >PAN44238 pep chromosome:PHallii_v3.1:9:1546250:1549034:1 gene:PAHAL_9G027600 transcript:PAN44238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAGGKRAYSIIVPTYNERLNVALIVYLIFKHLPDVNFEIIIVDDGSPDGTQDIVKQLQQVYGEDRVLLQARPRKLGLGTAYLHGLKHASGEFVIIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGISKLGGSEIVEYLKGLVYLLLTT >PVH33071 pep chromosome:PHallii_v3.1:9:68501811:68502203:1 gene:PAHAL_9G559300 transcript:PVH33071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRVVAGDGYLGARLCAAVAVAGHDVRAFVLHGVNLLGPPFAAGVAYGDVADEESLIAAFDGCDAVFDAARTAEAWLPTPPSSTRYVGAIWWPMGRREPGFHPPDTKRRPTDQRHGKETAAAREGLFGK >PVH32875 pep chromosome:PHallii_v3.1:9:65321739:65322191:-1 gene:PAHAL_9G511400 transcript:PVH32875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKAEKAEKTPAGKKPKAEKRLPASKSAGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >PAN51976 pep chromosome:PHallii_v3.1:9:73198570:73199431:-1 gene:PAHAL_9G633900 transcript:PAN51976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPGAGSLRFLGLLKQPESGPDALPFELDERDVVWPAGGGQDGWAAPPAPEAPPPPRARRRAHTVPQSFGLSSLLAEGGVGEQRAGVAVPVRPAAQGAGAAAPRQSAPVRVPVWPGKARRAEDGRGGGGRKAADESDDEEGEEMVPPHVVAARRHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >PAN51184 pep chromosome:PHallii_v3.1:9:69594887:69597754:1 gene:PAHAL_9G576900 transcript:PAN51184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAPFRVFSPPSAAASAGPAGAQRALIYGRRAPLAGALLFFSIGAVGAAAVACRTGCSFSHRRLPFLGARGLSSARMESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQSDAEELLIIKTRESLLDALTEHVKANHEYDVPEVISLPITGGNSKYLEWIKNSTGKN >PVH33199 pep chromosome:PHallii_v3.1:9:70555839:70560467:-1 gene:PAHAL_9G593400 transcript:PVH33199 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 34 [Source:Projected from Arabidopsis thaliana (AT2G21450) TAIR;Acc:AT2G21450] MDPAGRKRQKLGNEHANSPGTQSRSNIFLHNKHLYLQFLEQFSELRTGSATKDLKSINIKWRELIGIIERLQQLPIQQLYANNVINLDADKDNVEYHTQVNVGNVGADSTVSAVDSDNKDRVKSCGDESSSSNNNGNCIQKPLLLEQPVRRQDTIGLDNCNSSTEPHDLFKQPKDDMDTDNVSAKAKKIILFDSHITSEWQPLIKQGHVKVKVNTEDDLEEKGKIGRTIEKHVGSYEVSCEIVQKEPQRNKSNHYVKDSSVDELDDLWMGMSVALAFSEPGSIYFSRSVKLNIVPIESNCEETEDACNHDFLLKDDLGIVCRICGLIQQRIENIFEHSWKKRTQSYRTYPAKHRKSSDPDPTENPLGTNLNVFPDALSIHPRHSQQMKPHQVEGFNFLIKNLADENNPGGCILAHAPGSGKTFLLISFVHSFLARYPAGRPLIMLPKGILGTWKSEFLRWQVENIPLYDFYSSKANSQSEQRKILKLWEENRSILLLGYQQFAHIISDESSDRETVMCREKLLRVPSLVILDEGHTSRNDQTDLLSALETIQTPRKVVFNILNLVRPKFLKMQRSRAIMKRILTKVDMLGTRVRSKTISEKVFYELIEENLQKDSETVRVMIIRNLRELTENILHYYQGEILKELPGLVDFTVLLNMSTKQEDVIKGLVGLKRFEAHAKCNAVSLHPCLKDVKNVDKKNRNICKRKMDSIVHGIDINDGVKAKFIYNLLSLSEAAGEKVLVFSQYVRSLNFLETLFTKMKGWKAGVNTFLMDGGLTQEQREQAVERFNNSPEAKVFFGSIKACGEGISLVGASRVVILDVHENPAVMRQAIGRAFRPGQSKMVYCYRLVAAGSSEEEDHHTAFKKERVSKLWFEWDELCNNEDFELAKVDVSDCKDMFLESPAPQEDIKSLFKRRFVIC >PVH31111 pep chromosome:PHallii_v3.1:9:3440440:3442280:1 gene:PAHAL_9G060300 transcript:PVH31111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCEDKSWPSESEARWVGFARGGEGRNKTVATARRTARNSLPGRVRSACGSPSRTGGRVSVLDKGARGTRQRDASIQICRGETTGRRKATPGQELRLPAEYYFSPPLVSHSSSAGGR >PVH31827 pep chromosome:PHallii_v3.1:9:16462266:16463309:-1 gene:PAHAL_9G243100 transcript:PVH31827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFTGVSFVGDAPASAAIHVAVSGCHLLVANGYSRIKDTPNGKCLRSQGFKIGGHRWFIEYCPNGYEPENASDYMTFYLVLDEGSTVEPVHAHYVFSFFGQAERQEPSSSLLIGARGAHKFFCNDAISYGCCMRRQDLEKSIHLKNDGFTIRCDIAIVTDFNLGDAGAAMRFVVVPPADIQNHLYNLLLSQEGADVTFQVGDEKFAAHRCVLAARSAVFKAELFGPMKEGTTDTVAIDDSPFRLLLVFIYCDSIPEFEEEEEEFMLQHLLVAADKYDVPRLRLMCGQKLCRCINTDTVPTILELAEQHHCQGLKDACLDFLSSLANLQEVTAAGGLDNLTSSSPSD >PAN45317 pep chromosome:PHallii_v3.1:9:7047044:7052592:1 gene:PAHAL_9G119400 transcript:PAN45317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAPMAGANLTAALCKKSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFERHPHRGVGGAGGGAEPLRRVLCDAHAAELSRLGYCSAHRRLADAGDMCEDCAAAAAPGKALLSWMGRSELGERDLACACCGVALESGFYSPPFLLPTPAPRGSDCGHKEEEETARPNGDVVFVSEEGPVIELFDEKPLLGDDSIHVFAQGAEIAANVERLVPLESIDSLAVDMASVSSQSCGEMKEAVDHVKQNDVVTENKVNANEEKIVLTSDDDKGDGVVDRLIDERIADVALMSACMEGTFDDGINAGETIEGFDDQQSPEEDSGLKDKDMKISIEDEVSEDDQVEQVIPQQKLYTMPRDPSDHEFVEMLDRSVEVEHFQQAELKQKLNLMTMEASVHVSVTQPEEKKVQQAEVNQELDSIPIHPMEHSGEELEGEKTAQAGLEQEWDSVPVDSREHAFMTSYAHTDDEQAERKQKVTSVMEDVLEYAADTFNDDTNTWKGDIEEDPTEAALTSIYQISYEPLTILDKFAHDHSVIEEEGESETPTHIEDSSVATMPTDLESIEFVSVDQLKSALASARKSLNSLYAELENERNAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKREKEKQDLERELEMYRHKVHLYEAKARKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDVVLHETARHLVTLDGSLADFEEERLSILEQLKVLEDKLFDLDDEESDNMKTDKHFSEENHLNGASNGFSDDDSCFKLHDKRKSVTYRGKKLLPLFDDATVEPRNILLNKQGDDADQLAEVTLDLAREQDKLAIANEIDQVHERLHALEADREYIKQCVRSLKKGGKGFDLLQDILQHLRDLRRIEQRARNSGELSPHYLHLYTG >PAN45441 pep chromosome:PHallii_v3.1:9:7047044:7052592:1 gene:PAHAL_9G119400 transcript:PAN45441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAPMAGANLTAALCKKSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFERHPHRGVGGAGGGAEPLRRVLCDAHAAELSRLGYCSAHRRLADAGDMCEDCAAAAAPGKALLSWMGRSELGERDLACACCGVALESGFYSPPFLLPTPAPRGSDCGHKEEEETARPNGDVVFVSEEGPVIELFDEKPLLGDDSIHVFAQGAEIAANVERLVPLESIDSLAVDMASVSSQSCGEMKEAVDHVKQNDVVTENKVNANEEKIVLTSDDDKGDGVVDRLIDERIADVALMSACMEGTFDDGINAGETIEGFDDQQSPEEDSGLKDKDMKISIEDEVSEDDQVEQVIPQQKLYTMPRDPSDHEFVEMLDRSVEVEHFQQAELKQKLNLMTMEASVHVSVTQPEEKKVQQAEVNQELDSIPIHPMEHSGEELEGEKTAQAGLEQEWDSVPVDSREHAFMTSYAHTDDEQAERKQKVTSVMEDVLEYAADTFNDDTNTWKGDIEEDPTEAALTSIYQISYEPLTILDKFAHDHSVIEEEGESETPTHIEGICDSQELLDSKAAVSDAKSVDSSVATMPTDLESIEFVSVDQLKSALASARKSLNSLYAELENERNAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKREKEKQDLERELEMYRHKVHLYEAKARKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDVVLHETARHLVTLDGSLADFEEERLSILEQLKVLEDKLFDLDDEESDNMKTDKHFSEENHLNGASNGFSDDDSCFKLHDKRKSVTYRGKKLLPLFDDATVEPRNILLNKQGDDADQLAEVTLDLAREQDKLAIANEIDQVHERLHALEADREYIKQCVRSLKKGGKGFDLLQDILQHLRDLRRIEQRARNSGELSPHYLHLYTG >PAN49354 pep chromosome:PHallii_v3.1:9:60739664:60747153:-1 gene:PAHAL_9G443300 transcript:PAN49354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGDGRREGALGALAVLPDEVLCAVVDLLPPADIGRLACVSSVMYILCNEEPLWMSKCLSIGGPLEYKGSWKKTTLSRLSLCSENDENWQKPRQFDGFSSLYLYRRWYRCFTSLSSFSFDDGHVEKKDDLLLDQFRSQYDGKSPVVLTKLAETWPARTKWTLHQLTKDFGEVPFRISQRSPQKITMKLKDYVSYMELQHDEDPLYIFDDKFGESVPALLQDYSVPHLFQEDFFDILDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVNDEDGDVDIETPTSLQWWLDIYPHLPEHEKPLECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNQSNFQHVCLDMAPGHRHKGVCRAGLLAVPGNSVKDNENHPSVPMSGWNHPDMSRKEKRFKGSESLRTSNSINHCSAFEFSGVQESLENQEFSYDIGFLSQFLEKERDHYSSIWSPTNSLGQREAREWLRRLWVLKPELRELVWKGACLAINVDKWYSCLEEICACHSLPLPTEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLAYSVHGLGTELEFYDLLQKSGSPLINHIPEIIASGFLEYEDDIYRTVPWDGKGMPDVLAKHYPLEVSYANSCFPLGLWSKQRFGTSSSPDVLNRPIWPYMVTRKCKGDIFARIRDVLSKTDILPLASSLGVQMRNIHLLPLPHMEHVPKSGNSDVKDCDDATVLPEWQQLVSTLNRRMKNVKKHLANWGNSVPQVLIEKAEGYLPPNMDFLIKFVKDDGDLVHPLPSWIHSDIMDDNILIEGITESSSSSSSKGSHEADPEKMSAIHIIDFSDLSIGDPLCDIIPLHLDVFRGDTDLLREFLRSYQLPLLRGESNTDIYKSVKNSKFSRASYRAMCYCILHEDNVLAAIFSLWKELRAATSWEDIENFVWGELNQYQESCTP >PAN51671 pep chromosome:PHallii_v3.1:9:71693349:71695627:-1 gene:PAHAL_9G611600 transcript:PAN51671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >PAN51370 pep chromosome:PHallii_v3.1:9:70347663:70350369:-1 gene:PAHAL_9G589500 transcript:PAN51370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRRVLVVVSPELAAFGYDGVGSFASLQDAVDAVPLNNQVRTIIRIAPEVIGTGTFSATVIVEGDDFVAENVIFKNSAPHVSGQAAAACITADRCAFYNCRFLGWQEILHLHGEKHFFKNCYIEGNYDFIFGDSTALLEHFHIHCKSTGYITAHGRKCVITGNGEAANMYLGRSWEPFGRVVFAETFMDHCIEPAGMSERVALCKELIGDEAIPFLAKTFVDPDVQNPWLLHRSGTKLPVSTASP >PVH32000 pep chromosome:PHallii_v3.1:9:29831109:29832290:-1 gene:PAHAL_9G286900 transcript:PVH32000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLTRKDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKITENRTIIQYLPYVTRWDYLATMFTEAITVNAPEFLENIQIPQRASYIRVIMLELSCIASHLLWLGPFMADLEAQTPFFYTFRERELIYDLFEAATGVVEYQQLITRNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGTQWDLHKIDPYESYNQFDWKVQWQKEGDSLARYLVRIGEMRESIKIIQQAVEKIPGGPYENLEARRFKKAKNSEWNDFEYPFLGKKPSPNFELSKQELYVRVEAPKGELGIYRVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >PAN51306 pep chromosome:PHallii_v3.1:9:70092014:70093622:1 gene:PAHAL_9G584500 transcript:PAN51306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSTSTEKLVCVVVAVLAVLSPLYIDRRPAAESEDEEEDGGGSALWLPALLVVLILAINVTCFMDRRVVRFDPYWIHRVWGSSGGLMAMLLLLGFVLKCKASLYV >PAN44959 pep chromosome:PHallii_v3.1:9:5291211:5292625:-1 gene:PAHAL_9G092600 transcript:PAN44959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATRNRVMSTWRLSGLAETGRTPVDCVEIPPMQLSVQRCCPGRQGHLRAGCYAGILKRLAGGRTAGQLVTRVATVHSACQRLRCLSWALSHRGRVAKRSAMAGAAAAAERRAIRVLLVEDEEIHRVLARALLRAGGGVVLDEAGNGAEAVRRVREGGAGAYDLILTDRQMPVMDGHEATRQIRALGVTTPIVGLSSDCLAADVDAFIKAGADDFTPKPLSKEKLNRILAKFNLA >PAN48418 pep chromosome:PHallii_v3.1:9:55159990:55163078:-1 gene:PAHAL_9G380800 transcript:PAN48418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFASTAKRQHPGYEDPVQLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNQRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADANQDGKIDRAEWESFVTRNPSLMKIMTLPYLKDITTTFPSFVFNSEVDDLVT >PAN48063 pep chromosome:PHallii_v3.1:9:43786729:43789732:-1 gene:PAHAL_9G334300 transcript:PAN48063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRPRRRVHVPPPPPPPLPLDDDDLLSETLLRLPPHPTCLLRPSLVCTRWRILLRDPGFLHRSRAFHRTPPVLGLYPTCLLRPSLVCTRWRILLRDPGFLHRSRAFHRTPPVLGLFRISQRSGCFVPVGEAPDRVPAAGFALPDATGWVLLSCRHGRALLRSRPGWLQLLVWDPITGHRRCVRLSRLGSHVKACNATVLGDPVGLGRREGSFLVAFVFTGNGRASACVYPSETSAWCRLITAETPCDDVCDKPSALVRDAVYWFLDEGGILELHLGKESFASVEPPPHAQSLYLWNIQFMEAEAGVLGLADVKQYSLHLWAREADRDGNANWVLCTAIDLSVFAPPPGVIPRIMLVPPIKILGVDEGGDFAFLRTILGICMLSLDAVAVQLKKVSDADLLEIVCPYSSSFVAGRVGGGGEGGHGNSKTEP >PAN45837 pep chromosome:PHallii_v3.1:9:8961901:8965601:-1 gene:PAHAL_9G146100 transcript:PAN45837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPAVRTFSPSSSSPAGPRPCRVLAGPAPRRARSLAAPHPPRAVASSRAPLVVSSPPPPPATSGTPATTPAHAKVDRSGRFCSPRAARELALMISYAACLEGADVVRLFDRRISARREPGYVFDKACLLSYNHMSFGGGPLEVGTEEEAEKLTSQNEMDSANEAEVLSAPPKLVYNNFVLRLSRELLVAVASGWDKHVDIIDKIIPQTWKDEPVARILELCILHIAMAEMTSKGTPHKVVINEAVDLAKRFCDGGAPRVINGCLRTFVKDHVDNTGTSQAAESKA >PVH32809 pep chromosome:PHallii_v3.1:9:64066437:64071418:1 gene:PAHAL_9G493300 transcript:PVH32809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERDIDDLPRNDANYAALTPLWFLERAALTHPARASVVHGPVRYTWADTYSRCRRLASALARRSVGHGSTVAVIAPNIPAIYETHFGVPMAGAVVNCVNIRLNAATVAFLLEHSSAEVVMVDQEFFSLAEESLRIIADQKKGAFKQPLLIVIGDQTCDPAALQDALRKGAIEYEAFLESGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSAAVVWGMNEGAIYLWTLPMFHCNGWCYTWALAALCGTSICLRQVSAKAIFSAIAHQGVTHFCGAPVVLNTLVNAPPADTILPLPRVVNVMTAGAAPPPSVLAAMSKLGFRITHTYGLSETYGPSTVCAWKPEWDSLPADERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGTTMGEIVMRGNGVMKGYLKNPKANAEAFENGWFHSGDLGVRHADGYIEVRDRAKDIIISGGENISSLEVEKAVYLHPEVLEASVVARADEQWGESPCAFVTLKDSVDRSDEPALARDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >PAN47003 pep chromosome:PHallii_v3.1:9:12987290:12990625:1 gene:PAHAL_9G203500 transcript:PAN47003 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MAAPKPFSRLISHVILDLDGTLLNTDSVVSKVVKPFLVKNGKTWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMINPMFSEQWCNIKPLPGANRLIKHLRSNGVPTALASNSPRSNIEAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFSEAAKRMNTAPSNCLVIEDSLPGVTAGKAAGMYVIAVPSVPKKTAEFSTADEVINSLLDVRPENWGLPPFNDWIEGTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVVSEHTSGVYFGWAGLSTRGVYKMVMSIGWNPYFDNTEKTVEPWLLHDFGEDFYDEELRLAIVGYIRPEANFPSLESLIERIHEDGRIAEKALDLPMYAKYKDSPYLRNPLQQGSTTDGSQAELNSK >PAN51638 pep chromosome:PHallii_v3.1:9:71537437:71539779:-1 gene:PAHAL_9G608900 transcript:PAN51638 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDD1 [Source:Projected from Arabidopsis thaliana (AT1G04110) UniProtKB/TrEMBL;Acc:A0A178WPN6] MEVVRLLMIRRSFLLLLLLPVLGAAGTLLQTYIVQLHPHEGGSEAVSASGSRLGWHRSFLERSVAWEQEKRPSSRLLYSYHTVFDGFAAQLADAEAAALRALPGVASVRADRRVELHTTYSYRFLGLNFCPAGAWARSGYGRGTIVGVLDTGVWPESPSFDDRGMPPVPVRWTGVCQGGERFNVSNCNRKLIGARFYSKGHRANYPTNPSEAVSLLEYVSPRDAHGHGTHTASTAAGAAVAGASVLGAGAGEARGVAPGAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATARGVSVVCAAGNNGPARSSVANEAPWVLTVGAATLDRRFPAYVRLGNGRVLYGESMFPGEIGLKKGGKELELVYAVGGTRESEYCLKGALDKAAVAGKMVVCDRGITGRADKGEAVKEAGGAAMILANTEVNRQEDSIDVHVLPATLVGYKEAVELKKYISSARRPVARIVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVIAPGVNIIAAWPGNLGPSGLEGDARRSNFSVLSGTSMAAPHVSGIAALIRSAHPSWSPAMVRSAIMTSADITDRQGKAIMDGDGGRADVFAMGAGHVNPARAVDPGLVYDIQPGDYVTHLCTLGYTHMEIFKITHTGVNCSALLQKNRGFSLNYPSIAVAFKNGAKSAVLRRTVTNVGTPNSAYTVQVAAPPGVKVSVAPTTLSFAEFGEQRSFRVTVEAPSPPAAKDCAEGYLVWKQSGGQGKHVVRSPIAVAWVVE >PAN50227 pep chromosome:PHallii_v3.1:9:65033669:65036797:-1 gene:PAHAL_9G506600 transcript:PAN50227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAPLAMPHAAASAGPRPAAAPVAPRPPAMCLRARAMVGVSLLHQRPAQRARRRLLPAARPGAIGSAAEAAPAEGLAQKLQGVEVFDLSGKAVPIVDLWKDRKAVIAFARHFGCVLCRKRADLLAEKQDVMQAAGVALVLIGPGSVDQAKAFCEQTKFKGEVYADPTHSSYDALEFAFGLFSTFTPAAGLKIIQLYREGYRQDWELSFEKNTRTKGGWYQGGLLVAGPGIENILYIHKDKEAGDDPDMQDVLRACCS >PAN50226 pep chromosome:PHallii_v3.1:9:65033360:65036935:-1 gene:PAHAL_9G506600 transcript:PAN50226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAPLAMPHAAASAGPRPAAAPVAPRPPAMCLRARAMVGVSLLHQRPAQRARRRLLPAARPGAIGSAAEAAPAEGLAQKLQGVEVFDLSGKAVPIVDLWKDRKAVIAFARHFGCVLCRKRADLLAEKQDVMQAAGVALVLIGPGSVDQAKAFCEQTKFKGVYADPTHSSYDALEFAFGLFSTFTPAAGLKIIQLYREGYRQDWELSFEKNTRTKGGWYQGGLLVAGPGIENILYIHKDKEAGDDPDMQDVLRACCS >PAN48900 pep chromosome:PHallii_v3.1:9:58069356:58072350:1 gene:PAHAL_9G411700 transcript:PAN48900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPLLPLLVFLLLLQSSSSVQAQQRINLGSSLTPEGPSSSWLSPSGDFAFGFRQIDGNSSFFLLAVWFDKIGDKTVAWYAKTSNDSEAGPVQVASGSRLQLSGNGVLSLQDPTGAQVWSPKVAGAAYARMLDTGNFVLAAADGSTMWGTFDSPADTILPTQVLTPGMTLRSRIIPTDYSGGRFLVNITTDGILYCLVALPSSNHDGCSWYMKGETKRLVFDATGTVYADFDNGTQANVTYGMIDSLASSYYRATLDSDGVFRQYSYLKISSEWSSASGWTVLDFQPRDICKIMVSVGSGVCGFNSYCRFDGTISQTTCSCPPHYSFLDSNRKYKGCKPDFQTHSCDMDEESAMNEFDLISTDYADWPLADYEQYSPISESECRRLCLIDCFCAITVFHQNSSTCWKKKLPLSNGKMNNDVQRNLLLKVPKNTSSSKPSVTASSKWKNDKRSWILGSSLFLGISILINLLLIFALFLNPVHFTNTTKKYPSVEPSSNLGLPIKVFTYEELEMATDGFQMVLGSGASGIVYMGQLQDEHQTCVAVKKIDKLERETEKEFTIEVQAIGQTNHKNLVRLLGFCTEGKERLLVYEFMANGSLDRFLFGGIRLQWNLRAQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDENFSAKISDFGLAKMLRTKQSHTNTGIRGTRGYVAPEWFKSAGITAKLQVWQGGSHG >PVH32493 pep chromosome:PHallii_v3.1:9:58069370:58072350:1 gene:PAHAL_9G411700 transcript:PVH32493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPLLPLLVFLLLLQSSSSVQAQQRINLGSSLTPEGPSSSWLSPSGDFAFGFRQIDGNSSFFLLAVWFDKIGDKTVAWYAKTSNDSEAGPVQVASGSRLQLSGNGVLSLQDPTGAQVWSPKVAGAAYARMLDTGNFVLAAADGSTMWGTFDSPADTILPTQVLTPGMTLRSRIIPTDYSGGRFLVNITTDGILYCLVALPSSNHDGCSWYMKGETKRLVFDATGTVYADFDNGTQANVTYGMIDSLASSYYRATLDSDGVFRQYSYLKISSEWSSASGWTVLDFQPRDICKIMVSVGSGVCGFNSYCRFDGTISQTTCSCPPHYSFLDSNRKYKGCKPDFQTHSCDMDEESAMNEFDLISTDYADWPLADYEQYSPISESECRRLCLIDCFCAITVFHQNSSTCWKKKLPLSNGKMNNDVQRNLLLKVPKNTSSSKPSVTASSKWKNDKRSWILGSSLFLGISILINLLLIFALFLNPVHFTNTTKKYPSVEPSSNLGLPIKVFTYEELEMATDGFQMVLGSGASGIVYMGQLQDEHQTCVAVKKIDKLERETEKEFTIEVQAIGQTNHKNLVRLLGFCTEGKERLLVYEFMANGSLDRFLFGGIRLQWNLRAQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDENFSAKISDFGLAKMLRTKQSHTNTGIRGTRGYVAPEWFKSAGITAKVDVYSFGVILLELICCRRNVESDVAEEDKKILTYWATDSYRCGRVDLMVEGDDEAAMDLKNVERFVEVALWCLQEDPAIRPTMRKVTQMLDGAAAIPTPPDQSSFVGSFLQHLT >PVH32865 pep chromosome:PHallii_v3.1:9:65160790:65161731:-1 gene:PAHAL_9G509000 transcript:PVH32865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRALQMLTCFSIAVPVPLPAGEEAAHGSAKKRRWSGEFKRKIMASVRRERIRAVREEEEEEEEEACDVDDVEKQRTPQRLRPALKRGRSPRDHGAPEAIAAAVDGIRKRTGLRVRFVLPADQETSAAPVEPPPFPTGVLGEPPESFRHSGPEMTAAFLASVAADAGSAATPVGHELVARWTERKEACSRRLSYLRDYCPFQSEEDEESAPETATTLPAEPDQADNCTFKREEDEESAPETATTLPAEPDHAEALPRVKTGLAFDSPEAEAEFVKAIRARYHLLSAQGPLHIPLCVREEPRDLYLMRRAGRA >PVH31624 pep chromosome:PHallii_v3.1:9:12402644:12405257:-1 gene:PAHAL_9G194100 transcript:PVH31624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVTAMARHLRREVTALAERHVVSRFTYTVRIQVKELSLEEKVVRADLDGRAIPEDQDHQQHPRQQRHRPEWCLKCSARDQVQRFFTFPFLPELDKHVPLMDEIDTKVNNANLEIKRTNEVMRYMARPAARADCCMPICTTYITLL >PAN44288 pep chromosome:PHallii_v3.1:9:1810840:1813732:-1 gene:PAHAL_9G032200 transcript:PAN44288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKAEGEADAADAQKSACCGS >PAN51290 pep chromosome:PHallii_v3.1:9:70044635:70045547:-1 gene:PAHAL_9G583400 transcript:PAN51290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 1 [Source:Projected from Arabidopsis thaliana (AT1G10460) UniProtKB/Swiss-Prot;Acc:P92998] MRASADHAASITHRILLSLFLLATLGASDPDLLFDYCVADPVAAASFHLNGLPCIDPAAARAEHFATSALSRATNPSATLFGFNVTLTSPATSLPGANAQGLAMARIDLAPGGMAPPHSHPRASEVALVLAGSVLVGFADTSYRLYTQLLRAGEAFVFPRAMVHFLYNMDVAAPAVVLSGLNSQSPGAQLVPLSAFRTEPRMPEEILKKAFKINGQDVHRIQRNLGG >PAN45395 pep chromosome:PHallii_v3.1:9:6759333:6760492:1 gene:PAHAL_9G115600 transcript:PAN45395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHCAAAPTVSPPAARPCRLHHGACGAAFSLPHLAAAPARALGLGHLPRLLVGAGDARADRAVFRVRRRVRYEEDEDEDDEEWGHNEDVARMERYTEDARDQALLVKARVDDEVEVVLVFKGFSSSLSGGTAADPSRSILPERAIIQSVDVVKGPFDPNNIEYLEKGVEWDDFKSRLQ >PVH31060 pep chromosome:PHallii_v3.1:9:2700226:2701058:-1 gene:PAHAL_9G048400 transcript:PVH31060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNAAEMQCSVAFLDPQVFTATVISHQPSTVTQAIKNAMKNDYVVGAYNTGGHWVTVIISMKYKEVWYLDSAKLFPGRKFTDVRHIVNWAFDARMEEMMKANKKRPKTKPKLTHRIDVKCAQQPSGTFLCGFYVAFNMLKLVGDIPIMKKAADFNAALTVSIEDLKPVREMLCEFILKETLDPKGNFYSAF >PVH32084 pep chromosome:PHallii_v3.1:9:34739434:34740591:1 gene:PAHAL_9G307100 transcript:PVH32084 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S16, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00050) UniProtKB/Swiss-Prot;Acc:P56806] MVKLRLKRCGRKQQGRDLQKVGFYDPIKNQTCLNVPAILYFLEKGAQPTRTVYNILKKAEFFEDKERTLS >PAN46229 pep chromosome:PHallii_v3.1:9:10745963:10747153:1 gene:PAHAL_9G171400 transcript:PAN46229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASTTGGGGRLSRSASAIVAVTESGQHLLRIDGYSHTMDVPTGSDIKSPPFRVGGHSWRICYYPNGLSSAWTEYISLFLQLGGDAPQGVWTRHTFSLLGREGKPVPYFTNSGKKIFTDWGTSAFIRRSELERSEHLRDDSFTIRCDVTVVREIQTKTVDVGAAPVVPPPDLHRHLGGLLATGEAADVAFEVDGKTFMAHRCVLMARSPALRAQLSGLSEESADAGGGSTIAVRIEDMEAQDFEALLRYMYTDSLPEMGEQGEAAAMLPDLVAAANRYGMERLRLLCEDKLRELVDARTVAAILAFAGEHHCHGLKEACLRFLSDPENLREAVKTNGLEHLSKSCPSVLVDLIAKLAAE >PAN46568 pep chromosome:PHallii_v3.1:9:12423859:12425579:1 gene:PAHAL_9G194300 transcript:PAN46568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQVDLARLLPDDVLAEVLRRVAPRSLAVSRCVCRAWRALIDDRGMLHADLLPHSLAGLFISYMNRLYAKFFARPSLDYGYRMPSDTIMDHCNGLLLLYDDWLYNPATDEVANLPERPTTPRAGMEFVSEHDVCSFLAFDPTVSSHYEVFLIPSVPWEEDIVKRAAGSAVLHSEWPPSPCILSVFSSRTGLWEDRSFSRQGEAAGTVADIQLDQRSEPYRHAEYWRGALYIHCQTDFVMRISSSNSTYRVIKPPIRGTEVLTRSKYPEFFLGKSEKGIYYALLDDNHRLRVWILDESCDQTQWKLRQDTDLGPFPSLSGDHGPWVLENVSSDYERKDEDVYEEQMEEEFEWNSDDDNIPPTNDMEEKCDAVMRILAFHPYKEIIFLDRSSRVLAYHLSSSKLEALGNLLPNGYVTMHAYVCSSFPYTPCRMRELLQTFK >PVH31478 pep chromosome:PHallii_v3.1:9:9565088:9569937:1 gene:PAHAL_9G155600 transcript:PVH31478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTSEEEAALRAGIARHGVGKWRTILKDPDFSSTLCYRSNVDLKDKWRNMNVIVSTSSSRDKGKAAVKKIRTTPKNNEHTTAISIVTSDIDDEIVDDKPVASVPSEAQNASNPKKSHSRLDNIIMEAIKSLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLATIGKLIKVNRKYRIAPSSPNLEGRSPKMLLLEDLQREPIKMGNNDSKTLTRSQVDAELARMATMTAEEASAAAARAVAEAEAIMAEAEAAAREAEAAEADAQAAQAFAEAAFLTLKNRNAAKLMAQA >PAN45070 pep chromosome:PHallii_v3.1:9:5189421:5192820:1 gene:PAHAL_9G090900 transcript:PAN45070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWRPAVLLVVALAAVLSAARRADALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVHTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRKRVMFYTMAEYLAFMAASALQVVYIRRLFSKNVAYNRV >PAN48599 pep chromosome:PHallii_v3.1:9:56552639:56553841:-1 gene:PAHAL_9G394200 transcript:PAN48599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPSRRAAMAAAAPPYPGSARARPRRLPGDHIIAALAPHLRFADHLAHRIVCRSWRRGCRLIGRAPPPFPWLMLPPTAASGAAPDPGTPQRRVFYDIPGGRSYAYPVPASYRYVASRGGWLVLVASDPPRRLVVLNPITAMRLVVSWPFGEDPTEGFHAVLTASLADPMCFLAVATDRLVKYCRPTLGGGDWATLRAPGFRYDTACSDLVSVGTMVYLMDERRKLWRADLAAAEPKVERRDTAFVLPPGERWRHYLVESLGHVLLVVSDDHHKRIGLYRLNWDARLWVRMPASGLGDGVLLLGRGCSAAVPASAAAGRLPGSVLVVRQPWRSTFVHMGLNFSGDGGGEQPWFWTESRLGAGLDDDQLVMRKTVPQRPGKFTTGDSFWFFPAIDQSDCP >PVH32200 pep chromosome:PHallii_v3.1:9:48035829:48039063:-1 gene:PAHAL_9G344600 transcript:PVH32200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVAGRGGRSRPSSREFGRSGRSGAVEQWTSASGSGRPPPVLDPDFDRGKRGRRRGRGSGRPTSVEPFSGGAAAGRPSAAGRQSQRGGTMVWRPRRPQSPAQPGFAEGDVVAALDNEDILGEILLRLPGRPSSLPRAGAVCKRWGRLATDPGFLRRFRVHHRKAPLLGFFSHNRGKIGFTSVLDPPDRIPAAGGFSLRLQRGSRVYGCRHGRILVVTGNPFSFLVWDPVSGDQCRGHVHGTCHSCPFLVVFLGRCGDEIMVWVYSSETGTWGDAISIMWLSPFDPDDFACCNTLVGNSIYWLFNESSMAILEFDLDRQCLAKIEVPLEVIDLDTSVRDECQFLIMLAEGGYLGFLILEGFNARIWKRKDKCDGNNGWVLRNTMKLHLPLKRAHRYPPEIVGFAEDYNVVFIATGGGVVFMVHLESAQFKKLPQKLGYRMCYPFTNFCTAGPAPMLSSVPPAMREDDLLTHIEVVKHQELNLIIEDREVDRASAGRRRSVRWRILLLKDNESNYGCLTWFTIDCMFI >PAN50324 pep chromosome:PHallii_v3.1:9:65388052:65389533:1 gene:PAHAL_9G512600 transcript:PAN50324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTMRRGCVLLVSLALLPLLGMAVDSIGSYCAGSNKAVASINSVLADLVATASTGGYATSTAGKGSTVIYGLAQCRGDVSAGECAACLADAAKQLPSTCSYSSDARIWYDFCFMRYENADFIGQADTDAGVILVNVQAVDNAKAFEKAVAKVVGKATAQASAAGSAGLGRAKDQYTPFVTVYGLAQCTRDLAPLACAQCLSTAVSRFGGYCGARQGCQINYSSCRVRYEIYPFYFPLAGDGGRAATDMARNTKIVVHP >PAN44491 pep chromosome:PHallii_v3.1:9:2647301:2650915:-1 gene:PAHAL_9G047200 transcript:PAN44491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLTLSSTALLRLIKSLSPAAGPRAHLTASAIHCLLFKEGLLHVGAHLPTALLSAYAALGRPRHARDLFDEMPEPSLVARTAMARAHAAAGQAAQALAVFGDMLADGLLPDNVALAVALAACHGVSSSSAAARKPGKMVHALIVTSGIVPDVFVSTELIRVYGECGELSVSRWVFDDMPVRSIVSWNAMVHQYVRHSNVGAAYELFLAMPRRDVVSWNTMIAGYCLIGQCREALELFRQMVSPSSCPVHPNGPTMSTVLAACAGAGCLETGIWVHAYIDRNRMNDDGSLDRSLIDMYAKCGSIEKALQVSEKAPGKRDLYSWTTVICGLAMHGRAADALRMFDMMQDNGIHPDDVTLVGVLNACAHGGLIDKGLHHFYSMEERYAIVPKIEHYGCIIDLLGRVGRLQEAYSMIRTMPMKPNAVIWGAFLNACKVHSNVELGEIAAAEVSRLDPNEPWARVMLSSMYAKAQDWTSLARERKEMNSLQMKKTPGCSSIELDGEVHEFVAGGFQHPQLGEICTILENIEAQTHAG >PAN46699 pep chromosome:PHallii_v3.1:9:13391153:13394499:-1 gene:PAHAL_9G208200 transcript:PAN46699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKRDDRRRWRLWRWAVVSFVLATAAAAAAAVEAGGAEATELDLEERRDERRDLLALRDTLRSALDLHSNWTGPPCHGGRSRWRGVSCDGDGRVVGVALDGAQLTGSLPRGALRGVSRLQELSLRGNALHGALPGLEGLPRLRALDLSSNRFSGPIPRGYATTLRDLARLELQDNLLNGTLPAFRQRGLVVFNVSYNFLQGEVPATRTLRRFPASAFDHNLKLCSEAVNAECREGTPSSGAPAAGAGSDGPVVRPAGDRDRPARKHTQFWLATWSVVAMSLIAALVPFAAVLIFMHHKKKGREVRLGGRGAEVTGAGDIKDKAEQGRGSGSRSTDSGKGAELQFFLDDSARFDLDELFRSTAEMLGKGRLGITYRVTLEAGPVVVVKRLRNMAHVPRRDFTHTMQLLGKLRHENVVHLAAAFYSKEEKLVVYEHVPGCSLFQLLHGNRGEGRTPLPWPARLSIAQGMARGLAYLHQSLPYFHRPPHGNLKSSNVLVFFSAPAAKGKQQQKQAVPKLTDHGFHPLLPHHAHRLAAAKCPEFARGGGGRRLSSRADVYCLGVVLLELVTGKVPVEEDGDLAEWARLALSHEWSTDILDVEIVADRGRHGDMLRLTEVALLCAAPEPDRRPKVQDVVRMIDEIAAGDGAELAGR >PVH33197 pep chromosome:PHallii_v3.1:9:70519960:70521275:1 gene:PAHAL_9G593100 transcript:PVH33197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLNTFVQGYSTLAEAHVLPTSHGDYAAAAAIIISYVSSTANPTAQILFGGTILGTSPAPSIAFFSSRGPSLQNPGILKPDITGPGVNVLAAWPFQVGPPTGSPPLPGPTFNVGSGTSMSAPHLSGIAAFIKIKHPDWSPAAIMTTADVTDRAGYPILDEQREPADLFATGAGHVNPEKAADPGLVYDIAASDYVGFLCGLYNSQNVSVIARRPVDCSAVPMIPGSMLNYPSISLALEHARNWSTPVTVERTVRNVGEVPSVYYAAVDMFDGDVTVDVVPRELEFSQENQEQRFEVVVWARRNGAKLVQGALRWVSDSYTVRSPISISFP >PVH32256 pep chromosome:PHallii_v3.1:9:51281560:51282384:-1 gene:PAHAL_9G354800 transcript:PVH32256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILQRKRTKKKRFPVHVSSPMEYGSSIADDCCLYKSYYPALDSSSPGWMAVLVDHRRASLSNEK >PVH31679 pep chromosome:PHallii_v3.1:9:13515107:13516405:1 gene:PAHAL_9G208900 transcript:PVH31679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCKMDKGMRPKRIGGLGVLDMEYFSRALRLRWMWFQWTDPDRPWVGSEVPCNDVDRQFFRASPRVTMGNGARASFWDSSWLDGRAPRDLAPSLYKLAWRKNRTVREELQDNNWTRGLWRMTTTDEMAELVPLCGLIQQVQLTDQPDEIWWKWTPDGVYSAKSVYEAHFKSSYCTFNSRAIWRAKAEGKHRSFAWLLVQQKILTADKLIARNWPCDPVCPLCDQEQQTAEHLCLHCVFAREVWMLVSGWSNGLVQAPNPGSTLEEWWNTSMQGQSKEMGRQETPTRK >PAN48559 pep chromosome:PHallii_v3.1:9:56189210:56194273:1 gene:PAHAL_9G390400 transcript:PAN48559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILPETASDGKALTDAWDYKGRPASRASTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASPDCVAANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESHDGERGKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGVCAAGILVGLAVFLLGTRRYRFKKLVGSPLTQVAAVTVAAWNKRALPVPSDPDMLYDVDDAAAAGADVKGKQKLPHSKECRFLDHAAIVDGESPAAASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAELMDRGIGGSGFLIPAGSLTVFLIGSILLTVPIYDRLLAPVVKRLTGNPHGLSPLQRVFVGLFLSIAGMAVAAIVERHRQTTSVHGVTITVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVDKVTGHGGGHGGWLADNLNDGRLDYFYWLLAVISVINIVLFTFAARGYVYVEKRLADAGIELADEEAIAVGH >PAN49570 pep chromosome:PHallii_v3.1:9:61560370:61563183:-1 gene:PAHAL_9G456800 transcript:PAN49570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTADAGEHVVGSGVPEDQQPPKTLVDWALQILSTADPDEKACLGDLAASLWLRGDIPLPYDPSRPARQPPDRPARSAEVRLLPPSRMPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIVARFGAQMRMPREFFDDFARVAQDEGRHYTVLSARLRELGSRYGALPAHDGLWDSATRTAHCLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEQTAKLLEDIIYPEEVTHCAAGVRWFRYLCLRPSSDDGPISYSVPQSEPQSPGLPGVGTADDKAVRDVRDEPTSVQQIENEPTSKLSQDVNSRDNMTQQVEDGLAKCKLGDDVDKDEAAVIQTFHRIVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEVYVEGETDN >PVH31944 pep chromosome:PHallii_v3.1:9:22274787:22275623:-1 gene:PAHAL_9G268800 transcript:PVH31944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAREESFGMASSREMGGASGELRLPSSIWPGRRVARAENSDSAFLYLGAKRAAAGEDGYACVGHER >PVH33133 pep chromosome:PHallii_v3.1:9:69525386:69527183:1 gene:PAHAL_9G575900 transcript:PVH33133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSSNAPAPRRHWLSPPVILSAGLVLLGAGGSLLIRAYFVHGGQRLWLSTMIQVSGWPLLLPPLYISLLLRSRKDGGVVADRLLPPGLVGAAAVLGALFAAACFAYSLGSRVLPLSTSSLLQATQLTFNAVSGVLFAGLRFSPFSVNAVVLLTVGPAALGFAPSSEKLAGEGPAAAYWTGFFECVASAALLGLVLPLVEVAMSRYGRRSGPAASRVPSSYATVMQIQAVMGAAGTTVCLAGMAIAGDFQAIPREAAAFGLGETNYYLMLVFGAVSWQLSNLGIMGLIVCSSSLLAGIMMALVLPLSEVLAVVFLHEEFDGVKGIALVLSLWGFVSYLYGESAQKTAEPRRSGDLDSSTCCPLMP >PVH32622 pep chromosome:PHallii_v3.1:9:60881391:60885375:-1 gene:PAHAL_9G445500 transcript:PVH32622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCQRCSPLTFKIRKDAFAIQLFCLILVQAPLADEAGRLQEFSRLRMEMQPDGVDNEMLMSGSMDHPIYVGMQDGLKKVDKISELPGQPGKAVFDQYAGYITVDATTRKALFYYFAEAAEEPSTKPLVLWLNGGPGCSSLGGAMLEIGPFFVNRDNKTLSRNKYAWNNVANMLFLESPAGVGFSYSNRTSDYNNTGDRSTAADAYTFLINWLERFPEYKGRRFFITGESYGGHYIPQLANTILSNNKIMNTTMVNLKGVAIGNAYLDDDTNTRATIDYYWTHAMISKETHKAVQENCSFNRTYTGLCRTAIEEANNEKGLIDQSNIYASFCWDASAPQQQHASVTNIDPCASYYMQSYLNRQEVQRAFHANTTGLKQPWSDCSHIISPENWKDAQVSMLPSIEHLISSGVSIWLYSGDIDAVCPVTSTLYSLDILGLQINSSWRAWYSDDNEVGGYVVEYKGLVFATVRGAGHMVPTYQPQRGLTLFSSFLQGKLPPE >PAN47053 pep chromosome:PHallii_v3.1:9:15164715:15168639:-1 gene:PAHAL_9G230600 transcript:PAN47053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQRNRPHTMFASGPPTQGLPNGGPPVPRPYVNGTVPGQIQHIRPPPPGPVGQFPPPMQMHGQPAWPAPPHSAPPPMPQQLQYRLPVRPPPPNMMPPPVGMVRPPPPPAGMSAPPMWMPPPPPPQQSGGMPPPPMSMPPPPPPPSG >PVH32431 pep chromosome:PHallii_v3.1:9:56689310:56690335:-1 gene:PAHAL_9G396200 transcript:PVH32431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSCPVCRCAVASLYDADRARDAAAVAVGRDGAYGGDDDQEAVLERVVAMIEAIRDEQRGEEAAARAAGGDGGS >PVH31893 pep chromosome:PHallii_v3.1:9:17901991:17903592:1 gene:PAHAL_9G254500 transcript:PVH31893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPRSSIFLFLLLPTILAVASLQVKVSGQSIPWPWPCPYECPSQNETRLHLFSHQFPALPGVPNPNEVSVASPPGSAIGFGQMYVHDWILAEGPNPNENVVGRLQGFHIQAGQAFTSWYTSHIMLFQNGRFAGSTLEVLGLTGNPTDELSITGGTGVLTNAHGTAKFTSSQSSTSTDAIREVDIHVFYTPETPTTV >PAN51663 pep chromosome:PHallii_v3.1:9:71655710:71657830:-1 gene:PAHAL_9G610900 transcript:PAN51663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLFLFIELLPVLCFLILYYHHLQSKKTSPLEPTEWPIVGHLPGLVANIHHFHNWATGILTGTSYNFEARGGLTGLRYFITCDPSNVRHIFTSNFANYPKGDEFAVIFDVLGGGIFNADGESWRRQRVKAQMLMAVPRFRAFTARCSRDKVEKSLLPFLAHAADEGTPCDLHDAFLRLTFDMTCALVFGVDPGCLAIGLPVVPFARAMDDALETLFLRHITPCWKLMSRLEIGQEKKMAAARRTIDSFVADTVAKRRADKLEQGISDSADLLSSFICNEDSSDNDDVFLRDTTVNLLLAGRDTTGAALSWFFYLIATNPRVEQKLLDELAPVASRKGAAASGGMVTFDASELGNLVYLHAALCECLRLYPSVPFEHKAVVADDVLPSGKEMKAGDKVLVFSYSMGRMEGVWGKDCAEFRPERWLSEEAAGTKKKKLRYEPSYKFISFNAGPRTCLGKEMAFVQMKTAAAAVLWNFAVELVPGHVVKPKLSIILHMKDGLAVRVRRRDGVARHG >PVH31329 pep chromosome:PHallii_v3.1:9:6746533:6749605:-1 gene:PAHAL_9G115200 transcript:PVH31329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPWGPPRSLPPSCPVSTEGDPRIPKSTSQTQRIERASTVVSDPCRAPPPPPPPHPPHRFVDSIGCFPRQITTSRMASNAPAPQPQPKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKAGDDTKGPEREKAKELLELSKIAKQKAFSPPGSSIVSTRISQGAAKSQQGSSKAGQVSVSPPAVKQNASASLAHGSQAKAIPSYMDDFKYGFPSSGLSCETMKWWGGSSDSDCAPTKDGSCEAHGEASKSMTDDDELDWGADEAEAEADGAVTAEASAQLCSLRRKAVDDGRKLLNGDTRRGQDFSRLNKRQKLALAQVFGASLPDVVLVFSE >PVH33283 pep chromosome:PHallii_v3.1:9:72011143:72012123:1 gene:PAHAL_9G616400 transcript:PVH33283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFRRRLTALSVPKAALLRRTRHKKLSYSRVRSNSLPGRFHPVVAGLHESANALIAWTEEPAQASPAWIGDGVTHLGRLLVGLTDLLHHPQAQDPLQRRSKTAPWTERLLDDLLLLADAHGCFREALLALKQLLSEAHAALRRRDATRLAAALRARRRSDRDLARLASTLRCLAHRSTSAAATSDSSEAAVADAVAAATCAAAAASAAIFAGLASASASSSSRAMTSPLASPAKVAAVPVCETGPGAKEVPLEECCNEEEEERQAAMDRLRRLEECVVAAEDGCEQVYRALVNARVSLLNVLTPCF >PAN50487 pep chromosome:PHallii_v3.1:9:66131608:66135874:-1 gene:PAHAL_9G523800 transcript:PAN50487 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYM [Source:Projected from Arabidopsis thaliana (AT1G11400) UniProtKB/TrEMBL;Acc:A0A178W3L1] MATSSDGGGGDQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGALMRKSGPDVPPGYDPALAVDAKPKTKAAKRNERRKEKRQQASSTNDKGKGLDIEDAGAAETDKVLSSKTDKQRDSVESVTKQIRGIAISESPAAPSTNANDSSQPESSAPDIDKKIRALKKKIRLAEAQLQGDSEKMKSETQEKLKKIEGWRAELKLLEDKKAPTGS >PAN48829 pep chromosome:PHallii_v3.1:9:57684049:57684605:-1 gene:PAHAL_9G409200 transcript:PAN48829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGERPRSGASDAASWCCVVALVLVVGSLAGGAGEAEELEGRGGGGPPVVVVRGARLAARPCEELYVVAEGETLHGISARCGDPYILERNPHVHDPDDVFPGLVLRIAPRAGGRK >PVH32957 pep chromosome:PHallii_v3.1:9:66897478:66905352:-1 gene:PAHAL_9G534800 transcript:PVH32957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSPAPPQAPARSRGTSNASQRWSILRRALLARSSSPAPEGTCRDQHIKDGTNNISRKASRGFNLIECHSLPISQLKKSLENSSSGNENDLGCQKDVCVYYKLPCGSSSKLNLVYRREDSLELNDMEASNRYNIDTTGLVCCWPSEEVLAYYCINHSDVFRAKKVLELGSGYGLAGLVIAACTNADEVVISDGNPQVVEYIQKNISINQETFGETKVKSMILHWDKEQASEVLNTFDIIVASDCTFFKQFHESLARTVKSLLKHSATSQAVFLSPKRGDSLDKFLGIIKENELSCELIENYDPTVWNLHKKYEAGDDRSWPNYDKEHCYPLLHYSVNLELDIGWTFQKFFPFSMEVPTRQFKGIQLQTHLSSSDRLP >PAN50467 pep chromosome:PHallii_v3.1:9:66030950:66034204:-1 gene:PAHAL_9G522200 transcript:PAN50467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASAASAGRGSRAEKVRRIFERFDANGDGGLDRDEMAKLVVAVNPRVKFSEDQISAILDEVFRTYAEFILPDGRGLSLPGLLRTYDDGAGDVDRDFLALSLPAVDSDASSPEIAPGDAVAPSSPPSGAAVAASLLDDHVKPLGAGGGAPPSFSSRAAAAAPAWATSPNHGIAFDSSWGLLDDLEILVKRLRSKQQRKGSADGSGAGNSNFDSFSEAGWSREISGAADSASSAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALASFRRACELQPTDVRPHFRAGNCLYALGRHAEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAVGDDDRAIQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKALQKKPKASKGKDDGLGEQAYVVVEASKFKRVGRKTTLRQDLANALDIRAFERMTKLGRCDVELVRKEMNETDVPVSYSGTGIPEKSIRKAALEVILRRLLSFLKPDTFQGAIKAINERILSVLDAPGSGRIDLGMFFAIIAPICSGPVERRKRVVFDALLWRPASEGSNGQIRRSDALTYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEESDHIRHGHHTCSICRYPIIGSRFKETKHAFSLCNRCYSEGKVPSAYKLEEYRFKEYGNESEALIDKCMCFNLHSKKLETDA >PAN51474 pep chromosome:PHallii_v3.1:9:70790098:70793073:-1 gene:PAHAL_9G597000 transcript:PAN51474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVISEQRGHQLHHSSGRRKKASSASPHFSSPQPVRGFQAGNCRAFHSGITTGILPSPPAPGVARTRSSPEPKTPKQQGHHGKKRSRAISISPSTSPPSRPELWAGPGFSNSPPPSSLPIPKFSLHQKRSVSLEFPPADRSDDEVLPVHAKSVPSSPTAGSGVSFFSGNDAAIATENLRRILHLKIEDH >PAN51345 pep chromosome:PHallii_v3.1:9:70249326:70250842:1 gene:PAHAL_9G587200 transcript:PAN51345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDKLEMLLTCCQPPSTSARGELLLRRNWATSTHIWAHESIGPFDHSACIVHKWWARRVAAPPFKSGPHLPRPMSHAERSTGSGLRATCGRRRGGLDPTTDDSPVLALPRPDRAEQCAVRSRAVQTPSIPLPAWWRHHGTTPRHRPFSCRLVAAAAACPAPRIVPETGPPSCGVPRCRGSRRGPTATGGPDRHPAEKLVGAPPRLFRFCDLRRVSPWKLVGGLVVPPPPARWPGPPELWWSSAAAAQASGAWDRIDRPVDRSVRRDGGWRLLPGLQEAAGTIRFRAGNCRPDRGAPIRRQRLAALTATPLPRHRRPCATIMPPPGGRRPPLLPGKWIGYSGCSDLGVAGGLTDGTAPALGVADRKLAPSPNRSENHFIPVPAGDDTLGGSP >PVH31981 pep chromosome:PHallii_v3.1:9:27703819:27704442:-1 gene:PAHAL_9G282300 transcript:PVH31981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGHPIGLFPAIDSSDPEWNFRIAHYGHMLGDSAEETLCGTIRFMNVQHHYQILLRRGMGQLIGIAQGHYRNADRQVTQIVELQALVTEKEEIIAAREETILHREDQINESDAIITQHNTIIEFLQEQIHDLILKVNDAHAHIDELQQQLVPPAVPVVPEGGEEDPEEIEGVSDLDSEHGDPESNPQPDHSSSSSQSSIGNLDDF >PAN51040 pep chromosome:PHallii_v3.1:9:68869097:68871189:-1 gene:PAHAL_9G565500 transcript:PAN51040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAAAVLIQHPGGGRTERAYWALSAGAVMAANPGHYVAAVIATTQPAAAAGGAPAVTAKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRHVKLSRVTIRAKDDEEEVKPAGTKHRRRRTSGDRRGGGERKESDRSLAKIMRQTEEPEPEPGQPSSGPSSPDHGDPADAAADLDAELEAALLPHGALLGRRAARQWRPALQSIAEG >PAN49707 pep chromosome:PHallii_v3.1:9:62435508:62448277:1 gene:PAHAL_9G469900 transcript:PAN49707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSAAAKRPAAAAAAAEEEEEKGVAESPAPAAADGEGTGDGVASSSQPPKRAKVAGAEADIAKPSAAEAGAAAAGAVAGPLPDTAGLQALTGAMDKLEALLRSREAQSNSAGHKRGANDKDLSAKIKKAKDLKDLSEKMAGMLNKRQVAATSRRQEPWCRLISQYASLPTLPIYGSHFTIGHGAHHDLRLGESSTASPVCRLKQAKRGALLEIFDSKVVRVNGKALDKAAKVTLNGGDEIIFRSPVRHAYIFEQLQQEKSSASALSSTCSSIQQGQHSHFKDVQDHLSSKGRKVSTFYFGKGRSSLIPSGSSTDPVLLNLCKTMDERSQFNSEDNISFGRSQLLKEDLVNAMVDPSNISESFDSCPYYLSEHSKCALLSSAYVHLECKNYFKFTKDISSLSQRVLLSGPTGTEIYQEYLVKALAKYFGARLLTVDSSMLFGGQASKESEPYKKGDRVRYIGSLQRAGIILEGHSPPDFGSQGEICLPFEENRLSKVGVRFDKQIQGGNDLGGNCEADHGLFCPVDSLCPDSPGWEVRSKHPFDVIVEFISEEVQHGPLILFLKDTEKICGNNDSYHGLKSKLKHFPAGAFIIGSQIQPDNRKEKANASSLFLSKFPYSQAILDLALQDIDAINDKSKEASKALKHLTKLFPNKVTLQAPQDEMELSRWNKMLNRDIEVLKGNANISKIRSFLTKIGLECADLEAILVKDRILTNECIDKIIGFALSHQLKSCAIPDPSSSVQFTLSSESLKHGVDMLESIQSGSKSSSKRKSLKDIATENEFEKRLLADVIPPHEIGVTFEDIGALESVKDTLKELVMLPLQRPELFNRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWLGEGEKFVKAVFSLASKIAPSVIFLDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEVIANLTEGYSGSDLKNLCVTAAHRPIREILEKEKKERAAAEAANRPLPPSHSSNDVRALRISDFTHAHEQVCASVSSDSINMNELVQWNDLYGDGGSRKKTTLSYFM >PAN50068 pep chromosome:PHallii_v3.1:9:64268398:64271052:-1 gene:PAHAL_9G495900 transcript:PAN50068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAPQVVVLGFLLLAGLAAAQRGTTPAAAAPAPDPGCNGIQLTYNFQGRTKIRPFVSDRNKQPYAFRANATVLNSGTRPLKSWAILVEFAHGEILVGVNGAVLTGSGELPYNTTEDAGNATSFSGYPQTELLTPIATAGDLSQIQASVGIVGTLFAGPGPFVPLPTKLSLDNPDYRCPAARNVTAGILSTCCVLKPEAEANATVIDANATDPTKNFLTRGTGDLVITYDVLQAYPSSYLALVTLENNAKLGRLDNWRLSWEWRRGEFIYSMKGAHTSEVDTSGCIYGAQGQYYQSLDFSQVLNCDRKPVILDLPLSRYNDTQIGKIDNCCRNGTILPKSMDEKQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVRVSPTAFPDPSGLDSTTLAMATWQVVCNITRTKGAKPKCCVTFSAYYNDSVIPCNTCACGCPANRRGPTCSTTAQSMLLPPEALLVPFDNRTQKAMAWAELKHYNVPRPMPCGDFCGVSINWHVSTDYNKGWSARVTLFNWEDVDMANWFAAIVMDKAYDGFEKAYSFNATAVGKNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGIDVVAGDGFPTKVFFNGDECAMPQRIPMSSGFRTRLSSAFALLLVLAASAFLLLQQ >PVH32613 pep chromosome:PHallii_v3.1:9:60728616:60729540:-1 gene:PAHAL_9G443000 transcript:PVH32613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERGIFSIYYGEGNVIYGPNGVDLSEFNCAVRGIIRPHERTFESLCNWLMRGLRINQETHTVSVQCVINRTTHALIWELMPLASNEDWLTYLQNANHWQWPLVLLVSVQQNPLINIEAAPGDENIDEEVEEANIEAGGTEAPQCVADEGENIPFIVEQLQDEERELDEAMNADSSDDDDDVPQDWVSSDFSHLVVDDGSSWHSDCRENEIIQGARYHSIEEVKEASLRQWSANLVSTMWYVRRMAVHGGCMPTRVNGKIIGNAPLSLSTLVICLGCRRAIATSRRNTSQMRCTGR >PAN45188 pep chromosome:PHallii_v3.1:9:5806408:5809753:1 gene:PAHAL_9G100200 transcript:PAN45188 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF1-interacting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G28640) UniProtKB/Swiss-Prot;Acc:Q8L8A5] MQQQQHLMQMNQSMIGGYASPTPVTTDHIQQYLDENKQLILAILDNQNNGKVEECERHQAKLQHNLMYLAAIADSQPPQTAPLSQYPSNLMMQPGPRYMPPQSGQMMSPQSLMAARSSMMYAHPSMSPLQQQQAAHGQLGMASGGGGGGTTSGFNILHGEASMGGGAGAGGGNSMMNAGMFSGFGRPGSGSGAKEGSTSLSVDVRGGASSGAQSGDGEYLKAGTEEEGS >PAN49539 pep chromosome:PHallii_v3.1:9:62124704:62126925:-1 gene:PAHAL_9G465600 transcript:PAN49539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLMQRAAAAAVRWGCVGEAATGGAGQQPAGVVRLGAARRAAGGAVRASRLGPVRAHVSEERSREAAGEAVALAEDAVRLFVGLPADVVVSDGRGVSRPRAVSAALRALKLLGVDGVELPVSWAAVQPGPGGWFEWAGYRAVTAMVRDAGLDLRVSLRTDGDALPEWVADAAAADPDVLFTDRNGHRREGCLSFAVDELPVLVGKSPLEAYEAFFRSFADEFKDLLGSTITDVTVSLGPNGELRYPSYPPGSNGADGYSGVGEFQCYDKYTLARLKRHAEASGQPLWGLSGPHDGPRYDESPESSAFFREPGGSWKSAYGEFFLSWYAGELLAHGDRVLAAAARAFGGKPVELSARVPLLRGSRAAEAAAGLHGGYGPVAEMFARHGCTVIACGVEARLDAPAEEVLARVKGACAEHGARLAAESAPLAAARGGAGSAGVWLSTGRTRPRQFTYQRMGADFFSPGHWPLFVQFARALECPEEAHADDLPGGERLTVPSASAAQDGARAVQTV >PVH32797 pep chromosome:PHallii_v3.1:9:63869140:63877444:1 gene:PAHAL_9G490200 transcript:PVH32797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPMEAAAAAAVSAASNNDDDDVEDLYADLDEQVTAALAAAGESGGSNARDSDPATDGEEEVPEVDANEAVDLGDGATGYSSSDEESDDGLHIVLNEDAGAPPPPPPVGRGEECLAEEGEDSGCRVKGSSVNDGGWATVGGLQCKGLLEKMTLPIMAQVDRGRQHMFQRDSNLFLTRNSTIFDIDIEAFQHKPWRQQGVDLSDYFNFNLDEEGWIKYWCNMKQLRLGTRSHANETSGLYQESYKLKSVKAMSKVANYSGFEGRNGLAKPKGRAIHVEGSAHERVPSADLWRPVQRDSDVVIQVNMTLSPSNQSTSDDSSKLNQKCVTTERMSIDHPGDRHMKGTSLVADRVVDKEVHGGGSSECSGSKLDRRDSSCERGQSSSPDYSDTLSGESKGDFYFKRANRHSGSRVFFEGTKLQDEQVKSDFYHHSSKSDRENSESRRHSYTPSPADDRNHKATKPFWRGEAPFAGRGKSNDSFVDCKSDHNLLKSGHKTRKELRRQSVDGGKYAILVEKEKSTDSYPSRYDKKYEKRRSSSSSLRTNYCNAVHNQFYEKQGYSPVERVALKNDKHYFSNSSHHHRRSSSHEFSEGEDVEKCFSSAKEWQQHHDHVYHSMLNSDMADADDGRMYRERHCQEKRRARYDHSVDEFPHCTDYGFCEWQGPEVRGRYRDKGRFAESNDERCRHANHLELYPSLKNSESDLPATGFPFKSSRNRCIENKRIRNAKMVQYHYDVYHQKNNGGIPQSALCSDTVAETGRFILPVKRKLHADLGSMNQKNLADLSLLKGRRLMHDQSMVSDRRIYALKLHKFTEEIDTKAICNFGHMRNSNTVSNICVGRRHELENADNFRLNDRKIKFERRGNELRRVIEDDQKGDLPVGKYLCCSKLRHVHQNARKQNMGYHHFGNQYSKKSAHQNQQNEEDGEIEEGELIEQDHDDIISKSKLKPREVLKSFIETSSAEQLQLNDATVKDAADTNGATGECDKHILEVMEKMQKRRERFKEAIGPKKEDGDMKERSAVACNTDHIQNQRPARKRRWGGNS >PAN51475 pep chromosome:PHallii_v3.1:9:70793785:70801327:-1 gene:PAHAL_9G597100 transcript:PAN51475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLFKSKIRWQHRSNDPASSSAGQPQQAQTQGQGQGQPPPSPSPSSSPSGTGAAPALSVSTASSSPPSAAATPTGAGGGEDYISSEEEFQMQLAMALSASSNGDCVGDLDGEQIRKAKLMSLDRFAARRDEGHTADSLSRRYWDYNFLDYHEKVIDGFYDIVGSSMESSRQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEMEQVAQCILLDFPVANITLLVQRIAELVTDNMGGPVKDANDMLTRWLEKSTELRTSLQTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGGDDDDAINIIKMDHEREFLVDLMAAPGALIPADILSWKGNSLNSNRKLGLNQAAGSSNSIDANLDPSALPPEPKGGQLPLFSSGDWISDSKSGYETDAAAASSQTSSSGISSVPAGSVFDSSWTLVSHEQSDGPSTSAGTSSQQKVVLQGEHPQNLNRLQDMHENPESRNLFADLNPFGGIESKKTSVAFKGPDNRNNELQKRRENVAPSAGRPQQRLVMKNWSPYNDVSNNKQYNYVQDSFARRNVGNNAASSSSSQMPRPTTKNANLNAGLRNDTSYAAQPHNHGNIMVGTPAMKITSTAETGKVPERVVHGDLDKALTNSRLDDQHGLVQPPQERFPWGNPAEGRVPMNRVQNQAKQRTENLDVKQENKKLLPDPKKSPLDRFMDTSTPSRNMDVRSQRLDFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCLIDEKRRIKMALDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEVDPLVARIIYECWQKDPNLRPSFSQLTSALKTVQRLVSPSQQETQSPPVHQEISVNSTP >PAN50691 pep chromosome:PHallii_v3.1:9:67125418:67127828:1 gene:PAHAL_9G538700 transcript:PAN50691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGSLGIRNWGFYETVKGNLGLQLMSSVPPDRDTKPLLPNGGNFLQHHGHHNAQHQHQHQQHPQHSHHPRGGGGGGGGSSGAPGGMPTEPPAVHMDFVRNEAWLHPSQHHHQHQHSRQQKVLHHLPVGPVGHVGHPGHGGHAVHHHPSGYGMMADAHGVHTLHMMQPQPQPQPPQQPQDPPPTKEESMPQPPIEDHPVLKNEPPVKKRQQGRQPKSPKPKKPKKVAAPQENGAPNKPAPRPRGPRKTVGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLSNPIDLKTFWAKHGTNKFVVIR >PVH32301 pep chromosome:PHallii_v3.1:9:53173045:53173530:-1 gene:PAHAL_9G364000 transcript:PVH32301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSNKKADEEQGENQQQQLRRQSSNLNAPEERPYRRRIKPPPAKVHHIHPARFRRFVQRRTCCGTVTTLPPDPDDAAASMPTSATASSLLQPPAAADAAAIDGGRDPATSDAAAGCLVGATRESMQEAYVAWCSSNDLPLSPGTMAELPFTELAAHPLLQ >PAN49729 pep chromosome:PHallii_v3.1:9:62513813:62517283:-1 gene:PAHAL_9G471300 transcript:PAN49729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MAAASDSDAGAQPRDEPSLLPFASFSLSLNIRAPTAPTLASVPSTIHLPTQISTLAVCLHPSAAQSPSRHATRLNSASSSVISPLTASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPFHAAAGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRSLRARGGDLVVRVGRPEVVIPELARAAGAETVYAHGEVSRDECRAEERVQKAVEKEGINVKYFWGSTLYHVEDLPFRLEDMPSNYGGFREAVKGLEVRKVLEAPEEVKCVPMKNVLEPGDIPTLSELGLTTPPAMAQDSKPAVGSTLIGGETEALERLKKFAAECSMQQNKSDKSNTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHATRAIPSGSTSKNVDGTSDAGTNWLMFELLWRDFFRFITKKYSSVPKTSEVATGCTPTPALA >PVH32848 pep chromosome:PHallii_v3.1:9:64852321:64852827:-1 gene:PAHAL_9G503600 transcript:PVH32848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRPPSSIRTNFSSSKPESKAPVANSTLWKERQLRDFRKANGLCMYCGDKFDKAHATSCVKRQSAQVNTIVVNDLDHHVTEEVLRQLDIKDNISEEFEQLSLNVVSGTSSGDVLKLQALVRGKVMLLLLDSGSSHSFVNFSFLQEVGIQSQPNKLKWQMEKYWSLMR >PAN46310 pep chromosome:PHallii_v3.1:9:11078178:11079392:-1 gene:PAHAL_9G176100 transcript:PAN46310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSKKAARAGAGARGKVAAPLPVTEKESAHALPPVAEVDAAEEEEVKEVVLSEAPAPRPPPEPVKRRQQEQPEAEEESAPSEACSASDGTSVESAAKAKAKLQKLGVEREAEKRAAADAPGKKGRTAPEERESRPRGGGSTANGRARSPSPSSAHRRQQHPPEPRPRRREQPPVVSGIGCRSGRFSPSAARRAAESTVRRTHSAREADMALSSKRSLTAAINGNAGGCYGGGGVLSRRDPGERSGRRSDSPTTGRRAPASPGATHRPASPARKAAKEQRHGSPEPARPRARDGGDAGSGGEGERKKVAEGEQGALGQNPSVAMECFIFL >PAN51095 pep chromosome:PHallii_v3.1:9:69178446:69179386:-1 gene:PAHAL_9G569600 transcript:PAN51095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHTRFSQQRTEILDLLRLSKTLSVTERNPPSASSWRNLRIWLNRREEIQNPQIRTDRATNAGREESSGLGTDIGVELEGLATP >PVH31947 pep chromosome:PHallii_v3.1:9:22292696:22306002:-1 gene:PAHAL_9G269500 transcript:PVH31947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLFGELNWKLMTILYSNIASFFLKHTPITMLRAQKQHAARATSSNANLRNRASPARLVKLYKYMSEDQRKMIHEVKFDGWLMVECFDAESSELVFPRRGRISVTSKSMADILNLPNKGDEVKYELDVDAINFIHSKYGIIQGQAPKIEEMMERVKQNNHANEDFLRSWLKIAVSTFLCPPTSLGISPRCYLALVDLTCVKKLNWCQFVVDQLKDAARKIDKKNSVRGCFLLLVILYADPLAVGNVQIPATQPRIAAWTRKLLD >PVH32189 pep chromosome:PHallii_v3.1:9:47171529:47173316:-1 gene:PAHAL_9G341700 transcript:PVH32189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGNKLPIQVAEGKKRPDVPLQAAKLASETGVALRDKLPIYTSWKLYEKDGGPVEVQKVLDKVANRLDVDVKNDGPSKSACTDIIKKGVKQQRYHLKRKYFDESLTMEQLLAKEPPPKMKTEEWIELVKYWCDPKNQEKSAKNKVNRSKVQLHQKTGSRSYIAYRYSLRPKYNNSDPDAVEFFGECMKSSKNGRTPLANEIYERMVAEKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIRAEFEATLQAEREEAARKREELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLRLQKD >PAN48800 pep chromosome:PHallii_v3.1:9:57460596:57462738:-1 gene:PAHAL_9G406300 transcript:PAN48800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREEAAGTGGGDELTLLGVWPSPFVIRARAALILKGLSYRYVEDDLTSKSDLLLASNPVHRKVPVLLHGSRPVCESRLILEYLDEAFPACGPRLLAGDPYDRATARFWASYVDDKLFSTWIPVYNGRTREDRAEAARRVVGVLEEFETAFQECSGGGAFFGGDGVGLVDVVLGGFLGWLRASEAMCGVRVVDPATTPLLAAWAGRFGALDGVREVIPGVERLLEYNRMRRALRGLP >PVH31714 pep chromosome:PHallii_v3.1:9:14195949:14196791:1 gene:PAHAL_9G218700 transcript:PVH31714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGAEEEQQNFVTQEQLLQLVKDFNTQLTDNMNSIQASIVAEVVKALKASGAAGTHEEELDETDEEYAARLQREEQARRNAHGRGVVEEEVVIAAEIGDQDTFKLHRNNKFHPRRNINNDQLNEEKFVKLKFSMPKFEGTSDPDAYLTWELNVDKIFRVHNYSEEKKVHIAALDFDGYALIWWEQIQNQREKNDELPVTTWAEMKREMRARFVPKHYKRELFDKLQNLKQGSNPWHVLSPI >PVH32932 pep chromosome:PHallii_v3.1:9:66491406:66492171:1 gene:PAHAL_9G528600 transcript:PVH32932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLLKLSLQGIGFELLPRWFSLRHSVGAKRHGIDSGSGAVEAEKTIAGPLKHFNVFLVLFGGFPCKALNVKLCLYK >PAN49788 pep chromosome:PHallii_v3.1:9:60052149:60054867:1 gene:PAHAL_9G434300 transcript:PAN49788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLALAPSSSRIQRTLRQKKSAPSGNKGIQLKRYIDKTLGEGDLREAVRLPIGEDLNEWLAVNTVDFFNNVNMLYSTLMEVCTPATCPTMSAGPKYEYRWADGVKVKRPVAVSAPKYVEYLMDWIEAHLDDADIFPQHFGAPFPPNFRDVVKTILKRLFRVYAHIYHSHFQMIMNLEEVKHLNTCFKHFTFFTMEFQLIDKEELAPLNELIEPIMCGR >PAN49169 pep chromosome:PHallii_v3.1:9:59621147:59624830:1 gene:PAHAL_9G431200 transcript:PAN49169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFVSKSRCDAACQTGERHRACVVRLGQAQAAGEPASCANRWTARASRSRSRAAHAGASFFSTLFRAAASAAASVYETLKRAAAAAATFFNSKKGRIVAVALAVGLCLAFCFAPAAAGAMMAAPGAGGLMILRSAFEANAALYFGLLHSAGPAAAVASVVAAMAL >PAN52005 pep chromosome:PHallii_v3.1:9:73369362:73376220:-1 gene:PAHAL_9G636000 transcript:PAN52005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEQPPVSVSCCPPTCPPWLQAAIADIERRVRALAVDEAATEHSFAERAENYYQKRPQLLALLTDLHHRYLCLADRYAQSLHAKQLIQPHHAAISDCCSSDVDDRCSDADSSLSFQHPPITALTDVDSAELTLAELVLAWVDRDILADEAERRRAEAARKIELQGSLVEVLESERLVLLGENARLGFRASAAEEEAAAAAAELGYTRRRAAEMARLVVKLREDHRVCMLGRKIEALQAQVYGLEVRNRECYEAMAKWEAERKACAAEIQRLRAENRRLAEEAAATARRKGKGGGWWWSRVRMAAEWTPCAPAVRKVGQQMKGKDGGGCFCI >PAN49240 pep chromosome:PHallii_v3.1:9:60159014:60161681:1 gene:PAHAL_9G435900 transcript:PAN49240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKSMEKATSFAMACSLLSRYVREKGAAAGEVGLGIRAEAADAQRTSADAEKGDARKETMNLFPQNAGFCSEAAGQGTPDAREKEKRQLTIFYAGKVLVFDNFPAEKANDLMQMAGKGASVSQNSALLPSPAVAAISDSTKVAAVPAAPVPLVSAQKNAADIPQAPKASLRRFLEKRKDRLTAKAPYQSSPSDAATPVKKEMPENQPWLGLGPQTTSPDLSLRQERNQ >PAN48352 pep chromosome:PHallii_v3.1:9:54695316:54700084:-1 gene:PAHAL_9G377100 transcript:PAN48352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MAAEIGGFAATRMAAPALRPAAPPAPTAAPAPPQPRRAVAARSLRTVAAETLTADLAGGTNGALHAQMNSEATTEITSLAVTANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHQSHQRVIDLVKEYNAQNTDGNVIAIMLDTKGPEVRSGDVPEPIMLNEGQEFNFTIKRGVSTVDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKAKSADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKGANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVQTCRSMEKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYNSTTSPGLVAPAQALYSEEFSPSQLSKMFGSHSTMMANTLHTPIIVFTQTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVIPIHMQFSDDAEETFSRAISSLLKAQHVKKGDYVTLVQSGVTSIWRDESTHHIQVRKVQG >PAN48618 pep chromosome:PHallii_v3.1:9:56641671:56643069:1 gene:PAHAL_9G395600 transcript:PAN48618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPTAGGGITLPSMGAPPPPLHPTPTSPTARPHHHYYLFSIKQLNTLGAAAVLAFSTTVPLSEIAFAVLLLPYLLVLAWVAFPQRPGKPNPAAPVFPGLGGRLRLAVHTAVGFIVGAALPALYILDGLRAGDTAGVAAAAPHAFLLSAQVFTEGIAAAWPGTFSLPVRAAVPVMYSARRMFAASEWLREEMQERDELGRGPPVAPRRVAAGRAIAAANLAFWGFNLFAFLLPFYLPKALRRYYLGTDHEDDGDHRSSAAEQQQKQLQEGEGKKDS >PAN49257 pep chromosome:PHallii_v3.1:9:60262000:60263298:-1 gene:PAHAL_9G437100 transcript:PAN49257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEHVEGACPPITTTLLLQPYRRGHPSPSILHWCLVQLCCGRRARVHEMSSSVVKVGTWGGDGGSPCDITVAPQRLESVTIRWGKVIDWIAFSYRDSDGKTHTAGPWGGNGRGEGTETITFGPSEYVTEVAWSVGPFKLKNVERCITSIKLVTNVGTYGPFGHAVDSTHHSLPVLGNGSVVGMFARAGDYLDAIGFYVLPC >PAN48782 pep chromosome:PHallii_v3.1:9:57380796:57381473:1 gene:PAHAL_9G404800 transcript:PAN48782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLHQAALPAAKPPHPRALNPPTPSLSLSPLSRRRRAARTVRSAATDSSIGAGAAPSERKATRKEKQQERRRQQDLQHRQQQLLMMEEASLAKPDGSGRSTDKNDGGGGGDDDELPQPVFDRILRRIMFAVGVPMASGVALLNAYDALKRGQGVDVPAWVPLLTILVAFGTSALGIAYGTLSASWDPDKEGSLLGIDEARANWPVLWKEEIDKEKAKAKQRKK >PVH32168 pep chromosome:PHallii_v3.1:9:45599871:45601868:-1 gene:PAHAL_9G338000 transcript:PVH32168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGLGVECPHIFDGTHFARWKNWMTCNFKFICPQMWWMVDVGFSHVLDERNLTQTQEKCLDLDIQATNILFRSLHDCILCEVMDKETAHEIWSYLNEKYGAASDDHDDFKVIQEIHEDGEYIHDMLVVEDCSTSRSSDDDDDQCTTSSLDMIDGDDSSAANDDPTPSTLDDQVGSYMDDISTSSSSPSSYCFRSQGDTKVSNCNVINPNSYNELLSRYASMNKLFEKVLAKTIKLEKENSFLKDTCEQQKHLLYVMSCSHEELKLTHEELSVAHENLVLDHTLLTSKFSNKEIKTSESSSHGSKDQLQNIANPCDVGKKHVSTSCDDLLSMPCTSHIDACSSSTMQYETNLVEENKELQSQVKYLSNKIERWTKSKVTLESIIKNQRNFGDMSGIGSNKSKAKSKRWGKNKYDRKMKKQEEMKLSHFMCFQCHEMGHFANGCPNKENLKLKKEEEKIKHVKCFNCRTWGHLTSMCPTKQLMKQQEAQPKPQVEQEKAPQPQVKINHDDQVDDLKMMKKRKRRGGKARARHPTHIQDAKMLSKNKIQDKNPHAHIKCHSCAILGHLASGCPNKLEKKAQANNEKQGNEKHQMSKEGKAQQKRRCYLCREKGHMAYSCPLGNNSKPIQLMHILCLERMVMVPHLLLLQNIPLFILRLCQSMLLLT >PAN45553 pep chromosome:PHallii_v3.1:9:7588927:7595093:1 gene:PAHAL_9G127100 transcript:PAN45553 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MWRRAATAALSLGAGAGAVAVASTEDPAATLKVCAHLPPRLLRDSVTAATIAFDYKWSLWGLEPGSPAWQSAKHDTHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRESMLKRCPVSSYEEVRGVFAKDLGESPETVFAEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVVDIATVDLLVNALHYIFPTFDYRWLVDEVRESAPKELDFLNEAKNSEKCLDNFRRLSPHIADSIYAPKVYWNLSTSRILTMEFMDAKEVTDVHGIKAIGIHPVDVSNLVSKAFAEMIFKHGFVHCDPHAANMMVRPMPQDSRKSFGWKRPQLVLLDHGLYKELDCTTRISYASLWKALVFADAKAIKENSVKLGAGEDLHALFAGVLTMRPWQRVIDPSPDHLVLDKKTTDYSELQNYASLYFSEISELLRRLPRVILLMLKTNDCLRAVNHALVGGTSLESFMIIGRVSSEAVLDAKRMSKRSFLNRLMIWLEEVMLEARFFSLELMLCFMELRKLLPS >PAN49004 pep chromosome:PHallii_v3.1:9:58569068:58569474:-1 gene:PAHAL_9G418600 transcript:PAN49004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYMLAARCPWRPHQWRNKAAQREWIGSSGRPNSKESQDLLNCLGGPTVTPTPRRGPNCPLRPARWEMVRAPGRCTWLGYQPASEFRTNTRQL >PAN46630 pep chromosome:PHallii_v3.1:9:12749479:12751377:-1 gene:PAHAL_9G199900 transcript:PAN46630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRLLRRLSTTAEGAVTASPSSSPSPSKPLPDDLYRRIADVGRANVPLSPVLEQWAREGHTIKKHTVQAIVKKLVGLRRFAHALEVSFWMTDRRHLHLTAGDVAYRLELISKVHGLEKAVEYFGMVPKQLRKLQCYGSLLKCYVEEKDVGKAEQLFTKMEDMGMKSSYAYNCMMNLFLQTGQLERVHAMFQDMEEKGVKPDMFSVESLVAVYIAAEDFEGVQKVLNKANPHEKLLSWHGYASAARLFMTSGIQVRAVTALLEAERRISPKNGRIAYSFLLNTYADLEMYPEVERIWRVYKSKVPPCNSMYMSRISVLLKKNDIDGAEEALEEWERVCVPYKDFRLINLVVDAYCREGLVEKAVARVDDAIRKGRTPFANTWYKLAGGFFLTGQVQKAVAMTRKALASASSPWKPDLANVLTSLNHFMDQKDVEAAEEMTSMLQKLVPLTRDVYHGLLKTYVCAGKPVSDLLERMKKDGLEADEETDRILAGECE >PAN45502 pep chromosome:PHallii_v3.1:9:7396954:7401524:1 gene:PAHAL_9G124200 transcript:PAN45502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATEKTAEDIRRELQELQRQHREITERLRDPRGLRRGAAGPGPGPGPGGSRPLRGFVRPAPGAESGDQPAQKRRLLSAVVKVDGAETNEEGEKAAEAEGREEGSGAAEGGDRRAVSNGNFRRDGSLRMPRRVDYNSLPEPAPRELPKNEDPNMVRRNKRMLGQLLVGTLEKFQQEDKKLSNSEAYLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMMLRARVAAKAEEKRLELLYIQWTEHHKKLSNFLRTKAEPPIYYMPAKPIIDDPTVIEQNKEKVFEEWKSMRRAELTQFQKQVEEQYLSNVERQLERIQNARNARRANGPANMQEMDKELDTHRAEHGPKTRRVPEEGGNDEDEDAEDMAAEDELMEEVLGINDGINEDPSKPSEEAVTDGGETAPEAAQ >PAN51078 pep chromosome:PHallii_v3.1:9:69091528:69093280:1 gene:PAHAL_9G568200 transcript:PAN51078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHGSEASPSIVHTSSIALLQERFRNLQKVKEMREGRELQRVHTTDADRAGSLSSALNLGLQAANSTEQPRWFLHPDLIRPSRPLRSTAYHGLGASGGQTSLPPASSWAGMQNSGYRSDVDVDTSLHL >PAN51038 pep chromosome:PHallii_v3.1:9:68862477:68864106:-1 gene:PAHAL_9G565300 transcript:PAN51038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNPHGGHVGGPPPPSPPPGYPIDAYEEPGTDEHHKHQQPPPPHRTPPPQPVYGERGYDEHHQHQPPLLPQGMPPPQPMYGDRGYDEHRQHQPPLPPHGTTPPQPVYGERGYDEHHHDYKQQQHGTPPPQPMYQQPYGYGQPPPPMYPPPMYQQPYGQQPPPMYPPPYAQAPPPPPPPYRSNGPSFLEGCCAGFAAICCCCLMDACF >PVH31193 pep chromosome:PHallii_v3.1:9:4591046:4592949:-1 gene:PAHAL_9G079300 transcript:PVH31193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAYVAVLTFAFLFVLHYLVGRVGGSGAKGHGKGKAARRLPPSPPAVPFLGHLHLVKTPFHAALARLAARHGPVFSMRMGSRRAVVVSSPDAAKECFTEHDVAFANRPLFPSQKLVSFDGAMLSTASYGPYWRNLRRVAAVQLLSAHRVACMSPVISAEVRAMVRRMDRAAAAAPGGAARVQMKRRLFEVSLSVLMETIARTKTSRTESNADTDMSPEAHQFKQIVDDVVPHLGTANLWDYLPVLRWLDVFGVRNKLVAAANRRNVFLRRLIDAERRRVDGGGDDSEKKSVIAVLLSLQKSDPEVYTDTTIMSLCANLFGAGTETTSTTTEWAMALLLNHPEKLQKAQAEIDAAVGTSRLIAPDDVPRLGYLQSIINETLRLYPAAPLLLPHESSADCTVGGYDVPRGTMLLVNVYVIHRDPAVWEDPTEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTVGLVLGTLIQCFDWDRVDGAEVDVTESGGLTIPMAVPLEAMCRPRGAMRDVLEEL >PVH31248 pep chromosome:PHallii_v3.1:9:5428622:5430138:1 gene:PAHAL_9G094700 transcript:PVH31248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPTFHGIGAANSKGNGLKGHLTSERGQTKNDTQPHRKQRSGREVAKGELNSETTLHASFAEPSPREKSRKVAKKNFGLSVAGRYGTVAPCSVVGVRSLHAVFPAVRQHRSREGAARPPGQRRGREAGASRAHARAAATGSLARRLPPFRPNFPTGPRDANAGRAFVHPAGVCAATAKALVPSGSNGLARPRRSGRCSVVW >PAN46088 pep chromosome:PHallii_v3.1:9:10086764:10090742:-1 gene:PAHAL_9G162400 transcript:PAN46088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEEEEEYGYFYDDDDAEEDASAGLEQAAAPPPERRADYWAITQESLPTAQKEDLSTVMNLLNVKQHQARALLIHHRWRMDGVYDRLDKGRERMLRDAGIVLQENNIMAAAGSTMPWRIMHCNVCFEDIPMGVVLTMDCGHCFCNDCWTQHFYAAVESGKKQIRCMEVKCPAFCDEDHVRRLLGRKYPEMAKRFNRFLLESYLEDNDSVKWCPSAPNCGRAIRVGTGERYCEVECPCSLSFCFNCMAHAHSPCPCTIWEKWNAKRTEGENIKWILANTKSCPKCFKAIEKNGGCNLVRCNCGQCMCWLCGGGTGLDHTWTSIAGHSCNRYKEETRGKTADSSREQMQRYKHYYDRFKIHGDSYGVEKQKLGPTLLERVRLLEADLKRPLTIRDGDWLIRAHRRLLVSRQVLSRSYAFAYYMFGGELRTRPCGRAGLAVARNLFEDQQEQLEQHVERLSELLAGADAAAMPEAEIVQAKQKAVTLVKTVERLCGEMYKCIQDELLTLLVEPMTIAAYRPDGPDKAEELTA >PAN45969 pep chromosome:PHallii_v3.1:9:10086764:10089818:-1 gene:PAHAL_9G162400 transcript:PAN45969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLNVKQHQARALLIHHRWRMDGVYDRLDKGRERMLRDAGIVLQENNIMAAAGSTMPWRIMHCNVCFEDIPMGVVLTMDCGHCFCNDCWTQHFYAAVESGKKQIRCMEVKCPAFCDEDHVRRLLGRKYPEMAKRFNRFLLESYLEDNDSVKWCPSAPNCGRAIRVGTGERYCEVECPCSLSFCFNCMAHAHSPCPCTIWEKWNAKRTEGENIKWILANTKSCPKCFKAIEKNGGCNLVRCNCGQCMCWLCGGGTGLDHTWTSIAGHSCNRYKEETRGKTADSSREQMQRYKHYYDRFKIHGDSYGVEKQKLGPTLLERVRLLEADLKRPLTIRDGDWLIRAHRRLLVSRQVLSRSYAFAYYMFGGELRTRPCGRAGLAVARNLFEDQQEQLEQHVERLSELLAGADAAAMPEAEIVQAKQKAVTLVKTVERLCGEMYKCIQDELLTLLVEPMTIAAYRPDGPDKAEELTA >PVH32502 pep chromosome:PHallii_v3.1:9:58292117:58292907:1 gene:PAHAL_9G415000 transcript:PVH32502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLIPPRHPRLISARPVVKFQRPCFDPTRPPHRRSQTKTTQTMSKRALRLAALLLAAVAVAATPAAVAARVGPPLAGGWSPIKDVSDPHIQELGGWAVAEHARLANDGLRFGEVTGGEQQVVAGMNYKLVLDATDADGAVAAYGAFVYEQAWTNTRELMSFAPASR >PAN46070 pep chromosome:PHallii_v3.1:9:9994224:9994714:1 gene:PAHAL_9G160800 transcript:PAN46070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVDAAASPGRGVGGRRWRVAEEEGGGIEAAVARSQGGCHTWRMGCSIISGLNALYNVVTGSSNVWIAASASSARSMKVALPSSTSSWSSSSLRRRARQASRCPHLRRKSGRTRRHHIGGADEV >PAN50260 pep chromosome:PHallii_v3.1:9:62394689:62398587:1 gene:PAHAL_9G469300 transcript:PAN50260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAAAPAVQTVVLRVSIHCHGCKKKVRKVLKSVEGVQNVTVDAAQHKVTVTGTVDANTLVQRLHKSGKKGVPWQCHPPANKTEAAPAPAPAPEALPAPPAQPAGEGGKDDAAKAVDKKPEEAVKEPQAESAEKKQPEQEAAGTEKKAEEKLEAKKDGGDSEAAEPKAKGAEPAKEESKEAAAAAAGTKNEDEPKKSDKPKDAGKPEPVAVTSERSLSAPPPPAPKHAYEEDYRHPYYAPAPQPVLSYHAAQPSASVSYFAPQPQQAYSAHQPQPQPQPMRQWSPSYLYLPYAHAAPEPYHQDYYSPPGMHASPPPMQDSYRIFDDENPNSCSVM >PAN47993 pep chromosome:PHallii_v3.1:9:26745853:26748589:1 gene:PAHAL_9G280100 transcript:PAN47993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPSTAAVMERERLTAELDVVAEAAAEPSSFVVKIRRRLPDFARSVNLKYVRLGLRSGGIPALSSWVPLALAPPLLAAAAHSLVGVDRLYSLDLLTCVAWLSAAVLLLTVYFLKRPRPVYLMDFACYRPGDEHSISKEGFLEMTESTGCFNAEALEFQTKITKRSGFSDRTYLPPGIQARPPRLSMAEARAEAEVVMFGCLDALFASTGIDPRRDVRVLVVNCSLFNPTPSLASMVVHRYKMREDIKSFNLGGMGCSAGLIAVDLARDMLQANPGCYALVVSTENITLNWYFGNNRSMLLSNCIFRMGGAAALLSNSRADAGRAKYRLLHTVRTHKGAAEESYGCVYQREDGTGRVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLKFLKSLVLRRVLRSRGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLGLRDADMEPSRCTLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVAPVSADGTGGSSNCNPWVDSIQSYPPKAYI >PAN49045 pep chromosome:PHallii_v3.1:9:58794698:58796152:-1 gene:PAHAL_9G421900 transcript:PAN49045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSGSASWLLALILFACGLIMSAAAGDAGGGGGDDRLMMGRFLRWLAEYNRSYTTAEERQRRFQVYRRNIEHIEATNRAGNLTYTLGENQFADLTEQEFLDLYTMKGMPTGSADAGREQAANVSFSAVVDGPTSVDWRAQGAVTPIKNQGPSCSSCWAFVTAATIESITKIRTGKLVSLSEQELIDCDPYDGGCNLGYFVNGYRWVIENGGLTTDGSYPYQARRYACNRNKAAQHAAQISDYVKVPAGEGQLQQAVAKQPVAAAIEMGGSLQFYKGGVFSGQCGTRMNHAITVVGYGADANTGLKYWLVKNSWGQSWGERGYLRMRRDFTHSGLCGIALDLAYPVV >PAN51737 pep chromosome:PHallii_v3.1:9:72041797:72044494:-1 gene:PAHAL_9G616700 transcript:PAN51737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVRLASCSSLALLLVLLTPLLASACDRCVRRSRASYYTSSLTLAGGSCGYGAEAAAMGGGFLAAAGPALYRAGVGCGACFQVRCKDSKLCAAAGARVVVTDRARTNRTDLVLSSPAFAAMARPGMAARLAELRTVDVEYKRVPCEYKHRNLSVRVEKKSRAPAELTVRFLYQGGQTDIVAVDVAQVGSSNWKFMTRNYGPAWSTSQAPPGPLQLRVVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQIADIAQEGCYPCDTHEWE >PAN46052 pep chromosome:PHallii_v3.1:9:9970548:9973016:1 gene:PAHAL_9G160500 transcript:PAN46052 gene_biotype:protein_coding transcript_biotype:protein_coding description:A member of Aux/IAA family protein, Regulation of lateral root initiatio [Source: Projected from Oryza sativa (Os03g0633500)] MAGLGFEETELRLGLPGGGSDAGDAAAARKRGFEETIDLKLKLEQPASAAAACVEKEAEEGEAEAAAASATGGGNMKSRSPSQSSVVTADDAQPDPEKPRAPKAQAVGWPPVRSSRKNILSVQKGGKDDGKSGAGAAAFVKVSMDGAPYLRKVDLRTYGSYQELSKALEKMLSSFTIGSCGGSQQGMQGVNETKLADLLSGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAVGLAPRAMEKCKNSC >PAN46146 pep chromosome:PHallii_v3.1:9:10309407:10313771:1 gene:PAHAL_9G165500 transcript:PAN46146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAAAPARAGLAPQNGIFGSNSKPFTGFMLKTAQQIGPLSLRVRGSVASSPRKLLSPKAAAATKSGDGVRIAVLGASGYTGAEIVRILANHPQFQIKVMTADRKAGEQFGSVFPHLISQDLPRLVAVKDADFSGVDAVFCCLPHGTTQEIIKGLPRHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTELHRDDIRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKITISFTPHLMCMKRGMQSTMYVELASGVTANDLYEHLKSTYEGEEFVKLLHGNNAPRTSHVAGSNYCIMNVYEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENMGLQYQPLFP >PAN51049 pep chromosome:PHallii_v3.1:9:68920604:68922826:1 gene:PAHAL_9G566200 transcript:PAN51049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVRSEFPSPELRRVRTALPSASSKVASARAKLVERAKSPANSKARGPDDHEKRGKSGRWGNGRVIPSGRRRNSPPPPPPVSPDGGRVRCSWITANSDPLYVAFHDEEWGVPVHDNRTLFELLTLSQALAELTWPAILSKREEFREMFDGFNFASVSEFTEKKINLLRSNGSMLLSEQKIRAVATNAKQMQKVVQEFGSFSNYCWSFVNHKPITNCFRYARQVPTKTPKAEAVSKDLMRRGFQCVGPTTIYSFMQVAGIVNDHLSCCFRFKACSQHKASENNVGAEPALPESLSSPSSEDSNIRGV >PAN51481 pep chromosome:PHallii_v3.1:9:70809546:70811058:1 gene:PAHAL_9G597400 transcript:PAN51481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPRRPSGQQAAGGGGEQGAVRYGDVFPAVSGGLAEKPVAPQDAATMQSAENLVFGQTLRGGPAAAMQSAATTNERMGVVGHDQATDATAVQGVTVAETRVPGGRIVTEFVAGQAVGQYLARDDDATAGGGAGAGAGAGGAARGGSAAAGVVDNTKVTIGEALEATALAAGDEPVERSDAAAIQAAEARATGLDANVPGGLAAQAQSAAAANAWAARDEDKTTLGDVLANATAKLVADKPVESADALRVAGAENRNKGDGTARPGGVAASMAAAARLNRDEAVWDQ >PAN45792 pep chromosome:PHallii_v3.1:9:8743367:8743714:-1 gene:PAHAL_9G142600 transcript:PAN45792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESVKCECCGLREDCTLDYIASVRASFHGQWLCGLCCEAVRDEACRKKAQPGVEEAVRAHMAFCKMFKSNPAVRVADGMRQMLRRRSGDMSKPESAKKYSTSQVGDESSVTLY >PVH33170 pep chromosome:PHallii_v3.1:9:70107293:70110358:1 gene:PAHAL_9G584700 transcript:PVH33170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTCSNKSSSSSLYDSCGHADQAKYHEVHDLQPFSGGSYFHDHGHGSSGIGLGINMNAPPCSGFPDHQHHHHQFLSHHGEYFLGTPANPSQPPGGAMPSMPVYSAGGGGGVVSGSMSISGIKSWLREAMYVPPERSAALSLSVTDVPAEPPQLLPAPMPVARKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGKFRGYDREEKAARAYDLAALKYWGPSTHINFPLSHYEKELEEMKHMSRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICASTHLIGNDAACRRSPTRPPDAPAALPERQSSDAPRGDQGGAASDNSDTSDGHRGAHLLHGLQYSQHPMKFEAGEGSSGGGSWMTAAAARPVSGVVPSVHQLPVFALWND >PVH31918 pep chromosome:PHallii_v3.1:9:19946732:19948147:1 gene:PAHAL_9G261600 transcript:PVH31918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQILFSIYICAYYMQGCLLQCYLDNICVGMCDGVIHHIYVWMCAGLRSNILCAFLVA >PAN51923 pep chromosome:PHallii_v3.1:9:72932568:72933611:-1 gene:PAHAL_9G630100 transcript:PAN51923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQQLKVWPSSWVSAAADTASWCLALSLVALLLVCSLGAGSAGAGEEAMPQVRGAALSARPCEEIYVVAEGETLHSISDKCGDPYILEKNPHVHDPDDVFPGLVLKIITPSKPR >PAN45891 pep chromosome:PHallii_v3.1:9:9186439:9193242:-1 gene:PAHAL_9G149500 transcript:PAN45891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQIAPAPAPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTGLLSVNLRGLIQSLPVQNHSQLVQENFKVHNISFTENLSEYAPSPSKYRMPMMFSTKGDQDHMLHIRAAPEKRQRVPSAYNRFIKEEIRRIKASNPAISHREAFSTAAKNWAHFPNIHFGLGTHESSKKLDEAIGAAGRPQKVQDLY >PAN45892 pep chromosome:PHallii_v3.1:9:9185987:9193547:-1 gene:PAHAL_9G149500 transcript:PAN45892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQIAPAPAPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTGLLSVNLRGLIQSLPVQNHSQENFKVHNISFTENLSEYAPSPSKYRMPMMFSTKGDQDHMLHIRAAPEKRQRVPSAYNRFIKEEIRRIKASNPAISHREAFSTAAKNWAHFPNIHFGLGTHESSKKLDEAIGAAGRPQKVQDLY >PAN45894 pep chromosome:PHallii_v3.1:9:9185987:9193547:-1 gene:PAHAL_9G149500 transcript:PAN45894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQIAPAPAPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTGLLSVNLRGLIQSLPVQNHSQLVQENFKVHNISFTENLSEYAPSPSKYRMPMMFSTKGDQDHMLHIRAPEKRQRVPSAYNRFIKEEIRRIKASNPAISHREAFSTAAKNWAHFPNIHFGLGTHESSKKLDEAIGAAGRPQKVQDLY >PAN48468 pep chromosome:PHallii_v3.1:9:55482671:55485817:-1 gene:PAHAL_9G384000 transcript:PAN48468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQQAAAASVLQSFPFRAAVLALCVALLPLLPAAPEAGTGEAGQAFLAKACELLHLLFIGIAVSYGLFSRKNTADDGRAGHAAAEKDAAAGPEPAKADARYAWRMFRDSIAPFDDEEDDEVLPDSPSGGGGGREKMRSWSALHRPEDPVVVVSNGGGGRNGHAADAQAPLSLPVRTLKPQSAQDASAGGGETPRSRSRRGSQDPATGGARDETVLPSPIPWRSRSGRLDAGRPASPSPSPSPKRLSPASSLARETLAKASEEEYYAKRRSPYRSSSISSPPPAPPPPPPPFLVHGYHPAAERRAAVGKSFKEELQHHSMRGRGEDHYSPNTGVFSTSAYSSNNSSTAKPRNSFDGGGGSSSSASVGKSVRTIRAREPAAFQGQSQELPDDAGDGRDAVGMHGLEESYGYRAYQSIPRFQYERSVSDPILGGVAVSSDDTESSDDDDVGAYSTRTNSPRESTPEVDENEVDKKAEEFIARFREQIRRQRIESIKKSAGPRGVKHHAK >PAN44215 pep chromosome:PHallii_v3.1:9:1456132:1459250:-1 gene:PAHAL_9G025800 transcript:PAN44215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) UniProtKB/Swiss-Prot;Acc:Q8L7Y9] MAAAARAGRRGRPEARLLVALLLLALVVSGHCLDAHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLHRTRPDIDGLTGRESNHLNASDPSSPEIFVTDKAGYVDSDPGHGFEDIREQIFGSADTSAVPPPMSGFAQNARGMGLGMAQNVMSGFKPEAIPVYASLADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYVVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFERLGVRVPSFLISPWIEKGTVIHEPNGPQGSSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASSMSSGLSSEL >PVH31580 pep chromosome:PHallii_v3.1:9:11551844:11553672:1 gene:PAHAL_9G182400 transcript:PVH31580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILQLWVWERLPELRPEMAKSPAPDVNGVPRVARWHDARSVLDTRYVYGVLMSPKEFEWRPYGSSSVALQPKTCGCWVRGPDITRSKALLSFARCLRTCELVGMKCIEKYRPHPKTLAFIVPDHKPGVTVKYAQWWERYSSACATAVANSVKTQGLCVLMHVDTSIRIHRTASDAAEELEDEIPLVERLNSIIKMMHKQHTTEFSVKSAEQELIVEGANNFRSISATDEFVSSLSMVDGLSCGSVTKMALNKCLQQTEEEDLVISDEEKNSRPECGDLLFHNIIQAANMTTLRQEPHVVAHSAAIQTYVGHSGGPTEEMQKRIVARDKVHKDKIGPLRCNEKGNEDVLVSNQELESVIENLAEANRKKSGNSERPSSSRLVDGIIKQVGTKVCTKTVYYLSRFYRTKDAWDKDANCTGGNPDVNMPRRAVGTMEMIKMASALRMAEIAELKENIDRLKEEILALEAAATSKGEV >PVH32105 pep chromosome:PHallii_v3.1:9:36988155:36990152:-1 gene:PAHAL_9G313900 transcript:PVH32105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGLGVECPHIFDGTHFARWKNWMTCNFKFICPQMWWMVDVGFSHVLDERNLTQTQEKCLDLDIQATNILFRSLHDCILCEVMDKETAHEIWSYLNEKYGAASDDHDDFKVIQEIHEDGEYIHDMLVVEDCSTSRSSDDDDDQCTTSSLDMIDGDDSSAANDDPTPSTLDDQVGSYMDDISTSSSSPSSYCFRSQGDTKVSNCNVINPNSYNELLSRYASMNKLFEKVLAKTIKLEKENSFLKDTCEQQKHLLYVMSCSHEELKLTHEELSVAHENLVLDHALLTSKFSNKEIKTSESSSHGSKDQLQNIANPCDVGKKHVSTSCDDLLSMPCTSHIDTCSSSTMQYETNLVEENKELQSQVKYLSNKIERWTKSKVTLESIIKNQRNFGDMSGIGSNKSKAKGKRWGKNKYDRKMKKQEEMKLSHFMCFQCHEMGHFANGCPNKENLKLKKEEEKIKHVKCFNCRTWGHLTSMCPTKQLMKQQEAQPKPQVEQEKAPQPQVKINHDDQVDDLKMMKKRKRRGGKARARHPTHIQDAKMLSKNKIQDKNPHAHIKCHSCAILGHLASGCPNKLEKKAQANNEKQGNEKHQMSKEGKAQQKRRCYLCREKGHMAYSCPLGNNSKPIQLMHILCLERMVMVPHLLLLQNIPLFILRLCQSMLLLT >PVH31987 pep chromosome:PHallii_v3.1:9:28537176:28540974:1 gene:PAHAL_9G283800 transcript:PVH31987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKARRSEPELVSPARPTPTETKALSDLDDQPALRYYETVIAFFRSRPSWDGCGNRPNDPAKAIKAALAEALVYYYPIAGRLREAAGGKLVVDCTAEGVVFVEADADVRLEQFGKPLLPPYPCVEELLCDAGETRAVIAKPLVLMQVTRLRCGGFVIGFHMCHNIADGFGMVQFVRSVAELARGETVPTILPVWKRDLLTAHNPSLMTHSDAAYKQPSPNDLQYKSDDVMLSSPIEDMIVQYFLFGPREIATLRSHIRGYLTSTATSFELLTAIMWRCRTIALGYESNQWVRLMITMNARGKWNRHTLIPRGYYGNAHFSPIVEAKVAELCSQPLAHTVELVRKTKLSVTKECTKSMVHTIALTRQWPPPMMDRIYEVSDTKWIATNVTRFGWAELVGGGIPLAGDLTSKLGSDHMRCKNEDDEYSTIVSMLLPKPAMERFTNEMSVWLNKHDEKNLVILSSL >PVH32952 pep chromosome:PHallii_v3.1:9:66717502:66718170:-1 gene:PAHAL_9G532400 transcript:PVH32952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLWRRPHVSAWGVDTSARSLGPFSPQSRAHARRKPEEGGGALPHAPIRVRSPRAHHVAPAANREAPRATCTCACTSLPRPGRCRVLPCPCSLTAWFPHGYARGLGAAGGRCVRGAPAYAARPAVSPESGGRDSQVGVQTPTHPARGFPSRLASGLVFSSVGPATGDVTRSDNPPRSVPRRATPCSSACGRVSAARAAHTVVFPRAAWHVPAWCPRGPEQL >PAN45067 pep chromosome:PHallii_v3.1:9:5180295:5182498:1 gene:PAHAL_9G090600 transcript:PAN45067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAATTVAAGLATGPGSRVTRFAKSTAASVTPVRPGKTHTLSPLDNAMERHTVHVVLYCRAAPGLDREQLKESLSEVLSLYPAMTGRLTRREGGEGAPADGEPSQRGWVVKCNDAGVRMVDARAAATLDEWLATATGDEEMDLLYYEPMGPEPYIWSPFYVQLTEFADKSYALGLSCTHIHNDPTAAALFFQAWAAAHRRTTSTYPPFLHAPAFEVSPPSLPPPPPLLAEKSSAASPASADAAAMSSATFHFPAPAVRALLSSLEPGTTPFAALAALFWLRVTGAANGERELTLALDFRKRMYAPLPWGYYGSVVHFTRARADLAAGLPAVAAALDAHVAGVPEEDLWRAVEWLYARQQQPEGGAAGPFQMYGPELTCVALDHVPMYGAEFEAGAPPARVSCRVGGAAGEGLVIVLPAAEGGEARDVVVTLPAEATARVCRDGEVLRHGAQVVFGTKAGKEA >PAN50592 pep chromosome:PHallii_v3.1:9:66603033:66607172:1 gene:PAHAL_9G530500 transcript:PAN50592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQPLPYSTGQPPATGGAPVPGVPGTVGPPPVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAAAGAGAVGGGAAGGAPAAGMPYYYPPMGQPAPMMPAWHVPAWEPAWQQGAAADVDQSGSFGEDGQGFAGGHGSGAASFPPPAPPSSE >PAN44247 pep chromosome:PHallii_v3.1:9:1574789:1579099:1 gene:PAHAL_9G028200 transcript:PAN44247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPKQEELQPHAVRDQLPAVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILAGRYSGIADPHEKFLRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCIEIGLPQILLLVALSQYIPHLLPLVGTAFERFAVIMSIVVVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGAVAYFLDNTLHRRDGTVRKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >PVH31737 pep chromosome:PHallii_v3.1:9:14553240:14555097:1 gene:PAHAL_9G222600 transcript:PVH31737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/TrEMBL;Acc:A0A178VAQ8] MAAAMATAAMAAAASSRAFLRPHGRRLLPLTRPLRRRLSATAASATVSTAAPGGVVDVLRERGLVEATTSEALAAARPGELKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALVGGATGRVGDPSGKSAERPELDVAAVEANSDAIKSLVDQILGRVPEPAHHSQPGTNQQPLVNSAAGSSANMGSFVILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLASEDGMSYTEFTYQLLQGYDFLHMFKNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKSEDGAIWLSSKMLSPYKFYQYFFAVPDVDVIRFMKILTFVSLDEIQELEESMKKPSYVPNTAQKRLAEEVTRFVHGEEGLAEALKATEALRPGAQTQLDAQTIEGIADDVPSCSLAYDQVLKSPLIDLAVSTGLLTSKSAVKRLIKQGGLYLNNIRIDSEDKLVEEGDIVDGKVLLLSAGKKNKMVVRIS >PVH31184 pep chromosome:PHallii_v3.1:9:4374808:4375508:-1 gene:PAHAL_9G075800 transcript:PVH31184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLFFLCLSFLLLHGSWSSGARALTGGVVGARAWHTGVRRAIRARLVRC >PAN49256 pep chromosome:PHallii_v3.1:9:60259914:60261024:1 gene:PAHAL_9G437000 transcript:PAN49256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKISSGVVSGLVKRSQRSYGVYTRAVNVQGSAAAAVGRAPTDGGAADGGGRATGNKDVFWMRDPKTGCWIPENRFQEVDAVELRNRLLHHE >PAN51526 pep chromosome:PHallii_v3.1:9:71014524:71016419:-1 gene:PAHAL_9G600400 transcript:PAN51526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAGCRAEESFFEMPMERRRERLFVRGEKEVMRNRVAFKAARRSVWVRHASACESAPGSVRASSASPVAAFLFKHRRDHRHLPHSSVITSPAGLVLYPPTLRRACPPTHWPATAGESESLGRLPIDMARKVSSCHSLVVVFVAASLAATARAAWIRGSATFYGGADASGTMGGACGYGNLYSTGYGTNTAALSSSLFKDGASCGECYQVMCDQQNSQWCRPGVTVTVTATNLCPPDWSKPNNNGGWCNPPRQHFDMAQPAWEKIGVYRGGYVPVMYQRVSCSRSGGVRFTINGNNYFELVLITNVAGPGSLKSAQIRGTRTGWVTMSRNWGANWQANNYLKGQSISFRATATNGQTLEFGNVAGPNWQFGQTFTNGQNFY >PAN46664 pep chromosome:PHallii_v3.1:9:13216490:13218832:-1 gene:PAHAL_9G205900 transcript:PAN46664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAATSFLPSALSARKEGSVKDSAFLGVRLADGLKLDTSALGQRTKRVSTSVAIRAQAAAVSSPSVTPASPSGKKTLRKGTAIITGASSGLGLATAKALAETGKWHVIMACRDFLKASRAAKAAGMDKDSYTIVHLDLASLDSVRQFVKNVRQLEMPIDVVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLGHYLLARELLSDLQSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGVGSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKNSASFENQLSEEASDADKAKKLWEISEKLVGLA >PAN51129 pep chromosome:PHallii_v3.1:9:69335828:69339996:1 gene:PAHAL_9G572400 transcript:PAN51129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKKSKPSRSKKKPKTSADQALALDYVRAWAQPAPPRPEPSAADADDFLPAQVARGGGGDVLFELHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTMAGIPEAVSAASKFGVRIIPGVEISALYNPREVAGAGEPVHILAYYGMCGPSRPDELYSMLLNIRDGRYLRAKNMLAKLNRLKVPIKWEHLTKISGEGVAPGRLHVARAMVEAGYVENVRQAFNKYLGDEGPAYARGTEPFAENVVQLIANTGGISALAHPWSLKNPDAIIRSLKGAGLNGMEVYRSDGKVDGFSELAEKYGLLKLGGSDFHGRGGKDESDIGTVKLAITTLCCFLKMARPIWSSAMKDILLKFAEEPSSENLRNMLKFGRLTNVDGFSPINAGIDVVNFCLSSWSSNDDMEDVELEEVRLKLAHYATER >PAN50426 pep chromosome:PHallii_v3.1:9:65883671:65886948:1 gene:PAHAL_9G519200 transcript:PAN50426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKGQFSSERIVKNISGRDGQKKGNSLHDQIAHVPKDRVAAVNGNVDGKFEDRIRVAKNDKFRRQRESLSGERAASMKGSKPWPGRKTTTVDELVKHMSNVPSYLQRKETADHRQDKALNVGVLEWGLLANWSQQQKHDLARSHGASPSNTSRSVLFSSPSHSSASPSSRSLESNQSTPVSDHHHSSIRGPQSRLTDKHHATARYSPSPNSAVLSLLPAHGKHPCPETSCNYVGSGLSNVSLPSDSLGSSSRSCGRPEMDEDEESRRRIEDVVHHCSRRLFTDSDSIGKNFFTSNNNDSLCNDPEQSSVLNGQNFESLISDGVMDTPRICSRLPDVFLEDIEPSHEFPRIPYSCPLPIIDSAAKEIHTTSTEARNNFVGTAAKVSKNSNRNRSAMSGTENPPQCSAKFSDRMPDRHLGAGMNRVSRSSSLKETPCARQPDVVPSVDKIGDRSSSNSKGRRSPLRRMLDPILKPRHSSPVRPSFVPKCHLPVNTTKQSLDMGGSVPQNVQRRSVDMVVNSNYPTEANINQPPHVLLNSARYLQQEKDSPTTRQALLQLAWKNGLPLFMLSYGESEILAATVRKKGISEKDDLESTYAVFTVEEPKKKSGTWIKAGGKNKKHHLLSSIVGELKVAHRKSRCHHTKNVHVHREFVLVGSEFLPSSEESGDSHISGELAAFISPLPQQEAETSNQSSSQNMGQSDLAPSGCGCPPLGNFQPSTRNANSGSANVIAILPDGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCTLSVLSGTAPGNDAIQANQAMDGSQRFELLAQGRSREDRNAFSMTSFKEGLYTVEFRSSITLLQAFAMCIVMLHGRWYPNRMQVASQAVQGHDGLADHELKTMAASQGRAPTSYVPHRPPLSPVGRA >PVH31971 pep chromosome:PHallii_v3.1:9:25355616:25357188:-1 gene:PAHAL_9G276600 transcript:PVH31971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVRVIYLVGTLCFFKAHHMTSLGTLSYAFSRSIKTMCKSFFSSLYLPINCCTKKIASMVDLPGMNPNWFWVILVNLLRRCSITLSQSFIVWLISLIPR >PAN44924 pep chromosome:PHallii_v3.1:9:4686991:4691856:-1 gene:PAHAL_9G081000 transcript:PAN44924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 2 [Source:Projected from Arabidopsis thaliana (AT5G15410) UniProtKB/Swiss-Prot;Acc:O65718] MPPLAFLRSLPARLLERVCDGVRGSPGAVRDEESGGSGRSSVSAGHPAGECYACTQPGVPAFHSTTCDQVHEPGWDADAGSSLVPVQAQQATPAAPVSAAQHAGAAARWLFGPVLDPRSKRVRRWNRWILLGRAAALAVDPLFFYALSIGRAGQPCLYMDAGLAAAVTALRTCADAAHLAHVLLQFRLAYVSRESLVVGCGKLVWDARAIAAHYARSAKGLWFDLFVILPIPQVIFWLVIPKLIREEQVKLIMTILLLMFIFQFLPKVYHSIHIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRIASCLQEECKKKNSCDLISLACSKEICFRSSWSSNINGFACDTNVTSSGQQNLSTCLSGNGSFAYGIYLGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLAPTSNGLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAVTGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFILQGKLRSTQPLTKGVVATCILGAGNFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLRFITEHFRYKFANEKLKHTARYYSSNWRTWAAVNIQLAWRRYRARKVDATAVVAPPPAAGPDDGDRRLRHYAAMFMSLRPHDHLE >PAN44980 pep chromosome:PHallii_v3.1:9:4937091:4937612:-1 gene:PAHAL_9G085800 transcript:PAN44980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITQSSSTRTTPQPWAMAMSPLLTLHLLVLATTTMAPATPPTAHFLGVSYGTLGDNLPPPPPSGSTTPTPPSWPRAAAALGLVFVPSIPNELIPSLAASQRVADAWVSNSLLPFRRSSGLPGMPRGGACRRRARSVAMSLDGTFTQDPLFRIATMIRDPIFCQVPPGSDRD >PAN43934 pep chromosome:PHallii_v3.1:9:354739:358618:1 gene:PAHAL_9G004200 transcript:PAN43934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEVVWLSPASRLPSLANAPPRFRGKPKPSSASVLSRPAALPVLLRRVTVRRRLGATEQQGQVQEDEVVDSNVLPYCSIDKKQKKTLGEMEQEFLQALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYAAGNPIMSDAEFDELKLRLKKDGSDIVTEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFIDELTGFEINVFQLPEPFGFIFTWFAALPLILFLAQSLTKAIVQDFLILKGPCPNCGTENLSFFGTILSVSSGGTTNNVKCANCSSELVYDSKSRLITPAEASN >PAN49984 pep chromosome:PHallii_v3.1:9:63842488:63843778:-1 gene:PAHAL_9G489800 transcript:PAN49984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPRGVWNMALLGLAVAVVLAVAAEARSLEKQSLCGGGCGLGGGGGYGGGGGVGLGGGLGHGGGLGFGGGKGGGFGGGAGGGGGLGGGGGLGGGSGGGGGLGGGYGGGAGGGVGGGSGGGGGLGGGSGGGGGLGGGGGAGAGGGYGGGAGGGTGGGYGGGAGAGGGAGGGYGGGAGAGGGAGGGYGGGAGTGGGYGGGAGGGSGGGYGGGAGSGGGAGAGGGYGGGAGGGAGGGYGGGAGGGAGGGYGGGAGTGGGFGSGGGGGYGGGAGGGIGGRV >PAN44787 pep chromosome:PHallii_v3.1:9:4043841:4046099:-1 gene:PAHAL_9G070300 transcript:PAN44787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAARSGLRSLAARAAPARRLMSSSVHDDAYETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWGPDGLFEIKDHH >PAN50094 pep chromosome:PHallii_v3.1:9:64411062:64413580:1 gene:PAHAL_9G497500 transcript:PAN50094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAERVVGERRMRQIQRFARNAKLTVVCLLLTVVVLRGTVGAGRFGTPQQDLIELRQHFVSHPHRALAEHHDARSRGSATTTTTTTTSSSSSGRRDDEPDPPPRTLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRALLLAHPEVEFLWWMDSDAMFTDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDMLDTWAPMGPKGPVRIEAGKVLTKSLKDRPVFEADDQSAMVYILATQREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYKPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRIRNESSNPLEMKDELGLLHPAFKAVKTST >PAN44420 pep chromosome:PHallii_v3.1:9:2297732:2299301:-1 gene:PAHAL_9G041600 transcript:PAN44420 gene_biotype:protein_coding transcript_biotype:protein_coding description:RbcX2 [Source:Projected from Arabidopsis thaliana (AT5G19855) UniProtKB/TrEMBL;Acc:A0A178UCQ2] MAGVQMMPAAVDVRAEGGVAALRRRREGAAASGGSAVSTASLFAGDWRRRPRRPSCSVRALRQGRSSRGGLGIVCNLGGQYEDTFDDVQLQLMNYFTYKAVRTVLTQLYEMNPPSYRWFYNFVAVNKPTDGKLFLRALSKERQELAERVMITRLHLYGKWIKKCDHGKMYEMISDENLMLMRERLMETVIWPTDDTNTEKIG >PAN49463 pep chromosome:PHallii_v3.1:9:61181813:61186057:-1 gene:PAHAL_9G451100 transcript:PAN49463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGRKPRNFATFRLFPRAGAADPNDRVFIRVDNNDYTVPGFADEEPFDPSLSDPSADADHLHSSASGPLPEHVRREILELGLPDDGYNYLSHLRELRPSAAAAASSFVPSSTARPEPLPLDVKAYDASRVRVGPSQGELDEGRTMCKVAAKTAPVRRIEKAVDPDVARLLDESDVSHAGSEDEGLEEDFVIMANRAEGEELEEEEDDEEEDGNGVFSDVEEEEFYFEDDDPKPRVRRLLDEQFDLLALEEYGDSDVDDKGVKDGEYELPSEVIDELKLFHNQNVSVDEEYRTPADFVRRKLDSSTADEVDESAHVIKKCAEYAEKYLNETVEEEEVVLVSESSDESEVWDCETIVSTFSNLDNHPGKIETPGIPKRRFPRVFPGETATTNDIIKLHGKEKLPVEYLPQRKKGGEKEKKVKPAEVADKFKKGAEKETKEEKKARKAAVKEEKREARKAKKELKGLYKSETQKAQKVAAVTGPSSIRLM >PVH31046 pep chromosome:PHallii_v3.1:9:2454359:2461315:1 gene:PAHAL_9G044600 transcript:PVH31046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDTMILVARSTSARDPPARARLVDSPSRSPLRASGSSPPSVKPFPSLGLSSLALPSLAATTGRAAAPFVLSSPSPSDERIFQVSVITDVDFESQENTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPIDIAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRQRDSRTACPLVLILSPTRELSMQIHEEARKFSCQTGVRVVVAYGGAPIHQQLRELERGVEILVAAPGRLRARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEVDKRSYLMDLLHAQNANGAHGKQALTLVFVETKRGADALEDWFKNGFPATSIHGDRTQQEREYALRSFKSGATPILVAGTADQVVLDLVAVTSAVTGTSGEAVAVVEDMEEQRRRIWWRLWWRCNKFLGLNTVS >PVH33161 pep chromosome:PHallii_v3.1:9:69990725:69991654:1 gene:PAHAL_9G582400 transcript:PVH33161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSFTAKISGVDPPQPKANLIILAELYIRGHEQGHTSTTNFPNSFMPQPPNKGIVKK >PAN44309 pep chromosome:PHallii_v3.1:9:1873022:1875671:1 gene:PAHAL_9G033400 transcript:PAN44309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >PAN46380 pep chromosome:PHallii_v3.1:9:11495607:11496906:1 gene:PAHAL_9G181800 transcript:PAN46380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVEVGARGTVGSLVLREVEYFRRVEVAGGGGHGKKSSSKVAASGGGSPRSSSSKKQQMRKKKGGAGSGPFLPRMCSSAEVAEDPGSGGGRRERPARVRYRPLGEEGDALPQED >PAN50435 pep chromosome:PHallii_v3.1:9:65909245:65910094:1 gene:PAHAL_9G519900 transcript:PAN50435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRSNVFDPFSVDLWDPFQGFPLGSGSGSSLFPSFVGTNSEMAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDSNVLQISGERNKEQEEKTDTWHRVERSSGRFLRRFRLPDNAKTEQISASMENGVLTVTVPKEVVKKPDVKSIQISG >PAN45182 pep chromosome:PHallii_v3.1:9:5767403:5768294:-1 gene:PAHAL_9G099800 transcript:PAN45182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNFSLAAAVLLSGLLILGTTTEPAEASVCSTIECAQGTYMTCANTPGQYFPGCSCQCAPTDCTGCAVYLADGNTLQG >PAN45404 pep chromosome:PHallii_v3.1:9:6802253:6802886:1 gene:PAHAL_9G116200 transcript:PAN45404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVALTKGRAGWAGAKQERSRPGVLRRAVKLLHHSMVGLLILRARVKEPGPLNQRPKSLIGPCNIDTGFENVGERW >PAN50107 pep chromosome:PHallii_v3.1:9:64481482:64482978:1 gene:PAHAL_9G498600 transcript:PAN50107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPARRVTFTRAVLLLGVVALGLWLLSVEFAVVGAGGSAADPAARAAVAGRRRTHAQAAARSPDAWRTREWRREVDRHAAVLRRHLADGMLAASSVAVCLGGAQEAMALRELGVVGAVAVARKRSPPLAVAGNGRRLPFPDSSVDFVFAGRALDNTKRQADLASEAARIMKPDGHGHLVVLTSGASDAYSLRSLQALLPSLRLLRSRVINGADGSTLRELVFRKHADVSTTSRSSSNGNNSAGNCTNRDHKLEIIDLAEPLIQEEPAKPWITLKRNIKNIRYLPALADIGFKRRYVYIDIGARNYGSSIGSWFRKQYPKQNHTFEVFAIEADPAFHTDYARRKGVTLLPYAAWVRNETLSFEINDGPGKTGHSDVRKPNGRGMGRIRPAAGAMNGVSSGEVRRIPAFDLAEWLKRTVSEQDYVVMKMDVEGTEFDLIPRMIGTGAICLVDELFLECHYNRWQRCCPGERSPKYSNTYGECLDLFTSLRNSGVLVHQWW >PVH31263 pep chromosome:PHallii_v3.1:9:5648151:5652001:1 gene:PAHAL_9G098400 transcript:PVH31263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVERPRTESAAIEENEIRITAQGLIRNYVSYATSLLQERRIKEIVLKAMGQAISKSVAVAEIIKKRIPGLHQDTNISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSPGELDKNTPGYQAPAYVEQPRQQQRLQQAPPPQRQPRRTQGQFQQLEYEDSYARGRGRGRGRGRGRGWGRGGYGGYGGYGNNQGGYNQGGGYYDNQGGYGGYDNQGGYGGGYGYNQGRYGNYHENGGYSRGRGGGMRGRGNWGYRGGYDGGRGGGYEGGRGGGYEQGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGGRGYGGRGRGRMGGRGRGN >PAN46850 pep chromosome:PHallii_v3.1:9:14299117:14303466:-1 gene:PAHAL_9G219500 transcript:PAN46850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to carboxy-lyase [Source: Projected from Oryza sativa (Os10g0479500)] MTMRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSIDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNALLTFIDKAVEEGFINPSARRIIVLAPTAQELMDKLEEYVPYHDRVASTLNWEMGHLGY >PAN47187 pep chromosome:PHallii_v3.1:9:16237820:16238943:-1 gene:PAHAL_9G240800 transcript:PAN47187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAARRPRVLAEIDPHSEWVRGPEFDTLVVDVTGFGKDHLKVQVEPSGSLKVSGERAVDGGGRQWCHFTKRFDLPAACDAAEIKVQLDKGMLYVQVPRPGGAGGSGELHPEAEMYEDPLQGEAEIGGGDGGWNIDRATTRREEQHPVLRLARGLSRHRQVVLNVVLAVVLFWLVAFAKNQPAGGQARSD >PAN49306 pep chromosome:PHallii_v3.1:9:60438401:60443350:1 gene:PAHAL_9G439800 transcript:PAN49306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKGEEKPGGADDWCYQFGNKNTFDLKAPKKSPLALRMFVFAMTMLCGISICSMCMKQLGSDGWSRIVKIEAVEPPCNKSTVPPSEVQFVHYPQPITYSREECKCNPVRFFAIISSQRSGSGWFETLLNSHINVSSNGEIFSTKERRSNISSIIKTLDKVYNLDWNSSASKNECTAAVGFKWMLNQGLVANHVDVVDYFNQRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTKHEARILAKYKPRLNTTSLIWQLKQADEYTRGALENLKSTRHITIYYEDLILNRTKLFDVLDFLKVPRMKLVSRHVKIHTKPLSEYIENWNEVYSTLNGTQYESFLTSDYII >PAN43950 pep chromosome:PHallii_v3.1:9:429272:433598:-1 gene:PAHAL_9G005400 transcript:PAN43950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAAVAGAAAQGDLADVVARAGAMAAPPSTSHRTPPPPSLAAAADHMSSSATMARQIMVPSCSDTAMVEAPSMVDPYLSSSATAPRGAYWLPPPPQLAVQIFQQHACGYARDVAMAGAATDVDGEEAMRISPAAHQIMKRKNEVKKVVCIPALPPTSSRPGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYSRPHTHTSTSSCKKKNSGCTVDPTISSSSSTSKNANYYSEHNAVGNGNLEYGQQTTTDMMDEGNAAAAGYVSYSIGALDEIEEGVAMHQPIQTADHEVFAELEELEPGSSSNPAVNANIYSRQGVSFDQWRHKF >PAN43913 pep chromosome:PHallii_v3.1:9:267430:271269:1 gene:PAHAL_9G002500 transcript:PAN43913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYDQDPDITRWGLHLLLPGGGGGGGAATDNSAHHHTRPPQHPNGDTSNSTEIKVEHIARNDAVDNDEIIAQALQEELSQIALAEASGASSAHDNHSAVLTQQWFRPRTIHVASGTSPASRQAESREEPFSSCSSPGDDNVQHGEACLIDLMDDFSVLDGEVGKRLNDMVPVPHVPKTNGEIPSVDEAISDHQRLLDRLVLYGLVELKVKGDGNCQFRALSDQFYRTPEHHRFVRQQVVKQLESHPEFYAGYVPMDYKEYLKKMPKSGEWGDHVTLQAAADSYGVKVFILTSFKDTCYIEILPVVEKSRRVICLSFWAEVHYNSIYPEGELPVLENKKKSWWPF >PVH32188 pep chromosome:PHallii_v3.1:9:47159567:47160496:1 gene:PAHAL_9G341600 transcript:PVH32188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNVFWDPEGHLHTNALHWEGFPRLLWESLQTFCYTEPPQYDAVEHLEEGIHRAHVRMTIPQHPFRSQWQPIESSMIGYRIVDTIEAAALEAIYAFCSQHPKEVVGQPIGLFATTDPGEAERDLGTIPESHRLEGQPEEVLQGMRRFTGVQYHYHLLLRREIGHLINAARSLHGEAARHIAQVDQLRAVVIEKDGVIAAQNETIHHQEDQINESDHIITQWDTVIEFLQTQVQDLILAVDDAQAQIEELQQPPIPPVAPAAPEAEEEDPEEIEGVSELDSEHGDPVVSPYHSSSGSQSSVGNFDDF >PAN48182 pep chromosome:PHallii_v3.1:9:53403416:53403845:1 gene:PAHAL_9G365600 transcript:PAN48182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMALPFFFTPRNLNLPQPGPMGKAVRHGGEGENSPKEAHPVIIPISGPTPATASPLLLGWLPGECPLRRCFSCGK >PAN48992 pep chromosome:PHallii_v3.1:9:58490572:58493999:1 gene:PAHAL_9G417500 transcript:PAN48992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRAFKYAVVDAFTDEPFKGNSAAVCLLEDGAGDGGEPVDERWMQAVAAEFNTPITAFLVRSGPTGAGGGGGAAGCGAAQFRIRWFTPIRESELCGHGTLAAAHYLIASGLVECDAIEFVAKSGRLTAKKVTVSNDASPSAEHCSKFMIELDFPVIPVAKCNSAEILPIPDTFNGASVMNKLQTVSAFSDLIVEVSSCDEVGNVCPNIAELVQCPGRGVAVTGPAREGSSYDFVTRFFSPKYGINEDPVCASVHCSLVPYWGKKLGKENMIAFMASPRTGTLYLQWDEEAQRVRIRGKAVTVMVGTLLA >PAN45204 pep chromosome:PHallii_v3.1:9:5868383:5876086:-1 gene:PAHAL_9G101300 transcript:PAN45204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAPPRGGRGRVLWLVSVEIVLILVCTQGAGADSGESKALFPQDVEAGEKDVYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHESQEKAEHVRGRHLLSLSSSRAPQRNVRKPIRIYLNYDAVGHSPDRDCKRVGDIVKLGEPPVPSAPGTPICDPHGDPPLVGDCWYNCTLEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYVEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRGQVTVQTLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSRMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFAISPCNSWKGAYHCNTTQLSGCTYNREAEGYCPIVNYSGDLPKWAQYFPQANKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQSGGPVQFPGFNGELICPAYHELCNTVPVPVTGQCPKSCSFNGDCIDGTCHCFPGFHGRDCSRRSCPDKCSGHGICKANGICECQSGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSTILPSLSMCHDVLVRDSDGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWL >PAN46669 pep chromosome:PHallii_v3.1:9:13259515:13264593:1 gene:PAHAL_9G206400 transcript:PAN46669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDEYVDLPVSDDDEEDEELEDAMDEEEDEQHVAELKRLSEKDPEFYKYLEENDKGLLEFDDNEIKDAQEAGISDNEEPVPKEELKQSVKPITMEMVDSWCAGVQNEKIGSIRSILQAFRRACHYGEDQGDNSAPKFSVMSGSVLDKVMHFVLKHMDRVLRQLLGAPSFGGKKETISELMLSKPWKRHGNLMRIYLANALNMITEMTDEQMIAFTIHRARASAVFLAAFPSLLRKYVKALLHTWARGRGAMPLVSFMFLRHLCIQLGSDCLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYSLDPQNAYQHAFVFIRQLAVILRGALTEKGPKSAKDKKQKEVSKSTKKQMEKSYQKVYDWQYIFCLELWTSVVCGCSSEEEFRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNCIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNMFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAHWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIHQIEANVEFVKSKRAGIAFSPNDPAVESFLQTEKEERCSPLSKYVATLHQRAQDRMDALDETSVIVGAESSTFSRRLTEAQKQQDEQDDDEATIAFSKNWLTEEKKPKTSKEKKKKRPREHDDVATEEDRVEDLILSSDDEKDGNQESDEDVSVPVEDDSDDDFVDPDSEYKKQKKAKLKKRNKRQPLSNNAPSKTKRKAHPKKKAKH >PAN51328 pep chromosome:PHallii_v3.1:9:70177691:70180767:-1 gene:PAHAL_9G585900 transcript:PAN51328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPNAGRADVDDEAWFCRPDCRHPKTAEDFLKLSPSPKGKLLRSVSAMLPFGERDVNATNLRDGNSNLKWRGGGAGGPVATFTPPKPKAAPKKRFQEDSENQDPALATPPPRQAPSRPPFGAPRWNKNAKEAIKSSAEKRPGNAEKEALLNKHAPPRQLKSTLSARNLFSGKDILGQISEFYDELKRMVGAGGSRPVTDIQEENSSNPMNGSDTVEKVACDAGASDPVSSETAKKVARQETVQKSPSPMKGKKVGLKVEAGKQRSPYVLKEVKATPPTPQRFPSPSPNRIKNVKAGGMAAAGSPLKKPPKDKGTPSKDLENKKDAVRQPFGVKDMNNTRACDAEGSSSSMFWFLKPCTFLVE >PAN47909 pep chromosome:PHallii_v3.1:9:33992954:33999417:1 gene:PAHAL_9G304800 transcript:PAN47909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSRRFLPARPPRRRHHKPPGKAEPPPLAAPTYTRNVVRRATAILRDHPWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAASASPTFRHDRFTYTSLIHLLGEAGRVPAMLRLLAEMLRAGVSPDAATFTTVMHWLARAGDVDGAMRVWAEMKARSRPTVVSYTACVKILFDAGRAEEARRVFGEMVAEGLRPTCKTYTVLIEHLADAGKFEATLEIMDKMKEACIEPDKALCNILVQKCSRAGETSVMTRILQYMKERFIVLRRPIFLEALEALKASGDSDELLREVNPHLSYEGIESDPILSDRGYITDRSTILYLLSAKNWSAIEEMINEMASKNVKMETHILSDVMEASCANCRLSCGLTVMRYSLRVGCELGRSAYCSLLGQYIRNSSFDLVVEIVEGLIKSGCNLGTYLSSILILRLGYAGQSAYAAQIFRLLTADKNVVSYTALINAYFQAGKVDGALDLFTQMKTMGIPACSGTYEVLIHGLQKARRKEESEHYRRERMNMQWHLQYRDQCSPEDSLCNYLFCGLHG >PAN47910 pep chromosome:PHallii_v3.1:9:33992954:33997779:1 gene:PAHAL_9G304800 transcript:PAN47910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSRRFLPARPPRRRHHKPPGKAEPPPLAAPTYTRNVVRRATAILRDHPWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAASASPTFRHDRFTYTSLIHLLGEAGRVPAMLRLLAEMLRAGVSPDAATFTTVMHWLARAGDVDGAMRVWAEMKARSRPTVVSYTACVKILFDAGRAEEARRVFGEMVAEGLRPTCKTYTVLIEHLADAGKFEATLEIMDKMKEACIEPDKALCNILVQKCSRAGETSVMTRILQYMKERFIVLRRPIFLEALEALKASGDSDELLREVNPHLSYEGIESDPILSDRGYITDRSTILYLLSAKNWSAIEEMINEMASKNVKMETHILSDVMEASCANCRLSCGLTVMRYSLRVGCELGRSAYCSLLGQYIRNSSFDLVVEIVEGLIKSGCNLGTYLSSILILRLGYAGQSAYAAQIFRLLTADKNVVSYTALINAYFQAGKVDGALDLFTQMKTMGIPACSGTYEVLIHGLQKARRKEESEHYRRERMNMQWHLQYRDQCSPEDSLCNYLFCGLHG >PAN49359 pep chromosome:PHallii_v3.1:9:60770755:60772745:1 gene:PAHAL_9G443700 transcript:PAN49359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYARAKPPCVMPRRAHISSDIFNLMGNTRPVGMANASAAAVAGTSWCGHCGMSLAAPSGPGSSVRCAFCHRLTRVERHRGVTLADPSPPRAAVSAGLEIPAGYYPSVSRKKRALLVGVSYRGTAHELKGTVNDVKVMRRLLCGKFGFPGDCILELTENERDPTRVPTRANLLRAMRWLVEGSGSGDSLVFHFSGHGVQKLDLNDDEVDGYNEALCPADFEQSGKILDDEINEIIVRPLGRGVKLHAVVDTCHSGTILDLPYLCRLSRTGYWQWENHDRPSGARKRPNGGLAISISGCSDDQKSADASGFSSESSSSIGAMTDSFIKAVEAEPGTTYGRLLSAMRARIRDGQGSRRLPGRLGSFVRCMIPSSNLQEPQLCSSEMFDIYRKPFLL >PAN46430 pep chromosome:PHallii_v3.1:9:11721867:11723885:1 gene:PAHAL_9G185300 transcript:PAN46430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLWDDVVAGPHPETGLEKLRKATISRPLVIDKGADAGGAAGGSYKRAQSMPTTPTTPGTPSSTTPRGGSSNSNVWRSVFHPGSNLATKSMGANLFDRPQPNSPTVYDWLYSDETRSNHR >PVH30949 pep chromosome:PHallii_v3.1:9:1145165:1150149:-1 gene:PAHAL_9G020400 transcript:PVH30949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVRARLSSLDDDDDDSATVSSLSSAPSSPPLSPLPASPPLRARAAVLGAPRVAAQLSSAEEDASESFDDATSSGEDAELVEEVSNGFFFTVARVPPPPPPPEDAILVPGGETAGAAGAPESGDNFGAADVSLEETFMSAKSVLEVLDASARSRLDGAIADGNGTGVEGSLDGSFQSSGSVIGGLDGGEAAESGDLVDVTDVPALMDGKQGEQDAGAEAVNDVAPEPFMPVAGVDDLRVVDALEGKGVSDHEVGELLPVLSATEGQDAGVGLRNDDSDAKGSTSKYEAVLDVEDASPEYVATRDATSDIVEVRDNVDDPDSIADGGHTKVDEEADGDDEASDDLTSMPVSASDDAVELLVKELEDNVPASKGTRFGVDDSDDEINGDAEYEDEVNGKEIEFFDYAALVELLRAANISMGEGNAKVFPVESSEPKHLPPKISSIPRTDMASTPVLEVTSDPEQEMTDEEKKLYRKVDMARIKYMRLIHRLGYDTNHLVPVQVLYRLSLVEGFRRVRMSNHSSELENAWKRALQLEAEGIEEVEFSCNVLVLGKTGVGKSATINSIFGEDKSKTNAFLPATSSVKEITGVVDGVRFRVIDTPGLGTSAKDEKSNRKVLNSVKKYMKRCPPDIILYVDRIDTQRQEADSLFPLRHITSVLGLSIWSRAIITLTHSGAAPPEGPSGSAINYGMVVTHRTHAIQQSIRQVTNDPRIENPVALVENHHLCRRNTEGEKVLPDGLTWRRLLLLLCYSKKMIAEIDNLSTRRASPASLLGRFFQVPTLPYFLSSLLQSREHPRSSNDHYVGSVDSDFDPDELLNENQEDEEDDYDHLPPFKPLSKAQVAKLSKEQQKLYFDEYDYRTKLLQKKQLKEQLRRFKEMKEGDNNDAPSDDDHPDDEFDTDRSPMPDWALPSSFDSDDPVYRYRCLEPTPNLLVRAVNNPDVWDHDCGFDGVSVQHSLDVANKYPASLWVQVNKDKREFTIHLDSSMSVKHGYHASSLAGFDIQTIMDQLAYTLRGETKFKNFKRNVTTGGLSMTFLGNTMVTGAKFEDKLSVGNRLTLLANTGAVSMCGDAAYGVNMEATLREKSYPVGQGLATLGASLVRWRKEWTMATHLDSQFSVGRTSNMAVRVDVNNKLTGRVSIKANTSEQLKIALLAICSATMYLWNKMHPGADPNA >PAN48293 pep chromosome:PHallii_v3.1:9:54328445:54331264:-1 gene:PAHAL_9G373600 transcript:PAN48293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWTDDNASMMEAFMASADLPAFPWGAPAAGGGASSAAATPPPPQAPPVMAPGFNQDTLQQRLQAMIEGSRETWTYAIFWQSSVDAATGASLLGWGDGYYKGCDDDKRKQRPLTPAAQAEQEHRKRVLRELNSLISGAAAAPDEAVEEEVTDTEWFFLVSMTQSFLNGSGLPGQALFAGQHTWIASGLSAAPCERARQAYNFGLRTMVCVPVGTGVLELGSTDVVFQTAESMAKIRSLFGGGAGGGSWPPVQPPAQQQQQQPATGADQAETDPSVLWLADPVMDIKDSLSHPSAEISVTKPPPPPQIHFENGSTSTLTENPSPSVHAPPPPPAPAAAPPQRQHQQNQAHQGPFRRELNFSDFASNPSMAAAPPFFKPESGEILSFGADSNSRRNPSPAPPAATASLTTAPGSLFSQHTATLTAAAANDAKNNNNNKRSMEATSRASNTNHHPAATANEGMLSFSSAQTTRPSTGTGAPAKSESDHSDLDASVREVESSRVVAPPPEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKLTALESDKDTLHAQIEALKKERDARPAPHAAGLGGHDAGPRCHAVEVDAKILGLEAMIRVQCHKRNHPSARLMTALRELDLDVYHASVSVVKDLMIQQVAVKMASRVYSQEQLNAALYSRLAEPGTAMGR >PAN51896 pep chromosome:PHallii_v3.1:9:72756898:72762696:-1 gene:PAHAL_9G627900 transcript:PAN51896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAGPTRSGGGGGEERAEQAAAVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARGRLFIDWRRRPDPPLQYHRLPLPSIPYAPWAHAQYPAALGARTTVLHLPPSPSSLYDYDSHRRHVGYDAYGAGSRQLLFYRPHHQQHPQATTMVLDSVPVRIPTTPGQHAEPPPPVASSASKRVRLFGVNLDCAGSEEESGGGRTAPPTTQTLPLQLPSPPSSSSSSSGKARCSLNLDL >PVH30986 pep chromosome:PHallii_v3.1:9:1541861:1544508:-1 gene:PAHAL_9G027500 transcript:PVH30986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGKSTRASHPPQIPLLRNEKVRDEIGGGAAVYSTIAPTDSYSIRMSASASRRGPHRLPRLHSPPMEMIVRATWKGNHIAAASHPLSLERDHRGRGVRHHGISQHKPEVHGRLQRHRSSMVGLLPGHTRSSPTSAARSSARSRRLMLSQCPDRVVMHEHDELM >PAN49837 pep chromosome:PHallii_v3.1:9:62953063:62953689:-1 gene:PAHAL_9G478400 transcript:PAN49837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALPVACRSRDVPWKNISGIACREGDTAAAVGTLPVVRFRPPLVPSSYLHPARSVIHTRATFLPIVWRPEEPTRARQPAKSGRPPSLSSPRAAVHAAGRVPLARGFEVTSPRLDEASSGHRPRQPDCLPVTRPRGGAAGRRAHAEPHGEKRTKRHAPGRPHHLRARAAPRAAHARAPCSGGTRDADVPRITPTAGPFEAMSLTGVI >PAN50520 pep chromosome:PHallii_v3.1:9:66253108:66257733:1 gene:PAHAL_9G525100 transcript:PAN50520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIPSVSLRGGADADAAAAAAPPDPAALARWARAFCVIRFDLERGQLVEACFPPDALAPAAGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALAMVATHVAAWPAPAPGKPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFVAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVAVGSPNPNSTRVLPVGGQSQGNGTNGTPGKLKLDKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYTPTTKPDTSVLNRLIDAGVSPRIEESMSLVNNELLRRHFLELTTNFLAPFGPYLRTTTPSEGTSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLELYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSKMSELERIDSFNAVERYLLREMENSGRGSADSIAACQKLKGDLQAAFNVLPKDMQQLLLSNPKRAVLLQGSQEKAPGPNGIVTQTSL >PVH32182 pep chromosome:PHallii_v3.1:9:46935892:46937692:-1 gene:PAHAL_9G340900 transcript:PVH32182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQFFVVLNWSCRNWTIILWICVPTLRGSFSFRAQNSFLLHLLLPSRAWNATKLYEKNRKSHISSPLRLKTLSLSLLTKGGIKVSPPNDIWLTKLIFLPTVLLTLQLSLPPLYNPLLIFFISKFPLVVHHKMKLCPGKE >PAN45796 pep chromosome:PHallii_v3.1:9:8768966:8773300:1 gene:PAHAL_9G143100 transcript:PAN45796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CDC73 homolog [Source:Projected from Arabidopsis thaliana (AT3G22590) UniProtKB/Swiss-Prot;Acc:Q9LJ87] MDPLSVLRDYAARNELDKIIFSGDDILFGSDYTFPANTPTAFTSKQSSRPYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRFGHNSLPSADPLLPSAFAPPETHLHPPSPPPEDPAAADEATTGKQIRALERPFKDRNALLDARGRDFLAVFQAALRRQDEQRKGGGKDAAPSSRTDSGAGAAALAKPKVVDRALGDGVVPIILVPSASQTLITIYNVKEFLEDGVFVPSEERMRATKGGKPESVTVQKKLIRAERAGAAGGAVSFEVRDKPASLKSDDWGRVVGVFVLGKEWQFKDWPFKDHVEIFNRVIGFYVRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRTAALEVWERLEEFMRART >PAN48976 pep chromosome:PHallii_v3.1:9:58410358:58410600:-1 gene:PAHAL_9G416600 transcript:PAN48976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTATSATVLFLSIALLCGVLISAVDAARQLAPEGRVVVETHEAAAYTTLRERAWSKTTAMAWTQQLPSGPSPRGPGH >PAN50230 pep chromosome:PHallii_v3.1:9:65049479:65057598:1 gene:PAHAL_9G506900 transcript:PAN50230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACQAGSDGPHKDVRGIANGVTENGHHRVEADADEWREKEEDLPNGHSAPPGAHPLDEQKEQQTIRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIPAENGLHAWQYLEDLQNNIDLVLTEVFIPCLSGIGLLSKITSHKVCKDIPVIMMSSNDSMSMVFNCLSKGAVDFLVKPLRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKPNTGDEYENNSTSNHDDDDENDDDEDDDLSIGLNARDGSDNGSGTQSSWTKRAVEIDSPQPMSPDQLVDPPDSTCAQVIHPKSEICSNKWLPGANKRNSKKQKENKDESMGKYLEIGAPRNSSVEYQSSLNDTSVNPTEKRHEMHIPQCKSKKKVMTEDDCTNMLSEPNTETADLISSIARNKEGQQAIQVADARGCSSRIPDGNDKNHDSHIQVTPHELGLKRLKTNGATMEIHDEQNILRRSDLSAFTRYHTSVASNQGGARFGESSSPQDNSSEAVKTDSTCKMKSNSDAPPIKQGSNGSSNNNDMGSSTKNVVAKPSGNRERVTSPSAVKSTQHTSAFHPVPHQTSPANVVGKDKTDEGIANAVKVSQTEVPQSCVQHHHHVHYYLHVMTQKQPSIDRGSSDAQCGSSNVFDPPVEGHAANYSVNGGVSVGHNGCNGQNGSSPAPNIARPNMECVDGTRSKNVAGGGSGSGSGNDMYQNRFPQREAALNKFRLKRKDRNFGKKVRYQSRKRLAEQRPRVRGQFVRQSGQEDQAGQDSER >PVH31682 pep chromosome:PHallii_v3.1:9:13584559:13585256:-1 gene:PAHAL_9G210400 transcript:PVH31682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVTADQLCLSSAATTMETKNLAFRGGAIVFHSCAAPCGCCVWPVHKQRP >PVH32906 pep chromosome:PHallii_v3.1:9:65966465:65967046:-1 gene:PAHAL_9G521100 transcript:PVH32906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTATGNSATSLYGSEEDRRRRMTHGRGAGCRAIASLPLQTKHREDVPWDDRLMTQRCRHRPACLSNEVPSSNAFRFQVRSLPNPLAFVGNVSKCDFIGTMYMPPSSILSHI >PAN44683 pep chromosome:PHallii_v3.1:9:3501397:3505946:1 gene:PAHAL_9G061500 transcript:PAN44683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPPLHSGGPSSVAPSAPAPPEKDESMATAEQLLLDLCIPELREETLKLLSQNREKFQQDIAPLLWHSFGTMSALLLEIVSIYGSVARATLTQAQSNHVCNALALLQCVASHPDTKMPFINAQIPLYLYPFLSTAYKAKEYEFLRLTSLGVIGALVKVDDHEVIAYLLSSQIIPLCLLTMDMGSEISKTVATFIVQKIMLDDTGLMYVCTTRECFLAVSNVLAQMLDALVEQPSPRLLKHIIRCYLRLTDNPSARDALRTCLPTVLTDGTFNDLLETQKDQTTRLWLHQMLHNIAMASSGRGGSHGPHADLNRIMGR >PVH32842 pep chromosome:PHallii_v3.1:9:64769557:64779410:1 gene:PAHAL_9G503000 transcript:PVH32842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MARPNAGADEAPLLAEEPLRPGACSRELELREFRDRYVIRSLDGGAAFAVARSGGSIRPLSPEEAAAGSDCKVSRIYGVAGIIRLLAGSYVLVITSRKDAGSYQGSPVYHANSMKFLCCNEAIKHLTSQEKKDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLAAGRIHKPLWKQADPRFVWNKNLLEELIEAKLDEFIIPLIQGSFQSAQFTLMDRPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQVRGSIPLLWEQIVDLSYKPRLSIIEHEETPKVVQRHFHDLSQRYGETVVIDLTDKRGDEGDLSNAFAAEMSKIRDVRYVHFDFHHVCRGGNFDNLQALYSQIEEAIQKQGYFLMNSKGEILLEQSGVVRSNCIDCLDRTNVTQSFLARKSLDLQLQRMGALSSSESISISDSINDIFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSQGSSSPFHTGGFESASYLPVASAIIVGGITATTFTLSQVGRNAQPFISPIICGGLTVGVVALVKANGKQFCSRPRLCGLI >PAN46017 pep chromosome:PHallii_v3.1:9:9810616:9816231:-1 gene:PAHAL_9G158100 transcript:PAN46017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSEMPEAKAKLKRSGSMGSSDTYVRADKIDLTSLDIQLEKQLTKTWGKASLKSQGPKEEWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPANNSNGGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLTPQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSAFANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >PVH32566 pep chromosome:PHallii_v3.1:9:59606969:59608494:1 gene:PAHAL_9G431000 transcript:PVH32566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGASVASSLVAVWAAWGGASKPDAPAAAAPAFDDALDAVVRAAEAVAEYLRAVMDAACSAAVGAAAWALEKLEEAAAFVLDRVVRLLRRLRGRKEFSRRAAARRAGPDVFAETASRVARSGRCIMGPAASSAVVVVPAAAAAAPGALALPGSVSGSDVARRAARSAAGMMGLILEAFPAEAHLRDSIPAAARSSITVIAAAAAVCLDVVASTDTNA >PAN50468 pep chromosome:PHallii_v3.1:9:66035289:66041217:-1 gene:PAHAL_9G522300 transcript:PAN50468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSHHHLQQPHPQQAPPPPQHQQQPVLPSFRNALPVPVDGQIPAPLAFFNPPPAFPEQPAQAPLVDAVGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGGGGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVETINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGAVNLQLMPKENRQPKDLTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >PAN48457 pep chromosome:PHallii_v3.1:9:55384152:55387289:1 gene:PAHAL_9G382900 transcript:PAN48457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPSRSQHAKHHPGAATHTRSRTRVLEAHLDPGSDPEYQDFQFRFVPEMFELQMGGVGSGGGGRNGDGKVAEKVLEFEFDKVRISIASSDDEADGDAPPRSSFSGASHPPEPVDDMETVFVAVDGRDKPAPKPVVSWDASPPPSSAASPHSSIDSSGAAATVTSVAPSCTVTSRSAKTSVSSSAASDGSGWSNGAASGAGGSAGKPHKGGDPRWKAILAARARDGPLAMGSFRLLRRLGCGDIGTVYLSELSGAGNGGAARPCWFAMKVMDKASLESRRKLSRAETEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSLNPDPRNAQTCAQPACIQPTCFMPKLFSQRSKKSGGTAKKSKGAEPRQQQASAGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELMYGKTPFKGQTNRATLFNVVGQHLKFPDCPGTSNASRDLIKGLLAKEPQSRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPAAVAMPVPAPAKPAPVEKVEINSSSKRMAGAGVESGGKFLDFEFF >PAN51369 pep chromosome:PHallii_v3.1:9:70346270:70347517:1 gene:PAHAL_9G589400 transcript:PAN51369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGCYPGWPWARGRPSPSWQPRVLGPVLIAVSASSSFYYCGALAPAPAQIQTSLISVSGYPSRQSSRHGDDKTACAPNGLRQRLARPRGTPVRRIAPLGRQAGLPRAMALPRAALGHPFSPCHARPGFRLSTSTTGSGPSFAYSRTQHPAVGAPRRAYPRIEATARRGARTESPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAVLADDHNKKILFYGSTLQKSICGDPPCSTVEAARRVGEELVRACEELGISEISYDRNSFARGEKMMAFEVPVSQHGFLPR >PAN45272 pep chromosome:PHallii_v3.1:9:6214140:6214430:-1 gene:PAHAL_9G106600 transcript:PAN45272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSASMLFLSYHQLHQPAEAAPRKEEAAAAGVFRRLSLSSALSLPMFERRREAAAAVREEGKTVRERDAGEGFKSANKELEEKFEEALRLSCWSC >PAN50666 pep chromosome:PHallii_v3.1:9:66990997:66993449:-1 gene:PAHAL_9G536200 transcript:PAN50666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEAVNPKAYPLADAQLTISILEIIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQPIQSLKDSIEKLLI >PAN48755 pep chromosome:PHallii_v3.1:9:57212639:57213863:1 gene:PAHAL_9G402900 transcript:PAN48755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLVSRPFSPPPSPLTRMLPLPKRDLEVGVGARFASGGARATYQRRSGRGALAGAATPRAPFGAAAAARLVGNRRQSLPKARAAARNGRSLVPAPDDEGAGEGEPRREATLYAPTRPPELLDLPLPGSYSPCYLTRGEREGKWKLIEKRKRCRKAM >PAN50956 pep chromosome:PHallii_v3.1:9:68556348:68558725:-1 gene:PAHAL_9G560300 transcript:PAN50956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTRSKQSSNTKGKAKAMAKIVEEEEETEDFFSSSGCGCFLCAIKQPDARLRRASLADFFRELPYCEDDGSNGQSCAAAVGAVWRAAMAAPDDPELPSLGAIRCMSLLLARALTDAAWCGRGDNVYVPYYAAHVIGSYTIRSSAHAELAVAAGAVRPLMALLGGTMTWVEQRAAARALGHLASYDATFPAVARHAAEAVPLAVRAASTCIADVYANFVALAPIRRPKYQRDLMACGLGGGADAEDRKAEEWASQLQCWSLYFLSCLASRDLSSHAMICQDAVFLRELSRMWGGLANGDSPAGVGLLRLLCRSPVGRAAIAACRDALSSLCDLARSSDDWQYMAVDCLLLLLDNRDTWHAVADATAACLIDLVDLRHLGPRRRLGDAIATALLRDDDHVGGRELGVEAKEAMGSLRELKQERKEIEEAMPSDELQKREILAKEKKREGNDSFLHGDVDKAIDLYTEALELCPLSRRRERLVLHSNLAQCRLARREADAAVSDATRALALARPANAHARSLWRRAQAYDMKGMARESLLDCLAFAGAWLDRRRHPRRAARGANPKLPYCVARMISKQMSVTGLFAGVTMNGNKVGGDDCMPRCSANDDGDDEDDEDDDRDDDDESEEEFAGNAGLKICKSGRELPIVTGDAWRRLARMT >PAN51751 pep chromosome:PHallii_v3.1:9:72126943:72130188:-1 gene:PAHAL_9G618100 transcript:PAN51751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFRSPDAGAAAAAKSPSPAKGPPPAWPKPSDGGLGAGRVLEAPRLREFTLAELRAATRGFKPEMVLGEGGFGRVYKGWVDERTLNPAKSSAGVIVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCGEDRELLLVYEFMSKGSLENHLFRRGTTEPLPWSTRLKIAIGAARGLAFLHSSEKQVIYRDFKASNILLDSDFTAKLSDFGLAKNGPSAGRSHVTTRVIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDPNRPSHQQSLVEWARPYLAGRGKLASLMDQRLAGHYPPKAAVQAARLANKCLTGDPRSRPSMADVVDALEGIEATQQASAGGKGHRDLPPRPGARHSPYHDSSRPR >PAN48917 pep chromosome:PHallii_v3.1:9:58145581:58147561:1 gene:PAHAL_9G412800 transcript:PAN48917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAALPWGGGSPAWIDVPERSKSAFMELKRRKVHRYVIFKIDDRREEIVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSDSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDVDLEVLRGRATRT >PVH31675 pep chromosome:PHallii_v3.1:9:13383033:13387042:1 gene:PAHAL_9G208000 transcript:PVH31675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHLPAVSTTTSSSSATTSRAAAAARHHLLGAAAAPPSSPHHRRRRRRRVPGCGPRPRGAPVRCCAAAAAPAPQAAVPARARAGAPGATTRVFVVSDLHTDYPENMEWVRRLPAEVGAGEGAGVDALVVAGDVAETRDNFARTMEVLRGRFGAVFYVPGNHDLWLRREGGRYMDSLEKLTALLDACSELGVDTGPRMIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACKWPSDLANDDESIALYFDKLNDKNHDAIEEVKNSSKQILTFSHFVPRQELCPEKRMLYYPYLPKVIGSDFLERRLRDIHSNRKDGAACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNSEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPENTQLAPWVARHFAKYHKFH >PAN51772 pep chromosome:PHallii_v3.1:9:70399397:70401437:1 gene:PAHAL_9G590500 transcript:PAN51772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASCGDLEVAAALFDSGARGDAVAWSAMISGCARRGDICAARELFDESPVKDLVSWNVMITAYAKRGEMAMARELFDQVPERDVVSWNAMISGYVRCGSHRLAMELFEQMQCMGEKPDTVTVLSLLSACADSGALDVGRRLYSYLSERFSRTGLTTVLGNALIDMYAKCGSMKSAHEVFWSIRDKDVSTWNSIIGGNALHGHVNESIDMFEKMLNGNVKPDEITFVAVLVACSHGGMVDRGHKYFNLMQQRYRIEPNIKHYGCMVDMLSRAGLLKEAFEFIDTMKVEPNSVIWRTLLGACRIHGEIELAEHANRELLKAKSDASGNYVLLSNIYASVGEWLGSEKMRKLMDDTGVSKEAGRAVIDGSSKDLMQSCRQF >PVH32668 pep chromosome:PHallii_v3.1:9:61546894:61548188:1 gene:PAHAL_9G456300 transcript:PVH32668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTALHSPEFFSRPTTGPSISGDLEFIPKFFKVVDHCNGLLLCTETWHDIDYVSNPATRQWARLPPRPTSQMGKAFDHIEGLVYDPTVSPHYELFLIPSLPNQPKTMLDSEMMQSQWPPSSYTLQVFSSRTGCWEERCFVLEGERWKEKLFVSEGRRMVQTFTDVKVYWRGVLYGLCEIGFVLRLVPIPSEADCKHHGCLCLGRSEKGVYCAFEHDWQGLRIFLLNESSGQLHERDYSEQHKGPWILQDINYYKYPYGNDEHKEIVEDNFEWNSDDDNVLNTEDMVEGFYEGYTSFLGFHPYKEIVFLNAALRRAVAYHWNTSKFQDLGNIYPKDYLKVALHGVDIETSFIYTPCWMEDFPENNLEARIED >PVH31797 pep chromosome:PHallii_v3.1:9:15688813:15691793:1 gene:PAHAL_9G235000 transcript:PVH31797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGPSGHRRLGPPQGGNTVPRRRSRPPGPPGTGVATKGGRGGSGTLPGGVGAGPGKNNPASEKKGDESNPSRSARGRGSSGKANRSCPIQPGRIAESRGGKTRRGLGRVCPVLSPVRFSSRRGRRRRGRRRWGAPMRKFQDSVKALEADIEHANALASEFLRDYDGSFIQMRMAYSAVAHFLVQWTDCKLAGALGLLKVMLYKVYADGSSALPDWEREASIREFYGVIFPSLLQLPSGITELDDRKQRRLCLKKFRSRDEELSEVDTERELECGICLEVNRKVVLPDCAHTLCMRCFEDWNAKSKSCPFCRACLEEVKPGSLWMYTDDSDVVDMDTLTRENIRRLFMYINKLPLVVLHVVDLDIYQYRIK >PAN49185 pep chromosome:PHallii_v3.1:9:59967808:59971008:1 gene:PAHAL_9G433100 transcript:PAN49185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGTNARHHHLHLHLLLCLSLAATTAWAHGGGADADADADGAGAGSPEPDLRARGLVAAKLWCLAVVFAGTLLGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDADETFGDLLPDSGYPWAFMLACAGYVVTTLADVVISHVVSRGRTAPGSRGGGGGGDGAGLEEGKVSTTNGTSSEPQPAVAHGSDHSVASMLRNASTLGDSVLLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPLLSCFAYAFAFAISSPIGVGIGIVIDATTQGRVADWIFAVSMGLATGIFVYVSINHLLSKGYKPRRPVAVDTPVGRWLAVVLGVAVIAVVMIWDT >PVH32602 pep chromosome:PHallii_v3.1:9:60481898:60493649:1 gene:PAHAL_9G440100 transcript:PVH32602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVALAGLRWAASPIIDKLLADASTYLGVDMARELQELETTVLPHFDLAWLQQLKDAFYDAEDLLDEHEYNLLKRKAKSGDDSSVGDDDDDASSIKSTILKPFRATASRTRNLLPENRRLIRKLNELKDILLKAKDFLAYRVPPKVFGRDRDRDRIIDLLTKRTAAGASSTNYSGVAIVKHGGAGKSTLAQHVYNDGRVKDHFDVRMWVCISRKLDVHRHTRELIESATNGECPRVENIDTLQCRLRDTLQDSCSNEREWDLLLEPLVSQGEGSKVLVTSRRDTFPAALHCKEVVHLEDLENAEFLALFKHHAFAGAEIGDQKLKVQLEEIGEKIAKRLGQSPLAAKVVGSNLSRKKDISSWRDALGIENLSEPAREPNHHLKSKPIRALLWSYEKLDPRLQRCFLYCSLFPKGHRYDVDEVVHFWVAEGLVEKSCHPNRSIEDVGHDYINELISGSFFQPVYAGKEINSYVYTVHDLLHDLAESLFREDCFRLDDDSAEIPLTVRHLSVRVKSMIQHKQSICKLRHLIICLDPVVDDVSDLFHVLLRNLNKLRVLRLRFYNRSKLPESVSELKHLRYLDLSGTSISELPDHSQVLDTFCVKKQKGYELHQLRNMNELRGSLCIANLEAVTGKEEALGAALHHKKHLNGLQLVWTEDNGSREGDTTHLEILEGLMPPPELKRLTIKGYKSSSYPSWALTLNNCAVLECLPLNTGLLRHFRYLELWNVPNLKILPCLPGGLESLLIRWCPLLMFITNDELQQHGQTEITMRTDRLAPKLALLWEVDSGSTIRRVLSDEHSSMKQQLMALMDDDVSEHLQSIESAVEDGRNKVFTKENTTNAWLCCHEQRIRLIYRQRIGLPLIPPSGLSELVLSSCSITDGALASGLGGLTSLRSLSLQQIMNLTAFPSDEVFQHLTALEYVSINDCWCLRSLGGLRAAVSVYYFWLCSCPSLELARGAEYMPLSLRTLRMRNCILAADSLGVSLPQLKDLSIDDCRSSASLSIGHQTSLESLSICGILDLCFLEGLSSLQFLHVWLRDVPKLTAECISQFRVQRALSIGSSVLLNHMLSSENFTVLADLTLKCWKEQSFSFEESAKFSSVEELSLIACEMKSLPRNLNFLSSLKKLNIDQCPNISSLPDLPSTLQHIRIYKCELLTDSCRAPDGESWPKIVHIRWKYIR >PVH31186 pep chromosome:PHallii_v3.1:9:4419208:4421296:1 gene:PAHAL_9G076500 transcript:PVH31186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMELLHAVIPEKQREEAPAPATTTSSSATATSGDEGSHVPQGWAKRKRSRRQRSEEENLALCLLMLSRGGNHRVQAPAPVPAAAPAAAEFKCSVCGKSFSSYQALGGHKTSHRAKLPTPPASAGAPAAPVLESPAPITVVVPPPIEVREPATSSTAASSDGAAASSRVHRCSICHKEFPTGQALGGHKRKHYDGGASSAAASADLPAAAAAAETSEVGSSGNGSSAARAFDLNLPAVPEFVFRCGKAGKMWEEDEEVQSPLAFKKPRLLMTA >PVH32550 pep chromosome:PHallii_v3.1:9:59283409:59284265:-1 gene:PAHAL_9G426100 transcript:PVH32550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRPKYNNSDPDAVEFFGECMKSSKNGRTPLANEIYERMVAEKDREPEEGEEKKSPTKIVDETLSEISRSSTFLPNIGAPRPSKNAQSSSTAAQARIRAEFEATLQAEREEAARKREELQAQLQAQQDALEENQNLLRQTQEEVRGMTSRFEETNALLRAVLRLQKD >PVH31430 pep chromosome:PHallii_v3.1:9:8913153:8913971:-1 gene:PAHAL_9G145000 transcript:PVH31430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFDDDVVLVDESRAEVNTKLELWRHTLVSRGFRLSRTKTEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLESMLQKDGDIDEDVRHRISAGWLKWRQASCVLCDKKVPQRLKDKFYRTAIRPAMLYGAECWPTKKRHVQQLSVAEMRMLRWFCGHTRRDRVRNEEIRDRVGMAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRGDNVRRGKGRPRLTWDETVKRDLKEWNIVKELAMDRSAWRLAINVPEP >PVH32123 pep chromosome:PHallii_v3.1:9:39927367:39927687:1 gene:PAHAL_9G321500 transcript:PVH32123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSNGGLIHRHDWVQDGTLLVVFGDVVRVFRCKSKNGVSTNVLSKSNHTHGGGITEFNAQDNPRMRSWFIYGKLSYMQRFVLKYFQNKFSSTKENTMSFRTGSKF >PVH32836 pep chromosome:PHallii_v3.1:9:64562689:64562910:1 gene:PAHAL_9G500400 transcript:PVH32836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAGVLLLFVVGLLGLTWARRIGTPNVSNSFSPLVLQKNWQLALAFQNLPVRSYRNAHNSCLCVGREYAIGF >PVH31921 pep chromosome:PHallii_v3.1:9:20274395:20275594:-1 gene:PAHAL_9G262700 transcript:PVH31921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQCIALRCQFCAATPSIIPPAAPLAPRAPPPPYHYPYGPYSYPPPPYAPPPGTRSGTEGPYPAPSYAPPPYAPPSYAPPTYGPYPPPLHAAPTVPSPISVESQNEGAGATEPKRPKRLDWMIAEEEKLVHAWVYHSNDSITGNNQTGLSFWGQIEETFNSTAEPSRRRTAKQLKDHWNVYNREVTLFNGYCIQEERVHQSGADDAMVMEGAMARYENDPKVTTAFKRHHWWRAVCHKPKWAVKHGPGSGSDVSNKRTRLGVSGEYSSGGTEDTEEDNETRPIGRDRAKAAKRKEKAKGKEKGKESSSSSVVASKAFAMKNMWGGLVKAKLFKQWNVMKSRSTAKTDEAEKRTHFKTVKMVEKELGLDEDSEED >PAN45176 pep chromosome:PHallii_v3.1:9:5751007:5755931:1 gene:PAHAL_9G099300 transcript:PAN45176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MQAFILQFLDQLYPGGYISFFITELNKDTYRRRMTSFIQSNISSQLQKQVSLFTNPIWLVKTRLQLQTPKNHTSGYSGFSDALRTILKEEGFLALYRGIGPGLLLVTHGAIQFTAYEELRKAMISMKTTQTRTENRGGEESLNSIDFAALGAGSKVAAILLTYPYQVIRARLQQRPGSDGTPKYSNSWHVVKETAKYEGVRGFYRGITSNLLKNLPAASLTFVVYENVVKLFKAAKEKT >PAN45175 pep chromosome:PHallii_v3.1:9:5751007:5755931:1 gene:PAHAL_9G099300 transcript:PAN45175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MQRGTSPPAAEAWTWENAAAGAAAGFATVAALHPLDVVRTRFQVSGGRGWSEVPPYRNTAHAVYTITRSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYLQKKDDQLHPVQHLISAAEAGALVSLFTNPIWLVKTRLQLQTPKNHTSGYSGFSDALRTILKEEGFLALYRGIGPGLLLVTHGAIQFTAYEELRKAMISMKTTQTRTENRGGEESLNSIDFAALGAGSKVAAILLTYPYQVIRARLQQRPGSDGTPKYSNSWHVVKETAKYEGVRGFYRGITSNLLKNLPAASLTFVVYENVVKLFKAAKEKT >PAN44795 pep chromosome:PHallii_v3.1:9:4062732:4064261:1 gene:PAHAL_9G070600 transcript:PAN44795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQLQQQQQQQLLRQATASDGMKQEAATGDVNGSSGLPAVTYNWHQQAIVGPVPGMMMEGHRIGDEVDESIQKLLYKLGGASPFATLQVPQCVPPMYEGSPSLMPPSCTVDTTSLKEGGMQGSSALPALELDQSFHFNQVKLDSLDCFFGMGTDQSMRWTEMSPLVCPNNTVASSSQGMQQYCHVDETVNLGMK >PAN49870 pep chromosome:PHallii_v3.1:9:63178430:63180406:1 gene:PAHAL_9G480700 transcript:PAN49870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFGHHGHHGQNPPAHPPAHGGGAHQPTFKIFCKADEGYCLTVRDGNVVLAPANPRDEHQHWYKDMRFSAQVKDEEGNPAFALVNKATGLAIKHSLGQSHPVKLVPFNPEYQDESVLWTESGDVGKGFRCIRMVNNIRLNFDALHGDKDHGGVHDGTAVVLWEWAKGDNQSWKILPWGDEAYAGGSAANAPRGGGGYGHGEPTVRIYCKADEGFSVTVRNGAVCLAPTNPRDEFQHWVKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHQVKLVPYNPNYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDAFHGDKDHGGVRDGTNIVLWKWCEGDNQRWKIVPW >PAN44094 pep chromosome:PHallii_v3.1:9:962476:964777:-1 gene:PAHAL_9G016500 transcript:PAN44094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRATQLLLFLIVGLLVLAAPAPALAADGTIVFTTLGRSRYAFDIFALPLAPRSSSCPAAEARLSDGASVNYNGNFAPSGDSLLFVSERNGTLNLYISLVPSGSGSGSRREALEVPKAAPLTPLLPWDPISLKDRPSLTADGAHLVYVSTAEPAGSPRRSWAGVYNTHLPSGSTRRLTPRGVADFSPAVSPSGEWTAAASPGPDGWGGEVEDLDTDIYVFRTSDGSRRTLAVRDGGWPTWADETTLFFHRRDSDGWYGVYRAKISFAGDAGVSAASVERITPPGFHAFTPAASPGAPGLVAVATRRPGSDYRHIEVIDVSGGGANAYFEVTRPVAPRVHHFNPFISPDGARVGYHRCRGSENGDSPLLLENIKSPAPDTFSLFRIDGSYPSFSHDGKRIAFVGLPGLFVVNSDGSGGRRRIFSGNAFPTAWDWKRKGVIYTSIGPDFASERTEVDVVAVSLGDDDDEGSSISIKKLTVGGGNNAFPSPSPDGKWIVFRSGRSGHKNLYIMDAEDGEAGGIRRLTEGPWSDTMCNWSPDGEWIAFASDRHNPGGGSFAIYMVHPNGTGLRRVVHSADGGRTNHPWFSPDSKSLVFTTDYAAVSAEPISNPHHYQPYGEIFTVNIDGSGIRRLTHNSFEDGTPSWTPYYLKPEDVGETLQASGACAFEDCHWLNIDAKPDGLMCGRHG >PAN44356 pep chromosome:PHallii_v3.1:9:2055579:2056733:-1 gene:PAHAL_9G037400 transcript:PAN44356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLVPTVFDQHGCLIVSVSSFLIMVLLQLQPLVDNFSSARRSIRSASRAVVRLLARDDSVVLGADDDEEDDSGVGGVVAPPRQARRHCERCARRRGASRPDVAAVMARLGLLPGGGVDGAGGGDGGEACGGCEAAWAVDDLLESKVASEAELREAFYVFDRDEDGFVSPSELWNVMRRLGMPEGARPEDCRRMIAAHDADGDGRISFREFRAMMENAV >PAN45584 pep chromosome:PHallii_v3.1:9:7701959:7705567:1 gene:PAHAL_9G128900 transcript:PAN45584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFNKALTALFSMVLLAPLLMATDPDPLQDFCVADLSGTPSVNGHPCLPPSSAGDEFLFSTRIASGGDPLANPNGSNVTELDVSEWPGVNTLGVSMNRVDFAPGGTNPPHIHPRATEVGLVTHGELLVGIIGSLDSGNRYYSKVVRAGETFVIPRGLMHFQFNVGKEAAAMVVSFNSQNPGIIFVPLTLFGSSPPIPTPVLVKALRVDAEVVDLLKSKFTGGY >PVH33000 pep chromosome:PHallii_v3.1:9:67558572:67559415:-1 gene:PAHAL_9G545000 transcript:PVH33000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGCWLLAAWHDPNWELDAAAGGRPGLGAWYGVAAHVSWRAARPSRRAPWTSCMRDI >PAN45608 pep chromosome:PHallii_v3.1:9:7793275:7797162:-1 gene:PAHAL_9G130400 transcript:PAN45608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSFFPTCSSQKAEMEEMSKELDDLRAEVEALAAQLRAKSDLTDGLKRAGADQAARLRDARAEAERHAAEAAARGEEAAAAGERCGLLEARLADKEQALRHLCAAHEALKSTLRERTEALEADKRGLLAALEDAEAGRAEQEGTLRARDGEVARLRGLLSEKERRCGEAEKRALAPREVAVREDMLVKLEEEKAAVESKLKWKAEQFRHLEEALKKVQDDFRAAKKEWGSDRSTLVDRIGALVADLDSKTRVAEDFRSKLEMCSQALAHEEGRRKRVEAEMSELRHLYGNVVSEYEEAKSMVESLTTNRDGEIASLRSSLAEKVTLLKEMGYTKAHLEQDNEDLRSMLKEYQEAQIGGADAVVSLKDLRENFRALEQAHRNCTEKLRDKEAEWRMQMEKLGGDLDRCLSQLESKDMLIREMRNELLGSYKSLELQTVENWEALIIITVVQSKFHESCSCIDTVKLNMQHHCEEVEKEISSVRKQLEERNCTIVQSQVEQKQQSEVIEKLQGRIEELEHAEQEHEKMQRQVDAYKEMLDNTSRDVHCIKDEASEKENNLQEKLREALGALGEANCALADRKNELSQLEINLHQQKQAVEHLEQLKADMQNELKGYMDSNHTLKRDLDAALVAKMEAEKVLRQEKVKLLGALDEANYVLSERSSELSKFENNFHQQKQALEHLKKLKVDMETELKTYMDKNCILKRDLDVALIAKMESEECHTKEKEKLCGIISEKGKVIDELQQHIAVLEEENLGQKIDLGSLIKMEYEKSIQEVNSSYSEIVEVYDKKLLELEERVSSFEQKFACREQEIMYMFDQEEADWYTLIAEKEIAISDIQRTVESVKLDIEQLLENAAAKVTEVQLEVNQLYRFAESLNSLNIIQEHDSVFKDMLIAECERELESLQVDLVLEKEQSGNLKNRIEQLKAESTAQMTEKSKEHLEVANKLKSLEERKEMLEEQLGVLKYRTTDLSNVVLQERSELVDELTGLTNTMGEVIYGGENLMSNLRMIMQKVNEEEPSDDKPSLDKTNARTSASLIRNKSAQVVERRSPLKEHNY >PAN45276 pep chromosome:PHallii_v3.1:9:6235026:6237959:-1 gene:PAHAL_9G106900 transcript:PAN45276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSTTSFPFKIILGSSSPARREILADMGYEFIVMSADIDEKAIRKDKPDELVKALAEAKAEAIKLKLHDDCGPGSDQTTLLITSDQVMVSKGVIRERPRSAEEAREFIKGYSDDRAFAVNFVLVSNLSTGATKGGWDIPEIKFKNIPDEFIDRVVNQGEMTCVAGGLKLTHPSVLPFIIKELVGTADSVRGLPRELTEKLIRESLEAKAE >PVH31954 pep chromosome:PHallii_v3.1:9:22880391:22880787:-1 gene:PAHAL_9G271400 transcript:PVH31954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN49393 pep chromosome:PHallii_v3.1:9:60921317:60922399:1 gene:PAHAL_9G445900 transcript:PAN49393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRAFSFISRSPRQYQYQPPGLSAGGASPPSHANRQEKNYFSFSFSSIFPFLLSFLLLPVAFSPIHFFLPLHFLFYPFYASFKSYFIFPLIYLLMFLLFLSRCFLYFITHIILLLFPVSTQIHN >PAN46929 pep chromosome:PHallii_v3.1:9:14733005:14741740:1 gene:PAHAL_9G224900 transcript:PAN46929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPARRDAAALDPELLQLPELAPGALRENSIIADALYSQWLVLPETAKLVKSLIEDAKTGTTLNVAGTSASTNAASSSSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKLVSEPVREVIPQFYFKNGRPPPKDLKEQCLSRIDHLFFGGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDVACTGTVSRDAFVEYWINDNKITMDMASQIFEILRKPGYNYLTQDDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRSGNGHLTLRELKRGNLIAALQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFTQIPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTSNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESFFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >PVH32628 pep chromosome:PHallii_v3.1:9:60928907:60930085:-1 gene:PAHAL_9G446100 transcript:PVH32628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNINNYIKLDAYRDDKFAKFELLFAWKNMLVAGAILTHILTYFYFYILI >PAN45437 pep chromosome:PHallii_v3.1:9:7031184:7033518:1 gene:PAHAL_9G119000 transcript:PAN45437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPVFFVMLLLGGLQHPTIAAGLGALYTVARFFYFKGYATGVPDNRLKIGGLNFLAVFGLIICTASFGISLVIRETL >PAN45459 pep chromosome:PHallii_v3.1:9:7126685:7134675:1 gene:PAHAL_9G120800 transcript:PAN45459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRGHTWAGVGKTAQAAAAHAALFCFTLLLALRVDGRTTYSWWIIFTPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESLRVRNHPSVDLKIVFLPLLAFEAIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKHPTNGEASSSSAAIRYRDWESGLVLPSLEDHEQDRLCGLPDIGGHVMKIPLIAFQVLLCMRLEGTPASAQYIPIFALFSPLFILQGAGVLFALARLVEKVVLLLRNGPVSPNYLTASSKVRDCFSFLHRGSRLLGWWSIDEGSKEEQARLFYTESTGYNTFCGYPPEVVRKMPKKDLAEEVWRLQAALGEQSEITKCTKQEYERLQNEKVLCRICYEGEICMVLLPCRHRTLCKSCSEKCKKCPICRVPIEERMPVYDV >PVH31792 pep chromosome:PHallii_v3.1:9:15632310:15633383:-1 gene:PAHAL_9G234300 transcript:PVH31792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSKRRRLSEERCRHCAEKKHLYLVVDDWKASPEPGAPMAFTSLGTSILIATNPHCSRDRAPPSLVYDAGTAALTVGPPPQDGYVRDAMAVGGKLYALLTVVCDDDDSHQYSTLRMQVLPWAPTTHQQEPWHPAMAWSWDAAPAPPPFGHETSITSYALHPDGRTVFMSTDWGTHSLDTGNGAWRDLGEWTLPFLGQAYFDAELDAWVGLHHKEDGRVCCCSVASRSAATARQPECRVLKEKLFCRRGEEKYPDGRYLSATLTYMGGGRFCLVENVLRGRHVPDAKGELATTISRTTRSYAVSKNTKLFSHAAFWM >PAN49838 pep chromosome:PHallii_v3.1:9:62961361:62962061:1 gene:PAHAL_9G478600 transcript:PAN49838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAAAPPAAVKPSLSKKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRAGLEAAVGGYIPAGAAGLRFDDFESLHRALGDALFGPIPEEVPEEDDEGDMKEAFRVFDEDGDGYISAAELQAVLKKLGLPEARNLATVQEMICNVDADRDGRVDFGEFKNMMQGITVWGA >PVH32338 pep chromosome:PHallii_v3.1:9:54399514:54400142:1 gene:PAHAL_9G374300 transcript:PVH32338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPPLGQCCQEYHLHLVVASFLTRRRWAILICAFLLCCFARPRDGSYIGAAKLL >PAN50321 pep chromosome:PHallii_v3.1:9:65374438:65378271:-1 gene:PAHAL_9G512400 transcript:PAN50321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSNLKNLNPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICRLFGLDTDKVIRFVENRPFNDQRYFLDDQKLKRLGWAEHTPWEEGLKKTIEWYTTNPDYWGDVTGALLPHPRMLMTPGVDERHNWTEEIKSLSSSPVEVSTTAPATSTQSTTDAPQKHPYKFLIYGRTGWIGGLLGKICEKQGISYEYGKGRLEERSQLLEDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKDYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKAEFPELLSIKDSLIKNVFEPNRKVPSS >PAN48657 pep chromosome:PHallii_v3.1:9:56769137:56772641:1 gene:PAHAL_9G397400 transcript:PAN48657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSPPLKDRRMRTERTSYRDAPYRRDSRRGPSRFHNDLCNNCKRPGHFARECPSVAVCHTCGLPGHIAAECSSKGICWNCKEPGHMANSCPNEGICRNCGKSGHIARDCTAPPVPPGEVILCSNCYKPGHFHEECTNEKACNNCRQSGHIARNCTNDPVCNLCNVAGHLARQCPKSDTLGERGVPPPFRGAGAPFRGGGAPFRGSFRDVICRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSVSLMDRFPPRRF >PVH33217 pep chromosome:PHallii_v3.1:9:70938470:70941589:-1 gene:PAHAL_9G598900 transcript:PVH33217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLAWETPTPSDQQITMESIAKEREDRKEPLGANEAVMGDETSLFYSDIMPLLVNEEPTVGEEAYVWFGSVFPLACDVVNARFTFEALTATTVNRLHYPAYDRFLKEMDKSFKFLQNLPTPTGIEFAEDEFILHMEGTAGTQRVVRHVGTSSWPGRVTLTNKALYFEASGKISYESAIKVDLSDTEIEHQINTASTGPFGVPLFDKAIVFESLSEPLVLEFPEMTSSTRRDMWLTLIREVLFIHRYISMYNIESPVHKWEVHSRIILGVIRLHAAREMLRMAPPPASSFLVFSLYDDLPKGDFVLEQLASNLKQTSTITRLSASYVFKGLSKSYVIPLSAEIAKDHETDSNGHEQPLASLENKIDQVKDEAREVTAANAAIEGMRDEGITDSLLVLVGLVSPIGKLRPVIQQIISWERPLVTGSILAVTLLTIYNEWFGYVLAASLMLAVGMMVWARQRKIGKICSEVIIDTSSDKTTMESLVEAQQSLKKVHEYIKTANVVILRLWSIVLARSPKHTETMIWMLTGSAVAVAAIPFKYILIGVAAGGFVANTRIVKAMSNPQGSRRWREWWESIPAVPVRTVDKNEL >PAN51787 pep chromosome:PHallii_v3.1:9:72300960:72301547:1 gene:PAHAL_9G620800 transcript:PAN51787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARRLLQTNSGQLPTAEPPSPLAVDSDVVVILAALLCALICVVGLAAVTRCARSRASPGAQAAAKGLGKKALRALPKLAYEDAVAAAAAARGGSSAAAAGEERILAECAICLSEFAPKEEIRVLPQCGHGFHVACVDTWLGARSSCPSCRRVLVVDETPKRPPEPKRCRKCEAAMKEASSSSSSGGDRAAGS >PAN47148 pep chromosome:PHallii_v3.1:9:15964551:15967097:-1 gene:PAHAL_9G237300 transcript:PAN47148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASLSLVLLVCHFHGLSQAAVPATAGGGDGQFIYNGFAGAGLDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAPLRFHDKAPASTKNSTARSFSTTFVFAIAAEYVTVSGNGLAFFVAPSKNLSAASPSQFLGLFNNENNGNASNHVFAVELDTILNPEFRDINSNHVGVDVNGLVSVAAEPAGYYADDTGAFKNLSLYSGDAMQVWVDYDGRATVVNVRLAPAEAPKPKMPLISVAVDLSAVVNDTAYVGLSSSTGPFRTRHYVLGWSFALDGAAPTLDYAKLPRMPRVVTKRRSRALDVALPVAVPLLALAVVACVSLLAWRRFRYAELREDWEVEFGPHRFAYKDLFRATGGFDGKHLLGVGGFGRVYKGVLPESKTEVAVKIVSHDARQGMKQFVAEVASIGRLRHRNVVQLLGYCRRRGELLLVYDYMPNGSLDRWLYGRAAPRLSWEQRLRAIRGVASGLLYLHEDWEQVVIHRDVKASNVLLDGEMNARLGDFGLARLYERGAGPQTTHVVGTMGYLAPELAHTRRVTPATDVFAFGAFVLEVTCGRRPIERGGGGDDDGRFVLVDRVLELWHAGVLADAADARLGGEYPAEEAALVLKLGLLCSHPVPGERPSMRQVVQYLDGDAPLPEPPRSCHSYTALAMMQNEGFDSYPASYPSSSATGTSVGAVSSAHSEGR >PAN45353 pep chromosome:PHallii_v3.1:9:6604038:6606044:1 gene:PAHAL_9G112700 transcript:PAN45353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQGRRMQPPARSSYYAVLGVHPGASAAEIRAAYHRLAMRWHPDKIASGRAAADPARAEEAKTRFQQIHEAYQVLSDEKRRALYDAGMYDPLDDDQEDVEGFHDFLQEIMSLMATVGREEPLYSLGELQSMLDGMIQDFAGPQPDPSGFFAGGPSPFADPGGAEPSGASARLHPQGFGDSACFSRTAFSR >PAN48811 pep chromosome:PHallii_v3.1:9:57596302:57597878:-1 gene:PAHAL_9G407400 transcript:PAN48811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSDELKLLGMWASPFVLRVKLALSFKGLSYEYVEEDLKNKSDLLLRSNPVQKQVPVLIHNGKPVCESQVIVQYLDEVYSAAGPTFLPVDPYERAMARFWAAFIDDKFLASWLKAGRGKTAEEKAEGVKETFAAVETLEGAFKEISKGQSFFGGDCVGYLDIALGALVAWMHTAEVRHGIRLFDASRSPLLEKWVEHFDKLDEVVAVMPNIDRLVEHAKVREAEVAAAAANN >PAN48237 pep chromosome:PHallii_v3.1:9:53927980:53931502:1 gene:PAHAL_9G370000 transcript:PAN48237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEILCGLVAYKVIRRFFFDDGDDASYLADLDSSHSDLCFAVAARLEKLYAGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVAVKNFSGFVEADKDGNWSCPTDKKRKQEIIPNPVLEVNRLAANLQSYLEQRGAKLPDGHIIGRVVLPNPNCRPSYNITIQPEVMLYDQWKDLKPDSKSGLSTWFKGAFTGSKSDMQDSVLQNLHFILSTSPMWDRLELKGDKNVLGEFIEFKGRHEDIQLLKILKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRIEGASSSEWKEISVKQYTEIVFQPLHSKKVRKFKLSSVVSVTLSA >PAN49577 pep chromosome:PHallii_v3.1:9:61587670:61595946:-1 gene:PAHAL_9G457400 transcript:PAN49577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDGSRSFTVPALSKSYNSGRYISSRRSRRFLSVQSCDIAAYVGNHFTSSSVQQMNKKAPSPPILCPPMELPPAASFVAVALATVLLLITILRRWKHKRRYNLPPGPRPWPVIGNLNLMGALPHRSVHALSKRYGPLMSLRFGSFPVVVGSSVDAARSILKTHDLAFIDRPRMASGRYTGYSYSDVLWAPYGAYWRQARRLWKTEILSARQLRSHEHVRDEEVRAMLRDLYEHGPAAATAGSAVVLLDHLLMVNLNAISRMVLGKKYVVHGGAGAGSATTPEEFKWMIDEFFFLSGALNVGDMIPWLSWLDPQIKRIKRLGEMFDRFLEQVLDEHDERRRVEGEEFAAMDMVDLLLGLAEDPNLEIPIGRDGVKGFTLDLIVGGTDTSSVTIEWAMSELLRSPDTLTKATEELDRVIGRERLVTEGDIPNLPYMEAIVKETMRLHPVTPLLAPRMSREDASMGSYDIPTGTLVFVNVWAIGRDPAVWGHDADEFRPERFVGSSLDVKGQDFELLPFGSGRRMCPGIGLGLKMVQVVLANLVHGFAWRLPDGMAKEELSMEEKFGLSMPRMVPLEAVPEPRLPDYLYAGP >PAN46918 pep chromosome:PHallii_v3.1:9:14714953:14719158:1 gene:PAHAL_9G224600 transcript:PAN46918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFTKLQTREKSIGKKKELPPNGKESGDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCSLEKKLADADVSEEEVNNILKQFEKKETEYMRLQRHKMSVDDFDLLTMIGKGAFGEVRVCREKSTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDNEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHKHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNDKDVTPTKSSSTQGDGKQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTAEAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSELQWNKLYEMEAAYLPQVTDELDTQNFEKFEESSDSVQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKAKRPSVKSLFDSPEGEEKNSQEQEQGREQQRDEDAAEGSVRKPAAEPELTRSLSSAST >PVH31218 pep chromosome:PHallii_v3.1:9:4886921:4887697:1 gene:PAHAL_9G085000 transcript:PVH31218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRSRTNKGRQMSFACFKPLYYSFLPTYISSARSSTVHFTKIVRASCLLDEPSLALSPHQCCLNLPATAFLLPPLPLILVDHMQLLSQPLPPCSTNSSGLQLNSSIKIQWQFSNQLQGAQRAQAIHSGSEPNRLHLQRVNAMCPCPFFFVSPPLPR >PVH31628 pep chromosome:PHallii_v3.1:9:12490735:12492536:1 gene:PAHAL_9G194900 transcript:PVH31628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQVDLARLLPDDVLAEVLRRVAPRSLAVSRCVCRAWRALIDDRGMLHADLLPHSLAGLFISYMNRLYAKFFARPSLDYGYRMPSDTIMDHCNGLLLLYDDWLYNPATDEVANLPERPTTPRAGMEFVTEHDVCSFLAFDPTVSSHYEVFLIPSVPWEEDIVKRAAGSAVLHSEWPPSPCILSVFSSRTGLWEDRSFSRQGEAAGTVADILLDQRSEPYRHAEYWRGALYIHCQTDFVMRISSSNSTYRVIKPPIRGTEVLSKHSEFFLGRSEKGIYYALLDNHRLRVWILDESCDQTHWKLRQDTDLGPFPSLSGDHGPWVLENVSSDYERKDEDRYEEQMEEEFEWNSDDDNIPPTNDMEEKCNAFMGILAFHPYKEIIFLDRSSRVLAYHLSSSKLEALGNLLPNGYVTMHVYVCSSFSYTPCRMRELLQTFK >PAN44155 pep chromosome:PHallii_v3.1:9:1218825:1221138:-1 gene:PAHAL_9G021200 transcript:PAN44155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAGRERDADVDAAAAAKAQAAREVCAASAAFASCPHRRRSPRGGRPHFVDWYLVLAIGEAASEDAVRRRYRQLALQLHPDKNRHPKAEVAFKIVSEAHACLTDKARRRAFDAERRASFCAACHDRHAARSPPAAAGRLRASAGSKQRVAADAQAASRSKEGTRAAQALRDVQNRLRDECRVIDGCLRANNAAACARRRQSFPLFDPSDRRRFPDYPHVRPPPFGNAEFWRFEERIGRADQKDQNQRWCRGGGESPVYQIRTAAPAECTARTNRAW >PVH31343 pep chromosome:PHallii_v3.1:9:7100515:7103063:-1 gene:PAHAL_9G120600 transcript:PVH31343 gene_biotype:protein_coding transcript_biotype:protein_coding description:DELLA repressor protein, Gibberellin signalin [Source: Projected from Oryza sativa (Os03g0707600)] MKREYQDAGGSGGDMGSSKDKMMPAAAGEQDEEVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVGAAGAAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPPPPLPPAPPAPRLASTSSTVTGGATAGGGYFDIPPAVDSSSSTYALKPIPSPVAASADPSTDSTREPKRMRTGGGSTSSSSSSSSSLDGGRARSSVVEAAPPATQASAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFTAAEALVKQIPMLASSQGGAMRKVAAYFGEALARRVYRFRPAPDSSLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPDGEDTDDEPEVIAVNSVFELHRLLAQPGALEKVLGTVRAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGAGSGQSADAAPAAAGGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKDGCLTLGWHTRPLIATSAWRMAAP >PAN51419 pep chromosome:PHallii_v3.1:9:70493792:70495910:1 gene:PAHAL_9G592600 transcript:PAN51419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLLAMARPASPTAAAPHVAHKIPSGDGPYARAKHFQLVEKDLDASIAWFWKAINTGDKVDSALKDMAVVMKQRGYLAEAIDAVKSLRHLCPRQSQESLDNILLDLYKASGRTKEEIELLKHKLRKIYLGEAFHGKATKRARSHGRKIHVSVRQETSRVLGNLAWAYMQQRNFMAAEVVYRKAQMIDPDANKACNLALCLIEQSRLADAEVVLGDVLAGRYQARDQQDGKIVRKVEELLARIMARTSPPGGGRAGDDGRRGDDDDWVENEMLALLDVAVKQWAAPYRKSNRRLPVFEEISPICREQMAC >PAN51519 pep chromosome:PHallii_v3.1:9:70975378:70976643:-1 gene:PAHAL_9G599700 transcript:PAN51519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRFLHQLLLAVLALCLFAPARSDEWLPATATFYGGADGSDTMGGACGYGNLYDQGYGINNAALSTALFNDGAACGQCYVIICDTSKSGWCRPGKWVAVSATNFCPPNWSLPGGGWCGPPRPHFDMSQPAWENIGIYSAGIIPVLYQRIKCWRDGGVRFTIAGFNYFELVLVTNVAGSGSIQSMAVKGTTTDWIQMSRNWGANWQCLAALAGQGLSFALTSTGGQTIVFQDVVPAWWQFGQTFTTHQNFDY >PAN47169 pep chromosome:PHallii_v3.1:9:16104595:16105454:-1 gene:PAHAL_9G238900 transcript:PAN47169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLMLCTMVAACLALAAADWSPGTATFYGGVDGSGTMGGASGYDNLYNAGYGVNNAALSQTLFNDGASCGQCYLITCDGSRPGGQYCKPSSSITVSATNLCPPNYALPNGGWCGPGRPHFDMSQPAWEHIGVYQAGTVPVLYQRVQCSRSGGVRFSMAGSNYFLLVNIQNLGGSGSVAAAWVQGDNTGWIQMSRNWGANWQALAGLVGQGLSFAVTSTGGQYIQFLNAVPAWWQFGMAFSTNQNFVY >PVH32076 pep chromosome:PHallii_v3.1:9:34373816:34391171:-1 gene:PAHAL_9G305700 transcript:PVH32076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEQRNGSGRKEGRKKSKYGVACSIVGSIISILMGYDTGVMSGAMLFIKDDLKTNDTQVQVLAGILNICALVGSLTAGRVSDWAGRRRTISFAACIFFVGSVLMGLAPNFATLLVGRCVAGVGVGYALMIAPVYAAEIASAESRGALSSLPDICISLGILLGYVANYLLAKLPLVYGWRAMLGLGALPSAVIAIGVFAMPESPRWLVMQGRPEEALAVLRRECETEDEAQVRLAEIKTAAGLAVDSAPGVAAPKSSGKGVWKELFLHPTPPVRRILVAALGVHFFNHLTGIEAVLLYSPRIFKAAGIATRNEVLAATVGVGVTKTVFILVAILLVDRIGRRRLYLSSLAGIIASLACLGLGLTVVERSAPHYAARWAVVLAIATVFTFVASFSIGVGPVTWTYSSEVFPLRLRAQGTSVGVAINRLINATVSMTFVSLYKAMTIGGAFFLFAGLSVLAAAFFYFLCPETQGRALEEVEQVFSHGWCAHRGEVPAVEMRKSTVAEGNAKAQP >PAN47117 pep chromosome:PHallii_v3.1:9:15719893:15724937:-1 gene:PAHAL_9G235400 transcript:PAN47117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRSPPSAAAGAMVPGVGGVEPAVTLDQVPRWSDPDQRIFPAASTSGEASAEGGGSDPPAASGFLSFSDPLTGDDAGGGGRGGASRFPVDQEINSRIYLWRGHPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGTGLAEECATLGGCRTGMAKMTNAYDLPARKIIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGNIAGVVFCTTSSSDTEIYKRLLPLYFPRDKQEEEIAISKLPADVGDENGETVIDERKIRIRPLPAGAVDRTVTSTPIDLPLSDPGLALKRGSFKLDSYLDPSFMSIIKDPDMRRKEQWEKSAQAQKGFNYARLLGYGDLGCPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDIEGRAVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYTIVYLHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYILHPSLGLRTAVLAMQMFVDGEVWKKVVYVDRLVQLFKYIPREQLTMPDFVFQHDLEVNGGRGLIVDPRTKHIYQRVSS >PAN46258 pep chromosome:PHallii_v3.1:9:10844329:10846963:-1 gene:PAHAL_9G173200 transcript:PAN46258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTTLPLLGLLLLLPLLLIKLNLVPRSNAPASSSSQPGKPPPRLPPGPWQLPLIGSLHHLLLSPHGDLAHRALRDLSARHGPLMMLRLGAVPTLVVSSAEAAREVMKTHDAAFASRNLTPTLGVFGDGGRDILFSPYGDLWRQLRRICVLELLSPRRVRSLRRIREEEAAGLLRSVAGSCAATQGGAAVVDIGERICRAMNDIVVRSAVGGRCPRRDEFLSELDTAVMLSSGFNLTDLYPSSPLVRWLSRGLREAKRCNRALRDIMGEIIREQLSVDGGREEQDDDDDDNNNHLLAVLLRLERDGDAQCPLTTHIITTVVLEIFAAGSETSSTILEWALSELIRNPRVMLKAQAELREAFKGQHKLTEADMEKLRYLPLVVKETLRLHIPVPFLLPRECREACRVMGYDVPEGTKVLVNAWAIARDPRYWEDPEEFRPERFEGSGVDFKGADFEFIPFGAGRRMCAGAALGLANMEVALAGLLYHFDWELPEGGAGEELDVGEVLGITVKRKSKLALRATPRIPCAY >PAN43928 pep chromosome:PHallii_v3.1:9:347523:347926:1 gene:PAHAL_9G003900 transcript:PAN43928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVGTAMDSGAAAARAAEKLCRRCKGSYDPSANTRLSCRFHPSLFVCRRHDDQKRYYELRDGDPPYAAKFYDCCGAEDPDAPGCTTDFHRSYDDAQAQDQ >PVH31668 pep chromosome:PHallii_v3.1:9:13243287:13256699:1 gene:PAHAL_9G206300 transcript:PVH31668 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] MDKATKSASPAGAKEGDYAALRELFRPHVESFDYFLDAGLEEMLSSIRPMEIKDPNSSTILKITLEKGHVLPPMRDGRLGVPLYPQECRQARITYHGEFKVDVCLQCNEEGAQIRHTFNFGHLPIMLMSKLCHLRDADPHKLVFHGEESTEMGGYFICGGMERLIRILILQKRNYPMGMVRGSFLKRGAGYTDKAVVIRCVHTDQSSVTIKLYYLQNGSARLGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDKYGRRKGVVSTQLIGERAQIILDEVRDLSLLTRMQCLMHIGKYFRSVMEGFEHYDYETVAKAVLKDYIFVHLENNHDKFNLLIFMLQKLYAIVDQTASPDNPDALQFQEALLPGHLITIFLKDRLQDWLRKSKRLIIEEATKNKSFDLNNALEVRKFLTKHTTSVGRAIESMIKIGKVNSQSGLDLPQRDGMTIHAERLNFHRYISHFRSVHRGAAFAKMRTTAVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRISSFYNSEGVVKDFEKIKKSLSAELVRVGMNPVFPRIERSGPPEVLHVHLDGCILGTISSARIEETVNFLRKLKLLAHSGIPEDLEVGYIPLSLGGAYPGLYLFTNPARFVRPVKNLFIVPGGKQSIELIGPFEQAFMEIRCPDGGDGGRKEMFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYNKYCMDEFPSGTNAIVAVLAYTGYDMEDAMILNKSAVDRGMFRGHIYQTECIDLSKKNRENVPEIFAKSVLSRDTSTAIDSDGLPRLGQTVHPNEQYYSVYNRLTGAIRPVKLKGSEPAYIDYVAVNGASSKGDFQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESMAAKAGSLHGKFIDATPFSSSVKKEGKHPRKSDSIVDELGPMLASYGFGYHGTETLYSGFFGTEMECAIFIGPVYYQRLRHMVSDKFQVRTTGRIDQVTRQPIGGRKHGGGIRFGEMERDALLAHGSSYLLHDRLHSCSDYHIADVCSFCGSLLTATVIKSDTQKKDKREMLGLPTVKLAKNLVCQACKTSKGMETVAMPYVFRYLAAELAAMNIKLELRLSNKSELPPSQGS >PAN46415 pep chromosome:PHallii_v3.1:9:12222423:12222521:1 gene:PAHAL_9G191000 transcript:PAN46415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVPTSLRDSSLTVFRLAISSSDPWPFSSCL >PVH31951 pep chromosome:PHallii_v3.1:9:22870561:22870997:-1 gene:PAHAL_9G271100 transcript:PVH31951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PAN48796 pep chromosome:PHallii_v3.1:9:57450093:57450856:-1 gene:PAHAL_9G406000 transcript:PAN48796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGELKLLGLWTSPFVIRARLALNLKGLRYEYDVRNKSQLLLASNPVHKKVPVLIHSGKPVCESQVIVHYVDEAFAGGPSLLPAETYERATARFWAAYVDDKVGSAWRAMLFVGEMDEKMHGAAQAIEALETLEGAAGYMDVVLGGYLGWFGVFERMIGVKVLDAARTPLLAAWAERFAASDAAEGILLQDVDKVLAFLKAFFA >PAN44093 pep chromosome:PHallii_v3.1:9:958824:962278:1 gene:PAHAL_9G016400 transcript:PAN44093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTHHTIRRAAARPRGWCCSFSGLPDSPEHRALPAAAAQKLPPKSPLAPSFHSSPSSKLAGLIDPRRILSPGRVSPIDSEGSPAVAAAGAEEEEALPREQAAALAPFVAVREDEEEGGGGLDLRLSLRGRDGRCVVMELDSAVLRESSAFFADMVPDASGGGGGGGKRVEVDGVDNLEAFKEAVELMFEPDAMRWLARAGVSRAIGVLEVSSSIMFDRGIESCLRYIEAVPWNENEEERLKHVFARCTFDESVCRDVLARLQQQCRSGSEDLTVQLVESVTSGTNNGARKELQSLVSSLLSKSSVYHKDLSGLNKGSLYQICCSCLSSLVELFVENSVPVEDAGQATSVSDSKPMVERVSKQTENLNWLFDILVNNDMAERFVELWAKQDELIRMHEQASPMFRYELSRISASVFIALGKGRIQCPSDTRSQLFYGWFRPMLMDFGWLQRCSKGLDVRILEENLGQALLTLPLQQQQSLFEEWFRCFASRGTECPNLGRAFQVWWRRSFVRSSVEVRR >PVH31623 pep chromosome:PHallii_v3.1:9:12393803:12394138:1 gene:PAHAL_9G194000 transcript:PVH31623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCSFVSLPWLLLVAVLGDAVAAVAVAVPRPLLGIAEPPGSVAGRASASRPGGGGRPDRSVAGADVILVGFAAAVVVVVFLYIRVTRKNSGSSVSVGAGQKQEGGLGGL >PVH32832 pep chromosome:PHallii_v3.1:9:64466562:64470025:1 gene:PAHAL_9G498300 transcript:PVH32832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRLQAAAVAAAAVRSYARSLRSPSSAAAAAGKAANSPPAPLDTPRNSAAAAASSGRAEVRDLAAACGLQEDERVPLAEVVSDCTRRWFQDALKEARAGDITMQVLVGQMYRSGYGVNKNEHKARVWMEKASRYRSTVWKVSNKRPGYNASDSDSASDDAKETYK >PVH32524 pep chromosome:PHallii_v3.1:9:58595734:58596684:1 gene:PAHAL_9G419300 transcript:PVH32524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTILWIWKRKKIRTTSASGTSVSTCCSVRDVLKYSMSHIVRGQTAAMLSTIVHIYFT >PVH32272 pep chromosome:PHallii_v3.1:9:52664751:52664986:-1 gene:PAHAL_9G359000 transcript:PVH32272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAPGSGRLNAGGKTGYEVLYGGLMLQLGMGRTPSDGPVALGS >PAN51993 pep chromosome:PHallii_v3.1:9:73313473:73316799:1 gene:PAHAL_9G635200 transcript:PAN51993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEAKKVEVEATKDIAEEKAIVPLPTPPAAAKVDKPADDSKAIVAVKDAAGKPAATGGSTERDAYLAKIESEKRLTLITAWEESEKARAENRAAKKLAYVTSWENAKKAEMEAELKKIEEQLEKKKAAYEEKLKNKLAMLHKTAEEKRALTEAKRGEEIIMAEELAAKYRAKGEAPTKLFGLLKA >PVH31420 pep chromosome:PHallii_v3.1:9:8708057:8708305:-1 gene:PAHAL_9G141800 transcript:PVH31420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNIKRYSERCNLRKGNVPNLPPNGQNTHRIEALGVLHQETPPTAGMAPRAKNPPQIAGSQ >PVH32331 pep chromosome:PHallii_v3.1:9:54298068:54298982:1 gene:PAHAL_9G373400 transcript:PVH32331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPAPPLDAHFMAPDIPLFAPDASGLHQLCAPRGFYGQFPPVDAAALGPVMAAGVNSAAGNGGAVFSPSMVEAMRTFPDYN >PAN51341 pep chromosome:PHallii_v3.1:9:68378428:68380813:-1 gene:PAHAL_9G557100 transcript:PAN51341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCLGAGYVGGPTMAVIALKCPAIEVCVVDISVPRIAAWNSDQLPIYEPGLDEVVKQCRGRNLFFSNDIEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAVKALKDVYANWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETAAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLTMNKFDWDHPIHLQPMSPTTVKQVSVTWDAYEATKGAHGICILTEWDEFKTLDYKKIYDSMQKPAFLFDGRNVIDAEKMREIGFIVYSIGKPLDPWLKDMPAVA >PAN44005 pep chromosome:PHallii_v3.1:9:652746:658277:-1 gene:PAHAL_9G011000 transcript:PAN44005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGEEKSFNFLQVLLEGSIAGGTAGVVVETALYPIDTIKTRLQAARGGSRIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSAVAHFTAGAIGGIAASLVRVPTEVVKQRMQTGQFKKAPDAVRLIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGQGTQYSGIVNCAQTILREEGPRAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRNRELRAIKDE >PAN44040 pep chromosome:PHallii_v3.1:9:768053:770053:1 gene:PAHAL_9G012500 transcript:PAN44040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSPFLTLHLLLVLATTTMVTATTPPTAHFLGVNYGTLGDNLPPPHRGMELARSAGAAAVRFYDANATLLAAAAASGLVFVPSVPNELIPSLAASQRAADAWVAATMLPFRRSPGLSYLFVGNEVLSDPTTRSRWPRLVPAMANVERALRRHGLGRVKVSTTFSMHDLDHQNVFPPSSGVFRPDIADAVMRPLLSFLERTESYLFFDAYTYFTWSANHSIVPLPYALLEPSPGFVYHDPGTGLSYANLLDQMLDAVVAAACRIGHCGVRLALAETGWPTAGDLDQFGANVRNAATYNRNLARHLASGAGTPRRPGMRMPAMVFALFNENLKWGPGTERHWGLFYPNGTAVYEVDLTGRRPLASYPPLPPALNDRPYPGRLWCVVRTDKGPVNETAVREQAAAACADKAGLCDPVRPGGACYLPSTVTAHASYVFSAHWNSFSEDYGGCYFGGLAVETTVDPSHGSCKFPSIVLK >PAN49157 pep chromosome:PHallii_v3.1:9:59525370:59527810:-1 gene:PAHAL_9G430000 transcript:PAN49157 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRITTLE CULM1 [Source: Projected from Oryza sativa (Os03g0416200)] MGLRDSAALVALVLVATCSVAVAYDPLDPNGNITIKWDVISWTPDGYVAMVTMSNYQMYRHIMAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHCCKRTPAVVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGSVSAFQVSVGLAGTTNKTVKLPKNFTLQGPGPGYTCGPARIVPSTVYFTPDRRRKTQALMTWTVTCTYSQQLASKYPSCCVSFSSFYNSTIVPCARCACGCGHGGHSPGGCIAGDSKRALSPGVNTPRKDGQALLQCTPHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNVTEVFSFQYKPLLPYGAINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDASTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPVAAPAIAAAAASAFLLALLLVA >PAN45292 pep chromosome:PHallii_v3.1:9:6291188:6292320:1 gene:PAHAL_9G107900 transcript:PAN45292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILDHSDDEEEQGRYATSSGRRSGSRFGARRLKRRPGGSHQHSLLMDCVSGSGGDGDGASEETVPLPDYERLSQSARLPDDPPGDDAKNPPPAPMAPEQQKAPAAAKQVAASPPPPPPLPPATQQQQKPAAWRLIEYVRSRHKSGGAGAASGCGAASDGDSKSSEDGDDGSEDGKKDKAKKKKRSSWLPDPDRRWPVQGFY >PAN46820 pep chromosome:PHallii_v3.1:9:14091869:14097929:1 gene:PAHAL_9G217300 transcript:PAN46820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETLVAMPLAPHHHHARLDALPHHVSPAPQTQAPEPPPPQQAPEPERTVADEEEERDRGGVVEPPAGVPRPETPPPALAAEVGEDVYYARRMLQGAVLRPPPHLPQPEAPPGLARALSAPAHPHGYAEEAEEAGGKQRPVDRSASANSAIVDVGRIGRFLRDRRDVLSSAFTRRISSLKEPSAPAAADTYGVQEIHLPNVKVTVRLKDTIAADAAEDDAGYSFSGGHIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPEREAELAARAGAAARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVRDLAGRRCPDSAPRVPVYGFDDEAGGKDEGEDAVVAIVRVLRHRLPIQDRFVRVKLVKNCFSGADMVDAIVNHLECGRNKAVEIGKELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAVPKYYNFRGSTNDGEPKPAAAVGHRMTKIMLAILEAYASDDRRHLDYSRIAASEEFRRYANLVQELQRADMTALPAEERLPFFLNLHNAMAIHAVIRVGQPGAVDRRPFFSDFQYIVGGHPYSLAAIRNGILRANRRQPYTLAKPFGSNDRRLELAQRRANPLVHFALCDATRSSPIVRFYTTQGVEPELRHAAREFFLHGGVKIDLESRTVHLTRIIKWYTADFGQDRDILRWLLNYLDPTKAGLLTHLLNDGGPISISYMNYDWSLNV >PVH31942 pep chromosome:PHallii_v3.1:9:22038547:22039285:1 gene:PAHAL_9G268100 transcript:PVH31942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTIQSNKSYVVDYVTKAFRHFAKKEIIIKVLYFDSLRSKPHDHTLLKEVIDEAFHSYLVKGKASKSLLVHVSKFPCHQQSSGNTNGFYTAHYVMVAMEILKVEDPWNLK >PAN46867 pep chromosome:PHallii_v3.1:9:14406418:14408820:1 gene:PAHAL_9G220800 transcript:PAN46867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKEGLRRGAWSPEEDQRLVAYIRQHGHPNWRALPRQAGLLRCGKSCRLRWINYLRPDIKRGNFSADEEALIVRLHRELGNRWSAIAAQLPGRTDNEIKNVWHTHIKKRLEDDDADGDTSAAGRPKKQKARKSKPAAPKNKKQAAAKADNSETFMTASPGLSSSVSSGVTTFSTAAESTAAVSSGDNAATTSASLQPGAAKAEMEMESFSSAEFPPIDESFWSSPDVVDMGLGAMDDEELGLAGPPSSATRDEDMEFWLKMLLESGDMRDLSVL >PAN48794 pep chromosome:PHallii_v3.1:9:57443491:57444711:1 gene:PAHAL_9G405800 transcript:PAN48794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWNEFSSQGQDNGEINYTPTIDLTAMNGTLLDVPSNDQKGTTSRSKNFAQDEDEALCSAYLNISKDAAVGVNQTYKSYLTRITEYYNEVTRNSTVKSLSSLQHRWGDIQKDTARFCGFYSDIVKDALQMYAGIVGNPFKLIHCWLILRHLSKWNDWLAKEWQKGTKKASVQDVSQGSPGASVQDGTEGSTVPTRPIGRDKAKRLRTTPAGTSSSSSAYIDVLQKIHENRSKYDARVEAATIEEAQTIATRAERKLALQEQHVWIQQKQLEIATEILNLQKEEQEDKIMSLDVEKMAPWVRDYYIAKQKKIASRALASGEGSDA >PVH31326 pep chromosome:PHallii_v3.1:9:6709607:6710194:-1 gene:PAHAL_9G114400 transcript:PVH31326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGSGGACAVCKHQRRKCEPNCELAAYFPAHRMNDFRALHLVFGVANLTKLIKANATEAARRRAAETLTWEARWRERDPSEGCYREVSCLRRDNAVLRAENAALRRQLAEQQQLLLWSRAAAAAAAAPTANSNMAGGCYNGNASGNGLVVAVRPPPHAPAAPAAQTMLGYRSVPVCPPTPNGRKSAPDAPPSP >PAN47463 pep chromosome:PHallii_v3.1:9:31304740:31305295:-1 gene:PAHAL_9G293900 transcript:PAN47463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGKSRFAVTCALLRQYMREHRGQMDDLAGLFQAPALPVAPEENDDERIMQLFPIRAAVAKPAQERPEMKKQASMAIFYEVPHQVDDAQMRAASLHRFLRKRKVSTPQDQRHQP >PAN47464 pep chromosome:PHallii_v3.1:9:31304517:31305482:-1 gene:PAHAL_9G293900 transcript:PAN47464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGKSRFAVTCALLRQYMREHRGQMDDLAGLFQAPALPVAPEENDDERIMQLFPIRAAVAKPAQERPEMKKQASMAIFYEVPHQVDDAQMRAASLHRFLRKRKVRISDTNPNRNEDSTPAKKQKDAAAGKPFQDVPDPAWLRL >PVH31330 pep chromosome:PHallii_v3.1:9:6813021:6813946:-1 gene:PAHAL_9G116400 transcript:PVH31330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVKGGTVWTASQSSFVQTFLANLVVDGTKTSTGYKKVHLNACAKALNEHFKINRSGEQIANHLKTLKKKYARINILKNKSASCWDDENFIIRWDHEMYTSHFKDEEGKDRNKGDDEYINKPLPYYANLATIFGDSVATGQFAKMSSEPLGVDADEEANKEEMNVGTTSNGTLAQEDTAASGNRPSKRTKRDDNGADPLIEALDRGTETIANAIRDAASKKALPPGLFEAVDSLPGFELEHKAEYYSYLLNNPNIAHGFMDAPLLYKLSMVTKFVNSNM >PAN48911 pep chromosome:PHallii_v3.1:9:58108147:58112832:1 gene:PAHAL_9G412300 transcript:PAN48911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGCSASGERLVSAARDGDAIEARMLLELSPALARYSTFGGLNTPLHFAAAKGHLDIVTMLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLNGRTALHFAAHDGFVRCVRLLLADFVPSVALEDIATSVVDGDCQTNSGSSPNSSLGQKFNEPARVRYINKPADGGVTALHMAAVNGHLDCMQLLIDLGANVSAVTFPYGTTANLIGAGSTPLHYAAGGGKLECCELLISKGASRLTLNCNGWLPMDVARIFGRRSLEPLLSPNSHSSVPVFQPSSYLALPLMSILNIAREFGLQYSVPSVDDSDLCSVCLERSCSVAAEGCSHEFCIKCALYLCSTSNIRVEFTGPPGSIPCPLCRNGIMSFNKLPSTPTEGLKSSSALTFCNPCILNTRSMDSPATVSRAEIRRNRVAAVSSELVCPITCSPFPSSALPTCRCSDDDPCGATEAQDGSEVQSPRPSHSASMELDKRGEDLDRTSCSGMFWSRRSCHREQQCDAEINA >PAN46972 pep chromosome:PHallii_v3.1:9:14949011:14949723:-1 gene:PAHAL_9G228200 transcript:PAN46972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQLGAYTGGSRRRVVHARSGGGRSAAAAAAAKQLLSRLRSSLRRSAAGRRRAAVSFGYDLQSYSRNFDDGLGSSVGHPLSV >PVH32396 pep chromosome:PHallii_v3.1:9:55975506:55978696:1 gene:PAHAL_9G388300 transcript:PVH32396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPRSISDPAACPFLTIPAHNSFSCLPGGSSTSYPRKLASLSDLACSSASCLASKMASAMIAAKVASLTAALLIFSLLVTYGSCARPVSFNASAFTADPNWEAARATWYGAPTGAGPYDDGGACGFKNVNLPPFSSMTSCGNQPLFKDGKGCGSCYQIRCTNHAACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGRNDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNPMYLAVLVEFEDGDGDVVQVDLMEANSGSWAPMRESWGSIWRMDSNHRLQAPFSLRITNESGRKLVANRVIPANWAPNTYYRSIIQY >PVH32466 pep chromosome:PHallii_v3.1:9:57283408:57283866:-1 gene:PAHAL_9G403700 transcript:PVH32466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKIELLTSGIRAVLSCRLDISCSSPSEAAVAAQPPPAASAAAAAAAAPAAATAAAAPAASSPPFPSPSPRSSPLEARHVRRTVSALGRLERTAPARRRARRGRGAQPSDGSSRCSSGEGGQAGCSGAGSCPSGGGSSGGGKCSACGEGRG >PAN51062 pep chromosome:PHallii_v3.1:9:66658260:66660609:-1 gene:PAHAL_9G531600 transcript:PAN51062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRGEEDGEGSRALTSHQSPPTRPDRHSSPAPRRAPSPSPHPREPEASCQKRRPTREHHHQHQTADRPPPPPGRSTIATSPPPTAGARGRDGHRHQHHHPATPHRVHRNPRKPLVGSPSGEGRRGRELYVSRGQRAPSSAVAMVSSPSPPPAFPTVGSDEPKQQRCGAKAAPEKADKKYAHVPTPLHHGGGGGASKKTPRGGKAGDGADAAAYAAAVSCSDCRFKQRALAPASPGAVIRSLFVSLTRRSTPRSSPSPTSASGRAGDAGDGEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLAELELKLARLEARVLPTPTAAAFPVDTFLRAVSTARAAVRNLARALSTHLRSPASPGPNLESFLNRAFHADFELDTDADVHTPDPAGRCEANLAAYHAVAVLTWEEVLLHGTKHYSEGLSRFCDAKMSDVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRAERGARFDPRFMEDAAAGRAGRLEPASVKMMVAPGFHVYLAGAGVVKCRVVCFYSGSNGRTGGHRDGGSSTNGSVGLGSSCSDMNGSATDVVDSCKSSRVG >PVH32405 pep chromosome:PHallii_v3.1:9:56402020:56402754:-1 gene:PAHAL_9G392200 transcript:PVH32405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWVNGVCYAEPGLPKLLLLSLERVGVMETPEYAYREYISGGTLRCDTMIFVERSTRYPDVDPWFISTAGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCHEQSAQLKQLIRGIERITQELEEQRTRAANAEYSLAALQAQMQEYENRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PAN44052 pep chromosome:PHallii_v3.1:9:824418:828113:1 gene:PAHAL_9G013600 transcript:PAN44052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRATSRRLLLLLPLALAIVVAGTAAAAPAAAAPPAASPFRTVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAEALKLPSFLPPYLSAAASNTSAQEVGVNFAVAGATAIEHDFFAKNNLSFDITPQSIMTQLGWFDAHLRAAAGKGKTNKVGDALFWVGEIGANDYAYTVVARDTIPPKLIRTMAVQRVTTFVEGLLERGAKYLIVQGLPLTGCLSMAMTLARAEDRDNVSCVASVNQQSYAHNRRLLAALHQLRQKHPDAVIAYADYYAAHLAVMRSPSRYGFTEPFRTCCGSGGGAYNFDLFATCGSPAVNTACAQPAKYINWDGVHMTEAMYKVVAGKFFQGGDGYCRPSFSDLLARKAQGKP >PVH32090 pep chromosome:PHallii_v3.1:9:34858252:34859985:-1 gene:PAHAL_9G308100 transcript:PVH32090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGEVLLELLLLDGSNYAYWYAFVLNVLRTMGPQIERIIDVSISPPSVDWSNLTKEKEKCLQVNAQATNVLIRALSKDVLDSIMDYDEDDDDDILDACLIWTTLKERYDKSKCDEEVMLDKSCEGFSTSSTINEKPQMIFSNGQDDISISTSSPTHESMQVCDCCHPNEESTSSPHITSIAEADVCLMGRDKKNPRKVNDFLLESHERQEEHLIEKIKELNTLTKEHEKLKDCHALLVRRYEKLSFEQTCATNSIYCVAQLEKANFELKAQLEDLTSKHVDLQEKYDDTSCSHEKLVDSHAKLDIAHEVMVTSCTSSQVHIDLSCANPCLSQANNLLSTTSDLDNVGKKERHNGHGLVANSNKKNKSNKLKYKEQSQNKIKIPLTCFNCKKEGHHVRDCSLKKEEKDMSKNKGKKKWMAHIKCFQCSNMGHCASICSNKIHAKTSLPKKKTRRSKRKCYGCNEKGQLHHRQIVNKKIKNQDEKKTRTSCNDNQNICYTCRRKGHIGKNCLLGKISKPNSFI >PVH32064 pep chromosome:PHallii_v3.1:9:33961777:33963176:1 gene:PAHAL_9G304600 transcript:PVH32064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDIQPFPGVNMVEGYDRSPRRQLDFTLGINMAGLASRRQTKNKEADPSDRPQKEEKGYVTEEQKYEYQYQQRLWRESEEEEYERRTGKRLKKHEDVRVHWHCSFFRYCWDSGMIRLPTTRDCPECGPVKIDAKGVSVFRRLGPVPTQQEQFRSPQRRVDLDEGEDKYHQAQIQRLRSLEEAEARYLKSLRKARPDLAEQVHHVQKRESRPPKEWRPNSTRADVRTSAVTHMKPHAKNYKHLKAFYLKGYINGQPVNKMLEDTGAAVNIMPYSVLRRLGWSTGDLIETNVTLSDFNGQTSEAQGVLSMDLTIGNKTVLTSFFIVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVHADDSIEISHAAMSIWDTEDQELISGMSLEGCDRIEATKNGVRLVLSTGLTE >PAN51104 pep chromosome:PHallii_v3.1:9:69213895:69216033:-1 gene:PAHAL_9G570300 transcript:PAN51104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTQQSTAATALMDPAAELGAYFRVMRLGDDPSPREQPNPQPPPQVVPVPAARAEIQMQQLQAAAGQYYFPQPRNYVVGESSRGAGYVPPYASCSAAGFYNSHFGAHSPRLNAAPAPPATPKKPGAGAGHFDPVAASHPSESSRSSSTPIPSPSTSAAHFQLVPSAPHLVGDAYSSLNPNASAFQSTLSVNPVDYRSWIPTPVRYYYPTLEQVRSRLLRRPMDPDLLRFPETAAHVVRLLLEGDEQVRRSVLARVRNDVLSVTGSSERQAVFRALVRACAGRPDELQDIVEAVYKGNGFLMGVAKHNYGLVTLVKELVTALVPHPQLLVQLICWLLRERLMEQCNGAELLQYCFTTMSYEASKIIIQFATVIIDELLFSSFGSRCLAECLVYARNGELRALEDIILNRTVEIAMGQFSNYFLQRAIEYGSELLQVAIADRVAADVASLSLDRFGSYVVEACFLLARTPVPRQRLLAAFVGLRANELADLVRGSYSNYVVSKLLDAGKNQFPQEARLLARRIEGLPMAVQREMHARGVMRVVGKLNHRHLGSHRTLY >PAN45298 pep chromosome:PHallii_v3.1:9:6323846:6329664:1 gene:PAHAL_9G108300 transcript:PAN45298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALVERLEAAVARLEAAVASGASLASAAPRDVDVPAAADPAIVAYEEFVGEAVGRLTAAAEKIGGKVLDATKLLAEAFAVAKDLLVQAKQLQKPASMADAQDFFKPLSDVIAKATAMTEGRRPDYFNHLKSVADSLPALAWVAFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKDLYMPGLRDFVKKHYPLGPVWGPAGGAAASQPKAVAPTPKAPEAKAPPPPAPPSAPLFTTEKSPKSSQPKKGMSAVFQEISSKPVTAGLRKVTDDMKTKNRADRSGVVSSTAAAPAAPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKTLAIDDCDSRQSIYVYGCKDSVLQVNGKVNNITVDKCTKFGIVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNTSGCQLYLSKDSLGASITSAKSSEINVMVPSGATDGDWVEHALPQQYIHSFKDGQFVTSPVSHSGA >PAN45402 pep chromosome:PHallii_v3.1:9:6790078:6793361:1 gene:PAHAL_9G116000 transcript:PAN45402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLADLVNLDLSDCTEKIIAEYIWVGGSGMDVRSKARTLPGPVDDPSKLPNWNFDGSSTGQATGDDSEVILCPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNKRHGAAKIFCHPDVKAEEPWFGIEQEYTLLQKDTNWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLYAGIDISGINGEVMPGQWEFQVGPAVGISAGDQIWVARYILERITEVAGVVVSFDPKPIPGEWNGAGAHTNYSTKSMRSDGGYEVIKKAIQKLGLRHREHIAAYGDGNERRLTGRHETADINTFIWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTILWKPSHSNGNGVAAP >PVH32752 pep chromosome:PHallii_v3.1:9:62927719:62930163:-1 gene:PAHAL_9G478000 transcript:PVH32752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGKRRSARLLKLEEKNDGDSAGVCLLDPWQIIRNSISGLSVRGKRKRNEEIQQLQGEASCSHQQPLDAPSSNNSTSQSSVGQIIEYILDELELRDRHELFAMPDDIQVTDYAERVSRPGDFATLRQKNKDGMYKALEQFESDVYVVFQRAITMNGQDTVPFREAMSLLDQAKQVFMSLKNNQMYSVAELAAWRQRHLDQLQQPITPEGREGANRGPSRHAAAAPLQPSATTPRKKSAGETRKQESASTGAGGSTPENQRARQRGAKEISKGTQPGKKARKDAATTAGVGGAGLVPRRRLTYNEGAGADQGWRPMAMPVFQGRHVTVNTQPQEHTYRDSLHGFVRHAGLKARVAAEFRTLECVARARHSPAPQCWSGFSPSAGFLPPSPRPLGTAATEAIPARPSSAADLAAAPECKLETDEVLKLFVLMGTPAAFLDRAKKMFGADGREETARKEGQATKVADDARAGAAAATETGQKSGASVPSAAACGPFAAPKLVPGRLGFGQFAGSSRQPFKLKSKPSTSSSAAGKEKIS >PAN46618 pep chromosome:PHallii_v3.1:9:12690088:12690336:1 gene:PAHAL_9G198800 transcript:PAN46618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPTWRMLLLLACVLVAEFAGFSQGGRIAAQEDERPASSAEQQPYKTPRTQEHLGNGSPPGRMYEASVRPVPQGSNPLHNR >PVH31209 pep chromosome:PHallii_v3.1:9:4767555:4772499:1 gene:PAHAL_9G082900 transcript:PVH31209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPVSSFRGPASLQLQPQSSACAEILANVDGFTAKAVSVDPSTFFSPQDANQEYYNRSLQHSYSVSRQGFEDQGLLGSAPEFGLNKDSAALGGYQQFDHLWGPCQPGEYEGMQMQTISANIAHRHRPSNASCLDHTEEITSYDNDDRALSFGSSCSTGIASYPYSTPLQSNNHISDTRDGTWDALMQMQEALEVSNSDNGLNEECSDLTFNHAELSGGNIMKHQVVWDSGSLTSPSFTSNFFPYPGDSETTLTNTSTVCSFQNFADLQHNMNSTEQNRSSFELQLPHQKGPTESHIYESRGEMYPAECGTNPGHVESSGFMPSTEYRQNDRSQQLSSSFVNSADGSVDNSPKKSHLLYESEEQMEIDSLLNSFGVSTDSLSQTYGMFQQSDNLVELDTKVKLEESGSAACFSNTTTYMQTGPLESAVSDGTSYPEQCQSTSQTFGLFYDAASQWQNISSSGLPLLGYDKNISEPSSIINLGGNGKGHLLLSSDSALVQQQQSVANDTRLEITDNVANSYLEFTTSLDGQSCPIGASACHDEEMADKIVQTAEPDMVVNCPFGVHSSNHDGHSDMQLLITQPALVQEPILSLSKGPNLSCIEGTELKNVELTSICSTTQNHLGLNKSECYGILHSKSFEQNAPENIKMDIYHCDDYSQIVGPQKSTILSASKHSRSSVLPLIKFDGKVVSQQKKRKRATENLLAWHAQVMVGCGSMRHRRTSELDWAHATKRLAEKQVDGGNTTMERSSFGTRARKRLILTTSLVQYILPVVPARLLAANMTNSGESIVYHLSKLALSEACDAVLSFVNDDMLLNQTSSSGKEDSKVLPRVLETFKSRFGELECSLSGAEKVTTLHDLATELQDLERWHIVHHLARWHGYTRMHAGDTSDSGLGPYTATVKKHDGAAAAPVHLLSGIKCRLLN >PAN50474 pep chromosome:PHallii_v3.1:9:66074560:66075857:1 gene:PAHAL_9G522900 transcript:PAN50474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNTSNGVATATAFSDDKARPESGVGGKSAARPYKGVRMRSWGSWVSEIRAPNQKRRIWLGSYATPEAAARAYDAALLCLKGSGAVLNFPAASSPSSSSSPHHVDTRHSDPASSSGAMSPRSIQRAAAAAAAAFDAGLGASVEDRCSSSAGATTTTSASLSVSTLCSADHVQEHATSSSAAASTGSPAEGDELWTDLDAFASPKFMDLMASGAAPFSSTWEDPEDDGEVMRLWSFC >PAN46235 pep chromosome:PHallii_v3.1:9:10761963:10766448:1 gene:PAHAL_9G171800 transcript:PAN46235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGDGQPAIRRGYSQRNQGTARSAPRRTGSSAPASRGPSLAIPNTFTVCRRDRRSPCWSWARRLDKRRDSSPETCSDGWWCGGRPRTPSGRLTSTAGADAPAIELFD >PAN47076 pep chromosome:PHallii_v3.1:9:15308416:15310361:-1 gene:PAHAL_9G232100 transcript:PAN47076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAARVVPYLLPSASTSISHARQPSLLHPQLQGPRVGDPTSKRADGVPPICSSAPPPATSFSTLSPPPALFVLPDHDPAFPRRANCRLLHGRLLERNVSPRTTHTYGRGLAHGHTAARLRPFRRTSDFLAELQSYVRRRRRRK >PVH30894 pep chromosome:PHallii_v3.1:9:593720:596995:1 gene:PAHAL_9G009500 transcript:PVH30894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDNCSGDLTDDNRAKVSDLLCQRVYSGGDEDAGKRKSPLRLQEEWFAEQDRIRDAIGLDDTPFPVVGCSAVGARRGRDRLAVAAHEEEPTVPAPHRAHRRLR >PAN50791 pep chromosome:PHallii_v3.1:9:67692062:67693870:1 gene:PAHAL_9G546700 transcript:PAN50791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRTIARRLWAGKNAAASGVAIPKPPAAGPAPPARRPLPAVDDCPTLAFLRPRPTKIGYSTATVPLPAHCFPALPVGDQLFRRLRLDGLVPPVVSTVTRPPEEAGVTVEQARKVARAAEMEAARATLRSHAQSVVSGSEFAALCVDIAGGVEGGRRLARALDDSGVVIVLGDAVFLRPDMVAKAIGSVILPAAKQQLAPRASDESEARRREELEAMESQKAAIDAAAAAQVRRELWCGLSLVAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMSGYAFFMRTATEPSFEGFFRSRFASRQRRLMRARGFDAGRYHALRQELGLGPAPAQSGDPRDVLRHVSHVQ >PAN50963 pep chromosome:PHallii_v3.1:9:66257734:66263790:1 gene:PAHAL_9G525200 transcript:PAN50963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDYHGGDVEEMEDEYDMNEPVDDMEEEEEEEEDEYQEPAVRDSDVEEEEDEDQSHKVHDTSAADVRNGRDIQGIPWEKMAITRETYRQARLEQYKNYENIPNSGEAAMKACKSTEKGGTYYEFRRNTRSVKSTISHFQLRNLVWATSKHDVYLLLDYSVLHWSALSGGDTEIMDVDGHVAPSEKHPGSLLEGFFHTQISTMAVKDNLLVAGGFQGELICKHLDREGISFCCRTTFDDNAITNALEIFNTSSGALHFIASNNDCGVREYDMERYQQYKHFRFDWPVNHTSLSPDGKLVVIVGDDTDALLIDANSGKTIHSMKGHLDYSFASAWSPDGLTFATGNQDKTCRIWDARNLSQSVHVLRGNVGAIRSIRFTSDGQFLSMAEAVDFVHIFDIKSDYNKRQELDFFGDVSGMSFSPDTDALYVGVSDRTYGSLLQFGRRYNYSYLDSLL >PAN49764 pep chromosome:PHallii_v3.1:9:62691879:62693470:-1 gene:PAHAL_9G473900 transcript:PAN49764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMARRFVVAACVAAMAFALLAAPSSGQLDPHFYDKACPAALPTIRRVVEEAVAAEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKKASPNVNSVRGFDVIDRIKAAVNAACRGNVVSCADIVAVAARDSVVALGGPSYNVPLGRRDARTASQSAANNSIPAPTFSLDRLASSFASHGLSLQDLVVLSGGHTLGFSRCTNFRDRLYNETAALDGPLAASLRAVCPRATGGGDDNLAPLDPTPARFDGAYFASLLRRRGVLHSDQQLFAGGLGATDALVRFYAANPDAFRRDFAEAMVRMASLGPLTGASGEIRYDCRKVNYS >PAN49509 pep chromosome:PHallii_v3.1:9:61458291:61465478:1 gene:PAHAL_9G455100 transcript:PAN49509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAATLYGAKTHTETLRPGPLRPANIIRNKFPTYKNGSNGIVIKLADGPEMPPLKEIVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKSVLESLRGRVTGKTKDEIEESISMVEILAVQLSKREAELLQQKEEVTKLAKSLKQASEDAKRIVEEERANAHTEIESAKNAVQRVQQAVQEHEKMSQSTGKQDMEELKKEAREARRIKMLHQPSKAMDLENEIRILRKTFAEKSTDCVNLLKELELHKRLKENGTPSFDLEGLQCLGSVLRIVALSGTHMDLSNISIQWFRIHPKESNKEIISGATRPVYALEPHDVGRYLQAEIDVGGEIAVAKTAGPVDPDAGLVEYVETLVRKPETEFNVVVLQLNGIEQPKESVHVLNVGRLRMRLTKGKSVVAKEFYSSSMQLCGVRGGGEAASQAMFWQPSNDLSLVLAFETARERNTAIMLARRFAIDCNIILAGPGDKTPW >PVH31307 pep chromosome:PHallii_v3.1:9:6333926:6334883:1 gene:PAHAL_9G108600 transcript:PVH31307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNDLDYPAFLSHPSLEPEVFGKLDFHRDTDPYCWSWSTASVLGHCNGLLLYEDKRGLHVVNPATQRRALLPPPPPPPFGRRLWGFEHLVFDPAESPHYNVLLVPEHPGNEEVSVDLWQKARDEPSVEWPASTWVLCVFSSCTGQWEERAFTREGEAAGMASDEVLQGDSFHQSCSAYWRGVFYVQCNGGVTVMRISLSNNKYELLRCQLRLEERWTIMTFIWDDQRKGYVVHHFTIGTSFGFGSLTMTIWVVRWNGC >PAN45041 pep chromosome:PHallii_v3.1:9:5106104:5107062:1 gene:PAHAL_9G089200 transcript:PAN45041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPSRIECPEPSEQPEPTNPGRVFDTERVAPRDATESITEQLGRGGSRDDGAVDETYDTRVKIGEALEGSARAIGDKPVERSHAAAICAAEACAVGGGGAGRGAIPGGVAERARAAVAANARAARGEDKVTMADVLTWDSTTKLPTGKAVTSEVAAAAAEAEAANDPRGKTDPRGVSAALDMAAKHNSEHERAA >PAN49295 pep chromosome:PHallii_v3.1:9:60394407:60400186:-1 gene:PAHAL_9G439200 transcript:PAN49295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDLMDAARRRAQRRAAYLARRRGDPQQALQVSGTRCRVYRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDPSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRRRGFSDEAGLQHVVQELEAKAVLPFSFEKPQSSQPPASKGAYSQVGYSYKGDGNEEPEDLNSDDDDEEEEDDDDDKDFSSDDSNDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKASQIEREKEREAARSVGRVSYRDPYREQRKSPSYEAYSRGRRSRSRSRSRSPSYSRRHGRSIHAESSYRSKPKAPRVEYITEFGGSDDSSEPKVSGISPPSSPIRIDIPNRSSGGQILEALHSDPASSLSVEQERSAKLLKPLPSTSAALAKLSKGASGVTGKTPQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVGRCRRRSRSRSLSRSPPRRRRYSRSPSRSRSPRRYHSRSRSSSRSPSRSPRYRSRSRH >PVH31747 pep chromosome:PHallii_v3.1:9:14608134:14608766:-1 gene:PAHAL_9G223600 transcript:PVH31747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQATVENGGSAVNPAAAGPELAPPPNGPRGLLVLHLHSQARVAGRRGSHCAVATFFFVSSSRSAGRAVQRLRRLPRTFPARRERLSTAPARARQHARARGGRRRRRGGERRSDSVQSGRRAPTVAPAAAAPARRQPIPEGATSRCAEARGRCGGAVPGVRAAVGGAREGGPPADLLDWLGRRGRAAPIGAGGTASGAAAVTQGGGRISR >PAN48156 pep chromosome:PHallii_v3.1:9:53174295:53174645:1 gene:PAHAL_9G364100 transcript:PAN48156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKEVRRLGRGADCSRRPFLRCGVGALPAVLGSAGAPLGVRRIGHGRWHLERPRSAALGTKQAHGERSDETKAERRARPKRSHRRAASRTVAAGVGGGEEKKRAQSLGRRKDWIR >PAN44737 pep chromosome:PHallii_v3.1:9:3837587:3839765:-1 gene:PAHAL_9G066500 transcript:PAN44737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKEFGREVISMDKVKTDGEALIAAGDEEEDDVVLPGFRFHPTDEELVTFYLRRKVARKPLSIEIIKEMDIYKHDPWDLPKASTAGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSATSSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAATAANSSPSMQEAEVWTICRIFKRNITYKRQQPQQVWRQPVATGTCLPPADSSSNTGSFESDGGDEYMNCLPAAAPSVPRQHHFSNQINMLNGGGGGGFFRDSVHSHQQFQGQWFNSLPAPAIEQKPQLNSPATTIAFHQNDQSLAANDCYKDGYWDEIARFMEVNDPAVFYDCRYA >PAN46425 pep chromosome:PHallii_v3.1:9:11706701:11709820:-1 gene:PAHAL_9G185100 transcript:PAN46425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASTAAAMAMATISPTTPIPTAPFPSLPLGFRLRPQPLLLSASRRLLLLPVPRASSWDESAPEEEGDAEESEESAAAGDEEDEDEKPRPEPVSSAEFQFAAPPEGYVEPAAFDELPPESPEDVAAAYESLYGPAFSGETVLGNNVFEVKVVDPIDMDREQRPNDDFSERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYCTFPHRADADFGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMRQFKDVSEERGIPMEELWK >PAN47002 pep chromosome:PHallii_v3.1:9:12973715:12978411:1 gene:PAHAL_9G203300 transcript:PAN47002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAWERARRALATRVCMRFPSRHAAVEDVPRALDAVEEPFPVAEPEEEEEQQQQGEREKSLGAASPAPASARRSSRSGSRSPAKICAICLGTMRSGHGQALFTAECSHKFHFHCISSNVQHGNKICPICRALWKELPFQGPVIADAAHGAARVNPSAWPQTGMLSANPLDGLPVFRTPESAVFDDDEQINLQSETALGGGHDGDENETPASLEIMTYTEFPAVQESVARENFAILIHLKAPHAPASSMGTRAPLDLVTVLDVSGSMAGSKLGLLKRAMTFVIQNLRPSDRLSVVAFSSSAWRLFPLRKMTAFGQVQSLQAVNSLVANGGTNIAEGLWKAARVMEDRQARNPVSSIIILSDGVDTHTLPLPNPPRNGAPPDYGRLVPRSILPGSGHHVPIHAFGFGLDHDSRAMHAVAEMSSGTFSFIDDVGSIQDAFAQCIGGLLSVVAQETRLSVECADEGVLVTSIKSGGYASGVDGDGRGGFVDIGRLYADEEKDFLVTIRVPAARGDTELIRASCAYRDAVTANTVRVGGDLVTVPRPAGPVTAAMCLQVEREWHRVHATEDMAAAQAAAEEHDYARAASILESRRLALESRASLSSDRQTQALAAELWEMQERVLNYQRYQESGRAYMLSGLSSHSFQRATARGDSTELAGLVHTYQTPSMVDMLHRSQALLPEVVVALNRSPTIAPSRNPPLPVVQRGVRRPFRLSKSFTGRSS >PAN48110 pep chromosome:PHallii_v3.1:9:52720208:52722949:1 gene:PAHAL_9G359500 transcript:PAN48110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKPIKKGIGYCLNPLTHVGNVEKAVNDLNDTIDDIKEKIQAGEYEGKRPSAQATRWIEKAESIKDESYAIKNRYVARSVHVFGCSWNCRSNYRISRAATKKLDDMYEHKKRIPQDGSMFCLLPTAGRELPLPPNIVGQNDYKDKIIGYVKQGTMSIIGICGMGGSGKTTLLKQLNNIFSCSAEMYEFDHVIYVEVGQQQDMEGIQKDIASQLCLVLGRDENTTSRSVSLHNFLKERKFLLLIDDLWQTLDLEKVGIPQGYGKIGPPNKQMIIIATRLQQVIDGMKGHDHMIVLQSLKFNEAWNLFEANAGVRITNSTQIIGHAKIIVEKCGGLPLALKIVGQAMSSKESEHEWKYTVMLIEQSQFYKVPDAESSLYAALYVSYYHLPDERTKQCFLLSALIINELCPVANMITHWMGHGYLDEDDDIENNYLRGHAVVGCLKRACLLEEHPRRRKFLAMHDRIRDLALWIVETKQGDGSNKTWLVHDRRKLKDPKEWSTAERICLAWDKKNNVTIPDSYSCPHLLTLSLQTNKQIHGVPSGFFSTATSLMYLDLSRTNITELTTDIGALGNLQHLNLSETPIQSLPMELQLLKTLRYLYLRFTKHLDTVPDGTISALSMLKVLDLYRSGSCPKDKARAYIMELESLASLQILGFTVADLDSLCMVFNLPKVSLRFLRIQETEGLKCLVASPSLISKMRAQQLERLGLDGMGSLEELVIGEADVDSDWCFQSLDRIELFRLPNLERIILKGVVPHVCFPILRMLEISGCHKIKTLPWIKKLPCLEELYLIDCNSLLELESDDEEGTMSSTIASFPRLKLLGLSKIRNLHNICDGITALPCLQRLLVYNCPMLARLPSKLLNIDCMLLILGEQDWWEKLGWDDTAVKSIMSSSFIELPSNFEGDIEEVYNALFS >PAN49170 pep chromosome:PHallii_v3.1:9:59625486:59629844:1 gene:PAHAL_9G431300 transcript:PAN49170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIANISAVVAGAIDAARAVATEMLPAAVTRDAAVEAARASAAWLVAHLWAWLAAARAAAADSLPTGAAAAAAAAEAARTVAGSAAEASGPWVHAAARLLRGAYGWLAAAAVEKLPNVAAERFVGGAVEWLARGRVAAACAALALLAVAFLGGAACALTCRTMKGPGLGGARVPRAVFEASPKRYYAAVRSARKARRAAGGAGAGCGRLLQAGLVVALLAYLAGKVLH >PAN44639 pep chromosome:PHallii_v3.1:9:3661928:3662887:1 gene:PAHAL_9G063800 transcript:PAN44639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLSNISGEYVKKCCLAQLNGIRKRKCSVIREDASQFLGFQARAEIDIQTRRIHIRTLSCFPFLPIGLTFLSPTLAFIVPL >PAN46214 pep chromosome:PHallii_v3.1:9:10672036:10675988:1 gene:PAHAL_9G170700 transcript:PAN46214 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQSTQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQVAEKLHREKLAAEREGEKEEEADPMAAAEAQALKQSS >PAN47162 pep chromosome:PHallii_v3.1:9:16058229:16058486:1 gene:PAHAL_9G238300 transcript:PAN47162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPLSLPYLFGLLRHLFLSHGTAAATSSPRSPFLAVPPPPLPSPHLLRHHLPSPPPPLPQRRREASTGSGAFPSHAASPPLQGS >PAN49255 pep chromosome:PHallii_v3.1:9:60257712:60258667:1 gene:PAHAL_9G436900 transcript:PAN49255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTASPATTLRLLALLAAAAAVAVVAAADDGMTHLHLYIHETIAAGAGASTALLGGNSSFGSVGPIDDELREGPDPASQYLGRAEGMLAQADLGSPAASCTILNLAFTEGDYGGSTLVVDGRVDLGADGKAVVELAVVGGTGRFRRARGYSLMTKIGNPSPSTVVVFEMDLYVKISG >PVH32149 pep chromosome:PHallii_v3.1:9:42986873:42987417:1 gene:PAHAL_9G330000 transcript:PVH32149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQFLQQQLLQQQQMQQQMFTFFSGCFGPIYRHIGLPEPQIPTTQQLQFDPAGPPQPIGGFVQTPRRRSRCHHFFRQGCSLLRCLSRYLEQEPFFQQLPQGTVQPSRLPASVVLSLPITTLNFDETPPPPLPIRPEVVRPSSTDSAAVTSLAASLAPVTESRVSLRSQQFP >PVH32348 pep chromosome:PHallii_v3.1:9:54803138:54806959:1 gene:PAHAL_9G378400 transcript:PVH32348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKLHPYANAVGVCAPCLRDRLLALAAERAQAAEASSDGGSSSYGSSPPPLPARRRHHAAEGGFPRSVSPYAAHRRSDACAYATSSSQQQPNLLFFRTPQVGPAAAAFRPDEPVEEGRGRKRAAQRRSFLSAIFGGGRRHHGGEEPAKKEPPRRSTSWLSAIIRRKRRPVDLSAAASFPAPPAQPDEEPESPGGGGSSSSWWFPSPSPARQPHRRRHGGGAGASGDGISGFAVCLSPLVRPSSAGGRRRCQPPDPSAMGDSHRRHASAGGAASFGRNTSRKLADMGRFR >PAN49435 pep chromosome:PHallii_v3.1:9:61052287:61055507:-1 gene:PAHAL_9G449000 transcript:PAN49435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQVLLLLLLALISLSSSARGYGVGRWISAHATFYGGADASGTMGGACGYGDLYSQGYGTETTALSTALFSGGLSCGACFELRCAGGRGSCAPGGSVVVTATNFCPPNYALPSGAGGWCNPPLRHFDLSQPAFLRIARYRAGIVPVAYRRVPCRRRGGMRFTVNGHPYFNLVLVSNVGGAGDVRAVAVRGGAGARARWRGMARNWGQNWQSGARLDGQALSFRVTTSDRHSVVSYNVAPAGWAFGQTFTGGQFP >PAN44891 pep chromosome:PHallii_v3.1:9:4522473:4525872:-1 gene:PAHAL_9G077900 transcript:PAN44891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAAELRRLLLPRVGGGEAALPPIAWRGRSASTAAPADEELSGKSAYEVLGVGETSSNAEIKASFHRLAKETHPDVAAAAGSRRFLQILAAYEILSDSQRRAHYDSYLRSQRRIVQKHPRPSQFVYPSGSGSGIVVPRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVESMDVLPDCFEAEERSVYETSELLHLVSGRDLFGIVSVADSVKELSYACREKLTESGFRASGFTANVPRNANKDQVSMNPVDTHNKEMGHEDDIPSSDAFKDIELHICGKVVATATRSPKCNCIDKSDVDDHIHVYLVPNVDASDLMQEHLLLGTITGLGTTGEEGSCCVYDDRGIKTHVIMKHRALMVKHMHWYQVGNKVSPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETYGRDKKGRTIPSQRHWDGFNEHSEKRLHPAMYLVALAYRSLDLEDARRRQWNMSNFLQLPLSHILQLFKRIINGEKKGVDMRTP >PAN45994 pep chromosome:PHallii_v3.1:9:9648375:9655183:1 gene:PAHAL_9G156500 transcript:PAN45994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAGGGADEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDKSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPPKTS >PAN45995 pep chromosome:PHallii_v3.1:9:9650326:9655183:1 gene:PAHAL_9G156500 transcript:PAN45995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLPENFEGGLDGMKIMEDTEVAMNAQMMEDYHMWKENTPFLYDLIISHSLDWPSLTVEWLPGRAEAPGQDHSVQKVVLGTHTCEDYPNFLMIAEVHVPCEGSFVEHCDESKKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDKSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPPKTS >PAN51521 pep chromosome:PHallii_v3.1:9:70979857:70982268:1 gene:PAHAL_9G599800 transcript:PAN51521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQALAKVSVGSPGGSRGAGSFGRRRGAVSVRLGGSWSWRKSPFLGGRMAVGPRRSRNLVASPVQMNLSFRKTMKWWEKGLQTNMRAIQTARDLVESLTNAGDRLIIVDFFSPGCAGCHALHPKVCQFAERNPDVQFLQVNFEEHKSMCHSLHVHVFPFFRFYRGAEGRLCSFSCTNATIKKFKDALAKHKPDRCSIGPTKGLEEPELFALAANKDLQFTYTMELPERAPSMEDAAEVITPERPTPTKPLVRQGSDDRTLVPTGR >PAN51061 pep chromosome:PHallii_v3.1:9:68967812:68971275:-1 gene:PAHAL_9G566900 transcript:PAN51061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAARFVGSGRVRCDPLGHGPFCGSEDSGMEVEATPTTAVSASGGAAQLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAEVPPAEEDGGSAAAVGPPPAAPPTQEAVPASPAPLRQQPPPPPPAPAPPHRAEQRNEPETNAPEPTQFAPPLPSPAAAVPVLSHTSVTATNVSASSSSSSVAGTSQSLMGGMFAPSSMAPSPQFPDLGGGVGRPERALAAKPPSLCLATDASSSIFSAPVTADRQQFAPPPPPSPSPHMSATALLQKAAQMGATSSTSSFLRGLGLDASSSSPGSSSSGQQHHHDAMQLSLPETSLQQWPPRLEQEPAPMLSAGLGLGLPYDSTGAPVCLPELMMGQSSLFGGKPATLDFLGLGMSPTGASASRGLPVFMQPIGGAVGMAGTGAGAAETFVGGRGPQATPWERNPSTSPIL >PAN45810 pep chromosome:PHallii_v3.1:9:8861291:8865181:-1 gene:PAHAL_9G144200 transcript:PAN45810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-4 chain [Source:Projected from Arabidopsis thaliana (AT5G44340) UniProtKB/Swiss-Prot;Acc:P24636] MREILHIQGGQCGNQIGAKFWEVICGEHGVDATGRYSGASAQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMESIRAGPFGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEYDDEEVDGEEDHA >PAN45363 pep chromosome:PHallii_v3.1:9:6648618:6648869:-1 gene:PAHAL_9G113300 transcript:PAN45363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGARTSSWTLARALLLLLVLTSATAGAHGARTGPRGGWARGHRVRGGLAAARRSLLSKPGPSCCTHDPNTRGSSCCPQPFP >PAN49671 pep chromosome:PHallii_v3.1:9:59397764:59407429:1 gene:PAHAL_9G427600 transcript:PAN49671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSVILPVLLSLLPLPSGAAPRNTLLLGSSLSVDKGQADVLRSRDGTFTCGLYSIYTNAFTFSIWYTNSANKTVVWTANRDRPVHARGAVVTLQKGGALVLMDYDGAAVWQAQGDDSVGVQYAQLLDTGNLVMKNSSGIVVWQSFDSPTDTLLPTQRITATTKLVSTTGLHVPGHHIFHFTDSSILSLIYDDANFHEIYWPDPDNGEYQNNRNRYNSTRFGGLDEMGNFFSSDFANQQALVASDQGLGIKRRLTLDPDGNLRLYSLDSSDGRWLVSWTAMSQPCNIHGLCGPNGICRYLPAATCSCPPGYVMSNPGNWSQGCRAVVDIDCTVEKAQPVMFLRLPGTDFWGSDQQHVDQVSLQSCKNICRSDCSCKGFQYQQGTGSCYPKAFLFNGKAATAPKKPFRTMYLKLPVSVNISGISIPQIDLLVSREYHPDCRQMSKTPMKLFPDVHKANQGEVKWLYFYGFAGAIFVLEVFFIASAWCFVLRWELGASEMQAVEEGYKVMASNFRRYSYKELVKVTRKFKDELGRGGSGIVYKGILDDGRAVAVKMLENIRHCEEEFQAELRVIGRINHMNLVRIWGFCSDSSSKMLVTEYIEKGSLANILFNDNILLEWKQKFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDQNLEPKIADFGLAKLLNRGGSNQNVSRVRGTVGYMAPEWISSLQITAKVDVYSYGVVLLELVLGRQVLDLAVDADEEVHKVLRELVGTLAQMLDGEQSSSINEVVDCRLGGHFDDTQVRTLIRLAVACLDEERGKRPTMESVVQTLLLADESCSMRWSNVLRY >PAN46756 pep chromosome:PHallii_v3.1:9:13698434:13700053:1 gene:PAHAL_9G212000 transcript:PAN46756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFAQEHVIGIPLASFAYAQEEMQGKPSCSSLIHKKNKKSSFIYRMSKLSQKKDNYMQGFKEHLYLGPKISETIKGKLSFGAKVLQAGGIDKVFREYFAVEKDEKLVKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLNLASPKGGSTRVPYKVLIPTKRIKSASVRENLYNPDEKYIDVVTDDGFDFWFMGFVSYEKSFKYLQRVIQELR >PVH33289 pep chromosome:PHallii_v3.1:9:72202182:72202637:1 gene:PAHAL_9G618900 transcript:PVH33289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTYPPLYSTKITFDQFDMTSIVYVHQDAELPTLMFTFDQFDMTSIVNVHQEDAELPTLMFVGFLLAM >PAN47295 pep chromosome:PHallii_v3.1:9:17373141:17374368:-1 gene:PAHAL_9G249700 transcript:PAN47295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMASSKLIAVAFLSSLLALHAPMTTAANSNLFRDYIGAIFNGVRFTDVPINPRVRFDFILAFVIDYTTATEPPTPTNGRFNIFWQNTVLSAAAVASIKQSNPNVRVAVSLGGATVNNRPVFFNITSVDSWVQNAVASLTAIVREYNLDGIDIDYEQFQVDPATFAECVGRLVTTLKSSGVIKFASIAPFDNADVQRHYQALWASYGSVIDYVNFQFYAYSASTTEAQYVTHFDDQIANYPGGNILASFTTAPTTTSVPINTSLNACRTLQSQGKLYGIFIWAADHSRSQGFRFDTQAQALLANAPSY >PVH32817 pep chromosome:PHallii_v3.1:9:64179216:64180017:-1 gene:PAHAL_9G494200 transcript:PVH32817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPSVDIEAKTTDSPSSASVDTSDISDVTQCSKKSRHAEPEYVEDVLSHVNLTTDELASLFVSQDESTLDPLLFEKLENMHVYTQGKEPLGRRGYRRLLFDCVNECLETRRSTYFRAGYGAWSKGVAALSRGIENEVCNEITSWKSMGEWVEDELVDKDMSSGAGEEVESEILSSLLDEVIGNMVVRRRHECKFVI >PAN50577 pep chromosome:PHallii_v3.1:9:66532983:66538212:1 gene:PAHAL_9G529500 transcript:PAN50577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKKLSFSISSSKQRPPKPPSRPGAAADDDDAGPRSASAPAQQFVTEFDPSQTLAASGAPRAVIAPLPNSGNFLTHRPRKPSSLPTPEEEAALAAESGGGGPSFVLDTSNAPDDPSSNIPYGLTLRNGATEAAAAKESEKASQPAPPPAPPATADTAPAGDLMLRRYKEDMATLPDHRGIDEFNEIAVEGFGAALLAGYGWTEGKGIGRNNKGDTKVVEYNRRAGTQGLGYNPSEADPRKTRSGDWIVGEKKASENGSAKKRDRDSRHKMDDSDSSARKKRSGEQRAEKEARGKERNGRDSREGTSNGNDTRSKAIRWLQSHIRVRVVSEKLSKRLYLMKGKVVDVVGPTTCDIMMDDGSLVQGVEQDMLETVLPRTNGRVLVLYGKQKGMYGHLVEKNSEEEIGLVEDADTKDIVRIRYDQMAEYTGDPELLGY >PVH32773 pep chromosome:PHallii_v3.1:9:63338051:63338496:1 gene:PAHAL_9G483000 transcript:PVH32773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPFSPSSRAAATMYEVAVEEMAGPEEIKAAYRRAGRWWHPDAYPGGVDRFMAGVRGLRGAARPEAQERRAGFADWEAQLAGLQWRAAESEMLPGRPVV >PAN44372 pep chromosome:PHallii_v3.1:9:2064927:2078595:1 gene:PAHAL_9G037600 transcript:PAN44372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAALRVPLPRLLFLGPSASVLGAASRRRATAAPAAALRFLASSSPRSAPFSSRSARPLRSRRRDRDGDGRAAAAGGGVGGGGEGDGGGVAVKERIVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLAEAMFLTDLELNTVDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDALSIMIQNPEATLQELLECMPGPDFPTGGTILGNQGILEAYKSGRGRIVVRGKTDIETIDEKSKRTAIIIKEIPYQANKATLVEKIAELVEEKVLEGISDIRDESDRTGMRVVIELKRSADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFLDFRCSVVERRARFKLSQALERKHIVEGIVVGLDNLDAMIQIIRETSNHAAAAEALVKEFGLSEKQAEALLDITLRKLTSLERKKFVDEAKTLSEEISKLNDLLSSKKLIFQLIQQEATDLKNKFSTPRRSFIDDSVNSEVDDIDIIPNEEMLLILSEKGYVKRMNPNTFNLQNRGTIGKSVGKMRMNDTASDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRTATGTPLVQLLPLSDGERITSIIPVSEFGEDQYLVMLTVNGYIKKVPLNAFSSIRPSGIISIQLVPGDELKWVRHCGNDDLVALASQKGKVIVNSCDKIRPLGRNTRGAGAMKLKEGDKMAAMDIIPAAVDNMPESYSSRVRNRSPPWLLFIAENGIGKRVPLNAFRQSRFNVVGLVGYKLPADCRLAAVFVAGLSLGDDGESDEQVVLVSQSGTVNRIKVKDISIQSRYARGVILMRLEHAGKIQSASLISAAAAEVTED >PVH31847 pep chromosome:PHallii_v3.1:9:16996515:16997663:1 gene:PAHAL_9G247100 transcript:PVH31847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLRLPCFRPTASDDGLPSVSASVIVAGTASGYHVLRVQGYSLTKSALPNGKHILSRPFRVAGRTWAIKYFPNGSLPETADYISLFLVLNDPAADAVVARFEISFVDQVEKQDPSYIATFMAHRFLSKNTKDGGWGYKKFIKRETLEKSGRLKDDCFTVRCDVLVARELSTEDAMVPAAAPPFVVVPPPDSPQHFRALLLGGKSADVRFLVGSEVFAAHRCVLAGARSPVFDALLFGPMKEGTATDTESCVRIDGMLPQVFQSLLHFIYTDSLPETQAHDDDEAGATMAQHLLEAADRYDLQRLKLMCEGRLCQHIDVSTVATTLALAEQHCCQGLKEACFAFLKSPKTLDEVITTDGFRHLAKSSHCALFELRSKLAQR >PAN44482 pep chromosome:PHallii_v3.1:9:2614482:2616596:-1 gene:PAHAL_9G046600 transcript:PAN44482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSLLSGLNSGVVLSLIAVLWTVVWQNLQHLQLQQFFGRHLSRHARRLAAMVDPYLSVTIAEYEGGRMKRSDAYEEVKAYLSAACSRGVRHLRAEGAKDADKLVLSMVDGEEVADEFEGATVWWWAYSKSPPRADAAAVWWGGGGGAQEERRFYRLFFLERHRELVLDTYLPRVRQQGRAVMVKNRQRKLFTNISTHQWSDGGYMRSAWSHVVFEHPKTFDTLAMDPAKKKEIKDDLDMFKNGKDYYARVGKAWKRGYLLYGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKAAAEDDKDKKDGAAAAAAKAAAGGDKKDTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHVQKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKTYLDVDAHALFGAVGELLREVEMTPADVAENLTPKSLEDNPDTCLAALVKALEEAKEKKASGGNDPDEQEDEEEEQ >PAN48686 pep chromosome:PHallii_v3.1:9:56896562:56898549:-1 gene:PAHAL_9G398900 transcript:PAN48686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGLGFLKAVALVLAPVALAAALYSPRDFSPAPMPPEYSYGPVVSAPRHEARALARSERVGEGRLPGPEDLAYDATGGWLYTGCADGWVRRVSVPGGDVEDWARTGGRPLGVVLAADGGLIVADADIGLLKVSPERKVELLTDAAEGVKFKLTDGVDVAADGTIYFTDASYKYNLDNHMTDILEARPHGRLLSFDPATGRTAVLARDLYFANGVAISPDQTSLIYCETVLRRCSRYLIAGDKKGTAEKFVDNLPGFPDNIRYDGEGRYWIALSAGRTLQWDMLMKYPFVRKLVYLVEKFVAVPHGLKNSGTVSVTPDGEPVSMYTDPRLALTTGWLKVGKHLYYGSLTETFLSRIDLTESSAEFRE >PVH32044 pep chromosome:PHallii_v3.1:9:32452188:32453062:-1 gene:PAHAL_9G300100 transcript:PVH32044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGAILTTFQKTSSSPCPHPSLCSWSHSLAVVPMMCEEQLSADMMIVIVFLVIVIFVMNCVKIKLV >PAN44533 pep chromosome:PHallii_v3.1:9:2871610:2873784:1 gene:PAHAL_9G051100 transcript:PAN44533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALQAASASRSSASSPVVPSSPLPRRAATAVTMPRRRRSVVATVREVAAVARAAPAPAGQPATTAPSKLAGAGGRCLPVSQTMFRLKAQGKMAFIPFITAGDPDLATTAEALRLLDACGADVIELGVPFSDPYADGPVIQASTARALARGTTPDGVLAMLKEVTPELSCPVVLFSYFNPIVYRGLADFAAAAKEAGVQGLLVPDLPYGTTCALRSEAMKNNLELVLLTTPTTTADRMKEITEASEGFVYLVSVNGVTGPRANVNAHVESLIQEVKQVTDKPVAVGFGIWKPEHVKQIAEWGADGVIIGSAMVRQLGEADSPKEGLKRLEKYARSMKKALP >PVH32877 pep chromosome:PHallii_v3.1:9:65356372:65361919:-1 gene:PAHAL_9G512100 transcript:PVH32877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASEMEAPAASAHAPAAAADQQEESSAPAVPPAAGSEEAQPAAAAVPALYVGDLHEDVAEEHLFDAFSKIGTVTSVRVCRDNATSRSLRYGYVNYFSRADAVTALEKLNHSLVLDKPIRVMWSNRDPDARRSGVGNIFVKNLSDSVDNASLQELFSKFGDVLSCKVAKNEDGTSRGYGFVQFASQESADAAIENLHGSLFNDRKLHVATFVKKSERSAYNDDKYTNLYMKHLDDDITEELVKLKFSQFGPIVSVKIMRRPDGSSMGFGFVSFQNPESAIKAQETMHGMLIGSKALYVARAQKKEERKQYLQRLHEEKRNEIINKSNESNVYIKNIHDEVDDDTLRARFDEFGNITSAKVMRDDKGISRGFGFVCFSTPEEAKSAVSSMRGVMFYGKPLYVAIFQRKEERRAKLQQHFAHIARMVGPANSMIPTGYPHVYFAHPSTHLPQGPPRHGFVYPPMGLGHEWRPNMFPSPPNIQQIHSPMMPNSPRHYRSNRGRMGGNMMPLPHTVHAVNYIAHAPPAKDFMSMPRQRFSHPKYFSNDVMANGLTIHHGDPVNDSFSSYLASAPPAEQKTMLGNRLYPLVERHQPELASKITGMLLELDNSEVVALLCSTEMLSAKVDECVQLLHATKPKTEDQEALHPGFMLESAGVNAN >PVH32590 pep chromosome:PHallii_v3.1:9:60073266:60075206:-1 gene:PAHAL_9G434700 transcript:PVH32590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTWEEREQPNWLVGAREAFAPSTTVKTSSSSGRRGQALQRRSSVGDEVRPPDKLCSGAAPSATSFLFCSPNPHGTCSYQTRQTKKGDYSPNPPPDTAKILTRLPLSPRFGWVSLHGLHDNPGRENRGGG >PAN47341 pep chromosome:PHallii_v3.1:9:38753439:38763130:-1 gene:PAHAL_9G318800 transcript:PAN47341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLYPFADIAADGAPRLDAAAGEELVRVERAAALALGPRAPEPPGSLFLTTRRVIWVGEGGMGYAVDFIAVSLHAVSRDPEAYPSPCIYTQIETEAGSDEESDESDSEVNGEIQLSKVTEMRIIPSDPDQLDRLFEAFSHCAELNPDPNSESDEENGWVHGDEGDEDMTDGSDAEFSDVNPIGQTDDRDITHAVVELQINDQRFQDAEEADEESHRNGH >PAN46047 pep chromosome:PHallii_v3.1:9:9950105:9952064:1 gene:PAHAL_9G160000 transcript:PAN46047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKSRSSAKAGSPLLGKYELGGLLGRGTFAKVYRARCLTGGDPVAVKVLDKPGLAAPGMAARVLREVSAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPARRLPEHAARRVFLQLVSALIYSHARGVFHRDVKPQNVLLDADGNLKVSDFGLAALPDSLRDDGRLHTACGTPAFAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDANIADMCRKAHRREYAVPRWVSQPARRLVSRLLDPNPDTRLAVAELASHPWFKRSLSVDSQLGGLLGGQAERELVFQAPPALNAFDIISMSPGLDLSGLFGESRRTREKRFMTTASPEQTVERLGQAGARLGYFIVGKKGAERLPLGGLSGLVAMSMEMSEVSPALMLVELRLEGGDGDEAEAFGWEELRVELGDVVAAWHVCEEG >PAN43993 pep chromosome:PHallii_v3.1:9:604043:607590:-1 gene:PAHAL_9G009900 transcript:PAN43993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRAGAILVCLLFVLVRDVSAVAETEAGNARLVQEAPHRKLEGTGRQDGGKVGRVSVSTVAWSTLVMAAATGLGAVPFFFMELEAQWAGLCNGMAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGVFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVASAGTLAVAFMETLSTVLLGFTDGNSSEDASGFLVSLVFGLGPLIGGIILVTFSLAFSMSHPLLTGVASGIAFRLAAWRPVQLLMSSKMGLFTTLFLLIGGSLVYHVATSSILRVVNRKKSSVNVITSSSGFSLSALTLQSLLSCGAVFLHAYAEGLVLGVAARKAYGLGRYMVLPASLHGLPRGAAVASCVYGATDSWRGALAAAVLTGFAGPSAAISAILARIDYDGLDHWMVIACGALIPSFGRVFRRSLRLDMRKSIVGLLIGIAFASVCLMSNRFICLHTPYCNSAPEAVT >PVH30896 pep chromosome:PHallii_v3.1:9:603403:608346:-1 gene:PAHAL_9G009900 transcript:PVH30896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRAGAILVCLLFVLVRDVSAVAETEAGNARLVQEAPHRKLEGTGRQDGGKVGRVSVSTVAWSTLVMAAATGLGAVPFFFMELEAQWAGLCNGMAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGVFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVASAGTLAVAFMETLSTVLLGFTDGNSSEDASGFLVSLVFGLGPLIGGIILVTFSLAFSMSHPLLTGVASGIAFRLAAWRPVQLLMSSKMGLFTTLFLLIGGSLVYHVATSSILRVVNRKKSSVNVITSSSGFSLSALTLQSLLSCGAVFLHAYAEGLVLGVAARKAYGLGRYMVLPASLHGLPRGAAVASCVYGATDSWRGALAAAVLTGFAGPSAAISAILARIDYDGLDHWMVIACGALIPSFGRVFRRSLRLDMRKSIVGLLIGIAFASVCLMSNRFICLHTPYCNSAPEAVT >PAN50306 pep chromosome:PHallii_v3.1:9:65324255:65325756:1 gene:PAHAL_9G511600 transcript:PAN50306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAFSSALLRRLVCNSTPAASVFRATFCFSSSGSGPSHTAPPPSSIFGDDAEVANVPPLTTPKLFVSGLSRLTTDEKLQGAFAPFGRLLEAKVVTDRVSGRSKGFGFVRYATIEEAEKARQEMNAKFLDGWVIFVDPAKPRQPKPAPQQDTHSSHAGFTTNKTVGWCG >PAN51331 pep chromosome:PHallii_v3.1:9:70181057:70186315:-1 gene:PAHAL_9G586000 transcript:PAN51331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYHQPTSLEEVRTLWIGDLQYWTDENYLYGCFAHTGEVQSVKIIRNKVTSLPEGYGFIEFISHEAAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVNYPSVRGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGVYCSTRPMRISAAIPKKSAGSQLQYSAAKAIYPATTYAVPQLQTVLPDSDPTNTTIFIGNLDPNVTEDELRQICVQFGELIYVKIPVGKGCGFVQYAARASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAVWSQQADPNQWASTYYGYGYDAYGYAQDPSYAYGAYAGYSQYPQQVDGTGDPASVAGSHPGIEQKEEPYDPMNIPDVDKLNASYMAVHGRAMLGRSLWLKTNPLPQPA >PAN45446 pep chromosome:PHallii_v3.1:9:7656646:7657577:1 gene:PAHAL_9G128200 transcript:PAN45446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTPIPVLAVLVVLGALPWIIAAAPANPDDAAAMQSIANTTGAAKSLGWGVKSADPCDGAWAGGSCDSAGRVTSIRASRSGLVGWLHAPDLSKLTFLAELDLGFNSLTGQTGGDLPLLPAPLQHLRALDLRSNRFLDVPDGSFAAFPALETINLDDNPMVGPKLRQDLLTCSRLRSFSANNISLSPFPDYLGSTAAFPALESLSLARNALHGAIPAGFGSNSNIKFLDFASTVSVDVSANPRIGKPCG >PVH32985 pep chromosome:PHallii_v3.1:9:67329819:67330978:-1 gene:PAHAL_9G541900 transcript:PVH32985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQQSAIATSWSDLLPELLVRIAACCPNPADRARFRSVCRHCPQMPPPPWVVLHDGSFLMLSDGDRHLPSAILPDYGGYLKPSDGGTHRLALPRSTTCVGSTDGWLVLCRSTPSSGDTFLQLHNPFSNTTVPLPGVDAVRARTPPREWFRVAKVLMRSGADDMVAVMTISRSYPFVLSLPGKGAWMPDPHAPPYMHIIDVAFLGDKLYGITKAEDLFSFDLSLMHNDDGEVAPAITHCERVIRHPLDHYAVVPWSDVEDEEDTERMKKTYSEEEDDDDEDEEGEEDSCFEYDTENLQVPSRVDFWIDDANKDCFVVTIRYTRKVEVFEADTEAGAWVPVPSHKLIQL >PAN49510 pep chromosome:PHallii_v3.1:9:61466541:61469337:-1 gene:PAHAL_9G455200 transcript:PAN49510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL15 [Source:Projected from Arabidopsis thaliana (AT1G61590) UniProtKB/Swiss-Prot;Acc:Q9SY91] MPARPWRPVLASATKCCAAEDAAVAPDGLARCRPQQSELSRRLASFRRLSSLASSPASGAAADGGDGGEAAAAAGEMAGPLQLHSFGLGELRGVTHDFSASFLLGEGGFGAVYKGFVDAGMRPGLAAQPVAVKQLNAGGFQGHREWLAEVIFLGQFRHPHLVRLLGYCCEDEERLLVYEFMPRGSLENHLFRRISATLPWGTRIKVAIGAAKGLAFLHAASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLNVKSDVYSFGVVLLELLTGRRAMEHVRGRSAHAEQQVKLVDWTRPYLSGGSRRLRCIMDQRLAGHYSVKGARAVAQLAVQCTAPQPRDRPRMAAVVEALERLQGLKDMAVSVGLWPSNAPVAGRNAISAKIRAEVKSAGANAGSRRRSASSKLP >PVH31766 pep chromosome:PHallii_v3.1:9:14853586:14854467:-1 gene:PAHAL_9G226400 transcript:PVH31766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALGCVMTELLIGEPLFRDAETEDVVLHRARDLEYAMESTDLAFEDMPELSEAGREVLRGLLSFKAEKRLTAAEALAHRWFDEEDAPLSPALCSQPDRSGFINFI >PAN46869 pep chromosome:PHallii_v3.1:9:14419827:14424657:1 gene:PAHAL_9G221000 transcript:PAN46869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRSDVMPRRRHGGVVAVASAAGSPEFGAGEIDNPYEILGISRLDGFDRVKMVFKRKRKDAESTCDREYLLKLERAYDMLMMDQLLNQKKGVSKDIRYADYQPIVPWGPRYSRSTVKDLRINMAISAAFIMCISTLGHADWKPLQFLCFAYFYRILEKLKVTEPAIAPIYNAYGEVEGPGIHMAGRVLRSLGLVLGSILAASLGYTGLANFSQFLGEYIPSVVYNFQELIVTTASSILLCILASYYR >PAN49917 pep chromosome:PHallii_v3.1:9:63394186:63397619:-1 gene:PAHAL_9G484300 transcript:PAN49917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPSSHQSQINSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNTNFPRYYYRCTYKDNMNCPATKQVQQKDHSDPPLYAVTYYNEHSCNSAFLPLSPSEFQLQTSSGKAVSICFDSSSGPAAQEPPSVAAAAAATNASGGSPSSSAAARRGTPPEISNPPVLRRSETYPWGAGAVEQKPASCSTECHDAFAGGAGAVPEEVVDAGRFGSIRFFHFL >PVH33009 pep chromosome:PHallii_v3.1:9:67675337:67677270:-1 gene:PAHAL_9G546400 transcript:PVH33009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICDTRGAFVPPRINCGRCHGVEISRETTLPSEGFSIRPMAPVPPLLAVTALLLALPLGSAAGDLHPVVLVPGYGSNRLEAALTAAYEPPAPACAAGADRQGWWFPLWPNHTATRDASQVPCFADQMSLVYDAGADDYRNADGVATRVPSFGSARALIGWDQLVRQLEGMGYRDGETLLAAPYDFRYAVAPRGHPSAVGGRYFRDLGRLIRASRRRRGRPVIVVAHSFGCALTYQFLLSRPLPWRRRYVKHVVFLGSALGGFAPGMYGLSAGIDYGLQGVTRPAMLRLARSQQSALWRLPTPLVFGDRPLAVTRSGTYTARNMSGFLEAIGFPDGVRPYETRVLPMWEVLPPPMVPVTSVIGVGIRTPETYVFGTDGFEGEPEVVYGDGDGDINLVSLAAIDDWAGVEGQAMEVVRLPGVHHGGFFSDDSAVERVVDEIHKAGGSIELDRKFSI >PAN50470 pep chromosome:PHallii_v3.1:9:66047584:66049525:1 gene:PAHAL_9G522500 transcript:PAN50470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRKLQWRRGRDGIVQYPHLFFAALALALVVADPFRLSPLAGVDYRPVKHELAPYVEVMSSWPRDNANRLRRGRLEFVGEVFGPESIEFDGEGRGPYAGLADGRVVRWMGEEAGWETFAVMNPGWSEKVCANGANSTTRKQHDKEEFCGRPLGLRFHRETGELYVADAYYGLMAVGRSGGVATSLAREAGGDPIRFANDLDVHRNGSVFFTDTSMRYSRKDHLNILLEGEGTGRLLRYDPGTGAVHVVLKGLVFPNGLQIAEDQQFLLFSETTNCRIMRYWLEGPRTGELEVFANLPGFPDNVRSNGKGQFWVAIDCCRTPAQEVFAKRPWLRTAYFKFPLTLRMLTRRAVTRMHTVLALLDGEGRVVEVLEDRGREVMKLVSEVREVGRKLWIGTVAHNHIATIPYPLD >PAN50831 pep chromosome:PHallii_v3.1:9:67882518:67886556:-1 gene:PAHAL_9G549600 transcript:PAN50831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFWLVELLMLVVTAVWVLRTWSARRRLGKKARQATAHTYPPGLEPYPLIGHMPQLMANRHRVLDWMTEALARQPTCTFVLHRPGGLRGAITANPANVEHFLRATFDNYPKGPRVVSMMHDFLGRGIFNADGEAWRAQRKVASYEFNTRSLRAFVARCVHDELHGRLLPLLRRAAASGAGLDLQDVLERFAFDNICRVAFDHDSRQLPVDGDGGTAADGSFADAFRDAANLSAGRFRYAIPGFWKIKRALNVGSERRLRESVVMVHDFADRIIQSRREEMLRDGFEKHDLLSRFMASQDETYSEAKGPLRDVVTSFLLAGRETTSSALTWFFWLLSSRPDVQRHIRDEIAGVRARRSQGDLHGTVGFDLDELREMHYVHAAITESMRLYPPVPANSLHVLADDVLPDGTAVQAGWFVGYNLYAMGRMESVWGEDAREYRPERWLNHADGTFRPESPFRFVAFHAGPRLCLGKEMAYIQMKSIVAGVLEELDVAVDGAYRPRQVPSLTLRMAGGLPVTVKPRRD >PAN49695 pep chromosome:PHallii_v3.1:9:62345629:62351086:1 gene:PAHAL_9G468500 transcript:PAN49695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKMESASLNLEDNGDHQPSSETSIASDLIYDDSPVPPCIGSEHQAEIPNLATEDERHELMAGSLNGSTSHGYGYPIVVGLALPIMWASPSEVNKKEEGLQMQNVSESETRGSSGDVQSQMTSTCPINNNTSKCDTTCQDQHTVLPAVQTERDSNQAHDDKMPPCPTQEGVHVTNYPMMQQIGTEQLNPLPYSPIALWTDLEAELFLLGLYIFGKNLNLLSRFLGTKTVGDVLSYYYGKFYKRDAYKRWSDCRKAKTRKCILGERIFQGWRQQELISRLKSKIPKEAHDSLIEVFKSFSDSQTSLKEFAFALKSIVGTEVFVEAVGVGKGKHDLTGFVTDQSKPNQALSVHGDLLTGKDCSSLASEDIIKFLTGDFRRSKTRSNDIFWEAVWPRLLAKGWHSEQPKDVSTTKNCLVFLVPGIKKFSRSKLTKGTHYFDSVSDVLKKVAADPVLLEFEADGVEHGLNAEKNGSITEMKLNQDSPLDGYQELPKFTIIDTSLVEGEEPFNVRELRNLPADANISFVLSHHASNMVSYSSSEEEDASDRSSDDQEDCGRVTAEAKEIEMVSVGSLQNMVTANGHSSNGNDDKIDLTGIYGIKTKPERRKYLSPVSKRRRLTSCSNEQSSRRSFSFSKGGSLEKEKSKQLPTSKSAAVDVGDTFQTKTIASCSTKEKPSEQKMNASNSVTNDGQNERMVMENLIEDKSFEHKADAVAEIHSKITADETKYAKEGHVSGPMNSNKLETPHDDRASGSICVTSSENQIGMKADEAPSSSNSNMARDSSVATGKHVSQQSALEANPRRHGTRNRPPTARALEALAFGLLGSGKRKGDPKNMATNRPSQRARKATKDPVPTATSGDAESSVDAEAHP >PAN45909 pep chromosome:PHallii_v3.1:9:9220786:9224905:1 gene:PAHAL_9G149700 transcript:PAN45909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARMMRWPRPPPARKFRVRLVVRRAEGLPLLPPAPAAEPASPEREAAGAAPPRAVAAEVRWKGPRASALGSLRRAAVRRNRTRGEAEAAWEEEFESVVTLAAASQREGAAFQPWELAFCVFSDINIRPKNKPSILGTASLNLADYASAAEEVIEIILPLSVPGGEPESASSLHLTLSMVELRAVQETSDASQRPAATLPLSPSSGDSLSGGKDEVSVIKAGLRKVKILTDLVATRRSKKTCQDDEGGEDKFCVNSDGAEYPCDTESLDDDLDERVQEDEIGDSTIRKSFSYGSLQSVNYAGGLVYAHAKIDGEHEDWIYYSHPKSDVGYQVEKMLPSTAEETVLPAAKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTSSDESVSEGSKAEDGSANAMVSEFGDDNFVVGNWEFKEIVSRDGHVKLSSQVFFASIDQRSERAAGESACTALVAVIADWLQANQNIMPIQSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLHAKIRPLTVSPSRSFIGFFQPEGDDDVSGFDFLNGAMSFDSIWDEITQAAEFSSSDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLHEGCSQAYILKFDNSTTIHKVHAEKKPSSPDSSGPLKDSSGPESSSTDQDSGNDIEESILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFHYTQSSPKEIAAAPQLLTANTPFEFSWPEPQPPPTMEVALTPIVSVV >PAN45937 pep chromosome:PHallii_v3.1:9:9341095:9342289:-1 gene:PAHAL_9G152100 transcript:PAN45937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAASASPPPPLEAATAASDPPTPPPVAAAPPAKEDPQHSATAPAAGGAADGASAGAPADAGGGDAADAAETVVLDASTAAGEAGEGEEEQGECGFCLFMKGGGCKEEFVAWEKCVEEAEAETVGVDVVERCQDVTAALRKCMDAHAEYYEPILRAERAMAADLEAFQAQEAASSDSPAPASEEGQKKQAADAAAPPSEKDQEKQVAEVAVSEKESRDPAA >PAN44540 pep chromosome:PHallii_v3.1:9:2899100:2902002:-1 gene:PAHAL_9G051600 transcript:PAN44540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMPFQLKNGHHHHHHHGAVMEGKPPPPQSATPRVSMFRRLLVRVSASEKFVADGKERDKDEKPPPAAGEADAAGSVGLDRMVLSFMEEAATVERPPRGRCNCFNGSNHEESDDEEFDFLPSEHASAPVAAGAGDALEALKGLVQSASVAERNLLADASRIADKCGKSCKGKAECRRAVADGLRALGYDASVCKSRWEKTPSYPAGEHEYIDAVVGKEEVRLIVEVDFRSQFELARSTKAYRAALQALPPLFVGTPDRLGQIVVVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCGGDKAVVPGPAAAAVPLSAATPVQAASFSGEFELVFDRKPNNAAAAGVGEKITVVVSPWRPTEEASKKQQLPKAKVVTGLAAVL >PAN45367 pep chromosome:PHallii_v3.1:9:6671597:6672094:-1 gene:PAHAL_9G113700 transcript:PAN45367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLHRRLLPLLLAACFLLRLQVFDCSAAAAASSPADEPREPAGGAHGAAPHHQVPVDAEARAVRASMELADYGRTGDSATTSAAIPGLAEGVVALAPRPPRETSGGRALVRAGLRPAVLLRSKLARRLLVAAAGVDAAAGTDGAGPSCHSNNVHINCPPASKP >PAN47236 pep chromosome:PHallii_v3.1:9:16595901:16599701:-1 gene:PAHAL_9G243900 transcript:PAN47236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys2/His2-type zinc finger transcription factor, Heading dat [Source: Projected from Oryza sativa (Os10g0419200)] MMLSDLSSDHEATGSSSHGGDIASYALSPLFLAPATSATAPPPPPPEAPRAAGAKRKRSQPGNPDPGAEVIALSPRTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSLPSAAGRQGDAAAPPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVQSDWKAHVKGCGTREYRCDCGILFTRKDSLLTHRAFCDALAEESARLLAAAASNGSAITTTNSSNGNSSSDLLFSSSIATPLFLPFPNNPPPPAAAAQNPNAFYFLQQEQQQLAPFLQPRMVQPSPYLDLHADAATVATAGGGGIVADAVNFGLAPDGSVALRGGHRRLTRDFLGVDGGGQVEAELQLPLYATAVAAAVPRAASCATDLTRQCLGERLPPVNETWSHNF >PVH32005 pep chromosome:PHallii_v3.1:9:29838826:29839462:1 gene:PAHAL_9G287300 transcript:PVH32005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVFHLLNRVYGRGMFQSSSPLLRKSEPPVQVQDTIITAWTIRQPTRNRNDPIARAELYQLSYIPLSQVEYA >PAN44087 pep chromosome:PHallii_v3.1:9:923764:929631:1 gene:PAHAL_9G015900 transcript:PAN44087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSGQRHGGVGSSSRPGNGFKGPASSVEFLGRGMLEMQLSDAKADADDERDMGSGSDVTDNSSNEASHIKATTIRGHIGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIIGLKHYFFSTTERDELYLNLVLEFVPETVNRIARQYNRMNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVRGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKKLPPEAVDLVSRFLQYSPNLRCTALEACMHPFFDELRDPSTRLPNGRPLPPLFNFRSQELKGVPPEVVERLVPEHARRQSLFMALRT >PAN49770 pep chromosome:PHallii_v3.1:9:62720785:62726737:-1 gene:PAHAL_9G474600 transcript:PAN49770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGRGLRSPKTDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPEITNKSRIKVWLEKHRKLRIALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLFCMSAVGLYNIIHWNPHVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTCLVYPALILAYMGQAAYLSKHHDFYSSSQVGFYIAVPDKIRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIVLCWHRPPLLAFAFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLIWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVETLATFIKLDASYRCSEASEPPEQQELEPSERERRLTVIASNPLRRRASYDLQGSVGHSAASTVEMRAAAAAAGAGDGDDAAEISPARKQVRFFIDSHVASPDAADGGKRVAEELAALAAARESGTAFILGHSHVQCKPGSSVLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >PAN49769 pep chromosome:PHallii_v3.1:9:62720783:62726737:-1 gene:PAHAL_9G474600 transcript:PAN49769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGRGLRSPKTDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPEITNKSRIKVWLEKHRKLRIALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLFCMSAVGLYNIIHWNPHVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTCLVYPALILAYMGQAAYLSKHHDFYSSSQVGFYIAVPDKIRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIVLCWHRPPLLAFAFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLIWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVETLATFIKLDASYRCSEASEPPEQQELEPSERERRLTVIASNPLRRRASYDLQGSVGHSAASTVEMRAAAAAAGAGDGDDAAEISPARKQVRFFIDSHVASPDAADGGKRVAEELAALAAARESGTAFILGHSHVQCKPGSSVLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >PAN44808 pep chromosome:PHallii_v3.1:9:4141484:4145436:-1 gene:PAHAL_9G071800 transcript:PAN44808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKPATASLDARTTKIRNVPIAVTPEGFWCCPSQAVLQKTAKNQNQQAKTKGGASPPASKASSIQRAPTISSERRTHSTPTRSKINSEEQRCLSGENAAANPPKAVNERPQKQHKVSVGFGQLEMSDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQSPVSSVEVTDCDDVEIYVETVGLMYCSDVKQRLIKQTVPRVLRILKVAELLGFRACVISCLYYLEAVPWVGEEEENVVSSIRNLQSENYGVSPVLKRVASDLTTPPNDTFSHIIELVLRSNEDRGRREMKSLVQKLLKENSTTCTGESSDLCAETLYRSCQNCLESLLTLFQQATDSDFAEQSLNIKEPVFRQIALEADNLLWLAEILADRNAADDFAVMWASQRDLAGLHSKLPVKSRHLVSCVTARLFVAIGKGEMLPSKDTRRLLLDIWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGKFLKVGDSCPNLQKAFEVWWRRTFIRPYADQQGNRPQSGRS >PAN51484 pep chromosome:PHallii_v3.1:9:70859756:70861093:1 gene:PAHAL_9G597700 transcript:PAN51484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCFSRMRRPPAGSPAPEPMPASDDASTSAASTSAAGSTSPCSSSSSARCKNGAGSSTYDHGRDGGDGAVGKNPSTLSESGLSSAIASRRFFLSSPGRSNSIVDSSAHGAALGLSVGAAGVAVPTYSPDPHADFLRSMEEMAAALRLDARRRDDRARLHELLLCYLALNDRQAHKYVVSAFTDLLLRLTAVTNADDEHHD >PAN48350 pep chromosome:PHallii_v3.1:9:54685983:54691371:-1 gene:PAHAL_9G377000 transcript:PAN48350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BSK2 [Source:Projected from Arabidopsis thaliana (AT5G46570) UniProtKB/Swiss-Prot;Acc:Q9LS26] MGCFQSKVAGPLPPNDAAALPADNPADPEAANGGADGGDAADDKEALKRAVPVFREFALAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYFIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKAASVLPAILSPLGKACSVMDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVAMMSAPSATVFARRSFSYLMNEQAELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRS >PAN46752 pep chromosome:PHallii_v3.1:9:13694036:13695277:1 gene:PAHAL_9G211900 transcript:PAN46752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFAQEHVIGIPLASFAYAQEETQGKPSCSALIHKKNKKSSFIYRMSKLSQKTDSYMQGFKEHLTLGPKFSETIKGKVSFGAKVLQAGSIDKVFREYFVVEKDEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLSLACSKGGKTRVPYKVLIPTKRIKSASVRENMYNPDEKYIDVVTVDGFDFWFMGFISYEKSFRYLQNVISELR >PAN45522 pep chromosome:PHallii_v3.1:9:7435368:7438712:-1 gene:PAHAL_9G125200 transcript:PAN45522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSPFDCVLLDLDDTLYPGNTGIGPALKRNIDEFLQAKLGVSAERAAAMRVELFRTHGSSLAGLIALGYGVHPDEYHSYVHGRLPYDRIAADPQLARLLQSIPQRKVLFTNSDRAHMKRALERLGVDEAVFDAVVCFETMNPHLFGEAGEEGRGAGGDPPAVVLKPAVDAIVAGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALVGKRVRSKDADYALESIGALRLVIPEIWGAAAAAGEGSERPDRGIDKMPMRSDLDSIIQPTSIPA >PVH32252 pep chromosome:PHallii_v3.1:9:51139974:51141886:-1 gene:PAHAL_9G354000 transcript:PVH32252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKARRSEPRLVSPARPTPREANALSDIDDQHLLRYYETVVGFFRTCPGRTGSRPADLKGTIRAALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVVFVEAEADVWLEEFGEPLLPPYPCLEELLCDPGDIKAVIGRPLLFMQVTELKCGGFVAGFHMCHNIADGFGMIQFMMTVAELTVGEAFPSLLPVWKRELLSTAHSPSPTTYPNPAYQPLLNSLDFTSDGLMLHLPGYLADSRTSFELLTAVMWGCRTIALGYESSQRVRLMITMNARGRWNRHTLIPWGYYGNAHFSPIAELTVDELSRQPLADTVELVRKTKLSVTMECMRSMVDTTAYIRQWPSLTMDKTYEVSDTRWIAAGNGLQLGWAEYVAGGITLAGDLTSKLGSHHMRCKNEDGENSTVVSLLLPRPAMERFKKEMDVWLKKPEKNLVIPSSL >PVH32830 pep chromosome:PHallii_v3.1:9:64451004:64456605:1 gene:PAHAL_9G498100 transcript:PVH32830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGDIPPNQTIYVNNLNEKVKKEELKRSLYALCSQYGRILDVVALKTQKLRGQAWVVFSEITAATNAFRGLQDFDFYGKKMRVQYAKTKSDCIAKEDGTYAPKEKRKKQEEKAAEKKRRAEEAQQSGPNASSQNNGTGYQASRLGKVSQEHLPPNNILFIQNLPDQTTSMMLQILFQQYPGFREVRMIEAKPGIAFVEFEDDSQSHIAMQALQGFKITPENPMAISYAKK >PVH32831 pep chromosome:PHallii_v3.1:9:64450989:64456605:1 gene:PAHAL_9G498100 transcript:PVH32831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGDIPPNQTIYVNNLNEKVKKEELKRSLYALCSQYGRILDVVALKTQKLRGQAWVVFSEITAATNAFRGLQDFDFYGKKMRVQYAKTKSDCIAKEDGTYAPKEKRKKQEEKAAEKKRRAEEAQQSGPNASSQNNGTGYQASRLGKVSQEHLPPNNILFIQNLPDQTTSMMLQILFQQYPGFREVRMIEAKPGIAFVEFEDDSQSHIAMQALQGFKITPENPMAISYAKK >PAN48556 pep chromosome:PHallii_v3.1:9:56165935:56168949:-1 gene:PAHAL_9G390100 transcript:PAN48556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAEPRADAAEQQVDPVQRGGEGGHEGRHGMPVEHLPQPPPHARDRSTCRRRRHLLYARVRSTSHNSSASSADRRLPADRGIPQLWRLRRGPGLHRGPPLANARGLPVAPPPPMSVSAECAEQDPTDGASPLRRRLHARSASPADRRLPHLWRASRWHARSAPTSSAAPPRRELQADRAGGVHPLTGRFGGPPTQHGRCTPGTLEVGPRGLFAPMPPPSQAPWRISLQSSMSLPPIAPSSPHPLKMIDWQCRLRQASGACAVLAHELLRSLHQALRFQPVSLSYCSGVARNCILLLFMRKVEVF >PVH31818 pep chromosome:PHallii_v3.1:9:16274797:16275021:1 gene:PAHAL_9G241500 transcript:PVH31818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFPLPPHLSPTPLGRSKIPPAGWGHHGRVAPAGGRRGFPTLQDSVAVAVLSSTDGGHRRSYALLLVNLQGFK >PVH31034 pep chromosome:PHallii_v3.1:9:2277957:2278547:-1 gene:PAHAL_9G041100 transcript:PVH31034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARDDTEHSSGNIVHGQEQGPEQIEGVERDIDKQEGDPLLSRAVARWGS >PAN47082 pep chromosome:PHallii_v3.1:9:15427997:15428887:1 gene:PAHAL_9G232900 transcript:PAN47082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKIFAIGFLVLMATGLSKAREFDWEHPTTSANSQVGGGGAGGGSSNGYGSGSGSGYGSSGASNTPGGLYGTGDSMSRGEGGGGGGGNNGGYGAGSGSASGNGNTIGFYGGGFSGSGGSGGGGGQGGGPLNGNGFGSGSGSGIGSGNVYGPNGGTSVKSGGGGGGSASGSNGGYANGYGGASGSSSGQGGQP >PAN45520 pep chromosome:PHallii_v3.1:9:7431167:7433277:-1 gene:PAHAL_9G125100 transcript:PAN45520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALCLVFPSTPPSCPCGAGAARSSVPMLVASPSPFSPSSAAVAGTRLQLRGRSRGRRAGVARVGGGGEGEGAKDAGAAAFFGEDGVVEDMDGYLDYLSLEYDSVWDTKPAWCQPWTILLTGTAVVACSWVLQSVIVTAGVSFIICAWWYIFLYSYPKAYTEMIADRRRKVASGAEDTYGMEKIQ >PAN45130 pep chromosome:PHallii_v3.1:9:5507943:5511758:1 gene:PAHAL_9G095700 transcript:PAN45130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEESPPAQAAEKSQPAEQEAGGWGGWGLSIFSEISRNAVEVAKSAIADIQQPLEQDTGPDSGEKEKDKEQEGEEEEERRKAALDKLENASEDSILGQGLKAFDSSVETITTGTWQALGTAWKSGSLFVQKLENSASSLAETIQQGELPAKASAIAPTILETGKSFTARGMEVLERVGKETMEFIVEETGMEVDKGSTGEGDQQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLNAEQKTYYDGKLKEIQQIFSLSTNAEEDGPDSDKGKKIESADTDADAEMKKLCETSVSKAAKMAAGFTTALGGLSPNEIIKRTTNRLETIHSEGVHRLSEMCCLAVSQFLVLGKSVISATNKSKNEEDDENDVKIDWPEDPISKAKIIRWKAQSISVDMEKVSTSFGTGILDVAEAYAAAIQNALADKQDDLPNQKSVQEKAKSISNHLNSDQTSAVSKLQDALQYLAYVVVCASMPSA >PAN47620 pep chromosome:PHallii_v3.1:9:21052380:21065487:1 gene:PAHAL_9G264600 transcript:PAN47620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRASLLLAAALCALAASGAAASRDLRPLRAVFVVRGRVWCDTCRAGFETPASTYIAGAKVRVDCRSKTSGVKTCSFEGHTDGTGTYNILVADEHEHELCESVLVSSPDMRCATTVPGRERAPVFLTSKNGVASNVRMANALGFQKDVALPRCAQILKMYEDEDDRV >PVH32821 pep chromosome:PHallii_v3.1:9:64204374:64205522:-1 gene:PAHAL_9G494600 transcript:PVH32821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKAAAVKKPSFGTRAWRLLRLAVLWARRGGAAHSLRLLRTLRRHGHGLGGGARGDRLRHGEREFSIDETPAFRFRTPSARVLRLIPCIAPAVPDTPGLYGDDRYFFRDAAARALEDGAAYGYGGPESERGDGEEEEEELSCYCDEGGDEEEELLERAVAESCRASTAAEGDAGVDVKADEFIARFYAQMKLQRQISWLQYNEMMQRSVS >PAN44418 pep chromosome:PHallii_v3.1:9:2290360:2292233:-1 gene:PAHAL_9G041500 transcript:PAN44418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRLQLVFLAPIIALLVSSGALGAAAMAGSSLAAAQSGVFSLDSYGARGDGTHDDAQALSNAWKAACASPRPAVVLVPGGKRYLLSEVVKLSGPCRSASVTLTVQGTLVASPNRADWSDRDRRHWIVFRAVDGLTVNGGGVIDGNGETWWKNSCKINKALPCKEAPTALSFHYCTSLRVQDLKIVNSQQIHMSIEDCTNVQLAGLSITAPGTSPNTDGIHITRSNGVQVTNCKIKTGDDCISIENGTHNLHVSQVVCGPGHGISIGSLGDDDSRAEVSGITVDSVRLYGTTNGARIKTYQGGSGYARDITFQNMVMGGVKNPIIIDQSYCDRAKPCREQGSAVEVSNVVFRNIRGTTVTKDAIKLSCSRNAPCHGITLQNIDLRMEGGNGAAESTCQNAKWRKAGTVLPQPCTSQNETRRQVAATEQPL >PVH32247 pep chromosome:PHallii_v3.1:9:51013063:51015632:-1 gene:PAHAL_9G353500 transcript:PVH32247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGYKNLLMRYHSSTGLQHRRKQLRNRWDQLKGLYQFWKYINLRSGFGRSYNSVVADDQFWKDHTKNKSKWKKLRHGPPENLDQLEQIFQHVAVDGSSSSILGENIGGEDEYDEDDGSPISDNTRKRATSTTATSPTKKTKSPMVKIMKGLLDSMKAYSDATQKTLQNMATRKEELMDESMKKAQALIGRAVWCNRR >PVH31948 pep chromosome:PHallii_v3.1:9:22406459:22407391:1 gene:PAHAL_9G270100 transcript:PVH31948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPIYDGVEYLEEGVFRCRVKMMIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEGIKLFCNQHPIEVAAYPIGLFPTIDPSNSDWDVRTDHYGHLLGDVAEETVRIVTRFMDVQYRYQKLLRHGVNQITGVAQSHYRNVDHQVTQIEELQALVTQKDEIIAARDETILHREDQIMESDHLITQRDAVIEFLQEHIHDLMLEADDAQAHIEELQQQPILPAIPLVPEEEEEEDPEEIEGISEIDSEHGDPVISPHHSLSGSQSSVGNFDDF >PAN45698 pep chromosome:PHallii_v3.1:9:8223283:8225330:1 gene:PAHAL_9G135200 transcript:PAN45698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAKQHEKGSPKRASNEPAPRSAVAGRPRPGPPAPFRLEQCCRCDDHRRQEDDGSSNGAGPLLLTLGPLGPATDRRCSCVVAPAPAPTPATMSVLRGSRYLRPAQELLGEVVRMADLAAGAGDEEAAADKQERLEAGGHRAVRRAAKNDGDGIQAKLLALLSELESRQERYFGELGRVASSFEPALGDGAASAYTSLMAQAMARHFGNLRRAILRRLRLHAAAAAKRSPRAGEEGEHGDGEEEEEVTEEMVDRVARRTKLAAVARAEQAWRPIRGLPEGSVAVLRAWLFDHFLHPYPDDGEKLRLAVTTGLSRSQISNWFINARVRLWKPMIEEMYKDEFSEGSAVSRDDDTSGASSSS >PAN50529 pep chromosome:PHallii_v3.1:9:66301136:66302016:-1 gene:PAHAL_9G525600 transcript:PAN50529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRSSATTRCLALGGLLAACLLLGAADAATHRVDWSFNADSWSRGKSFRAGDLLEFNYDPSVHNVVAVDAGGYYGCGSSGRAYSSGSDRITLGPGTNYFICSLNGHCGMGMKMAINAS >PAN49262 pep chromosome:PHallii_v3.1:9:60295488:60297872:-1 gene:PAHAL_9G437500 transcript:PAN49262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSDDGDPSRKRLVVGVLSALMLVAMVVGTVAFFLSEKAWDEEVSKRNMSKTMRSVELFCAPADYQGTCRETLEGALSHSPPSEHPHTAAAAAITAVERALEQGFNRSTVLDAVRQSNDTLVWEAMHDCRMLLASCRDNVERALSSIAWRGVEGPVQDLQAWLSAVITFQGSCVDMFPKGEVREEVKTTMEKAREISSNALAVIKQGAALASMIDLHAEDLNKTRGGRELEEEPTWVSGEDRKLLAAAAGKAGLTPNLTVAKDGSGDFKNISAALDAMPSNYTGRYFIYVKAGVYEEMVNITNCMANVTMYGDGSKKSIVTGSRNILDGTRMWRTATFAVDGDSFTAISLGIRNTAGVEKQQALALRVKGDKAIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIMGDAAAVFQRCVILVRQPRKGQPAVVTAHARRDHQQTTGFVIQRSQIVADEQLASSSSKSAGSAATKVYLGRPWKEFARTVVMESDIEGFVHSDGYMPWEGKENLGTAFFGEFGNSGAGANFTGRKNMQGFHEMNKDRALQFTVGHFLHGAEWIPDSGTPVSLGLSGAGEGAGEETME >PAN44018 pep chromosome:PHallii_v3.1:9:731310:734514:1 gene:PAHAL_9G011800 transcript:PAN44018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASLLPLLLAAAAAVSAEPASTLSGPARSVTVPLGDRGHAVDLPDTDPRVQRRVTGWAPEQVAVALSAESTSAWISWITGEFQMGGAVKPLDPSTVGSVVRYGLAADSLLHEAAGESLVYSQLYPFEGLQNYTSGIIHHVRLQGLEPGTKYYYQCGDPAIPNAMSGVHAFRTMPAVGPKSYPGRIAVVGDLGLTYNTTSTVEHMVRNQPDLVLLLGDVCYANLYLTNGTGTDCYSCTFANSTPVHETYQPRWDYWGRYMEPVTSSIPMMVVEGNHEIEEQIHNKTFASYSSRFAFPSEESGSFSPFYYSFDVGGIHFFMLAAYVDYKKSGEQYRWLEKDLEKVDRSVTPWLIAGWHAPWYTTYKAHYREAECMRVEMEELLYSYGVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSHADEAGHCPDPASTPDPFMGGFCASNFTSGPAAGSFCWDRQPEYSAYRESSFGHGILEVKNETHALWRWHRNQDLHATVGDEVYIVREPDKCLVKSTRLAY >PAN47446 pep chromosome:PHallii_v3.1:9:30911483:30918098:1 gene:PAHAL_9G291900 transcript:PAN47446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCALTVDSLNPKVLALVNHLGNAIARRAQCIQNEIETKPGSHPFDELIYCNLSNPQSMGQQPNKFFREVLALCDYPHLLERSETNSLFSSDAIARAQKILDLFPWRATGGYSHCQGTEGLRDVIAAGITSRDGFPCNAEDIFLTDGSAPPIHMMMHLLIRDEKDGILCPIPSHSLYTSSMVLQGATLVPYYLDESRGWGVRISDLKKQLDGARSKGVNLRGLVVINPGNPTGHVLVEDNQREIIEFCRKEGLVLLADEVYQENIYIADRKFKSFKKIARSMEFGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFNSEVKKQIYKVASLSSCSNISGQILMSLVMNPPTVEDESYTSYQAERNGILSTFSRCAEAMVCALNRLEGVTCCKAEGAMFVFPSVRLPKRAIAAAEEQNTGPDVFYALRLLENTGIVVVPGSVFGQVPGTWHFRCTILPKEEKIPLFISRFMAFHERFMEEYHD >PVH31105 pep chromosome:PHallii_v3.1:9:3331452:3335067:1 gene:PAHAL_9G058400 transcript:PVH31105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVADPSPSRRSLKRRPPARSPELSPKAGGGEAAEELIRRVEELEAAAARMRGEKEAAEEAARGLRQELDAERASAETAASEAMLMIERLQREKAAAQMEARQFKRYAEGRADREREVQEELASLSDLAASYHSRLQSHGIDPDSFTDDEEEEPDEEDEEAEQFDMDAVVVERNDGDLSDGMELKAMVVDGSGVDEKEPSSPVEKEFEYTVDVATCASTTRAAGSVVVAEYVGEGNSGGLYARVEALEADRVALRREIAALRAERAQLVMAREMARRLCWEMVAEQRAIVKKPAAPASSFSVLRICKWVLSILFWRNRSSTAKYSFGLSTTFLGLLLLLDRSTMLSPWRRLPRPQR >PAN47416 pep chromosome:PHallii_v3.1:9:22916117:22923094:1 gene:PAHAL_9G271500 transcript:PAN47416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTSLPLLNSLPPVNWDALDALVLDFARSDRLVVPPHAAPADAADATCPSPPSSPSSSTTTATSSAPSSSSSSSSSYRSRLLILRARRALEAGDVDAALALLRAHAPAALADHRLLFHLHKQRFVELMRRGTEVDREAALDCLRTALAPCALDAYPEAYEEFKHIMLVLIYDKDDQLSPVVNEWSIKRRFELAGLLSSILRAHLQAYDPILSMILRYLISIHKVYCMRQGISSPISDLTERLLFEDRDPPVVPQECSLEAPPFDEVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMKLDLTLLDKLVHEYCIYRGIVEGGSHVLPGTAELECKQNNNVNNETQLECEMANNQNGDCSTSDITRDDSWSRRLRRVRSSTSGQRRRKRWRGRVDDLDYACEALLDANKHDSLSPALDMDEDTVVDQQDLVANSNTSDTRNMEDQKYEVVLEMQDLTRKGMASKVVEEISSIDPEFFPQNPILLFQLKQVEFLKLVASGDHVAALKVASTHLGPLAANNQALLKPLKETLVTLIKPNEDILNGVSLPVLASSLQVAMSRRLGIEEPQLMKIVRAAIHTHTEWFKLQMCKDRFEHFLKIDSLKEVNPPVGSCSMSKGHTDECGNGSSQITTCSSGKVPDEGSSPQVSSEAACDENAILKVMEFLALPRADAIQLLMQYNGNAETVIQQIFQ >PVH31129 pep chromosome:PHallii_v3.1:9:3634632:3635841:-1 gene:PAHAL_9G063000 transcript:PVH31129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSPLALLSFVYARATSGRGAPDAHGVRAQRRRGVGVVLRGGGFRFRASSEEELSVHTMLLLAGGRAGAAPPRDAASRRRPSRRGTSTNSSSAVSVFILLAVISGEGEMITPPSKGR >PVH33059 pep chromosome:PHallii_v3.1:9:68389439:68389815:1 gene:PAHAL_9G557300 transcript:PVH33059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPCTSLISTPMSQVPFTCQLGASTNAGWFAGQPELLQALQLGKKNCRCRNPRPVFFLSPPLNNHRILTR >PAN49814 pep chromosome:PHallii_v3.1:9:62879042:62888595:-1 gene:PAHAL_9G477200 transcript:PAN49814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVQPTSIEHMCRSLPLVTQREKRRRRRRVPKPSSGSSPSRQQRQRPTAANPLSPQQHRAGEAWRQRTEASPMAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGAAGDDGGGEQKNPKAFAFQSATKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPVPLSWRMAHPYLLVDRFEDVTPPENVRLNKKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTGLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKNDDNEAPKKQGKGKDVGVALVQRLQNTRYSLDEKLEKSFINFFGRGAAAQSKDSDADGNVISASQDDQGDANVFEQVDGINTTNADTMDSDGHSGCSSDSEGDNDDDIQQRDRDVELREEVEFCNGRLRRKAVSSKFQDDIDDDVTDEDDSANEDSGDDELSGGSTSSDDSGEAGASDDETENTSKWKESLLATLSRRSASLMQRVYEPSVKLDSVVSKENDDTEANSSDDEFFVPKGQKKQADNELPTYDDIDAEDCSKFFKAKLRDWSNEDLINSIRDRFVTGNWSKAALRGQELNDNGEDDEEIYGDFEDLETGEVHKSQASENAEGNGGAHKEDDLEVEERRRKKLALRSKFDAQYDGSELSGDEADDDKKKSRREQSNGGSYFDKLKEEMEMRKQMNISELNDLDEDTRVEIEGFRTGTYIRLEVHGVPFELVEHFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDQNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQNLSNNQVPFRITATGWVQEFNNTARIVKKIKLTGTPCKIFKKTALIKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDALKRKGENTEGIARCTFEDRILMSDIVFLRAWVNVEIPTYCNLVTTALQPRKETWQGMRTTAELRRAHNIPIPHNKDSAYKPIERKPRKFNPVEIPAKLQQLLPFKSKPKDRPKQKQPTVENRVPVIMERSEKRTYEAIQQLMLLKHEKARKKKIKEQQKKKAYEAEKAKTEQLTKKRQREERRERYREEDKQKKRARR >PAN46602 pep chromosome:PHallii_v3.1:9:12610102:12615068:1 gene:PAHAL_9G196800 transcript:PAN46602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPSLKSLNAFPHAEEHLLKKTYSGAIVTILGLIIMFTLFVHELQFYLTTYTVHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKGHEAHHDHDHGHENHDEQKKHEHTFNEDAEKMVKSVKQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHVIHDLSFGPKYPGIHNPLDETSRILHDTSGTFKYYIKVVPTEYKYLSKKVLPTNQFSVTEYFLPIRPTDRAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSVLR >PAN47528 pep chromosome:PHallii_v3.1:9:32405437:32406923:1 gene:PAHAL_9G299900 transcript:PAN47528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPLDASLRPLDPVSFAGESRAVVDFLAEYYRDVDKYPVRAADLEPGLLRKLLPEVAPENGEPMEDVLEDVRRDILPGLTHCFFAYFPMNASTAGFAGEMLSVGLNVVPFVWAASPAAAELECVVVDWMGKLLGLPQCLLFSGGGGGVLQGSTCEAVVCTLAAARDCALAKLGHENIMKLVVYASDQTHVTFQKGARLVGIPPSNFRVIQTSAASGYGLTAHAVRAAVDRDVARGLVPLYLCATVGTTGLGAVDPVRELGEEARRHGMWLHVDAASASSAAICPEFHGYLEGAELADSVSTNPHKWLLTNTDCCCLWVASPRALTSALSTDPEYLKNVGTNGTGKPSAVDYKDWQISLSRRFRAIKLLVMLRRYGAVGLRAHIHRHVTAAKWFERTVAADERFEVVVPRKFSLVCFRLRARFPEDDAVDDLNRELLAAVNESGQAFMTHFVVDGKFVIRLAVGGAMTEMKHVVDVWELLQGKAEEVLRR >PVH33104 pep chromosome:PHallii_v3.1:9:69182394:69183635:1 gene:PAHAL_9G569700 transcript:PVH33104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLTPLEYRSIFTSISDRPRHLLFLKRSVPIWTRPARAGAKLLPRASASSSLRLPHARVGYPLLASSGPIATLPPLLPPSLSLPRTHRRRRRAIDPIGEEAWPAMGLLRGVALRVAVLAAAVGFAAAGFISNDALLERGHDTTGRSLLQAKKDCPVSFEGANYTIITSRCKGPLYQPSLCCGALKDFACPYSTYINDVTTNCAATMFSYINLYGKYPPGLFANTCHEGDKGLSCPEDTPQVQPGQKASGAAAVAAPAAAVALAAALAVSSIMSC >PAN47085 pep chromosome:PHallii_v3.1:9:15470912:15471961:1 gene:PAHAL_9G233200 transcript:PAN47085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKHLALAILVLLSVGVTSARNLLGYGLGGEGGGGGGGGGSGGGDGTGGLGYGSGYGSGYGEGDGGGAVGGFGHGGGGGGGGGGGGGEGGESGSRYGYGEGHGSGYGSGGGAFGSGGYGSGGGGGGGGGQGGDAGYGYGSGEGYGSGAGGASGGGGGGGGGHGGGGGGGQGGGSGGGSSYGSGGGYGQGGAYGGGYGSGDGGGGGQGGGSGYGSGYGYGYGSGSGGGHY >PVH32908 pep chromosome:PHallii_v3.1:9:66060445:66061750:-1 gene:PAHAL_9G522800 transcript:PVH32908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 26 [Source:Projected from Arabidopsis thaliana (AT4G08250) UniProtKB/Swiss-Prot;Acc:Q9SUF5] MLQDMSPYMKFGHFTANQAILEAVAGDRRVHVVDYDIAEGVQWASLMQAMISRPDSVPPPHLRITAVSRGGGGGARAVQEAGRRLAAFAASIGQPFSFGQCRLDSDERFRPATVRMVKGETLIANCVLNQAAATTTVRRPTGSVASFLAGMATLGAKVVTVVEEDQGEPEKDEEEAGGFVARFMEELHRYSAVWDSLEAGFPTQSRVRGLVERAILAPNIAGAVSRAYCSVDGDDEARAGWGEWMRGNGFRAVPLSCFNHSQARLLLGLFNDGYTMEETSPNKIVLGWKARRLLSASVWAPPPLSVPSSPAEGAFQPVGIVPASGGVGRMEFDYIDSFLVEPAYALV >PAN50718 pep chromosome:PHallii_v3.1:9:67275981:67278080:1 gene:PAHAL_9G540800 transcript:PAN50718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase (EC 1.11.1.7) [Source: Projected from Oryza sativa (Os03g0234900)] MPSGRSMAPPSPRGMLPSSRRLVAVLLLAAAAALGFGVRAGAAQLCAEYYDRTCPGVHRVVRRVLKKAHEADARIYASLTRLHFHDCFVQGCDGSILLDNSSSIVSEKFATPNNNSARGYPVVDAVKAALEKACPGVVSCADILAIAAKISVELSGGPRWRVPLGRRDGTTANITAANNLPSPFDNLTALQHKFGAVGLDATTDLVALSGAHTFGRVQCQFVAPRLYNFSGTGRPDPALDGGYRALLSLRCPRGGDGSALGDLDPATPDAFDSSYYANLGARRGTLQSDQELLSAPGAPTAAIVGRFAGSQKAFFRSFARSMVSMGNIEVLTGSQGEVRRNCRVVNGS >PAN46005 pep chromosome:PHallii_v3.1:9:9702109:9704269:-1 gene:PAHAL_9G157000 transcript:PAN46005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDKLSYEIFSLLESKFLFGAANGCLSSGPGTPAKAIFDGGRVRVLAIDGCGAGAEDALLAAAALARLEAKLREQAEDPDARVADFFDVAAGSAAGGVLAVMLFLRGPDGRPRYSAQEVLAFVAGSVGKKDWGSRRGRWAKVFRGSRKGDATFQRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVESDTFDFRLRDVCAATCASGGAVASVRSVDGLTAIAAASGGAAAMGNPAAAAITHVLHNKQEFPLATGVEDILVLSIGTGASASVSCGSNAPMPTRTPSPRDLARVTAEGVADMVDESVAMAFGPACGSNYVRIQGGKGPAPLHAETVAAAAGAMLAQRNVESVLFRGRRLSERTNAEKVDALAAELVKEQERRRRSPLPNVAIKQLATPRLSSATTASSGTTTARTASSMPSPASWDSRR >PAN51606 pep chromosome:PHallii_v3.1:9:71374424:71380856:-1 gene:PAHAL_9G607000 transcript:PAN51606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAAAAAPSALPSSVFPKDSRPLPCLLLVSLLLLLLHLLSSSSSPAASPPPPPPPRLAPLPVTAAAVASAGPAPPALAFLLAGSAGDADRLLRLLLATYHPRNTYLLLLDRAASDADRARLARNARAAPGRDNVHVVGDPGFANPRGASALAATLHGAALLLRVGQGWDWFVHLDAADYPLVTPDDLLHVLSYLPKDLNFIQHTSYIGWKEARQIRPIIVDPGLYLSSRNDIFYATQKRNLPNAYKLFTGSSSVILSRKFIEYCIVGTDNLPRTLLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSTWDTSSKNDPGLLTMADVENMTKSGAAFGTRFPKDDPVLDHIDAEILHRLPGEFVTGGWCIGVGDDSPCDVPGNLDVLRPGSAAAKLAKFLAERLSYRSFYSQQCIWD >PVH31511 pep chromosome:PHallii_v3.1:9:10284199:10285066:-1 gene:PAHAL_9G165100 transcript:PVH31511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVKHPTKLAVCPKSGAGFPCPRRPRLGGLLVGDAAERDSADAEEMVAGESGIVVGRSQARSALLRSWRVQGRGHQLSWNASRSCHRTAHCRRNPGNLPKEPEASVSLGTGG >PAN51718 pep chromosome:PHallii_v3.1:9:71894229:71899330:-1 gene:PAHAL_9G615200 transcript:PAN51718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMLAARLYSTAIPLPQSPSPPSRFHLRPSPAAGSRSLAPRAAASTTAVATKPAAAAPLSADRTVVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDTVSEYGQVNDDLVVVHDALDFGQCHLSLAVPKGGIFENIHSVEDMAKMPEWTEEKPLRVVTGFGYLGAKFLKENGFKHVRLLSADGALESFPPMGMADAIVDLVSSGTTLRENNLKEIEGGVVLESQATLVASRRSLHKRKGVLEITHELLERLEAHLRASTELMVTANMRGNSAEDVAERVLSLTSICGLQGPTISPVYCRCDGKVAVEYYAMNAVVPQKLLYKAIQQLRSIGGSGVLVMKLTYIFDEETPRWRNLLTELGL >PVH31837 pep chromosome:PHallii_v3.1:9:16625210:16627763:-1 gene:PAHAL_9G244500 transcript:PVH31837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTASAALLFLAHCLLLLMGSEAGGVFDHGRHRVSLSRVDEARAPSRCGGGAPAQPGAGTLPPKPLLVAAPHETGEYPVLVFLHGYLVVNSFYSQLFQHIASHGYIIVAPQLYTISGPDTTEEINAAAAVIGWLPSGLSSALPPGVRAAVTKVSVSGHSRGGKVAFALALGHAKLALPLAALVAVDPVDGMGVGRQTPPPILTGRSRSLRVSAPAMVIGSGLGELPRGPLLPPCAPRGVSHAAFYAELDRAAAPGACHLVAADYGHTDMMDDDTPGARGMLTRAICRSGGARAPMRRFVGGAAVAFLDKWVRGDGAAMNGIRARPEQAPVALSVVEFLDEEAVAPTE >PAN45184 pep chromosome:PHallii_v3.1:9:5771750:5772926:-1 gene:PAHAL_9G099900 transcript:PAN45184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKLLSLTCILLLLLSGLVVFGAAAAPEPCPVVCVQGGYITCDNYPYQKLDGCACECAPKDGVNCVLHLLVTGDSFNCSAAKA >PAN51908 pep chromosome:PHallii_v3.1:9:70998090:70998581:1 gene:PAHAL_9G600100 transcript:PAN51908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYSASSIISYESESSREPTPEYDPIAAYEVCAPLHWDAEEWDFRYQSEDDEPLTDGEDLAFFLGAELEEDEDDASWGEDLSSSEERADSFSSEEDPMAGTFLFGRSSDETSDSTEGAEDDDGFTSNGSGDGVDGSSDSSSSGTSIAPPSKRRKTSGVYWW >PVH32337 pep chromosome:PHallii_v3.1:9:54396301:54399398:1 gene:PAHAL_9G374200 transcript:PVH32337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDHESPFKELRLKNRRIMGGGGPEPEEEAASAAYRDQWPRWLQPLLSARFFAHCQTHSDSHRSGECNMFCLDCSAAAGTGALCSLCLAHAHRDHHTIQIRRSSYHDVIRVSDIQRFMDIAGVQTYVINSARVVFLNERPQQQKPGCGGKAASASANLCEVCARSLLDNFRFCSLGCKVIGCSPDAAKARNWLLRAAAAASDGSASSSALRNADRKQSFTPPTPPSMPAKRRKGIPHRAPFGSLIVEY >PVH31058 pep chromosome:PHallii_v3.1:9:2689849:2692464:1 gene:PAHAL_9G048000 transcript:PVH31058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSNDIGGECLSVTLTRETEDVKVDIDFGKEWRANKIYCRQFVLTLPGTISFENWHPRSRWVPRANHREREKNHKKGRF >PAN44833 pep chromosome:PHallii_v3.1:9:4243371:4243839:-1 gene:PAHAL_9G073500 transcript:PAN44833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSPDRQVQLRTVVEFQVTKGIIIKVWAHTVCTIKLCS >PVH32743 pep chromosome:PHallii_v3.1:9:62864341:62868631:-1 gene:PAHAL_9G477000 transcript:PVH32743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNKATHHSRRIATKIGEEDWPSAGPSHNSLPQRRRLHFPLATPISPTPTPNPVSDPSRACRLGFQPPAVRGGEVVAMADDEQAERKEEVAELAPFDPTKKKKKKKVVIQDPSDEVDKLADKTESLAVSESSEPSFAGMKKKKKKHVELDPSLAEVGDGDDAGDDQVGEDEEGEGIVLGGTAATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGA >PVH33065 pep chromosome:PHallii_v3.1:9:68424260:68434020:1 gene:PAHAL_9G558200 transcript:PVH33065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASAGVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKEIWANLVRDALEHEEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDMTAEPPRKDCGELLLNKSFLDTCSSAYGVVPHTQDNQGQPFVSKHFNVIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLIAELNLFFTNTWIRHGSGSRPDVPTPSLVDVQPLKVVPSVVSNSYKSVTAFKKKVENPKLLANQYNLRADQDNLTEVGHSYPYPSSQSIQKSELHCRNLPRTVNPSVSHAQHQKVYSAQGNAEVSEHLERNNSAGLMQGERDKRVPNGLNVDDRSGQNGPRFARSRSSPELTDSSVEGFRGRAINVVGMEPLKVDYSSRRNIVVPEVSSNHSTKSSQDESMSSLNSSHPSAKAVSDSHSVSSSYREDNGFVMNEELPSVSESSNMRHDEQVLVNLMESMKLHGFNGQIQLPMPIPSNLSAAHSNILSPTVFSQKHLAGVPPTNLIGAPWLPNMQFLRGFVQPPTQCIHNPNFAPNVEDGIESEKPIASDASHDAGNTWHEYGVRYSRQFDPEARDPCIYDIDGKECSSLRNCVHGAPLERQTEFAIENNGVIDETYTSMFQHQTSREANADCSRSSGYVNVPFSHASSSTGKDLDACSWDEGTINTRRSLRDKWGKRPAFVAPGITTHSNTSWQMGNATKHLPTEVDDAPRNMTVVPIINEASEIVAVPDSFSTQSRTSQVPNDFDASQTGMPNPLFAPFLIGSPQQRQADSSGLTFVPTGPPVPFVVLPYVPRNGDGSDPQFERSEGMDQLPANIAGQHFSLLNDVHQPDPSATSSASCSTITEPSGEHKPDILNSDFISHWHNLQYGRLCQNARPLGPVLYPFPVPQMYLQGHAAWDGPGRPPAANVNWTQMVAPSQRVFPVMPLQPATERGTGVLQHYGEDAPRYRGGTGTYLPNPKVPSRDRQSNSRNYRGGYNGDRSDYSDKEGSWINSKQRNPNRSYGRSQSERSGMRSDRQANDESQPDRPRRTYRNDSYRHEASSQYLVQGQSFGSTSSMRRQGNTVHGVYTPESRASNGPSALPGPPGPPFFMVYSYEPGANHGAPLSEPIEFGSLGPLPAADGDDIPRSAHQVMQNGFYGPRHGPYRGGSSHSSPDQPSSPQPRR >PVH31672 pep chromosome:PHallii_v3.1:9:13303793:13307073:-1 gene:PAHAL_9G207200 transcript:PVH31672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLSKIGSSDSGAAVSGAAAAGGASAAAGAAAALGGGVPESVTVACPDHLVIADLPVAKSLGAVTTSAAAAARAIGRRSRRPLGERVHICSRCEFPIAIYGRLIPCEHAFCLTCARSDSSCYLCDERIQKIQSVKMMEGIFICAAPMCLKSFLKKADFESHVPEAHANLLQTNIEKEERNESDAPNISRASAGDTQRQSQMPEMSTARAPPRPGVSPTSTSHMQDREERSRYHQSREQTPLRPPMLSKPPSFHGRHSYPPGDAQAENNPPQGFDRPYSWASQSRQESPGAATPLRQESDHSTQDKQQLMANAPFMFPPIPPHQANFMMPMNMNQPMIPNAPFNYPLQQDGNPQYFAAPFQMQLPDTGSDQGSMSGIQPPGGPMSFPEGLQRPWAMGLMGNPFQSMALGQGMADGAGDPQGGGGMAFMQASFGGIPDGSMNPGMSDRGDGRGVLAQMPMPVQMQMSLPPPPPTQPPSGSQQSFNRS >PVH32061 pep chromosome:PHallii_v3.1:9:33764543:33764905:1 gene:PAHAL_9G304100 transcript:PVH32061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRSTGTGSGQQGQNNQSTDQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQQIHAQPPPPPPPQPRDRRADFLRGHLPTFCHAADPLQADDWLRQVERQLDVAQCDDRERVIYAAG >PAN45803 pep chromosome:PHallii_v3.1:9:8801773:8803509:-1 gene:PAHAL_9G143500 transcript:PAN45803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHYGSLSPVVLGEEPLVGGVPCDPMALAQEISGFCTNDDVPNGHCCMAVVSGIDRGSNPPCFCNVIQQDVMHKSGIYNC >PAN46719 pep chromosome:PHallii_v3.1:9:13571129:13573541:-1 gene:PAHAL_9G209900 transcript:PAN46719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQACAAGSELELARARCGALHGRLAASPDLPRQPALRSLLRLVTAELGFLASAHPDPAAPLSSNLPHLAALHLLLTHPAVRSPSRLSPLPGVDFACAFRGRPAWALLSASNPARLAWVPRGGLRARVASVLDAARGAPPATRPEKLLLVFSRGVGADIALGLAVGFGAVETDMLAEFVGVSEDEDDEGWIAVSFNTGEEMRSFRAFEIDIVEGAAEVLLPPEATVAEGSSDEEVPLGFEGAFGAFVGKMWRESRELMNLDTTALVAIVSGISNGGVGKLMAAPKEVTRARFKCNYKFVMDQAHSELQLPILLELGKAVEGKQCIICGTVNSEFKEIVSMCGGPEEKTRARHLLKQLTIIPDSPSARMMDLPTTRKLAMKNKVVFGTGDHWRAPTLTANMGFVRAVSQSGMPLLTIEHRPRALIGL >PAN46720 pep chromosome:PHallii_v3.1:9:13570908:13573618:-1 gene:PAHAL_9G209900 transcript:PAN46720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQACAAGSELELARARCGALHGRLAASPDLPRQPALRSLLRLVTAELGFLASAHPDPAAPLSSNLPHLAALHLLLTHPAVRSPSRLSPLPGVDFACAFRGRPAWALLSASNPARLAWVPRGGLRARVASVLDAARGAPPATRPEKLLLVFSRGVGADIALGLAVGFGAVETDMLAEFVGVSEDEDDEGWIAVSFNTGEEMRSFRAFEIDIVEGAAEVLLPPEATVAEGSSDEEVPLGFEGAFGAFVGKMWRESRELMNLDTTALVAIVSGISNGGVGKLMAAPKEVTRARFKCNYKFVMDQLPILLELGKAVEGKQCIICGTVNSEFKEIVSMCGGPEEKTRARHLLKQLTIIPDSPSARMMDLPTTRKLAMKNKVVFGTGDHWRAPTLTANMGFVRAVSQSGMPLLTIEHRPRALIGL >PVH31070 pep chromosome:PHallii_v3.1:9:2853569:2854326:-1 gene:PAHAL_9G050800 transcript:PVH31070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWVALADRLAGSILRSGFPWGPSSAQQNRGALKKLNIPRPRPRREHRPLPSNLLAVHSTGVCPVRRPAAHPTASAPIASRIPPPRHATGSPGNADPIAAFLAASAPPREPTRRGLAFFTDLALATVLGGRRGVRRAAPSEGATISRTVPGARSGSTSGIDRQLIVSHLGALDLVAG >PAN48458 pep chromosome:PHallii_v3.1:9:55387080:55388726:-1 gene:PAHAL_9G383000 transcript:PAN48458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRVAPNHGAGSRCPPPWKLYHNPHYSPRGIIHLSSSPRPPHQTENLDSPMIVVPEDGGSEEAVELDQGYGAMSSELELCVARIRGLRAELEFERRMRRKAEALSEALAAELAEERRRGEAAEAECRALREEAGAAREEAERALEGVEEERRMLRVAELWREERVQMKLADARAAVEEKLREIDDAVAVLHTTTSASDNHKSSCSPNGKASPTSQHGQQSPSRSQHGQESPSRSQLHRREAGGGENPHIRRGIKGFVEFPKAVRVRPREERADLVSNLECQRAQLRVLMRHRNPAAGMELVGASENLVV >PAN49543 pep chromosome:PHallii_v3.1:9:61499752:61502179:1 gene:PAHAL_9G455500 transcript:PAN49543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQKRMCVYSLKGSKGPVFPLRSTLVFFIALFGFYVCYFSFNQLTFENEEKLTSEEEQTKNICRKPAVPHEQRRYLHFPKPTTYDRGECACTPVRFFVIVSMQRSGSGWFETLLNSHPNVSSNGEIFSVRERRENISTILQTLDKLYSMDWLTSAAKNECTAAFGLKWMLNQGLMEHHQDIVDYLIRKGAMVILLFRRNTLRRLISVLANNYDRRTKQLNGIHKSHVHSKEEAEILARFKPKMDVSTLIPSIRNAEHSMRTCLGRFRKTHHMILYYEDVIRDKNELSRVQEFLGAPVTKLSSQHVKIHTRPLPDLVDNWEDVSEMLNGTKYAQFLDDADYVK >PAN48691 pep chromosome:PHallii_v3.1:9:56909037:56911028:-1 gene:PAHAL_9G399000 transcript:PAN48691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLALAAMAAALLLGVASAQGVGSIITQDVYNAMLPNRDNSICPANGFYTYDAFIQAASAFPGFGTGGSDEANKRELAAFFGQTSHETNGGAAGQYTWGYCYKEEINKGTSPPYYGRGPIQLTGQANYQQAGDAIGEDLVGNPDLVSTNAVISFKTAIWFWMTAQPPKPSCHDVILGNWAPSDADAAAGRVPGYGAITNIINGGFECGVGPNDANVNRIGYYQRYCDMFGVGYGDNLDCYSQQHF >PVH32992 pep chromosome:PHallii_v3.1:9:67420667:67421248:-1 gene:PAHAL_9G543300 transcript:PVH32992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASCFPFGAPAASGSRRLWSYAESSSLGPSCSAAEAVDLPAGPRRSRRIAWEKAVRGYPSPWAAAHYS >PAN44472 pep chromosome:PHallii_v3.1:9:2520823:2523591:1 gene:PAHAL_9G045700 transcript:PAN44472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPALLLLAALALALVLCPSPALSDAHGGGFYDPARVTQLSWRPRAFLYSGFLSDSECDHLVNLAKGNMEKSMVADNNSGKSVMSQVRTSSGTFLAKHEDETVSAVEKRVAAWTFLPEENAESIQVLRYEIGQKYDAHFDYFHDKNNLKRGGHRIATVLMYLTDVKKGGETVFPNAEGGHLQYKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDTSSLHGSCPVIEGEKWSATKWIHVRSFDNPPDVRADAPCSDDNELCPKWAAIGECYKNPTYMVGTQDTLGFCRKSCGLCDA >PAN46347 pep chromosome:PHallii_v3.1:9:11285862:11289835:1 gene:PAHAL_9G178800 transcript:PAN46347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAAERDDDRRLEVADVESGLDAASLPSSAVDRQDSLFREAVRGGHHAGAAGHSEQDSSWRTLRLAFQCVGILYGDVGTSPLYVYSTTFDHGVGHPDDVLGVLSLIIYSFMLFTVVKIVFVALHANDDGDGGTFALYSLISRYAKVSLIPNHQAEDELVSSYSKHGKPSATLRRANWLKHLLETSKSAKISLFLLTILAIAMVISDAVLTPPISVLSAVSGLKEKVPDLTTDQIVWITVAILVVLFAIQRFGTDKVGYSFAPIILLWLLLIGGVGLYNLIKYDVGVLRSFNPKYIIDYFRRNRKEGWVTLGDILLVFTGTEALFANLGYFSIRSIQLSFSFGLLPSVLLTYIGQAAYLRKHPEHFADIFYRSVPSTLFWPTFILAIAASIIGSQAMISCAFATVSHLQTLSCFPRVRILHTSKRFHGQLYVPEVNLLLCVAACLVTVSFKTTTIIGKAHEICVILVMIITTLLMTIVMLLVWRISIWWIALFFFIYIPIESIYLSSVLYKFTHGPYIPVAMSAVLMMVMIVWHYVHAKRYKYELKHTLSPKRAQELLEHHDLKRVSGVGLFYTELVQGIPPIFPHLIEKIPTIHSVLVFVSIKHLHVPHVDASERFLFRQVEPKEYKVFRCVARYGYRDSLDEEAEGFVHALVESLQYYIRDVSLYSADEMQNVSYPISRDQSLSREKPSGRHAVYAEEMITPIQSFSELTTLSNGLSNRLPQFQASKMNIAELAKIEEEQKFIQRETEKGVVYILGETEVVARPQSSLLKKIVVNYIYSFLRKNFVQGEKMLSIPHGKLLKVGISYEI >PAN47495 pep chromosome:PHallii_v3.1:9:31716056:31717036:1 gene:PAHAL_9G296200 transcript:PAN47495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWAPAPARERPRRRAGQPSFSSTLLDAICDSLDDQPGGPGTTAAAARSAGSAERKQEAPLHCYYYKPSLAASHRAAPAPADDCSGRGYFSSSEVEYSLRRLRPIRTSGGVGGASVAPGEKPPPQQQQQPAAADKARGARKPSAASARGACRRPASPGARLASLINAIFSGKRHSARQHPAPADEDPACSTAPSTARPCLAKTPPSAGARVRPSRSRGRSRTVRFLDIDGEVAVAAAASGCRRIPVVEVEEDSDGGEQSSDASSDLFELENLAAIAPGSSGTRCRRACGAELPVYGTTGAGLRHDIGRRRPFGYGTHGRSSSRVV >PAN45442 pep chromosome:PHallii_v3.1:9:7053441:7056459:1 gene:PAHAL_9G119500 transcript:PAN45442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSADAVTEPAKPVFLTKAERERLALERRQAAVSDQRRTALDLLQSLPRPPPPPPPGPPPSGSSSAPRDSSNSHRESSDRDRDRDRDRERRRDDDSRRDRDRDRERDRDDSSRRDRDRDRDRRDRDRDRDRERERERDRDRERGDRGDRERDRLEKMAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNTLYQSPHEARLLFGRGFLAGIDRREQKKAAAAHEKETRAELRRKAGVEDRPEDDVVDKKKAAAAEMYDAFDMRVDRHWSEKALEEMTERDWRIFREDFNISYKGSRIPRPMRKWSESKLGTELLRAIDKAGYEKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKEQEKMSRLQKILMDLGDKTAIVFCNTKKTADMRAKDLDKAGFRVTTLHGGKSQDQREISLDGFRNRRFNVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGVATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFANH >PAN50955 pep chromosome:PHallii_v3.1:9:68543070:68548976:1 gene:PAHAL_9G560200 transcript:PAN50955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNAPVVDPYQGEFPETIEEYLHHGTMKCIAFNRTGTLLAAGCSNGSCVIWDFETRGLAREFRDKDCTAPITSVSWSRYGHRLLASATDKSLTIWDVPTGEKIARITLQQTPLRASLQPGSPTPSICLACPLSSAPLLVDLNTGSTTVLPVSVSENGNPPAPNPRNKFADGTPPFTPTAATFDKHGDLIYVGNSKGEILIVDSKTIQVHAVIPIPGGTVVKDIVLSRDGQYLLTNSNDRVIRVYKNILPVKGSGEEIRNISSNSNGYGNHYDKLKANGASCLILSCELSDAITKIQWKTPCFSGNGEWIVGASANKGEHRLQIWDQTGRLVKILDGPKEALIDLAWHPVEPTIATVSVTGFVYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNPREEEAEEVVIDENAEIDIETYEKNAVFSDVEDSVDEVVFLPAIPSPDAPDELPEKCLGSSSKLEDSNHSGSPSSMDAVQNGQAVPQASSPMEVDNSTAEDPAEGPNSKRKRRLSVKGLELQQSEKGKKPATKNKSNGKSTKSSAKQMESANGNSSAFDDEATEDDEVNIDS >PAN46954 pep chromosome:PHallii_v3.1:9:14870751:14878479:-1 gene:PAHAL_9G226600 transcript:PAN46954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGEGEGGGGDDYTRDGSVDLRGNPARRSKRGGWTACTFIVVYELFERMAYYGVASNLVMYLTERLHQGTVEAANNVTNWSGTVFLTPLLGAFVADAYLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPPCDASAGATCPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPAERLRKLSFFNWWMFTVFTGILFSTTVLVYLQDSVSWSWGYGVPTLALAASVAIFLAGTPLYRHKLPQGSPITRMGRVVAASVWKCRVAVPGDLGELHEVEPEYYTSRKRFRVDATSSMRFLNKAAVKAEDAPGWALCTVTQVEETKQIAKLVPLLATMFVPCALMAQVGTLFVRQGVTLDRRLGRGASFQVPPASLGAFVTLTMLACVAVYDRALVPAARRRTKNPRGITLLQRIGAGLLLQVVTMAATAAVESRRLSFARSHAAAAGGGRPLPLTIFVLLPQFVLMGAADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGVGSMLSSAVLALVERVTAGRGAPWVANDLNASRLDCYYAFLAVLAAANLAAFVVLSCRYSYRAESTEAIAVTARVHSEPAAPVAE >PAN48732 pep chromosome:PHallii_v3.1:9:57084551:57085212:1 gene:PAHAL_9G401600 transcript:PAN48732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >PAN45179 pep chromosome:PHallii_v3.1:9:5758604:5759406:-1 gene:PAHAL_9G099500 transcript:PAN45179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKLSVTFLLLLSGLAVFGGTAAAAAKACGVQCFQGGYITCDNYPGKQLEGCACECAPRNGKNCVLHLLSSGTTSNCTVAHQA >PAN48629 pep chromosome:PHallii_v3.1:9:56690593:56703786:-1 gene:PAHAL_9G396300 transcript:PAN48629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene epsilon-monooxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53130) UniProtKB/Swiss-Prot;Acc:Q6TBX7] MPTTAFAPASASPPPWSTRPPPHRARARVRLPPPRSRSSSDGGGDDKPTTATPWASPDWLTSLSRAVGRGGADDSGIPVASAKLDDVQDLLGGALFLPLFKWFREEGPVYRLAAGPRDFVIVSDPAIAKHVLRGYGSRYEKGLVAEVSEFLFGSGFATAEGALWTVRRRAVVPSLHKRFLSIMVEKVFCKCAERLIEKLEPYASSGEPVNMEARFSQLTLDVIGLSLFNYNFDSLTTDSPVIDAVYTALKEAELRSTDLLPYWKIDFLCKIIPRQIKAENAVKIIRNTVEELIVKCKEIVEAENEQIEGEEYVNEGDPSILRFLLASRDEVSSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPTALRRAQDEVDCVLQGRLPRYEDVKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKVKAGQDIMISVYNIHRSPEVWDRADEFVPERFDLEGPIPNESNTDFRFVPFSGGPRKCVGDQFALLEAIVALAIVLQKMDIQLVPDQKINMTTGATIHTTNGLYMNVSMRKVQQEAELALSESK >PAN51011 pep chromosome:PHallii_v3.1:9:68783310:68786105:1 gene:PAHAL_9G563900 transcript:PAN51011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISTGKLILILLIVLFEGFLVTSVKAGGYSSEKTSGKAGGDSSEKTSEKAAGDASEQTSEKAADGYASKKSSVKVPYDYSANIECVKEPEKPLYGGGIISAASAGGKKLAAPIKGSVLKVDLKKDHHYALSAWLKLSKGAGDITAIIVPPDGKFITAGAIVAQSDCWTLLKGGATAYSEGKGDVFFETNSTAEIMAESIALQGFSFEEWNAHREEVVAKERKKKVKITVESGGKPLPDAELSVEWVAKGFPLGNAMTKEILDMPEYEEWFAKRFKWATMENAMKWYSTEFHEGHEEYEVADKMLALAEKHNISVRGHNVFWDDESHQMDWVSKLGTDKLKEAVAKRLKSVVTHYAGKVIHWDVVNENLHFKFFEEKLGMDASGEIFKEVAKLDPKPILFMNEYNTIEQPCDLAPLPTKYIAKLKQIQAYPGNKDLKYGIGLESHFDKPNIPYMRGSLDTLAAAGVPVWLTEVDVTKGPKQVEFLEEVMREGFGHPGVKGIVMWAAWHAKGCYVMCLTDNNFKNLPVGDVVDKLLDEWRKVPEKPTTDAKGVFEAELFHGEYKVTVKHKSLKEPIVQTVDLDSTKSAATISC >PAN47392 pep chromosome:PHallii_v3.1:9:20541995:20543515:-1 gene:PAHAL_9G263400 transcript:PAN47392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLDAVVYPQPQGHFGYGHRDASYGLSWSSDATGFGEWDPFLLASLVQNAEEWGEELGSKKASLEHDAAPSAVEWPSPVTTTKRKRRRPKVVKNEKEVESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQSLEVQRSLKEHNPNLSNPFTGFFRFPQYSATSACHCHGGAGKHTNKEEMPDRSPQSPSVAADIEASMVEGHASVKVQAPRRPRQLLRLADGLQQLGLTTLHLNVSTAGTTVMYSFSLKVEDECRLSSVEEIAAAVHEILGGVQEGAGFS >PAN44007 pep chromosome:PHallii_v3.1:9:664508:667861:-1 gene:PAHAL_9G011200 transcript:PAN44007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGDVSSISPLVSFVLGAAMATVCVLFFMSASPTRRLADISAFSSGNATNDLRLASSDNAVADGNGEVTAPAPAPVEAPSPWGDLEEVLRRAATKDRTVIMTQINAAWTRPGSLLDLFFESFRTGEGGVARLLDHLVIVTMDPAAYQGCQAVHRHCYFLRTSNGVDYRSEKMFMSKDYLEMMWGRNRFQQTVLELGYNFLFTDVDVMWFRDPFRHISMAADIAISSDVYMGDPYSLRNFPNGGFLFVRSSAKTIDFYRAWQQGRWRFFGKHEQDVFNLIKHDMAAKLDLAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKLHDLRGVLDVWRNYTAGTTEERRAGKFQWKLPGICIH >PVH31261 pep chromosome:PHallii_v3.1:9:5622977:5623624:-1 gene:PAHAL_9G097800 transcript:PVH31261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWAESRRAVGSCGYASRWREPRRGGVSGGTEGGAPRTRGRASGSTEGGASRMRGKSGVAEGAAVRRAASRGGVVGEGSGLPLRPRHSSEGGVGAGVGSWGRGQGCGGGGVARGWGWAWASALGGGRETRAGETGGGRARRRARRRPRSRVARAGGERREGVGEGLSKPNDGTRDPAERTSDQRVCHCRAARRTIVRPKIAAGVTNDPGGDGRPR >PVH31693 pep chromosome:PHallii_v3.1:9:13851178:13851432:-1 gene:PAHAL_9G213900 transcript:PVH31693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFDEIIWGLLLKSAHPENSAFTYPVMRDDRLVQMAQKGEAEVEDEGSKWIRTDS >PAN50717 pep chromosome:PHallii_v3.1:9:67273618:67275355:1 gene:PAHAL_9G540700 transcript:PAN50717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSCRETNALVAALLVAALCLGAAAVARGQLTDDFYDDCCPQVEDIVKARVFAAMKAEARMGASLLRLHFHDCFVNGCDGSILLDGSNSEKLAAPNLNSARGYEVVDAIKADLEKACPGVVSCADVLALAAKYGVLLSGGPDYDVLLGRRDGLVANQSGANSNLPAPSFSIGTIVQMFKDMGLNTTDVVVLSGAHTIGRARCALFSNRLSNFSAASSVDPTLDASLASSLQSLCRGGDGNQTAALDAGSADAFDNHYFQNLLNQKGLLSSDQGLFSGADADANATKALVRAYSADPERFLCDFGRSMVKMGNIRPLTGSAGQIRRNCRAVN >PVH31828 pep chromosome:PHallii_v3.1:9:16514746:16516506:-1 gene:PAHAL_9G243300 transcript:PVH31828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAGVSVVEGGGSPPQYASATTAVIYSGYHLLVVNGYSRIKDRPNGERIASRRFRVGGYRWVIECCPNGYEPDHDGDIFFYLVLDQGNVLDPVVVQYEFSFVVDKAQNSDSSSLTGAKETCTFCSRSVAFACSFPCSTKKKIFEKSKYIKNDSFTLRCDIIINKVVSITDADAAVPANSVLLDIAGLFQSGLVGADVTFQVGSAKFAAHRCVLAARSAVFKAQLFGPMKEGTTTSVIHVSDMEEQVFKLLLYFIYSNSVPEMETEEGDVMWQHLLVAADRYDLPRLRLICEKELCDNCISTSTVANILALAEQHRCRGLKQACLDFLNSPANLQEVMVVDGLDHLISSWPSVLKELIAKLASLNFDVDTGDYGESAPPLLEVPESDLHQHLSSLLQSEERTDVTFEVGGETFSAHKRVLAARSAVFRADLFGPIKDTNTDGVISIHDMESKVFKLLLTFIYDDSWPHMKEEKTEDNADADVMWQQLLVAADRYGLERLKLMCETMLCRYINATTVAAILALAEEHHCRELKEDCLDFLDSPAHLQDVMAAGGLEQLRSSCPSVLIDRIAKLASLKYDN >PAN51565 pep chromosome:PHallii_v3.1:9:71203939:71207996:1 gene:PAHAL_9G603700 transcript:PAN51565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVDALTGFRVDGRRPNEMRQLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPHSQIDIFLQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYVEDSAGGPDVTVGILAKMDKVTLLQMDAKLPMDTFENVMGLAIEGCKAIATYIREVLLENTKRLECQRG >PVH32292 pep chromosome:PHallii_v3.1:9:53016742:53017736:1 gene:PAHAL_9G362400 transcript:PVH32292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIKEFFRKKGLSFYLFGLLNTKQIQEMREFKIATRKTNPIHNDVPENTTFLLFDQPSGEANTRGTLITKTEEVAINAKTANWKAIVIFKILQTTFK >PAN44542 pep chromosome:PHallii_v3.1:9:2921665:2924706:1 gene:PAHAL_9G051900 transcript:PAN44542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPLVSVKVLEGDMATDSAGAPLPDVLRAPIRPDIVRFVHKLLSCNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNIHLRRVAIASALAATAVPSLVLARGHRVESVPELPLVVSDSAESIEKTAQAIKILKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGTFETPSAKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKVVKRREPRKNPLKNMAAVLKLNPYLGTARKMAALAEAARVKARKEKLDSKRTKLSPEEASKVKAAGKAWYKTMVSDSDYTEFENFSKWLGVTQ >PVH31462 pep chromosome:PHallii_v3.1:9:9267301:9268119:-1 gene:PAHAL_9G150900 transcript:PVH31462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLLADDVVLVDESRAEVNMKLELWRHTLESREFRLSRTETEYMMCDFSPTRHEDGDVSLEGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASCILCDKKVPQRLKGKRDRVRNEEIRDRVGVAPIEEKVIQHRLRWFGHVQWRPPEAPVRSRVLMRGDNVKRGRGRPRLTWDETVKRDLKEWNIAKELAMDRSAWRLAINVPEP >PVH31072 pep chromosome:PHallii_v3.1:9:2909989:2910570:1 gene:PAHAL_9G051700 transcript:PVH31072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACCSWCPRPRRSRRGSRAGGRRCRLVRGCGMLAEVRCRAGVRALGAVRSRTGQPAGSARPPRDQRKPTPVAPRESKGVGGGASCGGGALGRWLAAARRRGRKHGRTGWARGGTGGVWRA >PVH31647 pep chromosome:PHallii_v3.1:9:12759554:12760049:1 gene:PAHAL_9G200200 transcript:PVH31647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNCLAEIQVTNWQIFSGLLSFPFLSSSRPLDCMTRKLLWIAWRCRAVQVFFWSLNPSYRLPCFIFGLLN >PAN47705 pep chromosome:PHallii_v3.1:9:40891720:40895721:1 gene:PAHAL_9G324600 transcript:PAN47705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVSSLTLLILLLIAIAIPPATSATPPASNTSGDILLSFLAALSPASQRLLLPSWNTSASDGRGGNSTAGPHCAFLGVTCSSAGDVAALNLSSVGLSGELAASAPHLCSLPELAALDLSGNNFTGSVPPALAACSALSALHLGCNGLSGALPPELLSSRQLQHIDLSSNALAGEIPAPSAGGLSPLQYLDLSNNSFSGAIPPELAALPELSLLDLSTNKLTGPIPDFPAHCVLKFLNFDSNQIAGELPRSLGNCGNLTKLYLSNNKISGSLPDFFASMPGLQKLFLSNNSFTGELPASIGELVDLERLMVSTNEFAGPVPESIWKCKSLTMLWMHSNRFTGSIPAAIGNLVSLQWFTLKDNFITGTIPPEIGECQELTHLELHNNSLSGIIQPEITKLTKLQVLSLFGNRLHGQVPAALWRMPYMEELALSYNNLTGEVPAEITLMRNLRELILASNNFTGEIPQALGLNTTQGLVRVDLTGNRFHGAIPPGLCTGGQLAVLDLGHNQLTGAIPSEILKCQSLWRVRLGNNLFGGSLLHSDFGTNTGWSFVELSGNLFEGRIPSVFGSWRNLTVLDLSSNKFSGPIPRELGALSILGNLNLSSNMLSGPIPHELGNCKRLVRLDLQNNLLNGSIPSEIIALDSLQNLLLSGNKLSGKIPDSFTAAQGLLELQLGGNYLEGPIPDSLGKLQSISKIVNISSNRLSNEIPSSLGNLQMLEMLDLSKNCLSGPIPSQLGSMAALTFVNVSFNDLSGQLPDGWVKFAERSLEGFLGNPQLCVQSEKAPCSKIQSGKRTRKNIRIIVALLVSALAVMASGLCAIHYMVKRSRRLLANCVSVRGLDTTEELPEDLTYDDILRATDNWSEKYVIGRGRHGTVYRTEFSPGRQWAVKTVDLSQFKFPVEMKILNMVKHRNIVKMEGYCIRGNFGIILSEYMPQGTLFELLHGRKPQVALDWNVRHQIALGAAQGLSYLHHDCEPMIVHRDVKSSNILMDADLVPKITDFGMGKIVDDEDADATVSVVVGTLGYIAPEHGYNTRLTEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVSWMTLKLKSADHCSVMNCLDEEIMYWPGDEQAKALDLLDLAMSCTQVAFQSRPSMREVVSTLMRIEDEYNK >PVH32082 pep chromosome:PHallii_v3.1:9:34711533:34713160:-1 gene:PAHAL_9G306800 transcript:PVH32082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIALGRVTKEENDLFDIMDDWLRSDHFVFVGWSGLLLFPCAYFALGGCFTGTTFVSSWYTHGLASSYLEGCNFLTVAVSTPANILAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFALIGFMLRQFELARSVQLRPYNAISFSGPIAVFVSVFLIYPLGQSSWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNSTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLCAYDFISQEIRAAEDPEFETFYTKTILLNEGIHAWMAASVSAS >PVH31670 pep chromosome:PHallii_v3.1:9:13274726:13276672:1 gene:PAHAL_9G206700 transcript:PVH31670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVTCSLGEPGLLPAASRRRIRRRLAAAVLAPLLLFLAAEVSFPSQPRLAPRNDAAASSSSPSSSSSPPPPRRDGQAQQLRVAVCLVGGARRFELTGPSIARHVLAPLLAGASAADVFLHSPLDADAWKLSVLARAAPPGASLAAVRVFRPGRIAVTPARARALTAEHSPKGVQGLLQYFHLVEGCLDLIRERESRGNFTYAWVLRTRVDGFWSAPLDPDGAFHPAAYVVPEGSRFGGLNDRLGAGAREASGAALARLSALPRLAAAGYRDLNSESAFRAQLRAAGVPARERRFPFCVLSDRTYSFPPWARSAVPVASLGSPGPLSGAKCRPCRPACRGGCVARHLARLHRGWSWTEWRNGTLELCDASGPWEPGWEALFDEVAGAEAAAVRRSVARMGAGECVAKVEALRARAERWDAPSPAEMCRLRFGVRSRPADRPGNSSAGGDTDATVTITEH >PAN48789 pep chromosome:PHallii_v3.1:9:57404103:57407244:1 gene:PAHAL_9G405200 transcript:PAN48789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAARSALASAGRAANEAVSLLVFVLLDALEVLLCVVYKVADYVAEGAWRPCYCSRSAPAAAAAGATGKIVVSERGGSKVVSMLSSTKLHLEDISDTLYERPSVLACAAASASASASGSGASKRRPAGVAVHSAIVQMLRGKIGVGGGKHRPYPSPRWSDCHCANCNPADTGRLFVHVEAPQGGVGGGAAPEEDVLFIHGFISSSGFWTETVLPHVSPSARSRRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVRSFHIVAHSLGSILALALAVKYPAAVRSLTLVAPPYFPVPRGEVGTQYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEVVRDQLTCDVTVYHGGDDELLPVQCSHAVKAKVPRAQVRVIDGKDHVTIVVGRQKDLARELEEIWDRRR >PAN45416 pep chromosome:PHallii_v3.1:9:6860533:6862193:-1 gene:PAHAL_9G117100 transcript:PAN45416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWQARDFLFCGVCGSLLTFDSVRSASCPLCGFKRKAKEIEGKETRYTVTAEDIRRELKIDPFEEVLVRRPVTSKTCPKCNHSKAEYYSRQVRAADEGETTFYECTECRHTFKEN >PVH32883 pep chromosome:PHallii_v3.1:9:65550897:65551289:-1 gene:PAHAL_9G514600 transcript:PVH32883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIQPLFDLLSYSSQHCVGASVRFPCFWRQQARHPLARHTYITRSALSSCGSASCLRHGSWSSRHGFGFGSIKARGSRDRDEDEGRKEGIRPRRLYALAMVRQAGRQARRCCRPAVRCAFLFFLRIPGR >PAN48625 pep chromosome:PHallii_v3.1:9:56661373:56664290:-1 gene:PAHAL_9G395900 transcript:PAN48625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter domain containing protein [Source: Projected from Oryza sativa (Os10g0547500)] MTQLAPLPKVVLGSLAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISPDDAYASIDLPILGLLFATMVVGGYLKGAGMFKHLGALLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKITFLQFFFGILPAMLAGIGVNTVMLLCMYWKDLEGASPDEVAAGKEMEAAEEGRSPASVLSLKCGTSPASANGAGLRHRQNGNGHDADSVMSENISTKHRWFMQCSEQRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNFMAPYAKINHVSGVSVLSLIILLLSNLASNVPTVLLMGDEVAASAATISAAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAQRNAHDLTFWSHVVFGVPSTLVVTAIGIPLIGKISI >PAN51676 pep chromosome:PHallii_v3.1:9:71713939:71718111:-1 gene:PAHAL_9G612100 transcript:PAN51676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRILRSASCNGSGKNSAPSSPAAVSRSASVVGAGSKDDAAAGERKALLPRQRPPGGMARKGRKASNRRVQWKDRHGKKLTEVLEFQPSDSSDSDDEYMDTCICSIM >PVH31891 pep chromosome:PHallii_v3.1:9:17764787:17765185:1 gene:PAHAL_9G253400 transcript:PVH31891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRTQARLRPSGRRRGAVASASKANTRGRAGKQARSTGRARSGRWASTRARAGEAEAGDGVSWEGGVKRQRELVCEAREKREALGERGGESDAPPRDRVGGGGVETGK >PVH31166 pep chromosome:PHallii_v3.1:9:4158482:4159314:1 gene:PAHAL_9G072100 transcript:PVH31166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHEPTSHRPQPKEGDSCVDRRQTTVQYFALKTTIVAYADSPQPSLHTQSPKRPSLSSLSADPFCHAALHLHGYTCLTYPAHATPPKSPPPARPPEHRTEPTRARPAQQRLAAMGKAKFPVTSERLPAATGQSGRAPAAACFSWWAATARGLGRSATARTRGSGREGTGQGQPATHGLLYTPPPFRPF >PVH33204 pep chromosome:PHallii_v3.1:9:70630759:70631013:1 gene:PAHAL_9G594800 transcript:PVH33204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVAAAAVLLLHCCGVMILAARPLVPPAAGEDGGWQLRHGGAGASSILQVLDKSNAPSQPGQGNCDWKDPGHPKGNCPPPPKK >PAN45581 pep chromosome:PHallii_v3.1:9:7689786:7693325:-1 gene:PAHAL_9G128800 transcript:PAN45581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKAEDLVPHPVKEQFAGVDYCITSPPPWLTTVLVAFQHYLVMLGTTVLIATILVPLMGGGHAEKAIVIQTILFLAGINTLLQVHFGTRLPAVMAGSYTYIYATTAIALSPRYLIIIDPLERFVFTMRSLQGALIIAGVFQAVIGFFGIWRVFIRFLSPLAAAPFVTLSGLGLFYFTFPGVAKCIEVGLPALVLLVLFAEYAAHFFVKGSFVFGRCAVLVTIVIVWVYAEILTAAGAYNERGPVTQFSCRTDRAGIIQGSPWVRFPYPFQWGYPIFCFQDCLAMMAAAFVSLVESTGTLIVVSRYSGATFCPPSVFSRGIGWEGISIILDGMCGTLTGTAASVENAGLLALTRVGSRRVIKISALFMIFFSLFGKFGALLASIPLPLFSALYCVLFAYSVGAGISLLQYCNLNTLRTKFILSISLFLGLSIPQYFRIYEMFFGFGPVHTHSVAFNVIVNIIFSSPATVAAILAYLLDCTHLYWDASVRKDRGWHWWEKFKSYRHDSRSEEFYALPYGLSKYFPSF >PVH33031 pep chromosome:PHallii_v3.1:9:68084211:68084944:1 gene:PAHAL_9G552100 transcript:PVH33031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACHCHFFSSSHSLQLLIHSSWKDQQTPSPIHKLDLVDRPCMASLGMLTINSTPHASRGGGPRPDVAVPRPRSYFLCQSQPPARGGGGGGGGGKKGPWWAATAERLRGDVVKAGMAARESLSPKRKGDWKDVTLMSFSFAVYVYISQKLVCTYCAWLSMINR >PVH31505 pep chromosome:PHallii_v3.1:9:10239889:10241787:-1 gene:PAHAL_9G164400 transcript:PVH31505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASAESLSSFSERVNMFGHGSRMKKSFVCRQRYMDHLDGKMKCFLSHMSANYRRSMTIPDRFMNHFRGELSESIEIESPDGNVYGVKVIKHMDKIVLQCGWEVFIAAHHIKENDSLLFQHIENSRFKVLILDSDGCEKVFSCSGIKITTNAQERAAEYVDISDTAHDYTKKLSGIRKRSTSCQRITRNHRRKAAKVASAASSSMSNHNILLL >PAN51571 pep chromosome:PHallii_v3.1:9:71233184:71237027:-1 gene:PAHAL_9G604200 transcript:PAN51571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G00330) UniProtKB/Swiss-Prot;Acc:Q8VZJ9] MTSGSGGASPWRRSDPGGIGELSRVSVATTAPSASERSVGGAGRGVSFLDAFRSCFVPPEARSPETSMSDDFHPSHQLSQSLSSQGSNSGSTFGSKRSIKGMYSPMHRNSSEREMPGSTKFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLADGTLVAVKRAKKNVYDKHMGREFWNEIETLQRIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNCKVLEFSQRLEIVIDVAHAITYLHTYSDHPVIHRDIKSSNILLMNNFRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELVTGRRPIEPKRSIIERVTAKWAMEKFVEGNAIQTLDSNLEANDAVNLAVEKMYELALQCLAPTKRNRPSMRRCAEILWSIRKDYRELVVPTSAMN >PAN45544 pep chromosome:PHallii_v3.1:9:7537523:7541119:1 gene:PAHAL_9G126500 transcript:PAN45544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSRRRLSPTFFGGLRGRELGGAGGSSSSRSTARLPYLADLSSDPGGRGGGVISVEHSGDPAIPFAISFGKTAQTSNLLAVADEDGYVGLYDSRRRLPSSSSSLEKSAETRVSDWVAHNNAIFDVCWIKEGSQILTASGDQTVKIWSVGNRKCIGVLSGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKSPNSHGETCLVSSAVVREAHSPVQRSRTRSRAKAASTSITSVLYLKDGVSIATSGAADNVVKIWDTRNLKVPVSNKNSQAGGQPLKEGVKHGISCLSQDSYGAYIAASCMDNRIYLYSVLHVKKGPVKVYTGSKIESFFVKSAISPDGNHILGGSSDGNVYLWQVDQPENDPIVLKGHEGEATSVDWCASEVGMIATSSDDSTVRVWSTKKMDCTTVSSPTAIRKRITAPNTEYRRSATHEQVTTSWDAVACTSADGKSPSGSHSPLQPRVLDFGTPESAKKRGFALFQEEALDTRKSPEAQMNSPSSVLSPPPSLKRRTIRDYFASSAS >PVH31161 pep chromosome:PHallii_v3.1:9:4031615:4033137:1 gene:PAHAL_9G070000 transcript:PVH31161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >PVH31887 pep chromosome:PHallii_v3.1:9:17636248:17639853:-1 gene:PAHAL_9G251800 transcript:PVH31887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSAEFHTDAGSESESEFEDDGIETDDDGGAGSDSEEFEDDDDVETDDDEGAGSESSEDGDEMDDDEGAGEGHGRAGARVPAAAGGDGGVGEGRPPPACCVCMEPWTCSGAHRICCIPCGHVYGRSCLERWLQRCGNRSAKCPQCGERFKLKHITNLYAPGNLWDGCCHIQEFKAQLAEKRHKLMEELKPVLNDLLHKHTSALLSDLQKLYQKQLDHAVGAMVKTFVSTKEQMKKMAEQNATPMDLIEFMEQSYTQLPIPSRPPEDGASEGTSE >PVH33132 pep chromosome:PHallii_v3.1:9:69520530:69521582:1 gene:PAHAL_9G575700 transcript:PVH33132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENISHATTTPPSTSRSPSPLVVFSACLVLGAGGPLLLRVYFVHGGRRLWLSTLSQLSGWPLLVPSICVSLFRSRREGMADRLQPARLVAAVAFLGGLFAVACFVYSLGAQALPVSTSSLLLATQLAFNAVFAFLLAGLRFTPFSANAVVLLTIGPAVLGVGPRSGRPAGESSRTYWTGFCEAIAAAALAGLVLPLVEVAMARYGRRTGPPPYVTVMQMQVVMGAAGTMVCLLGMAVKGDFQAMPREAAEFGLGETKYCLVLIFEAVSWQLFNLGIMGLIVCVSSLLAGVMIAVLLPLSEVLAVIFLREKFDDTKGIALVLSLWGFASYLYGEDAQRKLEAKKVAQQAAN >PAN47226 pep chromosome:PHallii_v3.1:9:16492963:16493772:-1 gene:PAHAL_9G243200 transcript:PAN47226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKDSGGLFSSSKLVSDAAASVFQHKNADNIDKKEVAGAAAEILHAASSYGKLEDKPAGQYIEKAEGYLKEFSSGPAAGVEKPAGDAPAPVSADDAPKPAEAPKEPEPVPAAEEGKSEGFGLDDVVKGAEQLVEKQGGEGESAGGGAGGLFNMAKGFLK >PAN47496 pep chromosome:PHallii_v3.1:9:31719392:31719904:1 gene:PAHAL_9G296300 transcript:PAN47496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKFEQQFHETIKVLWITFRKNIQQKKRRKKMRWPPPRSPAWRADKQSAGRSSSGPCRAPSSRTPASGLCALACRGSSAAGLPSSSAPRSLREITPPLAELRTCSLPAKRSSPEARKSELRIQQREKRGRGKRAEKRKGKDWPLDEDGKR >PAN47346 pep chromosome:PHallii_v3.1:9:38225344:38227456:-1 gene:PAHAL_9G317400 transcript:PAN47346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGKIQKKRHGAGAAGGGCGARLQGGIPFEKSKGQHILRNPALVDSIVAKAGLKPTDTVLEIGPGTGNLTKRLIEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLMFKLLSHRPIFRCAVIMFQHEFAMRLVAQPGDSLYCRLSINVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLAQDAEMGEEKMSADDVALLANMVEDLSMGTGDEKEDDEMEMDDADMAGDGAASFKEKIMGILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >PVH32612 pep chromosome:PHallii_v3.1:9:60707445:60708395:1 gene:PAHAL_9G442600 transcript:PVH32612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWDGILCVEMWVRPQPPAFAEHVPCSYLLLNPTSRACAIASAPALRGGTPGSRSDRGYIAGAYSHPVTGVFHLLHSSGSAMVDCGEKTPRFRVLTVDTPDAAWREVPMSGDGNTARLQTVVGRFSLQSSATAHGRLHWRVARAQAKRRPHDKEEEELLVFHAAREEFGRMALPQLNEAGAVRQHAISTVAGKLCLLAGLASTALEVWVLEDYDARDWRRRHVVHVAPNSPLHRDHCLHSVLGSVGVLEEIIFFNSSQKVYKVQPGSRYGSRPSGFFGHGQGLAVHEQSLLPHNVIFGTMPRVRGIVPTMAGRAS >PAN51354 pep chromosome:PHallii_v3.1:9:70283984:70290180:1 gene:PAHAL_9G587900 transcript:PAN51354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGDGELELTVGVRGAGGRGGAAPAADAPASISLGRLILAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVQPLVGLYSDRCTARWGRRRPFILTGCLLICLAVVIVGFSSDIGAALGDTKEECSLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPSAANSIFCSWMALGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVVFLIFCLTITLIFAKEVPYKGNQNLPTKANGEVEAEPTGPLAVFKGFKNLPPGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTNAQIAAFDEGVRVGSFGLLLNSIVLGFSSFLIEPMCRKVGPRLVWVTSNFMVCIAMAATALISYWSLKDYHGYVQDAITASTSIKAVCLVLFAFLGVPLAILYSVPFAVTAQLAASKGGGQGLCTGVLNISIVIPQVIIALGAGPWDALFGKGNIPAFGVASGFALIGGIVGLFILPRISKRQFRAVSGGGH >PVH31197 pep chromosome:PHallii_v3.1:9:4615135:4615491:-1 gene:PAHAL_9G079800 transcript:PVH31197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGARRSSGRRQYTARSPDLERSRRIWSEVGEDEGRVGVVEVERVPGPVAAAVAAARRRMKRMRATEPRLLLLLLVVVGGRSGLGKRTGTVELLLLLVGVAGEQRMGEGCERLLETV >PVH32596 pep chromosome:PHallii_v3.1:9:60263675:60264504:-1 gene:PAHAL_9G437200 transcript:PVH32596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPHRLEAITVCSSLAVVDAISFAYRDEQGRRHHVGPWGGKGGDPYVIRLEPSELLTEVSGTFAYVGTQPTDAVTSLTFVTSLRKHGPFGDVDGTPFSVPVLDGGSIVGLFGRDWAYIDAIGVYVRAG >PAN45245 pep chromosome:PHallii_v3.1:9:6068363:6069797:-1 gene:PAHAL_9G104700 transcript:PAN45245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPCFVVRRKRSRSPPRGFDSARRARTRPMPDAEPAADAANAGPAPALPDDMLLEVFKRLPPPRDVVRCAAVCRRWRRVLAGAEAACLPAPPRHFGFFRNYAPSPLPPFVPAAGVAFDAGFVPVPPACGAVLADARGRRLLLRELGPGSARELRLIVCSPLEKTYVRVPSLYTAGHRVACTVLVPGEGAAFRVVVVLFGSAPNHFEVLVHSSASSCWEAATGPVNREVVVRRGPSVVIGDVVYKLHGEDKYIMVVDAVKMTLSAVPLPNTGTLLYVGNHWIGKTGNGRLCFFAMREQLTLVKWVLAAPGNWVELQPVDLRMLMHPALVGDLAQTKLSAKMSDQLRGCKLVSFAAFCEATGTLFFIMADWVVALDPRSGRLQRLWHNTDESRPLGDVYPCEMLQWPPVLKDVGEVHEAGVVS >PAN47668 pep chromosome:PHallii_v3.1:9:37633948:37634475:-1 gene:PAHAL_9G316300 transcript:PAN47668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWTKHVGSPYQSCLSSPLRALSHISFTDPWGRSGQRSSPAVAPDYLSGAERHRSSRRHGSCSPAASGARPCRLLLVGVEQPADGARLWGRSGRRSSPMAAQARPSRPSRAEHAYGGSRSPIGGGAEAEHARGGSRSRVGGGAEAEHGRGGFGSPIGGRARLQRLSLAHGVERLT >PAN49219 pep chromosome:PHallii_v3.1:9:56530121:56535514:-1 gene:PAHAL_9G393700 transcript:PAN49219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGQKASQDSQQRVLTGGASEAETMAPRLENERLNYPIATRFAPRTLRLQLLEGTVQSLAHAQQASTREAKGMHAALISRGWRGRHLELEIRKSAVPKRLNYAGHCTLLPGIFHSSPFSLCYFSLEPRGRGRRFQSSDHQTRSTSLFHFFGRLQPPRGGGGEQQVSEHTPAPPQCVLVSRSRGRRGARGARDPPPLRLCCVDLVLSEVCSMGNRSWLSATKESMQSISEETESTSRDAEPSRVHQLKCRDEKFREPCLDRIPNFHCKSLPSRYRDANPEDSIMHKRGSMYQSSSEVSRLRDIQGRRKINYSSNKDTFLSFEVVNSSSQPSTSGACFFPQRSYSCNTRSSTGTSHTMHQASREFMKLSLHEIPEDDLTLERPRRDCNLLKNDAIDSFLEISLEEDTMKGSCANAAPHLLESSCIKVARSNCQQSVDVCPDERDVSNLPKSLSMKVGVFDATCPSECVGNKKARSSPFKKFLDPIMKSKSQRNPSLMETEDAKSSSTSFGGKSRVLRKSLLSDISRTEQSLAPDCQTSGEAQQLTVTSSPTHLHAVIKLDPNNGAFGFEFCTKGPEESIYANTWKVGNELNWIYTFHSSGKRASTVGRASKDRRGCLPPIVGQMHVSSYLYSNIEEDGTLNNSATTEFVLYDIAHARRSSAVERIQCTDAIRPSFRNVFNSSVSGHTRDRNDLMQRQNTTRNDSDLSTSCLWSQEDLHPHLEVAAVVIQVPFHKTKSKELKAGSSPGTIKAATAGGAHGLPRDDEASPSPLLDRLKSGGACDCGGWDMSCPIVVLDNAYDSYWADSVRNESKVPMELFAQGNKEVLPALSMKADGNGHFSVDFHAQLSALQAFSICISLLHCSEASSDIGIEKFKSKLYSSSLKMLLKEEVRQLIDSVTTKEKNNNEKTPPAIVIDPPFSPMGRV >PVH31010 pep chromosome:PHallii_v3.1:9:2027211:2028214:1 gene:PAHAL_9G036600 transcript:PVH31010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIAAPFSPSLHIREPPRASSVFLPGGPPTRWSGRRRRLQGERGAVPPLPYLPLRRAPHPSASKLHGSSPASLDGQVAPPLACPPPMPWIREGRVPPLAPQVAVLSSPWALLHELEVGGSFFLTAGGLL >PAN44779 pep chromosome:PHallii_v3.1:9:4000473:4004659:-1 gene:PAHAL_9G069600 transcript:PAN44779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPLLLLLALAAGAAGGGTDADALLAAKAALSDPTGALASWNATSSPDSCAWAGVTCSDAGVVVGLDVSGLNLSGALPPALSRLRGLQRLSVAANAFCGPIPPSLARLQQLLHLNLSNNAFNGSFPPALARLRGLRVLDLYNNNLTSATLPLEVTQMPMLRHLHLGGNFFSGEIPPEYGRWPRLQYLAVSGNELSGRIPPELGNLTSLRELYIGYYNSYTGGLPPELGNLTELVRLDAANCGLSGEIPPDLGRLQNLDTLFLQVNGLTGSIPSELGYLKSLSSLDLSNNALTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNKLTGTLPPELCAGGNLQTLIALGNFLFGAIPDSLGLCKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVIGVAAPNLGEISLSNNQLTGALPASLGNFSGVQKLLLDRNSFSGDVPPEIGRLQQLSKADLSSNKFEGGVPPEIGKCRLLTYLDMSQNNLSGKVPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGIAGADHTPHGHGGLTNIVKLLIVLGLLVCSIAFAAAAILKARSLKKASEARVWKLTAFQRLDFTSDDVLDCLKEENIIGKGGAGIVYKGAMPNGELVAVKRLPAMVRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYNIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWAKMMTDSSKEQVMKILDPRLSTVPLHEVMHVFYVALLCTEEQSVQRPTMREVVQILSELPKPSTKQGEEVPNASDGPASSPLHPAPPGSNEAPTGEARDHQQQQTSTPSSPPPDLISI >PVH32516 pep chromosome:PHallii_v3.1:9:58465365:58465805:-1 gene:PAHAL_9G417200 transcript:PVH32516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGNPLLAAKEKGNKLANKAKDKGKLMKPKAKKQTEDKGKKNDVSFESPAMATRSKKVDSCSPAMSTRSKRQLNL >PAN45977 pep chromosome:PHallii_v3.1:9:9553983:9559425:-1 gene:PAHAL_9G155100 transcript:PAN45977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNQMGSDGKFGRGPRELSGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLNNVVGDTEIRKGEGMELDQLFQNSYPNEKTAYIQPFDMETLGQAFQLRETAPVDLPSAEKGTPTISGKPKIKSKDKVKKHKKHKEKDRDKEKEQKKHKHRHKDRSKDKDKDKEKKKDKSGNHESGGDHSKKHEKKRKQEVTGSSASVQNHKKTQKHKNQ >PVH31050 pep chromosome:PHallii_v3.1:9:2558433:2558883:-1 gene:PAHAL_9G046000 transcript:PVH31050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTWTRPDQMRRAGGGAEAEMGAHRPEAARAPGAGEASVAPTRTRRCTRAREEEAAAGARAGRRWPTRRASVLVAARVGSARRGDEQGRRMREAFGGGGRGRPASEDERGRGAWRPASSIGLGEEGRRRKRREEGPRTFIPHPLGTG >PAN46102 pep chromosome:PHallii_v3.1:9:10173779:10175230:-1 gene:PAHAL_9G163500 transcript:PAN46102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRTKHVVLFPFPGQGHLAGFLAIARLLARELPDAAVTLVSTPSNVAALRRSSSAVDVEQSSIGFHALPFVPADHGLPAGCESISSLPVPAFITLFEAFEALEPAFDDYVSGLRGDADADVCVVADVSVAWTVDVARRHGCAHALFVTCGAFGTAILHALWNHMPALPFGSDGALRLPEHPDVELRRSQLSPVFLLHGDRSDRWTAFYQRIIRHGYRTDAVLANTVEEFEPTGLAMMRRALGKVPVWPVGPLVRGGDSGAGSSETDGGGVLRWLDSQPQSSVLYISFGSQNTIQPNQMMELAAALEATGRPFVWAVRPPVGSDITGAFRDEWLPEGFEARARAGDRGLLVRGWAPQVRILAHTATGAFLSHCGWNSVLESLAHGVPVIGWPLAAEQFYNVSVLTEEWGVCVEVARGNLESSAMDRSKMAEVVETVMGDTAESAAMRRRIVEVQEVMRGAWAEDGGSSRTALHEFLRAMRLQ >PAN49605 pep chromosome:PHallii_v3.1:9:61706915:61709471:1 gene:PAHAL_9G459400 transcript:PAN49605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSADLFYDILKRLDAAALARAGCACADFRAISNEEDLWENACTSLWPSTGLDDVRSLIVSVGGFRKFYADCFTLILNKDVPVVQTNETNPFAEEWVESDYYYGDMDELENSQPSDFVSLIDVWYKDRALYSKVIWGIPNSDGANGWFYNCPFRIDLFHHSAENNENNNGEVFLSTISDLPSVPSMEQERKDGKLWRELNDGIKLSWIIVNRKMKRAVNLTSWHPLGGQRHWPTDTDFVLRFGSVLPAKEVLPCQVAECILLMKFRMTSMGSEEAGELSTLALTELSMQIEDMGGVHLNGRCSLLLLKEALSCHRSRNYDEVLESCNLYLKAQSELKEEKIRSECRFDTLCIVSGITIFAAICTMCYRKFENV >PAN46589 pep chromosome:PHallii_v3.1:9:12550195:12551947:1 gene:PAHAL_9G195800 transcript:PAN46589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKVVLRVPTMTDDKIKQKAIEAVAEIYGIDSIAADLKENKMTIIGEMDAVAVAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >PAN51785 pep chromosome:PHallii_v3.1:9:72287200:72292147:1 gene:PAHAL_9G620400 transcript:PAN51785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGRDLPLTPTWAVALVCAVIVLISVAMEHGLHRLGHWFHTRQKKAMREALEKIKAELMLMGFISLLLAVAQTPIPKICIPAKAGSVMLPCKPKGGGKSGGGDGHRRLLWYPGEEVNHRRFLAGGGAGDDFCDTKGKVSLISTNGVHQLHIFIFVLAVFHVVYSVATMTLARLKMRRWKKWESETNSLEYQFATDPSRFRFTHQTSFVKRHLGLPSTPGVRWIVAFFRQFFASVTKVDYLTMRQGFINAHLSPNAKFDFQKYIKRSLEDDFKVVVGISLPLWFVAIFILFIDIQGLGTLIWISFVPLVILLLVGTKLEIVIMEMAKEIQDKATVIKGAPIVEPSDRFFWFNRPEWVLFLIHLTLFQNAFQMAHFVWTLLTPGLKDCYHENLGLSIMKVAVGLALQVLCSYITFPLYALVTQMGSHMKKTIFEEQTAKAVMKWRKAAKDKVKQREAGFDGLMSADTTPSQSRATSPSRANSPVQLLHKYRGRSDDPQSAPTSPGRGQELEDMYPVADQYRLHRLDPERRRTASSTAVDIDIADADFSFSVQR >PAN50878 pep chromosome:PHallii_v3.1:9:68198432:68202195:1 gene:PAHAL_9G554000 transcript:PAN50878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRVGRYKMSNLSDPSKEDASPEGSGTIQKTGAWSNTLNTLLQQASVYGVVAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSKVSNWLSFDLVLPVALSCLLGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGILYQQSTTKPKAPKVEPKEENDEEQQKLLQMQGGQESNSTQK >PVH32648 pep chromosome:PHallii_v3.1:9:61202175:61203202:1 gene:PAHAL_9G451300 transcript:PVH32648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAFAQAGSQIAAAVDAIGHDGVQPAAAAVAAGQPGRQAMRWTSVMSSFVLRRFCLLISTGVRTDKGFKEVHLNQVARELKEFSGNEVTGQQVYNHLRKWRQRWTRVSKLRDLSGSLWDEDNFMITLEEEHYNGHVKAHPKDADLLNKPIENYQYMEVIFGTGCATGKFAMGSSEALGSPSDFAESSLKHLEDDIGKLFEEVGKKQEGGGGGSGSGSSAGNKRKRSSLSDEDITIMTSMAAAVNNVADAIRETKAQDEHPDLYEAVMFMPGFSEEALIVAYSHLLDNRAQGGAFVKMNESHRVLWLKTFLAKHYSL >PVH32853 pep chromosome:PHallii_v3.1:9:65014236:65014551:-1 gene:PAHAL_9G506100 transcript:PVH32853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQDGGQRKKPHGNPNPRRGSILIGIVKEIAGRSARNRHGSGSDTATTATAATTTATEGADWSASCAGG >PAN48413 pep chromosome:PHallii_v3.1:9:55128349:55132570:-1 gene:PAHAL_9G380500 transcript:PAN48413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLINCSGCRTPLQLPHGAPCIRCAICGAVTHVAPAPHADPSRGAVQPAPGWGPPPPPAHGRKRAVVCGISYRYSRHELKGCINDAKCMRHLLMTRFNFPDDSIIMLNEEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGFDETLCPLDFETQGMIVDDEINTALVRPLPHGVKLHALIDACHSGTALDLPFLCRMNRSGQYGWEDHRPRSGVWKGTSGGEAISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILNSMRTTIRNTGESAGVGGGAVTSLITMLLTGGSLSTGGLRQEPQLTACDPFDVYAKPFSL >PAN45203 pep chromosome:PHallii_v3.1:9:5866329:5867964:-1 gene:PAHAL_9G101200 transcript:PAN45203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSILDRPDHCTLSRVVALATVCVVVVVCTYATSSPAGKDQKYNIWRRRSPTAFAPDNDLEVALRGAAYANRTLILTVLNEAYAEEDSLLDLFLRSMREGDGTAQLIDHVLFVAMDRQAFRRCRSLAGLRCYLLRQRDGDDLSSEQLYMSDGFIRMMWRRIRFLGDVLKHGYSFIFTDMDVMWLRNPFPKLDVGDGEDLLISSDKFNGEARDYVGNELNTGFFFVASNARTAALFDEWHAARRASPGMKEQDVLNRMKRRGAFRRLGVRARVLETARFSGFCQDSRDAAQVATVHANCCRTKRAKVADLRAVLRAAGRLNRTAAGLMRWPAHSECVRSWT >PVH32489 pep chromosome:PHallii_v3.1:9:57957440:57963640:-1 gene:PAHAL_9G411100 transcript:PVH32489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTPTAEMTTKLVRFSSILATFDNEDPNEALKRTHQVVVIPVSGEQQYIVKNDPVGGAALWIGVCPAPEGNSVKEIIPTAAKTISDAATEVKRAAENIKTEFESFIKTVTKSAKVTDEDSFKNARKAVKKTIKNAQDAASKAVAAASDLETATSMALDTPANANNTTVQKFDGASHPQRPPSRDNLTAGAEKVRKSEKDAKGKQKKNDPL >PAN50642 pep chromosome:PHallii_v3.1:9:66876532:66877594:1 gene:PAHAL_9G534500 transcript:PAN50642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWQALKNTQKQGAQLILNSESHFLFALFSQEHRLSVSKKKNGKAKMCIDRLFYTKRLQSTHGAYMMKQILPDSLEILESHLKASTGQAAAADHPGIMSLIQWVSPWQSSYH >PVH32317 pep chromosome:PHallii_v3.1:9:54020146:54021275:1 gene:PAHAL_9G370900 transcript:PVH32317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIQITSPKTSSRVPSCTQSCLWVRLPLELRMAQDSDDPLFVASVVVWVLVVILAIVAFHCPLPRRVVR >PAN47136 pep chromosome:PHallii_v3.1:9:15867472:15870797:1 gene:PAHAL_9G236200 transcript:PAN47136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCFRFPSWEREREGDERRGPAATGRSLSTRSNSSTTSTDPDVRRSASECCSLNASELSSGGSFGRCRQLSLSQRPPNALRIFTFQELKSATRGFSRSLMLGEGGFGCVYRGTIRSALEPRRSLDVAIKQLGRKGLQGHKEWMTEVNVLGVVDHANLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSARSPRPASWAMRLRVALDTARGLKYLHEESEFKIIFRDLKPSNILLDEKWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSFGVVLYELLTGRRPLDRNRPRGEQNLVDWVKPYSSDAKKLETVIDPRLQGNYSIKSAAQLASVANKCLVRHAKYRPKMSEVLEMVQKIVESSDLGTPEHPLISNSKELVSDEKKRKGLDLKRRIADIRAGEGRWFAWQRWTPKLVRTQ >PVH32397 pep chromosome:PHallii_v3.1:9:56034076:56039416:1 gene:PAHAL_9G388800 transcript:PVH32397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFFSQTTKPTQNPIFNRKENFVCVWGGEGDQQQPTRLSRSSSRSSPWLAVHQTRPDLNVLPLRSQFPNAHAVVATPRPADPARSLGSMGGLRAASPSGAGAEADAGVGSGPEAPRLAMACVLASEVATVLAVMRRNVRWAGVRYGGGDGGAGDDEHLDHPLVAGLKALRRRAAAWGHGRWAGVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPGAPGVAEAMGAVVDAVTACRFEVTDPASEEAVLARVLQVLLACVRGRAAPALANRHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRSVFARLQDIDTTVFSDEQASGCNNQGLGAEETENGKSDFVCLNSSGDEVGDGSGVVQDKAMMEPLGVPCMVEILQFLCSLLNIAEDIDVNPKMNPIDFDEDVPLFALGLINSAIELSASSIHRHKKLMDFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIRAMAERSDGAPQHHEQTVPEISEYFPFWQLKCESSNDPDQWVKFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPRKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIRVLHEFARTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWRSRRTSMYIACDSYPFLDHEMFSVMAGPTVAAISVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHHDDVLNDLVVALCKFTTLMSSSYIDDPLTAFGEDTKARMATEAVFTIATTYGDHVRSGWRNIVDCILRLHRIGLLPGRLTGDTSDDQESTSDSLPSKLASSSAPPQVLPISTPRKSYGLMGRFSQLLYLDAEEPMSQPTEEQLAAQRNASETVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITSTLDDEGVSVFCLELLITVTLNNRDRIVLLWQDVYEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKANLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLMADSVNCLTRWSREVREAGGDADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGILVSSSTWLMAFDIVFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQGSFGKLWLEVLDMIEKFMKVKVRGRRTEKLQEAIPELLKNILMVMKASGVLSKTSTGENSLWEATWLQVNKIAPSLQSEIFPDNEVESTTGEENKLDTPAQSDQSADQ >PAN46087 pep chromosome:PHallii_v3.1:9:10082079:10085420:-1 gene:PAHAL_9G162300 transcript:PAN46087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDDEDEAMEGDDGGGDDDFYVDDCGHEEDPVRRERPLEFSAIKKESLSVAQQQDLSMVMGMFNIKQHHARALLIHYRWDTYRLNDHLERKGQDRMLMEAGVVLQQESNSVVPPPAASKKRKLSRKKVTCEVCFEDSSPGAFWTMDCGHSFCNDCWTGHFVAALDGGNKQIRCMAFKCPAICDEDMVRRLLGRRDPAAAERFHDFLLRSYVDDNSAVKWCPSVPHCGRAVRLAAADGEPPVCEVECPCGASFCFRCAAPAHSPCPCAMWERWEAKGRGEAENIKWLLANTKSCPKCFKPIIKDGGCNLVTCKCGQHLCWLCGGATGLSHTWTSIANHSCNRFEAEEKKKVDDAKRQVRRYEHYYNRFQSHGVSCRAEREQLGPAVAARAARLESHGGSLITDASWLGNAYRRLLGCRQALTRSYVFAYYMFDGEETPTRPLEPGSLSMAQRQDLFEDYQEQVEANVERLSRLLATDDAAELPEEEEILRVRQHAINLTGTVEKLCRQMYSCIQDELLPMLVEPMSIMSYQPGGPSTASEFPA >PVH31697 pep chromosome:PHallii_v3.1:9:13890655:13892510:-1 gene:PAHAL_9G214700 transcript:PVH31697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQRKPSSPAFKQKPVPGFSRQPSRVSLHRQPRVSPLSGRNFPMATPSSTPAAPAAAAAAASVPRLSPLRPSQASLHRLRLCPAPSAAAAAASPISRGPGCRPLPSIRCRAAAGPPPPSSEPPTPSGWQERLSSLQDRARIFFAVLFWMSLFFWGSAWDGSNNSGGKKRQRFRKKSK >PAN49225 pep chromosome:PHallii_v3.1:9:60088646:60092640:1 gene:PAHAL_9G434900 transcript:PAN49225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPRPKSPPASPDPCGRHRLQLAVDALHREIGFLEGEISSIEGVHAASRCCKEVDEFVGRNPDPFITIQPEKRSNEQPQQFLKKFRARSCLSYLSWICCCGSGGCPPFRLKMRPAAATSCSCGGAQLRKLCASCCSCCCCCRCRVVCAGCCGGCCAPCPRCSCDCACLRCCSSSCACPTCGGGACCVPRCCLCL >PVH31354 pep chromosome:PHallii_v3.1:9:7349281:7356655:1 gene:PAHAL_9G123000 transcript:PVH31354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIIGDALYDMKLKLNARGSQLSDWNQNQVNPCTWSFVICDNNNNVVQVTLTSMGFTGVLSPRIGELEYLNVLSLPGNNITGGIPEKFGNLSRLTSLDLEDNLLVGPIPASLGQLSKLQLLMLSQNNLNGSIPETLTNISSLTNIRLAYNKLTGEIPPQFGNLSRLTSLDLEDNLLVGPIPASLGQLSKLQRLSGRRKTQLLLLRVVLALASLLPVSATSREGDVFLDMKLKLNATGNQLSDWNRVQINPCTWYSVVCDNNNNVVQVTLPSMGFTGVLSPRIGELKYLNDLTE >PAN50084 pep chromosome:PHallii_v3.1:9:64339455:64356097:-1 gene:PAHAL_9G496800 transcript:PAN50084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MGEEGHHGVVLACSICGFLFAVLSPLSFWVLWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFLTLVAWLVVISPIAVLLVWGSILIALLERNIIGLAVTMAGVAFLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTAGASASELNSPSGFFFGVSAISLAINMLFICKILFNVSGFDVDEYVRRSYKFAYSDCVEVAPVSCSPDPPDPNELYMTKSSRVLHLGLLYVCSLLVLVVYSILYGLTSKEARWLGALTSVAVVILDWNLGLCSFKFELLKSRVIVLFVAGTSRAFLICFGVHYWYLGHCISYAFVASVLLSAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQNSSSNSSEGCGSSVKRSSGSVEAGQNGNATDSMYRSNSQSDGVNWNSIPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVHSETAVITADRHGEPTASLVVCSSSGLESHGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRISSMLKKNGGLGDVELANLLQDKGLDPNFSYMLKDKVMDPRILALLQRSSLDADREHQDDVDVTATDSDRLDTTIANQISLSEELRRSGLEKWLNISRLIFHQLAGSPIRAFIVFTILFIIETATVAIHRPETIKVINATHEQFEFGFSILLLSPVVCSIMAFIWSLRAEEMMMTSKPRKYGFIAWLLSTCVGLFLSFLSKSSVILGLSLTLPLMVACLSFAIPIWMRNGYRFWIPGREFDNRENVSQAPGTKERALFAISLAVFTASVIGLGAIVSAKPLDALGYKGWDADKNSFYSPYATSMYLGWALSSTIAVLTTGLIPIVAWFATYRFSPSSAICVGLFATVLVSFCGASYWGVVNSREDGVPLKADFLAALLPLLCIPAFFSLFTGLYKWKDDDWKISRGVYLFVGMGMLLLFGAVAAVIVTIRPWTVGVACLLAILFLVFVIGVIHYWTSNNFYLTRTQMLLVCSIAFLLALAAFLMGLFHGKPFVGASIGYFSFIFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASIIMNPPFVGAGISATTLVIAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPALTLDRAGNFVLPRADVMKLRDRLRNEEIAAGSFFCGVKDCLMTCPHSLANVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRQFELIQESYIREKEMEEEALMQRREEEGKGRERRRALLEREERKWKELEISLLSSIPNTGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIANHIRKAQLARRAEQTGIPGTVCILDDEPRSTGRHCGELESCLCLSQQVTLSIAVMVQPVSGPVCLFGSEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERITTVTKEWNIGASSIADGRWHLVTVTIDSDLGEATSFIDGNYDGYQNGLPLPTINGIWEPGTDIWVGARPPIDLDAFGRSDSEGSDSKMQIMDAFLWGRCLSEDEVAALHTAMSPAEHGFFDLAPGDAWHGSYSARVDDWESEEAYELYDQGDVEWDGQYSSGRKRPVHDAVAIDIDSFARRPRKPRFETRDEVNQRMLSVERAVRDALIAKGERNFTDQEFPPDDRSLFVDPTDPPLKLQVVSEWMRPSEIAKEISISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMSRISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSKKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLYFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSILQVREVDGHKLIQIRNPWANEVEWNGPWSDSSPEWTERMKHKLMHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDFDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGLRILKTQGCRAAYNIYMHESAGGSDYVNSREISCELVLDPYPKGYTIVPSTIHPGEEAPFVLSVFSKAPIKLEAI >PVH31402 pep chromosome:PHallii_v3.1:9:8334736:8335708:1 gene:PAHAL_9G136500 transcript:PVH31402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRAPPAARRTSTARARAALVSRGTSSRFAPGIRRSFGMDHGGERRSSAHGAAARPWRAGAPAGGAQPPPKVYRVEPRDFRELVQRLTGAGSTAAAPGPAAQQSVVTPAMVAESRRLEAAAAAAAAPEQQFDCRGTRRRCSALRTARPASADTSCRL >PAN49916 pep chromosome:PHallii_v3.1:9:63381516:63382497:1 gene:PAHAL_9G484100 transcript:PAN49916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGARRVLEAWRLGVARYGDALQLQERLVADRKAGRVGDLVLSLQHPPTYTLGKRREKAERNLLATEAELSALGAELHRTERGGDVTFHGPRQAVLYPILSLRALGLGARRYVEGLESAMIQVAALHGVTARPGDPGETGVWVGDRKIGAIGVRISSGFTWHGLAFNIDPDLGYFEHIVPCGIAGKGVTSLRREVPDGVELPADEVIHDQLVQCLGGTLGFTDVEFKDDS >PVH31040 pep chromosome:PHallii_v3.1:9:2384872:2387490:-1 gene:PAHAL_9G043000 transcript:PVH31040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPRMQPSDKAMNQPRTARGKRPTNKKFTAAAANPSKPGGEIHAAARQAGGGAPARLLAVRASPSLPRSPRTPRPRIGGSGSVSIHDGAAGVGGVGGAGVGGGVAAGGVQPGDAPARPRPRADLLRAAPPPRAPRRLPLHPLPPRASPRPPPAPPRPPRLPRPPASGACAAPLHLLVQLLLVLVFGG >PAN44885 pep chromosome:PHallii_v3.1:9:4484642:4487867:-1 gene:PAHAL_9G077400 transcript:PAN44885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGIRILAAFLAALAAAAGVAAQLRQDYYTAVCPDLESIVRDAVSKKVQDQPVAVGATIRLFFHDCFVEGCDASVILVSTGNSTAEKDHPNNLSLAGDGFDTVIKAKAAVDAVPACTNQVSCADILALATRDVIALAGGPSYAVELGRLDGLVSLSTNVDGKLPPPSFDLDQLTTLFAANNLSQADMIALSAAHTVGFAHCSTFAERIQPASVDPTMNATYAADLQAACPAGVDPNIALQLDPVTPQAFDNQYFVNLVNGRGLFTSDQVLYSDARSQPTVVAWAQNATDFEQAFVDAMTRLGRVGVKTDPSQGNIRRDCAFLN >PAN48991 pep chromosome:PHallii_v3.1:9:58493407:58496589:-1 gene:PAHAL_9G417600 transcript:PAN48991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASRKHHPRSRPLPRRVPVLLVLALAAAAATVASAQLSSEDYYDASCPAALLTIRSAVATAVLLDRRMGASLLRLHFHDCFVQGCDASVLLDDTAGFTGEKGAGPNAGSLRGFDVIDNIKMLLELMCPQTVSCADILAVAARDSVEQLGGPSWTVPLGRRDATTASASLANSDLPGPTANLNGLLNAFANKGLSTTDMVALSGAHTVGRAQCKNCRARIYNDTDLDAAFAASLRGSCPARAGGAGDGALEPLDGSSPDDFDNGYFENLLSRRGLLHSDQALFGGGATDGLVRAYASDAGRWGSDFAAAMVKMASTSPLTGTDGEIRVNCRRVNN >PAN51543 pep chromosome:PHallii_v3.1:9:71078975:71080983:-1 gene:PAHAL_9G601500 transcript:PAN51543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem peroxidase family protein [Source: Projected from Oryza sativa (Os03g0152300)] MDARLAILLVLFLAAAASSPLASAQLDREFYKTSCPDAEKVIFDVVQKRFKADDSIAAGLLRLVFHDCFANGCDASILIDPLSNQASEKEAGPNVSVRGYDVIEEIKTELEKKCPGVVSCADIVTTSARDAVRLTGGPAYEVPMGRRDSLTSNREDADNLPGPDIAVPKLIDEFSKQGFTLEEMVVMLAGGHSIGICRCFFIEADAAPIDPDFRKNISSACDGKDSGNVPMDFLSPNTFDSSYFGLALAKKMPLTIDRLLGMDSKTEPVLKAMEAKPTDFVPLFAKAMEKLSVLKVITGKDGEIRKTCSEFNTAPASGGSSVIRVSSLNPEDQLLGGLPQPGGRKAGGPVDPAVDAPLSPSELEAKRLKNEGKIANTNADEAAAKVLGGVVVNEGGVIQPPKVVPLIGEEPKKDAGVPAAGQVPEIGKAVGIEESKMVAPGNKILGDEPGSKLPGPEEVKPGPKLRGAGL >PAN49363 pep chromosome:PHallii_v3.1:9:60783183:60785075:-1 gene:PAHAL_9G444000 transcript:PAN49363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPAVAPAPGLPPPSPPMEQPRRRRPCVVFSFAAARDRFLRHRFFSAGLRPFSVRLPSPAGTSTVVHLWAPPRPARRPVLLLHGFGASATWQWAPYLRSLLAAGLDPIVPDLLFFGASSSTLPERSETFQARTIKAAMDGMGVRRFAVVGVSYGGFVGYRMAAMYPEAVERVVLVSSGVCLEEQDLAAGLFPVADVGEAAELLVPRRPAEVRRLVKLTFVRPPPVMPSYFLKDYINVMGSDHIQEKTELLFSLINERKLSDLPKIRQPTLIVWGEQDQVFPMELAHRLERHLGDNSRLVVVKNAGHAANIEKSKEVCKIIVDYFQEPDSSVSVGGRRGSSADGGKF >PAN44134 pep chromosome:PHallii_v3.1:9:1121357:1123558:-1 gene:PAHAL_9G019900 transcript:PAN44134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTFATSCVLLSNVRTQTSQTPVKSPSSLSFFSQGMKVPSLKTSKKLDVSAMAVYKVKLVTPEGVEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGEVDQSDGSFLDDGQQAEGYVLTCVSYPKSDCVIHTHKEGDLY >PAN44223 pep chromosome:PHallii_v3.1:9:1485033:1485407:-1 gene:PAHAL_9G026300 transcript:PAN44223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGFGSTVAAVAPASSSAAGRSRPRRSVLVLPAATRGSPAPAKEEKSLVDFIFGVIFKKDQLVETDPLLNKVDGAPARGGTTSSRAKTTRGGTTAGGKKAASSDDGGSGLGFNIGGLFDKKG >PAN48133 pep chromosome:PHallii_v3.1:9:53004671:53005416:-1 gene:PAHAL_9G361300 transcript:PAN48133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKEEKQENRGSVELQVFSFTNKIRRLASHLELHKKDFSSERGLRSLLGKCQRLLAYLAKKNRVRYKKLISQLDIQEK >PAN51561 pep chromosome:PHallii_v3.1:9:71175794:71178359:1 gene:PAHAL_9G603300 transcript:PAN51561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEVAEKGGVWRRYAPHVQMTLAQLCYTLMYFITEAAFNRGLNPYVYITYRHLLVAVLIWPFAYYHERGLRPKMTFKLFMEIFVLSLLGVSLTLNMYFASLRYTSPTFVTSMVNTIASMTFVIAIILRMEIVDAKSLRGLAKIAGTVVSFAGVTTMTLYKGAVIKSLWKSPIHIHGGGGGAHESWVKGSVLAVASCICWSIWYIMQASSLKRYPAQLSLTAWMCTVGGIQSTVFTVFMQHKPEDWLIGFGLKFWCIVYSGLACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKEKDQEHGASKEQELELDCEKQARKVSDVYAVQQSGSEAPRTMK >PVH31965 pep chromosome:PHallii_v3.1:9:24192555:24193183:1 gene:PAHAL_9G274400 transcript:PVH31965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKPEYAVKHACLPLSRRGSLDFFIQWWGRYRLNHWRSRFIRTCSLFLIA >PAN49337 pep chromosome:PHallii_v3.1:9:60680202:60686291:-1 gene:PAHAL_9G442200 transcript:PAN49337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPQTTQLLLHGVIDARIVAADLSVTSDGQLQPTKKIQMKRKVFSWIMKLPFCKCKPLENAIGLGMDGKLYATVDIDKARVGRTRMLDPVHSPRWNESFLIYCAHDASNIIFTVKADNAVGATLIGRAYLPTKDVVAGRKVERWLDICDEKRQPLGGGDKIHVQLQFTDVAADPEGGWGTGVGTAAYRGVPRTFFEQRRGCRVRLYEDAHIADGFVPRVQLAGGRMYEPRRCWVDVFEAINAARRMVYIAGWSVNTDVQLVRDPRQPSSETLGQLLIRKADEGVTVLMLVWDDRTSMGLGPIKRDGLMATHDEDTAGFFRGTKVHCVLCPRNPDKDRSYVQDVETATMFTHHQKTVIVDGGGSQGPNTPGLVSFLGGIDLCDGRYDTQEHPLFRTLGTTHNKDFHQPNFPGASIKKGGPREPWHDVHCRVEGPAAWDVLENFEQRWRKQGSGDNLLVTLDKGWASREAADDAESWNVQVFRSIDGGAAAGFPENPDEAAARGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRQNDGVTVEAINALHLIPKELSLKIVSKIEAGERFAVYVVVPLWPEGVPESGSVQAILDWQRRTMEMMYKDITLAIRAKGLQADPRDYLTFFCLGNREAPSPGEYAPPERPDPDTDYERAQQARRFMIYVHAKTMIVDDEYVIVGSANINQRSMDGGRDTEIAMGAYQPSYLATRNRPARGQVHGFRVALWQEHLGQAAAAGAGDLLLRPSSLACVRRMNQVAQQYWDMFASDTFQGDLPGHLMAYPVVVGDEGKITGMTQQFPDTKAKVLGGKSNVLPPILTT >PAN49335 pep chromosome:PHallii_v3.1:9:60680443:60685731:-1 gene:PAHAL_9G442200 transcript:PAN49335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPQTTQLLLHGVIDARIVAADLSVTSDGQLQPTKKIQMKRKVFSWIMKLPFCKCKQPLENAIGLGMDGKLYATVDIDKARVGRTRMLDPVHSPRWNESFLIYCAHDASNIIFTVKADNAVGATLIGRAYLPTKDVVAGRKVERWLDICDEKRQPLGGGDKIHVQLQFTDVAADPEGGWGTGVGTAAYRGVPRTFFEQRRGCRVRLYEDAHIADGFVPRVQLAGGRMYEPRRCWVDVFEAINAARRMVYIAGWSVNTDVQLVRDPRQPSSETLGQLLIRKADEGVTVLMLVWDDRTSMGLGPIKRDGLMATHDEDTAGFFRGTKVHCVLCPRNPDKDRSYVQDVETATMFTHHQKTVIVDGGGSQGPNTPGLVSFLGGIDLCDGRYDTQEHPLFRTLGTTHNKDFHQPNFPGASIKKGGPREPWHDVHCRVEGPAAWDVLENFEQRWRKQGSGDNLLVTLDKGWASREAADDAESWNVQVFRSIDGGAAAGFPENPDEAAARGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRQNDGVTVEAINALHLIPKELSLKIVSKIEAGERFAVYVVVPLWPEGVPESGSVQAILDWQRRTMEMMYKDITLAIRAKGLQADPRDYLTFFCLGNREAPSPGEYAPPERPDPDTDYERAQQARRFMIYVHAKTMIVDDEYVIVGSANINQRSMDGGRDTEIAMGAYQPSYLATRNRPARGQVHGFRVALWQEHLGQAAAAGAGDLLLRPSSLACVRRMNQVAQQYWDMFASDTFQGDLPGHLMAYPVVVGDEGKITGMTQQFPDTKAKVLGGKSNVLPPILTT >PAN44842 pep chromosome:PHallii_v3.1:9:4289037:4293972:-1 gene:PAHAL_9G074400 transcript:PAN44842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAVVKGESFSPSCPTLIMPALSIGNVGQLAVDLLISSARARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESTSHGLAFIQQRSPVITGMMVSFAKNVADFISNIGKDHIVILSSLDSGKRRVIDASSDMQVYYLSSCNEDGSDPEYEKLGWKKLEEYDPSHKRWSCLTGLVEGGDFSEDMVNDTDEMTINDYYASLPFAALFSACKAKGLKVSCVLCYCSEGDNMPESFQLAEAVCKLLGHGPEKLHGNGSNGWTVPLSWKSIYGPPPDMSIF >PVH32793 pep chromosome:PHallii_v3.1:9:63737459:63739579:-1 gene:PAHAL_9G488800 transcript:PVH32793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDILYRAPDRAAESAANMGCPPAGAGARARFSSSAASRHVFLVASTRKRKACLPILPAGRPGCARAAQGLASPSPCPSRGDTCLSPGRDTGSTARRGRRKHSGARDARTQRRVSPAHPPRLGLVLRSDPAD >PVH31146 pep chromosome:PHallii_v3.1:9:3869721:3871484:1 gene:PAHAL_9G067000 transcript:PVH31146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVPRPTPPGFCFKVVSYGEPFSASSSSSSSTDGGSDFLDETTIREALFGAAACSRGAGIDDGGTVTHEEDRESEVGEHDGTGSSVEAPRTSSASRTSVSPCKALPEVASGVEEEDAESSGEEEDMENSGEEEENDDASSGEEDSEISEEEEEEEQDVDGESSREDCFEIPATRYTAIDADDKGEQPGFPGRSYDDIDDSDDDMVPSSRTCTAHNAEQATGIEVPAPLLFWIDEDHQHDDIDTDSDMEEEGSSEPVCREYDGIDDSCGTESEGV >PAN51925 pep chromosome:PHallii_v3.1:9:72980743:72984160:1 gene:PAHAL_9G630300 transcript:PAN51925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPGRTPWLLLLLLLLGLASAAVAVAAEASEGDADPLYKACVEECQKTGSLKDTSIKHCVVPTDGKPAVKSWYTHEPLYLQWKEWNCKSECRYHCMMERENERTELGLPPVKYHGKWPLKRASVFQEPLSAALSAFTLVVQFNGWLSFFLLLYYKLPLRPETHKTYYEYTGLWHIYGLLAMNSWFWTAIYHSCDTIWTEKLHFSSAAAFLGYSLIFAILRTSNLRDEASRVMVAAPILAFVTTHILYLNFYQLDKGLNMKVCTVISIVQFLLWALWAVMTRHPSRLTIIFFAIGGVVSVFLEAYDIPPRWGYVDGRAICLAVAIPLSYLWWSFAKEDAEMRTSAITKKTR >PVH31645 pep chromosome:PHallii_v3.1:9:12741176:12741415:1 gene:PAHAL_9G199600 transcript:PVH31645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L16 [Source:Projected from Arabidopsis thaliana (ATMG00080) UniProtKB/TrEMBL;Acc:G1C2Q4] MSRQFRRNCKIWVRVLADLPITGKLAEVRMGRGKGNPTGWIARVSMGQIPFEMDGVSLSNARQAARLAAHKPCSSTKFV >PAN51917 pep chromosome:PHallii_v3.1:9:72902307:72904524:1 gene:PAHAL_9G629600 transcript:PAN51917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNRKRRAAAMEPAAGGAGQQRQNKASAGKKAKKGGGRWPAVKPKKDLQINRLKGTHLLTIPDFFTSAEAKAFIDVAESMGFTHQSSLGPLKGEAYRDNDRISVTDPLLAQAIWESGINRIFTDINVSGKVATGLNPNIRFYRYTEGQRFGRHIDESVDLGDGSKTYYTLLIYLSGRGSAKDSSGQALVGGETVFYDQRGGIVAEVAPVQGMALLHLHGAKCMLHEARVVKKNAKYVLRSDVVFS >PVH33011 pep chromosome:PHallii_v3.1:9:67696577:67698356:-1 gene:PAHAL_9G546900 transcript:PVH33011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVSKLMNATADDIAEANNVTSSFTSVMGPAMLIPVRQPPQLPPLRYAASAGDGKSRSRRRGIIIGLGISGSLVALAAVCVAILAHRRYRKKASVRLGSRFVSPKLSWDKNQYGLERSNSFAHMIKGGDNKLLTGVSQFIDKPIIFVEEEIMKATTNLDERCRIGSSYYRAKLDGEVFAVKPAKGDLSAELRMMQMVNHANLIKLAGVSIGADGDYAFLVYELAEKGSLDKWLYQKPPSALPSSSCTVAATLSWVQRLSIALDVANGLLYLHEHTQPSMVHGDIRARNILLTADLRAKISGFSLAKPATTDAAATSSDVFAFGLLLLELLSGRRAMEARIGSEIGMLWREIRAVLEAGDKREAKLMKWMDPALGSEYQVDAALSVAGMARACTEEDAARRPKMAEVVFSLSVLAQPLSVADAFEKLWQPSSEDNIRIAGSVAAR >PAN46634 pep chromosome:PHallii_v3.1:9:12771360:12774533:-1 gene:PAHAL_9G200700 transcript:PAN46634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAYRDSIKVLEADIQHANTLASEFPRDYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDVEDKKQKAVCMERYRKKDEDERSSLSDIDVEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTCPGDLWIYVEDQDVVDMETVSSENLRRLFMYISKLPLIVPDVIFSVYDSHIK >PAN44097 pep chromosome:PHallii_v3.1:9:954151:957822:1 gene:PAHAL_9G016300 transcript:PAN44097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g13880 [Source:Projected from Arabidopsis thaliana (AT3G13880) UniProtKB/Swiss-Prot;Acc:Q9LRV9] MYAKCGMIDEVRLVFDRAQERDEVSWNLLLLAYVRMGWPEVAVNVLVWMHRSGVKLDAFALGGILKACSELKDSEDVRMMLHGCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPGQNVVIYNAMIAGFARLGNEPCPEIRIEAVRLYADLLQKRIRPSKFTLKSVLEVCNLTNAVRCGRQIHAHVILGGFEYDEFIGNALINLYAKAQLVDDSLRCFHRTPKQEIFTWTSMITAFVQDGHSFKALNLFKELLYLEKEPDQFTMSGVMNACSALSVPITCEQIHCYAVKSGFDQFTLCGNSQIEMYRCTGDLKAAKKTFEKISCLDTFSWSQMILSYAVHGHEREALLLFKKMFDCGVVVNKFTFLAVLIACSHQGLVDEGFRHYESMVSDYGFVPDVNHIACMVDLLGHVGKVADAEDFIMRSGLENDAVLWHALLRACRINGDKNRGVKIGEKLMTLEPSAASSYVMLYSLYMDAGKISLAMRTRGQMRERGMTKEAGISWAEFGGSIHQFADGDNSCSQKPESFTRLEELLVRVKQKTEHGGMNVWELGFQARKVGENSISKHGELLVVALGLSTLPNTAPVRVMKNQNMSWESHETLKLLSEGENREIIIRDPARFHHFSQGSCSCRDYW >PVH32306 pep chromosome:PHallii_v3.1:9:53379576:53392537:-1 gene:PAHAL_9G365400 transcript:PVH32306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAALAPPERETAAEWGDGVVALGFRVKASSRESPSQKAGNVLEADLRSHWSTATNTKEWILLELQEPCLLSQVRIYNKSVLEWELTAALRYKPEAFVKVRPRCEAPKRDVVYPANHTPCRYLRISCLRGNPIAIFFIQLYGIPVPGLEPELQPLLSYLLPQITSAKQPPSHNMHLQLLKDIARRLPPFLPQIEADLNNIADSPESSVRFLVLLAGPFYPILHLVNERDPTKSLLSSADSDALRTSPAATPTVSSNFEAQPRRSRSPSSVQPPSYLLAFRSETAMLLLRKAHKDKTLGVVCLRASKVLQKLLECELFSDKSMSNGVMLSSHVCDEIPKSDASSLVLSTDYSSMFGEEFSLSENHFDGSFLNILDIAAVEEGILHVLYAAASQPQLCRKIAEVTSDIWSVLPLVQALLPALRPPLSPGPNEQIDDSFSQWNHTNVQKALSQIVTMSVSSSIFHPLLRACAGYLSSYLSSHAKTACVLLDLCQGPLVPWIPMITAKVDLAVELLEGLLGIIQEAGHYLARSRAALKYVLLAISGHMDDVLTEYKEVMHKLLFILEMLDPFIDPSTSAMKDTVIFGGISAIYLEKQSSASDIALHIIRTAVKRAAVLPSLELEWRRGAVAPSVILSTLDPHMPLPPDIDLCKSSVHEINNASLAVLDNPAPQPCSAENIDGRDASETTVQAESFEQCKFLFAPEELNQSELTGLCTLKGKGSDVITQTSLNQDIPESRRINEKLLSDPFLLDDIVAADYFDAKADYLQLENYQDCELQAQEFHRLALNLCMQQEPTFEGHNAGIDALLLAAECYVNPFFLLDFQSNLEHLDKIERIHSELMQGNALFMSKKLHLKDVDRKTISNLENKRDRSVIDLLLQAATFDCEYQEKVPEGEPYPNVAKDGKRSVEISTEALQFADAVTLVRKNQAMLCHFIMKQFQRKGHLCSEILLQSLLFLLHSATDLFCPPENVIDMILKSAENLNEQLACLYSCANAGNNKLDRVKVHGLRRRWALLQKLVLASSGSDNVRETARTKRDGLRFRSLVPPSTWIQKISNFSRFSSPLPRFLGWMAVSRYAKEYLNERLFLASDFSQLTSLLSIYLDELCLMDGVATQKVRPAKGERSNCKHFFLQKENTLSDQGSMAKQFRILLPELHFFFPSMSKLFNAFGESILEAVGLQLKCLPNSAVPDVLSWFSELCLWPYLEDIKEHLIVANRISYLRGSIAANAKAVVFYLLESIITEHMEAIIPEMPRIVHILVSLCRASYTDVAFLKSVLCLMKPLITYFLRKGTDDTKVFGHVTEGTNFELLCFEELFETVRCGKDSEDASVDKIQVPLLIFILGSMFPDFSFERRIEMLGSLLAWADCISSDPPSLLCSYLQGFLTLFDGCETVLVQNIELLGVSILSATGQSIESTDSLGVDGTMQLEKNTQDIEEQILMKSTAYCENDGSHKGVYSLRPSNIIEFCGALEKFISHLTPSIESSWKWHHQLASGLSLSIAKCLLFAKFLKSIAQEDTVSSSSEQDVAAKISSEFAQKHWQSALEGLGEIILANQKTQCWQVASAMLDYIMSVPNVLAWGNVLSVTCSAVKGFCSHAPRISWRLQTDKWLSLLVSGGIEGFKNSEMCLIDLFCTMLSHSEPEQRSIAVQQLGRIIKSTSSTEADLESPTFDHNLLTSGSTVTSLLVTRTWDIVAALALHDSSMVLRNQAMALLTEYVPFVDRKHLQSFLASSNNMALIPECVWQKLENMQTSTGGFGYMEKDLCRALCQLRSESDAKTVVKEVLSGCTTSQAVSPDFKSIRETILQVMSSLSSVEAYFEFFSARSAQEYEELEEAEIELDLIEKEKTVHSFVDHPHDTVVPDMPSYPNDDNDVNKRLQQVRENIRSLERSRLKEEITARRQKKLLIRHARQKYLEETSSREMELMQELDRERSLEMEREVERQRQLDVERAKSRELQFNLDLEKEKQTQRELQRELEQVELARSSRREFSANPNSRSRERYRERDGGRAQQEAGSLRSSSRGHEGGSAQAPVPAGGPAVVLAGSRSYSGGNLPTILQPRDRAAADEDAAWTEGSRDSGDASSIGDPEFDGPRPQGPRGGGKPSSRQVLERRERDGTGAGRREGKWERKQHS >PAN48176 pep chromosome:PHallii_v3.1:9:53379903:53392441:-1 gene:PAHAL_9G365400 transcript:PAN48176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAALAPPERETAAEWGDGVVALGFRVKASSRESPSQKAGNVLEADLRSHWSTATNTKEWILLELQEPCLLSQVRIYNKSVLEWELTAALRYKPEAFVKVRPRCEAPKRDVVYPANHTPCRYLRISCLRGNPIAIFFIQLYGIPVPGLEPELQPLLSYLLPQITSAKQPPSHNMHLQLLKDIARRLPPFLPQIEADLNNIADSPESSVRFLVLLAGPFYPILHLVNERDPTKSLLSSADSDALRTSPAATPTVSSNFEAQPRRSRSPSSVQPPSYLLAFRSETAMLLLRKAHKDKTLGVVCLRASKVLQKLLECELFSDKSMSNGVMLSSHVCDEIPKSDASSLVLSTDYSSMFGEEFSLSENHFDGSFLNILDIAAVEEGILHVLYAAASQPQLCRKIAEVTSDIWSVLPLVQALLPALRPPLSPGPNEQIDDSFSQWNHTNVQKALSQIVTMSVSSSIFHPLLRACAGYLSSYLSSHAKTACVLLDLCQGPLVPWIPMITAKVDLAVELLEGLLGIIQEAGHYLARSRAALKYVLLAISGHMDDVLTEYKEVMHKLLFILEMLDPFIDPSTSAMKDTVIFGGISAIYLEKQSSASDIALHIIRTAVKRAAVLPSLELEWRRGAVAPSVILSTLDPHMPLPPDIDLCKSSVHEINNASLAVLDNPAPQPCSAENIDGRDASETTVQAESFEQCKFLFAPEELNQSELTGLCTLKGKGSDVITQTSLNQDIPESRRINEKLLSDPFLLDDIVAADYFDAKADYLQLENYQDCELQAQEFHRLALNLCMQQEPTFEGHNAGIDALLLAAECYVNPFFLLDFQSNLEHLDKIERIHSELMQGNALFMSKKLHLKDVDRKTISNLENKRDRSVIDLLLQAATFDCEYQEKVPEGEPYPNVAKDGKRSVEISTEALQFADAVTLVRKNQAMLCHFIMKQFQRKGHLCSEILLQSLLFLLHSATDLFCPPENVIDMILKSAENLNEQLACLYSCANAGNNKLDRVKVHGLRRRWALLQKLVLASSGSDNVRETARTKRDGLRFRSLVPPSTWIQKISNFSRFSSPLPRFLGWMAVSRYAKEYLNERLFLASDFSQLTSLLSIYLDELCLMDGVATQKVRPAKGERSNCKHFFLQKENTLSDQGSMAKQFRILLPELHFFFPSMSKLFNAFGESILEAVGLQLKCLPNSAVPDVLSWFSELCLWPYLEDIKEHLIVANRISYLRGSIAANAKAVVFYLLESIITEHMEAIIPEMPRIVHILVSLCRASYTDVAFLKSVLCLMKPLITYFLRKGTDDTKVFGHVTEGTNFELLCFEELFETVRCGKDSEDASVDKIQVPLLIFILGSMFPDFSFERRIEMLGSLLAWADCISSDPPSLLCSYLQGFLTLFDGCETVLVQNIELLGVSILSATGQSIESTDSLGVDGTMQLEKNTQDIEEQILMKSTAYCENDGSHKGVYSLRPSNIIEFCGALEKFISHLTPSIESSWKWHHQLASGLSLSIAKCLLFAKFLKSIAQEDTVSSSSEQDVAAKISSEFAQKHWQSALEGLGEIILANQKTQCWQVASAMLDYIMSVPNVLAWGNVLSVTCSAVKGFCSHAPRISWRLQTDKWLSLLVSGGIEGFKNSEMCLIDLFCTMLSHSEPEQRSIAVQQLGRIIKSTSSTEADLESPTFDHNLLTSGSTVTSLLVTRTWDIVAALALHDSSMVLRNQAMALLTEYVPFVDRKHLQSFLASSNSILNGLGRLSGVIEEGYFTRLSLLLLSRACLYSTPEDMALIPECVWQKLENMQTSTGGFGYMEKDLCRALCQLRSESDAKTVVKEVLSGCTTSQAVSPDFKSIRETILQVMSSLSSVEAYFEFFSARSAQEYEELEEAEIELDLIEKEKTVHSFVDHPHDTVVPDMPSYPNDDNDVNKRLQQVRENIRSLERSRLKEEITARRQKKLLIRHARQKYLEETSSREMELMQELDRERSLEMEREVERQRQLDVERAKSRELQFNLDLEKEKQTQRELQRELEQVELARSSRREFSANPNSRSRERYRERDGGRAQQEAGSLRSSSRGHEGGSAQAPVPAGGPAVVLAGSRSYSGGNLPTILQPRDRAAADEDAAWTEGSRDSGDASSIGDPEFDGPRPQGPRGGGKPSSRQVLERRERDGTGAGRREGKWERKQHS >PAN51090 pep chromosome:PHallii_v3.1:9:69164794:69167303:-1 gene:PAHAL_9G569100 transcript:PAN51090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRETESDGEELGGEASNPVSGGGTPPPLAAAPVVCLLRSAGDFAGGAFVGSIVGYGQGLITKKGFKGSFSNAGSSAKTFAVLSGVQSLVVCLLRRLRGKDDIVNAGIAGCCTGVALSFPGAPQALLQSCATFAAFSCIMEGLNKQQAAMAHTLGTTALMVAHDKGGVLPPFTLPPILDASDALASCCQALVKPKH >PAN45331 pep chromosome:PHallii_v3.1:9:6472274:6476261:1 gene:PAHAL_9G110700 transcript:PAN45331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMQAAEAAGRLSALLSLLALRRLLAVLQPLALLLLLPFRWRARPGAAAADAASDAAPSASGATGKKGKASASVVLRVPAGSPMVAARRQASARREIAIRRAREGGREYELISTARGETLFTQCWWPYPPTSTSKPRALVVVMHGLNEHSGRYDHLARRLNEIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKVSAENPGLPCFCFGHSTGGGIILKAALDPEVDALIHGIVLTSPAVRVQPAHPIVRVMAPLFALIAPRYQFTASHRNGPPVSRDPEALRAKYTDPLVFTGAIRVRTGYEILRLTSFLQQHLHRITVPALVMHGADDMVTDPEGSRALYELSSSADKALRLYDGLLHDLLIEPEKDVVMEDIVAWLRPRV >PAN46775 pep chromosome:PHallii_v3.1:9:13837706:13841496:1 gene:PAHAL_9G213600 transcript:PAN46775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSGSGAMDTAASRNATAAAAPGAAGGLAGLLPEVQTLELLVAVSIFVAIHSLRQRRAQGLPAWPLVGMLPSLLLGLRGDMYEWITGVLQARGGTFTFRGPWFTNLHCVVTADPRNLEHLLKTRFGSFPKGPYFRDTVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTASSLVELVHRRLLPVLADAEAAGAAVDLQDVLLRLTFDNVCMIAFGVDPGCLRPGLPEIPFARAFEDATEATIVRFLTPTAVWRAMRALGVGNERVLQRSLAGVDEFAYDVIRRRKEELDAGRGAADAGRRSDLLTVFTKMRDEDGRPAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLGNNPAVEDRVLEEIEGIVAARKAQGEVEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLRKGTKVIYAMYAMGRMESIWGDDCREYRPERWLRDGRFTSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILRRYRVRVVEGHPVAPKIALTMYMKHGLKVTLAKRDKGSSRL >PVH31203 pep chromosome:PHallii_v3.1:9:4726705:4727028:-1 gene:PAHAL_9G081800 transcript:PVH31203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLPRPRVMVLPFPAQGHVMPLMELSHRLVEHGLEVVFVNTDFNYARILAAMAGGEAEATTTHGGGIDLVSFPDGMGPDGDRTDIGKLLEGLPAAWRRRSGPRR >PVH31994 pep chromosome:PHallii_v3.1:9:29812039:29813016:1 gene:PAHAL_9G286400 transcript:PVH31994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQKAGFPGTTRILRMGFQLSTFCFEILRRVALWRAHSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRHPETKKGVASDEMLRGVENKHRSRDSQIGQPF >PAN45949 pep chromosome:PHallii_v3.1:9:9455616:9457360:-1 gene:PAHAL_9G153200 transcript:PAN45949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPARARRAPAALLVPVRGLLEARVPWARDRALDHAVERERHLVPFLLAKDALLAATPPPHAVPLHSLPSTIPFPFRPLRFLRLYPSAFALSPHPIEVSPTPRLSALHAAEAQVVDATRPDAADRLLRLLMLAPSRALPLRLVARLRLDLGLAPDFQRSLLPNYPDYFALSPDGTLLELVCYRKDLAVSAMQSYAQRTGGYKVGDAVAFPLSFPRGFELDKKVRKWLDEWQRLPYISPYEDGSHLAPRSDITEKRTVAVLHEVLSLTVGKKMEKEVLVKLGEALRLPPGFRKVVARHPGIFYMSHKLRMQTVVLRESYRRHMLVDKHPMMGIRYQYLHLMHMGKEEVGKGKGKDRKSSRGEQMIGEEFGAEGEDGDNEEDYDDEVDGDELDEEDMEAGVASEDEESDDDIDEDTGKQIAH >PAN48663 pep chromosome:PHallii_v3.1:9:56781739:56784487:-1 gene:PAHAL_9G397600 transcript:PAN48663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPAAAIVLHRVLVARSAAAIPLHRAPAPRGPAPILVAPPRRRRWRGPLRSLPPEGAPAELMEEDSKFVPLNADDPMYGPPALLLIGFEKGETVKIQAFLKELEGEFLKVIHCTEEMIKQTLWDAMHTEQSNLEAVKIADSLPRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSSEKVLGEVIEEIMGDHEMLTGKNTE >PAN44983 pep chromosome:PHallii_v3.1:9:4938727:4950566:-1 gene:PAHAL_9G085900 transcript:PAN44983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQHSRARLSPDEQIAAEKSFALYCKPVELYNIIQRRAVKNPAFIQRSLLYKIQARRKKRIQITISLSGSTNTHAQNIFPLYVLLARPTSNITLEGHSPIYRFSRVCLLTSFSEFGNKDKTEATFIIPDLKTLSTSRACNLSIILISCGQIGQIVGEDNCSGNYVEGSSLRKLEGKCSWGKIATNLLASSLEKCVTLSAGETVDLVSTVTMSPSFLEPKFLEQDNCLTFCSHKVDATGSYQLKVCISAEEAGARDLSLSPYSNYSYNDVPLSILPEIIRLRAGNVIFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKGLGCHLNSSHDLFHFEFWISEECQAVNVSLKADAWKTELLAEGVDPRHQTFSYRSRFKKRRRLVAKSEKFRHVHSHIMEPGSHEDAQAMSEDDYVQRENGHSVADASVDPSHTVHGSNLSPPTVLQFGKSRKLSVERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDHDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSRCHGQQLVQNPAMLWGWRFLMIKLWNHSLLDARTMNTCNIILQGYQDGSLGPK >PAN47234 pep chromosome:PHallii_v3.1:9:16581891:16583522:1 gene:PAHAL_9G243700 transcript:PAN47234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYATYLVREMELFRFLFGWEYKDGIVITSLTTVMWDPLGRSPNPLLPLHLFPVPSDLGHGSTSPAPPASHPRAGRPRPPCAAGLPPRTAHAPRRPRALPPELRPRTASAPAGAPHPRRAAPPLELCPCDVGDPRLRAEIRLDPRSASASCFAPARAPCRAPLPRLAPPRPELVLRAVLHAGSRSASASAPQRHDERRRGSGTVRSILRISNLEGIFALWNGCISHS >PVH32931 pep chromosome:PHallii_v3.1:9:66477901:66478387:1 gene:PAHAL_9G528300 transcript:PVH32931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLACPLCVVRDPRCSAACCLGYVGFQPAQPRRTARRLQRVGKLPLRPRVNLQGLRFDPPPPPPPRSHGILPRVPRLRPWPCTGMAWPGAALPTHGKDAPDMCCQLAADQARDSTRVPSSVKSHVLRTCGPWTTHAAIGFLKCSEVM >PAN47551 pep chromosome:PHallii_v3.1:9:32872910:32873992:-1 gene:PAHAL_9G302000 transcript:PAN47551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHRAGRITDDEINELISKLQSLLPESSGRRAASRSSASKLLQETCRYIRSLNREADDLSNRLKELMATMDGDSPQADIIRSLLRSL >PAN44427 pep chromosome:PHallii_v3.1:9:2329269:2330288:-1 gene:PAHAL_9G042200 transcript:PAN44427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQASAATRHLLAAAWSPAKAPRSSQLALPSSSRGPAPLRAAAEEPAAAAATEEAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNTFDL >PAN51219 pep chromosome:PHallii_v3.1:9:69775352:69781358:-1 gene:PAHAL_9G579400 transcript:PAN51219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGCLSSMNITGVNQARSFAGQLPTQRCFPSSHLASFAVKSLVLRNKGRSSQRRHSALQIVCKDFPRPPLESTINYLEAGQLSSFFRNSERPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDEDGDWYETGLHIFFGAYPNVQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPVNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSRGGEVRLNSRLKKIELNSDGTVKHFGLTDGTQITGDAYVCAAPVDIFKLLVPQEWSEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNCSMLELVFAPAEEWIGRSDAEIIDATMEELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYSRLSLRSQKSLQSEEVPVAS >PAN49431 pep chromosome:PHallii_v3.1:9:57528684:57529739:-1 gene:PAHAL_9G406500 transcript:PAN49431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDDDYDDDLNNEDNTEVQVMVPGTRPKKQKTTQMAAAKQLPPCSPTKFTRKQAARPTGGRPPPRDANQLPPGSYN >PVH32108 pep chromosome:PHallii_v3.1:9:38221284:38224677:1 gene:PAHAL_9G317300 transcript:PVH32108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRPKSKKHGSGAAALWLLLPLLVMIVLKTNLLPQVARYSIVGSPPSAASGDKMAGDVDATKGYNQQNQIDTTSREEDSSVRNSDVAAPLGSKLSCNFSDHQSNTCTMEGDLRIHGKSATVYVASASTYRPENSTIKLRPYARKWEDQVMLLVREVTVRSSPPAGGADDDAVTPPECSIRHDVPAVVFSTGGYNRNFFHVMTDVIIPLYLTAREYDGRVQLLATDYEPKWIAKYKSILAALSAYPVIDMDSDDDGVRCFPSARVGLESHKEFGIVPGLSRNGYTMTSFREFIRSAYSLQRPRAAPASRSSGGRKPRLVLILRRNSRQLKNEADAIAAATEVGFDVVPAGPDDVSDLERFSAAVNSCDVLMGVHGAGLANMLFLPDNATVVQIIPWGELKWPCRHSFGEPVAPMGLRYLEYEATADETTLNETYPRDHAVFTDPISVHRQGFDKVWNIFINGQHVIVDIKRFRGFVEQLYKATTTE >PAN44244 pep chromosome:PHallii_v3.1:9:1567256:1569536:1 gene:PAHAL_9G028000 transcript:PAN44244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEAAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAAAASPAPVSNGAAAPAPASASLFPSGLRRP >PAN49318 pep chromosome:PHallii_v3.1:9:60571622:60573461:-1 gene:PAHAL_9G440600 transcript:PAN49318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSSRRPCSACRTKAMAGSVVGEQVVPGQRVTVLTIDGGGIRGLIPGTILAFLEARLQELDGPEARLADYFDCIAGTSTGGLITSMITTPGEDKRPLFAARDINRFYFDNCPRIFPQSRSSLTAAMSALRKPRYSGKYLRSMIRSMLGETRVSDTLTNVVIPTFDIKLLQPIIFSTYDARSTPLKNALLSDVCISTSAAPTYLPAHYFKTQDAGGKAREYNLIDGGVAANNPTMVAMTQITKKMLGKDKEELFPVKPADCRKFLVLSIGTGSASDEGLFTARQCSRWGVVRWLRNKGMAPIIDIFMAASSDLVDIHSAVLFQSLHSDRDYLRIQDSSLRGAAATVDAATPENMRTLVGIGERMLAQRVSRVNVETGRNEPVPGEGSNADALAGLARQLSEERRTRIARRAAGGCAGGSRCCSPAKT >PVH30874 pep chromosome:PHallii_v3.1:9:154339:155256:-1 gene:PAHAL_9G001800 transcript:PVH30874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGMMGRAMLAPLLVLNLIMYIVVVGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGIHHVRSWRGDTLGTSAASALIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGLFGNHFVPAAHGGGGYPADYAAGAAGDKPTARV >PVH31780 pep chromosome:PHallii_v3.1:9:15219229:15221730:1 gene:PAHAL_9G230900 transcript:PVH31780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFDAEFWVKYIEMAADFGKEQPWQVELDGAAMGKLDDADRGQGIAQAILGHIGPSAHGYSPSQSARHLTRRLISALRQPPRGLLRARASRRRPRPPVPEKGGREGRRRREGGVAEEAIADQSRAPPPVKSATAAPSPTDSSNPRRGWLTTASSCRW >PAN49137 pep chromosome:PHallii_v3.1:9:59466229:59471443:-1 gene:PAHAL_9G428800 transcript:PAN49137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARMAEFSQEVKKDFDRQMGCMAGMFQIFDRRRLLTGRQRGGSPGTGNELPSGHDLPGSSIYAPVYNSAHPNTTPEKSFSKSTTENSILSMESSRVSSSSSSCSSLSSLDGSKPVQQELPYINEELVEGRTVRNSRSLKSSNKVVKSKQRNTDFRDVVKDSISRDSGVLTIKTTTMAQRNGLHKDSPRPLLISKSTDGTYVIAIDRSSGLPAYVGEPSRQPRFSCDDRQMLQQAEAQDSQMPSSKLRELPRLSLDSTKESVRPSSHLNDFGYAKADDSLIDNLKSQASPGHRRASSVIAKLMGLEETLDSSGPARSHRQAHDIQNGHPSQIPRSICPDSSVSQPMVQPPILKTCEVRFVPEAAPLKQRERGITRYNDEARPRSASTYNDMERRLRHLALSECNKDLRALRILGNLHAKHTPFERDYNARLLPIQKATAEGNNTTAQDLQSPVVIIKPARGIMRPNASVASLAGPKVHRKLQHEERPFTRKSDNSDRKKTHPHHGRVHSRAEEAVGSTNSPSPSRLLSPRLVQKKSDCGRIPRLSVPPMSPGKTPDEVVSPRVRLRARAAQANNICRDDKMSMIPESRISLSKHVDMGIIDYPNLNVNTSCSHQSNTVSKLNNEEPPPILSSNKKNIHPLENIPSPISVLDAMFCQDGLSPSLRNISNSFQDVSTHTLDECWNPVSLPDTPILKKNCEGDHKLPENMTALIQKLELLQLLSDEAPSTNDNLLMDTANKDRHYIYEILSASGLLHSELGSRMMPCQFQLPSYPINTGVFLILEQAKPAAGKLHRKLIFDLTNELIAQKIRNGGSVRQPLQLFRCKKSSGLHLFKELCSEIEILQSEASIIRLSEEEEEESKPAKNAVDEMGKWKSFDSELQGMVLDIERYIFKNLIDEVINGEAMRKV >PAN51916 pep chromosome:PHallii_v3.1:9:72896460:72900971:1 gene:PAHAL_9G629500 transcript:PAN51916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDDDESIAWVVADGTGDAPPVLLHGSLDIWIHDAHNLPNKDILSKTVRDLICKSKSSEAMTSDPYVTVQVASAVVARTFVIPDDENPVWEQHFVVNVAHEAAAVNFVVKDSDVVGAELIGVVSIRADCLKTGDRVEGTYPVLDRNGKECAPGAVLRLSVQYLPVARLTMYHHGVTPGPDFAGVPRTYFPLRRGGRVTLYQDAHVPDGTLPEIRLGNGACYRQGQCWRDVYDAISHARRLIYITGWSVFHTIHLVRDGTGGMALGDLLRRKSQEGVRVLLLVWDDPTSRSVLGIKMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADADNHRRKIVAFVGGLDLCGGRYDTPRHTLFRTLQTFHKEDYYNPNFAVEDARGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKRSGSKKLTKSRNDTLLWIEKIPDIATIDDEVYSNDNDPERWDVQIFRSIDSNSVKGFPKDPREATSKNLVCGKNVLIDMSVHTAYVNAIRGAQRFIYIENQYFLGSSFNWDSNKDIGANNLIPIEIALKIANKIYANERFSAYILIPMWPEGNPTGTPTQRILYWQNKTMQMMYEIIYKALKEVGLDGKYEPQDYLNFFCLGNREAEDTSCSSSGSFSASNPQDQARKNGRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQHTWANTLSAPRGQIFGYRMSLWAEHIGAIEESFTRPESLECIRQVRHIGQKNWDQFFSSHATKMKGHLLKYPVSVDPDGKVNPLPECATFPDLGGNICGSFMNIQENLTI >PAN46632 pep chromosome:PHallii_v3.1:9:12760055:12763263:-1 gene:PAHAL_9G200300 transcript:PAN46632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKELVIQRNGPMDIREIAAKATLREVRQSGHPYVELRRVGKRVIFFCTVCLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQTTRELALVPQPEVSGNDTEVTSKLRDGSSSCNGAKGERNCAKGRASGRVAAIPEDRVLSNCGTDGPLVIPGVLLKDVVSDLPVHLLGYGNISYRICEGNKNCKKISKIWCAWVGQEGSHGSDSCNTYEQSGFAIVNFSYTHDLGRKWSSDEQDLSVSAGSFFVIDDAGHRGKRLKKSFSDQEASSEESNGQSSSPQGSSQAIVTGSPTGTSHNLQVGLLSSKSVRRELRKQKRIAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSSGAYHLFHTSCLLHWTILCQYEMLTDQLVTKGKSNRGRKAKNAPKKTKITSIFCPECQGTGTHVKGDELEKPSISLSEMFRFKLKAIEAHKAWLKSPELLENCSTGLHFPTEHLENSEEKVMPLKSIPFYAADG >PAN45129 pep chromosome:PHallii_v3.1:9:5503862:5507800:-1 gene:PAHAL_9G095600 transcript:PAN45129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEEEEAAAAPPDGGAESESAEISAEGVMEGEEREAAAEYSWPQLHFDRPPHRLYHFARQFRSVAPATGSGSGENFLKGVKWSPDGSSFLTSSDDNSLRLFYLPEDAYGGAEHVAEAAVGGEDSYGAFLQVNEGEPVYDFCWYPCMSLSDPATCVFASTSRDHPIHLWDATGGELRCTYRAYDAMDEIAAALSISFNSTGSKLFAGYNKAIRVFDVHRPGRDFEQYSLLKGGEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSADTTNQRIYFDIEPCGRHLATGGQDGMVHVYDLQGGQWVTGFQAAADTVNGFSFHPYLPFAVTSSGHRRFGMQDEFEDELNMGGDENCCSVWMFSSSQES >PVH31470 pep chromosome:PHallii_v3.1:9:9457994:9460309:1 gene:PAHAL_9G153300 transcript:PVH31470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGGADRSGLQIDYAGVGTGAGVSASRRRFAPTESLARGVITQGSAQLRTIGRSIRAGATMAAVFQEDLKNTSRRIFDPQDRMLVRLNRAFLISCIVAIAVDPMFFYLPMVTDEGNLCVGIDRWLAIATAVVRSVVDLFFLVRIALQFRTAYIKPSSRVFGRGELVIDTAQIARRYMRRFFAADITSVLPFPQVVIWNFLHRSRGTAVLDTKDRLLFIVFIQYIPRVVRIYPISSELKRSSGAFAETAYAGAAYYLLWYLLASHIVGAFWYLLSIERVSDCWRDACNEFPGCNRIYMYCGNDRQLGFLEWRTITRQVINETCEPQKDGRAPFNYGIYSSAVESSVLKSKDTASKLLFCLWWGLANLSTLGQGLKTSIYTGEALFSIALAIFGLILMAMLIGNIQTYLQSLTVRLEEMRVKQRDSEQWMHHRLLPPELRERVRRYDQYKWLNTHGVDEEALVQNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPSLCTEHTYIIREGDPVDQMVFIIRGSLESITTDGGRTGFYNRSLLEEGDFCGEELLTWALDPKAGVCLPSSTRTVRALSEVEAFALPADELKFVAGQFRRMHSKAVQHTFRFYSHQWRTWAATYIQAAWRRHLKRKAAELRRREEELENEEGKSSIRTTILVSRFAANALRGVHRQRSRRAGAVNELLMPVPKPREPDFGRDDY >PVH32112 pep chromosome:PHallii_v3.1:9:38693514:38693741:-1 gene:PAHAL_9G318300 transcript:PVH32112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKRRKKAQRKIGFRSYIKNLEILYGISTLFIFLFLRMHLCGPDNICMDLIVVELRSFLF >PAN49798 pep chromosome:PHallii_v3.1:9:62792410:62796695:-1 gene:PAHAL_9G475900 transcript:PAN49798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLALLVLAHLAALLAVAGAKGGAAGGGLNDDVLGLIVFKADVSDPEGRLATWSEDDERPCAWDGVTCEPRTGRVSALSLAGFGLSGKLGRGLLRLEALQSLSLAHNNLSGDVPAELARLPALQTLDLSANAFTGPIPEGLFGRCRALRDVSLAGNAFSGDIPQDVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDISGNAVTGDLPIGISRMFNLRELNLRGNRLTGSLPDDIGDCPLLRSVDLGSNSLAGNLPESLRRLSTCTYLDLSSNEFTGSVPTWFGEMASLEVLDLSGNKLSGEIPGSIGGLMSLRELRLSGNGFTGALPESIGGCKSLMHVDVSWNSLTGGLPSWVFASGVQWVSVSQNTLSGEVTLPMNVSSVLQGVDLSNNAFSGVIPSEISKLQNLQSLNMSWNSMSGSIPASILEMKSLEVLDLTANRLNGSIPAAIGGESLKELRLGKNFLTSNIPAQIGNCSALASLNLSHNNLTGAIPETIANISSLEIVDLSRNRLTGGLPKQLSNLPHLQQFNISHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCTGVLPKPIVLNPNTSSDPISPTEPVPDGGLHHKKAILSISALVAIGAAALIAVGVITITVLNFRVRTPGSHSAAVLELSDGYLSQSPTTDVNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQVEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTTNCLSWKERFDTVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYVEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIIKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >PVH31264 pep chromosome:PHallii_v3.1:9:5654476:5659508:-1 gene:PAHAL_9G098600 transcript:PVH31264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRVHRCGGGAVEWSPSPVVALATSPCASQVAAARKDGSLELWLVSPGSVGWHHQLTIQGNAESMVTSLVWGRSGGGEAGGRLLSSSVDGSVSEWDLFHLQQKPVLDTIGVALWQMAMEPSDDSKNTEINGSGIAINGHANHNDFSDSDLSNVDDGDDSEDEDSSANTRSSYHVNDLKRLALACDDGSIRLYNVPESGALTYYRSLPRVSGRTLSVTWSNNAKFIFSGSSDGLIRCWDSTSFQEKYRITAGLGGAGTGPELCIWTLLFLRCGTLVSGDSTGSVQFWDSSHGTLLQAHSYHKGDVNALATLPSQNRVFSAGSDGQVILYKASNDELGASKNAVKEQPRKWTYVGYVRSHTHDVRALTMAVPICSEDALREEKVVKIRRREKHQFSYHKWAHLGVPMLISGGDDTKLFAYSAKEFTQFAPHNFCPAPQRPLITLARDSTANGDSVMLVQSANWLDVLLVTVQNKLTPSTSSREDAKVRQLARLKSKGSRKIISSAASGNGTLLAYSDCVRPCLFALKHKSGKKYALDKLELPKGLPCSQSMMFTVDSSNLILAGRDGKIYIVDIETREISNVFHPTRKADGTISSRESPVTKMFLSADGQWLAAVNCFGDIYVFNLEVQRQHWFISRMNGGAVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGEWSKRYTHHLPRSFQEFPGEVIGLSFPPQSSSIVVVYSTRAMCLIDFGLPVVEDVTLPNISTGPAEKTDAQKSTKTKQKRKARDEESKQQNKNNFNFFAFKEPVLFVGHLLDSSSLIVEKRWLDVVEGFGPPVHRHIYGT >PAN50048 pep chromosome:PHallii_v3.1:9:64147835:64148736:1 gene:PAHAL_9G493800 transcript:PAN50048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARPVNARSPMADLSARRGRRWCGRLAAVLCLCATFCEPGQGHAHHRSPRASTPNKERDTHVAQMNPSYMAADDAPVDPLLPDLLLPARSLQCFEDGQVYSCCEGAFRLNPSGVLAVPAGAVDRYCGGACVVETEDVLNCVASALDGFAFYNGASVEDVRYALRRGCSHTVRRGDFNDLEPHLGDYPDIYGDDEGSGGSKVVKAPLKLLAFLGGAWLFLLGH >PAN49527 pep chromosome:PHallii_v3.1:9:58645549:58646311:1 gene:PAHAL_9G420000 transcript:PAN49527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAHDDYVSLCLMALAAAGAGGGQAAAAAMATTARRNTTAACWTAAAAQQECELRFRCSVCGKQFASHQALGGHKASHRKPPPPRALLQASSSSGSGGRHRCSVCHRGFATGQALGGHKRCHYWDGLSVSVTASASGSGSSIKDFDLNLMPAARRWGEEEEVQSPLPPKKRRLSPGPSLDLDLSLTI >PVH33221 pep chromosome:PHallii_v3.1:9:71017276:71021797:-1 gene:PAHAL_9G600500 transcript:PVH33221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEDIVIAGAGLAGLATALGLYRKGMRSLVLESSPTLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERMVTFSAATGEAAAKVSLKMQGKSGPHEIRCVKRDFLLQTLENELPKDTIRYSSKIVAIEEDGNVTVLHLADGSTMKTKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPADGDAEESVAKMRSFVLAKLRGAKIPAGALDVIERSEMSEVVSSPLRFRSPLALVRGSISRGGVCVAGDALHPMTPELGQGGCAALEDGVVLAQCLGDAFAAGHEGVAAALGRYAEARRWRSVQLITAAYVVGFIQQSNNVVVKFLRDTFLSPLLAKTLVAMADYDCGTL >PVH31377 pep chromosome:PHallii_v3.1:9:7684551:7687510:1 gene:PAHAL_9G128600 transcript:PVH31377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALARASRGIAATVRPSAMEAGHGGQLQQARGIVVQVRDGNLDRALSIMERKMRSSGMERLIRARTHHHVKDSEKRVLARKALMQRVRSQELGKKLREILIKKIRGQ >PAN44933 pep chromosome:PHallii_v3.1:9:4724985:4726692:-1 gene:PAHAL_9G081700 transcript:PAN44933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVLELVPAVGVRVALFSTYSAAIFALRMHVPKMVQDGIIDETGNVRRNERIQLRPKMPAIDATELPWISLGKSPESRRAMIQSVLKNNPTFSLAETIVCNTFQEIESGALALLPIPALAVGPLEAPRSTSAAGHFWAEDETCLPWLDAQAPGSVVYVAFGSLTVFDAERLQELADGLVLTGRPFLWVVRPNFADGVGDGWLDGLRRRVAGTGLVVGWAPQQRVLAHPSVACFVSHCGWNSTMEGVRHGVPFLCWPYFADQFLNQSYICDVWGAGLRIRADERGVVTKEEIRGKVARLLGDEGIKARALSLRSAACASVADGGSSHQDLLKLVSLLTED >PAN46621 pep chromosome:PHallii_v3.1:9:12703703:12707806:-1 gene:PAHAL_9G199200 transcript:PAN46621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTEPRWRMNSSFSPPTSRGWDCRYSSDGLPHRVHDAPHDHPPYVSSLSSHSKGSRSAFGSDQYLNHHHSISDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGVSRPETSDYPQSSERQLTATSSFSSASPFSESSQLASSSKQPAPYLPRNQLGRRSFMSKPVYPLVYRNPVSETEASRMPEVTNAGRTTPSDDSQASPLWHRSLASPELKFHNALSELGKMEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISIESQRSPTNSVRFLKCGLCERFLRQKSPWTSNRIVRNTDMPVAAVLPCRHVFHADCLEESTPKTEVHEPPCPLCTHAADDEGHVSFSEPLHVALRSARRNLSLGGGAGGSSSSANPPCSDHGLKRNHSAIVPRRSGSSLFRNRFKRQFPFKARIGKDLFGGRVFNKVGSSSSSGQQGDNQQPSAAKHDRSMK >PVH32234 pep chromosome:PHallii_v3.1:9:50143366:50144982:-1 gene:PAHAL_9G350500 transcript:PVH32234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDIWTLDLECHGAAAGQEYVQREMDRDDICYFNLIEFIEEYGFNAIDYLYYKRRDSLVAIQLDADVMEMLKENERTKKVSLFVTR >PAN49019 pep chromosome:PHallii_v3.1:9:58650733:58651980:-1 gene:PAHAL_9G420100 transcript:PAN49019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRWCWTGAYGTIERRHIVARAAAEAEAAATASQKVALVVGSTGVVGAALLDILPSLDTPVGPWKVYALSRGPLPPWSAPAGAPSSSYPNPVIHLHLDLADPAAVAQALKPLTDITHVFYTAWSACPGATEDDAREASCAMLRNVLSVVVPRCPALVHVCLQTGRKGFVDPFEPMSGFFAAIVAMRPYPEDVPHLEHSDLEDVFLDEVAHSWLNGAAGAITWSVHRPATLFGFSPRSESNVIASLCVYAAICCKEGAALRWPGSLVAWEGFSDASDAELVAEHALWAALEPSGKNETFNCTNGDIYKWKNLWPMLADHFEMEWSGYDGEDKRFKLEEAMAGKEALWAEIVREKGLVETELNDITSWWFVDALINAGKEQIENMNKSKERGFLGFRNTVRSFNTWIGKLKADKIVP >PAN44654 pep chromosome:PHallii_v3.1:9:3379042:3380631:-1 gene:PAHAL_9G059300 transcript:PAN44654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGTPPVGLTPGDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVTAADAAGEAPSRRRGGRGGGDGGEEAHDIELGIDEATLKGYPEVVYGEAKREAKAKKATTCTCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPMPSPMPTPLAEITPLAMARMSS >PAN50471 pep chromosome:PHallii_v3.1:9:66049639:66052469:1 gene:PAHAL_9G522600 transcript:PAN50471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKLAPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIDYPEIEDNTKPRHRFMSSYEQKVETWDKRYQYLLFAAEPYEIIGFKIPSTEIDKSADKFFSYWDPDKKEYLLQLYFKPRPPEANKPPPAPPGTLPNGTGGPGAPPRPPGQVPPPPPQVPPPPHAPPPAPMGMPPRIPPPPIGSAQPPPPPPPANGPPRPMIPPPPNFTPGAPPPRPPM >PVH32723 pep chromosome:PHallii_v3.1:9:62392086:62394299:-1 gene:PAHAL_9G469100 transcript:PVH32723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRVKRRDAGPHGTGSEDGSGTQAAYVALYRDVRRQALGTVDERATCRGQGHWVRASTPRRSSAMCSVITGGMEETAEAGRQWGGGAGIRQLAKPPIGRASVAGYGESAETTAVRVWTRTRSGGQVVMARPGTRRRPRAPCMHLLRRRPPRTRLCDGPEAADGSGLGFGAGDRPDRAGSSELRAVADDRAHLRRHTGWFVHYSLCLDVITGLSKTSTGCVAIVPVL >PAN44733 pep chromosome:PHallii_v3.1:9:3829322:3832003:1 gene:PAHAL_9G066300 transcript:PAN44733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGAPSAWGPSPALVTALVALLGLGLAAYIVGPQLYWHAAEALTAAGACPACDCNCDARPLLDLPEDCAKQFKGVKGRASGEETEKSFTELLIEELRQREEEATQAQQEADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAEALVEQRKLTSLWEQRARELGWKPDNTRHT >PAN50114 pep chromosome:PHallii_v3.1:9:64516228:64518926:-1 gene:PAHAL_9G499300 transcript:PAN50114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSDLKDLYINNAIQMDVAGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVVVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKIIKIFLDPKERNNTEYKLETFTAVYRRLCGKDVVFEYPVAENA >PVH30995 pep chromosome:PHallii_v3.1:9:1768703:1771410:1 gene:PAHAL_9G031600 transcript:PVH30995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASQVAMVRMSEQDTLFRHCGTASRGAVGDEPDAGPAVRDAAAGGVPGERRPEGRHVHGPRKAGDLRHQYFRYLQAGKGPLASDQMLYT >PAN44670 pep chromosome:PHallii_v3.1:9:3454221:3458146:-1 gene:PAHAL_9G060600 transcript:PAN44670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMTTSVLGFKISMPIMVAPTAFQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLTLKNFEGLDLGKMDQANDSGLASYVAGQIDRTLSWKDVNWLQSITSLPILVKGVVTAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAQGRIPVYLDGGVRRGTDVFKALALGASGIFVGRPVVFALAVEGEAGVRNVLRMLREEFELTMALSGCTTLADISRSHILTDGDRLRPTPRL >PAN51240 pep chromosome:PHallii_v3.1:9:69895517:69898124:-1 gene:PAHAL_9G581200 transcript:PAN51240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQQEARSTRQDVPATSRVAIIGGGISGLAAAKQLAAHDPVVFEATPSVGGVWKHCVYRSTRLQTPRPDYEFSDYSWRNRDDPTFPTHAEIVEYLEGYADTFGLWRYIMFGAKVVDVKFLGGRAAGFTELWSGTGEPLQGKPMWEVGVATAGSDAVQYFKFEFVVMCAGKYGDVPRMPVFPKGKGPEVFRGQVMHSLDYCKLSEEETVELMRGKKVVVVGYKKSAIDLALECAQANQGEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLAQFLYERPGQGFLRSMLCRLMTPLRAGVSKFIESYLAWKLPLDKYGLRPDHPFVEDYASCQMAILPDGFFDMADRDMIRFRRASSWCFSPNGVLLEDGTEVEADLVFLATGFEGKDKVRAVLPEPFRGLVVDKSGMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFALPSVEAMVRHVDGEAEAMRRTTRFYRRHCISTYSIHDSDAMCADLGTRVLRKGNWLAELFAPYNNQDYKEE >PVH31011 pep chromosome:PHallii_v3.1:9:2029361:2031256:-1 gene:PAHAL_9G036700 transcript:PVH31011 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54210) UniProtKB/Swiss-Prot;Acc:Q9M385] MAAFPSASASPSPAISASTWRMASLRASLPTLRPSPSGRLRSSFSPAAAATAASVGCLGSFSGLAPVSNLLSLGAENSSFEHQLFSVDARGRIVAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTKPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGDREGGYTRIIPTFPRRGDNAPMAYIELV >PAN50403 pep chromosome:PHallii_v3.1:9:65744221:65746799:1 gene:PAHAL_9G517600 transcript:PAN50403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARLWFSMPAERPPRPPPFTPPPPPPAPPQYGPLPAPSSVASLYTLAGDFLDRAKTALVTGGPAGPDTISAASSARRAVAELMAPASKASPAATTNDSWTLSSRAVHWIIVGAVVAAVLLVLCVVACFVRRRRRRRRRRPVLTPQLPPPMVYHKDGVTWPVLQQATPSEFYFAQQQRPTPPQTSGTFSDAGTDRHHSVDVVTELPTGGSHTYEQLAAATDGFAPGNIIGQGGFGCVYRGTLDGAEVAIKKLKAGSRQGDREFRAEVEIISRVHHRNLVSLVGYCIYSDERLLVYEFVPNKTLDSHLHGHNGPPLDWHQRWQIAVGSARGLAYLHDDCYPKIIHRDVKASNILLDQNFEPKVADFGLAKYQPGDDTHVSTRVMGTFGYIAPEFLSSGRLTDKADVFSFGVVLLELITGRLPVQSSQSYMDETLVGWARPLISQVAEGGSLQALMDPRLGSDYDPSIMMRMVECAAAAVRHSAQQRPSMVQILKYLQGETRADDPDGVFKITTVEESYSSSMEMESGESVGPRPRRTQRSQASTSNDCISAQAPGDKDPFSSQPLNAKKP >PAN45462 pep chromosome:PHallii_v3.1:9:7201532:7203578:-1 gene:PAHAL_9G121300 transcript:PAN45462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVCRGPAVPTFEAPRWLRPVEPYKQPEVVVDDRPKQADIWNAIQADVHKAAAGAKKAPKPYVHPLVRRSSSLMSQKSLEVCTESLGNETGSGDFTSSLDMACLFDSALPVAAAPAEESFWQHDAARDCEEEQWDGKDLAVVNYHCSAGTRPPRRAFPPPLPSMSRRDGPCLQMRPRRQDGRLVVEAVVVRPRGYLHARRQGGRLRLSFVECCARDQSEASKITAGAAEGPYFPTVEPRSVQEAESEVEMEEDDEVDEEEVEVVDRGTVVEVKVSSQPQTAAAAKVHRSTLVINKFVGSTPLSADQPRCHADKTAEPEAEASDDEAEAQSPHSTLRRVPSSTTTLAAAVAAASTGTDIPPASEDDDECGGVHLSASAAAAEPKQLLLFTSRRGDKQDLLQSVRRCRQLRQKPLFILEPYCIASS >PVH33207 pep chromosome:PHallii_v3.1:9:70674004:70678429:-1 gene:PAHAL_9G595500 transcript:PVH33207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHRSAAMDRAELFRTVRQATLNHARLLSHRLAARLPHILAVTLLVAVAPLVPPSSSLAGAPRALRDWARANAGLAAAACAGLAAAAYAYAASRPRPVYLVDLSGYKPGPAHEATRAQSIRHFAVAGRFTDESIAFQTRMLERAGVGEATHFPASLLTVPVDMSLRAAREESEAVVFGAVDDLLAKTGVLAQDIGVVIVNSSLFSPTPSFTALVVNRYGLRHDVVTHNLSGMGCSAGIIAIDLAKHLLQVHPDTYALVVSTENITLNAYLGNHRPMLVTNTLFRMGGAAVLLSNRRADRRRAKYQLVHTVRTHRGASGRSYGCVTQEEDDGGNVGVSLSKELMSVAGEALRTNITTLGPLVLPLSEQLRFLGAVLLRRVLRADVKPYVPDFKLALEHFCIHAGGRGVLDELERSLGLSAWHMEPSRMTLHRFGNTSSSSLWYELAYCEAKGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVDDAGETNPWAAEVDRLPVHVPKVAPIAFDDDHQAPGKEDDGHHAA >PVH32197 pep chromosome:PHallii_v3.1:9:47716786:47719445:1 gene:PAHAL_9G343300 transcript:PVH32197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTEYRDRFLQLAHYAPAEVANDGDKQERFREGLDDHLEYALMNHSFDNFNQLVDAALNTERKRREIEDKKRKMAPAAAEAEHEKHLRLVLQRLREHKLYAKLSKCEFWIDEVPFLGHVISRGGIAMDPGKVKDVLDWVVPQTVKEVRSFLGLAGYYRRFIENFSKILKPLTSLLEKGVDFSWTDERQKAFEELKKRLTTAPVLILPDQSKRFTVYCDASRDGLGCILMQEGRVIAYASQQLRRHELNYPTHDLELAAVVHALKIWRHYLFGQRCDIYTDHKSLKYIFTQSELNMRQRRWLELVKDYDLEIHYHPGKANVVADALSRKSYVNMAMAFQMPQELCEEFEQLSLGFLQHTSSASFEAEPTLEAEIRQHQKKDKKLQEIRELLKIGKAPHFREDDQDVEDLR >PAN51568 pep chromosome:PHallii_v3.1:9:71222536:71225449:1 gene:PAHAL_9G604000 transcript:PAN51568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRYAARLLSSTSPTAAAAPSSPPPPPAASWLHAAADDCCAFCELSRPAPQEGADAIKHKGHIAGRVPESDVGGDERVREAQQPPAPEVKKCKTDHGRNVNKISVGLQALRASGISETAVKPEVAGGATLVSEADAEPAVKPGTFIANDAAIEQEVNCKFSLVNDAAKPEVTGVDSLLNGSTTELEVLEGAPFANEVAHDQEVTEKVSPESKAATVPEVTSIAAEVTGVPSPMNKSGTILEVIRRTSLGKVVTDPGVTITMLRTGSLSTEGADGPEVTGAASIMQETTKLESVGEDYVASKAAAEPENSSRASSNVDDTATLDEPQLSSCNPNIVNMQLGNAGETVASTVQPSGCDALKVSTSVNSLSNGPVGAKGPTVEGGLSNDGFVKPSVSCVYDIVARSIGTSGRSDVICYARRRGKRKLELLEVKTENIELEDRVICKEETLGRTGCCESVLSTAGSVDVKLADIKKELMDNSAASKVKKMKRNRFECNIDYCRMTFKTKAELSVHKKNMCTVKSCSRNFRSHRYLRRHQSVHNDDMPYKCPWDGCGMSFKWSWDRAEHFKVHAGVKPYKCTTPGCNKIYKFVSDFTRHRRRCKPQR >PVH33270 pep chromosome:PHallii_v3.1:9:71770541:71772665:-1 gene:PAHAL_9G612600 transcript:PVH33270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVSTAAMASSLLLRPRASFRRALPPPPPSSCRALPAPRIQAASTQQKQPGLSARSDGERGRPAGTRLYSLAPYPLLLAALLPGAEPVTAVFAPFVELVKTWDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGVTALLTSDKPIFESPHAVTGVIGLALLTIQSILPKLFEGNPGLRTTHGLLGSGIMTLFLIHAALGLQLGISF >PAN44210 pep chromosome:PHallii_v3.1:9:1440054:1443795:-1 gene:PAHAL_9G025300 transcript:PAN44210 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKDFRNHLEETLPAWRDKYLAYKALKKLIKNLRPPEPAAPPLPPPPAAEGPGDGAGQGNVALGNWFARILDMELHKLNEFYMEREEWYVIRLQVLKERIERVKAKKNDAFTSRSEFTEEMLEIRKDFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRVRHQPFFTTEPLTRLVRECEANLELLFPVEAEVLEPSSSSNLEPHDVAKRDPTSSGDVETSDVYRSTLAAMKAIQSLRRASSTYNPLSLSRFFNGEDGEACSGAVTSESSLSDSSTDSQIQDDGKDDKEVQSNSSAQNAAQREHNGNE >PVH32249 pep chromosome:PHallii_v3.1:9:51077267:51078377:1 gene:PAHAL_9G353800 transcript:PVH32249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQATSSLCVVLLMLALAFAVEVSAGSTNGGFYKPAGAEGSVPIEQCPDKCDYRCSATSHRKPCLFFCNYCCQKCLCVPSGTYGNKEECPCYDNMKNKEGGPKCP >PVH32349 pep chromosome:PHallii_v3.1:9:54825503:54826477:-1 gene:PAHAL_9G378700 transcript:PVH32349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSKSRKPNAQWDPTAAKIFNEICVEQVLANNRPQGCLNNKGYANLIAQFNERTGRHYNRSQMKNRWDALKNNYTTWKTLLLAASGLGRDPRTGSIAADDGWWKEKIEAILACKKFRLAPLENEEDLEIIFSGASCTNVEEVLPFSPPGANAKKRGAAHKSPMKKTKKNFRDLQFKRFVDSFVEKSSSSKSSATSSPNDYVRQEIAEMLESVIEAGACEGSDEHFYATQLLVKKKYRDVFTTLKTPAGKLAWLKRTWEERKTR >PVH31913 pep chromosome:PHallii_v3.1:9:19171108:19172917:-1 gene:PAHAL_9G259300 transcript:PVH31913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICYFLSRPNLASSLPNAPPFGAGGISSCFRRLSIWASLDSWEGKPGSCWLIDVIRLTFWKVLSKCGVCCYFRWISKQCVHLIFISEVSGISNKGVNVVGRCTGRLLQSCLAQKVRTFPIVCQIRYFSTCE >PVH30924 pep chromosome:PHallii_v3.1:9:859814:860263:-1 gene:PAHAL_9G014600 transcript:PVH30924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRRCRDGGLAYVAAVFLLLASLPESQCRPQLLEAGGRSSSSNDSDVVLVFCQWSKCGSDWSTCYCCLSTEPRACYDTRDECRANCVVCNPRCPSPPSRQPAVEGRRLLGSNNSTSHHN >PVH32342 pep chromosome:PHallii_v3.1:9:54477140:54478019:1 gene:PAHAL_9G375300 transcript:PVH32342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIVDVEEQPDVTSDDDDCVEIDPAEFAKKLNLKETDDVILVAAKGKIVKVEGDQPEGFAKALGKHVAAGDCIDNPYKIGENEMSLLNVEIDVDRFAIIKPSAKLHGDNQKDGQFFRGDKDEIDFDDVNIVPGKLVVKLEPVDSMGVEVVPEKPVVKCGPVGDIALEEGYLTRKMTRTSL >PVH32616 pep chromosome:PHallii_v3.1:9:60830014:60830936:-1 gene:PAHAL_9G444800 transcript:PVH32616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGGRPLPYARALGHADVARRMVEHAIHCARRASGDPQLGHGAWKLKLVPGQGNNTSIFDSGFQALSCMQWYTGSGRSVVSPGHPPEQARRDLVLQLLLFMGCNLNGFPTMVMLEHHLGCCCCRRNTKDADAAAGRERPRSDSDTVSSGSSSRDARITMQEWRLQNLVVGS >PAN47660 pep chromosome:PHallii_v3.1:9:22292134:22292515:-1 gene:PAHAL_9G269400 transcript:PAN47660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVNRFVASKVPPQMAIEKKRKIAYAVSKVLSGVSDLLDTFIQDMAEAEDSPRPDLGRSKRRKTAKQHENDDEEEDHKDSDYAEDEEE >PAN47180 pep chromosome:PHallii_v3.1:9:16179487:16181520:1 gene:PAHAL_9G240000 transcript:PAN47180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELPLPLLLGSVAVAVAVCLLLLRGRGGKQQAARLPLPPGPRGWPVLGNLPQLGAKPHHTMRDLAREHGPLFRLRFGSAEVVVAASAPVAARFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSARALDDLRAVREGEVALMVRELARHGGRGAPPVALGQVANVCATNTLARATVGRRVFAVDGGEGAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGRMKRLHRRYDDMMDGIIRERQAAEEGKDLLSVLLARMRDQQPLADGEDGTINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLAKAQQELDAVVGRGRLVSESDLPRLTYLAAIVKETFRLHPSTPLSLPRVAAEECEVDGYRIPRGATLLVNVWAIARDPDAWPEPLEFRPGRFLPGGSHDGVDVKGSDFELIPFGAGRRICAGLSWGLRMVTLMTAALVHALDWHLADGMTADKLDMEEAYGLTLQRAVPLMVRPEPRLLPPAYAVE >PAN51010 pep chromosome:PHallii_v3.1:9:68777953:68782554:1 gene:PAHAL_9G563800 transcript:PAN51010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRALFLSLLWVAMLSASRVVQSVPYDYSSSSECLPEPLEPHYGGGIIRNSDFSAGLQGWSAFGYGVVEEGASASGNRYAVARNRTRPYQSVSQKVYLQNDTHYTLSAWLQVSNGSADITAVVKTNGQFIHAGGVEARSGCWSILKGGLTAPAAGPAELYFESNATVEVWVDNVSLQPFSQEEWAAHHHAAIKSARKKTVRLRARDSAGKPVPGAQVRIEHVRSGFPLGSAMSSEILHNPAYQQWFTSRFTVTTFENEMKWYSTERVQGREDYSVPDAMLRFARSHGIAVRGHNIFWDQPSQQPGWVQSLSAPQLRQATARRIKSVMSRYAGQVIAWDVVNENLHFNFFEGKFGWDASAAFYRRAHQMDGGALMSMNEFNTLEQPGDPNAVPGKYLGKLFQIKKFPGNTNDGRMAIGLEGHFSTPNIPYIRAALDTMSRAGVPIWLTEIDVAPGPNQAANLDKILREVYAHPAVHGIILWTAWHQRGCYVMCLTDNNFRNLPTGDVVDKLIREWQTRSHAGVADADGYYEAELFHGDYKVTVSHPVANATVVQSLTVGRETTDADNEYTIHV >PVH32128 pep chromosome:PHallii_v3.1:9:40585983:40587379:1 gene:PAHAL_9G323600 transcript:PVH32128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQRPHEVHNNDIAFSKIKFKIPSFDGKYDPDAYLTWEMAVEQKFTCHDFPENACVRAATSEFTDFASDALKRNMRARFVPSYYARDLLNQLQQLKQGTKSVEEYYQELQMGMLRCNLEEDVEPAMARFLGGLNREIQDILAYKEYTNITRLFHLACKAEREVQGRRASTRTNISAGRNFSTQPRSSIPSIGRAAAPYSSLARTAAPPSSDKPRDNLANSAAKTTQKPAATTSSVASTGRTRDVQCHRCKGFGHVMRDCPSKRVLVVKNDGEYSSTSELDENILALLAADHAGSEGCSEEHINAAEADRYESLIVQRVLSAQMEKAEQNQRHTLFQTKCVIKERSCRVIIDGGSCNNLASSDMVEKLALTTQPHPHPYCIQWLNNTVFLPIP >PVH33126 pep chromosome:PHallii_v3.1:9:69431081:69433480:1 gene:PAHAL_9G574000 transcript:PVH33126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRWLPGSRVADAGAGGRHRHAGRGRRPRRGQAPEPERRRRHVDRRGHPAEAAHVGRDGRLRGHADRRERRERGERRRCRRQDGRRARRGQRRRPDTVEAGGYRRRRRRRRHDGRRRRRHYGRRRRHDRGGGGGGGGRGLGREEVGRRAGELLHDVAEVGLVDVVDRGLGRRVVGGDGGRLRQRRRRERRRRARRRRGRRPHGGSRGGCGSRRGGGGGRLLGDLVGGLVEGLERGGSRGGRGRRRRLREVGLVHDHGGLAEVEEEAQWEIGIWGERGRPRMDG >PVH31686 pep chromosome:PHallii_v3.1:9:13681462:13681848:-1 gene:PAHAL_9G211800 transcript:PVH31686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIRNQRGCSSLFFYASVLVSLGVSFMPDVSMTPASSLVLHLSTWLLEIGGLFHPSKLACFGWCSLIPLAGLLLVDWSSPVRRLASDSGEIGDCLGLSMKKTVCFKRATDRLQT >PVH32094 pep chromosome:PHallii_v3.1:9:35556833:35557296:-1 gene:PAHAL_9G310000 transcript:PVH32094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PVH31176 pep chromosome:PHallii_v3.1:9:4245447:4245875:-1 gene:PAHAL_9G073600 transcript:PVH31176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFRTAEEHNPEEHVFNVASRGYLGFPALPPPYNAPSRWRSPPPGPMALPPPDAAPPPDGAPSSQLVVRSGRRSSRC >PAN49016 pep chromosome:PHallii_v3.1:9:58624681:58625636:1 gene:PAHAL_9G419700 transcript:PAN49016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGFEALLDPTALSLALPAPGLNKEDYIAICLAALAGTRGTGLKAAGFGRHAEKWCPLPLAAEPPSPVAQEELRFRCAVCGKAFASYQALGGHKSSHRKPPTEQRYAAAAAARAAASTADSEETTSSGGPAAGGPHRCTICRRGFATGQALGGHKRCHYWDGSSVSVSLSASASGSGTGSSGFTVRNFDLNLMPLPENAGMRRWVEEEEVQSPLPIKKRRISD >PAN47176 pep chromosome:PHallii_v3.1:9:16164173:16165686:-1 gene:PAHAL_9G239600 transcript:PAN47176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVTVSQVVALLSSALTGAEAGGGGGGMEVGKKVAADSERWRRRDGHDRAAGYYCCVCISACRDGEEIRSLPCGHAFHRDCVDRWLARCRRTCPLCRLHVGGGAVGLADEEQQQLSEDLVIWFSSLFVAGL >PVH32523 pep chromosome:PHallii_v3.1:9:58594826:58595475:1 gene:PAHAL_9G419200 transcript:PVH32523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMKRMVECNYCDEVFCAASKQGTTRLWNHYHAFHDENKGKPNITKLKGADWKYDEETSIRNTILQLLCMNIHSASLSMSIQIILLDLYAQYFQQRAARLLGAG >PVH32071 pep chromosome:PHallii_v3.1:9:34148950:34149277:-1 gene:PAHAL_9G305400 transcript:PVH32071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARMDSGKKFGGGRPPTGTPSLALSSFVIVGSLLAGASIVHNIYKPDMTIPPVESVSSGDDNNQKS >PVH31774 pep chromosome:PHallii_v3.1:9:15029964:15030410:-1 gene:PAHAL_9G229200 transcript:PVH31774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARSRLAMAVASFSSPSGAATSSRTTGSTSASKSSSAAAGLSSAVGGAKSTVVAAKSAFSFLESTSAASKSSSTAVGREARPAALEEESCSSLRRRRRGVLLPPASLLHPLVFAKGKMGLENEKGKEKKRNREKERKSLE >PAN46526 pep chromosome:PHallii_v3.1:9:12260434:12261761:1 gene:PAHAL_9G191800 transcript:PAN46526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSSYRLVAPTLLILALALVSSGAEQGCATREQAPAYHTSPVPPPDGRHDHRQEGPMPTSRPLGLRIPVAAPPPPRAGTPWTRSPLHPSPPPPPPPPCS >PAN51545 pep chromosome:PHallii_v3.1:9:71089154:71091094:1 gene:PAHAL_9G601700 transcript:PAN51545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGNGGDGGAEKAAAAAEPVVLKMELHCAGCAQKVKKAIKRVPGVESIVADAAANRVVVAGTADAAALKARLEAKTKKAVEIISAGGGPKKAAPAAEPKDAGAGEKKDGGAGEKKADKDASPKEEKEKKQPPEQKKPKEETVLLKIRLHCDGCADRIRRRIYKIKGVKDVVLDSNAKDEVKVRGTMDIPAMLSYLKEKLNRDVEAVAPAKKDGGGGGEGKDDKKDKGGDGDKNKGAAAAPGGDDKKDKGKGIEEAAAGPSTAAAAAFMAAPAGPSTYHVAPPQGYVAYQQGPPPPTGYYPPYPYYGNADGMGHANPSAATYYHQPYQHQPEAGQHQAYPPYPYRFDMAPAPQLFSDENPNACSVM >PAN45103 pep chromosome:PHallii_v3.1:9:5316834:5317803:-1 gene:PAHAL_9G093100 transcript:PAN45103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIRVVMKSFASQSNKVSGLLPYTKKVGLPESRALFTVLRSPHIDKKSREQFSMHVKKQFVEQKAEIHELHKKLFWLKRLRIPGAQYEVQISFKTRLDKGSLKSLAA >PAN52002 pep chromosome:PHallii_v3.1:9:73340398:73341171:-1 gene:PAHAL_9G635700 transcript:PAN52002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGRRRFNVGRLATATDPAGTLRDAVAELLATAIFVFAAEGSGWCRWPSRSRPPWHAPSTSPGHVNPAITFVALLGGRVCLVRSLLYWVAQLLGAVAGALLLRLATGGVRLPEYALAGGMTGWHAVVLDAAMAFGLMYAYYATAMDPRGRHAGAVAPLAVGLLAGANVLACGALDGAVMNPARAFGPAIVGSRRWSNH >PAN43969 pep chromosome:PHallii_v3.1:9:505566:507166:1 gene:PAHAL_9G007600 transcript:PAN43969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALPRAALLLLLPLLLASAARSQDEAAGPVSAASASTPAASPIPRDSVADTESALSPISQPPTASAAAADSPSAAVAAANSSPPAPPETSPVAAPSDSPAQAPSSPPPTHTHFAAPAPAPAADKDADGEKDEDDDRKPAPAPAPAAQEIKASSAAAEQAGGADDGEVHEEMNGGKKAGVVVGAFTAAAVVGLGCFVWRKRRANIRRARYADYAARLELV >PVH31414 pep chromosome:PHallii_v3.1:9:8577944:8579104:1 gene:PAHAL_9G139800 transcript:PVH31414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLLPHSLGGIFLRFCGFFYTLQLLARPAADDDGPRIPGGGLGHLPDTVPYDLFPYGGSFDHCNGLLLLDDAVVNPATGKWAALPPRPPLSTESADEFYTGKYLVFDPAASTHFEVVSIDRLLYMPDQTAIQASEWPPSPCTMLVFSSRTWQWEERSFLREGEAAGTLDDCRVIKPPIGTEVFQDFYLGKSEKGVTWWPDEWVLKHQTDLQLLLTHHKYDEQSEGPWILQDANYYQRASEYNNEEEIAQMDLGWDSNNNDNLQNEGMVDARSNGFIGFLGLHPYKEVVFLIHSLRRGLAYDLNNSKVEDLGNMCPRSYRNCPGYIACIRESFLYTPCWVEEFPLPT >PVH32791 pep chromosome:PHallii_v3.1:9:63656923:63657534:-1 gene:PAHAL_9G487600 transcript:PVH32791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRGSIAFFTSYRPPVPLDIFCCPVPPSSRQSELHLTDGLSYNYNCRPIPPAALKTIIKRLRLAPETIIDDDVDSGQITGLVFVSEREHNLETLHVALRFIASGEVNVFSLADIYGTDLFSGARLEDNGCIAGGYEVNGSTIDHYLVYVSTKEPVQERRSPWNIVYKTNLRTGETERLTPLGLVTLVACYSSHFSYLWSDA >PAN46827 pep chromosome:PHallii_v3.1:9:14142034:14143933:-1 gene:PAHAL_9G218000 transcript:PAN46827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVYRIASPSEYLAITGYGINDVKLAKKAWIAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDECLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFRGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKEREGTTRQNAAKVDAETRVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANSELAMKKAGWEQQTRVAEVEAAQAVAIREAELQVEVERRNAARQTEKLKAEHLSKAVVDYEMKVQQANWELYNRQKAAEALLFEQEKEADARRAAAEAAFFARQREAEAELYAKQKEAEGLAAMGQAQSVYLSAMLGALGGSYSALRDYLMVSSGVYQEMARINADAIRGLEPKISVWSSGAAGGEGGDAGGGGAMKEVAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGPSTST >PAN49694 pep chromosome:PHallii_v3.1:9:62326195:62340610:-1 gene:PAHAL_9G468400 transcript:PAN49694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G13540) UniProtKB/Swiss-Prot;Acc:Q9SIU2] MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGVLSREYEHSRDAIFEFLLQCAEQLPHKIPFFGVLIGLMNLENEDFAKDIVDTTQDKLQDALHNENRDRIRILLRFLSGLMCSKVVVPNSIIETFETLLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGPELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGHSPNKKDFMEDLWERIQILSRSGWKVKSVPKPHLPFEAQLVAGKSHRLSPISCPPPNLSETSSEILKGQEKHEADLKYPQRLRRLHIFPTNQAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVSFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFAHHLSNFQFIWPWQEWSYVKDLPKWAPQRVFVQEVLEREVRLSYFEKIKQSIEDAAELEELLPPKAGPNFKFRSDESTENTDGHKLSKELVGMVRGKKNSRDIILWVEEHVIPTNGAEFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMTAIAIDRMMGYRLISNLAIVKWVFSPANVEQFHVSDRPWEILRNAVSKTYNRISDLRKEIQSLKKGLQVAKEVSAKAIKELEEAKSVLEIVEGQPAPAERPGRLRRLQAYADKAKKEEVTTEESLEAKGALLARALEESKELLKLLFKRFVDVLTERLPAVSADGEIPNLRAGYQNVNFAARDLETATMEIDNENGADKNSEPNGQNTKNDYNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDEEVFVGDIHPLIRKAAFSGLCRFTDEGSQL >PAN43919 pep chromosome:PHallii_v3.1:9:306660:310274:1 gene:PAHAL_9G003100 transcript:PAN43919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT4G22790) UniProtKB/TrEMBL;Acc:A0A178UU74] MPMTPPPPPPQRHPKLLAAVAAEVRAQRGIALPLIAMNLTWFAKLAVTTAFLGRLGDLELAAGTLGYSFANVTGFAVLTGLCGAMEPICGQAHGARNVALLRRTLVMATLMLLAASVPIALLWLRVDAVLLRFGQQPDIATTARTYVLCLLPDLAVTSLLSPLKAYLSSQEVTLPTLFAAALGLALHIPLTICLSARMGIRGVAAAVWLSDLAVAAMLAAYVAAWYELRRGRDTTSSCGGHGSSWLALLRLALPCCLNTCLEWWSYEILVLLTGRLPDARRMVGVVAVTLNLDYLLFAGMLSLSVSASVRVSNELGAGDAPLARRAARVSMAGGALAGVAGGLVMLAARRAWARMYTRSPEVRDGVARAMKVMAALEVVNFPLNVCGGIVRGTARPLLGMYAVVGGFYVVALPVGVALGFKARLGLEGLLAGFLVGAAASLAVLVTVIVCMDWAAEADKARRRAGGDSAEEDSNKEAAAAALSSDCNCNAVC >PAN48242 pep chromosome:PHallii_v3.1:9:53962671:53966924:1 gene:PAHAL_9G370300 transcript:PAN48242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAAPGLAVAATDPIRSFLSSAAASGDLGADLRELASALSSEPAVPYRSLRAIWCAASPDARPPLRSLLRGADFVLPSPKPREKSDELKARLEKLREMQERKEYAELVKDVAPPSREDSPEPFSSYKDQIGFGLHVVVIMFTGYLVGFAMFRALFNNSPVLNAAGGILGLVGGMLVETILFIIRSSSKELASSVPRSKKVQ >PVH31595 pep chromosome:PHallii_v3.1:9:11692221:11704479:1 gene:PAHAL_9G184800 transcript:PVH31595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPGREASQSLPASSSALAANEHAPNPKEMELEMAAGGYRNGAPDGQEAGEDMLLDGGKLGALRRREFFDNLLKRVEDDNLRFLQRQKQRIDRVGVKLPAIEVTYENLCVEAESRYSGGSHLPTLWNSIKAFLSGLIMLFGVKSDKIKINILEGVSGVIKPCRLTLLLGPPGCGKSTLLRALAGQLDKSLKVTGDISYNGYRLEEFVPEKTAAYISQYDLHIPDITVRETLDFSAQCQGVGSRAEILEEVSKREKVAGIIPDHDINLYMKATAVAASEKSLQTDYILKIMGLDMCADTMVGDAMRRGISGGQKKRLTTAEMIVGPAKAFFMDEISNGLDSSTTFQIIKCFQQLAKINECTMLISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEAHNFFEGCGFRCPERKGMADFLQEVLSRKDQRQYWYGTDESYRYISSHQLSSMFRRYQKQRKLEEPSVPQRSTSGKKSLSFNKYSLPKLELFKACGAREALLIKRSMSVYAFKTVQLSTIAIITMFVFFRSHMTTDLTHAHYYMGALYYSIFIIILNGIPEISMQIARLPSFYKQKSYHFYPSWAYAIPASIMKVPISLLDSLIWICITYYGIGYTATASRFFCQFLIFSLLHQSVTSMYRFIAAHAQTHILSFFYSFVSLAFFLVFGGFILPKSSMPGWLSWGFWISPLTYAEISTAINEFLEPRWQKEIMQNKTIGNQILINHGLYYSWYFYWISVGALIGFIILFYIAFGLALAYRRPIKAYHGSIPRKFFAKVQEEEIDIQKESNDHANMTQEAKMAMPTMQLALTFRNLNYYVDTPPGMLKQGYSARRIQLLNSVTGAFRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYTEGDIRIGGHTKVQETFVRILGYCEQVDIHSPQLTVEESVAYSAWLRLPSQVDEKTRSEFVDEVLKTVELDDIKDALVGRPGINGLSLEQRKRLTVAVELVSNPSVILMDEPTTGLDARSAAIVIRAVKNISETGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYSGPIGEQSCKVIEYFEKISGVPKIERNCNPATWIMDVTSVSMEFQLNIDFASAYQESPLHRDRQELVEQLSNPLPNSEKLCFSCRFPQNQWGQFKACLWKQNITYWRSPQYNLNRMVMTIVIALIFVVLYWRHAKILNNEQDLFNVLGAMYLGVIQLGVYNDQSIISFSTVGRIVMYREKFAGMYSSWAYSFAQAAIEIPYVFIQALLYTVIVYPTIGYYWTAYKLLWFFYTTFCSVLSYLYVGLLLVSVTPNVQVATIFGSFFNTFQTLFSGFILPAPQFPKWWIWLYYLTPTSWILNSLLTSQYGNIDKEVKVFGEAKTVAVFLNDYFGFHQDRLSIVAVVITAFPIVLIILYSLSVEKLNFQKR >PVH32700 pep chromosome:PHallii_v3.1:9:61922739:61927557:-1 gene:PAHAL_9G463100 transcript:PVH32700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITWITNDNSAPSVVDYGTKEGTYTMTSQGESTSYSYLLYSSGKIHHVVIGPLEDNTIYYYRCGGQGPEFQFKTPPSQFPLSLAVVGDLGQTSWTKSTLDHIKQCEHDMLLLPGDLSYADFMQHLWDSFGTLVEPLASTRPWMVTEGNHEKEHILFLESGFQSYNARWKMPYEESGSTSNLYYSFEIAGAHIIMLGSYTDYDESSDQYAWLKADLAKVDRKKTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVIAGHVHAYERAERVYNGRLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIMNSTHAHWTWHRNDDEEPVRTDDVWINSLAGSGCIQEGSHELRKILMSP >PAN44162 pep chromosome:PHallii_v3.1:9:1227041:1232090:1 gene:PAHAL_9G021300 transcript:PAN44162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAVAAAAAAASGGALLLYLLLTCRPQAAPESERGREEDETSPLLSGSGAARGRGAESGREEEPWPHREPVTCCEAAAVAARTARRTWELTVGRWGVHGLAFGIKRHMNRQGNLQHEYSGNDCRQLRGHQAHAEVSSLLEYLKLCMFFSKKSFSAFLKFGGYKQEDILIHKARSRLMQPSFALVCDKRTKCFLLFIRGAISTKERLTAATAAEVPFHHIILSEGQISNVVLGYAHCGMLAGARWIARLAIPHLHNKIQEFPGYQIKVIGHSMGAGIGAILTCILREHYEFSSCSCLAFAPPACMTWELAESGKEFITSLVNRNDVVPSFSKVSTESLRSEVMVSSKLDDVQGHFHHGLFSSISQRVAFFRSHMLTISHSTEKIADHDSSISEPLLKDSADWIQPPVNGHSIDCSGQQVVTSEERVTLVNTEDVTCVKSAVSGFTSQEDSDSNGTLDTHQPSTTTNEGEEALNQNGTRNDKQKEPISASGSRQFFPPGRIIHMVAQPPPDPTPGEGTSSNEIIGIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLQKDDDNTVCTTSNDL >PAN50096 pep chromosome:PHallii_v3.1:9:64418704:64421072:-1 gene:PAHAL_9G497700 transcript:PAN50096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTPEMKENSQLVLQLFLDQKSKEESLNRVLEGTRGQLSNFLLWNSVVASVTSWADDNLRDFLFVSSRIDMVLYRYWEMVIQVPFTFLSQSLAIHSFLGTKLM >PAN46962 pep chromosome:PHallii_v3.1:9:14921656:14923558:1 gene:PAHAL_9G227500 transcript:PAN46962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCYSRSTTMQASKPAARSELDRLGRTEQSDSSARNDAMTPRNRTRDSIKQSEARVPYRAQEIRTAAREEVEGEAAGWSGGGAGGKARVPAGSRTGGFRKTSKINAKKNNTPEEDRK >PAN44701 pep chromosome:PHallii_v3.1:9:3567694:3567966:1 gene:PAHAL_9G062400 transcript:PAN44701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAVLATALLLLVVAEAIVLPVHGARPLGSVELVAVREASSSSVAKPPSLRVPALIPFDRRYVEEADGPAAASYLVTDCTHKTPANGS >PAN50719 pep chromosome:PHallii_v3.1:9:67278141:67281775:1 gene:PAHAL_9G540900 transcript:PAN50719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPRGQPATAAAPAPAATTSPQVPTASLPLPRAFLAAASPRAAAAPPLFTGRPLNPSAPGHVSSAPHGILYPVAKPVAPSAAAQLRRVPPIAVGYHRAHPVAVPIAQPPQPLVHSQPRSFASVPRALVTSMVARPEQPPRGVPIAPQPKVNTVPPVAPSSEQGNPKERERSREDSTIVVINDRKVNLLDSESGSLYALCRSWVRNGVPHESQPSFGNGEPILPRPLPASVVDSRISDKENNGATDLDSDEEPQKNADGEYNTSDLLKQHVKRAKKIRAGLQKERLRRIERYKQRLALLL >PAN50841 pep chromosome:PHallii_v3.1:9:67988009:67992130:-1 gene:PAHAL_9G550700 transcript:PAN50841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLFVFLLLLLGVAAVHGEDGAYIGVNIGTAMSSVPAPTQITTLLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHFPAVNITAIAVGSEVLSAQPNAAPLLMPAMRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDNVLVPMLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSSEPDATSDNADTYNSNLIRHVMNSTGTPKHPGVAVPTYIYELYDEDTRPGSASEKYWGLFDMNGIPAYTLHLTGSGVLLANDTTNQTYCVAREGADPKMLQAALDWACGPGKVDCSALTQGQPCYEPDTVEAHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYSGKNGSALLNGTSLAPSSNSTEGGSGAHRAVGDVSALARVVSAVLLLSVLLL >PAN44764 pep chromosome:PHallii_v3.1:9:3926097:3930133:-1 gene:PAHAL_9G068300 transcript:PAN44764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGVAFEAARKIIMHPLYAPRSSPWLDLKVFYIRVSNCVVDESAPEHLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKKTEEATFVSTDNIRMTGSVRFQVLDKNDLLLTGDLDLCNANGVVGETKNSGKKWNMKCQSSTSCNGFLKGKLSTGLEYVQPAIEVYVAGTFSGTPIILTKTIQLISRRKSEMKLKLDSIPENEATEQQKEESAEDSLKVSEFQDSKSETNVDVDYNSLYSRQDFIEGEDGELSWFNAGVRVGVGIGLGVCVGIGLGVGLLVRTYQSTSRNFRRRLP >PAN44923 pep chromosome:PHallii_v3.1:9:4672599:4678166:-1 gene:PAHAL_9G080900 transcript:PAN44923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDVDAGGGGEGRPSEKELFRAAESGDASALASLTPADLSLRNEDGRSLVHVAAAAGHPQVVLALLEAGGGAAASVLNAKDEEGWAPIHSVASTGNAQIVEILLERGADVDLTTDGGRTALHYAASKGRINIAEKLIAHGAKVNKKDKFGCTPLHRAASTGNAELCEFLIEEGAEVDAVDRTGQTPLMHAVICENQGVAFLLIRHGADVDVEDKESYTVLGRASNSLRPALIDAAKAMLEG >PAN46045 pep chromosome:PHallii_v3.1:9:9933238:9937279:1 gene:PAHAL_9G159800 transcript:PAN46045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 1, Regulation of abiotic stresses, Salinity stress toleranc [Source: Projected from Oryza sativa (Os03g0635100)] MQVGGAGAGGGDAADIRGRHRIQAELKKLEQEARFLEEELEELEKTDKVSSALQEFLTAMESKADPLLPVTMGPVNQSWDRWFEGPQDLRRCKCWFF >PAN44578 pep chromosome:PHallii_v3.1:9:3155636:3156187:-1 gene:PAHAL_9G055300 transcript:PAN44578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKPIIPKAPVEVTLRKFELSDVDAMMAWASDPQVAAVCRWDPYESTEPLLAFIRDVVLPHPWFRAICVAGGEEPRPVGAVSVSPTGDPCRAELGYVLARAHWGRGVATAAVKRTVGAVFGEVQGLERVEALVDVANPASQRVLEKAGFTREAVLRKYGAVKGVVRDMVMFSFVTTDPVPE >PAN48813 pep chromosome:PHallii_v3.1:9:57600906:57602175:-1 gene:PAHAL_9G407600 transcript:PAN48813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSEELKLLGAWASPFALRVKLALGFKGLSYEDIEEDLGSKSELLLSSNPVHKKVPVLIHNGKPVSESQIIVQYIDEAFAGTGPSLLPADPYERAVARFWAAYVDDKLLASWLQSFRGKTEEEKAEGLKQTLAAVEHMEAAFRECSKGKPFFGGDSVGYLDVTLGALVAWVHAGEKLYGFRLFDATRSPLLNAWVERFGALDAAKAVLPDTDRLVDYAKMRQAEAAAGAAAAASSNN >PAN46769 pep chromosome:PHallii_v3.1:9:13778369:13781922:-1 gene:PAHAL_9G213000 transcript:PAN46769 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G30480 protein [Source:Projected from Arabidopsis thaliana (AT4G30480) UniProtKB/TrEMBL;Acc:Q9M0B2] MVLIELEPEREPEKAARPSPPVPEEPAAAGEAARASSPPALGEQAGAAAGGEAPRAEQEEEEEEAFEDALTDEQLREKARNQANDAKAEGNKLFGAGQYEEALSQYEIALQIAAELESAEDIRSACYSNRAVCFLKLGKHDETIKECTKALELNPSYMKALLRRAEAHEKLEHYDEAIADMKKVIELDPSNQQATRSLLRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSIQFQK >PVH32510 pep chromosome:PHallii_v3.1:9:58406818:58407711:-1 gene:PAHAL_9G416500 transcript:PVH32510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRSIVPKICCNGLLFCFCWKVSPRDECDLVVCNPATEKWVVLPDSVDGSIALKYHFVFDPAICPHFQVFEITDADENYGSIGDVNIYSSETGAWSCRGNGWGDELQLVDRGGVFLNGMLHLLTYDLKILAVDTKGKTWRTIPLLEPMTVSCFCRGPLAFIGQSQRRLYYINMRVGETSKLSVWILDDYDSGEWIFKYNISTAQIFGEKNLMFERDYALIAIHPESNLIFFVCRCKDMLISYDMDRGKVCVSNLKEHLCDCPFLPYLPYVPFLKDSLAAQGWKHICSVEANKLLP >PAN50880 pep chromosome:PHallii_v3.1:9:68209286:68212992:1 gene:PAHAL_9G554200 transcript:PAN50880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRMRRHFAIFTTASLPWMTGTAINPLFRAAYLAKDGDKDVTLVIPWLCLRDQELVYPNKVVFDSPLEHESYVRRWIEERVDFRPSFSIKFYPGKFSTDMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKNKFRRVIGIVHTNYLAYVRREKNGQVIACFLKYANTWVTRIYCHKIIRLSGATQDLPRSVICNVHGVNPKFLEVGKLKLRQLQSGEKAFSKGAYYIGKMVWSKGYRELLDLLSKYQSKLAGLEVDLYGSGEDSDEVRESAKCLSLAVNVHPGRDHADPLFHEYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRIYNSEEEFVQLTLNALSEQPAPLTDMQRYELSWEAATERFIEAADINPHAPESRAHQTSRASLPAFLRTRRLKQNLEDASVYLHQALSGLEVTRCAFGAVPKTLQPDEQLRKDLGLAPPPKRKKLKFKLMT >PAN46208 pep chromosome:PHallii_v3.1:9:10632031:10640626:-1 gene:PAHAL_9G170500 transcript:PAN46208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MASLLLPPQLTCSLPSYHRIRGQIHYKTNILGKNMAKHTLRLLNQTVNAASRTSQNAVEGSRDEDSDSETSTKKKRASRRGRKKASTETLEGEREESQISSEQESPEETKKVKRRGRKKAATTASSEEEMDKAKEPKKRGRRKVKTTEESSDNEGDDQGKDLMPSNEREDQTVIKNLANDLETKIELALHEDIGEVDSLMPLVCCFGPAKYSFIPSGRPANRLIDHEIHDRMKDMFWSPDEFMRAPGGPSSNVALALAAIGGRVAFMGKLGDDEYGQSLLYHLNVNGVQTRAICMDPSAPTAVSLMKITSKGSLKTNCVKPCAEDYFLQSDINPAVLKEAKMFYYNSSALLEPTTQSSLLKAIDVSKKFGGIIFFDLNLPLPLWSSSKETMSLIKDAWEAADIIEVTKQELEFLCDIKPSEKFDTSDNDKSKFTHYSPEVIMKLWHDNLKVLFVTNGTSKIHYYTEKHNGWVRGTEDAPITPFTSEMSQLGDAIVAALMRMLAINPHLVTDKDYLHKAVKHAIKCGVIDQWVLARERGFLPKERADPTSEQYEVRSITEREYRTLSDALQSENLSTSELAYVE >PVH33230 pep chromosome:PHallii_v3.1:9:71153046:71155198:1 gene:PAHAL_9G603000 transcript:PVH33230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAAGMAAGAPILPPDASPPPGAGQGGAAFPIAIVIAIGFMVTSLILISYYFLVVRCWLRGGGGGGSGLLHRARRDELVERVSAVFFTDLEAAELPGGLDPDVVAALPVVKYRRARAASGALECAVCLAEFAPGERLKQLPSCSHAFHIDCIDTWLHHNVSCPLCRTVVTGEVALPLARDEHEASCRELQLGDGRIGAAARVGYGSSCRFPTKSGAAQEPITRSFSMDCFAGGLGRKPHKEPAAGSSEAGPSSAAASDRSISSNVVADRGAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDP >PAN46616 pep chromosome:PHallii_v3.1:9:12682839:12686209:1 gene:PAHAL_9G198600 transcript:PAN46616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASTTSATAAAAAFGAAATAKPRGSSSTICPRVSTGGRRRSGVVRCDASVEVQVQAVAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAIQELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVIDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKTEALSASMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLSEMYEAASEADVVFTSTASETPLFTKEHAEALPLISDTMGGVRLFVDISVPRNVSACVSEVGSARVYNVDDLKEVVEANKEDRLRKAMEAQTIITEELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGDDNLTKKMRRAVEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKTQN >PVH33013 pep chromosome:PHallii_v3.1:9:67760339:67765460:1 gene:PAHAL_9G548000 transcript:PVH33013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:Projected from Arabidopsis thaliana (AT1G14750) TAIR;Acc:AT1G14750] MPLTLLVPVPTRPRSNPFRRRRGAAPLLLDQAATAAAAGKWPTESSTSASSCFYSEVISASSTSLAEYQRPEKRPRHQDADEARPAGSECSEVIGGARACPAEVEASESSCLDSVLESDLACPEQLADTAEATEYSSAFEELTPSEPEEDEEVLSGPCRCAEYSLSPLISFPLTEDDGGDAAPSATFSLFLDFAKQFVPCVHPEARAVNNAALDLLTGRRFEDLDDEESYERFRRRERREAVARDYTEVYGSMPGSDGLLVVEQRVVMVNWIIEHSHLMKLQPVTVFMGIGLMDRFLTQGYMKGLRNLQLLGIACITLATRIEENQPYNCVLQKTFKVGMNIYSRSDVVAMEWLVQEVLNFKCFVTTTDHFLWFYLKAAKADDRVAELAKYLSLLSLLNHKQLSFWPSTVAAAMVALACLATDNESSCHLVMETHMRTQDDDLPECLMSLDWLINYASL >PAN49711 pep chromosome:PHallii_v3.1:9:62471300:62474067:-1 gene:PAHAL_9G470300 transcript:PAN49711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHQKRHGRRPDYEERKRKREAREVHKRSEQARKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTKRDKVEDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVMRTGKRKNKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >PAN51779 pep chromosome:PHallii_v3.1:9:72267823:72276191:1 gene:PAHAL_9G620100 transcript:PAN51779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MSVCFSSLYNSLPGRARTQTQAGLKLAQPTQNAPTKQKLNADTPFAGAATTPRRRLVHVSSVPRSSPPAPPRATPPLATMLQSKSFVKKTKQGRIQKVVREHYLRDDIYCGFVPCSACDTAAERKLDAAAAAILVVDTNVVLHQIDLLENPAIEDVVLLSVVLDEVKNKNLAVFNRIKALCTNKARRFYVFANEQHRDTYVKDMVGESSNDRNDRAIRVAARWYQSHLGGSVKVLLITNDRDNKRKAIEEGLNAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDHRPSKKKVIYSEHKPMSEITSGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEEEEEDVHLVPNSADDAPRNTSSTQSTVGSSAPSVSSRPLGRVVGIIKRNWNSYCGSLQPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLENLLNKRIVVAVDSWDVLSRYPSGHYVRTIGDIGDKETETEVVLIENDINTRPFSTQVLACLPPLPWTLSPDDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTLLPNGNFEVGVHIADVTNFVHPGTPLDEEAAQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPDADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRQRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKRDDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVVVHRLLAAALEIAKLPPIFQDGPQLTGIADNLNYRHRNAQMASRASVELHTLIYFRTRPTDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRVTKPGTNISYAVLQTVRIHMEVVEPQPHRPKLQLTLI >PVH32282 pep chromosome:PHallii_v3.1:9:53007949:53008364:1 gene:PAHAL_9G361500 transcript:PVH32282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEPVAVSAVLSEALGKSEERALILGWAYYLYAFSSYPLHTWLPSVYRRHDNWYTRGASFPVLSY >PVH31652 pep chromosome:PHallii_v3.1:9:12850697:12852473:-1 gene:PAHAL_9G202000 transcript:PVH31652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASNAHGVKTANTTTRATDAQRFGVAVVGLRQCVAAVWKACSSILDTEAMVVTACRASSVVKSEDRTTTNLMENVAEGSNKHVHGRAPSSARVPTDPEVPRVDPTAGADHVEPGAPRPPPVVEEAEADHRTSPSPLRWGGPPAEALPSIQRHSPTPTPAVSMAADVPLIPA >PVH31312 pep chromosome:PHallii_v3.1:9:6340886:6341452:-1 gene:PAHAL_9G108800 transcript:PVH31312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKITLAVRNDNAYIAGFNSGGWFAFPRYQRQIDGSTALPKREDYPSLIGGSNNLVDLDVNRDAALEAVHFLSTYRTSDDESKLGVNLARLCVIVAEAARFRRIYNAVLNGLQQQEHQARLAVEDAKSVVLWGEVSRALVGFNKTGKWIDGKTALENFKAAGIGSPQEAIDAVRLLVRPMDFKLDQA >PAN50413 pep chromosome:PHallii_v3.1:9:65806569:65808580:1 gene:PAHAL_9G518100 transcript:PAN50413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEICCEVAAGSPDRKGECAGDAGGGRAARRRRMEIRRLRVVAEDQAAKRRRLEGDEEDDVRGPAPRYGVTSVCGRRRDMEDAVTTRPGFINGHHFFGVFDGHGCSHVATSCGLRMHEIVAEEAAAAAAGSSVSDEAARWRDVMEKSYARMDAEAIGSRETADPAPTCRCEMQLPKCDHVGSTAVVAVVGPRHLVVANCGDSRAVLCSGGAAIPLSADHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPFVISDPEVRVVERKDGEDEFLILASDGLWDVVSNEVACKVVRACLRSREPRGGERSSPTSSLSPRQSSGSSSGDEEAAAPSDGAAYDSDGESGEDKACAEAAILLTKLALARQSADNVSVVVVNLRRRPRS >PAN47504 pep chromosome:PHallii_v3.1:9:31830836:31832596:-1 gene:PAHAL_9G297300 transcript:PAN47504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTSLGKITLPRPQRRLLQPLNSPKHKRATAAPPAGLLQELLGDAHPPKPRRASDETLAVHAGEKLGKGAGEAATDSIATPIVSGTTHWFESSEDLIAFKEGRRHSHEYGRYSNPTVRVLEDKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFIRDRLSKMGIRSTFIDLDDMESLKAVLDQNNVTLFYADSPTNPLLKCVDIRLVAELCHQKGALVCIDSTLASPINQKPLTLDADIVLHSATKYMAGHHDVIAGCISGSEALISEIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAHNRTALQIARLLECHPKIERVHYPGLESNPWHQVAKSQMTGYGGVVSFEVKSDLWGTMRFVDALEIPLIATSLGGCESLVQQPAVMSFWGKSDEEKAKNGIKDNLVRFSFGIEKFEDLRDDVLQALEKI >PAN50551 pep chromosome:PHallii_v3.1:9:66389779:66391452:-1 gene:PAHAL_9G527000 transcript:PAN50551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLISNPRSIFFSSDAEDIRLLQRQGPEGREDLPASMCAASPIRHPPSVDGTLQVDIGPPIASRFRGEAEQQLIKMNLNHLTRCKKCKRW >PAN44650 pep chromosome:PHallii_v3.1:9:3359918:3364395:-1 gene:PAHAL_9G059000 transcript:PAN44650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSITKNGFFQSVSTVLWKAPQDGDALPAAANGPSGGSPGRSPPPALPKPASDVQVMVQSKAPEPVKIATSQSEPAPKPAKQDAKPAAAPAAAANPSSNSSGEAPRPRPKVPQVKRVSSAGLLVGSVLKRKTENLKDKYSLGRRLGQGQFGTTYLCVERSTGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQRGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQKEEAALKTIDFGLSIFFRPGQIFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGKLDFESEPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGSITFEELKVGLKKVGANLQESEIQALMQAADVDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVKLEDMIGEVDQDNDGRIDYNEFVAMMQKPTLGLPKKAGGLQNSFSIGFREALRI >PAN51291 pep chromosome:PHallii_v3.1:9:70045890:70052282:-1 gene:PAHAL_9G583500 transcript:PAN51291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAAATDGRIRSYEEFARVHAYLLAAAGVPPSLHKRLYRKLADEVFDGGEVFAVEPCEGGRQRRLGLASEDPLGKESDVFLVDHAWSFRLPDALKQLREVPGLAERMAALMCVDLDRKIETEESDEQDGEKNGSLEHVLQVVETERARVQERGTDSAAWLELEELGIDDDMLVTLDLSAKFPNLVALNLWGNRLQDTEKVMQEIRKCPKLKALWLNDNPVLGKGIDKAVLDSLSGLEIYNSRFTSKAGEWALSFCADIVGADNPCSSVESTLLDSIATIDLSDRCIHKLPEAFSPSKLPSLSKLNICGNPLDQISGDDLLKLLSQFTQLQELEVDIPGPLGNSAISILDSLPNLSLLNGVNSSSIIESGKHLVDSALQPRLPEWSPEESLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDIPNFRIAPFLFMPEGKLASAISYTVLWPTDDVHTGEECTRDFLFGIGEEKQRSARLTAWFHTPENYFIQEFRRYQEQLQSNSICSSTKIKETPSTKSVRPSDGRALRVYTDIPHVEEFLTRPEFVLTTDPKEADIIWVSMQVDSEVKKAIGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSQLIGDYCMRKRDGLDNLWIMKPWNMARTIDTTVTDDLSAIIRLMETGPKICQKYIERPVLFQGRKFDLRYIVLVRSIRPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHRVKWLEIHESIRSMIRCVFESAAAVHPEMQNPFSRAIYGVDVMLDNRFKPKILEVTYCPDCGRACKYDTQALVGSQNTIRGSDFFNTVFGCLFLDEQTNVSPL >PVH31200 pep chromosome:PHallii_v3.1:9:4633439:4636071:-1 gene:PAHAL_9G080300 transcript:PVH31200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVGLRFAAPAFPQPQRHRCACLLPAARFAPFWRGRLHTARAAVAGPPEVDEDEAMSIDNLHRFFDLNMGKWDGSFYQFDVHGRVLQGISTRLSVSTYGEDNLISLLQSLYIKQASSAISIVDEDDSEPEWVEYKIKETNMFTVDKYQQIGFFPEEKAFALRYQTAGMLETVLRVGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLLVFHERQGSAVPQPLIRSPVGTENASRDRINALLGRWEGHSVTKRSGVYGATLAEADTAVVLKMDGNGQLIQDTLSTKIGTGTTTTVNWTGSANNNLLQFDGGYEITLLPGGMYMGYPSDISKSVAKLDSFHLEFCWMESPEKRQRLVRTYDSAGLAVSSTYFLETKV >PVH33147 pep chromosome:PHallii_v3.1:9:69768454:69771090:-1 gene:PAHAL_9G579100 transcript:PVH33147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSNWKEAFEVFRLMHCAGLGPNLVTVVSVLPSCNDFFGIYQGESVHGMIIKFGLSEQVSVVSALVSMYSKLGKLDSAILLFCCFTEKNNLMWNSMISGYLVNNEWNMALDAFCKMQIEGVAPDATGIINVISGCRHTKDLHVAKSIHAYAVRNRFESNQSVMNALLAMYADCGDISTSYTIFQKMEVRMLISWNTMISGFAETGDSEASLMLFCQMCHKEVQFDLVTLIGVISSLSVSEDAIVGESVHSLAIKSGCNSDVSLTNALITMYTNCGVVEAGQQLVDSCFANTITYNALMSGYHKNNLSEKILPLFYQMVKNDVKPNLVTLLNLLPVCQSQLQGKSIHGYAVRNFTRLETALATSAMGMYSRFNNIEYCSKIFSLVGERNIILWNAFLSSCAQCKQADMLVHIFKHMLFLNVKPDAVTMLSLISACSQLGDADFAACVMALILQRGFSAKDLVLNALIDMHSRCGSISFARELFDNSVEKDSVTWGAMINAYCMHGNGEAALNLFSMMISSGVDPDDITFVSILSACSHSGFVEQGRRLFKSLQADYGITPRMEHYACMVDLLGRMGHLDEAYDIVRSMPFRPSDNLLESLLGACRFHGNSKIGESVGKLLIESEHGKSRSYVMLSNIYASAGKWSDCEQLRSDMEAKGLRKDVGVSLIGMT >PAN50242 pep chromosome:PHallii_v3.1:9:65078459:65080556:1 gene:PAHAL_9G507400 transcript:PAN50242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g37310 [Source:Projected from Arabidopsis thaliana (AT2G37310) UniProtKB/Swiss-Prot;Acc:Q9ZUT5] MKLPSWLTAVPPDPRAYGHLIQLCADSGHLAAGRQLHARLVSLSVTPSNFLGSKLISLYSRAARLDDARRVFDAIPRPSVFAWNAILIALSLHSPDPSAAVRLFAGSGISPDEVTLSALLKSLAASGPGLSALVAGELHAVAILRGFGADLFVSNGLITAYANAGDTRSARAVFDEMPRRDVVSWNSLISSYARAGLYRECLDLFQELTRFHAGGGGVGPNSVTVTSVLHACAQLKAVDFGVRVHQLAAENGLDLDVAVWNSIVGFYAKCGRLQYARELFEGMPKKDSVSYSAMITGYMNHGHVDKGMQLFWRADVQGISIWNAVTAGLVQNGRQSDVLGLLHEMIGSNMLPNSATLSIIIPSVPLFSTLLGVKQAHGYAIRNNYDQSISVVCALIDAYSKAGFFYGALKVFELTGDRSKVVWTSIISAAASHGEAAESLRLFYEMISAGTRPDTIAFTAMLTACAHAGKVADARKIFDSMQVVFGITPVMEQYACMVSALSRAGMLNDALELVNSMPFEPNAKVWGPLLNGAAEFGDIELGRFVFDQLFMIEPKNTGNYIVMANLYSNAGKWEEAEIIRSMMWGVGLEKVPGCSWN >PAN44088 pep chromosome:PHallii_v3.1:9:929967:932935:1 gene:PAHAL_9G016000 transcript:PAN44088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKGAKMPSAPAGGSGLVKVALLGGAGLYAVLNSFYNVEGGHRAIVFNRIEGIKDKVYPEGTHLMIPWIERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPDQLPKIYRNLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERANNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTMASSNNRVFLDSNDLLLGLQQLNVSGKRKK >PAN51353 pep chromosome:PHallii_v3.1:9:70279354:70280056:-1 gene:PAHAL_9G587700 transcript:PAN51353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRAPDKRTQLNRRSPPSTRAAQVASRRPHPARPHACQPLAGGRDSGAHRPRGGSADQADPDSGLTREPRGPNSNSYPDDRWPEPWTQTARRSGWFLRGRAAHAARFARVRTGALPGSLAQPTRARASGHRPLDRSLACPCEPRVGEVVAVRVSGALCAGAGGGRKVAVRCGG >PVH31586 pep chromosome:PHallii_v3.1:9:11581165:11582591:1 gene:PAHAL_9G183000 transcript:PVH31586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYATTLGSQLRIHRFQFQPPPHRSAMAPQAAFLLVLLAASSAAGSSSATDEPVASCSGAGSFAADGAFAGNLRRLMSLLETKAPAFGFDIATAGDGGERVHGLALCRGDVARAACAECVRAAASHARRLCASKTDAVVWLDACTLRYAAGRPFFGEVDRDHRAFAPAVVLRTTPPSSGSAELDREVAGMLRRLTRTAYLSPLMFAAGEARTSAAGAGGQQRLRAMAQCTKDLSGGDCKACLEAAIGQLVARGCAPEGGRVLGGSCSLRYELSPFFDS >PVH32594 pep chromosome:PHallii_v3.1:9:60166918:60168189:-1 gene:PAHAL_9G436000 transcript:PVH32594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKARTMARKLEIPQWKSGASDGLPSPTSPLDRASPRGWRHREAVGGVGLGILAALEAQPTAAAVPRVSAPVSIARRARLEVSELGCSGRCATSLCGGKSSSGSRPASPGAAFRVAEFLSCCDMCRRPLDGKDIFMYRGERAFCSMECRYHAIVSDEFQEEKERKRRAAAAAVPSAADGLPKKAATAEMSGSPCSGGGGQIFFTTGIVAA >PVH31591 pep chromosome:PHallii_v3.1:9:11629698:11630774:-1 gene:PAHAL_9G184000 transcript:PVH31591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPSTDPARAAAAAMADDAAGSKEEQAWRAQALAEKCFLAGNVHGARQWMQSAARLAPGLPGTARVAAAYDVHAAAAAARGGPDCWYAVLGLRPPGGGGPRVTRDDVKRQHRRLCLLVHPDKNPCAAADGAFKLVQAAWQELSARHPPTNPHLRGHRSSASRPHRRGRRSRSRGRGLRSCRCRGGLRLRRHRGLGRRRRRAPRTRRRGRSPRRKSGGARRCLRRAGGPLRRPGTSARLRRVHHQRGEELPVRELPLEPHGRSAAGRRRRLLRGRLLLGVAALKILLDGSNKNRFQFGVVRRVVRVYLCAGGLWNSSSLSWVRFVTWETLQAAVQAWNPPKSQDIKRCVVLHQVSEAK >PAN49700 pep chromosome:PHallii_v3.1:9:62408193:62410347:1 gene:PAHAL_9G469400 transcript:PAN49700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCASASFMLVLLLQLSSCSEALPGGHPVNPTCPPEWPPAAATSSDYGQPSCQPPAPHIPVAVFPYDVDPVQFALNLEYTEAEFFLHAAYGVGLDEIAPKLALGGPPPVGARKANLDQVTWRIVAEFGLQEVGHIRAIQRTVGGIPRPLIDLSAHNFARIMDEAFGYHLNPPFDPYINSLNFLLASYVVPYLGLNGYVGTNPIIDGYETKKLLAGLLGVEAQQDAVFRGLLFERLGEVVPPYGNITVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAEGAICTNVLSADRDSLSYARTPAELLRILYRTGDEHMPGGFYPEGANGRIARSFLGK >PAN51535 pep chromosome:PHallii_v3.1:9:71054555:71059970:-1 gene:PAHAL_9G601000 transcript:PAN51535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTAATAAAVSLHPLLTRPACVLRFGRHLPPHLLRATSSISSAPDFNITFAEPAPAKKASSPAPSAQPLVPWIVRGEDGKPRLSTSPPPEVLQAIALAEAEAKKAAKKESLKGQKGAVAAAAAAAASSAGVKAKERKAAPAAPPKFSKAARRFYNENIKESEPQRLAKVLAAAGVASRRTSEELIFQGKVTVNGAVCTSPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEESKSVVSLFSDYLKGWNKIQPGLPKPRLFTVGRLDVATSGLIIVTNDGEFAQKLAHPSSNVTKEYVVTIDGAVHKKHLIAISEGTKIDGVMCVPDLVEPLAESDTRKTRLKIVVHEGRNHEVRELVQNAGLKVYALKRVRIGRFRLPADLGIGKFVELKQADIKALEGNN >PAN48929 pep chromosome:PHallii_v3.1:9:58181374:58186316:1 gene:PAHAL_9G413400 transcript:PAN48929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGSKKERNLSTCFQLGQKSSAHFCLFSKVRSASPPPGNTPVYLNVYDLTPVNGYVYWAGLGIFHSGIEVHGVEYAFGAHDYSISGVFEVEPRQCPGFKFRKSIYMGTTCLDPLQVREFMEIQSVNYNGDTYHLISKNCNHFCEDMCKRLTGNLIPKWVNRLARMGAVCNCILPESLKIDAVRHDPDGQAEDSEKRRLTGAFSCFSSISLCQRHFSTSSLFLRSPAKGTSWDMKQSSSAQSKKS >PAN49264 pep chromosome:PHallii_v3.1:9:60306346:60308677:-1 gene:PAHAL_9G437800 transcript:PAN49264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTGTSAAAAATMLAAAAAIFITFVLCFYLFLCAKRYRGAAPTIGGGGSGAGGGRARFVFAGAGCHGGGGGMDEAAIAALPRKEVAAGDPPADCAVCIAEVAAGEAARVLPRCGHAFHVECVDMWLRSHSTCPLCRCAVVDEEAAPAPRAPEADPESPNFPTNVLFVGSQDAVSTRGAAATPVEPPQAVPAQAPQLIPGPIAGVAAVVEAARVAALRRLLGCGGATPSPPTPPQQQQQQQQDRDLEAGLGRGESSGSPPPAKPQ >PAN50941 pep chromosome:PHallii_v3.1:9:68462894:68465829:-1 gene:PAHAL_9G558700 transcript:PAN50941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEKALTKLGSFTISRKAKKELSAIGDDISRFSSTVEEKAKWVFEKLKGHKKSLPDLLREHNLPPGLFPRNIICYEYDESNSKLVVHLSKPCEASFKDSSIIRYAPRVKATLSRGKLSGIEGMKTKVVVWVKVASVSVESYKSDKVCFIAGVKKLRQKDAYEVPREAVSVEEF >PVH31038 pep chromosome:PHallii_v3.1:9:2352781:2354486:-1 gene:PAHAL_9G042500 transcript:PVH31038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNHEVAALVEKISGLHAAIAKLPSLSPSPAVDALFTALVAACVPTSPVDVSRLGPDARKMREELIRLCSDAEGQLEAHHADALAAFDNPLDHLHRFPYYRNYIDLSRLENDLLARHVPGIAPARVAFVGSGPLPFSSLVLAARHLPGALFDNYDRCAAANERARKLVRADAGLSARMSFRTADVADLTEELAEYDVVFLAALVGVAAEDKARVVAHLGRHMAPGAALVVRSAHGARGFLYPVVDPEDIGRGGFDVLAVYHPDDEVINSVIVARKVADDGHGSKCCKTEARAAAPLQAREEPAAREEVSF >PAN47183 pep chromosome:PHallii_v3.1:9:16210974:16214912:-1 gene:PAHAL_9G240400 transcript:PAN47183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSVTLKQKKRVKHAKNKYLKPGALAQIRYTRSTSRDIGKKRILLNVKDELEISPQAEVLLESTRPILSPARLNFEPFGSNKGQILPRTPRTPDAAEFDGDSRLESLPLDLLIKIMCCLHHDQLKAVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLLNKTPLPTEHWPFLRIDGKDVRVSTPRTPRAPKHAARLSRLKLVDVKPITAVLFQESSTSFPSKRLRRSMPPGLPRPVSKAAPSPRVLRYEEELCEAVAQNKLL >PVH32089 pep chromosome:PHallii_v3.1:9:34744893:34745117:-1 gene:PAHAL_9G307500 transcript:PVH32089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIFGICNNAMGERRELNPRMVDSQSTALIHLATSAPYPAKGFSLFSIHHYSIYSDLHTSIEIVDIGCHSLK >PAN48366 pep chromosome:PHallii_v3.1:9:54774764:54779327:1 gene:PAHAL_9G377900 transcript:PAN48366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAISMEGIEARARELGVDLSAVDLDSITLPAGEDFGILSDDEEILRNEDPPELEMGLSNIIVVDNLPVVPPEKFDKLENVIRKIYSQIGVIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMLDDFEKYMKVPDTWTPAEIKPYTPGENLLKWLTDEKARDQFVIRAGTLTEVYWNDARKQAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGENGFERLMRFAHPMVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFTTGGNVGVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETDTFSLLDKKSLKVENVVDFSWSPTDPIISLFVPEMGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAIIHGDGPRPDVSFYTMRTANNTSRVSKLTTLKAKQANALFWSPAGRFIVLAGLKGFNGQLEFYNVDELETMSTGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFIWRPRPPSLLTPEKEEEISKNLKKYSKKYEQEDQDAFNQLSEQERKRRTQLQEEWDSWVAKWKQMHEEERAYRRELRDGEASDEEEEYEAKEVEVEEVVDVTEEVLAFDLDQE >PAN50835 pep chromosome:PHallii_v3.1:9:67954259:67958092:-1 gene:PAHAL_9G550000 transcript:PAN50835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMPAPAVGLAVLVLFAAALPALRADDLNSDAQALQALRSAVGRSALPSWNSTTPTCQWQGVTCENGRVVELRLPGAGLMGNLPSGALGNLSALRTLSLRYNALTGPLPDDLSRLSELRAIYFQHNSFSGEVPASLFGLKNLVRLDIADNKFTGEISPDFNKLIRLGTLYLDGNSFTGEIPKLDLPALEQFNVSYNQLNGSIPTKLRKMPKDSFLGNTGLCGGPLGLCPGETAPTPAGSPDSQPGAGGAADIGGGKKKKLSGGAIAGIAIGCVFGVLLLLALLFFLCRKKSSAARPAAAVEKGRDLGMEPLEVEPKGQNGSAAGAGGHNGAAAAVAVPAAAAAAAAAAAKTGGGSTGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMENGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGATASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLEMLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPTMAEVATRIDEIRRSSLGDRQAADSAGEGDEPSL >PVH33095 pep chromosome:PHallii_v3.1:9:68984525:68986706:-1 gene:PAHAL_9G567200 transcript:PVH33095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQDASDGIPAAEAPAKRPPLNKYALACAILASMNSILLGYDVSVMSGAQLFMKQDLKITDTQIEILAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAAIFFAGALIMGLAPSYAVLMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGVLLGYVSNYAFHSLPVHLSWRVMFLVGAVPPVFLALGVLAMPESPRWLVMQGRIGDARRVLAKTSDSPAEAEERLADIKKAIGIPEGVGDDNDDVVVVASKNKGTHGEGVWRDLLLRPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFQKAGLQSDSNSLGATMAVGACKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDRLPAGQQATPLAGVSIAAVLVFVGSFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRVMSGAITMSFISLYKAITFAGSFYVYAGVAAAGWVFMFFFLPETRGRSLEDTEQLFGGGGGQDSSREDERDAQKKSAELTSSQQ >PAN49355 pep chromosome:PHallii_v3.1:9:60749855:60753878:-1 gene:PAHAL_9G443400 transcript:PAN49355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATNAAATAAMSGAAASQQPRPHAPAFLPLRRRCAVRAVHAAEPSKSHSIPAAAKTSSPTVAPEKEVGPVASPAPAPKAPAKWAVDSWTTKKALQLPEYPNPAELEVVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNSNNIRDTFRVILQMSAVLMFGAQMPVIKVGRMAGQFAKPRSEPFEVRDGVKLPSYRGDNINGEAFDEKSRVPDPQRMIRAYTQSAATLNLLRALATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMSAAGLTADHPLMKTTEFWTSHECLLLPYEQALTRQDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITVITRMGSENMRVKLPHLIRAVRQAGLIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELSFIIAERLRNRRIRSSSVLNNILPLPPFSF >PAN44727 pep chromosome:PHallii_v3.1:9:3706548:3707583:-1 gene:PAHAL_9G065200 transcript:PAN44727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSALVVSATAPAPGAEAKQQQQQHPLSQIAASGTHRLLLKQWVKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLLLFLSSPGAATAEACRRSWIPCLVSLLSSLVMLWALRYKSDTEAVLERLLAREREDALLLARCVSELKRKGLDFDLLKEVDALRRAKSLRVEAKGAEKPRRWQARDLAVFALFAAACGVLVLTRFLLCN >PAN47316 pep chromosome:PHallii_v3.1:9:17605366:17608367:-1 gene:PAHAL_9G251500 transcript:PAN47316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTGSGSQDCFWHRFWPMLSYACGELFVIILLYVAALASYAATRLAHICGLKVPCIFCTRLDHALHGRVWFSADLVCTAHRSEISSLAYCKSHDQLARSDDLCKTCLLACKAVGGSEEASSSSRSRSRGLCSCCSELFKNTHNAQKHSETANAVECRSHVYASDNIVAMTPRVVPEQVPADHPKEKAFVVGIEEHHESDGQSRKDSGPSANAGTAKPATYRSAAPTCIAVDRNTSVKNVLASRANLTSPRPSEIISARDSNSTTQQEVKALLSQMSSVRGLDSSLSEGTPRPGINVQTEEGNPTSKKPSLDRNYSVLEPSDGSLTDDIEGESSLENVKKQLELNKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEQQADHDHEAIQNLHDLLTEREKELLDMDAELANCRRLLESEPFNGARLDAIDTMNNTIGDRNLAFEFVNGLDFVRSTMSRFEDEKAYILESLSRLEENLGISTNRLASDEISQEDLLFEDHTRADGRYRENSQLDEHMSGESTSDQQHGGHEIVEDNKDKCPCSPSHNDNMGGVAGLKNEISLLNIRLMALEVDQEFLKQVLSSLQCGSDGLRCIQEITSHLAELRRVAIQ >PAN45604 pep chromosome:PHallii_v3.1:9:7783593:7788550:-1 gene:PAHAL_9G130100 transcript:PAN45604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPALAARARRSLFLLLLVCCCLAAWSPPAARALPLCTDGRAPVPLNRTLSFCSAYGGGGGSCCDAAADAALRKRFDAMNVSDAACAGVVKSVLCAECSPFSAELFNSSSKIQMVPLLCNYTSSASSAQSKDSTQDYCKLVWETCINVTIVNSPFQPPLQGSARLPSSLSKLTDVWRSENDFCTSFGGSSRDQSLCFNGNAVFFNTTEPSPTPKGICLERIGNGSYLNMAPHPDGSNRVFLSSQAGKIWLATIPEQGSGGTLQFDEANPFLDLTDEVHYDSQFGLMGIAFHPKFTTNGRLFVSYNCDRTQSPKCAGRCSCNSDANCDPSKLGTDNGAQPCQYQVVVSEYSAKASSSNVSMATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGSKGDPFNFSQNKKSLLGKIMRFDIDGTQSQSQTINQSSWGNYSIPKDNPFADDSDLQPEIWALGFSNPWRCSFDSERPSYFYCGDVGEDAYEEVDLITKGGNYGWRAYEGPYIYHPQQSPGGNTSLDSINAIFPVMGYDHSSVNKEIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSLMWTGTETPEGSGNYTSAVIPLSCPKSSPIACESAAGSTDPLLGYIFSFGEDNSKDVFVLASKGVYRVVRPSLCGYSCPAETPATSNGTTPPGASSSAPATRLAVKSVAVALALVVCALYS >PAN52022 pep chromosome:PHallii_v3.1:9:71566487:71567106:1 gene:PAHAL_9G609400 transcript:PAN52022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPGYVRPAPLISLTNSLSCLESPLRARPWGRTDGRPAAWEREVVVVAAVGGVRSLEGGLGGRIGGANRRGRWWETRRRRGTRRCARRNLSLRGRRGLGGRTSGSSDFLFLFLFYSAWFWLANRWTGRTLTGPRR >PAN50845 pep chromosome:PHallii_v3.1:9:68015371:68018111:1 gene:PAHAL_9G551000 transcript:PAN50845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGVFSGSVNLKYVKLGYQYLVNHFLTLLLVPVMAATALELGRLGPGELLSLWRSLELDLVHILCSAFLVVFVGTVYVMSRPRPVYLVDYACYKPPASCRVPFATFMEHTRLISDDEKSVRFQTRILERSGLGEDTCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISIDLARDMLQVHPNSNALVVSTEIITPNFYQGTRRDMLLPNCLFRMGAAAILLSNRRREARRAKYRLVHVVRTHKGADDRAYRCVYQEEDDQGYSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKSWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSARHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMHRGDRVWQIGFGSGFKCNSAVWKCLRTIKTPTNGPWDDCIDRYPVDVPEVVKL >PVH32166 pep chromosome:PHallii_v3.1:9:45085346:45086194:-1 gene:PAHAL_9G337500 transcript:PVH32166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNRVIAYASRALRTHEQNYPTHDLELAAVIHALKIWRHHLMGTKCHIYTDHKSLKYIFTQADLNMRQRRWLELMKDYDLEVHYHTGKAKVVADALSRKAHCSCLSVEAVNETLCWEMRKLNLEIIPQGSLNHLSIEATFWDNIVLAQQRNKGVRIIKQKLAQEEGKYKCFRVDSEGILWFNERIVVPKDHKLCKQILDEAHLSKFSMHPGSTKMYQDLKQNFWWTRMKREIAKYVSECDICQRVKASHLKTAGILQPLPIPSWKWEDINMDFIVGLPNAS >PAN50849 pep chromosome:PHallii_v3.1:9:68034093:68037796:1 gene:PAHAL_9G551400 transcript:PAN50849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-1 chain [Source:Projected from Arabidopsis thaliana (AT1G64740) UniProtKB/Swiss-Prot;Acc:P11139] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSVGVARDAFNTFFSETSAGKHVPRALFVDLEPTVIDEVKTGTYRQLFHPEQLISHKEDAANNFARGHYTVGREVIDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEIEDDEEEAEY >PAN44107 pep chromosome:PHallii_v3.1:9:1034494:1037511:-1 gene:PAHAL_9G017800 transcript:PAN44107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGVPLAYQGSASAPEWLNKGDNAWQLTAATLVGLQSFPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLIPIWGKARPALNQGFLIGQADLPATGHYHADGSPETAAVEPLYPMATVVYFQCVFAAITLILIAGSLLGRMSFLAWMIFVPLWLTFSYTIGAFSVWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANTVASMSVVNTNICTAMSLIVWTCLDVVFFGKPSVIGAVQGMITGLVCITPGAGVVQGWAALVMGVLAGSIPWYTMMILHKRSRFLKRVDDTLGVIHTHGVAGLLGGLLTGLLADPTLCNLFLPVTNSRGAFYGGVGGAQFGKQLAGALFVIGWNVAVTSIICVAINAVVPLRMPEEKLEVGDDAVHGEEAYALWGDGEVYDSTKHGADETEHGGRAAVAPVTMTPN >PVH32065 pep chromosome:PHallii_v3.1:9:34056707:34058293:-1 gene:PAHAL_9G305100 transcript:PVH32065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLRRTPAPANPRISFTPPSGSSPNSSPATPARETAGIAPRTAADELLRHLDSSSPVRLREHELYAKLSKCEFWIDEVPFLGHVISKGGIAVDPGKVKDVLDWVVPQTVKEVCSFLGLAGYYRRFIENLSKIVKPLTSLLEKGVDFSWTVERQNAFEELKKRLTTAPVLTLPDQSKRFTVYCDASRDGLGCVLMQDGRVIAYASRQLHRHELNYPTHDLELAAVVHALKIWRHYLFGQRCDIYTDHKSLKYIFTQSELNMRQRRWLELVKDYDLEIHYHPGKANVVADALSRKSYVNMAVAFQMPQELCEEFEQLSLGFLHHTSSAAFEVEPTLEAEIRQHQKDDKKL >PAN48327 pep chromosome:PHallii_v3.1:9:54478615:54480896:-1 gene:PAHAL_9G375400 transcript:PAN48327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATEEMAVYCFDTLVAHFTGDQPPTPAFEDGNHPLFVTWKKATNGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFPPIQSKELPTLECTVSILTDYETAEGYLDWEVGKHGLIIEFTDPDYNIRRSATYLPEVASHEGWGHIDTIDTLMKKAGYHGAITESLRKKLRVTRYQSTLYTMPYGEYVAYVKKNRGAPPTINGVPVVNGFKPGH >PAN45795 pep chromosome:PHallii_v3.1:9:8767021:8767599:-1 gene:PAHAL_9G143000 transcript:PAN45795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQQGGAPAVEVTLREFTLSDADAEAFMSWASDPRVARFQRRDAYEHVDQARGYIAGHVLPHPWYRAICAGGVVVGSISVKPVPAEDGRPFRASMGYRVAHAHWGRGVATRAVRAAAEAVFAAWPWLLRLEAVADVENPASQRVLEKAGFVREGTLRKYIVLKGRPRDMVMFSLVDTDRRQQMKPVEASGP >PAN51445 pep chromosome:PHallii_v3.1:9:70641283:70641492:1 gene:PAHAL_9G595100 transcript:PAN51445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVLLAVVLMQCCNAILAARPLLDDASAVAGGDGGWLGMIMQVLDKGGPSGPPNTNPCCNQSPGGGR >PVH33139 pep chromosome:PHallii_v3.1:9:69592450:69594685:-1 gene:PAHAL_9G576800 transcript:PVH33139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDYFFALLHSWAPQLDFNKYEQMVLEDLLKKGHAEPAKKIGAGIEAFEIRNHPVWQSRCFFVRRVDGSADDFSFRKCVDNILPLPEDMKIGTGKKSGGHHKGGGGGRGGGRGGGGRGGWRGGRGRGRRGG >PAN46794 pep chromosome:PHallii_v3.1:9:14170864:14173084:-1 gene:PAHAL_9G218400 transcript:PAN46794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARRATQVSLPRAALYVAAQCLGAVCGAGLVRVVRSPPGTFAPLVVGDEYSKGTGLAAEVVGTFVLVYTVFSATDAKRTRATATSRPLLPCRSVSRCSWCTWPPSHHGHGQQPGEELQRRRCQQPGQCMA >PAN44907 pep chromosome:PHallii_v3.1:9:4586514:4589760:-1 gene:PAHAL_9G079200 transcript:PAN44907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAYIAVLSIAFPFLLHYLLGRGGGRGKGKGAQKLPPSPPAVPFLGHLHLVKTPFHAALIRLAARHGPVFSLRMGSRRAVVVSSPECVRECLAEHDVTFANRPRFPSGRLVSFDGAMLSMASYGPYWRNLRRVAAVQLLSAHRVACMTPTISAEVRAMVRRMDHAAAAAPGGAARVQLKRRLFELSLSVLMETVARTKTSRTEANADTDMSPEAHEFKQIVDQIIPYLGTANRWDYLPVLRWFDVFGVRSKILAAVSRRDAFLRRLIDAVRQRLDDGRETENKSMIAVLLALQKSEPEVYTDTTIMALCTNLFGAGTETTSSTTEWAMSLLLNHPETLKKAQAEIDAAVGVSRLVTADDLSRLPYLQCIIHETLRLYPAAPLLLPHESSADCTVGGYDVPRGTMLLVNVYAIHRDPAAWEDPGEFRPERFEGGGAEGRLLMPFGMGRRKCPGEALALRTVGLVLGTLIQCFDWDRAGGVEVDMAEGGGLTMPRAVPLEAMCRPRAAMRHVLEEL >PAN51794 pep chromosome:PHallii_v3.1:9:72315001:72321339:1 gene:PAHAL_9G621200 transcript:PAN51794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYSLTLQRATGAVCAVIGSFSGRDTKKSAASGSASTQEIAVARGSTLDLLRPDPETGRLRTLLSVDVFGVIRSLAQFRLTGANKDYLVVGSDSGRLVILEYSPDRNRFDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTITFSLTALDCGFDNPIFAAIELEYAESDRDPTGQAANQAQKLLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGVLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSMFFFLLQTEYGDIFKVDLEHSGETVTELRIKYFDTIPVASATCVLRSGFLFAASEFGNHALYQFRDIGRDADVESSSATLMETEEGFQPVFFQPRPLKNLIRIDEIESLMPVMDMRIANLFDEETPQLFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVTLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESASSFSSDQCSEGVVAVAGDALRIFTIERLGETFNEMAIPLRYTPRKFVILPKKKYIAVIESDKGAFSAEEREAAKKECLEASGAAENGNANNGDPMENGDGQGDGAEEGNIFPDEQYGYPKAESERWVSCIRILDPRSRDTSCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRTLAGGFIHIYKFVDEGKSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAAQHIDFDTMAGADKFGNIYFARLPQDISDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTSLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >PVH32758 pep chromosome:PHallii_v3.1:9:63123792:63124283:1 gene:PAHAL_9G480100 transcript:PVH32758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESESSREPTPEYDPITAYEARAPLHWDEEEWDFRYRSEDDDSLTDGEDLALLLGAELEEDEDDASWGEDLSSSEERADSFSSEEDPMAGTFLLGRSSGDSSDSSTGADGDDSFTSDDNGDDGDSSSDTGDSGPSVVPSPKRRKTSGVYWW >PAN49167 pep chromosome:PHallii_v3.1:9:59604866:59606968:1 gene:PAHAL_9G430900 transcript:PAN49167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTGSEIAGFVVGALLLGATIAAPKVDGFIASSQRRSLGMCKRCGDLRIVACSQCKGIGSVRKGGMLNLGVLDDLYESLGAEAKTDNLIPCTKCRSRGRLLCPECSKIA >PVH32738 pep chromosome:PHallii_v3.1:9:62739829:62740221:1 gene:PAHAL_9G474800 transcript:PVH32738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKRVQLRVRWFQRLIGQAVRVSTLGKRWAAPLPKPFGRQLPPAGRSNIRLSEIQSERGSLQLCLSAAATTSTTQGEAENDSEDDLFLEMYYRGCTTFYSRSEKQCVRALVGRRIFFGQKDDVLGVRGA >PAN43988 pep chromosome:PHallii_v3.1:9:573920:577906:1 gene:PAHAL_9G009400 transcript:PAN43988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAVLRNAGSRRLFSYPTLRAATISAPTALPDAPAAAAAPTQAPPMAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAIAFDEIDKAPEEKARGITISTAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAIMKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVERGQVVCKPGTLKTYTKFEAEIYALTKDEGGRHTAFMSNYSPQFYFRTADVTGKIELLGDMKMVLPGDNVTANFELISPVPLEPGQRFALREGGRTVGAGVVSKVIS >PAN49722 pep chromosome:PHallii_v3.1:9:62501974:62503102:1 gene:PAHAL_9G470900 transcript:PAN49722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPAAVLVVVELSAPLDGVEVGDGDDGADDGDEHDPAGDDAEEEVLERGRDPRLRGLRDLRQHQRRRDGRRGRPLLGRVLRGRRPGDDGHPAGRPATGRDAERRRAGAAGAEGLPEPPGHGQRRRDGGGGHGSCAQRFPVVRRWIGWSGV >PAN51301 pep chromosome:PHallii_v3.1:9:70074758:70080870:1 gene:PAHAL_9G584100 transcript:PAN51301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSASYPLLRVRPPASGGSAFPLKRLRPIHCRRGAPTSHSQRRLHLLHLHTRGVPAAAPPRATADPSSASSAVDAAGSQAADEGAGAGSAVLLDVSGMMCGGCAARVRAILAADARVETAAVNLLAESAAVRLRAPAPPGAGEELAARLTECGFPTTARRGGAAAAGAGESARKWREMAARKEELLARSRGRVAFAWTLVALCCGSHASHILHSLGIHVGHGTFLDLLHNTYVKCGIATTALFGPGRDILFDGFRAFKQGSPNMNSLVGFGSAAAFAISAVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLIVTSSSDDPSSDPILNSDAITIEVPVDDVRVGDSILVLPGETIPVDGDVIGGSSFVDESMLTGESLPVAKETGLPVFAGTVNWDGPLKIRATCTGPSSTISKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLAAATFSFWYYIGTHLFPEVLLNDIAGPDGDSLLLSIKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDALVLDKTGTLTEGKPVVTSIASLAYEEAEVLCLAAAVEKTALHPIANAIMNKAELLKLDIPITSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASPTELRDLRNRLEFMLSSEASSSNQSKSIAYVGREGEGIIGAIAISDILREDARVTVDRLQQESISTFLLSGDREEAVTSIGRTVGIRNENIKSSLTPQDKASIISTLQGQGHRVAMVGDGINDAPSLAAADVGIAMRTHSKENAASDAASVVLLGNRLSQVVDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQNTEKRQGDLSSRLNLNRSVQSSQQ >PVH33036 pep chromosome:PHallii_v3.1:9:68129839:68130684:1 gene:PAHAL_9G553000 transcript:PVH33036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSEGGSGGAAASVREPHDFSNVAAFSELPFLRSAPPRESPNSGIRIFGIDVPHSSPESKAKETAAAAAAATQSSSGGAVGAAPTDSSRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQSAMAAAAAAAGGAHHHHLLGYPQHRFGVAGPTVATLYPSWHTMRPPGAGGAVAIGPQFYSGVGSIAQPINGNPLTAGLWRGPPAGHGNTSMTPAGERRPVALSVFRGEDPRASTSLLASPSSSSSLLLSPQGQFVCEQPATTAAEGVSLDLHL >PAN51890 pep chromosome:PHallii_v3.1:9:72723429:72734956:1 gene:PAHAL_9G627400 transcript:PAN51890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MAGGGAGDAGGGEHASAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDCGADDGFVAEIDRILESINAETTPAPPPPPPPAHALAPAPAPVAPPQPQPQLQEAFAAVAHNAVAVVDAAQRTHGVEARKEPRRESPVAAANGGGECRDGKRQRLTAAGTGGPRQDWRRRPPPPPPSRGWEDRRGRREHERPRKRDRDGHHTHDHHRREARGFWERDRGGKMVFRHGMWEAEVDRQGKRARTQDGSPAESKVEVDQTVASQKEKPVTEEQARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSICDKMRKENKKMLAVFLVPKVPLVYQQAEVIRERTGYCVGHYCGEMGQDFWDSRKWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPVVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDCIVSTIKDRKELEKHVPMPSEVIVHYDKAATLLSFHEQIKQMEATVEEAALSSAKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSDNNDVEMPNAENHKPNELEEGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLGFIRCASLIGHNNNQEMRSCQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHEAFLKHARSSEETLRKEAIERTDLSHLDGTSMISPVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMEKHEKPEGSTEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEREKTEQNDEGDPLPGTARHREFYPEGVAEILRGEWILSGRDGCQSSQFIKLYMYSVNCVNIGTSKDPFVTQLSNFALIFGNELDAEVLSTTMDLFVARTMITKASLVFRGPIEITESQLVLLKSFHVRLMSIVLDVGVDPLTTPWDPAKAYLFVPVGAEKCMDALREIDWTLVNSIVNSDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRNGSAFGQKAHPTYGIRGAIADFDVVKASGLVPARDRGHFNDYQNQGKLFMADSLWDAKDLAGMVVTAAHSGKRFYVDSICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYKKQPLIRARGVSYCKNLLSPRFEHSEANNGEFSENLDKTYYVYLPPELCLVHPLPGSLIRGAQRLPSIMRRVESMLLAIQLKDIIGYPVPANKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMILYQYALNKSLQTYIQADRFAPSRWAAPGVLPVFDEETRDSEPSIFEESSPRCELHKDSYEDYADSMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMRWIGIHAELDPQEIPTSKPYIIPESIMKSIDFDTLEGALGIKFQTKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELSKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSIVWKVFQPLLDPMVTPDTLPMHPVRELQERCQQQAEGLEYKASRTGNVATVEVFVDGIQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDTEKDGEKKNGSQMFTRQTLNDICLRKQWPMPQYRCVNEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRNYQHKPDGK >PVH33064 pep chromosome:PHallii_v3.1:9:68418869:68419967:-1 gene:PAHAL_9G557900 transcript:PVH33064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRRSPETTDEDGDGRSRRPCPRCPPQRHLCVVLDDWSKGCSIYKLDVDGFDGNPDLDLRAERLPGPPIFRLEIPVDDPRYCALFAAAGSMIFAMRYNEEDNDAPVVVCDTATGRLTVGPFTPAELRNRPELVAAGDRLYALDRGFGRDHFKVRAPRGARGWAWSTLRDALATATATACHTTHPDGRTVFFSARGRGTFSFDAGARRWAWHGDWMLPFEGQAHYDAEVDAWVGLCRGAASPVLVCSCDVVSPAGEGDDEDHITAERPPPSWKLAEEKVARRDSVDIELANMGNGRFCLVEYRNRRGVPGDMLDEDCLLLATTFRLRYDKDGALRAAERRARCYAVHKKSNTFGRRAFGV >PVH31771 pep chromosome:PHallii_v3.1:9:14909058:14911846:-1 gene:PAHAL_9G227300 transcript:PVH31771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGHGLCMVDFESFSTAKKRLACTSQPTALPAESQADLPPPRHPSATASPTLPPSHPNRRKKIRSRARNPAPPPPPHARRRLLPRARDLAPLRHPCGTSRLWPPRRRPLPSPLLHLASPRSLAAGAEPPPWSSSEAGRIFRPNPALSAIGEHTSEFPSLSSPSLTRKLLCWDARDRGRLLELLAVLAIVSSPSPRTAAVASSNHPTAPPLRRRSTATSPLQPHHPPRHHAASAASTPASPRCRRRAASIQTPCRQSAW >PAN48181 pep chromosome:PHallii_v3.1:9:53406213:53409619:-1 gene:PAHAL_9G365800 transcript:PAN48181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSKEDKPERAGGAGAGSRAVPVALLVILLCAFSFYLGGVYSTGRSLLDSIQPAPTLLTLGTTAAQQSSALAQPAPSTTTAVAAVSFPECPADFQDYTPCTDPRRWRRYGNYRLSFMERHCPPPPERQQCLVPPPKGYKPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLAKDGDRFRFPGGGTMFPNGVGAYVDAMRGLIPGMRDGTVRTALDTGCGVASWGGDLLGRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSAAFDMAHCSRCLIPWTEFGGLYLLEIHRVLRPGGFWVLSGPPINYENRWHGWNTTVQAQKADFDRLKKMLAAMCFKLYNIKGDIAVWQKSPDATACYDKLTPITTPAKCDDSVDPDAAWYAPMRPCVTAPSARYKKLGLNATPKWPQRLTVAPERINVVPGSSAGAFKQDDAKWKLRAKHYKTLLPALGTDKIRNVMDMNTVYGGFAASIIKDPVWVMNVVSSYGPNSLGVVYDRGLIGVNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRESTYFLDSVAAIAKGMRWSCERRNPENKTDKDKILICQKKLWAGKQ >PAN49601 pep chromosome:PHallii_v3.1:9:61690751:61692038:1 gene:PAHAL_9G459100 transcript:PAN49601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAHAAVLAAALLLLPSLLAPVAVAQPPKGAKAFCISQFAIASQACSILPPSPPDEHHHHDDDDDDDDEEDDDHEDDERRRDRDRRRRGHRAAAISLSALMASSNVNGSQHGAAAVAVNRTGGHHHGNRTRGGHGRRRGRGRGRLRDGDDQHDTDDPDHDDDHSGGDDHHHDADDPDHDDEEHEDDDDAHHHDTDDPDHDDDHDDDDDDDDDDDDDDHHDEELRAYRDCCRWLKEVQKDCVCEALLRLPPFLVKPQHTYVVRVGRTCKITYRCGGV >PAN49674 pep chromosome:PHallii_v3.1:9:59414105:59417219:1 gene:PAHAL_9G427900 transcript:PAN49674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPPTHPSRRSPRRFPAAYSLLLTLALLFASATAKSSRRPISDNEIRQKKEACYTDVENGLWGWVCRSSDGEGECVLRCLPECYDSSTAGPLEEGELDYVRSQEYKYCMHKSSLGESLDGVKGSFSYS >PAN51780 pep chromosome:PHallii_v3.1:9:70439589:70440073:1 gene:PAHAL_9G591600 transcript:PAN51780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKEVQVRARCTKGRQRGAPPRGRSRVGGCVVSRRAATSCLDRNGSRPRRRSRSRASDLQSPASCAARKQGSEGPALKVGDAPRGSHQHARASLCRWAEEQFITRA >PAN46353 pep chromosome:PHallii_v3.1:9:11345499:11346541:1 gene:PAHAL_9G179600 transcript:PAN46353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRALEDLMMAVDAGRVDVPMVDSDKQLTAPRRSSYGGRGRMGAPAPALATSSDASFEFSAVVSYSSASPASMVFSDGQLRAHQFPAVRSPAGGSSEAASPARRSASAGGSAKAAGGLNGSKKRVSFATTDGAASKAGGGGQGNKKSGGLLGCMGSACGSSRSEVVEPARNANRKVVAV >PAN51759 pep chromosome:PHallii_v3.1:9:72173045:72179511:1 gene:PAHAL_9G618600 transcript:PAN51759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMEAFEAYFRRADLDQDGRISGQEAVAFFQGANLPQQVLAQVWMHADQNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIATGPAPPQMGVAGAARPQGSVAMMTPGQVGAAAAAPRPQGSGVIPTSTQVSMPQVNPGAASRPQGINSMMPAAGQSGALQATQFAGPRAMQSQPSNMGFNQQPPSSTGFMQPPQVGVPASSLQAQAPGTNQGPLGGGGMGGSVGWQGSNVASAGGIPQATPGAAPSQATRGGFGLGLPGTMSGMAAGQQVQAMSSSPLPPQSNIAVSPQDSKALVLSGNGPASSSGSSTDIFSALTQPKPSVSAPAPLTSTIPSSSSFMSTPTGSQNLTNLGQLGSLHGNSQPQQTQPITKPNLPAPAAPVVSAGISNSASQWPKISQSDIQKYMKVFGDVDRDRDGKITGAEARTLFLSWRLPREVLKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPALPDSLRHDETLLRATGLPSTAYNGPSWQQNQGLPQRGPGAPGMPTSGVRPPLPQHLQSQTNGTSRPGQPRPHMPGMDNHVVTQGSKDERSGVNSAVQEVADASKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEAAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQHQLEEMEKALSERCKHFGLEFKSSATVELPSGWEHGTQEGTIEWDEDWDKFEDEGFGIVKDNGTIHENPVSAENTKLPSLWDDGDDMSSVASSNGHIKDEKRYSGGDRVAESEIGYDFGDDSVRSPGSAAGRSASGSPLKSSRFGMHDSSPSKRETYSGHGGSESVFGDKFADETSWNFDDQDTDSVWGSTALNTEADHHGGTHNSFFGSEAGSPSGASVFGKKRSSFFDDSVPSTPAYTSGFSPKFGESRDDSSSYNFGRFDSFRSEDTGFFPQESRFSRFDSISSSKGETVSGFDTGNSSRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >PAN45721 pep chromosome:PHallii_v3.1:9:8385322:8389658:-1 gene:PAHAL_9G137400 transcript:PAN45721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPVLFKGHPLVPPKSHHTYPAWPVPPTRKAHPPSLLSLSLSLALHHPQKSTRLSVPNDAHHFHPRSTLSHQPEPPLLAQMSATEFRAAGAAAMELGELMGLQQGIGGTVPSPGEGDLGLLKRGGEAAAAAAFHPSPFLDEQKMLRFSKAAQALPSGLDLGRPNEQDFLLSRTKRPFTPSQWMELEHQALIYKYLHAKAPIPSSLLISISKSFRSSNRVSWRPLYQGYTNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQPKKTAKEAPAAAGSLPCAVSQGSLKKAKVNDSKPGTVSYWTDSLNRTMLSKEKANQPTEDNNIESLGNSTNRQPTLSLLSQLKQHNKPEKYSPAVDSQSISSDTILKAWESRNQQSHKSISSTQLHGAESLQSVLQNFSLAKNENTDSEKNKYSDSMLVSSTFYHLAQGPRTTCLTSNMTQVQADCISSSWEMPQGGPLGEILTNSKNSDDSNKKCESSSYGW >PAN49048 pep chromosome:PHallii_v3.1:9:58803465:58804834:1 gene:PAHAL_9G422000 transcript:PAN49048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRNVRYTPLPVEGGEYNNLTKEDVDLRFAYTPKSYRRIPWKSIALALFLLLLGTSLLFLSYFIFTGHMEGDSSLAYGLLFLGFLAFLPGFYETRVAYYSWRGAPGYTFASIPDY >PAN51893 pep chromosome:PHallii_v3.1:9:72740364:72741734:1 gene:PAHAL_9G627700 transcript:PAN51893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPMLPITNPHGGDVRSSSSPLDQWTKRFQEAERLVDDVVERIAERESVPPSLRRELQRRTAEIRRKVAILGTRLDMLREDLSDLPKKQNISLKQLGKLAEKLSGLSSKAKEVGGQFTVKYSSDRNDLCGSSEKSAKIDVNSIADMDNREMVNLQRKAIKEQDSQLEILEETVVSTKHIALAINEELDLQTRLIDDLDESVEDTSTQLERAMKRLKKLNMRMRKGGSCWGIVLSVIAAVICVAVVWALIAS >PAN46172 pep chromosome:PHallii_v3.1:9:10413447:10414073:-1 gene:PAHAL_9G167200 transcript:PAN46172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVVRAPSDHDLITFVLRPMAAAGTGQQGVGVGGFVHMADVYSVAPEKLAERYAPAPGTGGIWYFVCPARCRYRAAKAGALGEGCWTSETGGAIGPVRGLDGRRVGQSRALCYGARTAVPWTAVTRHGWCMVELALDDQDGGGGGEDFVLCKLFRSPRNEASSATAAAAALAAVPALVSSCKRKAAGGNHPEAPPCVRQQLMQRSF >PAN45729 pep chromosome:PHallii_v3.1:9:8421654:8426095:1 gene:PAHAL_9G137900 transcript:PAN45729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLPVLASGSKASTTTTPFYLALDHRASASSSPPAEAPTPPPPAVSDPPGQSNSERGSEIIKAKIMSHPLYPALLRAFIDCRKVGAPPEVVGRLSALAGEVEMNSGDVQEQPADPELDQFMEIYCHMLVRYRQELTRPIQEADEFFRSMEAQIDSFSLDDNGYEGGGSTDDDGQETVDLVGLPVAEIGSPSGEDRELKNRLLNKYSGYLSSLWKELSRKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPAPPAMVLATDYRLRPYGGGAGASSSSSALAAFRAEGQYFAGGSSYPRGP >PAN46473 pep chromosome:PHallii_v3.1:9:11946807:11947715:1 gene:PAHAL_9G188400 transcript:PAN46473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREAACRAAVSMAPPPARALLVLAAALTVILAVLAPAALAAWVDYPSGVPCGETFPVEQCDPGDAAANSACMDMCHYGGCRRGGQCVSLGFGRGRGCHCKC >PAN49410 pep chromosome:PHallii_v3.1:9:60979989:60982544:1 gene:PAHAL_9G447400 transcript:PAN49410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPTTKWAQRSDRVFLTIELPDAKDVKLNLKPEGHFNFSAKGSDDLPYEFDLELFDAVNVEESKAAVAPRTICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGFNDFDGMDFSKLDMGGADDEDIEDDEDDVVESANKDEGAMESKVEEEATPAAAAAEEAKP >PAN49910 pep chromosome:PHallii_v3.1:9:63369516:63371757:-1 gene:PAHAL_9G483700 transcript:PAN49910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKEAALAAVPNDNPTIFDKIIKREIPSTVVYEDEKVLAFRDINPQAPTHILIIPKVKDGLTGLSKAEETHVEILGYLLYVAKVIAKQEGLEDGYRVVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >PAN48597 pep chromosome:PHallii_v3.1:9:56538598:56539711:1 gene:PAHAL_9G394000 transcript:PAN48597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQREETDQYFAATEMGKDSVADEYTKGKGQAMDYPLAAACRGPGIQSHRQLLAGAWRTPAGRGNVVALSGSLASHCRGNSIFHIQAGPWLLGVMICTSAPNHTRYSGCHSS >PAN45877 pep chromosome:PHallii_v3.1:9:9128833:9131337:-1 gene:PAHAL_9G148600 transcript:PAN45877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAAASTIPRLRLAAQPRSRLPSSGSHWLRPFSSATHVTTPAAAAGAGSLEPPDLPRLANAARISLSRQEAEEFEPKIRQVVDWFGQLQVVDLESIEPSLRAGTAAGSSLREDKPETFVNRDAIVEAIPSYDDPYIKVPRVLNKE >PAN48933 pep chromosome:PHallii_v3.1:9:58186575:58191872:1 gene:PAHAL_9G413500 transcript:PAN48933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASASTNLDSCPPRRSPRLKKIHIIYDEDSDRDSSTFKRVKTEVTDSEEIASPSTSELSVDSVSNKDGEQDCHDVSLKDLRAQCKAKNQKISKVKLEGSGIKNEAKIKEEVDLDKPLIALKQKRPKTSPAKANRKMEALASSPCAAEVEDTTSKGDNTLSPAQSSPFKATMHDTTSEKFGRRAKDLDQSKIAINCTEEIDGEQICCTEVKNTDGALLGCGKPDVLCEIKIEDMDYSEGFGSPSCSMKNFEHLSFELQQELMEGDEHIPQSCFMNQPNQLADVSDHSNEQTCSVKENSFDDITAEKAAEIVSPLGIIDEVSNHQKTSENISNSDVDKSSIGNGFLACSFSQSCHDCTDNDESWNTGVAHGNEPESVKILEELSPIDESSTDMVSPPKNVQSDLCGRTEMSCTSLEEVVQVQGEFQLDSIVCCGVRPKHMLLDMEIGHTSSDYTFSFDKTLDLAQPVNFVAQDGRLESIVYDVLNNHAQRMTSENRSSVGLPDTAVIQSTVVDFNGNCPEDKMASDNKISLPVNVEWPLKDKLNSTDYGIRTSVNNEGPEEELVLQHQLFQSCTDMLNPTGVMPGISNAEESQKLSAGAPNSSAASLETDGQIKKSELLIDEESIEEHAPKKLLSKRKIMSPTSQEKLCNALTGIDLCDGVRLKRKNVLEDCDKTRISLPQPAHKQDRSLFSTDRRLRGRTSVSPTSKGVLKSTGSPPHQQTTCSCMRSSSMVLDTEKAVEFSQRQMHDVENIAAKLIRSLKHMKSIVDESLSSEAYSLLPNFNIAEIRAASEDALEVEKTTRKWLSIMNKDCNRFCKILSLAKKNVVSHPEAPRKQRKISFADETGGMLCHVKVFKDGQTNLLSECQSDL >PAN44191 pep chromosome:PHallii_v3.1:9:1336227:1339028:-1 gene:PAHAL_9G023500 transcript:PAN44191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDKTRRLSGSRHLRQRLVLATLTSTGVTIDDIRSGDAAPGLRPYEVSLLRLLDKISDRHTIDLNETGTKLKYMPGVIQGGKGLEHDCGVHRGIGYFLEPLILLGLFAKAPISIRLKGITNDTKDPSVDTFRMTTLHMLKHFGVPLEGLELKIDSRGSPPLGGGEVFLRVPNINSTLTAANWVDEGMVKRIRGVSFSTRVSPQIESRIIYAARGIFNRFIPDVHIFTDHRSGASGGRSPGYGVSLVAETTTGCLLSVDATVSYPSVDEINDESEKPELMSPEDLGVQAASMLLEEVAQGGVVDSTHQGLLFILCALCPADVSKVRVGQLTPHGIETLRNIRDFLNVMFVIKPDPNSNTITLKCVGAGVKNIARKSS >PAN46700 pep chromosome:PHallii_v3.1:9:13397297:13406873:1 gene:PAHAL_9G208300 transcript:PAN46700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MELPLASARPSPRAAGAACPPLLFSPLKPFPLLRFPPRRPAAARLRLRARAAAADAGEAAPPGEEVFGGRRELTGVQPLVESLPPAARTAAELAVAAAAIAAGYGIGLRAGGGSRAVAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGHDDPTNMESGEVEAIAKKYGVSTQDAAFKAELCDLYARYVYSVLPPGDEDLKGSEVQDIIKFKRALGLDDVDAANMHMEIGRRIYRERLETSDRDADMEQRRAFQKLIYVSNLVFGDQSAFLLPWKRLFGVTDSQIDIAMRENAKSLYSSQLKSIGRGLDIGTLIDVRRAQLAYKLSDEIATEMFREHVKKLVEENISSALDILKSRIPDSLTQAMEEVKIVIKFNSLLTTLSKHPQADRFACGLGPISLGGEYDHDRRADDLKILYKAYATEVLSDGIVDDEKLAPLNELRNIFGLGKREAEGILSDVKSNIYRRTLAKAFNTELASVPSKAAFLQILCEKLQFDPELASKMHEEIYRQKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAAHTEICGQLFEKVVKEAIASVDGYDADRREAVKKAAQSLNLKKEAVMAIFSKAVRKLFLSYIQRAKEAGNRIEIAKELKKLISFNTVVVSELLADIKGELSPTAETEASSVTSESEEEDDEYEWESLETLRKTRPDKELKEKLRKSVQKEITLKDDIPLRDRTELYETYLMFCITGETTNVSFGTAISTKKDDSEFLMLKQLGDILGLTRKEAQDVHIKFAEKAFVQQAEVILADGKLTEAKADQLAKIQKQFGLPTENAQKIIKGITTTKLSSAIEASVARGQIGIQQVRGLKEANFQLDSLISEPLRESIYRKTVEEIFSSGTGDFDEEEVYVKVPADLIISAEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDNVLSSLNDLLACDAAVPASQPLSWPTPGELDDLYAIYLKSIPKPEKLSRLQYLLGISNEKANKIRDAASEGTLPIAAAAEEKEELTF >PAN47157 pep chromosome:PHallii_v3.1:9:16036366:16043056:-1 gene:PAHAL_9G238200 transcript:PAN47157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDEYEYLEKAVDPAAPPANGSGSGEKDRGSRRREGGEDRVSKRPRSGEDRDRDRERHRSSREHRDRERDRDDGKDKEKSRDSRGKDRDREGRDREKERGDKHRPREREVERERRSRSRSERRRAEEEEMVKELERERERSERHHYRDRDVRRRKDDGAEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQAVMVKPSEAEKNLVQSNATSGGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPLDPMTGLCKGYGFIQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDHVGVQVSGATTGDLDDDEGGGLALNASSRAALMLKLDRSGTATSLTGGIGAAGVAIPGPAASVIGAPAVASLVPPAIPAVGSVPGAPVLPITTQSAIMSTPTEFLLLKNMFDPTVETDPDFDLDIRDDVQDECSKFGAVKHIFVDKHTAGFVYLQFDSVTAAAKAQQALHGRWFAGKMITATFMSAQEYNAKFPNL >PVH32227 pep chromosome:PHallii_v3.1:9:49440076:49440213:1 gene:PAHAL_9G348600 transcript:PVH32227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLWSYDYARIEAGNAFKVVFKVKRYTANIDYDVIEMYPVEHEI >PAN46729 pep chromosome:PHallii_v3.1:9:13618505:13619050:-1 gene:PAHAL_9G210800 transcript:PAN46729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPNAKIAVVLVAVLGLLQVSCAVARRHGKPGPCDDAAAADFVNDLPGRLRGHKKAPHPGSHRCAPARHGGGGTPAVMTVNGFMRGKSGGGTSACDGHFHSDGELIAALSTGWFGSGHRCHRAIRITSARTGRSVEARVVDECDSRRGCRGNIVDSSPAVWRALGLDTDVGEVPVTWSDA >PVH33229 pep chromosome:PHallii_v3.1:9:71093908:71095457:1 gene:PAHAL_9G601800 transcript:PVH33229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGQALRWCCWRGSRRCCRRRHLSCAQHHGAPCGALLLLWGAVRWWAAMARLPSVRQIRVEAWIRPLCIRRFGVALPQHECSSNACSSSHGDFQFGWRDGRVNQSASCQEDQGVLMVVAPFLSNLDDELCSIPVETVPWSSDAVQRKCCARCVGVPLLLAHPGDSTKFAPWMHSGQGASDPVWIHCHSHELI >PAN52088 pep chromosome:PHallii_v3.1:9:73746201:73751684:1 gene:PAHAL_9G641100 transcript:PAN52088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQQLELGQTPSPSPALADPDPPPDRGDAATSSATQAPPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSRTGKTIPDSENSGISVERKTEHQSKNGLAENRISPTKENENFVKSKECTLEEGRISEGLSYAESHETVTHDSAHSQEKYPKNESDGHKDLGQPKNNTAKKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRAFRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTIVDISANSFPQTLDWLHSYLLQCIERGLLAACSESCNQGGK >PAN45206 pep chromosome:PHallii_v3.1:9:5879333:5882887:-1 gene:PAHAL_9G101500 transcript:PAN45206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEDGVKEARQGQHRLFPWGMLKVFLLLGVALSVAGMLTARHGHAVVSVAVAPALFRPWLGASPPAAAAAAAEGLEHSMTDEELLWRASFAPGIRRYPFQRVPKVAFMFLTRGPLPLAPLWERFFRGHEGRYSIYVHALPSYRANFTSGSVFYRRQIPSKVAEWGKMTMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYQYLLNSSQSFVMVIDDPGPYGRGRYNWNMTPEVELDQWRKGSQWFEVNRELAIEIVKDTVYHPKFKEFCRPSCYADEHYIQTMLSIEVPQALANRSVTWVDWSRIAAHPARFGRSDITEEFLREVREGQICLYNDRNTTLCFLFARKFAPSALEPLLELAPIVLGFG >PAN50211 pep chromosome:PHallii_v3.1:9:64964888:64968319:-1 gene:PAHAL_9G505200 transcript:PAN50211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVEAAGGRKANCFFEVGRREIGSSFPRASSRRISGSEHILMRMTQYGKLRGHDGCVNTVSFNPAGDLLVSGSDDTNIILWDWLAKTKRLVYPSGHHENVFHARVMPFTDDSTIVTVAADGQVRVGQLKEGGEVTTRLVGEHDSPVHKMAIEPGSPYIFYSCGEDGLVQHFDLRSDSGKKLFTCYSFLNDRRRVRLNSIAIDPQNPYYFSIGGSDEYVRLYDMRRFQLDDSRNINQPVDTFCPKHLVKGGKVHITSIAYSYAREILVSYNDELVYLFQSNMGLGPNPEAAQPECFDMLDQPQAYSGHRNYRTVKGVSFFGPNDEYVVSGSDCGNVFIWRKKGGELMRMMNGDKSVVNCIEPHPHFPFMATSGIDKTVKLWTPSSKKVMSLPKNVKKIITSNERGREVNASRAEATLSSDVIMRMLRLHRRQSELHRENEPAAADFASDDDETFYIGFGNTERDERENSDPRECIVT >PVH31955 pep chromosome:PHallii_v3.1:9:23241851:23243569:1 gene:PAHAL_9G272200 transcript:PVH31955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVEITRRAVLSPRPSCDDHAGSRTIPLTIFDRAATDGYVPAVFAWTAQAAPTNATLVDGLLATVARFPHLAGRLGVDDRGRRCFHLNDAGVRVIEAAAAADLADALAHGVSGHIDQLYPQADKVPVHERADEPLLQVQLTRYRCGGLVIGTACQHLVADGQSMSFFYAAWATAVSTGLAVLPSPFIDRTAAVVLRNPPAPAFDHRNIEFKGEHSPRRSYPVVPLDRIKNITMHFPEEFVARLKARVAARCSVFQCLLAHAWKKVTAARNLSPEELTQVRVAVNCRSRAKPSVPMDFFGNMVLWAFPRMRAGELLSSNYAAVVGVIRDAVARVDAEYIQSFVDFGEALERSGEQLTPTAAVVGTAFSPDLEVDSWLGFRFHDLDFGGGPPCAFLPPVVPIEGLMFFVRSCTAKPGVDLLAAIHDEHVDAFKQICYSLD >PVH32935 pep chromosome:PHallii_v3.1:9:66515624:66517663:1 gene:PAHAL_9G529200 transcript:PVH32935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGLDVECPHIFDSTHFARWKNWMTCNFKFICPQMWWMVDVGFSHVLDERNLTQTQEKCLDLDIQATNILFRSLHDCILCEVMDKETAHEIWSYLNEKYGVASDDHDDFKAIQEIHEDGEHIHDMVVVEDCSTSWSSDDDDDQYTTSSLGMIDGDDSSAANDDPTPSTLDDQVGSYMDDISTSSSSPSSYCFTSQGDTKVSNCNVINPNSYNELLSRYASMNKLFEKVLAKTIKLEKENSFLKDTCEQQKHLLYVLSCSHKELKLTHGELSVAHENLVLDHALLNSKFSNKEIKTSESSSHGSKDQLQNIANPCDVGKKHVSTSCDDLLSMPCTSHIDACSSSTMQYETNLVEENKELQSQVKYLSNKIERWTKSKVTLESIIKNQRNFGDMSGIGSNKGKAKGKRWGKNKYDRKMKKQEEMKVSHFMCFQCHEMGHFANGCPNKENLKLKKEEEKIKHVKCLNCRTWGHLTSMCPTKQLVKQQEAQPNPQVEQEKAPQPQVKINHDDQVDDLKMMKKRTRRGGKARARHPTHNPHAHIKCHSCAILGHLASGCPNKLEKKAQANNEKQGNEKYQMSKEGKAQQKRRCYLCRKKGHMAYSCPLGNNSKPISIDAHIMLRKDGNGTSFVAITKHPAIHTKALPKYVAPNLRGPNLVWVPSKCG >PAN46377 pep chromosome:PHallii_v3.1:9:11481711:11484322:-1 gene:PAHAL_9G181600 transcript:PAN46377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G25680) UniProtKB/Swiss-Prot;Acc:Q9SL95] MATTVNPDPEAAVAGKEPRGPRSLLARARDNLAFRSVWPELNGAMGDLGTYIPIVLSLALARHLDLGTTLIFTGAYNAVTGLIYGVPMPVQPMKAIAATALSDPSFGIPEVMAAGILTAAFVLFLGVTRLMQLVYWFVPLPVVRGIQLAQGLNFAMVAVKYIRYEQDLGKGKSTGRRPWTGLDGLILAIAAICFILLVNGAGSERSRNSNRTEQDQGHGQGGDRDTQEEGRQEEETEGRWRSMIRGAAPAIPSAVIVFVVGVAFAVARHPAAVRELRAGPSRMRVVRIPREAWKQGFLKGAVPQIPLSVLNSVVAVCKLTRDLFPEKEASATSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVAALGALKLALGLALGGSMLRVLVEFPVGLLGVLLLFAGVELAVAARDMSSKAEAFVMLLCTAVSLVGSSAALGFLCGMVAHGLLMLRAWAMGVSRSG >PAN49089 pep chromosome:PHallii_v3.1:9:59149240:59150960:1 gene:PAHAL_9G425400 transcript:PAN49089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAVLSSSATRHSCKVCRKGFPCGRSLGGHMRSHSLAEVETAVEDDADDNGEEQQQQQRRGSDCLMVPGAGGYGLRENPKKTRRLSGLDGGDESGGRQDECGHGELLSPCAPEVGHERRHAPGAGMEVEAEREQEDAMLIPTEPAVGLMPAPRRRRRSMRVPAPAPPPAFDKEPEDVALCLIMLSRDILHRRDSTVTGAEYSPEKDRRRRDYHHDTDSDDASALFQYADVEISTKTNKRKPNRSLAGDEKRGRYECPGCGRAFQSYQALGGHRASHKRINSNCSIAKVPPDQAELSVETNASFNTASPDTDYATDVAHTTAVVALKAKPQKAIKFECPICFKVFGSGQALGGHKRSHSIAGELYERAHADGDAGIDEAEQPMIADGFLDLNLPAPGAEN >PAN44311 pep chromosome:PHallii_v3.1:9:1880140:1881203:-1 gene:PAHAL_9G033600 transcript:PAN44311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQARDNGIPTADQGRRAQGSWEMQRREPLGSKDCSSSSRASSCPWVSSPDRPSSLKEPSSAGLWFFLFSTILRIPYVYGIYGIPSSYARGRPLKLLFSG >PAN45255 pep chromosome:PHallii_v3.1:9:6135032:6135690:1 gene:PAHAL_9G105700 transcript:PAN45255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRLYSSLLLLLLLSSHGLHAAEGRALHFQAKDPPKIGKGVAVAESLIGSRPPRCEGKCAPCGRCEAVQVPVAPRVDGGRGEAAPGRARTFGRAAGGGDRVQESYTDYKPLNWKCRCADRRALDP >PAN49373 pep chromosome:PHallii_v3.1:9:60819905:60825833:-1 gene:PAHAL_9G444700 transcript:PAN49373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFQGKFADDFAQSNRNEHQNGVEAPGVTNSKKLKHAVASEKINQGVISGTNNSDSQKCNSEHIQCANGIVSQNINSTGDCKDGSNAFTLREENTIAETRCPTDNWNSCQFGLSNGSSILNNHSTPQDNLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLEDTDGLSWIPSSSDAVYSSDVALQQGFESSYSDYGILDGLSAFQCAEDKSLPSVDPSTALCDNEFNDTYLFSEQKNVNTYGEQIYQEDVMELLPTEQMCNGHGNIDMVGNQFSSENAIQSIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYQEKFSPSGGSFAQRNSKAQKKTVSIQPGQPASDNVVNRHPQTLTRRASYPCENYGTEEKGLGKRTLEDPQVTMGTSMVVDGSFVSSMSSDNSDEESSFRQLQDAVSQLDVQTKLCIRDGLYRLARSAQHRQVFPNTMNSNGDSQDVKDAETSRKFADPRSIETQTNPIDRSIALLLFHQSSDHATGAVDDASSLKSRASKKRHQGPAGNQGGVPASSSIYSPRGQGGSKDAQSRDNY >PAN45341 pep chromosome:PHallii_v3.1:9:6528908:6533867:1 gene:PAHAL_9G111700 transcript:PAN45341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Anthranilate synthase beta chain [Source: Projected from Oryza sativa (Os03g0718000)] MAYAARVVARIQPAASLAATPRSEPRRPSSLRVGALSGCTTVQQQKFVAKSAISVVEGGDAFVGVKQNTRSIIVIDNYDSFTYNLCQYMGEAGANFEVYRNDEITVEEIKKISPRGILISPGPGTPQDSGISLQTVTELGPSIPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYDEKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPRDALEIVAWTDDGLIMAARHRKYRHIQGVQFHPESIITTEGRLMIKNFIKIIEGYEALNGIP >PAN45388 pep chromosome:PHallii_v3.1:9:6739692:6742401:-1 gene:PAHAL_9G115000 transcript:PAN45388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIKDSNAAALDGNPEPMDQSEDNSMPSAQQQEEAIKKKFGGLIPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRARRSIYTSSENEDGDGAGAEDMNIN >PAN48262 pep chromosome:PHallii_v3.1:9:54131365:54135487:-1 gene:PAHAL_9G371900 transcript:PAN48262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWTSRRKHRNGGFWLRAEIEVDRGSSIPRGPEFGFAAAVREPLVKLRRPEHDFERWDWDYFVWPHDRLDANLEMRDSDPEATLEADRKASVSFLNKSTLQLESYEMYQYLLQQHASAWPCNRLKTNLEMRDSSIEVTLEADGELSESSLNQSTLQPESEDTAALPRDHLVANLEMRNTDAEAKLQTDRKAKERSTRRLKRSEMDRRTQEQHKEKENEQTELFRTPTPVNKKAVGPRSARRRRVFAEANLSPDDDRARQRQVPWAC >PAN48263 pep chromosome:PHallii_v3.1:9:54131563:54135398:-1 gene:PAHAL_9G371900 transcript:PAN48263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWTSRRKHRNGGFWLRAEIEVDRGSSIPRGPEFGFAAAVREPLVKLRRPEHDFERWDWDYFVWPHDRLDANLEMRDSDPEATLEADRKASVSFLNKSTLQLESYEMYQYLLQQHASAWPCNRLKTNLEMRDSSIEVTLEADGELSESSLNQSTLQPESEDTAALPRDHLVANLEMRNTDAEAKLQTDRKAKERSTRRLKRSEMDRRTQEQHKEKENEQTELFRTPTPVNKKAVGPRSARRRRVFAEANLSPDDDRARQRQVPWAC >PVH32383 pep chromosome:PHallii_v3.1:9:55821282:55821458:1 gene:PAHAL_9G386900 transcript:PVH32383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSASKNLPCTMNRSRTQFYFRPFQLSSVVLGVCAATAWRWSQAASPADLVRHLWRQL >PAN50052 pep chromosome:PHallii_v3.1:9:61517836:61521662:1 gene:PAHAL_9G456000 transcript:PAN50052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAVTSGRLADFLGRKMTMRISATICMFGWLSIHLAKSAIMLYFGRILLGFSTGVLSYVVPVFIAEIAPKNLRGGLATSNQLLICCGSSATYIIGALVAWRNLVLVGLVPCVLLLGGLFFIPESPRWLANVGREKEFHASLQKLRGEDTDISEEAIEIKEYIESLRSFPKARLEDLLLSKNIIAVIVGVGLMVFQQLGGINGVGFYASYIFSSAGFSGKLGTILIGIIQIPITLFGAILMDRSGRRFLLMVSASGTFLGCFLTGISFFLKAQGLFPEWVPTLALSGILVYIGAYSIGMGPVPWVVMSEIFSINMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVAKLVPETKGRTLEQIQDSLNSRR >PAN46190 pep chromosome:PHallii_v3.1:9:10539770:10542655:1 gene:PAHAL_9G168800 transcript:PAN46190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRQGFFAALREEVARGLSPARARRKSEAAAADLAAAFRFAGGGGGGGEMLAPLMEGPDLESGDGEGGGGRGGARGRKEGWGHWVRGQLARAPSAAAAGAGAPRNDLRMLLGVMGAPLAPVHVCTTEPLPHLSVKDTPIETSSAHYILQQYLAASGGHRILASVRNAYTMGKVRMVATEHETAGRLTKNRNAGRGGEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRQLRRALQGLDPLVTASIFAGARCIGEKKVNGEDCFILKLSTDAETLKARSEGLAEMIRHVMFGYFSQRTGLLVHIEDSHLTRIQSNTGGDAVYWETTISSFMEDYRPVDGIMIAHSGRSVVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIKSGSDDEIMEITHGERSRVGPPPGHRAKVAALEKAEEDKVAWGGGTVLENHN >PVH32605 pep chromosome:PHallii_v3.1:9:60569605:60571481:-1 gene:PAHAL_9G440500 transcript:PVH32605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTGNPRYFSVRASTLIPKTVISCCFSTFLAFLLNIIEDLSLFIICPEQSSYNERICCTRFALRSSVFRSSKESSANKR >PVH31775 pep chromosome:PHallii_v3.1:9:15035896:15036387:1 gene:PAHAL_9G229300 transcript:PVH31775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESETTREPTPEYDPIAAYEDRAPLHWDAVEWDFHYQSEDDESLTDGEDLALLLGAELEEEEDDTLWGEDLSLSEEESDSISSEEDPMAGTFFFDRSSDDTSDGREGADDDDGFTSSSGGDDDDSRDGSSSSGTSIAPPSKRRKASDVYWW >PAN51379 pep chromosome:PHallii_v3.1:9:70380900:70384072:1 gene:PAHAL_9G590200 transcript:PAN51379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 704B1 [Source:Projected from Arabidopsis thaliana (AT1G69500) UniProtKB/Swiss-Prot;Acc:Q9C788] MVEAHATPATPFLPLTGLHKYIAIFLVVLSWILVHKWSLRKQKGPRSWPVIGATVEQLRNYHRMHDWLVGYLAKHRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGDVYRSYMDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSAIVFREYSLKLSGILSQASKAGKVVDMQELYMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRVKRFLHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVEARASGKQEKIKHDILSRFIELGETGEDSGGLGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMSHPDVAEKLRRELCAFEAERAREEGVALVPCGPDADDKSFAARVAQFASLLNYDSLGKLVYLHACVTETLRLYPAVPQDPKGILEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGADAATFRPERWINEDGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFYRFQLLEGHPVEYRMMTILSMAHGLKLRVSKAV >PAN44049 pep chromosome:PHallii_v3.1:9:808169:817608:1 gene:PAHAL_9G013300 transcript:PAN44049 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G24490) UniProtKB/Swiss-Prot;Acc:Q9FYL3] MAAPSHLHLLGCPQTLALAPPSSRGPSAASPRLGFRRLRLRPVAALGPADAGEMLGRVEALLYTVADAAVAAAPEAEEAAGDWLSGITNSMETVLKVLKDGLSALHVPYSYGFAIILLTVLVKAATFPLTKKQVESALAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQNGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYISAQVMQPPKSNDPSQQGAQAVTKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLSREESANVRKNEAAVQDEPLPNLSKPQPSQEPKATGPQRGERFRKLKEEESRRKEVLGQATQSEQSSTESRVLDGAQNLDASSGDNKDEQEPHENGSVVANNNGGLNHSRNEKILNGNSDKEAPDGHSSVSKPTSSDSHKLTDQENGNDAV >PAN47755 pep chromosome:PHallii_v3.1:9:48028154:48029305:1 gene:PAHAL_9G344500 transcript:PAN47755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLIDRSASGFDFMRWTDAVDDGCRSIAMSALPPRTSSIYSSFPYVSQLPPFQYTPYLHSSLSLPPLPPRRPALGPLPPVMASMAKYAAAPHRAAAAAMGKPAAPRRTGLGEYKRKKPRAPRPGEEPPRAQRRKPLERATPLPSAPAVVEALDDLEREVTRGFVEDLMHALAPPPSSLPLPTFSLVRAAGVKTAVASCAV >PAN47038 pep chromosome:PHallii_v3.1:9:15088737:15090931:1 gene:PAHAL_9G229800 transcript:PAN47038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDALHLHGDSAAAVADDLFGGGADLHGFFDHMALEVKASGGGGDEGEEELEWLSNKDAFPTVETMGPSAPRPRTKGVRRPRREVAWSPPQAPAVGRAPPAGWRCRHCGTNRTPQRREGPEGRSTLCNACGVRYRSGRLVPEYRPASSPTFSPELHSNRHRLVVEMRRRREEAAQALLAAARNGEGKGDEKLECLSQKGEFLAVQLMAAAAAGPRTEGKRRPRKAVEWPAIAWSPPPPPRVPAVAGRRPSQGGAGLAVEQGRVPVGGDDDVGGCAAADQGRAAVPADGGLNPAAPLAAAAAAGRPCQQCGTEKTPQWLVGYRSSCMVPVLPVRPELRFVWHNRVKLHRRPERMAKFCPATAADGEEGKEEMGWPSNNDAFPAMKAVPTAAARPQTKGVRRRRVVELSPPRTPPPPRRRSRRGGQEAAVEQGRVGDGGAAADKGRAAAPAGGGEVAAARAAAPRAPAATRRQCRHCGTENTPQWREGPEGRHTLCNACGVRYKSGRLVPEYRPASSPTFSPGLHSNCHQQVVQLRRRREGSAAAAPGDK >PAN49635 pep chromosome:PHallii_v3.1:9:61838056:61844699:1 gene:PAHAL_9G461700 transcript:PAN49635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSVRPVFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLANISTLSSVYHKPPESFVSRVKAAPRADDEEFADTAETGYSESPSQGVDGASPSSSVGTSSNVPVKQPAAGSPPAPAAMPDLLGDLMGMDNAIVPVDEPAPSSPPLPVLLPSTTGQGLQISAQLTRRDGQIYYDISFENGTQGVLDGFMIQFNKNTFGLAAGEALKVPPLQPGTSARTLLQMVPFQNISPGAPNSLLQVAVKNNQQPVWYFNDKIPLHVFFVEDGKMERASFLEAWKSLPDDHEFTKELPGSVISSIDATVERLAASNVFFIAKRKNGNMDVLYLSAKMPRGIPFLIEITAAVGVPGVKCAVKTPNKEMVPLFFEAMEVLTK >PAN47195 pep chromosome:PHallii_v3.1:9:16272621:16274123:1 gene:PAHAL_9G241400 transcript:PAN47195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLLSSTFAMPAAARRAFSSSSTSLGFATSQLAGLSLSAAAPTSTAVVPLLKRPLQPIVARRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >PAN45812 pep chromosome:PHallii_v3.1:9:8891895:8892197:-1 gene:PAHAL_9G144400 transcript:PAN45812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKRKSGGESPSRGADEEEKVPRGHVPMVAGGGGGEGEEGERVLVPVRLLVDPCVAELLDMAAQRYGYRQPGVLRVPCDVGHFRRVLNGAVQRCGTSSD >PAN49758 pep chromosome:PHallii_v3.1:9:62652133:62652726:-1 gene:PAHAL_9G473300 transcript:PAN49758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFLTSGTALPPATHGAGAGGAAPPAPRAQGKHHGSSAAKVAIAGNVIVAVLLFAAIVWRVFFSGRGEDDDDDDVAPPALGAVDGAAVPASPSAASTPGASPRAGGLQKHDLLALPVYVHGSCPDQEGRAGGEGRVECAVCISELRDGDTGRILPRCGHRFHAECVDRWFRSHVTCPLCRAVVADGGFGKSYPKI >PAN46717 pep chromosome:PHallii_v3.1:9:13565937:13567441:1 gene:PAHAL_9G209700 transcript:PAN46717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQRIHPVDLEAGRNRPTAPLVPGGSFRSDKGDPAQRANNQQQRGHGGYGHGPLPPPPRRVAPPAPLPPPKRRGRGCCCRFLCCVVATAAVLAVLAAAAAAALYLIFKPQAPRYSVDRLAVSAFQVDPSTLTARAAFDVTVTAANPNARIGVYYERGSSLSVWYGPYPLARGALPAFYQGRRNTTVLALAMAGEVQLASAAVSGMRDAQRDGAVPLVFRADVPVRVELGSLRLWKVTARVRCDLVVDRIMDVSSPIKIKASNCKFGFKL >PAN48986 pep chromosome:PHallii_v3.1:9:58453611:58464679:1 gene:PAHAL_9G417100 transcript:PAN48986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLTSQLFQRVPATDIFCQRNKLRSPEMRSSLPLSSTSFPSIADRQDCWGHNVLESNYRPMLYTPHKYRSLGFRTFALPVPLQENPLVKSAAVALTRSCDTLLANPATSLVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLISSSYLQPLLLWTGATLICRGLDPVVLPTAASQAVKTRLITFVRSLSTVLAVAYIFTSLIQQVQKFLVDHRHPNDTRNMGFDFTMKALYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVEFSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNPHIEQQKLHRRVFFEKIDPKNQALMIYISCFVKTSRFEEYLNVQETVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEGMYSRVSGRPLLIDTSARISDDKGKPQPVSSREEQKVKTSGSVEVKSASPENASLNNSEKQDQKKSVPEDARVKNSKSDNVMPVTSSSDPVTSISKTGKGKTHEAEVTERQDGSVSVANPKKESRPAFEDNIVLGIALEGSKRTLPMEEGNPYLSLSETEPDTVTVDSASSPKDEIAQSLKNSGQEKADQRNIDR >PVH31306 pep chromosome:PHallii_v3.1:9:6332663:6332952:1 gene:PAHAL_9G108500 transcript:PVH31306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRTGGTPAYHYQRSSKWHDGHTPIICAKRMGRGDRQVLAAA >PAN44350 pep chromosome:PHallii_v3.1:9:1987955:1990882:-1 gene:PAHAL_9G035900 transcript:PAN44350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYILPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRDDGPRPGQGPPRPGGGAPPAVAPAAPRT >PAN49661 pep chromosome:PHallii_v3.1:9:62019890:62033592:-1 gene:PAHAL_9G464500 transcript:PAN49661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARRAPPRGGGANGGGGLSYSTLFNLEPLLNFRVPVPEDLARYGHSSPNGSASSEGQGSLLDQYNGINDASHGLHRKRKRHLDGASDDDEADPYSNQITEEHYRTMLSEHVQKYKRSKFREGAFGSDPPCVASPQIKDKSGGKKTMKHRSDSRNVATLDEVEASHEYSGIECIKTHGGFNKLVATLDSTYLDMGDNIRYLVPEGYDKLASSLNLPVSSDIRVEEHFLKGMLDLRTLAAMLGTDQKFEASNRGGLSEPLPQFESLQERVKVQKFSLQVTEDPFAIPEGAAGRIRRYIISEAGNLQVHYVKVLEKGDTYEIIERSLPKKQIIKKEPSVIVKEEAEKTYKLWQSLATKSIPKHHRNFNALVKKRQVDAKRFSDSCQREVKLKVSRSLKLMRCAAIRTRKLARDMLIFWKRVDKEQYELRKKEEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGASAPPDEEDVPDEDGEEDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEVGRLRQTSEFGLPTDDSCSMDPNKIDLLHPSTMPEKSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLAHLAEDKNIWGPFLVVAPASVVNNWAEELIRFCPDLKILPYWGPERMILRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWRTLLGFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPPFGELQDVHYAGKRNPITFEIPKLVYEGIIRNVEISGNGCGFRSGYLNRLFNIFLPSNIHCSAIPEANSSNESVLSSGAFGFTRLSNLSPVEASFLATSSLFERLAFLAMQLNMKYTDEIMDAFVDSEGPRFSQIDATSIHAVGRLLLSSTKDIPSLLRTKIGTGPGDCPYEALVLSHHDRLASNIRLLRSAYAFIPPARAPPVNVWCADRNFAYKFTDEMHDPWAKKLFLGFARTSEFNGPRKPVGLHPLIQEVHTDLPILEPMLRLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDVFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHIQDDHLMRQEDVVSLLLDDTQIAHKLKEISMQAKDRQKKRRAKGIKVDKEGDLTLEDLDDATAEAVDQDNTTNKKKKSSHKKHPKMHDNDNVDKNGEAPTGGDLTGSGHTENEQVAEPRPKRSKRLMKGMSDDKEPAAAADLEKPADEVENLTGHDYDDTEEVQDVTSA >PAN50199 pep chromosome:PHallii_v3.1:9:64941468:64945724:-1 gene:PAHAL_9G504800 transcript:PAN50199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSADHGGAGGRGKKQGSQLWKKALLHSCLCFVMGFFTGFAPSSVSDWTSAAVSAGGVGSSHVVRALQTAAGGAVNRSLLAHGSALIGGAGLLDAAATATPRPLLVVVTTTESAPAASGERAAALTRMAHTLRLAAPPLLWVVVEAAPDVPATARLLRTTGLMYRHLTYKDNFTAADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDVFDLRFFDQLRQISAFGAWPVATMARGERKVVVRGPACSASAVTGWFSQDFGGNGTAAATTARPPEVDAHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMKFVQQVALEDFSKVKGIPSDCSEVMVWHVDSTPPSSSS >PAN49158 pep chromosome:PHallii_v3.1:9:59543842:59544666:-1 gene:PAHAL_9G430100 transcript:PAN49158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGAQGSSNAAAAASIHSLSQLPFIRLAGRGTTPNPAPIRLFGFDVPPGAATVVSPSVAKDAGAKDTTTTAAEAANQMAPGPGASGGGGGGDGRRFECRYCCRNFRTSQALGGHQNAHKQERQFAKRARFQTAMAMRHGKYYHPLPLDPARLHPSYAGLPPPHYPTWTAGAAYYITPGTIPHQIIGSQAMPKLWQPRAGGSAALGAATTVVATRRQDRPLSLLGRQQAVAAAGGAGSATVSQSTFSSSWSTSPQERPSLPELKQNVSLDLSL >PAN46162 pep chromosome:PHallii_v3.1:9:10378984:10380543:-1 gene:PAHAL_9G166300 transcript:PAN46162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLQRLDFRNNQLEGGIPVTISLLRNLLYLALGTNKFSGTIPIDLGNRQTLVLIGLANNSLHGELPQSLCKSFQLQELVLNNNNLSGTLPSCIQICSNLQLLRLGQNHFSGYISQVLGVHSNLNVLDASENQFSGTLPPTFCSFTSLLILDLSNNNLSGELPSCWWNLQNLQFLDLSRNAYIGKLPTARNHLSSLKSLNLAYNYFHGDFPSVIQKCKNLIILNLGGNGYYSIIPSWLVVINPRLKILQLRSNMFYGNIPTQLAYLQLLDLANNNLTGSIPTEFANLTSIGQQKTEPFVILVENFTAYPYFGRVDIDWKGHDEIFQRTVSLVTGMDLSTNFLTGEIPTGLSYLQGLKFLNLSRNYLSGSIPKGIGDLKFLESLDISWNQLTGAIPSSITNLMSLSSLNLSSNQLSGEIPKGNQLQTLDDPSIYANNSGLCGFPLSIACPSDSSSVPAFDERKAYHKNLEELWLCYWVIAGFVFGIWLWLGVLVFLKPWRMAIFDCVDKMQNIHMIALSC >PAN45886 pep chromosome:PHallii_v3.1:9:9166933:9169654:-1 gene:PAHAL_9G149100 transcript:PAN45886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVLRAAANRAIRRQALTLTDAAASRIRQLLSLRQRPYLRLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYVDDPLKSEFVFINPNSKGECGCGESFMTTSSKGSTS >PAN51415 pep chromosome:PHallii_v3.1:9:70481261:70484003:1 gene:PAHAL_9G592400 transcript:PAN51415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGGEPPFPAVDKCDASRLGPGSTVAADLEGTLLRSRSAFPYYALVAFETGGAPRLALLLLLAPLAAALSRLASPASGVRVLVFAATAGARVADVESAARAVLPRFYAVDVHPAAWRVFAACGGRRLVLTATPRVMAEPFARGHLGADGVAGTELATSRGRATGWVDSRRGVLVGERKAAALKEMVGSDGQMPDVGLGDGRSDYAFMGMCKEAYLIPRTPVDAVRADKLPKRIVFHDGRLVQRPTPLVALLTLLWLPVGLLLSLVRVAAGMLLPMRWLHVAFHALGVRVVVRGAPPRRPGGRTTGVLFACCHRTLLDAIFLSIALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAGTIRGALSEGDLVLCPEGTTCREPFLLRFSALFAELTDDIVPVAMECRMSMFHGTTARGWKGMDPFFFFMNPSPVYTVTFLDKLPSGLTCGGGKSSHEVANYVQRLIASTLSYECTGFTRKDKYRELADTDGVVPVNAEKKRVVW >PVH30900 pep chromosome:PHallii_v3.1:9:709863:712926:-1 gene:PAHAL_9G011600 transcript:PVH30900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >PAN44199 pep chromosome:PHallii_v3.1:9:1364834:1366622:1 gene:PAHAL_9G024200 transcript:PAN44199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g48350 [Source:Projected from Arabidopsis thaliana (AT1G48350) UniProtKB/TrEMBL;Acc:Q0WWC5] MLASPALAGAHSFAASLSGSLRVPLPSVPAPSPTPARRAALSVVAKVKVPTPQDDRIARHVRIRKKVNGTTERPRLSVFRSNKHLYAQVIDDTKQCTLASASTMHKSLSKELEYSAGPTIEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAAREHGLEF >PVH31418 pep chromosome:PHallii_v3.1:9:8649793:8652005:1 gene:PAHAL_9G141000 transcript:PVH31418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSKLVEQLRDYQIRSQHKRDIIGAISWGLLCCFLIISSYMTLYFRHFWLSAVIISLGILLPAGLYILRQRKLAKKRERRLLLPLSM >PAN48228 pep chromosome:PHallii_v3.1:9:53704034:53704243:1 gene:PAHAL_9G368900 transcript:PAN48228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYPAVIFREQCTSVLLVRWILGYKQKYRNAEWKGLDVFNSVIIGKSGETIMYLQFQSGAKIEVTRDN >PAN48908 pep chromosome:PHallii_v3.1:9:58095980:58098421:-1 gene:PAHAL_9G412100 transcript:PAN48908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARFDVEQGRRGGEGRYPPPPPEQMYTQRKGEREWVPWFVPVVVAVNIALFAVVMYVNNCPAHAASTRRGGGGACVARGFLHRFAFQPLSENPLLGPSSAALQKLGALVWDKVVHERQGWRLLTCIWLHAGVVHLLANMLSLVLIGLRLEQQFGYVRIGVIYIVSGVGGSVLSSLFIRNNISVGASGALFGLLGAMLSELFTNWTIYSNKAAALVTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYGWMQRYVRPSEIKYTTKKYLPYQWVLLAVASVLAVLGFAVGMGMLFKGVNANDHCQWCHYLSCVPTSRWSCGK >PAN45447 pep chromosome:PHallii_v3.1:9:7069104:7072391:1 gene:PAHAL_9G120000 transcript:PAN45447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAGSLTADQLSFFETNGYLVMDLFSSAEEVREMRDRMAELVAGFDGASTSVFSTKDHPQLKDDYFFKSAENISFFFEEKAYGDDGCLKQAKELSINKVGHALHELDPVFKKFSFSKSIASLFSSLGYRRPAVMQSMYIFKQPGIGGEVVPHQDSTFLYTEPRTCTGLWLALEDATIKNGCLWAIPGSHKKGLVRRMIRDENGTHFDRPSPSYDQKEFVPLEVKSGALVVIHGDLIHQSFENLSPASRHAFSFHVVDTEGCEWSKDNWIQRNTAPEPLYVS >PAN51943 pep chromosome:PHallii_v3.1:9:73070260:73073288:-1 gene:PAHAL_9G631800 transcript:PAN51943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGDVEKGGVKKEAGKVPSPLYPQHEGEREWVPWIVPVFFVANITVFVITMYANNCPAHTTARDGKCIGRFLGRFAFQPLRQNPLLGPSSATLTKLGALVWQKVVHEHQGWRLLSSMWLHAGVVHLVANMISLLFIGMRLEQQFGYVRIGVVYLLSGLGGSVLSSLFIRNHISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVLTLLFVVAVNMVLGILPHVNNLAHIGGFLTGFLLGFVVLMRPHFGWMERYGMPAGSNCTSRKYLLYQWILMAIALLLLVIGFAVGMAMLFRGANANDSCSWCHYLSCVPTARWTCTN >PVH31966 pep chromosome:PHallii_v3.1:9:24885549:24887699:1 gene:PAHAL_9G275400 transcript:PVH31966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSWLLALAAALALLNRGARSATFTITNGCGYTVWPGLLSSAGSAPLPTTGFALAQGESRAVAAPAGWSGRLWGRTLCAADAATGRFACATGDCGSGDVQCNGGGAATPATLAEFTLYGSGGLDFFDVSLVDGYNLPMVITPTVSSSSSGAGSGKCAATGCAAELNAACPADLRVDTAADGPVACRSACDAFGDAQYCCRGAYGSPAACRPSAYSQFFKAACPRAYSYAYDDATSTFTCAAGTTDYTVTFCPGVPTSVKSTGQNPQAAGLPQQANNGTTMVFFGSNAQPSSAATAAAATNLLVAVAVTTAVALSSLLL >PAN51056 pep chromosome:PHallii_v3.1:9:68940931:68945105:-1 gene:PAHAL_9G566500 transcript:PAN51056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFRADKGGNPDIIRESQRSRFAPVELVDEVIALDKAWRERQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMESTDEIKKRLAAKEAEVQEAKSTLDAKLTTIGNIVHASVPISDDEANNAIVRTWGEKRVEENLKNHVDLCRMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFVRKETMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPAELPIKYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNGNDSWEVHEEMLKNSEDFYQEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKALQPYMGGIEFLPFKQPLDAKQAADSKSNKSKSKGNAA >PVH31953 pep chromosome:PHallii_v3.1:9:22879349:22880083:-1 gene:PAHAL_9G271300 transcript:PVH31953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGVMESPEYAYREYIAGGTLRCDMMVFVERSTRYPEVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRAATAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENEMDQFLPIKKRSIRTEEESP >PVH31412 pep chromosome:PHallii_v3.1:9:8555774:8558208:1 gene:PAHAL_9G139500 transcript:PVH31412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYLLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVSKLQFLH >PVH31417 pep chromosome:PHallii_v3.1:9:8625368:8628670:1 gene:PAHAL_9G140600 transcript:PVH31417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFICDHTMDAMVSFILMNLLCNSDNSYALNSTYHSNVVALLGSLSADASNSTVGFATGAAGRGPDQVWGLALCRGDVNGTSCASCLALAPGVAFGNCSGVRDVSVYYDRCLLRFSDEDFLASPDDPAAPVQYGLNLEVNITGDPGRFVGLAADLVGALSGWAAHNSTSRYAAGVMTSAQGFTTTDFAVVRNIYGLVQCTPDHAPESCLGCLGRLRDEMPAVFNGTSGAQFNLVWCNLRYEVFPFYDSNPVVKLVAPPPPPPAPAGASGRNDANRTRGAGNASSAAAVVLGVVLAGVLVSTFIVFLRRKAQVKQYAEEDEDSGSLLFDLTTLRRATANFAEENKLGHGGFGAVYKGFLPDGRQIAVKRLDKASSQGLKQLKNELLLVAKLRHNNLAKLYGVCLKEQEKLLVYEYLPNRSLDTFLFAPETEKRLLLGWEARYRIIYGTARGLLYLHEDSQVKVVHRDLKASNILLDADMNPKISDFGLARLFSGDKTTTVTSQVVGTLGYMAPEYAVMGHLSVKLDVYSFGVLVLEIITGRRNTDACFESEVDDGGSSTMLSHVWDRWSKGTALETMDPSLDSQAPESEVLKCIHLGLLCVQENPADRPAMLDVLVMLHGQTSSFAAPSKPAFAFGHGETLSSGERPNVSGGPGNQRAPAADFSVNEMSVSEFQPR >PAN50801 pep chromosome:PHallii_v3.1:9:67717144:67718695:1 gene:PAHAL_9G547300 transcript:PAN50801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAEGNGAAIFGEEQEALVLKSWALMKKDSANLGLRFFLKIFEIAPSAKQMFSFLRDSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRETTLKRLGATHFKYGVADGHFEVTRFALLETIKEALPADMWSLEMKNAWSEAYNQLVAAIKQEMKPAA >PAN51988 pep chromosome:PHallii_v3.1:9:73288590:73299518:-1 gene:PAHAL_9G634800 transcript:PAN51988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETFQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSTSTVAILLSTYAKILMHNQPPDVGLQQQILTIFKKYESYIDVEIQQRAVEYFELSRKGSALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSALVVADHPSANGSTPAANHLTLVKMPSQTVSDIQESSATYEEAPNPPVEAPKENGPVEVESNATNVTDINNEIKVEPPSTSRSTSPADLLADLLGPLAIEAPPAVEQHPAQGLDANQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCTKDSGVLYEDPHIQVGLKAEWRAHHGRLVLFLGNKNTSPLMSVRALILPPSHLKMELSSVPDTIPPRAQVQVPLEIANLRASRDVAVLDFSYTFGTALVDAKLRLPVVLNKFLQPITLTPDEFFPQWKALTVHSLKVQEVVKGVKPMPLPEMANLFMSLHLAVAPGLDNNPNNLVACTTFFSEATRAMLCLIRVETDPQDRTQLRLTVASGDQYLTFELKEFIKEHLIHIPRTQAAPPAAVQPQLPAAAPATYNDPGAMLAGLL >PVH33308 pep chromosome:PHallii_v3.1:9:72387527:72389313:-1 gene:PAHAL_9G622300 transcript:PVH33308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGELELPEFNPRERVKQQISVPFLWEMKPGAPKRDWVISKPVPAVSACPSPTPTKLVVSVPFQWEEKPGKPIQEASPFHVLPDHGGFSASSRSLNPFAFDSEEEYSLGFDLEAFGFPDNNDTSGAAAAAWADRSSGRRDAWFSFSESEDYSHSSGDTSAQEQEFQFPRAPSEKSWEVANDEDQLTDPWSPPRSAVTTLEELMVLSRRLRCGQGLPVDVGKKSLSSVELIKKFLIVCC >PAN43906 pep chromosome:PHallii_v3.1:9:112974:118680:-1 gene:PAHAL_9G001600 transcript:PAN43906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTNWEADKMLDVYIYDYLVKRNLQATAKAFIAEGKVATDPVAIDAPGGFLFEWWSIFWDIFHSSTAKASSSSATGAPPPHLDINKSRDHQMRLQLLHQHNAQLHTRGAAPPPPASINALNSDISAVLASKMMEDRIRNPNPGDSDASQHLLDANRIALLKSPPPNHTGPPPMQQQIHPRNQQHDIKPDVAMPPRTVPADPSSLYTSGLMHPKPPLLSAGLNQGGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLVPSSNQFQLLSPQQRLIAQAQTPNDLTRMGSPAPSAQPNVRSDDPDYLMKLKMAQMQQSSGHRSMELQQPHQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGVPVVSSANILQKSSMICGADGTSGLASSSNQMDTLDSFVDFDENVDSFLSNDDGDGRDMFAALKKGSSEHNSESLKGLSLSEVGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNFKMDTKIEDHTNFITDIRFKTNSTQLATSSSDGTVRLWNAADESGALQTFNGHSSHVTSLDFHPRLTEVLCSCDDNGEIRFWTVGQTTSSHVFRVKQGGTGRVRFQPRSGQLLAVAGGTMVNIFDVEKQANLPSPPKGHNSEVNCVCWDESGEFLASVSQDTLKVWSVSSGACIHELRSHGNPYQSCIFHPRYPKVLIVGGYQTLELWSLSDNQRNPIQAHEGLIAALAHSPFTGMIASASHDRYVKLWK >PVH32318 pep chromosome:PHallii_v3.1:9:54057278:54057668:-1 gene:PAHAL_9G371200 transcript:PVH32318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQSYIPAILIVDGNRWGTGLVFYCDILLHSRTMVTRKTQGASEWCCTESI >PVH33163 pep chromosome:PHallii_v3.1:9:70000722:70005732:-1 gene:PAHAL_9G582700 transcript:PVH33163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEERKLSMLNKGTSLNPNAEEFVPSSLRSVSDASRRSDAAIVISGPSKEASTDQAESIIWSNSDEEAHQYWQQQLPDDITPDFKVLGQDETAGPDSLTLTGLSINDGIGASIFSPNQTLGMQHRASPLIRDKLSTRPKIEFSGPAYIDEHSQATIMSPTASSMSPTAAPWVKTVRNGGHYNSNRRDVGHYNGDSSIGASLHNISDAYHGSRRSLSSTMDIMSQLEQNKVDGRLSQNLRSLSFGHSSPPSPASYGQNGLGNYSKEAFGLPNSPYRSHSAILADDIVSPATGREHVSLDAPRGRYKTTNVPVSGFGSSRGSQLLGGSYNGHHDMISTNTLQNIAGIQTGPAWLENDAAASAYLEPKDEAHDFASMRHAFLEQQDRQAFLTGNSPLTKDLTLKELYNIQSRLAQEKARETTYQQRFQMPELQGLIQEQNPPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQAIIISSARTPARLTAAVEQYLLEHGLQYTQAQPGLFRVLL >PVH31115 pep chromosome:PHallii_v3.1:9:3494864:3496799:1 gene:PAHAL_9G061300 transcript:PVH31115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCSFYASTSLPVAKPQQFVASSKPHSTAAAVATTVPTRSATPTTATSVSAATAALSLHLPELPSQVKDKILSLELMGVDYGRALELNPALRDAAPESIHAVVSFLQSRGLHFKDLGRVFGMCPSVLTASVRADLRPVFAFLSEDLGVPESAHRRVVVKCPRVLACSVRDQLRPALIYLRRLGFRDNRALALQDPILLVSSVERTLAPKLEFLAGLGMSRDDAVAMVLRCPALFTFSIDRNYKPKFEYLVEAMGGGVEDIKAFPQFFAFSLEKRIAPRHRAVEEAGVTMPLPDMLKATDEEFTEMLEKEQRLREEAAAAD >PAN50681 pep chromosome:PHallii_v3.1:9:67057589:67063423:-1 gene:PAHAL_9G537400 transcript:PAN50681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAATVIASPAPAAAAAEPAGWLMDERDGFISWLRGEFAAANAIIDLLVVHLRGVGDPGEYDHVAAAVQQRRHHWAPVIHMQQFFPVADVAFALQQAGWRRRAQPGQALGAAASQNTPPPPPARRPAFSHSHHSHHHHRHGGGHYRPDPARGGGAVASAGSDKDGREAHNHKEGKVLKEVENMVDTKSLRLDSPKIDEGEKNSKLQAVSDGSSKGVQTPIEYSTNETIDGKTVNSVEGLKVYEGLVNVTETDKILSLVNETKASFRRGGLEAGQTVIIGKRPMKGHGREVVQMGVPIIEGPPDDENQRETRVEAVPGLLHDLFDRLSQQEIIPFKPDYCVIDFFNEGDYSHPHHSPPWYGRPLCTLCLTDCDMVFGRAISGERGDHRGPLKLTLTTGSLLLLEGKSADIAKRAIPGTCKQRILLSFGKSVARKHIPAESARLTPPLTPPMLWGPSSKPSNMARHPQSPKHFGYAPASGVLPAPAVGPHHVSPSDGMQPLFVAPGPVSAAAIPFTPTVPLPNTTAAWIPEATPRPAPPRFPGPGTGVFLPPGSGHPLPHQMMPASQGHGEPNSPQGSSSAYLQNKIAGKEMSNGHVSPKSSPANRSYTTEEKAECNGSSNGGGSFAEEKSAVGKEQQNGSLKNVGSSMVQPHGHASK >PVH32820 pep chromosome:PHallii_v3.1:9:64187193:64188530:1 gene:PAHAL_9G494500 transcript:PVH32820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRREQRTYVGKELLVLQVPILFRRTTPTRTRKSHRRTNQRLRRQPLLRRRRRRELAMCALVRITLLQSVRTAKATTPPTWLLARLEEHRGTVIYYLLFFQSLVHPIGGLTLVLIFMFVLMLLCFLLTRPAGLPPC >PVH32223 pep chromosome:PHallii_v3.1:9:49252594:49253007:1 gene:PAHAL_9G348000 transcript:PVH32223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMEGMMKHMQLTAAEKRGIMIGARGTARARKPLPLALGKVLAKQRLNAEGLAQVLRRICCPIKGISIKDLGEKCFLFTFLQPKGKYRALEDGPWMFGKGLVVMVDLDERKTLEEIEFAYILIWVRMVKMPFWHDD >PAN52070 pep chromosome:PHallii_v3.1:9:73679618:73684159:1 gene:PAHAL_9G640300 transcript:PAN52070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATQNRGKKMKREREEEVGSAGAAMSPSLGLPSTVAGASSTARVFLLTRAMDGPSAFHSLRAPSPFVRAMRTRLDPPPPPPLAAAPTPPLPPPPQMPEKRRRGRPRNCDRVLPPPGFLLTPPARAPPSPTLATHGHFQSGGLQPHVLKIDVGEDIVSKIVGFSKITGKAVCVLSVLGVVQEANLLHSSVTLNHKGPLEIIHVFGSILTSDSPGFGCLSVALACADCSVIGGVVAGPLVAAIPAQAIVGSFHDAFYANKAPKMCYPNSQVATGYWVTHYPNSQVGTGFAVTHYPNSHVATVTGCKPCPSSQVAASTGSLCCLNSEVAIGSWSKHDHNSQVSIGDWNGSTNHSNSQVTVGDGSTHHPNSHTGSAQYPSSQVPICNGSTHESSSRVTVGDGSTSNTSSQATVGDGSRRHQPDSHDTVANSRATIGHGGTHQPNSQVSVGEGSTDNANSQATVGDGSTHYLKSLAAAVGDGSTGKGNSQRGLVDGNTNCPNFKVSAGAGRSQYPNSQVTVGDGSNPSTEGSNLEDASCTAVEKGESSEIDVKPSHVVAYVSSGSI >PAN48963 pep chromosome:PHallii_v3.1:9:58364281:58365853:1 gene:PAHAL_9G415800 transcript:PAN48963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFCECGTGSFKHVDEGPEDLGCGAGGSPRGRRKHGGKVNPYAGRGLDQFSVVLSELETRRARILRRVGSDTGLVLVRFVQSNGGWTPVVVKLPDEEQLKHGAAAKKPRAAASTAPPPPSPPAPASPTSPLDPTSPREREGGKKAATTKVPARRASFSWGTRARRPSCYWPAFIVLTLASLAVFGRVFAICLTSIWWYVLPTLGSGCYDGAGEDARRAGLRRSMDRRKLVSQPPHAKNGSGWGVHEVASSPRGHAKGKRG >PVH32336 pep chromosome:PHallii_v3.1:9:54386134:54391075:1 gene:PAHAL_9G374000 transcript:PVH32336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQAPFVYRSFSSDGTSEAALLKAALNGNLDRIKGIMKSLGIPNSERAAVFSFTMGGFGVLHCAACQGHLEVCKVPGVTPFMASAQSGDISTVKYLLDHGGDIMKPDAKGRTVLHHAVCSGFSRVTEFLLSKGIPVDIDYGHGTPLYHACINEQDKTVKILLNHHANPNTIFCGIGTPLNGALIYRSLKCMKLLIKASSVASPLVFATGHGGYTNFIRLLLKAGADPNIPDDLGKLPIELAAARDCREEVEMLFPLTSPIPNVRNWSIDGIISHAKLENAKPMNKEHVKKRKVMLKSQADKAFRRKEYAMASKFYTEEPLQTEMGDGEGALSDAYQCRMMRPDWAKACYRQATAHMLLKEYEQAYGVFLDAQKLDPGNEEIERELSKAMELMKTPPDEDEE >PAN44375 pep chromosome:PHallii_v3.1:9:2099673:2101431:1 gene:PAHAL_9G038000 transcript:PAN44375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRASVKRMCGFCKVVKRRGIVFIHCTANPKHKQRQGFSTLAEAAASCTHLPPPSPPPASSTASAAAFTEASKVARQEMFTKFNWPLGLAALLKNGEK >PAN50229 pep chromosome:PHallii_v3.1:9:65041551:65044795:1 gene:PAHAL_9G506800 transcript:PAN50229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSFLPTTLPTAKPLPAFRTLSTAATLRPHPRPRTSTIRAAITRGRKEETVATVREQLEGCYLLAGIKYEGLTVKQLQGIRDALPETCSLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPTALKPYRAFQKEERVEETNDFVGAVFEGKFYGPGDFKALETMPSRAEVYAKLLGALQGPATSLVTTLQAPARDVVAVLSAYVRKLEEEAGSA >PAN43983 pep chromosome:PHallii_v3.1:9:558395:561693:-1 gene:PAHAL_9G009000 transcript:PAN43983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPPPASAASRAAMLQSVHSLASYSDTLADFLDQWNSVILDAASIAATFAVLFPGPEPDPKPLPAAEAQLSPAPEPERKPEPDLAPETEPNAVPEPEREREPSPSSEPERQPIPAPEPEGEPKPKPVREPAPAPEPEPEHKPAPNPVRERKDGDPSAAELDLRCKQMNFRELRRFVTAHVRDREWLRQVGPDALRRAEDPAWLVLRAVGRYYICAESGDAEAACMLLLELYVRAGCPRGRGQGHREAELRQEAREAALTWRSRLLRVSGRVGDAGAREARGLAFFMAAFGVPVEFPVQELYDLLVAADVSACTKVLKCSKLFVKKMRDVVVEMINRDMYLQAIHSILAFEFPNAFPLGSTLTHIMEKLEHDRKDENEGQALERDEEELALLRSISKCMEDHKLSLFEFPSFAERIVLLEERVGKPKQAFAGIKRKRTTEEDCVE >PAN49574 pep chromosome:PHallii_v3.1:9:61580305:61584501:-1 gene:PAHAL_9G457200 transcript:PAN49574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVKDALQRLIDGLRSLPPRRRTGRRASAGTSSSKGPRVVVIRRPASGSPDRAAAQQQQAASSAPVTIRVATFNAAMFSMAPAVPPAADDDDEEEHRRGTAAPGAWRRRRQQQPRKGILKAQGPPSPAKQLRVSINLQDDEIAESERGRMNAAGGASSSNGGGAWKGKAVAAGDATSSARVPEWRRSLSRRTRSVAEVLREVGADIVGLQNVRAEQGRRMRPLSDLAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRVADQSDFRNVLRVTVDVPGAGEVNFHCTHLDHLDEGLRMKQVNSILRSADGHHILAGGLNALDPTDYSADRWADIVKFYEEIGKPTPKTEVMRYLKAKRYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYAVVSSKGTSDHHIVKADVTVAAGGGGARSFRRQRVVRMSKGSTKGIWAAR >PAN44595 pep chromosome:PHallii_v3.1:9:3213865:3214419:-1 gene:PAHAL_9G056200 transcript:PAN44595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQMLAAAAVSVIAVVFLPALASATDHVVGDGHGWTLGFDYAAWAESKQFTVGDTLAFKYSKSSHNVAELSGPDFKACNKAAATSVWSSGEDRVTLDKPGRRWFICAVGEHCRLGMKLNVTVLPGTPAPLQAPAPAPADPRARRSLSRW >PAN46485 pep chromosome:PHallii_v3.1:9:12004098:12010746:-1 gene:PAHAL_9G189100 transcript:PAN46485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MHRAIRAAAAAAGRALSPARASPSPPRAPGWGATRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNQAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEIIYDENQIVTGVATNDVGIAKDGTKRETFQPGVELRGRITLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIEEGKHEPGSVIHTVGWPLDTKTYGGSFLYHLDDQQLAIGLVVALNYQNPFLSPYDEFQKFKQHPAVRKLLEGGTAIQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKALIEGSSMELYWENLKKSWIWEELHKARNYRPAFEYGFVPGMALSALERYIFKGKSPYTFKHGKPDHEATDAANLHAPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPMVPEKVNLPVYAGPESRYCPARVYEYVSDEKGDPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >PAN48174 pep chromosome:PHallii_v3.1:9:53372698:53374187:-1 gene:PAHAL_9G365200 transcript:PAN48174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVVARCANAKMEIKEKKDTEVWKYGIPKDWIQFRGYPQKAQGISYHMGYWLIFSATSMVDMKFTEHYGRPRMKMVVINPDLIPEFVYELQLRVEEGDENNPLPINMDEQPKEDGDKDKKKNSEEANKENNVKNTGDAHPRVKELEKEGNATGKGSAPSAMMAAAATALPSCADSNKDRHTVVLEPTGTADNTRAWVAELFYGQTAHDGAPKNHRATTPTRKSKRNMMLPDKTPLREHLSLKPARTWRSLIIKVLFRGTHWPRL >PVH31642 pep chromosome:PHallii_v3.1:9:12678236:12678878:1 gene:PAHAL_9G198500 transcript:PVH31642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAGFTEQRLPPASNGGRITGRCASRPALGPCALYGRPPSFLQFLRRFAITQTCSSPSLHWITEAGKLCDKYYFFFCCETTLHQILTPRDYKL >PAN50943 pep chromosome:PHallii_v3.1:9:68480801:68483926:1 gene:PAHAL_9G558900 transcript:PAN50943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDTRPLESVQAAVSIFDRGGEQSRLSPDRNEEEIAILTKELATCKLQLEVRESQHKQATLKIETLEKAVRELSDQYEKDCMDAHMRIAQLEAENIAIMSRQAEADGERRALREELAAARGQLGEARASVAFVLREVEAMETRAILERESTKDALARILLLNETVLSSAVAAIRAEEERSVFFQEATLQFFNSEKNLEVARRQMEMMERMEAELLAKTVEVEYLRSELEQVKVICLSPAEGSDATVVTGAGCDNLDDRDQDQACEPTVKDSEAQAEFTFQHSPEECFVSEIFRKDGHVTTSDGNKTEIEISEDVMEDRQGAGAMVQGTTVAGGNPDAQETSCLVAKISGEEHQAIQSDGKNIEAENDQEPAESDGALPKTTACQGNENLLHDHEEAKAADTNFVLESSRDDFQSVYSDAKDISIAEPVNVAIAGIHEPPAGADAAAQTPTPREGNPDTSVVDAEIASKGEDEFYTKELEPEPGQGGNRLDGFVLVSRSGDADVVARDKQLDAARTEISDLRFSLEEAVRRAELAEEAKAALERELREELRRKQQTPSRRRATSDSEDGGRQARYGAPPTPAPTRSTPSHTPGTTPTTRALRSARPGGEHLPMPRCLTLGKVLNMKYK >PAN49506 pep chromosome:PHallii_v3.1:9:61423891:61424187:1 gene:PAHAL_9G454500 transcript:PAN49506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLYSRKELKLIAPVVLLASLLLQFQSASCSSSCCFDQRGGAAVGLLQGRRLLAGRGEATVKGLMKPVVAKEAAGHALGEEDKREIITGPNPLHNRR >PAN50169 pep chromosome:PHallii_v3.1:9:62056394:62056860:-1 gene:PAHAL_9G464900 transcript:PAN50169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFRLHPYLNRKGNCFSISCLHHGRQLGQAPRTMFPERIWCRVFFSLVC >PVH31346 pep chromosome:PHallii_v3.1:9:7214578:7216294:1 gene:PAHAL_9G121400 transcript:PVH31346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRARTIPFHKMGAKRKERITGGTLASDPSSEQLKAGSTACLDPLNPAFASIFKHDVCIHKQNPRAGGTNFSRLAELKSPASLAFNKTSLCQCQLVGAGGCAFHCCG >PVH31039 pep chromosome:PHallii_v3.1:9:2362799:2365482:-1 gene:PAHAL_9G042700 transcript:PVH31039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRWPSADGVLALLHLLLVDSGTCMHGKGHKDQGGAAASRDGWRQMGDLILFNRMCFLSELLFRLIYSHRIPLLFSPWSHPKEEV >PVH33010 pep chromosome:PHallii_v3.1:9:67681018:67681521:-1 gene:PAHAL_9G546500 transcript:PVH33010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVELRRALGAADAGLGMLHKLRAARMSSITSSSKPRRESQALAPAPRRCRGGAGEAAARGSVSGERWIGPWFYAPRAMLIAHGDGFLAETPCAIALPVIGGASSVEGGHQNSLSWHARIEAGANLIELEWFRSGTRGLKEVGSLNIAWL >PAN46518 pep chromosome:PHallii_v3.1:9:12233300:12235597:1 gene:PAHAL_9G191300 transcript:PAN46518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLRVPARLVHARGKTTAAQHVAARHLDHAFERLATAHLPLVAASPLVDALRASPEPLALPNLARRLPLRLHRRGPLHFLRLFPRVFHLRAPLPLSLSLTPAAADLLAVASSPTDAARTLHRLLAMSASRALPLRAVFCVWRELALPDDFEDSVVAGHPHLFRLAPNPAEPNTHILHLIADPAAEDFTPAVEKTRPDKYAFKLQFPPGFRLTKEYRKKVKEWQQLPYAGPYEIVSPKAGGSKRVSKLARRKMEKRAVGIAHEFLSLTAEKMVEVEKFSQFRKWFGTEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLVEPNEVSEARAKLVELMLLRRRGLGNANSSANMASGATSDAKESAHDFVEHEDCLLDVPET >PAN44432 pep chromosome:PHallii_v3.1:9:2355278:2361233:-1 gene:PAHAL_9G042600 transcript:PAN44432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTRGVACLLVAVICLSCAAAAAARSPAARLHRHLKRFNKPAVKSIESPDGDIIDCVHISHQPAFDHPYLKNHTIQMRPNYHPEGLYDESKTNVASTSSGEKPKVQLWHQNGRCPEGTVPIRRTKKDDLLRASSMRRYGRKRHTTANPMSVNPTMLNEGGHQHAIAYVQGDKYYGAKATINVWEPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNMLCSGFVQINSEIAMGASIFPTSSYSGSQYDISILIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGVHTSTQMGSGHFPEEGFSKASYFKNIQVVDSTNNLKAPKGLGTFTEQSNCYDVQNSNNGDWGTYFYYGGPGRSSNCQ >PUV26835 pep supercontig:PHallii_v3.1:KZ794286:465738:466670:1 gene:PAHAL_J001400 transcript:PUV26835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPNVFWDPEGHLHTNALHWEGFPRLLWESLQSFHYTEPPQYDAVEFVEDGIHRAHVRMTIPQHPFRSQWSPIEVNVMGYRIVDTIEAAALEAIYRFCIQHPKEVAGKPIGLFSTTDPDEPEWNLRIVPESHRLDGPPEEVPESHMMRFMNVQCHYHLLLRRELGRAIYIARGHYREADRQNTQVDQLQTLVTQKDEIIAARDETIHHREDQINESDHIITQRDTVIEFLQAQIHDLILAVDDAQAQIEELQQPPIPPVAPAVPEAEEEDPEEIEGVSELDSEHGDPVLSPHHSSSGSQSSIGNFDDF >PUV26834 pep supercontig:PHallii_v3.1:KZ794286:375500:376102:-1 gene:PAHAL_J001200 transcript:PUV26834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPGVCTRAATSRSEPLTRARSHAHFTCATPAAGSPCRAPHQNRATAWALRQPQPVLPVQPPPAPPHRLDPLLVTRCTRLAAGRATRAVQPRPACILRSPPWASPAPGRALLPPASSAAPSRPAWAAPPGPRALPHVRRPGSAATARAWAARSRASVRRRPGSRTASPRAWAHAGPLLQPRASAWAGLHPDLALHERQL >PUV26833 pep supercontig:PHallii_v3.1:KZ794286:159785:161217:1 gene:PAHAL_J000500 transcript:PUV26833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPNINMVEGYDRSTCRQLDFTLGINKAGHTSRQQSRRQEADSRDRPQKEERDYITEEQVRHVRNQRPVSSHLLRKYQYHYQQRLQHETEEKEYEQRTGKRLRRREDTWIIGIARSSYCPECNSPKKDTRSASVFQRLGPGQSHREQNKSTCMVGNPEDGEDRCHRPRWCPDGLNQSQKRRVQRLRSLEEAEAQYLETLKKAQPDLAEKIHNPRQVESSSKKVWRPKKSKADVNTSADAHMVFVLPAEFHAPGREEVPVAQLDLGPRPVIFEKPREKNYRHLKALYLKGYINGQPVSRMLVDTGAAVNIMPYSVLRKLGHSVGDLIKTNIMLSDFNGQTSEAQGVLSVDLTVGGKTVPTSFFVVNSKGSYTVLLGRDWIHANCCIPSTMHQCLIQWDGDDVEVVHADDSAEVSHAVMSIWHAEDQEPMSGISLEGCDRVEATKNGVRLVLSTGLTE >PUV26832 pep supercontig:PHallii_v3.1:KZ794287:313327:313752:1 gene:PAHAL_J004600 transcript:PUV26832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKVFLMAAPFQVPDAGLPDLRPDGRGKKPHRCGNEVFLEDPAQVAESLFYGQGIGIPMLKSCGSHSPRLDVGVAGASSDGKNHPTAKSAKVFVLSAVVPWVLSNTEALQGVDQKAGETRLFLANALGPTILSHLKTKVE >PUV26831 pep supercontig:PHallii_v3.1:KZ794287:43661:50049:1 gene:PAHAL_J003800 transcript:PUV26831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDDPFTYEELSAEHKQRYDEIKTQFEADLIGSFERTRSHGVRWKGFSPEGALDGVDLSIPSEDRTRALRQEVNYVVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPTLGSHRGELPFQTRPPLPYTLAAAESHGAPAYVVYKEGGDPMDHQFFSEPPKEIPHAEQISTILRDQFGILPKRRAIGYTKPYPGDYDLIPLPPKYRLPEFTKFSGAKGSSSIEHVSRYLAQLGIISVSDPLRVRFISQSLTGPAFGWYTSLGPDSIRTWKQLEEQFHIQYHSEAAEAGIADLAEMKNRCYSTRISEKEAVELASVGLLKPIRDLAFQLEFTSLAHLVQKLSTYEQRHPELYQDKFKRQVAVADTEEADNSEEELELKFPEGHKLPTAQELKGRSYWTAPTQQERVRSPRREDEEEDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLKKARPDLAEQVHYEQEKKPHASRKEWRPKSTKADKKVSADTHMVFVLPAEFHARTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSILRRLGRSTGDLIKTNVMLSDFNGQTSEAQGVLSVDLTIGNKTIPTSFFVDGKLGYGFTSADELEEIDIGPGDKPRPKNMPIALPGIIQRCLGSIEAYVVPVQKKDGRWRVCVDFRDLNRATPKDEYPMPVAEILINTAAGHKILSFMDGNAGYNQIFMAPEDIHKTAFRVPKAVGLFEYMVMTFGLKNAGAIYQRAMNYIFHDLIGSLVEIYIDDVVVKSASVEGHLDDLRQVLERTRKFGLRMNPKKCTFGVTAGQFLGFLEAVRTMVPPTTKRELQQLIGKINFLRHILLSAEVIIICKPDVIKYMLSAPVLKGRLGKWMFALSEFDIRYQPAKAVKGQALADLIAERVNTNIAALSVRAWAMYFDGSYEAVRKGMGLLLEAAAEAVEVFGDSKLVTSQLTEDYRCESELLFPLWVQCQELMAQFRYINFYWIPRARNAEANDLAQLASGYKADGSRHQVYFLDQGDWRADIFNYLKDSARGAPRKIRYKAMRYVLIGDDMFYRTLEGLLLKCLGPTESNRLLHEVHEGTCGTHQSAHKMKWLIRRSGYYWPTILEDCFMYYKECQACQRFGKIQMVPASVMNPIIKPWPFRGWSMDMIGKINPPSSKGHQFILAITDYFTKWVEAIPMKSVASKDVIQFVKEHVIHRFGIPQTITTDGGSVFISEEFKKFTADMGIKLIRSIMGIPYILSWGDKNFPYHLVYGQEAVLPWEVTAGSRRIEFQNDLSAEEYATLMSDNVEDLTELRLWSLEKIKENKAKVARAYNKKVKPKEFQVGDLVWEAVLPLGAKDAAYGKWSPNWHGPYRVD >PUV26830 pep supercontig:PHallii_v3.1:KZ794290:254966:256362:-1 gene:PAHAL_J012100 transcript:PUV26830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQRPHEVHNNDIAFSKIKFKIHSFDGKYDPDAYLTWEMAVEQKFTCHDFPENACVRAATSEFTDFASVWWIEHGKKNPNNMPQTWDALKRIMRARFVPSYYARDLLNQLQQLKQGTKSVEEYYQELQMGMLRCNLEEDVEPAMARFLGGLNREIQDILAYKEYTNITRLFHLSCKAEREVQGRRASTRTNISTGRNLSTQPRSSIPSTGRAAAPYSSSARTAAPPSSDKPRDNPANSAAKTTQKPAATTSSVASTGRTRDVQCHRCKGFGHVMRDCPSKRVLVVKNDGEYSSTSELDEDILALLAADHAGSEGCSEEHINAAEADRYESLIVQCVLSAQMEKAEQNQRHTLFQTKCVIKERSCRVIIDGGSCNNLASSDMVEKLALTTQPHPHPYCIQWLNNTAFLPIP >PUV26829 pep supercontig:PHallii_v3.1:KZ794291:291697:292062:-1 gene:PAHAL_J014000 transcript:PUV26829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSSILVWNARGLNNKARRDCVRETILSSRADIICLQETKVSDLFPHLLLSVCGSEFDKFLTLPADGTRGGILIDWKGVVCQAISSRVDNYSVSVQFAGHDGMNWWFTGVYGPQEDELKI >PUV26828 pep supercontig:PHallii_v3.1:KZ794292:235929:236858:-1 gene:PAHAL_J016200 transcript:PUV26828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSNAFWDQEGHFHTNALHWEGFPRLLWESLSLFHYTEPPQYDGVEYREEGVPRCRVKMTIPQHPFRSSWHPIEVEVVGYRLVDTLETAALEAIKLFCNQHPTEVAAYPIGLFPTIDPDNSEWNFRTEHLGHMLGDLAEETVRIITRFMDVQHHYQILLRHGMNQITGVAQSHYRNADRQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPIHPAIPIMPVEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PUV26826 pep supercontig:PHallii_v3.1:KZ794294:182526:183398:-1 gene:PAHAL_J021600 transcript:PUV26826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGWTQGVCQEEPGFPRLLINSLERLGITERPRYYSREYEHLGTHRCRVVLSIARSTRHPDIEPWRVTATGFQHQDAYPLAIRKALRYLCRIFEEHLIPTPMRLFPPVIRTQVWQARMRNLERCRHQEDLLYHVVAYLVSLDKLFDEQAQILREQTHRAEQAELAVRMHQIRVAQAKARTAAAISSEAVTHESLRQIQDRHMQEWTNSGTPVPAIGETQVLIGTPITGWGGILRTPQAPPEGTERTAAAIEGGAVEQPRENGILEDDEEELLIPLEVHSAPEDDSPRE >PUV26827 pep supercontig:PHallii_v3.1:KZ794294:338782:339516:1 gene:PAHAL_J021900 transcript:PUV26827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGWVSGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYIAGGTLRCDMMVFVEKSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTGLDALYREQAAQLKQLIHGVEKLTQELEEQRTRAASAEYSLAALQAQMQEYESRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PUV26825 pep supercontig:PHallii_v3.1:KZ794295:140917:143581:1 gene:PAHAL_J023900 transcript:PUV26825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDGFLGGFHDLPWPLSHHAAVASAAGGGPFDALQAHVQHALMGAGAGAGWEHDAAMGSLVPSRSPSPSSLAAAAGGGAAVEAALMEQLASRLGVSVPSPPSSRYASCYSTPVSSPSKPAAAPFGAPLLGADAERAARLSCLAASGGKLSRAASSQSLRGEPAPAPAQQLASDGSSSDGPSRKRKAPGGKSKAKDAVTTATPKSREPEMRAKKCKLSTDAADDEERKLATGDAGRSNGKGKQIAAEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLELDVDSFIPKDANQPCVPAASSVPPPPQPVYSLEGSSPALCYATSQGAAVPSVVTCAKSFVTPSTFANHDIPDQSLEGFQNVNSQMGSLWEEDDLQSLVLMGFRGST >PUV26823 pep supercontig:PHallii_v3.1:KZ794296:16147:17543:-1 gene:PAHAL_J026600 transcript:PUV26823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSMLRTILTVVLLALLLSGTNGERCITSSIQVETINSGVVVSGGDTVFQVELKNLCPCAVRNVRLDARGFATTVDVDPAAFRADDGGVYLVNGGEPIPSMATVSFQYAWDHFFQMTPRSLEVDGPC >PUV26824 pep supercontig:PHallii_v3.1:KZ794296:51979:53816:-1 gene:PAHAL_J026700 transcript:PUV26824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSFSKCSDRCSDMWPTLVCFCCAIDAICVIKISIFMVDGKIFAEHTCPYFLSWFSYESVSI >PUV26822 pep supercontig:PHallii_v3.1:KZ794298:287047:287532:-1 gene:PAHAL_J030000 transcript:PUV26822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSTISYESKSSREPTPEYDPIAAYEVRAPLHWDAEEWNFHYQSEDDDSLTDGEDLALLLGVELEEDEDDASWGEDLSSSEERADSSSSEEDLMAGTFLLGRSSDDTSDGSTGAEDDDSFTSDGSGDNDDGSDSGDSGPSIAPPPKRRKTSGMYW >PUV26821 pep supercontig:PHallii_v3.1:KZ794298:278250:279665:1 gene:PAHAL_J029900 transcript:PUV26821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEHHDRSARRQLDFALGINMAGMASRYQATNKEADSSNRPQREKKGYVTEEQVRYVRNQRPTSFDLLRKYEYQYQQRLRRESEDEEYEHRTGKRLKKHEDACDHWHCPFFRYCWDSRLPTVRDCPECGSMKTEARESVFGWLGPAPTQQEWVRSPRREDEEEDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLKKARPDLAEQVHYVQEKKPRASRKEWRPKSTKADKKVSADTHMVFVLPAEFHARTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPVNKMLVDTGAAVNIMPYSVLHRLGRSTGDLIKTNVTLSDFNGQTLEAQGVLSVDLTIGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PUV26820 pep supercontig:PHallii_v3.1:KZ794299:193424:193682:-1 gene:PAHAL_J032500 transcript:PUV26820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPILSFSFHAFLSMSFISFCVAHILFLMVTFEREREWSRNNMEFYFVDGWMKFLLTLE >PUV26819 pep supercontig:PHallii_v3.1:KZ794299:189661:192086:1 gene:PAHAL_J032400 transcript:PUV26819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESKYEGDRDANIQRIQDVFQSLGIAVLYETVSSMLSKAKVGKRKTLELESPESDNDYDPNSDIDNHYDSDDDYDDDLNSEFAINRTTQTTNTMPNPANSINTMPTPTSPRRLRRSSAVDNSLGQSSRQSNEINILSDHFDAANFSEAQTNEEEPHEDLVPVPPRNVRKKTMGHGLERMIKQGNKMPIQVTEGKLRPDVPVQADKLASECGVALRDNLSVYTSWKQYQNREGQEEVAKVLRKVASRLDVDVRNDGPSKEACNDIIKKGVKQQRYLLKKQYFDESLKTNRKVRFHQRTGARSYIAHRYSLRSKYNNMERDVVEFFGECMTSPQNGRTELASQIYEDMFAEKEREPEEGEPQKSPTHIVAESLSQISRSSTFLPNMGVIPVVPTQIHAQQASLEANQAAHQENQSLLRQTQEEVKGM >PUV26818 pep supercontig:PHallii_v3.1:KZ794300:267947:268681:1 gene:PAHAL_J034300 transcript:PUV26818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGWVDGICHAEPGLPKLFILSLKRIGVMEPPEYAYREYTSKGTLRCDMMVFVGKSTRYPDVDPWFISTSGFCFPDTYRKAARKALRRLRVIYKHHLQRTPMGFFPPTEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLTSLDELYREQAAQLKQLIHRAEKATQKLEEQQIRAARAEYSLAALQAQMQEYENRRGIGGWIEEEEELEETHWDKGTQTEDEVMDRCLPIKKRPIRIREESP >PUV26817 pep supercontig:PHallii_v3.1:KZ794300:29142:29499:1 gene:PAHAL_J033900 transcript:PUV26817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTGRARRLGAVASGKASVWTETSGCGTVTVGCSYSTWVWVGVEGDSRKEKVMEVRGGAAREYGKGAQSLTALRSTVCGRRAREALPPSRK >PUV26816 pep supercontig:PHallii_v3.1:KZ794301:8402:9152:1 gene:PAHAL_J036000 transcript:PUV26816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQHPFRSQWQPIEVNMMGYRIIDTIEGAALEAIYLFCNQHPREVAGQPIGLFSTTNPNEPEWNLKVVPESHRLEGPAEEALRGIMRFMNVQYHYQLLLRREMVDQLRALVTQKDEIIAARDETILQREDQINESDHIITQRNTIIEFLQEQIHDLILEADDAQAHLEELQQPPIPPVAPIVPEAEEEDPEEIEGVSELDSKHGDPVLSPHHSSSGSQSSVGNFDDF >PUV26810 pep supercontig:PHallii_v3.1:KZ794303:76281:77604:1 gene:PAHAL_J037200 transcript:PUV26810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLLPCGTESVGGARRWRRRSGETRQPPRRRRRPRRRRRPHPVRHPRSPPLSHRRRWQLAAASVRQERRRGQAGGQRGGRRAPRGALLPGTRTAPVDPCWAWCHPVGRGRGMVGPRRPGQGAICGGPRRPGWCSCVEAAGSLVWRKSGCGGRRLLLFMMCEMMVMVGGWHVLMRIARKAKLLITVRVKCSSLLFICFPGNLLQTRPLANSMLACLLAFIQLFLLLCWLESLPAAEHNFLMQGMVSGPEPDQTRIEFKNRTHSILSLNGSWAGTVPL >PUV26811 pep supercontig:PHallii_v3.1:KZ794303:77781:90552:-1 gene:PAHAL_J037300 transcript:PUV26811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGAAAVAAAAREEESSPAQRLVEAALLGDAGAVEACLQAAADPVAADVPAASRVGVARLRVRSADVALREEAPGEVLVEARELKTDVSPLFAAAHAGQAGIVRALLEAGADVNQKLFLGYATTAAARAGNIDILEMLMLAGATQETCEDALSEASLFGETEAVRILVSSEVLGSEAMAHALVIAASRGFDDIVVILLQNGADVNCMARVLLRSVKPALHANVHCTPIVAAIISRQISIVKHLIEEGSYLDSLVQVGSWSWDPVSGEELRVGTCLGEHYNAIWCAVEYYESSGEILKLLLDKAPWLLESPKKGRNLLCHAILCQNPNAVSLLLHCGANPRFPIMTVGGHVSCPMHLAARLGNAQVLKQLMVHGADVNAKTSTGDTPLMVSARAGHPDCFLELIKFGADLGIVNHEGDTAIMIAERSSFHFSVVDILMRTLNCGAGLVSSDMTLFSPLHFFARSGRAEPLLMMLHQSASDLNKLDGSGLTPVMVAAASGHVDIFRILVMAGADITIRNAEGKSLMSIIRQKSSEIRDCFEQILLQASLTNTLTGQTSFRPLHYAARIGDKSSLLQLLKMGYDPNSLDEDDFTPLMHAAASGRLDSCKILVSHGGADYSLVNERNETALSLVRRSSRSSKATEEWLLDQLSRAHVLAGEELMKHTREGKGSPHQKTVRMTKSGVLTWGTSRRRNVTCKEARFGPSMSFTKNRRSTDRSAQQLIFLVVTVTGREVHFEARCASSVEFWVRGINLIAKESTCSRPDKEAVEQA >PUV26814 pep supercontig:PHallii_v3.1:KZ794303:79446:90399:-1 gene:PAHAL_J037300 transcript:PUV26814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGAAAVAAAAREEESSPAQRLVEAALLGDAGAVEACLQAAADPVAADVPAASRVGVARLRVRSADVALREEAPGEVLVEARELKTDVSPLFAAAHAGQAGIVRALLEAGADVNQKLFLGYATTAAARAGNIDILEMLMLAGATQETCEDALSEASLFGETEAVRILVSSEVLGSEAMAHALVIAASRGFDDIVVILLQNGADVNCMARVLLRSVKPALHANVHCTPIVAAIISRQISIVKHLIEEGSYLDSLVQVGSWSWDPVSGEELRVGTCLGEHYNAIWCAVEYYESSGEILKLLLDKAPWLLESPKKGRNLLCHAILCQNPNAVSLLLHCGANPRFPIMTVGGHVSCPMHLAARLGNAQVLKQLMVHGADVNAKTSTGDTPLMVSARAGHPDCFLELIKFGADLGIVNHEGDTAIMIAERSSFHFSVVDILMRTLNCGAGLVSSDMTLFSPLHFFARSGRAEPLLMMLHQSASDLNKLDGSGLTPVMVAAASGHVDIFRILVMAGADITIRNAEGKSLMSIIRQKSSEIRDCFEQILLQASLTNTLTGQTSFRPLHYAARIGDKSSLLQLLKMGYDPNSLDEDDFTPLMHAAASGRLDSCKILVSHGGADYSLVNERNETALSLVRRSSRSSKATEEWLLDQLSRAHVLAGEELMKHTREGKGSPHQKTVRMTKSGVLTWGTSRRRNVTCKEARFGPSMSFTKNRRSTDRSAQQLIFLVVTVTGREVHFEARCASSVEFWVRGINLIAKESTCSRPDKEAVEQA >PUV26812 pep supercontig:PHallii_v3.1:KZ794303:78151:90552:-1 gene:PAHAL_J037300 transcript:PUV26812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGAAAVAAAAREEESSPAQRLVEAALLGDAGAVEACLQAAADPVAADVPAASRVGVARLRVRSADVALREEAPGEVLVEARELKTDVSPLFAAAHAGQAGIVRALLEAGADVNQKLFLGYATTAAARAGNIDILEMLMLAGATQETCEDALSEASLFGETEAVRILVSSEVLGSEAMAHALVIAASRGFDDIVVILLQNGADVNCMARVLLRSVKPALHANVHCTPIVAAIISRQISIVKHLIEEGSYLDSLVQVGSWSWDPVSGEELRVGTCLGEHYNAIWCAVEYYESSGEILKLLLDKAPWLLESPKKGRNLLCHAILCQNPNAVSLLLHCGANPRFPIMTVGGHVSCPMHLAARLGNAQVLKQLMVHGADVNAKTSTGDTPLMVSARAGHPDCFLELIKFGADLGIVNHEGDTAIMIAERSSFHFSVVDILMRTLNCGAGLVSSDMTLFSPLHFFARSGRAEPLLMMLHQSASDLNKLDGSGLTPVMVAAASGHVDIFRILVMAGADITIRNAEGKSLMSIIRQKSSEIRDCFEQILLQASLTNTLTGQTSFRPLHYAARIGDKSSLLQLLKMGYDPNSLDEDDFTPLMHAAASGRLDSCKILVSHGGADYSLVNERNETALSLVRRSSRSSKATEEWLLDQLSRAHVLAGEELMKHTREGKGSPHQKTVRMTKSGVLTWGTSRRRNVTCKEARFGPSMSFTKNRRSTDRSAQQLIFLVVTVTGREVHFEARCASSVEFWVRGINLIAKESTCSRPDKEAVEQA >PUV26813 pep supercontig:PHallii_v3.1:KZ794303:79446:90399:-1 gene:PAHAL_J037300 transcript:PUV26813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGAAAVAAAAREEESSPAQRLVEAALLGDAGAVEACLQAAADPVAADVPAASRVGVARLRVRSADVALREEAPGEVLVEARELKTDVSPLFAAAHAGQAGIVRALLEAGADVNQKLFLGYATTAAARAGNIDILEMLMLAGATQETCEDALSEASLFGETEAVRILVSSEVLGSEAMAHALVIAASRGFDDIVVILLQNGADVNCMARVLLRSVKPALHANVHCTPIVAAIISRQISIVKHLIEEGSYLDSLVQVGSWSWDPVSGEELRVGTCLGEHYNAIWCAVEYYESSGEILKLLLDKAPWLLESPKKGRNLLCHAILCQNPNAVSLLLHCGANPRFPIMTVGGHVSCPMHLAARLGNAQVLKQLMVHGADVNAKTSTGDTPLMVSARAGHPDCFLELIKFGADLGIVNHEGDTAIMIAERSSFHFSVVDILMRTLNCGAGLVSSDMTLFSPLHFFARSGRAEPLLMMLHQSASDLNKLDGSGLTPVMVAAASGHVDIFRILVMAGADITIRNAEGKSLMSIIRQKSSEIRDCFEQILLQASLTNTLTGQTSFRPLHYAARIGDKSSLLQLLKMGYDPNSLDEDDFTPLMHAAASGRLDSCKILVSHGGADYSLVNERNETALSLVRRSSRSSKATEEWLLDQLSRAHVLAGEELMKHTREGKGSPHQKTVRMTKSGVLTWGTSRRRNVTCKEARFGPSMSFTKNRRSTDRSAQQLIFLVVTVTGREVHFEARCASSVEFWVRGINLIAKESTCSRPDKEAVEQA >PUV26815 pep supercontig:PHallii_v3.1:KZ794303:179846:180331:-1 gene:PAHAL_J037400 transcript:PUV26815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMNVQHHYQLLLRRGIGQLINIAQGHFRNTDRQVTQIVQLQALVTEKDEIIAAREETIHHREDQLNECDAMITQRNTIIEFLQEQIHDLILEVDDAQAQINELQQQPAPLAVPAPEAEEEDPEEIEGVSDLDSEHGDPVLSPHHSSSGSQSSVGNYDEF >PUV26808 pep supercontig:PHallii_v3.1:KZ794309:126419:130632:-1 gene:PAHAL_J041800 transcript:PUV26808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGPRRGINGGGMAAVLLLVTALAAFSGAAAADLPFSCGASSAEAAQGYAFCDTMLGPATRAADLVSRLTPAEKVAQLGDVAPGVPRLGVPAYKWWNEALHGLATSGKGLHFNAPGGVRAATSFPQVLLAAAAFDDGLWFRIGQAIGREARALFNVGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAIASRYAAAFVRGIQGNGSSLLQTSACCKHATAYDLEDWGGVQRYSFVPRVTAQDLEDTFNPPFRSCVADGGATCVMCAYTAVNGVPSCADAGLLTGTVRGDWGLDGYVASDCDAVAIMRDAQRYAASPEDAVAVALKAGLDIDCGAYVQQHATVAIQQGKLTEQDMDRALTNLFAVRMRLGHFDGDPRSNAYGALGAADICTPEHRSLALEAAQDGIVLVKNDGGLLPLDRSAVGSAAAIGPNADDGRALIANYFGPPCESTTPLKGLQSYVTDVRFLAGCSSAACDAAATDQATALAGSADYVFLFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADAAKRPVILVLLSGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSSFSRKLVSRTNTPAFSTAVLTRLRETMTGEDGRSYHVDNIGTDGCEQLKFPATVEVKNHGPMDGKHSVLMFLRWPNATDGRPSSQLIGFRSQHLKAGERANLRFDVSPCEHFSRVTEDGKKVIDRGSHFLMVDDHEMEIRFEA >PUV26807 pep supercontig:PHallii_v3.1:KZ794309:126288:128906:-1 gene:PAHAL_J041800 transcript:PUV26807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPNVNIFRDPRWGRGQETPGEDPAIASRYAAAFVRGIQGNGSSLLQTSACCKHATAYDLEDWGGVQRYSFVPRVTAQDLEDTFNPPFRSCVADGGATCVMCAYTAVNGVPSCADAGLLTGTVRGDWGLDGYVASDCDAVAIMRDAQRYAASPEDAVAVALKAGLDIDCGAYVQQHATVAIQQGKLTEQDMDRALTNLFAVRMRLGHFDGDPRSNAYGALGAADICTPEHRSLALEAAQDGIVLVKNDGGLLPLDRSAVGSAAAIGPNADDGRALIANYFGPPCESTTPLKGLQSYVTDVRFLAGCSSAACDAAATDQATALAGSADYVFLFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADAAKRPVILVLLSGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSSFSRKLVSRTNTPAFSTAVLTRLRETMTGEDGRSYHVDNIGTDGCEQLKFPATVEVKNHGPMDGKHSVLMFLRWPNATDGRPSSQLIGFRSQHLKAGERANLRFDVSPCEHFSRVTEDGKKVIDRGSHFLMVDDHEMEIRFEA >PUV26809 pep supercontig:PHallii_v3.1:KZ794309:168537:169832:-1 gene:PAHAL_J042000 transcript:PUV26809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLMRQIFSGGAGTRNRSAGVGWVGATDQLAGETKPKGEWVGPSFMWERKNTLVGNGPHRSQFMDNRKLACPSAYPCPSQCPKTRMGTFILPRSPKE >PUV26806 pep supercontig:PHallii_v3.1:KZ794310:19708:21411:-1 gene:PAHAL_J042600 transcript:PUV26806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGLDRITRGLKSKICINISEGKRRPEAPIESAKFALEGGITIRNHVPIFPHWKHYKDKDNEPEIAQYIGRLAGQFTMDTRTKVVKDACIDLLKGGQRQMRYRLKMKYFNGLSENQVPKTLPISSMIDEQWLALVNMWSKSEHKEKCSKNKINHEKVQFQQRTGSRCYIAQAHLAVDMESIIAQPVENGEEPKTCIEAVSHVLPKNSTFLGNVGLRIPLVTNKSRGGLSSQVQDLQFELENERIESAELHLQSQEMEKQSQEIDSLKKSTAETNALLRQLISFNKE >PUV26805 pep supercontig:PHallii_v3.1:KZ794318:61892:64738:-1 gene:PAHAL_J048100 transcript:PUV26805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQARAGRRLGADLSVAGAERTSTHGLGWLDAGGGRVGALHQATAQGPVGAVGGGIGVGRGCNGSGAGRWLAGEQEAVLGGSIGRSGAQTTAVWRGGGAAVRMAGAARVPELHFFPNVSSVPIADEGPRATIKTRLDHSRRRKLPTNPGTRRKLF >PUV26804 pep supercontig:PHallii_v3.1:KZ794321:112770:114137:1 gene:PAHAL_J049800 transcript:PUV26804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEASLQLTHDPVHQRAMTRTAGPALAPRLCASTLCYASTRRHQLARHAHHSLRAHSRTSRACAVPSARVCSCASARLSRISALGCAARSHHRCSARRRSPPWVTTGPPAAWATAACRSRAHTCGRTEPRLLSLRLLTPRAPACPRAAPGPAARPPVLPLASRDTRCRAIPAPVRPAEPGRRRACAGSLPRGPIHAIRRSILASHAPAMRPRPPGRRSASATPCLSARPSHRSLHQPRAPRTAAARFGFRSPRAWAARSARVGRSPPGLAPLRAPPSRWSPRAPPPGARHRLPRTAALRPCARAAPLRPAGAAYAWSRAHRLASNSCARSRLGRASAPTRRRTSAWARSPSLLPRLELARPLSPGAGAPLGAALRCLCRGGEREGGAGGVKDWGQSKVSPVGEKRKGKMELDRTAAGGKRDKALEEELRGSDAWNSCSRMWQGWSAQRRLQSRN >PUV26803 pep supercontig:PHallii_v3.1:KZ794323:14781:15710:1 gene:PAHAL_J050200 transcript:PUV26803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVSRCRVKMMIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIGLFPTIDPGNTDWDFRTYHHGHLLGDLAEETVRMVTRFMDVQYHYQMLLRHGVSQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILPAIPIVPEEKEEDPEEIEGVSEIDSEHGDPVLSPYHSSSGSQSSVGNFDDF >PUV26802 pep supercontig:PHallii_v3.1:KZ794324:44732:45471:-1 gene:PAHAL_J050600 transcript:PUV26802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVMIGETRLLILRPFTVVVVENLMAVIDSSQVPSRQRAGSSRSASRSTSSGDDSAEVVRLREKVRQQELQQQWFQAQLAQQNAILQQIVTQQNIQVPPLVPPPFAQAGWPSASPEPFHTPPPNLAAPGDSLVDPTTNWADQFIGSGGSTQPGDGGGQT >PUV26801 pep supercontig:PHallii_v3.1:KZ794326:144107:144967:-1 gene:PAHAL_J051500 transcript:PUV26801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGWTQGDCQAAPGFPSLLINTLEDLGVTERPRYYSREYEHHSTLRCRVILVVARSNRYPDIQPWRVTATGFRHQDTYPLAIRKMLRYLCRIFEEHLAPTPAKFFPSAIRTPVWEARMRNLERRRHEEGPLYQVATYLAALDQLFDEQANLLREQTHRAEQAELAVRLQQIRAAHAEARAAAAVSSEAVAQESLRQARDRRMQDWTQSGTPVPAIGEDHVLLGTPIIGWGTLFRNTQAPPENPESSAAATEGDAAAQPPTKGNPEDGEQGSLALSAPEEGLPRE >PUV26800 pep supercontig:PHallii_v3.1:KZ794326:22234:22968:-1 gene:PAHAL_J051100 transcript:PUV26800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWTSGICHAEPGLPKLLLLSLERIGVMETPEYAYREYISGSTLRCDTMIFVERSTRYPDVDPWFISTTGFRFPDTYRKAARKALRRLRVLYRHHLQRTSMGFFPPAEGNGRTWIARMRRLGREEEDLEDAVSHLSIYLTGLDALCREQSAQLKKLIQGIEKITQELEEQRTRATNAEYSLAALQAQMQEYETRNGIGGWIEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PUV26799 pep supercontig:PHallii_v3.1:KZ794330:100710:101763:-1 gene:PAHAL_J052800 transcript:PUV26799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMTQTTSPKTTSRVSSCTQSCLWLRPPLDSAWRKTLMILCS >PUV26794 pep supercontig:PHallii_v3.1:KZ794331:74494:74652:-1 gene:PAHAL_J053300 transcript:PUV26794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSPTSCAIGGPHLHSLHHHSSSNPQTRSHDHFPRWTAPLPMLQLAGSAD >PUV26793 pep supercontig:PHallii_v3.1:KZ794331:34677:35414:-1 gene:PAHAL_J053100 transcript:PUV26793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGWSNGICHAEPGLPKLLLLSLERVGIMEPPEYAYREYTSGGTLRCDMMVFVERSTRYPDVDPWFISTKGFRFPDTYRKAARKALRRLRVLYRHHLQRTPMGFFPPAEGRGRTWIARMRGLGREEEDLEDTVSHLSIYLKGLDALCNEQAAQLKKLIHGIEKITQKLEEQRTRAASAEYSLAALQAQMQEYESRNGIGGWIEEEEEEEPMETHWDKGTQTENEMDRFLPIKKRSIRTEEESP >PUV26797 pep supercontig:PHallii_v3.1:KZ794331:108045:108536:1 gene:PAHAL_J053700 transcript:PUV26797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASFVISYESESSREPTPEYDPIAAYEARAPLHWDAEEWDFRYQSEDDESLTDGDDLALFLGAELEEDEDDVSWGEDLSSSEERADSISSEEDPMAGTFLFGRSSDDTSDGGEEAEADDSFSSDSSGDNDDGSSDSSSSGTSIAPPSKRRKTSGVYWW >PUV26795 pep supercontig:PHallii_v3.1:KZ794331:75550:75844:-1 gene:PAHAL_J053400 transcript:PUV26795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLDYFNVYIKRWRICLVLSLHGINKHYSEISTFDFEFMHKGCSVKKNI >PUV26798 pep supercontig:PHallii_v3.1:KZ794331:112002:112460:1 gene:PAHAL_J053800 transcript:PUV26798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVAKCDTWCELQNPANHRVFERKLRPRPSDTPNPSLGTDVVFGSPRLMVWWAEVVAAGIPCRAPACGPIHDRSALVLGPGGEETYKDSPSNGERTGNSPA >PUV26796 pep supercontig:PHallii_v3.1:KZ794331:96391:99365:-1 gene:PAHAL_J053500 transcript:PUV26796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSSEDGSSKHAGWETHSWFYIVVRISLFLWVALLNLITISTWARVIDVMDSESGSRLFGCIGASATLGQLFGSLFAASMAWLGPCHILTVAGVTVAICASPLVAASNMVALAVWPTWIAVAVTETIRKVISYVLTRPGRELLFTVVSLDEKYKAKVCIDVIVQRLVMRQLLEFIVCCSADLRRKQTWLPYMHCRSASFGFSLHFIWDASRQILQGFRRHLQHPNS >PUV26792 pep supercontig:PHallii_v3.1:KZ794332:32862:33365:1 gene:PAHAL_J054000 transcript:PUV26792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSVSSNSPSSSSIISITSPDSNTSREGTLEFDPIASYEALTPLHWDAGEWDYSTWSKDDEPLIDDEDLRILLYGDLDEGDDEDSWGDDFFSFSEEGVKDTSTNDDSAAGGFLCGRSLTSEDDGDASDDTSDDGGDSNNNTGSDDDASASPPYKHRKTLGTYWW >PUV26790 pep supercontig:PHallii_v3.1:KZ794333:7011:8122:-1 gene:PAHAL_J054400 transcript:PUV26790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVQSMSRGSRAASTSSGQGRGWQELPLIHCQECGLQVIRIESKKIGTLGQIFYKCPNNVKDDPTSCPMFKSEAEYRSMLERGLGAECEHSRPYRSQDMEWFRQDVIQLKQCVLEMKQEIRDIGKRAFVVDKSCVLVACAACFLGVILGMMCSRN >PUV26791 pep supercontig:PHallii_v3.1:KZ794333:58079:58447:-1 gene:PAHAL_J054600 transcript:PUV26791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTRRTGSGSDQQEQNNQGTGQPLPMPPPLTPEQFFQLQMQMMATLNNTVQALQQIHAQPPPPPPPQPRDRRADFLRGHPPTFSHATDPLQADDWLRSVERQLVVAQCDDRERVLYTAGQL >PUV26789 pep supercontig:PHallii_v3.1:KZ794334:13968:15364:1 gene:PAHAL_J055400 transcript:PUV26789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQRPHEVHNNDIAFSKIKFKIPSFDGKYDPDAYLTWEMAVEQKFTCHDFPENACVRAATSEFTDFASVWWIEHGKKNSNNMPQTWDALKWIMRARFVPSYYARDLLNQLQQLKQGTKSVEEYYQELQMGMLRCNLEEDVEPAMARFLGGLNREIQDILAYKEYTNITRLFHLACKAEREVQGRRASTRTNISAGRNFSTQPRSSIPSTGRAAAPYSSSARTAAPPSSDKPRDNPANSAAKTTQKPAATTSSVASTGRTRDVQCHRCKGFGHVMRDCPSKHVLVVKNDGEYSSTSELDEDILALLAADHAGSEGCSEEHINAAEADRYESLIVQRVLSAQMEKAKQNQRHTLFQTKCIIKERSCRVIIDGGSCNNLASSDMVEKLALTTQPHPHPYCIQWLNNTVFLPIP >PUV26787 pep supercontig:PHallii_v3.1:KZ794335:110392:111330:1 gene:PAHAL_J056000 transcript:PUV26787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQRIYWDAEGYAHTACLHWEGFPKILWDTLHIFHYRYPPQYKGREYIEDGVPRCHVTVTVPQHPILGWQSLEIEVVGYHLVDTFEAVALKAITTFCEQHPEEVAAYPIGLFPAVFAHDAKWNYRTNYFGHLVGNFAEDTLKAVIRYMNAQYRFQSLTQKSTDEMVNLAQDFHRDLTLKDDQIHSLGQGIAGRDITIGHLEVQILEGDAQILQRNTAIDFLQEQVHDLNQELGDALGHIEMLQEQQMPPLVPNELEEEEDSEEEPEEIKGVSEIDSEYGNPEPNPQPNHSSSGSHSSSGSQSSVGNLDDF >PUV26788 pep supercontig:PHallii_v3.1:KZ794335:125004:125933:1 gene:PAHAL_J056100 transcript:PUV26788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSRFFWDSAGHAHTNALHWKGFPHLLWESLQVFGYTEPPPYDGVEYDEEDVPRCRVKMTIPPHPTLSLWSPIEVNVVGHRLADTFEAAAIEAIHIFCDQHPEEVAGYPIGLFPAMDSRDPEWTVRVTYCDHLLGTLAGETLRTAVRFMSAQYRYQTLQQHGIYRLTNIAQRYRNQVGRQTTQIEALQATITAKEEEITQREETIQHREEQIVESDALITQRDTVIDFLQEQVHELNLNLDQAIDHINMFHEQPAQPVANEPEDDEEEDPEEVEGVSEIDSEHGDPVLSPHHSSSGSQSSVGNLDDF >PUV26786 pep supercontig:PHallii_v3.1:KZ794335:33795:34834:-1 gene:PAHAL_J055900 transcript:PUV26786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRGLAAPVRIRLQPPRCSFSRTACDFPAYRPGRGLSASGGDTAMRCTLLSCTLSLCTSSLCMSSLYTAPPPPPVAGPVLASALPCLSSIRARPCRVRAVVARLHRRSRGSKPTTSPSFDPGCLHRLAQKSFTATPCAACVPQSLAPHHHPLPASASPEPQPAPASPAAWSRQRRSCALPGSRASAQCRSLPRASMPVCAAPAVARASRCLRLRLCSLSRAARRAARAHSRLTLAPLRAAYSRSPRLLPRACSPRLALRQPSAEPRATCQGQECTQGAVA >PUV26785 pep supercontig:PHallii_v3.1:KZ794338:24441:26087:1 gene:PAHAL_J056300 transcript:PUV26785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKPKYNIINAMLQKEDLATMEVGELVGEIRAHEMSILGMSEEPTSSKSIALKTKTNKSRKLKMVKQDSSSSNEEDDHHESSSDVEDDGELALMMRKFTRLNEKINKKGFNFDSKKGMFRPMDVKNKICYNCGEKGHIRPNCPKPYQRSKDNKNKHRHDSSDDEEEERKNKNKRFGKKKTHDKKTKLFPKKKGHTKKSFLVEKQEWVTDVSSSEDSSDEEDIVTIALTNEEPPLPPPPMCLMAKGNTKVCEVDSEDDSDEELDPNEFTNLINEYTSVIKREKGKVKILESTHAKLELAHSDLLSKYNDLLKKHNESLVLAKQVEESHKKLKQEHSELAHKYQELEFAYEVIDPSLEKVVHEKVNASTSCDDLLIDAYATNVVPKLASSREKELMDQVASLKSSVEKLSRGEYIHKEILFNNARDYGKRGLGSFPEPNMATTPSPEIKISFIKEVGSYCQHCQVTGHHTRECTLPSRPLPKLPKNYSSMFQNNHFLLSKVKGKVKAKFIGKIAKESKKKLPKQLWVPKALVTHVQGPKLVWVPKTQK >PUV26784 pep supercontig:PHallii_v3.1:KZ794342:6195:6686:-1 gene:PAHAL_J057200 transcript:PUV26784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSVISYESETTREPTPEYDPIAAYEDRAPLHWDAVEWDFHYQSEDDESLTDGEDLALLLGAELEEEEDDTLWGEDLSLSEEESDSISSEEDPMAGTFFFDRSSDDTSDGREGADDDDGFTSSSGGDDDGSRDGSSSSGTSIAPPSKRRKASDVYWW >PUV26783 pep supercontig:PHallii_v3.1:KZ794345:21368:22117:1 gene:PAHAL_J057800 transcript:PUV26783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPQHPFRSRWQPIEISTTGYRIMDAIEAAALEAIYAFCSQHPEEVVGQPIGLFATTDPSEVEQDLRIIPESHRLEGPPEEVVQGMRRYTSIQYHYHMLLRREISHLITAARSFHGDAARYFTQADQLQAVVLEKNGIIATQNETIHHREDQINESDHIITQRDTVIEFLQAQVQDLILAVDDAQAQIEELQQPPIPPVAPAAPEAEEEDPEEIEGVSELDSEHGDPVVSPHHSSSGSQSSVGNFDDF >PUV26782 pep supercontig:PHallii_v3.1:KZ794353:38635:39125:-1 gene:PAHAL_J060000 transcript:PUV26782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSSKLPLQPYPHHCARHQDFCHCSAAAVPPPPLPHATSLQYRCRGLFFPELLRRGGPPPLEIFPIPLFPAPTDSGDTPSPSGPPVSPAYSDLWWPPPQAEAAPPCSPKLKLRCPCSPPDRFCTVASCSTGQNSSTCKLLFFPQRRCLPVALLLAS >PUV26781 pep supercontig:PHallii_v3.1:KZ794355:68830:69445:1 gene:PAHAL_J060300 transcript:PUV26781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSATQSRGSGASRMVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSFPKICGFYNFQRQYLDKLEELGIIAIHKFPLAVDIGDEAEEVADASSGRMVMNMQAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PUV26780 pep supercontig:PHallii_v3.1:KZ794359:99507:100439:1 gene:PAHAL_J061300 transcript:PUV26780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPRFFWDSAGHAHTNALHWEGFPRLLWESLQVFGYTEPPPYDGVEYEEEGVPRCRVKMTVPPHPTLSLWQPIEVNVIGHRLADTFEAATIEAIHIFCDQHPEEVAGYPIGLFPAMDSRDPEWTFRLTYYDHLLGTLAGETLRTSVRFMNAQYRYQTLQQHGIYRLTNIAQRYRNQVGQQNTQIEELQATVTAREEVITQREETIQHREEQIVESDTLITQRDTVIDFLQEQVHELNINLGQAIDHINMLHEQPVQPVVDEFESEEEEEDPEEVEGVSEIDSEHGDPVLSPHHSSSGSQSSVGNLDDF >PUV26779 pep supercontig:PHallii_v3.1:KZ794359:9120:9975:-1 gene:PAHAL_J061200 transcript:PUV26779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDPITYDELSAEHKQRYDEIRTQFEADLIGSFERTRNHGIRWRGFSPEGALDRVDLSTPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPALGSHKGELPFQTRPPLPYALAAAESHGAPAYVVYKVGGDPTDHQFFNEPPKEIPHGYMCAYIPDSNNPMHLSQKVAGGVSGADADKQAWLATYATGPSHDSVHSAPGLQTAEQIGAILRDHIGSLSLPNSVGQKVLVLSNM >PUV26778 pep supercontig:PHallii_v3.1:KZ794362:41337:42266:1 gene:PAHAL_J062000 transcript:PUV26778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTCWDQEGHFHTNGLHWEGFPRLLWESLSMFHYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSSWQPIEVEVVGYRLVDTLETAALEAIKLFCTQHPMEVAAYPIGLFPTIDPGNSDWDFRTDHHGHLLGDLAEETVRIVTRFMDVQYHYQMLLRHGISQITGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILVADDAQAHIEELQQQPILHAIPIMPEEEEEDPEEIEGVSEIDSEHGDPVLSPHHSSSGSQSSVGNFNDF >PUV26777 pep supercontig:PHallii_v3.1:KZ794384:15215:15535:-1 gene:PAHAL_J005700 transcript:PUV26777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMTCKPIHPILLPRNLLMALTRTNRACTPAVLISCRSHCRHQMLEPPAATLAASRITRLVSHASPLHFFRHHSLLQCKFLHFYMTLLTTCKYYLTNVHMQKLNQD >PUV26776 pep supercontig:PHallii_v3.1:KZ794385:47181:47804:1 gene:PAHAL_J006200 transcript:PUV26776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGHPIGLFPAIDSSDPEWNFRIAHYGHLLGDAAEETIRGIIRFMNVRHHYQLLLRRSMGLLTGTAQGHYRNANRQVTQIVELQALVTEKEEIIAARDETILHREDQINESDAMITQRNMIIEFLQEQIHDLILEVDDAHAHIDELQQQPVPPAVPVAPEGEEEDPEEIEGPDHSSSSRQSSVGNLDDF >PUV26775 pep supercontig:PHallii_v3.1:KZ794391:63180:64604:-1 gene:PAHAL_J008900 transcript:PUV26775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVESNDRTARRQLNFALGINMAGVASRRQIEDGEADSSDRPQNEKGEYITERQVRYVRNQRPTSSDLLRKYEYQYQLRLHRESEEEEYGRRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIKDCPECGPRRPEARDSVFQRIGPAPLRQARVRSSQKEDEEEDRYHRPRWCPNGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHARPQEEPSVAQLDLGPRPVIFKKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTVGNKTVPTSFFVVNSKSTYNVLLGRDWIHTNCCIPSMMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGMSLEGCDRIEATKNGVRLVLSTGLTE >PUV26774 pep supercontig:PHallii_v3.1:KZ794402:33519:34817:1 gene:PAHAL_J010900 transcript:PUV26774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGPCVAITIVSRRRATGAVPGAAIFRAVRAAAGRVRGSARQRGGLRQRLRRLLGVCLPVDVPVAPSSSCIPFTLPQLLNRFLIRL >PUV26773 pep supercontig:PHallii_v3.1:KZ794411:18535:19931:1 gene:PAHAL_J012600 transcript:PUV26773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQRPHEVHNNDIAFSKIKFKIPSFDGKYDPDAFLTWEMAVEQKFTCHDFPENACVRAATSEFTDFAYIWWIEHGKKNPNNMPQTWDALKRIMRARFVPSYYARDLLNQLQQLKQGTKSVEEYYQELQMGMLLCNLEEDVEPTMARFLGGLNREIQDILAYKEYTNITRLFHLACKAEREVQGRRASTRTNISAGRNFSMQPRSSIPSTGRAAAPYSSSARTAAPPSSDKPRDNPANSAAKTTQKPATTTSSVASTGRTRDVQCHRYKGFGHVMRDCPSKHVLVVKNDGEYSSTSELYEDILALLAADHAGSEGYSEEHINAAEADHYESLIAQRVLSAQMEKAEQNQRHTLFQTKCVIKEHSCRVIIDGGSCNNLASSDMVEKLALTTQPHPHPYCIQWLNNTVFLPIP >PUV26772 pep supercontig:PHallii_v3.1:KZ794418:49524:50946:1 gene:PAHAL_J014400 transcript:PUV26772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIVHGTLICSASILQIKSHDHILRQTHCSRYSECGFVYILRGHKNLVITSVTINETQDFLTSSRIDQCLCNRHWVLILRSSPVEVSEVHANSPSAVLLLYRTSAFICRARCWNGRNPLLRGSRCSTMHLSNPCISV >PUV26771 pep supercontig:PHallii_v3.1:KZ794423:70514:71224:-1 gene:PAHAL_J015200 transcript:PUV26771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCTACLRRAHSEPQTHACTGPVLLLHLCAPRCAPPAPASRPSRATRQRLLHASHAPCTGAANAAPTHARVHALATPESSCASLLLRSAWSTRSGLKLSLHRASNCRPPAHACSPPAHHLPRARLQSACTSATHASRAAQRVSRAPPELPPAHLRWRPPLAHLGRARLRSSRLPSVPPVGPLPSACLGPLARAPSRRLPACCPSAGRCSGRCCLCRSGPLLPGSARALCCAARLT >PUV26768 pep supercontig:PHallii_v3.1:KZ794424:56141:56428:-1 gene:PAHAL_J015300 transcript:PUV26768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRWQAVIWIRCFTHRCFSSFRKNCMGVVLGGTSRMTVPGNSTVLHAAMVVDPAKPTQRFRPDCRKWVIRFYISMRRNTAPTIPSSKSLAYRA >PUV26769 pep supercontig:PHallii_v3.1:KZ794424:56557:57129:1 gene:PAHAL_J015400 transcript:PUV26769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLKNKLYTPFGRKTVGEGRGHWAGSFSSEFPIQIEVPIKKLLQRLRDRGLISRTRPRPIHVASLINVSDRDIVNWSAGIAISPLSYYRCCDNLYQV >PUV26770 pep supercontig:PHallii_v3.1:KZ794424:57767:58810:1 gene:PAHAL_J015500 transcript:PUV26770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQEVSSEGGYSPKMPRPLFICRWGFISRSAVISHVASLISRIGLRAGGLCLCNLAHNTLSSGEPAIAVSN >PUV26767 pep supercontig:PHallii_v3.1:KZ794428:2:687:-1 gene:PAHAL_J016800 transcript:PUV26767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIQGREPNLQAGADASKHGKEAVASLGTATNGARPTKADELKGKVRAHSLMRCTRSGEPERKMAKQRRRSGGSDLVRRARRN >PUV26766 pep supercontig:PHallii_v3.1:KZ794431:66299:67879:1 gene:PAHAL_J017300 transcript:PUV26766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQRPHEVHNNDIAFSKIKFKIPSFDGKYDPDAYLTWEMAVEQKFTCHDFPENACVRAATSEFTDFASVWWIEHGKKNPNNMPQTWDALKRIMRARFVPSYYARDLLNQLQQLKQGTKSVEEYYQELQMGMLRCNLEEDVEPAMARFLGGLNREIQDILAYKEYTNITRLFHLACKAEREVQGRRASTRTNISAGRNFSTQPRSSIPSTGRAAAPYSSSARTAAPPSSDKPRDNPANSAAKTTQKPAATTSSVASTGRTRDVQCHRCKGFGHVIRDCPSKRVLVVKNDGEYSSTSELDEDILALLVADHAGSEGCSEEHINAAEADRYESLIVQPNQRYTLFQTKCVIKERSCRVIIDGDSCNNLASSDMVEKLALTTQPHPHPYCIQWLNNTEMRSG >PUV26765 pep supercontig:PHallii_v3.1:KZ794440:10065:10580:-1 gene:PAHAL_J018400 transcript:PUV26765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSSVSSNSPSSSSMISVTSPDSNTTKEATPESDLIASYEARAPLHWDAEEWDYNTWSEDDEPLTDDEDLQILLHVDLDEDDDEDSWDDDFLSFSEENAKETSTDDDSIAGGFLRGGSSTSEGDGNTNGDTSDDGRDSSSTGGSDSSSDDNTITPPPYKRRKTLGTYWW >PUV26759 pep supercontig:PHallii_v3.1:KZ794442:13594:18454:1 gene:PAHAL_J018900 transcript:PUV26759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSAAKFLLLIVAAASSFLSVASHGLPPRQLKRNASCLPHERDALLAFKENITSDSEGILSSWRRGRKDCCRWMGVTCSNQTGHVLQLDLSHRNLAGQISPSLLSLEHLEHLDLGGTFLSGHDGRFPEFLCSFKNLRYLGLSGLSFASRLPAQLGNLSTLEYLVLTEAYYLPSEVPPQLGNLSNLRHLGLASHDYLYTTDISWLVRLHQLEYLAMDGINLSTIDNWLHVVNMIPSLKSLSLSDCSLPRANQSLTHINLTKLEILELSWNYFRHPIASSWFWNITSIQYLGLSSTYLYGPFPDALGRMTSLSYLGFFENGNSATMAVDLKNLCELSYLWLDGSLSSGNITEFIEKLPQCSSSKLFCLSLNDNNMTGIMPQVMGHLPSLAVLSLCNNSISGSISPGLQNFTSLEELFLSSNHLSGQIPLLPRGLEILDVSMNFLSGHLHFGAPNIKVLILSSNKITGPIPEKFCELQYLHVLDLSNNSFAGELPVCSSMPSLRSLLLSNNEFSGKFPSLIQRLSNLTLLDLSWNKFYGTLPIWIGHLAKLRFLDLSHNMLYGSIPVSITHLRQLQLLNLSFNNISGSIPQSLSKLMAMTKTHTPGPTTTNGLYWYKGWVKNGFLDILSAVTKHQQHKYAEKSIFYIVGIDLSVNHLTGGIPDEMASLDGLRSLNLSRNWLRGNIPKNIGAMELVESVDFSWNSLSGEIPASLSDLTFLSVLDLSYNNLSGRIPSGRQLETVYDSNPTMYDGNNNLCGPPLQRNCSSGHSDPNHGNEKASGENSESLFFYFGLVSGFAVGLWGVLCALLFKKP >PUV26758 pep supercontig:PHallii_v3.1:KZ794442:7861:8540:1 gene:PAHAL_J018800 transcript:PUV26758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDTDAGCSFAAAVSNGDDGEQLVTLQPNFISSVPVACNCRAAMQSLSPSEISTGFI >PUV26760 pep supercontig:PHallii_v3.1:KZ794442:19015:19590:-1 gene:PAHAL_J019000 transcript:PUV26760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRELLRPLRRKMEMGMAVELSLRPSAVCIVISRLTVFGRCILARLGFCTHVHQVFGEIKRASCNPLLASPRKEVSEIVWGSF >PUV26764 pep supercontig:PHallii_v3.1:KZ794442:55163:57577:1 gene:PAHAL_J019400 transcript:PUV26764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSAAKFLLLLVAAASSFLLVASHGLPPRQLKRNASCLPHERDALLAFKENITSDPEGILSSWRRGRKDCCRWMGVICSSQTGHVLELDLSDRNLAGQISPSLLSLEHLEHLDLGGTYLSGHDGHFPEFLCSFKNLRYLNLSLLSFASRLPAQLGNLSTLEYLDLTDAYSLPSEVPPQLGNLSNLRHLDLAHNYLYTTDISWLVRLHQLEYLEMAGINLSTIDNWLHAVNMIPSLKSLSLSNCSLPRANQWLTHINLTKLEMLDLSMNYFGHPIASSWFWNITSIQHLGLSSTYLYGPFPDALGRMTSLYYLGFTKNGNSATMAVDLKNLCELRTLELDGSLSSGNITEFIEKLPQCSTSKLGWLSLKDNNMTGIMPQVMGHLTSLTALSLSNNSISGSISPGLQNFTSLEELYLSSNYLSGQIPLLPRGLKMLDVSVNFLSGHLHFGAPNIEVLILSSNKITGPIPEKFCELQYLHVLDLSNNYFAGELPVCSSMPYLHCLLLSYNKFSGKFPSLIQRLSNLTLLDLSWNNFYGTLPIWIGHLAELRFLDLSHNMLHGSIPANITHLRRLQLLNLSFNNISGSIPQSLSKLMAMTIIYQDQPDWYVGWVNNEFLDILSAVTKHLQHKYAAQSIFYIVDIDLSVNHLIGGIPDEIASLDGLKYLNLSRNCLRGNIPKNIGAMELVESVDFSWNSLSGEIPASLSNLTFLSVLDLSYNNLSGRIPSGRQLETVYDNNPTMYDGNNNLCGPPLQRNCSSGNSDPKHGNEKASGENSESLFFYLGLVSGFAVGLLGVLCSVLFKKP >PUV26761 pep supercontig:PHallii_v3.1:KZ794442:20650:20972:-1 gene:PAHAL_J019100 transcript:PUV26761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGPHEDAINPRQSFRPRRHDLRMPSRSRVMFSLKPATLPSHAAPPTGSSRWRPR >PUV26763 pep supercontig:PHallii_v3.1:KZ794442:45007:47632:1 gene:PAHAL_J019300 transcript:PUV26763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTCSNQTGHVLELDLSYRNLAGQISPSLLSLEHLEHLDLSGQYLSGHDGRFPEFLCSFKNLRYLDLSVLSLASRLPAQVVVNFSTLEYLDLNNVPSEVPPQLGNLSNLRHLGLASKYLYTTDISWLVRLHQLEYLDMGGINLSTIDNWLHVVNMIPSLKSLSLWNCSLPRANQSLTHINLTKLEMLDLSMNYFGHPIASSWFWNITSIQFLELSSTYLYGPFPDALGRMTSLSYLGFQENGNSATMAVDLKNLCELRTLWLDGSLSSGNITEFIEKLPQCSSSKLHYLRLNDNNMTGIMPQVMGHLTSLSALSLSNNSISGSISPGLQNFTSLRELFLRSNHLSGQIPLLPRGLKTLDVSMNFLSGHFHFGAPNIEVLILSSNNITGPIPEKFCELQYLVDLGFSNNSFAGELPICSSMPSLRTLLLSNNEFSGKFPSLIQSLSNLALLDLLWNKFYGTLPIWIGHLAKLRFLDLSHNMLYGSIPVNITHLRRLQLLNLSFNNISGSIPQSLSKLMAMKKRPMPGPTITNRRDWYRVTYSILFAVTKHQQHKYAEQSIFYIVGIDLTVNYLTGGIPDEMASLDGLRYLNLSRNCLRGIIPKNIGAMESVESVDFSWNSLSGEIPASLSDLTFLSVLDLSYNNLSGRIPSGRQLETIYDSNPTMYDGNNNLCGPPLQRNCSSGNSDPKHGNEKASGENSQSLFFYFGLVSGFAVGLWGVLCALLFKKP >PUV26762 pep supercontig:PHallii_v3.1:KZ794442:27270:28199:1 gene:PAHAL_J019200 transcript:PUV26762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANTFWDQEGHFHTNGLHWEGFPRLLWESLSMFYYTEPPLYDGVEYREEGVPRCRVKMIIPQHPFRSLWPPIEVEVVGYRLVDTLETAALEAIKLFCNQHPIEVAAYPIWLFPTIDPGNLDWDFRTDHHGHLLGDLAEETVCIITRFMDVQYHYQMLLRHGVSQLTGVAQSHYRNADHQVTQIEELQALVTQKDEIIAARDETILHREDQINESDHIITQRDAVIEFLQEQIHDLILEADDAQAHIEELQQQPILSAIPIVPEEEEEDPEEIEGVSEIDSEHGDPILSPYHSPSGSQSSVGNFDDF >PUV26757 pep supercontig:PHallii_v3.1:KZ794443:41620:60729:1 gene:PAHAL_J019600 transcript:PUV26757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRAASGSSAGKRDAGGQAVARGRAGDQRREARDAGEPERAGGVTHTWPLTPPWPYPLPVLFTSPSFLPCPAQAKPSRPRSFFFLSLSLTLIFSSPSKANQSRAYSRKHPGILTPPPALFFPLCFVSNILDMGVLAVCVRLLVCVSCVFVSMLGVRVCVCCVRACSCMNERTSSSCMRMTCVAKARRGRQMSCGHGTAVPDKLTCKLPPPPIRLRSATVPARSPRSLRRMF >PUV26756 pep supercontig:PHallii_v3.1:KZ794443:41620:49101:1 gene:PAHAL_J019600 transcript:PUV26756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRAASGSSAGKRDAGGQAVARGRAGDQRREARDAGEPERAGGVTHTWPLTPPWPYPLPVLFTSPSFLPCPAQAKPSRPRSFFFLSLSLTLIFSSPSKANQSRAYSRKHPGILTPPPALFFPLCFVSNILDMGVLAVCVRLLVCVSCVFVSMLGVRVCVCCVRACSCMNERTSSSCMRMTCVAKARRGRQMSCGHGTAVPDKLTCKLPPPPIRLRSATVPARSPRSLRRMF >PUV26751 pep supercontig:PHallii_v3.1:KZ794444:7399:10186:1 gene:PAHAL_J019900 transcript:PUV26751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNRPVTLSKTKKKPGLERKGKVVAEIKDAVDQYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDSGLFFTNLPRDEVERLFREFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELVADHVVCEEGKPLSPEAAQTLRLLGIQMATFRLYLVCRWSCDDFEVYKEGLAHLGANDDSA >PUV26750 pep supercontig:PHallii_v3.1:KZ794444:7399:10767:1 gene:PAHAL_J019900 transcript:PUV26750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNRPVTLSKTKKKPGLERKGKVVAEIKDAVDQYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDSGLFFTNLPRDEVERLFREFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELVADHVVCEEGKPLSPEAAQTLRLLGIQMATFRLYLVCRWSCDDFEVYKEGLAHLGANDDSA >PUV26753 pep supercontig:PHallii_v3.1:KZ794444:21811:26483:-1 gene:PAHAL_J020100 transcript:PUV26753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPPPTATTSPSDPPPPKPRPLGLLSSSARSLLAAARRSPVTTLVVAFFLLALFMYGEDVRTITELSIDDYLYPDADLYNVSGLPPLVLPPPTCDLSDGRWVFDNVSLPAYREKECTFLTKQVTCLANGRPDDTWQYWKWQPNHCSLPTRFDPRRFMETMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSLTIFHAKEYRATLEFYWAPFLVESNSDNPKFHSIEHRIIRPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRYWSQHDEVPRIEAYGRVFKTWSEWLNNNIDPARTSVFFMTISPLHISPKNWGNPEGIRCVKETLPYQNYSQPLDLYHDMRMFDLVVKVASSMEKVPVTVINITRMSDYRKDAHTSLYSIRQGKLLTPKQKADPEKFADCIHWCLPGVPDVWNQILYTRILSKSSWHSNFAPPPLQSLPPPQ >PUV26752 pep supercontig:PHallii_v3.1:KZ794444:21811:26482:-1 gene:PAHAL_J020100 transcript:PUV26752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPPPTATTSPSDPPPPKPRPLGLLSSSARSLLAAARRSPVTTLVVAFFLLALFMYGEDVRTITELSIDDYLYPDADLYNVSGLPPLVLPPPTCDLSDGRWVFDNVSLPAYREKECTFLTKQVTCLANGRPDDTWQYWKWQPNHCSLPTFDPRRFMETMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSLTIFHAKEYRATLEFYWAPFLVESNSDNPKFHSIEHRIIRPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRYWSQHDEVPRIEAYGRVFKTWSEWLNNNIDPARTSVFFMTISPLHISPKNWGNPEGIRCVKETLPYQNYSQPLDLYHDMRMFDLVVKVASSMEKVPVTVINITRMSDYRKDAHTSLYSIRQGKLLTPKQKADPEKFADCIHWCLPGVPDVWNQILYTRILSKSSWHSNFAPPPLQSLPPPQ >PUV26754 pep supercontig:PHallii_v3.1:KZ794444:23097:26482:-1 gene:PAHAL_J020100 transcript:PUV26754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPPPTATTSPSDPPPPKPRPLGLLSSSARSLLAAARRSPVTTLVVAFFLLALFMYGEDVRTITELSIDDYLYPDADLYNVSGLPPLVLPPPTCDLSDGRWVFDNVSLPAYREKECTFLTKQVTCLANGRPDDTWQYWKWQPNHCSLPTFDPRRFMETMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSLTIFHAKEYRATLEFYWAPFLVESNSDNPKFHSIEHRIIRPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRYWSQHDEVPRIEAYGRVFKTWSEWLNNNIDPARTSVFFMTISPLHIRKKRSPTVSELASLFVLLPDRDLDCDYLG >PUV26748 pep supercontig:PHallii_v3.1:KZ794444:5133:7387:1 gene:PAHAL_J019800 transcript:PUV26748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTENPLVRDAGAAVLTGLAAAVVLRFWEEVASRALLEQKLCRKLVHITVGLVYFLMWPLFSSDDVYAQFLAPLIIVINIIKVTVIGVGVVKDEGVVNSMTRHGDYRELLKGPLYYACAITLTTIVFWRTSPISIAVICNLCAGDGVADIAGRRFGQVKLPHNPEKSYAGSIAMFMAGFIASVLYMCYFNIFGFVEKSWTMVGAFGAISLAAAVVESLPISTRLDDNLTVPLASVLVGALVWYFIGATNLCCMSTDDSSLSAIAEMVFAGSRSSK >PUV26749 pep supercontig:PHallii_v3.1:KZ794444:10221:20158:-1 gene:PAHAL_J020000 transcript:PUV26749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPTKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQVSQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSSTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEEANVYNDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELIALMESGLGLERAHMGIFTELGVLYARYRSEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMVDFAFPYLLQFIREYTSKVDDLVKDKIESQNEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPMGGMGMPPMGGMGMPAMGPGPMPAFGMPPMGSY >PUV26755 pep supercontig:PHallii_v3.1:KZ794444:37490:38763:1 gene:PAHAL_J020200 transcript:PUV26755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKIQTTSPKTSSRVSSCTRSCLWLRPPLEFRMAQDSDDPLFVASVVVWVLVVILAIVALHYPLPRRVVR >PUV26747 pep supercontig:PHallii_v3.1:KZ794444:2363:4651:1 gene:PAHAL_J019700 transcript:PUV26747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTKELLEYSSSTTDHQLQSSSAEAEDAAAAAADVPHDGSSSNNSSTVELDEAGGGGDSSRKTAASPSSVRPYVRSKNPRLRWTPELHHCFLRAVDRLGGQDRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDSGQVIGGYSWRDDHHPLQQEGGQVYNLGHLSGLHHGQTTGAASTTTLLSARFGAWPHHQPYWLHGHHVLGSKPYYSSAAEADPPFLRARAHYVTGASILQGCSTYQNDRSMNNQIRLSRDEDKNHHDPLDLELTLDIGPRQDNNKRIKRSGSSWGREDGEKNARDQQVESATDTGLSLSFFSSHPPARTSSGSIVLGASMDKEKAHPTRTSTLDLTI >PUV26745 pep supercontig:PHallii_v3.1:KZ794450:49024:49760:-1 gene:PAHAL_J023000 transcript:PUV26745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRAGGRRQSNARGGAGGEAEATRRFGTRADTWGGAVRHRTGGDGARGGAGRSSGGRRGVRARVCARRGTARARARVRRRTARRGARPRVAHARASRRGQPALGRAAGKEEGRGKEKRGEKKKEERRKKGRKEKKEKKGKEMGERKGKGEGGPSAPITAATAVGHARTSSARYARRTASRRR >PUV26744 pep supercontig:PHallii_v3.1:KZ794450:27864:28793:-1 gene:PAHAL_J022900 transcript:PUV26744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSNAFWDQEGHFHTNALHWEGFPCLLWESLSLFHYTESPQYDGVEYREEGVPRCRVKMIIPQHPFRSSWHPIEVEVVGYRLVDTLETATLEAIKLFCNQHPIEVAAYPIGLFPTIDPGNLEWDFRTDHLGHMLGDLAEETVRIITRFMDVQHHYQMLLRHGVSQLTGVAQSHYRNADHQVTQIVELQALVTQKDEIIAARDETILHREDQINESDHIITQRDTVIEFLQAQIHDLILEADDAQAHIEKLQQQPILPAIPIMPEEEEEDPEEIEGVSEIDSEHGDPVLSPYHSPSGSQSSIGNFDDF >PUV26746 pep supercontig:PHallii_v3.1:KZ794450:57425:58040:1 gene:PAHAL_J023200 transcript:PUV26746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSATQSRGSGASRIVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSFPKLCGFYNFQRQYLDKLEELGIVAIHKFPLAVDIGDEAEEVADASSGRMVMNMRAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PUV26743 pep supercontig:PHallii_v3.1:KZ794456:51054:52478:1 gene:PAHAL_J024600 transcript:PUV26743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPGVNMVEQNDRSARRQLDFALGINMAGMALRRRADKNEADSSNRPQKEEKEYVTEERVRYVRNQRSTSSDLLRKYEYQYRQRLQWESEDEEYEHRTGKRLKKHEDARNHWHCPFFRYCWDSGMSRLPTIRDCPECAPMKMEARESVFGRLGYRPAHQEQVRSPRREEEEEDRYHRPRWCPDGLNRSQKRRVQRLRSLEEAEARYIETLRKARPDLAEQVHREQEKKPRTSRKEWRPKSTRADKQVSADTHMVFVLPAEFHARTYEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGYINGQPINKMLVDTGAAVNIMPYSVLRRLGRSTGDLIKTNVTLSDFNGQTSEAQGVLSVDLTIGSKTVPTSFFVVNSKSTYNILLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PUV26741 pep supercontig:PHallii_v3.1:KZ794456:11498:12653:-1 gene:PAHAL_J024300 transcript:PUV26741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIAVGPFGGAQGTSHDMTGTSKKLQSVTVYSSKDGAGGHINGISFSYENDQGSTTSVDTWGTAAGSKATFTIPAGAYLANLSGTFDNNVKSLTFVTSDGEPYGPYGDPAAGKGFEIPMHKGAIVGFFAHSGGVLNSLGAYVGAQP >PUV26742 pep supercontig:PHallii_v3.1:KZ794456:23974:24607:1 gene:PAHAL_J024400 transcript:PUV26742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVGPFGGAQGTSHDMTGTSKKLQSVTVYSSKDGAGGHINGISFSYENDQGSTTSVDTWGTAAGSKATFTIPAGAYLANLSGTFDNNVKSLTLSPATGSHTGRTVIRQLGRVSRYQCIRAPSSASLLIPAGCSTLSGHTWVPSHRCNTVCYQRYYRPEPLGMHAGGHHDALCCCLITQLCAV >PUV26739 pep supercontig:PHallii_v3.1:KZ794463:20091:21623:-1 gene:PAHAL_J025600 transcript:PUV26739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMHHQVISYVLTRPGRELLFTVVSLDEKYKAKVCIDVIVQRLVMRQLLEFIVCCSADLRRKQTWLPYMHCRSASFGFSLHFIWDASRQILQGFRRHLQHPNS >PUV26738 pep supercontig:PHallii_v3.1:KZ794463:7526:8725:-1 gene:PAHAL_J025400 transcript:PUV26738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQIHPEYDIPVEEQEDGPEPMNEDGSPFCFLAIAPVVLFGKNAPPPSKSIMRIQPGTAKLTPKRKRLLEAVAPRARAKTRKILVRKVRKEATPSSPVPSSPVVAQPAVVEVSSEEEPPCQKGSSSGAPEDVNAPIRALITLRDPGATALEDPSASAVVPAASPSPQAPIVSSPPAGPCLKGPSTASATADSPAREVRPTKPIAISSAIPLVEPTVAPSAVASSSEGAVQAASAATNLDLSELLAFDPATIGSTILEAADSSSGLTSTIDRLLRVKELLLGPISALIQDSSAVK >PUV26740 pep supercontig:PHallii_v3.1:KZ794463:22451:23222:1 gene:PAHAL_J025700 transcript:PUV26740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSPTSCAVGGPHLHSLHHHSSSNPQTRSHDHFPRWTAPLPMLQLAGSADWRRAPAGHAVTAPPGSQPATCFDLE >PUV26737 pep supercontig:PHallii_v3.1:KZ794468:6733:7348:-1 gene:PAHAL_J027800 transcript:PUV26737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWGSSTTQSRGSGASRMVERHLEPSSMWELQPYPLGKETGLPLIPCPDCGMARVIERRSGKDTTENYLRVFFKCPRNSFPKLCGFYNFQRQYLDKLEELGIVAIHKFPLAVDIGDEAEEVADASSGRMVMNMRAGELQIEAKVDNLACKFNLLMSVLVVGLGCVLMYVAGRQ >PUV26734 pep supercontig:PHallii_v3.1:KZ794484:43374:43797:-1 gene:PAHAL_J030600 transcript:PUV26734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLTLLLLLLVSLPPVVVLKLGIDAWATYVVHVTSLVQSGVLGHVPNLS >PUV26732 pep supercontig:PHallii_v3.1:KZ794484:38518:38873:-1 gene:PAHAL_J030400 transcript:PUV26732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYISGARSLPDEQVRIASTKMDGIGPKKAIQLRYQLGISGNIKMNELTKYQIDQIEKMIAQDHVVYWELKRGERADIERLISISRYRGIRRQDGSPLRGQRTHTNARTAHKQIRK >PUV26733 pep supercontig:PHallii_v3.1:KZ794484:42315:42857:1 gene:PAHAL_J030500 transcript:PUV26733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMLTGFISYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKAITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCDYTIQTIRNSTKSKIDKEKSFNLRTITDY >PUV26735 pep supercontig:PHallii_v3.1:KZ794484:45886:46515:1 gene:PAHAL_J030700 transcript:PUV26735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINCDCSKPSKSSFLRPAQNAHTYLRFQELVRIVNKGWVEGGSQLAASWPRPPAYRYEIFHLNSKVGNSIPLADIRQGAKLARAAGTYAIIIKEPAPQCLVRLPSGVEKLIDSRCRATIGIVSNPNHGARKLRKAGQSRWLGRRPIVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGFRAVVGVGKRRI >PUV26731 pep supercontig:PHallii_v3.1:KZ794484:24658:26094:-1 gene:PAHAL_J030300 transcript:PUV26731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTQPFPSVNMVEGYDRSARRQLDFALGINMTGLAPRRRTKNEEADPCDRPQKGEKGYITKEQYEYQYQQRLQCESEEDEYECRTGKSLKKQEDMRDHWHCPFFKYCWNFGMSRLSTTKDCLECGSRKQDAEGVSVFRCLGLVLSQQEQIQPPQRRVDFEEQEDKYHCPCWCPDGLNRSQKCRVQRLRSLEEAEARYLETLRKARPDLVDKVHYMQKWESRPPRKEWQPRSTRADAKTSIDTHMLSVAQLDLGPQPVIFEKPQAKNFKHLKALYLKGYINSQPVHKMLVDTGAAVNIMPYSLLRRLGRSAGDLIKTNITLSDFNGQTSEAQGILSVDLTIENKTVPTSFFIVNSKSTYNVLLGRNWIHANCCIPSTMHQCLIQWDGDEVEVVHADDSIEISHAAMSIWEAEDQEPISGISLEGCDRIETTKNGVRLVLSTSLTE >PUV26736 pep supercontig:PHallii_v3.1:KZ794484:46519:46752:1 gene:PAHAL_J030800 transcript:PUV26736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSIWKGSFVDAFLFRIKKNRESLMSRKIWSRRSSISPEFVDCSVLIYNGKTPVRCKITEGKVGHKFGEFAFTRR >PUV26730 pep supercontig:PHallii_v3.1:KZ794490:22225:22620:1 gene:PAHAL_J031500 transcript:PUV26730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGAECWPTKRRHVQQLSVAEMRMLRWFCGHTRRDRVQNEEIRDRVGVAPIEEKVIQHRLRWFGHVQRRPPEAPRGRGRPRLTWDETVKRDLKEWHIAKELAMDRSAWRLAINVPEP >PUV26729 pep supercontig:PHallii_v3.1:KZ794491:40169:40687:-1 gene:PAHAL_J031600 transcript:PUV26729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVGPFGGAQGTSHDMTGTSKKLQSVTVYSSKDGAGGHINGISFSYENDQGSTTSVDTWGTAAGSKATFTIPAGAYLANLSGTFDNNVKSLTFVTSDGEPYGPYGDPAAGKGFEIPMHKGAIVGFFAHSGGVLNSLGAYVGAQP >PUV26728 pep supercontig:PHallii_v3.1:KZ794498:44469:45152:-1 gene:PAHAL_J033100 transcript:PUV26728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDPITYDELSAEHKQRYDEIRTQFEADLIGSFERTRNHGIRWRGFSPEGALDGVDLSTPSEDRTRALRQEVNYAVAHSLHRHSESLVNAFERVALRVVQEIMKHQHSPTGPALGSHKGELPFQTRPPLPYALAAAESHGAPAYVVYKVGGDPTDHQFFNEPPKEIPHGYMCAYIPDSNNPMHLSQKVAGGVSGADADKQAWLATYATGPSHDSVHSAPELQTAE >PUV26726 pep supercontig:PHallii_v3.1:KZ794498:6217:6618:1 gene:PAHAL_J032900 transcript:PUV26726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALSEFDIRYQPAKAVKGQALADLIAERVNTNIAALSVRAWAMYFDGSVCGDGCGIGILLVSPQGATYSFSIRLPATCTNNLAEYEAVHKGMDCFYGAEAVEVFGDSKLVISQLTEDYRCESELLFPLWVQC >PUV26727 pep supercontig:PHallii_v3.1:KZ794498:42063:43487:-1 gene:PAHAL_J033000 transcript:PUV26727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDTHPFPGVNMVESNDRTARRQLDFALGINMAGVASRRQTKDGEADSSNRPQNEKDDYVTERQVRYVRNQRPTSSDLLRKYEYQYQQRLHRESEEEEYERRTGKRLRKHEEARDHWHCPFFRYCWDSGMSRLPTIRDCPECGPGRPEARDSVFQRIGPAPIRQVRVRSPRKEDEEEDRYHRPRWCPDGLSRSQKRRVQRLRSLEEAEAKYIETLRKARPDLAEQVHYVQEKESRPPRKEWRPKSTKADKKVSADAHMVFVLPAEFHARPQEEPSVAQLDLGPRPVIFEKPQAKNYKHLKALYLKGFINGQPVNKMLVDTGAAVNIMPYSVLRRLGRSTADLIKTNVTLSDFNGQTSEAQGVLSVDLTIGNKTVPISFFVVNSKSTYNVLLGRDWIHTNCCIPSTMHQCLIQWDGDEVEVVQADDSIEISHAAMSIWDAEDQEPISGISLEGCDRIEATKNGVRLVLSTGLTE >PUV26725 pep supercontig:PHallii_v3.1:KZ794504:45661:46928:-1 gene:PAHAL_J035300 transcript:PUV26725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFKNRYMVMEVFIDAGRGKQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKLAAGDRVTPEIIQSVQSCFEKIRGLES >PUV26723 pep supercontig:PHallii_v3.1:KZ794506:14117:14827:-1 gene:PAHAL_J035700 transcript:PUV26723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHPHRSIGGLDTWVAPRRLRDLVTQYSCWFSTLPAWVNPSLVPDLTCLTIAVRELHQGDLEILGRLPALHYVNLEVDNKNLGILQGFVVGAEAMPRLRELRLWPLFYVREARGMASSDGGLDFGLGNLLSLQEVKIEILRAGATKEEAEQAMVPLTHVASIQMKNSEPEKRFELMQMISFS >PUV26724 pep supercontig:PHallii_v3.1:KZ794506:15182:19580:-1 gene:PAHAL_J035800 transcript:PUV26724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGALEKVSSTPADRLDIQDKIWARDLRELSYDIEDGIDTFMVRGQGKEQGNLHGIKKFIDRSVGLFRKAKIRHGMATEIRDIKTRVEEVAKRHGRYKINSDVAMPVMIDPRLFSQYTEAKELVGIDEARDELIKILEEENEVSMQQHGKIVSIVGFGGLGKTTLAKAVYEKIRARFDCCAFVSVSQTPNLKKLFKGLLCDLGKKNNEETLDESRLIKVLREFLQEKRYFIVIDDIWDISVWKMIRCALPDNDVGYTIITTTRNYDVAEQAGGAYKLKPLSLNNSRKLLYRRIFGSKNKDNNEDREKCPEEELVEVSDKILNKCAGVPLAIITMASLLSCKARNKLDWYEVHNSIGTGLENNLDVKNMRKILSFSYYELPCHLRTCLLYLSMFPEDFEIDKDRLISMWIAEGFIKSEKQGKSLFEIGDSYFNVLINRSMVQAIYDRYSGMVNSCHVHDMVLDLIRSLSTEENFVTVLSDMDSTSPSDTIRRLSLQNVKNSHIMAHATRSSLQHARSVVIFPSEFAQAPALGSFQVLRVLDLCLCDLSQANSLKYLGNLYQLRYLRLFCMDISQLPEEIGNLQFLQTLHVRGNSISWLPSGVVQLTNLMFLYIDGYTKVPNGIGNLTCLEQLSLLCIDGSTINIVEELGQLAALRRLEIELDEWNDKLLEGLRMLQKIQKLDISVHPGQRSIGGLDAWTAPRHIRDLSTVNSCWFSTLPAWVNPSLVPDLTCLYIAVRELHQGDLEILGRLPALRTLSLVVDNKNLGILQGFVVGAGSFPCLVLCSFSQFVWPVVFQQGAMPRLRELDLLSLFYVREGGGIASSDGGLDLGLGNLPSLQMVVAQLRCEGASKEAAEKAKAALTLAARMHPNHPNHDINIWWSCSMMETVERSVVSHFF >PUV26722 pep supercontig:PHallii_v3.1:KZ794515:11082:12432:-1 gene:PAHAL_J037600 transcript:PUV26722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVGQAADSHAVDIASLEEDLKAKIAVAQAMAGQQDTGAPPCPITIAVVGHLTRDVEPRHVSIGPYSRFKKPDLATDDEKAEILGSVLSAASTGTTLRMCLDEMAVLEHRARSCHAHTFSMESKEFVRMLLLDGFYVLLRFGRARSNRKWHERAHGQPPPAENQIPFFVIDKIHQLITSDNGVSAAATIAEYIRELLKIHYSVATPSLAEPAWPGNLLHLLHMHFKPTVAPPSTCSGDATDEPVRWWRRAMEYHFAGVKFKGRPLGTKETQCFLDVKLDRSGRTLEVPHLDIDAETFPLLRNLMALEQRNPDAAGSLVTAYCVFMSQVACKAADVALLSKRGVVAHGLGNDGEVATFFADLCKDVVFTVDDPASKYLRATCQELEKQFQSNWRRWAAWLRQKYFSNPWLTVGLAAAAVG >PUV26720 pep supercontig:PHallii_v3.1:KZ794517:3550:4077:1 gene:PAHAL_J038400 transcript:PUV26720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMIAVGPFGGAQGTSHDMTGTSKKLQSVTVYSSKDGAGGHINGISFSYENDQGSTTSVDTWGTAAGSKATFTIPAGAYLANLSGTFDNNVKSLTFVTSDGEPYGPYGDPAAGKGFEIPMHKGAIVGFFAHSGGVLNSLGAYVGAQP >PUV26721 pep supercontig:PHallii_v3.1:KZ794517:29422:30583:1 gene:PAHAL_J038500 transcript:PUV26721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIAVGPFGGAQGTSHDMTGTSKKLQSVTVYSSKDGAGGHINGISFSYENDQGSTTSVDTWGTAAGSKATFTFPAGAYLANLSGTFDNNVKSLTFVTSDGEPYGPYGDPAAGKGFEIPLHKGAIVGFFAHSGGVLNSLGAYVGAQP >PUV26719 pep supercontig:PHallii_v3.1:KZ794519:18093:19520:1 gene:PAHAL_J038600 transcript:PUV26719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSGYGTIAKIPFNCFVCGSALSNGAFQCFHVTRFSSNPVCQHLFCSECSRSHAERFRHNTSRLVSLDHVTADATFACPFDGCGEEMSASHYDAHVEACLFKTLSCPRCNNLFTSNALIPHLVGEHWFVHHQLTYGNPIGSEISKNGGCILSGGDEAFIFFFEHGELYYFWVGSSPAPADFQLMVNIATQAQQNCGVFSKPALARSRGAVRLLNLDLDHVINQNNILRITIMIS >PUV26718 pep supercontig:PHallii_v3.1:KZ794520:27901:28793:-1 gene:PAHAL_J038700 transcript:PUV26718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRASRRCRRRPFNVFGLLREVSVDLTFPLRIGVACACACVCIIRSLWYFPCIEAVLFLLELRGGGPHVNPEKWALGFFFWNNNSGCYGK >PUV26717 pep supercontig:PHallii_v3.1:KZ794523:29112:29861:1 gene:PAHAL_J039900 transcript:PUV26717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTGSLKRAREEEKGAPMVSRVRDPPPPPDMRERPLRFLATMQRELANETHKYNEFIDVMGQFKNGRMDAASLVEYVTVLLAGHPDLLRGFDEFVPMDYKISGQAGAK >PUV26716 pep supercontig:PHallii_v3.1:KZ794534:33358:34708:-1 gene:PAHAL_J042200 transcript:PUV26716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVGQAADSHAVDIASLEEDLKAKIAVAQAMAGQQDTGAPPCPITIAVVGHLTRDVEPRHVSIGPYSRFKKPDLATDDEKAEILGSVLSAASTGTTLRMCLDEMAVLEHRARSCHAHTFSMESKEFVRMLLLDGFYVLLRFGRARSNRKWHERAHGQPPPAENQIPFFVIDKIHQLITSDNGVSAAATIAEYIRELLKIHYSVATPSLAEPAWPGNLLHLLHMHFKPTVAPPSTCSGDATDEPVRWWRRAMEYHFAGVKFKGRPLGTKETQCFLDVKLDRSGRTLEVPHLDIDAETFPLLRNLMALEQRNPDAAGSLVTAYCVFMSQVACKAADVALLSKRGVVAHGLGNDGEVATFFADLCKDVVFTVDDPASKYLRATCQELEKQFQSNWRRWAAWLRQKYFSNPWLTVGLAAAAVG >PUV26714 pep supercontig:PHallii_v3.1:KZ794539:5189:13639:1 gene:PAHAL_J043100 transcript:PUV26714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRSHLHKVRIPEPGNRIHKDECCVSFDTPRSEGGLYVDMSSFLGFGREHVEWNFEKTGNPVYLHIVRRQKPEPDEADRPLKKPTLLAIGVEGGFGDQEPEYDNTFEIVILPDFISLPFPSVDLPEKVRLAVDKVILAESADRKQQLAAWVADKKKISEYAMDLQQLENGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYERTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDANTNYDWNRIQESGKDAELLFGPGYTGLANLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFATAPTDPTMDLNMQMTKLGHGLLSGKYSAPNKEGQEGIRPGMFKSVIAANHSEFSSMRQQDALDFFLHLLDRVEQANPGNHELNPCSGFKFIIEERVQCPSGKVSYNKRSDYILSLSIPLHEATNKEQLEAFREKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGPEEIPDFYSTALNSKTTATKTAGFNTFPDYLVLHMRKFVLEAGWVPKKLDVYIDVPDTIDISHMRSKGMQPGEELLPEGASGDNKAEPAATVASEDIVSQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDINDPISNDSRASEQSVDEASVETLISFGFPEDVAITALKASGGNIEQATDWIFSHPEASSSASADSSTSNVNADGTHVPDGSGRYKLMAFVSHMGTSTHCGHYVAHVVKDGRWAIFNDSKVAASVDLPKDMGYLYFFQRISG >PUV26715 pep supercontig:PHallii_v3.1:KZ794539:24972:25436:1 gene:PAHAL_J043200 transcript:PUV26715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSPVVLPVFVRSPDAPPPPGSARSRVVVVFPAPTPQPPGRACFLLGGALACRAAAIPPPAAVVGDRIRRPWEVDLHTKCTDHKDFADKPVEAAKPIDLEAPPKSASSSEAIYGRRCPGLGK >PUV26713 pep supercontig:PHallii_v3.1:KZ794542:7012:8755:1 gene:PAHAL_J044600 transcript:PUV26713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRVASLLLEEARRLQIEADREGVHAYLRKPNIRHRPNSRFLTATVRGVQQANRVVEINEMWRAREKELELESKMKGRSKDCDDSRGEKRKSEMRNHSSSSRVEQEGTTYSTSYSDQEDGLKEDEIERFLHSRVKRGRGAIGSRMDEPGPYLDSLSRCHENGPSPDIRLEEKWERRVQGPEKPLFLRSKSPDDHWCKETLDGRGSSSEPQSKKEKKRKSEKKREEG >PUV26711 pep supercontig:PHallii_v3.1:KZ794544:11558:12795:1 gene:PAHAL_J044700 transcript:PUV26711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMWNDAGADGSQPYVDVTYTPGFEFNACDFSEPDKATEAENSSQRKTKGVAKRNQNYSGNEDETLISAYLNPAKSYWQRILDYYNEFRTTTTTRTWSSLQHRWGEIQRHTTKFCGFYDEIERKNQSGKSEDDKVKDALQMFEGLEKSQFKFLHCWILLRKEHKWLCWLAEERAELAANPTEAGDGTSMDPIKVISEAPKFSRPMGHDRAKRLRGSPGVGSSASSTACLDVLQKIQSDRAKYDERQEIASKDEAQEVAARYERKLSLVQEQVDIQRKMLELHEKERMDKIMFMDLDKVQPWVRDFYIREQKKIAGWNNEVSGAPPS >PUV26712 pep supercontig:PHallii_v3.1:KZ794544:27172:27558:-1 gene:PAHAL_J044800 transcript:PUV26712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYAEKRSNATTDVLVYWRCVNRRMIERKLVDANLIHMRLVLLTVLLLFLQMLRLQRVKRNYIFFLTLHRCK >PUV26710 pep supercontig:PHallii_v3.1:KZ794546:18702:19137:-1 gene:PAHAL_J045100 transcript:PUV26710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTQTTSPKTMSRVSSCTQSCLWLRPPLDSAWRKTLMILFS >PUV26709 pep supercontig:PHallii_v3.1:KZ794553:14772:18346:-1 gene:PAHAL_J047700 transcript:PUV26709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLRDSQVCVCDQRWRELSYPPAAAQDQHSLVSHAQNLWNDWEIQCLVLVSFSLQVFLLFSAVFRKLYRSVVLSVLLWLAYLSADTVAVYLLGRLTLLVGDDPRHQIVLFWAPFLLLHLGGQETITAFSMEDCALWKRHLLNLATQVSLAIYVVCRQWRGGDKQLVAPTTLMFIAGMTSYAARIAALKRAQPTSSMSSSENSNFIKYEMINYNHELACIISHKQERDFERVMELATNGFSLSLDFLMDVAKKDYLTAPTSLTESFWGSVEIFLRCRSSDTDDMLFKLAEIHLSLIYDHLYTKFGGNLMAACCRLTTFALTSIALVLFVVSTRLDHKGNTYYKTADITISYILLVGAIALEISSVLLWLLSSYSPWKFLGTSSVADSVLYSIIKCLSRVESRVEWSGKMQQLNMVDWCIQERQTTAGWLEWMKRRVGIEGRACTKPVEVSADLKNLVLHKMLQTLDAISSRRSELDLTKFHGQWAQLWVYPHLFSQSRLPKVVQLVNNLIEVLGRTKKKKESSEAPQRAPEVSMFQDLGFVESVFLWHIVTELCLRDDQADTDTTPATATLDDQADTDTTTTTASSSSSSSKDYKLKSSIRELSNYVMYLLVKCKAMVTVYDIDSLNGIRRTLLNNLDIIIYQKVDGRPIQNIHDVVFSEANKISREFLRIGEEVGRWDMIAMVWVEMLCYIAFNCDAAFHTKQLCAGGEFVTHVKMLLVILNFSI >PUV26708 pep supercontig:PHallii_v3.1:KZ794555:4263:10703:1 gene:PAHAL_J048600 transcript:PUV26708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGIPRGAMCVQRFDDSLNSAIHITYRISLRSSSMREPRDPKSRHRRIKKQRRYERLAATSQLSLW >PUV26707 pep supercontig:PHallii_v3.1:KZ794557:20032:21108:1 gene:PAHAL_J049100 transcript:PUV26707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDIADEETCLHALQLVSSAVLPMTLRTAIELGLLETLVGAGGKALTPKEVAAKLPSKANPAAASMVDRLLRLLASYNVVSCVVEEGEDGSLSRRYSAAPVCKWLTPNKDGVSMAPFHLLANDKLFMHAWSYMTDAVLEGGSPFNRAFGTPSWFDYAGTDARFNSVFNEAMKQHSVILTEKLLELYTGFDGVRTLVDVGGGLGSTIHAITSRYPTIHGINFDLPHVISEAPAYPGVHVQHVGGDMFEEVPSGDAILMKWILNCWGDHHCARLLKNCYDALPLHGKLISVECILPVNPDATNSAQGLIGVDVCLLAYSPDGKERYEREFVELAKGAGFTSVKSTYIYANFWAIEYTK >PUV26703 pep supercontig:PHallii_v3.1:KZ794557:916:2519:-1 gene:PAHAL_J048700 transcript:PUV26703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPLEAMMPLDPETFAGESGAVVGFLADYYRNVDKYPVMANPEPGSIRKLLPDAAPELGESMDRILDDVQRDILPGLTHWQSPSFFAYFPANASSAGFAGEMLSAGLNVVPFVWTASPVATELEQVVVDWMASLLGLPERFHFKGGGGGVLHGSTCEAVVCTLAAARDRALSKLGHEGILKLVVYASDQTHATFQKGASIVGIPPANFRILRTSAESGYGLTADTVQRAVEEDVARGLVPLYLCATIGTTGLGAIDRVRELGHVARRYGTWLHIDAAYAGSAAICPEFQGNLDGAELADSVSMNPHKWLLTNMDCCCLWVANPTTMTDALSTDPEYLRNVGGASKMTTDTIDYKDWQIALSRRFRAIKLWVVLRRYGTAGMRAHIRRHIRMAEWFERVVAADERFEVVVPRSFSLVCFRLRPRFMEDKAVESLNRELLVAVNASGRAFMTHFVVDGKFVIRLAVGGSMTEMRHVRGAWELIKEKANELLAGC >PUV26704 pep supercontig:PHallii_v3.1:KZ794557:3672:4748:1 gene:PAHAL_J048800 transcript:PUV26704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDIADEETCLHALQLVSSAVLPMTLRTAIELGLLETLVGAGGKALTPKEVAAKLPSKANPAAASMVDRLLRLLASYNVVSCVVEEGEDGSLSRRYSAAPVCKWLTPNKDGVSMAPFHLLANDKLFMHAWSYMTDAVLEGGSPFNRAFGTPSWFDYAGTDARFNSVFNEAMKQHSVILTEKLLELYTGFDGVRTLVDVGGGLGSTIHAITSRYPTIHGINFDLPHVISEAPAYPGVHVQHVGGDMFEEVPSGDAILMKWILNCWGDHHCARLLKNCYDALPLHGKLISVECILPVNPDATNSAQGLIGVDVCLLAYSPDGKERYEREFVELAKGAGFTSVKSTYIYANFWAIEYTK >PUV26706 pep supercontig:PHallii_v3.1:KZ794557:17456:18855:-1 gene:PAHAL_J049000 transcript:PUV26706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLDPETFAGESGAVVGFLADYYRNVDKYPVMANPEPGSIRKLLPDAAPELGESMDRILDDVQRDILPGLTHWQSPSFFAYFPANASSAGFAGEMLSAGLNVVPFVWTASPVATELEQVVVDWMASLLGLPERFHFKGGGGGVLHGSTCEAVVCTLAAARDRALSKLGHEGILKLVVYASDQTHATFQKGASIVGIPPANFRILRTSAESGYGLTADTVQRAVEEDVARGLVPLYLCATIGTTGLGAIDRVRELGHVARRYGTWLHIDAAYAGSAAICPEFQGNLDGAELADSVSMNPHKWLLTNMDCCCLWVANPTTMTDALSTDPEYLRNVGGASKMTTDTIDYKDWQIALSRRFRAIKLWVVLRRYGTAGMRAHIRRHIRMAEWFERVVAADERFEVVVPRSFSLVCFRLRRASWRIKRWSP >PUV26705 pep supercontig:PHallii_v3.1:KZ794557:13016:14911:1 gene:PAHAL_J048900 transcript:PUV26705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRTAIELGLLETLVGAGGKALTPKEVAAKLPSKANPAAASMVDRLLRLLASYNVVSCVVEEGEDGSLSRRYSAAPVCKWLTPNKDGVSMAPFHLLANDKLFMHAWSYMTDAVLEGGSPFNRAFGTPSWFDYAGTDARFNSVFNEAMKQHSVILTEKLLELYTGFDGVRTLVDVGGGLGSTIHAITSRYPTIHGINFDLPHVISEAPAYPGVHVQHVGGDMFEEVPSGDAILMKWILNCWGDHHCARLLKNCYDALPLHGKLISVECILPVNPDATNSAQGLIGVDVCLLAYSPDGKERYEREFVELAKGAGFTSVKSTYIYANFWAIEYTK